>BrasyJ008000.1.p pacid=40039604 transcript=BrasyJ008000.1 locus=BrasyJ008000 ID=BrasyJ008000.1.v1.1 annot-version=v1.1 MADADPDHCNVCNYPPYMCDLGFSFELLNWFTISADFKTRMIIPCKYGDHFTRYWRGEMKLEVEPFHEWYEFEVKKRGARFYLGGNWEMFASIYNIKQGDELCFVLGPIIHEHLTVGHLRRRSGGIALPRCTIAEYEAEQERGEMEECTTSVDTQ* >BrasyJ008100.1.p pacid=40039605 transcript=BrasyJ008100.1 locus=BrasyJ008100 ID=BrasyJ008100.1.v1.1 annot-version=v1.1 MALLMDASSASPLAANRALKREGGGYARRLRACSPTRAAGEEVGAACPTLPSPEEGLTNGGNSTGEDSRKLDSVGDKGDSSSGAHGRTDDGEGDREGEPRDDAGLSPSREPSSTSSAWASTGATCTSEEGTSCTDWGKAACAGAVGSTATCEEATSGSLSEAACAGEGGATATGTSCTDRGGAATCATEAAAANTGATCPRAPATGASSPGREASSAADSTMAFGEEATCRETGGTQPALAFQPFRKITPEDGAPDLLAGGAEEDSVTILLIIHVLHIIQHILIIIIELVVIIIALIIITNIRLTGRFHLERPLVATRGRFWALDDDASGDEAGCFSTASAASC* >BrasyJ007900.1.p pacid=40039606 transcript=BrasyJ007900.1 locus=BrasyJ007900 ID=BrasyJ007900.1.v1.1 annot-version=v1.1 MAFKILLPLLLLAAATPASVAIIDVAQMLAGKPQYATFVRLLTETKVAEDVSRLKSASVLVVSEKNVKPLLSVPKDKLRMILSHHVLLKYFDPIQLNEMKTPTAKLESMLSTTDKNLGTIMYSKDPDGQMYLRSPGADTVAKLVKVVAARPFAASIMEISTPLVSPEILAAAGATKPNVGKGKGKGKGKVKPMSANDEGSSDAAPSKAPITST* >BrasyJ008200.1.p pacid=40039607 transcript=BrasyJ008200.1 locus=BrasyJ008200 ID=BrasyJ008200.1.v1.1 annot-version=v1.1 MSSPTAAPAPTTPPGAAGQRDRASAGHSGIASPGDPRALPSGARQSTARLRPAAGSARGLPSRTVRSPGYPICAVSLTPGRPCYADHAIGYSLSASSGGRSPPSSGGGGKSPPTPSHNNPSPKAPSHSPSSGNSGLSTSVVIGVAVGGFVLLLLATFVCLCCLRKKRRRQPPPPHYGYPPPPPRQYKEDPYGGTYQSWQQNAPPPPPDHVVKMHPSPPPAYANRPPQAPPPPPPPMINSSGGSGSNYSGGEILPPPSPGTALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHKGVLPNGKEIAREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVTNNTLEFHLHGKGRPVMEWPTRLRIALGHPKIIHRDIKSSNILLDFKFEAKVADFGLASSLLITTPMFRQELWGLLGMYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSAQTYMDDSLVDWARPLLMRALEDGNYDELVDARLGKDFNPNEIARMIACAAACVRHSARRRPRMSDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFKKMAFTTNDHTSSQYSAPTSEYGQIPSASSSEGQQTQEIETGTTKKSGHGGYSSGYSGPS* >BrasyJ059000.1.p pacid=40039608 transcript=BrasyJ059000.1 locus=BrasyJ059000 ID=BrasyJ059000.1.v1.1 annot-version=v1.1 MLPYATAEEASAALGRAMTAAEAAWFGYSAAVPDRWLYCHNVPILLLVYTLAPLPLALLELRGTGGAAGAGSLVHKYKLQPRVRLSPAAFLRCYLDTARVLLLSVGPLSLVSYLSPRVGRYYGNWHMVGIRTGLPLPSVGEMAAQLVVCLLVEDYLGYWMHRLLHTKWGYDKIHHVHHEFTAPMGYAAPYAHWAEVLVLGIPAFAGPAIVPCHMTTF* >BrasyJ059100.1.p pacid=40039609 transcript=BrasyJ059100.1 locus=BrasyJ059100 ID=BrasyJ059100.1.v1.1 annot-version=v1.1 MEAVKSLLKPKPTPQQQLREWQRRLRNECRNIERQIRDVQKEEKKVEKAIREAAKRDDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATTRTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVQKQKQKVTQPSTSEPVERTAVAEAVGDDDDELDKIRERLAKVRS* >BrasyJ058900.1.p pacid=40039610 transcript=BrasyJ058900.1 locus=BrasyJ058900 ID=BrasyJ058900.1.v1.1 annot-version=v1.1 MQQSSGELVTRIPSAAEERKKAEEQGFKLFMGNLGLEIDEAFLTRVFSRYGSFVPGSAIVRRDKDGRTLQYGFVALSKREDVVAAYDQFKDGCMGSTGRVRLSWSPDYAGAWKNLTQAQLQERIEARRRESRAAVPW* >BrasyJ066200.1.p pacid=40039611 transcript=BrasyJ066200.1 locus=BrasyJ066200 ID=BrasyJ066200.1.v1.1 annot-version=v1.1 MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEITPVNTEVHKWMQKVDSWNPKMFTLTHTPVKYRAFVSKFKRRVLIARMAEAPDLASMYHVKLREAYETEDRLKDPDIMKQSEEDLCQLLDDVEAQLSKTKYLAGDEFSPADAMFIPILARIILLDLHEEYISCRPRVLEYHTLVKQRPSYKIVIGKYFNGWKKYRTLFRTSFFLCVRTLFRKY* >BrasyJ066300.1.p pacid=40039612 transcript=BrasyJ066300.1 locus=BrasyJ066300 ID=BrasyJ066300.1.v1.1 annot-version=v1.1 MALTLATARAAAEHREDEEPEPDPPAAIFLEVGGTPRRYPSRPDPEEGIGTGGREMVEGLASGNGERLGVGVESSRRCSTGSGDRLTVGVDISSSSCSPVSLKVASKSTSSMAGRRRAGRGRGERRWRRSRGDWEKASSVRGDRGVRAIWRHILRLMKTRFFEVKGQTAKQDKNMLTYVEM* >BrasyJ060800.1.p pacid=40039613 transcript=BrasyJ060800.1 locus=BrasyJ060800 ID=BrasyJ060800.1.v1.1 annot-version=v1.1 MMEACCCSSSSVPSASILATGAGLRRRFSPAAAGGGRVLALAHPLRSSGALMAAAAATPRRGQQRRGGGGGFVVRAVFERFTERAVKAVVLSQREARGMGDEAVAPHHLLLGLVAEDRSAAGFLASGVRIERAREAGRAAVGKAGPAQAATGLATDVPFSGASKRVFVAAVEFSRNMGCNFISPEHIALGLFDLDDPITNSVLKSLGLDPRQLAKQALTRVQGELAKDGREPLGLSSFKVREKSTAGSGRSPIVRYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIISKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISKGRMSIGFQTQNDTEENTYNVMKSLVTEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >BrasyJ060800.2.p pacid=40039614 transcript=BrasyJ060800.2 locus=BrasyJ060800 ID=BrasyJ060800.2.v1.1 annot-version=v1.1 MMEACCCSSSSVPSASILATGAGLRRRFSPAAAGGGRVLALAHPLRSSGALMAAAAATPRRGQQRRGGGGGFVVRAVFERFTERAVKAVVLSQREARGMGDEAVAPHHLLLGLVAEDRSAAGFLASGVRIERAREAGRAAVGKAGPAQAATGLATDVPFSGASKRVFVAAVEFSRNMGCNFISPEHIALGLFDLDDPITNSVLKSLGLDPRQLAKQALTRVQGELAKDGREPLGLSSFKVREKSTAGSGRSPIVRYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIISKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >BrasyJ060900.1.p pacid=40039615 transcript=BrasyJ060900.1 locus=BrasyJ060900 ID=BrasyJ060900.1.v1.1 annot-version=v1.1 MEGMGQKKRPLVVMASSSTAAAQTAARGGANPLAELGDRVKSLEAEVRAWMAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPDGGAGLPVPQPPPGVDPNAMATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFMVGQKFSKPKGQNEDMSYSRGRNMLNQLGLQNYEKNFKKGLLTDETLPLLNDSALRDVNIPPGPRLVILDHIKREPGLTKSN* >BrasyJ060900.2.p pacid=40039616 transcript=BrasyJ060900.2 locus=BrasyJ060900 ID=BrasyJ060900.2.v1.1 annot-version=v1.1 MEGMGQKKRPLVVMASSSTAAAQTAARGGANPLAELGDRVKSLEAEVRAWMAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPDGGAGLPVPQPPPGVDPNAMATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFMVGQKFSKPKGQNEDMSYSRGRNMLNQLGLQNYEKNFKKGLLTDETLPLLNDREPGLTKSN* >BrasyJ060700.1.p pacid=40039617 transcript=BrasyJ060700.1 locus=BrasyJ060700 ID=BrasyJ060700.1.v1.1 annot-version=v1.1 MGAYELVRSDESAGPAADLEAGGRCSPSPPSPAKGSPAAPSRPQRLVSLDVFRGITVLLMIIVDDAGSFLPAMNHSPWEGVTIADFVMPFFLFIVGVALPLAYKRVPDKLEATGKATLRALKLFCVGLVLQGGFFHGVRSLTFGVDVTQIRLMGILQRIAIAYLLTALCEIWLKGDNDVDSGFDLIKRYRYQLFAGLLITVTYMVLLYGTYVPDWEYQISGPGSTQKTFSVKCGVRGDSGPGCNAVGMIDRKILGLQHLYGRPVYARSKQCSIDSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIVHFQKHRERIMHWLLPSFGMLVLAIAMDFFGMRMNKPLYTVSYTLATAGAAGLLFAGIYTLVDLYGFRRPTLPMEWMGMHALMIFILLACNILPIFLHGFYWGEPKNNLLKFIGIRA* >BrasyJ061000.1.p pacid=40039618 transcript=BrasyJ061000.1 locus=BrasyJ061000 ID=BrasyJ061000.1.v1.1 annot-version=v1.1 MASSSDASAAAARKLEGKVALITGGASGIGECTARLFVQHGASVVVADIQDEAGSSLCAELGEAASSYVRCDVTSEADVAAAVDHAVARFGKLDIMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIVGTSSLASAMGGVASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLDGEAFEMVMESLANLKGVGLRVKDIAAAVLFLASDDARYVSGHNLLIDGGISVSTPAFGIFKD* >BrasyJ060600.1.p pacid=40039619 transcript=BrasyJ060600.1 locus=BrasyJ060600 ID=BrasyJ060600.1.v1.1 annot-version=v1.1 MRTGGVEPAVTAAIVEVEPVAPHVVEDCRGVLQVLSDGTVLRSAASPYAVEDRDDGRVEWRDAAYGSRGLGVRMYRPKPRPEGKKSLLRVLAYFHGGGFCIGSRAWPSVHACCLRLADEASAVVLSFDYRLAPEHRLPAAHEDAADALLWLRDRLAVTPHDDDDRVGSWLADSGVDPGRLFVSGESAGGNMAHHMAARFGAAGLGLGPVVKIAGYVLIMPAFTSEAPTESELESPATAALSRDVAERYNRLALPAGANKDYPLMNPLGPDSPGLVRVGGRVLVVIGDEDMLKDNQLRYAEQMKAAGNDVELFVLAGEQHGFFSSKPWSEASGEAVRAIGRFMDRDAAAADSD* >BrasyJ010000.1.p pacid=40039620 transcript=BrasyJ010000.1 locus=BrasyJ010000 ID=BrasyJ010000.1.v1.1 annot-version=v1.1 MAAAMQDETAGSNGAAAADVEMVEASELRRRTKQPNPSDGTAAPSSAPGDGEEDEAAASVEQAFADQRVPTWREQLTVRAFVVSFFLAVMFSVIVMKLNLTIGIIPSLNVSAGLLSFFFVRLWTAAIQKVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGNYLLAMSDRIASQSTEADNPQNIKNPHLGWIIGFLLLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAYLINGFHTPHGAKIAGKQVKKLGTFFVLSFFWGFFQWFYTATEFCGFNQFPSLGMQAFNNRFYFDFSPTYVGVGMICPHIVNISVLLGGILSWGIMWPLIAKKRGIWFSADLADSNLHGMQGYRVFIAIALILGDGLYNFLKMLFLTVRSLRSQLKKSNASTLPVSDDETSNSNEAISYDEERRNELFLKDQIPWYIAYGGYAVVAAISIGTVPQIFPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSEYPAPNAAIFRSMAILGVDGFSSLPKNCLTLCYIFFAAAVAINLIRDLVPKKVSRFIPIPMAMAIPFYLGSYFAIDMFLGTVILFVWQRLDRAKSETFAPAVASGLICGDGLWVLPQSVLALAKVKPPICMKFLARGMNEKVDAFIQTLS* >BrasyJ065700.1.p pacid=40039621 transcript=BrasyJ065700.1 locus=BrasyJ065700 ID=BrasyJ065700.1.v1.1 annot-version=v1.1 MWSSWTRRKPASGRLTQSPSFSSSVTSSSAKDHNGIGSVLDDASPSTGGAKAAVLHRVRSSTKLWTCKSFAAAEEAAGGDRRVVLYFTSLRAVRPTFVACRDIRAILRGLRVAVDERDVSMDAAFLTELRALMRRDRPPLPQLFVGGRLVGDADEVRILHETGELRRVVAGAPQAAPTPCASCGGSRFTPCCACGGSHRRFNDKTGGFRVCTACNENGLVRCAACFTGG* >BrasyJ065900.1.p pacid=40039622 transcript=BrasyJ065900.1 locus=BrasyJ065900 ID=BrasyJ065900.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYKVFEDYRDFNQEYYRAIVRIHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLPTKYERLDKGPFKYLPRGFITCKNKFFTLPGLPKEKVANDSYDFCNFMTSQEYMVANMRAEIEHYRKQLWIALSHLSAVVDAGMYENEVRYPPRPPAPELSKMFKVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ065800.1.p pacid=40039623 transcript=BrasyJ065800.1 locus=BrasyJ065800 ID=BrasyJ065800.1.v1.1 annot-version=v1.1 MMSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQMAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLSRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ065400.1.p pacid=40039624 transcript=BrasyJ065400.1 locus=BrasyJ065400 ID=BrasyJ065400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRKIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNNNKFVTFPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNEVRYPPRPPAPQLTKVLQVEGFAPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ066000.1.p pacid=40039625 transcript=BrasyJ066000.1 locus=BrasyJ066000 ID=BrasyJ066000.1.v1.1 annot-version=v1.1 MEPAPNAPRGGPASTPEEAAGTSSSSASVEKAEKHEQEVQPRERGGQQQQLAVQAGGHLQPQPLSQQPPPLSAPVPAGLSRYESQKRRDWNTFLQYLSNHKPPLTLARCSGAHAIEFLKYLDQFGKTKVHADGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGSRSGSAGPVAASPPVVPAEATSGGGKDDEDQPSRSAEQRQQTTPVVFATTQTTSSSAGATTATAATKATPTPRGKEPAEGSA* >BrasyJ065500.1.p pacid=40039626 transcript=BrasyJ065500.1 locus=BrasyJ065500 ID=BrasyJ065500.1.v1.1 annot-version=v1.1 MAVLVDVVVHAARELVGRSVVVAGDDEVGLAGPEGDGGGHGSPKNLCYILGGDPGGGVVLCLLGREREKERPRERKKEWRGRRVRVGADTLGRDLPVRGLDPRAYLRQGGEAEDPCDAGYLVTVHLPPEEGLVGVVPSAYSVARYDFVSALQGAARDAAWHVFHAYHAALARIGVGEWPHYLEESGSSSTAPPPTFVPPQIVSTSVLPPLPPLVPTFVPPPPPPSALPPLYPTVPPSTSDFSAPPRVLRGSSSRAASRPPTPYRRPPSPPQSSVQELLSQLDTLQQRCSLLERENRDLAAALRDYTRPGMALNPLVLESSLSVTPDRVGYSIDQQSLATDEPSSQVGATLSSTAAPPLIDPTPRTPLGSPPVVPDSPSPDYTPASPEYTPASPDYTPASPDHTPGLADAVLTYSDLAELDTKHPLSSAPDSTAHESDAAAPSSPPPVPSVFQSAPTSGLDPDLLLTCCASPLVRED* >BrasyJ065600.1.p pacid=40039627 transcript=BrasyJ065600.1 locus=BrasyJ065600 ID=BrasyJ065600.1.v1.1 annot-version=v1.1 MALPDELVEEMFLHLPPDESSSLLWAFLTSKTWHLQISRPEFLRRLYEIHRNPPLLGFFKTTQSTVTCQPCVFIPATAHEHGYQLLVWEPITGHELFLPEPPLNKSSCTSFTAAVVCASDGCDHNDSHTGPFQVVFVSSCEAHMASSRWTHEYSLETAGWCQWFVVLTVLAVSTRPAMLYDLAGPSVRRLTGPELWNSRSGHVALMLAENGGLGVVRVYYSDATAIFTITQWTIDESVWVRREIHRENPDPPDALSQSYRVNGFIYTEETNTIIMNTCGGILRTELESKQFYLDHVTYERAVANVGRRAAMHPNDWAG* >BrasyJ026900.1.p pacid=40039628 transcript=BrasyJ026900.1 locus=BrasyJ026900 ID=BrasyJ026900.1.v1.1 annot-version=v1.1 MCVDSTPHKYERLNTGPFKYIPRGFISCENKRKVANDSYDFCNFATSQEYMMANMRAEVEHYRKQLWIALVRYPPRPPAPELTKVFQVDGFTPARGPPRVFESTYLRVSSCTGNRRQMRTCFRTLRSYCRGSDSFVMLGLLYP* >BrasyJ068300.1.p pacid=40039629 transcript=BrasyJ068300.1 locus=BrasyJ068300 ID=BrasyJ068300.1.v1.1 annot-version=v1.1 MAPSTRVTQSRHSTLQPPIYTCIAAAADSSQPTYCFQEWVAMGSASPPSLLCFFFLLIWGSCEGKDESMLPPRGWNSYDAFSWTVDEAAFLHNAQIMAEKLLPHGYRYAVIDFLWYRRNVNGSGKDACGFDSIDRWGRPFPDPDRFPSSAGGRGFKDVADKVHGMGLKFGIHLMNGISTQAVNASTPILDIHTGKAYSEDGREWTARDIGLRQRTCAWMTGGFMSVNTELGAGRAFLKSLYRQYADWGVHFVKVDCIFGTDYSPKEIIAVSEILKELDRPVVMSISPGTEVTPALAQNISRHVDMYRITGDDWDSWKDVRPHFDVARSFAAANKIGATGLQGRSWPDLDMLPFGKLTDAGVNQGPHRRTNLTFEEQRTQMLLWSMVKSPLMYGGDLRHLDDNTFNLITHPTLLKINYHTKNNMEFGYILSERSLKPDKSAASPSKSISPVVNPVNNRGMLLGLTTCGDERARGWYSSSQDHVCRSLRMQKDNRNISFCMAKAKPLPTSLGEVTLSNEEDQTKLRLAGFGTDCGCLDASASESKTPMFSSCERHSKQVWDLTEKGELVSNYSGLCATVQSASKEAEGTSNGARAWIATGDKGEIYLAFFNLDTTSRKISTRVSDLEKFVGRKLARKTSCSCTQVFSGKSRSLMKRDISAVVSSHGSMLFEIQC* >BrasyJ068300.2.p pacid=40039630 transcript=BrasyJ068300.2 locus=BrasyJ068300 ID=BrasyJ068300.2.v1.1 annot-version=v1.1 MAPSTRVTQSRHSTLQPPIYTCIAAAADSSQPTYCFQEWVAMGSASPPSLLCFFFLLIWGSCEGKDESMLPPRGWNSYDAFSWTVDEAAFLHNAQIMAEKLLPHGYRYAVIDFLWYRRNVNGSGKDACGFDSIDRWGRPFPDPDRFPSSAGGRGFKDVADKVHGMGLKFGIHLMNGISTQAVNASTPILDIHTGKAYSEDGREWTARDIGLRQRTCAWMTGGFMSVNTELGAGRAFLKSLYRQYADWGVHFVKVDCIFGTDYSPKEIIAVSEILKELDRPVVMSISPGTEVTPALAQNISRHVDMYRITGDDWDSWKDVRPHFDVARSFAAANKIGATGLQGRSWPDLDMLPFGKLTDAGVNQGPHRRTNLTFEEQRTQMLLWSMVKSPLMYGGDLRHLDDNTFNLITHPTLLKINYHTKNNMEFGYILSERSLKPDKSAASPSKSISPVVNPVNNRGMLLGLTTCGDERARGWYSSSQDHVCRSLRMQKDNRNISFCMAKAKPLPTSLGEVTLSNEEDQTKLRLAGFGTDCGCLDASASESKTPMFSSCERHSKQVWDLTEKGELVSNYSGLCATVQSASKEEGTSNGARAWIATGDKGEIYLAFFNLDTTSRKISTRVSDLEKFVGRKLARKTSCSCTQVFSGKSRSLMKRDISAVVSSHGSMLFEIQC* >BrasyJ067800.1.p pacid=40039631 transcript=BrasyJ067800.1 locus=BrasyJ067800 ID=BrasyJ067800.1.v1.1 annot-version=v1.1 MSSILLRVPNSPPVGSSHSSLPSHPRHQAISTRWIQGRRALEAAEERRPSASRKLEVGRRRRRRAAGWSSAGGDVNQARTSRACG* >BrasyJ067600.1.p pacid=40039632 transcript=BrasyJ067600.1 locus=BrasyJ067600 ID=BrasyJ067600.1.v1.1 annot-version=v1.1 MRYLSRRRGAAIHLLFTAKSASAQNARTVFDEMLPLLPSTPCLSPLAHASHVLGGMRSSFFCTAAGPAAATHELSVDQQFTVVPGTEGDGPGSDVSEVAERVCRVVSTQPEPRVASALDALGVNMSPELVAEVLRNLSNAGILALAFFRWAERQQGFRYTAESFHNLIEALGKIKQFRLVWSLVEAMRCRGLLSKDTFRLIVRRYARARKVKEAVETFEKISSFGLKADLSDYNWLIDVLSKSKQVKKAHAIFKEMKRNGRFVPDLKTYTVLMEGWGHEKDLLMLKSVYQEMLDAGIKPDVVAYGTLISSFCKSGKCDEAIKVFREMEANGCMPSPHVYCMLINGLGSEERLDEALKYFELSKASGFPMEVPTCNAVVGAYCRSSKFQHAFKMVDEMRKTGIGPNARTYDIILQYLIKSQKIEEAYNVFQRMGMEGCEPQLNTYTMMVGMFCSNERIDMALKVWKQMKEKGVLPCMHMFSSLINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALIEGGRISLAQDMALKLDTLRKTPFRG* >BrasyJ068000.1.p pacid=40039633 transcript=BrasyJ068000.1 locus=BrasyJ068000 ID=BrasyJ068000.1.v1.1 annot-version=v1.1 MATVPPRTAVRGPPIYVYRVVRVAPHPSRPSFAPSSSTSVALVCRVRRIFQGFRRVSTTSTATSASSTRRPLASYSTSLPPLATAPHGTQPAWRHRRPGRACGDCHRQRRARAVPLRHPLLLPAGEPVAGEDPVGALQRHVRLPRLLAPGRSLHPDPLLRRPLLRALRHRSRPPRGSGPRPFAAAQEGGVRASTGAWMGRMGGGARRGSVGRKENAAISLETGICFGLVALILYLSFLLVLERMWVKD* >BrasyJ067400.1.p pacid=40039634 transcript=BrasyJ067400.1 locus=BrasyJ067400 ID=BrasyJ067400.1.v1.1 annot-version=v1.1 MSNNISSSPSPSWPRYGVVPLTNCPECPRVEPLVRLVCKKSSRGNFGREFVKCESRLQAGKVMHCNFFMWMDSYVEKLQFEGTLQGHDMREEMKNGSTEERKGNGDMLCLEELKKMNLKMDKLVEMKKEEINVAKKIYACIVMMGLILVVKHPLM* >BrasyJ068400.1.p pacid=40039635 transcript=BrasyJ068400.1 locus=BrasyJ068400 ID=BrasyJ068400.1.v1.1 annot-version=v1.1 MELGAPARPPPPWRRHLPCSAALVLFLCLWVTSEADQQLAELPPRGWNSYDSFSWIVDENAYMQNAKILAEKLLPHGYQYAVIDFLWYRRYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSKGDKGFGQIANKVHEMGLKFGIHLMKGISTQAFNANTPILDIHTGKPYVENGREWTARDIGLVHRTCAWMPHGFMSINTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPKEITTVSELLREHDRPIVLSISPGTEVTTALAENISEYVNMYRITGDDWDNWKDVSSHFTVSSTFAAANKIGAMGLRGRSWPDLDMLPFGWLTDPGANQGPHRTCNLTFDEQKSQMTLWSMARSPFMYGGDLRHLDDSTLSIITNPTLLKINHYSKNNMEFHYVYGEKTSTMGDSGHLSSQDLTKHDGMIVGLTSCANDKANGWFVLSQDGKSDHICRNYGTGNSKNTSFCLGKTKPLLASDDVIMDNEEYQEKFHLGVVGINDSCLDASASRRRTASETNLLMFSRCKWHAKQMWELNDRGNLVSSYSRLCATVESSKEGVGVTGLRAWIATGNKGDIYLAFFNLDSTNRKISARISDLEKVLGKAFVRKHSCSCTEVWSGKNLGLITEEISAVVNPHGSVLFEMVC* >BrasyJ068200.1.p pacid=40039636 transcript=BrasyJ068200.1 locus=BrasyJ068200 ID=BrasyJ068200.1.v1.1 annot-version=v1.1 MNPACAEVADSGKSRHKKDKKEKKKRRKDATEHNGGENAMAEEETVHKKKKRRNKDTEEGEDQKRKPTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEIVVFDSCPPVENGSGAEAEEESGAQFLIRILQYLETPQYLRRRLFPMHKNFKFVGLLPPLDAPHHVRRHEWSEFREGVTLGGDRPKGTLVDVGLSKDILVEQILEPGKRVTVAMGTNRDITTACVRKVVPPSSPSDEMGSYWGYKVRYASNLSGVFNGSPYKEGYDHIIGTSEHGETIGSSELTLPSFRHLLIAFGGLAGLEESIEEDINLKGKGAKDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAG* >BrasyJ068200.3.p pacid=40039637 transcript=BrasyJ068200.3 locus=BrasyJ068200 ID=BrasyJ068200.3.v1.1 annot-version=v1.1 MNPACAEVADSGKSRHKKDKKEKKKRRKDATEHNGGENAMAEEETVHKKKKRRNKDTEEGEDQKRKPTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEIVVFDSCPPVENGSGAEAEEESGAQFLIRILQYLETPQYLRRRLFPMHKNFKFVGLLPPLDAPHHVRRHEWSEFREGVTLGGDRPKGTLVDVGLSKDILVEQILEPGKRVTVAMGTNRDITTACVRKVVPPSSPSDEMGSYWGYKVRYASNLSGVFNGSPYKEGYDHIIGTSEHGETIGSSELTLPSFRHLLIAFGGLAGLEESIEEDINLKGKGAKDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAG* >BrasyJ068200.2.p pacid=40039638 transcript=BrasyJ068200.2 locus=BrasyJ068200 ID=BrasyJ068200.2.v1.1 annot-version=v1.1 MNPACAEVADSGKSRHKKDKKEKKKRRKDATEHNGGENAMAEEETVHKKKKRRNKDTEEGEDQKRKPTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEIVVFDSCPPVENGSGAEAEEESGAQFLIRILQYLETPQYLRRRLFPMHKNFKFVGLLPPLDAPHHVRRHEWSEFREGVTLGGDRPKGTLVDVGLSKDILVEQILEPGKRVTVAMGTNRDITTACVRKVVPPSSPSDEMGSYWGYKVRYASNLSGVFNGSPYKEGYDHIIGTSEHGETIGSSELTLPSFRHLLIAFGGLAGLEESIEEDINLKGKGAKDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAG* >BrasyJ068100.1.p pacid=40039639 transcript=BrasyJ068100.1 locus=BrasyJ068100 ID=BrasyJ068100.1.v1.1 annot-version=v1.1 MEPPPPPTDVPLWLSPLPADIIVEILCRIGDAVAVVRCAATCKAWRSLILDPSFLSHLHRRRVGRFDPSPLLGFFFRDTSQKLSRRRLYLRRPTRFLLLGPPQSQPPALLHLSHFLPSAACLNWFAPVASGAGGLLALRRSPANSDDDVRICVCDPVAGTSTLLPPLPPPILFPDNIVFLEADGSSFRLLAVMDSQLGLHLRVFSSQTGEWGRTVTAQLPYNMVVLFNSPAVVYRGAVHWICGTRALPNAVHALAVRPGQTEASVCRIDLPLRAGIHRLKLAASAVRLANSSQGCLSLILLDEPVISIWNFEENGAGGKSWVLHKTVYLMSVLPSAIFDPSVEGRLSVQALCEKSGSLFLHAAGEGLFVLNLHTEMITKVCKDHRIKYLCPYVADLSSCLATMKKF* >BrasyJ067500.1.p pacid=40039640 transcript=BrasyJ067500.1 locus=BrasyJ067500 ID=BrasyJ067500.1.v1.1 annot-version=v1.1 MEANGSPESRQESHLNFDHESLKEPRDPEKRKGGWVTFPFLAVAILGLGLATGGALSNMVVYLIKEYNVPSVDAAQISTIISGSISVAPVAGAIVADALFGCYPIVSIAMALSVLSLIMFTLTASIPSLRPAVCQFGAGQPCDQASTGQMAALYGAVCLLCLSAAGARFNQATMGANQFDASADRDVFFNWYFIMLYASSVLGATVIVYIQDTVSWSLGFGVSCATSVVGLGALLLGARYYRQSAAQGSPFTGLARVVVAATRKWKVNLVASEELKFYYGIRSTSSSEGDGKVISEGNLAPTDSFSFLNRAAAITDGDVDGADGRAVRPWRICTVQQVEDFKTVLRILPLWSSAVVLSISIGVQINFTVLQALVMDRAVGRFTVPAGSMFVGSLISVVIFLGLLDRILLPLWKRLTGGHTPTPLQFVGVGHALTVASMAASAFIERSRTATVRAHGEEGNPAWVSPLSAMWLLLPFAVAGAGEALHFPAQVTLYYQEFPPSLKNTATGMMAMIVALGFYLSTAVINIVQRATTWLPDNMNTSRLENLYWLLTALVAVNFGYYLVCAKLYRYQNIGK* >BrasyJ067900.1.p pacid=40039641 transcript=BrasyJ067900.1 locus=BrasyJ067900 ID=BrasyJ067900.1.v1.1 annot-version=v1.1 MTYCHQWIQGHQPCQFFSAWTRMTALQGEPDQAILLHEILVPFESFLRLHINFSKSTFVAVDFSEAD* >BrasyJ067900.2.p pacid=40039642 transcript=BrasyJ067900.2 locus=BrasyJ067900 ID=BrasyJ067900.2.v1.1 annot-version=v1.1 MTYCHQWIQGHQPCQFFSAWTRMTALQGEPDQAILLHEILVPFESFLRLHINFSKSTFVAVDFSEAD* >BrasyJ067700.1.p pacid=40039643 transcript=BrasyJ067700.1 locus=BrasyJ067700 ID=BrasyJ067700.1.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGLQSSLWLQFKPHHIAAGAAFLAGKFLRYDITLHPNFWHEFKTTPYIVQGNHLVLLGKSHYPRNLQLSPELFRKSVFAFSSCEQVFV* >BrasyJ067700.7.p pacid=40039644 transcript=BrasyJ067700.7 locus=BrasyJ067700 ID=BrasyJ067700.7.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGLQSSLWLQFKPHHIAAGAAFLAGKFLRYDITLHPNFWHEFKTTPYIVQDVVRQLKGATLGY* >BrasyJ067700.5.p pacid=40039645 transcript=BrasyJ067700.5 locus=BrasyJ067700 ID=BrasyJ067700.5.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGQGILYNIRTHVDSSNLKLPCYCLDFGFSESWAMLSTLNAAF* >BrasyJ067700.4.p pacid=40039646 transcript=BrasyJ067700.4 locus=BrasyJ067700 ID=BrasyJ067700.4.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGCTYQTFNGGYARHAMLSGPIVSKTGMKIHLRFIVN* >BrasyJ067700.8.p pacid=40039647 transcript=BrasyJ067700.8 locus=BrasyJ067700 ID=BrasyJ067700.8.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGDGSVSSQLPFGFTKGSTSRTHWCIYVIYGP* >BrasyJ067700.9.p pacid=40039648 transcript=BrasyJ067700.9 locus=BrasyJ067700 ID=BrasyJ067700.9.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGDGSVSSQLPFGFTKGSTSRTHWCIYVIYGP* >BrasyJ067700.10.p pacid=40039649 transcript=BrasyJ067700.10 locus=BrasyJ067700 ID=BrasyJ067700.10.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGFPYACSFLFRS* >BrasyJ067700.2.p pacid=40039650 transcript=BrasyJ067700.2 locus=BrasyJ067700 ID=BrasyJ067700.2.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGFPYACSFLFRS* >BrasyJ067700.3.p pacid=40039651 transcript=BrasyJ067700.3 locus=BrasyJ067700 ID=BrasyJ067700.3.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDGS* >BrasyJ067700.6.p pacid=40039652 transcript=BrasyJ067700.6 locus=BrasyJ067700 ID=BrasyJ067700.6.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGPNWFEQYRENITQAEQMILTTLDFELEVTHPYASLSSALSKLGLAQSVLFNVAWNLINDG* >BrasyJ067700.11.p pacid=40039653 transcript=BrasyJ067700.11 locus=BrasyJ067700 ID=BrasyJ067700.11.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFLVATAALFLAAKSEETTCLLNTVIRASCEVSGSREFNLFPYFMRGVSRPNSYFLTVPCLFWCYNDFSSYSWN* >BrasyJ067700.12.p pacid=40039654 transcript=BrasyJ067700.12 locus=BrasyJ067700 ID=BrasyJ067700.12.v1.1 annot-version=v1.1 MSFAPGPITHHSHTYHHDPPCHHPRRRRRDHDDHYHPYLQPLTRSHPYHSAAAPPYHVPRDPLALSHLQERLFPPPPPHQPASGPPPPKRARRAPEPRYDPPSAATPPVVPAKQPEDIDTSGLLSQKEIERRSSSRKDGIDSDLEARLRASYCAYLRCLGFRLDLPQTTIATAVVYCHRFFFRRSHACHDRFVSYLLLFFYKSFPVMQMQSMRLRTRFIDGQMSIIIIWMFIYHQQGLLCCKRSSLLPIATLLCSNAY* >BrasyJ020700.1.p pacid=40039655 transcript=BrasyJ020700.1 locus=BrasyJ020700 ID=BrasyJ020700.1.v1.1 annot-version=v1.1 MKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCALIWGACNHAFHLHCILKVAVQGLRVPQDMSAPSVFASPCNKN* >BrasyJ020600.1.p pacid=40039656 transcript=BrasyJ020600.1 locus=BrasyJ020600 ID=BrasyJ020600.1.v1.1 annot-version=v1.1 MRTSQALLSLVVLVLLSSETCNIVAVASVEEGLSSSTDDYSQYTCTKFFATRKQCYNGACDKFCSQRLSGYGKCFATGCQCSYYCQTPPSK* >Brasy3G132500.1.p pacid=40039657 transcript=Brasy3G132500.1 locus=Brasy3G132500 ID=Brasy3G132500.1.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.14.p pacid=40039658 transcript=Brasy3G132500.14 locus=Brasy3G132500 ID=Brasy3G132500.14.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.2.p pacid=40039659 transcript=Brasy3G132500.2 locus=Brasy3G132500 ID=Brasy3G132500.2.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.10.p pacid=40039660 transcript=Brasy3G132500.10 locus=Brasy3G132500 ID=Brasy3G132500.10.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.16.p pacid=40039661 transcript=Brasy3G132500.16 locus=Brasy3G132500 ID=Brasy3G132500.16.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.4.p pacid=40039662 transcript=Brasy3G132500.4 locus=Brasy3G132500 ID=Brasy3G132500.4.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.5.p pacid=40039663 transcript=Brasy3G132500.5 locus=Brasy3G132500 ID=Brasy3G132500.5.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.15.p pacid=40039664 transcript=Brasy3G132500.15 locus=Brasy3G132500 ID=Brasy3G132500.15.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.3.p pacid=40039665 transcript=Brasy3G132500.3 locus=Brasy3G132500 ID=Brasy3G132500.3.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.11.p pacid=40039666 transcript=Brasy3G132500.11 locus=Brasy3G132500 ID=Brasy3G132500.11.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.12.p pacid=40039667 transcript=Brasy3G132500.12 locus=Brasy3G132500 ID=Brasy3G132500.12.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.13.p pacid=40039668 transcript=Brasy3G132500.13 locus=Brasy3G132500 ID=Brasy3G132500.13.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPVKFIDTWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQATAINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.6.p pacid=40039669 transcript=Brasy3G132500.6 locus=Brasy3G132500 ID=Brasy3G132500.6.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.17.p pacid=40039670 transcript=Brasy3G132500.17 locus=Brasy3G132500 ID=Brasy3G132500.17.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.7.p pacid=40039671 transcript=Brasy3G132500.7 locus=Brasy3G132500 ID=Brasy3G132500.7.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.8.p pacid=40039672 transcript=Brasy3G132500.8 locus=Brasy3G132500 ID=Brasy3G132500.8.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.18.p pacid=40039673 transcript=Brasy3G132500.18 locus=Brasy3G132500 ID=Brasy3G132500.18.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G132500.9.p pacid=40039674 transcript=Brasy3G132500.9 locus=Brasy3G132500 ID=Brasy3G132500.9.v1.1 annot-version=v1.1 MLKTQQLQMIREEATPQGSFGGVSQEPRASVTSKMDGSKFFDTNPRSTSIPHQNGILESGGEPLMRERMKHKYLDSGQPSGAFKRSSGEPTAVENGGPSQFSTPSSRSLSPTRYRKEGEYDCRINLAGQGLLPVSEMNSNISWKQDLIVKTKEQEEEIAQLRNHLANYSVKEAQILKDKYTLDKRIAYMRMAFDHQQRDLVDAASKALSYRQDIMEENVRLTYALQAAQQERSTFISSLLPLLSEYENLRPSTVDAHSIVSNLKVLFRHLQEQLIITEEKVKVSQYQITPWQTELPNRTSLPVQSPNHPLGKQLNKSSLDIVPQTSYPHVQSPMSSPVQARGDWSVLGNKNREVIPSEVPARNTDHDYRGRPSLSSSQFRKDVSAQASQHDSQAVQFDFETQSQNPPFNGPTRSDVFDGSVGAEAQHARELAAQWGPGDSPNLAPGFEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSIDGTTSCNFEWVRHLEDGSVKFIEGARQPTYLVTADDVDTLLAIEVQPLDDRKRKGDIVKVYANEQAKITCDPETKELIKKTLEVGNVSYQVKLPINIPPGYERATEFLIVSADGLDYTLKPAENMPPRDTIVLVLRLFRTMAVEKRRGRKKGLFFK* >Brasy3G261300.1.p pacid=40039675 transcript=Brasy3G261300.1 locus=Brasy3G261300 ID=Brasy3G261300.1.v1.1 annot-version=v1.1 MEGQSREEYIGSLRRKSTGFSRGVSKYRGVARHHHNGKWEARIGRVYGNKYLYLGTYATQEEAAMAYDIAAIEHRGLNAVTNFDVSRYINWHRRLCRDQQPLGDIIIAPTNPTIDLEEAMANDDDDQFLLPSQVTTPPSTSSALGLLLRSPRLKEVIEGSGAASAMAASTSESSAAGSPPSWSSSSCSPSPPSPSPPLATQEAQTQQEYGASAAARCSFPDDVQTYFGCEDGCAEVDTFLFGDLSAYAAPMFQFELDA* >Brasy3G264000.1.p pacid=40039676 transcript=Brasy3G264000.1 locus=Brasy3G264000 ID=Brasy3G264000.1.v1.1 annot-version=v1.1 MAASPSAPPSLLLRLRAPPCRSSGRSLCILAKRLQPFPSGIAGRRRVAATVVAKLAPRRQGTGRFPSTGGFACRCSYDAGNEPPAPPSPPPPPPPGESLDEWPVLRRWDVPWEWPTISLTMVACAVSSLLIGMVEKSGLEYLGYQAGEATIDEKAGVLFLGQFSVTAVVLGVIFGITNTFRPFSDDIFRYDFKEPFKLSNGWLLWAGIGLFFAVIFIALTGAAMTFLNGEAAQRETDSLVLLLPLIGSSNISTAYLLGITGVLAPILEETVFRGFLMVSLNMWFSTPVSVLITAAVFAFAHLTPGEFPQLFVLGVALGFSYAQSRNLLTPITMHAIWNSGVIVLLTFLQLQGYNIKELLQAS* >Brasy3G340600.1.p pacid=40039677 transcript=Brasy3G340600.1 locus=Brasy3G340600 ID=Brasy3G340600.1.v1.1 annot-version=v1.1 MANGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSATGDLPPVLALDLRSPAGPGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVALSLTRADSVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVISSTPKKSVVQLVPGTEVAVSPKKRKEKYKDVQKQSSLNEQVKTKALLRVQAADQKYAHKFKYKGIELGVVLSCAVLIHPDTAARTSLGNLQLVTISSKSSPKGIQKGKEGAQKKGVLVPKERDREVPVYVLFSDTVAKGHVMLPPSLRHFISADTHSWVHVKTCSANVKKDEPVITISPLHFNKHGKDEHDSSDLGSQEMDTWRKTRIHSENGDSFQDARNREDILSAAVNSTSESMSEQKVLIKHWLIGQLKEMGLHAETSEMSSVVLPAKVLIHFEVVDQKENRGVEFLYLLTIAFENSGYNNSQENVEISWNARTDDLENLELNLGKVELGEAISVDSIMDDGFNNAFKLTQCSLGWMETAISDVTKRLSVLLSSTALSLFKTLKFPFPGHVLVHGPRGSGKTALIRTAAKYFEDHKEILAHVVYMDCSKLALGKAKEARQTIEDSISEALLHSPSVIIFDDLDNVISVSSDPHVSQSSSSSDSLVRYFADIMDEYKDKTQNSCGYGPIALMASVQSLQSLPQELTSSGRFDFHIELRALAIPEREALLKHHVEVHELHCSEEVLSEVASKCDGYDAYDLEILVDRAVHAAASRFVLPSAYLNSMKPTLMKEDFLRALHDFLPVAMRDLSKYAPDGNDGGWEDVGGLNEAVTIIKETLELPSKYPNVFAKAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAEDAILEDVASLTEGFTGADLAAILTDAGLAAVHEVLDSSRETGIPEREPCISKELLMSVAMKARPSTPADDKRGYDKEFGEFVSSRKSISTKARESKGKKVTLA* >Brasy3G340600.3.p pacid=40039678 transcript=Brasy3G340600.3 locus=Brasy3G340600 ID=Brasy3G340600.3.v1.1 annot-version=v1.1 MANGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSATGDLPPVLALDLRSPAGPGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVALSLTRADSVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVISSTPKKSVVQLVPGTEVAVSPKKRKEKYKDVQKQSSLNEQVKTKALLRVQAADQKYAHKFKYKGIELGVVLSCAVLIHPDTAARTSLGNLQLVTISSKSSPKGIQKGKEGAQKKGVLVPKERDREVPVYVLFSDTVAKGHVMLPPSLRHFISADTHSWVHVKTCSANVKKDEPVITISPLHFNKHGKDEHDSSDLGSQEMDTWRKTRIHSENGDSFQDARNREDILSAAVNSTSESMSEQKVLIKHWLIGQLKEMGLHAETSEMSSVVLPAKVLIHFEVVDQKENRGVEFLYLLTIAFENSGYNNSQENVEISWNARTDDLENLELNLGKVELGEAISVDSIMDDGFNNAFKLTQCSLGWMETAISDVTKRLSVLLSSTALSLFKTLKFPFPGHVLVHGPRGSGKTALIRTAAKYFEDHKEILAHVVYMDCSKLALGKAKEARQTIEDSISEALLHSPSVIIFDDLDNVISVSSDPHVSQSSSSSDSLVRYFADIMDEYKDKTQNSCGYGPIALMASVQSLQSLPQELTSSGRFDFHIELRALAIPEREALLKHHVEVHELHCSEEVLSEVASKCDGYDAYDLEILVDRAVHAAASRFVLPSAYLNSMKPTLMKEDFLRALHDFLPVAMRDLSKYAPDGNDGGWEDVGGLNEAVTIIKEAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAEDAILEDVASLTEGFTGADLAAILTDAGLAAVHEVLDSSRETGIPEREPCISKELLMSVAMKARPSTPADDKRGYDKEFGEFVSSRKSISTKARESKGKKVTLA* >Brasy3G340600.4.p pacid=40039679 transcript=Brasy3G340600.4 locus=Brasy3G340600 ID=Brasy3G340600.4.v1.1 annot-version=v1.1 MANGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSATGDLPPVLALDLRSPAGPGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVALSLTRADSVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVISSTPKKSVVQLVPGTEVAVSPKKRKEKYKDVQKQSSLNEQVKTKALLRVQAADQKYAHKFKYKGIELGVVLSCAVLIHPDTAARTSLGNLQLVTISSKSSPKGIQKGKEGAQKKGVLVPKERDREVPVYVLFSDTVAKGHVMLPPSLRHFISADTHSWVHVKTCSANVKKDEPVITISPLHFNKHGKDEHDSSDLGSQEMDTWRKTRIHSENGDSFQDARNREDILSAAVNSTSESMSEQKVLIKHWLIGQLKEMGLHAETSEMSSVVLPAKVLIHFEVVDQKENRGVEFLYLLTIAFENSGYNNSQENVEISWNARTDDLENLELNLGKVELGWMETAISDVTKRLSVLLSSTALSLFKTLKFPFPGHVLVHGPRGSGKTALIRTAAKYFEDHKEILAHVVYMDCSKLALGKAKEARQTIEDSISEALLHSPSVIIFDDLDNVISVSSDPHVSQSSSSSDSLVRYFADIMDEYKDKTQNSCGYGPIALMASVQSLQSLPQELTSSGRFDFHIELRALAIPEREALLKHHVEVHELHCSEEVLSEVASKCDGYDAYDLEILVDRAVHAAASRFVLPSAYLNSMKPTLMKEDFLRALHDFLPVAMRDLSKYAPDGNDGGWEDVGGLNEAVTIIKETLELPSKYPNVFAKAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAEDAILEDVASLTEGFTGADLAAILTDAGLAAVHEVLDSSRETGIPEREPCISKELLMSVAMKARPSTPADDKRGYDKEFGEFVSSRKSISTKARESKGKKVTLA* >Brasy3G340600.2.p pacid=40039680 transcript=Brasy3G340600.2 locus=Brasy3G340600 ID=Brasy3G340600.2.v1.1 annot-version=v1.1 MANGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSATGDLPPVLALDLRSPAGPGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVALSLTRADSVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVISSTPKKSVVQLVPGTEVAVSPKKRKEKYKDVQKQSSLNEQVKTKALLRVQAADQKYAHKFKYKGIELGVVLSCAVLIHPDTAARTSLGNLQLVTISSKSSPKGIQKGKEGAQKKGVLVPKERDREVPVYVLFSDTVAKGHVMLPPSLRHFISADTHSWVHVKTCSANVKKDEPVITISPLHFNKHGKDEHDSSDLGSQEMDTWRKTRIHSENGDSFQDARNREDILSAAVNSTSESMSEQKVLIKHWLIGQLKEMGLHAETSEMSSVVLPAKVLIHFEVVDQKENRGVEFLYLLTIAFENSGYNNSQENVEISWNARTDDLENLELNLGKVELGWMETAISDVTKRLSVLLSSTALSLFKTLKFPFPGHVLVHGPRGSGKTALIRTAAKYFEDHKEILAHVVYMDCSKLALGKAKEARQTIEDSISEALLHSPSVIIFDDLDNVISVSSDPHVSQSSSSSDSLVRYFADIMDEYKDKTQNSCGYGPIALMASVQSLQSLPQELTSSGRFDFHIELRALAIPEREALLKHHVEVHELHCSEEVLSEVASKCDGYDAYDLEILVDRAVHAAASRFVLPSAYLNSMKPTLMKEDFLRALHDFLPVAMRDLSKYAPDGNDGGWEDVGGLNEAVTIIKEAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLAEDAILEDVASLTEGFTGADLAAILTDAGLAAVHEVLDSSRETGIPEREPCISKELLMSVAMKARPSTPADDKRGYDKEFGEFVSSRKSISTKARESKGKKVTLA* >Brasy3G267400.1.p pacid=40039681 transcript=Brasy3G267400.1 locus=Brasy3G267400 ID=Brasy3G267400.1.v1.1 annot-version=v1.1 MGSLPEHGAADAETQTTISFRSTLPDIAIPDHLPLHDYVFERLASRRDRACLIDGATGDTLTLGDVHALSCRVAAGLRRSPLGVRHGSVVMLLLPNSVEFALAFLAASRLGAVTTTANPLHTPPEIAKQVSASGATVIFTEPAFVSKVQNLTGVTVVATGVGAEGCTSFADLAAATEDASSLPETPIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVSQLVDGDNPNLHIREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFETVRMFELVERHGITIAPLVPPIVVEMAKSDAVGRYDLSSVRMVISGAAPMGKELQDIVHAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIVDPETGLCLGRNQPGEICIRGRQIMKGYLNNPDATAETVDKDGWLHTGDVGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPSIADAAVVPMKDDASGEIPVAFVVPSDGAGITEDEIKQYVAKQVVFYKRLQKVFFATSIPKAPSGKILRKDLRAKLAAGSC* >Brasy3G292200.1.p pacid=40039682 transcript=Brasy3G292200.1 locus=Brasy3G292200 ID=Brasy3G292200.1.v1.1 annot-version=v1.1 MARAMFIVALLLAAVAVAPFAQARNVVTEKKGDSESEAPAPAADAPAEGPEGPASSPEPSAADAPEPSASD* >Brasy3G102200.1.p pacid=40039683 transcript=Brasy3G102200.1 locus=Brasy3G102200 ID=Brasy3G102200.1.v1.1 annot-version=v1.1 MALKAAVSVPAAVTPLPPLRRRLLFPSSSRTVSARVLRASATMATALQPAVVVGGGRVGQALLGMGPLGGDVLVGRGEKVPDGAPAGPILVCTRNDDLDGVLEATPKSRWRDLVFFQNGMLDPWFESKGLVGANQVLAYFAVSKVGEPPIDGITDTNPEGLTAAFGIWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKEYRSEVSSLIAELASAAAAEQGLTFDEGIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLTEKAVAQGKTDPCPLHTAWLKEIKVV* >Brasy3G300900.1.p pacid=40039684 transcript=Brasy3G300900.1 locus=Brasy3G300900 ID=Brasy3G300900.1.v1.1 annot-version=v1.1 MSLFVFYMGRPSRYSPPTSPQLPHGLHAQPLVEAQGSGGHGHHSHGRRRGGNRHGHHSRRRREQELAMAPRPSTSPSAGSDLPLGHHIALATTAIPAFPNIPACASAASKWAR* >Brasy3G311800.1.p pacid=40039685 transcript=Brasy3G311800.1 locus=Brasy3G311800 ID=Brasy3G311800.1.v1.1 annot-version=v1.1 MGVEAASPRRGKKLALASIGFAEVRVGGGGGGLKDDGLLRAGLPKDAEYLFGDMAVGMPDVGGAVRTFLRRREVGEFISGALAGAMTKAVLAPLETIRTRMIVGVGSKYIFGSFVEIMEHNGWQGLWAGNAINMIRIVPTQAIELGTFECVKRSMTSAQERWKEDGGPKIQLGGLTIELPLHLLSPVAIGGAAAGIVSTLVCHPLEVLKDRMTISREAYPSIALAFNKIYRTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRTHRKKSLSRPELLIIGALSGLTASSISFPLEVARKRLMVGALQGKCPPHMIAALAEVIREEGLRGLYRGWAASSLKVMPTSGMTWVFYEAWKDILLPPHLHI* >Brasy3G311800.2.p pacid=40039686 transcript=Brasy3G311800.2 locus=Brasy3G311800 ID=Brasy3G311800.2.v1.1 annot-version=v1.1 MTKAVLAPLETIRTRMIVGVGSKYIFGSFVEIMEHNGWQGLWAGNAINMIRIVPTQAIELGTFECVKRSMTSAQERWKEDGGPKIQLGGLTIELPLHLLSPVAIGGAAAGIVSTLVCHPLEVLKDRMTISREAYPSIALAFNKIYRTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRTHRKKSLSRPELLIIGALSGLTASSISFPLEVARKRLMVGALQGKCPPHMIAALAEVIREEGLRGLYRGWAASSLKVMPTSGMTWVFYEAWKDILLPPHLHI* >Brasy3G328200.1.p pacid=40039687 transcript=Brasy3G328200.1 locus=Brasy3G328200 ID=Brasy3G328200.1.v1.1 annot-version=v1.1 MSGADYDAAAAKAEFYATRGGVRGIVESGSGSGVVTAVPPFFLALDSPTAAATMTVPTVDLSLPRPAAMELVGAAARSGGLFYVTNHGVAVDSALSAVKAFHELPLAVRSPIYSLAPVAGVLYTTMQPTAPASVIPWRDTLHLSYVGPHGAPPDLGRLPSECGDALMEYRRAVSELGKKQLSGLLSEALSLGVGAALVEASTMACHYYPSCPEPARVVGSLDHTDPSTFTVLAQDHVGGLMVRLDGNEAWLDVPPLPGALLVNVGDLLKVVTNGAYKSIEHRVRIKSAEDARVSIAIFFNPAGEAEGGDTHLIGPLPELVTQDMPRRYRSFTMAEFMDSRREFGHGKSSIDGFAGLPESGT* >Brasy3G127000.1.p pacid=40039688 transcript=Brasy3G127000.1 locus=Brasy3G127000 ID=Brasy3G127000.1.v1.1 annot-version=v1.1 MAPRQPMLPSFLYAPSSSGGVGFGSAQEAAVVAGAPSEPPFGKIEMFSPAYYAACGFGGAAACGLTHAAVTPLDVIKCNIQIDPAKYKSTSSAFSVVLKEQGVRGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYTDMAGPEYAAKYKTLIYLAGSATAEVVADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRAEGYAGLFRGMVPLWGRQIPYTMMKFATYENIVEMAYKHVIPTPKDQCSKPLQLGVSFGSGYIAGVFCAAISHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMAGLPTTGGAPAPPAVPN* >Brasy3G349600.1.p pacid=40039689 transcript=Brasy3G349600.1 locus=Brasy3G349600 ID=Brasy3G349600.1.v1.1 annot-version=v1.1 MITYEQDDDVLRWSFNPFHDPYANSAVYCGQNVQHDAGFYNGCYAREDLPYHQNADEEHLRLQMCPNGWYDPPRNYYPGYGQVQEEVDDTEPSSSCSSPGLNHHGEDLTLELVDDSFEIDGEVGKRLNRMVPIPHVPRINGEIPSIDEATTDHQRLIDRLRLYDLVELKVLGDGNCQFRALSDQFYRSPEHHKFVRQQVITQLESQRDIYEGYVPMEYSDYIEKVSQDGEWGDHVTLQAAADTYGVKIFVITSFKDTCYIEILPNTQKSNRVIFLSFWAEVHYNSIYPEGDLPTSETKKKKRWWRFRSKN* >Brasy3G349600.2.p pacid=40039690 transcript=Brasy3G349600.2 locus=Brasy3G349600 ID=Brasy3G349600.2.v1.1 annot-version=v1.1 MITYEQDDDVLRWSFNPFHDPYANSAVYCGQNVQHDAGFYNGCYAREDLPYHQNADEEHLRLQMCPNGWYDPPRNYYPGYGQVQEEVDDTEPSSSCSSPGLNHHGEDLTLELVDDSFEIDGEVGKRLNRMVPIPHVPRINGEIPSIDEATTDHQRLIDRLRLYDLVELKVLGDGNCQFRALSDQFYRSPEHHKFVRQQVITQLESQRDIYEGYVPMEYSDYIEKVSQDGEWGDHVTLQAAADTYGVKIFVITSFKDTCYIEILPNTQKSNRVIFLSFWAEVHYNSIYPEGDLPTSETKKKKRWWRFRSKN* >Brasy3G139800.1.p pacid=40039691 transcript=Brasy3G139800.1 locus=Brasy3G139800 ID=Brasy3G139800.1.v1.1 annot-version=v1.1 MASSLETTASRVFWTPLGDALSSKVFELVGSLFRLEGYALECLFCEIQHVKGELESIQAFLRVAESFRDTDETTAAFVRQIRILCFHIEDVIDEFTYELGKDGGGVLLLKALRRMRQIKIWHRLAARLRDIKDDPVGIKEERDFLMKWVKDEEPRNSIATVWGMGGIGKTTLANHVYSVVKDGFHTFAWITVAQCSEADDLLRKIVQEFRKNDRTKEFPKDVDLTDFRSLVKAIRLCFVGLKNEAFQASQKLPVGSLHSNFKCVCRVVLCSRNLSVVRQCVKVYLEDKRYILVLDDVWDMNVWFDIKDAFLHGNGRIMFTSRIYQVSLEDLPHSIRSCFLYCSMFPEKYVMQRKSLVRLWVAEGFAEESEHKTLEEVAEDYLTELIHRCLLLVVKRNDSGCVYEVQMHDILRVLARSKAREENFCRVFNPLRTYAIRESRRVSTEAGDIQLLTQNALHLRSLLVFQSSFSFVSLRSLLMTNKLLSVLNLQDSSIEKLPAEVFDLFNLRLKNLLVLDAWKCKIMKLPPQITKLHKLTHLIVTSKPGLPSLQFVPSVGVPAPFGICSLTSLQTLLQVKASAEMIRVMGALVELRTLRISKVQGRHCEDLFRAIGSMVHLTRLGIQADDNQEMLWLGKFEPPPLLEKLFLLGALSKESSPDFFSSLSKLKNLKFLRLVGSRLDKDTFSCLKGLEHLVKLQLYDAYAGDLMYFPAASFPKLRLLLCPQLKMLPHGIEYVSTLEELTLDTAQELIDRVRQKKESKISHVQRVIVELQNCD* >Brasy3G121900.1.p pacid=40039692 transcript=Brasy3G121900.1 locus=Brasy3G121900 ID=Brasy3G121900.1.v1.1 annot-version=v1.1 MRPDISIISFAVFKQLLARFATSVNIESLMRDCMIFTRFLLHGFVLNWSRWRYVSPPPSSPARPPPSKAANSTGQDPRRGRAAALLPCARLHGDEKVAAVSRSPALVASSSMHEPSPLRCQSGLQPSRPRCLHPPCSFLNPPGCLLAGGLGRRQPMSGGDHPTSPQTGDAHLKGGCAPQRLWQPPKREPGARSEGGLAQTRAGGSEGDGAQTRAARMGCFFVCERILPDLGGRSSRGALHWRDVRTSCRSTSPSINPWRHQWVTKLRDRDLKPASAKLDNPVAYLGK* >Brasy3G162400.1.p pacid=40039693 transcript=Brasy3G162400.1 locus=Brasy3G162400 ID=Brasy3G162400.1.v1.1 annot-version=v1.1 MARVILVMDVHCHGCSKKIRKALKKVPGVEKVTASVDSGEVVVWGSADPVTLRARLLVKMKKTVTIVPEAAAEEAPAPPAPRSSDPPAAAPSSSDPTPAAPSIPLTPGPPNRPPPPLRTRPSDPPPQYYQPPPAPEYYRQPPPPQYYQQPPSDHPQYETPSLPQYYDSYSTPEGGSYGYHNYQPHPAGPHHVWNDAPAMFSDENPNACSIQ* >Brasy3G294600.1.p pacid=40039694 transcript=Brasy3G294600.1 locus=Brasy3G294600 ID=Brasy3G294600.1.v1.1 annot-version=v1.1 MSSPSPAAAPSPNSYSLDRPRRHRARRPNRQGHHGLPSSAPTALLASLLPELPIAPPPPWRIRPSRRRVASPSRPLQPPSLQTQIRRATSNAYPKAGEGRSHG* >Brasy3G260300.1.p pacid=40039695 transcript=Brasy3G260300.1 locus=Brasy3G260300 ID=Brasy3G260300.1.v1.1 annot-version=v1.1 MSSPSAQQQQHEAAARTRLRWTRPLHERFVLAVSELGGADRATPKSVLRAMGVQGLTLYHLKSHLQKYRLAVSRDLGGNAGGGSLNVDRQSSSESQSNEYHDDDDTTAELRDSSRSMAQMQREVQRKLHEQIEVQRTLQLRMEAQGRYLQSVLRRAQQVLADHSSPEAAKAELSELVSAVDTECLSSSSSPPRQQHRSAYSSCVSSSSSSEAESKSAGSKRRLYAAGSTRRHQGGCCTVEQPAQGKRTFPFLQQMHDAEQQAAAAAAAEPEEETEDGSSSEFDLNM* >Brasy3G198800.1.p pacid=40039696 transcript=Brasy3G198800.1 locus=Brasy3G198800 ID=Brasy3G198800.1.v1.1 annot-version=v1.1 MAARRNVPYSVLPTEDRDEDNVDRRFTYTPKSLRKIPWKSIVLALFLLFLGSSLLFLSYFISTGHMEGDSSQMYGLLFLGILAFLPGFYETRVAYYSWRGAPGYTFASIPDY* >Brasy3G231200.1.p pacid=40039697 transcript=Brasy3G231200.1 locus=Brasy3G231200 ID=Brasy3G231200.1.v1.1 annot-version=v1.1 MYSLIIARSRRCCSWRIRRRLHLPCEPLDGGTGESSLQIRRRHRSSLQIRRSRRSSLHLRRHVPPLRIARRTQFLCKHLLSADPSSRLLLPAPPLQRDAPACASVANPPPPMRVFSPASQPPPRLVHLAPIPTLPLPSPPPTPTLLAQIPSLRHRSTFLWLLLIDLCCVS* >Brasy3G104000.1.p pacid=40039698 transcript=Brasy3G104000.1 locus=Brasy3G104000 ID=Brasy3G104000.1.v1.1 annot-version=v1.1 MKASTFALFFFLLLTFAFQGTWCAAERSTMVDAARRHLRPHLQVQGFDGVKGKKLLEIQSPRKLGAGHMGGGGPGGGVGGGGGGGRNTGGGAANTRPHSTKNAGAVALPAPVTSILALVLSSAILLSAVI* >Brasy3G112600.1.p pacid=40039699 transcript=Brasy3G112600.1 locus=Brasy3G112600 ID=Brasy3G112600.1.v1.1 annot-version=v1.1 MAMEYNERRGGGGCFSCCFGGGKAGDGGAGERAARALRASSRWMRDRAGELPELVARVRLPRRRQQQEGEFRYDPISYALNFEEDGAGEGGEEEEPFKYQAFSSRLPASPSPMPLRDASAALGRAS* >Brasy3G037500.1.p pacid=40039700 transcript=Brasy3G037500.1 locus=Brasy3G037500 ID=Brasy3G037500.1.v1.1 annot-version=v1.1 RFQAQEPPELAKPSSEPKPYLLQGIHGEGGAQAAGAGEELGARGRSSGRGGLPVDLVVDALGHSKGLPRRQ* >Brasy3G246700.1.p pacid=40039701 transcript=Brasy3G246700.1 locus=Brasy3G246700 ID=Brasy3G246700.1.v1.1 annot-version=v1.1 LLVYGPPTSSALSAAQHEFRIHLCIYSGRKILVILQTCCCSVLSCYLKLHAAHLHALEYYCLTTTRSSVVGGFAATKHIPKSRTASCMNYCVVELESTNS* >Brasy3G023800.1.p pacid=40039702 transcript=Brasy3G023800.1 locus=Brasy3G023800 ID=Brasy3G023800.1.v1.1 annot-version=v1.1 MAPVKVFGSAGFTNVARVLVCLEEVGVEYEVVDIDFLVKEQKKPEHLARNPFGEIPAFQDGDLMLFGKSKFPAVKNPEEAATVDVWTEVEANQNNKALAPIVYECIIYPTAYGIPTNQKVVDESMEKLKKVLEVYEARLSQHEYLAGNFISFADLNHFALTCCFMDTPYASLFDSFPCVKAWWENLMSRPSTRKLSANLPKMV* >Brasy3G272800.1.p pacid=40039703 transcript=Brasy3G272800.1 locus=Brasy3G272800 ID=Brasy3G272800.1.v1.1 annot-version=v1.1 MASRVLLSSSSRIIAGQLAAASNNVLGGGRGYAAAAAAAAAVVARQLEPSSAAGAASRLAEDATAASKKDGCFWMRNPKTGYWMPENHFGDVDAADLRARLLFSNKH* >Brasy3G123600.1.p pacid=40039704 transcript=Brasy3G123600.1 locus=Brasy3G123600 ID=Brasy3G123600.1.v1.1 annot-version=v1.1 MVRYRLSRPPPWATRGRHQPPAAATLRPSLFPRRRRRWSPEIARPSRMVAVGLLLLHLPSPASPATGSWRKAPDRPVPSLDPASAGMDPARELLLRPPAVPIAVFPWPSCSAPNLRRSPAPRRCCWPTPSRRLPAAPDPPRLRLVGHSMAPVSGPYTAPPRCGFAGRSKWRSTAPCFDACTASNAWIRLPVAYPGRSAATHLCCHGPGSMSPPPPTSVAPRRFVPATSQAAPSPRPAPPIPRPDRGGS* >Brasy3G344900.1.p pacid=40039705 transcript=Brasy3G344900.1 locus=Brasy3G344900 ID=Brasy3G344900.1.v1.1 annot-version=v1.1 MDGETPEVTLETSMGGITFEMYYKHAPKTCRNFVELARRGKYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMDIVKRLGSIQTDKNDRPIHEVKILKAVVKD* >Brasy3G034600.1.p pacid=40039706 transcript=Brasy3G034600.1 locus=Brasy3G034600 ID=Brasy3G034600.1.v1.1 annot-version=v1.1 MKGTFHPSLWGDFFLTYQPPSSAQQTQMHGRAELLREQVRAIIRDSNEIPKILDLIITLERLGLEYLYENEINKLLNVVSISDYDDDNLHLVSLRFYLLRKNGYDVSSDVFLNFQDKKGDFINADTRSLLSLYNAAYLRTHGEKVLDEAISFTTIRLLREFKHLNSPYAKEVSAALDTPLFRRVGTLETRNYKLSYQKEATRNEAILELAKLNFNLLQLHFCEELKGVTLWWKELYGRSNLSFVRDRIVEVYFWMNGSCYKPQYSHSRIILTKITAFITIIDDIFDTYGSTEESMKLQEAIKRWDESAVALLPEYMKDFYLYLLETFSSFENELGHDKSYRVFYLKETLKKLVQAYADELKWRDEHYVPKTISEHLEVSSTSIRPFLLACAAYVGMDDIKTEETFKWVLNDPQLIKSLGVFVRLSNDIVSTKREQAGDHSVSTVQCYMKEHGTKMHDACQQIKGLIEDSWKDMLEHSLAAKNQPMVVSQTILHFARASGNMYKLNDGYTASDTIKDAIRLLFVEPIE* >Brasy3G172800.1.p pacid=40039707 transcript=Brasy3G172800.1 locus=Brasy3G172800 ID=Brasy3G172800.1.v1.1 annot-version=v1.1 MVVDSDTTNYKDFVSEIGEKYPWRMNETIMLNYFDESNRTIPELSSDQDMVAMFAKFGTTKTIAMLITVHDVNVTPDKPEWPIEEGVSVDIPCTPSLPSAPPKAPQETSSQRNSGTHPSTLSNNYVGWDLANPFEENEHVGVDEEDMYLDDGSDSEDGATHVSSCKEQCSVKDPDFVPEVGEEYSDEDLSEDEEDGDWVGKDKEPDSLPDFSYDKEDPPMVEVIQGDSGPFALTWNATGNFMPLRWMKMVALR* >Brasy3G141100.1.p pacid=40039708 transcript=Brasy3G141100.1 locus=Brasy3G141100 ID=Brasy3G141100.1.v1.1 annot-version=v1.1 MRGKQGAMKAATTACMPRLTRRVRASTARPAASVPLLERIRDVVLRLIMLTAVSKASVHRSSSSSARDHGGREPGMGGMTTCCRREESIRNEAVEECIEFLKRSSAEGDTVKLSSVTAADTVAAAVAVEEILKTQKAAVESLETQQNAAVAAAGV* >Brasy3G050100.1.p pacid=40039709 transcript=Brasy3G050100.1 locus=Brasy3G050100 ID=Brasy3G050100.1.v1.1 annot-version=v1.1 MADLVVGLAKSVVEGALTKAQSAIEEDSRLRESAQRDLVFITGEFQMMQSFLKLADDERARNPVVKTWVRQIRELAYDVEDCIEFVLHLDKTPRWWRRMLPPFLPAAAQPLDEAVAEIAQLKARVEDVSTRNTRYSLISDSGSKPAAPSPLQQQQLHQQPAMGAAAAFGMLAEATDLAKRQHGDLTQLMMSSKKDDAPLQVISVWGTAGDLGTASLIRKAYGDPEICQNFACRAWVKLTRPFSPHEFIRDLTAQFYARTCQEVNEESILGVLTRMEATKGDHVKEFLKHVNENRYLIVLEDLFSMAEWDAIRTFLPDRKNGSWLIVSAQQFEIASLCVGQSCQVLELKQFSGDHSVYAFRETAYLPGSQGDGDKAEEISAEHEVKSDTILPSKNAAAIDWMKKHPITGRQSEMNELLQYITKARFNSFQVISVWGVAGVGKSALVRNLFCDRILNTSLFDKYGWVDVSHPFNLRDFSRSLLLDFHSESLQAKEAAYHGTTRTKNPIQECRDLLEQHHCLVVIDNLQFKEVWDLIKGSLLSKSSKSVIIAITSEESIATCCADKEELIFNVKGLQADAAFDLFHQEVRRNDLREEGKIRELILKCGGLPKVLVAIASVLAKKTVTLMDTVGSTNENFMQTLETNPEYDSLSSVFSWMYSYFRSCPDSLKPCIFYMSLFPRDDSIRRRRVVRRWVAEGYSRDSEDKSAEQNGEMFFSLLLDLSIIQHPPELITGSSGDTRMISCRVNGFIREYIVSRRMEENLVFELEGCCDLTTQRTGRHLIIRESWDRDKILFESMDFSRLRSLTVFGKWKSFFVSESMKLLRVLDLEDTLGVEDDDLEQMLKLLRRLKFLSLRGCPEIHHLPSSLGDLRQLQTLDIRHTSVENLPGTITKLQKLQYIRSGTSIQAKESSAPCLPVLEFCRRRRLVGVMVPARMDKLTALHTLGVVNIGASGGKAVLKELKDLTQLRKLGVSGINKKNISKFRSAILGHVHLESLSVQLDKDSQACLDGISLPLKNLQSLKLYGLLDKLPGKINELCKLTKLEFEADTLKQDDIAVLGDLPKLCTLRFRVKQLQDGMLQFCVEKDGRELRTFQKVKTLEIASSSTLHVSFGTETMKTLELLKAHCCNGSSVKFSGLKDITELKEVLLKGCCDEKLKLEMKNELAEHPKKPVLKLEN* >Brasy3G171900.1.p pacid=40039710 transcript=Brasy3G171900.1 locus=Brasy3G171900 ID=Brasy3G171900.1.v1.1 annot-version=v1.1 MKARASNQTRVVGTEPPTLLAHKSHAVVPRASSFAMASKSTPVALRARARVPTAAADDDERHAKKSQHSPSSCCTASPLDAVPEIKSRSRRYPGRRRVQAPAPPVVADRTLREAATLAYIIRAARHDKNDTNTDKNNNAHKLIVSSERPHVRLRADALRPLAVPLSRDGPERKIPGPVMDASVELLRRRLLQQAEAGNEQQGRTIVRNGRRVLLLGVEEQDWLQYLGSSSSPRPSPTTPADHQNMASTARHYLEHDVVFFLVNHEEHFFVAALDVAGKGEYRVLDAGNYAARGGDARAYYERALARIRQGVARCMEAAGRRPAPCQDGGWGTVRVEEGLPEQTDESSCGLFALKWMELWDGEKLARGFGMDEVHALRASLAEELVFSETNEMRDVKDEIESMMM* >Brasy3G228600.1.p pacid=40039711 transcript=Brasy3G228600.1 locus=Brasy3G228600 ID=Brasy3G228600.1.v1.1 annot-version=v1.1 MQIREGPRRQAPSAAGAMRSPLSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGRSAISVDDTLKVVACRQQAKRLASLEMELAAAKHDGFVGKYTSETNGTHSRKKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEDKGIVVRFIVGRSANRGDTFDREIDDENSSTKDFLILDDHIESDEELPKKTKSYFANAAETFDAEFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSEATHKWYEPDWWKFGDGKSYFRHASGEMFVISRAVAQFISINRSVLRTYAHDDVSVGSWMIGLGVKHVNEAKLCCSSWPSGAMCSAL* >Brasy3G164500.1.p pacid=40039712 transcript=Brasy3G164500.1 locus=Brasy3G164500 ID=Brasy3G164500.1.v1.1 annot-version=v1.1 MADGGEMDEEAAMRAFFPVSFGKAPSRPSAASHSSTLRKPQNPSSNPSTSAAEDDEDGGGAIIGPPRPPPDSSRSERGDDKVGGGMIGPPRPPSVRTEAENEDVGMVGPPRPPPSKDSEEENDDEDDDDDDMEDDGEDFGRIPLSNEIVLRGHTKVVSALAVDPTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPCEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLSLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSLRLWDVSDFKSQKQVIKPKLIRPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTIKTGWGSRPDVHVEKAHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNNYAETNASFSPDEQLVFTGTSIEKDGTNGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSVSQRGALVCVGRAPRKKSVDDYEAQPLIHNPHALPLFRDQPSRKRQREKMMKDPLKSHKPEAPVNGPGFGGKIGTTKGSLLTQYLMKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPNPVFAESDSDGEEKK* >Brasy3G164500.2.p pacid=40039713 transcript=Brasy3G164500.2 locus=Brasy3G164500 ID=Brasy3G164500.2.v1.1 annot-version=v1.1 MADGGEMDEEAAMRAFFPVSFGKAPSRPSAASHSSTLRKPQNPSSNPSTSAAEDDEDGGGAIIGPPRPPPDSSRSERGDDKVGGGMIGPPRPPSVRTEAENEDVGMVGPPRPPPSKDSEEENDDEDDDDDDMEDDGEDFGRIPLSNEIVLRGHTKVVSALAVDPTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPCEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLSLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSLRLWDVSDFKSQKQVIKPKLIRPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTIKTGWGSRPDVHVEKAHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNNYAETNASFSPDEQLVFTGTSIEKDGTNGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSVSQRGALVCVGRAPRKKSVDDYEAQPLIHNPHALPLFRDQPSRKRQREKMMKDPLKSHKPEAPVNGPGFGGKIGTTKGSLLTQYLMKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPNPVFAESDSDGEEKK* >Brasy3G055800.1.p pacid=40039714 transcript=Brasy3G055800.1 locus=Brasy3G055800 ID=Brasy3G055800.1.v1.1 annot-version=v1.1 MKNYFLNLHACSHLSHTKEEHSRDPCVMKTILLVVVFLLASPFTSGRSYPGVTSMTPAAACKAACGTPLMLNLCMDTLREFNPNKPNEITAYALLAARQALEAQKATVGRIFALIGKGGLSPKEVLAYMACLPAYNFAEESMGHIYGDMLPRCYFAGLLEEYQKGINGGIESCRDSILRFPATPLNTLVVADRNKAVLAYFLGRLLLSQ* >Brasy3G112200.1.p pacid=40039715 transcript=Brasy3G112200.1 locus=Brasy3G112200 ID=Brasy3G112200.1.v1.1 annot-version=v1.1 MAFHGHLPHEMAMLQPLGADDAAAAAAAAAGAAGGGAPPAWMRQYGGDGGSFLHLQQTTSDSSPTAAGTGVQWIGAGGEEAVAAAMGGGMVVEEAAAAARSKAEVLAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSSSTGGLAAGDDTRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGVSPGEGTGATMSDGEDDQADSEANMYDASLDGPDSMGGFGLPTESERSLMERVRQELKHELKQGYKEKLVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTNVKSKRKSNAGDSNS* >Brasy3G112200.2.p pacid=40039716 transcript=Brasy3G112200.2 locus=Brasy3G112200 ID=Brasy3G112200.2.v1.1 annot-version=v1.1 MAFHGHLPHEMAMLQPLGADDAAAAAAAAAGAAGGGAPPAWMRQYGGDGGSFLHLQQTTSDSSPTAAGTGVQWIGAGGEEAVAAAMGGGMVVEEAAAAARSKAEVLAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSSSTGGLAAGDDTRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGVSPGEGTGATMSDGEDDQADSEANMYDASLDGPDSMGGFGLPTESERSLMERVRQELKHELKQGYKEKLVDIREEILRKRRAGKLPGDTTSTLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTNVKSKRKR* >Brasy3G247700.1.p pacid=40039717 transcript=Brasy3G247700.1 locus=Brasy3G247700 ID=Brasy3G247700.1.v1.1 annot-version=v1.1 MERYLVTHPTKVSPDSGSVFSIDRSAGVQLRQELWRRWHLPITIPASPRPDFFLVASFGRCKFHLDVISVANLLNVCLGGAPDEFRVVHLRDHTFCFSVTNRFIGFHIAKLLSITCTSFVGFGGPDYEKEFALWQEEEMKSRQSPKSYAQAVPGHNRLSGANLVPIGRRSAFLRLGKDAPDASDPPLSPWSVTKELDLADADYSEEDIELEKQDYLRRYNLQQKGKSTIPSSTVFDRLKFPPVAKGGESVFRRLQFPPVPKKTVQPADDRSTGTVAGAVNGHATHSNGIDGPNCATTIDLAKDSHLGNYGPECTRCFQLGHHHSRCRNAIHCRFCRRPGHIYRFCRQRGNQTESAAFVPGRPQQGRYHIAEHIEPKNEDLAIVTLTPPPNPDEAFPVTQGTINALLEDHLHIHAESIHRCPLGHAYVRLMSPSDRDWLVHNSPHIHGNVHFNFCEHNKGVNWRVFTYNREDVVAGNLARVIIKVKVAELSHIPHSIIVTNGDDFQGESWSVPVYILSQRMLGGGPPDEDVPPADGGSPHQLPLMPFDGNLGPNHGPNNGHQANLAAFQADWLQWPANQGMVEDVHDNHMQIDLNQFAPLIDNMDNAQLLADLEALDEENEQVEQDDTGITLTLSNNVPAVSSEGSINHGPNNALIIHNQAMANEDGQVNGMDQVADNANHNQLIVGRVQIPEMMHQHEDFPPLYGPHLTLPQMETLHVTSEGTSIWNTFFRVGSKDFPTVCIPDPWVGYFTAKLISPDNFEWARKVLLSNMWQTFQLGYGHGRIFQLPLKCPETEPLSCKLSARVDVACHGFSTPQAQRQLLITDKPNASTSALKRKKGKKAPIVVTEVRRSDRIKLTDADFKHSSCIDKNCLACAAKPPKINKKIVRSLSERFGLMADDSPAGESGKDSKKVANDSKTNKKQQK* >Brasy3G282000.1.p pacid=40039718 transcript=Brasy3G282000.1 locus=Brasy3G282000 ID=Brasy3G282000.1.v1.1 annot-version=v1.1 MSRGLVERANSDLGHIAYSSTSTATATGDDLHHRQCSNNKQRLALLFSSAGAGAWRRSEATTATSTRAETGGGGRSKKKHVQGSSIGPRVKKYMAMAEQLFASFSSGGSAGGGRGDDDDRRRRGPISNSFPSSSGSGRWPSKRHGGNGIRLFSEPASLRGSPANSGRLSARGIIPKAPSEEEDLQSAIQAAIAHCKN* >Brasy3G211800.1.p pacid=40039719 transcript=Brasy3G211800.1 locus=Brasy3G211800 ID=Brasy3G211800.1.v1.1 annot-version=v1.1 MAALSSKAVALVALSSLLVTYVAAGPPVNYNASAFTADPNWENARATWYGAPTGAGPDDDGGACGFKNVNQYPFSAMTSCGNEPLFKDGKGCGSCYQIRCVNDQSCSGNPETVVITDMNYYPVSKYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFKRVPCNFPGLKVTFHVEQGSNPVYFAVLVEYEDGDGDVVQVDLMEANSGGAWTPMRESWGSIWRLDSGHRLQAPFSLRITNESGKTLVADKVIPANWAPSAVYRSFVQYSG* >Brasy3G063300.1.p pacid=40039720 transcript=Brasy3G063300.1 locus=Brasy3G063300 ID=Brasy3G063300.1.v1.1 annot-version=v1.1 MEKSTAVMLLSILVAFAMLSSDAEAWCRNKIVEPRYRCTSAACKANCQKEIVDTRCPGCRVEPNTTCIQDACYCVMCSNA* >Brasy3G190200.1.p pacid=40039721 transcript=Brasy3G190200.1 locus=Brasy3G190200 ID=Brasy3G190200.1.v1.1 annot-version=v1.1 MEGGATPNVSAPATPGTPAPLFAGARVDSMSYERKSSMSVPRCRCLPVEAWMSSQHACVLEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYNNAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQALASVCAGFALKGVFHPFLSGGVTVPDAAVSTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILIAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLVAPTLGAVAGAGVYTAVKLRDVDGGGEAPRPQRSFRR* >Brasy3G212200.1.p pacid=40039722 transcript=Brasy3G212200.1 locus=Brasy3G212200 ID=Brasy3G212200.1.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.15.p pacid=40039723 transcript=Brasy3G212200.15 locus=Brasy3G212200 ID=Brasy3G212200.15.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.16.p pacid=40039724 transcript=Brasy3G212200.16 locus=Brasy3G212200 ID=Brasy3G212200.16.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.17.p pacid=40039725 transcript=Brasy3G212200.17 locus=Brasy3G212200 ID=Brasy3G212200.17.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.18.p pacid=40039726 transcript=Brasy3G212200.18 locus=Brasy3G212200 ID=Brasy3G212200.18.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIERHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.19.p pacid=40039727 transcript=Brasy3G212200.19 locus=Brasy3G212200 ID=Brasy3G212200.19.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIERHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.2.p pacid=40039728 transcript=Brasy3G212200.2 locus=Brasy3G212200 ID=Brasy3G212200.2.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKVLWRKNYGRGSRDS* >Brasy3G212200.3.p pacid=40039729 transcript=Brasy3G212200.3 locus=Brasy3G212200 ID=Brasy3G212200.3.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKVLWRKNYGRGSRDS* >Brasy3G212200.20.p pacid=40039730 transcript=Brasy3G212200.20 locus=Brasy3G212200 ID=Brasy3G212200.20.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIERHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.21.p pacid=40039731 transcript=Brasy3G212200.21 locus=Brasy3G212200 ID=Brasy3G212200.21.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIERHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.4.p pacid=40039732 transcript=Brasy3G212200.4 locus=Brasy3G212200 ID=Brasy3G212200.4.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKVLWRKNYGRGSRDS* >Brasy3G212200.5.p pacid=40039733 transcript=Brasy3G212200.5 locus=Brasy3G212200 ID=Brasy3G212200.5.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKVLWRKNYGRGSRDS* >Brasy3G212200.6.p pacid=40039734 transcript=Brasy3G212200.6 locus=Brasy3G212200 ID=Brasy3G212200.6.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.7.p pacid=40039735 transcript=Brasy3G212200.7 locus=Brasy3G212200 ID=Brasy3G212200.7.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.8.p pacid=40039736 transcript=Brasy3G212200.8 locus=Brasy3G212200 ID=Brasy3G212200.8.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.9.p pacid=40039737 transcript=Brasy3G212200.9 locus=Brasy3G212200 ID=Brasy3G212200.9.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.10.p pacid=40039738 transcript=Brasy3G212200.10 locus=Brasy3G212200 ID=Brasy3G212200.10.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.11.p pacid=40039739 transcript=Brasy3G212200.11 locus=Brasy3G212200 ID=Brasy3G212200.11.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.12.p pacid=40039740 transcript=Brasy3G212200.12 locus=Brasy3G212200 ID=Brasy3G212200.12.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.13.p pacid=40039741 transcript=Brasy3G212200.13 locus=Brasy3G212200 ID=Brasy3G212200.13.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKILSSVLDSTPLAFSIKLATVSFRNDHCNLEKWVTEKLSAQRETFIEECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIEASDASWQFINPTPTQLERPPSSFPLQQRHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G212200.14.p pacid=40039742 transcript=Brasy3G212200.14 locus=Brasy3G212200 ID=Brasy3G212200.14.v1.1 annot-version=v1.1 MIPFNSAVAAEVSSLVQGADDSTFDSIYRELCQLADCSPDGCILLLQVSLDEVLLNVGVGKLSQSKRELLATIFRYCLDKPYFSTCFCEALRTVSVNDVFLETLSNELDLSRSERVGIGLALSDSENLGLNLKGQNFSIAQIEELCSNPAQSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKETPFHVPVPIQEGHVQPTSRHTELYIGSLDDDFDSLLSEIGKEISMADIISELGYGCTADIAQCKDILSLFEPLDDLGISKLLGAVVSTTVGLGEAHNTYSTFIAAFGNNQTSDSIQSTAWNINVLVDSINEIAPRTNWTSVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWRNSEGQLSFLKHAVAAPTNIFTFKHCSRKMVFPDLAKHAQGNQAWYCLDLLEVLCQLAELGYATLVRSILDYPLNHCPEVLILGVSHINTAYNLIQYEVLSFVFPAILKDTKHSSSMNYLWHLNPSLTIRGFVDAHSDIICLLRTVDICQDLKECVNFLKEIVANTTYDGSAGGLQQPQSTISNICWESCPLFIKVLQSQSGQLLSNQLVDELSRVEAAYESRNHGAVGRDIPPPEGGSDDIEAQANLYFHQMFAGEISIDGLIQMLARFKESTNNREVAIFNCMISNLFEEYKFFPKYPEAQLKLAAVLMGSLIKHQLIAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHGDIVSAIERALAKISSSQNETNVSNMFSVDQHGSGLSSIGNIERHQGFLGERSRGSTYSVQAKNNLSMSQPSLASTPADLPITQKVTAPPSSQASPHHSTTVPAPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSIEAPPSEVQDKIFFMINNISISNMEAKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQTPVVPEVSSGMMPAKNLVEVQPELTSTSRTTSLPNMLTQQYAPPLRLPPNSMVEDDKVALLMPDQVSPSQTPSPSPPLFTLSQLIAAIPRADIYFRINDKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELVLKDLAMESDDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRILIQNLNNNSETTDQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLARVPDALRPKPAGHLCATQQRVYEDFITVWHSQSSQNAGATTSASAVAVAPSNSSIPRLYSPNLVQPADLVPEESDHGTTQLSSVTGHVGTSDTFAQVGGTTNLASVFPPMLSNDIPVGEPTAASKDLGSTVPLSPTTAVDRMESVFAEPLNTDDALDRYQQVSQKLEALIANNGKDAEIQSVIAEVPDILLRCVSRDEASLAIAQTVFRSLYENASNSTSVTWLLAILVAIRDVCKLVIKEITNWVIYSDEEKKFNLDIITGLIRSEILNLGDYNVHLAKIIDSGRNRAATEFAISLVQRLITQEPTGVSELYNVVDALSKLAVRPSSPESLQQLVEIARSNFNNAASFLAMKDEKVRQSRDQKVLSGRPSIYKEENDTALADSVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQNGLLKGDDLTDRFFHALTELAVTHSIVSEQVIAPGGISQQAAQQLQISYFSIDSYSKLVTLMVKYCVDFGPNKGSLLPKFLSVTARTIQKDAEEKKLSFNPRPYFRLFINLLSELSTADLHDGANFQVLTSFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLMCNSQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVEGALKARQMKTQVDEYLKRPEGSSFLTDLKQKLLLPPSEANVAGTRYNVPLVNSLVVYVGIQAVQQLQHNKANASASAQQVNQSSQLDNVQIETATEFFRNLAVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAETTQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYTFWARSFIRCAPEIERLFDSVARSCGGKTTEEGAVIADGGH* >Brasy3G203200.1.p pacid=40039743 transcript=Brasy3G203200.1 locus=Brasy3G203200 ID=Brasy3G203200.1.v1.1 annot-version=v1.1 MTTPSEQLPLPKIKKRRSPPPAPTTISALTDDLVREVFLRLPSLPSLVRAALTCRGFLRAVRSSPAFRRRFREIHAPAPLGVFLNIYDYALPAFAPVRRHRSDPDHAAAVRGTDVFLTRLPDDDAADDDEPSWSMFGCRDGYVVLGNSNTGQVAVYNPLTRALHLFPVPPAEILAEMLVEYHVLFSEEDHESATKVGERKLLSSRRRPGSGRFSHWMMRMQPPKQVTIGLLTKALW* >Brasy3G014300.1.p pacid=40039744 transcript=Brasy3G014300.1 locus=Brasy3G014300 ID=Brasy3G014300.1.v1.1 annot-version=v1.1 MDKPPSDPGGCLEVRLFYVRLSPRGAAAAPPRLALALHPAGATEASPSSSLPLRLDRRDPATGEATYVSTASARLPPPAAAFEVADHLGATLLRGSLRRCPDAKAGSPAAWAIDCAPASGAAAAASAFEVYVAGCCAGEPAVLTRALRLATPEESAGVLVRRRSATLMAMGDEDDNDMNIGTRSYPEGWYSEDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATTRTLKRRFF* >Brasy3G322600.1.p pacid=40039745 transcript=Brasy3G322600.1 locus=Brasy3G322600 ID=Brasy3G322600.1.v1.1 annot-version=v1.1 MSTRDRTAGPEAQTTMVGSVVQLKVNYEKAKNGKAVHSDVVSVGGHLWRIDCHPRGLSENHQSEYISILLQHMSKSGSVTAIFESFLMDRDGQPSSKYQLRSLPRCYQSDSWGWGQFVARSTLEKDYLVDGHFTILCTIMVTDDSSIPVPPSDIGTHLGSLLDLADGTDVAFIVDGETFHAHRAVLAARSPVFRAELFGSMAEATMPTITLHEIVPATFEVMLRFIYTDALPGDKELSDFSIEMFQNLLAAADRYALDRLKFICAQKLWEKVSVDTVSAILACAETYDCPELKNRCIDFFVAEENFKKVIFTEGYGSLVLKFPSITAELSKRVWP* >Brasy3G037000.1.p pacid=40039746 transcript=Brasy3G037000.1 locus=Brasy3G037000 ID=Brasy3G037000.1.v1.1 annot-version=v1.1 MQEKKKTFWAFWRSMPTFFWAFWRSMLQARKYFLRGGDNQQEAAAAGGGTEEGSTLMGFGDQPKRDIEQGPGRWEQRQALRRRGEQARAPGGAARWPLPAGSGGSRADRTGPSWDWEAARTEPAKTARPHFGPSHARLAGGELGSEHESILNKPTT* >Brasy3G005700.1.p pacid=40039747 transcript=Brasy3G005700.1 locus=Brasy3G005700 ID=Brasy3G005700.1.v1.1 annot-version=v1.1 MAASMITSPLVAPTSLPSLSRRGSSFAVVCSGGKKIKVDKPLGIGGGLTVDIDANGRKGTGKGVYQFVDKYGANVDGYSPIYTPEVWSESGDRYAGGTTGLLIWAITLAGLLGGGALLVYNTSALAG* >Brasy3G048100.1.p pacid=40039748 transcript=Brasy3G048100.1 locus=Brasy3G048100 ID=Brasy3G048100.1.v1.1 annot-version=v1.1 MYSCWPLSSNADMLSRLSDRLGKLVCGLSPPSTTTTTTPSDADPPPRAACGGGGLSSPLLFPPGSREEEGLRGCLPSSSSSSRPAAAAAEGDEAPLPCLAFGSEEGYKVFSMAENRMLEDGVEVRMRLARGRRVMPSALGGKVFATDMCGLLDSHLADPFTGARTPVPGLPVPLCQEEPMPAQAHEEPSKLMNIDDAFAWDLSPHGAMVAHGDTVFFSSGSGADKWVPVHRSSDGSAMTVNYRGGSFFVLELGALVTTVIDAGTLEVTAVIDPPPHRHEIFHAILVTCAGADGEVLLLTLRRGRYRYDDDDSSQAYRARLHGQEQQPRWEPVKDIGDRAAFVDTRHGFAVRAGDRVRRNCVYMAMRKEEMDDESTGQHGGGVVARHVIKVAPLGNLIGGWELDEGSDQLGRFKVKQPVVWGRAHWIIPDR* >Brasy3G226800.1.p pacid=40039749 transcript=Brasy3G226800.1 locus=Brasy3G226800 ID=Brasy3G226800.1.v1.1 annot-version=v1.1 PYFKELQQHLTTRLGEASDAIGSVSKTFLDAFVDSTFTFSHQPLRPTESNFAPVDETGERITIMDIEGTIPADFPEGVYIRNGSNPLFGALHVVSSIFGQSEDIWVEGEGMLHALYFTRGCNGTVDEWSVSYTNRYVQSDTFTVERARQRPCFLSVTKGNPLATLAASILNMLRFGKVIRNISNTSVFVHAGRVFAAAENDNPHEMDLDSLDTMGSWSIGGDWIMPFTAQPKVVPGSRELVISVINIVKPYLTVGVVSEDGMKLTRKVDLKLERCAFCHEIGITKMFIDYENEGYARIGVMPRYGDAESVIWFDVEPFCTLHLVNCFEEGDEIIVRGFRVPASIIMGPTLQCNEESGDQELNEEYFSRLYEWTLNLKSRAVTGKWLTGTDVALEFPVINDKYVGLHHSNLYTLVVRPKFGGFAKLYLDQKRKVQINVEYHHLGRNQFCSGATFVPKVNAVHEDDGWIISFVHDEGTNISQAIAGSHAVTPSHTCTFSGKLVCPTVHEAHIISAQRFESGPVAKITLPHRVPYGFHGAFVSRNIKEQT* >Brasy3G179900.1.p pacid=40039750 transcript=Brasy3G179900.1 locus=Brasy3G179900 ID=Brasy3G179900.1.v1.1 annot-version=v1.1 MPDLRVRPKPCPPRHHDAPAAALRRPDEPASGADRRLLRVRRGSRPLMTAREKTIQNAARSRRRAIGARAATARGGWRGTSWSSRTRPPRPGHHPSPCDLMQAVRTVHLPQLLLAHVLQGAPSHGLCAPVRVRLTGA* >Brasy3G179900.2.p pacid=40039751 transcript=Brasy3G179900.2 locus=Brasy3G179900 ID=Brasy3G179900.2.v1.1 annot-version=v1.1 MPDLRVRPKPCPPRHHDAPAAALRRPDEPASGADRRLLRVRRGSRPLMTAREKTIQNAARSRRRAIGARAATARGGWRGTSWSSRTRPPRPGHHPSPCDLMQAVRTVHLPQLLLAHVLQGAPSHGLCAPVRVRLTGA* >Brasy3G179900.3.p pacid=40039752 transcript=Brasy3G179900.3 locus=Brasy3G179900 ID=Brasy3G179900.3.v1.1 annot-version=v1.1 MPDLRVRPKPCPPRHHDAPAAALRRPDEPASGADRRLLRVRRGSRPLMTAREKTIQNAARSRRRAIGARAATARGGWRGTSWSSRTRPPRPGHHPSPCDLMQAVRTVHLPQLLLAHVLQDSA* >Brasy3G105400.1.p pacid=40039753 transcript=Brasy3G105400.1 locus=Brasy3G105400 ID=Brasy3G105400.1.v1.1 annot-version=v1.1 MSCCCPPFWPKLFSRPSQPRRVLFLPQTEASRSISHNIPIHPRRRLRPSQRRPSPCRRLRHPSPPPPFPIPIPACSLPYRHGVPSLRRADLLRLHSSCPPTAEVTPRSSSPPFPGLRST* >Brasy3G289400.1.p pacid=40039754 transcript=Brasy3G289400.1 locus=Brasy3G289400 ID=Brasy3G289400.1.v1.1 annot-version=v1.1 MVTLVSQDGESFEVSEAAASLSRTIALSMEDDDSAGGNIPLPNVDAKTLAKILEYLNNHAPAAAAFGDSTEAAAASGEGSEESAAAAAYASKSKEEEKMKSFDAEFIDVDLTLLYNLFMAANYLDIKGLLDLCAQKVADMIKGKQPEEVREIFGIKNDFTPEEEAEIRKENAWAFE* >Brasy3G336500.1.p pacid=40039755 transcript=Brasy3G336500.1 locus=Brasy3G336500 ID=Brasy3G336500.1.v1.1 annot-version=v1.1 MSSPSCWESLASALSRGGPSREAALREQLPAREEAAALHRAAVQRLTERADALQRRIDVVAREADAAQRAARSAEASARRAEDQRRVAARTNEMHEEMLWALDKEIKRKDSQVNVLTAIVGTVKSSGEKKRISF* >Brasy3G258500.1.p pacid=40039756 transcript=Brasy3G258500.1 locus=Brasy3G258500 ID=Brasy3G258500.1.v1.1 annot-version=v1.1 MEPQVFVPCNFQQADWCLESAVWVRGLPESCESESTEMTCLEEMSVPSSSRGQQQLHYAPKPTTTGSQVHQFQKDYSDELHGDISLFVKKMYRYPPIIRDLSDRYTVPTVVSIGPYHHGLGLHGDLNKAEEAKHVAAYKCIRDSGSSVEEMYGAVASVADNARSLYDKDTMRGIDDDDFQPMMFFDACFLVQFMITYAKPDDKMESDDWLSSYFDRNRFDILHDVLLLENQVPWLVVETIMWFTPLRLKDFVSKLQGYLQDRRDLHIRDVDLRDDIRPPHLLGLFQMYVVGSILDKSEEKIIGDLKSFSVSANELAEIGITLTPNKTAELSRIDIRKSLFCGKLEMAPLSLNHLCASILVNMAALELCLPHHFTVGQLEKYAVCSYLRLLAMLMDRDEDVHELRAKNLLQGGGLTNKEVLNFFSTLQCLRPGSLYYRTLQKIETYSENRRVRVKVHAFLYKNLKTMLVVFSVITGLCGIMGMFISALKKTS* >Brasy3G111000.1.p pacid=40039757 transcript=Brasy3G111000.1 locus=Brasy3G111000 ID=Brasy3G111000.1.v1.1 annot-version=v1.1 MAVSGSGGGGFHLLLLLLLLAVVVLRPRSATVLLADAARVPFTDVSSPPAPSPAADAPSLPDVVAVSPPAAARIQKEGDNHYQKQVLVAVILALVGLIVMAVSATYAWTFWRKARQALDSKDIKLQNRGNGQILLPVLGKLNSNKMSNKEVIAMMDFSVLETATGKFNEKNILGKGGFGCVYRASLDGGVVAAVKKLNCCRQEVETEFENELDFLGKIQHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGSSHGSALSWHIRLKIALDAARGLEHLHEHCNPFVIHRDIKSSNILLDSDFNAKISDFGLAINGGNHNKDDLNPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCRSIVSWAMPQITDRTKLPNIIDPVIQNTMDLRHLFQVAAVAVLCVQPEPSYRPLIADVLHSLVPLVPVELGGTLRIVDEPHQTG* >Brasy3G191500.1.p pacid=40039758 transcript=Brasy3G191500.1 locus=Brasy3G191500 ID=Brasy3G191500.1.v1.1 annot-version=v1.1 MCRYETRRSCAQEKPHLLLQFPSLERNVLLCSRTQIRFASQVRIQPTAPRFSSPAMMGSRAATACVPFLLLLLLLAHGAEAVGLWRPPPAGGSLFGAAPGRYLTQEEHWMSQTLDHFSPTDHRQFKQRYYEFLDYHRVPNGPVFLYICGESSCNGISNSYLAVIAKKFGAALVSPEHRYYGKSSPFKSLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADNSWFVFGGSYAGALSAWFRLKFPHLTCGSHASSGVVLAVYNFTDFDKQIGESAGPECKEALQETTKLVDGQLQSGRNSVKQLFGARMLQNDGDFLYLLADAAAIAFQYGNPDKLCSPLVEAKKNGTDLVEAFAHYVNKYYVGTFGASVASYDQQYLKNTTPAESSYRLWWYQVCSEVSYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVSMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKEMPSYLIECSNCGHCSDLSGCPQAPSNIEGDSSKCSSPEAVNKVRKQIVDNIDLWLSECQDQGHDKGPSMRTRWSIASY* >Brasy3G267900.1.p pacid=40039759 transcript=Brasy3G267900.1 locus=Brasy3G267900 ID=Brasy3G267900.1.v1.1 annot-version=v1.1 MLKRMVSDQGCPGSSSAAAAAGGGDRPRVGAGGALFAVPRLLVGLAAKQRAADGGGGESERSPTSPLDPKALLLRSPRSPRTWDSEPVGLGLVVDANANSGPNPLLSPRLRLKSHCSSSAPKGCGGGGHSQPELGKTTTISCPAASAAGMSVPCSRFFRGDLKSGPEAATGAHSSSAKRHSFDLGKLPGPGPLSLPASTIGPGPRRFVASVSASEVEQSEDYTCIIARGPNPKTTHIFGDCILEPQAVAKSGGEAAAMEVEPGAAKYSSYLVVKCAAAEDAAEDFLSSCFACRKKLEGNDIYIYRGEKAFCSASCRDQQILIDEEAENNTTIGSPGSSCSSMHEDIFFMAGMVVAT* >Brasy3G050900.1.p pacid=40039760 transcript=Brasy3G050900.1 locus=Brasy3G050900 ID=Brasy3G050900.1.v1.1 annot-version=v1.1 MKTSCHSKSSLSSKMRIEAFALQIFCLVSAHGAIADQAARILQFSTSRMEMQCHHQDSKVHRRSHIDHAMKVSPQDGLKEADKVGELPGQPGRALFDQYAGYVTVNSTSGKALFYYFAEAAEDPSTKPLVLWLNGGPGCSSLGGAMLEIGPFFVNSDNRTLSTNKYAWNNVANMLFLESPAGVGFSYSNTTSDYNNTGDSSTATDSYTFLINWLERFPEYKGRDFFITGESYGGHYIPQLANTILLNNKIINAPFINLKGIVIGNAYLDDNTNTRATMDYFWTHAMISREAHQAVQKNCSFSGTYTGGCRTAITAANMELGIIDPYNIYASVCWNASNPQELHGMAANTDPCALYYIQTYLNNPEVQRALHANTTGLKRPWTDCSDIITPENWKDAPVSMLPSIRRLISSEVSTWLYRLVAMLSDTKVWCLQQSEELDIWFLHTNLEGH* >Brasy3G312500.1.p pacid=40039761 transcript=Brasy3G312500.1 locus=Brasy3G312500 ID=Brasy3G312500.1.v1.1 annot-version=v1.1 MASPSSSHRFPDWVMLDRHTRLNYPEVSLDYPEELFRATYAEAETDAGDHIDVCFRAVPPPGASRFYVNWMPRQDRKGGPSSSSAAAVSRENYNWDQSLGLEPLVVAAHGNSILLKLSQKFTSSDYFLYTVYPTSPSSLRRLPTCNLKFYAYKHMFSMEDVGIFCDDTCTELVVADLKISPKDDMTASSFEKYDTPVEASLCLYNIWNNNWEATRPPICHEKGQGKDLAWWYTDAVVPYGNSLCYVDYFRGILFADVLSRCPQLRYVRLPVKIPVGNPDDHESGLRGCPERSRSVCVTNDGGTIKFVDVVTTAVLFPGKRSSAVSSSFTIKVWRLMWEDNTWQREYTMEDTELWSLCGYGGLLRETPLYPLVSRQEPNIIYFVLSTQGGLGRQENDNTCVVVVDMVNKTLIASFRYTRVFRNSIESDGNMSSRNLATQTAFLTCDLSKYLLTTSLSGTWRS* >Brasy3G312500.3.p pacid=40039762 transcript=Brasy3G312500.3 locus=Brasy3G312500 ID=Brasy3G312500.3.v1.1 annot-version=v1.1 MASPSSSHRFPDWVMLDRHTRLNYPEVSLDYPEELFRATYAEAETDAGDHIDVCFRAVPPPGASRFYVNWMPRQDRKGGPSSSSAAAVSRENYNWDQSLGLEPLVVAAHGNSILLKLSQKFTSSDYFLYTVYPTSPSSLRRLPTCNLKFYAYKHMFSMEDVGIFCDDTCTELVVADLKISPKDDMTASSFEKYDTPVEASLCLYNIWNNNWEATRPPICHEKGQGKDLAWWYTDAVVPYGNSLCYVDYFRGILFADVLSRCPQLRYVRLPVKIPVGNPDDHESGLRGCPERSRSVCVTNDGGTIKFVDVVTTAVLFPGKRSSAVSSSFTIKVWRLMWEDNTWQREYTMEDTELWSLCGYGGLLRETPLYPLVSRQEPNIIYFVLSTQGGLGRQENDNTCVVVVDMVNKTLIASFRYTRVFRNSIESDGNMSSRNLATQTAFLTCDLSKYLLTTSLSGTWRS* >Brasy3G312500.2.p pacid=40039763 transcript=Brasy3G312500.2 locus=Brasy3G312500 ID=Brasy3G312500.2.v1.1 annot-version=v1.1 MASPSSSHRFPDWVMLDRHTRLNYPEVSLDYPEELFRATYAEAETDAGDHIDVCFRAVPPPGASRFYVNWMPRQDRKGGPSSSSAAAVSRENYNWDQSLGLEPLVVAAHGNSILLKLSQKFTSSDYFLYTVYPTSPSSLRRLPTCNLKFYAYKHMFSMEDVGIFCDDTCTELVVADLKISPKDDMTASSFEKYDTPVEASLCLYNIWNNNWEATRPPICHEKGQGKDLAWWYTDAVVPYGNSLCYVDYFRGILFADVLSRCPQLRYVRLPVKIPVGNPDDHESGLRGCPERSRSVCVTNDGGTIKFVDVVTTAVLFPGKRSSAVSSSFTIKVWRLMWEDNTWQREYTMEDTELWSLCGYGGLLRETPLYPLVSRQEPNIIYFVLSTQGGLGRQENDNTCVVVVDMVNKTLIASFRYTRVFRNSIESDGNMSSRNLATQTAFLTCDLSKYLLTTRKRKSLW* >Brasy3G306800.1.p pacid=40039764 transcript=Brasy3G306800.1 locus=Brasy3G306800 ID=Brasy3G306800.1.v1.1 annot-version=v1.1 MSAFIPFSCLISFSFDFLHLDIQDIPLCIVHLVTQVFYVGCPCSCLLMCSMVPLHCNNIYNRLFFHQPARYYKYLMVYVQYHYFL* >Brasy3G314400.1.p pacid=40039765 transcript=Brasy3G314400.1 locus=Brasy3G314400 ID=Brasy3G314400.1.v1.1 annot-version=v1.1 MASSSRGRWASPERTVVWTEPRPKPTSSTARRASAPAPKKKVTAAVVYYLSRDDGQLDHPHFMEVPLSSPHQGLSLQDVLARLALLRGAAMADAYSWSSKRGYRNGYVWHDLAADDIVHPANDANGNEEYVLKGSKLLVPPRPRDASAGSSSSSSSSSSSSSSSLSSSSSSCLVQEQEGKTKTAMASGRAGRRKNWSSFDLGEYRVAAAVHPGADAATQTEDRRRRIPREPPAAAELGADEISPPPSSSSPDTLETLIKHDTRLAAASAATAMAAPTQEAVGVIAGGRMRASAVLMQLISCGSIPAAKPKQDRRRLPRGRSDLSVAAADGGFSSSSGSSIGIGIGGMTMDREYFSGSLVESTKTSSHSDAVPGSELKRGSSSKLELAEKEVDGVRARCIPRKLPSSKLAPTATTAGLQTQGTDGAQP* >Brasy3G104200.1.p pacid=40039766 transcript=Brasy3G104200.1 locus=Brasy3G104200 ID=Brasy3G104200.1.v1.1 annot-version=v1.1 MATTPTAAGASTAVPPGVLSAAEDTLAATESVGDQLAELLAAAAEDPDAIAELPPLRRARAFLAVAHASTSLFAVRLRCSGINPDEHPIKKEFERLSLWQEKLNRLEDWDKAPLRPTTTVNTQAAARFIGHSLSHLTADQKKSMHAISKGEGGAWSGTKRKLQPLPERKSVRAAAEEFLAKASQELSGYNGNGLKGPVRLVPDEDED* >Brasy3G240400.1.p pacid=40039767 transcript=Brasy3G240400.1 locus=Brasy3G240400 ID=Brasy3G240400.1.v1.1 annot-version=v1.1 MEVKNISFVLKVFFPRAAELLAAAARAAAAHCSPPCPARPARPARYRVLRLLCSPCLRPPLAPRALPWSRRRSPPPRLLCSRRPPRALLAARPARGRAARRRALLALLAAAARAAQPALVAPPRAAAAPAAQRLPGLGGGGGGDSPREDASAELGLAAAHIGAACPRPAGNKAEGLLADPEVRRALGLLRLLLLRSRPRRRRSRRPPRRPRSAPRAGPASGCGEARPPVHDLVESARGHLGAAEQQRLALGENYDDGRDDAAAAPWLHGPCAGEQRAGRAGRAGHGGEQRDREQGARRAGRWAGGASRAGAAAASGGATRAGRAARAAAASSSAARGKKTGGGGVSVRLQGHVVA* >Brasy3G249000.1.p pacid=40039768 transcript=Brasy3G249000.1 locus=Brasy3G249000 ID=Brasy3G249000.1.v1.1 annot-version=v1.1 MKNLSLYSQLLLVSVAAAALHANASHSHSQEARLKEFISSRRSSDSSGDAFRVRNIADRVAVSLSAESSVSGHQSSMKAADKITALLGQPEGVDFNQYSGYVTVDEVNGRALFYYLAESPSGASEKPLVLWLNGGPGCSSLAFGAMQELGPFRITQDNKTLTRNMNAWNNDYDLNGDERTADDAFVFLVNWLERFPEYKDRAFYISGESYAGHYVPELAATILFHNTYHNRTIISLQGILVGNAYLDINRNIMGTLDFFRTHGVMSDEVYANITKNCDIDILGRSNTFEETVTACVALDAFDPGQIDAYNIYAPVCIHAPNGTYYPSGYLPGYDPCSPYAAYGYLNNSAVQHAFHGRTTKWGNCAGDFDAVCPLAATRLTIQDLGLLITTPWRPWTAKEEVGGYVQQYAGGFTFLSVRGAGHMVPSSQPERALIMLSSFLKGMLPPYIEEQQ* >Brasy3G022100.1.p pacid=40039769 transcript=Brasy3G022100.1 locus=Brasy3G022100 ID=Brasy3G022100.1.v1.1 annot-version=v1.1 MHCKRKAPHGEPSQQEQASPADANGLFAIEEEICHLTRLKSEPSDRTRTPLHARKKCYISTFKLLSGRESNLSGIGRFSSADCSYALRDHLPVKGPWRVDDMDSEAYISQFSSDGSLLIGGFRGSHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVVTGNVVERLKWHGSIVRDCSWHPCLPTLVSSSWDGYLARWEATEDDDDPSKLKRWKQKMQPDGYTFVL* >Brasy3G022100.7.p pacid=40039770 transcript=Brasy3G022100.7 locus=Brasy3G022100 ID=Brasy3G022100.7.v1.1 annot-version=v1.1 MHCKRKAPHGEPSQQEQASPADANGLFAIEEEICHLTRLKSEPSDRTRTPLHARKKCYISTFKLLSGRESNLSGIGRFSSADCSYALRDHLPVKGPWRVDDMDSEAYISQFSSDGSLLIGGFRGSHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVVTGNVVERLKWHGSIVRDCSWHPCLPTLVSSSWDGYLARWEATEDDDDPSKLKRWKQKMQPDGYTFVL* >Brasy3G022100.2.p pacid=40039771 transcript=Brasy3G022100.2 locus=Brasy3G022100 ID=Brasy3G022100.2.v1.1 annot-version=v1.1 MQMDYLPLKRRFAILLGLNQSQVIELVRPFMLARSVISQHSSYCQGENPICLGLVDSLQLIALMLFEITYQLKGRGVLMTWIVKHTSHNSLQMVPYLLVVFGHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVVTGNVVERLKWHGSIVRDCSWHPCLPTLVSSSWDGYLARWEATEDDDDPSKLKRWKQKMQPDGYTFVL* >Brasy3G022100.8.p pacid=40039772 transcript=Brasy3G022100.8 locus=Brasy3G022100 ID=Brasy3G022100.8.v1.1 annot-version=v1.1 MQMDYLPLKRRFAILLGLNQSQVIELVRPFMLARSVISQHSSYCQGENPICLGLVDSLQLIALMLFEITYQLKGRGVLMTWIVKHTSHNSLQMVPYLLVVFGIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVVTGNVVERLKWHGSIVRDCSWHPCLPTLVSSSWDGYLARWEATEDDDDPSKLKRWKQKMQPDGYTFVL* >Brasy3G022100.9.p pacid=40039773 transcript=Brasy3G022100.9 locus=Brasy3G022100 ID=Brasy3G022100.9.v1.1 annot-version=v1.1 MHCKRKAPHGEPSQQEQASPADANGLFAIEEEICHLTRLKSEPSDRTRTPLHARKKCYISTFKLLSGRESNLSGIGRFSSADCSYALRDHLPVKGPWRVDDMDSEAYISQFSSDGSLLIGGFRGSHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVMTWYSFRPIKIGTDLN* >Brasy3G022100.5.p pacid=40039774 transcript=Brasy3G022100.5 locus=Brasy3G022100 ID=Brasy3G022100.5.v1.1 annot-version=v1.1 MHCKRKAPHGEPSQQEQASPADANGLFAIEEEICHLTRLKSEPSDRTRTPLHARKKCYISTFKLLSGRESNLSGIGRFSSADCSYALRDHLPVKGPWRVDDMDSEAYISQFSSDGSLLIGGFRGSHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVMHIRSPFGKTR* >Brasy3G022100.10.p pacid=40039775 transcript=Brasy3G022100.10 locus=Brasy3G022100 ID=Brasy3G022100.10.v1.1 annot-version=v1.1 MQMDYLPLKRRFAILLGLNQSQVIELVRPFMLARSVISQHSSYCQGENPICLGLVDSLQLIALMLFEITYQLKGRGVLMTWIVKHTSHNSLQMVPYLLVVFGHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVMTWYSFRPIKIGTDLN* >Brasy3G022100.6.p pacid=40039776 transcript=Brasy3G022100.6 locus=Brasy3G022100 ID=Brasy3G022100.6.v1.1 annot-version=v1.1 MQMDYLPLKRRFAILLGLNQSQVIELVRPFMLARSVISQHSSYCQGENPICLGLVDSLQLIALMLFEITYQLKGRGVLMTWIVKHTSHNSLQMVPYLLVVFGHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVGTLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSTLKDCTPKANEWDYRWMTYPSEARHLKHPYDQSLATFRGHSVLRTLIRCYFSPSHSTGQRYIYTGSSDQCVYIYDVMHIRSPFGKTR* >Brasy3G022100.3.p pacid=40039777 transcript=Brasy3G022100.3 locus=Brasy3G022100 ID=Brasy3G022100.3.v1.1 annot-version=v1.1 MHCKRKAPHGEPSQQEQASPADANGLFAIEEEICHLTRLKSEPSDRTRTPLHARKKCYISTFKLLSGRESNLSGIGRFSSADCSYALRDHLPVKGPWRVDDMDSEAYISQFSSDGSLLIGGFRGSHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVAAHQKQMNGITGG* >Brasy3G022100.4.p pacid=40039778 transcript=Brasy3G022100.4 locus=Brasy3G022100 ID=Brasy3G022100.4.v1.1 annot-version=v1.1 MQMDYLPLKRRFAILLGLNQSQVIELVRPFMLARSVISQHSSYCQGENPICLGLVDSLQLIALMLFEITYQLKGRGVLMTWIVKHTSHNSLQMVPYLLVVFGHIRIYNADNKWKIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQSALKESHANITEIHEGLDFSDDDDGFSFGVFSVKFSKDGHHLVVGNNNESICIYDLGANKVTERFHAHMADVNVVTFADESSDVLYSGSDDSLCKVWDRRCRKRGKPVAAHQKQMNGITGG* >Brasy3G240800.1.p pacid=40039779 transcript=Brasy3G240800.1 locus=Brasy3G240800 ID=Brasy3G240800.1.v1.1 annot-version=v1.1 MLWRAMFGCQVEQLDASAATSAYRELHGLARDLGGIGLTPNVSDFFPVLADADLQGVRRSFARKLGRLYQLIDVQIQRRRRQLRESAAAAASGGGCCGKNGGLLDAMLDMSDEDDGAGGGMNNDTIRAFLSDLLLAAIETIPNAIEWAMAELLQNPDTMRRLKEELRSVGGGKTHVDYSDMDHLPFLRAVVKETLRLHTLVPFVPNKADAAVQVHGYTIPKGSTVIINVWAIHHNAEVWPEPDTFIPNRFMGDGNKEVHFLGADFQFIPFNARRRICLGLPLASRMLHATLATLLHRLDWALPPGVAENGVDMSEKIGMTMSMANPLKAIAKPICMT* >Brasy3G224200.1.p pacid=40039780 transcript=Brasy3G224200.1 locus=Brasy3G224200 ID=Brasy3G224200.1.v1.1 annot-version=v1.1 MADMMADLFWLSPVEQGDLSDVVRASLHPPEPADHYQQLPAAACFSYLQQPEELEGGLLLHGDHGQLGMMMTDDANSKIRPSSSDHKQVISPEYSLAEMIHRMSPQRPPGMERLQEAAGDDVVVPERGGDRMRQHVSMVHHPARVPAASKPRKSQTKRVVCIPAPTAASGRQSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSDHNHPWPTQRNALAGSTRLSSSASAIAAAAKNTSSSSSSLPTAAQGPTRNSDGINHNDRATAAHHQLKQEDDLDLFADMDSLNIFSSITKTHEEDSKQQQLFDPFSSCFFDCL* >Brasy3G025300.1.p pacid=40039781 transcript=Brasy3G025300.1 locus=Brasy3G025300 ID=Brasy3G025300.1.v1.1 annot-version=v1.1 MTKLGGGGGVKSSWSSGDVATVIGLLAVQCIFGVYMMFLDGLLAAGVPSLFIIVVACAASSAVVLPFAVALERNNWPKHYWSPVLILQLLIISLGGVSLYQVFMMLGVERTSPAIASAMPNLGPGFIFVISACLGFETFEWRCKYTRAKILGTLVCLSGAMCVSFLKNPTPDTSPKSIPGAGDDEELSGVGIDRGWVLGCLYLLTGVTIFACNTVLQAAALKRFPAPLSICVITAMMGSIFSAIIQVIMEGNLSAGTAKNVPRIIGEIVLVGGVVVGLCTTFQVSSIGRKGPVLVSMFSPFQSVFSAFISFVLFGQWIGIGCLVGIVLMFAGLYVVLWAKNREDKMFTELTVPSDGSESDIERPLL* >Brasy3G170200.1.p pacid=40039782 transcript=Brasy3G170200.1 locus=Brasy3G170200 ID=Brasy3G170200.1.v1.1 annot-version=v1.1 MASTATAVAGTFAAVATARHRGSAACPRAAGGGRRRSCVVRCDAGVEVQAQAAAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAVSELTNLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSEILSARMLLIGAGKMGKLVIKHLIAKGYKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYVAAADADVVFTSTASDTPLFTKEHAEALPLISDSMGSVRLFVDISVPRNVSACVSEVEHARVYNVDDLKEVVEANKEDRLRKAMEAQTIISEELIRFEAWRDSLETVPTIKKLRSYADRIRASELDKCLQKIGGDILTKKMRKSIEQLSTGIVNKLLHGPLQHLRCDGTDSHTLGETLENMHALNRMFSLDTEKAVMEQKIKAKVEKTRN* >Brasy3G056100.1.p pacid=40039783 transcript=Brasy3G056100.1 locus=Brasy3G056100 ID=Brasy3G056100.1.v1.1 annot-version=v1.1 MPLWGTASGPPPQAEGGGAQAAGGSLGGGGGGAAAIRSLLPTRRRLRLDPPSKLYFPYEPGKQVRSAVRIKNVSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKDKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVVFLDAERPSAQLDRLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQVEGVDSV* >Brasy3G229400.1.p pacid=40039784 transcript=Brasy3G229400.1 locus=Brasy3G229400 ID=Brasy3G229400.1.v1.1 annot-version=v1.1 MVECAGESSQSVKRPTITLLSSVGTSNSTETESLAIQHLPFVKSSPMWAQIEALEIFSKVPQRPNFHQFQRYGAELSEEMALGLMFSFAILAERIYRLDVQDDQGLLEEKMKSLSSLEENGFDVRDLRSRLETLIQVKNSLHEASSSMVVEMKRFLTIW* >Brasy3G003300.1.p pacid=40039785 transcript=Brasy3G003300.1 locus=Brasy3G003300 ID=Brasy3G003300.1.v1.1 annot-version=v1.1 MLFAELGKEPPAHLLRRRQQVQAQFQGAPGSSHAGAQSIHNDGMDGAGTSANGNNNAIIVEINDTAIGLNNNRGDNVAGKNGSDDDSEALYANSDIDAGHEADDDACVGREADRDAT* >Brasy3G001100.1.p pacid=40039786 transcript=Brasy3G001100.1 locus=Brasy3G001100 ID=Brasy3G001100.1.v1.1 annot-version=v1.1 MPTTSATSRRTWTEKTLAGLRETADCAAARRGTWEQAPPYAPGSSAPAPTSSSPAPPLAPPAPLRRLPPPRLASDEPSSNRTCSLPSSAAGGGRRWREGRRRREVVAGGAEKAGRAGRQSKGERRVPAYQLTVVSALSRGGGGRRPFTWRRRPAKLLRRFNPGHWISVPRLPSPPVSSRLLPCELADAALRLPTRLASARSLSPPRAPSRLRLSSQLASPPSSPPAPLRALPLAKNRRRQRAERTDPEAVRTDPAPATDPAVSSKRIDPAAAARTDPAA* >Brasy3G256500.1.p pacid=40039787 transcript=Brasy3G256500.1 locus=Brasy3G256500 ID=Brasy3G256500.1.v1.1 annot-version=v1.1 MQRTDGIIWGPFPKMMYLKHEPFFAAIFWGVHLMEDLLA* >Brasy3G080500.1.p pacid=40039788 transcript=Brasy3G080500.1 locus=Brasy3G080500 ID=Brasy3G080500.1.v1.1 annot-version=v1.1 MASSSSSSSSSAAAAVGRAVEEVRTALNEHADVVADLFGRVSTELRGGFAPAVDSFIGFFHAVDWKEPWLIGMISFHAILLLVTIISRRNMNFQLILSALTFSGVFLAERLNTFLGQHWKSFSSQNYFDPQGLFISVMWSGPLLLITILILVNTLVTLCMLMVRWKRAELRHRARETRSKQE* >Brasy3G111700.1.p pacid=40039789 transcript=Brasy3G111700.1 locus=Brasy3G111700 ID=Brasy3G111700.1.v1.1 annot-version=v1.1 MCNMFSFVHYTRKKQLQVHTSSNDVHVHIFSGFSKTWEWPEAPWCLCTQVSIIPGVELNFESLKLSDCDGVESDQLEDDGAFYLGALMLGLVKMRLNPVEACPPCLRDHSEQQDQDQESNSKMSKCVVVTVDNKDGSADQTICRLNPAQPVLYSCVGLVEEIIKDY* >Brasy3G271900.1.p pacid=40039790 transcript=Brasy3G271900.1 locus=Brasy3G271900 ID=Brasy3G271900.1.v1.1 annot-version=v1.1 MPSRYLVLVVSIAILFSGDAAAIHEPDMAAAAPFGDREAGFSFPVLHWKSMAEVVKEEMEFAATASAAAATEEKFVVPFRHRPRYSMYLVQLRIGGGPADEVRSRYVLFDTGDDLSWTQCVPCRKCSRSYYRPFNPVTSSTYKHLPCEDPMCEHSRITQCEVSFTSPGNNLCLFSKKYVDGSKLGGYLGSDIFRFGIGGYNFGQAIVFGCARTESTTAVREYSTGILGLGMGPLSFVAQAGVDKFSYCALSPETRDVRDQWGESTSYLRFGSHAVTSGMIVPFKQDGASFVVSLKSVTYRRGSRLNQEQPVPIFSREDAADFLRIVVDSGTSMTYLPGIIFDPLLKRIDDEIGLTRVYASNPNINCYFGDMSDAKVSVTLGFEGGAELELFGDTLFYKGSAGNYICLGMTVDNKRATLGMFAQRNINVGYDISKMEISFNREACA* >Brasy3G064900.1.p pacid=40039791 transcript=Brasy3G064900.1 locus=Brasy3G064900 ID=Brasy3G064900.1.v1.1 annot-version=v1.1 MMGKTQKFSKGHPVGFVPDYRYGVETGGVSKGPPVNPARSEAKRKCINLNTEEGGDAPGFNVPREVFELSRMSASDRKDLEMKLRQELEQVRALQNRLFSRGATTSMIGATSSAPGGDFNGNKKDGKLKRSNSVQSGRGVMPSVAQPAVSSINYAPLFKKCQDLLRNLMRHRYGQTFSIPVDPVKLNIPDYFDIVKHPMDLGTIQKKLNTGSYPTPWEFAADVRLTFSNAILYNPHNNVVHQMAKTMSSHFEPRWKPIEKKLPRPEEEPSVVEPSVVEPSIVEPSDKGAVEKNLIVNRVPSEKKPSNKGAYKKGSFHKEEVLANPVLQPKKRKASPLVQDAPVAPEVQMVQVVEDAPVAPAVQVPQVAEDAPVRPTDMDMMTDKQKVDLSVRLQSYGGFIPDHVVEFIRRHVNDDNDADEDELTVDMNALDDPTLFELQKLLDDYDRENPSGNPRKDESHEVEQFQSEYGLRDSSMHHEGNELVEEDIDIGGNDLPLLTYPPVVFESETADRSSKHSSSSSSSSESGSSSSDSSSSSGSDLDVKVPPPNIGAKENTLSVVSLDQDNDSRNTLNITEQSTDPVLISADNEEENVSEKQVSPDDKQYRAALLKSRFADTILKAREKALDQVAQKDPEKVQREREELERLQREERARLQAEAKAAEDARRRAEAAAAAEAAAEAKRQRELEREAARKALQQMEKTVEINEGSLFMKDFEMLGTVAGEQHPNLVGDMNPTHTPEGLGFQLGGNPLEQLGLYMKNDDEEDEEVGSAYEPTVDVEEGEID* >Brasy3G064900.2.p pacid=40039792 transcript=Brasy3G064900.2 locus=Brasy3G064900 ID=Brasy3G064900.2.v1.1 annot-version=v1.1 MMGKTQKFSKGHPVGFVPDYRYGVETGGVSKGPPVNPARSEAKRKCINLNTEEGGDAPGFNVPREVFELSRMSASDRKDLEMKLRQELEQVRALQNRLFSRGATTSMIGATSSAPGGDFNGNKKDGKLKRSNSVQSGRGVMPSVAQPAVSSINYAPLFKKCQDLLRNLMRHRYGQTFSIPVDPVKLNIPDYFDIVKHPMDLGTIQKKLNTGSYPTPWEFAADVRLTFSNAILYNPHNNVVHQMAKTMSSHFEPRWKPIEKKLPRPEEEPSVVEPSVVEPSIVEPSDKGAVEKNLIVNRVPSEKKPSNKGAYKKGSFHKEEVLANPVLQPKKRKASPLVQDAPVAPEVQMVQVVEDAPVAPAVQVPQVAEDAPVRPTDMDMMTDKQKVDLSVRLQSYGGFIPDHVVEFIRRHVNDDNDADEDELTVDMNALDDPTLFELQKLLDDYDRENPSGNPRKDESHEVEFQSEYGLRDSSMHHEGNELVEEDIDIGGNDLPLLTYPPVVFESETADRSSKHSSSSSSSSESGSSSSDSSSSSGSDLDVKVPPPNIGAKENTLSVVSLDQDNDSRNTLNITEQSTDPVLISADNEEENVSEKQVSPDDKQYRAALLKSRFADTILKAREKALDQVAQKDPEKVQREREELERLQREERARLQAEAKAAEDARRRAEAAAAAEAAAEAKRQRELEREAARKALQQMEKTVEINEGSLFMKDFEMLGTVAGEQHPNLVGDMNPTHTPEGLGFQLGGNPLEQLGLYMKNDDEEDEEVGSAYEPTVDVEEGEID* >Brasy3G256200.1.p pacid=40039793 transcript=Brasy3G256200.1 locus=Brasy3G256200 ID=Brasy3G256200.1.v1.1 annot-version=v1.1 MDHGWMDHGWMDGGKLLLLLPLPCLLLIYKPDISLSHQLRLFCELGEEGKKVLASIMIDLPPTGGSTLIGVQSEHIQMEAADSCGDRRRQRYNTKHIIRHLSFQHY* >Brasy3G131800.1.p pacid=40039794 transcript=Brasy3G131800.1 locus=Brasy3G131800 ID=Brasy3G131800.1.v1.1 annot-version=v1.1 MTTLSTGVLLKLLDGMRSGAAKPVGEHRTAVLQVTDILPADMDEKDLFPRHGQFYVKVSDSSQSIYASLPLAQADLVLTNKLSLGQFVHVDRLDPGSPVPVIVGARPLPGRHPLVVSTPEPPARGKAAAPRRGSWGPENHTVAGAASPKVAIKPTALNFDERTPVKPSPARSSTASASVRKSSSVLPRMMSRSRSFAADRGDPPPPKIPKSPFPAEKSSMSCTAASRPMSRRVREQEPSSPVSDDDTATSAKKRQSSTARVPVPGKVNALGKEAMEQREQAQKAALEALRNASATENVARIYKMFSGLSKAARPDAPAACFESFLRFHQEAVQAVADIESIQAATSMAASSAVAVSDEPPSEEAHVLQEIAQNRTAAMRRRAGGLLGGVSKSVSFAPGTLLDSSSRHDGGRSSSASRKCLAVDKTGGEGEEKRSSVLGSSLKLAKQILVEAGSWFMEFLEAVLETGLKKKRSKQSSSSSSATGKQSGGSCYCPQSLMLRVINWVEMEQSGDSRKAGHPRAAAIARKLRIKAKNP* >Brasy3G143500.1.p pacid=40039795 transcript=Brasy3G143500.1 locus=Brasy3G143500 ID=Brasy3G143500.1.v1.1 annot-version=v1.1 MAAPPRPSLEPEIGPDGLARDSPVLAYTEKVIAEEQLQLKKYIQENYSKIRDVEKELENLTLEVKLTAGPKKAALEHLRKKIEMSTERIRLAKVKEEDAKKAWEAAAQVVKEEEDAKQKLCDDLNRLVQESAASQYSRLEELKKRLESLNPTRTSVDASGVNTAQQATANPAPQQPTSINPSNATAPMSNAAEPASSGPQQQRPADSERKQRPSNSGGRGRGGVMILPKGRGSSGSGWTGAGFET* >Brasy3G129800.1.p pacid=40039796 transcript=Brasy3G129800.1 locus=Brasy3G129800 ID=Brasy3G129800.1.v1.1 annot-version=v1.1 MAAAVTPKTLLVFTAYTLQSSRGCLSTARRSPTSPPYADLCAPAVASSPRPGGTHSWAQAAQPVLRPRAAAASSAATAAEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIIRGWRPSYRHVLLQVRRKLSVRCSSKLCTSDLEAEIFLHLLDEYSSHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKFSLLSKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.2.p pacid=40039797 transcript=Brasy3G129800.2 locus=Brasy3G129800 ID=Brasy3G129800.2.v1.1 annot-version=v1.1 MAAAVTPKTLLVFTAYTLQSSRGCLSTARRSPTSPPYADLCAPAVASSPRPGGTHSWAQAAQPVLRPRAAAASSAATAAEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIIRGWRPSYRHVLLQVRRKLSVRCSSKLCTSDLEAEIFLHLLDEYSSHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.3.p pacid=40039798 transcript=Brasy3G129800.3 locus=Brasy3G129800 ID=Brasy3G129800.3.v1.1 annot-version=v1.1 MPTSALLRSHPLLAPAEPTAGLRPPSRFSVPARLLRPPRRLRQKVLRVIKLGLLCALDLSSLLLQWCASEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIIRGWRPSYRHVLLQVRRKLSVRCSSKLCTSDLEAEIFLHLLDEYSSHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.4.p pacid=40039799 transcript=Brasy3G129800.4 locus=Brasy3G129800 ID=Brasy3G129800.4.v1.1 annot-version=v1.1 MAAAVTPKTLLVFTAYTLQSSRGCLSTARRSPTSPPYADLCAPAVASSPRPGGTHSWAQAAQPVLRPRAAAASSAATAAEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIISHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKFSLLSKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.5.p pacid=40039800 transcript=Brasy3G129800.5 locus=Brasy3G129800 ID=Brasy3G129800.5.v1.1 annot-version=v1.1 MAAAVTPKTLLVFTAYTLQSSRGCLSTARRSPTSPPYADLCAPAVASSPRPGGTHSWAQAAQPVLRPRAAAASSAATAAEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIISHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.6.p pacid=40039801 transcript=Brasy3G129800.6 locus=Brasy3G129800 ID=Brasy3G129800.6.v1.1 annot-version=v1.1 MPTSALLRSHPLLAPAEPTAGLRPPSRFSVPARLLRPPRRLRQKVLRVIKLGLLCALDLSSLLLQWCASEGMSDPELRLVLELATNEELLEFEEILYGTSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIISHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.7.p pacid=40039802 transcript=Brasy3G129800.7 locus=Brasy3G129800 ID=Brasy3G129800.7.v1.1 annot-version=v1.1 MFHVTDINMVLYPLFCSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIIRGWRPSYRHVLLQVRRKLSVRCSSKLCTSDLEAEIFLHLLDEYSSHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKFSLLSKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.8.p pacid=40039803 transcript=Brasy3G129800.8 locus=Brasy3G129800 ID=Brasy3G129800.8.v1.1 annot-version=v1.1 MFHVTDINMVLYPLFCSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIIRGWRPSYRHVLLQVRRKLSVRCSSKLCTSDLEAEIFLHLLDEYSSHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G129800.9.p pacid=40039804 transcript=Brasy3G129800.9 locus=Brasy3G129800 ID=Brasy3G129800.9.v1.1 annot-version=v1.1 MFHVTDINMVLYPLFCSYFSPLIKSIAKRPNSDSVVALDDIEERDIFISKLESRFFYLAADARSIISHQKGSLSFPWDKQKSAKENSSLGLNNWKILTDAAWRIGVKGLESTFLKSGSALTLKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAAKQGLARAASRYVGLRSVMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYIESHEE* >Brasy3G228500.1.p pacid=40039805 transcript=Brasy3G228500.1 locus=Brasy3G228500 ID=Brasy3G228500.1.v1.1 annot-version=v1.1 MAALGPDSILSEFRCSPSCTRGVILGSEPICPPDCFQYKGTVDVFLKVVRQEGFGRLWRGTNAGLALAIPTVGIYLPCYDIFRNRIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFQPGVKPPGMWKTLLGVLSPHASSCQSVQNYRVLWTGVGAQLSRDVPFSAICWSTLEPIRRKLLGLVGEEADAASVLGANFAAGFVAGSLAAGLTCPLDVAKTRRQIEKDAQKAMRMTTRQTLVDIWSSGGPKGLFIGVGPRVARAGPSVGIVISFYEVVKYALHQSQMA* >Brasy3G204500.1.p pacid=40039806 transcript=Brasy3G204500.1 locus=Brasy3G204500 ID=Brasy3G204500.1.v1.1 annot-version=v1.1 MAFSRLLPSRRLLSALLHTPTPAPASHVTGATPFASFLQPARFFSATRRGAAPRQRAADIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARFASDPSKTRCRLGGLVLEGSVAHPSSSSSEIEFVVTDLVTDVLVRYEGALPDLFREGHSVVVEGFLKPLTDDLRADCAGRKVSDKAREGECFLRGTEVLAKHDEKYMPKEVGEAIERNKKQIEAEAAAAAAEETAAAAAVAVDGTKASS* >Brasy3G013400.1.p pacid=40039807 transcript=Brasy3G013400.1 locus=Brasy3G013400 ID=Brasy3G013400.1.v1.1 annot-version=v1.1 MESQAAAAAEEEEEEQSRGSTAARANMGDLPESCVAHVLALTSPRDACRCAAVSPTFRDAAGSDAVWARFLPPDYRAITLLRGAPPQPSTAARSKKETYLGLADSAAVVMEDGGGGMALWLAKGSGGKCVALSDDGELCWRWTPHPLSRFSEVAQLVDCTCLDIYAHLPAATLTPATAYAAYLVFATVDGHRGLSFPNQETTVSVGDHVTSRHNVCLRPDVAEAQRFMGLEGFGSDDVRVPTLRTDGWWEMEMGRLRTGGEEAMAREEEEVVASFEVLGWYPKCGLVVEGVEFRPLCL* >Brasy3G043300.1.p pacid=40039808 transcript=Brasy3G043300.1 locus=Brasy3G043300 ID=Brasy3G043300.1.v1.1 annot-version=v1.1 MASTSSYFLLLAAVLALVSWQAVASDPSPLQDFCVADNSSRVLVNGFVCKDPKDVTAEDFFLAAKLDMPRDTKMSKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLQGTLYVGFVTSNPENKFLSKELKKGDVFVFPQGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWADNHN* >Brasy3G312000.1.p pacid=40039809 transcript=Brasy3G312000.1 locus=Brasy3G312000 ID=Brasy3G312000.1.v1.1 annot-version=v1.1 MATQSAVRLIGGTGASSWSKDFGAYDSSLGMGNISGEGLGFVDNGSGVYGGWRESGPNRSGSAPPSMEGSLAALGNLIGQQSGNFDASLANIDNVTDSSKSEEQLRADPAYFDYYGSKVNLNPRLPPPLISRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLPTHREEPEEDRSPRLDSNSTDDAQMNLVDFVPESFQQNINSLYDNSSSHPSSSNIGDAVAVHSNINSPKNFSHDVKPSGLNSWTPVHASGLRSTVSNSLSPTSATNSSCSDNNTGRQTSPQEKRSIDMKPVNNAPGSGAVVTELDTLDSNMKNLKLSLDSHTHTASHVKQQWQENLLLQYGSAPSIQGDHIQMTPHGTHLPRGPFVDNLSQTHLKLSNADMQQFLPQPGMTTPFYAPNSFGSPYYPNLHPASLLPGPFGTAGYALGGSALPPVMTSYSPQGSVATPLDSPITPTFSGRPSGFPSAGTEFVQPYKIYGQLGVAMQPPIPDPNFFHFFQHPSFPQYAGGNQFNTLGPRGSVFGNVADNFDPQKISPQAQYPSDQRLQLPRTGIPNSPTARRGGTVPNYHSFQPYVGAPLTYPTSPVFPGIPPSGSRNDSVRFQSPSRNMTASSGIQGQRDREKFDGPKACSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKAAVFVEVLPHATSLMTDVFGNYVIQKFFEHGTPEQRRDLGTKLVGHVLPLSLQMYGCRVVQKALEVMELEQKIELIRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSSFQGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLEKGKSHERAQIISKLAGQVVTMSQNKFASNVIEKCFQHGDFAERDLLIREIVQQTEGNDTLLAMMKDQYANYVVQKILETCNDQQRELLVSRVKGHLQALRKYTYGKHIASRVEQLCGEGAVCLDTRPAPSSRKKAELGTPMHLEALNSPLRLYIQ* >Brasy3G312000.2.p pacid=40039810 transcript=Brasy3G312000.2 locus=Brasy3G312000 ID=Brasy3G312000.2.v1.1 annot-version=v1.1 MATQSAVRLIGGTGASSWSKDFGAYDSSLGMGNISGEGLGFVDNGSGVYGGWRESGPNRSGSAPPSMEGSLAALGNLIGQQSGNFDASLANIDNVTDSSKSEEQLRADPAYFDYYGSKVNLNPRLPPPLISRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLPTHREEPEEDRSPRLDSNSTDDAQMNLVDFVPESFQQNINSLYDNSSSHPSSSNIGDAVAVHSNINSPKNFSHDVKPSGLNSWTPVHASGLRSTVSNSLSPTSATNSSCSDNNTGRQTSPQEKRSIDMKPVNNAPGSGAVVTELDTLDSNMKNLKLSLDSHTHTASHVKQQWQENLLLQYGSAPSIQGDHIQMTPHGTHLPRGPFVDNLSQTHLKLSNADMQQFLPQPGMTTPFYAPNSFGSPYYPNLHPASLLPGPFGTAGYALGGSALPPVMTSYSPQGSVATPLDSPITPTFSGRPSGFPSAGTEFVQPYKIYGQLGVAMQPPIPDPNFFHFFQHPSFPQYAGGNQFNTLGPRGSVFGNVADNFDPQKISPQAQYPSDQRLQLPRTGIPNSPTARRGGTVPNYHSFQPYVGAPLTYPTSPVFPGIPPSGSRNDSVRFQSPSRNMTASSGIQGQRDREKFDGPKACSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKAAVFVEVLPHATSLMTDVFGNYVIQKFFEHGTPEQRRDLGTKLVGHVLPLSLQMYGCRVVQKALEVMELEQKIELIRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSSFQGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACVLAQDQYGNYVTQHVLEKGKSHERAQIISKLAGQVVTMSQNKFASNVIEKCFQHGDFAERDLLIREIVQQTEGNDTLLAMMKDQYANYVVQKILETCNDQQRELLVSRVKGHLQALRKYTYGKHIASRVEQLCGEGGAESDS* >Brasy3G312000.3.p pacid=40039811 transcript=Brasy3G312000.3 locus=Brasy3G312000 ID=Brasy3G312000.3.v1.1 annot-version=v1.1 MATQSAVRLIGGTGASSWSKDFGAYDSSLGMGNISGEGLGFVDNGSGVYGGWRESGPNRSGSAPPSMEGSLAALGNLIGQQSGNFDASLANIDNVTDSSKSEEQLRADPAYFDYYGSKVNLNPRLPPPLISRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLPTHREEPEEDRSPRLDSNSTDDAQMNLVDFVPESFQQNINSLYDNSSSHPSSSNIGDAVAVHSNINSPKNFSHDVKPSGLNSWTPVHASGLRSTVSNSLSPTSATNSSCSDNNTGRQTSPQEKRSIDMKPVNNAPGSGAVVTELDTLDSNMKNLKLSLDSHTHTASHVKQQWQENLLLQYGSAPSIQGDHIQMTPHGTHLPRGPFVDNLSQTHLKLSNADMQQFLPQPGMTTPFYAPNSFGSPYYPNLHPASLLPGPFGTAGYALGGSALPPVMTSYSPQGSVATPLDSPITPTFSGRPSGFPSAGTEFVQPYKIYGQLGVAMQPPIPDPNFFHFFQHPSFPQYAGGNQFNTLGPRGSVFGNVADNFDPQKISPQAQYPSDQRLQLPRTGIPNSPTARRGGTVPNYHSFQPYVGAPLTYPTSPVFPGIPPSGSRNDSVRFQSPSRNMTASSGIQGQRDREKFDGPKACSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKAAVFVEVLPHATSLMTDVFGNYVIQKFFEHGTPEQRRDLGTKLVGHVLPLSLQMYGCRVVQKALEVMELEQKIELIRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSSFQGQVASLSMHPYGCRVIQHVLEKGKSHERAQIISKLAGQVVTMSQNKFASNVIEKCFQHGDFAERDLLIREIVQQTEGNDTLLAMMKDQYANYVVQKILETCNDQQRELLVSRVKGHLQALRKYTYGKHIASRVEQLCGEGGAESDS* >Brasy3G334800.1.p pacid=40039812 transcript=Brasy3G334800.1 locus=Brasy3G334800 ID=Brasy3G334800.1.v1.1 annot-version=v1.1 MSTTTAANADEQCDGNGGGATSLAEDEAFEMLTHVSLDDLAACRDVCARWRRLTYEPAFAPLHLRRAGAGFVSGYLVQGLARNRYSATFVSSTSGADVSLDFLPCSPDVRLEAVSAHRGLACCVDRAAATAFSLSSGAKPGTRHCYYVCKPATKQWRPLPSPRLRFPTAATAMIARPSGAGAADFKILRLSIPTLRDRLRCEIFDSRRKRGGWRRSADVPLWPESLAGAGAAVRAHGAMHWLRWPGGPGGGEEDIFAFDMRSETWRLVPIPPELEERAAGARKKLAAAEGRLCLVAVADDGEAEVWVVAGYGGRAERWEKKMMVRLENLAAMDGRQLVFRDLCSSDVALLNTVYTVIWYDFLKGKKIAEVPVHHKCIQQVFKYESDLVPWDI* >Brasy3G186200.1.p pacid=40039813 transcript=Brasy3G186200.1 locus=Brasy3G186200 ID=Brasy3G186200.1.v1.1 annot-version=v1.1 MAEEAKEEVAPPAPEPTKDIAEEEKAAVPAPEESEALVVSDGKPAATEGSHERDAFLARVATEKRMSLIRAWEENEKAKADNKAAKLVADISSWEKSKAAQLEAELRKMQEQLERKKAQYAEKLKNSVASVHKAAEEKRAAAEARRGEEIVAAEEAAARYRAKGEAPKKLFFAS* >Brasy3G291900.1.p pacid=40039814 transcript=Brasy3G291900.1 locus=Brasy3G291900 ID=Brasy3G291900.1.v1.1 annot-version=v1.1 MAHALGLVAVLLAVSAIAVLAAESHAPAASPKSSSSSSSGSPSKAPSKAPPPKSAAPAKAPSGKSSTATKTKTPAHAPAKGSSSSSEEEALPSTSPAAGSPDGSSSESGAPGSGAAAAEEEPSEPAAEVPTESSEAAADSPPEPAGTSSDSPAGAPGPGGEADASGGSAGVSSGVAAAVVAAAAVSAVLSSVHGPCPCPRGLQSVLYVLWRASGGWRTEARPKYRTHGGRGDLSSGPGVSVTRTDGQNPNPRAAVRRILPLSVASPLAVASKFTPTKNRRPVDD* >Brasy3G223700.1.p pacid=40039815 transcript=Brasy3G223700.1 locus=Brasy3G223700 ID=Brasy3G223700.1.v1.1 annot-version=v1.1 MARVSNSKKRMLHNKKKEDAGSGGDDDRRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDDLTRRYKGKTVMNQEERYESLRHCKWVDEVIPDAPWVLTPEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETRRTDGVSTSDLIMRIVKDYNEYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAQQEKLQTAAKTAGINHEEWLANADRWVAGFLEKFEEHCHTMETAIKDRIQERLGRQASKGIAAARIMRQQVAAA* >Brasy3G294500.1.p pacid=40039816 transcript=Brasy3G294500.1 locus=Brasy3G294500 ID=Brasy3G294500.1.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWSQTPSSSPSFPRFSLGSMGFSSRIGCFSVRD* >Brasy3G294500.5.p pacid=40039817 transcript=Brasy3G294500.5 locus=Brasy3G294500 ID=Brasy3G294500.5.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWR* >Brasy3G294500.3.p pacid=40039818 transcript=Brasy3G294500.3 locus=Brasy3G294500 ID=Brasy3G294500.3.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWR* >Brasy3G294500.4.p pacid=40039819 transcript=Brasy3G294500.4 locus=Brasy3G294500 ID=Brasy3G294500.4.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWR* >Brasy3G294500.2.p pacid=40039820 transcript=Brasy3G294500.2 locus=Brasy3G294500 ID=Brasy3G294500.2.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWR* >Brasy3G294500.6.p pacid=40039821 transcript=Brasy3G294500.6 locus=Brasy3G294500 ID=Brasy3G294500.6.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAAAAQPRSAHPQPPSDRRRVSSCWR* >Brasy3G022700.1.p pacid=40039822 transcript=Brasy3G022700.1 locus=Brasy3G022700 ID=Brasy3G022700.1.v1.1 annot-version=v1.1 MCAHSGAPVVGGGREDDAVAGFRGEGGHRGEGRCSVVAVVDPAQEHAAGHESGWETAAGGRQGEAARGAARGGQLKLEAARGEETRARLGQGG* >Brasy3G155800.1.p pacid=40039823 transcript=Brasy3G155800.1 locus=Brasy3G155800 ID=Brasy3G155800.1.v1.1 annot-version=v1.1 MEEDRGTQQAAAEDKENPSSALCLRSPGDYAVGSLPTVVYVPDFISQIEQSQLLHHIYQAPAPKWKTLKNRRLQNWGGVVHEKGLLPQALPPWLIKITDRISQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFIPHGKLRGQEHTDTPNIHSDELEECNDSHEVEGSKEAAPVSSSIALMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVANISQCPEFKHLSSDYSQRNAEEPAGPERSGTFRRTMTRVSLTCRLVLKVHNKLFKF* >Brasy3G141600.1.p pacid=40039824 transcript=Brasy3G141600.1 locus=Brasy3G141600 ID=Brasy3G141600.1.v1.1 annot-version=v1.1 MRRRTPPPLHSSVRQRRGEFVLGGLSLQISIQLSNAGMCSGLSNAGCQVRVGLTTPRTSEFLKHNNLLDQFYLGLISSILRGVSSNKQEDRTSEIFVNSLAFSKDTEGASRKYVSLSDFYFSEKYTCLKLRCDGSVFRNNLLLVQYNIWYIAEYFLF* >Brasy3G304800.1.p pacid=40039825 transcript=Brasy3G304800.1 locus=Brasy3G304800 ID=Brasy3G304800.1.v1.1 annot-version=v1.1 MAAATMRVAAAMAVLLTLASAAAALNTDGLALLALKFAVSDDPGSGLSTWRDADADPCGWSGVTCADGGGGRVAAVELANLSLAGYLPSELSLLSELQTLSLPSNRLSGQIPAAIAALQNLVTLNLAHNFLSGQIPPGISRLASLSRIDLSSNQLNGTLPPGIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPSLCGFPLKVECAGGRDEPRIPQANSNGLNPGAAAEVGRRPGKKRSSSPTLAILAVIVVAAIVAGLVLQWQCRRRCAAAGRDEEKESASASSAKEKKVSGAAAMTLAGSEERHGSGGGEEGELFVAVDEGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGEGESGWRRRRAFESEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLANGSLHSALHGGPTASPTPLPWSIRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVVAGAHKAHSKKLSCALRNNGNGALPYVGPELRGGANGAAAAATQKGDVFAFGVVLLEAVTGRQPADGEGGAELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVADSLDRINSC* >Brasy3G308000.1.p pacid=40039826 transcript=Brasy3G308000.1 locus=Brasy3G308000 ID=Brasy3G308000.1.v1.1 annot-version=v1.1 MRRLPRAALRLMVVLSDGWMCVVWGHELCLKCALDLSTVMKAYEVAGLAGAVPCPLCRSGIASFRKGAAPDDDTNGGGGHHEAPGGQLEEEEEEKKANCASPPSPVCTVLNFAVYRLKLRAAFRRFPLPVLSIDSLQSGTVLMYRNTVSTVLKF* >Brasy3G025800.1.p pacid=40039827 transcript=Brasy3G025800.1 locus=Brasy3G025800 ID=Brasy3G025800.1.v1.1 annot-version=v1.1 MAYRPYPPPQGGFPPQPPPMNPYGPPPQQQQAGYGHMPPRPPFHAPPPPPPGPPPPHQPQYNFAHAPPQQQQQPPPPPQMYYQPPPPPYSGNNNQAPPPPPPMSPPPSAPPPPPAQPPREAPPPPKEQQAKAALPRVETEEERRARKKREYEKQKVEERKQQQMMRQSQASILQKTQQVRAAQQQQPQSRHHQPSGGTRAATTTSRPASAPNTERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMSVYNPPAVQPRMAPEDEELLRDDEVLTPVKPEGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSMTEKQAKERRESREGRDFLENMNDRQKRIKAIAESFKAAKSRPVHQTKPGMEPEFVLPLIPDFDRYNNPFVMVNFDGDPTADSEQYNKLERSVRDECESRALMKSFQVSGSDPTKQEKFLAYMAPAPHELAKDLDDENEDVQYSWIREYHWEVRGDDKNDPTTYLVAFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVDRTVHGDAMERGESSSMHGNLKRQRSSLDDDLDEHPKHSRAEDMDQDSEEYSD* >Brasy3G069800.1.p pacid=40039828 transcript=Brasy3G069800.1 locus=Brasy3G069800 ID=Brasy3G069800.1.v1.1 annot-version=v1.1 MGPKIVGGFLLVLLAALVVSDAQVLPLPCCRFDCCDGRPACCAAGDFPPGAPAAVDATAAQAEAPAAAALGGVSRKVSAGN* >Brasy3G201200.1.p pacid=40039829 transcript=Brasy3G201200.1 locus=Brasy3G201200 ID=Brasy3G201200.1.v1.1 annot-version=v1.1 MAAAVSACPMESASSRLFLLLAFLFIVAVVGGSSPASACGSCVRRSKAAYRASSPALDNAGSCGYGALATTLDAGGLLAAVSPALYRNGAGCGACYQVRCTDAGLCSASGARVVVTDQARVTDRTDLVLTGAAYAAMARGGGGTPAARELRERRAVNVEYRRVPCEHHGHRNLSVRVEEGAPEAGQQLAIRFLYQGGQTDIVAVDVAAAAGSSSSGWRPMARERGGPAWRTTGRGAPEGPLRMRMVVTGGYDGKWVWADGEVIPRRWKAGRVYDTGVQIADVALDGCHGHPCHDDTREWR* >Brasy3G306200.1.p pacid=40039830 transcript=Brasy3G306200.1 locus=Brasy3G306200 ID=Brasy3G306200.1.v1.1 annot-version=v1.1 MIAGHAAAGEAREAVGLFREAVASGREVNAVTVAQVMAACAQCGDMESGRWVHDTLRRWGVEPVMLDVALATAVLDMYARCGGIDVAFEVFDAMPHRNEVSWNAMVEVYSRHGRTDKVLEMFAGMHSAGMKPDKVTWLSILRACTSMGDASLGRGVHAYMEKTNCCQHVAVCTSLMDMYSKTGSAQSALQVFRCLEGKDLMAWTSMITGLAKHGHGRDAVQLFNQMESGGVVPDHVAFVGVLTACNHAGMVDEGRKYFDSMFNIYGISPRIKHYGCMIDLLSRAGHLCEVEGMMQLMPIQPSVTMWGSMMNGCKIHGRADVAERIGKEVAEFNPQLSAAYVVMSNIYAEFGRWHAVEQTRRLMWKTGLKKNIGSSGTDVHMLCS* >Brasy3G338600.1.p pacid=40039831 transcript=Brasy3G338600.1 locus=Brasy3G338600 ID=Brasy3G338600.1.v1.1 annot-version=v1.1 MDIATKLATLRCTALSTEDIAIQDIISNCLMDTIQDSMSCIMEEARRDGERKYNVKEVGGVEVLEVSSGQAGMGKGTGKLVAMKRRLAYHVPKSRAVAPALGKEEGPLTADGEQHGPSDDFTVDNVVFSDGSSTSVLQLVAGVVDSGMDVDVAAGSEVVSNLAIHELGLPQREVTEEPEYPLSPFDLGYETITVDGKRSRKLYRSIATLSAEQLDSVWYRSTTPWEIAITGRDIEAQMKRGGEFSIALFDGLMRLYQSLDDVVYGPQRKRWRCFLPARFADAVLRGDPYLDMDSIRKCFIGTNLNFDFEHVHQASAMLNLASKPDTCNCSSPFRRKVELLHLGYGKC* >Brasy3G305900.1.p pacid=40039832 transcript=Brasy3G305900.1 locus=Brasy3G305900 ID=Brasy3G305900.1.v1.1 annot-version=v1.1 MALSRPETAVGAPWSRLEGQVVLVTGASSGIGREFCLDLARAGCRVVAAARRADRLRSLCDEINAAADASEGTRAVAVEIDVAAGGSAAEAAVQRAWDAFGRIDVLINNAGLRGGVHSALDWPEDEWDKLMKTNLTGLWLVAKHVCRRMRDAKIKGSVINISSVAGLNRGHLPGSIGYTSSKSAVHYATKLMALEVGAYGIRVNSIAPGIFQSEITAPLLQKKWLKTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFIVDSGVTLPGVPIFSSL* >Brasy3G305900.2.p pacid=40039833 transcript=Brasy3G305900.2 locus=Brasy3G305900 ID=Brasy3G305900.2.v1.1 annot-version=v1.1 MALSRPETAVGAPWSRLEGQVVLVTGASSGIGREFCLDLARAGCRVVAAARRADRLRSLCDEINAAADASEGTRAVAVEIDVAAGGSAAEAAVQRAWDAFGRIDVLINNAGLRGGVHSALDWPEDEWDKLMKTNLTGLWLVAKHVCRRMRDAKIKGSVINISSVAGLNRGHLPGSIGYTSSKSAVHYATKLMALEVGAYGIRVNSIAPGIFQSEITAPLLQKKWLKTVVSKIVPLKTHGTTDPALTSLTYPATRMFIWSITTIDLAKTMYSHGYF* >Brasy3G238300.1.p pacid=40039834 transcript=Brasy3G238300.1 locus=Brasy3G238300 ID=Brasy3G238300.1.v1.1 annot-version=v1.1 MAMSLTRFSHWIWPGNRTRRARELPAGSTTVSHGLFPDSPSGFREPNAVRLPSSGGGPRPRKGRNRRPSREDSLIDREHDMVIVPSDGGGYLSDSDSDNSDWSIGWLEPQAPEMQQSDGDSEGSFAVLVPCYRHGRVEQPVQPNSRFPAHSALPDDSFTGGNTFVEQWLSSLQN* >Brasy3G125500.1.p pacid=40039835 transcript=Brasy3G125500.1 locus=Brasy3G125500 ID=Brasy3G125500.1.v1.1 annot-version=v1.1 MAFHTADVASLTAAQLGAYGVGLPGRARGRGVPGEGGRRSSLGEAHGGWGRACRAERARGERSLLASREDIGIPSRPGDLGSRSGAYAQGAPTGPPPTWRSAACTRRETTTSGGGGRQGAAERRRAGVRRAASAAGRGSASNSGGTGRGEQGRNRAAAGRGAASSDASEQCTASSGASEECSASSGSGDAYLGTERDGEGERRARGLSRPSQKQGISG* >Brasy3G333100.1.p pacid=40039836 transcript=Brasy3G333100.1 locus=Brasy3G333100 ID=Brasy3G333100.1.v1.1 annot-version=v1.1 MSTTHGDATAAATDVVPDARAPPPSKADNLFMQIVVHPDGTVTRPFVPTVPPSSGAGDPAAVQSRDVPLDAALGTYLRLYLPATIPDSKKLPVILYFHGGGFVLFTPATVFYHASCEAMAAAVPAIVASLHYRLAPDHRLPAAYHDAAAALLWLRQNSAADPWLSAHADLDSGRFLMGSSSGANMAFHAAFRDTPSPFFPVSGVVMHQPYLGGETRTASEAASEDDAMLPLEASDKLWRLALPDGADRDHAYSNPVKSMAVEDLAGRLPRCLVSGSAGDPLIDRQRAFAAWLRESGAVEVVEKTDGKGFHAAELFVPEVAEELFAAVREFVYGTGGPSAAAVGDQPSPAAPR* >Brasy3G196300.1.p pacid=40039837 transcript=Brasy3G196300.1 locus=Brasy3G196300 ID=Brasy3G196300.1.v1.1 annot-version=v1.1 MHMMRRLKSIASGRSSVSDPGGDSGSKRPKFDQEGSGDIVIEPQLSDDKPMRLDQESSSSHRDADASTSTSMNPAKTEETAADLLPKGMNDMTISDYRVDGLTDKDAEGVIVNGNGTEAGQIIVTTIGGQNGKPKQKVSYMAERVVGTGSFGVVYQAKCLETGETFAIKKVLQDKRYKNRELQTMQLLDNPNIVQLKHHFFSTTDRGEVYLNLVLEYVPETVYRVAKYYNRMNQRVPILYVKLYTYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLPNGRPLPALFNFSVAELEGFPIELLHRIIPEHTRK* >Brasy3G004900.1.p pacid=40039838 transcript=Brasy3G004900.1 locus=Brasy3G004900 ID=Brasy3G004900.1.v1.1 annot-version=v1.1 MHDGGRRPALQGKRARAQSEAPGASEKMLRAAAERAAKSSGHSNQQPVAVTAARMAKRMVAATAGKAKAVVAAPPLSLSRSSWLLSTANNAGQYPSRCSRLWSCGLPTIAQAAAVAASASPP* >Brasy3G034700.1.p pacid=40039839 transcript=Brasy3G034700.1 locus=Brasy3G034700 ID=Brasy3G034700.1.v1.1 annot-version=v1.1 MAPPRPHAVVVPYPGSGNINPALQLAKLLHGRGVFVTFVNTEHNHRRMQSSGAAAAVLAGREDEDDGSFRFEAIPDGLAEADRAADAYDLGLSAATSHRCAAPLRELVGRLNATAGVPRVTCLLPTALMGFALDVARELGVPSMVLWGGSAASLMTHMRLRELAGRGYLPLKDESCLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDPDDFGLRFNDVEANGCTKAGALVLNTFAGLESHVLAALRAEFPLIFTIGPLGGLLEEEDTSNGSGGLSLWKQDTECLAWLDAQEPGSVVYANFGSLTVLTASQLAEFAWGLADSGHKFLLSIRDNLVIPSGSGGGLPAGFMAAAAGRCCVTAWCPQERVLRHGTVGCFVTHSGWNSTCESVAAGVPMVCWPGFADQFTNCKYVCEVWGVGLRLDEEVKRGQVAGHVKKAMDPAGEVRRSAAAWKAKAAEAVSPGGSSFENLQSMVTALNAEALKR* >Brasy3G034700.2.p pacid=40039840 transcript=Brasy3G034700.2 locus=Brasy3G034700 ID=Brasy3G034700.2.v1.1 annot-version=v1.1 MARPHVVVVPFPCPGHINPALQLAKLLHRRGFHVTFVSTEHNHRRLAQTIENAPGMGFRFEAIPDGLTDAERAADGYGVALSASMGRHCAAPLRDLVARLSNGGAPPVTCLLPTALMSFALGVARELGIPSMVLWGASAAALMADMKLRDLKERGYLPLKDESCLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDPDDFGLRFNDVEANGCTKAGALVLNTFAGLESHVLAALRAEFPLIFTIGPLGGLLEEEDTSNGSGGLSLWKQDTECLAWLDAQEPGSVVYANFGSLTVLTASQLAEFAWGLADSGHKFLLSIRDNLVIPSGSGGGLPAGFMAAAAGRCCVTAWCPQERVLRHGTVGCFVTHSGWNSTCESVAAGVPMVCWPGFADQFTNCKYVCEVWGVGLRLDEEVKRGQVAGHVKKAMDPAGEVRRSAAAWKAKAAEAVSPGGSSFENLQSMVTALNAEALKR* >Brasy3G107100.1.p pacid=40039841 transcript=Brasy3G107100.1 locus=Brasy3G107100 ID=Brasy3G107100.1.v1.1 annot-version=v1.1 MKINWKEWTRRLRIGAAPEERELNPDRTTALEMSIKKYAERKSDIVVNPAVGTLFDSLEDAYEFYNLYSWEVGFGVRYAKCRLNIQRKKCMQEIVCACAGVGGKLSREKDVIEWCCPES* >Brasy3G264600.1.p pacid=40039842 transcript=Brasy3G264600.1 locus=Brasy3G264600 ID=Brasy3G264600.1.v1.1 annot-version=v1.1 MALASHLSQALFPAASPSAAAGALLASTSPLPVRHFLQLHAHLLRTGVLLLSPTAASAFFSVAAASLPSLRALAVLQHHLTAASLPSTFCCNSILRSLSESDALSFLRRMRALGRRGNAFSLAILLKPCRTLAHARQLHANVVIDGHFRDSLLATSLMRSYATCGVGDCAREVFDEMLMKDTVAWNVLITCYARNKRTKDTLWLFDEMRRGESEMKPDEVTCILLLQACTSLGALDFGEQIWEYAVEHGYGCELKVRNSAITMYTRCGCVEKAYQVFCHTPQKSVVTWSAMIMGLAANGFGEDAISAFEEMSRSGVAPDGQTFTGVLSACSHSGLVDEGFRFFDMMRYEYKMMPNVRHYGCIVDLMGRAGLLDEAYELVVKEMGVAPDATIWRTLLGACRIHGHVDLGEKVISHLIELKAQQAGDYVLLLNTYAAVGDWEKVAEVRKLMKENEIQTTPGCTTVELNGELHEFIADDASHPRKAEIYGKLDEINRHLRIAGYVPHVSSDLHDLDSEGKECALTYHSEKLAIAFALLVMPQRRPIRLAKNLRVCVDCHNFTKVFSAVYNRLVIVRDRTRFHHFNGGQCSCNDYW* >Brasy3G264600.3.p pacid=40039843 transcript=Brasy3G264600.3 locus=Brasy3G264600 ID=Brasy3G264600.3.v1.1 annot-version=v1.1 MALASHLSQALFPAASPSAAAGALLASTSPLPVRHFLQLHAHLLRTGVLLLSPTAASAFFSVAAASLPSLRALAVLQHHLTAASLPSTFCCNSILRSLSESDALSFLRRMRALGRRGNAFSLAILLKPCRTLAHARQLHANVVIDGHFRDSLLATSLMRSYATCGVGDCAREVFDEMLMKDTVAWNVLITCYARNKRTKDTLWLFDEMRRGESEMKPDEVTCILLLQACTSLGALDFGEQIWEYAVEHGYGCELKVRNSAITMYTRCGCVEKAYQVFCHTPQKSVVTWSAMIMGLAANGFGEDAISAFEEMSRSGVAPDGQTFTGVLSACSHSGLVDEGFRFFDMMRYEYKMMPNVRHYGCIVDLMGRAGLLDEAYELVVKEMGVAPDATIWRTLLGACRIHGHVDLGEKVISHLIELKAQQAGDYVLLLNTYAAVGDWEKVAEVRKLMKENEIQTTPGCTTVELNGELHEFIADDASHPRKAEIYGKLDEINRHLRIAGYVPHVSSDLHDLDSEGKECALTYHSEKLAIAFALLVMPQRRPIRLAKNLRVCVDCHNFTKVFSAVYNRLVIVRDRTRFHHFNGGQCSCNDYW* >Brasy3G264600.2.p pacid=40039844 transcript=Brasy3G264600.2 locus=Brasy3G264600 ID=Brasy3G264600.2.v1.1 annot-version=v1.1 MALASHLSQALFPAASPSAAAGALLASTSPLPVRHFLQLHAHLLRTGVLLLSPTAASAFFSVAAASLPSLRALAVLQHHLTAASLPSTFCCNSILRSLSESDALSFLRRMRALGRRGNAFSLAILLKPCRTLAHARQLHANVVIDGHFRDSLLATSLMRSYATCGVGDCAREVFDEMLMKDTVAWNVLITCYARNKRTKDTLWLFDEMRRGESEMKPDEVTCILLLQACTSLGALDFGEQIWEYAVEHGYGCELKVRNSAITMYTRCGCVEKAYQVFCHTPQKSVVTWSAMIMGLAANGFGEDAISAFEEMSRSGVAPDGQTFTGVLSACSHSGLVDEGFRFFDMMRYEYKMMPNVRHYGCIVDLMGRAGLLDEAYELVVKEMGVAPDATIWRTLLGACRIHGHVDLGEKVISHLIELKAQQAGDYVLLLNTYAAVGDWEKVAEVRKLMKENEIQTTPGCTTVELNGELHEFIADDASHPRKAEIYGKLDEINRHLRIAGYVPHVSSDLHDLDSEGKECALTYHSEKLAIAFALLVMPQRRPIRLAKNLRVCVDCHNFTKVFSAVYNRLVIVRDRTRFHHFNGGQCSCNDYW* >Brasy3G307800.1.p pacid=40039845 transcript=Brasy3G307800.1 locus=Brasy3G307800 ID=Brasy3G307800.1.v1.1 annot-version=v1.1 MSEPPAATAADDPLPSPSPLPSSPSPAPAPPRPPPVEWPEGGVLTHDWVTSLSATLDWCSRNLPPDQLPSVLPADLVRTLVVAASVILHREPNIVRVDPRPDQAVVVVGDVHGQLHDVMFLLRDAGFPSDHRLFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMVKYKGQGPQVYRKFLRCFEDLPLASIIAGCVYTAHGGIFRGTIVVPSKRSKRAKKGHKYKASSTDDSTTMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLENGLSPNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDSGYTTDHHVANGKLITLFSAPDYPQFQASEDRYNNCGAYIVLSPPDFATPAFHSFQAVKPRPAANPFYDFEEVIDSDEELNLGAMDTGTSSS* >Brasy3G307800.2.p pacid=40039846 transcript=Brasy3G307800.2 locus=Brasy3G307800 ID=Brasy3G307800.2.v1.1 annot-version=v1.1 MSEPPAATAADDPLPSPSPLPSSPSPAPAPPRPPPVEWPEGGVLTHDWVTSLSATLDWCSRNLPPDQLPSVLPADLVRTLVVAASVILHREPNIVRVDPRPDQAVVVVGDVHGQLHDVMFLLRDAGFPSDHRLFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMVKYKGQGPQVYRKFLRCFEDLPLASIIAGCVYTAHGGIFRGTIVVPSKRSKRAKKGHKYKASSTDDSTTMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLENGLSPNKERGIGLLWGPDITQQFLYTNNLKASEDRYNNCGAYIVLSPPDFATPAFHSFQAVKPRPAANPFYDFEEVIDSDEELNLGAMDTGTSSS* >Brasy3G307800.3.p pacid=40039847 transcript=Brasy3G307800.3 locus=Brasy3G307800 ID=Brasy3G307800.3.v1.1 annot-version=v1.1 MSEPPAATAADDPLPSPSPLPSSPSPAPAPPRPPPVEWPEGGVLTHDWVTSLSATLDWCSRNLPPDQLPSVLPADLVRTLVVAASVILHREPNIVRVDPRPDQAVVVVGDVHGQLHDVMFLLRDAGFPSDHRLFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEKEVMVKYKGQGPQVYRKFLRCFEDLPLASIIAGCVYTAHGGIFRGTIVVPSKRSKRAKKGHKYKASSTDDSTTMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLENGLSPNKERGIGLLWGPDITQQFLYTNNLKVT* >Brasy3G337100.1.p pacid=40039848 transcript=Brasy3G337100.1 locus=Brasy3G337100 ID=Brasy3G337100.1.v1.1 annot-version=v1.1 MKDSQDIQSTTELQMSPQGTNEVQSNQANTVGTDAPVGDSGSLSVASNDNRKVSREDIELVQNLIERCLQLYMTKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQIILFNHLLQHQYNLMKYPAPPNPLAPIQNGIHSMPVNNLPMGYPVLQQPLMPAPGQPHIDAMVCGLSSGHVVNGIPAPGGYHPIRMNSGNDMVVDNGAPEAAHPGAMSSEMAVSPSSAASSNHAPFTPSDIPGMAMDTSALDSAFGSDVGNTGPLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPSDSDLLLDSPDHDDIVEYFADAINGTQSDEDKS* >Brasy3G100900.1.p pacid=40039849 transcript=Brasy3G100900.1 locus=Brasy3G100900 ID=Brasy3G100900.1.v1.1 annot-version=v1.1 MDAMNPPPPASVLDNPPSVLKEEQETATPARVRSSRSWRCVARRGGRGSR* >Brasy3G152800.1.p pacid=40039850 transcript=Brasy3G152800.1 locus=Brasy3G152800 ID=Brasy3G152800.1.v1.1 annot-version=v1.1 MTLYRCSQVHVDSVSVTAPAHSPNTDGINMALSDHVYISSCSMQTGDDCVSILSGTTDVNVTDTTCGPGHGISVGSLGGANSIPVLVERITVSNCSFVGTLTGVRIKSWQGGTGKANRFLFENLNMNEVRFPIDIDQFYCPPGNCPTKDGGVAITDARFINIHGTSSEKQAIQILCSQTVPCRGIYLHDVTLYWKKKNHVSQAQSRILNAHGTIVGNVVPKVQFITAR* >Brasy3G132200.1.p pacid=40039851 transcript=Brasy3G132200.1 locus=Brasy3G132200 ID=Brasy3G132200.1.v1.1 annot-version=v1.1 MEHKHRPFWDFFGRTSGRKIETQQAADQDISAAKVDNKDKESNDILRFEQLSRELIELEKRVQMMLKKRYAC* >Brasy3G334000.1.p pacid=40039852 transcript=Brasy3G334000.1 locus=Brasy3G334000 ID=Brasy3G334000.1.v1.1 annot-version=v1.1 MLRRTPRIRPVGRPRPHGMVSWSDESSSSRRSLPTDFSNMEMAIWSYNYALFLLLPLDLKGDRDPGSTSTLALTTIYGKDTVTTCVI* >Brasy3G334000.2.p pacid=40039853 transcript=Brasy3G334000.2 locus=Brasy3G334000 ID=Brasy3G334000.2.v1.1 annot-version=v1.1 MLRRTPRIRPVGRPRPHGMVSWSDESSSSRRSLPTDFSNMEMAIWSYNYALFLLLPLDLKGDRDPGIMIWRLFNHDTMMS* >Brasy3G010100.1.p pacid=40039854 transcript=Brasy3G010100.1 locus=Brasy3G010100 ID=Brasy3G010100.1.v1.1 annot-version=v1.1 MGIRSKKRKTIFGRNTNPPSCRRRRRKVLDVHRLPPGEWRDWAALPQDVLCLILRRVPQADILRGASLACSAWRRLAVHEPLLWRRIDLADKEDEPPEGWRAMARAAVNRSAGCCESFRGRVDGDFLLYLADSAPLLRSLHVTCRLDMMRHRGGEKLVAAMGKLRQLERLVLSEGIIDVPSLLELLDAGGCHAFGPTEYMERARLAGSRIKDLRLPLQGVPFASGVIACRRRPARDQRH* >Brasy3G316400.1.p pacid=40039855 transcript=Brasy3G316400.1 locus=Brasy3G316400 ID=Brasy3G316400.1.v1.1 annot-version=v1.1 MAKMFDSCFVPFKLHYAETKYLDIGDPVYSETVSAGGHLWRMRCHPNGDDEDDSANNDEDGEADDYLAIFLELMSNSRDVKAVFEAFFMEKNSEPSSCNTGRIMEVFAFKNESWGWPRLVKRRDLESLYVVDGWVTIVWGVMVMRDDDPLIVPSSDIGSYLGQILDWPADGNSDVTFVIGSQTFPAHRAVLAARSPVFKAELFGSMAEASLSHITLEDITPATFDVFLRFMYTDALPEDDDCTIEMCKHLLVVADRYAMDRLKLMCAKKLWDDVSVDTVAETLSYAETYSCAELKTKCIAFFVVEKNFREAVLTDGFVALVQNFPGIIAELRKKSTSSKKRKN* >Brasy3G111600.1.p pacid=40039856 transcript=Brasy3G111600.1 locus=Brasy3G111600 ID=Brasy3G111600.1.v1.1 annot-version=v1.1 MCIYLFPCMYYRRKNRASDPISGLSLPAGSGVRSWGCGSVTKDMKDIYDRKVQLINFLSTLHEPTRSSVPMVETNVKYCSTKQETKVTDCSVKQTEPILIPDSDDEDGSTAQLAPEKNKQLIPLGLAGTLTARVTSKGKDQVNETQHYGYQNSQIVPYGQSAALINHHSLQTSWQPSIQYESVILQTRPEEERIQYLAAASHAEKMAETQVFPDLPRERKQRKLYPNSQVDGDARTAPRKRKRKTGPDPAAVDLPSETYNPVEEEEPAEEDKPENKSDGLEDLWKDFSVAMESSKLNTFEELPNEKELGEKDVDNDCNHDIRIHEDLGHVCRVCGMIVRRADTIIDYQWKKASRSRSYFCGTRSKDADEIVIGDIRVSDDLLALDIAIHPRHKKQIRSHQLEGFHFLVKNLVSDKPGGCILAHAPGSGKTFMVISFIQSFLAKYPSARPLVILPKGILGTWKREFQQWQVEDMPLYDFYSVKAEKREDQLKILNSWQSKMSILFLGYKQFSTIICGDGGGTVAAACRDMLLMVPNLLILDEGHTPRNTATNVLESLSRVQTPRKVVLSGTLFQNHVGEVFNILNLVRPKFLRMESSRPIVRRIMSQVAISGTRVSKVVPDNVFTESVEETLLHDENFTRKAHIIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSPRQKEIVHKLEAYEKFKRSAVGTALYMHPCLSEISEGDAADRANNLTDAAVDSMVQSINVRDGVKASFFINILRLASCAGEKLLAFSQYILPMKFLERLLVKTWGWHVGKEIFVISGDTSPEDRELAMDQFNNSADAKVLFGSIKACGEGISLVGASRVVVLDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSAEESFHETAFKKEVIPKLWFEWSEQHCTTEDFKLNQTDIDACGDELLDNQAMRQDIKALYRR* >Brasy3G233900.1.p pacid=40039857 transcript=Brasy3G233900.1 locus=Brasy3G233900 ID=Brasy3G233900.1.v1.1 annot-version=v1.1 MGNQFLVPTAVYPNRMARALCRLLPCFVWRLPPPLLRRRLLHPSPKTLTTRTEALPSIQSLVLPGDERLPPSSPSRRAAQPPPDYGGGGSPGTIAAIVTSLGGGPAAVGVVRLSGADAVAVAGRVFRPARKEPETWKPRSHFVEYGFALDGDGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLGACLEAGARLANPGEFTLRAFLNGRLDLAQAENVSRLISAKSTAAADSALAGIQGGFSTLVKSLRSRCIEFLTEIEARLDFEDEMPPLDPMMLVRQITIMRQEVQDALDTANYDRLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDIVEKIGVERSEAAALGADMVIMTISAVDGWTEDDTKLIEHVMNDKKLSGSAVPMVLVINKVDCKPFVSGEQFEKFSGIFRKHVHTCAVTGKGISELERAVIEVRGLEPVPPGGRRWTVNQRQLEQLLRTQEAFKRLESSINEQLPMDFWTIDLREAALALATINGEDISEEVLSSIFSKFCIGK* >Brasy3G160000.1.p pacid=40039858 transcript=Brasy3G160000.1 locus=Brasy3G160000 ID=Brasy3G160000.1.v1.1 annot-version=v1.1 MPIVSSSPRALRLRGLGFSLQPLVAEGTVVGHGAGTPPLLVGFAVPGAAEAGELHRSRWRWCSSGRGKWPKPCEGALRSPSHGHWCWLDDWCWHLCPALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPFYLQCTACALGSLLLLGATIALSCIGQDKSSSRQTGGFMCPFVPILPIFCILINVYLLMNLGSHTWIRVSVWLAAGALIYFFYGRRHSSLTGTAYQRVSSA* >Brasy3G160000.7.p pacid=40039859 transcript=Brasy3G160000.7 locus=Brasy3G160000 ID=Brasy3G160000.7.v1.1 annot-version=v1.1 MEQGRRLSWSDLQCLARRKPASSTVRAGAGAAAVGGNGRSLAKALSVPHLMAIGVGSTIGAGIYVLVGTVAREHAGPALTISFLIAGIAAALSALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPFYLQCTACALGSLLLLGATIALSCIGQDKSSSRQTGGFMCPFVPILPIFCILINVYLLMNLGSHTWIRVSVWLAAGALIYFFYGRRHSSLTGTAYQRVSSA* >Brasy3G160000.3.p pacid=40039860 transcript=Brasy3G160000.3 locus=Brasy3G160000 ID=Brasy3G160000.3.v1.1 annot-version=v1.1 MPIVSSSPRALRLRGLGFSLQPLVAEGTVVGHGAGTPPLLVGFAVPGAAEAGELHRSRWRWCSSGRGKWPKPCEGALRSPSHGHWCWLDDWCWHLCPALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPFYLQCTACALGSLLLLGATIALSCIGQDKSSSRQTGGFMCPFVPILPIFCILINVYLLMNLG* >Brasy3G160000.4.p pacid=40039861 transcript=Brasy3G160000.4 locus=Brasy3G160000 ID=Brasy3G160000.4.v1.1 annot-version=v1.1 MPIVSSSPRALRLRGLGFSLQPLVAEGTVVGHGAGTPPLLVGFAVPGAAEAGELHRSRWRWCSSGRGKWPKPCEGALRSPSHGHWCWLDDWCWHLCPALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPFYLQCTACALGSLLLLGATIALSCIGQDKSSSRQTGGVTHGSVFLYG* >Brasy3G160000.2.p pacid=40039862 transcript=Brasy3G160000.2 locus=Brasy3G160000 ID=Brasy3G160000.2.v1.1 annot-version=v1.1 MEQGRRLSWSDLQCLARRKPASSTVRAGAGAAAVGGNGRSLAKALSVPHLMAIGVGSTIGAGIYVLVGTVAREHAGPALTISFLIAGIAAALSALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPFYLQCTACALGSLLLLGATIALSCIGQDKSSSRQTGGVTHGSVFLYG* >Brasy3G160000.5.p pacid=40039863 transcript=Brasy3G160000.5 locus=Brasy3G160000 ID=Brasy3G160000.5.v1.1 annot-version=v1.1 MPIVSSSPRALRLRGLGFSLQPLVAEGTVVGHGAGTPPLLVGFAVPGAAEAGELHRSRWRWCSSGRGKWPKPCEGALRSPSHGHWCWLDDWCWHLCPALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPL* >Brasy3G160000.6.p pacid=40039864 transcript=Brasy3G160000.6 locus=Brasy3G160000 ID=Brasy3G160000.6.v1.1 annot-version=v1.1 MPIVSSSPRALRLRGLGFSLQPLVAEGTVVGHGAGTPPLLVGFAVPGAAEAGELHRSRWRWCSSGRGKWPKPCEGALRSPSHGHWCWLDDWCWHLCPALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNAPTASEIANMVRRQKANRCIALVCFGVIIFASAVSFSSLPL* >Brasy3G160000.8.p pacid=40039865 transcript=Brasy3G160000.8 locus=Brasy3G160000 ID=Brasy3G160000.8.v1.1 annot-version=v1.1 MEQGRRLSWSDLQCLARRKPASSTVRAGAGAAAVGGNGRSLAKALSVPHLMAIGVGSTIGAGIYVLVGTVAREHAGPALTISFLIAGIAAALSALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNVIYNARHVP* >Brasy3G160000.9.p pacid=40039866 transcript=Brasy3G160000.9 locus=Brasy3G160000 ID=Brasy3G160000.9.v1.1 annot-version=v1.1 MEQGRRLSWSDLQCLARRKPASSTVRAGAGAAAVGGNGRSLAKALSVPHLMAIGVGSTIGAGIYVLVGTVAREHAGPALTISFLIAGIAAALSALCYAELACRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGEDKLPFFLAQIHVKWLDTAVDPCAAILVLIVTALLCLGIKESSVVEGVITIANVAIMLFVICAGGWLGFQNGWPGYNVPKGYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQYGMQWAVYVISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDQRTQVPTLSTILTGICAAILAFFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPSEMPKEGADQGSLESLASHTGHSEPVVEILEDPFGNVIYNARHVP* >Brasy3G062500.1.p pacid=40039867 transcript=Brasy3G062500.1 locus=Brasy3G062500 ID=Brasy3G062500.1.v1.1 annot-version=v1.1 MPRCTSSINDQPDNTAAMSEMICSNCLTLLYYNRGAANIRCSRCRVVNSTRSATQIAHLTCGRCRTTLMYPPGAVTVQCATCQHDNCVRGQGSSAPPDARPQTVLVENPRTMNEKGKLVSNVAVGVTSWKR* >Brasy3G012400.1.p pacid=40039868 transcript=Brasy3G012400.1 locus=Brasy3G012400 ID=Brasy3G012400.1.v1.1 annot-version=v1.1 MATGDHRCFGRQDELCRQKTSDRNRGLGLRHQPSGTRPRRGLNGAGAAIDGRAAEEEWYVGHGAGAQITFAFGRKGSVQGDVGSSSRWTPGAADSGGKGSGYRRRNPKRRRLRCSRARCGGEIGGGRVGRTGASVETNRH* >Brasy3G071300.1.p pacid=40039869 transcript=Brasy3G071300.1 locus=Brasy3G071300 ID=Brasy3G071300.1.v1.1 annot-version=v1.1 MFLSTSLSTWDADFYVKVDDDVHVNIGITRSILARQRSKPRVYIGCMKSGPVIANNESKYYEPDHWKFGTEGNNYFRHATRQLYAISRDLATYISINQHILHKCTNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNLCAASFDRNCSGICNPAERMEEVHRRCWEHRVAPLQQAQS* >Brasy3G201000.1.p pacid=40039870 transcript=Brasy3G201000.1 locus=Brasy3G201000 ID=Brasy3G201000.1.v1.1 annot-version=v1.1 MPRSPLAPLSRAPPALAAPPNSTFSHLFQVCAHAGRAALAAGRAAHARMLVSGFVPTAFVSNCLLQMYARCADAAYARSVFDAMPRRDTVSWNTMLTAYSHYGDIATAVSLFHEMPNPDVVSWNTLVSSYCQRGMHRESVGMFLDMALSGVSSDRTTFAVLLKSCGALDDLALGVQIHALVVKAGLEVDVRTGSALVDMYGKCSSLEDALFFFYGMPEKNWVSWGAAIAGCVQNEQYTRGFELFTEMQRSGMGVSQPAYASVFRSCAAMSCLRTGRQLHAHAIKNKFNTDRIVGTAIVDIYAKANSLVDARKAFFGLPNHTVETCNAMMVGLVRSGLGIEAIELFQFMTRSGIGFDAVSLSGVFSACAEVKGYSQGLQIHCISIKSGFHVDICVRNAILDLYGKCKALVEAYLIFQDMEQRDSVSWNAIIAALEQNGRYEDTILHFNEMLRFGMGPDDFTYGSVLKACAALQSLEYGLMVHDKVIKSGLGSDPFVASTVVDMYCKCGMIADAQKLHDRIGRQELVSWNAIISGFSLNKQSEDAQKFFAQMLDMGLKPDHFTYATVIDTCANLATIEIGKQIHGQIIKQEMLGDEYISSTLIDMYAKCGNMPDSLLMFEKAQKRDFVSWNAMICGYALHGQGVEALTMFHRMKKENVVPNHATFVAVLRACSHVGLLDDGCRYFHEMTTLYKLEPQLEHFACMVDILGRSKGPQEALKFISTMPFEADAVIWKTVLSVCKIHRDVEVAELAARNVLLLDPEDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSRELYDMLNDLIDEMKLSGYEPDSASFVDFDEEGHASEQDELLGIVSG* >Brasy3G135600.1.p pacid=40039871 transcript=Brasy3G135600.1 locus=Brasy3G135600 ID=Brasy3G135600.1.v1.1 annot-version=v1.1 MAAPFARVPLLRVPSQSCPASRIVPRNFLRPSVSTAAAVGGAGGPVLRTCKNCKKQYDPAANNGSSCRYHTAHFGGETKRKFESVHAGGTMDTPGSGKVLQYWHCCGSEDPFDAGCTAAPHCSYDD* >Brasy3G282700.1.p pacid=40039872 transcript=Brasy3G282700.1 locus=Brasy3G282700 ID=Brasy3G282700.1.v1.1 annot-version=v1.1 MVDVDRRMAGLSPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFDALAAAVLSHLRASGVAVLPGLTDAELARAEAEMGFAFPPDLRAVLAMGLPSGPGFPDWRTRAGLRAAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDILHFFTRDSSFQPASMALQSPSVPPSSASGPGEATTPYMRRSLDAACGGKLAPRWIEFWSDAASDRRRRDSSSSEASTVSSSSSGCSSPPPGGGGRGPRTGYLDKLGSVLKKGGWRDMEVNEMVEVTASGLFDGGEETPPVDADAVLDALVLKADRCSDSLRRAGWSAEDVSDALGLDLRRCKERSRPAVRIPPEIAAKVERLAQSVARP* >Brasy3G150900.1.p pacid=40039873 transcript=Brasy3G150900.1 locus=Brasy3G150900 ID=Brasy3G150900.1.v1.1 annot-version=v1.1 MIGRRKGTYGEAIRAGRGRRQGEVGRDKIHDVRYNLKKTMPLVWRSLPLYEGLEKMSSAVANLVMVSYCSAVPVHQPLLYIGYDQKSTVT* >Brasy3G117600.1.p pacid=40039874 transcript=Brasy3G117600.1 locus=Brasy3G117600 ID=Brasy3G117600.1.v1.1 annot-version=v1.1 MALAAALALARAAAPLLLRARLAPCSVPALSRRRLLSSSPAADEPPPPARPPASMLPDPESLLPWRAVQAEILGDIDPVVQLIKDILHSDRYADGESLGLNDEAIVVEKLLTYHPRAEDKIGCGLDAIMVDRHPQFRKSRCLFVVRTDGVWIDFSYQKCLREYIRKKYPSHGERFIREHFKRT* >Brasy3G333200.1.p pacid=40039875 transcript=Brasy3G333200.1 locus=Brasy3G333200 ID=Brasy3G333200.1.v1.1 annot-version=v1.1 MDSDTSTTLLYLALLAAAFLYLFFLRRIRGGNGEGSLPPGPRGLPIFGSLLSLNPELHTYFAGLAAKHGPIFSIRLGSKLGVVITSPALAREVLRDNDAVFSGRDVPDAARSVSYGGAQNIVWNPVGAKWRLLRRVCVREMLSPSGLDAVGALRRREFRATLAHLHGVAVAGEEVDVGAQMFLTTMNVITGTLWGGNIGSQSERTAVGKEFRELVADITAVLGAPNFSDFFPALQPFDLQGIRKKSDKLKTRFDDIFARIIQQRVNNGGAATAPDFLEYMLKLEKEGGDGKASFTMTNVKALLMDMVVGGTETTSNTVEWAMAEMLKNRRILKKVQEELDAVVGIDSVVEESHLPQLHYLQTVVKETLRLHPALPLMVPHCPSEDSTVGGHRVPAGSRVFVNAWAIMRDPAAWKDPDEFVPERFEVDGGGRKVDFTGGELDYVPFGSGRRICAGVAMAERMTAYSVAMLVQAFDWELPEGKKLDMAEKFAIVMKKAAPLVAVPTPRLSRPELYSAA* >Brasy3G142500.1.p pacid=40039876 transcript=Brasy3G142500.1 locus=Brasy3G142500 ID=Brasy3G142500.1.v1.1 annot-version=v1.1 MPAVMTGGTGGGGGRSLDCRSFWKAGSNEGPSAPIREFHDALETGDFDRARVHPKFLHTNATSHKWAFGGISELLDNAVDEICNGATFVKVDKSISPKDNSPMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIHESNVTLSIGLLSYTFLRRTMKDDIIVPMLDFQVQDGHIVPLVFGSQGDWDSSLKIIIDWSPFSSQEELLQQFEDMDTHGTKVAIYNLWMNDDGLLELDFEDDDEDILLRDQGQTSGGSTRIQKEIVEQHISHRLRFSLRAYISILYLRKFENFQIILRGKPVEQISIANELKFKKVVTYKPQVAHDSQAVSVKVDIGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVIGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIIEYWKEKCHLIGYQPTDPKLRTQYKAALKDSGDGARIQQKASTARKAGGHLSNLLPDTYDDVAVVRLTANGAGSGLQSSGLALENNMESAGLEEDLDIGSHGVLDPNFNEKLSEENLALFTRREDLRQRDTQLKQTIGELEHQLEETKRKCSQLAAELQIRKSQQQLPYT* >Brasy3G142500.2.p pacid=40039877 transcript=Brasy3G142500.2 locus=Brasy3G142500 ID=Brasy3G142500.2.v1.1 annot-version=v1.1 MPAVMTGGTGGGGGRSLDCRSFWKAGSNEGPSAPIREFHDALETGDFDRARVHPKFLHTNATSHKWAFGGISELLDNAVDEICNGATFVKVDKSISPKDNSPMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIHESNVTLSIGLLSYTFLRRTMKDDIIVPMLDFQVQDGHIVPLVFGSQGDWDSSLKIIIDWSPFSSQEELLQQFEDMDTHGTKVAIYNLWMNDDGLLELDFEDDDEDILLRDQGQTSGGSTRIQKEIVEQHISHRLRFSLRAYISILYLRKFENFQIILRGKPVEQISIANELKFKKVVTYKPQVAHDSQAVSVKVDIGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVIGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIIEYWKEKCHLIGYQPTDPKLRTQYKAALKDSGDGARIQQKASTARKAGGHLSNLLPDTYDDVAVVRLTANGAGSGLQSSGLALENNMESAGLEEDLDIGSHGVLDPNFNEKLSEENLALFTRREDLRQRDTQLKQTIGELEHQLEETKRKCSQLAAELQIRKSQQQLPYT* >Brasy3G142500.3.p pacid=40039878 transcript=Brasy3G142500.3 locus=Brasy3G142500 ID=Brasy3G142500.3.v1.1 annot-version=v1.1 MPAVMTGGTGGGGGRSLDCRSFWKAGSNEGPSAPIREFHDALETGDFDRARVHPKFLHTNATSHKWAFGGISELLDNAVDEICNGATFVKVDKSISPKDNSPMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIHESNVTLSIGLLSYTFLRRTMKDDIIVPMLDFQVQDGHIVPLVFGSQGDWDSSLKIIIDWSPFSSQEELLQQFEDMDTHGTKVAIYNLWMNDDGLLELDFEDDDEDILLRDQGQTSGGSTRIQKEIVEQHISHRLRFSLRAYISILYLRKFENFQIILRGKPVEQISIANELKFKKVVTYKPQVAHDSQAVSVKVDIGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVIGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIIEYWKEKCHLIGYQPTDPKLRTQYKAALKDSGDGARIQQKASTARKAGGHLSNLLPDTYDDVAVVRLTANGAGSGLQSSGLALENNMESAGLEEDLDIGSHGVLDPNFNEKLSEENLALFTRREDLRQRDTQLKQTIGELEHQLEETKRKCSQLAAELQIRKSQQQLPYT* >Brasy3G153000.1.p pacid=40039879 transcript=Brasy3G153000.1 locus=Brasy3G153000 ID=Brasy3G153000.1.v1.1 annot-version=v1.1 MARGNRPQQLALACFFFLCIHLVPASATTLRPLPRPESEDHGELLDPARIGARTCWYTVQIKTSCASPARTADAVSLAFGDAYGNEAYAARLDDAGSSVFTRCAKDTFKVGGPCGYGVCYLYLRRAGRSGWTPEWVRVYEPTSSSGTPSTFRYGDPLPNNVWYGFNRCPRRAGAAASSASSSSDAVQGASSSASSSESAAVQAM* >Brasy3G230200.1.p pacid=40039880 transcript=Brasy3G230200.1 locus=Brasy3G230200 ID=Brasy3G230200.1.v1.1 annot-version=v1.1 MRASATDTDERPLVELAVVTPTPSTSSPAAPATGPPAPAPGFSRAVRCNAPSSASASASGDGAYPGNAISTTKYTAASFLPKSLFEQFRRVANCFFLVVACVSFSPLAPYRAVSVLLPLFVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLLLSSCHEDGTCYVETMNLDGETNLKRKQSLDVTVGLSEEHSFHSFKAFIQCEDPNEKLYSFLGTLYYREQQYPLSPQQILLRDSKLRNTNFIYGTVIFTGHETKVMQNATEPPSKRSSVERRMDKIVYLLFAVLFTIASFGSVFFGIKTKAELNVGSYAWYLRPDQSSIFFDPNRASFAAFCHFLTSLMLYVCLVPISLYISIEMVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFSKCSIAGVAYGSRLTEVEMSYGEIEDVSGQMHAAKSKRAVKGFNFTDGRLMNGEWTKECHRDAIEMFFRVLAVCHTAIPVSDKDSIGMTYEAESPDEGALVAAAREFGFEFYHRTQTTVSVHEYEPVFGKEVDRTYKLLNILEFSSARKRMSVILRTEEGRLFLFCKGADSVILERLSKDNEKSCLANTKQHIEEYSEAGLRTLALAYRELTEDEYAAWNEVYSSAKNSVHTDHDAAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMEEFFVTLDNSGTNAPEGCNQEGSRMAPYEHISRKLQDARRQISLKGTSTPFALIIDGNALTYALTGSLKDSFLDLAVDCASVLCCRMSPKQKALITRLVKTKTKKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFNKDVSASVCLEVPLLHQDGVNNVFFSWSRILSWMLNGLCSSIIIFFGAINAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLIIYGSFPAMISTTAYHVFWEACASSPLYWLSTLVIVVTALLPFFLYRVTCSLFNPQHPERVQRTNSKNW* >Brasy3G230200.2.p pacid=40039881 transcript=Brasy3G230200.2 locus=Brasy3G230200 ID=Brasy3G230200.2.v1.1 annot-version=v1.1 MRASATDTDERPLVELAVVTPTPSTSSPAAPATGPPAPAPGFSRAVRCNAPSSASASASGDGAYPGNAISTTKYTAASFLPKSLFEQFRRVANCFFLVVACVSFSPLAPYRAVSVLLPLFVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLLLSSCHEDGTCYVETMNLDGETNLKRKQSLDVTVGLRTLYYREQQYPLSPQQILLRDSKLRNTNFIYGTVIFTGHETKVMQNATEPPSKRSSVERRMDKIVYLLFAVLFTIASFGSVFFGIKTKAELNVGSYAWYLRPDQSSIFFDPNRASFAAFCHFLTSLMLYVCLVPISLYISIEMVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFSKCSIAGVAYGSRLTEVEMSYGEIEDVSGQMHAAKSKRAVKGFNFTDGRLMNGEWTKECHRDAIEMFFRVLAVCHTAIPVSDKDSIGMTYEAESPDEGALVAAAREFGFEFYHRTQTTVSVHEYEPVFGKEVDRTYKLLNILEFSSARKRMSVILRTEEGRLFLFCKGADSVILERLSKDNEKSCLANTKQHIEEYSEAGLRTLALAYRELTEDEYAAWNEVYSSAKNSVHTDHDAAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMEEFFVTLDNSGTNAPEGCNQEGSRMAPYEHISRKLQDARRQISLKGTSTPFALIIDGNALTYALTGSLKDSFLDLAVDCASVLCCRMSPKQKALITRLVKTKTKKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFNKDVSASVCLEVPLLHQDGVNNVFFSWSRILSWMLNGLCSSIIIFFGAINAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLIIYGSFPAMISTTAYHVFWEACASSPLYWLSTLVIVVTALLPFFLYRVTCSLFNPQHPERVQRTNSKNW* >Brasy3G230200.3.p pacid=40039882 transcript=Brasy3G230200.3 locus=Brasy3G230200 ID=Brasy3G230200.3.v1.1 annot-version=v1.1 MRASATDTDERPLVELAVVTPTPSTSSPAAPATGPPAPAPGFSRAVRCNAPSSASASASGDGAYPGNAISTTKYTAASFLPKSLFEQFRRVANCFFLVVACVSFSPLAPYRAVSVLLPLFVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLLLSSCHEDGTCYVETMNLDGETNLKRKQSLDVTVGLSEEHSFHSFKAFIQCEDPNEKLYSFLGTLYYREQQYPLSPQQILLRDSKLRNTNFIYGTVIFTGHETKVMQNATEPPSKRSSVERRMDKIVYLLFAVLFTIASFGSVFFGIKTKAELNVGSYAWYLRPDQSSIFFDPNRASFAAFCHFLTSLMLYVCLVPISLYISIEMVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFSKCSIAGVAYGSRLTEVEMSYGEIEDVSGQMHAAKSKRAVKGFNFTDGRLMNGEWTKECHRDAIEMFFRVLAVCHTAIPVSDKDSIGMTYEAESPDEGALVAAAREFGFEFYHRTQTTVSVHEYEPVFGKEVDRTYKLLNILEFSSARKRMSVILRTEEGRLFLFCKGADSVILERLSKDNEKSCLANTKQHIEEYSEAGLRTLALAYRELTEDEYAAWNEVYSSAKNSVHTDHDAAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMEEFFVTLDNSGTNAPEGCNQEGSRMITRLVKTKTKKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFNKDVSASVCLEVPLLHQDGVNNVFFSWSRILSWMLNGLCSSIIIFFGAINAVLIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLIIYGSFPAMISTTAYHVFWEACASSPLYWLSTLVIVVTALLPFFLYRVTCSLFNPQHPERVQRTNSKNW* >Brasy3G274900.1.p pacid=40039883 transcript=Brasy3G274900.1 locus=Brasy3G274900 ID=Brasy3G274900.1.v1.1 annot-version=v1.1 MQGDGSKMLSVGKMLALGALSRLRSSAWLYLAPVAAAAFTPIGDLRAYLCRRLQLHRRVRRLLPFLDPFVTVDIAARRDDYAYSSSAGRIKSSDAYTEVLGYLSSVSSRDARQLRAEGAVEGDGFVFSLREGQEVADVFQGVTMWWSSATAGAQQLHFHGPHGPPCCRLTFHERHRSLAVDEYLPHVRRRGQEVLFGNRRRRLYTNRNGLNYGSMANEVWSYIDFDHPTTFDTLAMDPAKKRAIMDDLDDFRNNGDYYHRIGRAWKRGYLLHGPPGTGKTTMIAAMANYLGYDIYDIELTTMHSNNDLRKLFVETTGRSIIVIEDIDCSLDLTGSRARAAALQYGDGVPPQYGHGPVDACGRNATTHDDRGGNIMTLSGLLNFIDGLWSAHSGERIIVFTTNNLDKLDPALIRRGRMDVHIEMSYCGFEAFRKLAENYLGVDAHPLFDAVEELLRAVEITPADVAECLITSSAAPVTRTLASAVCWTSSRRRLGRRKNRARRRWAWQSHGRGNKCWS* >Brasy3G000300.1.p pacid=40039884 transcript=Brasy3G000300.1 locus=Brasy3G000300 ID=Brasy3G000300.1.v1.1 annot-version=v1.1 MELPSPLPPSSPCSRPGKATLQKHIHVGATRKSCRLKEANRKATCRAAEIHSSEQAKCREPLRRGTVSPRLLVPRHIPRPPYDGTDRLPDVNPDRQMHDSESIVHMRAACELAARVLQYAGTLVKPSVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALQDGDIINIDVTVYLNGYHGDTSRTYLCGEVDESSKQLVKVTEECMLRGISACKHGASFKTIGERISEHVNKYGYSVDPFVGHGVGTIFHSEPIIWHTYDYEPGFMVAGQTFTIEPTLSMGTTQCEVWDDGWTAITVDSSLNAQFEHTVLVTIDGAEILTKC* >Brasy3G000300.2.p pacid=40039885 transcript=Brasy3G000300.2 locus=Brasy3G000300 ID=Brasy3G000300.2.v1.1 annot-version=v1.1 MELPSPLPPSSPCSRPGKATLQKHIHVGATRKSCRLKEANRKATCRAAEIHSSEQAKCREPLRRGTVSPRLLVPRHIPRPPYDGTDRLPDVNPDRQMHDSESIVHMRAACELAARVLQYAGTLVKPSVTTDEIDRAVHQMIVDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALQDGDIINIDVTVYLNGYHGDTSRTYLCGEVDESSKQLVKVTEECMLRGISACKHGASFKTIGERIRI* >Brasy3G093700.1.p pacid=40039886 transcript=Brasy3G093700.1 locus=Brasy3G093700 ID=Brasy3G093700.1.v1.1 annot-version=v1.1 MALWKKKVVFKARRAWAAVSGRLLGAPKTGNGGILKLHEDVQTCGYQDVQVMFDMLTSELEAAHVWKQQPSPPPAWPGRSSSSSLTAAAQ* >Brasy3G154800.1.p pacid=40039887 transcript=Brasy3G154800.1 locus=Brasy3G154800 ID=Brasy3G154800.1.v1.1 annot-version=v1.1 MKVRPAGASRRRHQGGRATLESVAAAGTDRSHARLSPEESRAPPSDCPEDNPAPQHRRVTTIGGEEPPTPEPAGATHGTEKPTTPEARLRPPEHRRALTTPELDHLRTDLRAPDPDPANTSSKNAAHARCGHQIEEPRNAEKTGHHRTDLAAPAQIRPTPPPPAAATTPREPHTAADWASTRTNRPAKSQRGAAEICRTRLEPSPGRSLAAAVRHARLAQQPPRAAARKIRQGGREWLRGRQGRRPCRPRRATRGPEPAAPRPVRRAAPCGHAGRPPGAAHPTNSRAKTPAASATTVT* >Brasy3G305500.1.p pacid=40039888 transcript=Brasy3G305500.1 locus=Brasy3G305500 ID=Brasy3G305500.1.v1.1 annot-version=v1.1 MEPKAAVDDDYMGDLSHFLPPSPSSPSRSLGVRKQPPAPAAAQAKRAKGLPWKERRRQERQRSQQEEDARTLAGMAEAIPESNVGFKLLKHMGYDPAAAGGAGAEPVGIEIRRSRAGLGAEPPVSVAPLPPSLPKPKTQEEEERERKREEEMVVELRARKSTQWRGRRVVWDYRKAEAALAQLENREVEPPAPDGEEKENGEEDEEEVITEEGLQIILDKLRDQHLYCLYCGCKYESREALANECPGPNEDDH* >Brasy3G305500.2.p pacid=40039889 transcript=Brasy3G305500.2 locus=Brasy3G305500 ID=Brasy3G305500.2.v1.1 annot-version=v1.1 MEPKAAVDDDYMGDLSHFLPPSPSSPSRSLGVRKQPPAPAAAQAKRAKGLPWKERRRQERQRSQQEEDARTLAGMAEAIPESNVGFKLLKHMGYDPAAAGGAGAEPVGIEIRRSRAGLGAEPPVSVAPLPPSLPKPKTQEEEERERKREEEMVVELRARKSTQWRGRRVVWDYRKAEAALAQLENREVEPPAPDGEEKENGEEDEEEVITEEGLQIILDKLRDQHLYCLYCGCKYESREALANECPGPNEDDH* >Brasy3G284100.1.p pacid=40039890 transcript=Brasy3G284100.1 locus=Brasy3G284100 ID=Brasy3G284100.1.v1.1 annot-version=v1.1 MATDGECSARRPAASSSRKAGPAVRSHSEAERKRRQRINGHLATLRTLVPSASRMDKAALLGEVVRHVRELRGKASDATAGADVVFPGEGDEVGVEEEEEEEDHGQHHQRRRRGGRVVRAWVCCADRPGLMSDLGRAVRSASASARPVRAEIATVGGRTRGVLELQDCDADGIGIGTASCDRAVALSALRAALRTVLLNRDELLLAAAAGAGEEGNKRPRLSPQTPPSWSSADLL* >Brasy3G002500.1.p pacid=40039891 transcript=Brasy3G002500.1 locus=Brasy3G002500 ID=Brasy3G002500.1.v1.1 annot-version=v1.1 MPLYLVSSSPAAAKLAAGARASLHGRHLVRAPHCPSRRVSLSSEAAAERAAGPVMAAAQSGASTAPQANSSATGVKQALISLSDKTDLAHLGNGLQSLGFSIISTGGTASSLEAAGVNVTKVEQITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYHKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHNDYPALLEYLQGKQHDQQFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGDIFPPSLTVPLSLNSTLRYGENPHQKAAFYVDKSLSVFNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRADVLEAYRLAVKADPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVGGGWLAQESDDLTPEDIAFTKVSERAPSDGELLDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVDSLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGVIAEPGGSMRDKDAVDCCDKYGVALLFTGVRHFRH* >Brasy3G002500.2.p pacid=40039892 transcript=Brasy3G002500.2 locus=Brasy3G002500 ID=Brasy3G002500.2.v1.1 annot-version=v1.1 MPLYLVSSSPAAAKLAAGARASLHGRHLVRAPHCPSRRVSLSSEAAAERAAGPVMAAAQSGASTAPQANSSGVKQALISLSDKTDLAHLGNGLQSLGFSIISTGGTASSLEAAGVNVTKVEQITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYHKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHNDYPALLEYLQGKQHDQQFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGDIFPPSLTVPLSLNSTLRYGENPHQKAAFYVDKSLSVFNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRADVLEAYRLAVKADPVSAFGGIVAFNTTIDEDLAREIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVGGGWLAQESDDLTPEDIAFTKVSERAPSDGELLDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVDSLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGVIAEPGGSMRDKDAVDCCDKYGVALLFTGVRHFRH* >Brasy3G302200.1.p pacid=40039893 transcript=Brasy3G302200.1 locus=Brasy3G302200 ID=Brasy3G302200.1.v1.1 annot-version=v1.1 MVSDQELARYVESLVRQAAAAAGGHAGGISTEGVVRQLEAQLGVDLAPRAPLIRDILVALLSPVAPASRKDPFSPGSPHFAAAATASASSAPGAGVPHFFAHQQQQQQPTPQQLQSYYAAAQQYQQQQQQQQQYRASPPATQQYDAATASFRYAQPGGAQLQRLVQLQQYQQQQQQQQMAAAAAAAAAAVAGDSPRGAVVAESPRGAASAKPKKESASTGVKRRGGPGGLNKVCGVSPELQVIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDCTDMFKMNKLLAKHIRPLEPTKDSNRDSKKLKPVDSEPISPAESDPNQLPVIVSDALASFFGTGEREMPHSEAVKRVWDHIKSNNLEDPSNPTLILCDSKLKDLFGCESLTALGVSELLSDHLFKQANKI* >Brasy3G303400.1.p pacid=40039894 transcript=Brasy3G303400.1 locus=Brasy3G303400 ID=Brasy3G303400.1.v1.1 annot-version=v1.1 MFRSASDISVRPSAEDPRGPFRPLGSFVEGRRWQAHRGRAPLACAHGIRVSAAGRYFFDVLKSRISCHRGRTATYVAQAGTERERGRSRGLLLLRLSFSSSADQLSRLLLLTAYPVVKFTGYGGGDGQTMMIRGVRQGTLLAVAATRWN* >Brasy3G329300.1.p pacid=40039895 transcript=Brasy3G329300.1 locus=Brasy3G329300 ID=Brasy3G329300.1.v1.1 annot-version=v1.1 MDSSSRQRHGALLLAVVLLASSACRVADAARPAPADDQARPLDHGQEATAVILEKAARETVVELLMARLPAGPSPKGPGH* >Brasy3G340100.1.p pacid=40039896 transcript=Brasy3G340100.1 locus=Brasy3G340100 ID=Brasy3G340100.1.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFIMIQLIEFLISDYMKNWLCCEQFDKKIFEEWARSIVKTRKDLEVLENINGLYVVYIERVVGRLAREVAPAAHQGKLDLEVFSKLLC* >Brasy3G340100.4.p pacid=40039897 transcript=Brasy3G340100.4 locus=Brasy3G340100 ID=Brasy3G340100.4.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFIMIQLIEFLISDYMKNWLCCEQFDKKIFEEWARSIVKTRKDLEVLENINGLYVVYIERVVGRLAREVAPAAHQGKLDLEVFSKLLC* >Brasy3G340100.5.p pacid=40039898 transcript=Brasy3G340100.5 locus=Brasy3G340100 ID=Brasy3G340100.5.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFIMIQLIEFLISDYMKNWLCCEQFDKKIFEEWARSIVKTRKDLEVLENINGLYVVYIERVVGRLAREVAPAAHQGKLDLEVFSKLLC* >Brasy3G340100.6.p pacid=40039899 transcript=Brasy3G340100.6 locus=Brasy3G340100 ID=Brasy3G340100.6.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFIINFRRMGQIYCQDTQRLGSPGKHKWAVRSIH* >Brasy3G340100.2.p pacid=40039900 transcript=Brasy3G340100.2 locus=Brasy3G340100 ID=Brasy3G340100.2.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFIINFRRMGQIYCQDTQRLGSPGKHKWAVRSIH* >Brasy3G340100.9.p pacid=40039901 transcript=Brasy3G340100.9 locus=Brasy3G340100 ID=Brasy3G340100.9.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSGSVECSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNVSERLLLVDPFDGSFPDMHDNMFII* >Brasy3G340100.3.p pacid=40039902 transcript=Brasy3G340100.3 locus=Brasy3G340100 ID=Brasy3G340100.3.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSEIFEEWARSIVKTRKDLEVLENINGLYVVYIERVVGRLAREVAPAAHQGKLDLEVFSKLLC* >Brasy3G340100.7.p pacid=40039903 transcript=Brasy3G340100.7 locus=Brasy3G340100 ID=Brasy3G340100.7.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSALVPQIRK* >Brasy3G340100.8.p pacid=40039904 transcript=Brasy3G340100.8 locus=Brasy3G340100 ID=Brasy3G340100.8.v1.1 annot-version=v1.1 MAPPGTVSSPNPGASSSSKGGGGGGTEPRFTGVRKLASGRYSAVFYHSVNKKRATVRVGTYDTAEQAAGARAAAKIAMASASSSRPPPTPPPPRLPWISTTSSSRIDSPSLKEALAMALVHHKRLPAPAGAAAAASSSPKSPPLVRWKRKAKDRKREVLRLREELKLLQDGARREELEPPVASCRCHFFDGCGSRPPPGGGEHWVDEVLRRRFLRLARWMEKRRRPDRFLPVSGSLEFNREDEIQQLSLSIDFLVELSDDIFAKKEAGSSFATFSHQAVDFILATLRNILLSKREKELVEEIIDGLVARLMKRMCTIPENTGTSDSALVPQIRK* >Brasy3G082700.1.p pacid=40039905 transcript=Brasy3G082700.1 locus=Brasy3G082700 ID=Brasy3G082700.1.v1.1 annot-version=v1.1 MRGPLGAIIGRHASAAAAGAGGEKDADAGAGVIRHDRKCRDLPFLVLFAAFWVAMIVNSSFGFNQGNPLRLTFGLDYKGNICGSKHADPNLHELDVRYWMNPNQVYQSGLKSSQINLPDAKAICLMECPYPAEDGLNFVCDYPDGDIRLSVDDWIDRDYDYFEYLTPEMRNSSLQLQGPCYPIIFPSINVYWSCQFIARPSNVSLKHWQQMGGVSIDANMLIDKTIHNAVNSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSLIWLAMIRYFVAGMPWVTVVIFNVLVVSVTMFFYIKAGWIGNDPLTVVIGASDPYVSISGREINHLHVAAVLMTVVMIIAFLTSIAIVRRILIATSVLKVAAKVIGEVHALIIFPIVPYFVLAIFYMVWFSATLHLFSAGQVLQNDCNSNCCSFDLKLGKVNCDNCCGYSIHYTPHIGLAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLLRYSLGSVALGSLTVSVVEWVQYVLKSIRRRLKVVDSARESCFGKTVSSSSHCCLGCIDWTIKSVNRNAYIMIAITGKGFCKASELATGLIMNNILRIGKVNVIGDVILFLGKLCVGLSSALLAFLMLDNHKYRSSHNKISSPLFPVLLCWALGYAVAQLLFGVVETSVETVVLSFCQDAEEHQGDAQYAPPLLMEALLGGDPAQLQRLTAQP* >Brasy3G082700.2.p pacid=40039906 transcript=Brasy3G082700.2 locus=Brasy3G082700 ID=Brasy3G082700.2.v1.1 annot-version=v1.1 MRGPLGAIIGRHASAAAAGAGGEKDADAGAGVIRHDRKCRDLPFLVLFAAFWVAMIVNSSFGFNQGNPLRLTFGLDYKGNICGSKHADPNLHELDVRYWMNPNQVYQSGLKSSQINLPDAKAICLMECPYPAEDGLNFVCDYPDGDIRLSVDDWIDRDYDYFEYLTPEMRNSSLQLQGPCYPIIFPSINVYWSCQFIARPSNVSLKHWQQMGGVSIDANMLIDKTIHNAVNSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSLIWLAMIRYFVAGMPWVTVVIFNVLVVSVTMFFYIKAGWIGNDPLTVVIGASDPYVSISGREINHLHVAAVLMTVVMIIAFLTSIAIVRRILIATSVLKVAAKVIGEVHALIIFPIVPYFVLAIFYMVWFSATLHLFSAGQVLQNDCNSNCCSFDLKLGKVNCDNCCGYSIHYTPHIGLAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLLRYSLGSVALGSLTVSVVEWVQYVLKSIRRRLKVVDSARESCFGKTVSSSSHCCLGCIDWTIKSVNRNAYIMIAITGKGFCKASELATGLIMNNILRIGKVNVIGDVILFLGKLCVGLSSALLAFLMLDNHKYRSSHNKISSPLFPVLLCWALGYAVAQLLFGVVETSVETVVLSFCQDAEEHQGDAQYAPPLLMEALLGGDPAQLQRLTAQP* >Brasy3G082700.3.p pacid=40039907 transcript=Brasy3G082700.3 locus=Brasy3G082700 ID=Brasy3G082700.3.v1.1 annot-version=v1.1 MRGPLGAIIGRHASAAAAGAGGEKDADAGAGVIRHDRKCRDLPFLVLFAAFWVAMIVNSSFGFNQGNPLRLTFGLDYKGNICGSKHADPNLHELDVRYWMNPNQVYQSGLKSSQINLPDAKAICLMECPYPAEDGLNFVCDYPDGDIRLSVDDWIDRDYDYFEYLTPEMRNSSLQLQGPCYPIIFPSINVYWSCQFIARPSNVSLKHWQQMGGVSIDANMLIDKTIHNAVNSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSLIWLAMIRYFVAGMPWVTVVIFNVLVVSVTMFFYIKAGWIGNDPLTVVIGASDPYVSISGREINHLHVAAVLMTVVMIIAFLTSIAIVRRILIATSVLKVAAKVIGEVHALIIFPIVPYFVLAIFYMVWFSATLHLFSAGQVLQNDCNSNCCSFDLKLGKVNCDNCCGYSIHYTPHIGLAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLLRYSLGSVALGSLTVSVVEWVQYVLKSIRRRLKVVDSARESCFGKTVSSSSHCCLGCIDWTIKSVNRNAYIMIAITGKGFCKASELATGLIMNNILRIGKVNVIGDVILFLGKLCVGLSSALLAFLMLDNHKYRSSHNKISSPLFPVLLCWALGYAVAQLLFGVVETSVETVVLSFCQDAEEHQGDAQYAPPLLMEALLGGDPAQLQRLTAQP* >Brasy3G007800.1.p pacid=40039908 transcript=Brasy3G007800.1 locus=Brasy3G007800 ID=Brasy3G007800.1.v1.1 annot-version=v1.1 MRLPSPAAGSVKPAEPNRSNPSRTGAPRQSGKTLTASTWCSPTRLPHPPVLPFLFFRWNRSSFYPSISSRVSHNCPFHSLVISRRRMGKLQAVRWIFPLVISGDRR* >Brasy3G045400.1.p pacid=40039909 transcript=Brasy3G045400.1 locus=Brasy3G045400 ID=Brasy3G045400.1.v1.1 annot-version=v1.1 MAEHTACLYIQGRCVLGEESLRHVAVFRWLNRKIHTNVEYCTIDENKAMEKEDSVRGCVTEQDTEALLLHDVLLDGILAIGTLGYNANSLYTEAFPGQDQDEFITMDREEVEEEKHEEEQSGAVEDTLATAPNESAPAVELAKMHSSLMKECTFTCSVKEGILVHEVEVEDVTEIQERPLLVVEKVEKVRTTLADLFAAEPLSSSDTAGEKNYQKVVAVAEAFTSKPTSCMENMHQNKRTKPKPKRLDATRKLSRVMRKMLGRKIHPDQLNGCNDAEGPLITA* >Brasy3G045400.3.p pacid=40039910 transcript=Brasy3G045400.3 locus=Brasy3G045400 ID=Brasy3G045400.3.v1.1 annot-version=v1.1 MGLKVFRWLNRKIHTNVEYCTIDENKAMEKEDSVRGCVTEQDTEALLLHDVLLDGILAIGTLGYNANSLYTEAFPGQDQDEFITMDREEVEEEKHEEEQSGAVEDTLATAPNESAPAVELAKMHSSLMKECTFTCSVKEGILVHEVEVEDVTEIQERPLLVVEKVEKVRTTLADLFAAEPLSSSDTAGEKNYQKVVAVAEAFTSKPTSCMENMHQNKRTKPKPKRLDATRKLSRVMRKMLGRKIHPDQLNGCNDAEGPLITA* >Brasy3G045400.2.p pacid=40039911 transcript=Brasy3G045400.2 locus=Brasy3G045400 ID=Brasy3G045400.2.v1.1 annot-version=v1.1 MAEHTACLYIQGRCVLGEESLRHVAVFRWLNRKIHTNVEYCTIDENKAMEKEDSVRGCVTEQDTEALLLHDVLLDGILAIGTLGYNANSLYTEAFPGQDQDEFITMDREEVEEEKHEEEQSGAVEDTLATAPNESAPAVELAKMHSSLMKECTFTCSVKEGILVHEVEVEDVTEIQERPLLVVEKVEKVRTTLADLFAAEPLSSSDTAGEKNYQKVVAVAEAFTSKPTSCMENMHQNKRTKPKPKRLDATRKLSR* >Brasy3G078300.1.p pacid=40039912 transcript=Brasy3G078300.1 locus=Brasy3G078300 ID=Brasy3G078300.1.v1.1 annot-version=v1.1 MLHEGHRHTRFDPPPGAGAGACAGQWTGQKRRRRQVPSPTSRFAARIERPGRQIGAKTPSDSAHELGDREPDEVSEKEFLGYLAVLRPRLGPSIICGGRMDDEQQVKVDERLALYRIRAFKLAQGVCPDELDDVTLRKEYSPEALEEMCYFVEYNQADTVDWYFDDVYSTCYALSDYRRLVVKNGDGCMKYVEWEKYHSWFTNYEVDEEYIRFFEEVSKKLKWIKRYVQCDPAISNEFADAKSRAFKQAVKIALDFPHMNYDLAFTALLEHIYNMCFESNYLDDFDLLLLEIWKHMTGLKVDFNCALEKVLRDNMSPLHKKYNKFVEIYYDYSDLESAFVTCVEGIPAEALEGEAHKLIKSAVWNKLVRRRKLRNDYLVRKIEICKHIGLDLQVCTYTYIL* >Brasy3G078300.2.p pacid=40039913 transcript=Brasy3G078300.2 locus=Brasy3G078300 ID=Brasy3G078300.2.v1.1 annot-version=v1.1 MLHEGHRHTRFDPPPGAGAGACAGQWTGQKRRRRQVPSPTSRFAARIERPGRQIGAKTPSDSAHELGDREPDEVSEKEFLGYLAVLRPRLGPSIICGGRMDDEQQVKVDERLALYRIRAFKLAQGVCPDELDDVTLRKEYSPEALEEMCYFVEYNQADTVDWYFDDVYSTCYALSDYRRLVVKNGDGCMKYVEWEKYHSWFTNYEVDEEYIRFFEEVSKKLKWIKRYVQCDPAISNEFADAKSRAFKQAVKIALDFPHMNYDLAFTALLEHIYNMCFESNYLDDFDLLLLEIWKHMTGLKVDFNCALEKVLRDNMSPLHKKYNKFVEIYYDYSDLESAFVTCVEGIPAEALEGEAHKLIKSAVWNKLVRRRKLRNDYLVRKIEICKHIGLDLQATDVVY* >Brasy3G078300.4.p pacid=40039914 transcript=Brasy3G078300.4 locus=Brasy3G078300 ID=Brasy3G078300.4.v1.1 annot-version=v1.1 MLHEGHRHTRFDPPPGAGAGACAGQWTGQKRRRRQVPSPTSRFAARIERPGRQIGAKTPSDSAHELGDREPDEVSEKEFLGYLAVLRPRLGPSIICGGRMDDEQQVKVDERLALYRIRAFKLAQGVCPDELDDVTLRKEYSPEALEEMCYFVEYNQADTVDWYFDDVYSTCYALSDYRRLVVKNGDGCMKYVEWEKYHSWFTNYEVDEEYIRFFEEVSKKLKEHIYNMCFESNYLDDFDLLLLEIWKHMTGLKVDFNCALEKVLRDNMSPLHKKYNKFVEIYYDYSDLESAFVTCVEGIPAEALEGEAHKLIKSAVWNKLVRRRKLRNDYLVRKIEICKHIGLDLQVCTYTYIL* >Brasy3G078300.3.p pacid=40039915 transcript=Brasy3G078300.3 locus=Brasy3G078300 ID=Brasy3G078300.3.v1.1 annot-version=v1.1 MLHEGHRHTRFDPPPGAGAGACAGQWTGQKRRRRQVPSPTSRFAARIERPGRQIGAKTPSDSAHELGDREPDEVSEKEFLGYLAVLRPRLGPSIICGGRMDDEQQVKVDERLALYRIRAFKLAQGVCPDELDDVTLRKEYSPEALEEMCYFVEYNQADTVDWYFDDVYSTCYALSDYRRLVVKNGDGCMKYVEWEKYHSWFTNYEVDEEYIRFFEEVSKKLKEHIYNMCFESNYLDDFDLLLLEIWKHMTGLKVDFNCALEKVLRDNMSPLHKKYNKFVEIYYDYSDLESAFVTCVEGIPAEALEGEAHKLIKSAVWNKLVRRRKLRNDYLVRKIEICKHIGLDLQATDVVY* >Brasy3G212800.1.p pacid=40039916 transcript=Brasy3G212800.1 locus=Brasy3G212800 ID=Brasy3G212800.1.v1.1 annot-version=v1.1 MFTNFILQQPMPWNRESTRDPSQSSPALPMIPGPSDSLAACCSNGTAPRQGPAAAAPTQSRGGGRRPTKGSRARRDGGCGRAEGGGGGQACSCGGFDGGGGQACSRGGFLSGEPATASMRTIDKQEWGRRQAEVSLATKPKPKAPQNPTRTQPQLDPARASLSPPPQRVRAVAEELTGVPGSRLALVLATRSQKQNQTKPAQSYKPPHPTPPRPSPPPPSPRLAAPPTAAVPVCRLSRRLGRVRSGAERRGMSSSRRTAGSRVPTTPLTDGGC* >Brasy3G074100.1.p pacid=40039917 transcript=Brasy3G074100.1 locus=Brasy3G074100 ID=Brasy3G074100.1.v1.1 annot-version=v1.1 MGIGEHFEGVKQHWARNFAFLDYFKKVHGRDQPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALGGALVGAAHLGGVAVKYSKSPHGVVLATTFGALTGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVSAGQKN* >Brasy3G074100.2.p pacid=40039918 transcript=Brasy3G074100.2 locus=Brasy3G074100 ID=Brasy3G074100.2.v1.1 annot-version=v1.1 MGIGEHFEGVKQHWARNFAFLDYFKKVHGRDQPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALGGALVGAAHLGGVAVKYSKSPHGVVLATTFGALTGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVSGQKN* >Brasy3G118500.1.p pacid=40039919 transcript=Brasy3G118500.1 locus=Brasy3G118500 ID=Brasy3G118500.1.v1.1 annot-version=v1.1 MSPKKYNVPRSALAGESTPKRSRLERLPGMSTADWVADCTRRQVENAARRNREKMAKERNAALARQMEAQRIAARRRWPQGSSSSSPSKSIILPTSPHMPHENHGNATSSLSQFSPDYPNTDPNTFAADPIGGFNPNNFALPPLRRGPLSYSRSSSSASFQPFPGSCSQAAPNPFGGMSQGKSIMADMINDGSHHAHYTYTQEEEAYAGKEADEGAGEEAYKGWADENKEPAVADPKGKKKKAAEGKKKAGGGGRGLKWTSKVDECLAEAWKFVSMDPFIGANQNVDTYWKQDGGMVAMFTAYREDNDDVEFKFIHVFARIETCDKWMETRNALAKSKDASYDPKAAPSVAAEGRPIGHKKAKAARDAAPATERLYTPAKREELTAKREEVATSWWATVFKKQDKRRGDLAILMCDTTGMDEEMKAWYDGQHKLILSEARAPEASTSPSAPDTATPATSTPSAPDTATPATSTPPASSEVPSIPAEDETAE* >Brasy3G104600.1.p pacid=40039920 transcript=Brasy3G104600.1 locus=Brasy3G104600 ID=Brasy3G104600.1.v1.1 annot-version=v1.1 MPPKVTKRWVPVSRPAGTSPAPAARKPSNETPPKVSKRWVPVNHNQPAGTSRGAGNPSKKMPRKVWVPVNQPPPVGKSQGPAEPADRLSDLPDALLHHIMSFMKSWEVVRTCKLSRRWRNLWASAPCIDIRVGRHDRPTDMVKFMNRVLLTRDMLAPVDTLRLRSVGEDDFYETYNNGNVKRWIRNALKRRARVIHLTGHLHNCITLDRMDFVSCHLKILKLSYAKLDDRVARQLSSQCPSLEELDLKSCVVDSHEIVSFSLKSLIMVKCKFTMNLSVDAPNLMLLRCITPEKWVPVLKNSVSLVTGSIRLDDSLLSSEFQKYDDDDDDEFPQTSDEDDDNDNTDAQGDGNNAAADRSHNEGFLDSILFGGFSDFCDDYPEGYSDDFKDDYDYGSDINSDDDTYEYSEIADGFDDKYSVNCYDDLEFSKGGKSYGFSANYGFNDYKTLGGQNVLHSLSNARSIELLGHAGELRVYILECFTRPNIAMGSCKSQTVGHSEGYGFLVVLRRESMSCPTFNNLKTLSLGEWCISRGADFYILIRLLQHTPCLEQLFLQLEMNFGIENALGRCKPNGGSFSCKHIRMVKIKCTKDDPRLHMLAQLFKAYGIPHEKIYVRRSGSFHLRMLKLNREISIAEMRDFL* >Brasy3G104600.2.p pacid=40039921 transcript=Brasy3G104600.2 locus=Brasy3G104600 ID=Brasy3G104600.2.v1.1 annot-version=v1.1 MPPKVTKRWVPVSRPAGTSPAPAARKPSNETPPKVSKRWVPVNHNQPAGTSRGAGNPSKKMPRKVWVPVNQPPPVGKSQGPAEPADRLSDLPDALLHHIMSFMKSWEVVRTCKLSRRWRNLWASAPCIDIRVGRHDRPTDMVKFMNRVLLTRDMLAPVDTLRLRSVGEDDFYETYNNGNVKRWIRNALKRRARVIHLTGHLHNCITLDRMDFVSCHLKILKLSYAKLDDRVARQLSSQCPSLEELDLKSCVVDSHEIVSFSLKSLIMVKCKFTMNLSVDAPNLMLLRCITPEKWVPVLKNSVSLVTGSIRLDDSLLSSEFQKYDDDDDDEFPQTSDEDDDNDNTDAQGDGNNAAADRSHNEGFLDSILFGGFSDFCDDYPEGYSDDFKDDYDYGSDINSDDDTYEYSEIADGFDDKYSVNCYDDLEFSKGGKSYGFSANYGFNDYKTLGGQNVLHSLSNARSIELLGHAGELRVYILECFTRPNIAMGSCKSQTVGHSEGYGFLVVLRRESMSCPTFNNLKTLSLGEWCISRGADFYILIRLLQHTPCLEQLFLQLEMNFGIENALGRCKPNGGSFSCKHIRMVKIKCTKDDPRLHMLAQLFKAYGIPHEKIYVRRSGSFLKPFCACKSIHSLDL* >Brasy3G104600.3.p pacid=40039922 transcript=Brasy3G104600.3 locus=Brasy3G104600 ID=Brasy3G104600.3.v1.1 annot-version=v1.1 MPPKVTKRWVPVSRPAGTSPAPAARKPSNETPPKVSKRWVPVNHNQPAGTSRGAGNPSKKMPRKVWVPVNQPPPVGKSQGPAEPADRLSDLPDALLHHIMSFMKSWEVVRTCKLSRRWRNLWASAPCIDIRVGRHDRPTDMVKFMNRVLLTRDMLAPVDTLRLRSVGEDDFYETYNNGNVKRWIRNALKRRARVIHLTGHLHNCITLDRMDFVSCHLKILKLSYAKLDDRVARQLSSQCPSLEELDLKSCVVDSHEIVSFSLKSLIMVKCKFTMNLSVDAPNLMLLRCITPEKWVPVLKNSVSLVTGSIRLDDSLLSSEFQKYDDDDDDEFPQTSDEDDDNDNTDAQGDGNNAAADRSHNEGFLDSILFGGFSDFCDDYPEGYSDDFKDDYDYGSDINSDDDTYEYSEIADGFDDKYSVNCYDDLEFSKGGKSYGFSANYGFNDYKTLGGQNVLHSLSNARSIELLGHAGEVVLRRESMSCPTFNNLKTLSLGEWCISRGADFYILIRLLQHTPCLEQLFLQLEMNFGIENALGRCKPNGGSFSCKHIRMVKIKCTKDDPRLHMLAQLFKAYGIPHEKIYVRRSGSFHLRMLKLNREISIAEMRDFL* >Brasy3G104600.4.p pacid=40039923 transcript=Brasy3G104600.4 locus=Brasy3G104600 ID=Brasy3G104600.4.v1.1 annot-version=v1.1 MPPKVTKRWVPVSRPAGTSPAPAARKPSNETPPKVSKRWVPVNHNQPAGTSRGAGNPSKKMPRKVWVPVNQPPPVGKSQGPAEPADRLSDLPDALLHHIMSFMKSWEVVRTCKLSRRWRNLWASAPCIDIRVGRHDRPTDMVKFMNRVLLTRDMLAPVDTLRLRSVGEDDFYETYNNGNVKRWIRNALKRRARVIHLTGHLHNCITLDRMDFVSCHLKILKLSYAKLDDRVARQLSSQCPSLEELDLKSCVVDSHEIVSFSLKSLIMVKCKFTMNLSVDAPNLMLLRCITPEKWVPVLKNSVSLVTGSIRLDDSLLSSEFQKYDDDDDDEFPQTSDEDDDNDNTDAQGDGNNAAADRSHNEGFLDSILFGGFSDFCDDYPEGYSDDFKDDYDYGSDINSDDDTYEYSEIADGFDDKYSVNCYDDLEFSKGGKSYGFSANYGFNDYKTLGGQNVLHSLSNARSIELLGHAGEVVLRRESMSCPTFNNLKTLSLGEWCISRGADFYILIRLLQHTPCLEQLFLQLEMNFGIENALGRCKPNGGSFSCKHIRMVKIKCTKDDPRLHMLAQLFKAYGIPHEKIYVRRSGSFLKPFCACKSIHSLDL* >Brasy3G023500.1.p pacid=40039924 transcript=Brasy3G023500.1 locus=Brasy3G023500 ID=Brasy3G023500.1.v1.1 annot-version=v1.1 MNLNTVVCLVCWSIWKHRNAVVFDGATPSMLHIIRSIGQEGEAWRGAGLFVGSNLFSDFGVVDVSWMLRE* >Brasy3G137200.1.p pacid=40039925 transcript=Brasy3G137200.1 locus=Brasy3G137200 ID=Brasy3G137200.1.v1.1 annot-version=v1.1 MLPTLSEVNLSEFMISSTLRRGSHLVLSFSVVFLYWFYVFES* >Brasy3G199900.1.p pacid=40039926 transcript=Brasy3G199900.1 locus=Brasy3G199900 ID=Brasy3G199900.1.v1.1 annot-version=v1.1 MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFSLESQPLIEGLIVAMSLIGATVITTFSGAVADAVGRRPLLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFTMSLMPQPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTYIEEYIIGPDDELADEGLAPDPEKIKLYGPEGLSWVARPVRGGSALGSALGLMSRHGSMVSQGKSLVDPLVTLFGSVHEKMPEVMGSMRSTLFPNFGSMFSVAEQQQAKVDWEAESHRDDEDYASDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSMQGGDAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVPSDRRGSILSMPGGDVPPGGEFIQAAALVSQPALYSKDLMEQQLAGSAMVHPSEAAAKGPKWADLFEPGVKHALFVGIGLQILQQFAGINGVLYYTPQILEQAGVGILLSNLGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILVLVNILDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTSVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFVFVYMKVPETKGMPLEVITEFFSVGAKQGKEARD* >Brasy3G200300.1.p pacid=40039927 transcript=Brasy3G200300.1 locus=Brasy3G200300 ID=Brasy3G200300.1.v1.1 annot-version=v1.1 MKMESRTMAAGRERVDRVRQYNRSKVPRLRWTPELHRRFVHSIHSLGGHHRATPKRVLQLMGVGGLTISHVKSHLQMYRNMRGNDLDMMQGIQRMDQEHTFSGGMEVWTDMQQVHHHHHEYCDGPYCSRHSPKHTVLLHHTQLKRPSQMESRQEAEAASPQKRLLQGLSERDAAPGGRLLLRQYSLAAAGQQLAAAGYCYDDYYFHREPAAAGGGGEQADPPSCTPAWLQGRLVAPTPMRAGDDPACEEQISGCEELTLHGGRGVATPPASCYGSAAAAVEGEERGGIDGQLTLSLALESDSGRPPMRRSSEQGGCFLTPSVRGGGGCCSGRRRRGRGGCVCLDLSLSSSLYNN* >Brasy3G057000.1.p pacid=40039928 transcript=Brasy3G057000.1 locus=Brasy3G057000 ID=Brasy3G057000.1.v1.1 annot-version=v1.1 MAATVDVPRTSHRQAANDNQRIHRPLHHPTVWGDFFLSFRPWTTTQSASMKKEAEAKKEEVRKTIRDSAAADLPLKLELVDSLQRLGLDYHYTKEIDDLLLLIHGDDEGRDLHTTALRFYLLRKNGYHVSPDVFQKFRDAGGNIACNGDTKSLLAVYNAAHVRTRGEETLDRAIVSTGEHLRLTLEDQPSLCPSILLDQVRHTLETPLFRRPKRVETRRYISVYEKMSTRNEAILELAKLDFSILQSLYCEELKALTGWWKDLQLQDHLSFARDRMVEMHFWMH* >Brasy3G321000.1.p pacid=40039929 transcript=Brasy3G321000.1 locus=Brasy3G321000 ID=Brasy3G321000.1.v1.1 annot-version=v1.1 MASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFAVRFTKEGVLPDVATFNSLAQALCDAGDVKFAVGLLADASSRGLCPDISTYKVMLPAVAKAGQIEEAFRLFYAAIEDGHRPFPSLYAAIVKALCKAGRFGDAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFLDAANYLVEMSEAGFAPRAPTFNAVVDGLRHLGKHDLARRMEQLEMSSKGN* >Brasy3G062900.1.p pacid=40039930 transcript=Brasy3G062900.1 locus=Brasy3G062900 ID=Brasy3G062900.1.v1.1 annot-version=v1.1 MTWFRPERVGPTGRPPLSARAGRVRRAVAEHGGSGGLQRRRSQRRSKGGARCVDQGGRGQRGGSTAGARGGAGAAGRREAAEGGGAGAAHGVAWRRSRGSAGGGGGAAEAREGPPALMGSSRAGAGGAGAAWRGAEEEEEPFPRESGPSPWLSAHLLPVSPRDYSRVQSPVAAELVFRARHRRHSPYSPSPARLLPLSSAATSPSWSRPFAPSTVQALPVVCWHRRVSSPAQGSRGGSRSSAPPPPPSSETRRARAGGGGAREVGGGGRGRGPRGGAGGAAVGRKCGSTNRAWRRRSGGWETGACEADKERGEDVTPRRGGGRRRGWRWRGGRGAGGPVGEVSSDGVGVQCSGGGSGGGERARDRRLRTPPPWIGGGGGDELGQERAEERRLGLSFF* >Brasy3G177500.1.p pacid=40039931 transcript=Brasy3G177500.1 locus=Brasy3G177500 ID=Brasy3G177500.1.v1.1 annot-version=v1.1 MRRSTPSPPYAAKNSRTDTIDQFIDLLLIASRTSGSLARSNISAMEHTTMSCA* >Brasy3G329600.1.p pacid=40039932 transcript=Brasy3G329600.1 locus=Brasy3G329600 ID=Brasy3G329600.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPSHSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLLQREPHQSCLRRLEHKIRSVYAAITCTRTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHHPRPRLAEQSTPRPPPPEQAGGSSWQHPQSSFDAWQEQSPFQAGGSSWQQQSPTMNFEFRPQTQPQ >Brasy3G118900.1.p pacid=40039933 transcript=Brasy3G118900.1 locus=Brasy3G118900 ID=Brasy3G118900.1.v1.1 annot-version=v1.1 MMNFSLPPPKIRLPFQQRPQVSPPPPRLTLAPWSPPTTNPTRARCEGVRRGGATAMDARLLHLSFDCPAVAGGRLSRLPAGPRMAPAAPRALPRTSSIRASAATAARGHLPHHGSVAGETSGTYTVGDFMTKREELHVVKPTTSVDEALERLVEHRITGFPVIDDDWNLVGVVSDYDLLALDSISGNGMAEADIFPEVDSTWKTFREIQKLLSKTNGKVISDVMTSSPLVVRETTNLEDAARLLLVTKYRRLPVVDSSGKLVGIITRGNVVRAALEFKKKVEGSL* >Brasy3G312700.1.p pacid=40039934 transcript=Brasy3G312700.1 locus=Brasy3G312700 ID=Brasy3G312700.1.v1.1 annot-version=v1.1 MVDSGSVQFKIDYRTIQTFATDDRYILAESICVGEHRFSASCYPQECTEDGEFEYLSIDLSLRSTSEVTSPVFEALVVGKDGTTSPALAKRERGTANDYNGLTDGSWKFWSWLDFSMRGDDDMESLWAANGRMITVSCGFVVPRENPITVPASDIGDHLQGLLDCADGSDVSFSVGGETFRVHRAVLAARSPVFKAELFGAMAEARMPCITLHDVEPVTFRALLCFIYTDSLPRDCDGDLVGDPVDVFQHLLAAADRYALDRLKLLCAHELWDNVSTDRVADILACAETYNCPELKDCCMDFFVAEQNFKHATLTEGFVRLGQKCPTIIAELRKKAGI* >Brasy3G122500.1.p pacid=40039935 transcript=Brasy3G122500.1 locus=Brasy3G122500 ID=Brasy3G122500.1.v1.1 annot-version=v1.1 MSVLCFWALPLYFQTINFRYLWEHQEGCAWWMILWRFYNLYFPDSFICGHPITEIKIMTHSARPLSISRRILNSLTPWERPTANKKCILHIRH* >Brasy3G077500.1.p pacid=40039936 transcript=Brasy3G077500.1 locus=Brasy3G077500 ID=Brasy3G077500.1.v1.1 annot-version=v1.1 MDNMSTHHQNSSSSASSWDLDMSLGTHHHPLLFDHHPSSAAAPPPPPQPPLIPFHLHHHHLADPSPSSSSPHFFHGLDFHHQHHQHEEIQMRPPPPLPEQQQQQQASVEEALTAAEAEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQSPPPAPGTTTTTAAAAYHGSGSGAGPSSGGARPAFLAGPMVDWAAGLTAAARGEVHGPSSESTSSSSMGGALGFGFSGGGQSSHGMH* >Brasy3G205800.1.p pacid=40039937 transcript=Brasy3G205800.1 locus=Brasy3G205800 ID=Brasy3G205800.1.v1.1 annot-version=v1.1 MWRRGGVHSAAATHHLPTAGASAAAAAAAGGAGAVRRRRRPGLPCRPSHLFFALLVTLFTASLLVVWQLLPIARDGDAAAVDGEEVPAPPAAGGGAIMPFSASRVALRAYGGESRLEAARSARRWWPGLQPVRLALVVGNMNIHAESLMLTTLAKSLVGLGYEVEVLAFADGKAHDIWKTICYVDVVSVEKLKSVDWSKYNAVFVSSLEGKRVVSILMQEPFQLLPVVWLIHDDALGQHLRNYPESHLSIPNHIQDWQAHFNACTYVVFPDSHLPLLYSPLDTGNFLVISGSPVDIWAAKRYSSSHSKETVRKQHGIKDDDVVVLVVGSFLFFDELPWDYATVLRASAPNIVDMARTKKMGVQFIFFCGNDTDAYNSAFQELASHMGFPDGSVRHFPMTHDIGNLLMFVDLVLYGSLRQEPGFPPLLLRSMASEVPIIAPNLSVITKHVTDGVHGFLFNSDDPSTAVSAFMRIFGEKRLLDISYSVALEGKLLSKDMLAYDCIIAHVKLLESVLHYPSDAKLPLSFSKVKDRTWLWDPFESKTAIGNSSSEDERHIHTRIADILLGESAQSNGTTYYESNDTSSYDYPSLSDWNDLIEVEIFEDTEMREMEEIDERVEKPLLTWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVMRLLILDNPYYRDLLCEFGAMFAIANRIDTVHKLPWIGFQSWRAAGRKISLSGSAEETLEKTMAGENHEDVIYYWVPMDTDQTYNFWSTCDCLNAGHCRTLFEDAFRLMYGLPEGVAALPPMPNDGDSWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNGNSTEPTSCLLGASQPEKRHCYCRILEILVNVWAYHSGKKMVYLNPRTGDTREQHPREERNEMWAKFFNFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ* >Brasy3G229000.1.p pacid=40039938 transcript=Brasy3G229000.1 locus=Brasy3G229000 ID=Brasy3G229000.1.v1.1 annot-version=v1.1 MSGAQGAQPKGAFTATTYTTAAGGEGESPQTPKTELRSSKDEHGLPVKRLEDKVEDAAGKGGPVFGAGAEDGKPDLGVTGTGGG* >Brasy3G311400.1.p pacid=40039939 transcript=Brasy3G311400.1 locus=Brasy3G311400 ID=Brasy3G311400.1.v1.1 annot-version=v1.1 MEGCACNLRFSRSQTSWSHFALREEVPAFVRARRRRSPNGLTPVGNRSGYGAMAVCSELDGLQHVAPSRFVSFSFPNPLLHDASNPYGDGDGAELLRVAVLDAPIPASPAPHAPRTAAMLVPSGRHRDWIFSTRAGQLHLLLSSQTHSPFSRLVLVGPEISAPSPPVISCAAARPDPDPAHARLLPLLRALCPRAAFRDNAIPEIPLLSFHDDLLRLVPVHAVTGPVVGDMLVEDVAVDCTPDPAELRRRLRFKRMPCLVQTQVRLARPSPAVASSSLLEALEEQGSGSSLQPQVGGLLVQPYLQAMVAGLAVIAPSVEEIVRSGSRPSCLCAGVGGGALPMSIRIGLRFDVLGVEADHVVLDVARNYFGLVEDEFLRVRVGDAIQTIQDFARQREPAMNFSAIMVDLDSDVICGVSAPPLEITHRSILLAARRILHHHGVLVLNIIPAADGGSFYRALIDVLHQVFSELYEIDVGNGENFVLVATVSPTESTLTDSSGHFLTELRKLAGEFLEHIRKIDIPGC* >Brasy3G297200.1.p pacid=40039940 transcript=Brasy3G297200.1 locus=Brasy3G297200 ID=Brasy3G297200.1.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.2.p pacid=40039941 transcript=Brasy3G297200.2 locus=Brasy3G297200 ID=Brasy3G297200.2.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.3.p pacid=40039942 transcript=Brasy3G297200.3 locus=Brasy3G297200 ID=Brasy3G297200.3.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.4.p pacid=40039943 transcript=Brasy3G297200.4 locus=Brasy3G297200 ID=Brasy3G297200.4.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.5.p pacid=40039944 transcript=Brasy3G297200.5 locus=Brasy3G297200 ID=Brasy3G297200.5.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.6.p pacid=40039945 transcript=Brasy3G297200.6 locus=Brasy3G297200 ID=Brasy3G297200.6.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.7.p pacid=40039946 transcript=Brasy3G297200.7 locus=Brasy3G297200 ID=Brasy3G297200.7.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.8.p pacid=40039947 transcript=Brasy3G297200.8 locus=Brasy3G297200 ID=Brasy3G297200.8.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G297200.9.p pacid=40039948 transcript=Brasy3G297200.9 locus=Brasy3G297200 ID=Brasy3G297200.9.v1.1 annot-version=v1.1 MGCISSKILTRSGSLQEKASSYHGFQRSSSQLIEDIILSSSNSKPKTNGDQPTFLALLRTTSSSSAARKSRVDAAAEQSPASAATPAAAAKIETIDVSELLAGLEEEEEEEEGEEQGREDRGEASPGCVPDDGAAAGRARSFRTVEEFDALVMTTRCSGSPEQPAPGPERDASVAPPESGSREQEDPATAAAAAAPAVVQDETAAQSGASSGHGDDDAGAGAKRRARARQLRELSAQPEAAGADFDFGKSGSLRDWLRGGGQIFSPGSYLTPKFGNENNGGCRPRHEEHGAAAVFDPELVAQLELAMEELSVDEERVIREVLESLDAGEKKREEAERLELLSERPLKDQALPLLAYLQD* >Brasy3G306700.1.p pacid=40039949 transcript=Brasy3G306700.1 locus=Brasy3G306700 ID=Brasy3G306700.1.v1.1 annot-version=v1.1 MKSLLKHLPCKRLTADRRSRRRLHTDSHSPTHPLISSFSRLCLDGPFPAALALLPDIAAAGVRADPVSLCRLIKLCVRHGTVSDGRAIHRHVSLCAHGGGATHGSLFVSNSLVSMYAKFGLLDDALELFVGMPQRNVVSWTTVVAALANAPGRKKEALRFLVEMRRDGVAPNSYTFSSVLGACGTPGVLAAMHASIVKVGLDSDVFVRSSLIDAYMKLGDLDSGRGVFDEMVTCDLVVWNSIIAGFAQSGDGVGAVELFMRMKESGFLANQGTLTSVLRACTGMVMLELGRQVHAHVLKYDRDLILHNALLDMYCKCGSLLDADALFSRMPDRDVISWSTMISGLAQNGRSVEALKVFDLMKAEGPTPNNITMVGVLFACSHAGLVEDGWHYFRSMDKLFGIQPEREHCNCMVDLLGRAGKLDEAMKFISEMKFEPDSVIWRTLLGACRMHKNATLASYAATEILKLEPEDQGARILLSNIYADLRQWSNAEKSWKTMRDQGVKKEPGRSWIELGKLVHVFIAGELSHPCSDCIVQELNQLIRRAKDLGYVPQTEFVLQDLGTEQKEDLLKYHSEKLAIAFGTMNSMEGKPVRIMKNLRICGDCHAFAKLVSKTEGKAIIIRDPVRFHHFQHGVCSCGDYW* >Brasy3G059100.1.p pacid=40039950 transcript=Brasy3G059100.1 locus=Brasy3G059100 ID=Brasy3G059100.1.v1.1 annot-version=v1.1 MKHEKVYADSTEKARDKILASYLISTDQSYYRGIENSIYFDGWDGVGASAVLAAIAESVRSKGSKFDMVIHVDCSVWRNRRHMQRRIAEELKLDASVMVLFDKQDEEDDFGGIDKSSRAEIDDVAKLIFQTIKNRMCLIIFHNGSEHEIDLAASGVPVSHWGNKVLWTFRGRFRLDPTVTGKVQSAHIFLSAHPVADIWLDRDLSAVVHEEAAKVNHHISPTVVADCWSYLSLLHYNLDNSIDYDQDFHASNYWVCDGIISGDSAWEISDRLHEVMRLEYLPTKQKHGKWYEKFSKLQNKDSRWISITSEHVAIQNIQTISKKTTSWFLTLKKSDPATALPKNLFEQSSKLHVLRLSCCTFSFASPPFIYCSSLRFIFIDSCRDEDVEFIGKGDDKQDTEWKFLQRLWVFDIRRTSWDWILSPPKMVLVTELRELNLMDAGAGRSDWDMKTLEMTWLCNLQRLRLINSSTFLTAVVQGSFMCMQKLELLDLSGNSAMEVLPNLSGASGLKVLILDGCDGLQHVEPDAVPASLESFSFDGFGRAYRWKNSLQLPEKKVRPGAHVSEELPKVCKISLEGRTRLKHVFLRGLPNLEELNLSETGIKELDLEAMQAKKLERLFLIGCENLRRVQWLDATNPPLKLLSVDTRGKAERSMDGDCHRSHSYSQENFVQVVATDARFLRGFGVFGTNFHLHLSSTVNSRQLPGTKEAWISSSVELGLVPAVGSPFLYSDVLDKFVEEYDNAEDCFLQACTQLLPSERHIEFAEGGCNWEFKSGREIMHSLMNSAQSLHVHDNSSITAANLEFGDYERFRDLRWCRVERCPKLHTVYLLDRRYMSASSFKRLQTFWASHLLAARCIWSRGLGFYTKTAAAAFSQLRHIHLHSCPRLTFVLPWSFPTLASLETIQITYCGEVTQIFPKGYTYSRRSAATNIEFPSLREIHLHELPMLQHICEINMSAPALETIKLRGCWSMRRLPAIHAGRAHDKPPAVVDCEKDWWDKLQWDGLEASRRLFRPRHSRYYKETIPRGPLLT* >Brasy3G256300.1.p pacid=40039951 transcript=Brasy3G256300.1 locus=Brasy3G256300 ID=Brasy3G256300.1.v1.1 annot-version=v1.1 MGRGARRPEMGRGARRRRLARSSPAKSPIAPNRLPRKSPSSSSSSTASARRAAARDGGEARGGGRRGRGARPAGDGGEARGGRRWGEARGGRRRGEARGLDRPDEDDAIR* >Brasy3G244200.1.p pacid=40039952 transcript=Brasy3G244200.1 locus=Brasy3G244200 ID=Brasy3G244200.1.v1.1 annot-version=v1.1 MRTRGQTNREAKPSPAASPASLGGGGGAEVAAGGANRRKASPAAKGKSPAAKGKSPAAKVELESSLGLKHTKGKVNTEWNDEAGMKKCSAGSSEKKILEKKEPEAIGDSDAAGMDWEEGHVSVVEREQGYSHDLGETVTVEFTDVPSSTEKRTVRRHTAEEKELAELVHKVHLLCLLARGRVIDMACNDPLIQASILSVLPHHLLLNGVDIAKLDANNLRSLVSWFHRTFCVIAQSTERRSFKSNMAFAIQSHVGTAEEVCALSVALFRALNLTARFVTNMDVVGLKPDAKGMGTPNQDGPRLSTRALPSSSVVAGHEEFNTLSPARSQDNTKRGISMAKQQCNLGNLKRTSACRRSLSKNLSNCNAADGSSFASTSNGESSRSPCPLTPSTAEIKKRRGDVEFELQLEMALSATAADSLENKLATTSSQSTGSLLYSTPPLKKLCKSAEVESNSSAVWSRSGAPLYWTEVYCGGQTSTGRWVHVDVVNDIIDGERKVEAASAVCRKPLRYVVGFAGGGAKDVTRRYCLQWHRIVQGRVNPEWWENVLAPLEQLELAATNDSEEMELQTRALTEPLPTNQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCTGHPVYPRSCVQTLQSRHGWLREGLQVRENESPAKVVSRPKRTFNSQAHESNSNEDGLQPTMELYGKWQLEPLRLPCAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFQIAKRLGIDYAPAMVGFDYRGGRCIPVFDGIVVCAEFKNAILEAYREVEEQRQAAERKEEESQALSRWYQLLCSIATRQRLKDSYNARSAGLASGRPAEIDNQQKSTGDSRCSKAKATTHPSKPQADRPPNPSFAADDHEHEFPEEDQSFDEETFVRTKRCPCGFVIQVEEM* >Brasy3G255500.1.p pacid=40039953 transcript=Brasy3G255500.1 locus=Brasy3G255500 ID=Brasy3G255500.1.v1.1 annot-version=v1.1 MNSWPLQHGGERAARGSRRPAGARASGGPPRSLGGRAGGRTGGGGCIGSLARGGSPARTGGGGVDCSVRGIRRAGGLGGGGLGGRRRIWPAAHRIRARRAVAVAEVAGAAGTGGGGGARRRAAWAERRGGTRGRASGGKLRLITDQNR* >Brasy3G257300.1.p pacid=40039954 transcript=Brasy3G257300.1 locus=Brasy3G257300 ID=Brasy3G257300.1.v1.1 annot-version=v1.1 MTWRYHLHRKHIFSDTSAEIFLLTERTCQEVLMLPHQNVSILKIFLISNALKWLQKEVITTYIRFGDKKGAVESIEITITIRSENRRSYLSPQEKPGIISALQG* >Brasy3G172900.1.p pacid=40039955 transcript=Brasy3G172900.1 locus=Brasy3G172900 ID=Brasy3G172900.1.v1.1 annot-version=v1.1 MSHHPTALYKPRAPRPPRHTNSHAEELKPRREARGGVVRCSGDRSSCERGAVMAVQGEEGRKEEKAAAGRRAGPHPLLRGWRREGKYTHGMHPAQMEALRAICGAFIPSMPAEEAAGAGGRADPPPGGKDLERFYLASAADSTIPDEVAELMVTRCIREAVLLAWVVLWVLSTRVGTLLLCGRLSLSFSGAGDFSFPCLSRFADMPVARREAALQRWNSTRWLLPLRIVFTLVKILSHYVFYAMVNENSENPHWKAIGYRVDERRTEQADDAAAPSPSRPLDDGVVETRALNDTTLLRSLADRGLAASSDARHHTVQCDAVIVGSGCGGGVAAAMLASAGHKVVVLEKGDYFTAEDYSSVEGPSMERLFEKGGIFCTSNVTTMVFTGSTVGGGSAVNWSASIRTPGRVLQEWSQEHGLPVFASKGYAQAMDAVCARLAVTDACLEEGFQNKVVRRGCEALGLPVDAVPRNSSAGHYCGSCNFGCPTGDKRGTDTTWLVDAVEHGAVILTGCKAERFILESNTTGTGGKKNARRRSKKCVGLVATCMSNGITKKLRIEARASISACGALMTPPLLRSSGLRNRHIGRNLHLHPVSMAWGYFPDNKPPTPGQTTTITGKSYEGGIITSMHRITDRTIVETPALSPGAFAAMVPWESGRDMKERMRRYARTAHAFALVRDRGAGFVDREGRLRFTPSRDDTYELRDGLRRVLRILVAAGAAEVGTHRSDGLRLRCKGVRDEDLEAFLDEVTIEKGPMHSTTDKWALFSSAHQMGSCRMGSSPREGAVDGSGESWEAEGLYVCDGSLLPTAVGVNPMITIQSVAYCLSKDIAQALANGKKH* >Brasy3G172900.2.p pacid=40039956 transcript=Brasy3G172900.2 locus=Brasy3G172900 ID=Brasy3G172900.2.v1.1 annot-version=v1.1 MVTRCIREAVLLAWVVLWVLSTRVGTLLLCGRLSLSFSGAGDFSFPCLSRFADMPVARREAALQRWNSTRWLLPLRIVFTLVKILSHYVFYAMVNENSENPHWKAIGYRVDERRTEQADDAAAPSPSRPLDDGVVETRALNDTTLLRSLADRGLAASSDARHHTVQCDAVIVGSGCGGGVAAAMLASAGHKVVVLEKGDYFTAEDYSSVEGPSMERLFEKGGIFCTSNVTTMVFTGSTVGGGSAVNWSASIRTPGRVLQEWSQEHGLPVFASKGYAQAMDAVCARLAVTDACLEEGFQNKVVRRGCEALGLPVDAVPRNSSAGHYCGSCNFGCPTGDKRGTDTTWLVDAVEHGAVILTGCKAERFILESNTTGTGGKKNARRRSKKCVGLVATCMSNGITKKLRIEARASISACGALMTPPLLRSSGLRNRHIGRNLHLHPVSMAWGYFPDNKPPTPGQTTTITGKSYEGGIITSMHRITDRTIVETPALSPGAFAAMVPWESGRDMKERMRRYARTAHAFALVRDRGAGFVDREGRLRFTPSRDDTYELRDGLRRVLRILVAAGAAEVGTHRSDGLRLRCKGVRDEDLEAFLDEVTIEKGPMHSTTDKWALFSSAHQMGSCRMGSSPREGAVDGSGESWEAEGLYVCDGSLLPTAVGVNPMITIQSVAYCLSKDIAQALANGKKH* >Brasy3G141700.1.p pacid=40039957 transcript=Brasy3G141700.1 locus=Brasy3G141700 ID=Brasy3G141700.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQVAQLQAQATAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTQEKSTAPYPLVADEKALRSARLREKWRRCRGGVALGELAVGIPLELLLVVLIVEAAAPAASDGTAAARVGPPPTVCSRTAAA* >Brasy3G094400.1.p pacid=40039958 transcript=Brasy3G094400.1 locus=Brasy3G094400 ID=Brasy3G094400.1.v1.1 annot-version=v1.1 MADDNGDPAAQADAQQAAQLQAQQQAAQLQAQATAAAQAQTLALAAAQEIAKAAAAADVNIDAAGLVTDLNKQTQEKSTAPQGK* >Brasy3G241600.1.p pacid=40039959 transcript=Brasy3G241600.1 locus=Brasy3G241600 ID=Brasy3G241600.1.v1.1 annot-version=v1.1 MTPCELLFFVGFQSTSATVASAIVNIVPALTFAIAGALKMEPFRPGTAAGQAKVMGTAVCVGGSMIMPFYKGPLLKLWASPIHWRYAEHAVAAAAPAPASSALGDVLIILSAVSWAAWLLMTNKTSESFPAPYTSTTIMSLIVGVESGAVSAAVDRSLSAWSLGLGIRLYSVLYMGIVGWGVTFAVMTWCIQVRGPLFVSMFSPVVLVVVALLGWAFLDEKLHLGSAIGAVLIVVGLYMLLWGKGREIISGPAQLHGNGDSKEGPGSKENDAENGGDAEILVV* >Brasy3G302400.1.p pacid=40039960 transcript=Brasy3G302400.1 locus=Brasy3G302400 ID=Brasy3G302400.1.v1.1 annot-version=v1.1 MAAVEVQVATHAMPAAEEAPVVVAVEEAKPAVEVAAAVEEEAVKQEAAAPAEATETKVVEAPVAEPAVAEAEAAPADATVGTKEADAPATEATETKEAEPEAAAPVEIENREPEQEAVVAPVEAETKEAEAPAAAETEEAVEPSAVAETPAAEEEAAAAAQVEAAATEAPVEAVEAVAAETKEPEEAVETPAEDEAAPVIEVPVETAAAVEAAPAADEADKAHE* >Brasy3G014000.1.p pacid=40039961 transcript=Brasy3G014000.1 locus=Brasy3G014000 ID=Brasy3G014000.1.v1.1 annot-version=v1.1 MGHHSCCNQQKVKRGLWSPEEDEKLVRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKHAPPPTSSSPTTTSPPNSLIAAPPCSGGGGSTGTSAAVAHRRPLQHPTFSCATEEDEQHHLQLDAFMGPQAHQAQAQQSIVSCGRDEGSSPPGSGAMAAPLFMFDTSGPLFATPAQEHPFISSFTAAMAEGCYGQLQLPPLVDGMGAMAMDDHCGFEVVEEQKQRRRPDMELELEEERWVDEEQLLMWHDVHDELTAPSSNLQSDHSSLLFMGPN* >Brasy3G046500.1.p pacid=40039962 transcript=Brasy3G046500.1 locus=Brasy3G046500 ID=Brasy3G046500.1.v1.1 annot-version=v1.1 MASPCFLLPPLAASASASACLPLSLNCCTTMLAKRRRRHVSCKATPSNRSRSRSSAAGDGEEDMQPRRRWRLERRELVLLGGLTGAAAGANLGLMPALAADDTEPATCITAPITDKLIKCVSSSDGFQCPVAYLPEDVIDFTALPGPPPTGGPLRVRRPAHLLDAAYAKKYEAGVRMKKALPDDDPRSFRSQAAIHEAYCNFHYKFASSSSSAPADFDVHFSSVFAPWHRMYIYFYERILGELTGDPAFALPYWNWDSPDGMSLPAIFADATSPLYDAKRNQENVRSTVDLNLGPGKQDDLPLCGTEGGDCVKAANLCTVHRQMAADTAAQFHGDPFCAARVKAPGSLENAAHTAVHIWTGDPLSANAGEDMGVLGTAARDPVFYSHHANVDRLWHLWRSAYPGRHADFVGDEDWLRTSFVFFDEKRRAVRIRVRDVLEPGKLGYAYEARERLEWLDRRPKPAAGIDRPTVRPSGVVSFPLALKSGRKEYLTVKRPEKDGGSKKTPEVLVVDVSIDPCEYAKFDVLVNVPRGQEGKVGPQNSEFAGSFVNLPHGGGDGGMKVGMGRLALAYRFALRELIEDLRCGGDATLDVTLAPVAGEMVVVDAVRVELLK* >Brasy3G066000.1.p pacid=40039963 transcript=Brasy3G066000.1 locus=Brasy3G066000 ID=Brasy3G066000.1.v1.1 annot-version=v1.1 MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDTVHGNWKHSDIKLKDDKTLLFGEKPVTVFGVRIPEEIPWAEAGADYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKIINDNFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIVPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYEDIKKAIKAASEGNLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVSWYDNEWGYSNRVVDLIRHMAKTQ* >Brasy3G257100.1.p pacid=40039964 transcript=Brasy3G257100.1 locus=Brasy3G257100 ID=Brasy3G257100.1.v1.1 annot-version=v1.1 MPPEQKQSGGAAARPSLHGAGCSKGMRLGSALGTAPISYVPQPDAGGRRRLPPYATPPRRIPILAARLSSSPL* >Brasy3G327400.1.p pacid=40039965 transcript=Brasy3G327400.1 locus=Brasy3G327400 ID=Brasy3G327400.1.v1.1 annot-version=v1.1 MCLSSRMLDGKMQSFSTVGSSCPQNKRVLSVQISRLSHRHLPLLLSYATVSGLLFNLPLLHSVLRSLSVGPVPSLSLSFLSLLRQSGYMPLDNYSLTAALSTAARLPSVVVGAQFHALSVKLGLHSDTFVLNTLINMYSSCSYPSTARSVLDSAPRGATDVVSWNTIIAGYLHGGMPTKALQAFGQMTKEQVKLDEVTLLNALVACARTCTAKVGRLCHALLVTNGFEINPYTGSSLISMYAKCGLVEDARKVFDAMAERNVVCWTSMISGYAQVGKFKQAVKLFRDMQISGVKADDATIATVVSSCGQMGALDLGRYVHAYCDVHGLGKDISVKNSLIDMYSKCGDIKKAHEIFRGLVKRDDFSWTVMIMGFAVNGLSGEALDLFAQMEEEGEVMPNEVTFLGVLTSCSHGGLVEQGYHHFHRMAKVYGIAPRIEHYGCMVDLLGRAKLLAEAEQFIEEMPITPDVVIWRSLLFACRAHGEVGLAEYVAERVQELEPSKCGGNVLLSNVYATTSRWVDVNKVRTGMDRSRVSKRPGCSVIEVNGCAHEFFSGDETHLETEAIYNILLGINDLLVAESS* >Brasy3G339600.1.p pacid=40039966 transcript=Brasy3G339600.1 locus=Brasy3G339600 ID=Brasy3G339600.1.v1.1 annot-version=v1.1 MATTSASSLAAAALPSRLTSTGRRAALSWTTTARAPRHAARAAGSSSGGGPRRRLAVTCSSSAAPTSIAQGTPVRPTSVLVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTERFIQDTGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFVAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVPVLKFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNDTYQLLGVDAKDILTLEKYLQDYFTNILKKLKGLKAQSKQSDIYF* >Brasy3G300300.1.p pacid=40039967 transcript=Brasy3G300300.1 locus=Brasy3G300300 ID=Brasy3G300300.1.v1.1 annot-version=v1.1 MASEIETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLTLIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADRVVVTTKHNDDEQYVWESQAGGSFTVTRDTGESLGRGTKMTLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEEIDEEKEEKEKKKKTIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKANNIKLYVRRVFIMDNCEELIPEWLGFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKSIELFFEIAENKEDYNKFYESFSKNLKLGIHEDSANRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLERLKKKGYEVIYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEDSEDEKKKKEELKEKFEGLCKVIKDVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETAEADDTDMPALEDDAGESKMEEVD* >Brasy3G152000.1.p pacid=40039968 transcript=Brasy3G152000.1 locus=Brasy3G152000 ID=Brasy3G152000.1.v1.1 annot-version=v1.1 MPTAARASSVAAAMMAPAADQLPLILRPVLLHGVGAAAHLLLALAVAGRLLLSASAAGRGKDAAAERRGGAGGGPRWSPRAVAVRATWALAACELFLAAYSWVMWYLDSGGGGDWRSPDVVADQVDAAARAVAWLLLAAYLQAEHGRPRRRGDHERFPAALKLWWALFLLLSVLSVAVHAATSLDGLPVPAHSWVGDAVSVLAAVVLLVAGFSGTREAGDSASEEPLLNCAAENNGNDTVDASMYTGAGFLSVLTFSWMGPLLAVGHKKTLGLDDVPDLDTGDSVAGLLPSFKTNLEALAGDGQKLTAFKLTKALVRTVWWHIAVTALYALVYNLATYVGPYLIDSLVQYLNGDERYASKGKLLVVTFIVAKVFECLAQRHWFFRLQQAGIRARSALVSVVYQKGLSLSSRSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGIASLAALGATVVVMLANVPPMRMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETSWLKKYLYTSTMATFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEELPMDAVQRLPSGTSDVAIEVSNGSFSWDASPELPTLKDLNFQARQGMRVAVCGTVGSGKSSLLSCILGEVPKLSGEVKICGTMAYVSQSAWIQSGKIQDNILFGKEMDSEKYDRVLESCSLKKDLEILPFGDETVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNEILGSGEELMELVGAHQDALTALDAIDVANEGSEALSSSGAVTVSLSRSLSLAEEKDKQNGKEDSGKVQSGQLVQEEEREKGRVGFGVYWKYLTLAYGGALVPFVLLAQILFQVLQIASNYWMAWASPVSKDVEPPVSMSTLIYVFVALAVASSLCILIRALFLVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFVPVITACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAYSRPKFYNAAAMEWLCFRLDTLSSLTFAFALIFLISLPTGLIDPGIAGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPEEPPLSMSGDKLPHNWPSEGEIQLSNVHVRYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVEPTIGQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLGEYNDDKIWEALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNMIQRTLRQNFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRATHT* >Brasy3G152000.2.p pacid=40039969 transcript=Brasy3G152000.2 locus=Brasy3G152000 ID=Brasy3G152000.2.v1.1 annot-version=v1.1 MPTAARASSVAAAMMAPAADQLPLILRPVLLHGVGAAAHLLLALAVAGRLLLSASAAGRGKDAAAERRGGAGGGPRWSPRAVAVRATWALAACELFLAAYSWVMWYLDSGGGGDWRSPDVVADQVDAAARAVAWLLLAAYLQAEHGRPRRRGDHERFPAALKLWWALFLLLSVLSVAVHAATSLDGLPVPAHSWVGDAVSVLAAVVLLVAGFSGTREAGDSASEEPLLNCAAENNGNDTVDASMYTGAGFLSVLTFSWMGPLLAVGHKKTLGLDDVPDLDTGDSVAGLLPSFKTNLEALAGDGQKLTAFKLTKALVRTVWWHIAVTALYALVYNLATYVGPYLIDSLVQYLNGDERYASKGKLLVVTFIVAKVFECLAQRHWFFRLQQAGIRARSALVSVVYQKGLSLSSRSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGIASLAALGATVVVMLANVPPMRMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETSWLKKYLYTSTMATFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEELPMDAVQRLPSGTSDVAIEVSNGSFSWDASPELPTLKDLNFQARQGMRVAVCGTVGSGKSSLLSCILGEVPKLSGEVKICGTMAYVSQSAWIQSGKIQDNILFGKEMDSEKYDRVLESCSLKKDLEILPFGDETVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNEILGSGEELMELVGAHQDALTALDAIDVANEGSEALSSSGAVTVSLSRSLSLAEEKDKQNGKEDSGKVQSGQLVQEEEREKGRVGFGVYWKYLTLAYGGALVPFVLLAQILFQVLQIASNYWMAWASPVSKDVEPPVSMSTLIYVFVALAVASSLCILIRALFLVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFVPVITACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAYSRPKFYNAAAMEWLCFRLDTLSSLTFAFALIFLISLPTGLIDPGIAGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPEEPPLSMSGDKLPHNWPSEGEIQLSNVHVRYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVEPTIGQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLGEYNDDKIWEALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNMIQRTLRQNFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRATHT* >Brasy3G283900.1.p pacid=40039970 transcript=Brasy3G283900.1 locus=Brasy3G283900 ID=Brasy3G283900.1.v1.1 annot-version=v1.1 MDPSPSEAARRSAERWMGVAEKLLMARDVEGCKQFVSQALADDPRAPGADDLAATADVLLAAQRRRLPSGFPDPYAVLGLDSALPASRDQDVVHSHYRRLSLLLNRSHPDRPYSLAFSDAARLVADAWAFLSDPLRKASLDSDIDAAANAAAAAAARAPTPSPEKQQPHSQPQSHPPPPPQVASSPPARQPRQPATASPPSKRGRPPRAAKPQPPAERQQEAEAPHAPTFWTSCPSCCHVHQYDHSYEARTVLCPSCRRPFFAAAMPTPPPIVPGTDMYFCSWGFFPMGFPGGPAFPGPVNSPTQQAPAALGFYPMGPYLPLQGQGGIVEANVAGGASNGTDTSATVTAPLPATAASLPVKSTDVEVGARKRGRPKGSKNKNVVIEIN* >Brasy3G177600.1.p pacid=40039971 transcript=Brasy3G177600.1 locus=Brasy3G177600 ID=Brasy3G177600.1.v1.1 annot-version=v1.1 MAHFLLLPALVLALASAAFVLPVAVADPQATQLNLGCSQYNATPTAAFLAALNSTFAELRANLSAGAGGGGGDGGFATAAEPRAAAPAFALAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRVILDGCVIRYESAAFFDQATLPGNTQLCNGSAVAAAGFDGAVRALVGNLAAAVPRVPGFAAAAAGGGVYAVAQCVRTAGADGCAQCLEVASRNIGGCSPNSDGRAVDAGCFMRYSDKPFFPANATVDLAPYLSSGESSQKGAIIGGILGGVAFLLLVGLVALLWIRRSRKLQKPQRGDILGATELQGPTSFYYHDLKAATNNFSEKSKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAQADFESEVKLISNVHHRNLVRLLGCSRKGSECLLVYEYMANSSLDKFLFGDRRGTLNWKQRFNIIVGMARGLAYLHEEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTRFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVILEIISGRKSNDTKLDPETQYLLESAWKLYENENLISLVDGSLDPEEYKPEEIKRIIEIALLCTQSAVASRPTMSEVVVLLLTKNAPEKQPTRPTFIDATSRVRGETSSSSSSSASRATVSFSQFSAR* >Brasy3G178400.1.p pacid=40039972 transcript=Brasy3G178400.1 locus=Brasy3G178400 ID=Brasy3G178400.1.v1.1 annot-version=v1.1 MAHEQDEIDAWAWPLPDDIITKILAYLPAKSVGRFRAVSRSWNAELSSPSFVDLHRRCANGNNNQAPKLFFTPTSEPSGERFFYAWQLGRPVEKLMAGRFTIPKPVTRPLHGLVLLRCPFAKFRVSTPTKPAPSYWWHVAYGLGYCSATREYKAVRVFSGSYAGEVVPSCEVFVLGAPTSWRLAAHQPPVFVVEEQNPGVFLHGCLHFLCKDGGIVSFDVGDETFGSLPPPPPPYPEDKAPAARMTELDGCLCICREKTGGDGPYQVWLLRDYETRRWETLCCVDRNAWPEPEKTQLQSQWITPLAMYNGGGSDEQEERIMFGTGTRKVFAVDLDGSAPEILLSPEETIAGTFDDYSASFPALGIYEESLVPVGRTPEEAVFSSRATEAWSEILKWLPAKTVSELTAEPRAPGSSSSCTCPCNGLFINVEAIAGLPGLASGPIHCSQPCHGLIVFSWEFNTHYAARRLQDLPVRFEKKKNYLCNPAMNFQEHIELHKEYDGNGAAAASPLPLACVGEKNPETRDYKLRCEQRWLSDHMWYLADPPPRPVADVPPAYVDGKIYWLVDPDLGPISSEACEMMALDTTDKDAVFEVLQGPPCSLGRVSILELHGRIGMAWSDRDADAIDVWTMKDGGEWCVEHRIELAKFSPEYSAEETTLLGIDPTNGRILLNTGRSLGYYDPKKVELETIYSVGDSEPGYKFCPVICQESLVLPFME* >Brasy3G021200.1.p pacid=40039973 transcript=Brasy3G021200.1 locus=Brasy3G021200 ID=Brasy3G021200.1.v1.1 annot-version=v1.1 MSIYVGFQAFQQYMQQQIKRFTKSSSRQLCCHNQTKQYWQRPTGTEYCRATSSCTQHGKKYTWASCADAKTRCSEIFFPEISDEELIPGGLVLSPVSRASTYEVSTPAQATQAEGGGAAPPLPIPVLLLEQPQIPNPHPPPPSTAMDRERFLDSCHAVGDRVSGGGTTMERDRTLLGQLGSVGDRVDGDCSMERGHTRPMVGLGSSSAGFGAAGPRAGQGDGSAPYRNMVRMNEATRSGNGNRDDEVEVLMRNDSVPMETSWKDTC* >Brasy3G021200.2.p pacid=40039974 transcript=Brasy3G021200.2 locus=Brasy3G021200 ID=Brasy3G021200.2.v1.1 annot-version=v1.1 MSIYVGFQAFQQYMQQQIKRFTKSSSRQLCCHNQTKQYWQRPTGTEYCRATSSCTQHGKKYTWASCADAKTRCSEIFFPEISDEELIPGGLVLSPVSRASTYEVSTPAQATQAEGGGAAPPLPIPVLLLEQPQIPNPHPPPPSTAMDRERFLDSCHAVGDRVSGGGTTMERDRTLLGQLGSVGDRVDGDCSMERGHTRPMVGLGSSSAGFGAAGPRAGQGDGSAPYRNMVRMNEATRSGNGNRDDEVEVLMRNDSVPMETSWKV* >Brasy3G021200.3.p pacid=40039975 transcript=Brasy3G021200.3 locus=Brasy3G021200 ID=Brasy3G021200.3.v1.1 annot-version=v1.1 MSIYVGFQAFQQYMQQQIKRFTKSSSRQLCCHNQTKQYWQRPTGTEYCRATSSCTQHGKKYTWASCADAKTRASTYEVSTPAQATQAEGGGAAPPLPIPVLLLEQPQIPNPHPPPPSTAMDRERFLDSCHAVGDRVSGGGTTMERDRTLLGQLGSVGDRVDGDCSMERGHTRPMVGLGSSSAGFGAAGPRAGQGDGSAPYRNMVRMNEATRSGNGNRDDEVEVLMRNDSVPMETSWKDTC* >Brasy3G021200.4.p pacid=40039976 transcript=Brasy3G021200.4 locus=Brasy3G021200 ID=Brasy3G021200.4.v1.1 annot-version=v1.1 MSIYVGFQAFQQYMQQQIKRFTKSSSRQLCCHNQTKQYWQRPTGTEYCRATSSCTQHGKKYTWASCADAKTRASTYEVSTPAQATQAEGGGAAPPLPIPVLLLEQPQIPNPHPPPPSTAMDRERFLDSCHAVGDRVSGGGTTMERDRTLLGQLGSVGDRVDGDCSMERGHTRPMVGLGSSSAGFGAAGPRAGQGDGSAPYRNMVRMNEATRSGNGNRDDEVEVLMRNDSVPMETSWKV* >Brasy3G287500.1.p pacid=40039977 transcript=Brasy3G287500.1 locus=Brasy3G287500 ID=Brasy3G287500.1.v1.1 annot-version=v1.1 MIAVAKRKGSPCQQDGDPQADKRMKISLPDLPEDIWHNIHSLLPLPDAARAGCVSHPFLSSWRCHPYLIFSRETLGLNGNACGGSKVARIFINRVDSIMRRHSGIGVKTFQLHCFCSDLNPSDLNRWLQIAITPGIEKLELRLPVSGIKYNFPCSLLFSGSGNSIRHLKLVRCGFHPTTGLGCLRTLFLSQVNISGDDLGCLLSNSLALEKLYLSHCKKIICLKIPWLLHRLSSLTVLECALEVLENKAPNLRTVCVYGALIKLPLGDLLQVRDLIVFGERECNFVHYARAKLPSIVPNLETLSISSASEMFSTPIVPVKFLHLKSLRITFDVALGAFSPAYDYFSLACFLDACPVLETFTLHVSQTRVNHDLISGDSLHLRQMPEQCYGNIKNVKIIGFCSAKSMVELTCHILENATSLECLTLDTICDNRGEHADRTRVHKIGECFRVGKQMIREALKGLVAIERYVVGKVPPTVKLNVKKPCSLCHDVK* >Brasy3G002700.1.p pacid=40039978 transcript=Brasy3G002700.1 locus=Brasy3G002700 ID=Brasy3G002700.1.v1.1 annot-version=v1.1 MDGHSQPRAAEADATNVNTPVANAAAGTASGAAPAGAVFPAGSSGGPVVYAATPLQQLRLPLQQQDQDQHQQQLQDFWTETLAEIEHMSEIKPHSLPHARVKKIMKASGENVQMIASEAPGLLAKACEIFIQELALRSWLQTKDNNRRTLQKNDIAAAVSRDETFDFLVDVMQDNGLGFPSATTVQTAVPGMSAFGMYYGNQQPVPFTWPQPEQQHQPTYSGQQQQPPSSDE* >Brasy3G009700.1.p pacid=40039979 transcript=Brasy3G009700.1 locus=Brasy3G009700 ID=Brasy3G009700.1.v1.1 annot-version=v1.1 MNGIIRIINCATEKISKSLVGHGNSVNEIRTQALKPSLIITASKDESARLWNVHTGICILIFAGEAAHLHEVLSVDFHPYDIYRIATCGMDNTVKIWSMEEFWPYVDKSFTWTDLPSKFPTKFVQFPLITAVVHTNYVDCTRWLGDFVLSKSVENEIVLWEPKTKDNQNPGEVGSIDVLQKYPVPECDIWFMKFSCDFHFNQLAIGNREGKIYVWEVQASPPVLITRLSNHQCKSPIRQTAVSFDGSMILACCEDGNIYRWDEVDHPAAES* >Brasy3G009700.2.p pacid=40039980 transcript=Brasy3G009700.2 locus=Brasy3G009700 ID=Brasy3G009700.2.v1.1 annot-version=v1.1 MNGIIRIINCATEKISKSLVGHGNSVNEIRTQALKPSLIITASKDESARLWNVHTGICILIFAGEAAHLHEVLSVDFHPYDIYRIATCGMDNTVKIWSMEEFWPYVDKSFTWTDLPSKFPTKFVQFPLITAVVHTNYVDCTRWLGDFVLSKSVENEIVLWEPKTKDNQNPGEGSIDVLQKYPVPECDIWFMKFSCDFHFNQLAIGNREGKIYVWEVQASPPVLITRLSNHQCKSPIRQTAVSFDGSMILACCEDGNIYRWDEVDHPAAES* >Brasy3G126900.1.p pacid=40039981 transcript=Brasy3G126900.1 locus=Brasy3G126900 ID=Brasy3G126900.1.v1.1 annot-version=v1.1 MPPAAPRSLLVACRLLILLLLSARAADAGPLAAEFVYPNFTASSYEYIDTDGAFLESSNGAFRAAIQSPGKQQQHQQAGSFFYLVVLHAPSGTPVWAANRDAPTGPSGRVQLSPRGLAVTDTDARNVLWSTPTPLVPAPVAALRLRDDGNLQLLDARNATLWQSFDSPADTLLTGQQLRAGGYLSSPRSSGDFSQGDYRLAVVAASDVALTWQGSTYWRLSNDLRSFKDRNAAVAAVSFNASGLYAVAADGALVFRVDLAPPAAGFRVLKLGHDGRLRVTSYAMVNSSAPLGLGGDDDFVAPAGDCDLPLQCPSLGLCAAAAGNSSTCTCPPLFAASAKVPGGCTPGDGSALASPADWCKSDYNSGASVSYLALKSQIAYFATRFDPPTVTGVKNAACRALCTANCTCLGYFHDSSSRSCYLIGGKQLGSLYSNTRATALGYMKTVNSAARDANNKSKSSSVNHILPIVLPSIAAFLLVVLVAWLWWWRRKSKNGKKSKASKNSAAKQLNLGHQNSRSRDASYDEDPDDDDLVIPGMPARFTYAEIASMTESFGTKVGAGGFGSVYKGELPGGDGLVAVKKLEAVGVQAKREFCTEIAVIGSIRHVNLVRLRGFCAEGRRRLLVYEYMNRGSLDRSLFGSAGPALEWGERMEVALGAARGLAYLHAGCDQKIVHCDVKPENILLADGGQVKISDFGLAKLMSPEHSAIFTTMRGTRGYLAPEWLSSSAISDRADVYSFGMVLLELVHGRKNRGEQGNFVAVDVGECGGEQSTPAAFRSGSSSSVKTSTTSGGTGSGCGGDDYFPMVALELHEQGRYLDLVDARLEGRVSEGEVARAVRVALCCLHEDPAQRPSMAAVVRALEGSAAPPEPRVEALGFLRLYGRGYGGPTLPATSGSASDAAHRGSASTTTPGWLNESSLHDTDTSAPS* >Brasy3G042800.1.p pacid=40039982 transcript=Brasy3G042800.1 locus=Brasy3G042800 ID=Brasy3G042800.1.v1.1 annot-version=v1.1 MYALTPARCNLPPLGRALRRPAASTTTTPTTITTTQNPAALGRRASADPDDDSLRSTWQHRAWTAAGAAAVLSSLSTSASLAASDGASYAPLLVSAVAAYSLADLATGVYHWLVDNYGSPSTTVFGAQIAAFQGHHRAPATITRRDPCNNLHALARAAAFLLPPADLALSAAHAPAAAHAFAAAFAACVVLSQQSHAWAHETRRRLPPAVLALQAAGVLVSQAQHGRHHREPYSTNYCIVSGMWNGVLDQYKVFEALEMAVFFRTGVRPRSWGETQAEWREEDSGHAAGDDDDIDS* >Brasy3G280700.1.p pacid=40039983 transcript=Brasy3G280700.1 locus=Brasy3G280700 ID=Brasy3G280700.1.v1.1 annot-version=v1.1 MHTALEMSRGRARRPALAHTGKAAAPPRNDKAMEKDRRRANSSSRAAAMKPPPTNAAAPAGIKNRTQARRDRKIALQQDVEKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQLLELLAEVAVLEEEVVRLEEQIVGFRQGLYLQDAVIASLAKSAYLCNGEQCTPVPAQFSPSFPQMQSSESEVSPSARHGSDQDAICWSSLKRVTDVKQTPRNPSPGHGDRPGKENQSCPTNSCRDFSRSPMNNVPRRCTAPAVEKWPGEKRDVEDTDVIGSD* >Brasy3G289900.1.p pacid=40039984 transcript=Brasy3G289900.1 locus=Brasy3G289900 ID=Brasy3G289900.1.v1.1 annot-version=v1.1 MESSHITGDDGEGCNSSESGWTMYLSSPMQGDDDDDGNGGGKGSGSDGSNVDDGGYGYTYVVHGRKGGKEYQDDGDDNDSLASDASTGPAKVKPPPCLPNGKEDEGHPSPRRATDEDRKEEDDDDEEEDDGRRSRFSTSSRKKAGKAEKGGGGDAKSSSKRGHGKRGSSSRTSFFW* >Brasy3G222700.1.p pacid=40039985 transcript=Brasy3G222700.1 locus=Brasy3G222700 ID=Brasy3G222700.1.v1.1 annot-version=v1.1 MMLPYGSSRTLRSLQVQNCNAKVQSHGGFQGETIYTSPLLEEAAPKKGAFVQLDDDDDDDDEESDAPKGGRNMKRPDGRKREKEKLKETAESSSLNAKFDELIKSMNKKLQKLQAIREVELQKISVDARKAKPEEEKAATLVLKEENKLMLMDPSGLDPLAREWWELQRKDIVQRRREVATEKEHAPAVAAEPTAVAAAAAEASAAAAAAGATHGGNCGNGDAVTNGDGLGDQFHA* >Brasy3G347600.1.p pacid=40039986 transcript=Brasy3G347600.1 locus=Brasy3G347600 ID=Brasy3G347600.1.v1.1 annot-version=v1.1 MTLPSSSSSSAPAAAAEPDDPTSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRHGPMVAGMKKTLVFHSGRAPKGERTNWVMHEYRLEGDGAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWDADDVGLLPLEGDADVDAEREAPGAMEKGYLQMSDLIQDWGGQNGNGGVALPVSDSSNNSNHSEVAEGNSGDILNDPNLGSNFLQHVDPGEQNSLMLNGNMLSNANGGDILNSSSPSNAFMELKDLGYPFGNDSSTWPSGGSDWRTPHGFEHVNGANNEAPPITGEHIFQPDELEQLLQSLQDDSPLGSTITDVPQSSITNSVQPEDDFLMFHDAPFDSTMFNDGFGQSAGFLGSPVTNLSGIGMVDDGMPYYDAIDDNLFNDMMCSGQQSAGSGSHLFNGPVLSQEVNNTTYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNCNLPDAPARRGAIVNHFVKVLDSITGPNAFASEFPPNLRKSLAPISGAHPNTISVSTEVISMEKGSLTVASRQDKWSFQKGEDMELLFSTGSEPGTRMHYGGCNTVTAVLRSGFCLFFLSAIMLLVSCEVGLCIYGK* >Brasy3G347600.2.p pacid=40039987 transcript=Brasy3G347600.2 locus=Brasy3G347600 ID=Brasy3G347600.2.v1.1 annot-version=v1.1 MTLPSSSSSSAPAAAAEPDDPTSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRHGPMVAGMKKTLVFHSGRAPKGERTNWVMHEYRLEGDGAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWDADDVGLLPLEGDADVDAEREAPGAMEKGYLQMSDLIQDWGGQNGNGGVALPVSDSSNNSNHSEVAEGNSGDILNDPNLGSNFLQHVDPGEQNSLMLNGNMLSNANGGDILNSSSPSNAFMELKDLGYPFGNDSSTWPSGGSDWRTPHGFEHVNGANNEAPPITGEHIFQPDELEQLLQSLQDDSPLGSTITDVPQSSITNSVQPEDDFLMFHDAPFDSTMFNDGFGQSAGFLGSPVTNLSGIGMVDDGMPYYDAIDDNLFNDMMCSGQQSAGSGSHLFNGPVLSQEMPLLGEALL* >Brasy3G163100.1.p pacid=40039988 transcript=Brasy3G163100.1 locus=Brasy3G163100 ID=Brasy3G163100.1.v1.1 annot-version=v1.1 MCKNPLQNPHQSHSSPTMMSSSSLGGRTTVTTSAAAVVMPSSNSLFPLSTTNLPPFSSTYIPSSTTSFLFRSATTSTLSFSADRHGSTRPSASWITTASSATAMTGAQPGSTAHRAHPLSTSTMPRSASVIFLLGARSTASTPSVSLTTGSSSTIDASEISPYMSRTTGTAGRALTSASGSSTSSPAAFLMALSISSSTRALAVSVSTTSSERSSSGMRSSLAMASRDSLKRAYARQSPAECRSKASQPSSPRRCGKSFMERASSRTPLASRRRSMACLAASTRWRALRKRSS* >Brasy3G196500.1.p pacid=40039989 transcript=Brasy3G196500.1 locus=Brasy3G196500 ID=Brasy3G196500.1.v1.1 annot-version=v1.1 MDVEDELPTALPSANGGGEASLVPVSGDQFDVEAYAAQYSGRTRVARLLFIAGKCGSEPMRLDALRLAYEEALKGEDTTLHRDVSARIAGRLGPCYGVDLAWSDAVGRRALMRKDKLDSELNGYRTNLIKESIRMGYNDLGDFHYARGQLSEALKSYIRTRDYCTNPKHVVQMCMNVILVSIELGQFMHVSNYVSKAEQTPEDIDPVTVAKLRAAAGIAYLGTNKYKLAARKFIETGSELRNNYSEVIAPQDVAIYGALCALASFDRSELKSKVIDNYNFRNFLELVPEVRELVNDFYASRYGSCLGYLEKLKSNLLLDIHLHEHVETLYKDIRHKAIIQYTFPFISVDLNTMAVAFKTSVTMLEKELAALITDNKIQARIDSHNKILYANHADQRNTTFQRALQTGNEFERDVKSMLLRANLLKHEYNQKAAEKRV* >Brasy3G303500.1.p pacid=40039990 transcript=Brasy3G303500.1 locus=Brasy3G303500 ID=Brasy3G303500.1.v1.1 annot-version=v1.1 MRSGGNGVELLAKKAKSARVSPAVKPQAVSGKSYSFVGTEEYVAPEMIRGEGYGLAVDWWAVGILIHEMAYGQSPFKGRNRKETFRNVLHMDLEFPGDARRRTPELADLVSRLLERDPARRLGFGGGADEVRAHPFFSGVAWEMLAEVSRPPYIPPPADHQDEAAGGEGFDVRDYFTKLHQPTPPESGRSSSDFSSDF* >Brasy3G235200.1.p pacid=40039991 transcript=Brasy3G235200.1 locus=Brasy3G235200 ID=Brasy3G235200.1.v1.1 annot-version=v1.1 MRAPRSGMAFRRPASIFLQPGARILLPAAQTLTVRNTEYSPVGTLHRDRLPFHSPRATACTTDSHRLHFLTACDHPTQMNRSLWKYILPPKGTHAMPSHPRRQVASEGIVSAIYLLAAC* >Brasy3G117100.1.p pacid=40039992 transcript=Brasy3G117100.1 locus=Brasy3G117100 ID=Brasy3G117100.1.v1.1 annot-version=v1.1 MDQIGSLKYQSQIPSRRAISPSPLPAFSISQKRWRERGKERAPQKTETSIAPGIGCVSCYIKVAYGRRRKVCCPLSTQLHMQFDGNQVKLILHWLT* >Brasy3G237400.1.p pacid=40039993 transcript=Brasy3G237400.1 locus=Brasy3G237400 ID=Brasy3G237400.1.v1.1 annot-version=v1.1 MATAFKAFVNSPVGPKTTHFWGPVANWGFVLAGLVDLNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNETVQLYHLSRCAKAQGYIGKKEPEAQQ* >Brasy3G211300.1.p pacid=40039994 transcript=Brasy3G211300.1 locus=Brasy3G211300 ID=Brasy3G211300.1.v1.1 annot-version=v1.1 MAVAGAVALMSVLVAAYGACAAAQQQQQPAENYDTSAAYSSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMTSCGNQPLFKDGKGCGSCYQIRCTNDKSCSGNIKTVMITDMNYYPVAQYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNFPGLKINFHVVEGSNAVYLAVLIEYEDMDGDLTQVDMKEASSGQWVPMRESWGSIWRMDSNHRLQAPFSMRITSDSGKQLVANNVIPANWRANTDYRSFVQFS* >Brasy3G211300.2.p pacid=40039995 transcript=Brasy3G211300.2 locus=Brasy3G211300 ID=Brasy3G211300.2.v1.1 annot-version=v1.1 MAVAGAVALMSVLVAAYGACAAAQQQQQPAENYDTSAAYSSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMTSCGNQPLFKDGKGCGSCYQIRCTNDKSCSGNIKTVMITDMNYYPVAQYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNFPGLKINFHVVEGSNAVYLAVLIEYEDMDGDLTQVDMKEASSGQWVPMRESWGSIWRMDSNHRLQAPFSMRITSDSGKQLVANNVIPANWRANTDYRSFVQFS* >Brasy3G135200.1.p pacid=40039996 transcript=Brasy3G135200.1 locus=Brasy3G135200 ID=Brasy3G135200.1.v1.1 annot-version=v1.1 MAKQSYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWSLGKLGFLYHDPFNFQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGALGYVVTDSGFTLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIMAPVFGILTGEHLSVFKAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHANAVGLICLLFTLAGGMLYQKSVTTKGNTPAQCETIGKQVRGDNDETELDEEKQILVSSPKDSRA* >Brasy3G270100.1.p pacid=40039997 transcript=Brasy3G270100.1 locus=Brasy3G270100 ID=Brasy3G270100.1.v1.1 annot-version=v1.1 MSVETERSSTESSAASGLEFEDTALALTLRLPGSSSATAAADPDRKRSASSSSSAAAADESLLVAAPEAPPAPKARVVGWPPVRSFRKNALADVAAAAKFVKVAVDGAPYLRKVNLEAYAGYDQLLRGLQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVEACQRLRLMKNSKAVNLAPRAAQ* >Brasy3G343700.1.p pacid=40039998 transcript=Brasy3G343700.1 locus=Brasy3G343700 ID=Brasy3G343700.1.v1.1 annot-version=v1.1 MSRVDKEKAVNVQVLLRCRPFSDDELRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGSKGQLPSDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNAGEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKGMADQIEQMTASLEANQKQISDLQENYNSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAHYNLKEKEFIISEQKKAENALAYQACVLRSELEKSSRDNASLHSKIARGDKLSAANRSVVNSFQADLALKLDILSNTLTASIDQQNKHLKAVEDLCQSCVDSHDTATMEIKKKVLASKSLYMSHMEAFQNVVLLHKATTNSTLEDVSSLSAASCCSLDQLLACVEGEALKIFTDIQNLLADHRSELAHFTKELRESFSISLDRTKDMSSYILGLFAKYLEETSKLQNHSNHTHDAQVKSLEEFQKAYEEQSKSEEQRLLADITSLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDSKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEALVRSAIEDNEQHVTEVASSRALAEEQASNSSKDLLQDIDNLLDEARNSTSRVVSTVEVHSLEIQHLQENHSGQAVGVNEHAEKALQSSYRDYEPTGETPVRSEPDVPSKGAIESLRAMPIESLMDEFRENHPYESTKEPKPSLIPRSPLATLN* >Brasy3G343700.2.p pacid=40039999 transcript=Brasy3G343700.2 locus=Brasy3G343700 ID=Brasy3G343700.2.v1.1 annot-version=v1.1 MSRVDKEKAVNVQVLLRCRPFSDDELRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGSKGQLPSDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNAGEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKGMADQIEQMTASLEANQKQISDLQENYNSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAHYNLKEKEFIISEQKKAENALAYQACVLRSELEKSSRDNASLHSKIARGDKLSAANRSVVNSFQADLALKLDILSNTLTASIDQQNKHLKAVEDLCQSCVDSHDTATMEIKKKVLASKSLYMSHMEAFQNVVLLHKATTNSTLEDVSSLSAASCCSLDQSFSISLDRTKDMSSYILGLFAKYLEETSKLQNHSNHTHDAQVKSLEEFQKAYEEQSKSEEQRLLADITSLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDSKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEALVRSAIEDNEQHVTEVASSRALAEEQASNSSKDLLQDIDNLLDEARNSTSRVVSTVEVHSLEIQHLQENHSGQAVGVNEHAEKALQSSYRDYEPTGETPVRSEPDVPSKGAIESLRAMPIESLMDEFRENHPYESTKEPKPSLIPRSPLATLN* >Brasy3G343700.3.p pacid=40040000 transcript=Brasy3G343700.3 locus=Brasy3G343700 ID=Brasy3G343700.3.v1.1 annot-version=v1.1 MEGECRRAKSGSKGQLPSDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNAGEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKGMADQIEQMTASLEANQKQISDLQENYNSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAHYNLKEKEFIISEQKKAENALAYQACVLRSELEKSSRDNASLHSKIARGDKLSAANRSVVNSFQADLALKLDILSNTLTASIDQQNKHLKAVEDLCQSCVDSHDTATMEIKKKVLASKSLYMSHMEAFQNVVLLHKATTNSTLEDVSSLSAASCCSLDQLLACVEGEALKIFTDIQNLLADHRSELAHFTKELRESFSISLDRTKDMSSYILGLFAKYLEETSKLQNHSNHTHDAQVKSLEEFQKAYEEQSKSEEQRLLADITSLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDSKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEALVRSAIEDNEQHVTEVASSRALAEEQASNSSKDLLQDIDNLLDEARNSTSRVVSTVEVHSLEIQHLQENHSGQAVGVNEHAEKALQSSYRDYEPTGETPVRSEPDVPSKGAIESLRAMPIESLMDEFRENHPYESTKEPKPSLIPRSPLATLN* >Brasy3G343700.4.p pacid=40040001 transcript=Brasy3G343700.4 locus=Brasy3G343700 ID=Brasy3G343700.4.v1.1 annot-version=v1.1 MEGECRRAKSGSKGQLPSDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNAGEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKGMADQIEQMTASLEANQKQISDLQENYNSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAHYNLKEKEFIISEQKKAENALAYQACVLRSELEKSSRDNASLHSKIARGDKLSAANRSVVNSFQADLALKLDILSNTLTASIDQQNKHLKAVEDLCQSCVDSHDTATMEIKKKVLASKSLYMSHMEAFQNVVLLHKATTNSTLEDVSSLSAASCCSLDQSFSISLDRTKDMSSYILGLFAKYLEETSKLQNHSNHTHDAQVKSLEEFQKAYEEQSKSEEQRLLADITSLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDSKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEALVRSAIEDNEQHVTEVASSRALAEEQASNSSKDLLQDIDNLLDEARNSTSRVVSTVEVHSLEIQHLQENHSGQAVGVNEHAEKALQSSYRDYEPTGETPVRSEPDVPSKGAIESLRAMPIESLMDEFRENHPYESTKEPKPSLIPRSPLATLN* >Brasy3G170300.1.p pacid=40040002 transcript=Brasy3G170300.1 locus=Brasy3G170300 ID=Brasy3G170300.1.v1.1 annot-version=v1.1 MAKLPVYSVLLLFLLVLSPFVASGKSARLNSSGPLYGIEFPPFNTAVADAGCDGKLLAEEEEQKDRSPSLKLHMTRRSAADAAAGMGKTRKDSFMESAQKDGVRIATMHRRVVLQAQAGRRSGSSSPRRALSERLVATVESGVAVGSGEYLVEVYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFDQRGPVFDPMASTSYRNVTCGDPRCGLVSPPTASAAPRTCRSPRSDPCPYYYWYGDQSNTTGDLALEAFTVNLTASSSRRVDGVVLGCGHRNRGLFHGAAGLLGLGRGPLSFASQLRAAYGHHAFSYCLVDHGSAVGSKIVFGDDNVLLSPPQLNYTAFAPSSAESTFYYVQLKGVLVGGETLNISSNTWGVSKEDGSGGTIIDSGTTLSYFPEPAYQAIRQAFVDRMDKAYPLIADFPVLSPCYNVSGVGSVEVPEFSLLFADGAVWDFPAENYFIRLDTEGIMCLAVLGTPRSAMSIIGNYQQQNFHVLYDLHNNRLGFAPRRCAEV* >Brasy3G097500.1.p pacid=40040003 transcript=Brasy3G097500.1 locus=Brasy3G097500 ID=Brasy3G097500.1.v1.1 annot-version=v1.1 MAEAANPSVGDAKEQGKDGKQGVVLWQMDAEEEKIAVTFTMAAFEEAKRYMTMTEEDVKEEYRKLHKYDPDKEWQKRYARVAKIHPPPKHTKAEIDEYIKFLDEEEEDEEDVLTAR* >Brasy3G165100.1.p pacid=40040004 transcript=Brasy3G165100.1 locus=Brasy3G165100 ID=Brasy3G165100.1.v1.1 annot-version=v1.1 MAFNLAQSAAAASSLAPSTARRVAAPASVAAPRKAAPQTPPIGLRLQRQLAACEPSRLSSTACRASARGSGVPVFVMLPLDTVNQCGSGLKRRKAMAASLAALQSAGVEGVMVDVWWGTVESEGPGRYNFAGYMELMEMARDAGLKVQAVMSFHRCGGNVGDSVTIPLPGWVTEEMDKDQDLAYTDQWGRRNYEYVSLGCDAVAVLKGRTPGQCYTDFMRAFRDHFASFLGNTIVNKTVASVRGKLYKKEPHGVREGGGGRMKYILCVQEIQVGLGPAGELRFPSYPESNGTWRFPGIGAFQCYDRYMLSSLKSAAEAAGKPEWGVSGPTDVGEYNSWPEDTLFFRQDGGGWGCEYGDFFMSWYSQMLLDHGDRVLSRAASVFSASASPDVDDVRLSAKVSGIHWHYGTRSHAPELTAGYYNTRHRDGYRPVARMLARHGAVLNFTCVEMRDREQPREARCMPEALVRQVAAAARDAGAGLAGENARPRYDGAAHDQVVATAAERAEEDRMVAFTYLRMGPDLFQPENWRRFAAFVKRMAKSGSCREAAEREAHGVAHATGSLVHEAAVALRD* >Brasy3G165100.2.p pacid=40040005 transcript=Brasy3G165100.2 locus=Brasy3G165100 ID=Brasy3G165100.2.v1.1 annot-version=v1.1 MAFNLAQSAAAASSLAPSTARRVAAPASVAAPRKAAPQTPPIGLRLQRQLAACEPSRLSSTACRASARGSGVPVFVMLPLDTVNQCGSGLKRRKAMAASLAALQSAGVEGVMVDVWWGTVESEGPGRYNFAGYMELMEMARDAGLKVQAVMSFHRCGGNVGDSVTIPLPGWVTEEMDKDQDLAYTDQWGRRNYEYVSLGCDAVAVLKGRTPGQCYTDFMRAFRDHFASFLGNTIVEIQVGLGPAGELRFPSYPESNGTWRFPGIGAFQCYDRYMLSSLKSAAEAAGKPEWGVSGPTDVGEYNSWPEDTLFFRQDGGGWGCEYGDFFMSWYSQMLLDHGDRVLSRAASVFSASASPDVDDVRLSAKVSGIHWHYGTRSHAPELTAGYYNTRHRDGYRPVARMLARHGAVLNFTCVEMRDREQPREARCMPEALVRQVAAAARDAGAGLAGENARPRYDGAAHDQVVATAAERAEEDRMVAFTYLRMGPDLFQPENWRRFAAFVKRMAKSGSCREAAEREAHGVAHATGSLVHEAAVALRD* >Brasy3G002900.1.p pacid=40040006 transcript=Brasy3G002900.1 locus=Brasy3G002900 ID=Brasy3G002900.1.v1.1 annot-version=v1.1 MASISDSETTNHGSMWELDQDLDEPMDEEASRLKNMYREKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFFNIFPHGVDNDEDVIGALSLIIYTLTFIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKGWLERHAYTKNCLLILVLIGTCTAIGDGILTPAISVLSATGGIRVQNPKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPLVLLWFILIGSVGAFNIHKYNSSVLKAYNPVYIYRFLRRGKSISTSLGGVMLSITGTEALFADLCHFPVLAIQIAFTVVVFPCLLLAYTGQAAYIISNKDHVADAFYRSIPDAIYWPAFIIATLAAIVASQATISATYSIIKQALALGCFPRVNVVHTSKKFLGQIYIPDINWVLMILCIAVTTGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWIIVVTFLVLSLIVEFPYFTACINKVDQGGWVPLVVAITFFIIMYVWHFCTVKRYEFELHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFVMKRIGPKNFHMFRCVTRYGYKDVHKKNDDFEKMLLDRLMVFVRLESMMDGYSDSEDFTMTEHKTERSTNALQLTEKAGSNTMCSAADLSYSSQDSIVPAKSPLMGNSLTGYSTQTFGDELEFLNSCKDAGVVHILGNTIVRARRDSGIIKKIVVDHLYAFLRKVCREHSVIFNVPHESLLNVGQIYYI* >Brasy3G213500.1.p pacid=40040007 transcript=Brasy3G213500.1 locus=Brasy3G213500 ID=Brasy3G213500.1.v1.1 annot-version=v1.1 MSAASCRVCGGGVEECACLLQRGRGLRCGVAADLNCGGGFPGTGGMFAHAAAGEEEPSPSPAGAGAAAVGLQEFQFFGQEEEDHEMSVAWLFNDHAPVGGEDRPPPATVQQRRRRQAFDVYAEYVQPGHGLTFDVPVPVQMPLARGVHVDAAGLGLAAGNPVTSGAAIVSDALLRERIVDFHRRRAGELRRRPKRRGGGVICVLQRRRRVLGRSRRRGRRGRGAGGAAGGEADAVQGEAEAAAVREADPVRVPQGLRRDAPTRERPLRQGARRQRRRPSAGERAAAVSAAAGAGRRSWIRPRPARPRLVPFVASQHM* >Brasy3G120400.1.p pacid=40040008 transcript=Brasy3G120400.1 locus=Brasy3G120400 ID=Brasy3G120400.1.v1.1 annot-version=v1.1 MARKRRAPSPPPPPPPPQEESSSEEASSGEEEEAELTPTRATQKPPQIPKSPPTATAGDATAEGSDEESSDSEIDAEAFQLRQVVPSPSKPPAAASKLESDAEEEDEEHGEPSDNPEPLEKKKAKKPKAQSGRKRQATESTPSGKSKKAKAEVEKAVPEPAPTPKAKKAKAGAENTKKAVPDPSPSSKSEKLKRWTLADEIKILEAFIGYVKANGTQPGALDLIAAVGDTLDRKNCSKVEMYEKVRGLRLRYEKAVSTGTLPVKDDDLRKFKLSEVAWGANAKEVASASISQNDGTKGKKAQTTKEEIEGDTNGRSSKSKKQGKHKEELEDNETTDTQIGGTLVRSNREKSDKKKMGGDADSLGPKEADNETTDTQIGGTLVRSNREKSDKKKMGGDADSLGPKEAAEEMDTAANVKSIHKGFDELQNLYPNLSSYVESIKAQHPCGETLKRAFELIDDEKACTLESKIKKQRVAEVKTEIRRADTKKEVANMLLG* >Brasy3G279300.1.p pacid=40040009 transcript=Brasy3G279300.1 locus=Brasy3G279300 ID=Brasy3G279300.1.v1.1 annot-version=v1.1 MLSSCSLTAVPPPEAGPPEPFRSTPIATGVGASAKRKRRPAGTPDPDAEVVSLTPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREEGEAAPRKRVFVCPEPTCLHHDPAHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDMCDAGGSRPPRGDTTSSSAGRAAATSSTSHRQQQQQLHAAAASLSRTASSASPSSGADLVGSPVGWPFPATTPSPTAQQHNLELQLMPPRGTAHRASPASAVVPQPDADANAMRLQLSIGFFGARSREDSHNSSGSSEAAEDMAAAARALKGEAREQLRLAAMEKAAADEARAEARRHAELAEQELAGARRMREQARAELGRAHAVRDHAVRQLDAALLEITCYGCRGKFRARPATMATSYDVSSVVTEGEAGNDNGQQMALLQCADDRLPRGRRAMMDMN* >Brasy3G243900.1.p pacid=40040010 transcript=Brasy3G243900.1 locus=Brasy3G243900 ID=Brasy3G243900.1.v1.1 annot-version=v1.1 MDESGAADDTTKMANGEVSDDVRPVEVSDDGRAVDVFSEDTLPGVVRSFVDGVCSRGAAGEPLLRRLRAASCETAPRLREASRNSARDLLAWTRQGSGLRAILVISVGTITLIALTGLLIFMSFLLVATANAIIISVLMSLAAAGGFLALFFACLVAVYVGAVSVAIFVISATVISAIVAVMIATGWVGFFWAIWFAARKSMDLTKHSIGVTTSAMQSYSASRHVRQKPTD* >Brasy3G018800.1.p pacid=40040011 transcript=Brasy3G018800.1 locus=Brasy3G018800 ID=Brasy3G018800.1.v1.1 annot-version=v1.1 MVTVEGGVLSVAQLISLLRWFVPFDQLDWEVSQPEDSVFKVQFPSKAELQRMIRFGTFNLPNSECTITFDEWSSKVEPKWLLQDAWVRISGIPPAVKGDSLWWLGSLLGKTKEVDMAFRRKHGILCILVGCVDYTCIPERKDIFIKDGLYRLTFQVEGPPGEERAADEVMHDANEGDDEGNEKKGDLQRSGQNDRSGKRSKNEDGGTSTSLSAGGSGGAVAPSSSSPVDESNVVMIRIGSMETPVPVPIAAPRRLWADLVEEEVMPGFGSAPPRIASSRCVEGRSVVSAVSAPPDGASPAALVFVAGHSAVPVHKNVD* >Brasy3G222100.1.p pacid=40040012 transcript=Brasy3G222100.1 locus=Brasy3G222100 ID=Brasy3G222100.1.v1.1 annot-version=v1.1 MDFASRTAAPPGAEASASSAAEEPDYLARYFVIKHSWRGRYRRILCIASHGVVTLDPATLTVTNSYDFAADFDRAVPDPNAAAEFSLSVRTDGKGKFKAIRFSSPLRAGILTELHRLRPVHPVVEFPVVHLRRRTQEWAPFKIKVTSLGIELLEVHSGDMRWCLDFRDMDSPAIVLLGDGYGRRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIIQYLTKAAKSMIGLSLSVDSSQSMTAADFISRRANEAVGAAETRHGEWSVIRLRPAAHGTAYFETSSVGIGPRGGLGEQGDSVSRQLVLTNTSLVERRPENYEATTVRPLTAVNALVRFAEEPQMFAFEFNDGCPIHLYASTSRDNLIATVLDVIQSQRQCAIPVLPRLTLHGHRIDPPCGVAHLQIPHHATVDMEAANMHIKHLAVVAKEASENIPGAKIKLWRRIREFNACIAYTGVPINIEVPELVLMALISLLPITPQNLPADVPPLPPPSPKAAATIVGFVACLRRLLASRSVSSYVMAFPLAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFAQSIYVPVLVNRLKPLSVSPLLSLSVVEILEAMLCDPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDEDSQNQYDEVPLSRRQRRILQQRRAVGGKSTETPEQGMPHNRVDDEDFFRHTGIGPFGGADIHQRHVSQYPTANAPFPAMSTDPFHAVPYGAVPEAAPENHQLGIPQLDSRPYSADSNANGDLVGSSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFGVDHNRADLIWNERTRQELREALQAEVHNLDVEKERTDDIVPGSSVSEDDGSSDTLPRISWNYAEFLVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHHKIIGSFDGTAHITVLLDRTDDRALRHRLLLLLKAFMNDLSNVEACVLVGGCVLAVDLLTVAHEAAERTAIPLQSNLIAATAFMEPSKEWMYIDKDGAKVGPLEKDAIRRLWSKKSIDWTTKCWASSMSDWKRLRDIREVRWALSVRTPVLTPTQIGDAALSILHSMASAHSDLDDAGEIVTPTPMVKRILSSPRCLPHVAQAMLTGEPSIVEASASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFAATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEITLDDLVLVKNGSSKQSSELSLANLANSIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVSVQKAYERLQASMQGLQGPQVWRLILLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDSGIPLLATLLSRCMCIVQPKTPAHEPAARIVTNIMHTFSVLSQFESGRVEILKFGGLVEDIVHCTELEFVSSAVDAALQTAANVSVSSELQNALLAAGFLWYVLPLLLQYDSTAEENEPNEAHGVGARVQIAKNLHAVHASQALSRLCGLGGDGISSPSNHAAFDTLRTLLTPRLADMLINHPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDQQRTSQGPDGSYDLAESQSFTYQALSKELNVGDVYLRVYNNQPDYEISDQEGFCIALLKFIAGLVQKWNSVNLEENNMHENDSVNGASTENGEVSDSTNEGKEDNLFEKDGKGETVGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHRNPACRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLQEEIPMQQRAAAASLLGKLAGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSSLEHTTETPELVWTPAMAASLSAQLSTMAADLYQEQMKGRLVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMSSGQATSRSQAKPIEHDNSDGVPETSVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVALLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVDVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQTSAAGVAGLIESSSSRLTYALTAAPSPQPALVRLPSSSPS* >Brasy3G021300.1.p pacid=40040013 transcript=Brasy3G021300.1 locus=Brasy3G021300 ID=Brasy3G021300.1.v1.1 annot-version=v1.1 MEQLRIFLLDHTMASSSPSSTVINIDHPSSTNGEATPTAAAAAALTTTPKGEADPTTAPAAASTATDKVMSSAANLAQLLPTGSVLAYQALSPSFTNRGDCAGSNQWLTAALVAVLALFSLFFSFTDSVVGRDGKLYYGVATPGGFNVFNFSSDEEKREWGPEEFARLRLRPLDFMHAFFTAVVFLVVAFSDVALQSCFFGRNPGRNTEELLKNLPLGMAFLSSFVFMIFPTKRKGIGYNDTTPRPKTS* >Brasy3G301200.1.p pacid=40040014 transcript=Brasy3G301200.1 locus=Brasy3G301200 ID=Brasy3G301200.1.v1.1 annot-version=v1.1 MDKVARRARRTAILAAAVAATVLLLSDAAAASAPATAESHTTDRCTRSCGNISIPYPFGVEPGCYHEDGFNLTCNHSYHPPRLFLGDGTVQLLDISIPNATLRINSTSFHFEEDTHEDAKVTWHAAGPGYHGGPYFLAEEQNNLLREDNSVVSYCAPFCPTDDHGGTVIANTHCNGVGCCESNILDGRASYKLLLRRLDFGSFEHLYAIVFIVDSEYTSSNRPDGLFAVDNNQAPAVLGWTISSSACHSNGFSPSCRSNHSSCKNYVSINGPPQRNPYNPDGCNDINECDHPDTYPCYGDCKNTQGGYLCQCAAGFKGNASKQNGCTDIDECKHPEAYPCYGICINLPAGTFHCRCPNGTDGDPLVKHGCGTIERSLTGQGVGLIISAGSIILLLSLVAPFITRKIKLSRENKQKKRFFKQNHGLLLQQLISQKTDFGERMIIHLLDLQKATNNFDRTHECGGGGHGVVYKGLLDLQVVAIKKSKVAIKQEIDDFVNEVAILSQINHRNVVKLIGCCLEAEVPLLVYEFISNGTLDHHLHVEGPTSLSWYDRIRIALECARAISYLHSAASIPIYHRDIKSSNILLDDNFTAKVSDFGASRYIPVDQTGISTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTKKKPCVVHFGDGVGLVSHFASLRTEGKLNEIIDPQVMEEEHGEIQEVATLAAMCTKLKGEDRPAMREVEMNLERDVMGVRVRFKTCIRKELLTKQADNTLWRKK* >Brasy3G114100.1.p pacid=40040015 transcript=Brasy3G114100.1 locus=Brasy3G114100 ID=Brasy3G114100.1.v1.1 annot-version=v1.1 MDNLTPYYLLLALIPLLYLVRICRGSLNSPDHALRLPPSPWELPLIGSVHHILSTLPHRALRDLSRRHGPLMLLKLGKAPIIVVSSAGAAKEIMKTHDTAFCTRPLSSAVKVFTKHGKGMTFSPYAEGCRQLRKICVMELLSPKRVQSFRHVREHETMRLVESVSAAASSESETGLVNLSKMISRYVTDATVRAIMGHRFKDQDTLIHIIDEVLRLITGFALPDLFPSSRLAHALSGKLRGADAHRETVYVFLDRVVNEHLERRSSEEAVVNGHQDLIDVLLRIKEEGNDLQFPLTMNMIKAVIFELLAGGSETTTSTTQWAMAELMRNPGAMSRAQAEVRRAFMGQTKVTEEALGELSYIKCVIKETMRLHTPAPLLLPRQCQERCKILGYDVPKGATVLVNAWAISRDPECWENPEEFVPERFQGSSARDWKGHSFEYTPFGAGRRMCPGMYFGLANIELALASLLFHFDWTLPDGVLPADLDMAETMGITIRKKEDLLLRATLQIQFTSES* >Brasy3G306600.1.p pacid=40040016 transcript=Brasy3G306600.1 locus=Brasy3G306600 ID=Brasy3G306600.1.v1.1 annot-version=v1.1 MSVRIKAVVDRFVKELKEALDADIQDRVMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK* >Brasy3G301400.1.p pacid=40040017 transcript=Brasy3G301400.1 locus=Brasy3G301400 ID=Brasy3G301400.1.v1.1 annot-version=v1.1 MKAYFSKQNRGLLLQQLVDKDIAERMIFSLEELEKATNKFDGARILGGGGHGTVYKGILSDQHVVAIKKSKTAIKREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHTDGPQSLSWKDRLRVASEVASSLAYLHLDAVTSIIHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTAIQGTYGYLDPEYYYTGRLTEKSDVYSFGVMLVELLTRKKPSVYVPSEGVSLVAHFILLLNQDRLTEILDAQVFEEAGDSANEVAQLAAMCLRMKGEDRLTMRHVETKLQGLQSAENTIQADPESEQNPPLGGAAAAPSTVNCSASCGGISISYPFGIEPGCYRDGFNLTCDHAHQPPKLFLGDGTVEVLEISIPSGTVRANSSSIVPLSTAVAPGKVNRTGKYHTWGGLRLGGPFFVSPDKNKFLVLSCSNVQVLLLGDDNSTVNACATYCPPAPGKGQPFQFPLNKECSGIGCCSAAIPKGYTSYSIQVQPPGNVSEFDADSSVYIAEEGSYNVTRLIFETVNSLPVLLDWVISNSTCGKEPPGTPSSACRSSSSFCQNYTSYAHSGYRCQCSAGYHGNPYIANGCQDIDECAQLELQSCYGTCINMPGTFRCQCPVGTYGNPLLEGGCIKIKSSSQGLSIGLLVGGGSILALLAFGAPFVTRKMKQQKVKRRKEKFFKQNHGLLFQQLVSQKADMGERMIVTLAELEKATKFFDRTREVGGGGHGIVYKGILNLQVVAIKKSKIVVQREIDDFVNEVAILSQINHRNVVKLIGCCLESEVPLLAYEFISNGTLEHHLHVEGAVSLTWDDRLRIALEVATALAYLHSAASMPVYHRDIKSANILLDESLTAKVSDFGASKYIPIDQTGVTTAVQGTLGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPLAYHSVDGDSLVLHFASLVTEGVLADILDPQVVEEEGGQVQEVAALAAKCTRLNGEDRPTMREVEMTLENLRIKRKQATREATSMVYGDDLSSEGDTEEPSPQYTMEEEILLSKRHPR* >Brasy3G278600.1.p pacid=40040018 transcript=Brasy3G278600.1 locus=Brasy3G278600 ID=Brasy3G278600.1.v1.1 annot-version=v1.1 MVLSQAISSAGDSVSCTFASRYVREELPRYRMPEQSIPKEAAYQIITDELMLDGNPRLNLASFVTTWMEPECAKLMMDAVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVSTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGYYVMDPLKAVEMVDENTICVAAILGSTLTGEYEDVKLLNDLLVEKNKETGWNVPIHVDAASGGFIAPFLQPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKDDLPEELIFHINYLGTDQPTFTLNFSKGASQIIAQYYQLIRLGFEGYKHIMENCKGNAAILREGIEATGRFKVLSKEDGVPLLAISLKESTGFTVFDISENLRRFGWIVPAYTMPPDAEHVAVLRVVIREDFSRSLAQRLIADINKVLRELDAHAVHAVKITTAAATQASEGMADGVVTKKSVLDTEKEFASACMDLLKNKKTGVC* >Brasy3G212400.1.p pacid=40040019 transcript=Brasy3G212400.1 locus=Brasy3G212400 ID=Brasy3G212400.1.v1.1 annot-version=v1.1 MKSGCPMSEGSQPSASAVKPASTSCRKKNSDNTSFVTELRDHFHEFVHASMDEHKTCLTKTVKRLFAMSKEVAERSADAKEAGAESVLPLQTQVSR* >Brasy3G026800.1.p pacid=40040020 transcript=Brasy3G026800.1 locus=Brasy3G026800 ID=Brasy3G026800.1.v1.1 annot-version=v1.1 MSWIKSVVFFSGLPAGSLVSDLTDRVLHGKNYFKAKSDFVRRPMAINDLIKAVDFLSKEPKAYVILDPYGGAMDRIEATDLPFPHRKGNIHGIQYLIDWTADEDSHRDYYMDWLRRFYDFMGAYVSNGPRTAYINYQDLDLGTNDWSGLMAEGDDENANPVETARIWGERYFLGNYDRLVRAKTAIDPENVFRNAQSIPPVFIGAQGTTRTTPRGISPRVESEEDKAYDS* >Brasy3G254600.1.p pacid=40040021 transcript=Brasy3G254600.1 locus=Brasy3G254600 ID=Brasy3G254600.1.v1.1 annot-version=v1.1 MRERDAAASPPRGPLPFPSLPVASARAGGLKSPRQRRGGGGRVGVGEERSVTSPPQIFIFLTRPLASRPCHGLLACFSRRFVPLPFFAEFSCEACVGDSAASLLVKEIKPNREKQEGRSLLNYCLLELRV* >Brasy3G161000.1.p pacid=40040022 transcript=Brasy3G161000.1 locus=Brasy3G161000 ID=Brasy3G161000.1.v1.1 annot-version=v1.1 MASKCTVDAGLIAVITALVLLRHPSFCIASPAPSSKQTRPPPALILFGDSIVDSGNNNALTTTVRCDFAPYGQDFPGHNATGRFSNGKIVGDILATRMGLKQYVPAYLGTELSDFDLLTGVSFASGGCGFDPLTAEIVSVLTLDDQLDLFKEYKGKIRAIAGEQRAAEIVSTSVFLVVSGTDDLANTYFTTPLRRDYDLESYIEFIVKCASDFIQKLYGMGARRVSIAGAPPIGCVPSQRTNAGGDERGCVALYNQAAVLYNAALEKEIKRLNGSALLPGSVLKYVDLYTPLLDMIQRPAAYGFEVSDRGCCGTGLFEVTLTCNSYTTHACRDPAKFLFWDTFHLTERGYNLLMAQIINRYGLW* >Brasy3G171200.1.p pacid=40040023 transcript=Brasy3G171200.1 locus=Brasy3G171200 ID=Brasy3G171200.1.v1.1 annot-version=v1.1 MERRENNNPSWQQESFVMDPNMKHLQETLVDIETDAEQLLLARHQLVENDKMRNANREALTALRKKARTTKTSVPSPFDVIMKEMEGTSGRELIKEICPTCGNHDPKEHTWLMFPGSDIFARVPFHVTHTILDKDQERLDYDSKKLQSFVKEKSFVISEKGALADKISPGIVKSLVSLTDKPK* >Brasy3G171200.2.p pacid=40040024 transcript=Brasy3G171200.2 locus=Brasy3G171200 ID=Brasy3G171200.2.v1.1 annot-version=v1.1 MERRENNNPSWQQEREALTALRKKARTTKTSVPSPFDVIMKEMEGTSGRELIKEICPTCGNHDPKEHTWLMFPGSDIFARVPFHVTHTILDKDQERLDYDSKKLQSFVKEKSFVISEKGALADKISPGIVKSLVSLTDKPK* >Brasy3G171200.3.p pacid=40040025 transcript=Brasy3G171200.3 locus=Brasy3G171200 ID=Brasy3G171200.3.v1.1 annot-version=v1.1 MRNANREALTALRKKARTTKTSVPSPFDVIMKEMEGTSGRELIKEICPTCGNHDPKEHTWLMFPGSDIFARVPFHVTHTILDKDQERLDYDSKKLQSFVKEKSFVISEKGALADKISPGIVKSLVSLTDKPK* >Brasy3G319300.1.p pacid=40040026 transcript=Brasy3G319300.1 locus=Brasy3G319300 ID=Brasy3G319300.1.v1.1 annot-version=v1.1 MEDKRKDLFRKLTIISIPFVFVAIPSVVIFVGMLSPHAAEPKVAVAGQNHTVGMLSTMTGGQMILSCRAAFSGNWEYFHYFILDPYKPQHVFFQPQASNYAIICKWGYMGNFLQDVVVFDSSAPWAPRCLVQAGGCRYLFQDGHMFLITGKHPTKEAPTQRREKKLIGDVLLRDCQHILGIFPTMCHYKKHDNEYIGKIIGRWQWWFNY* >Brasy3G151900.1.p pacid=40040027 transcript=Brasy3G151900.1 locus=Brasy3G151900 ID=Brasy3G151900.1.v1.1 annot-version=v1.1 MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSGPNNLKEGGAESDEEIRRVPEMGGGSASSGAGDGKQLVLQQHGAGGQPPASAAGGKKRGRAAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEVKAKDLELRNAELEQKVSTLQNENNTLRQILKNTTAHAGKKSGSGGGKGGDGGKKQHHFSKS* >Brasy3G022900.1.p pacid=40040028 transcript=Brasy3G022900.1 locus=Brasy3G022900 ID=Brasy3G022900.1.v1.1 annot-version=v1.1 MTLLCVPLVGRTVEEMETDAAAAAAAGGDLVEIRLDFIEGFRPREHLPRLLRGCPLPALVTYRPNWEGGRYDGDDATRFETLRLAMELGVDYVDIELKVADKFINFLSGYKPEKCKLIVSSHNYESTPSCEELANLVARIQAVGADIVKVATTATDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDTTKTSASGQPTVEELLDIYNIRSIGPDTKVLGLVANPVKQSKSPILHNKCLQSVGYDAVYLPLLADDLARFLDTYSSPDFSGFSCSLPFKVDAVHCCHEHDTVAKSIGAINTIIRKPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAVSPLAGRLIVVVGAGGAGKAIAYGAKKKGARVVVANRTYEKAVGLANAVGGQALRLADLENFRPEGGMILANATSLGMYPNVDGTPIPKKALSSYDVVFDAVYAPKVTRLLREAEEQGVKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT* >Brasy3G022900.2.p pacid=40040029 transcript=Brasy3G022900.2 locus=Brasy3G022900 ID=Brasy3G022900.2.v1.1 annot-version=v1.1 MTLLCVPLVGRTVEEMETDAAAAAAAGGDLVEIRLDFIEGFRPREHLPRLLRGCPLPALVTYRPNWEGGRYDGDDATRFETLRLAMELGVDYVDIELKVADKFINFLSGYKPEKCKLIVSSHNYESTPSCEELANLVARIQAVGADIVKVATTATDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDTTKTSASGQPTVEELLDIYNIRSIGPDTKVLGLVANPVKQSKSPILHNKCLQSVGYDAVYLPLLADDLARFLDTYSSPDFSGFSCSLPFKVDAVHCCHEHDTVAKSIGAINTIIRKPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAVSPLAGRLIVVVGAGGAGKAIAYGAKKKGARVVVANRTYEKAVGLANAVGGQALRLADLENFRPEGGMILANATSLGMYPNVDGTPIPKKALSSYDVVFDAVYAPKVTRLLREAEEQGVKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT* >Brasy3G022900.3.p pacid=40040030 transcript=Brasy3G022900.3 locus=Brasy3G022900 ID=Brasy3G022900.3.v1.1 annot-version=v1.1 MELGVDYVDIELKVADKFINFLSGYKPEKCKLIVSSHNYESTPSCEELANLVARIQAVGADIVKVATTATDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDTTKTSASGQPTVEELLDIYNIRSIGPDTKVLGLVANPVKQSKSPILHNKCLQSVGYDAVYLPLLADDLARFLDTYSSPDFSGFSCSLPFKVDAVHCCHEHDTVAKSIGAINTIIRKPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAVSPLAGRLIVVVGAGGAGKAIAYGAKKKGARVVVANRTYEKAVGLANAVGGQALRLADLENFRPEGGMILANATSLGMYPNVDGTPIPKKALSSYDVVFDAVYAPKVTRLLREAEEQGVKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT* >Brasy3G022900.4.p pacid=40040031 transcript=Brasy3G022900.4 locus=Brasy3G022900 ID=Brasy3G022900.4.v1.1 annot-version=v1.1 MELGVDYVDIELKVADKFINFLSGYKPEKCKLIVSSHNYESTPSCEELANLVARIQAVGADIVKVATTATDIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDTTKTSASGQPTVEELLDIYNIRSIGPDTKVLGLVANPVKQSKSPILHNKCLQSVGYDAVYLPLLADDLARFLDTYSSPDFSGFSCSLPFKVDAVHCCHEHDTVAKSIGAINTIIRKPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAVSPLAGRLIVVVGAGGAGKAIAYGAKKKGARVVVANRTYEKAVGLANAVGGQALRLADLENFRPEGGMILANATSLGMYPNVDGTPIPKKALSSYDVVFDAVYAPKVTRLLREAEEQGVKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT* >Brasy3G150300.1.p pacid=40040032 transcript=Brasy3G150300.1 locus=Brasy3G150300 ID=Brasy3G150300.1.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQVHSNAVQPSV* >Brasy3G150300.5.p pacid=40040033 transcript=Brasy3G150300.5 locus=Brasy3G150300 ID=Brasy3G150300.5.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQVHSNAVQPSV* >Brasy3G150300.2.p pacid=40040034 transcript=Brasy3G150300.2 locus=Brasy3G150300 ID=Brasy3G150300.2.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQVHSNAVQPSV* >Brasy3G150300.6.p pacid=40040035 transcript=Brasy3G150300.6 locus=Brasy3G150300 ID=Brasy3G150300.6.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQEPTG* >Brasy3G150300.3.p pacid=40040036 transcript=Brasy3G150300.3 locus=Brasy3G150300 ID=Brasy3G150300.3.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQEPTG* >Brasy3G150300.4.p pacid=40040037 transcript=Brasy3G150300.4 locus=Brasy3G150300 ID=Brasy3G150300.4.v1.1 annot-version=v1.1 MDLLRNPFKGVVADVKGRASWYKDDWIAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKEAGLGERLYLAWAGWVCIWTAVMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSLPKAAEIVDRSSLIYDFQWIYVNGLLGVIFSIGLLYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLELYGKMQEVFIQMDSEKNTDSVDKELKNLKDAMLREGDDEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVKLLFIGSTRRYKVLEGPHASFVESVPSKTISVFTIFQLVYLLICFGTTWIPIAGILFPVPFFLMILIRQHLLPKFFEPNDLRELDAAEYEELEGVQLEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQEPTG* >Brasy3G056700.1.p pacid=40040038 transcript=Brasy3G056700.1 locus=Brasy3G056700 ID=Brasy3G056700.1.v1.1 annot-version=v1.1 MGSIDTNPTAFAAFPDDDKSFQPLNPEDVRAYLHKAVDFVSDYYTNVESMPVLPNVKPGYLQDELSASPPTYSAPFDVTMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPKLAVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETNYGLDPAKLLEVMQADVAAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDLVRADDRFEIVVPRNFALVCFRIKASGSMTEQDADEANRLLMENLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVTSAWELIKKTTSEMLD* >Brasy3G065500.1.p pacid=40040039 transcript=Brasy3G065500.1 locus=Brasy3G065500 ID=Brasy3G065500.1.v1.1 annot-version=v1.1 MAASFCRAAAAARSAALRSRPRVATPFPAARSPVAPLPLRRSAVTALAGAVESLMPLHSAVAGARLRSCIAADSSCWSCLSRGLIKRI* >Brasy3G176300.1.p pacid=40040040 transcript=Brasy3G176300.1 locus=Brasy3G176300 ID=Brasy3G176300.1.v1.1 annot-version=v1.1 MKEVGEERCLDPQLWHACAGGMVQMPPGRSRVYYFPQGHAEHANGGGGGAAAELAATAGPRLLPALVLCSVAGVRFLADPETDEVFAKIRLVPVGPGEVEFREPEGLGPLQAEAQEKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVTCRGMECVSGWNAPGYGGFSAFMKDEENKMMNGAGGYLKGRGKVKVTDVVEAASLAANGQPFEVVYYPRASTPEFVVKAASMQAAMRIHWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPQHPDFPFDGHLFNPIFHGNPLGPSNSSLRCYPDNSPAGIQGARHAQFGLPLTDHQLNKLHLGLFQGGGFNRLDALTPSSRIPKGYMISSAPDHDSVSCLLTIGTPQSTEKSDDRKTPHIMLFGKAILTEQQMTSSGSRDTLSSGATANSSPYGNAPKAGNTSDGSGSSICIGFSSQGHESSDFGLEAGHCKVFMESEDVGRTIDLSDFVSYEELYGRLADMFGIEKEEIISHLRYRDTAGAVMHTGELPFSDFMKVARRLTIISGDSGRLPKPLIECMAQRV* >Brasy3G176300.2.p pacid=40040041 transcript=Brasy3G176300.2 locus=Brasy3G176300 ID=Brasy3G176300.2.v1.1 annot-version=v1.1 MKEVGEERCLDPQLWHACAGGMVQMPPGRSRVYYFPQGHAEHANGGGGGAAAELAATAGPRLLPALVLCSVAGVRFLADPETDEVFAKIRLVPVGPGEVEFREPEGLGPLQAEAQEKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVTCRGMECVSGWNAPGYGGFSAFMKDEENKMMNGAGGYLKGRGKVKVTDVVEAASLAANGQPFEVVYYPRASTPEFVVKAASMQAAMRIHWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPQHPDFPFDGHLFNPIFHGNPLGPSNSSLRCYPDNSPAGIQGARHAQFGLPLTDHQLNKLHLGLFQGGGFNRLDALTPSSRIPKGYMISSAPDHDSVSCLLTIGTPQSTEKSDDRKTPHIMLFGKAILTEQQMTSSGSRDTLSSGATANSSPYGNAPKAGNTSDGSGSSICIGFSSQGHESSDFGLEAGHCKVFMESEDVGRTIDLSDFVSYEELYGRLADMFGIEKEEIISHLRYRDTAGAVMHTGELPFSDFMKVARRLTIISGDSGRLPKPLIECMAQRV* >Brasy3G183500.1.p pacid=40040042 transcript=Brasy3G183500.1 locus=Brasy3G183500 ID=Brasy3G183500.1.v1.1 annot-version=v1.1 MAGGAVREVGSKAELDAAVGGARAAAVHFWAAWCEASKQMDEVFAHLAVDFPHALFLRVEAEEQPEISEAYGIKAVPYFVFCKEGKTVDTLEGANPASLANKVAKLAGPANVAESAAPASLGVAAGPAVLEKVQEMARQNGSSSAESTQADMLNKRLEQLVNSYPVIIFMKGNPEEPRCGFSRRVVDILKQEGVEFGSFDILTDNEVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVLKEHNIPLPQGSRNEEPVISESATEKSPEPVGLTEAQKVRLESLTNSNPVMVFIKGSPEEPKCGFSGKVVHILKQEKIPFSSFDILSDDEVRQGLKVLSNWPSYPQVYIKGELVGGSDIVMEMHKSGELKKVLCEKGVIPKESLEDRLKALISSSPVMLFMKGNPDAPRCGFSSKVVNALKGAGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELMGGCDIVLEMEKSGELKSTLSE* >Brasy3G129600.1.p pacid=40040043 transcript=Brasy3G129600.1 locus=Brasy3G129600 ID=Brasy3G129600.1.v1.1 annot-version=v1.1 MLGPTAGVGPAPEEDMPEPFGSLQIATTSAGAATKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGCPQAAGSDVPATACGVAAAASSQQQQQAPAPAVSLSRTASSTSPSSDIVISPVAWPSAGAGAMRSPTAAAAFHRFDQAPSPSESRGGGHNLELQLMPPSSGGTTGGSAAPGVAPSYYGAPRSPPMPGDEAATQLQLTIGFCSGDVNRGEYFRPFSRDDAAAHAREKQEAAREELRQAMAEKAAADEARAQAKRQGELAEQELASAKRMRHQAQVELSRAHALREHAVRQVNATLLQITCLGCRQKFRAAATTTARPPPAEQLQVACSYVSSVVTEGGDAEIGNVDDQPLNLGGCRRRQQHARMDAL* >Brasy3G294100.1.p pacid=40040044 transcript=Brasy3G294100.1 locus=Brasy3G294100 ID=Brasy3G294100.1.v1.1 annot-version=v1.1 MDQPGWDFPAASDVLEQILLRLPPSARRRLRLVCRFWREVVDERTPEKRSRPALLFQTGKHSSCVLEGDVVSSSPRCRDLWAGRGSTFGERYKGMSIVGTRNGLLCLCDDAEAGGAITLVNPATGETLALPLLPCSEQLIRRTRYYWGSWSTVTSKHQAYSFAYHATTGRYQVVHVPCYMDRNGRFDAVQVFTLGERSWRDVPVPHATTCSLDAGVVGVGGVAYWVTNDEKIASLDLEEEEEGGRFAPVKPPPGLALAGPKCHLTELRGALGVAVSNGSPALEKIDVWVLEGSGREERSWSRRYSVEVHVARGLRHGLRQQLTRPRFVYGDYVLTRASANRSWVLCGNKVSGGGEGRKRQQLQCGVVRISGKKRGAVSRVFAYVETTEPLSIYRASDCSWIYRP* >Brasy3G301300.1.p pacid=40040045 transcript=Brasy3G301300.1 locus=Brasy3G301300 ID=Brasy3G301300.1.v1.1 annot-version=v1.1 MERVATRARAMVLAAVAVTALMPLLPSSGASHGEGCMTSCGNISIPYPFGVEPGCYHDGGFNLTCNKSYSPPRLFLGDGTVQVLDISIPNATLRINSTRINFDTEIEEGPNGTVGINRTRISVPCNPGSAEGANGTWRAAGLGYHGGPYFLAVEKNNLVAIGCGIQVNLLRQNNTLVSSCANFCPKLGGGGSEDYEVGNDRCNGVGCCQSSIAGGLASYELQLHRTSYFRPDPMGIQPAVFIVDSELTSSSATMLLIMDDHFARRGENHAMPAVLGWRISSSACPIDGYSSPSCRSNHSWCANASSSYGYNSQGHTCECPVGYQGNPYISDGCYDIDECIHPEEISCYGVCKNMQGGFYCQCSDGTNGDPYKKGGCVTTKSSFSGLSIGLIVSGGSILLLLALAAPFITHKIKLLRVNKLKERCFKQNHGLLLQQLISQNTDFGERMIIPLFDLEKATNNFNRTHEAGGGGHGIVYKGLLDLQVVAIKKSKIVVQREIDDFINEVAILSQINHRNVVKLVGCCLETEVPLLVYEFISNGTLDHHLHVEGPMSLSWDDRIRIALEVARAVSYLHSAASMPIYHRDIKSSNILLDDNFTAKVSDFGASRYIPTDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPFVRCGGDGVGLVSHFVSLLTKGNLNEIIDPQVMEEENGEVQEVAKLAATCTKLTGEDRPTMREVEMKLEILRVNKRHLAPDISPRRYNRDQIGAQYLSTEGVTKEASRQYTMEEEILLSARYPR* >Brasy3G131200.1.p pacid=40040046 transcript=Brasy3G131200.1 locus=Brasy3G131200 ID=Brasy3G131200.1.v1.1 annot-version=v1.1 MVKHTELPDELLQWDILFGLPAKDLLRCGAVCRSWRRLTTDPEFLLARHRRQPSLPLLFFNNRYASDAIPFHGAVDAFDIRRTPAVRRPVLAFKDSTGLAGFTIHASCDGLLLLSLGNHRFYLCNPATRQWRELPDLTGCSVAAMYPHRPSGEHRVLYWKHMKYMTDSNEDGVYRILTVSSSMRTRCIGLPLPSSLSINNNCWLTRARWFNYWFIRACMHPPVLLHDCLHWHLGSSIIDGSHIFDGEVVVFDTVAESFRLMQNPMPDRSVRLLEMDGTLGISHIDHATTMAELWVLRDYEKEVWSLKYRIKLSVAEMREHFPYGASGEVVSEDGDMLLTGNPSWYSDLSNLFHCDNNGKLLEKYQWQDIAPKVLGLSFKESLVSHSFFQRKDGSRVRVPCFFRGL* >Brasy3G084200.1.p pacid=40040047 transcript=Brasy3G084200.1 locus=Brasy3G084200 ID=Brasy3G084200.1.v1.1 annot-version=v1.1 MAMTAPAPETEAKVVAMVDGVAAKNGVAEEDLPLSADDTSHQVGVDPWYQAGFVLTTGVNSAYVLGYSGSLMVPLGWTGGTIGLLIAAAVSMYANALLAKLHLLGGKRHIRYRDLAGHIYGAKMYRLTWAMQYVNLFMINIGFVILAGQALKALYLLIRDDGALKLPYCIIISGFVCTLFAVGIPYLSALRVWLLFSTAFSLIYIVAACVLAMRDGARAPRRRGITASPGIRRAGCSRRSGPRRAWCLRTTPGCCRRSRRRSSRLW* >Brasy3G004400.1.p pacid=40040048 transcript=Brasy3G004400.1 locus=Brasy3G004400 ID=Brasy3G004400.1.v1.1 annot-version=v1.1 MSYQQGYPPPGTAAPAYPPPGQPPQQAYVAPPPLAYSQDQQYPPAAGDTTSRSHGHGHGGNGFLKGCCAALCCCCLLDACF* >Brasy3G124200.1.p pacid=40040049 transcript=Brasy3G124200.1 locus=Brasy3G124200 ID=Brasy3G124200.1.v1.1 annot-version=v1.1 MAAAMDLQHNSAAVVVLRCADLVQFTVPASLALRSGRVVAAAAAGRQHVVVDLPRGVSSKGVAAAVAYWESRAAAAAGGACDLAEYDAASVRGLNHDAAVDLVTAAAPDHLDDKALFALFIA* >Brasy3G062100.1.p pacid=40040050 transcript=Brasy3G062100.1 locus=Brasy3G062100 ID=Brasy3G062100.1.v1.1 annot-version=v1.1 MAIKRTKAEKKIAYDKKLCLLLEEYSKVLIAVADNVGSKQLQEIRKGLRGDSVVLMGKNTLIRRCIKVHSEKTGNQDYLELSNLLIGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVINNVYDSGSVFSPEVLDLTEEDLMDKFASGVSMVASLSLAISYPTIAAAPHMFINAYKNVLAIAVETDYSYPHGDKIKEYLKDPSKFAVAAPAAAAASGGGAAAAPKEEEKKDEPEEESDGEMGFSLFDD* >Brasy3G096200.1.p pacid=40040051 transcript=Brasy3G096200.1 locus=Brasy3G096200 ID=Brasy3G096200.1.v1.1 annot-version=v1.1 MICGIISNLLLQAKILRSPKRSLMMSEKKKINVQWMIGGIISTLLLQAKRYLDESEEVANDEPKKESDCLVDDLWNHFDLAMASKKLDKSEEVANDKHVERKINVDIGCNHDICLHEDLGEVCRVCGMIVRSADKIFDYCWWKQLSRKRSGTHEAGSKNADQIDDFGSATASAYEDFIFEDAANHPMHAKEMRQHQLEGFKFLVKNLMSTSDPGGCIIAHAPGSGKTFMVISFIQSFLAKHSSARVLVVLPKGIVGTWKREFQRWQVEDIPLYDFYSAKADTRTGHLKVLNSWKSHKSILFLGYQQFSQIIYADDGCEVAAACKDKLLVIPNLLIMDEGHIPRNEGTNVLQSLRDVQTPRKVVLAGTLFQNHVKEVFNILNLVRPRFLKMGSSRRIVRRIMSQAIVVGCSSSEKADEVFAESVEATLLADDNFERKSHVISGLRELTNDVLHYYKGDVLHELPGLVDFSVFLKLTQKQKDIFDTLEAYGSFKRAAVETAVYIHPCLKDISEADSNEKNWTDAEIDRLIESINIRDGVKARFFLNILSLADSAGEKLLAFSRLLLPMRFLERLLVRMKGWHVGKEIFTISGTTRPEDRELIMDKFNSSNDAKVLFGSIKACAEGISLVGASRVVVLDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSLEEKTHATALKKEVIPKLWFEWNGEYTRDREDHFKLNQVYTRDSGDELLVSEAIRQDIKALFRRVLWWLHRGGRGLNFCKFSIFC* >Brasy3G096200.2.p pacid=40040052 transcript=Brasy3G096200.2 locus=Brasy3G096200 ID=Brasy3G096200.2.v1.1 annot-version=v1.1 MICGIISNLLLQAKIFFSSLRSPKRSLMMSEKKKINVQWMIGGIISTLLLQAKRYLDESEEVANDEPKKESDCLVDDLWNHFDLAMASKKLDKSEEVANDKHVERKINVDIGCNHDICLHEDLGEVCRVCGMIVRSADKIFDYCWWKQLSRKRSGTHEAGSKNADQIDDFGSATASAYEDFIFEDAANHPMHAKEMRQHQLEGFKFLVKNLMSTSDPGGCIIAHAPGSGKTFMVISFIQSFLAKHSSARVLVVLPKGIVGTWKREFQRWQVEDIPLYDFYSAKADTRTGHLKVLNSWKSHKSILFLGYQQFSQIIYADDGCEVAAACKDKLLVIPNLLIMDEGHIPRNEGTNVLQSLRDVQTPRKVVLAGTLFQNHVKEVFNILNLVRPRFLKMGSSRRIVRRIMSQAIVVGCSSSEKADEVFAESVEATLLADDNFERKSHVISGLRELTNDVLHYYKGDVLHELPGLVDFSVFLKLTQKQKDIFDTLEAYGSFKRAAVETAVYIHPCLKDISEADSNEKNWTDAEIDRLIESINIRDGVKARFFLNILSLADSAGEKLLAFSRLLLPMRFLERLLVRMKGWHVGKEIFTISGTTRPEDRELIMDKFNSSNDAKVLFGSIKACAEGISLVGASRVVVLDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSLEEKTHATALKKEVIPKLWFEWNGEYTRDREDHFKLNQVYTRDSGDELLVSEAIRQDIKALFRR* >Brasy3G080400.1.p pacid=40040053 transcript=Brasy3G080400.1 locus=Brasy3G080400 ID=Brasy3G080400.1.v1.1 annot-version=v1.1 MVVAFFAGHHRCSGWVDGCVGQGFQVVLVEAEVFALLLLFWPAVTANSRCKLVRGRSSYGTTRRSSGRKPSTDPPSISAGISTPKSSPGDSGQWRGSGGEEN* >Brasy3G232100.1.p pacid=40040054 transcript=Brasy3G232100.1 locus=Brasy3G232100 ID=Brasy3G232100.1.v1.1 annot-version=v1.1 MEGQEPGADLEALIRRLRLHQPLPSPYAGHPSTADELFQPRRAAVLVCLFRGTAGELRVILTKRSSSLSTHSGEVALPGGKADNGDADDAATALREAKEEIGLDSCLVTVVASLEPFLSKHLLVVVPIVGVLSDVEAFKPVLNIHEVDDMFDVPLEMFLKDENRRSEELERMGKIFTIQYFDYEKGNKKYVIWGLTARILIHAASIVYQRPPDFVERRLPFNMPKY* >Brasy3G088600.1.p pacid=40040055 transcript=Brasy3G088600.1 locus=Brasy3G088600 ID=Brasy3G088600.1.v1.1 annot-version=v1.1 MPQGDFFRMLRQYGYERGKGALDGHDGMRWKHVHRPKTFEGLHTKEFMYMGDGVTGSGIIMGAGHLGEILSVITKGQQLIYGRTIAYFVSLDLSDNSLTGEIPTDITSLVELMNLNLSSNQLSGQIPQMIGAMQSLVSLDISKNNLYGEIPSSISSLASLSYLNLSYNNLSGKIPSGRQLDTLNEENPSLMYIGNSGLCGPPLQKNCSGNDAVIHGQIESRKQEFEPMTFYFGLVLGLLAGLWLVFCALLFQKTWRIAYYRLFDKVHDRIYVFVVVKWASFTRNTTAE* >Brasy3G108300.1.p pacid=40040056 transcript=Brasy3G108300.1 locus=Brasy3G108300 ID=Brasy3G108300.1.v1.1 annot-version=v1.1 MVGLPVGVKFDPTDQELIEHLETKVMDGGSRAHPLIEDFIPTIQGEDGICYTHPEKLPGVTRNGQSKHFFHRSPKAYTTGTRKRRKIQAQGGGQPNSKNSAETRWHKTGKTRPLMVDGRQKGCKKILVLYTSFGKHRKPEKTNWVMHQYHLGGLDDEKEGELIVSKVFYQTQTRQGVAGTAATLDECARDGNMEEETAGSTQIGIIGAYTLAAAAAAAAAVEEQRQQLKQDDEQFSYAAVPKKRLHELQVGADHEVAVDQAEEQHRHHHRPSQHHVSPNL* >Brasy3G314700.1.p pacid=40040057 transcript=Brasy3G314700.1 locus=Brasy3G314700 ID=Brasy3G314700.1.v1.1 annot-version=v1.1 MEKKLFHSSLMPRDFTFHLLKQITNNFSEENRIGFGGYGAVYKGVLENGIEIAVKKLYNQHPELDNGKQFLNECSNLMVVQHQNIVRLIGYCYEIRHKFLEHEGRTVLAEVEERALCFEYLKGGTLDSNLSDESHGLDWCTRFKIIKGICEGLNFLHNGSKEPIFHLDLKPANILLDQNMIPKIADFGLSRLFDSTRTCTTKGIIGTPGYMPPEYINRFQITKKFDVFSLGVIIIKMMAGPEGYSKCADMPSEEFINLVHKNWEKRFRATISLHASYGVKKCIDIALRCVEVDRVKRPTIAEIVDELNKIDINILNELNMIDTTKYSPTGQVTNSQSKQAFDRSMNSGDEGTSMGTEEKELRTLEHEVNDENSEGDKSEDPGQRQGGMLDRFRSALAGMWPTSKAASVSREGLLWWCDLARIHAGQLSVAVVQANNKIEDQCFIESAPPLGTVVGVFDGHGGPEAARFACDHLLPNLREAASGASGLTVDAIRRTFLATDEDFMALVSRLWETRPSIAAVGTCCLVSVMHVKTLFVASLGDSRAVLGKVSSTGEIAAVQLSIEHNVRHEEIRQQLQAEHPDDPQIVVLKHNIWRVKGIIQVSRSIGDAYLKLPRYNAEPLHSRFRLTEPFSRPILSAEPSIVTHTLQPSDSFVIFASDGLWEHLSNQEAVEIVHKHKRSGSARRLVEAALREAAKKREMRYTHLLKIDRGVRRHFHDDITVVVMFIDHFLLEDHASIRIPLDDIS* >Brasy3G314700.2.p pacid=40040058 transcript=Brasy3G314700.2 locus=Brasy3G314700 ID=Brasy3G314700.2.v1.1 annot-version=v1.1 MEKKLFHSSLMPRDFTFHLLKQITNNFSEENRIGFGGYGAVYKGVLENGIEIAVKKLYNQHPELDNGKQFLNECSNLMVVQHQNIVRLIGYCYEIRHKFLEHEGRTVLAEVEERALCFEYLKGGTLDSNLSDESHGLDWCTRFKIIKGICEGLNFLHNGSKEPIFHLDLKPANILLDQNMIPKIADFGLSRLFDSTRTCTTKGIIGTPGYMPPEYINRFQITKKFDVFSLGVIIIKMMAGPEGYSKCADMPSEEFINLVHKNWEKRFRATISLHASYGVKKCIDIALRCVEVDRVKRPTIAEIVDELNKIDINILNELNMIDTTKYSPTGQVTNSQSKQAFDRSMNSGDEGTSMGTEEKELRTLEHEVNDENSEGDKSEDPGQRQGGMLDRFRSALAGMWPTSKAASVSREGLLWWCDLARIHAGQLSVAVVQANNKIEDQCFIESAPPLGTVVGVFDGHGGPEAARFACDHLLPNLREAASGASGLTVDAIRRTFLATDEDFMALVSRLWETRPSIAAVGTCCLVSVMHVKTLFVASLGDSRAVLGKVSSTGEIAAVQLSIEHNVRHEEIRQQLQAEHPDDPQIVVLKHNIWRVKGIIQVSRSIGDAYLKLPRYNAEPLHSRFRLTEPFSRPILSAEPSIVTHTLQPSDSFVIFASDGLWEHLSNQEAVEIVHKHKRSGSARRLVEAALREAAKKREMRYTHLLKIDRGVRRHFHDDITVVVMFIDHFLLEDHASIRIPLDDIS* >Brasy3G314700.3.p pacid=40040059 transcript=Brasy3G314700.3 locus=Brasy3G314700 ID=Brasy3G314700.3.v1.1 annot-version=v1.1 MEKKLFHSSLMPRDFTFHLLKQITNNFSEENRIGFGGYGAVYKGVLENGIEIAVKKLYNQHPELDNGKQFLNECSNLMVVQHQNIVRLIGYCYEIRHKFLEHEGRTVLAEVEERALCFEYLKGGTLDSNLSDESHGLDWCTRFKIIKGICEGLNFLHNGSKEPIFHLDLKPANILLDQNMIPKIADFGLSRLFDSTRTCTTKGIIGTPGYMPPEYINRFQITKKFDVFSLGVIIIKMMAGPEGYSKCADMPSEEFINLVHKNWEKRFRATISLHASYGVKKCIDIALRCVEVDRVKRPTIAEIVDELNKIDINILNELNMIDTTKYSPTGQVTNSQSKQAFDRSMNSGDEGTSMGTEEKELRTLEHEVNDENSEGDKSEDPGQRQGGMLDRFRSALAGMWPTSKAASVSREGLLWWCDLARIHAGQLSVAVVQANNKIEDQCFIESAPPLGTVVGVFDGHGGPEAARFACDHLLPNLREAASGASGLTVDAIRRTFLATDEDFMALVSRLWETRPSIAAVGTCCLVSVMHVKTLFVASLGDSRAVLGKVSSTGEIAAVQLSIEHNVRHEEIRQQLQAEHPDDPQIVVLKHNIWRVKGIIQVSRSIGDAYLKLPRYNAEPLHSRFRLTEPFSRPILSAEPSIVTHTLQPSDSFVIFASDGLWEHLSNQEAVEIVHKHKRSGSARRLVEAALREAAKKREMRYTHLLKIDRGVRRHFHDDITVVVMFIDHFLLEDHASIRIPLDDIS* >Brasy3G178100.1.p pacid=40040060 transcript=Brasy3G178100.1 locus=Brasy3G178100 ID=Brasy3G178100.1.v1.1 annot-version=v1.1 MPLRALLRYVAAGRFARVQALTGASTAAAAHRVLHLLLRTAPLPPLPHLVSLARWSRARFRAPLPLPLNALLLARLASHGLHPLLRSELHALAAARLHSPASIVRALPASSSSLIADMLVLALASASQPLAAYEAFLLAGDAHPRHRPSAFSVNRLLSALVAAERVDLAERAFKVALRRRVSPDLFTFNIVISGLCKTGQLRKAGDVAKDIRAWGLAPSAVTYNTIIDGYCKRGRAGRMYHVDALLKEMVEAGISPNEVTFNVLVHGYCKDSNTAAAVRVFEEMKQQGIAASVVTYSALVWGLCGEGKVEEGVKLVDEMKDFGLVPNLATLNSVLNGFCKKGMMTDAEGWIDGMEQKDVKPNVVTYTILIDGYRRLDKMKDALAVKEAMAGKGVRPNARIYNCLIAGFTRNGDWRSVSGLLVEMRIKGVRADIVTYNVLIGALCAKGEVRKAVKLLDEMLMVGLEPVHLTYNTIINGYCEKGNIKSAYEIRTRMEKGKKRANVVTYNVFIKCLCRMGKMEEANELLNEMLEKGLVPNGVTYETIKEGMMEKGYTPDQIKQANGTYCSNGKFW* >Brasy3G067800.1.p pacid=40040061 transcript=Brasy3G067800.1 locus=Brasy3G067800 ID=Brasy3G067800.1.v1.1 annot-version=v1.1 MDICEWKNMDKRRGNTVQPGRNQEEERLLKKKSGSRNEYIVVTILFAADGALEFPEITRPADLEEVARKLFFIPEGDIRGIHVLWTPQEKNDVLAEEKFLADYPHLTPCYD* >Brasy3G024900.1.p pacid=40040062 transcript=Brasy3G024900.1 locus=Brasy3G024900 ID=Brasy3G024900.1.v1.1 annot-version=v1.1 MAQSHETTSRKPPGLRLFKGAKALRNYQTLVLVLTFLAYTCFHMTRKITSIVKSELDPQTKMGFSRWGRLHTNNGLNVGWYPFNTADGSALLGEIDVAFLAVYSLGMFFAGHLGDRLDLRVFLAIGMIGTAVFTALFGAGYWLNIHNFYYYLAFQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLLAAFLLRFGWGWSFAIPSLIMALVGLVVLFFLPVSPQVMEIDIDDGERNSDKDTAKEPLLEPGQEVVHKAIGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGNEYLSDTMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSMMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISTESWSAVFTMLMAAALLAGLLLTRLVCAELRGKLSSNVSKESANAEGTCSDIV* >Brasy3G024900.4.p pacid=40040063 transcript=Brasy3G024900.4 locus=Brasy3G024900 ID=Brasy3G024900.4.v1.1 annot-version=v1.1 MAQSHETTSRKPPGLRLFKGAKALRNYQTLVLVLTFLAYTCFHMTRKITSIVKSELDPQTKMGFSRWGRLHTNNGLNVGWYPFNTADGSALLGEIDVAFLAVYSLGMFFAGHLGDRLDLRVFLAIGMIGTAVFTALFGAGYWLNIHNFYYYLAFQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLLAAFLLRFGWGWSFAIPSLIMALVGLVVLFFLPVSPQVMEIDIDDGERNSDKDTAKEPLLEPGQEVVHKAIGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGNEYLSDTMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSMMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISTESWSAVFTMLMAAALLAGLLLTRLVCAELRGKLSSNVSKESANAEGTCSDIV* >Brasy3G024900.3.p pacid=40040064 transcript=Brasy3G024900.3 locus=Brasy3G024900 ID=Brasy3G024900.3.v1.1 annot-version=v1.1 MAQSHETTSRKPPGLRLFKGAKALRNYQTLVLVLTFLAYTCFHMTRKITSIVKSELDPQTKMGFSRWGRLHTNNGLNVGWYPFNTADGSALLGEIDVAFLAVYSLGMFFAGHLGDRLDLRVFLAIGMIGTAVFTALFGAGYWLNIHNFYYYLAFQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLLAAFLLRFGWGWSFAIPSLIMALVGLVVLFFLPVSPQVMEIDIDDGERNSDKDTAKEPLLEPGQEVVHKAIGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGNEYLSDTMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSMMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISTESWSAVFTMLMAAALLAGLLLTRLVCAELRGKLSSNVSKESANAEGTCSDIV* >Brasy3G024900.2.p pacid=40040065 transcript=Brasy3G024900.2 locus=Brasy3G024900 ID=Brasy3G024900.2.v1.1 annot-version=v1.1 MAQSHETTSRKPPGLRLFKGAKALRNYQTLVLVLTFLAYTCFHMTRKITSIVKSELDPQTKMGFSRWGRLHTNNGLNVGWYPFNTADGSALLGEIDVAFLAVYSLGMFFAGHLGDRLDLRVFLAIGMIGTAVFTALFGAGYWLNIHNFYYYLAFQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLLAAFLLRFGWGWSFAIPSLIMALVGLVVLFFLPVSPQVMEIDIDDGERNSDKDTAKEPLLEPGQEVVHKAIGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGNEYLSDTMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSMMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISTESWSAVFTMLMAAALLAGLLLTRLVCAELRGKLSSNVSKESANAEGTCSDIV* >Brasy3G334900.1.p pacid=40040066 transcript=Brasy3G334900.1 locus=Brasy3G334900 ID=Brasy3G334900.1.v1.1 annot-version=v1.1 MDDLKAILARPIQVAEQVIKWAEEAQTCRQECLELKSKVERLASLLRQAARADLYERPARRILDDTGRALDKAAALLDRCRARGIVSRVFTIIPAGSFKRTSAQLDNSIGDLTWILRVSNYANANVDMDDHIGLPPIAQNEPILFLIWEQIAVLYTGTHDARADAAASVVSLARDNDRYGRLIIEEDGVPPLLRLIKEGRPEGQENAALAIGLLGRDPECVELMVLAGVCTAFSKILKDAPMKVQGMVAWAVSELATNHPKCQDAFMQSNVIRLLVSHLAFETVQEHSKYAVASRMSIHSVFLDKKGSTSSSSHHHDPASIGADAGADHAIAGGTGIAAKPTTGAGGATSSSSTGAPAGTSMAAAAATAGATSIAGTKHQNASLSGTSTRGREFEDEETKAYMKANAARALCQLAMGNAAVCKNITESRALLCFSILLEKGAPDVKYNAALALMEICRVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVQKGEYDENLIPCITSLGCLSRTFRATETRIIGPLVNLLDEREADVSKEAAVALTKFACTENYLHVDHSKAIINAGGAKHLVQLVYFGEQVVQVAALLLVCLVAHNVPDSEDLAQAEILTVLEWASKQSYMVQDPMIDSLLPEAKIRMELYQSRGAKGYYC* >Brasy3G210700.1.p pacid=40040067 transcript=Brasy3G210700.1 locus=Brasy3G210700 ID=Brasy3G210700.1.v1.1 annot-version=v1.1 MASSKASASCGLFLALNLLLFSVTSACPSCGGGGGSGGGGGGHGGGHGGGGGGGYGGGSGGGYGGGSGSGGGSTGGGIIGGSPGGIIGGGPGGIIGGGPGGIIGGGGGSTGGGSGGGGGYGGGSGGGTSGWYGKCPTDALKLHVCANVLDLIKAKVGVPPLNDRCCPLLHGLVDLDAALCLCTAIKADVLGIKLNLPVHLSLILNFCGKGVPTGFMCP* >Brasy3G313500.1.p pacid=40040068 transcript=Brasy3G313500.1 locus=Brasy3G313500 ID=Brasy3G313500.1.v1.1 annot-version=v1.1 MAMDETMEVGIDDPLAQDSKSGIKVSVSRLRLRKLVYRIASVLAEWTRFASLLQRLSGGTRRRRRFSLAAAGERARQCSGRSRWGGLVTYAHLCDDPDDDASIPALLDSRFDADKVDALDRLLAHLFPQTPK* >Brasy3G124900.1.p pacid=40040069 transcript=Brasy3G124900.1 locus=Brasy3G124900 ID=Brasy3G124900.1.v1.1 annot-version=v1.1 MAAARGGLVLGLCTVLIVAIAVTNAEAASVVVGSAKCADCTRKNMKAEQAFKGLHVAIKCKNSNGEYESKAVGKLDGAGAFSVPLATDLHGADCLAQLHSAANGPCPGQEPSNIVPLSDAGTFGVVAATLCEPIKKHIIDHFHKKPMPPKPELKPQPQPDYHPVPPTPTYGGGHPTPIYHPPAQH* >Brasy3G080800.1.p pacid=40040070 transcript=Brasy3G080800.1 locus=Brasy3G080800 ID=Brasy3G080800.1.v1.1 annot-version=v1.1 MVAAMATRLRLLAAFLLLVVVFAAGRAAAAREGDGCSAAGDCGVGLHCAACGDGEAKICARASPIDPLTHGTGLPFNNYSWLTTHNSFALAGAASATGAALIAPANQEDSVTAQLKNGVRGLMLDTYDFNNDVWLCHSVGGNCYNVTAFQPAINVFKEIQTFLEANPSAVITVFLEDYTATGSLPKVFNASGLMKYWFPVAKMPKSGGNWPLLKDMISQNERLIVFTSKKSKEASEGIPYEWSYVVESQYGNEGMVEGKCPSRSESLAMDSKSQSLVLMNFFTTNPSQTGVCGNNSVPLVSMLKTCHDLSGNRWPNYIAVDFYMRSNGGGAPLATDVANGHLVCGCDNIAYCKSNSTLGTCVIPPPPPPSPPRAHTKGTSPSGDSSAATTQFPLFRWSFFFGLSYLVLLFLS* >Brasy3G080800.2.p pacid=40040071 transcript=Brasy3G080800.2 locus=Brasy3G080800 ID=Brasy3G080800.2.v1.1 annot-version=v1.1 MATRLRLLAAFLLLVVVFAAGRAAAAREGDGCSAAGDCGVGLHCAACGDGEAKICARASPIDPLTHGTGLPFNNYSWLTTHNSFALAGAASATGAALIAPANQEDSVTAQLKNGVRGLMLDTYDFNNDVWLCHSVGGNCYNVTAFQPAINVFKEIQTFLEANPSAVITVFLEDYTATGSLPKVFNASGLMKYWFPVAKMPKSGGNWPLLKDMISQNERLIVFTSKKSKEASEGIPYEWSYVVESQYGNEGMVEGKCPSRSESLAMDSKSQSLVLMNFFTTNPSQTGVCGNNSVPLVSMLKTCHDLSGNRWPNYIAVDFYMRSNGGGAPLATDVANGHLVCGCDNIAYCKSNSTLGTCVIPPPPPPSPPRAHTKGTSPSGDSSAATTQFPLFRWSFFFGLSYLVLLFLS* >Brasy3G080800.3.p pacid=40040072 transcript=Brasy3G080800.3 locus=Brasy3G080800 ID=Brasy3G080800.3.v1.1 annot-version=v1.1 MLCSMDTMASQGTGLPFNNYSWLTTHNSFALAGAASATGAALIAPANQEDSVTAQLKNGVRGLMLDTYDFNNDVWLCHSVGGNCYNVTAFQPAINVFKEIQTFLEANPSAVITVFLEDYTATGSLPKVFNASGLMKYWFPVAKMPKSGGNWPLLKDMISQNERLIVFTSKKSKEASEGIPYEWSYVVESQYGNEGMVEGKCPSRSESLAMDSKSQSLVLMNFFTTNPSQTGVCGNNSVPLVSMLKTCHDLSGNRWPNYIAVDFYMRSNGGGAPLATDVANGHLVCGCDNIAYCKSNSTLGTCVIPPPPPPSPPRAHTKGTSPSGDSSAATTQFPLFRWSFFFGLSYLVLLFLS* >Brasy3G278200.1.p pacid=40040073 transcript=Brasy3G278200.1 locus=Brasy3G278200 ID=Brasy3G278200.1.v1.1 annot-version=v1.1 MDKLSSEIKLRVDTFEKAVEDVFNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDFFLYVKQDLELLQKLANVEELDKAVLDETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYKGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNLSINVKKTADRGELAKAEAEKHIEYAGESSKSSQPKPVRSLLTYMQSSSCEE* >Brasy3G075900.1.p pacid=40040074 transcript=Brasy3G075900.1 locus=Brasy3G075900 ID=Brasy3G075900.1.v1.1 annot-version=v1.1 MKNKFICSFAAKQIFICLLLSSLADTKRDSFTAGGKRSWFVMLDNSHVVVIWKQQNAAGVAVVTGLGLLLLMHPDVKLLHFCL* >Brasy3G121800.1.p pacid=40040075 transcript=Brasy3G121800.1 locus=Brasy3G121800 ID=Brasy3G121800.1.v1.1 annot-version=v1.1 MEEYLANMKTLRCYMNDLEEEAAKRSAEEQQQRTAIDAHDSDIAKVRAQAKQVSDDAERLAKARAQVFVEMAEKQGRIAALEIECSTLKQTLELLHQEITSTSTKLSENRLFYSKTTEVLTSKLREHQEWLDSFKNKMVAIPLVGGSESMQNCVEGKRYEMLNSEGCIDKETDMGSKQGELRIQLESAQLKTEDIKAKRSQLLLEISKSKQILEQEKNIIASFPAALQEMDMKSLEEECKALQGDKAGEVEFFQTLEERINEMKGVSDPIKCNCGLEYKVELSGETMSIS* >Brasy3G001800.1.p pacid=40040076 transcript=Brasy3G001800.1 locus=Brasy3G001800 ID=Brasy3G001800.1.v1.1 annot-version=v1.1 MSPIHTTWEATKRPWTRQSRSCTRLQPGDLLPWITSRIRVIVCLIRTSPRREGNVRALVFACCSSTLHAHNAETLDCRMHCAILNELCPSQQVTWLPRLANQARSMSLVNRKYLYQLEKFRNRHTSTE* >Brasy3G054700.1.p pacid=40040077 transcript=Brasy3G054700.1 locus=Brasy3G054700 ID=Brasy3G054700.1.v1.1 annot-version=v1.1 MVGPGHRNSHGKRYSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTDTQAKIRIGESVPGCDERVITIFSSSRETNTVEDTEDKVCPAQDALFRVHEKLITDDGPGDEENEEGLAQVIVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSHIRVLSTEHLPACAISGDELLQISGDPLVVRKALLQVSSRLHDNPSRSQHLLASSLTQPYPVGSHHGSSSTTPVVGIAPMVNPYGGYKGDMVGDWPSIYQPRREESSAKEFSLRLLCSASNVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKEFFEDPISPTIDATVRLQPRCSEKTDPESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVASEDEEMVQISGDLDVAKHALVQITTRLKANFFEREGSLSGFQPVIPYHPLPASVADESKYLGRDAKLGHDYPFSGGYRASEDMLPVDSYANYGSSQVSGGRYGTYSGRSGSSGLSGPSYLSSGKRYGY* >Brasy3G054700.2.p pacid=40040078 transcript=Brasy3G054700.2 locus=Brasy3G054700 ID=Brasy3G054700.2.v1.1 annot-version=v1.1 MVGPGHRNSHGKRYSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTDTQAKIRIGESVPGCDERVITIFSSSRETNTVEDTEDKVCPAQDALFRVHEKLITDDGPGDEENEEGLAQVIVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSHIRVLSTEHLPACAISGDELLQISGDPLVVRKALLQVSSRLHDNPSRSQHLLASSLTQPYPVGSHHGSSSTTPVVGIAPMVNPYGGYKGDMVGDWPSIYQPRREESSAKEFSLRLLCSASNVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKEFFEDPISPTIDATVRLQPRCSEKTDPESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVASEDEEMVQISGDLDVAKHALVQITTRLKANFFEREGSLSGFQPVIPYHPLPASVADESKYLGRDAKLGHDYPFSGGYRASEDMLPVDSYANYGSSQVSGGRYGTYSGRSGSSGLSGPSYLSSGKRYGY* >Brasy3G054700.3.p pacid=40040079 transcript=Brasy3G054700.3 locus=Brasy3G054700 ID=Brasy3G054700.3.v1.1 annot-version=v1.1 MVGPGHRNSHGKRYSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTDTQAKIRIGESVPGCDERVITIFSSSRETNTVEDTEDKVCPAQDALFRVHEKLITDDGPGDEENEEGLAQVIVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSHIRVLSTEHLPACAISGDELLQISGDPLVVRKALLQVSSRLHDNPSRSQHLLASSLTQPYPVGSHHGSSSTTPVVGIAPMVNPYGGYKGDMVGDWPSIYQPRREESSAKEFSLRLLCSASNVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKEFFEDPISPTIDATVRLQPRCSEKTDPESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVASEDEEMVQISGDLDVAKHALVQITTRLKANFFEREGSLSGFQPVIPYHPLPASVADESKYLGRDAKLGHDYPFSGGYRASEDMLPVDSYANYGSSQVSGGRYGTYSGRSGSSGLSGPSYLSSGKRYGY* >Brasy3G054700.4.p pacid=40040080 transcript=Brasy3G054700.4 locus=Brasy3G054700 ID=Brasy3G054700.4.v1.1 annot-version=v1.1 MVGPGHRNSHGKRYSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRTDTQAKIRIGESVPGCDERVITIFSSSRETNTVEDTEDKVCPAQDALFRVHEKLITDDGPGDEENEEGLAQVIVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSHIRVLSTEHLPACAISGDELLQISGDPLVVRKALLQVSSRLHDNPSRSQHLLASSLTQPYPVGSHHGSSSTTPVVGIAPMVNPYGGYKGDMVGDWPSIYQPRREESSAKEFSLRLLCSASNVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKEFFEDPISPTIDATVRLQPRCSEKTDPESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVASEDEEMVQISGDLDVAKHALVQITTRLKANFFEREGSLSGFQPVIPYHPLPASVADESKYLGRDAKLGHDYPFSGGYRASEDMLPVDSYANYGSSQVSGGRYGTYSGRSGSSGLSGPSYLSSGKRYGY* >Brasy3G008100.1.p pacid=40040081 transcript=Brasy3G008100.1 locus=Brasy3G008100 ID=Brasy3G008100.1.v1.1 annot-version=v1.1 MWKVIQGVWLEMMCFSAGRCRGYLHAKSLGCGGEYLSYVWLALAHSGMETFPERLQRTKKLHFSKEKTKHLPNRSKDDAAPSTSHGMELPNEEKRVADQSASRGTEEVITEAPSASRDDRTVEVVISQ* >Brasy3G033500.1.p pacid=40040082 transcript=Brasy3G033500.1 locus=Brasy3G033500 ID=Brasy3G033500.1.v1.1 annot-version=v1.1 MEVVVSAIIGELISRFISFLIKNNTNQACPEEKLERLRHLLLRVHSVVEEAEGRYITNTGMLVQLNLLVSYSLLDTIKYRPHEKITSQQDQVTRNGIQSVLENLETAVTNMTEFVILLAGCERMYRTPYDSYLYIDNFMFGRAVQKQQIANFLLQDVSPTGAPAVLPIIGGYLVGKKTLVGHACQDERVLSHFPSILHLAADDIWRKGHQLLCFTEPGRILVVVELFSDVDDDCWEQFYSAMTSMCRGSKVVIISKLEATARFGTVKPLRLKNLPHEEYVYFFKVLAFGSANPDDYPQLASIGIETATLLHGGLITAHSKKFVATCVEQKPASTSSSSKKRRQFEI* >Brasy3G012700.1.p pacid=40040083 transcript=Brasy3G012700.1 locus=Brasy3G012700 ID=Brasy3G012700.1.v1.1 annot-version=v1.1 MMLRASIRTERHTKREEENLVKNEAFAMLEGGGDPIEGRKTQSEIIWNERSFRGLLARCPRPAVAATVPATPSATSRCHSHARRRTPAPAPPCAVRAAAAPARRCPRHRAPRHTRRLELPPPRCPGRARPRRAAPATPAPARPARLAPTNGPPRAAQAATERTRHALPLAVPLAVAVDALPPAVPLAAAVDELPDVILAPRPLILVGPLVQIEDHDAAST* >Brasy3G155200.1.p pacid=40040084 transcript=Brasy3G155200.1 locus=Brasy3G155200 ID=Brasy3G155200.1.v1.1 annot-version=v1.1 MMLSDLSSDQEATGSNSHGGGGGDVVLSNQHVLLSPLFAPAEHQQALLLRPAPQPEQQQQPMAGGKRKRSQPGNPDPGAEVIALSPRALVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRGLQAPHSNKQQLGLGGGGDGPRKRVYVCPEPSCVHHDPARALGDLTGIKKHFSRKHGEKRWKCERCGKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARILAAANNSSTSNIINNNSVSSSSNNLLSPPPLFPTFSSHAHQNPNPLMFHPQEPHNYHHQQQELLPPFQPLYTYLDHVDLPMSAAITSGVSAFDADRDTLSFGLIPEGSVTMHAGGRRRLTRDFLGAGEVVEDQLQMPLCSAAAAYQGRPVATAVCCATDLTRQYLGRLPPASETWSHNF* >Brasy3G024200.1.p pacid=40040085 transcript=Brasy3G024200.1 locus=Brasy3G024200 ID=Brasy3G024200.1.v1.1 annot-version=v1.1 MEDKNHDVDFALICAKFLYFCCMYDLPSWFVILVGQ* >Brasy3G190000.1.p pacid=40040086 transcript=Brasy3G190000.1 locus=Brasy3G190000 ID=Brasy3G190000.1.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKGTFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTASLLPLTVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLRDYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRQQSRHEHTSRE* >Brasy3G190000.3.p pacid=40040087 transcript=Brasy3G190000.3 locus=Brasy3G190000 ID=Brasy3G190000.3.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKGTFFITTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTASLLPLTVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLRDYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRQQSRHEHTSRE* >Brasy3G190000.4.p pacid=40040088 transcript=Brasy3G190000.4 locus=Brasy3G190000 ID=Brasy3G190000.4.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKGTFFITTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTASLLPLTVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLRDYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRQQSRHEHTSRE* >Brasy3G190000.5.p pacid=40040089 transcript=Brasy3G190000.5 locus=Brasy3G190000 ID=Brasy3G190000.5.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKDRRRINSHERTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTASLLPLTVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLRDYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRQQSRHEHTSRE* >Brasy3G190000.6.p pacid=40040090 transcript=Brasy3G190000.6 locus=Brasy3G190000 ID=Brasy3G190000.6.v1.1 annot-version=v1.1 MAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKGTFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTASLLPLTVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLRDYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRQQSRHEHTSRE* >Brasy3G190000.2.p pacid=40040091 transcript=Brasy3G190000.2 locus=Brasy3G190000 ID=Brasy3G190000.2.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKELSRIGSRP* >Brasy3G190000.7.p pacid=40040092 transcript=Brasy3G190000.7 locus=Brasy3G190000 ID=Brasy3G190000.7.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADVSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENQKDLNYDQIDNLSISNLGKGSKRFWAHIGMAYVFTFWTFYMLYHEYKVITTMRLRFLANQNRRPDQFTVVVRNVPPDPDETVSQHVEHFFAVNHRDHYLSHQIVYNANDLAGLIEKKKGLQNWLVYYENQHAHNPAKKPTMKTGLWGLWGQKVDAIEYYREAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLEDIERRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRKTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKDRRRINSHERYIFHHVRNG* >Brasy3G035200.1.p pacid=40040093 transcript=Brasy3G035200.1 locus=Brasy3G035200 ID=Brasy3G035200.1.v1.1 annot-version=v1.1 MEFQRKPLSLLELCIRTVIDNLRYVSSMDGVEMQLLKRILPHCTLDQLTRIESCTEMDISPATDVLWKTFYQRQFGEDHTNNVVKKMKQSGVNYKWKDLFNAKTEKQKELEEIMIQRLAKKYQAEKAEKQSKQIKLCTKVPPSSKRSFFGGSGPSYLSNSSSSYKSPILKKARMEVNSQAKMQAAIQRNTLARFVTLPYAASHFTRIFPENFRQIISVNKDDLSQWTANKDDNYSPTQFYDNHHQTNWFEQADAKAEHQKILEGDCHGLMLSTPEPAMIAQKSSLLWTSWCYFYHFIKFCVP* >Brasy3G035200.2.p pacid=40040094 transcript=Brasy3G035200.2 locus=Brasy3G035200 ID=Brasy3G035200.2.v1.1 annot-version=v1.1 MEFQRKPLSLLELCIRTVIDNLRYVSSMDGVEMQLLKRILPHCTLDQLTRIESCTEMDISPATDVLWKTFYQRQFGEDHTNNVVKKMKQSGVNYKWKDLFNAKTEKQKELEEIMIQRLAKKYQAEKAEKQSKQIKLCTKVPPSSKRSFFGGSGPSYLSNSSSSYKSPILKKARMEVNSQAKMQAAIQRNTLARSSQSTRTTSPNGQPTRTTTIHRPNSTITITKPTGSNRPMQKQNTRKF* >Brasy3G086000.1.p pacid=40040095 transcript=Brasy3G086000.1 locus=Brasy3G086000 ID=Brasy3G086000.1.v1.1 annot-version=v1.1 MNLMSCPVVSSSGVTVWLAVEESIRHRRVCTCKMFGVCSQRSRRVRHLVGFAKKRRRSKRQQPWWKEWFSDWNDEEYNLAGLREDDELFQEVVNDEDLSENEKFEMWKSKAEAIVELREAQLDAENAEGRSWEDWIGWSSTEGGGDWGGAGSLSDQISDDPTEIVRDKGIIETFKNSIDEDYNDMLFEDQVFIYASTNSAKFLALLIVVPWVLDFLVHDYVMMPFLDRYVEKVPLAAELLDVRRSQKLQMIKDLNIEKARFRFEVEIGKSPPLSDEEFWSELREKAVELRDEWRLENRQAFANIWSDMVYGVTLFLIMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSEAGWHSLVEIILEHYGLETDQAAVTFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIRRH* >Brasy3G100700.1.p pacid=40040096 transcript=Brasy3G100700.1 locus=Brasy3G100700 ID=Brasy3G100700.1.v1.1 annot-version=v1.1 MAPRCSNTLFAAFNIVTLLLGAAVLATGIYIGATHGAAASDCERLLRTPALILGAAIILASLAGLAGACCRASALLWLYLFLTGILILAALCFAAFALVVTSAGAGEAVSGRGFREYRLGDYSSWLRRRIEDGGNWARIRSCLVKAWVCRASLQSNQALDEFVNANLSPVQT* >Brasy3G149200.1.p pacid=40040097 transcript=Brasy3G149200.1 locus=Brasy3G149200 ID=Brasy3G149200.1.v1.1 annot-version=v1.1 MGNCIPCSPCVQSCWQCCCPCLSCCCPSSGGAACPISPSFNCGCCPAIHLKPCPERINVSCCDGNGLPPRKDA* >Brasy3G350000.1.p pacid=40040098 transcript=Brasy3G350000.1 locus=Brasy3G350000 ID=Brasy3G350000.1.v1.1 annot-version=v1.1 MVSPLVRPLPLVVLTPAVLRPVFLTPVPSADEATCSQRFVLAHILRGSADPHPLPFRDGPASHSSSGREPRVHVLSVSDASRHRPPTSTAPPLGGRLHLDARLPLFSRPSISVHAPAGHHSRSDGSRRSTTGRPHSPLYSRPVQSSKSRALHTSTLSVQFQVFLPFWSNRD* >Brasy3G274300.1.p pacid=40040099 transcript=Brasy3G274300.1 locus=Brasy3G274300 ID=Brasy3G274300.1.v1.1 annot-version=v1.1 MHFRYFGSRHVWPLHADIKQVCAIADSKRHGYLGFDMLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQKYTGLL* >Brasy3G274300.2.p pacid=40040100 transcript=Brasy3G274300.2 locus=Brasy3G274300 ID=Brasy3G274300.2.v1.1 annot-version=v1.1 MHFRYFGSRHVWPLHADIKQVCAIADSKRHGYLGFDMLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQ* >Brasy3G274300.6.p pacid=40040101 transcript=Brasy3G274300.6 locus=Brasy3G274300 ID=Brasy3G274300.6.v1.1 annot-version=v1.1 MHFRYFGSRHVWPLHADIKQVCAIADSKRHGYLGFDMLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTV* >Brasy3G274300.7.p pacid=40040102 transcript=Brasy3G274300.7 locus=Brasy3G274300 ID=Brasy3G274300.7.v1.1 annot-version=v1.1 MHFRYFGSRHVWPLHADIKQVCAIADSKRHGYLGFDMLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTV* >Brasy3G274300.5.p pacid=40040103 transcript=Brasy3G274300.5 locus=Brasy3G274300 ID=Brasy3G274300.5.v1.1 annot-version=v1.1 MLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQKYTGLL* >Brasy3G274300.3.p pacid=40040104 transcript=Brasy3G274300.3 locus=Brasy3G274300 ID=Brasy3G274300.3.v1.1 annot-version=v1.1 MLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQ* >Brasy3G274300.4.p pacid=40040105 transcript=Brasy3G274300.4 locus=Brasy3G274300 ID=Brasy3G274300.4.v1.1 annot-version=v1.1 MLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVVAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQ* >Brasy3G175100.1.p pacid=40040106 transcript=Brasy3G175100.1 locus=Brasy3G175100 ID=Brasy3G175100.1.v1.1 annot-version=v1.1 MINFHSAKSYIASVLCAQFSCEVIACWEFLRLQQTEFYRVKGAAVSLLLVYLNFLQSVNYLEVVQCFYLISVPVEF* >Brasy3G260200.1.p pacid=40040107 transcript=Brasy3G260200.1 locus=Brasy3G260200 ID=Brasy3G260200.1.v1.1 annot-version=v1.1 MEGAGEEIMARRLWAELPVRVDWAAVAAQCAWAWAQARAFLVVPAVRLLVFVSLAMTVMILLEKLFVAAVFLSVKAFRRLRPERRYRWRPIAAAGDGEDEEAGVGASGSAAFPVVLVQIPMYNEREVYKLSIGAACALEWPSDRVVIQVLDDSTDPVVKDLVEIECQRWKGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQECEYIAMFDADFQPETDFLMRTVPFLVHNPEIALVQTRWKFVNSDECLLTRFQEMSLDYHFKFEQEAGSVVYSFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRTALQGWKFVYVGDVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMLMEILENKKVSFWNKIHLLYDFFFVGKIAAHTVTFMYYCFAIPLSVFFPEIQIPLWGVVYVPSVITLCKALGSPSSFHLVILWVLFENVMSLHRIKAAVTGLLDAGRVNEWVVTEKLGDASKIKPTTDVLDAVKVIDVELTTPLVPKLKKRRTRFWEKYNCSEIFVGTCIIISGCYDMLYAKKGYYIYLFIQGIAFLVVGFEYIGTRPPRTE* >Brasy3G171600.1.p pacid=40040108 transcript=Brasy3G171600.1 locus=Brasy3G171600 ID=Brasy3G171600.1.v1.1 annot-version=v1.1 MTGDESIADLEAMLTSLAANRRFLLVLDDVWHGGLWDDVLRKPLSGGHGGKVLVTARHGKIAREMGAGHVHRAKKLSADEGWLLLRTAACVTNDDDANELRSVGEKVVEKCGGIPLAIKAVAGILRTREASDSEWAVVLASPAWSVKGLPEDALKPLYLCYDGLPCHLKQCFLYCGLLFSPDFAVERRLLVQQWIAERLVQIRSDACVQEVAEEYYDELVERNLLQPAEEDDGWCTMHGMLHALARLLLESEAFTDDAQRLLPDDGDDKSFVVRLVSLPGRNMAAIPERILNSEEIRTLLLPKNPLTTEGKIFTRLHHLIVLDLSETGMELIPETVGNLVQLRFLNLSRTRIQAVPESIGNLCSLKFLLLRECKSLHALPKGIEHLKALRDLDLAGTVINAAAFRVGQLTSLTSLRCFAVTRKDARAAPGMCQWPLAELKHLCQLRTLHVQKLEKVVDRPEAAEAALAGKTSLRELALSCSGTVLPLQTRTVVSKIEDVFEELNPPEGLESLKIANYFGAKFPSWLSATFLPNLRHLDIIRCSFCQSSPPLSQLPELRSLCIADSSALKFIDVEFMGTPYHHQVPFPKLENLRLQGLHKLEKWMDIQAGALPSLQAMQLESCPELRCLPGGLRHVTSLMELCIVDMAILEAVEDVAAVRELSVWNIPNLKKISSMPSLEDLSISHCPVLQIVENVDRLRAVHIFDHERQEIPRWIGVLATKLRTLDVTGTIKLLKRCLVDGPDWPLIKDIVQVHGKTSDSGYIFYSKSPYIFESNVSTQGNLEMEGKTADSDNADEELVENINANQDSPETSGFFDSKSVKRGVTGTDGDVMHRNTERTLPRNSRRRMHRLAEVVPEDGEAEEGAASCVLFAAHPTKAQAVVEKLRAVVTDDHSDNNDTGLLSKVNPHETTTDATSSVLTRPRWRKIGKDVPSDAGTGADASANKSAAAIGHSLVCEGFRAIKITDTDKKLNSLRCKEPTLNKGDSSADTSKQTRRVHYGANKVQTQVKSDSKGFGVDRTENSSPAILARSRQVTSNEGKDAHGAIPSPSTVNQKNVDKINEIATSAPASRNAPKISENPSDKETLKSTGTTNSSLIREGHQMASTKSIQDSGARLLHVEQRLSGEGKEATNADKDSTCTAADNIGDHMEGKSISVPAMSDPELPASLARSKQRTLKKQEADPSDGTDTLIKKIPVMASKISEKVTCKCKAESEKYPSTVASTNTNSLSMHCAIIGTPEATMKTEATNGRAIDVESHHAPKVYTAIWADTDTDTLRARLLSSMQHYRRMASRRRRHRKHGSQKAWSIGPVLVVVLLLVSLVQLLFIVWLYRSLQNQK* >Brasy3G214400.1.p pacid=40040109 transcript=Brasy3G214400.1 locus=Brasy3G214400 ID=Brasy3G214400.1.v1.1 annot-version=v1.1 MGRWLSSRKSKGTKWGSSSHRHGQGDKDFRHRASPARLMKLYPHLTAGQRELIEGIGFGGLLKLRCPTLPAKLCTWLLRRFDADSGELIPVTADSVHRVLCIPKGGREVVYGLDEDSISFVLDKYGVSSSMPSIASLEKSIKLTKQADEHFLRTFMMIALSTFLCPNSGLKVSPRCFPSLVDIGAIGELNWKCVSSYGKKNSVGGCLFFLVILYLDSLDTRDLEIPNETPRVSVWNRELIDKVMVMDMKNNSSFGKCCAITRDVNSRGTSSASVLLGDVAVIANFVSSNVLPGYCPKNKEVLCNATSNLCSSITGALSRFIREVSGLEGGSREADKDSTRAPTVEHDNVNNDGDLMDVDTLPDDSSERATKDMEDASVDECEDENSESDAGDSSSGDSEDDPDWGSNRVKRIHSQKNIVTRNSNKSKEPRDGKNRHTEETSKGSGHDSDTPEGDESRMSQCNQENPDVEKLKSAIVHEDVVPTTTVSQARSTQLKNSEKRCFVEDKMAIQPANPLQPEPSAMMVYDKGSMQQKKSSCVEDKMAIQPVSLLLGGPCAMMLYDEGSPSKGDRNMDKTPITNFFEGTHTASAPSQGQQQIFLLLRALRSPRDWQLSG* >Brasy3G109800.1.p pacid=40040110 transcript=Brasy3G109800.1 locus=Brasy3G109800 ID=Brasy3G109800.1.v1.1 annot-version=v1.1 MPPKQAAPASAWSSGTIFTASSERVDSISMSPHKWLSSWSNNTDRRSRTPVSHRRRDAVAPAPSAPLASCEILPPPPPLPVHGPLPQRLDLAAVPSTLLPTPPTATVLPPTSPAKSTSESSEDPLAELMALEQLDDICWPLRNIDPMVYEVDAFCDKMTASPLTFPAPESGKPGPGGTFCPSPLGLSSPARGEHAPPSAAVTNDAAAPQDPPAFDDLVRSLFSAPTASILGVSPPTAAPVVASPTPRRSARQASKVSSTPVAQRATIRLAKELAVINQDEKGADAAATALVQRFREPLSDVDIDGLAVLTRLDRDAIHRAAAKASAPSAAATAT* >Brasy3G010700.1.p pacid=40040111 transcript=Brasy3G010700.1 locus=Brasy3G010700 ID=Brasy3G010700.1.v1.1 annot-version=v1.1 PTAADQEPTPPPSRRRRRRRNDAVHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPRLRLLDAGGCRTSRAIGKRFVERCKGSIKELRMPRFGGGRCGCCTRYAQRYADQHDE* >Brasy3G199600.1.p pacid=40040112 transcript=Brasy3G199600.1 locus=Brasy3G199600 ID=Brasy3G199600.1.v1.1 annot-version=v1.1 MHPVSCALLLTSPGELSHIEQSERATVAAQDTPLVNLTVIGLQHGVVHVRSLGISVEHMVDKTWQAEPEHVGRRHREWLLGVREMEHVPEAGSEHKHVGGAWREAEILVEQPREVALEGRPDEIHGDAPRRHGGVSLWRRNSSGSAAAAAAGSRRRRSSASTSSRACESPATMTQEPLSRSEASSRDRRAKPQVAASEGGHDQARPPSASAQDTRCRNGMQESEEEEGAAGWSGWKKEETRRWGSEGRYGERWTPTARETNSAPEKKSTLLRLRCQPASPMGTNSTTQAASTPTCAPAETMDRPDRRQASQAFLRAATPRSTRSGPEVRRAGEGSARGGEVGDDGVVAWCGLVEWWWGWLRR* >Brasy3G055000.1.p pacid=40040113 transcript=Brasy3G055000.1 locus=Brasy3G055000 ID=Brasy3G055000.1.v1.1 annot-version=v1.1 MAATLFSTSLSPQFLSFTTSSSSSKPVPSAFFPSKLPQLRALAAAGWRQPLAPLAVALSSDVETDDAEESAGEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSTVEEVEEAVEQLNGYVLDGRTIKVNSGPPPPRDQSSPRGFREQSSGGFRQQSSRGPSGGDNRVYVGNLSWNVDDSALANLFNEQGSVLGARVIYDRESGRSRGFGFVTYGSSEEVEKAVSNLDGTDLDGRQIRVTVAEARQPRREY* >Brasy3G238900.1.p pacid=40040114 transcript=Brasy3G238900.1 locus=Brasy3G238900 ID=Brasy3G238900.1.v1.1 annot-version=v1.1 MWALEARWLAPRLVRRTEVRALEAPRSVRRTEALPPGACRPPVLRPPRRRGIPARRLLLRSQRAAVRRLESASAVPTAPHLHVRPSGTKPVVLEVPQHWGP* >Brasy3G129500.1.p pacid=40040115 transcript=Brasy3G129500.1 locus=Brasy3G129500 ID=Brasy3G129500.1.v1.1 annot-version=v1.1 MAPPAASTLPLCAGSFLLPWRNPASVCVLTRSPLLKVAMPQPCLHRFAPASRRKCLASSGGGRQGLRTSQYQFDDDEPLWLAVVRDFAAGLRSLVAFLAEQPRQLKHLEWPGFQNTLRTATLTLILVVVFIVALSSVDAALSYILSWLLRKSA* >Brasy3G129500.2.p pacid=40040116 transcript=Brasy3G129500.2 locus=Brasy3G129500 ID=Brasy3G129500.2.v1.1 annot-version=v1.1 MAPPAASTLPLCAGSFLLPWRNPASVCVLTRSPLLKVAMPQPCLHRFAPASRRKCLASSGGGRQGLRTSQYQFDDDEPLWLAVVRDFAAGLRSLVAFLAEQPRQLKHLEWPGFQNTLRTATLTLILVVVFIVALSSVDAALSYILSWLLRKSA* >Brasy3G147900.1.p pacid=40040117 transcript=Brasy3G147900.1 locus=Brasy3G147900 ID=Brasy3G147900.1.v1.1 annot-version=v1.1 MWKLPMFGCTDATQVLKELEEVKKEYPDAYVRIIGFDNTRQVQCISFIAFKPPSCEESGKA* >Brasy3G074500.1.p pacid=40040118 transcript=Brasy3G074500.1 locus=Brasy3G074500 ID=Brasy3G074500.1.v1.1 annot-version=v1.1 MGCVSSKQFHGGDERGGEGKPRRRPSSNSLRRLVSYSSSKRHEELEEEDEEAGVVAATSSSVGHRVGKDASTTRLIRKPPAPVVDAVVALPEEEAAAAAVAKATAVGVVDVERAVAASGNRRRAPNGVAEQEPSRSGGIRSEAKPRIADVPNGVLTEHVAAGWPRWLTEVATEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTARMSSSLYLVFEYMEHDLAGLAATPGIKFTEAQVKCYMQQLLSGLDHCHNRGVLHRDIKGANLLLDNNGALKIADFGLATFFNPNQKQNLTSRVVTLWYRPPELLLGATNYGATVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWVNLKLSRATIFKPQHPYRRAVNDVYKDFPPPALTLLDRLLAVEPDNRGTAASALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESETGRRKQFAAPNGNNELQHRRGQVNSKGSSTKLIPKEDAVTGFPMDAPGGAADPRRVPLMNAGRSSSTLGRSSGTDPNAQRFYTSQIAAAEMSNPSTGTGQRGNSAKLSNLGDSARKHYLREHRSSSRYSQLTGPDPSDKPKWSQSHQFQERPSSSHRKDDVVADKEPTAANGTKKNRIHYSGPLMPPGVNMEEILKEHERQIQQAVRRARLDKGKGKHAERDQQESLLYAAQNGRS* >Brasy3G044000.1.p pacid=40040119 transcript=Brasy3G044000.1 locus=Brasy3G044000 ID=Brasy3G044000.1.v1.1 annot-version=v1.1 MAASSSFLLLAALLAMISWQAIASDPSPLQDFCVADKDSPVLVNGFVCKNPKDVNADDFFKAAELDKPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPVGLIHFQFNPNPHVPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY* >Brasy3G069600.1.p pacid=40040120 transcript=Brasy3G069600.1 locus=Brasy3G069600 ID=Brasy3G069600.1.v1.1 annot-version=v1.1 MPCGRLSAFRYSPLSVIPFKNYICWVDYYVGGVLFFDVFEGSPKLSYLQLPISGRPHYSYDFKSYIQMYRSVCVTGDGNVLKFVHVDREDGMFGGPLTPSSGFTITSHVLNITEGGKMAWSDDAYIESHKVWPSICANSSSAPEDILTFPLVSMEKADQLHFLFSEPMDDDIEKVSVVTIDMNTETLVSFHPYIKGGGEDDSLMEKDRLRKSFLPTKFSPPPLHQGQIKGRDEL* >Brasy3G335200.1.p pacid=40040121 transcript=Brasy3G335200.1 locus=Brasy3G335200 ID=Brasy3G335200.1.v1.1 annot-version=v1.1 MEFTLIFWGGSGIQDAFSVSSQLFVCVWTVEAWIKLLSLVIEQMVLKERKGRPKVEAVRFRQKDVDNAHESPTMESKSEPIVTTHDLLNCHLSLCCCAAASYYSPLDVAGRSSMRVEGVSTKSGN* >Brasy3G078100.1.p pacid=40040122 transcript=Brasy3G078100.1 locus=Brasy3G078100 ID=Brasy3G078100.1.v1.1 annot-version=v1.1 MRGGKVQINWHDRQPVLTVDFHRVSRRLATGGGDHVIKIWEIASDGLGKKPLSVSHLSSLFGHRSAVNVVRFSPCGNYLASGADGGGITLWKLHITDDGESWKVHRLLVSHCNDVLDLQWSSDGAFLVSASADNTCVIWNHTCAVEQILQGHSHHVQGVAWDPLGQYKATLSSDRTCKIFANKPQGKSTNVEKINFLCQHTLVKAEDQNDSKPRVKTHLFHDETLPSAYRRLAWSPDGSFVVMPAGLGKYSSEVINTAYVMSRRDLSRPEIQLLGAKKAVVAIRFCSVSFSLHESESDNLFKVPHRAVFAVATLDSLYVYDTETFSPLQTLTGLHRGAITDIAWSSDAKYLALSSQDGYCTFFEFKNEELGQPHILPGIKEPAKMTRHQSIPFSAKKIQIVETKDLGKRGKFSMSKPANIDPQLSTEVFLDLDHNPDHIYRLEVMKKCSHRNVLRLEGIIAIGPEKSIGVLVEPYSGLLWTYISKNMDLADLLNVVPSVDLQNIIRQVVEGFAELNSKDFHHGNFSLKSTCYKMVDGHYVVKLANFIKKALLAQNEAQLEDWRTLGVQLEAISKLANSNNAICNSALIVDLANTLKGIPNM* >Brasy3G141800.1.p pacid=40040123 transcript=Brasy3G141800.1 locus=Brasy3G141800 ID=Brasy3G141800.1.v1.1 annot-version=v1.1 MAGILGSAVASESVSKIFSVLFGNPREPAGSAEDNAERLELAVLKIHSVVAVSEDWQAQLKCLAKEGDAMLRAYKTRSSMKKASSVRQRVAGAAKRFMPFRRRAADDPNDAAVRRFERLANADEFFRYVQLGGRPKSLTVVCFRIPTEELLAGKTLELSLRNGSEEAHLLLHPCDMVEAGGPKEIVLFLSCDHTTGMAWEKNVKLCVVFQLTEHTDILGIVMSSLELLPPQFGAACVTIRELARTILLTQDSGCRDASAMSVWSGATAWKCHRYSTDKGFLNGKTQLPSPIIRVAVLRITNDSSDRAADHGMPLKLMGHVAPDLVPETYSRQYQQIEVETLQKLLPAVTDEGAVACKGDWWCPRSSTYLSVEPELSMPPPTLKQLYLIENSEGAV* >Brasy3G302000.1.p pacid=40040124 transcript=Brasy3G302000.1 locus=Brasy3G302000 ID=Brasy3G302000.1.v1.1 annot-version=v1.1 MEFRARLFTAAMVAAAMIILSSSPALAQKAKAKSPPAPPADILPPSPAPAPSPHIVNLADLLSVAGPFHTFLDYLEKTDVLKTFQSKANDTKETAEGITIFVPKDSAFSALRSTTFANLTGDELKSLVLYHALPKYYSLAEFNKLSSLNPVPTFAGAQYTLNLTDNMGSIRVKSMWSDPKISSSVYSTRPVAVYEVDKVLLPMQIFKSDPPLAPAPAPAPVDAKADDDAALSPASGKAASAKAKAGEKSAASQGAGGGYLAVVAAGGVMMMMLLW* >Brasy3G348700.1.p pacid=40040125 transcript=Brasy3G348700.1 locus=Brasy3G348700 ID=Brasy3G348700.1.v1.1 annot-version=v1.1 MNSRRDFRSHRAALFDGIEEGGIRGSAYSSREIHEHENDQAVDNLHERVSILKRLTGDIHDEVENHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMATMVASFVAAFLLLYYLTR* >Brasy3G239900.1.p pacid=40040126 transcript=Brasy3G239900.1 locus=Brasy3G239900 ID=Brasy3G239900.1.v1.1 annot-version=v1.1 MQAAVAFSQASFLARPLRRLPRPYHAWGGASLTQLRCARCFASLSVGAGNYGGGHAPVFPRLKTWDPYKLLGVDHDASEEEINSARNFLLQQYAGYEESEEAIEGAYDKIMMKSYSHRKRSKINLKSKLRKQVEESPSWVKTLLGYFEVPSMDVLSRRLALFAFIAGWSIATSAETGPTFQLALSLASCIYFLNDKMKNLARASAMGFGVFVGGWIAGSLLVPVIPSFVVPLTWSLELLTSLVVYAFLFFGCTFLK* >Brasy3G123800.1.p pacid=40040127 transcript=Brasy3G123800.1 locus=Brasy3G123800 ID=Brasy3G123800.1.v1.1 annot-version=v1.1 MAAEIFELSNGRITAKIANWGATIISLLVPDAQGDLTDVVLGFDTLEPYLKGISPYFGCIVGRVANRIKNGKFTLNGVEYSLPINNGPNCLHGGLRGFDKVVWDVVERKDGKYPSITFQYHSKDGEEGFPGDVVVRATYSLPEPTTLRLDMEAIAENKATPISLAQHTYWNLAGHNSGDTLDHSIQIWGKHYTPVDENSIPTGEIVPVKDTPFDFTIEHKVGARINDVPRGYDHNYVLDCGDEKNSLKLAAKLKDPSTSRTLNLWTDAPGMQFYTANYVDGITGKGGAVYEKHSAVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA* >Brasy3G338000.1.p pacid=40040128 transcript=Brasy3G338000.1 locus=Brasy3G338000 ID=Brasy3G338000.1.v1.1 annot-version=v1.1 MWGAKSGPTWGKRSTRTCKLTSQELAEKLSNVQADDPDEDASQSDSVAGKKKNATSRSSAKRVAPVLGKFCDAKKKLLQQIGFEDSVHIPGINKMDRAYTTEVLMRIDCDKRELNIDANTKIKLVETDVKRVFGCPNGNKKVSGLESDTPEDALDFIRLCIGTGAMDAYNSLEAAERNITREYSLTMSRQEAENFQVSFVVWIMNRFYAPSCQPNSGYKTFWGALLDPDEIAGYNWCGYILDCTIEAARKAQYDMAAKKCVSNVGGCPLFIQVLALDFIRHESSQAPPRLLPIVADYDCELMAKLIQAHRHRMASVLPKGPEDVTLAEFLTEVGNAKIDLQSKVALRRHMAQLLKINSQERDNLIRHAISLVRSLSAAMASNSVSTAKEEPNKWTAEGYASGSESVTDGPRDKTGGSKRKFDYSAFSAPGPSYSKRTPILPVGNLRQMAKSTTIINNDQTEQQGDSQSTGNQKEMAKSDTDLFGAGVDAPATTTINKDQTEQQVDGQSTGTLKANLGTDEDGFPSFDLGLDDLDVCIVKDALKGDNTTVGTNEPEQVQETYPNTKPYSPNTVFSQDLGPDLNQEPVHTPDAGGAAFTTPANLTKVASSNSPGTINRLYSETAVVFRLFEPEEEINLNGRSLEDEFTMSPVCKKECPPARLEKSPWSKGISHPKRETALTGKFYDWLEATTGPDINRAWIMHCTERYIEVTGFDLKNIFCRQQAMSYDLFDIAIRRICELDNRMYTNKEVNRWRHIMESDFAMLAVAGEDPTTNKAIRHQFLGKSVKHAIQACRMITVPAMVRTSWCVYFWDMKIKCVHVADPTYKTSEAASFEQIHKPNLKVLTDALSKCAKMFFDGWCPEWESWEPRYITPVSPAVQEQETGIRTLQAICDFNGSTFITDNHMVTSKDLNQWMLHEVLCLSGNCAKLPGSFVQTIDD* >Brasy3G254800.1.p pacid=40040129 transcript=Brasy3G254800.1 locus=Brasy3G254800 ID=Brasy3G254800.1.v1.1 annot-version=v1.1 MFLGAIPRKPSKEAAYKELRSHLTLMASCIVAIRAAPYILHFLTRDADIKELKLEL* >Brasy3G181100.1.p pacid=40040130 transcript=Brasy3G181100.1 locus=Brasy3G181100 ID=Brasy3G181100.1.v1.1 annot-version=v1.1 MATKRAYKLQEFVAHASDVNCAKFGKQTSRVLITGGDDQKINLWAVGKLSAILSLSGLTSPVESVSFDSSEVMIGAGAASGTIKIWDIEEAKVVRTFTGHRSNCVSLDFHPFGEFFASGFTPDGRWIVSGGADNSVKIWDLTAGKLLHDFTLPEGPVNCLDFHPHEFLLATGSADKTLKFWDLETFELIGSSGPENCREYFEPASVFRSMTFNSDGKALFCGLHESLKILSWEPIICHDVVDVGWSTLADLNVQEGKLLGCSYNQSCVGIWVVDLTRIEPYAVADSSIPSILGRLSISRIPANEISSNTLLKRSISASKEIHLPASSSVTNRLSKAPGRTDLRLTRADSPPLLSPRGKLNPKSTDDQKIKTANVRTKVYLSTSARMFTDNSQACAAPSYRPRSTTSACSSKGSSFVPVVPRHSSKVVAGPNLSEAASTDIPVVEPENLLKGRVAVDNGKEMRQCVFQSKPITSEGKFIRQTSGDGDINCFGTMCTESVVSNEVGDRYDVSDSEEIKSVVGRNPEFVDVNRTALFRLHRLVESSESSIVERRGAGPSSMLDGLPRLTKLELVYQCWQNNDIKGSVNAVQRMLDHAVTADIISVLMESTTECITLDICTSVLPLASNLLESIYERHLNIALGMILKLVKSFGPTICSTLSAAPPVGVDLEAEQRFERCHSCFQELKKVNSILISLTRRQGKVGRSARELSLFLQDIFKLPSSI* >Brasy3G172000.1.p pacid=40040131 transcript=Brasy3G172000.1 locus=Brasy3G172000 ID=Brasy3G172000.1.v1.1 annot-version=v1.1 MLPTKSPGNADHTRRMRSLLTNRWGDGAAPPMPHRRPPQALCREPSQATAGFHACEPLQPPLHSWRRAIQSAHDVESSLCTTERHRIDARAWHCCRLPSPCLVWRRRTCVAVVRKGLASSGATAGCERAVEHCCSCSACWPSSALPGQLLRHHEMCTGCQPLPCARIPLRPWPRASRRRLGRGPMPLLPGTPRGRLHHCRGCHRGARPDAGRATTLASRRSSPRARAAAAACSWARALLPPPPRARDAPAVSRTRAAGNALSAGRRHGLGRRPGAAASPCPVQPPAPHR* >Brasy3G090500.1.p pacid=40040132 transcript=Brasy3G090500.1 locus=Brasy3G090500 ID=Brasy3G090500.1.v1.1 annot-version=v1.1 MVARILTNAAASSPSPHASSPPQPPGTGAWRPPRWRRFLPVHVRWGRRRHGQQEEGGGLDLPRARRRSRGPIQDVERIRSGIADHRGGGVEEGRRGGVADRFRWRRRPISGGSSGRFQAAPSEWRRSAEAALNV* >Brasy3G064600.1.p pacid=40040133 transcript=Brasy3G064600.1 locus=Brasy3G064600 ID=Brasy3G064600.1.v1.1 annot-version=v1.1 MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGARLYQANKYRKGACENCGAMTHDKKSCMDRPRNVGAKWTNMNIAPDEKVESFELDYDGKRDRWNGYDTSTYTRVIQDYEAREEARKKYLKEQQLKKLEEKDGEQEGEDAGSEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNVHAWEAFDKGQDFHMQAAPSQAELLYKSFKIKKEKLKSESKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVLINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVRQEEKKLATWGTDIPDDLVLDQKLLEQSLKKEASRKKEEMDERKRKYNVKWNDEVTAEDMEAYRMTRVRHDDPMRDFLN* >Brasy3G297700.1.p pacid=40040134 transcript=Brasy3G297700.1 locus=Brasy3G297700 ID=Brasy3G297700.1.v1.1 annot-version=v1.1 MTTGGVANVHSVMGSTNKTLLKSEALYEYMLKTMVYPRENEFMRELRLITMEHAYGFMSSPPDEAQLLSLLLKLTGAKNTIEVGVYTGCSLLATALAIPDDGKIVAIDINRDYFDMGLPIIKKAGVAHKVDFREGSGLDRLDELLLADAAANEGGFDFAFVDADKPNYGKYHEQLLRLVRVGGVLAYDNTLWAGSVAIPDGEREFTERDGSIRDALREFNAMIGADARVEPVQLPVADGITICRRVA* >Brasy3G310100.1.p pacid=40040135 transcript=Brasy3G310100.1 locus=Brasy3G310100 ID=Brasy3G310100.1.v1.1 annot-version=v1.1 SFFSHLITTKINLSLLSPLRLSPSRRPAVFASICPSPPFPHCPAVPALPRRTCATLPSAIGAFPARSAARKDAVAVSVLGTTAATRCSRVWIFDESYRSRRRAPPVLPHRIPASLSWAPSATISFPWPAASAS* >Brasy3G229600.1.p pacid=40040136 transcript=Brasy3G229600.1 locus=Brasy3G229600 ID=Brasy3G229600.1.v1.1 annot-version=v1.1 MGEAAADVAASYEGFFGVGECKGQVVIDGEQVPLVLTPAQAQAQDQGVDHSALVAALKAQRGWVEEKVVANSGVLLRGFGVRDAAEFDALVDALGWADIRYVGPAPRTHVHGRIWTANEGPLEEFIYYHHEMVLIKEFPGFVILFCETPPPEGGETPFVPSFRVTERALQEFPDMVEQLDARGLRYTFTALSKDDTKSMRGRGWEDAFATTDKAVAEQRAKALGMDVEWLPEKGGIRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATFADGSEIPADFVKRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRVLVATCKTTTPLD* >Brasy3G179100.1.p pacid=40040137 transcript=Brasy3G179100.1 locus=Brasy3G179100 ID=Brasy3G179100.1.v1.1 annot-version=v1.1 MVPQHVSPAGPACHTLPPHEVRFVRPLRSPRKVLSVTLGRWPPLLVLIHRGKKRRHHNATTRRERDSPHGASRWPRSRPCRPARLATSMASVYTAPRSPLPASSSPRQLDPNPSRFLATRRGQRLRVRRLAGAAPACRRSDATASVFRCCACSSEAADSGGERRRRGWDALFHDAFQGAVRRWSEYVSNYWPSTPGSKVLGKRTESSHELEVIGGELEEDEEDMEVEDEEGKWSWMRWKQHFALVEESECLVDELKLQLRTAVYREDYRSAHKLKLAIAATSRIDTVGRSISDLHRAIVEERYMDAAHIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVAKSYDIRQLASDGPGFPIFEIYFAEANGGYNLQAVHLKPDVSDSDQLPNMLSGKLDINNISISSSSLGAKQEEHDEGINMDDQNSDDSDVSAGPAGLKNLSNDSPPVPRIKILKVAPMENINQDYIIKIFDQISEEDDDNDDAEIENESSQDIGDEDNNEGAETISAEENNNESGEESDIEALISIGIEIENDKDFASQSSPKTFERLPARLENRDRFSFSFYTDQFSKKQDAERAQQIPKERVGFRTTEQDDGLKFDRVKLVGGNRKLPMLQLGIKQHTNKVQPKLYGVTHFSRIQAPMSSDPLSGLYETASGFDSEILSLQRKFGQWREDDSSEEDRDLKFYEYVEAAKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGQRRIADAGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD* >Brasy3G179100.2.p pacid=40040138 transcript=Brasy3G179100.2 locus=Brasy3G179100 ID=Brasy3G179100.2.v1.1 annot-version=v1.1 MVPQHVSPAGPACHTLPPHEVRFVRPLRSPRKVLSVTLGRWPPLLVLIHRGKKRRHHNATTRRERDSPHGASRWPRSRPCRPARLATSMASVYTAPRSPLPASSSPRQLDPNPSRFLATRRGQRLRVRRLAGAAPACRRSDATASVFRCCACSSEAADSGGERRRRGWDALFHDAFQGAVRRWSEYVSNYWPSTPGSKVLGKRTESSHELEVIGGELEEDEEDMEVEDEEGKWSWMRWKQHFALVEESECLVDELKLQLRTAVYREDYRSAHKLKLAIAATSRIDTVGRSISDLHRAIVEERYMDAAHIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVAKSYDIRQLASDGPGFPIFEIYFAEANGGYNLQAVHLKPDVSDSDQLPNMLSGKLDINNISISSSSLGAKQEEHDEGINMDDQNSDDSDVSAGPAGLKNLSNDSPPVPRIKILKVAPMENINQDYIIKIFDQISEEDDDNDDAEIENESSQDIGDEDNNEGAETISAEENNNESGEESDIEALISIGIEIENDKDFASQSSPKTFERLPARLENRDRFSFSFYTDQFSKKQDAERAQQIPKERVGFRTTEQDDGLKFDRVKLVGGNRKLPMLQLGIKQHTNKVQPKLYGVTHFSRIQAPMSSDPLSGLYETASGFDSEILSLQRKFGQWREDDSSEEDRDLKFYEYVEAAKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGQRRIADAGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD* >Brasy3G179100.3.p pacid=40040139 transcript=Brasy3G179100.3 locus=Brasy3G179100 ID=Brasy3G179100.3.v1.1 annot-version=v1.1 MVPQHVSPAGPACHTLPPHEVRFVRPLRSPRKVLSVTLGRWPPLLVLIHRGKKRRHHNATTRRERDSPHGASRWPRSRPCRPARLATSMASVYTAPRSPLPASSSPRQLDPNPSRFLATRRGQRLRVRRLAGAAPACRRSDATASVFRCCACSSEAADSGGERRRRGWDALFHDAFQGAVRRWSEYVSNYWPSTPGSKVLGKRTESSHELEVIGGELEEDEEDMEVEDEEGKWSWMRWKQHFALVEESECLVDELKLQLRTAVYREDYRSAHKLKLAIAATSRIDTVGRSISDLHRAIVEERYMDAAHIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVAKSYDIRQLASDGPGFPIFEIYFAEANGGYNLQAVHLKPDVSDSDQLPNMLSGKLDINNISISSSSLGAKQEEHDEGINMDDQNSDDSDVSAGPAGLKNLSNDSPPVPRIKILKVAPMENINQDYIIKIFDQISEEDDDNDDAEIENESSQDIGDEDNNEGAETISAEENNNESGEESDIEALISIGIEIENDKDFASQSSPKTFERLPARLENRDRFSFSFYTDQFSKKQDAERAQQIPKERVGFRTTEQDDGLKFDRVKLVGGNRKLPMLQLGIKQHTNKVQPKLYGVTHFSRIQAPMSSDPLSGLYETASGFDSEILSLQRKFGQWREDDSSEEDRDLKFYEYVEAAKLTGDNLVPAGQVARYKGQRRIADAGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD* >Brasy3G179100.4.p pacid=40040140 transcript=Brasy3G179100.4 locus=Brasy3G179100 ID=Brasy3G179100.4.v1.1 annot-version=v1.1 MVPQHVSPAGPACHTLPPHEVRFVRPLRSPRKVLSVTLGRWPPLLVLIHRGKKRRHHNATTRRERDSPHGASRWPRSRPCRPARLATSMASVYTAPRSPLPASSSPRQLDPNPSRFLATRRGQRLRVRRLAGAAPACRRSDATASVFRCCACSSEAADSGGERRRRGWDALFHDAFQGAVRRWSEYVSNYWPSTPGSKVLGKRTESSHELEVIGGELEEDEEDMEVEDEEGKWSWMRWKQHFALVEESECLVDELKLQLRTAVYREDYRSAHKLKLAIAATSRIDTVGRSISDLHRAIVEERYMDAAHIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVAKSYDIRQLASDGPGFPIFEIYFAEANGGYNLQMLQLGIKQHTNKVQPKLYGVTHFSRIQAPMSSDPLSGLYETASGFDSEILSLQRKFGQWREDDSSEEDRDLKFYEYVEAAKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGQRRIADAGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD* >Brasy3G179100.5.p pacid=40040141 transcript=Brasy3G179100.5 locus=Brasy3G179100 ID=Brasy3G179100.5.v1.1 annot-version=v1.1 MVPQHVSPAGPACHTLPPHEVRFVRPLRSPRKVLSVTLGRWPPLLVLIHRGKKRRHHNATTRRERDSPHGASRWPRSRPCRPARLATSMASVYTAPRSPLPASSSPRQLDPNPSRFLATRRGQRLRVRRLAGAAPACRRSDATASVFRCCACSSEAADSGGERRRRGWDALFHDAFQGAVRRWSEYVSNYWPSTPGSKVLGKRTESSHELEVIGGELEEDEEDMEVEDEEGKWSWMRWKQHFALVEESECLVDELKLQLRTAVYREDYRSAHKLKLAIAATSRIDTVGRSISDLHRAIVEERYMDAAHIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVAKSYDIRQLASDGPGFPIFEIYFAEANGGYNLQMLQLGIKQHTNKVQPKLYGVTHFSRIQAPMSSDPLSGLYETASGFDSEILSLQRKFGQWREDDSSEEDRDLKFYEYVEAAKLTGDNLVPAGQVARYKGQRRIADAGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD* >Brasy3G346000.1.p pacid=40040142 transcript=Brasy3G346000.1 locus=Brasy3G346000 ID=Brasy3G346000.1.v1.1 annot-version=v1.1 MAAAASPLPSAGPDSDHLPRPSLASPWDYKALCKRAETCELESGAFLIDPALLPTLEDLLLEIYAMLRPKPVDYEQRHIMIDVFNKIAKDVCGGKNNRFPVVEAFGSFTMDLFTAKSDLDLSVNFSADMDGEFDRNKKISVIRKFAKVLRQHQSRGRCYGVLPVVNAIVPVLKVTDKGTGVECDISVENKDGMSRSMIFKLVSSIDERFRILCYLMKFWAKSHDVNCPRERTMSSMAIISLVAFHLQTRRPPILPAFSRLLKDGADIASIQRNVSLFEGFGSRNKESVAELFVSLMSKLLSVQGLWEQGLCASNLEGSWILKMTWDRGIGNLAVEDFLDRNQNFARSVGKVEMQTICECLRDTVCKLTDFFKGDIDAPTLKILIFGALKEDKPVSRSSPKLVETKRKRDTRLDPGNSQRPQKKGRHGAQPGRAADQTDACLPTPTMFMPPPPQVHLPRSQFARPPQHPAPPPSRFPYGPPLPLPHLHPAPHMVGQPQHGNFIHLNPRVQLHQQAQHDSVFVPLQARQQAINGFHPGYGFDGARQIPYDPNSINPNYRRV* >Brasy3G267200.1.p pacid=40040143 transcript=Brasy3G267200.1 locus=Brasy3G267200 ID=Brasy3G267200.1.v1.1 annot-version=v1.1 MASCASEATAPYYPEQLMGDALQARAFPAASDEIGLWSGELDEQLVNGNGSLLVDDDSLVVGALVPADDDSEQHYSRGSSGGPAAKLCNTAAEHEQLTPAAAAASMALCLAYSGPTIRDIQKALSSRPNPSMARRHCGSLHLSCGGKMPTDGYKWRKYGHKFIKTKPHPRSYYKCTSSLCNAKKHVDRSTEDPEMFIVTYEGLHHHGPRPLSLAPAPKEYQGFRAAGNVHKPIMAKKKAWPSPMSSYDYNGADGNTRGRNNRLQGCQEGVHRGSTNSFMPRPADGVANSFSSYAQSAYWCCLDYRSFPEARHTNDKFTMHWWAVGEHQRV* >Brasy3G200700.1.p pacid=40040144 transcript=Brasy3G200700.1 locus=Brasy3G200700 ID=Brasy3G200700.1.v1.1 annot-version=v1.1 MYFEFDEVRSQSWIVEGEYDNQFYYHFNFAATQDRSRTCIFFVEVTPEEGDEFDIICCKLLGDDDNGHCYGCKNAHVEGLRHPACDNMYVGGHENRKFPFMIDSESEDDSDSD* >Brasy3G291800.1.p pacid=40040145 transcript=Brasy3G291800.1 locus=Brasy3G291800 ID=Brasy3G291800.1.v1.1 annot-version=v1.1 MAMDPDGDPAFHRSEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFFNSSSHQPPPLNPLPPPQQNQPHQLPPPPQPHQNHLPPPPQPHQNHLPPPPQPHQNQLPPTPQPQQQHMPVPHSLPPPPPQGPPPQQKVHIPIPNLPPPPQPPVASAPPPQHQQIQQGGDGFHRQGGNFSGGPIVVGNGGAGGGGDGPGGMTLFVGDLHWWTTDADLEAELSKYGLVKEVRFFDEKASGKSKGYCQVDFFDHVAVSACKEGMNGHLFHGRPCVVAYASPSNVRRMGESQMKNQQPVAPQPLPVQLKSGRGNGGTGAPQAGGNYGAGRGGPTGTGGGGNWGRGNAGMGNRGPVGSMRNRMGPTSGRGIMGNGGMAPPHPPPMLPQGIMGQGFDPAFGPMGRMGNGFGFPGPGPAFPGMMQPFPPVVAPHLNPAFFGRGGMGAGGVGMWPDPNMGSWGGEEQSSYGDDAASDQQYGEGGNHGKERLPERDWSGPPDRRREREKDMPPAQPERRHRDERDMGRERDRDYEREREKDRDRERERDREREKERDRHKADRDRHSDHHRHRDRDPERSGDWNRGRSSGMRSRSRDVDHSKRRRMTPE* >Brasy3G291800.2.p pacid=40040146 transcript=Brasy3G291800.2 locus=Brasy3G291800 ID=Brasy3G291800.2.v1.1 annot-version=v1.1 MAMDPDGDPAFHRSEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFFNSSSHQPPPLNPLPPPQQNQPHQLPPPPQPHQNHLPPPPQPHQNHLPPPPQPHQNQLPPTPQPQQQHMPVPHSLPPPPPQGPPPQQKVHIPIPNLPPPPQPPVASAPPPQHQQIQQGGDGFHRQGGNFSGGPIVVGNGGAGGGGDGPGGMTLFVGDLHWWTTDADLEAELSKYGLVKEVRFFDEKASGKSKGYCQVDFFDHVAVSACKEGMNGHLFHGRPCVVAYASPSNVRRMGESQMKNQQPVAPQPLPVQLKSGRGNGGTGAPQAGGNYGAGRGGPTGTGGGGNWGRGNAGMGNRGPVGSMRNRMGPTSGRGIMGNGGMAPPHPPPMLPQGIMGQGFDPAFGPMGRMGNGFGFPGPGPAFPGMMQPFPPVVAPHLNPAFFGRGGMGAGGVGMWPDPNMGSWGGEEQSSYGDDAASDQQYGEGGNHGKERLPERDWSGPPDRRREREKDMPPAQPERRHRDERDMGRERDRDYEREREKDRDRERERDREREKERDRHKADRDRHSDHHRHRDRDPERSGDWNRGRSSGMRSRSRDVDHSKRRRMTPE* >Brasy3G045500.1.p pacid=40040147 transcript=Brasy3G045500.1 locus=Brasy3G045500 ID=Brasy3G045500.1.v1.1 annot-version=v1.1 MALVPSVAASSDALQVFASGVGLGDGVVSLQEKVRDAGAVLGAAREIEIQNEALVQSLPQLQRLIYDAEEVLDEIDYFRVQAGFNTDDEMLDEVDDSVCEDDQVDRGSSGSSDTCGGSNLVAPADVDKQGSTSAVVPAYERSIMQAAPRAELNSDELLPQICEISRSLGDFTGAIRDALKLEELDGISLTKHGSENTKRLTTPYLTVTRIFGRDHERDQIIKLLTSDAYRNQTLTVLPVVGNGGIGKTALAQYVFSDPRIETYFDMKIWICVSLNFDAVRLTRQMLECITGTDHGGSADLNILQDTLKDALKGSRVLLVLDDIWDIKDSNEWSQLLAPLRSNQQGEGNAILATTRSQSVAKSVGALDSIALDGLESEAFWDCFRAYAFGKEKGHKKLHRIGRQIADRLKGYPLAAKSVGGLLRKDISVERWTRILESKEWISHQDTEGIIAILKFSYDYLPFHLRRCFSYCSLFPKSYQIYAEDLVYLWISQGFVYPASDNRRLEEIGSEYLDDLVNLGFFEKLDKDGTDIHFLMHDLMHDLAQGVSSKECFTMDGSQCQQMPPTIQHLSVVATSQYSDFEKDMVQLTSLQSNKLVSFMLFGSFGSTFVKNFHFMTDVTRNLRTLRLSGFEDDGDILSGFGHCIHLRYLRATKQENDKHNPWFERFDRQFPKELCALYRLQFLNVGVDCHLSNLTKSFSNLVNLRHFICHEESHSEISNVSKLTSLQELRQFMVRKKAGFWIAQLGNLLELGSLCIFGLENLESKEEANNARLLDREHLRSLCLSWDASRVSANPDAIKEVLEGLQPHPDLNHLQISGYRSATPPTWLGEASPLIRLRSIYLEDCQLLRTLPPFVHLKCLKKLHLVRICGTTDMSTHSLEELIIEEVEELERWIVRDDLPLLASELHVLEIKGCPKLRELPLSCYSASQTVAIHVFPVLYSLIIHDCPLLMPLPPLPLGPKVLKMTTVNVGSALYESLSYYQFKSLSYYQTLILHGSNQLRTPNGVLAMHNLGTLYELTLINCSNLTWFSWAGAFWQLKSLKKLNFVDCPNLLSMPATQEEQDYMRDRPMLALETLIIESCCIRGNLFGHVLSLLPSLSYLEMGECAGAADDECMVLISPGSLKSLKELYVTNCVELYCGNIEGLGGLISLEKLRIGDCPKLLSSLMPEEMEEDGGSLSRNILLPPSLQELVLDGVTQKLLSLSSLTCLKDLGITESSDLESLDLHSCTALEEVRIHCCGALSSVQGLQTCINLRSVQVYSSPDFWSAWSPAMQELERVGHGLFCPQLERIWTDDLSLLTSCSCKFLTSLGRLGFLFYEDDEDSNSTMEDPNEVFLLLTSLIELEFNYYNKLRSLPATLHLLPSLKKLAIKSCESIESLEELALPASLEELHISDCGSLQSLPASLNCLHSFRKLEILCCTGILSLQEQRLPPSLEEMVIDSCENLQFLPDDLHRLSSLSKLEIKSCPSIKSLPESGMPPALRDFWVWDCSEELKEECNKVRNVKRMTQIYM* >Brasy3G243100.1.p pacid=40040148 transcript=Brasy3G243100.1 locus=Brasy3G243100 ID=Brasy3G243100.1.v1.1 annot-version=v1.1 MEAMEELSELADATMQASGLLADDDDPADRSARRGGSSFLTVVALGNVGAGKSAVLNGLIGHPVLPTGENGATRAPVCVDLQRDASLSSKTIVLHIDSKSQKVSASSLRHSLQDRLSKAVSSGKGRSDEINLKLCTSTAPPLKLIDLPGIDQCSIDESVIGNLAVRSDAILLVIIPALQAPDVASSRALRLARELDTEGTRTIGVLSKVDQAAGEKKALGAVQALLANQGPRTAKDINWIATIGHCVPIASSQSGGGSETSPETSWQAEAESLLSILGGAPHSKLGRVALVDSLSKQIRTRMKVRLPNLLIGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDKHFDMKNVKRIVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEAKKMVIALVDMERVFVPPQHFIRLVQKRMERQRHEDELKNRSTKKGSDADQSRATSPQAQTKEVSNLQILGPAGEITAGFLFKKRAKGNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNIEEVLEEEDPPRSSKDSKRASGPDFEKAHDLIFKITNKVAYKLVLKAHSTIILKAESMADKTEWVTKIKNITAKRTNVSEGGLQMRQSHSDGSLVSVSKKDSSLDTMLRKPVDPEEELRWISQEVRGYVEAVLSSLAANVPKAVVLFQVEKAKEDMLNQLYTSISTQSISKIEELIQEDHNVKRRREKIKTQSSLLSKVTRLLSIHENRDSADSSPRTSGQPGEEWRSAFDANSHGRRYSNTAQDGDSSAGTNSGIRRIPSRMPPLPPQGC* >Brasy3G243100.2.p pacid=40040149 transcript=Brasy3G243100.2 locus=Brasy3G243100 ID=Brasy3G243100.2.v1.1 annot-version=v1.1 MEAMEELSELADATMQASGLLADDDDPADRSARRGGSSFLTVVALGNVGAGKSAVLNGLIGHPVLPTGENGATRAPVCVDLQRDASLSSKTIVLHIDSKSQKVSASSLRHSLQDRLSKAVSSGKGRSDEINLKLCTSTAPPLKLIDLPGIDQCSIDESVIGNLAVRSDAILLVIIPALQAPDVASSRALRLARELDTEGTRTIGVLSKVDQAAGEKKALGAVQALLANQGPRTAKDINWIATIGHCVPIASSQSGGGSETSPETSWQAEAESLLSILGGAPHSKLGRVALVDSLSKQIRTRMKVRLPNLLIGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDKHFDMKNVKRIVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEAKKMVIALVDMERVFVPPQHFIRLVQKRMERQRHEDELKNRSTKKGSDADQSRATSPQAQTKEVSNLQILGPAGEITAGFLFKKRAKGNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNIEEVLEEEDPPRSSKDSKRASGPDFEKAHDLIFKITNKVAYKLVLKAHSTIILKAESMADKTEWVTKIKNITAKRTNVSEGGLQMRQSHSDGSLVSVSKKDSSLDTMLRKPVDPEEELRWISQEVRGYVEAVLSSLAANVPKLWFFSKLRRQRKTCLISSILP* >Brasy3G243100.3.p pacid=40040150 transcript=Brasy3G243100.3 locus=Brasy3G243100 ID=Brasy3G243100.3.v1.1 annot-version=v1.1 MEAMEELSELADATMQASGLLADDDDPADRSARRGGSSFLTVVALGNVGAGKSAVLNGLIGHPVLPTGENGATRAPVCVDLQRDASLSSKTIVLHIDSKSQKVSASSLRHSLQDRLSKAVSSGKGRSDEINLKLCTSTAPPLKLIDLPGIDQCSIDESVIGNLAVRSDAILLVIIPALQAPDVASSRALRLARELDTEGTRTIGVLSKVDQAAGEKKALGAVQALLANQGPRTAKDINWIATIGHCVPIASSQSGGGSETSPETSWQAEAESLLSILGGAPHSKLGRVALVDSLSKQIRTRMKVRLPNLLIGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDKHFDMKNVKRIVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEAKKMVIALVDMERVFVPPQHFIRLVQKRMERQRHEDELKNRSTKKGSDADQSRATSPQAQTKEVSNLQILGPAGEITAGFLFKKRAKGNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNIEEVLEEEDPPRSSKDSKRASGPDFEKAHDLIFKITNKVAYKLVLKAHSTIILKAESMADKTEWVTKIKNITAKRTNVSEGGLQMRQSHSDGSLVSVSKKDSSLDTMLRKPVDPEEELRWISQEVRGYVEAVLSSLAANVPKLWFFSKLRRQRKTCLISSILP* >Brasy3G243100.4.p pacid=40040151 transcript=Brasy3G243100.4 locus=Brasy3G243100 ID=Brasy3G243100.4.v1.1 annot-version=v1.1 MEAMEELSELADATMQASGLLADDDDPADRSARRGGSSFLTVVALGNVGAGKSAVLNGLIGHPVLPTGENGATRAPVCVDLQRDASLSSKTIVLHIDSKSQKVSASSLRHSLQDRLSKAVSSGKGRSDEINLKLCTSTAPPLKLIDLPGIDQCSIDESVIGNLAVRSDAILLVIIPALQAPDVASSRALRLARELDTEGTRTIGVLSKVDQAAGEKKALGAVQALLANQGPRTAKDINWIATIGHCVPIASSQSGGGSETSPETSWQAEAESLLSILGGAPHSKLGRVALVDSLSKQIRTRMKVRLPNLLIGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDKHFDMKNVKRIVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEAKKMVIALVDMERVFVPPQHFIRLVQKRMERQRHEDELKNRSTKKGSDADQSRATSPQAQTKEVSNLQILGPAGEITAGFLFKKRAKGNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNIEEVLEEEDPPRSSKDSKRASGPDFEKAHDLIFKITNKVAYKLVLKAHSTIILKAESMADKTEWVTKIKNITAKRTNVSEGGLQMRQSHSDGSLVSVSKKDSSLDTMLRKPVDPEEELRWISQEVRGYVEAVLSSLAANVPKLWFFSKLRRQRKTCLISSILP* >Brasy3G243100.5.p pacid=40040152 transcript=Brasy3G243100.5 locus=Brasy3G243100 ID=Brasy3G243100.5.v1.1 annot-version=v1.1 MEAMEELSELADATMQASGLLADDDDPADRSARRGGSSFLTVVALGNVGAGKSAVLNGLIGHPVLPTGENGATRAPVCVDLQRDASLSSKTIVLHIDSKSQKVSASSLRHSLQDRLSKAVSSGKGRSDEINLKLCTSTAPPLKLIDLPGIDQCSIDESVIGNLAVRSDAILLVIIPALQAPDVASSRALRLARELDTEGTRTIGVLSKVDQAAGEKKALGAVQALLANQGPRTAKDINWIATIGHCVPIASSQSGGGSETSPETSWQAEAESLLSILGGAPHSKLGRVALVDSLSKQIRTRMKVRLPNLLIGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDKHFDMKNVKRIVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALDGFKNEAKKMVIALVDMERVFVPPQHFIRLVQKRMERQRHEDELKNRSTKKGSDADQSRATSPQAQTKEVSNLQILGPAGEITAGFLFKKRAKGNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVINLEECNIEEVLEEEDPPRSSKDSKRASGPDFEKAHDLIFKITNKVAYKLVLKAHSTIILKAESMADKTEWVTKIKNITAKRTNVSEGGLQMRQSHSDGSLVSVSKKDSSLDTMLRKPVDPEEELRWISQEVRGYVEAVLSSLAANVPKLWFFSKLRRQRKTCLISSILP* >Brasy3G305000.1.p pacid=40040153 transcript=Brasy3G305000.1 locus=Brasy3G305000 ID=Brasy3G305000.1.v1.1 annot-version=v1.1 MRRGGGGGAAGRHPKSSMAPSAGVDRTPMMDQPLYPRNLDHAFSRRDSDAFSICSSRPSSIGTAPSHAAPITNLSDRSSQAAALRVVNAYLAPTIHLRAPLPAARDILAAFSHFLERLQYPVQTSLEEDLLILLRLLACPYKLTRSALKAPGTPHSWPPLLSVLYWLTLLSRFADNLDASSSPSAATSNDLMLYITESYNLFLTADDDAVASLDEEYMSKARVQTDVFAVAVKALEKEVEDLEAKRSKQTSEPSRRKALEEKKEALTADVQKFDAVVKSWSTKIKEKEESLVVLEKELEAKVMNGQHMLAENEELVKKVKAQVVNVRDADRMTREMQAVEHDISKLENANAALEEKGWELEAALVTKLEDIEGLAEQCNQALKKLKLGIDFKYMLNAKGSSPSEILGTTYKTVLKAALSALANETKRIFASKHDESNDLQKHLQGNAKIIEEKMSHVSVLQAKTNEVIAQLDSLDLEIGNHVSSCTTDSRKMKDELEKKEDHLSTVEKEADTFLKNSEQSFQDASRQTDEETQICASELLKLIDSITEYKEFVETSISGMKKCLYESVDDIASLSAKMLL* >Brasy3G087500.1.p pacid=40040154 transcript=Brasy3G087500.1 locus=Brasy3G087500 ID=Brasy3G087500.1.v1.1 annot-version=v1.1 MISAQVQKDSSILNRTMVKIFKGIPSVLFCFLLHHNFPSDIYCHIIIRSNAKLDATCDNVNKCHKQRCKSMCGAAHE* >Brasy3G082000.1.p pacid=40040155 transcript=Brasy3G082000.1 locus=Brasy3G082000 ID=Brasy3G082000.1.v1.1 annot-version=v1.1 MSQTKTAKSSMEASPASSCVSSDAAEEEDQKPMMVVAGCPRCLMYVMLSDSESEDQKKKKKQKPKCPRCKSPVLMHFHEYHCDTKNKI* >Brasy3G275800.1.p pacid=40040156 transcript=Brasy3G275800.1 locus=Brasy3G275800 ID=Brasy3G275800.1.v1.1 annot-version=v1.1 MRGRVLRSQTKATGPSPAPDPPSPAAAAMEAGGSPGGTPAPSPSTSVSLGLGSSAADDGSLKSPGVAQPRRSLRLAGAASPNTPTAGSPARSSGAGSRLGGKRKGRPRVSAPDAAVQNGEELGIDGACGDDDDDARVFGGGGGSSGRGTSMSLRSGSGAGKRQMEPDVHMNGEMGLGSDRWVGDGDKVLDEMLPREANGTAKRHKSILVGGANYVSDSESDKDGDRVLPAKRRTSILVRGADYVPDSESGGEDDCVMLGEVEKAPLPTSPDVIDLNVGMHMTDEGRRDGSVRAGIEKTGEVTNRKEDLLSEEPMHGHSSEEAAGGIIKPLSSHVGISAADEVHTDMHFSEELLMHESGYKGGGKEKLVLGNNESGAGASVGTRAGARTRRMSSVDKGKGKMIVDETSCPQSLTDDDVDLEPFVYEEKQSSSIAVDAPVEPLWRQAARERAIKLAPKFAFFKADEEAHSDDDEAEELEPAADPQDWPGPYSTATRIMEDRDAKLRARESNSLKIDNSVDKVILWTPSKDKRAPRQPAPSLASLCMQTLANHAEGIESLHGIPEELKHKLLVELCRSRKMNTHLLTELLCDNPVMLQLSECSWLNEDDFETIFGKCMTEVLEVLQLDLSGRCMPDYILPVTLAKAPNCMPLLRKISLKGNYRFSDNGLDTIISAAPSLSSLNLSECSLLTSAGINNLANKLHSVLRELYIDDCQNVEAIMILPALQKIKHLQVLSMCGIQSVSDKFVNELIPVHGSNIRELAFAGCTKLSSSSIKTIGGSCPQLTSLDLRNLNRLRDSAMRGLRDGCRLIKILNLQRNTFSDKAVSQFVEESGGCLTELSLNNVEKVGSLTARAIALKCSMRLEVLDLSFCRDLTNEALGLIVDSCSSLRILKLFGCTQITDFFLKGHSNSLVKIVGIEGSILERLDCH* >Brasy3G097200.1.p pacid=40040157 transcript=Brasy3G097200.1 locus=Brasy3G097200 ID=Brasy3G097200.1.v1.1 annot-version=v1.1 MESSRSTREPQPWSYSRSLIPTSFAWPPRSYQCSFCRREFRSAQALGGHMNVHRRDRARLRHGSPPPPHPAAAAANPNPRWAAAAAAIPNLNYPPPPAGPPPAPPMALPPSLYRPQYSRSGKSHERSASAAAKARELEVEVNLELGVGVGMQCCMEEDGLDLELRLGYS* >Brasy3G168800.1.p pacid=40040158 transcript=Brasy3G168800.1 locus=Brasy3G168800 ID=Brasy3G168800.1.v1.1 annot-version=v1.1 MAEVLSGPLEHHLSSAALDGHYEEKRKSNVEYSEDEKKARIASLKKKAMNASQKLRHSMKKGRRSSKVMSISIEDERDPEEALAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLQWRKEFGADTILEGFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNKLLQVTTMERFVKNHVKEFEKNFADKFPACSVAAKRRIEQSTTILDVQGVGMKQFSKTARDLIGQLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFFGGTCQCEGGCMKADKGPWKDPEVMKMVQSGAGSCGKLNLDCSDAEEKTICADDTIYTKKQDSSNVEAPLAGDEWRTLLHKTSRTRIEHPQLSPVHEELLPTLFPTPGSPYSCDVPMVEKAIDAFCQSNGLPDEKLALTKAVANASNGSSPQIFGGILALVMSIATMLRVSRNMPRKVLGAAIGAQSTPKVHAQQQSRKAAETVSTAEYTISAKRFADLEEKVIALLAKTAEMPADKEDMLKAATSRVSALEEELAMTKKALQETLERQGEIIAYIEKKKKKKSKRLFHW* >Brasy3G168800.2.p pacid=40040159 transcript=Brasy3G168800.2 locus=Brasy3G168800 ID=Brasy3G168800.2.v1.1 annot-version=v1.1 MAEVLSGPLEHHLSSALDGHYEEKRKSNVEYSEDEKKARIASLKKKAMNASQKLRHSMKKGRRSSKVMSISIEDERDPEEALAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLQWRKEFGADTILEGFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNKLLQVTTMERFVKNHVKEFEKNFADKFPACSVAAKRRIEQSTTILDVQGVGMKQFSKTARDLIGQLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFFGGTCQCEGGCMKADKGPWKDPEVMKMVQSGAGSCGKLNLDCSDAEEKTICADDTIYTKKQDSSNVEAPLAGDEWRTLLHKTSRTRIEHPQLSPVHEELLPTLFPTPGSPYSCDVPMVEKAIDAFCQSNGLPDEKLALTKAVANASNGSSPQIFGGILALVMSIATMLRVSRNMPRKVLGAAIGAQSTPKVHAQQQSRKAAETVSTAEYTISAKRFADLEEKVIALLAKTAEMPADKEDMLKAATSRVSALEEELAMTKKALQETLERQGEIIAYIEKKKKKKSKRLFHW* >Brasy3G278700.1.p pacid=40040160 transcript=Brasy3G278700.1 locus=Brasy3G278700 ID=Brasy3G278700.1.v1.1 annot-version=v1.1 MGGAGGGEGRRAEVEQGGERADEQRWSRAGRGPTRWSRRGRGRRRPPAGRRGRGPTSGAGGGEAAAARLLAAALHSPAGRRRPDLAVQQLEQEGERADADTAAATGSWPCATRHSLSTYGHHALLALSSSRTSSEAALRHPPLPCPMAPPRSI* >Brasy3G012300.1.p pacid=40040161 transcript=Brasy3G012300.1 locus=Brasy3G012300 ID=Brasy3G012300.1.v1.1 annot-version=v1.1 MPPSSSSRRRRGRRGGCRSRKKGRNWADLPLDAIFAILGKLDHVDILMGPGQACRSWRRAARDEPELWRRIDMRNHADLFNQLNLHGMAQAAVRRSKGRCEAFWGEYAGDDDFLDFLGDQAPSLKSLRLISCYDVLTERFANAIEKFPLLEELELTLSSNVCGNNVFGVVGKACPQLKRFRLSKHLFYDHGFSGFNPDEEALGIATMHELRSLQLFANMLTNEGLTAILDNCQHLESLDIRHCFNVHMDETLRTKCASIKTLRLPHDSTADYDFQVQSPVWRTRIDSQSEDDDDDDDDDNDDDMGYDFDYDDLDSEDYNDYCDPSRYLNGVYEDELDEEDRMVLRAMRALLK* >Brasy3G054400.1.p pacid=40040162 transcript=Brasy3G054400.1 locus=Brasy3G054400 ID=Brasy3G054400.1.v1.1 annot-version=v1.1 MSSSGVGGGGQQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTASAAREALDGRSIPRYLLPEHVLSCHLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDSTLQPAVGADGRRVEAEGNVLLASIENMQYAVSVDVLHTVFSTFGAVQKIAIFEKNGGTQALIQYPDVTTATVAKEALEGHCIYDGGYCKIHLSYSRHTDLNVKAHSDKSRDYTIPEGAHQAASQPGLPPTSAGWQGNPPAAGPYGPPGVAAQNHGTNGQVPNWNSGNPGYPQPQGPSNQGYPPAPGNSGYPGQMYSAPPQYATSGGFAAPQPPPSHEMHPSQQMLSAHHGNQQRPAGAPATGQPPPYYHH* >Brasy3G015600.1.p pacid=40040163 transcript=Brasy3G015600.1 locus=Brasy3G015600 ID=Brasy3G015600.1.v1.1 annot-version=v1.1 MASSSAAASGGSGARPWRTALLTLRDESVASPSPPALLALLRRLLLSPSLPAAAAALSSHEVGSDLAFLAEKAAVVGPCAGADDVLRGVCRLIYDVMCRTNTEIDSCGWLAVLKFLDELVRCSIDGACVKGLSDRTPALDTLSECLQILRFLNKGFGRSCSLTENSHVLRVLILIISCLQAELNLTNKPNGPGISSHISGSTNNKNSNIWDMEISAFSMVEDILCKIAPSMSEDLWQSVLEILRKVIDLVTARNLIIESAVMSRFYTSFLRCLHLVLSEAKGSLSGHVAGFVANLQLFFVYGLRSSTPSAITPTESKTESKSRTSGRGRYRPPHLRKKDGKGNDSLDDRSSDSESSRHDLGSSDSDLSDTDGYAKNGDRFRSSKARLAAILCIQDICRADPKSLTSLWPLLLPENDVLQQRKHRATLMTCLIFDPITKVRVAAASTIAVMLEGHALVLTQVAEYKESSKLGSFTTLSCSLGQILMQLHTGAMYLIQHETQATFLAALFRVLILLISATPYSRMPKELLPTVITAMCSRLLEKHSNKNEHYALVVNVLSCLEAAFAKVPPSSDVFGILMEGCAGPSHAQQKSGVVAVLLHCLEKEIHFSVRCGALQVLRSVVHNYPSCADIIWEKVQDIVLDLLQTESFEDQRCDANFGPPKEELSIKGRCLVAGIKVIDECLRVSSGFKGADDLKECRLLDIQQISDCTVNKSIKSAPHFEIEIPGPTQNCGLDITLGTNRWIEVIETLLPRGLSHASAMVRTASLTCFAGMTSDVFFSLPVNNRDYVTSSAVHAAMSDAVATVRSAACRAIGIVSCFSQILSSSSLPGEFIEAIEFNTRNSSTPVRITASWALANLCSSIRFRALELQADPSAGVIDKSTTSLLVEIALRLTKDGEKVKSNAVRALGYLSRFIRFNYQPDIDVPSDSVNYGDLVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLSDMPWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVTRLDYGTSFPDVVRGPVHVLESLSSNNASSPSNFKHRDNLEKQLTFTALHLLGFISPKDDQSLKDFLFKKATFLEDWLKSLCSSFNNTEDQPPVSETINDQDGFSPNVSQKVMLSSAVMSMLEVYKSGNQQAIAQRFEHLARSIA* >Brasy3G036900.1.p pacid=40040164 transcript=Brasy3G036900.1 locus=Brasy3G036900 ID=Brasy3G036900.1.v1.1 annot-version=v1.1 MVRVAGLLWVIWNTRNDACFRNIRLKSPFGIIKRFCYMLNLWSVLQRKEASRDLLQWGVRLVEVVAKEIFETAKGWNPFYRRITAGRWQ* >Brasy3G102100.1.p pacid=40040165 transcript=Brasy3G102100.1 locus=Brasy3G102100 ID=Brasy3G102100.1.v1.1 annot-version=v1.1 MVLDSLSSPHRRSQNTFFLSSPRKPQSSRDDAGSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGAPNACSGLTGDEMALCQEKSVLHHGKLKYL* >Brasy3G341500.1.p pacid=40040166 transcript=Brasy3G341500.1 locus=Brasy3G341500 ID=Brasy3G341500.1.v1.1 annot-version=v1.1 MAAGRGINQLLKKILHSQSSGSSLLSSFRAKHEESSSAGLRALALLGVGASGLLSFGTIAFTDEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHDGQNYVFALLTGYHDPPAGVQIRDGLHYNPYFPGGAIAMPKMLMDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN* >Brasy3G331000.1.p pacid=40040167 transcript=Brasy3G331000.1 locus=Brasy3G331000 ID=Brasy3G331000.1.v1.1 annot-version=v1.1 MAGGNADAATKEMEALKVGQDQETKENLNKAVRVESDSNGGAPGAQSSIPEDDDESQIDGPSQDGGAAAAKKKKKKSKAKKKKDPLQQTDPPSIPVDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIVKPGMLMIELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGLIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGVDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEHTKMEEGEFYAIETFGSTGKGFVREDMECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY* >Brasy3G295200.1.p pacid=40040168 transcript=Brasy3G295200.1 locus=Brasy3G295200 ID=Brasy3G295200.1.v1.1 annot-version=v1.1 MPQVKSTAGAGAMPSGSKGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSSVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPARSIFASFAAARQVPVIPTQIPPPSFVRPGHPNVSNYHDQPFEGDYGREGDDIGNGINESRTRGKLIKRTKKPSGDLGIYGGHRINVEAIGTLGEGENISDVRPRGDKDMDNQQLLQMEEKEVVSSVATVLSDLCGPGEWMPMRKLHTELVDQFGNVWHHSRVRKYLTAEEWSPVEAKGRPWFGLLGLLRKYPEHFVINTKCKGRAISEFVSLVSLLS* >Brasy3G007400.1.p pacid=40040169 transcript=Brasy3G007400.1 locus=Brasy3G007400 ID=Brasy3G007400.1.v1.1 annot-version=v1.1 MPMNAEDERLAVQALARRQLGLLPPPLPFAVHYANVFSSHPAQLYDDFREEAEGQGDYGGVFFFSHMARRRRQGPSLSSSRSNNPGQWKSATGNATIYGGQGGGIGGRMTLLHYGGRVGRSC* >Brasy3G127400.1.p pacid=40040170 transcript=Brasy3G127400.1 locus=Brasy3G127400 ID=Brasy3G127400.1.v1.1 annot-version=v1.1 MASWLLLPSFPWPPPPPPGSSSGRGGGGGGGDGEDWKPNVVAAVAGVQLGRALRRRLAGLLLRSPEVRHVDVLPRIGEIWFGGSHPIETHQVFGALGNVFSASFVCSSALFGGNRSNGRYIGNGNLQPSRPRGINSKKRLWTNVLLAVNILAYIAQVATQGKLLMWGAKVNSLIDRGEFWRLATSSLLHGNLTHLAFNCFSLNSIGPTVELVTGPRRFLAVYFTSALAGSLMSYCFCQSPSVGASGAIFGLVGSYAVYTWRHKKLLGRGKESLEHIARVVILNMGMGLLSRGIDNWGHLGGLLGGVATAWFIGPDWQYQYVAKDGRVVFKDRAPIHRLINSTRSR* >Brasy3G186400.1.p pacid=40040171 transcript=Brasy3G186400.1 locus=Brasy3G186400 ID=Brasy3G186400.1.v1.1 annot-version=v1.1 MAVPAPAKAVRALAATAVALVLLWCVHFRGGLALNSPTNKGLIFNVHPVLMLIGLIILGSEAIMSYKTLPWNHDTNKIVHLVLHAVALFLGSFGVYAAFKFHNESGIDNLYSLHSWVGLGAILLYGLQWVSGFATFFFPGASPTLRRVALPYHARAGLVAYVLALLAAELGFLEKLTFLQAGAAGLGRYSSEALMVNFTAIVVLLLGTSVVLYVTAPGQQNEHILGYSAVHKP* >Brasy3G049700.1.p pacid=40040172 transcript=Brasy3G049700.1 locus=Brasy3G049700 ID=Brasy3G049700.1.v1.1 annot-version=v1.1 MAADKRPRPSPPSPPAAAAVSKVLDDSDLLHEILLRLDFPTWLVRAALVCKHWLSHVSDPAFLRRFQERHPPRLLGFYLESACKAFQQFVPLPDLPPELAAVARPSSFDLTAEFYMHTSIEHCRNGVLLLRHTGYDKFIYQVRSPLNLARDGAVVATCCKAMADEERHYGYMLLQNDGGHGMSVNFVGSHLELFAKVRSFQNGVCHDLRTSASIELPAHWKVSLHNRSLLHNGKLYMLGTTGYILGMELASTRLFFIELPDAIRYEPPGSLQLSLKMSHQTVNSGVYLLNLEGLKINVWLRSTDDNSNWLLVDTICLRQVFGHLVKPSWESGASRINLAGSGDNAEFVFLEVDAEIFCMYIRSRTVVKVYEMEYKDDRLFQIYPFMMVWPPVFPASIQRRDQVEISES* >Brasy3G049700.4.p pacid=40040173 transcript=Brasy3G049700.4 locus=Brasy3G049700 ID=Brasy3G049700.4.v1.1 annot-version=v1.1 MAADKRPRPSPPSPPAAAAVSKVLDDSDLLHEILLRLDFPTWLVRAALVCKHWLSHVSDPAFLRRFQERHPPRLLGFYLESACKAFQQFVPLPDLPPELAAVARPSSFDLTAEFYMHTSIEHCRNGVLLLRHTGYDKFIYQVRSPLNLARDGAVVATCCKAMADEERHYGYMLLQNDGGHGMSVNFVGSHLELFAKVRSFQNGVCHDLRTSASIELPAHWKVSLHNRSLLHNGKLYMLGTTGYILGMELASTRLFFIELPDAIRYEPPGSLQLSLKMSHQTVNSGVYLLNLEGLKINVWLRSTDDNSNWLLVDTICLRQVFGHLVKPSWESGASRINLAGSGDNAEFVFLEVDAEIFCMYIRSRTVVKVYEMEYKDDRLFQIYPFMMVWPPVFPASIQRRDQVEISES* >Brasy3G049700.2.p pacid=40040174 transcript=Brasy3G049700.2 locus=Brasy3G049700 ID=Brasy3G049700.2.v1.1 annot-version=v1.1 MAADKRPRPSPPSPPAAAAVSKVLDDSDLLHEILLRLDFPTWLVRAALVCKHWLSHVSDPAFLRRFQERHPPRLLGFYLESACKAFQQFVPLPDLPPELAAVARPSSFDLTAEFYMHTSIEHCRNGVLLLRHTGYDKFIYQVRSPLNLARDGAVVATCCKAMADEERHYGYMLLQNDGGHGMSVNFVGSHLELFAKLYMLGTTGYILGMELASTRLFFIELPDAIRYEPPGSLQLSLKMSHQTVNSGVYLLNLEGLKINVWLRSTDDNSNWLLVDTICLRQVFGHLVKPSWESGASRINLAGSGDNAEFVFLEVDAEIFCMYIRSRTVVKVYEMEYKDDRLFQIYPFMMVWPPVFPASIQRRDQVEISES* >Brasy3G049700.3.p pacid=40040175 transcript=Brasy3G049700.3 locus=Brasy3G049700 ID=Brasy3G049700.3.v1.1 annot-version=v1.1 MAADKRPRPSPPSPPAAAAVSKVLDDSDLLHEILLRLDFPTWLVRAALVCKHWLSHVSDPAFLRRFQERHPPRLLGFYLESACKAFQQFVPLPDLPPELAAVARPSSFDLTAEFYMHTSIEHCRNGVLLLRHTGYDKFIYQVRSPLNLARDGAVVATCCKAMADEERHYGYMLLQNDGGHGMSVNFVGSHLELFAKLYMLGTTGYILGMELASTRLFFIELPDAIRYEPPGSLQLSLKMSHQTVNSGVYLLNLEGLKINVWLRSTDDNSNWLLVDTICLRQVFGHLVKPSWESGASRINLAGSGDNAEFVFLEVDAEIFCMYIRSRTVVKVYEMEYKDDRLFQIYPFMMVWPPVFPASIQRRDQVEISES* >Brasy3G148200.1.p pacid=40040176 transcript=Brasy3G148200.1 locus=Brasy3G148200 ID=Brasy3G148200.1.v1.1 annot-version=v1.1 MGPPLATLSEEPGGGEEETRARRKTGLHAALHRWARARIPLRFLSEGHRRPSADLRVLLSVLACPLSPVPVLPRHPRHVASSAQYIIEQFRATTGCAKIEGASVKSMYAAGRVRMAMAHDPAGHEGCFVAWQLVPDMWLVEMAVAGHAVAAGCDGRVAWRRTPWLGAHAARGGGGRPLRRALQGLDPVTIASVFSAAEHVGEKQVDGEECFALRIDVCPSTLSSWSDGTAEVIRHGMTGYFSQRSGLLARLEDSQLTRIQPAPGAPAMYWETTVASRVDDYRAVEVGAGGGATALVAHAGGSVAQLARFGADVGAHNAARAVTRMEEAWTIDDVVFNVPGLCAESFIAPEEVRRSRYYDTIASK* >Brasy3G339100.1.p pacid=40040177 transcript=Brasy3G339100.1 locus=Brasy3G339100 ID=Brasy3G339100.1.v1.1 annot-version=v1.1 MASHLRSASVPSSPCSGETNVEGQLQSLNTAISSPSSTIDTMCDGLRRLGDIYDCIDELTSLPSSQVLLCRQQQRIAVEQELERSLVLLDLCNTVQMSFCELKESVQDMQLVIKRGIDVALQAKMQSWFRLTKKAQKQLKKTSKKSAPADLQSCRVVNLLSEARKAAVTMIESSMEQLSKQITVPNSGRLSLVSKAFQKKRVVCEEEQLQVLELDIVDLENGVETLFRRLIQSRVSLLNTLSL* >Brasy3G219100.1.p pacid=40040178 transcript=Brasy3G219100.1 locus=Brasy3G219100 ID=Brasy3G219100.1.v1.1 annot-version=v1.1 MNLWTDDNASMMEAFMASADLPAFPWGAAAAATPPPPAAAAVMPQQPAFNQDTLQQRLQAIIEGSRETWTYAIFWQSSTDAGAGASLLGWGDGYYKGCDDADKRARQQPTPASAAEQEHRKRVLRELNSLIAGGGAAAPDEAVEEEVTDTEWFFLVSMTQSFPNGMGLPGQALYAGQPTWIASGLASAPCERARQAYTFGLRTMVCIPVGTGVLELGATEVIFQTADSLGRIRSLFNLNGGGGGGGVGSWPPIAPQQQQQGGDQAETDPSVLWLTDAPVGDMKESPSVEISVSKPPQPPQIHHFENGSTSTLTENAGPSLHAHQQPATLAPAAPQRQNQHPHQLQLQHQQSQQQQQQGPFRRELNFSDFATNASVTVTPPFFKPESGEILNFGADSTSRRNPSPAPPAAAASLTTAPGSLFSQHTATVTAPTNEAKNNPKRSMEATSRASNTNHHPSATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVPPPEEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTALESDKDTLHSQIEALKKERDARPVAPLSGVHDSGPRCHAVEIEAKILGLEAMIRVQCHKRNHPAAKLMTALRELDLDVYHASVSVVKDIMIQQVAVKMPNRVYSQDQLNAALYSRLAEPGAAMPIR* >Brasy3G224300.1.p pacid=40040179 transcript=Brasy3G224300.1 locus=Brasy3G224300 ID=Brasy3G224300.1.v1.1 annot-version=v1.1 MRRWCCSSAAGLTRRFLSSSATTTSAPLHAHARPLVPSLPFRRHHSLSHAPLPMASLFHPTATAPIVRPPVMVMQLRHFAIKGRSRAPITPTISKVKKYKMKAPSSMKFRFKTLKDGQIRRWCAGKRHNAHLKSKQAKRRLRKPALVHLAYAKVIKKLNFCG* >Brasy3G131400.1.p pacid=40040180 transcript=Brasy3G131400.1 locus=Brasy3G131400 ID=Brasy3G131400.1.v1.1 annot-version=v1.1 MAWSTPWTSGEHPPCAGPSSGSKTTPPPWLHHSRLLRRPPPPVSREPPLLPLQPGHAPVVRARGSHRLQCRRSVPSPPLRRVPRPLLEALRAQHRRHYLPRPHGLLRGTEVHRAAFGERPETLVCSCLPAPACPAT* >Brasy3G194900.1.p pacid=40040181 transcript=Brasy3G194900.1 locus=Brasy3G194900 ID=Brasy3G194900.1.v1.1 annot-version=v1.1 MCYLNFLPHFLTLYGSLFVSLFGENRGSSYTFTGFSTFCLFVCLCLVREPRKMRSYTPLHFFWLFVWENRGKYSLVGVA* >Brasy3G101000.1.p pacid=40040182 transcript=Brasy3G101000.1 locus=Brasy3G101000 ID=Brasy3G101000.1.v1.1 annot-version=v1.1 MAGFATSRPASFALAAFLTFLSIFALLLAVGAERRRSTGKVVPDEYDERSYCLYDTDASTVYGVAAFFVLLLAQVLVTGVTRCLCFGPALSSRGCTVASFVLSWLTFLTAEACLIGGSARNAYHTKYVGYYMKHDLVTCATLRKGVFAAAAAMMLLNLMASLMYYWSYMKAATGGFIKHQNEVGIGMTDYGLDKGGSGP* >Brasy3G228800.1.p pacid=40040183 transcript=Brasy3G228800.1 locus=Brasy3G228800 ID=Brasy3G228800.1.v1.1 annot-version=v1.1 MEGKGGQGEQLVSELCRVQGLVRQLELHLQAPDAGSVEHCRRLAAQIVALTDRSIAFVTAAAATPLSGTSSPLSDASDRQQPFMQPNPKKRKATARWTSQVRVSAAGGAEGPGEDGHGWRKYGQKDILGSKHPRGYYRCTHRNSQGCAATKQVQRADHDPALFDVVYHGEHTCRASSTAASAGGRIRPPPPLDQQQQHNPHAHSLLQSLSAGLTVDTAGLLHAASPMTPPSMASDSNGGLTPMSAPYPANAFAEWPLDRNLQEVVSALTAVSGPPPAMDADDFMAYYFEFDPAFGGDVPSLF* >Brasy3G020400.1.p pacid=40040184 transcript=Brasy3G020400.1 locus=Brasy3G020400 ID=Brasy3G020400.1.v1.1 annot-version=v1.1 MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTHSSLQLHPAGEGGAGEVVVDLRDLDLSFSWPCSRLREALGASCRKAELQAPRPCSSEELASIAKLVELHELPEAKIWLSAGLSACLFLYTSILGCRPGKVVVTSDLPMGAGLGSSAAFSVSLSGALLTAAGAICAEGANGGTEWELFGKDDLELVNQWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGGLTNLKSGNPVKMLITDTRVGRNTKALVAGVSERAYRHPDAMASVFNAVNSISEELSSIVELAATDEIAITSKEEKLAELMEMNQGLLKCMGVSHSSIETVLRATLKYNLVSKLTGAGGGGCVLTLIPNILSNLVLEKVTAELESHGFRCFKVQVGGQGLQLCRG* >Brasy3G020400.2.p pacid=40040185 transcript=Brasy3G020400.2 locus=Brasy3G020400 ID=Brasy3G020400.2.v1.1 annot-version=v1.1 MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTHSSLQLHPAGEGGAGEVVVDLRDLDLSFSWPCSRLREALGASCRKAELQAPRPCSSEELASIAKLVELHELPEAKIWLSAGLSACLFLYTSILGCRPGKVVVTSDLPMGAGLGSSAAFSVSLSGALLTAAGAICAEGANGGTEWELFGKDDLELVNQWAFQGEKIIHGKPSGIDNAVSTFVNSISEELSSIVELAATDEIAITSKEEKLAELMEMNQGLLKCMGVSHSSIETVLRATLKYNLVSKLTGAGGGGCVLTLIPNILSNLVLEKVTAELESHGFRCFKVQVGGQGLQLCRG* >Brasy3G137300.1.p pacid=40040186 transcript=Brasy3G137300.1 locus=Brasy3G137300 ID=Brasy3G137300.1.v1.1 annot-version=v1.1 MRWCTCTQTRVSGAFESACAGGLSSFRCLLLGVIFRPLAPCSSTPLHRPLSPSKFPSLQSRRRCLLRPAASPPTPARHSIAMGGGLGQLGGHRDQEAAASGRRAPPRTRSNAPCGTMPGNTGKTTAAAAPPEERTTARRRARATRKEV* >Brasy3G137300.4.p pacid=40040187 transcript=Brasy3G137300.4 locus=Brasy3G137300 ID=Brasy3G137300.4.v1.1 annot-version=v1.1 MRWCTCTQTRVSGAFESACAGGLSSFRCLLLGVIFRPLAPCSSTPLHRPLSPSKFPSLQSRRRCLLRPAASPPTPARHSIAMGGGLGQLGGHRDQEAAASGRRAPPRTRSNAPCGTMPGNTGKTTAAAAPPEERTTARRRARATRKEV* >Brasy3G137300.2.p pacid=40040188 transcript=Brasy3G137300.2 locus=Brasy3G137300 ID=Brasy3G137300.2.v1.1 annot-version=v1.1 MRWCTCTQTRVSGAFESACAGGLSSFRCLLLGVIFRPLAPCSSTPLHRPLSPSKFPSLQSRRRCLLRPAASPPTPARHSIAMGGGLGQLGGHRDQEAAASGRRAPPRTRSNAPCGTMPGNTGKTTAAAAPPEERTTARRRARATRKEV* >Brasy3G137300.3.p pacid=40040189 transcript=Brasy3G137300.3 locus=Brasy3G137300 ID=Brasy3G137300.3.v1.1 annot-version=v1.1 MRWCTCTQTRVSGAFESACAGGLSSFRCLLLGVIFRPLAPCSSTPLHRPLSPSKFPSLQSRRRCLLRPAASPPTPARHSIAMGGGLGQLGGHRDQEAAASGRRAPPRTRSNAPCGTMPGNTGKTTAAAAPPEERTTARRRARATRKEV* >Brasy3G034500.1.p pacid=40040190 transcript=Brasy3G034500.1 locus=Brasy3G034500 ID=Brasy3G034500.1.v1.1 annot-version=v1.1 MASRPWPLRPHARGGVRVSYPRRGGATSTPEGEARSWRSLRPADDSATADESATPTSPPRRIELSRRRRREAQSRRALFSADDSATADKSSGDADDSMASPGDSDESNRRRRRYCHHLS* >Brasy3G310600.1.p pacid=40040191 transcript=Brasy3G310600.1 locus=Brasy3G310600 ID=Brasy3G310600.1.v1.1 annot-version=v1.1 MPSLRHHIVLLAAALTFVSAAAGGGGEEERAAACDEASWPQEANLRPDRLTVLLSGYSERRLPLLRAIAASYASHPLVLAVVVLWCNPSTPSSLLPPFPPPISLHRTSSPSLNARFLPLRSIRTAAVAVADDDVLPDATAISFAFATWQNNHSRLVGLFPRSHHLDLAQARWAYTAAASSSSSPLRYSMVLTKFMLLPSALLRRYSCSPGLAAARAVVDRERNCEDILMNFVAAEASGEGPVLVEAGSVRDWGDPRNDDDEGMKGVGLSGKGGMAHWEKRGGCITEFHRLLGRMPLRYSYGKVVPAGGGGGGSEQGLCSKHGRLVRCDDQSQ* >Brasy3G268700.1.p pacid=40040192 transcript=Brasy3G268700.1 locus=Brasy3G268700 ID=Brasy3G268700.1.v1.1 annot-version=v1.1 MPQVKPTPAAESVLGLDGEDTLSERGSVGSKPGPGSPDDGGEETSSSSSSAGSKRKRDSLSCDRVQLDEPEVTRSISSDSTWSADSHPPSKSRKKNGHSEHSVSSGALISLPRGVLRKRNKHSEPSVSSGALINRPRGVLRKRNVHPEPSISSGALIGLPRGVLRLRKLPQNVTIEGGTGDFNVLQSNGISKPPHFVRRKNKRIPHTLKENRVAGDDPVSCSKTENGICDQESTEDDLSVETQSHLSGEPSKPVHVDEESLGDDDVNLEENAARMLCSLSDICADPPRKRTNSPDRSSKAYFRHSNRFKDPYNKVKDAAHPARLLRKRDDKMTFRKRRPRRHFYEVSPHDIDPLGIVKERIRVFWPLDETWYFGLVKEYNPVTRLHHVKYDDKDEEWINIQTERIKLLLLPGEGRKISNRNKPKTKYKVNYEGDKKENMDGNSPRSSESEPIISWLARSDQARPATSSNINKPDQCHSNIVPMLSNSLDVEQGKLCSNDAIPGSVPSNGGIEVHNDGTTFEDRRFRFVYSRKRFPRTRNGFLNISEHDSNPKSIENSVDVLGSVIGREASTETDAPVKYVMLLLSRPLKSVYKLRSEAFSDWVPDTLFHPQHGSLVALWPAVCLDILLVDDALGLKHLLLETSLRSAVSLFCLLAGSFNQYSEQSTLKETKTPCTSVRFQISGLHGRNQVVFVLFSFFGIGKSQWKSLQAKLRYHSLKKELSKVNCTYANIKHLTNGTDRGVSTSTNLFSKCLSLDVRGPQFRSESGYPDVDPVIFCLDGQNEFAKRHMDVVAAPLLLLCHHLKLLMESNLTSNGLAHEAAPLSGVSSLNASSSTDWPVDMGTVGFISHSNYSSRKQDMADCLVSLDCNDSNNGDINRACNKFPDQNGSYVPDDKPCSSDLNGICSPQKSKHPSIDIPQDKTSDSPDELLVKDGKATEPVSNLVQELNERPIGSATPTAPRTSYHRNRFTSLSRTFGDGSKLWPEETMSTGFAGGSKKPRSQVSYSVSPKSDELGLKHKGHFRKIHSSIKINGAKRLPDNTRSGESSPESLACVANVLVTVGDRGWREYDTQITIDTDGQSDRRICVRLAEGTKYAHKVCQVLQPGATNRYTHAMLWKGGPEWYLEFPDRSQWLIFKQMHDECYSHNIRAACVKNIPIPGVRLVDGHDDNVVASFVRPQGYLCHIGPDVKIALDESRVIYDMDSDDEDWISRWKKNQQSKISTVCELTDDMFERVVDKFEKLAHTHNCNELTLDQMKELDMDNVPLDIIELIHDYWHDKRQTKGMPLVRHFQSALWKIYEQQLQEWESAVDRIQGSSNGYQGKRLPPKPALFAFCLKPRGLQLQIPSKGPKQRSHKKLMYSGCHSFSREQDGFYRQVSGRKYSEYVGDGRTCESYDGGSLHSPTGHSPRFSMRTDSPRAFDAAERGSTPRFVRTNSVKRNASVAFSEDNQQSPSFRNQKIKRGAPDHWKTAMHEYQNSKHLLPGTVQGQRVDVEELKLRDATSALQHAATMAKLKRERAHCLMHKADLAVHRASVAVMIADAIRASSSSRDPRDEER* >Brasy3G283800.1.p pacid=40040193 transcript=Brasy3G283800.1 locus=Brasy3G283800 ID=Brasy3G283800.1.v1.1 annot-version=v1.1 MSVFGGDSWARESQQRKRRLDDLMLPASASSPSASGSFKRLSSGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAIESRLREKELSRQQEINKRLALSSEASVSQCSNQHPGVRPGDMKEKPLIEQTRRAILEAKSNRSNNFSATKVAHDLMRMEKSLYHDFRVAPSRVSLEKWTGNTGPAESKSSEGGLSNGNKKRSKVLSECQIDLKKRQEQELRFTASGWKRDCHGKWYRDGNVEFDSDEDDPNICIG* >Brasy3G215900.1.p pacid=40040194 transcript=Brasy3G215900.1 locus=Brasy3G215900 ID=Brasy3G215900.1.v1.1 annot-version=v1.1 MGLSLVVAAVSVLVLSVAGFGVAREGNGKLTLPSNATLAGCRKSCAGLTFQYPFGVGPGCSRGGDFELICDESTKEHKLFLHDGTTRVRSNVEMTRQSLWSRTYFMGSDSPETTDIEGNSVDISFTRVIPMEPGRSNYSMNWTVPGRTFHFDYAHLRIVGCDLTAQLVDDKSGKLLEKCESFCHDGRTPTESEAAASCDNNGGGVGVGCCEIRSSRYNDSGTFHLIIWREGGGDPVSNGCVDRNSVCRSNVLYNGHTCTCKDGYVGNLYILDGCKTDGYHPTPVRSSCPPSPKCGDIQVPFPFGLEEGCSARKIFQLNCTNASSSTLLLTDYLQVTKIDVNEGLIQCNCNENFSSDPYEGQFPTLYRSSSLSVPWVAANLNCEEAKKDSTSYACGSDKSSRCKDVEIKGSYSGYRCECSPGFRGNPYIRCKDIDECVEGTHTCKGICRNTIGSYKCTPYLLFGALVGLASTVGILLLFFATVLVIRRQKSYIKRKQQRKYFQKNHGLLLQQLISSDENARNNTKIFSQQELEKATNNYDSAFILGSGGHGMVYKGILSDQRVVAIKKSNVIKDGEINQFINEVAILSQINHRNIVKLYGCCLETEVPLLVYDFVPNGSLYEVLHDDTNNGFYLSWDDCLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLGNNYTAKFSDFGASRSVPANQTHVVTNIQGTFGYLDPEYYHTGQLNQKMDVYSFGVVLAELLLRKKPIFVDKSGLHQNLACYFLEQFKARQIREIVSAQVLEEASEEEIEGACSLRPTMKEVEGALKLVRAKRLTDAAKGHCQPPSVDFGARANLASEDGYSYRSLEQECLSSIDLPR* >Brasy3G262600.1.p pacid=40040195 transcript=Brasy3G262600.1 locus=Brasy3G262600 ID=Brasy3G262600.1.v1.1 annot-version=v1.1 MAATRAAAKQVTRRNFPEALQELAAHLKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLHIAVCPFRFDATSHSTVVANPFLPFEEYACSVSVLQCIKISVPSSLLMLLRVFWLYRYNFHLFPRDELQLGMPSYSFSCQTSYFSSMARDGFDFNTCIYDGISYLSRVQESLARQKIFIPHLRQPSPSPSTTVADSVFTTRIKSRIQHWRKGYAEPSETADGSLVNSLRKLILGGESYGSRPSMSIDVCSDRQVQLVLETINRVSDDLVPLVVPDKAGMSRAVRVIFTSSEEDKNLLLMDIQKLEDEHSLKFRGFREVIDLLSSSQKPIISYNCLNDLTMIHTKFIGPLPPNMHEFTCSLRMVFSSVVDVGHLWREIGPLRKAKNIQAALSYLQRQYFVPMEIEMPQQDGTNSVTKSGENVLRITKLFAKLSMLLKISPKHQSQSGEQHHTVEDFCNIFYPGCMAGESDDVDCTKEPDITRTVSTDSVIFLWGFRETSAKELRYLLTRLHHVFSNDFELRLLDKTCSALIFRSSDTPMELLREISSESPSLNNFFSEGLKAAGFDVYWKVCRLGLWDSDLAEALQAVSSEQATSALSGHGTSEIYWNSSLMLDIKEYLEC* >Brasy3G262600.5.p pacid=40040196 transcript=Brasy3G262600.5 locus=Brasy3G262600 ID=Brasy3G262600.5.v1.1 annot-version=v1.1 MAATRAAAKQVTRRNFPEALQELAAHLKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLHIAVCPFRFDATSHSTVVANPFLPFEEYACSVSVLQCIKISVPSSLLMLLRVFWLYRYNFHLFPRDELQLGMPSYSFSCQTSYFSSMARDGFDFNTCIYDGISYLSRVQESLARQKIFIPHLRQPSPSPSTTVADSVFTTRIKSRIQHWRKGYAEPSETADGSLVNSLRKLILGGESYGSRPSMSIDVCSDRQVQLVLETINRVSDDLVPLVVPDKAGMSRAVRVIFTSSEEDKNLLLMDIQKLEDEHSLKFRGFREVIDLLSSSQKPIISYNCLNDLTMIHTKFIGPLPPNMHEFTCSLRMVFSSVVDVGHLWREIGPLRKAKNIQAALSYLQRQYFVPMEIEMPQQDGTNSVTKSGENVLRITKLFAKLSMLLKISPKHQSQSGEQHHTVEDFCNIFYPGCMAGESDDVDCTKEPDITRTVSTDSVIFLWGFRETSAKELRYLLTRLHHVFSNDFELRLLDKTCSALIFRSSDTPMELLREISSESPSLNNFFSEGLKAAGFDVYWKVCRLGLWDSDLAEALQAVSSEQATSALSGHGTSEIYWNSSLMLDIKEYLEC* >Brasy3G262600.2.p pacid=40040197 transcript=Brasy3G262600.2 locus=Brasy3G262600 ID=Brasy3G262600.2.v1.1 annot-version=v1.1 MAATRAAAKQVTRRNFPEALQELAAHLKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLHIAVCPFRFDATSHSTVVANPYNFHLFPRDELQLGMPSYSFSCQTSYFSSMARDGFDFNTCIYDGISYLSRVQESLARQKIFIPHLRQPSPSPSTTVADSVFTTRIKSRIQHWRKGYAEPSETADGSLVNSLRKLILGGESYGSRPSMSIDVCSDRQVQLVLETINRVSDDLVPLVVPDKAGMSRAVRVIFTSSEEDKNLLLMDIQKLEDEHSLKFRGFREVIDLLSSSQKPIISYNCLNDLTMIHTKFIGPLPPNMHEFTCSLRMVFSSVVDVGHLWREIGPLRKAKNIQAALSYLQRQYFVPMEIEMPQQDGTNSVTKSGENVLRITKLFAKLSMLLKISPKHQSQSGEQHHTVEDFCNIFYPGCMAGESDDVDCTKEPDITRTVSTDSVIFLWGFRETSAKELRYLLTRLHHVFSNDFELRLLDKTCSALIFRSSDTPMELLREISSESPSLNNFFSEGLKAAGFDVYWKVCRLGLWDSDLAEALQAVSSEQATSALSGHGTSEIYWNSSLMLDIKEYLEC* >Brasy3G262600.4.p pacid=40040198 transcript=Brasy3G262600.4 locus=Brasy3G262600 ID=Brasy3G262600.4.v1.1 annot-version=v1.1 MAATRAAAKQVTRRNFPEALQELAAHLKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLHIAVCPFRFDATSHSTVVANPYNFHLFPRDELQLGMPSYSFSCQTSYFSSMARDGFDFNTCIYDGISYLSRVQESLARQKIFIPHLRQPSPSPSTTVADSVFTTRIKSRIQHWRKGYAEPSETADGSLVNSLRKLILGGESYGSRPSMSIDVCSDRQVQLVLETINRVSDDLVPLVVPDKAGMSRAVRVIFTSSEEDKNLLLMDIQKLEDEHSLKFRGFREVIDLLSSSQKPIISYNCLNDLTMIHTKFIGPLPPNMHEFTCSLRMVFSSVVDVGHLWREIGPLRKAKNIQAALSYLQRQYFVPMEIEMPQQDGTNSVTKSGENVLRITKLFAKLSMLLKISPKHQSQSGEQHHTVEDFCNIFYPGCMAGESDDVDCTKEPDITRTVSTDSVIFLWGFRETSAKELRYLLTRLHHVFSNDFELRLLDKTCSALIFRSSDTPMELLREISSESPSLNNFFSEGLKAAGFDVYWKVCRLGLWDSDLAEALQAVSSEQATSALSGHGTSEIYWNSSLMLDIKEYLEC* >Brasy3G262600.3.p pacid=40040199 transcript=Brasy3G262600.3 locus=Brasy3G262600 ID=Brasy3G262600.3.v1.1 annot-version=v1.1 MAATRAAAKQVTRRNFPEALQELAAHLKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLHIAVCPFRFDATSHSTVVANPYNFHLFPRDELQLGMPSYSFSCQTSYFSSMARDGFDFNTCIYDGISYLSRVQESLARQKIFIPHLRQPSPSPSTTVADSVFTTRIKSRIQHWRKGYAEPSETADGSLVNSLRKLILGGESYGSRPSMSIDVCSDRQVQLVLETINRVSDDLVPLVVPDKAGMSRAVRVIFTSSEEDKNLLLMDIQKLEDEHSLKFRGFREVIDLLSSSQKPIISYNCLNDLTMIHTKFIGPLPPNMHEFTCSLRMVFSSVVDVGHLWREIGPLRKAKNIQAALSYLQRQYFVPMEIEMPQQDGTNSVTKSGENVLRITKLFAKLSMLLKISPKHQSQSGRHLPKN* >Brasy3G336800.1.p pacid=40040200 transcript=Brasy3G336800.1 locus=Brasy3G336800 ID=Brasy3G336800.1.v1.1 annot-version=v1.1 MARASAAVVLFALVCCLLVDPYPARRPGELPPQVITAAHVPVDDPREPLLPKLVALEEVDPVRQAPQVFRCGGEDVAAAVTVSSSSEEDAQPRRESGAEEEAGIMGWFRGSDTDCDSGSDTDRDSDSDDEHDGGWIMGWFWRLARHF* >Brasy3G288300.1.p pacid=40040201 transcript=Brasy3G288300.1 locus=Brasy3G288300 ID=Brasy3G288300.1.v1.1 annot-version=v1.1 MIALRAPVALRHLVVAGGQRKRCIGGDRKRGRAQRRHFTQAPESLWRCGPHPSPRPLAPAAARSEANASSQPPPLENASFEEYYKEQQIVREEEWDAFMSVLRRPLPATFRINASCRFWKDICSKLENDFRGSLESEVSDEHGEGAISPLPWYPGNLAWHLKFSRKQLRKNQALESFHEFLKHGSEVGNITRQEAVSMVPPLFLNVQPDHHVLDMCAAPGSKTFQILEMIHQSEEQCLLPRALVIANDFKVQRCDLLIHNTKRMCTANLIVTNHEAQNFPSCSLAKDHRKPQGLEFDRVLCDVPCSGDGTIRKGHDTWRKWNSSTGNEIHLLQVNIAMRGIALLKVDGRMVYSTCSMNPVENEAVVAELLRRSGNSVELLDVSNELPELVRRPGLNTWKVKDRGFWFQTHEDVPRNRKNVILPSMFPSSKSTHEGHTVYNGIEANSNHSTSFSRDFINIEEAGNVNCDSAKSLDSTSSRVDSNFPLDRCIRIVPHDQDGGAFFIAVLHKVSPLNESQMIEVRKTEHPLLTDRMEKLPKQHQIEIDKKLMNQHSTVTEALDYDKLIDEQNHLSMDNETSKDNNLIGVRMVSDDVEYGQAESGGRSHRTKKLHNEHRWKGVDPVLFFKDNVVIENIVSFFGIKESFSLEGHLVTRSTDNARRLYYISKSVQEILELNVQVGEQIKIASLGVKMFERHRSKDGCSCAYRLSYESLSLLLPYMSKRILYASPNDFQHLLQYRTINFAHFVDARFGQEAASLMPGCCVVVLREELQNTDYIAMDPSAIAIVCWRGKATMNVLVSPPDRKELLEYRFGLKAFSVEDESSNHKID* >Brasy3G098400.1.p pacid=40040202 transcript=Brasy3G098400.1 locus=Brasy3G098400 ID=Brasy3G098400.1.v1.1 annot-version=v1.1 MVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFSWKAAAKRSLYKAILGTISCLDSWHIVVSMPEEGEEEEELDLPHSLRHLGECTFYDDGTAEGEAPETVSCFAGVFYNYFSIGMDAQVAYGFHQLRDDKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKKMDSSEWEHIPVPSSVRAIVALNLHNYASGRNPWGNLKPEYLEKKGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRIEIKGGQWRDAYMQMDGEPWKQPLSTEYSTFVDIKRVPYPSLIINGADR* >Brasy3G232600.1.p pacid=40040203 transcript=Brasy3G232600.1 locus=Brasy3G232600 ID=Brasy3G232600.1.v1.1 annot-version=v1.1 MESLPLTAEAIAFTEKKMDMTLEDIIKMSKKKVPAGKKAPRQPMKKRPFQNGNSNQGNAKVQRFMDSRSSIRQGVLAQRRSSLDGNQFQITKQAAKKAATMPVRGRPVRWNKPSAPSTSAQRRPVNEALQNGKGKEVLNQPPRTMDALFAHMKQQRMRIMPPPQSNATHGHQFNVQRRVQQQRRGRGGYGGRNGGGNR* >Brasy3G216700.1.p pacid=40040204 transcript=Brasy3G216700.1 locus=Brasy3G216700 ID=Brasy3G216700.1.v1.1 annot-version=v1.1 MGEASLTSSRSMSQRSVEYHPLMEEEEEEPPAAAAAGSYTRVCSRSNSSSWVVEMEKKIVDMNSEPAVEMARWKRHSIYRVPERIKNLHNSKAYQPELVSLGPFHHGDPELLPMEEHKRRAVVHLVKRSGKPLRDFICAVAEVAPQLQEAYKDLGGEWRGAGERRERFVELMVTDGCFLVEAMRMDALRGKVEGDYALNDPVFSKYGYLYLWNYIQSDMVVVENQLPLLLLQRLLVVLDHDRYQNASGVTRLVLDSLCPWRRHLVAINHLGLHPLDILHTSLTHGDHHDRTGSTAYVMPSAMEIYEAGIHFKVSDTDSLLGVSFERGVLSMPAIRVDDRTEKKFLNLMAFERLHPGAGNDVTAYVIFMDNIISSAKDVALLRSKNIIECGLGSDEEVAYLLNNTLNKGGVMSPSSRLHDVQRQVKAHCGMRWNRWRANFFQRYLRNPWVFISLVAAIVLLVATLLQTVYTVLPFYKPA* >Brasy3G323900.1.p pacid=40040205 transcript=Brasy3G323900.1 locus=Brasy3G323900 ID=Brasy3G323900.1.v1.1 annot-version=v1.1 MSDSTLQDLNLAQSAELEKSKDSVAKPCNTKPVLNGNKRVDKEENAPLACPDAVTNGCEAAVVDVEYIDSENLIDLPDVDTSFSTLLARLDSKDWIKTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSALCKTALMTCADIFKAYGELMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALISMTSWISPSILLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGVEGIKEYGMDELIQVAATQLSDQLPESREAARKLSLELQAFYEKSQASSSGEDESVPAASPAAETWEAFCQSKLSALSAQAILRVTSTTKETSTTKDGVTVGVPFALKEGGGPVTPKEGDVIVAPKEGAAAVGC* >Brasy3G107200.1.p pacid=40040206 transcript=Brasy3G107200.1 locus=Brasy3G107200 ID=Brasy3G107200.1.v1.1 annot-version=v1.1 MPGKKRPVRRPSNAREKAPYEQVSKRSRFCSVAVAKRALPAPAAVISRMRLGDSKCSNWGITGHRESSCGNPKILLVLILPVAKRNNHRRLPHHIGDANSAENSIVDAEAARAFAIEKVRRKKR* >Brasy3G114800.1.p pacid=40040207 transcript=Brasy3G114800.1 locus=Brasy3G114800 ID=Brasy3G114800.1.v1.1 annot-version=v1.1 MDYVSHKEMDGEGPKGSGQVVWTPPMSSFMLNHLFNLVASGVRTSHGFKSVHLNACARALNDHFKLNLSGGQVGNHNRTWKRKWQRIAKLKKLSAALWDEGKCMIVLDHEHYTGHIKDHKGDEPYLNKTIEHYNEMVTIYGNGMATRDYAKGSSEPLATDFVDVEDDEPTNRNATPPPNEEVTHSHNIGESSASRPSKRTKTTHCEEEGLGPTLLAVAIEKNVSNDNTLPEGLWGNMKTLPTFGRDFLAHYYAYLVEHPRIARAFHTLEHDEKMVWVARYVRNNIPSHPEANPPE* >Brasy3G114800.2.p pacid=40040208 transcript=Brasy3G114800.2 locus=Brasy3G114800 ID=Brasy3G114800.2.v1.1 annot-version=v1.1 MDYVSHKEMDGEGPKGSGQVVWTPPMSSFMLNHLFNLVASGVRTSHGFKSVHLNACARALNDHFKLNLSGGQVGNHNRTWKRKWQRIAKLKKLSAALWDEGKCMIVLDHEHYTGHIKDHKGDEPYLNKTIEHYNEMVTIYGNGMATRDYAKGSSEPLATDFVDVEDDEPTNRNATPPPNEEVTHSHNIGESSASRPSKRTKTTHCEEEGLGPTLLAVAIEKNVSNDNTLPEGLWGNMKTLPTFGRDFLAHYYAYLVEHPRIARAFHTLEHDEKMVWVARYVRNNIPSHPEANPPE* >Brasy3G114800.3.p pacid=40040209 transcript=Brasy3G114800.3 locus=Brasy3G114800 ID=Brasy3G114800.3.v1.1 annot-version=v1.1 MVKGLKEVVKWALNDHFKLNLSGGQVGNHNRTWKRKWQRIAKLKKLSAALWDEGKCMIVLDHEHYTGHIKDHKGDEPYLNKTIEHYNEMVTIYGNGMATRDYAKGSSEPLATDFVDVEDDEPTNRNATPPPNEEVTHSHNIGESSASRPSKRTKTTHCEEEGLGPTLLAVAIEKNVSNDNTLPEGLWGNMKTLPTFGRDFLAHYYAYLVEHPRIARAFHTLEHDEKMVWVARYVRNNIPSHPEANPPE* >Brasy3G171400.1.p pacid=40040210 transcript=Brasy3G171400.1 locus=Brasy3G171400 ID=Brasy3G171400.1.v1.1 annot-version=v1.1 MASARARQARKTWCSHCGASLSAAPGARSVRCALCHAETRVERRPQHHGGLHQAMGFIKGLFNNAFGSASFATSSESMRAGDAYGLPASYPRPRDSKKRALLVGISYACTKYELKGSVNDVKCMRYLLRDKFNFPTDCILTLTQDEKDPYRVPTKDNLRLAMRWLVEGCTSGDSLVFHFSGHGVQKLDNNGDEMDGYDEALCPQDFEDRGVILDDEINETIVRPLGSGVKLHAIIDTCHSGTILDLPYLCRMSRTGYWQWESQARQQETPKGTNGGIAISFSGCGDSQNSADTTSFSGSASTGAMTYSFIKAVLSEPGPTYGRLLSAMRTTIRDNGGECGIPGPIGSFFRRVITFSCAQEPQLCASETFDIYRKPFLL* >Brasy3G122300.1.p pacid=40040211 transcript=Brasy3G122300.1 locus=Brasy3G122300 ID=Brasy3G122300.1.v1.1 annot-version=v1.1 MLSHLKIDSILARRGSSQYTIRSLTIDFFLQDDDMISIIGHAVGHSMKTQEVEIADFTVLTERDDISCNDDHLIGYGRQFMLFFDACPVAFGGLTHLRLENLRFGELGISNVLITCKRLQYLRLFNCDSGSLKVLQLEHSQLSELSVVDCSFERVEFNSLPRLIQMTFQGWITFQDPLSLGYVPLLEALCLANVCLNWHKMVKLSKFLVDTSLRNLKLGFECEKVGIVFHQLRFVYLDDIPEGYDLTWTLFILEVASNLKDLYLTVWDHLCKMVTDEEDRKSHSYSEKKGVEWKSPPSSFQHRNLTALVIFGFQSKDYMVGYVRCVMEATLYLRGVFLYAKMSCGECLPIPRDKLCFPFTQRNRRLVNERITKGINSSALVSFKEGSIRADHLAKITFP* >Brasy3G135100.1.p pacid=40040212 transcript=Brasy3G135100.1 locus=Brasy3G135100 ID=Brasy3G135100.1.v1.1 annot-version=v1.1 MRWTSRMPATSSARCIASSAAVESRDGKGAASSGRGDAVHVPRARAPHRRGTAGGAPAAPSRRASCDWERRARQRRARTGGMPGAAAQARQRRVRAAVRGAERVRALPASPSFVSTPAAAFALAREVFVKMPANFVFGMGAVTRSRIFPIIKNLPAPPHMSKRRTSFGGNEWRCS* >Brasy3G143400.1.p pacid=40040213 transcript=Brasy3G143400.1 locus=Brasy3G143400 ID=Brasy3G143400.1.v1.1 annot-version=v1.1 MADASSGGGRGRGAPRPSTAANLPPSTSAPSSLDGGLLLRLLQNPPPRPHQQQTPVQPLPEQHNFFVDPAVAAVGPLFPYPPQVQGRGFAWPSSSSSSSTQPQLRFPDPRFAQPLDPYTERGGAGLGSVDGAARSRGEKPRTGAPPPGFGKASHPREVPDVFGGMQQNMAQGRESNHQHPKGFGRAQNNEHQMMPPFAGGQGVLGRLPHGEHHTTPITGGRGTASGMLYMEQQQQHDHILSRTPPDANAHGIIGRMPHGEQRMPQFAGGRLLPGDHHNHPSTGGRIPHRGQRQQEPGLANMSQHEQRWQGHGSQKLPNANVCEMTVKEKHQVILPASTSVGADVREDRGKKMLAETNGSEVDGVGEVGYEHGIHGRVVVEGRNFEVPYHKSEVRFAGKTDQDDESKEEDAIIEQFMDTVVIEGNGEAKSVVVQSSGSRSKDFRSDFSRGHHVSSQRVRFQRRIRACRYDIDQFSPSFLSIFESLVPSEEEIAKQNQLLIALSRLITMEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDNNEMSKVDIILKLADILQAGNLQNIQALTRARVPIVKLMDPDTGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQFAFIVKHWAKSRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATCYVTVDDNHCAYFDQVDKLNNYGAHNKETISSLLWAFFHYWAYQHDYTKDVISIRTGRIISKHMKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIKILREEFERAANILQYDPNPSVTLFEPYVPPPLSTSMEQEGTASTAGVVL* >Brasy3G143400.2.p pacid=40040214 transcript=Brasy3G143400.2 locus=Brasy3G143400 ID=Brasy3G143400.2.v1.1 annot-version=v1.1 MADASSGGGRGRGAPRPSTAANLPPSTSAPSSLDGGLLLRLLQNPPPRPHQQQTPVQPLPEQHNFFVDPAVAAVGPLFPYPPQVQGRGFAWPSSSSSSSTQPQLRFPDPRFAQPLDPYTERGGAGLGSVDGAARSRGEKPRTGAPPPGFGKASHPREVPDVFGGMQQNMAQGRESNHQHPKGFGRAQNNEHQMMPPFAGGQGVLGRLPHGEHHTTPITGGRGTASGMLYMEQQQQHDHILSRTPPDANAHGIIGRMPHGEQRMPQFAGGRLLPGDHHNHPSTGGRIPHRGQRQQEPGLANMSQHEQRWQGHGSQKLPNANVCEMTVKEKHQVILPASTSVGADVREDRGKKMLAETNGSEVDGVGEVGYEHGIHGRVVVEGRNFEVPYHKSEVRFAGKTDQDDESKEEDAIIEQFMDTVVIEGNGEAKSVVVQSSGSRSKDFRSDFSRGHHVSSQRVRFQRRIRACRYDIDQFSPSFLSIFESLVPSEEEIAKQNQLLIALSRLITMEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDNNEMSKVDIILKLADILQAGNLQNIQALTRARVPIVKLMDPDTGLSCDICVNNLLAVVNTKLLRDYAQIDRRLRQFAFIVKHWAKSRRVNETYQGTLSSYAKWRRLVMSLWMIIIALTLTKWTS* >Brasy3G142000.1.p pacid=40040215 transcript=Brasy3G142000.1 locus=Brasy3G142000 ID=Brasy3G142000.1.v1.1 annot-version=v1.1 MPRISTVELGFVKVLFETPSGFAIFSFDGQFLKKNIKHIWSYFVGDYWHERIIWLDEFKKFEDKATAINHTTEKIDISLIKILWNWCQPEDKLLVGRPEYKRIIE* >Brasy3G233300.1.p pacid=40040216 transcript=Brasy3G233300.1 locus=Brasy3G233300 ID=Brasy3G233300.1.v1.1 annot-version=v1.1 MTRLFEDPPAAISHPAHPEHELKLMTASRGTAAEAFECDGCKQPGDGPSWYRCELCNYDLHTCCALPSPTLEHAMFKGRTFVFHHEHPYSPACGRFCDACGDDVVAGGFVYHCSDRGQDLDLHPCCALLPPRIVAQDGRAFELRKEASRRCGMCGANGRRRKFWAYRSCYDDGQALYVHVACLKDAHKHRGRSIGSGGGGQILLASSPITEGVLQSLPRSTRKSGGFDKFRRIVGVVVSVIIAVIFGNPLALVSAVAGPGGLLRG* >Brasy3G147100.1.p pacid=40040217 transcript=Brasy3G147100.1 locus=Brasy3G147100 ID=Brasy3G147100.1.v1.1 annot-version=v1.1 MARILVAVLALHLTAAVASAASSVAGGGAFDPSRVVQLSWRPRAFLHKGFLSDPECDHMIELAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKRQDEVVARIEERIAAWTFLPSENGESIQILHYKNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLTQHKDETASECAKNGYAVKPMKGDALLFFSLHPDATTDPDSLHGSCPVIEGQKWSATKWIHVRSFENPGKQGASGDGCEDENVLCAQWAAVGECAKNPNYMVGTKEAPGFCRKSCNLCAQ* >Brasy3G293000.1.p pacid=40040218 transcript=Brasy3G293000.1 locus=Brasy3G293000 ID=Brasy3G293000.1.v1.1 annot-version=v1.1 MEEPPQVELRNLEIQNAGAADTSGGKTDEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGRLMAACLPLAVIGIKGTRWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHRDIHPLAAMLLTQTTQLMGYGWAGLFRKFLVESPYMWWPANLVQVSLFRALHEKEQKRPKGGTTRLQFFLTVLATSFAYYIVPNYLFPTISTVSVACLIWRNSVTAQQIGSGAHGLGVGSFGLDWATVAGFLGTPLSTPAFAIANVMAGFFLVVYVVLPVAYWSNAYDARRFPIISSHVFMANGTRYDVARVLDPATFRFSGAGYADAGQINLSVFFAFAYGLSFATLAATLSHVALFHGRSIWRQTKATVASSGHAGGRDVHARLMKRNYAAVPQWWFQVLLVVVLALSIFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVMTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAAQLAGTVLASSVYFGTSWWLLETVPGVCDPARLPAGSPWTCPGDDVFYNASVIWGVVGPLRMFGRLGRYPGMNWFFLAGALAPVPAWAMARAFPGKAWAFRLVNTPVLLGATGMMPPARAVNYLMWGAVGLLFNHVVYRRCKAWWARHNYVMSAALDAGVAFMGIASYAVLQAGGVNGVDWWGLQVDDHCPLARCPTAPGVSVPGCPVQ* >Brasy3G293000.2.p pacid=40040219 transcript=Brasy3G293000.2 locus=Brasy3G293000 ID=Brasy3G293000.2.v1.1 annot-version=v1.1 MEEPPQVELRNLEIQNAGAADTSGGKTDEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGRLMAACLPLAVIGIKGTRWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHRDIHPLAAMLLTQTTQLMGYGWAGLFRKFLVESPYMWWPANLVQVSLFRALHEKEQKRPKGGTTRLQFFLTVLATSFAYYIVPNYLFPTISTVSVACLIWRNSVTAQQIGSGAHGLGVGSFGLDWATVAGFLGTPLSTPAFAIANVMAGFFLVVYVVLPVAYWSNAYDARRFPIISSHVFMANGTRYDVARVLDPATFRFSGAGYADAGQINLSVFFAFAYGLSFATLAATLSHVALFHGRSIWRQTKATVASSGHAGGRDVHARLMKRNYAAVPQWWFQVLLVVVLALSIFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVMTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAAQLAGTVLASSVYFGTSWWLLETVPGVCDPARLPAGSPWTCPGDDVFYNASVIWGVVGPLRMFGRLGRYPGMNWFFLAGALAPVPAWAMARAFPGKAWAFRLVNTPVLLGATGMMPPARAVNYLMWGAVGLLFNHVVYRRCKAWWARHNYVMSAALDAGVAFMGIASYAVLQAGGVNGVDWWGLQVDDHCPLARCPTAPGVSVPGCPVQ* >Brasy3G324000.1.p pacid=40040220 transcript=Brasy3G324000.1 locus=Brasy3G324000 ID=Brasy3G324000.1.v1.1 annot-version=v1.1 MKESYKPTTPVNKKNNSDSDDCCCQMDGIHSGAKKSCCASSKINDSSGVGVLRYALHLRFLCPASKKSSKSMLRCKSDPLSVPYSGNTVTEERRFYLYNDLRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN* >Brasy3G185000.1.p pacid=40040221 transcript=Brasy3G185000.1 locus=Brasy3G185000 ID=Brasy3G185000.1.v1.1 annot-version=v1.1 MAACSALTAATLPSAAAAPSGQRRATVSVRLPGGRGQRLSGVLRCSAGPSGLGVSGKLAELWRATRSAPPGIVLAAVAAAAVVYKVASGLLAPPPPPPLRRREVADEALPPAPEPVEVGEITADELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGSFELSALQDWEYKFASKYAKVGSIKIKSTAPVEEGDFSTSAEIQEEVAAVKLNGEKAP* >Brasy3G185000.2.p pacid=40040222 transcript=Brasy3G185000.2 locus=Brasy3G185000 ID=Brasy3G185000.2.v1.1 annot-version=v1.1 MCSPPFWAGGAVAELWRATRSAPPGIVLAAVAAAAVVYKVASGLLAPPPPPPLRRREVADEALPPAPEPVEVGEITADELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGSFELSALQDWEYKFASKYAKVGSIKIKSTAPVEEGDFSTSAEIQEEVAAVKLNGEKAP* >Brasy3G081300.1.p pacid=40040223 transcript=Brasy3G081300.1 locus=Brasy3G081300 ID=Brasy3G081300.1.v1.1 annot-version=v1.1 MAPPPPLLLEEGTGESSSPPRNATKPAHSDLRRKIYEKLVKAGKQGGLDDSSFREMLYTHFGSLPERYLIDLGEDKAEDVLLHRSVLDECALSGSSAVIRARLLPRSSAPSSECTTVQPECEGTNPDSDPCQKLEDLTLERKHGADNTNSVSNSSRSNHTLVHEIIFSCVDRPKLLSQLTALLSEIDLNIREAHVYSTTDGYCLDVFVVEGWMTERTDELIMMMKDKLIQQNGTPSSSTDSSSSVKIRDLRQQVGDSEIDWSMITKGEMIASGSTADLYRGTYNGFDVAIKMLRVAHLNNPSEVEFLQEVLILRCVNHENILQFYGASTRHPNCCIVTEYMPEGNLYEFLHKQKDLLEIREILRIAISISKGMEYLHRNNIIHRDLKTANVLKGHGQVLKIADFGVSRIGSQEGQMTAETGTYRWMAPEIIDHKPYDHKADVFSFAIVLWELITLKVPYDNMTPLQAALGVRQGLRLEIPSGVHPGLSKLIRQCWDDDPEVRPAFGEIIAQLEDILQQVQIETPKGGHHRRNRAKKMQKKS* >Brasy3G049100.1.p pacid=40040224 transcript=Brasy3G049100.1 locus=Brasy3G049100 ID=Brasy3G049100.1.v1.1 annot-version=v1.1 MRKNWGLGSGPGRPFSDRRWLLPFLASLLVSATLFLAAACGLFAPPYLASDDAFLFDVVSFTDWDDGPDGSGSPSQQEASSVGPGTANRLLNGDEDNENPDNAAVNSEDSDAEAPRLAYLLEGTKGDGLRMRRVLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVVAKGNLVTYKGPTMVACTLHAVAMLLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHMQISGWKLMQRAKPIVLDPGLYLSKKFDLSTTAERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPAKQHPLTLSMKDFNNMVKSGAPFARKFPKEDKVLDRIDRELLHRSEGRFTPGAWCDGSSDGGADPCSSRDEDSVFEPGPGAERLRVLMKKVLSWDYRNGSCSSLPYDQKKRDWYVPRSKG* >Brasy3G296400.1.p pacid=40040225 transcript=Brasy3G296400.1 locus=Brasy3G296400 ID=Brasy3G296400.1.v1.1 annot-version=v1.1 MARFLVVAFLAATLVAAGWQLGHAAPATSTAEVFWRAGLPESPLPDAILRLLRPAPAAESGFVSRAEEEATNKPPFNYQDYKRPSDTGANARPGDSPFGYKYQGWSGERAGAGKQMDPFGYDYKKKQAPSSSKRQASTGPPPSPFMYAYKTASASAGNGKPKPPKPAPSPFMYAYNNKKASTATGANAKAPPTVFFHEEAVRVGERLRFHFPPASPAPLGLIPRHVANSIPFTPRSLPAALATLGVAPGSAMARRMEATLSTCDDEASPAIAGESKFCAASLEALVEGAMASLGTGDIRPLTSRVPRAGAAAQTYAVRAVRAVEGGPVFVACHDEPYPYAVYRCHTTGPARAYVVDMDGEGGNKVTLATVCHTDTSLWNPDHVSFQLLGTKPGGAPVCHLMPYGHILWAKDVKRSPA* >Brasy3G053600.1.p pacid=40040226 transcript=Brasy3G053600.1 locus=Brasy3G053600 ID=Brasy3G053600.1.v1.1 annot-version=v1.1 MARSPTENKTRTILFVVVVFGLCSFFYLLGAWQRSGFGRGDSIAAVVNEQTKCVRLPNLNFETHHSASDLPNYTASYEVKSFEPCHAEYTDYTPCEEQKRAMTFPRDNMVYRERHCPPEKEKLYCLIPAPKGYVAPFPWPKSRDYVFYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPINEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHESQVQFALERGVPAYIGVLGSMKLPFPSRVFDMAHCSRCLIPWSGSNGMYMMEVDRVLRPGGYWILSGPPIGWKIHYKGWQRTKDDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCPRKQDNSKVGKCESTSDNNVWYKKMEVCITPLPEVKSVSEVAGGQLQPFPQRLNAVPPRIALGSVPGFSVQSYQEDNKLWQKHVNGYKKTNDLLDTGRYRNIMDMNAGLGSFAAVLESTKLWVMNVVPTIADASTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANDVFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLANHESGPHVSEKILFAVKQYWAAESKSH* >Brasy3G053600.2.p pacid=40040227 transcript=Brasy3G053600.2 locus=Brasy3G053600 ID=Brasy3G053600.2.v1.1 annot-version=v1.1 MARSPTENKTRTILFVVVVFGLCSFFYLLGAWQRSGFGRGDSIAAVVNEQTKCVRLPNLNFETHHSASDLPNYTASYEVKSFEPCHAEYTDYTPCEEQKRAMTFPRDNMVYRERHCPPEKEKLYCLIPAPKGYVAPFPWPKSRDYVFYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPINEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHESQVQFALERGVPAYIGVLGSMKLPFPSRVFDMAHCSRCLIPWSGSNGMYMMEVDRVLRPGGYWILSGPPIGWKIHYKGWQRTKDDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCPRKQDNSKVGKCESTSDNNVWYKKMEVCITPLPEVKSVSEVAGGQLQPFPQRLNAVPPRIALGSVPGFSVQSYQEDNKLWQKHVNGYKKTNDLLDTGRYRNIMDMNAGLGSFAAVLESTKLWVMNVVPTIADASTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANDVFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLANHESGPHVSEKILFAVKQYWAAESKSH* >Brasy3G053600.3.p pacid=40040228 transcript=Brasy3G053600.3 locus=Brasy3G053600 ID=Brasy3G053600.3.v1.1 annot-version=v1.1 MARSPTENKTRTILFVVVVFGLCSFFYLLGAWQRSGFGRGDSIAAVVNEQTKCVRLPNLNFETHHSASDLPNYTASYEVKSFEPCHAEYTDYTPCEEQKRAMTFPRDNMVYRERHCPPEKEKLYCLIPAPKGYVAPFPWPKSRDYVFYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPINEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHESQVQFALERGVPAYIGVLGSMKLPFPSRVFDMAHCSRCLIPWSGSNGMYMMEVDRVLRPGGYWILSGPPIGWKIHYKGWQRTKDDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCPRKQDNSKVGKCESTSDNNVWCEGFSTYPRTYDLIHANDVFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLANHESGPHVSEKILFAVKQYWAAESKSH* >Brasy3G053600.4.p pacid=40040229 transcript=Brasy3G053600.4 locus=Brasy3G053600 ID=Brasy3G053600.4.v1.1 annot-version=v1.1 MARSPTENKTRTILFVVVVFGLCSFFYLLGAWQRSGFGRGDSIAAVVNEQTKCVRLPNLNFETHHSASDLPNYTASYEVKSFEPCHAEYTDYTPCEEQKRAMTFPRDNMVYRERHCPPEKEKLYCLIPAPKGYVAPFPWPKSRDYVFYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPINEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHESQVQFALERGVPAYIGVLGSMKLPFPSRVFDMAHCSRCLIPWSGSNGMYMMEVDRVLRPGGYWILSGPPIGWKIHYKGWQRTKDDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCPRKQDNSKVGKCESTSDNNVWCEGFSTYPRTYDLIHANDVFSLYQNKCKFEDILLEMDRILRPEGAVIIRDKVDALVKVEKIANAMRWKTRLANHESGPHVSEKILFAVKQYWAAESKSH* >Brasy3G060000.1.p pacid=40040230 transcript=Brasy3G060000.1 locus=Brasy3G060000 ID=Brasy3G060000.1.v1.1 annot-version=v1.1 MAASAIRNPSPASAAVAASRSAVSTSRSTLRVPAASRRSVAAAAAGHGMRPAKAVAAEAPSPVAERVNGAGLARPDAMGRFGKFGGKYVPETLMHALTELEAAFHALADDQDFQTELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKQRIIAETGAGQHGVATATVCARFGLQCVIYMGAQDMERQALNVFRMRLLGAEVRAVHAGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMDKWGGKPDVLVACIGGGSNAMGLFHEFVDDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYVLQDADGQVIEPHSISAGLDYPGVGPEHSFLRDIGRAEYDSVTDQEALDAFKRTSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVLNCSGRGDKDVHTASKYLDV* >Brasy3G055100.1.p pacid=40040231 transcript=Brasy3G055100.1 locus=Brasy3G055100 ID=Brasy3G055100.1.v1.1 annot-version=v1.1 EIPKPSTQDMYPSQSTSGTRQHAAQLTQDLQAEVAEYRRSFSSGPLLLQREPHQSWLRRLEDKLRSVYAAITCTRTSDVVHHQASVRPPRPSTHQQQPHQQEPPHLRHHPRPRVSEQSTPRPPPPEQAGGSSWQHPQSSFDYWQQQSPFQAGDSSWQQQQSSPMNFEFRPQTQPQGMYISIYCVHYHSCIKICH* >Brasy3G164100.1.p pacid=40040232 transcript=Brasy3G164100.1 locus=Brasy3G164100 ID=Brasy3G164100.1.v1.1 annot-version=v1.1 MAAVPRNPRSLPPSPPNLMMTTTTRKGRRVGCLHALPSPTFLPPSSSSTRPDHCAPLSDMWCPMSPLHQANHIVIIISLLSTLPPPASTILPASLIQHHRLHRRRQPPPPRRAAMDDLSPEMAGHRSSLARRPTGGIEMRQRGRTEAPRNRGLGWGWGGGERCGVGRRPFFYLPDELTTKSTSHWQKSGIEVATDLTTGLMEEALLESTCMD* >Brasy3G110700.1.p pacid=40040233 transcript=Brasy3G110700.1 locus=Brasy3G110700 ID=Brasy3G110700.1.v1.1 annot-version=v1.1 MACSSSSVWRRGSLSENILPKRVSRLCRPAALAPHAALPPRPRISGVPRRRHAPQRTGRARPSSTSVALRRVGPRATPSKTAAASFTTTISGAKSKPFPAPTCCASRACRRPLAASLARLHPRCSPGSRAPASAASRPHTRAALARSPTSARRLQHGAQLAYNRHLALRRPSTSSFPQGTNIKTDTKAMEVVMEILLMNHPLYCAICD* >Brasy3G013800.1.p pacid=40040234 transcript=Brasy3G013800.1 locus=Brasy3G013800 ID=Brasy3G013800.1.v1.1 annot-version=v1.1 MPGRKLVSSMERKGETEKAVPCHGHRCWTAGSATRRRVLIEIVDRTGLDDKTRIVPSIDFPPEAHFAPAPPGCRFKAMIPSEGYWDFVCEQQEEREPGEGGARAPGVGGVPNRRPAATQNPKMPGRKLVSSMDGGGERGTLPRPSSLEGLVSDEVWAKLTDYRRRVLIEIMDRTGIDDKTRVVPNTKIPPEAHFAPAPPGCRFKAMIPSEGYWELVREQQEEREREQREHLARREREEAKRLEREEIRRRAFEEAMQRDALDQEKQRRALEDSIRQSRRAAARCYDDNDDDSKRPRLTTSTP* >Brasy3G167700.1.p pacid=40040235 transcript=Brasy3G167700.1 locus=Brasy3G167700 ID=Brasy3G167700.1.v1.1 annot-version=v1.1 MDGEGEKNKRRGRSDKAEDVRRWKMKRRIFLVSRETTTRGEKAHVTCYLGTTHDRHRRWSILLLPIVCDAKWGCKCCQSKMDFFSSFADLLHTVLRITCVHSLHVFRLF* >Brasy3G119900.1.p pacid=40040236 transcript=Brasy3G119900.1 locus=Brasy3G119900 ID=Brasy3G119900.1.v1.1 annot-version=v1.1 MAKHPDVVTVMPSKMLKLHTTRSWDFMDMEKDGQVLPDSIWKHANFGQNVIIANLDSGVWPESSSFSDEGMAEVPKRWRGSCPGTAKYAVPCNRKLIGARYFNKDMLLSNPAAVDGNWARDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWAGECATADVLAGFESAVHDGADVISVSFGQDAPLADTKSFFHEPVTLGSLHASIHGVSVVCSAGNSGPFEDTVVNGAPWVTTVAASTVDRDFPNQITLGNNIHMKGMSLESTDLHSNKLFPMINASNAALPNCSTDLASNCGMGCLGPPKVKGKIVVCVRGGDIPRVMKGMAVLSAGGAGMILANGEMDGDDVEADPHVLPATMITYSEAVSLYKYMASSAYPVANISPSKTELGVKNSPSMAAFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVSPTEVAADKRRSEYAILSGTSMACPHVSGVIGLLKAARPEWSPAAMRSAIMTTARTEDNTGAPMRDSDGKEATAFAYGAGNVHPNRAVDPGLVYDITPDEYFTFLCALGFTTKDLSRLSGGKFSCPAKPPPMEDLNYPSIVVPALRHNMTLTRRLKNVGRPGTYRASWRAPFGINMTVDPKVLVFEKAGEEKEFKVNMASQKDKIGRGYVFGKLVWSDGIHYVRSPVVVNALG* >Brasy3G026500.1.p pacid=40040237 transcript=Brasy3G026500.1 locus=Brasy3G026500 ID=Brasy3G026500.1.v1.1 annot-version=v1.1 MTPATAAAAAINSALSPHAAPFTLPYRPACAPPGLLQDGDVSSSTDGSFVVCAEEKKARDPQSASFLLTKSSGTVYPPSTYGMQAGQLSSWPGLCVDAYGSSTSIRITSSYEHQSSLISGNRSKCSTVKIERPPNKTSDTNSTGCGPRSKLVIAENPKSNKDTGKETSFPRTLQVKNPVNGKDNSQGTIICSKVENPVFNGKPLRITTTSADPCSFVADDVKPDPSECSVDSPCWKGASASRQSPFDARQTPAPQSANQELEAVSAGQKQSSSTFQHYEAPVDLQISASSKTKHDHSQSYPEVAVSMKSRDISIEQTKDFHGKALECADQCAAKSETKQKHLLVLQDNNVKRSGLNSAAPDFVPSSIGKSKFGKGPCSSTGRSISGVLKAIENLTEVFQSSYSEDEIELEEHDYITLESVISNLQNCLHKTRKVPIKGASDKAGLKAPRPQTAVLKSDAGKYNGSYVADDGKGLIINQFTGSSHMINDFGSSSLTRGQPSLNNVPKKISAEEEHPQVLVYKNLWIEAERANCELKYQLKHTCIKIDLESSMALAGAPRKNYFQVSDLGTDPVNLYGASLAHPPMLSFRKQQPAGETSGSSSSQNLIYPGDCIPSGGNGALSCSPSTKRYSTLQKNLQRGHVLTGQEETVTHHNAHSGLQPAPNRARQELNGSTLDGASSRSYIARRDDIFRGNSEYGSSDWEHVLTEEIGWS* >Brasy3G026500.2.p pacid=40040238 transcript=Brasy3G026500.2 locus=Brasy3G026500 ID=Brasy3G026500.2.v1.1 annot-version=v1.1 MTPATAAAAAINSALSPHAAPFTLPYRPACAPPGLLQDGDVSSSTDGSFVVCAEEKKARDPQSASFLLTKSSGTVYPPSTYGMQAGQLSSWPGLCVDAYGSSTSIRITSSYEHQSSLISGNRSKCSTVKIERPPNKTSDTNSTGCGPRSKLVIAENPKSNKDTGKETSFPRTLQVKNPVNGKDNSQGTIICSKVENPVFNGKPLRITTTSADPCSFVADDVKPDPSECSVDSPCWKGASASRQSPFDARQTPAPQSANQELEAVSAGQKQSSSTFQHYEAPVDLQISASSKTKHDHSQSYPEVAVSMKSRDISIEQTKDFHGKALECADQCAAKSETKQKHLLVLQDNNVKRSGLNSAAPDFVPSSIGKSKFGKGPCSSTGRSISGVLKAIENLTEVFQSSYSEDEIELEEHDYITLESVISNLQNCLHKTRKAPRPQTAVLKSDAGKYNGSYVADDGKGLIINQFTGSSHMINDFGSSSLTRGQPSLNNVPKKISAEEEHPQVLVYKNLWIEAERANCELKYQLKHTCIKIDLESSMALAGAPRKNYFQVSDLGTDPVNLYGASLAHPPMLSFRKQQPAGETSGSSSSQNLIYPGDCIPSGGNGALSCSPSTKRYSTLQKNLQRGHVLTGQEETVTHHNAHSGLQPAPNRARQELNGSTLDGASSRSYIARRDDIFRGNSEYGSSDWEHVLTEEIGWS* >Brasy3G071900.1.p pacid=40040239 transcript=Brasy3G071900.1 locus=Brasy3G071900 ID=Brasy3G071900.1.v1.1 annot-version=v1.1 MPEKPWDLIPSPLNDLDMCLFAAATTITVRDGRFTDFWHDAWLDGLQPKDWAPALFELARRKHRSVKDARWQFKWVSDVAHGINHGNLQQFILLFLRINNAPALSVGEDSIVWNLNPNGCYSAKSAYLAQFHGLIRMPFNPIIWRVTGRPLFHPAAWPALSCVRSWWLDRIEVAGRLGKNLRKSIASMLLLGLWEIWKERNRRTFQHKLLLPPAVFILIRNEASLWNRAGADLGELVSEDDDVP* >Brasy3G220100.1.p pacid=40040240 transcript=Brasy3G220100.1 locus=Brasy3G220100 ID=Brasy3G220100.1.v1.1 annot-version=v1.1 MEAAADDDDGCTFYRCFCSWQDGCASRIPRVYPGRDYVHMPAGADDDDDRPMWSLLVGLTSVSQETIPDAVLGLHRFRVARSGRILGRSDDALDAIYSHRTTGGGYISVTKAALMPPDGHRSLCVFAEYLAPGKAQATPRAQQLRPLTSDMAAASPLPGLPLEPYMRCHPVLAAGRVWTPDFVSESVSRVYKFEKPAVEINKDLYIVCEARSQSIAPKLVECAKPTSDPFSMVLQVGDRIIGLSDTLHVCYYREQNPWVYSTRYSRGHGPPILKGRKANLSGYAVIDDHSFVVTDDTTSSFLLFDLPSGKWSVLRGTLPGTPLLKGRSVFLDGFIYTCSSGGLLAYELVQQGDCLKTLRDPIFLPFSWKLSQSKAWVPERMCLDYCAGKDNISDVIIFFVVQGEFRSNPPGSPRNHDVHITTLEVKTERTGSRTRKPKKIDHVDIGTCYIEHDEELVRTKRCHAVGS* >Brasy3G151700.1.p pacid=40040241 transcript=Brasy3G151700.1 locus=Brasy3G151700 ID=Brasy3G151700.1.v1.1 annot-version=v1.1 MAALLLRRLAGTHRARTPLAAAAAAAGGAALFYASSPPTVAHLEEKGEEVAAKVALNPEKWLEFKLQEKATVSHDSQLFRFSFDPSTKLGLDVASCLVTRAPIGQEVEGRKKYVIRPYTPISDPDSKGHFDLLIKVYPDGKMSQYFANLKPGDVVEVKGPIEKLRYSPNMKRQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSSDWRGGAGYISKDMVLKGLPGPGEDSLILVCGPPGMMNHISGDKAKDRSQGEVSGLLKDLGYTADMVYKF* >Brasy3G168900.1.p pacid=40040242 transcript=Brasy3G168900.1 locus=Brasy3G168900 ID=Brasy3G168900.1.v1.1 annot-version=v1.1 MASKSAAKRGRKTGIPTGSDGSVELGLNGVPVEPQVTEKPPPEQEEVAMAGKRAAKPAPEDEGAGIIKSKKKKKKKSKKMRKSAPEEHGPEDEGHEPEDPEEHGLEDEGAGIIKGKNKKMRKPAPEEHKPEDQGAEVIKSKKRKSDRIKPAQQQGELGTSKVKRTRREWAPNDEILILEQVVNYRHTHGDPPEVKDSGFFDSVLKQLGDQSLELRSVKDKMCSLRRRYFENKHKSTTEHGKRLDNLSEQAWGKPSQVGVKESSGTNLSKKALRKHSRKDSNGSKTGGKSFEEMCEMYPLLAQEVELIADIDPSAKSSFTRIDAEVACKLEKQLDAAKAQVSQVRQTLAGELAEAC* >Brasy3G271400.1.p pacid=40040243 transcript=Brasy3G271400.1 locus=Brasy3G271400 ID=Brasy3G271400.1.v1.1 annot-version=v1.1 MGPSYVSPELKVWPGSTEMKMLFEGVYTETGDGESVLCMVGNAVLPKRADDAAGPWGWAKNTGRNNFQPPVTRDESVLLVLRYPTTMTLTNRAVRGELTSTRGESEAAYFDAVHLLSQLGAYSNYKFGSEDELVNKACSQDRPYHDDIIRDGEGLYRGGSLCGILDMFTSEDAFAVVPNRNCNSTDDALCKRLGPFETDDDKSIDETDGGFKGVSIVMQDIRCEPTATGPAGERSAGAKVSAVFRAVPPREHRYTAAKRSGLGGMTLSAEGVWRASTGQLCMLGCLGAGHKACHSRVCLYVRTGFSATRRSIAVGQITRVDGAAQYPPLTFRRAVHPSELWNRFGVSGGAPLSMTYDYTKAKQAGEFLVRSEPFNLGTAMAKSLLSYPRKPGNLADESNALSMLADDLTLHVPAVTDPFPRERFERPFLQLEVLSLGPLVGRNYPAPVFSGEEEARRGKEAPSASKTTTATATAVLNVSAELTLSGDTYANVSTLSLEGVYNPVDGRMYLIGCRTIDAPWRAFSSLGSLEDGMDCSIEVRVDYPPTTAQWLINPTAKVRISSTRGAGDPLRLNATAALQTLPIIYREQRQDILSRRSVEGILRVATLGAAIGAEFTQLMYIKAHTDVMPYVSLVMLGVQALGYSMPLITGAEALFARIAAAGAGPAPPSYVVDKSQLYWVIDCVVKILILGAFLLTLRLAQKVWRSRIRMLTRSPLEPGLVPSDRKVFLYSFSAHLLGFTLILLGRYVSALGRPVRAEASYMDARGRSHALRQWAVTLEEYVGLAQDLFLLPQVIGNVLWRISCRPMKKSYYVSVTAVRLLPHLYDYVRQAPAINPYFAEEYEFVNASLDFYSAFGDVAIPLAAVALAAAVYVQQRWNYKIISRTVKTQQKKLQHLGSRVYERLPSMSSGNFEAELVAGVNDGAAIGIGPRRDASLT* >Brasy3G038200.1.p pacid=40040244 transcript=Brasy3G038200.1 locus=Brasy3G038200 ID=Brasy3G038200.1.v1.1 annot-version=v1.1 MGEASSDIAAAAAVRVLAVTRVAPSTAEAGRRVKLSFFDTPWVVLPPIQRVFLYELELAEDDGFPAVVGRLKRALADTLAHYLPLAGTLEYVAETGDAVVDCSDAGVVFVEAEADGIGMDVRRLAGDEAHDVLAFQSLVPELDVRVLPAPVLSVQATRLGAGVAIGFSVHHAVADGRAVWRFIEAWASASRVGSPVTDALGPPHYGREAVPHARGDDLARELLKMVAPNVPVVNTGQFDFSQRVLRARRTFHLRANDIRSLKQRIDDLAAAEASTGAPKPKPVSTFVALAALGWTAFVRSKGLGAGEDTYLMFLADLRARLDPPVSDAYFGNCVRACLATCADAADLHGAAGILRASRAVQRAVEEMAAAPLAAADRSWVHMLTRLPFSRLANVAASPRFRAYEASDFGFGRPARVELVSMNQDGEMVLVGGRRDGEVQVSVSVDPAHMDAFKACILG* >Brasy3G091900.1.p pacid=40040245 transcript=Brasy3G091900.1 locus=Brasy3G091900 ID=Brasy3G091900.1.v1.1 annot-version=v1.1 MASSSSVLGLSLAVLAMVAAVSEAGFYDRFDVGGSGDHVRVTDDGKTQQVALVMDRGSGGAGFSSRDKYLFGEFSVQMKLVGGNSAGTVTSFYLTSGEGDGHDEIDIEFMGNLSGDPYVMNTNVWASGDGKKEHQFILWFDPTADFHTYKIVWNPKNIIFQVDDVPVRTFKKYDDLPYPSSKPMTVHSTLWDGSYWATRHGDVKIDWSQAPFVVNYRGYYANACAGNGACPAGSDAWMSKQLDDKQLGTVAWAERNYMSYNYCADGWRFPNGFPAECFRK* >Brasy3G047200.1.p pacid=40040246 transcript=Brasy3G047200.1 locus=Brasy3G047200 ID=Brasy3G047200.1.v1.1 annot-version=v1.1 MAQSVARITMEVTPSKLASIIRRARLPRKLDTIAEEDKEAVESPSPRAHAHGASFSKEADKCAQFLASSKNVAGCPKIKA* >Brasy3G203100.1.p pacid=40040247 transcript=Brasy3G203100.1 locus=Brasy3G203100 ID=Brasy3G203100.1.v1.1 annot-version=v1.1 MKKKSPPAAPTTISDLSDDLVRKVFLRLPSLPSLVRAALTCRGFLRAVRSSPAFRRRFRELHPPSLLGVFLEIDDHAMPTFTPVRRRSDPDLAAAVRGADVFLTRLPDDDDDDNDDTFWSMSECRDGYCVLVNWNTKQVAVYNPPTRALHLFPVPPAEILEDMQVEFHVLSSEEDHGSFRVVSVCHENWGAQAAVFLSETREWQIFPLDEDAATQPAGYCLTDEGTLVNGFVYWPFAKKANVRVLNTATLQFSRIDLPHMEGHEAIKIGETKDGKLCLVRAAELALVVWVWRSGVNRWMLDKTFLLQDKVDKIPQYYRERQVTLKVMAIIGGIVHLSTVCETHPDSNCWYLSFCLETEKLNKFCRINYTVFNYPYIMAWPPCLAYSKGA* >Brasy3G121700.1.p pacid=40040248 transcript=Brasy3G121700.1 locus=Brasy3G121700 ID=Brasy3G121700.1.v1.1 annot-version=v1.1 MASLTLRPIIPSTASTPTTPNRAHRSGSAILRGTRRQPVTCKAEPSGGNSTLELAAGAAGLASSATVAWSLYTLKATGCGLPPGPGGALGAAEGVSYLVVAALVGWSVTTKARTGSGLPAGPYGLLGAAEGVAYLTVAAIAVVFGLQFYQQGSLPGPLPSDQCFG* >Brasy3G050800.1.p pacid=40040249 transcript=Brasy3G050800.1 locus=Brasy3G050800 ID=Brasy3G050800.1.v1.1 annot-version=v1.1 MHTLPIKMKRGLLVLSSLFCLSVANTTTGDNPKHPLEFDQLNISTKYVPEKQHASMNNGTTSPSVHNGSQDYLREKDKIKAMPGQLEEVEFDQYAGYITVDANAGRALFYYFVEAPRDPLNKPLVLWLNGGPGCSSFGAGAMLELGPFSVHSDNKTLYKKRHAWNTVANMLFVEIPAGVGYSYSNTTSDYHNTGDKRTTEDAYSFLVNWIERFPEYRERDFFITGESYAGHYVPELANLIVSNNRDSNATSVMLRGVAIGNADLHDNLTLRASFDYYWMHAMISGKTYRTIQANCGFNETYTNDCLKAMNLAIKEKGNVDDYNVYAPQCHDASNPPRSSDSVVFGDPCTNHYVSSYLNRLEVQRTLHANTTGLSYPWMDCSQLVFDNWNWKDSPETMLPSIKKLISSGTRVWLYSGDMDAVCSVTSTQYALDILGLPTETSWRPWRIDNEVAGYVVGYKGLVFATVKGAGHMVPYYQPRRALALFSSFLEGKLPPQ* >Brasy3G235700.1.p pacid=40040250 transcript=Brasy3G235700.1 locus=Brasy3G235700 ID=Brasy3G235700.1.v1.1 annot-version=v1.1 MAMKVYGLPMSTNVARVLVCLEEVGAQYEVVPINFSIGEHKSMEHTARNPFGQVPSFQDGDLILFESRAISKYILRKNHSELVKENNLPDSAMVDMWLDVESHQFDRPMAVIIYQCLIIPMYLGGETDERVVEENVEKLKKTFEVYEARLSKFKYLAGDFISLADLSNFPTAYYLLATPHASLLDNYPHVKVWITDILARPTVKKVVEMMKATA* >Brasy3G042000.1.p pacid=40040251 transcript=Brasy3G042000.1 locus=Brasy3G042000 ID=Brasy3G042000.1.v1.1 annot-version=v1.1 MRKTRAAAPAAAAASKPRARARAKPKAKPSPVSLSGASSPSGSGSGASASPVGRGKLPSSPATPKTRSPLPAASPFAGTTPASMSTVADLRSLAASSLDSLKRRLDALHGDSVRDLEASHSRISKRFKMQTQSTMQLAEEAEKEHKKMVEKISERADEMKASYKKFVAEVQSSTSRVCKVTIPEMAKSAERAIDGLRSRYNIPATAA* >Brasy3G180400.1.p pacid=40040252 transcript=Brasy3G180400.1 locus=Brasy3G180400 ID=Brasy3G180400.1.v1.1 annot-version=v1.1 MLPHFTTPTSCFHVRNCRVHQHMLLCSSEEGVKGRVDGADGGGEPAGLAMSQRGRSRESSSSRGGGASMLSAWPLKRTPPWPDRRSRRAPALRMGWPWEVGNCCHGVIGNPEAIRIGVEGGSARGWCYLRYALSDPLMCRGPKGPNLQRSGFPGW* >Brasy3G001200.1.p pacid=40040253 transcript=Brasy3G001200.1 locus=Brasy3G001200 ID=Brasy3G001200.1.v1.1 annot-version=v1.1 MARKRRRETLARSEHSLDSEPQITNQPPPPPAMDEGSQSEQARGQKSFEEMCEMYPLLAQNMELLAEEQQNPSLRSAFVRIDAQIARSLEDQLESAQQEVLKIRMELKETLSDVHKDMERCKVRHGYLSFHNLHRPRVKVKQRGQGTMKDALINVGRHD* >Brasy3G310400.1.p pacid=40040254 transcript=Brasy3G310400.1 locus=Brasy3G310400 ID=Brasy3G310400.1.v1.1 annot-version=v1.1 MQPRGRGERMSAAVKKGPWTAEEDEVLRRHVREHGACEWSSIRSRGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARILRAPLRTRTRKSAAGKQQSRAGAASSSSSTATAPSQELLPPGQASEPLYQVQDHRPCFDMIPFHEPTMHDHHIIGQSWSQEPPPLTQCADAGKQNGEYLCQVQVRERPCFPTIPFQQPPPLPTTRHYIVGQSSQEPPPPPASPPCADTPLLFPGLLGPGPVPPSPVAGFASATGICGSSSYGAAPPALSSHHPLSFLCAGDPTPMVDPAATLAGNGGGGGVPYLEPKPEQQPPPPPLGFFGLEEEYVDEYGLLGGLARRDTIFDDLAPEMFDFFELPPSPPPSTRL* >Brasy3G164400.1.p pacid=40040255 transcript=Brasy3G164400.1 locus=Brasy3G164400 ID=Brasy3G164400.1.v1.1 annot-version=v1.1 MTKDNVTIVASVQCQAMEEKVNDMYYKLSNQKSQNDPLHDIVDNDMLLFLIHGGLGLIHMIQKYSCQSLRIEKAMFAYGHETVQTTIVDIEPEGRVKRATNEINDESRTEGEAEAKYVSGGIARQLQAILYGLRDSLLSFSGNIGAAFKSSAVSLPNGPGAVADIASQICNGLLQAPS* >Brasy3G277800.1.p pacid=40040256 transcript=Brasy3G277800.1 locus=Brasy3G277800 ID=Brasy3G277800.1.v1.1 annot-version=v1.1 MVGIGCQFLLSPVPAPVLSLSSRHRPAAARNGLRRPGEVRHRISCCSKPDDSDECATSKSATSGKEEEIIPTRRKCLACLCAVTLISASGATIRTPHGLAADMQKAVCRNCGGGGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPICLGTGVPNNKGLLRRPDAKKLLDKMYNGKILPDS* >Brasy3G350300.1.p pacid=40040257 transcript=Brasy3G350300.1 locus=Brasy3G350300 ID=Brasy3G350300.1.v1.1 annot-version=v1.1 MRSCSSSSSSLLGISRAAWRCAGAGSPSLTGGHHYHSLRYLPLRPAPPAPPIALGLVQRFFSSSSSKRSAKRSAAKKAAPMDAAGGDPFYVVRKGDIIGIYKNLADCQAQVSNSVCDPPVTVYKGYSLRKETEEYLAARGLKHAMYSINSADARDELFDDLVPCPFQQPDGTASSTLKRPQEMETGPSKKHAKVAEQEPLPDNHLSCILEFDGACKGNPGKSGAGVVVRRPDGSVIAHLREGLGIATNNAAEYRALLLGLRYAAKKGFKYIRAQGDSKLVCYQVQDLWRVKNDNMADLCKKVKELRGQFLSFQLNHVLREFNADADAQANFAVELPVGEIQEQPNLPC* >Brasy3G350300.3.p pacid=40040258 transcript=Brasy3G350300.3 locus=Brasy3G350300 ID=Brasy3G350300.3.v1.1 annot-version=v1.1 MRSCSSSSSSLLGISRAAWRCAGAGSPSLTGGHHYHSLRYLPLRPAPPAPPIALGLVQRFFSSSSSKRSAKRSAAKKAAPMDAAGGDPFYVVRKGDIIGIYKNLADCQAQVSNSVCDPPVTVYKGYSLRKETEEYLAARGLKHAMYSINSADARDELFDDLVPCPFQQPDGTASSTLKRPQEMETGPSKKHAKVAEQEPLPDNHLSCILEFDGACKGNPGKSGAGVVVRRPDGSVIAHLREGLGIATNNAAEYRALLLGLRYAAKKGFKYIRAQGDSKLVCYQVQDLWRVKNDNMADLCKKVKELRGQFLSFQLNHVLREFNADADAQANFAVELPVGEIQEQPNLPC* >Brasy3G350300.2.p pacid=40040259 transcript=Brasy3G350300.2 locus=Brasy3G350300 ID=Brasy3G350300.2.v1.1 annot-version=v1.1 MRSCSSSSSSLLGISRAAWRCAGAGSPSLTGGHHYHSLRYLPLRPAPPAPPIALGLVQRFFSSSSSKRSAKRSAAKKAAPMDAAGGDPFYVVRKGDIIGIYKNLADCQAQVSNSVCDPPVTVYKGYSLRKETEEYLAARGLKHAMYSINSADARDELFDDLVPCPFQQPDGTASSTLKRPQEMETGPSKKHAKVAEQEPLPDNHLSCILEFDGACKGNPGKSGAGVVVRRPDGSVIAHLREGLGIATNNAAEYRALLLGLRYAAKKGFKYIRAQGDSKLVCYQVQDLWRVKNDNMADLCKKVKELRGQFLSFQLNHVLREFNADADAQANFAVELPETCESESVVYR* >Brasy3G350300.4.p pacid=40040260 transcript=Brasy3G350300.4 locus=Brasy3G350300 ID=Brasy3G350300.4.v1.1 annot-version=v1.1 MRSCSSSSSSLLGISRAAWRCAGAGSPSLTGGHHYHSLRYLPLRPAPPAPPIALGLVQRFFSSSSSKRSAKRSAAKKAAPMDAAGGDPFYVVRKGDIIGIYKNLADCQAQVSNSVCDPPVTVYKGYSLRKETEEYLAARGLKHAMYSINSADARDELFDDLVPCPFQQPDGTASSTLKRPQEMETGPSKKHAKVAEQEPLPDNHLSCILEFDGACKGNPGKSGAGVVVRRPDGSVIAHLREGLGIATNNAAEYRALLLGLRYAAKKGFKYIRAQGDSKLVCYQVQDLWRVKNDNMADLCKKVKELRGQFLSFQLNHVLREFNADADAQANFAVELPETCESESVVYR* >Brasy3G119200.1.p pacid=40040261 transcript=Brasy3G119200.1 locus=Brasy3G119200 ID=Brasy3G119200.1.v1.1 annot-version=v1.1 MDPPPPTPAAAAGTAKETKEPLPPPQAEEDGLMSLTAAMAREAAVHFQSRRYGECAEVLRQIWSKKSGDPKVLHNMAITECFLEGCSDPNKLLEILHKAKKGSEDLASTSREKAESLNAVGINASSGSRGNTNPPQTSGATNAMTAYGDEFDTTIITFNTAAVLYYLHDYSLALSVLEPLYKNIEPIDETTALRVCFLLLDIALALQDATKAAEIIQYLEKSFGVANMTSQNDNASVAQLKVPVKGSTAPDVCSADPNALPTGCENLIVGNLSDDTLEFETLYSTLDSGNQNLSRSILNDFSRISADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTQNNRTEPAMLAMFYNNLGCILHQQKSNHTSVWFFSKSLRYSLSLRSEKPLKLSAISQDKSCLISYNCGIQHLMCGKPLLAARCFHEAIPLFYNQSLFWLRFAECGLLALEKGFLSSSSVCSDEIDLRVVGSGKWRHLVVNPVNSRVEDVMSGNGVSAAGNKSFLSLRFARQCLLNAQLLLGYSEQNNEVSMSDTEDPNEAKGQGLKSAQANANGDQKGMTGFNITLQNSIASYEEICRKENLEIKQAVLADLAFVELCLENPSRALSVAKLLQQLPDCSRMYVFLSRVYAAEALCVLKRPDEAAEQLSVYMTDVNNFEVPFVIENFEKGGHVEKDSDCEDSVAPPAMKPIPEAQHSVCHKPEEALGVLYIDQGMLSAMQGDIERATYLVDLGFALLPNDARATLAAVYLDLVQGKTQEALPKLRQCKNVRFRGDSVAISS* >Brasy3G258300.1.p pacid=40040262 transcript=Brasy3G258300.1 locus=Brasy3G258300 ID=Brasy3G258300.1.v1.1 annot-version=v1.1 MASSTETNRETCQQGDTGEDVQDMRQESVLDTLPDVCMLQDAARAACVSRQFLRSWRGYSNLELNTLTLGLIDKEPNEREIHLMDKVDRILTNHCGNGVKVKTLNLDLSHCSNISLSDLDSKKYSFPCLVLSDEAAASSIRSLCLTGCVFHPTTTLGCLSNLKRLDLCSVRITEDGLQHVLSKSFALERLQIYLCRNIISLRIPSMLQQLKHLKVSACDMIQVVEINAPKLSSFHYSGTLVDFSVGDPLQLKDVKMSYFLASGILSYARANLPSIARNVESLTLCSFNENVNTPMLPSKLPHLKNLEITLVGTVVFFFPCYDLFSMVSFLDASPALESFILRVEKDALRRKSGCRHERLRQVLIKGFCSAKSLVELVIYILESTPSLKRLTLDTTHGPDMKCGSGGCEQIQVS* >Brasy3G078000.1.p pacid=40040263 transcript=Brasy3G078000.1 locus=Brasy3G078000 ID=Brasy3G078000.1.v1.1 annot-version=v1.1 MSHLDHLVHLGLTLTRKKRLPESQSSNHLRYRVHRVMVWGETAACRGSGGLSYPMRKVEAPLSMTTGVDPGMRAKSGSRGGRRQEGSPEKNEMGDEEAGALVVERREGEEAGGRPASPARPKRAKRRCPDESTVSNSIVDVPFPPRWAES* >Brasy3G310700.1.p pacid=40040264 transcript=Brasy3G310700.1 locus=Brasy3G310700 ID=Brasy3G310700.1.v1.1 annot-version=v1.1 MSGPLLSPSPVLSGGNGAEAKLGKQGKVRGQGSMAAVQWCSCSAASLSRWCHRPSPPPPTMSTARHRHPRRIGCVSVPRELAAATAAEAPPETEATMEEEGVVECQECGGAGWLLCGFCKGKKNNVKSESSPRIYRRCPTCKAGTSCARGAGSTGASRSRRPPTRDPTEAYICAALHLPFVVHVD* >Brasy3G310700.2.p pacid=40040265 transcript=Brasy3G310700.2 locus=Brasy3G310700 ID=Brasy3G310700.2.v1.1 annot-version=v1.1 MSGPLLSPSPVLSGGNGAEAKLGKQGKVRGQGSMAAVQWCSCSAASLSRWCHRPSPPPPTMSTARHRHPRRIGCVSVPRELAAATAAEAPPETEATMEEEGVVECQECGGAGWLLCGFCKGKKNNVKSESSPRIYRRCPTCKAAGYILCARCRVYRCITFPETADT* >Brasy3G257700.1.p pacid=40040266 transcript=Brasy3G257700.1 locus=Brasy3G257700 ID=Brasy3G257700.1.v1.1 annot-version=v1.1 MSDKFYCMTLRMSIDCNGCYHKIRRALLEMHDIESHLIERKQQRVMVSGAFVPQDVAIKLRKRTNRRVQIMDIKEVDGHHP* >Brasy3G272900.1.p pacid=40040267 transcript=Brasy3G272900.1 locus=Brasy3G272900 ID=Brasy3G272900.1.v1.1 annot-version=v1.1 MSQIQSTSRQIYVLLSVLCGKYAERQRSPAAAEAKQLQLSYPFPELISSGRLEVRTLINPTIAQFQETLQAVQPNFLYLQGQQLENEEIGKLVWRDADVSDPHMFCSLITPPFPTIVYLEIPSGENLAQSLHSKGIPYLIYWRNSLSSYAATYFRHVLTSVIQSSCSHTWDAFQLAHASFRLYCVRNNHVQSVELGPHLLGDAPKINIAPPDNGMADGEGSSEAFSAIKIHDKDVNMKFLICGVPCTLDACLLGSLEDGLNALLNIEIRGSRLRNRVSAAPPPLEAETLPCGVVTMRCDITTCSSSYVSLLVSGSAQTCFDDKILESHIKNEIIEKSQLVRSLSNSEDNKPSSAEPLTSMAIACGASIFEVWMTLPKWAAEVLKQLAPDISYKSLYISSFDRQDADRLLFFCTNQYKDRPIEISPYLHLPRWSASLTKERRMKGSLEAEPIISCANGVGDDKKHLIDGSSLPSVKTRLKPATMRPIPRSSKQHLHPFTGFTQSVINGAYQAKSSFPARPPGKHNSVPAASATRRKSSSGSSRSQRAILLNPLPMKKHGCDRLPIQTCHEEDFLKDLMQFLLQRGHTRLVPQGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNKMTGVGNTLKRHYETYLLEYQLCHDDVDGECCLLCHSTASGDWVNCGLCGDWAHFGCDKRQGLGAFKDYSKTDGLEYKKPPLPKRVGNGSANLASASQNV* >Brasy3G071600.1.p pacid=40040268 transcript=Brasy3G071600.1 locus=Brasy3G071600 ID=Brasy3G071600.1.v1.1 annot-version=v1.1 MSSSEVACTLAALILHDDNIPITAEKIATVVKASGIKVEAYWPALFAKLLEKRSVDDLILSVGSGGGGAPAAAAAAPAAGGAAAAEEKKEEKKEEAKEESDDDMGFSLFD* >Brasy3G301900.1.p pacid=40040269 transcript=Brasy3G301900.1 locus=Brasy3G301900 ID=Brasy3G301900.1.v1.1 annot-version=v1.1 MAENDDEVSSDSIDLICALCDNGGEIASCEGKCLRSFHATKGAGEDCKTLGYTTAQFDAIKVFLCKNCEREIYQCFACRRLGSAKTNPPEVFPCASPNCGHFYHAKCVAYLLFPENEAKATEYMTKIVNGAKFGCPVHRCDVCKYGEKKEVEALQFALCRRCPKSYHRRCLPRKISFDDIIENGVCHFQRAWDGLLPNNRILIYCMKHDIDPKLRTPLRDHIKFPGDSAIGRKPSNVNSMKRVKIRRLEECVDVPLSNSKRSFGTTTCPFSSNLIAKRMKAPESGGAKACYRRPVSGEKAVTSVIPVSSFPEVDINTARRIYEFAQKTSSEITIEDVQKKLVVSSTYTSFMKNTDTITLGKVENSVEAVRTALHMLENGAGIEAAKGVCTQHDLFQLAKWKNKLNIYLAPFLHGMRYTSYGRHFTKLEKLEKIVDKLQWYVQSGDMVVDFCCGSNDFSTLLKEKLEDSEKNCFYKNYDLIQPKNDFNFERRDWMTVQPDELPAGSRLIMGLNPPFGFKASLANQFINKALSFKPKLIVLIVPRETERLDKKYPPYELIWEDSNQLSGKSFYLPGSFDADNKQMEQWNLSPPPLSLWSRSDYAQRHYEIAKSKGHLCSKNPFSGDSHRNIADTENGNADIHDEEMEGERPATASVIEQLLADTYHDATSSPGDYWTDTNGRSGQPCNYDIPGRNDPPTHDYVADMATESDMSISSSDRSDCQKESQTVSTSDQVLAGPQDCNHSGSVSAEHPTDTADCDEVTSADVQHRRLEDLPSSPKHAAGVRYQILEDSPLDCDEVTSADVQHRRLEDSSSSPKHAAGVRYQILEDSPLEGDPGAGLWGQQNDSLRSDKACSWCPVSDHRRVIS* >Brasy3G330000.1.p pacid=40040270 transcript=Brasy3G330000.1 locus=Brasy3G330000 ID=Brasy3G330000.1.v1.1 annot-version=v1.1 MAATAAAPAPLLLPSGSGPRSASRAWPLRGGGGRASCRCRAAAAGGTAAQCGADGGAAAVVGRRAAVGAVALSSSSAFGVLQAALAGGLPPEEKPKLCDADCEKELENAPMVTTESGLQYKDIRVGQGPSPPIGFQVAAECIAMVPNGQIFDSSLEKGTPYIFRVGAGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVVFDVNLLYIPGLDDE* >Brasy3G129400.1.p pacid=40040271 transcript=Brasy3G129400.1 locus=Brasy3G129400 ID=Brasy3G129400.1.v1.1 annot-version=v1.1 MVGIGAALGMEACGALLRELQQIWAEVGESEGEKSKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVALLMATLGEHKLYLKKDKSYMSLKEQLAAVVPVLDNLKCKKEERIKQFYDIRSQIQKILSELSEHNDQGDNVNSAVADEHDLSTRKLNNYQAQLRDLQKDKSDRLHKVLEYVNEVHFLCGVLGIDFGKTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISNLKAERKSRIDKMRETMESLCQLWKLMDSSEDEKRQFSKVISILITPEEGITSAGVLSQETIEKMEAEVERLTELKTSRLKEIVMKRRTELEDICKNAHIEPDLSTAPEKTNALIDSGTIDPSKLLANIESQILKAKEESLSRKDIMERINKWIAACDEETWLEEYNQDSKRYSAGRGAHVNLRRAEKARILVTKIPAMVDNLINRTFAWENVRNKPFLYDRGRLISVLEEYRLNREQKEEEKRRYRDQKKLETILLAEREAIFGSKPSPKRTSSLTRKTNGYRSNGSSNGLMTPTPRRSSLGSATPELSTPRSYSSRCNRYFGDSRRLSVSQLNFGDDSLSTFTSISGSEPESPSMG* >Brasy3G129400.2.p pacid=40040272 transcript=Brasy3G129400.2 locus=Brasy3G129400 ID=Brasy3G129400.2.v1.1 annot-version=v1.1 MVGIGAALGMEACGALLRELQQIWAEVGESEGEKSKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVALLMATLGEHKLYLKKDKSYMSLKEQLAAVVPVLDNLKCKKEERIKQFYDIRSQIQKILSELSEHNDQGDNVNSAVADEHDLSTRKLNNYQAQLRDLQKDKSDRLHKVLEYVNEVHFLCGVLGIDFGKTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISNLKAERKSRIDKMRETMESLCQLWKLMDSSEDEKRQFSKVISILITPEEGITSAGVLSQETIEKMEAEVERLTELKTSRLKEIVMKRRTELEDICKNAHIEPDLSTAPEKTNALIDSGTIDPSKLLANIESQILKAKEESLSRKDIMERINKWIAACDEETWLEEYNQDSKRYSAGRGAHVNLRRAEKARILVTKIPAMVDNLINRTFAWENVRNKPFLYDRGRLISVLEEYRLNREQKEEEKRRYRDQKKLETILLAEREAIFGSKPSPKRTSSLTRKTNGYRSNGSSNGLMTPTPRRSSLGSATPELSTPRSYSSRCNRYFGDSRRLSVSQLNFGDDSLSTFTSISGSEPESPSMG* >Brasy3G133500.1.p pacid=40040273 transcript=Brasy3G133500.1 locus=Brasy3G133500 ID=Brasy3G133500.1.v1.1 annot-version=v1.1 MKIRHGFFFLFLVIAVVQITTASETRMKEETVVKRLPGFNGPLPFSLQTGYVEVDDSRLFYYFVESERNPEEDPVLLWLTGGPGCSAFSGLVYEIGPLSFESASSYVDDGLPKLVYRPDSWTKVANVIFLDSPVGAGFSYSITDDGYKSCDTKAVNQIAIFLTKWYDQRPNFLYNPLYIAGDSYSGLIVPPLAFQIARGIEMGDQPILNLKGYVIGNPLTDRKFDLPSQVPYAHGMGLISDEQYEIYKESCSSGTTGITRNVQCENCHDAINKSLKDINIHHILEPECSSSAYKGNSDSRRRMTLEYSSADPNLSDISSECRDEGYRLSSIWANNGAVRAALGVHKGRVPLWLRCNHGTPYTTDIRSSVEYHRSLTGRGYRSLIYSGDHDMVVPFVGTQAWIRSLGFSVVDEWRPWYANAQVAGFTRMYSNNLTFATVKGGGHTAPEYKPKECLDMVARWLSGHPL* >Brasy3G295400.1.p pacid=40040274 transcript=Brasy3G295400.1 locus=Brasy3G295400 ID=Brasy3G295400.1.v1.1 annot-version=v1.1 MTVGAGIAVQEDGTLAALGATVLTEVRDNVLVTPAAGAGVLDGAFLGVRAAPAASRSVFPVGKLRDLRFMCTFRFKMWWMTQRMGSSGRDVPVETQFMLVEAAAAGDEEPSSAAPVYTVFLPILEGSFRAVLQGNPDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNAVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVSKEGVKEGLQSFEKGGTTPKFVIIDDGWQSVSMDPAGTACISDNSANFANRLYHIMENHKFQKNGKKGHRDEDPANGLAHMINEIKEKHELKYVYIWHAITGYWGGVRPGAEGMEHYQSKIQHPVPSPGVRRNEPCDALDSITANGLGLVNPDRVLSFYNELHSYLASAGLDGVKVDVQNVLETLGAGHGGRVALARKYQQALEASVSRNFGAGDKNGVNMISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHVASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFDLLRKLVLPDGSILRARLPGRPTADCLFSDPARDGKSILKIWNLNEHTGVVGAFNCQGAGWCRDGKKNLIHDAQPGAVTGAIRASDVSRLAEVVAGFDDDGGWDGDVVLYSHKAGEVTVLRKGAALPVTLRPREHEVFTVAPLRRLPNNGACFAPIGLLRMFNAGGAVTGLRYDGDTGAVEIRVRGAGTVGAYASTKPKRVAVDTAAVGFAYDGGSGLLTFEVATPEKELYSWTVTVEF* >Brasy3G116500.1.p pacid=40040275 transcript=Brasy3G116500.1 locus=Brasy3G116500 ID=Brasy3G116500.1.v1.1 annot-version=v1.1 MAAAVSARLRRSTRTHDDYEVRVAGRGTVLATVTASPAVARRWVHSTLWRGRRRLHSGKGLTVGMGVQWTPPFLDDYSFNNDDESEPDSSDNDDDESEPEPRPGTLQLCSGHRCLVFQIAQAADDGAIPAILRRFLDDPRVVFVAYNIRSDCRKLRAHHGLEVRCARELRAVTGMGNASMERMAERLLGWRGVKKDRRVGVSRWDAHELSGEQVKYACLDAYLSYCLGARVGVMPDSDSDSS* >Brasy3G140800.1.p pacid=40040276 transcript=Brasy3G140800.1 locus=Brasy3G140800 ID=Brasy3G140800.1.v1.1 annot-version=v1.1 MESGQLQQAGRPSRRSEAVQVVDGSEIRELVENKEAFAKFVESKFRHLDRDGDGRLSVRELQPAVADIGAAIGLPARGSSATADHIYSEVLNEFTHGKKDSVSKSEFQHVLSDILLGMAAGLQRDPIVILRMNGEDLNEFVESTTYEPEAVAIFSQIESGNASLRQSLLAALRQLTVDHGVPPPSDSLVLESIIEPALQELSADELDEPVSQEVFFQEFRKYLGIIARRLQERPIIVAHTENTFDGAGIRKLLSSKPEFDKLLDSVWSDVPKEHKDRTSKKYLRVALDRMADSVKLPPYGAVDQVDAVVNEAFKMANADDGKPVDEAAFKKLLTEILGAVMLQLDGNAISVSTNTVLHEPMSTSSSTLLSPSPPSPMVSSPSE* >Brasy3G108600.1.p pacid=40040277 transcript=Brasy3G108600.1 locus=Brasy3G108600 ID=Brasy3G108600.1.v1.1 annot-version=v1.1 MTMASRVLAVALVAAAGSCSASWELNIRMPTSASSQRLHAAMEDGAVHVAPLIHALRPLLGSGGEMGSLGGVACDSWLLGVEAHNVRGWKTIPAKCEGYVGHYMLGSRFRRDSKVVIDEAIAYAEGLKLAGNGKDVWVFDIDETTLSNLPYYATHGFGAKPFNATSFNAYVFEGSAPALPETKRLYSKLLSMGVKPVFLTGRTEDQRAITVANLRRQGISGWMNLLLKQPGFKGSAVAYKSGERRKLQDAGYAIVGNIGDQWSDLLGEPEGSRTFKLPDPMYYIG* >Brasy3G139300.1.p pacid=40040278 transcript=Brasy3G139300.1 locus=Brasy3G139300 ID=Brasy3G139300.1.v1.1 annot-version=v1.1 MPPKRYAAPRTLVGDPAPKKPKEKERPLGMSNADWAADVTRRNVENASRRERERRAKERREAWKVVSLDPFTGANQSGDTYWRRVKTAYDERRVIDREFASVTHDHNESGLSHRWQMIQQACNKWHGIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDGAEFKFIHVFARIETCDKWTETRAGLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMSDAAPATERLYTCIEKCMSDAAAQAAKRDELAAKREEVAASRWATVIKKQDDKLEILKANVLAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARAPASAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >Brasy3G318600.1.p pacid=40040279 transcript=Brasy3G318600.1 locus=Brasy3G318600 ID=Brasy3G318600.1.v1.1 annot-version=v1.1 MGHVVFLRAAAADLTAGKPALAGVPASAPLSAAAAAIPASPEAAVAVWRDGASPLAPAAATVLGLLTSLDVVAFLASSRARGGGSDAAAAAALNTPAGDVVPREQALVREVEPDARLLEIMELMKQGVKRVLVRKNLTEGCTVSKQPFAPFYKAAPKITGTPRGGAAHTIMRSPSSSMFGCDKYCCLTREDIVRFLINCLGALAPIPLQSVSSLGAISRSYCHVEAPSPAIEVVWKIPSDPRAVAVVRTNHDGSHAILGEISSHKLWKRDYVAAADAMTRLSALHFAIGIDDNVAAPGVADGVRAGARRGEEVESDIVPFPRSMRFSSRRIGFPASLGRQSVPSHRKSTVLTCKITSSLAAVMAQMLAHRATHLWVTEGGDTEEEAVLVGMVGYMEIFHAVTSGVVPPA* >Brasy3G098100.1.p pacid=40040280 transcript=Brasy3G098100.1 locus=Brasy3G098100 ID=Brasy3G098100.1.v1.1 annot-version=v1.1 MRLPGGAFPGTLPSREPCPAGNLLCDPRVTHRWTPGATGATLGDKFEAKSGKKLTKTQLKNKWDNMKKEYTWFMELKNAATGLGWLDNRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYRLYKSTCGRIGAAADNISSSVQASSAPPPTSHVPSIADVMQMVKDCGVQEGTALMHTATMLIVKPDFREIFSLLQTKEGRFDLLERELKKSM* >Brasy3G295500.1.p pacid=40040281 transcript=Brasy3G295500.1 locus=Brasy3G295500 ID=Brasy3G295500.1.v1.1 annot-version=v1.1 MASGVAGPVLAYIVIYVEDVAKTTAFYATAFGHTVRRLDHSRKWAEMETGSTTLAFTPIHQKETDARTGDVRLPKGPQERGPVELCFEYADVDSAYRRAVDNGAVAVSAPELKKWGQKVAYVRDLDGNVVRMGRHVCEKK* >Brasy3G294700.1.p pacid=40040282 transcript=Brasy3G294700.1 locus=Brasy3G294700 ID=Brasy3G294700.1.v1.1 annot-version=v1.1 MIPPSPPYVPIPPDRAFATPPGSLYAALMDQPPSEVGYKAYLGSPILYVVAPPSPTVCRCLAPSSPPPASLHAAQPDQSCSRPEPPAPAPFFSLKQSPIPHTPYWRTSVRASYIAPSLPSRTMREKAQARTQAQIFPIKSSAAIRSTRKPLLPRIPHPLPAAGSGHHLISSICSSRRLLPHPPHHLAAAGLHPPPLPPHPPSSSSRRRCASSPTSISARTALPPLPPGLLPFFLPISACTFVIRSRRGLLPLHAIASVRGRPPPHPQMSSGFDIVQGQAMCSRKCL* >Brasy3G294700.2.p pacid=40040283 transcript=Brasy3G294700.2 locus=Brasy3G294700 ID=Brasy3G294700.2.v1.1 annot-version=v1.1 MIPPSPPYVPIPPDRAFATPPGSLYAALMDQPPSEVGYKAYLGSPILYVVAPPSPTVCRCLAPSSPPPASLHAAQPDQSCSRPEPPAPAPFFSLKQSPIPHTPYWRTSVRASYIAPSLPSRTMREKAQARTQAQIFPIKSSAAIRSTRKPLLPRIPHPLPAAGSGHHLISSICSSRRLLPHPPHHLAAAGLHPPPLPPHPPSSSSRRRCASSPTSISARTALPPLPPGLLPFFLPISACTFVIRSRRGLLPLHAIASVRGRPPPHPQMSSGFDIVQGQAMCSRKCL* >Brasy3G107900.1.p pacid=40040284 transcript=Brasy3G107900.1 locus=Brasy3G107900 ID=Brasy3G107900.1.v1.1 annot-version=v1.1 MKTFLILALLAAVATTTTAGIILKEPQEQPCQQQTQQYPFPQQQLESCREFFLQQCSPAAMAPFLRSSHMLQQSSCQVMHQQCCQQLSQIDMQSRCQAIWEVVDAIIRQQQQPQQQGQITSRRFGFRPQEEQKCGQGYFPPQQIAQTLPVMCNVYLPPDCTTTAVEQFGTGRN* >Brasy3G289300.1.p pacid=40040285 transcript=Brasy3G289300.1 locus=Brasy3G289300 ID=Brasy3G289300.1.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.7.p pacid=40040286 transcript=Brasy3G289300.7 locus=Brasy3G289300 ID=Brasy3G289300.7.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.2.p pacid=40040287 transcript=Brasy3G289300.2 locus=Brasy3G289300 ID=Brasy3G289300.2.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.3.p pacid=40040288 transcript=Brasy3G289300.3 locus=Brasy3G289300 ID=Brasy3G289300.3.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.4.p pacid=40040289 transcript=Brasy3G289300.4 locus=Brasy3G289300 ID=Brasy3G289300.4.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.6.p pacid=40040290 transcript=Brasy3G289300.6 locus=Brasy3G289300 ID=Brasy3G289300.6.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.5.p pacid=40040291 transcript=Brasy3G289300.5 locus=Brasy3G289300 ID=Brasy3G289300.5.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQAATGAGMPCIITFTPFSAKQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.9.p pacid=40040292 transcript=Brasy3G289300.9 locus=Brasy3G289300 ID=Brasy3G289300.9.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.10.p pacid=40040293 transcript=Brasy3G289300.10 locus=Brasy3G289300 ID=Brasy3G289300.10.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.11.p pacid=40040294 transcript=Brasy3G289300.11 locus=Brasy3G289300 ID=Brasy3G289300.11.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKKLGVESKNCLVVEDSIIGLQDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.12.p pacid=40040295 transcript=Brasy3G289300.12 locus=Brasy3G289300 ID=Brasy3G289300.12.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G289300.8.p pacid=40040296 transcript=Brasy3G289300.8 locus=Brasy3G289300 ID=Brasy3G289300.8.v1.1 annot-version=v1.1 MPPMASTSLLIHTSFHTAAAARRSPSGLPVLRLLRPRRASPLVVSASGSSPPPSLDALIFDCDGVILESEHFHMQAYNDAFAHFGIRSPPDAGAPLHWDEDFNNDLQSRITGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEQLIDIIQDWKIERFKEIIESGTVEPRPGVFRLMDEAKDAGVKLAVCSTAAKSSVVMCLENLIGLERFNGLDCFLAGDDVKMKKSDPSIYILAAKDFKHFKDAVATYPDLSNVRFEGLRLLLQESLVTG* >Brasy3G230000.1.p pacid=40040297 transcript=Brasy3G230000.1 locus=Brasy3G230000 ID=Brasy3G230000.1.v1.1 annot-version=v1.1 MQAFLSSSSILANPCPRSLFPRPNTQSQLLLSSASGARTSAAAATARRSSGRCVATPASSSPAVAAVATDVPAKMKAWAYDEYGDTGVLKLDEAVSVPAVGDDQVLVRVAAAALNPVDSKRRMGKFKATDSPLPTVPGYDMAGVVVKVGSQVKGLKEGDEVYGHISEKVLEGPKQFGSLAEYTAVEEKLVALKPKNIDFAQAAGLPLAIETAHEGLERAGFSAGKSILVLGGAGGVGSLVIQLAKQVYGASKVAATASSPKLELLKSLGADVAIDYTKENFEDMPEKYDVVFDAVGQGDKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSDGSVLAKLNPYLESGKVKPVVDPKGPFSFPQVVEAFSYLETGRATGKVVISPIP* >Brasy3G162800.1.p pacid=40040298 transcript=Brasy3G162800.1 locus=Brasy3G162800 ID=Brasy3G162800.1.v1.1 annot-version=v1.1 MDGEKKDAGTAKYRGVRTRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAVFAQRGATAVLNFPREAGSGSGTGSSSSSPAGAGRGTGRGGDTGKIELECLDDSVLDELLDEDKYGGK* >Brasy3G225900.1.p pacid=40040299 transcript=Brasy3G225900.1 locus=Brasy3G225900 ID=Brasy3G225900.1.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPRAIAGKHPMPFPTPPPAKKLQVRRRPPVLPQDLPLPAAKLPLPGVQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSGFTRQRPAH* >Brasy3G224500.1.p pacid=40040300 transcript=Brasy3G224500.1 locus=Brasy3G224500 ID=Brasy3G224500.1.v1.1 annot-version=v1.1 MRPPSASQEMAMLESGDRSSLSSSTPATRKRPRRFTWTGPAIVLGFELLESIAFSGVALNLVVYLGTVLHGTTAFNAAHVDTWNGTTFIVPVIGAFLADSWWGKYNTILASIVFYLAGLVLLTLSAAIAPFKPASCTASLQAGVSSSCPPATRTQFAAFFVALYLTSIGTGGVKSALLPFGAEQYDGCEGSSPERKQSFFTWFFGAINLGIFVAGTLVSWVQQNVSWALGFGVSALCLLLAAAAFLAGTPWYSRAPLPAGSSPLRDILRVLVASFRKRNHRVSGDLHEVAALEDEDQKLEHTKGLRWLDKAAVKKNVGEGEEEEGAWDLCTVSEVEGVKILARMAPIWVTCVLYAAALGQMTTTFIQQGMAMDNRLRLSSSGEKSIKVPVASMVSVEVAFMLLWVLLHDFAVMPLARRFRRRRGTGNSAVGLTQLQRMGVGRFLVVFAMAAAALVERRRLRRFAGSGGGQKMGIAWQVPQFVLIAGSDVFCGIAQLEFFYGEAPASMRSICSALSFLALSLGFYVNSAVVTAVARIAPGWLAPDLDRGHLDYYFWLWTVIAAANLLLYMLLAARYKPKQVSSTAAHS* >Brasy3G071100.1.p pacid=40040301 transcript=Brasy3G071100.1 locus=Brasy3G071100 ID=Brasy3G071100.1.v1.1 annot-version=v1.1 MAAARPHHHRALPPGRVPTSWVAALCTACFLLGVCLVNRYWAVPEPLGCRNKASSDRSRAGMLNQVSQTREVVIALDRTISDIEMRLAAARAAAQMRNQGVSPSDSAVDQGSMPHRLFFVMGIFTTFANRRRRDSIRQTWMPRGEHLQRLEKEKGIVIRFVIGRSPDSEVERAIDAEDKEHNDILRLNHAEGYGGLPLKIQMFLSTSLSTWDADFYVKVDDDVHVNIGVIRSILARHRSKPRVYIGCMKSGPVIANNESKYYEPDHWKFGTEGNNYFRHATRQLYGITRDLATYISINRHILHKFTNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDRNCSGICNPAERMEEVHRRCWERHAAPLQQAQA* >Brasy3G176900.1.p pacid=40040302 transcript=Brasy3G176900.1 locus=Brasy3G176900 ID=Brasy3G176900.1.v1.1 annot-version=v1.1 MSSAATGSGPATAHEPAMQQLEVVVPAAEVPLPAPGSVVLAAAEVPSPAPGSVVVAAAEVPSPAPGSVVVAAAEAPAPGEVVTIVISRPEEVALEPKGVAPDSPAPLEPGGDSAMAAVAAAKEAELARSDSFDEQCRVCQQNTEEPLVDLGCRCRGDLSRAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGSQRGWFSPLWVAFAILIGGLLLDVLISVSLGVSTLPVNIIIGVLIVLGLGTALRLALECCQEFGSRRSMPRMENMAPSGYHPGV* >Brasy3G278100.1.p pacid=40040303 transcript=Brasy3G278100.1 locus=Brasy3G278100 ID=Brasy3G278100.1.v1.1 annot-version=v1.1 MCGRKLAPPTKAAEPSAATKSPNYPRQGEKGGKKKGKSKRRPRPESEALPHPKPPPQRRQRAMSASSPPPPDAAAEPATSAGPEASAEPAVFYCYECDSTVSLPAPAATAPSRLLLCPRCRSDFLEEDPNQSTSSPPPPPPPPPGFLSDSSSDEPEDLDLGVDQTAARAYLSRLVRRLYDDPTSVATAAAAAVSVLQQQGHRGTGQGLPPAPAASIAAVPTVEVSETAEVCAICKDDLPLAAAARRLPCGHLYHSACIVQWLEMRNSCPVCRSCLPSTDLEEVEPSELDPPPTRITIRFTTNRRSRVRGANGAVAPVATSPTQLAQAMTGEGGAGPANSQETVSSEWPPPPDSDAAMSEARQGEGFFD* >Brasy3G188900.1.p pacid=40040304 transcript=Brasy3G188900.1 locus=Brasy3G188900 ID=Brasy3G188900.1.v1.1 annot-version=v1.1 MRMDLGCVWLVFNFGYVTPHGRPPIRGPRRRPRAPFPQTTRQPLVSTRRPRSLPCRVQRNPTAAVISSILLLRRGPRRCSSSPRAKAPAPPLPGVIAPSRPQPRSPPTKQNPSSIATAAWVPRVMHAGNSH* >Brasy3G199400.1.p pacid=40040305 transcript=Brasy3G199400.1 locus=Brasy3G199400 ID=Brasy3G199400.1.v1.1 annot-version=v1.1 MRRPNDAGDVAGDWRPEDDPVELSCSLEGGGDSPVPMQASSGDSMWQWRSQGLSEVVFSWSVDQILNKDLLRDKVSKIPDTFHSMEQYMTSFFGPLLEEVRGDMCSSMEDISRAPFASVLSVDAMRKGKGLYEIKLDRWRGGSHGCGTEGYRPKAADVLLISETRPANQSDILKQSKSCVIIWVNKVQGNKMTVKASRRMETGAHGDERQQIGVNKYEKLYAEGFDKSWEILDQETMAPKSRNSSVNQKVWKEPPNDRKRSKKSTDPLEKNETGMCGNSSRRWSFYAMYLTNMITYDRVWIVLRRGLTMDSRIILNMLGKNNHAIKHCNYCSNKSCDEIKGGLCNFKLNDSQLGAVASCISASNCFHGSSLRLIWGPPGTGKTTTLAVMLHMLLMKKQRILACAPTNMAVLQVASRLIGLIEDFSLKHRYSFGDIILFGNKDRLHIGKELSKIYLDDRVHKLLRCFKRENGWKHCVDSVLKFLTNCISRHRMSLDIQPAGSGECNLTFKKYFTSKFSSLAKELVACISTFSDHLPADTLGKNFDKMMFVKSLLDKMQQLLCADDVSDELLFKIFKPSDELPDPSTSHDDLADDDATEGLPDLDIPLDNPLEIKSMCIKTLMDLSEMRLPCEDNESSIRDLCLKHAKLIFCTASGSFDLFRLQSVLPISILVIDEAAQLKESESLVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDVDFGRSLYERLCAMGYNKHLLEVQYRMHPCISKFPNAKFYGNRISDSPSVKQKDYTKSYLPGHIYGPYSFIHIENDMEMLDDLGQSSKNMVEVAVAANIIERLAKECWKKSRRTSVGVISPYAAQVIALQEKLGRKFEKHEFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKHCLWILGNGSTLLASNSIWAELVNDSKRRGCFFDALKDKELAETMRLGMKRNGRTTDATGEPSWSSRARHDVTGAGNSTAIRRSQLPPSGSGRGINNGHAWQQNGYDLRLKASHPNKSVFLAGREDRHGLHFEQHRTYSNQSRGVPANQYWPNRFRPYHEKHGALQGFRGQVEQHIGQHYHSRTYQEPICSTSQTSNGRFAPGSVRRAGSHSQSGVLGEWQPSGGYCNRDFQNRTAYPFGPVSSQRRFNSYGNADPHLSSMNIERQFSNHPQGAPYTYRGQALNRGHGGRGRENLSFHERAMRGDRDEQARRAPYRGHGGRGRENLSFHERAMRGDRDEQANNNQMEEPHCRLQNSGSEAASHDLPVPEQRGMKRNWCKAEASDSPHQDNTKARPSVKSAYQPNCQAHDGSPGAASHKLLVPKQPGMKREGCEAESLSPSHQDNTKVRPESADRPHNKAQDESSGVVSLGLPVPEQLRREGCEAEASYSPHQDNTKVSSESADQPDGQAHDGSSGAASHEPPVPDRPELKQEACEAEPSNSPHQDDTEASAQSLDQLHCQVQDTSSGAAPHQLHVLEQEGCMETDLGDAEATVIPDILT* >Brasy3G199400.2.p pacid=40040306 transcript=Brasy3G199400.2 locus=Brasy3G199400 ID=Brasy3G199400.2.v1.1 annot-version=v1.1 MLLQVSKIPDTFHSMEQYMTSFFGPLLEEVRGDMCSSMEDISRAPFASVLSVDAMRKGKGLYEIKLDRWRGGSHGCGTEGYRPKAADVLLISETRPANQSDILKQSKSCVIIWVNKVQGNKMTVKASRRMETGAHGDERQQIGVNKYEKLYAEGFDKSWEILDQETMAPKSRNSSVNQKVWKEPPNDRKRSKKSTDPLEKNETGMCGNSSRRWSFYAMYLTNMITYDRVWIVLRRGLTMDSRIILNMLGKNNHAIKHCNYCSNKSCDEIKGGLCNFKLNDSQLGAVASCISASNCFHGSSLRLIWGPPGTGKTTTLAVMLHMLLMKKQRILACAPTNMAVLQVASRLIGLIEDFSLKHRYSFGDIILFGNKDRLHIGKELSKIYLDDRVHKLLRCFKRENGWKHCVDSVLKFLTNCISRHRMSLDIQPAGSGECNLTFKKYFTSKFSSLAKELVACISTFSDHLPADTLGKNFDKMMFVKSLLDKMQQLLCADDVSDELLFKIFKPSDELPDPSTSHDDLADDDATEGLPDLDIPLDNPLEIKSMCIKTLMDLSEMRLPCEDNESSIRDLCLKHAKLIFCTASGSFDLFRLQSVLPISILVIDEAAQLKESESLVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDVDFGRSLYERLCAMGYNKHLLEVQYRMHPCISKFPNAKFYGNRISDSPSVKQKDYTKSYLPGHIYGPYSFIHIENDMEMLDDLGQSSKNMVEVAVAANIIERLAKECWKKSRRTSVGVISPYAAQVIALQEKLGRKFEKHEFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKHCLWILGNGSTLLASNSIWAELVNDSKRRGCFFDALKDKELAETMRLGMKRNGRTTDATGEPSWSSRARHDVTGAGNSTAIRRSQLPPSGSGRGINNGHAWQQNGYDLRLKASHPNKSVFLAGREDRHGLHFEQHRTYSNQSRGVPANQYWPNRFRPYHEKHGALQGFRGQVEQHIGQHYHSRTYQEPICSTSQTSNGRFAPGSVRRAGSHSQSGVLGEWQPSGGYCNRDFQNRTAYPFGPVSSQRRFNSYGNADPHLSSMNIERQFSNHPQGAPYTYRGQALNRGHGGRGRENLSFHERAMRGDRDEQARRAPYRGHGGRGRENLSFHERAMRGDRDEQANNNQMEEPHCRLQNSGSEAASHDLPVPEQRGMKRNWCKAEASDSPHQDNTKARPSVKSAYQPNCQAHDGSPGAASHKLLVPKQPGMKREGCEAESLSPSHQDNTKVRPESADRPHNKAQDESSGVVSLGLPVPEQLRREGCEAEASYSPHQDNTKVSSESADQPDGQAHDGSSGAASHEPPVPDRPELKQEACEAEPSNSPHQDDTEASAQSLDQLHCQVQDTSSGAAPHQLHVLEQEGCMETDLGDAEATVIPDILT* >Brasy3G086800.1.p pacid=40040307 transcript=Brasy3G086800.1 locus=Brasy3G086800 ID=Brasy3G086800.1.v1.1 annot-version=v1.1 MGAASRETASRCSTEKETGAHVFEISGYSLKKGMGVGKFVQSATFAVGGYDWSIRVYPDGLTAATKDFVMFSLQFMSNNAEARALYDLRLVKHASGLSGKGNSMKEPTLFNSRDVHLDCRGGLYMQRSDLETSNYIMDDRIVVRCLVTVIKESLVTETRVVCSEINVPPSDITENLAKLLEAKEEADATFCVGAETFEAHKILLAMRSPVFKAELYGPMKETNMRSVTIQDMQPAVFKALLHFIYTDSLPGLDDLEGDDNLEMVRHLLVAADRYGLDRLKVLCQSILAKNLDVENVSTTFALADQHNCDKLKDVCIEFIASSDKMDAVVATQGYANLKRSCPSVLVDVLEKTSRFRRP* >Brasy3G086800.2.p pacid=40040308 transcript=Brasy3G086800.2 locus=Brasy3G086800 ID=Brasy3G086800.2.v1.1 annot-version=v1.1 MGAASRETASRCSTEKETGAHVFEISGYSLKKGMGVGKFVQSATFAVGGYDWSIRVYPDGLTAATKDFVMFSLQFMSNNAEARALYDLRLVKHASGLSGKGNSMKEPTLFNSRDVHLDCRGGLYMQRSDLETSNYIMDDRIVVRCLVTVIKESLVTETRVVCSEINVPPSDITENLAKLLEAKEEADATFCVGAETFEAHKILLAMRSPVFKAELYGPMKETNMRSVTIQDMQPAVFKALLHFIYTDSLPGLDDLEGDDNLEMVRHLLVAADRYGLDRLKVLCQSILAKNLDVENVSTTFALADQHNCDKLKDVCIEFIASSDKMDAVVATQGYANLKRA* >Brasy3G018200.1.p pacid=40040309 transcript=Brasy3G018200.1 locus=Brasy3G018200 ID=Brasy3G018200.1.v1.1 annot-version=v1.1 MEAVSTILKVAQQIAKAAETARRNRARCRDLAARVRSIGETLRGSSSSAASAAASRGSALGRLKAALDDALQLVESCRHTRQTGTGWLVSLLTSGRTAARFQRVEKRITECGVDLGVEKLMLLAAAHDRRSGKAKGANGGGKKKEGGNNNGGHNKQSKNGTNDRSNKGKEKKKDVAKNGNGGASNNKNKNKNKGGGGQQKGRGINAGGKLAAAARAPPGPRYVVHSMEDDPNSCSVM* >Brasy3G188200.1.p pacid=40040310 transcript=Brasy3G188200.1 locus=Brasy3G188200 ID=Brasy3G188200.1.v1.1 annot-version=v1.1 MSSSSSSGASVAASFTPVSQQQMAAVENLPPQQQQQLAVQEEAPPAGSEVEQLCYVHCHFCDTVLVVSVPSSSLLKTVTVRCGHCSSLLTVNMRGLLFPATTATPAVSAVTTLTDASSPPPPAAGGAHHGQQQFHYSQSPANPLNLAPGTGSGNHNVPPRHSLSLLDEISSANQSQLQLLGQHGGGMIAGAASRNAAAPAPAPAPPAAAGKGRKEPSPRTNPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLRKTSLLPLPQDHQRMDVLLKDGLYAAANMGVAPY* >Brasy3G142300.1.p pacid=40040311 transcript=Brasy3G142300.1 locus=Brasy3G142300 ID=Brasy3G142300.1.v1.1 annot-version=v1.1 MSRKKAKVETVEGETSGGGGAAHDHLSALPDELLHKVMSFLRAWEVARTCVLSRRWRHLWAPAPCVDLRVCCKARHRPFPTQLAKFAYRFLLEREVSAPLDTLRLLSSPTCDDDNGCSPYGPYSPKPKPYDDDGEDYCSTDVDMWIRAAINRRARIIQLGHHPRDDAFSDLKPVPLISCHLKQLSLSGTALHGKTLKQISSQCPSLEVLELKGCFLDGPQISSTSLMNLTMVECRIMADLSIAAPNLVSLRCIIPYHRAPSFENLGSLTTGTVILDDSFLHDKFEYEYKDRDEDVFECDSDDSSDSNDDDYDSDADSYHGTWDRFSGAKRVLGGQNVLCGLSNVTSLELIADAGELILNRELEMCPVFTNLKALSLGEWCMTTDLDPLVSFLQHAPNLEKLFLRLKMDHEEIEDNIIPEGISFACKNLTMVKILCPEDDERVGTLSQMFMANGIPIEKIYIHHRQTRRT* >Brasy3G142300.2.p pacid=40040312 transcript=Brasy3G142300.2 locus=Brasy3G142300 ID=Brasy3G142300.2.v1.1 annot-version=v1.1 MSRKKAKVETVEGETSGGGGAAHDHLSALPDELLHKVMSFLRAWEVARTCVLSRRWRHLWAPAPCVDLRVCCKARHRPFPTQLAKFAYRFLLEREVSAPLDTLRLLSSPTCDDDNGCSPYGPYSPKPKPYDDDGEDYCSTDVDMWIRAAINRRARIIQLGHHPRDDAFSDLKPVPLISCHLKQLSLSECRIMADLSIAAPNLVSLRCIIPYHRAPSFENLGSLTTGTVILDDSFLHDKFEYEYKDRDEDVFECDSDDSSDSNDDDYDSDADSYHGTWDRFSGAKRVLGGQNVLCGLSNVTSLELIADAGELILNRELEMCPVFTNLKALSLGEWCMTTDLDPLVSFLQHAPNLEKLFLRLKMDHEEIEDNIIPEGISFACKNLTMVKILCPEDDERVGTLSQMFMANGIPIEKIYIHHRQTRRT* >Brasy3G334600.1.p pacid=40040313 transcript=Brasy3G334600.1 locus=Brasy3G334600 ID=Brasy3G334600.1.v1.1 annot-version=v1.1 MGRPPCCEKAHTTKGAWTREEDDRLSAHVRAHGEGRWRSLPRLAGLRRCGKSCRLRWVNYLRPDLKRGAFSRDEDALVVALHALLGNRWAMIAARLPGRTDNEIKNYWNTHLGRRLKGMGIDPVTHRPVVVGDGGGGEMPETEKKAPPRCTDLNLELRISPPWQQQQQEEEMPQRAPVKRDVKPVKRAATCSGSGPACST* >Brasy3G281400.1.p pacid=40040314 transcript=Brasy3G281400.1 locus=Brasy3G281400 ID=Brasy3G281400.1.v1.1 annot-version=v1.1 MASRASLLALPLVLLLCLSSPEAGDARSGGAGEGRWSSSALRGRVRADPNMEVKFDFTPFLIQYRSGRVQRLMGTRVVPPSLDARTGVASRDVVVDKKTGLAVRLYRPPSLNSGGDKLPVLLYFHGGAFVVESAFDPVYHSYLNAVSAKAGVIAVSVNYRLAPEHPLPAAYEDSWTALKWVLRHVSSGSGDGSNWLAKHGDASRLFIAGDSAGGNIAHNLAMRAGNKQQQQQQGGLGRVAMIKGLALLDPYFLGTRADPGAERAWGFICAGRYGTEHPYVNPMASLPAEAWRRGLGGARVLMTVSGQDRLGPWQRAYVDALRASGWDGDAQLYETPGEGHCYFLNNIESPKAAMHMATLAAFVNRD* >Brasy3G288600.1.p pacid=40040315 transcript=Brasy3G288600.1 locus=Brasy3G288600 ID=Brasy3G288600.1.v1.1 annot-version=v1.1 MDSDDPRHDLRGRGPAPAPAGAGGATTKKSGPGQPWSHAETMHLLDVYEDRWNKLRRGQLKAQQWEDVAAETTARCVASGGAGQRKTGTQCRHKLEKLRKRYRAEAARPVASLWPFFRRMDQLERGPRAALSPPAAASDDDEREEEEDEEGEEEEEDEEGGQQVEEPELVPRNSKSINGLLRDGGGGFRGFASRPPQPQQPQQRPPPPGLTLSNAPPRKRVAYEAFQAQAAADRVKAEEAQADRSGGVANGPFSDVLRDFGEGLMRLERRRMDVQWEIERGWKEVEARHSRMLQDAQRRIQDTIAAASASGKKARRDHGSSADDDL* >Brasy3G172100.1.p pacid=40040316 transcript=Brasy3G172100.1 locus=Brasy3G172100 ID=Brasy3G172100.1.v1.1 annot-version=v1.1 MDGIIHREFDALHESGANNLQWKCDVELFLESKGLLGAVGHSTHEVATTTPEENDEVLQFLWRHLCNTLEEEYMAETSAMVLWTALRERFEEDQLANFQRKVPMLPIVGPFMAPYE* >Brasy3G339800.1.p pacid=40040317 transcript=Brasy3G339800.1 locus=Brasy3G339800 ID=Brasy3G339800.1.v1.1 annot-version=v1.1 MVLPRRRVGGHAHQHHRNPCACPCPRRAALPAAALLLLFLVAAVALLGVAPPPLSDHPALASSRRRRRPLLNSSGGGGSGSLGVEPEPERREISKIVPGGGWTAADDLWGSKLASKFYGCSNSSSKFPDSSNVTQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASDFAEIFDVDWFITSLSKDVRIVKELPKIGGKVRTPHRMRVPRKCTQRCYLNRVLPALIKRHVVRLTKFDYRLANRLDSDLQKLRCRVNYHALRFTDPIEEMGEKIIRRMRARSTYFIALHLRFESDMLAFSGCYYGGGEKEKRELGAIRKRWKTLHASNPEKERRHGRCPLTPEEVGLMLKALGYSNNVHIYVASGEIYGGARSLAPLKALFPNLHTKETIASKDELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYLGHKRTIRPNAKRLYSLFLTRGNMSWDAFSSKVHMFQKGFMGEPKELRPGRGEFHENPSTCICEKTDHKTITKSNPQSEQGSSNGTDGGQAISEPTVPNYTEEELGGSDGEEDDTPAEQEVILDTEADDEDGALVRPDDPELEEALSD* >Brasy3G168500.1.p pacid=40040318 transcript=Brasy3G168500.1 locus=Brasy3G168500 ID=Brasy3G168500.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYHAVVRIHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLRTKYERLDKGPFKYLPRGFITCKNKFFTLPGMPEEKIADDSYDFCNFVTSQEYMVANMREEIEHYRKQLWIALGHLSAVVDAGMYENEVRYPRRHPAPEFVKLFQVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy3G163400.1.p pacid=40040319 transcript=Brasy3G163400.1 locus=Brasy3G163400 ID=Brasy3G163400.1.v1.1 annot-version=v1.1 MAEFRDPPDITITVGRCLEPFSHMEQQGRNVFAHHTHEENFLHESHDYLANFVELKALGSHPLLELQTQEKPPLRWVHCDIHAEGTNDWIRLFIRNDNLYVRGFANSLTAYEITQPALTKGTAVPVRSVILPLDYNCVLLDWDVMYSSLFGCKDNDGLEDELQKIANDPEFIIDAVCFLSNYVHKEGSDNEEKAKKKLGGIIFMLCEFWRMKLRSNFPGHSTGNLIDKAQRWGDICIQLLKWRARDFTGWITSKEYNDPRVPAQKMWRRLKNLGINSEVDALQLVYLVLNRDVQHFDNLEKNKSKKILVGSEEGGKGNRRSTHDKRPGRKDDQLADTRKLYSPSQRGNSFAHGAGKCDYRQGAPMEDGADSLPWGRRRVQLLSVSANFGVDWIDFHDWHRPQAIYRRQLIDNGLPR* >Brasy3G089600.1.p pacid=40040320 transcript=Brasy3G089600.1 locus=Brasy3G089600 ID=Brasy3G089600.1.v1.1 annot-version=v1.1 MWAEALSHSFRGGLFPPRIPTSSSHARVVACSTAPRAVCATAARPRVLLRVLLLAIRAPTPLSSPDLPDLPRVLVLVGGGPPEVQDFKPLRAGEEVGEEREMEGVGGGDRGRRSDAERERDPF* >Brasy3G021000.1.p pacid=40040321 transcript=Brasy3G021000.1 locus=Brasy3G021000 ID=Brasy3G021000.1.v1.1 annot-version=v1.1 MDPAGFPTEDDDAIYCDDDSYISSISPLHEALRGTTAMPSLDEFLKHDTPMNILPLPTNSIPVVSEIQENSIFPMNEHPMQPSNLNVALPIPPVMSSSMLAAATPESTTPFEARFTAPSFTSPIQGSHRSSHGDTNTRGVLKHPPAFDYPAWFAKEQNTNTIVESSSYGQQTGFEPFVSPTIFHLLWESTQPRMAVQHMKMGGQHEMLYKVSAPCTSSKMVQPRRSRGYTCERCNMTFFSPQSYGGHMSSHSKANKKTLLD* >Brasy3G124000.1.p pacid=40040322 transcript=Brasy3G124000.1 locus=Brasy3G124000 ID=Brasy3G124000.1.v1.1 annot-version=v1.1 MVQAMEEGHEEADFVVLRCFDGEEFRVPPALARRSEVVTAGVGAGERVVPVPGAVPGRVLAAVIAYWANRDNAAAASVDVGRYDEEYVAGLSHDMRVDVINAAYHLNEHSLLELFG* >Brasy3G085300.1.p pacid=40040323 transcript=Brasy3G085300.1 locus=Brasy3G085300 ID=Brasy3G085300.1.v1.1 annot-version=v1.1 MWKLKIAEGGPWLKSGNNHIGRETWEFDQNNGSSEEQEAVDAARVEFEKNRFRTRHSSDILARMQLAKENNFSLDLQKSKDETTDEIDSSTISDILKRALTYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGITLSSEHRKEICRYLYNRQNIDGGWGLHAEGESSMLSSALNYTALRLLGESVDDGPDMSMPKARKWIHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPEFFLLPSLVPIQPGRLWSHFRMAFIPMCYLYGKKFVGPITELVLSLREELHTHPYEKINWKQARRLCAKEDAYHPHTWLQECLSDCLYSFGEPFLARWPVSYMRRKALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKHHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACNIAEEFGSTLKKAHHFIKASQIVDNPSGDFSRRYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKFSSDIVGDQMETCRFHDAVNILLSLQNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALVLFREKYPGHRRDEVEQCITRATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYNNSTCMQKACKFLLSKQLRNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPSPLHKAARLIINMQLCNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYHKLALQSD* >Brasy3G272100.1.p pacid=40040324 transcript=Brasy3G272100.1 locus=Brasy3G272100 ID=Brasy3G272100.1.v1.1 annot-version=v1.1 MAPTSPSSTARLLLALSLGFLLLTDAHPQQTPSPPKNPPAKKSTNGISPELVSTLRETLDAIKGVVSIISSFPIGGILGGGDLRLTSAVADCLDLLDLSSDELSWSMSSSSSSSSSSSSRPGTGDAHSDVRSWLSGALGNQDTCKEGLDETGSILGSLVSTGLEAITSLLADGLGQVAAAVGQDDRRGLVETGRAAPHWVGRRERRLLQMPVGPGGLAVDAVVAQDGSGNHTTVKAALDAAPSESGTRYVIYVKRGVYNETVEVKKKKWNVMLVGDGMGATVISGRRNYVDGYTTYHTATVAVTGKGFIARDLTIENTAGPAKHQAVALRCDSDLSVFYRCAFEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCLLLARAPLPEQKNSVTAQGRINGSMNTGFAFQFCNVSAHDDLLAAANANASRSATQTYLGRPWKEFSRVVFMQSYIGAVVRPEGWLAWDGDYALDTLYYGEYMNTGPGAGVAGRVGWPGYHVMMSPAEASNFTVAQFIEGNMWLPPTGVRFTAGLTS* >Brasy3G021700.1.p pacid=40040325 transcript=Brasy3G021700.1 locus=Brasy3G021700 ID=Brasy3G021700.1.v1.1 annot-version=v1.1 MRGRRWKSPPLQIAAPPALQIDARPWLEVAAAADRRLPVATAAGRRALPLEVAAPAPLLQVPMPSPAAGRRSAPCSPAARRLHCWPPPSLPVAAGARCRPPPLLAAAPPTAACGSPCRRPSLQVAVPLPLAAAPPIAAGRRAAALCWSRRRPSLQVAVPLPLVAGPLRCRYSSCEQN* >Brasy3G073800.1.p pacid=40040326 transcript=Brasy3G073800.1 locus=Brasy3G073800 ID=Brasy3G073800.1.v1.1 annot-version=v1.1 MGAAKDLVRLLILVEVAIAVAGPGTTVAALSVDYYAMGCPFAEYMVRDVVNKAVMADPTLAAGLLRLHFHDCFVQGCDASVLLDSTPKNTAEKDAPANKSLRGFEVIDKIKQILESQCPGVVSCADILALAARDAVLAAGGPYYMVPVGRRDGTRSVFTDTFTALPSPFLNASALTALFAAHGFNVQDTVALSGGHTLGVAHCASFKNRIAAEASTLESGLAASLASTCAKGDSATAAFDRTGTAFDGVYFKELQQRRGLLTSDQTLFESPETQMLVNTFAMSQAYFFFAFQQGMYKMGQIDLKEGTQGEVRKSCRVVNSY* >Brasy3G037800.1.p pacid=40040327 transcript=Brasy3G037800.1 locus=Brasy3G037800 ID=Brasy3G037800.1.v1.1 annot-version=v1.1 MECKLQEHAMRSLGEEQEEEAAMAPASPTLWSLLHSLAQGCAGYLGLGDYSLGAVGHRKPAAADGAGLREGAAFRVVEVQSRSMAFQRNRRYQQGVGGRGGINN* >Brasy3G007600.1.p pacid=40040328 transcript=Brasy3G007600.1 locus=Brasy3G007600 ID=Brasy3G007600.1.v1.1 annot-version=v1.1 MGHQSLSSQETGNTVRRELQHISATTTEFLFKLLEHITNHFSEESIIGRGGSGLVYKGVLDNGEEIAIKKLYQMPGLDDKHFTNEFNNLMRARHKNIMRLIGYCYSLTHECLPHDGKYVFAVREERLLCYEYLHGGSLDELLSDESCGLDWHKRFTIIKGVCEGLNFLHNIPEGPIYHLDMKPANILLDNNMIPKIGDFGLSRLFTSAQTQITTKILGTLGYMPPEYIERGEITSKFDIFSLGVIIIQVIAGRAGYAKFGGMAPQEFHNLHYLCILQVHENWAKRPHSTMSLRAWQEIKTCMDIALKCVETDGAKRRCINEVIDKLTKIDCDNVSEADKVDGDIVDEPAADESDGDNNVDEKHKFDDDGDIVDKLDKLDSDHHVVDEEEDKQVDTAKSSCCVRLLTDRMDSLFSPRCVWVNGPVIVGGGPSGLAVASGLRGQGMLFVVLEREDCIASLWQKRTYHSLKLNCPKQLCELPWMPFPDDYPEYLTRHQFIDYLQAYASKFNVNPEFNTTVLKACYDESSGLWRVRAATSDGYEMEYVGRWLVVATGQNAEKIVPCIPGLNSFHGEIVHSSDYRSGEAYKGKRVLVVGCGNSGMEVSLDLCNHAAVPFMVVRDVLGFSTLCLTVVLMRWLPLLLLDKIMLLLAWLLLGELAKLGIRTPAIDRLTLNKTYGRIWCAIARKIRSSEMNIVPGVTCFTKSGTELADGVVLDVDAVVLATGYRSNVPHWLQGTDFPDGGKGQNGLFSVGFTSRGLSGACADAVCIAKDLGKVWDEETKDVKRAGRTYRRCISVVF* >Brasy3G007600.4.p pacid=40040329 transcript=Brasy3G007600.4 locus=Brasy3G007600 ID=Brasy3G007600.4.v1.1 annot-version=v1.1 MGHQSLSSQETGNTVRRELQHISATTTEFLFKLLEHITNHFSEESIIGRGGSGLVYKGVLDNGEEIAIKKLYQMPGLDDKHFTNEFNNLMRARHKNIMRLIGYCYSLTHECLPHDGKYVFAVREERLLCYEYLHGGSLDELLSDESCGLDWHKRFTIIKGVCEGLNFLHNIPEGPIYHLDMKPANILLDNNMIPKIGDFGLSRLFTSAQTQITTKILGTLGYMPPEYIERGEITSKFDIFSLGVIIIQVIAGRAGYAKFGGMAPQEFHNLHYLCILQVHENWAKRPHSTMSLRAWQEIKTCMDIALKCVETDGAKRRCINEVIDKLTKIDCDNVSEADKVDGDIVDEPAADESDGDNNVDEKHKFDDDGDIVDKLDKLDSDHHVVDEEEDKQVDTAKSSCCVRLLTDRMDSLFSPRCVWVNGPVIVGGGPSGLAVASGLRGQGMLFVVLEREDCIASLWQKRTYHSLKLNCPKQLCELPWMPFPDDYPEYLTRHQFIDYLQAYASKFNVNPEFNTTVLKACYDESSGLWRVRAATSDGYEMEYVGRWLVVATGQNAEKIVPCIPGLNSFHGEIVHSSDYRSGEAYKGKRVLVVGCGNSGMEVSLDLCNHAAVPFMVVRDVLGFSTLCLTVVLMRWLPLLLLDKIMLLLAWLLLGELAKLGIRTPAIDRLTLNKTYGRIWCAIARKIRSSEMNIVPGVTCFTKSGTELADGVVLDVDAVVLATGYRSNVPHWLQGTDFPDGGKGQNGLFSVGFTSRGLSGACADAVCIAKDLGKVWDEETKDVKRAGRTYRRCISVVF* >Brasy3G007600.2.p pacid=40040330 transcript=Brasy3G007600.2 locus=Brasy3G007600 ID=Brasy3G007600.2.v1.1 annot-version=v1.1 MGHQSLSSQETGNTVRRELQHISATTTEFLFKLLEHITNHFSEESIIGRGGSGLVYKGVLDNGEEIAIKKLYQMPGLDDKHFTNEFNNLMRARHKNIMRLIGYCYSLTHECLPHDGKYVFAVREERLLCYEYLHGGSLDELLSDESCGLDWHKRFTIIKGVCEGLNFLHNIPEGPIYHLDMKPANILLDNNMIPKIGDFGLSRLFTSAQTQITTKILGTLGYMPPEYIERGEITSKFDIFSLGVIIIQVIAGRAGYAKFGGMAPQEFHNLVHENWAKRPHSTMSLRAWQEIKTCMDIALKCVETDGAKRRCINEVIDKLTKIDCDNVSEADKVDGDIVDEPAADESDGDNNVDEKHKFDDDGDIVDKLDKLDSDHHVVDEEEDKQVDTAKSSCCVRLLTDRMDSLFSPRCVWVNGPVIVGGGPSGLAVASGLRGQGMLFVVLEREDCIASLWQKRTYHSLKLNCPKQLCELPWMPFPDDYPEYLTRHQFIDYLQAYASKFNVNPEFNTTVLKACYDESSGLWRVRAATSDGYEMEYVGRWLVVATGQNAEKIVPCIPGLNSFHGEIVHSSDYRSGEAYKGKRVLVVGCGNSGMEVSLDLCNHAAVPFMVVRDVLGFSTLCLTVVLMRWLPLLLLDKIMLLLAWLLLGELAKLGIRTPAIDRLTLNKTYGRIWCAIARKIRSSEMNIVPGVTCFTKSGTELADGVVLDVDAVVLATGYRSNVPHWLQGTDFPDGGKGQNGLFSVGFTSRGLSGACADAVCIAKDLGKVWDEETKDVKRAGRTYRRCISVVF* >Brasy3G007600.3.p pacid=40040331 transcript=Brasy3G007600.3 locus=Brasy3G007600 ID=Brasy3G007600.3.v1.1 annot-version=v1.1 MGHQSLSSQETGNTVRRELQHISATTTEFLFKLLEHITNHFSEESIIGRGGSGLVYKGVLDNGEEIAIKKLYQMPGLDDKHFTNEFNNLMRARHKNIMRLIGYCYSLTHECLPHDGKYVFAVREERLLCYEYLHGGSLDELLSDESCGLDWHKRFTIIKGVCEGLNFLHNIPEGPIYHLDMKPANILLDNNMIPKIGDFGLSRLFTSAQTQITTKILGTLGYMPPEYIERGEITSKFDIFSLGVIIIQVIAGRAGYAKFGGMAPQEFHNLVHENWAKRPHSTMSLRAWQEIKTCMDIALKCVETDGAKRRCINEVIDKLTKIDCDNVSEADKVDGDIVDEPAADESDGDNNVDEKHKFDDDGDIVDKLDKLDSDHHVVDEEEDKQVDTAKSSCCVRLLTDRMDSLFSPRCVWVNGPVIVGGGPSGLAVASGLRGQGMLFVVLEREDCIASLWQKRTYHSLKLNCPKQLCELPWMPFPDDYPEYLTRHQFIDYLQAYASKFNVNPEFNTTVLKACYDESSGLWRVRAATSDGYEMEYVGRWLVVATGQNAEKIVPCIPGLNSFHGEIVHSSDYRSGEAYKGKRVLVVGCGNSGMEVSLDLCNHAAVPFMVVRDVLGFSTLCLTVVLMRWLPLLLLDKIMLLLAWLLLGELAKLGIRTPAIDRLTLNKTYGRIWCAIARKIRSSEMNIVPGVTCFTKSGTELADGVVLDVDAVVLATGYRSNVPHWLQGTDFPDGGKGQNGLFSVGFTSRGLSGACADAVCIAKDLGKVWDEETKDVKRAGRTYRRCISVVF* >Brasy3G148000.1.p pacid=40040332 transcript=Brasy3G148000.1 locus=Brasy3G148000 ID=Brasy3G148000.1.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQGLKRFSPLSESQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAEYKSESDTERNEVQSPALLKHSALPSPEPGTSNAETPNCHGESEDIGMGDSSPAQRADNVNRDIETGNQL* >Brasy3G148000.3.p pacid=40040333 transcript=Brasy3G148000.3 locus=Brasy3G148000 ID=Brasy3G148000.3.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAEYKSESDTERNEVQSPALLKHSALPSPEPGTSNAETPNCHGESEDIGMGDSSPAQRADNVNRDIETGNQL* >Brasy3G148000.4.p pacid=40040334 transcript=Brasy3G148000.4 locus=Brasy3G148000 ID=Brasy3G148000.4.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQGLKRFSPLSESQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAEYKSESDTERNEVQSPALLKHSALPSPEPGTSNAETPNCHGESEDIGMV* >Brasy3G148000.2.p pacid=40040335 transcript=Brasy3G148000.2 locus=Brasy3G148000 ID=Brasy3G148000.2.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQGLKRFSPLSESQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAEYKSESDTERNEVQSPALLKASPI* >Brasy3G148000.6.p pacid=40040336 transcript=Brasy3G148000.6 locus=Brasy3G148000 ID=Brasy3G148000.6.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQGLKRFSPLSESQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAAFCFAISRARNFKC* >Brasy3G148000.5.p pacid=40040337 transcript=Brasy3G148000.5 locus=Brasy3G148000 ID=Brasy3G148000.5.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAEYKSESDTERNEVQSPALLKASPI* >Brasy3G148000.8.p pacid=40040338 transcript=Brasy3G148000.8 locus=Brasy3G148000 ID=Brasy3G148000.8.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQEIQDNVSKELESIPGSEGDPCSQGDPPNGHKMVPVIDEAAFCFAISRARNFKC* >Brasy3G148000.7.p pacid=40040339 transcript=Brasy3G148000.7 locus=Brasy3G148000 ID=Brasy3G148000.7.v1.1 annot-version=v1.1 MEAEAAAMSQLQLQLLALVSDLRLTRERERAAREELHASSQRWKEAEEEQQRETRELRTEVAARDEALRKLESRVKCLETENELLRRNEKDLKESMERLLQSREAFMKHYEDSACSLQWTIQLKDKQIAVISEKLNSHLALFSTVGKEVAAVKQALGDVECLVCEKENIVSDLKCKVQRISVLEKDFVEKLSFLGDKITSYQLELQNRARIIYELKEQLDAEKLNNNFHPQLEELKKSLLVKDEIIERLTSDKQAMLMELHNMEIALRNFQDIISNIGHQGLKRFSPLSESQEIQDNVSKELER* >Brasy3G187200.1.p pacid=40040340 transcript=Brasy3G187200.1 locus=Brasy3G187200 ID=Brasy3G187200.1.v1.1 annot-version=v1.1 MAKGAATCVAIMALAVLMALAGGATAAQCNAGQLIVCAPAIIGGAAPTAACCSNLRAQQGCFCEFARNPAYARYIKSQTARNAIAACRVALPRCP* >Brasy3G283400.1.p pacid=40040341 transcript=Brasy3G283400.1 locus=Brasy3G283400 ID=Brasy3G283400.1.v1.1 annot-version=v1.1 MPPPETEPRVSSIAGCRAAATAGRRRHRSPSPDRDRHRRHHEGDGQGLKGSRARRLSDSSVSEGSRSDGRHRRRRKGRGRGRRGKGIRRHRGRSRGSDDDDSEISYDSVGSGDGKRRKRSRRSSRNGSRSRLKKSRRSDSHGSGKPSGGARSNVKTGDEPKEALEFREMIEEAPPVGPMPMRQPRAEAQVVKYGGALRPGEGDAMAQFVQQGKRIPRRARWA* >Brasy3G014500.1.p pacid=40040342 transcript=Brasy3G014500.1 locus=Brasy3G014500 ID=Brasy3G014500.1.v1.1 annot-version=v1.1 MASTAAAAAGTGSGCRYYSQTSWALRRLGFGAGAGGVLARVAAPRRGFSVSAAAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVEAFDGKTQTLKTSSGKILKYGSLIISTGCAAARLPEKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYEQNGVKFVKGALIDKLDAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPAVSPFEAVGVNNEVGGIEVDSMFRTSVPGIFAIGDVAAFPLKMYDRIARVEHVDHARKSAHHCIETLLTSQAKAYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETVEVGNFDPKIATFWIDADDRLKGVFLESGTSEEFSLLPQLARSQPVVDKAKLKSATSVEDALDIARSSLLSGSSV* >Brasy3G298500.1.p pacid=40040343 transcript=Brasy3G298500.1 locus=Brasy3G298500 ID=Brasy3G298500.1.v1.1 annot-version=v1.1 MLLTKSPANADHARRTRDLLTITMGRRCRTVVLTTSAVDVPPDAMNSPPHGAAAASASPSAAAAAAVRAWMLLPAALGASPAPPPPPCADYALPPQARRPTPQLPLAQASCLLDARERRCCASGRTCRCERRTGAPRCRARTRCRPRLVRFPQPPYALATAAVAPFVGASAAAVTRMRAGHRLRIECRAPPPLPSARARAVPTSSAGFRTSVAAAPREHAAADAA* >Brasy3G074600.1.p pacid=40040344 transcript=Brasy3G074600.1 locus=Brasy3G074600 ID=Brasy3G074600.1.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.3.p pacid=40040345 transcript=Brasy3G074600.3 locus=Brasy3G074600 ID=Brasy3G074600.3.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.8.p pacid=40040346 transcript=Brasy3G074600.8 locus=Brasy3G074600 ID=Brasy3G074600.8.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.7.p pacid=40040347 transcript=Brasy3G074600.7 locus=Brasy3G074600 ID=Brasy3G074600.7.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.4.p pacid=40040348 transcript=Brasy3G074600.4 locus=Brasy3G074600 ID=Brasy3G074600.4.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.6.p pacid=40040349 transcript=Brasy3G074600.6 locus=Brasy3G074600 ID=Brasy3G074600.6.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.2.p pacid=40040350 transcript=Brasy3G074600.2 locus=Brasy3G074600 ID=Brasy3G074600.2.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G074600.5.p pacid=40040351 transcript=Brasy3G074600.5 locus=Brasy3G074600 ID=Brasy3G074600.5.v1.1 annot-version=v1.1 MAYDRMVALGVDADRFTYPSVFRACGELREVAVGRKINRRIRRRRHDLDMHVWNALVGMYVRFGELEDARRVFDGMAGRDVVSWNTMVSGYASTGMWGEAFELLQRVPGANTVTWNAVAAGNLKAGNYDEVFRLLSQMRNCHGPGVDSVSLLIGLKACGKSGSLRVGRELHGVAVRLYFDGLECVVNSLITMYSRCRIMSCAKLLFRMCLNRSITAWNSLLAGFVFMDQVEEASLLFREMIGSCVCPNDVTVLSMLSLVARFEHLCHGRELHCYILRHGLDGSKLLQNSLVDMYSKSRHMTASQRVFDRMEYRDKHAYTSLILGYGMQRDGHVSLKLFDEMIANSIEPDHVTMVAALSACSHSGLVTQGQLLFTKMFAVFGTAPRVEHFSCMVDLYCREGLLKMAEEIIGKMQFQPTPAMLATLVEACLIHGNIEIGEQAAKKLLTMRTNNPGHYKLIANMYISAKCWPELAKVRSLMSTMELAMIPSHSLLESAYDICSVEQDDCSKHGMYCGLSDQTTDTDSSSSEEVKCSEAFGG* >Brasy3G276400.1.p pacid=40040352 transcript=Brasy3G276400.1 locus=Brasy3G276400 ID=Brasy3G276400.1.v1.1 annot-version=v1.1 MKPASTVPSTTKRSSDAAGCVCSGTTPPTAMSSRAPEMPSPLTPGNWPTKAGVTAALMRFVVLPAAARPW* >Brasy3G239500.1.p pacid=40040353 transcript=Brasy3G239500.1 locus=Brasy3G239500 ID=Brasy3G239500.1.v1.1 annot-version=v1.1 MARLTPSTSSSKSKPSMDGAASSGEQRPRRRPQMKSKAAGKKKAAQAAHKKPKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGLDVAAMARTGSGKTAAFLVPMLQRLRRRDPGAGIRALILSPTRDLAMQTLKFAHQLGKFTGLKTEAIVGGGSIESQFEILADNPDIIIATPGRLVHILTMVNDLSLRSVEYVVFDEADSLFSLGFAEQLHTILQKLSDTRQTLLFSATMPKALAEFAKAGLRDPQVLRLDVDKKISPDLKLVFLTLRQEEKLAALLYLVRERISSEEQTMIFVSTKYHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRAKKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRTGTAYSFVTSEDMPFLLDLHLFLSKPLRPAPTEEELLKDMDGMNLKIDQSLANGETVYGRFPQTIIDLCSDGVKEVINGCTDLIALEKPCANAFRLYLKTRAMPSKESIRRAKDFPREGLHPIFRDVLRSDEISALAFSERLKSFRPKQTILEAEGEAAKSRSSKGSNQWLDVMKKKREVHEGIINLVHEKNSGDLATKEEEAENISNWETKEVCGKKRKSQSFRDEDYYISSVPQNQHSEAGLSVKGNEGFVQDRLDAAVLDLVDDETSGMQSQKTRYHWMKNKFVKLNSGDRVTASGKIKTERTAKSKAASKDIYKKWQQKSHRAINSGGKDGDFREGGPSTPGGYQRGNRRHPAAGRGRWSIPNADVPSEIRNPQQMQKGRQQKAMQNMRMKEKSAKDGKFPGKFQKNKRPDGSGRDGKFQKNRKPDGKGSRKGNGKGFGKAKGKGKPKGKGSR* >Brasy3G080200.1.p pacid=40040354 transcript=Brasy3G080200.1 locus=Brasy3G080200 ID=Brasy3G080200.1.v1.1 annot-version=v1.1 MASATGYTGPTAEQPAYGRPLLEVFSLRLVGRAAGGPPCGTVSVSDGRRGQIVYSRERDQPTHLHPSSRAQDGLVLTGPHRAISAEGSVSITLDLDDGSQEPPPSSEDEICKIYWDAYHPTVEYDKAISQTVDTRCGPAEVTYAVLSNAVEGVVEVKLVRGGDEGVGGRIIARSRLLAVGTVLFDGEPESAREGTIPLVRSVLAVPLVWPLTVEADLRASSGDEIAKGCLEFYPELEGEHVKRLVGRNGEIEVKITWWDI* >Brasy3G141300.1.p pacid=40040355 transcript=Brasy3G141300.1 locus=Brasy3G141300 ID=Brasy3G141300.1.v1.1 annot-version=v1.1 MGRKEQKKGNPNPVFPIPATDFPNPQSPYLPFSPVSSSLSQSSSGPLCCPPCTPPGPGASYPHRSTSPVCRHQPALVARPFTVPLPLPLLYSILLSNACRAAASAVVPRPSPIAPPTPVVLSPDIHASRQPSRAARLPAYQSAAATLLCLASPAVRDGQVRDVRPPPQGGLKIKM* >Brasy3G132300.1.p pacid=40040356 transcript=Brasy3G132300.1 locus=Brasy3G132300 ID=Brasy3G132300.1.v1.1 annot-version=v1.1 MFVLVPSCVVLLETMAARCGLSYYCYICEVVEYRMILAGVELGLPGLGGARQPGSEFFWGCGRLWCPAAYEQAAMQVVRFLQSMYGFVIWDS* >Brasy3G160600.1.p pacid=40040357 transcript=Brasy3G160600.1 locus=Brasy3G160600 ID=Brasy3G160600.1.v1.1 annot-version=v1.1 MYEKLAVLVCHMAMRRSLAGGNNTAAAALKVLLALDEAGVRPDRSYYERLVWACTGEEHYTIAKELYQRIRPGCLQHHLHQQGG* >Brasy3G002400.1.p pacid=40040358 transcript=Brasy3G002400.1 locus=Brasy3G002400 ID=Brasy3G002400.1.v1.1 annot-version=v1.1 MELVKPKVAACAALLMLLLLSSYDKEDGGVAEARLCTGKSQHHSFPCVSDKHCSSDCVREYNGGWTAGYCHWRVCTCQKAC* >Brasy3G287000.1.p pacid=40040359 transcript=Brasy3G287000.1 locus=Brasy3G287000 ID=Brasy3G287000.1.v1.1 annot-version=v1.1 MHTSGRVTWLPHRLWAHTSGDHVALQSYRNPPRPYNLLPLPPAPLCSLLLLCPRRRHSSARAVEHLAVAGAQRNGDGATPLHLPPLASGRHHRRPCPPLLALARAPVSAPLLAPARTPRPCPRPPRRRCSPQPAADPGGCSSRRCWLLEFTRCPCWLLLAAARGCSPLPEAARRLLPAAAACCSHGCSSLLPEEKGETTRTRTKRNGEFCPLEIRERRENWIVRANSSSSPTLAPPPVPFPRRPPRWLVGEASRPPVKGTAGIPPAWSRETEQARRWLGGCAEADAAVGAADGEAATAAWAASTGAGLERQSGGRGGDNGCGWGGGRAGCNGGWVDGQAAEGSRGKREEIVRPRGVSVRLQGHVVA* >Brasy3G174200.1.p pacid=40040360 transcript=Brasy3G174200.1 locus=Brasy3G174200 ID=Brasy3G174200.1.v1.1 annot-version=v1.1 MRGRNDGAQSKRPVVLFCLMVVCLCLLFLYFSGSEGQAGSTALEYGTKFSRSLGWGSDVDGDDGSEESIFGTGDANDVKLKSFPVCDDRHSELIPCLDRNLIFQTRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLNFKDNIINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAEKKNQTVIWVKPVNNDCYRSRPHGTNPPLCKSGDDPDSVWGVTMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADAFEKDTEMWQQRVDNYWNLLRPKIKPDTIRNVMDMKANFGSFAAALKEKNVWVMNVVPHDGPSTLKIIYDRGLIGSIHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKAPVILFIKKYLNALHWEAVTVVDAESSPEQEDNEMIFIIRKKLWLPEGGSQDST* >Brasy3G174200.2.p pacid=40040361 transcript=Brasy3G174200.2 locus=Brasy3G174200 ID=Brasy3G174200.2.v1.1 annot-version=v1.1 MRGRNDGAQSKRPVVLFCLMVVCLCLLFLYFSGSEGQAGSTALEYGTKFSRSLGWGSDVDGDDGSEESIFGTGDANDVKLKSFPVCDDRHSELIPCLDRNLIFQTRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLNFKDNIINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAEKKNQTVIWVKPVNNDCYRSRPHGTNPPLCKSGDDPDSVWGVTMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADAFEKDTEMWQQRVDNYWNLLRPKIKPDTIRNVMDMKANFGSFAAALKEKNVWVMNVVPHDGPSTLKIIYDRGLIGSIHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKAPVILFIKKYLNALHWEAVTVVDAESSPEQEDNEMIFIIRKKLWLPEGGSQDST* >Brasy3G174200.3.p pacid=40040362 transcript=Brasy3G174200.3 locus=Brasy3G174200 ID=Brasy3G174200.3.v1.1 annot-version=v1.1 MRGRNDGAQSKRPVVLFCLMVVCLCLLFLYFSGSEGQAGSTALEYGTKFSRSLGWGSDVDGDDGSEESIFGTGDANDVKLKSFPVCDDRHSELIPCLDRNLIFQTRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLNFKDNIINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAEKKNQTVIWVKPVNNDCYRSRPHGTNPPLCKSGDDPDSVWGVTMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADAFEKDTEMWQQRVDNYWNLLRPKIKPDTIRNVMDMKANFGSFAAALKEKNVWVMNVVPHDGPSTLKIIYDRGLIGSIHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKAPVILFIKKYLNALHWEAVTVVDAESSPEQEDNEMIFIIRKKLWLPEGGSQDST* >Brasy3G311300.1.p pacid=40040363 transcript=Brasy3G311300.1 locus=Brasy3G311300 ID=Brasy3G311300.1.v1.1 annot-version=v1.1 MMSAPESSCGGDEEAGMRTRSKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLICILHNVTMEADPDTDEVYARMTLQPVSNVTQCDKEILLASEIALKQSRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPQLDFSLQPPAQELQARDLHDNTWTFRHIFRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDGKHQLLLGIRRANRQPTNLSSSVLSSDSMHIGVLAAAAHAAANNSQFTIFYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKSSQWRSIQVAWDEAAPTERRTRVSLWEIEPVIAPFFIYPSPLFTAKRARQPGMIDDETSEMDNLFKRTMPWLGEDMCKKDLNSQNSIAPGLNLVQSLQWMNMQQNLSLAGTVMQPELLNSLASKHVQNLYAADISRQISFQPQFLQQNNIQFNTSLLPQQNQQTEQLAKVIAPNQLGNIMVPEKVDQDRNSDQKQHVVTQSSPGGQASINITQPQLVAQTQFQQPQVILQAQVQQQQPLVQSHTVLHGGLQQIQVLPQQQPHLQKQQQSQHHQQVQHLVQEQQHIKIQPLQVSSDANMNMQLSDNQMKLQLLKALQSQQHLTLEQQKMFFDLQQQMVNGQSDPQQCTQGTAQTVGIHNTIQYTTQQNSQPHKPVQDSPGNSIPIAKTDIITSIGASSLSNVGGMQSLKTHNVPSSSTSPCTNTNPVILQSIPSSSKNQSSLTAAKTSQSSVVLGPTIEQDMKSYQSVKPTMIIPKMTEQRPTTGQDCPNNNPHIDYLDTSSSATSVCLSQADGSFQQNFPPSSLNQHQLLRDTVPDNEFEVTDPRNNLLFGVNIDGQLGLPLNADALLATSIENDKFMDHMAGNGISNYMSSKESQQEISSSMISHSFGVGDMAFNSIDSAINDTPFLNRNSRAPAPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDIARMFGIEGQLGDQSRVGWKLVYEDHEKDVLLVGDDPWEDFLNCVRCIRILSPQEEMQMRLVGDIGDGFLPNQACSSSDGGQPW* >Brasy3G311300.2.p pacid=40040364 transcript=Brasy3G311300.2 locus=Brasy3G311300 ID=Brasy3G311300.2.v1.1 annot-version=v1.1 MMSAPESSCGGDEEAGMRTRSKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLICILHNVTMEADPDTDEVYARMTLQPVSNVTQCDKEILLASEIALKQSRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPQLDFSLQPPAQELQARDLHDNTWTFRHIFRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDGKHQLLLGIRRANRQPTNLSSSVLSSDSMHIGVLAAAAHAAANNSQFTIFYNPRYMGTITGISDLDPVRWKSSQWRSIQVAWDEAAPTERRTRVSLWEIEPVIAPFFIYPSPLFTAKRARQPGMIDDETSEMDNLFKRTMPWLGEDMCKKDLNSQNSIAPGLNLVQSLQWMNMQQNLSLAGTVMQPELLNSLASKHVQNLYAADISRQISFQPQFLQQNNIQFNTSLLPQQNQQTEQLAKVIAPNQLGNIMVPEKVDQDRNSDQKQHVVTQSSPGGQASINITQPQLVAQTQFQQPQVILQAQVQQQQPLVQSHTVLHGGLQQIQVLPQQQPHLQKQQQSQHHQQVQHLVQEQQHIKIQPLQVSSDANMNMQLSDNQMKLQLLKALQSQQHLTLEQQKMFFDLQQQMVNGQSDPQQCTQGTAQTVGIHNTIQYTTQQNSQPHKPVQDSPGNSIPIAKTDIITSIGASSLSNVGGMQSLKTHNVPSSSTSPCTNTNPVILQSIPSSSKNQSSLTAAKTSQSSVVLGPTIEQDMKSYQSVKPTMIIPKMTEQRPTTGQDCPNNNPHIDYLDTSSSATSVCLSQADGSFQQNFPPSSLNQHQLLRDTVPDNEFEVTDPRNNLLFGVNIDGQLGLPLNADALLATSIENDKFMDHMAGNGISNYMSSKESQQEISSSMISHSFGVGDMAFNSIDSAINDTPFLNRNSRAPAPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDIARMFGIEGQLGDQSRVGWKLVYEDHEKDVLLVGDDPWEDFLNCVRCIRILSPQEEMQMRLVGDIGDGFLPNQACSSSDGGQPW* >Brasy3G056300.1.p pacid=40040365 transcript=Brasy3G056300.1 locus=Brasy3G056300 ID=Brasy3G056300.1.v1.1 annot-version=v1.1 MGSLDTNPTAFSAFPDDDNSFQPLNTDDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDQLSASPPTYSAPFDVTMKEIRNSVVPGMTHWQSPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPKLAVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETNYGLDPVKLLEVMQADADAGLVPTYVCATVGTTSSNAVDPVGDVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDLVRADDRFEIVVPRNFALVCFRIKANGVMTEEDADEANRVLMEKLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVKSAWDLIKKTTTEMMD* >Brasy3G034400.1.p pacid=40040366 transcript=Brasy3G034400.1 locus=Brasy3G034400 ID=Brasy3G034400.1.v1.1 annot-version=v1.1 MDHFKQTLYGPQISNHYRTIKKKYLKIKKIKDDCSGAGWDDGTCTLRMDHDMALKYIEKHKWSAEYINKPIENYHEMQTCYGDRLATGKYAKGSSEPLGTAATSLQVDQDEDVLGPQSNIGSSATKPLKRAKKDGGEEESLISTLRGVGTDLASAIAKAGANGDDIPDGLYETLCGLEGYNEDQVAQYYGFLVDHPKKAKGFMTMGHKGQLNWMSRYIKKEYTD* >Brasy3G109700.1.p pacid=40040367 transcript=Brasy3G109700.1 locus=Brasy3G109700 ID=Brasy3G109700.1.v1.1 annot-version=v1.1 MARTKMTARKSTGGKAPRKQLRATCTPARTTVPTIGGVKKPRRYRPGPVALRQIRKYQNGTELLIRKLPFQRLIREIARVFKIDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDVYLARRIRGEKL* >Brasy3G270200.1.p pacid=40040368 transcript=Brasy3G270200.1 locus=Brasy3G270200 ID=Brasy3G270200.1.v1.1 annot-version=v1.1 MWKQFIGKLSWKSLKSSPGGGGGSPTAKSHPMSPRENGGSGKPNASPTSPSGVAGAEGRSREDAFIQKVNICCTVYDFADRGKDSPEKERKRQVLMSLVDCIGAAEEPLTEAMMSACVHMFGVNLFRVFPPKVRSGTAASETEEDDPFFDPSWYHLQVVYELLLRFVTSPFVDPKVARKYVDSSFVSKLLDLFDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVSEADHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELIDMAEFQKCMVSLFRRIANCLNSSHFQVAERALFLWNNEHLFDMISQNRQVIVPIVYPALERNARWHWNQSVLNVTMNVRKMFFDMDERLLLACQSNFQVEEEKRTAAEERRRLVWEQLERNAQPVVAGNTSFPQPSSSVSPVVPTVT* >Brasy3G133700.1.p pacid=40040369 transcript=Brasy3G133700.1 locus=Brasy3G133700 ID=Brasy3G133700.1.v1.1 annot-version=v1.1 MEAAPEREREGRGEEWSEGGVALGFRVKACSRESAAQKAANVLEPDLRSHWSTATNTKEWILLELKEPCLVSHIRIYNKSVFEWEVTAGLCYKPEAFVKVRPRGEAPKRDMVYPANHTPCRYVRVSCLRGSPIAIFFIQLIGTPVPGLEPEFQPLVSYLLPQISSSQKQSYSSHNMHLQLLKDIASRLSPFLPQIEADLNSMADTPESSVRFLALLAGPFYPILRLINERDATKTLICSADSDTLKTSVASTPTVSSNFEAQPRRSRSPSSVEPASCMLAFRSETAVLLLRKAQKDKNLRIVCYRVSRVLQKLLEPEPLFDESTSNDGMFSSHVSDEIHKSDSSSLLFTNYSSLFGEETSLPENHFDSSFLNVLDIAAAEEGILHVLYATASQPQLSRELSETSSDMWSVLPLVQALLPALRPPFSVGPTEQVDDSFSQWNHPDVHKALSQIVTMSVSSSVFHPLLRACAGYLSSFLSSHAKAACVLLDLCTGPLSPWVPMVTAKIDLAVELLEDLLGVIQGVGQSLVRSRAALKYVVLAISGNMDDVLTEYKEVKHKLLFILEMLDPFIDHAISAREDTVSFGGVSAVNLEKQAKACDLALNIIRTAAKNPAVLPCLELEWRRGAVAPSVLLSILDPHMPLPPDVDLCKSSMPEVDQAILAVSNYPALHSCDPEDVEGQDVSETSARVESFEQCNYLFAPEELRQTDLTNALQGEGHDRITRNLNQNVPEGRKNNVKLPAGLFQLDNTAAADYDDVHTDYLQLLNHRDCELRALEFHRLAQNLCTQQEPTLEGHNAGIDALLLAAECYVNPFFLLDLRLNSEPLDQIERTHSELIQGNVSFESKGTHVKDLDLVAISSLENKRDRAVLDLLLQAARFDCAYQAKIPDGEVYPNDAEEDKQTIEISPEATYLIDAVTLVRKNQAMLCHFIMKQFRRKGHSSTEVLLDSLLFLLHSATDLFCPPDNVIDIILKSAENLDRQLTCIYSSVNAGDKNLDKLKLHAPRRRWALLHKLVLASSGSDNTRQLVSIKKDGFRFRSLVPPSAWMHKISEFSRFSSPLPRFLGWMAVSRYAKEYVNERLFLASDFSQLTSLLSIFTDELSLMDGVANQKVKSANVDQSDCNNYLFLKKESTFSDQQSMSKLFQILLPELHFFFPSMSRQFHAFGESILEAVGLQLKCLPKSAVQDVLCWFSEMCLWPYRESIKEHLLLANGFSCLRGNIAANAKAVVFYLLDSVISEHLEAIVPETPRVVHILVSLCRVFYTDVAFLDSVLCVMKPLISYFLRKRTDDEKVMGHITDSSNFELICFEELFEIVRRGKHSEDATGFKIQVPLLVFVLGSLFPDLSFNRRIEILGSLLVWVDYISSDPPSLLLSYLQGFHTLIDGCVTILVQNIELFGISILSVKTQSRMYTDSLSGDAIMQLERNTQDSAEHVLLKSTDNGEESKGVNSPPVGPIIEFCDALEKFVSHLAPSIEGSWKWHHQLASRLSLLIAKCLLYAKCLKSITQGNTISNSTRPEVEHAQKYWESALEGLAEIILGNQEKQCWQVASAMLEYMIKLPNVLALGNVLSITCSAIEHFCSNAPRISWRLQTEKWLSVLVSGGIENLKNSEMSLVNLFCTLLSHAEPEQRSVALQQLGSIINLASTAEVDLQYPINQNLASGSTVTSHLVTHTWNKIAALALYDSSMLLRKHAMALLTEYVPFVDKNHLRSFLASSNSILNCMGQPFCVIEEGYLTRMSLLLLSKACLYSSSEDIALIPECVWRKLENMQTSLTGGFGDVEKDLCRALCQLRIESDAKTVVEELLAGSTTKPVNTDYKSIRESILQVLSSLSSVESYFEFFSIRSDQEYQELEEAEIELELIANEKAVQKFVGHPQDIVVIPDVLSYYKDGTEVNKRLQQIRQDIQSLERSKLREEIIARRQKKLLIRRAREKYLEETSSKEVELMQELDRERTLEMEHEIERQRQLDLERVKSRELQYKLDMEREKQTQRELERELEQVELGRSSRREFSANPSSRSRERYHERDNGRGGHSTAVAGGSRPSFPGNLPMILQSRDRSSDGYEENAEGSRDSGGETSSMGDPEFDVPGSGVRYGTRAGSSKSSRQVLERRERDGRREGKWERKQ* >Brasy3G133700.2.p pacid=40040370 transcript=Brasy3G133700.2 locus=Brasy3G133700 ID=Brasy3G133700.2.v1.1 annot-version=v1.1 MEAAPEREREGRGEEWSEGGVALGFRVKACSRESAAQKAANVLEPDLRSHWSTATNTKEWILLELKEPCLVSHIRIYNKSVFEWEVTAGLCYKPEAFVKVRPRGEAPKRDMVYPANHTPCRYVRVSCLRGSPIAIFFIQLIGTPVPGLEPEFQPLVSYLLPQISSSQKQSYSSHNMHLQLLKDIASRLSPFLPQIEADLNSMADTPESSVRFLALLAGPFYPILRLINERDATKTLICSADSDTLKTSVASTPTVSSNFEAQPRRSRSPSSVEPASCMLAFRSETAVLLLRKAQKDKNLRIVCYRVSRVLQKLLEPEPLFDESTSNDGMFSSHVSDEIHKSDSSSLLFTNYSSLFGEETSLPENHFDSSFLNVLDIAAAEEGILHVLYATASQPQLSRELSETSSDMWSVLPLVQALLPALRPPFSVGPTEQVDDSFSQWNHPDVHKALSQIDLAVELLEDLLGVIQGVGQSLVRSRAALKYVVLAISGNMDDVLTEYKEVKHKLLFILEMLDPFIDHAISAREDTVSFGGVSAVNLEKQAKACDLALNIIRTAAKNPAVLPCLELEWRRGAVAPSVLLSILDPHMPLPPDVDLCKSSMPEVDQAILAVSNYPALHSCDPEDVEGQDVSETSARVESFEQCNYLFAPEELRQTDLTNALQGEGHDRITRNLNQNVPEGRKNNVKLPAGLFQLDNTAAADYDDVHTDYLQLLNHRDCELRALEFHRLAQNLCTQQEPTLEGHNAGIDALLLAAECYVNPFFLLDLRLNSEPLDQIERTHSELIQGNVSFESKGTHVKDLDLVAISSLENKRDRAVLDLLLQAARFDCAYQAKIPDGEVYPNDAEEDKQTIEISPEATYLIDAVTLVRKNQAMLCHFIMKQFRRKGHSSTEVLLDSLLFLLHSATDLFCPPDNVIDIILKSAENLDRQLTCIYSSVNAGDKNLDKLKLHAPRRRWALLHKLVLASSGSDNTRQLVSIKKDGFRFRSLVPPSAWMHKISEFSRFSSPLPRFLGWMAVSRYAKEYVNERLFLASDFSQLTSLLSIFTDELSLMDGVANQKVKSANVDQSDCNNYLFLKKESTFSDQQSMSKLFQILLPELHFFFPSMSRQFHAFGESILEAVGLQLKCLPKSAVQDVLCWFSEMCLWPYRESIKEHLLLANGFSCLRGNIAANAKAVVFYLLDSVISEHLEAIVPETPRVVHILVSLCRVFYTDVAFLDSVLCVMKPLISYFLRKRTDDEKVMGHITDSSNFELICFEELFEIVRRGKHSEDATGFKIQVPLLVFVLGSLFPDLSFNRRIEILGSLLVWVDYISSDPPSLLLSYLQGFHTLIDGCVTILVQNIELFGISILSVKTQSRMYTDSLSGDAIMQLERNTQDSAEHVLLKSTDNGEESKGVNSPPVGPIIEFCDALEKFVSHLAPSIEGSWKWHHQLASRLSLLIAKCLLYAKCLKSITQGNTISNSTRPEVEHAQKYWESALEGLAEIILGNQEKQCWQVASAMLEYMIKLPNVLALGNVLSITCSAIEHFCSNAPRISWRLQTEKWLSVLVSGGIENLKNSEMSLVNLFCTLLSHAEPEQRSVALQQLGSIINLASTAEVDLQYPINQNLASGSTVTSHLVTHTWNKIAALALYDSSMLLRKHAMALLTEYVPFVDKNHLRSFLASSNSILNCMGQPFCVIEEGYLTRMSLLLLSKACLYSSSEDIALIPECVWRKLENMQTSLTGGFGDVEKDLCRALCQLRIESDAKTVVEELLAGSTTKPVNTDYKSIRESILQVLSSLSSVESYFEFFSIRSDQEYQELEEAEIELELIANEKAVQKFVGHPQDIVVIPDVLSYYKDGTEVNKRLQQIRQDIQSLERSKLREEIIARRQKKLLIRRAREKYLEETSSKEVELMQELDRERTLEMEHEIERQRQLDLERVKSRELQYKLDMEREKQTQRELERELEQVELGRSSRREFSANPSSRSRERYHERDNGRGGHSTAVAGGSRPSFPGNLPMILQSRDRSSDGYEENAEGSRDSGGETSSMGDPEFDVPGSGVRYGTRAGSSKSSRQVLERRERDGRREGKWERKQ* >Brasy3G133700.3.p pacid=40040371 transcript=Brasy3G133700.3 locus=Brasy3G133700 ID=Brasy3G133700.3.v1.1 annot-version=v1.1 MEAAPEREREGRGEEWSEGGVALGFRVKACSRESAAQKAANVLEPDLRSHWSTATNTKEWILLELKEPCLVSHIRIYNKSVFEWEVTAGLCYKPEAFVKVRPRGEAPKRDMVYPANHTPCRYVRVSCLRGSPIAIFFIQLIGTPVPGLEPEFQPLVSYLLPQISSSQKQSYSSHNMHLQLLKDIASRLSPFLPQIEADLNSMADTPESSVRFLALLAGPFYPILRLINERDATKTLICSADSDTLKTSVASTPTVSSNFEAQPRRSRSPSSVEPASCMLAFRSETAVLLLRKAQKDKNLRIVCYRVSRVLQKLLEPEPLFDESTSNDGMFSSHVSDEIHKSDSSSLLFTNYSSLFGEETSLPENHFDSSFLNVLDIAAAEEGILHVLYATASQPQLSRELSETSSDMWSVLPLVQALLPALRPPFSVGPTEQVDDSFSQWNHPDVHKALSQGVGQSLVRSRAALKYVVLAISGNMDDVLTEYKEVKHKLLFILEMLDPFIDHAISAREDTVSFGGVSAVNLEKQAKACDLALNIIRTAAKNPAVLPCLELEWRRGAVAPSVLLSILDPHMPLPPDVDLCKSSMPEVDQAILAVSNYPALHSCDPEDVEGQDVSETSARVESFEQCNYLFAPEELRQTDLTNALQGEGHDRITRNLNQNVPEGRKNNVKLPAGLFQLDNTAAADYDDVHTDYLQLLNHRDCELRALEFHRLAQNLCTQQEPTLEGHNAGIDALLLAAECYVNPFFLLDLRLNSEPLDQIERTHSELIQGNVSFESKGTHVKDLDLVAISSLENKRDRAVLDLLLQAARFDCAYQAKIPDGEVYPNDAEEDKQTIEISPEATYLIDAVTLVRKNQAMLCHFIMKQFRRKGHSSTEVLLDSLLFLLHSATDLFCPPDNVIDIILKSAENLDRQLTCIYSSVNAGDKNLDKLKLHAPRRRWALLHKLVLASSGSDNTRQLVSIKKDGFRFRSLVPPSAWMHKISEFSRFSSPLPRFLGWMAVSRYAKEYVNERLFLASDFSQLTSLLSIFTDELSLMDGVANQKVKSANVDQSDCNNYLFLKKESTFSDQQSMSKLFQILLPELHFFFPSMSRQFHAFGESILEAVGLQLKCLPKSAVQDVLCWFSEMCLWPYRESIKEHLLLANGFSCLRGNIAANAKAVVFYLLDSVISEHLEAIVPETPRVVHILVSLCRVFYTDVAFLDSVLCVMKPLISYFLRKRTDDEKVMGHITDSSNFELICFEELFEIVRRGKHSEDATGFKIQVPLLVFVLGSLFPDLSFNRRIEILGSLLVWVDYISSDPPSLLLSYLQGFHTLIDGCVTILVQNIELFGISILSVKTQSRMYTDSLSGDAIMQLERNTQDSAEHVLLKSTDNGEESKGVNSPPVGPIIEFCDALEKFVSHLAPSIEGSWKWHHQLASRLSLLIAKCLLYAKCLKSITQGNTISNSTRPEVEHAQKYWESALEGLAEIILGNQEKQCWQVASAMLEYMIKLPNVLALGNVLSITCSAIEHFCSNAPRISWRLQTEKWLSVLVSGGIENLKNSEMSLVNLFCTLLSHAEPEQRSVALQQLGSIINLASTAEVDLQYPINQNLASGSTVTSHLVTHTWNKIAALALYDSSMLLRKHAMALLTEYVPFVDKNHLRSFLASSNSILNCMGQPFCVIEEGYLTRMSLLLLSKACLYSSSEDIALIPECVWRKLENMQTSLTGGFGDVEKDLCRALCQLRIESDAKTVVEELLAGSTTKPVNTDYKSIRESILQVLSSLSSVESYFEFFSIRSDQEYQELEEAEIELELIANEKAVQKFVGHPQDIVVIPDVLSYYKDGTEVNKRLQQIRQDIQSLERSKLREEIIARRQKKLLIRRAREKYLEETSSKEVELMQELDRERTLEMEHEIERQRQLDLERVKSRELQYKLDMEREKQTQRELERELEQVELGRSSRREFSANPSSRSRERYHERDNGRGGHSTAVAGGSRPSFPGNLPMILQSRDRSSDGYEENAEGSRDSGGETSSMGDPEFDVPGSGVRYGTRAGSSKSSRQVLERRERDGRREGKWERKQ* >Brasy3G304300.1.p pacid=40040372 transcript=Brasy3G304300.1 locus=Brasy3G304300 ID=Brasy3G304300.1.v1.1 annot-version=v1.1 MALSRPYYISALLTLCVCAMAAPAVLAANMPVATCRSFCGNITVDYPFALRSGCGHAGLRDLLFCINRVLMLHLPSGSYRVLNIDYAYQGLTLHDPAMSDCRALDRSLSGRGNGFVLEPWRATFLAPDPDNVFLLLGCRANSPLFQGFPDRPHLTCRNNVSGMGCGDYYGCPAWDDYGQRPSGSAYGLAVPPECCAMPWGAIRAVNVSRLQCEGYSSAYSLAPVRTSEGARGWAYGIRVAWQLPEANRGFCGACLATGGVCGHDRDSHADLCLCGDWNSTSNCDSSTDAATSSAAAITGVLFSAVLASGLSSLWW* >Brasy3G103600.1.p pacid=40040373 transcript=Brasy3G103600.1 locus=Brasy3G103600 ID=Brasy3G103600.1.v1.1 annot-version=v1.1 MGIIKKKLSKTDKKHAYDKKLCQLLDEYPKVLVAAVDHVGSTQLASIRRAIRGESVMLMGKNTLIRRCISVHAGDTGNENIKALVPLLQGNVGLIFTKGDLKEVREEVSKYKVGAPARVGLVAPIDVVVPAGNTGLDPSQTSFFQVLNIPTKINKGTVEIIANVDLIKKGDKVGSSEAALLAKLGIRPFSYGLVITQVYDDGSVFSPEVLDLTEEDLMERFASGVSMVAALSMAVSYPTMAAAPHMFLNGYKNVLAVALETDYSYAHADQIKEYLKDPSKFAVAAPVAAAPSGGAAAAAEEEKKEESDGESDAEGLGLSLFDD* >Brasy3G052000.1.p pacid=40040374 transcript=Brasy3G052000.1 locus=Brasy3G052000 ID=Brasy3G052000.1.v1.1 annot-version=v1.1 MSSFPVLKNRPIDQWKVTELKDELRKRKLPVKGLKEELVRRLFDSIQSEAEDEATEDVGADAAMDKATEDVGAHAQVDEAAKDVGVNEPVNLASERHTISQTTTTVSVTEVREETMVHGTQEITVPLREVSQETSLEEAHEYPSTEAPTVQEGHPNAESNAVLGAEKTPEIVTKETIVVDDMTSADFKSDLTSSEVKSDAAEDMVPAPVDAQISNADPMDTDIAAASMNNDGEKLVPKNDFGNNISIYDEECKNSELVNEDCEPVISKSNNQVPEISPDLGSPVKCESISSDDISNNKKNIKDNLNANNFDLELEVKPEMVKPSSGITSLGGDLEQLGDDKELVKNQLSLEDMDTTANVDEVGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSDDLRGKTEHVKEVALPDPVVEGSSVDTNEVKAEEKLAASTEKRKLQAEEAVVSTEPIKRQRRWTADSGKVPERQPLNQSGSDAPKEIFQPALKRSFGRSDSTASGDSPKERIVPPSQKPATTSLRIDRFVRPFTLRAVQELLSKTGSVCSFWMDHIKTHCYVTFSSVEEATATRDAVYNLQWPPNNGNHLLAEFVDPQEVKLKLEPPPPAAAAVAAPISPATTPKAPAFQQAQANQSVPRQATTPREQLPPPPPLMKPPTSDPASARDKLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSDEEVSAKLAAQGKAK* >Brasy3G184100.1.p pacid=40040375 transcript=Brasy3G184100.1 locus=Brasy3G184100 ID=Brasy3G184100.1.v1.1 annot-version=v1.1 MANMPEIEEIVSTAGGGGELPAWWFALLLAMGLRAAVASAATFIAWLYRTRFRPGRDLGARYGSWAVVTGATDGIGRALALELAREGLHLVLVGRSPAKLARVASEARRENTSCEVKTVVFDLAGDEGEMGRGAASVAEAVDGLDVGLLVNNAGATYPRAAFFHEVETGTWERVAKVNVEAATRISRAVVPGMVARRRGAVVNVGSGSALVVPAFPLYAVYAASKAYIDQLSRSLSVEYKHYGVDVQCQIPLYVATKMSPVKGESPFIPSPEEYARAAVRCVGYGPRCVPYWRHSVQWFLASLLPDSALNLWRLRVGIRNRNQQMMKGAQG* >Brasy3G098700.1.p pacid=40040376 transcript=Brasy3G098700.1 locus=Brasy3G098700 ID=Brasy3G098700.1.v1.1 annot-version=v1.1 MDGVVSQILEKQVLSVAKAVEDKLDEEIAALERLDPDDIEALRERRMLQMRRAAERRAKWRALGHGEYAEVPEKEFFSAAKASERLVCHFYRDNWPCKVMDKHMSILAKQHVETRFIKVHAEKSPFLTEKLRIVVLPTLALVKNAKVEDYVVGFDELGGKDDFSTEDLEERIAKAQVIFLDGEGPANPSKQTTTSKRSVRQSDTGNSSDSD* >Brasy3G174000.1.p pacid=40040377 transcript=Brasy3G174000.1 locus=Brasy3G174000 ID=Brasy3G174000.1.v1.1 annot-version=v1.1 MQNFPGGAPDPQQLQVTMLAIEQACSLIQVHMNPSEAEKVLSSLHSSLMPYQACRFILETSLMPNARFQAAGAVGDAAIREWSILTDDNKRSLILYCLNYVMEHAGSPDGYVQSKVSAVAARLLKRGWLEFPDQEKGAIFFEVEQSVRGIHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADKILNSNVTTPEEKACSAALRLMLQILSWSFKQALEHENSDAKINSGLRSDAINLKKFERSLVKPGSTWTDILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLVVQLCSLAGAVFPDDKGDAHIEHFMHILSAVILWIEPPGVIAESIRSGGSESEFIDGCHALLSVASLTSSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLNNQNEEETWGSDALDILLETWNVILGEADADRSPMSVDGALAASSLFKIIVESHLKAAADSAFEDSDDAEYFHVSVSKRDEQLALYALIARAAADTTIPFLEQLFSERFARLSQRNGENDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVEVAQHPVVTLSWSIINFSRQCLDPGIRGSYFSPRLMEAVIWFLARWVGTYLVPLDVSREIDSMGKHGSQQSRKLLNSFAWENNQGELVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSQVIYMILKFVVDFIDGQAVFLDAKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLVSLDLLKYPKLSRDYFALMSHLLEVYPEKVAHLNRDAFARIIGSLEFGLRNQDSDVVERCLTAVNALASYHFKERLGGRGGLSSQLMESEGSSGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELLEKQQNPTIKSRLAVAFHNLTSSNNLSSTLDRPNRQKFRKNLRAFLVEISGFMQIK* >Brasy3G174000.2.p pacid=40040378 transcript=Brasy3G174000.2 locus=Brasy3G174000 ID=Brasy3G174000.2.v1.1 annot-version=v1.1 MQNFPGGAPDPQQLQVTMLAIEQACSLIQVHMNPSEAEKVLSSLHSSLMPYQACRFILETSLMPNARFQAAGAVGDAAIREWSILTDDNKRSLILYCLNYVMEHAGSPDGYVQSKVSAVAARLLKRGWLEFPDQEKGAIFFEVEQSVRGIHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADKILNSNVTTPEEKACSAALRLMLQILSWSFKQALEHENSDAKINSGLRSDAINLKKFERSLVKPGSTWTDILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLVVQLCSLAGAVFPDDKGDAHIEHFMHILSAVILWIEPPGVIAESIRSGGSESEFIDGCHALLSVASLTSSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLNNQNEEETWGSDALDILLETWNVILGEADADRSPMSVDGALAASSLFKIIVESHLKERDEQLALYALIARAAADTTIPFLEQLFSERFARLSQRNGENDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVEVAQHPVVTLSWSIINFSRQCLDPGIRGSYFSPRLMEAVIWFLARWVGTYLVPLDVSREIDSMGKHGSQQSRKLLNSFAWENNQGELVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSQVIYMILKFVVDFIDGQAVFLDAKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLVSLDLLKYPKLSRDYFALMSHLLEVYPEKVAHLNRDAFARIIGSLEFGLRNQDSDVVERCLTAVNALASYHFKERLGGRGGLSSQLMESEGSSGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELLEKQQNPTIKSRLAVAFHNLTSSNNLSSTLDRPNRQKFRKNLRAFLVEISGFMQIK* >Brasy3G174000.3.p pacid=40040379 transcript=Brasy3G174000.3 locus=Brasy3G174000 ID=Brasy3G174000.3.v1.1 annot-version=v1.1 MQKRLEFPDQEKGAIFFEVEQSVRGIHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADKILNSNVTTPEEKACSAALRLMLQILSWSFKQALEHENSDAKINSGLRSDAINLKKFERSLVKPGSTWTDILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLVVQLCSLAGAVFPDDKGDAHIEHFMHILSAVILWIEPPGVIAESIRSGGSESEFIDGCHALLSVASLTSSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLNNQNEEETWGSDALDILLETWNVILGEADADRSPMSVDGALAASSLFKIIVESHLKAAADSAFEDSDDAEYFHVSVSKRDEQLALYALIARAAADTTIPFLEQLFSERFARLSQRNGENDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVEVAQHPVVTLSWSIINFSRQCLDPGIRGSYFSPRLMEAVIWFLARWVGTYLVPLDVSREIDSMGKHGSQQSRKLLNSFAWENNQGELVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSQVIYMILKFVVDFIDGQAVFLDAKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLVSLDLLKYPKLSRDYFALMSHLLEVYPEKVAHLNRDAFARIIGSLEFGLRNQDSDVVERCLTAVNALASYHFKERLGGRGGLSSQLMESEGSSGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELLEKQQNPTIKSRLAVAFHNLTSSNNLSSTLDRPNRQKFRKNLRAFLVEISGFMQIK* >Brasy3G174000.4.p pacid=40040380 transcript=Brasy3G174000.4 locus=Brasy3G174000 ID=Brasy3G174000.4.v1.1 annot-version=v1.1 MQKRLEFPDQEKGAIFFEVEQSVRGIHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNTADKILNSNVTTPEEKACSAALRLMLQILSWSFKQALEHENSDAKINSGLRSDAINLKKFERSLVKPGSTWTDILISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLVVQLCSLAGAVFPDDKGDAHIEHFMHILSAVILWIEPPGVIAESIRSGGSESEFIDGCHALLSVASLTSSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLNNQNEEETWGSDALDILLETWNVILGEADADRSPMSVDGALAASSLFKIIVESHLKERDEQLALYALIARAAADTTIPFLEQLFSERFARLSQRNGENDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVEVAQHPVVTLSWSIINFSRQCLDPGIRGSYFSPRLMEAVIWFLARWVGTYLVPLDVSREIDSMGKHGSQQSRKLLNSFAWENNQGELVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSQVIYMILKFVVDFIDGQAVFLDAKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLVSLDLLKYPKLSRDYFALMSHLLEVYPEKVAHLNRDAFARIIGSLEFGLRNQDSDVVERCLTAVNALASYHFKERLGGRGGLSSQLMESEGSSGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELLEKQQNPTIKSRLAVAFHNLTSSNNLSSTLDRPNRQKFRKNLRAFLVEISGFMQIK* >Brasy3G140700.1.p pacid=40040381 transcript=Brasy3G140700.1 locus=Brasy3G140700 ID=Brasy3G140700.1.v1.1 annot-version=v1.1 MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDLAISWLPLYSEAKLMFFIYLWCPKTKGTTYVYETFFRPYISQHENDIDHNILELRARASDMLIIYWQKASTVGQTTFFQIMKYVAVQSPSQLSKSRPSQKQSQPQKKQELQSQQQQQMPQNQPTTLRRAVSAAAREPATVEQSKDTRAAPTAPKTRRLTSSKSAPLTSTKSVASTTKLAEDVKTSTVKLAADETPAPANHVDMPDHESSALAQAVAESDDMCIDEVDIPIEDTDELHATPDETRMEEAIRVTRARLRRRIGTSTTGTPASDGSAVN* >Brasy3G140700.2.p pacid=40040382 transcript=Brasy3G140700.2 locus=Brasy3G140700 ID=Brasy3G140700.2.v1.1 annot-version=v1.1 MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDLAISWLPLYSEAKLMFFIYLWCPKTKGTTYVYETFFRPYISQHENDIDHNILELRARASDMLIIYWQKASTVGQTTFFQIMKYVAVQSPSQLSKSRPSQQSQPQKKQELQSQQQQQMPQNQPTTLRRAVSAAAREPATVEQSKDTRAAPTAPKTRRLTSSKSAPLTSTKSVASTTKLAEDVKTSTVKLAADETPAPANHVDMPDHESSALAQAVAESDDMCIDEVDIPIEDTDELHATPDETRMEEAIRVTRARLRRRIGTSTTGTPASDGSAVN* >Brasy3G141900.1.p pacid=40040383 transcript=Brasy3G141900.1 locus=Brasy3G141900 ID=Brasy3G141900.1.v1.1 annot-version=v1.1 MEVMWGLQNLMHTLLPEEKSVISKEDRLPICRGMAIILNRYHIDVKPEMVNECIVKLVRRVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATAVKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRIHNDVISLHDAKIQILLELKFLVEEATKAEAEWKPAINGVDSKRQVDCTYLTGDQPTVHNQSSIDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRENAVGRKQGTVGLEVKIPKEDIVASGARSSISSVAP* >Brasy3G141900.2.p pacid=40040384 transcript=Brasy3G141900.2 locus=Brasy3G141900 ID=Brasy3G141900.2.v1.1 annot-version=v1.1 MSSQRWVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATAVKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRIHNDVISLHDAKIQILLELKFLVEEATKAEAEWKPAINGVDSKRQVDCTYLTGDQPTVHNQSSIDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRENAVGRKQGTVGLEVKIPKEDIVASGARSSISSVAP* >Brasy3G154500.1.p pacid=40040385 transcript=Brasy3G154500.1 locus=Brasy3G154500 ID=Brasy3G154500.1.v1.1 annot-version=v1.1 MAGKGGKGLLAAKTTAAKGAAAADKDKKKAPVSRSSRAGIQFPVGRIHRQLKQRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE* >Brasy3G011200.1.p pacid=40040386 transcript=Brasy3G011200.1 locus=Brasy3G011200 ID=Brasy3G011200.1.v1.1 annot-version=v1.1 MGIRSNSRRSGAHASSFRRRRRRNDAVHPPSPAEPRDWASLPHDAGSANRHPPRRGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYSPTALTCFFLLNFVGHEPNCLFGKKNLRSNPDGSPAGCCRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGVFGKGQISALQHCPRLRLLDAGGCRTSRAIGKREGKGSIKELRMPRFGGGRCGCCTRYAQRYADQHDE* >Brasy3G267600.1.p pacid=40040387 transcript=Brasy3G267600.1 locus=Brasy3G267600 ID=Brasy3G267600.1.v1.1 annot-version=v1.1 MGGGAGGGIGGGGGYGGGGGMGSGGGFGGGGGMGAGGGKGGGFGGGSGGGIGGGRDEGAGGGFGDGTSAGVGMGSGFGGGAGGGFGGGGGFGAGGGVGGGFGSGGGAGAGAGGGMGAGAGGGFGAGGGHGVGKGVGGGGDLGIGGGLGGGGEVGKGTGGGLGKGTGTRGGLGTGGGGGLGGGSKGSNSRVGHGASGNGGVSGGSGISNGNGRKKHGGKHHGGGSNGGKRDPIQGCLN* >Brasy3G039800.1.p pacid=40040388 transcript=Brasy3G039800.1 locus=Brasy3G039800 ID=Brasy3G039800.1.v1.1 annot-version=v1.1 MEAEGGERESSKLRILVATDCHLGYLEKDELRRTDSFNTFEEILSLAEQHKVDFLLLGGNLFHESKPSRFTMVKTMEILRQRCLDDRPVRFQVVSDQAASLHSRFGQVNFEDPNFNVGLPVFTIHGDHDDPTGVDNVSPIDILSASGLVNYFGKVDLGSSGVGQISLHPILIKKGETSLALYGLGNVRDARLSRMFQTPDAVRWMQPEDLEDMPLSEWFNIFVLHQNRTKVSTDNGINERLLPYFLDLVIWGHEHECLVDPEEVPGMGFHITQPGSSVATSLTSAEAKQKHVLLLEIKGMKYRPTKIPLKTVRPFEYAKVVLEDQADVNLCDEASVLSHLDKLVGILIEKNNRTAGDGSEPKLPLVRIKVDYSGFSTINPQQFGQKYVGKVANPQDIIVFSRSAKRRRNTRDNTDGSEEHYPTELDQQSVEAQLAESNLKMQILSPDDLGTALHVFVNKDDNTAFHSCLQKNTEEAKNKLTVGTVDFKIEDEEEIAAELDQCMKAGAKGRTQTTARSQDLIPIITPLSAFEELKSSLDQDQDAHSESDEIVETSDDGEIGDLRRLGSGKRLAAATWGRLPAAGGGRQICRLRSVGSRRRPRRKKMTAGPRN* >Brasy3G099000.1.p pacid=40040389 transcript=Brasy3G099000.1 locus=Brasy3G099000 ID=Brasy3G099000.1.v1.1 annot-version=v1.1 MREASFSSAAVKNTIEQSLTSPSPSSSTSVSTPTPFSPSPSPSPLLRAGAGAANAYLNPRLAAAAAGAGGAGGGDDARKVLDVMLKPTHRNPVLVGDAGPDAVLKEAIRRIPTASSPALAAAKVLQLEAELAQLAGDMAAITERIGELGAVIERLVGEHGGVVLDLGDLKWLVEGPAAASSEGGKAAVAEMGRLLRRFGRGVVWAVATAACATYLRCKIYHPGMEDEWDLQAVPIARGTPFAGAALRPGGSGILSNSVGMLSPMLRPTPVTPTSLRWPPGGDHTQMSKPVMCMLCKGGYERELAKLVADRADKPVSRPEAANPSLPHWLQRSTDQQSKEQELKWKRSTDDLEKKWRETCVRIHSTRTGAPALSMPLASFGPRPPVEPKLAIARSAAIPTLKMNTNSEKPTATPTLELRKSPPRSPVKTDLMLGRLDPGTNPSLEKEQKESYEGLTAMQRAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSEKRRNLGTRGDMWLLFVGPDQAGKRKMVNALSELMVNTRPVVVNFGGDSQLGRVRNDGLNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDHLDIVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWIPDELKGPNVETLLQAEGRMLEIASSGWQLELSIVDKQVKHPADWLCDDVRPAKVAKELSSGHGLSLDLNLAVGVVDDTEGSHNSSDVSVEQDQDKGQLAVMCSAPAPDCDLLELVDDAIVFRPVDFCPFRKTITDCLSAKFESVLGSSNSFRIDEDAIDRMAGSFWLNDEKLEDWAGKVLMPSIERLWHNVKRDNARAVVRLASVADKASPRWGGGREGLPASVTIAIDGM* >Brasy3G136400.1.p pacid=40040390 transcript=Brasy3G136400.1 locus=Brasy3G136400 ID=Brasy3G136400.1.v1.1 annot-version=v1.1 MSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPDGVFSFSATAGSDGNVYESKLDLNDKVNVEESKTSVGPRSIFCIVEKAEAKWWNKLVRDDQKAPHFVKVDWDKWVDEDDDGPEVNVDGMDFSNMGGMPGMGGMGGMPGMEGLGGMPGMEALGGMGGMGGLAGLGGMGGMGMGGMGGMGGMGGMGMPPGMGMEDFDDGSDDEEEVSKPQDAGKADTAEKSQEEGAKATSSN* >Brasy3G064800.1.p pacid=40040391 transcript=Brasy3G064800.1 locus=Brasy3G064800 ID=Brasy3G064800.1.v1.1 annot-version=v1.1 MGDAATAAGQPPPPASPSQAASGPASFSYLAVFANCPLIAAVLAFAIAQSIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVTALAVAVGLQEGFSSSLFATSTIFASVVMYDAFGVRLHAGRQAEVLNQIVYELPSEHPLAETRPLRELIGHTPPQVFAGAVLGFAVATFTGMIAGLGSNG* >Brasy3G331100.1.p pacid=40040392 transcript=Brasy3G331100.1 locus=Brasy3G331100 ID=Brasy3G331100.1.v1.1 annot-version=v1.1 MAAMAEEGTQQLPFVRETVLKKRKVNEDWAIKNRERKAAKRQRRRDDGKGAIKRPEEFVREFRNKELDFLRMRTRLKARRLRPAENLDGAQLLFAIRIPGTKELHPHIRKILARLRLTQVLTGVFLRASEVNLKRLAAVGPFVTYGFPNFKNVKELIYKKGRGFFDKEPFPLTSNDLIEKALGEHGIICLEDIVHQITAVGPHFREASRFLMPFKLKCPERRLMMKKKPFKDGGDSGNRGDKINELIEKLN* >Brasy3G061600.1.p pacid=40040393 transcript=Brasy3G061600.1 locus=Brasy3G061600 ID=Brasy3G061600.1.v1.1 annot-version=v1.1 MMTMAACASMAVSRALITARCRPGGMHLQPRMPLLAGPGPAAAPLLLLPRGGAETMMARRRKIMPACPGLGGAGDLAPTPASERTMTAFDLATLWVGLVVGVPAYYLAGSLVDLGMSAAQGVATVALANLIVLATLVLTAAPAAKHGLPFPVLARAAFGVRGAHVPAAARALVGCGWFGIESWIGGRAIFLLLPDSVVKSLPPAMLAPAHPVLLGSASPIELACLLAFTAAQVAVISGGMDGIRKLEKYAAPVLVALTAALLAWAYSSSASGGFGAVLSQPSRLCGNELWAVFFPALTANISFWSTVAISIPDFARYARSQADQVLGQAGLPVFMGLFTLAGLAITSSTETIFGHVVSDPIDLLARIAHRSPWPATKLVSIAGVSLAIITTNVAANVVAPANTLVALFPGNFTFTTAALATAMLGVAFQPWRLLSSSESFVFTWLLGNAALMGPIGGVVLADHYLVRRNRLDVRALYSEDAGSKYYFQGGFNVAAMVAMAAGFAAVVPGFLHKVGVLASVPRALIVAYNNAWFVSFFVAGGFYCLLRSPAAAGIRAELACSAAA* >Brasy3G042100.1.p pacid=40040394 transcript=Brasy3G042100.1 locus=Brasy3G042100 ID=Brasy3G042100.1.v1.1 annot-version=v1.1 MDVGEMMRLYVELGDPEIPIPRLRRVLEHLGLASFAAAAACRINDDVVDGRRPSSYYYIRRLLVPFALLMWAAGLMFLSWWVSRGPARRRWLARAVGRASVPPLLLLSLLLAAYAAAVDRCH* >Brasy3G121000.1.p pacid=40040395 transcript=Brasy3G121000.1 locus=Brasy3G121000 ID=Brasy3G121000.1.v1.1 annot-version=v1.1 MAAAARRSMEERWSLAGATALVTGGSKGIGHAIVEELASLGARVHTCSRNAAELEACRRRWADEKGLQVTVSVCDVSSRAQRESLMATVGRTFEGKLDILVNNAGQCVMNAAAGYTGEEYARVMGTNLESSFHLAQLAHPLLLLGGGTTRAVVNISSIAGQVGLPGLAVYSMTKGAMDQMTRSLAVEWAGDGVRVNCVAPGGINTDISRDVETVMDPAVVERMAARVPMRRMGETEEVASVVAFLCMPAASYITGQVICVDGGHTIG* >Brasy3G033300.1.p pacid=40040396 transcript=Brasy3G033300.1 locus=Brasy3G033300 ID=Brasy3G033300.1.v1.1 annot-version=v1.1 MRISMAPASVLGLLVLLMAARAHGLRLEMQLRATLGKQPASEWRASPGSRPINKRRASNGGHADLGHKEEAIGVAAALDVVKDAEVKRMKKMGSYPRFSEDYSGPSGHSPNHHRATPCGPC* >Brasy3G299500.1.p pacid=40040397 transcript=Brasy3G299500.1 locus=Brasy3G299500 ID=Brasy3G299500.1.v1.1 annot-version=v1.1 MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWGGEDADDDIDIRTARVSLDKAFPKDDDGGRPAKDDRRLRRLAEARGESKEELRADHRRIRQAEIVSTAEEERDRQEAELEEEGDEDAQEERRRRIRARQLLRQQEEELLPQEEEPVEEEPEEDGEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLQARKIETRQIVVEEIRKEEQIHKTLNEEASIEDIDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIDKVRNMTEEERREWERKNPKPLRQSKQKWNFMQKYYHKGAFFQEGADDVSQSVGRDDIYTRDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSTNGPLRAKYNAKMAGMNGPIAKPKGSKKMKDWDTKQDD* >Brasy3G100600.1.p pacid=40040398 transcript=Brasy3G100600.1 locus=Brasy3G100600 ID=Brasy3G100600.1.v1.1 annot-version=v1.1 MEKMCAWHGMLGTDSEGMMARRVHALHGPSPALPCPAFAAMIVFAGRASDAHMMRPRFQLNEQPS* >Brasy3G100800.1.p pacid=40040399 transcript=Brasy3G100800.1 locus=Brasy3G100800 ID=Brasy3G100800.1.v1.1 annot-version=v1.1 MHAPTTSRKFKQAFMEQLLLSLQAAGLTSKTMGLQERRDAVRLSSDVAMAAARGRAAPWARALVARHASERRNEALLRRILGGAGYESAAAAAGRSRKIVRRSHRACMRKRIMAAAASSGSNGGVMVARRMVKKRLQVLRKLVPGGEAVHGSFSLLSQVLDYVACLKTQVELMQRLCKESQRAAA* >Brasy3G238600.1.p pacid=40040400 transcript=Brasy3G238600.1 locus=Brasy3G238600 ID=Brasy3G238600.1.v1.1 annot-version=v1.1 MVSKSCSNLLEMSCGDSVDFRQPFKSLPRVVTSPGLISDPDWDSRSDDDSVGSASFTERKIIVANFLPLNCMKDEAGQWSFSKDDDALLMQLKDGFSDETDVIYVGSLKVQIDPSEQDHVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKFFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESILKLPSTASKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSPGKDVEEAITEAVSVAERINVKYGSADYKPVVLIDNRIPFSEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNDVMGKHRGFDKNHHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHSATDLTESEKRLRHDKHYRYVSTHDVAYWARSFIQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFLSSYNKATRRAIFLDYDGTLVPQASINKTPSAELISILNSLCNDPKNDVFIVSGRGRNSLDEWFAPCEKLGIAAEHGYFVRWNQAAEWESNYSSPDREWKHIAEPVMQVYTETTDGSFVEPKESALVWHYLDADHDFGSCQAKELLDHLERVLSNEPVGVKCGHFIVEVKPQGVSKGLAVDKLIRTLINNGKTPDFLMCIGNDRSDEDMFESINSKACSPAFATIPEVLACSVGQKPSKAKYYVDDTAEVIRLLKNASGVSSQQEVVSQGRVSFRHVLDYVD* >Brasy3G110300.1.p pacid=40040401 transcript=Brasy3G110300.1 locus=Brasy3G110300 ID=Brasy3G110300.1.v1.1 annot-version=v1.1 MGSLCIIQFQSSYLRSLKLTNVILVTCIFHRLNHACPSLENLQLADSTLELPEISSRSLKTLEIIDCSVLKHLLIRTHKLVSLRFEGSRCRCTSKSILLMPSVVTLCDLSNAESIELSASVRQVAFDGVSTGRSMFINLRSLSLGEWCLSNNLSPLVCFLRHSPLLEKLNLKLKLDCEKDEQTIQTARGISFAAEHLEKVTIYCTEGDARVPVLVNILRVNARSLDRIDVKTY* >Brasy3G110300.2.p pacid=40040402 transcript=Brasy3G110300.2 locus=Brasy3G110300 ID=Brasy3G110300.2.v1.1 annot-version=v1.1 MGSLCIIQFQSSYLRSLKLTNVILVTCIFHRLNHACPSLENLQLADSTLELPEISSRSLKTLEIIDCSVLKHLLIRTHKLVSLRFEGSRCRCTSKSILLMPSVVTLCDLSNAESIELSASVRQVAFDGVSTGRSMFINLRSLSLGEWCLSNNLSPLVCFLRHSPLLEKLNLKLKLDCEKDEQTIQTARGISFAAEHLEKVTIYCTEGDARVPVLVNILRVNARSLDRIDVKTY* >Brasy3G110300.3.p pacid=40040403 transcript=Brasy3G110300.3 locus=Brasy3G110300 ID=Brasy3G110300.3.v1.1 annot-version=v1.1 MEQKQPSRPQMIESSAEMLLRQSGSDEQNKINPAQRNLQEVPSCGSRCRCTSKSILLMPSVVTLCDLSNAESIELSASVRQVAFDGVSTGRSMFINLRSLSLGEWCLSNNLSPLVCFLRHSPLLEKLNLKLKLDCEKDEQTIQTARGISFAAEHLEKVTIYCTEGDARVPVLVNILRVNARSLDRIDVKTY* >Brasy3G177400.1.p pacid=40040404 transcript=Brasy3G177400.1 locus=Brasy3G177400 ID=Brasy3G177400.1.v1.1 annot-version=v1.1 MLQGVVLRPPPHLPQPEAPPGLTRAVSTPAAPHRGYADDDEEERTPTPISIERSASANSAPSAGVVDVASIGRFFRDRRDVLSSAINRRICSLKETSASPAADKDSYGVQEIHLPNVKVTVRLKEAIAADADEDDGYSSFPAAGISHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREPDLASRAGAAARVPQIFLNEKLLGGLVVLNSLRNSGQFERRVRDLAGRRCPDAAPRVPVYGFDVEREEEKEDAVVGIVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLECSRKKAVEIGRELARKHFIHHVFRENDFEDGGQNLYRFLEHDPAVAKYYNFRGATNDGEPKPAAAVGQRMTKIMVAILEAYGSDDRRRLDYGRVAASEEFRRYANLAQDLQRADVFALPAGERLSFFLNLHNAMAIHAVVAGQTVAPGIGDRRSFFADFLYVVGGYPYSLTTITNGVLRANRRQPYSIVKPLASSDKRLELAEGKVNPLVHFALCTATRSSPTVRFYSAQGVEPELRHAAREFLLDGGAEVDLETRTVYLTRIIKWYSADFGQDRDILRWILNYLDPAKAGLLTHLLNDGGPISIAYQDYDWSFNAS* >Brasy3G176600.1.p pacid=40040405 transcript=Brasy3G176600.1 locus=Brasy3G176600 ID=Brasy3G176600.1.v1.1 annot-version=v1.1 MAGRSSSISMVSAHRLFAPARSLQHAADPPPALELDEADIIWGGGGASASSSPVDTYGRTLSSMSSTPSKASKPRAAAPRDVLPAAGGGGPASLPVNIPDWSKILGPEYTGGGSSAGRWPSDERGGDAYLDRGEGGGRQWVPPHEQLMCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD* >Brasy3G119500.1.p pacid=40040406 transcript=Brasy3G119500.1 locus=Brasy3G119500 ID=Brasy3G119500.1.v1.1 annot-version=v1.1 MLFCCSPSPPGIETYRLPPSRPSSFLRRAQSSGEHKSKKAEHQYLGAQFFRTKDRSLRVHSLPDDGYGPPEQNPEGNSPGSLDAEALASLLRSCGSVNDVRRVHAISVRSADGPGMFVANNLISAYVRFREVSDARKVFDEMPDKSVVSWTAMINGYQRSGNYNEVVWLFLDMVGSGVRGNSLTFVCLLKSCGEQCNTELGRQVHCCVVKGGWSNVIMDSAVVHFYAQCGHIASASTMFDKMASRDVISWTTMITAYVQHGRGDKALEVFSAMVSEGYHPNEFTVCSILKACSEEKALRFGKQLHGAVVKKLYKDDIHVGSALVTMYARLGEVFDAQAVFDKMARRNTITWTSMISGYAQSGHGEKAILLFQKMKMRRVSINNLTIVGLLSACGSIQSLRLGKELHAQIIKNSIQENLQIGSTLVWCYCKCGEYTYAARILKDMPDRDAISWTAMISGYNSVGHNAEALKSLDDMLWDGVIPNTYTYSSALKACAKLEALQDGRRIHGVVNKTPAFSNVFVGSSLIDMYMRCGNVDDARRVFDAMPEHNLVTWKVIITGFAQNGLCEEAFKYMYLMQQEGHDVDDFVLSKVLTSCGDLQWKSDCISFSDPVSGSLS* >Brasy3G055500.1.p pacid=40040407 transcript=Brasy3G055500.1 locus=Brasy3G055500 ID=Brasy3G055500.1.v1.1 annot-version=v1.1 MLLPQEEAVEIFITSLTSQLSEWFEEGKVQRIVLVIMSKATGEVLERWKWNFNIVTDGEVVEKGVIKEKSYTELLCAMVLAECVYKVDGGPPTLCKFSLLLSYEELYCSNFTI* >Brasy3G159800.1.p pacid=40040408 transcript=Brasy3G159800.1 locus=Brasy3G159800 ID=Brasy3G159800.1.v1.1 annot-version=v1.1 MGINEFKRWVVPQVLSRLRLHEVLYQNNRENIFHDVKFDSLCPLSLLGEGASCCRGSSPAGYLVCYAPNQS* >Brasy3G010500.1.p pacid=40040409 transcript=Brasy3G010500.1 locus=Brasy3G010500 ID=Brasy3G010500.1.v1.1 annot-version=v1.1 MGIRSSKKRKTRSRTTADPPPCRRRKVIDVHDRLPAPARDQWRDWSALPDDVLWLILGRIPQADILPGAGLACLAWRRAAVHEPLLWRCIDLAGKEDDGDEDKGAPAGWQAMARAAVARSAGLRAAASPSAAPAPLLRSLRVTSRFDTTTRDEKEKLMAAMKKLHLLERLVLSRGVVELSWFVALLDHCPRLELIDAGGCVASGRTRSARATLASRIRDVRLPRRLVGLLLSLRIILPPPPPAARDR* >Brasy3G337600.1.p pacid=40040410 transcript=Brasy3G337600.1 locus=Brasy3G337600 ID=Brasy3G337600.1.v1.1 annot-version=v1.1 MAFHLRSISLPSRPQASETEVEKELLSVEASISSSTTISTMCDGLRRLGDIYNVVEEIVCLPSSSQQRKMLDGEMECSLELLDLCSTMQEIFVEMKAIIQELQVALRKGNDAAAQAKIQSYTRLVKKAKKHFKKTAKKTTSADCRMVMLLTKAREISVSLLESTVQLLSKKIEIPKQSLISKAFHNKKAVVCEEEQLQELECSIGDLENGAGHLFRKLVQSRVSLLNILSS* >Brasy3G167200.1.p pacid=40040411 transcript=Brasy3G167200.1 locus=Brasy3G167200 ID=Brasy3G167200.1.v1.1 annot-version=v1.1 MGQAKVNVEALLLVVAMLASCFASSFSSTTRCIPRRLLAVGASRYQEPCNEERIDRRGPATPAAVESPLGREAAAGSRRPRVPPAPAATATTRGGATARGDGRSSRGRRRVGGGGRSSRGRGPEQQGAAARRGAAAGAAGGGGRSSRGPGASGAGAAGGQGGATARGDGRSSRGRRRVGGRRPEQQGAGAGAAGGQARRGPEQQGARAEQPRGATAGAAGGGGASGAGAAGDGSGRALALASSSLQCRGQ* >Brasy3G309600.1.p pacid=40040412 transcript=Brasy3G309600.1 locus=Brasy3G309600 ID=Brasy3G309600.1.v1.1 annot-version=v1.1 METSEEEDGEQSSLEEPRTPRSWPSQPLLLDPLYARSKSVIHDELRSFRVFLRCCFLDHSSLPARAASYAAFLSLSLAVPAAVSASLRADPALSPASASAVTFNRLATLTSSALAAVSFLTLASFFRRGAGGNGAGGGGGLRGLLFLDGGLRNDAASVRRGYERELDRAFRLLALILLPALCVEAAHKAVFFFSTVRLEPPLPTLSSFFFLQKVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAEAGEIFAEHRRIRTQLLATSHRYRVFILCCLVTITVSQLGALLVALSSKDKKSFANSGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMGLVHHGKPAPAPGVSTSASDIDAAAPGACCAYKSRQALVTYLWHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKAVVLS* >Brasy3G309600.2.p pacid=40040413 transcript=Brasy3G309600.2 locus=Brasy3G309600 ID=Brasy3G309600.2.v1.1 annot-version=v1.1 METSEEEDGEQSSLEEPRTPRSWPSQPLLLDPLYARSKSVIHDELRSFRVFLRCCFLDHSSLPARAASYAAFLSLSLAVPAAVSASLRADPALSPASASAVTFNRLATLTSSALAAVSFLTLASFFRRGAGGNGAGGGGGLRGLLFLDGGLRNDAASVRRGYERELDRAFRLLALILLPALCVEAAHKAVFFFSTVRLEPPLPTLSSFFFLQKVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAEAGEIFAEHRRIRTQLLATSHRYRVFILCCLVTITVSQLGALLVALSSKDKKSFANSGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMGLVHHGKPAPAPGVSTSASDIDAAAPGACCAYKSRQALVTYLWHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKAVVLS* >Brasy3G241900.1.p pacid=40040414 transcript=Brasy3G241900.1 locus=Brasy3G241900 ID=Brasy3G241900.1.v1.1 annot-version=v1.1 MGCMPTVAMLLVQIGFAGMNLLSKMALDNGMSPYVLVAYRSLVAAVFLAPLAVYFERDMWKLMTKKVIIEIVLSSSLGMTPCELLFFVGFQSTSATVASAIVNIVPALTFAIAGALKMEPFRPGTAAGQAKVMGTAVCVGGSMIMPFYKGPLLKLWASPIHWRYAEHAVAAAAPAPASSALGDVLIILSAVSWAAWLLMTNKTSESFPAPYTSTTIMSLIVGVESGAVSAAVDRSLSAWSLGLGIRLYSVLYMGIVGWGVTFAVMTWCIQVRGPLFVSMFSPVVLVVVALLGWAFLDEKLHLGSAIGAVLIVVGLYMLLWGKGREIISGPAQLHGNGDSKEGPGSKENDAENGGDAEILVV* >Brasy3G035900.1.p pacid=40040415 transcript=Brasy3G035900.1 locus=Brasy3G035900 ID=Brasy3G035900.1.v1.1 annot-version=v1.1 MDQSRKLQAQEVSLGEEAAAAAMAPASPTFRSLLQRIAQGCAGYLGRLGYYSMASSAAAQDEEEEITVVEVQPRSMAFQQNRNFEQGSGGRGGRKY* >Brasy3G104700.1.p pacid=40040416 transcript=Brasy3G104700.1 locus=Brasy3G104700 ID=Brasy3G104700.1.v1.1 annot-version=v1.1 MAPAPAQVIAVVLLTAGYAALAGSSPAPIVWQRATATFYGEADASGTMGGACGYGNLYDEGYGTRSAALSRVLFNDGASCGQCYKIACDRKIDPRWCKPGVTVTITATNFCPPNNTLPNDGGGWCNTPRPHFDMAQPAWEKIGIYRGGIIPVMYQRVPCVKKGGVRFKINGHDYFNLVLVTNVATAGSIKSMDVMSSDSKDWAPMSRNWGANWHSLAYLSGKQLSFRITNTDGQTLLFDKIVPSGWKFGQTFASKVQFN* >Brasy3G293400.1.p pacid=40040417 transcript=Brasy3G293400.1 locus=Brasy3G293400 ID=Brasy3G293400.1.v1.1 annot-version=v1.1 MSAVAPRTASKTWRAILAGRPALETGLISRIGDGSSVSVWTDRWIPGSISMKPMGRLCENDVQMVSDLIIPGACQWDVPKIRDTFFAPDADLILQIPLRLRAGEDWIAWQGEKSGLYSAGRR* >Brasy3G088400.1.p pacid=40040418 transcript=Brasy3G088400.1 locus=Brasy3G088400 ID=Brasy3G088400.1.v1.1 annot-version=v1.1 MGSQSKRTLSRYSTVTEHGSHMFEISGYSLKKGIGVGQFIQSSIFTVGGYDWVIRVYPDGSSDAVKDHVSVYLEIMSRNTEARACCSLRLINQDTGTPVIMWSEETPKVFRSCDSSRFSPQSGQFVLRSVLEEESSGYIKDDFLQIECDLTVIKDSYVYKSSGWSEIIVPPPDLSQHLGKLLSDKEDTDVTFSVGGENFVAHKIVLAMRSPVFKAQLYGQMKERRARRITVEDMQPAIFRALLHFIYNDSLSSDMDGLNDDEYSETIRHLLVAADRYAMDRLKLLCQSVLSDYINVETVAATLALADQHNCDKLKAVCVEFLEYTASSEGMDAVVATKGYADLKRTCPSVLVDVFEKTSRSRKA* >Brasy3G252200.1.p pacid=40040419 transcript=Brasy3G252200.1 locus=Brasy3G252200 ID=Brasy3G252200.1.v1.1 annot-version=v1.1 MNGNFSFGCDTGSSNANPMGDHDQYRPCVGESEGFASNKIELMKANEAMTGQRKEHRYLPDPQPQPRVAYRGVLRPAHELARACSEMERRGAGGVTLTQQLATSSNLRNLLKLREDDDNGEEAAPAPRRRSRRRRRRTRPSGSPLLFYLHERPRPADRFRPNLAPARKPSRNRIARHIP* >Brasy3G176700.1.p pacid=40040420 transcript=Brasy3G176700.1 locus=Brasy3G176700 ID=Brasy3G176700.1.v1.1 annot-version=v1.1 MAIKALLLLLAASLLLPPTTGEMTSRRLAEAAAHPHGLPFESPLALSPAAYEFFHPRAHAQLAGAAAGAPGLAPRGQPRGAGVSAASVARADHEEGAAGRPGVRRGGAVRAGVVAGVFAGAAVVVLAALGLAYVVARRRVGVAHGGGGDAEAPEAEAAGAAKSSAQWA* >Brasy3G080000.1.p pacid=40040421 transcript=Brasy3G080000.1 locus=Brasy3G080000 ID=Brasy3G080000.1.v1.1 annot-version=v1.1 MQSARSSPKHAGRSILPYHRPTPPLLLPRAGAPAVARLRAPLVPPEGPPPPEQLHASEERHAAPVLHRPPTPLGAPAPTHPPPPPATSLVPFCQSVGEEVVGTVAGAGGGAADTVPGEEEAGRGVASSREEGPAWKGGVRYGHRGCRGRRRCAEEGRRRRGGGVGAEGLRRRAGGGGLPGERVGAQRRRGGERGTGGVGAGEGEWPNG* >Brasy3G277700.1.p pacid=40040422 transcript=Brasy3G277700.1 locus=Brasy3G277700 ID=Brasy3G277700.1.v1.1 annot-version=v1.1 MSALSGRGSGSAFATMSAVSGRSSGSAFATPSGVFVSGFSRGSTASASGSGAGSGRSTAEDAAKKRKPPFRPAEDDTKPVLRDPISRSDPVETEQAVLRLPPFP* >Brasy3G277700.4.p pacid=40040423 transcript=Brasy3G277700.4 locus=Brasy3G277700 ID=Brasy3G277700.4.v1.1 annot-version=v1.1 MSALSGRGSGSAFATMSAVSGRSSGSAFATPSGVFVSGFSRGSTASASGSGAGSGRSTAEDAAKKRKPPFRPAEDDTKPVLRDPISRSDPVETEQAVLRLPPFP* >Brasy3G277700.2.p pacid=40040424 transcript=Brasy3G277700.2 locus=Brasy3G277700 ID=Brasy3G277700.2.v1.1 annot-version=v1.1 MSALSGRGSGSAFATMSAVSGRSSGSAFATPSGVFVSGFSRGSTASASGSGAGSGRSTAEDAAKKRKPPFRPAEDDTKPVLRDPISRSDPVETEQAVLRLPPFP* >Brasy3G277700.3.p pacid=40040425 transcript=Brasy3G277700.3 locus=Brasy3G277700 ID=Brasy3G277700.3.v1.1 annot-version=v1.1 MSALSGRGSGSAFATMSAVSGRSSGSAFATPSGVFVSGFSRGSTASASGSGAGSGRSTAEDAAKKRKPPFRPAEDDTKPVLRDPISRSDPVETEQAVLRLPPFP* >Brasy3G277700.5.p pacid=40040426 transcript=Brasy3G277700.5 locus=Brasy3G277700 ID=Brasy3G277700.5.v1.1 annot-version=v1.1 MSALSGRGSGSAFATMSAVSGRSSGSAFATPSGVFVSGFSRGSTASASGSGAGSGRSTAEDAAKKRKPPFRPAEDDTKPVLRDPISRSDPVETEQAVLRLPPFP* >Brasy3G011500.1.p pacid=40040427 transcript=Brasy3G011500.1 locus=Brasy3G011500 ID=Brasy3G011500.1.v1.1 annot-version=v1.1 MKLQRVQRKLRSTGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTKKGVYAILEGCPHLECLDLTECGHLEVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMHAMEARALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLCNVTCDDTRYYTDFHEYYSL* >Brasy3G030100.1.p pacid=40040428 transcript=Brasy3G030100.1 locus=Brasy3G030100 ID=Brasy3G030100.1.v1.1 annot-version=v1.1 MAGADPAEGSRSRRRMDLNLYLGLPPLPRPPGRLGAAMDCPAPAQLPEMPTAEAPRTDGAEEVQPAVYSPSNALSMPELSTPELSLIDPMFIDWLDGLTADGEESLAAGEPAAALDALSSDANVSPPAPAPLPPTLAGLEGVRLEWVDRLSHPAVVTPVVPGEMVSTTPGRGGGTFEEMTPELRLQRLIQVSEQHRIGTPGPVTRNQRATSPGADRLLQAIKRSHNSLGKSKGAVKDEICECNSSFDCNICLEAAKEPVVTPCGHLFCWPCLYQWLHGYSVHSECPICKGEVLEVNVTPIYGRSDDERGASNKDIPPRPRANRTESLRQHLQTQDPRGIANMVRRLIENQDTVRAHSAAPAGGVEVTVLPAARPRARVRRQQRQDNLNSPSPILGQASNTASPTLQHGSNDAPQGGNEVRFSPVVLQAGNTALPTLQHGSNDAPQGGNQVTLPSSSADNAALAIPQQSSSMEQASTSSTVAVIVGQAAQSRRSRPSEYTISTRRTRRRQQQQ* >Brasy3G030100.2.p pacid=40040429 transcript=Brasy3G030100.2 locus=Brasy3G030100 ID=Brasy3G030100.2.v1.1 annot-version=v1.1 MAGADPAEGSRSRRRMDLNLYLGLPPLPRPPGRLGAAMDCPAPAQLPEMPTAEAPRTDGAEEVQPAVYSPSNALSMPELSTPELSLIDPMFIDWLDGLTADGEESLAAGEPAAALDALSSDANVSPPAPAPLPPTLAGLEGVRLEWVDRLSHPAVVTPVVPGEMVSTTPGRGGGTFEEMTPELRLQRLIQVSEQHRIGTPGPVTRNQRATSPGADRLLQAIKRSHNSLGKSKGAVKDEICECNSSFDCNICLEAAKEPVVTPCGHLFCWPCLYQWLHGYSVHSECPICKGEVLEVNVTPIYGRSDDERGASNKDIPPRPRANRTESLRQHLQTQDPRGIANMVRRLIENQDTVRAHSAAPAGGVEVTVLPAARPRARVRRQQRQDNLNSPSPILGQASNTASPTLQHGSNDAPQGGNEQSSSMEQASTSSTVAVIVGQAAQSRRSRPSEYTISTRRTRRRQQQQ* >Brasy3G272000.1.p pacid=40040430 transcript=Brasy3G272000.1 locus=Brasy3G272000 ID=Brasy3G272000.1.v1.1 annot-version=v1.1 MATPFVLNTGAKIPSVVLGTWQSDPGVVGEAVYAAVKAGYRHLDCARTYNNEKEVGLALKKLFEEDVVKREDLFITSKLWCGHHAPEDVPEALGDSLNDLQLEYLDLYLIHLPFRVKNGTNINNAENYIPPDIPATWGAMEKLHDAGKALQLA* >Brasy3G272000.2.p pacid=40040431 transcript=Brasy3G272000.2 locus=Brasy3G272000 ID=Brasy3G272000.2.v1.1 annot-version=v1.1 MATPFVLNTGAKIPSVVLGTWQSDPGVVGEAVYAAVKAGYRHLDCARTYNNEKEVGLALKKLFEEDVVKREDLFITSKLWCGHHAPEDVPEALGDSLNDLQLEYLDLYLLHGEQWRSYMMLAKLCNWRE* >Brasy3G272000.3.p pacid=40040432 transcript=Brasy3G272000.3 locus=Brasy3G272000 ID=Brasy3G272000.3.v1.1 annot-version=v1.1 MGSARAQEVGLALKKLFEEDVVKREDLFITSKLWCGHHAPEDVPEALGDSLNDLQLEYLDLYLIHLPFRVKNGTNINNAENYIPPDIPATWGAMEKLHDAGKALQLA* >Brasy3G109100.1.p pacid=40040433 transcript=Brasy3G109100.1 locus=Brasy3G109100 ID=Brasy3G109100.1.v1.1 annot-version=v1.1 MPIPNYYPSPQKKKPLAIPPLLLSKKRKVIPPLPISLPSPQPPRSVDLLLPRASAATHPAAERPSPLARLPPRSSTSTAAMATSSDPWMKEYTEASKLVDDISTMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLATLLSRIPPKSLTDKELHKRQDTLSTLKSKAKQMATSFNMSNFANREDLLGQGKKAADDMSRVAGLDNQGIVGLQRQVMKEQDEGLERLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVAAIVVLAVIVWLLIKYL* >Brasy3G350500.1.p pacid=40040434 transcript=Brasy3G350500.1 locus=Brasy3G350500 ID=Brasy3G350500.1.v1.1 annot-version=v1.1 MAMAARCFFSPPAKPSCFSFFTPRCSSSSPPPPPGGNGRGKKAVREDWREKSKPIPPGGVYPAKDKCSRCGLCDTYYIAHVNTACAFLGDGMSRVEDLEPIVHGRGRKDTMDELYFGVHDQLLYAKKTQPVQGAQWTGIVTTIAVEMLKANMVDAVVCVQSDPDDRLAPRPVLARTPEEVIAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASTEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGVSMTQHPQYITVRNERGREMLSLVEGLLESTPTASSGAREAFVMETVKADDAAKMGKGPANPAPRFVGNIIAFLLNLIGPKGLEFGRYSLDYHTIRNYLYVRRAWGSTRAEQHMPSYAKKIVEAYNKDGRIDSMLQDIKQ* >Brasy3G074200.1.p pacid=40040435 transcript=Brasy3G074200.1 locus=Brasy3G074200 ID=Brasy3G074200.1.v1.1 annot-version=v1.1 MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIVFSAHGKLYDLATTGTMDRLIERYKSASVGEGSIQADGFGDHRIDPKQEAMVLKQEIDLLQKGLRYIYGNRANEQMSVEELNALERYLEIWMFNIRSAKMQIMIQEIQALKSKEDMLKAANEILQEKIVEQHGLVGQHGLIDVGMTIADQQNGHFSTDPLIEEITNPLTILSGYSTCRGSEMGYSF* >Brasy3G286100.1.p pacid=40040436 transcript=Brasy3G286100.1 locus=Brasy3G286100 ID=Brasy3G286100.1.v1.1 annot-version=v1.1 MAAPSPNGVHGGCTGAKYGEEGIPVVDLGVLVNGDADQRSQAIRHLGRACQEWGFFMVINHGVPEALREAVMNSCKELFSLPVEEKAEYMDGSPMDPVRVGTGFVNSAVDGAKFWRDYVKMFTHPDFHCPSKPANLRGVAAEYAARTRRLMLELTAAISESLGLRGDRIAEALNLASGLQILVGNRYPPRSGGGPEDDDGPPPIGLPAHSDHGLLTLLFQNGVDGLQVHHDGRWLLAKPLPGSFFVIAGDQLEIVSNGRYKGVLHRARGGGGQARMSFVSLIGPCLDAVVEPVPELAMESLEFRGIKYRDYMEYQQSNVLHEKAALDIVRVHRGIVAGEGSPDSSSVN* >Brasy3G286100.2.p pacid=40040437 transcript=Brasy3G286100.2 locus=Brasy3G286100 ID=Brasy3G286100.2.v1.1 annot-version=v1.1 MAAPSPNGVHGGCTGAKYGEEGIPVVDLGVLVNGDADQRSQAIRHLGRACQEWGFFMVINHGVPEALREAVMNSCKELFSLPVEEKAEYMDGSPMDPVRVGTGFVNSAVDGAKFWRDYVKMFTHPDFHCPSKPANLRGVAAEYAARTRRLMLELTAAISESLGLRGDRIAEALNLASGLQILVGNRYPPRSGGGPEDDDGPPPIGLPAHSDHGLLTLLFQNGVDGLQVHHDGRWLLAKPLPGSFFVIAGDQLEIVSNGRYKGVLHRARGGGGQARMSFVSLIGPCLDAVVEPVPELAMESLEFRGIKYRDYMEYQQSNVLHEKAALDIVRVHRGIVAGEGSPDSSSVN* >Brasy3G145700.1.p pacid=40040438 transcript=Brasy3G145700.1 locus=Brasy3G145700 ID=Brasy3G145700.1.v1.1 annot-version=v1.1 MIAFFTSYETMAENAERTKLMVKALGRTNLALKAKVDVVEEDEEDDEPYSQISESSEFEDDLALFHKKWSKKSGTRFGKSSSSKTKSRLCYNCDKPGHMAIDCPYERRLDKPKREPSQAKKLPNPVNVKKGYKKEGGKAFVGTNYNSDSDSEDDVVGIAGMAQLAKPGSLFSHDYSKDYKSDSHICLMAKETKVLM* >Brasy3G001600.1.p pacid=40040439 transcript=Brasy3G001600.1 locus=Brasy3G001600 ID=Brasy3G001600.1.v1.1 annot-version=v1.1 MVAFRAPLLLLLCLVLPLVVVPAAAAAGCDCGPNNAGAELAGEEEEGTAASAALRLKFIAIASILASGAAGVLVPVLGRSWAALRADGDVFFAVKAFAAGVILATGMVHILPAAFDALASPGGFPYAGLVAMAAAMLTMVVDSLAAGYYRRSHFKKPRPVDDDDGRAAAGAGGDDEEHAGHLHVHTHATHGHAHGHVHSHGHGHGGPAGTDSPEEAAAAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPTTIRPLVGALSFHQFFEGIGLGGCIVQAKFKAKATVLMTTLFSFTAPIGIALGIAITSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLATYLALFLGAGLMSLLAKWA* >Brasy3G066100.1.p pacid=40040440 transcript=Brasy3G066100.1 locus=Brasy3G066100 ID=Brasy3G066100.1.v1.1 annot-version=v1.1 MARSGKPHVRVLHLAVLVVTICLHGAHMVAAAGGTGGSALALVKIAFSKFTDEFLEGATQQLEYAKKGAEVTKEVLDILLKKKEEDDKEKPNSAAAAVGHTPVIHNRLFPKFPYVGVTGMKVGDDGATLDMKAELRLDTSSGEGGVLVINQKFPLTFLNKDAYELLKAELSKGQALAPSVLGVDDQPCYADASARQPITLVFVGGAEMKVDKDNCWYEQKDGSVCLAVLPAPWTSGETIIGTMLQQTKMVAVGDNWTFALDHQAGQSSVTSVPSGSAYVVGRVGVLLCVCWSLSLVNQLL* >Brasy3G051300.1.p pacid=40040441 transcript=Brasy3G051300.1 locus=Brasy3G051300 ID=Brasy3G051300.1.v1.1 annot-version=v1.1 MTKHGAVVVPEDAAVGRHFSFPPPRTGGDSCKKLAGQVDLGAAMRGSWLDSMKASSPRHRLVAPRVAATGSGDADETDDDVWMEKHPSALGSFEAVAAAASGKQVVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAEHFPTAIVSGRGRDKVFNFVRLEELYYAGSHGMDIKGPTADSNHLLTPNKAKSVLCQPASEFLPMIGEVHDALVAKMASIPGAKVENNKFCLSVHFRCVEEKTWGALAEQVRAVLRDYPRLRLTQGRMVLEIRPVIKWDKGRALEFLLGALGFADPRDDVFPIYIGDDRTDEDAFKVLRGRGQGAGILVSRFPKDTLASFSLRDPDEVKGFLRELVKSSSSSNS* >Brasy3G131500.1.p pacid=40040442 transcript=Brasy3G131500.1 locus=Brasy3G131500 ID=Brasy3G131500.1.v1.1 annot-version=v1.1 MQSPIANRSVHLLEMDGTLGISQIDHATRMTELWVLRDYEMEEWSLKYRAELSAAEVREHITDRCILSGKVVCENGDMLATGSPRVVSPLLHCDNNGKVLEKYQWQGVVPRVLGLCFKESLIRHAFFQRKDARRPRVPCFFRGL* >Brasy3G138600.1.p pacid=40040443 transcript=Brasy3G138600.1 locus=Brasy3G138600 ID=Brasy3G138600.1.v1.1 annot-version=v1.1 MEYGPDDSSGTGTDDDLPPPYHNRGMRGGGRISGNGRDIVSAGPYSRPQPLIDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G138600.2.p pacid=40040444 transcript=Brasy3G138600.2 locus=Brasy3G138600 ID=Brasy3G138600.2.v1.1 annot-version=v1.1 MEYGPDDSSADYKISSTQHREQELMMIFHHHITTEIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G138600.3.p pacid=40040445 transcript=Brasy3G138600.3 locus=Brasy3G138600 ID=Brasy3G138600.3.v1.1 annot-version=v1.1 MEYGPDDSSDYKISSTQHREQELMMIFHHHITTEIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G138600.5.p pacid=40040446 transcript=Brasy3G138600.5 locus=Brasy3G138600 ID=Brasy3G138600.5.v1.1 annot-version=v1.1 MRGGGRISGNGRDIVSAGPYSRPQPLIDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G138600.6.p pacid=40040447 transcript=Brasy3G138600.6 locus=Brasy3G138600 ID=Brasy3G138600.6.v1.1 annot-version=v1.1 MRGGGRISGNGRDIVSAGPYSRPQPLIDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G138600.4.p pacid=40040448 transcript=Brasy3G138600.4 locus=Brasy3G138600 ID=Brasy3G138600.4.v1.1 annot-version=v1.1 MVPTTAAEQELMMIFHHHITTEIHQLEQDAYCSVLRAFKAQSDAISWEKESLITELRKELTVSDKEHRELLNRVNNDDIIRRIREWRESTGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVAAPPPAHSQPLTAPMQPPSSAVKKATPLGIKGKKTKPGQKIPGAPPVKPMPSSASSSGRGPIMNRNSSGGIPHETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYVLVYDMNTPSETWELVDFTEMAPEDIRWEGEDPGINERGRGALHASKKSGSRSGPASGAGRGRGPQKNTFRKDFSPSQNGVGKKSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKALKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G231100.1.p pacid=40040449 transcript=Brasy3G231100.1 locus=Brasy3G231100 ID=Brasy3G231100.1.v1.1 annot-version=v1.1 MGEGLFWAIRGGGGGSFGVVVSWTVRLVPVPAVVSAFTVRRLIPRGDDGELQSIIHVLTKWQLAAHALPDDLFVKAAMEPKADDDGGESLRPLVVFKSLFLGNCSGMVSQMDTHLPELGINPSDCREMNWLQSMLYFYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPVPAAGLAVLLAKIVEEKGGSIDIDPQGGRMSEIPESDTPYAHRRGYLYNLQYFVKWGGDKNVSYEEKHLGWVRGVHELMTPYVSKRPRAAYINFRDLDLGQNVEGNTSYEEARVWGEMYFRGNFRRLAMVKGEVDPEQVFWSEQSIPPLVVGKRQRQDGLVSES* >Brasy3G196400.1.p pacid=40040450 transcript=Brasy3G196400.1 locus=Brasy3G196400 ID=Brasy3G196400.1.v1.1 annot-version=v1.1 MSTADLVVADATAREWQRFKLIPRVSCAGNLDLDPLLNENLEQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFRSQIFEGPDTDIETELQLCNARHSKPEETTEDDMPSTSGRQIYETEPCASSSNKPCSLSPLPAYEPAFDWNNERSLIFGQRVTESLPATHISGLKITVKVLSLSLEAGLVEPFGGTICLYNRDRREKLSEDFYFRILPTEMQDAHISLDRWSVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQIWSRIMPYKEPFAWAMIPLFENNHAGGVGDAVSPSSPLAPSISGSSSQDSIVEPTSKLALDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNDRNDTDTISEGGSMNNELHGAELNNGRHHRNSFDGAHSYLNSSAVVQKNAHQNGQNSNAENGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRIELRKDDADIRKLPLEAIHPRDWSTTVQKFVHTQISVGTRMSCFHDEVKISLPALVTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSDVSLPILRELVPHYLQESGKERMEYLEDGKAVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVECTALLQFLHPILNMLLRLIGDGGETLQVAAFRAMVNILNRVQQESSDGSERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLLQRAKAARILVVLISKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRAGDSLLLGSSSRSPDAERPVSPKYSDRLSPSVNAYLSDASRHEIRPQGTPENGYMWNRVIPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIEKFSVAAASRSISTDYTKLDCVTSILMGLLSRSQPLTFWEAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVNALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVTTLDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGMIMQALVGRNDAVWSREHVASLCRICPIVGTDVGAEVSAAEIEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGDRFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGNQNLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERIFSLSTGTVRARVFDHFLFDTPFTKNGKTQGGLESQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL* >Brasy3G196400.2.p pacid=40040451 transcript=Brasy3G196400.2 locus=Brasy3G196400 ID=Brasy3G196400.2.v1.1 annot-version=v1.1 MSTADLVVADATAREWQRFKLIPRVSCAGNLDLDPLLNENLEQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFRSQIFEGPDTDIETELQLCNARHSKPEETTEDDMPSTSGRQIYETEPCASSSNKPCSLSPLPAYEPAFDWNNERSLIFGQRVTESLPATHISGLKITVKVLSLSLEAGLVEPFGGTICLYNRDRREKLSEDFYFRILPTEMQDAHISLDRWSVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQIWSRIMPYKEPFAWAMIPLFENNHAGGVGDAVSPSSPLAPSISGSSSQDSIVEPTSKLALDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNDRNDTDTISEGGSMNNELHGAELNNGRHHRNSFDGAHSYLNSSAVVQKNAHQNGQNSNAENGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRIELRKDDADIRKLPLEAIHPRDWSTTVQKFVHTQISVGTRMSCFHDEVKISLPALVTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSDVSLPILRELVPHYLQESGKERMEYLEDGKAVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVECTALLQFLHPILNMLLRLIGDGGETLQVAAFRAMVNILNRVQQESSDGSERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLLQRAKAARILVVLISKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRAGDSLLLGSSSRSPDAERPVSPKYSDRLSPSVNAYLSDASRHEIRPQGTPENGYMWNRVIPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIEKFSVAAASRSISTDYTKLDCVTSILMGLLSRSQPLTFWEAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVNALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVTTLDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGMIMQALVGRNDAVWSREHVASLCRICPIVGTDVGAEVSAAEIEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGDRFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGNQNLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERIFSLSTGTVRARVFDHFLFDTPFTKNGKTQGGLESQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL* >Brasy3G196400.3.p pacid=40040452 transcript=Brasy3G196400.3 locus=Brasy3G196400 ID=Brasy3G196400.3.v1.1 annot-version=v1.1 MSTADLVVADATAREWQRFKLIPRVSCAGNLDLDPLLNENLEQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFRSQIFEGPDTDIETELQLCNARHSKPEETTEDDMPSTSGRQIYETEPCASSSNKPCSLSPLPAYEPAFDWNNERSLIFGQRVTESLPATHISGLKITVKVLSLSLEAGLVEPFGGTICLYNRDRREKLSEDFYFRILPTEMQDAHISLDRWSVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQIWSRIMPYKEPFAWAMIPLFENNHAGGVGDAVSPSSPLAPSISGSSSQDSIVEPTSKLALDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNDRNDTDTISEGGSMNNELHGAELNNGRHHRNSFDGAHSYLNSSAVVQKNAHQNGQNSNAENGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRIELRKDDADIRKLPLEAIHPRDWSTTVQKFVHTQISVGTRMSCFHDEVKISLPALVTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSDVSLPILRELVPHYLQESGKERMEYLEDGKAVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVECTALLQFLHPILNMLLRLIGDGGETLQVAAFRAMVNILNRVQQESSDGSERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLLQRAKAARILVVLISKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRAGDSLLLGSSSRSPDAERPVSPKYSDRLSPSVNAYLSDASRHEIRPQGTPENGYMWNRVIPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIEKFSVAAASRSISTDYTKLDCVTSILMGLLSRSQPLTFWEAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVNALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVTTLDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGTCWKE* >Brasy3G269700.1.p pacid=40040453 transcript=Brasy3G269700.1 locus=Brasy3G269700 ID=Brasy3G269700.1.v1.1 annot-version=v1.1 MAHFAGSPAPAADAFWTACPHCCYVHSYPRVYVGRRLRCPTATCRLAFSAAELPSLPPIVPGTDMYFCTWAFFPLGPAAPAEGWAPFTPFNPAPPPSPSPSPTPPAAGAASTRVRPTSRKKVGVCLKGRARVEAEEEEDEEEQEGKEATDDIKAEVEEEEVQADWLSLGGDNNGGSGLNINEAVDLRELGFCVDESGFLQELP* >Brasy3G268400.1.p pacid=40040454 transcript=Brasy3G268400.1 locus=Brasy3G268400 ID=Brasy3G268400.1.v1.1 annot-version=v1.1 MVGRPRPRVPPSSPCRPDRGRDRTSPPVVRRLFSTPVRRDTAAWQRNKGGVVAGCSTSDGHGPQERAVTFDPVSRVVTPGTISAIDEVPSLGADRRAPKSGQEEDEDLEEYYGQLWVVPSRPPLQARVLALGVAAAPVWIRKDLFEARRFSIRDCHRFRLSDRFPPTPKQFSFSRDFWSKELGRETFIEVARRQEMDAHGGGGRGGAPPQRRANPYQYNRPAGQQALPPLGQGGAPAGGGATQGGGGGRRGAGQAAPPQALQAQPRQQAPVQPQRQIQAAGPRQPPAAAVLVQPMEPKYRDVVCFNCGDPGHYVGNCIKQKVCFMCKSSGHSMNNCGLWGRGIPMAQFVGSANRGLGFFNINFSEQNNQWLNLKNCGVIMVTHGDISAKDLEVKMSETWDQQWPWMVRQLDEKKFLVKFPPQKKVSDLVDFPSINLKEGTDAERVTIKISIWDGDIPALADLKELWLQIRGIPPRCISWSVIAQVAKCFGLLIDIDWGEIFKSMYAVVRVKIAVKNPRKIPSERAMVIQKKFYALSFTVEWDTVSSGLGIDDLDDYYDDEYDPEEDDLLEEKEDTQDKPMGTTEKPPATPFTMAPPFDGKKTGAQTRQIGMCEAWSNRFQVLADEETEEEMVEQNEKQEKMYTEQGDGIASALEPDLGEIIENMNEVVISNPAEDAMIQADPAETTLTGMIHNSTEGRLIQKNDDDIEMDQDFPTPAEAAKLKRGRVKAWGPIQPVRQSNRIPRDGKSIMNRAQELLEMKNLEKKKGDLAQAASLIKALESYLSQKEFDSTGTSHPTPGEEGAGSSPDPALLKRRKLLLAGPKTPQSTGSRRVLLP* >Brasy3G296700.1.p pacid=40040455 transcript=Brasy3G296700.1 locus=Brasy3G296700 ID=Brasy3G296700.1.v1.1 annot-version=v1.1 MLSLGAIRKLCAAFDAVALTVIAAGLSHPRSRPFSARAHSTPPDFPTINSCRAAVARSKNQRRRTPNDAPAAAEDKGSTDSETPLLVRIKHERDPKRLYELFRANAHNRLLVENRFAFQDAVARLSGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPEHALRTFQEMEMYGCTRTNKSFNATLKVLMQAQLFDEALQLFEEGPGKYGVELDDISYNTVVKMMCDMGQLRAAYRVMQGMEKEGIQPDVITYTTLMTAFYKNGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLINRRRGWQANDLVRKMYAAGIRPDEITYNLVIKGFFMMREHEMAKTVFGAMHGRGCKPNGKIYQTMVHYLCERREFDLAFRLCKDSMENNWFPSVDTIHHLLKGLMSISKDRNAGEIMKLVVGRKSSYSGDEVKAFQDILSHGKTGR* >Brasy3G088500.1.p pacid=40040456 transcript=Brasy3G088500.1 locus=Brasy3G088500 ID=Brasy3G088500.1.v1.1 annot-version=v1.1 MGSVSKKTISRHTTHTEQGSHAFEISGYSLNKGIGVGQYIQSCTFTVGGYDWAIRLYPDGVVEAFRDYVTIYLELVSQDAEVRALYDLSLVKQETGLPVSMWCKSTPREFRSRDSSRFAPQSGGFILRSELEMDETGYILDDYLTIECAVTVVKEAHVYQTSAEYEIALPPSDLSDHFGKLLLEEEGTDVTFSVGGETFAAHKIVLATRSPVFKAELYGQMKERTAQSVTIEDMQPAVFRAFLHFIYTDSLAHMDDLDHDDYSEMIRHLLVAADRYAMDRLKLICQNVLCQYIDVDTVAATLALADQHNCQSLKNVCIDYMTTSDEIDAVAATQDIATLDALINLNLSSNHLSGYIPTKIGTLKSLESLDLSGNKLSGEIPSSLSSLTSLSYLNMSYNNLSGRIPSGHQLDTLNVENPALMYIGNNGLCGPSLQKNCSGNGTVIHGYLGSSKQEFEPMTFYFGLVLGLVAGLWSVFCALLFKKTWRIYYFKFFDDLYDRIYVFVIVKWASYTRNTAEE* >Brasy3G291500.1.p pacid=40040457 transcript=Brasy3G291500.1 locus=Brasy3G291500 ID=Brasy3G291500.1.v1.1 annot-version=v1.1 MAVAVLDHSLSSDFPSKNRFARRLLSWKRVFVQIDNGSVLGIELERGENAHTVKRKLQIALNVPTEESSLTCGDQVLDNDLSCIRNDSPLLLTRNHMHRSCSTPCLSPNGNEAQQCDRSKVVEILGCSSPSSRMKQLVKDIIKGINNGVDPVPIPDGMGGAYYFKDVWGEHVAIVKPTDEEPFAPNNPKGFIGKSLGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPPTMLVKITRNVFHMNEGVDCKTKTGENKSLALSKIASLQQFIPHDYDASDHGTSGFPVSAVHKIGILDIRIFNTDRHAGNLLVRKLDTGAGRFEAQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSAEELQYVANLDPVKDAEMLRTELPMIHEASLRVLVLSTTFLKEAAASGLCLSEIGEMMSRQFTGKEEEPSELELLCMKARKWVEERELVLPEDDSEEDDGYAQFNLDSEDDPAAFEASSFNKFGPPRGSPRNPLSRLVEGNEDKEGGGKSEMSKDDLATCTGPVSKWTPSASKLPGSLKGLSFSGNSKCHSGVPKNRVTTKTNYGYISNTTQHQSGGRSTNNMLPPSSNFVKLSDLSTYEWNAFLKKFQELLPTMFSDRKQTAARGTWLTQRLGASCQF* >Brasy3G335100.1.p pacid=40040458 transcript=Brasy3G335100.1 locus=Brasy3G335100 ID=Brasy3G335100.1.v1.1 annot-version=v1.1 MASPPSLLLLLGSPAPLAFLLFSLFQGPAAVGAITFTFANRCADTVWPGILSGSGTPPLETTGFALAPGQSRSLYAPTGWSGRFWARSGCDFSSSSPKSGGPSCATGDCGTNEPECHGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVRPSAPDCPDTGCLVDLNDRCPSELRAGGGRACRSACEAFGKPEYCCNGAYGNPDTCHPSRYSQLFKSACPKSYSYAYDDATSTFVCNHTDYTITFCPLSDPNSGRSGKHGLRRPSHEQLEDDVWLASLKKKTSSAGARTAVPWSASRAFQAAIAIVVATLLTAARDHPPFSLL* >Brasy3G335100.4.p pacid=40040459 transcript=Brasy3G335100.4 locus=Brasy3G335100 ID=Brasy3G335100.4.v1.1 annot-version=v1.1 MASPPSLLLLLGSPAPLAFLLFSLFQGPAAVGAITFTFANRCADTVWPGILSGSGTPPLETTGFALAPGQSRSLYAPTGWSGRFWARSGCDFSSSSPKSGGPSCATGDCGTNEPECHGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVRPSAPDCPDTGCLVDLNDRCPSELRAGGGRACRSACEAFGKPEYCCNGAYGNPDTCHPSRYSQLFKSACPKSYSYAYDDATSTFVCNHTDYTITFCPLSDPNRSGKHGLRRPSHEQLEDDVWLASLKKKTSSAGARTAVPWSASRAFQAAIAIVVATLLTAARDHPPFSLL* >Brasy3G335100.2.p pacid=40040460 transcript=Brasy3G335100.2 locus=Brasy3G335100 ID=Brasy3G335100.2.v1.1 annot-version=v1.1 MASPPSLLLLLGSPAPLAFLLFSLFQGPAAVGAITFTFANRCADTVWPGILSGSGTPPLETTGFALAPGQSRSLYAPTGWSGRFWARSGCDFSSSSPKSGGPSCATGDCGTNEPECHGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVRPSAPDCPDTGCLVDLNDRCPSELRAGGGRACRSACEAFGKPEYCCNGAYGNPDTCHPSRYSQLFKSACPKSYSYAYDDATSTFVCNHTDYTITFCPLSDPNRQDKKFLIWNVKWGKKEEGDRVGLGSEEKKR* >Brasy3G335100.3.p pacid=40040461 transcript=Brasy3G335100.3 locus=Brasy3G335100 ID=Brasy3G335100.3.v1.1 annot-version=v1.1 MASPPSLLLLLGSPAPLAFLLFSLFQGPAAVGAITFTFANRCADTVWPGILSGSGTPPLETTGFALAPGQSRSLYAPTGWSGRFWARSGCDFSSSSPKSGGPSCATGDCGTNEPECHGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVRPSAPDCPDTGCLVDLNDRCPSELRAGGGRACRSACEAFGKPEYCCNGAYGNPDTCHPSRYSQLFKSACPKSYSYAYDDATSTFVCNHTDYTITFCPLSDPNRQDKKFLIWNVKWGKKEEGDRVGLGSEEKKR* >Brasy3G262200.1.p pacid=40040462 transcript=Brasy3G262200.1 locus=Brasy3G262200 ID=Brasy3G262200.1.v1.1 annot-version=v1.1 MATASGLSAPLQLLALRRAPPKNLLQRRAAAGAPAGSVIVKRGFVYNGRGYHQFLPLKQKGRLQAAVLPVTTPLLDDEEKRKQMSEDYGFKQIGEQLPDNVTLKDVMDTLPKEVFEINNVKAWASVLISVTSYAFGLLLISKAPWYLLPLAWAWAGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVWQKEIESSSFLRKAIIFGYGPVRPWMSIAHWLMWHFDLKKFRPNEIPRMKISLACVFAFMAIGWPLIILQSGIAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCSYPRWIEILCHDINVHVPHHISPRIPSYNLRAAHDSIKQNWGKYINEASWNWRLMKTILTACHVYDKERYYVPFDELVPEESQPIRFLKKFMPDYA* >Brasy3G262200.2.p pacid=40040463 transcript=Brasy3G262200.2 locus=Brasy3G262200 ID=Brasy3G262200.2.v1.1 annot-version=v1.1 MATASGLSAPLQLLALRRAPPKNLLQRRAAAGAPAGSVIVKRGFVYNGRGYHQFLPLKQKGRLQAAVLPVTTPLLDDEEKRKQMSEDYGFKQIGEQLPDNVTLKDVMDTLPKEVFEINNVKAWASVLISVTSYAFGLLLISKAPWYLLPLAWAWAGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVWQKEIESSSFLRKAIIFGYGPVRPWMSIAHWLMWHFDLKKFRPNEIPRMKISLACVFAFMAIGWPLIILQSGIAGWFKFWFMPWMVYHFWDRDPLP* >Brasy3G167600.1.p pacid=40040464 transcript=Brasy3G167600.1 locus=Brasy3G167600 ID=Brasy3G167600.1.v1.1 annot-version=v1.1 MNTIASNQLPGISLSTPQTSGDLSVFSHSPPIVSNGTGGGGCTRSSHRVRYPSDAVRPSSPPQLPDCPSPPSTKPRVRRGATSLAEINHRCRRWPDRRHTHTFLPPRPTSTSNLPVCILSSALTLLFCASCNSCRWSSPRAALPRPSPSPPHSPIRLEEFSWCFLKCNSNGSMSLMSLDFAGLIYRQSNRR* >Brasy3G188700.1.p pacid=40040465 transcript=Brasy3G188700.1 locus=Brasy3G188700 ID=Brasy3G188700.1.v1.1 annot-version=v1.1 MQQQQQQHQGGGGGPGQQFGLHPPEMPPFSPAGQRISMAEAPSPISSRPPAPPGQQQLSNNELAGAAAAMGFDEEALAAGEEGGGGGSGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRNAKKCKEKFENVHKYYKRTKDSRAGRNDGKTYRFFQQLEALQGATPGASSVPPPAAAVRAPAEPPPQPVVTGAMPAPMGLGGNLSFSTSNTEEFSEDEEEEEDSDDEGTDDMAVGGNKRKRMSSDGGAGSAAAGGNKKMMRFFEGLMRQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQETLAQERAMAASRDAAVLGFIQKITGQSVPMPMAPPPSIAFMAPPAAGSHPTPISFSAAPPPSQTPAPPSQSPRPQRPPMPLATPAPQKTTPVPATPPPPQQQQLQQQQSGGMEMAVVVAPAGGELQPHDGGSGSASSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKQAAALTSPSAAAAPLPALAANAAPPPPERTEAAQFTVAAPISQTPPPHTLQQPAAAKNGPGNGHGSGVAAGSEGGGVSAGAVQMQASNGFFGEAGVAAKKPEGMMKETIMEQRQQPQAQAAPISSGYNNRAGVDSDNSMDDEDDYDDEDEEEDDDDDVDGNKMQYEIQFQSQQQQQQQHHQLHQQHHQHNNHNVVRPNAGAAGGGNQPGGAAPPSAASAAAATTTAGSFLGMVQ* >Brasy3G188700.2.p pacid=40040466 transcript=Brasy3G188700.2 locus=Brasy3G188700 ID=Brasy3G188700.2.v1.1 annot-version=v1.1 MQQQQQQHQGGGGGPGQQFGLHPPEMPPFSPAGQRISMAEAPSPISSRPPAPPGQQQLSNNELAGAAAAMGFDEEALAAGEEGGGGGSGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRNAKKCKEKFENVHKYYKRTKDSRAGRNDGKTYRFFQQLEALQGATPGASSVPPPAAAVRAPAEPPPQPVVTGAMPAPMGLGGNLSFSTSNTEEFSEDEEEEEDSDDEGTDDMAVGGNKRKRMSSDGGAGSAAAGGNKKMMRFFEGLMRQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQETLAQERAMAASRDAAVLGFIQKITGQSVPMPMAPPPSIAFMAPPAAGSHPTPISFSAAPPPSQTPAPPSQSPRPQRPPMPLATPAPQKTTPVPATPPPPQQQQLQQQQSGGMEMAVVVAPAGGELQPHDGGSGSASSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKQAAALTSPSAAAAPLPALAANAAPPPPERTEAAQFTVAAPISQTPPPHTLQQPAAAKNGPGNGHGSGVAAGSEGGGVSAGAVQMQASNGFFGEAGVAAKKPEGMMKETIMEQRQQPQAQAAPISSGYNNRAGVDSDNSMDDEDDYDDEDEEEDDDDDVDGNKMQYEIQFQSQQQQQQQHHQLHQQHHQHNNHNVVRPNAGAAGGGNQPGGAAPPSAASAAAATTTAGSFLGMVQ* >Brasy3G188700.3.p pacid=40040467 transcript=Brasy3G188700.3 locus=Brasy3G188700 ID=Brasy3G188700.3.v1.1 annot-version=v1.1 MQQQQQQHQGGGGGPGQQFGLHPPEMPPFSPAGQRISMAEAPSPISSRPPAPPGQQQLSNNELAGAAAAMGFDEEALAAGEEGGGGGSGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRNAKKCKEKFENVHKYYKRTKDSRAGRNDGKTYRFFQQLEALQGATPGASSVPPPAAAVRAPAEPPPQPVVTGAMPAPMGLGGNLSFSTSNTEEFSEDEEEEEDSDDEGTDDMAVGGNKRKRMSSDGGAGSAAAGGNKKMMRFFEGLMRQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQETLAQERAMAASRDAAVLGFIQKITGQSVPMPMAPPPSIAFMAPPAAGSHPTPISFSAAPPPSQTPAPPSQSPRPQRPPMPLATPAPQKTTPVPATPPPPQQQQLQQQQSGGMEMAVVVAPAGGELQPHDGGSGSASSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKQAAALTSPSAAAAPLPALAANAAPPPPERTEAAQFTVAAPISQTPPPHTLQQPAAAKNGPGNGHGSGVAAGSEGGGVSAGAVQMQASNGFFGEAGVAAKKPEGMMKETIMEQRQQPQAQAAPISSGYNNRAGVDSDNSMDDEDDYDDEDEEEDDDDDVDGNKMQYEIQFQSQQQQQQQHHQLHQQHHQHNNHNVVRPNAGAAGGGNQPGGAAPPSAASAAAATTTAGSFLGMVQ* >Brasy3G188700.4.p pacid=40040468 transcript=Brasy3G188700.4 locus=Brasy3G188700 ID=Brasy3G188700.4.v1.1 annot-version=v1.1 MPAPMGLGGNLSFSTSNTEEFSEDEEEEEDSDDEGTDDMAVGGNKRKRMSSDGGAGSAAAGGNKKMMRFFEGLMRQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQETLAQERAMAASRDAAVLGFIQKITGQSVPMPMAPPPSIAFMAPPAAGSHPTPISFSAAPPPSQTPAPPSQSPRPQRPPMPLATPAPQKTTPVPATPPPPQQQQLQQQQSGGMEMAVVVAPAGGELQPHDGGSGSASSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRMGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKQAAALTSPSAAAAPLPALAANAAPPPPERTEAAQFTVAAPISQTPPPHTLQQPAAAKNGPGNGHGSGVAAGSEGGGVSAGAVQMQASNGFFGEAGVAAKKPEGMMKETIMEQRQQPQAQAAPISSGYNNRAGVDSDNSMDDEDDYDDEDEEEDDDDDVDGNKMQYEIQFQSQQQQQQQHHQLHQQHHQHNNHNVVRPNAGAAGGGNQPGGAAPPSAASAAAATTTAGSFLGMVQ* >Brasy3G158100.1.p pacid=40040469 transcript=Brasy3G158100.1 locus=Brasy3G158100 ID=Brasy3G158100.1.v1.1 annot-version=v1.1 MHFSHVWSPPGIAMFRNFSNMFLLISCIHVVLIMLKVQILFMVQILVLFFFFFLKNTMYEKNHTRILQCMIKELDKIYLFKILQCMYEKN* >Brasy3G097000.1.p pacid=40040470 transcript=Brasy3G097000.1 locus=Brasy3G097000 ID=Brasy3G097000.1.v1.1 annot-version=v1.1 MLRRLLLAHARRRRHYSSSFSSALPLASPTFAVFGANTGVGKTLVSAGLAASLLRSPAASPSAVLYLKPLQTGFPADSDAGFLFRRVPALLRPSTAARLVASLDTLSCSPSVESPPPSPEEAAFSYAGEGETVRKILTCRTLYAWRGAVSPHLAVEREGMAVEDAHVRSRLAVCLVEGEDLGKGEGEVWKLVETAGGVASPGPSGTLQCDLYRPFRLPTILVGDGRLGGISSTLSAYETLLLRGYDISAVILEDRGLSNDMFLLSYLRNRVPVLVLPPIPEDPSDDLTDWFSESSSVFGLLKDALQSFHSRRIQRLNSMQRKSKDLLWWPFTQHNLVPVDSVTVIDSRCGESFSAYKVKDNKMMMIPQFDACASWWTQGPDSKLQIELARDMGYAAGRYGHVMFPENAHEPALRCAELLLGGVGKDWASRVYYSDNGSTAIEIALKMAFRKFSFDHGILLGSDEGITNERNIQLKVLALQGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVFIRNETYSLSLPQSIQHGHLSPGDKCFPSLAEVFCNTRNSSFAADLYSTYISQQLSEYSLSSNTEHVAALIIEPVIQGAGGMHMIDPLFQRVLVKECRNRKIPVIFDEVFTGFWRLGVESASELLGCLPDIACYAKLMTGGIVPLAATVTTEAVFEAFKSDSKLTALLHGHSYTAHAMGCSAAVKAIQWFRDPSTNLNLDLDLDRKRLKELWDGILVNQLSSLPNVLRVVSLGTLCAIELKAEGSDAGYASLYARSLIQQLREEDDLYVRPLGNVIYLMCGPCTARDSCTEQLSKVYRRISSFNPVH* >Brasy3G264500.1.p pacid=40040471 transcript=Brasy3G264500.1 locus=Brasy3G264500 ID=Brasy3G264500.1.v1.1 annot-version=v1.1 MKTSRSESILPAAANNLVTNFAVVVTEAKSFNFDIGLFDRFTPIDYPQQNTLYDCGFFTILYIENWGGKIMKSFSKDMIVDFRKVLAARFIDHPLNEVDPTWVLTQ* >Brasy3G049900.1.p pacid=40040472 transcript=Brasy3G049900.1 locus=Brasy3G049900 ID=Brasy3G049900.1.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.3.p pacid=40040473 transcript=Brasy3G049900.3 locus=Brasy3G049900 ID=Brasy3G049900.3.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.6.p pacid=40040474 transcript=Brasy3G049900.6 locus=Brasy3G049900 ID=Brasy3G049900.6.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.5.p pacid=40040475 transcript=Brasy3G049900.5 locus=Brasy3G049900 ID=Brasy3G049900.5.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.7.p pacid=40040476 transcript=Brasy3G049900.7 locus=Brasy3G049900 ID=Brasy3G049900.7.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.4.p pacid=40040477 transcript=Brasy3G049900.4 locus=Brasy3G049900 ID=Brasy3G049900.4.v1.1 annot-version=v1.1 MMRRCGWLPSLCRPRRGGRAAALAPNPDPPPPEPKREEEQGASDKAVMENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G049900.2.p pacid=40040478 transcript=Brasy3G049900.2 locus=Brasy3G049900 ID=Brasy3G049900.2.v1.1 annot-version=v1.1 MENILPNSDFSEDIRSWHPNGCHAFVAVEGSGYHNGIRPHSGSSYAVLTHRTQSWQGLEQDLTENITIGTKYVVSAYVRVHGELHEPVGVQATLKLEDDGSSTSYRCVGRILASQERWEKLEGSFELTSIPSRLVFYLEGPPAGVDLLIDSVTISCKKAERTPSSLIGGTTNIILNSDFSEGLHSWHPIYCHSYVASQWSGFLDGIRGNSGENYAVVTKRTEHWQGLEQDITDRVSTGIAYAVSAYVRVDGNIHGKTEVKATLRLQNQDGSTHYSSVGRVLASKEKWEKLEGTFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARLEHKQSKEVKLQSGIETVIKNPHFEEGLSNWSGRGCNICRHEFTAYGNVKPLSGSYFASATGRIHNWNGIQQDITGRVQRKVFYEISSPVRIFGSSNETEVRATLWVQEYGRERYVCISKNQASDKRWTHLKGKFLLHAPFSKAVLFIEGPPAGIDILVDGLVLSPARKILAAPRPKVENVMYGANIMQNSTFSRGLAGWSPMGSCRLSIHTESPHMLSSILKDPLNRKHISGSYVLATNRTDVWMGPSQVITDKLRLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQVEADGDQWYEIKGAFKLQKQPSKVIAYVQGPPSGVDIRVMGFQIYAVDRKARFEYLKEKSDKVRKRDIIVKFQESNAANLVGSAIRIQQTENSFAFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKLNYKDSDELLEFCQKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQSRLQSLLSRYKGRFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAYKLDPSAVLFVNDYNVEDGCDSKSTPEKFIEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVCLRECFAHPAVEGVILWGFWEMFMFREHAHLIDADGTINEAGKRYLALKQEWLSHANGDIDHHGEFKFRGYHGSYTIEIATPSGKVTRSFVVDKENPAQVVTLNI* >Brasy3G194000.1.p pacid=40040479 transcript=Brasy3G194000.1 locus=Brasy3G194000 ID=Brasy3G194000.1.v1.1 annot-version=v1.1 MTVHRLKMALNKMVESLSRREPKTSRDRPDHFIAVSWSCSARHASASSHRHLASQTFTAVPRLQKSMMSSDI* >Brasy3G192700.1.p pacid=40040480 transcript=Brasy3G192700.1 locus=Brasy3G192700 ID=Brasy3G192700.1.v1.1 annot-version=v1.1 MVRFPLLCCSVAQALLIAIFAALFSSIAAHELPVVPACSGNGDEAPSLLGLLGSRPRGGPPPPRSAPSHQWVVMPPPPPPPLPPPPPQYP* >Brasy3G117800.1.p pacid=40040481 transcript=Brasy3G117800.1 locus=Brasy3G117800 ID=Brasy3G117800.1.v1.1 annot-version=v1.1 MLGESSRRLGRRRHFNSGEGERGRAAGTEREGGGAGAGRTTTSRSKAGVRCGRRRPGCAALPSTPLACPTPPLVHLHPRIDRRSAAFLRTASLQVPNKVVATGALLFGLKPLVVRPVDAVLLHHRGLQISNEIP* >Brasy3G057300.1.p pacid=40040482 transcript=Brasy3G057300.1 locus=Brasy3G057300 ID=Brasy3G057300.1.v1.1 annot-version=v1.1 MEAWRKTTVGFLVLILVAASSYEVLSVENNCFWKFSSRHCVNSPACRDACIERGADDGQCRNRYKKITGAICECYPKECNN* >Brasy3G203900.1.p pacid=40040483 transcript=Brasy3G203900.1 locus=Brasy3G203900 ID=Brasy3G203900.1.v1.1 annot-version=v1.1 MAPPPAAPALHRPPILPIPAAPSRSLSLRALATVAVQPSPRRRWRLLQARSSLPSDGSPPAELVGEDSKFVPLNAEDPMYGPPALLLIGFEKGETSKIQEFLKELDGDFLKVIHCTEEMTKQTLWDAMHTEQPNVEAVKIAKSPQRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLGEVIEEIMGDHEMLTGKN* >Brasy3G203900.2.p pacid=40040484 transcript=Brasy3G203900.2 locus=Brasy3G203900 ID=Brasy3G203900.2.v1.1 annot-version=v1.1 MAPAPGPVVAAVRWIPAGRAGGRGLQVRAAERRGPHALLLIGFEKGETSKIQEFLKELDGDFLKVIHCTEEMTKQTLWDAMHTEQPNVEAVKIAKSPQRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLGEVIEEIMGDHEMLTGKN* >Brasy3G233800.1.p pacid=40040485 transcript=Brasy3G233800.1 locus=Brasy3G233800 ID=Brasy3G233800.1.v1.1 annot-version=v1.1 MAAISPASPSPISAPARNTMSTHELVRGTHQFSIAGYSLQKRTCAVEKMIRSGSFEVGGYNWTIMCYPAGDVKQSEGHLSLFLELLSTATEKATAKFSFKIIGPAGRKSTSAVLWHDFTPDNKTRRHKKFMPTESVESKYLSNDCLTICCTVEVQKESTTVETRSRYFITVPPSRIAHLLRILRCSLRVSRDQT* >Brasy3G147700.1.p pacid=40040486 transcript=Brasy3G147700.1 locus=Brasy3G147700 ID=Brasy3G147700.1.v1.1 annot-version=v1.1 MAPTVMSSAATAVAPFQGLKSTAGLPVSRRSASAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVESLLKQIEYLIRSKWVPCLEFSKVGFVFREHGNSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEVKKEYPDAYVRIIGFDNTRQVQCISFIAFKPPGCEESGKA* >Brasy3G251100.1.p pacid=40040487 transcript=Brasy3G251100.1 locus=Brasy3G251100 ID=Brasy3G251100.1.v1.1 annot-version=v1.1 MGIAPVATYSSFLAPPPARATAYRAHLAAAGLSSRASSFAAGSGLAVAAASAAVAARPRRAGAGGGALGCKCLFGLGVPEMVVIAGVAALLFGPKQLPEIGRSVGKTVKSFQQAAKEFESELKKDPEDGGDQPPPATPMTVSSDGEEQKGLESSSSKESA* >Brasy3G145000.1.p pacid=40040488 transcript=Brasy3G145000.1 locus=Brasy3G145000 ID=Brasy3G145000.1.v1.1 annot-version=v1.1 MGAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHNAVRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGKGQRHHKNRPSRRATWKRNQTVSLRRYR* >Brasy3G123700.1.p pacid=40040489 transcript=Brasy3G123700.1 locus=Brasy3G123700 ID=Brasy3G123700.1.v1.1 annot-version=v1.1 MADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVHRRDRGTIRGISVSPQNGMTEKLFVQTLIGRVLADDIYIGSRCMAVRNQDIGVGLVNRFITAFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSPTHTDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVPLRMEKSNSMRIWY* >Brasy3G009400.1.p pacid=40040490 transcript=Brasy3G009400.1 locus=Brasy3G009400 ID=Brasy3G009400.1.v1.1 annot-version=v1.1 MASSSTSANRSPCLKSLRLISCYDVSKKGFGEIIKRFPLLEELELSLLRNIHHDVYKILGKACQQLKHFRVNKQYFDGQQMRDKNVDARGIAKMHKLHSVQLFANNLTNKGLATILDNCPQLQSLDIRHCFNVDIDNDHNGMPLRGKCARIEMLHLPRDSTHDYELEVESPRFVCEDENECGWCSYSDMRHLIAGESEDDDSYDNYSGSFRYEDDLDK* >Brasy3G255800.1.p pacid=40040491 transcript=Brasy3G255800.1 locus=Brasy3G255800 ID=Brasy3G255800.1.v1.1 annot-version=v1.1 MAKSSADDAELRRACATAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLAVTTKHSSKGEDNKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNTCKEILSYLPKVVGIDIVELALWAKENTLTLDNQVSTQDGQATSVATQTERKVTATVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRCLEVPNLDPSYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNNAGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKHRSGGNEPDDDLSLMDPDGNDVKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQSDTKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYLQFERLFQFARKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYEGFVQMVARVYGNEPIMPVAEMKETLASF* >Brasy3G255800.2.p pacid=40040492 transcript=Brasy3G255800.2 locus=Brasy3G255800 ID=Brasy3G255800.2.v1.1 annot-version=v1.1 MAKSSADDAELRRACATAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLAVTTKHSSKGEDNKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNTCKEILSYLPKVVGIDIVELALWAKENTLTLDNQVSTQDGQATSVATQTERKVTATVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRCLEVPNLDPSYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNNAGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKHRSGGNEPDDDLSLMDPDGNDVKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQSDTKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYLQFERLFQFARKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYEGFVQMVARVYGNEPIMPVAEMKETLASF* >Brasy3G255800.3.p pacid=40040493 transcript=Brasy3G255800.3 locus=Brasy3G255800 ID=Brasy3G255800.3.v1.1 annot-version=v1.1 MAKSSADDAELRRACATAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLAVTTKHSSKGEDNKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNTCKEILSYLPKVVGIDIVELALWAKENTLTLDNQVSTQDGQATSVATQTERKVTATVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNNAGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKHRSGGNEPDDDLSLMDPDGNDVKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQSDTKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYLQFERLFQFARKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYEGFVQMVARVYGNEPIMPVAEMKETLASF* >Brasy3G255800.4.p pacid=40040494 transcript=Brasy3G255800.4 locus=Brasy3G255800 ID=Brasy3G255800.4.v1.1 annot-version=v1.1 MAKSSADDAELRRACATAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLAVTTKHSSKGEDNKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNTCKEILSYLPKVVGIDIVELALWAKENTLTLDNQVSTQDGQATSVATQTERKVTATVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNNAGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKHRSGGNEPDDDLSLMDPDGNDVKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQSDTKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYLQFERLFQFARKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYEGFVQMVARVYGNEPIMPVAEMKETLASF* >Brasy3G150000.1.p pacid=40040495 transcript=Brasy3G150000.1 locus=Brasy3G150000 ID=Brasy3G150000.1.v1.1 annot-version=v1.1 MKPAVPYTNARIFRGRRGTEDNSPAILATSGSASFIDSFVQLCLPTYRAITKVAVSDGLHTAFWHDRWFGSGVLRDCFPALFTHSLRPNITVHAALREGLCLRPRLSNAASLQLELLQRALRDVVLTEEEDSRTMAWGIQIFLKLDLDDRLNTRANLFYKNCSPTELCECCGATETGTHLFLCCPSAVRVWRSLQITTPPDVKDLLSLEPPAHCSREAWRDGITTVLWHLWKARNSLVFSSTSSTTRAILQRCAEDLTLWSNRYSVPLRADVIAVRDFLLTASM* >Brasy3G053400.1.p pacid=40040496 transcript=Brasy3G053400.1 locus=Brasy3G053400 ID=Brasy3G053400.1.v1.1 annot-version=v1.1 MVAMGRGNVSAATVSDGSAEKIVRGPVSDNKCKKKAPRKIHKAEREKHKRDKLNDLFCDLGNMLEADRQNNGKACILTDTTRILRDLLSQLESLRKENSTLQNESHYVIMERDELQDENSVLRSEILELQNELAMQVAGNQGWGHGTTTGSPLPVSHAASTVFPAQQPPSITGAVFPLQQLTAIAQSYAAPPRELKLFPDAASVEGIEQSEHQEALNHVARPQARYPTESASWPVSLGLPRMEDEQCSSSTNGCSKEASSGSD* >Brasy3G193900.1.p pacid=40040497 transcript=Brasy3G193900.1 locus=Brasy3G193900 ID=Brasy3G193900.1.v1.1 annot-version=v1.1 MARSLLLGLLSLLLVLSCSRGQLAGAAPSSGEGNASFVRAWCARTTEYPALCESTLGPYAASVGGSPARLSWAALTVAHGGARNATSAMRAMAAARGSNGGLPPVAAEAAGDCVSMLADAAGELGDAADAMARVVEAEEEEKKEAGGGSGNPNAQAQAARRRRRRFEVDSVRTWASAALTDGDMCVEGFKGEAAGGGGRREAVRGHVVRVERLAANALGIVNAMAEDDDQTPP* >Brasy3G032300.1.p pacid=40040498 transcript=Brasy3G032300.1 locus=Brasy3G032300 ID=Brasy3G032300.1.v1.1 annot-version=v1.1 MVAAVPAAGRLQPAMAAGQSKQPWEYSLRKYLLLLATLVVTVTYAAGFSPPGGVWQDSGSHDGHSERTLAGDPIIRDTDYHRYIAFYYCNGTAFAASLVLIVLILILAVRHDKEKKKDAVWVASDVVPLRAVMVVDLLSLVGAYAAGTFRDRVCSVYSSVLVAAIFLYILVLRLLAWWFPDNSPDSDDSAAGCATPIHKPDTGSGPGGTMPVSNRGMIPAPVPDSEPVGVQEEEEQLRKAKKKLKAEERLRKVLMLLATFAVSITYVAGLSTPGGFWDTTSGSHHPGDAILNDHHGLRLTVFLLCNTTAFVASLLITMLLIIDKKLRKKTARYRELYACIVIALVGLVGAYAAGSCRQTDTTIYVVSQVGAVLVFILLLHGIFYTSASKWLSRPAKRTDENQHTDDNVSAREALDKARSLVLLLATLAATITYAAGLDPPGGLWQDNNGGHMAGDPILLTTKTRRFKVFFYCNSVSFVASLVVIILVQKELLVKHHVLEAAMILDLFGLIGAYAAGSSRDVNTSTNAMALAGAVLVYVVIHVLFFTLDHKDCGNKKDDEELLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDELSGHHAGDPILLYNFPCRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSNALSVCTAAGLFCLMGAYAAGSTQHFRTSIYIFVLVAVVLLVVAGLLLVFLVRELKRNGKSAAVPSIEQELEEPPKEESKGEEERKEEQEKEKEREERKKHARRKYLMLLGILVGSVTYQAGLKPPGGAWQRNGDGYEAGNPVMHDNRRARYLTFFYSNSTSFVSSIVVIIMLLPQWLPKEKEEEWEEWSLRVMNTTILLDLAALLVAYAAGSCRGWSTSMYVVALILAVLGYFVIHMMLSLCSYRRRCRRERQRNSPV* >Brasy3G259700.1.p pacid=40040499 transcript=Brasy3G259700.1 locus=Brasy3G259700 ID=Brasy3G259700.1.v1.1 annot-version=v1.1 MGRSPCCDKIGLKKGPWTSEEDEKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSSIATHLPRRTDNEIKNYWNTHLKKRLAKMGIDPVTHKATNGALIGTADVKSAKAAASLSHMAQWESARLEAEGRLAQESKMRTSACTQTSLHPQPMNSSASAGSPCLDVLHAWQGAEIDLESPTSTLTFTGSNSGMLPIQVTTSLGISKSNSTMWQQTSNELEGEESEWKFLSKQQLQGMEGKERGEDIIGCEQPWFTGMVGVGAGFTDMLLDGSSVHDTSECWGESSNGQAEHSNHVSGDGESKTYWSGILGMVNSELPSQPPQLL* >Brasy3G315900.1.p pacid=40040500 transcript=Brasy3G315900.1 locus=Brasy3G315900 ID=Brasy3G315900.1.v1.1 annot-version=v1.1 MRRDLGAPGRSPAPIATTGTPIAAVSTGCQAMSKRAKTTALEAQTTMVGSAAVQLRVDYELASEAPIGYAVYSDVISVGEHLWRIECLPRGVVEDGDGEYISIFLRHMSKSTSVTAIFEAFLIDTYGIPSMTETRRILRTFRIATDRGRGSGDSWGWRQFVKRTILEEGYVDEESHFTFVCAIMIIDDCPICVPPSDITTHLGRLLDDTDGTDVSFVIDGETFTAHRAVLAARSSVFRAELFGSMAEATMSSITLKDITPAAFKAMLRFMYTDALPGESELGESPVEMFQDLLAAADRYALDRLKSMCSRKLWDKVSVETVATTLACAETYNCPELKNKCFDFLAVEKNFKEAVFTDGYAWLVLKFPLVTAELKERMQGMED* >Brasy3G205300.1.p pacid=40040501 transcript=Brasy3G205300.1 locus=Brasy3G205300 ID=Brasy3G205300.1.v1.1 annot-version=v1.1 MTGSAWLKEWTRKSDKIVEESMLTGAARRLNEENPDAELIVLEALNKEQRAALLLEERAFLKRTVKEYSKKMAERVQASLERMKTAPPELYSRFREHWESAWTKEFGTFETTTRLSAMYYTDRPLPDETFRRDTLQIFSVKVAKTTGALKWPLDVFGMIAVRDDLDHNRNIIFNRTRDNCQTLIEQDPYLVLTGPTRAPVCGSGPVYFDAELKVKGSTKSDDQDLCLVATRYYDSWALNSVVIRKDCSSKHNMLEVTFGVVLSSIEATIAMRVVSGSWPDGFRGQFTAHSASMDHELVLLLDSGNEKVHVTADFHAVGMGRWPPGCDIEKLPFKPRDSGRSWGEFNLGLCVIEVTVAWSLFLLVFQARIFLLTD* >Brasy3G238700.1.p pacid=40040502 transcript=Brasy3G238700.1 locus=Brasy3G238700 ID=Brasy3G238700.1.v1.1 annot-version=v1.1 MEPNRPPNFTSMLNSAIPSPDPENQNSLYQQHIQAAAMNFLSSSQYPSNMHPHHPSLNPFGTHQGIHQLHAYTPGNMQAPYQTNSRPPTNLTYGGVQPGHSSSPVESGAFFGEFVQLQRHEKMVESLCKELD* >Brasy3G043800.1.p pacid=40040503 transcript=Brasy3G043800.1 locus=Brasy3G043800 ID=Brasy3G043800.1.v1.1 annot-version=v1.1 MASSSYFLLAALFALVSWQAIASDPSPLQDFCVADNNSRVFVNGVVCKDPKDVTADDFFKAAELDKPRMTNKVGSNVTLINVMQLAGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPVGLIHFQFNPNPHVPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHY* >Brasy3G029000.1.p pacid=40040504 transcript=Brasy3G029000.1 locus=Brasy3G029000 ID=Brasy3G029000.1.v1.1 annot-version=v1.1 MAPAVAGGSSRGAGCKCGFQVCVCSGSAAVASAASSLEVERAMAVAPVVEGQAAPVDGESWVGVELGPDGEQTDDSGAAVDDRPVFKTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHKNPDTMWLWVTSICGEFWFGFSWLLDQLPKLNPINRIPDLAVLRQRFDRADGTSTLPGLDIFVTTADPIKEPILSTANSVLSILAADYPVDRNTCYISDDSGMLMTYEAMAESAKFAGLWVPFCRKHGIEPRGPESYFELKSHPYMGRAHDEFVNDRRRVRKEYDEFKARINSLETDIQLRNDQHNAAVPQNGEGIPRPTWMADGAQWQGTWVEPSANHRKGDHAGIVLVLIDHPSHDRLPGPPASADNAMDFSGVDIRLPMLVYVSREKRPGHNHQKKAGAMNALTRASALLSNAPFILNLDCDHYINNSQALRAGICFMVGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRITVYGFDPPRINVGGPCFPALGGLFAKTKYEKPSMEMTMARASQAVVPAMAKGKHGFLPLPKKTYGKSDKFVDTIPRASHPSPYAAEGIRVVDSGPETLAEAVKVTGSAFEQKTGWGSELGWVYDTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSKNNPLFGSTYLHPLQRVAYINITTYPFTAIFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLGIVLATLLIIAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLTKVIFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTPLMITPIIIIFVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGGGGKHSVGHGMHHGKKFDVYYIWP* >Brasy3G053900.1.p pacid=40040505 transcript=Brasy3G053900.1 locus=Brasy3G053900 ID=Brasy3G053900.1.v1.1 annot-version=v1.1 MEAGLLDKSLNPNKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSSGDNIMRRSVKKNDDSLRDHKDWVYYFSVLAIDYLTVVCPILLIFTVLAEWAYICAISLVTVIFIYIMFKSFRSQSHLKARQHNLPSLREDISSYRVSVVLMTCLSILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMGWKAALSSISPLVFLGFARLISTSSVDYQVHVGEYGVHWNFFFTLAAISILTSIIRIHPKYCGIVGMLVLAGYQVWLNVGLNEYLISNERSADIISQNKEGVYSIFGYWGMYLIGVSLGYYLFVDISSKGKSRSTQVVKVWVLTASFWILMIILDSYVERVSRRMCNSAYVMLVFGQNFQVISILTLAGSVSFDKNLVLEDAFNQNMLGSFLLANILTGLVNLSVDTLYASPLAAFTILSVYTFTLCMVVGLAQFCGVRMKFW* >Brasy3G053900.2.p pacid=40040506 transcript=Brasy3G053900.2 locus=Brasy3G053900 ID=Brasy3G053900.2.v1.1 annot-version=v1.1 MEAGLLDKSLNPNKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSSGDNIMRRSVKKNDDSLRDHKDWVYYFSVLAIDYLTVVCPILLIFTVLAEWAYICAISLVTVIFIYIMFKRSQSHLKARQHNLPSLREDISSYRVSVVLMTCLSILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMGWKAALSSISPLVFLGFARLISTSSVDYQVHVGEYGVHWNFFFTLAAISILTSIIRIHPKYCGIVGMLVLAGYQVWLNVGLNEYLISNERSADIISQNKEGVYSIFGYWGMYLIGVSLGYYLFVDISSKGKSRSTQVVKVWVLTASFWILMIILDSYVERVSRRMCNSAYVMLVFGQNFQVISILTLAGSVSFDKNLVLEDAFNQNMLGSFLLANILTGLVNLSVDTLYASPLAAFTILSVYTFTLCMVVGLAQFCGVRMKFW* >Brasy3G049800.1.p pacid=40040507 transcript=Brasy3G049800.1 locus=Brasy3G049800 ID=Brasy3G049800.1.v1.1 annot-version=v1.1 MQHSSMAPLDSALFVCGKETEPAGFWASVLSFSVFRPLGSKGQEQLSERHIRPARSKDRGGSRSQGFMSCLGRAAVPVKRVRLGLSGRLGLRRTTGRAGLGELRKEVRTCEYRDVHVMWEMLGGVDEAPPLPWLGDKSKSTARRRKRRKAAPAAAAWSRLVSYCCACAR* >Brasy3G145100.1.p pacid=40040508 transcript=Brasy3G145100.1 locus=Brasy3G145100 ID=Brasy3G145100.1.v1.1 annot-version=v1.1 MELAGRWRKLMPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVIMAPFALWFERKTRPKMTLSLFYKILALGLLEPVLDQNFFYMGAKNTSATFSSALTNVLPAVTFVNAILLRMERISINERRSQAKIAGTMITVAGAVVMILFSGPVVNFPWSRHGSSHGGAVGDGASHSSGRMLMGIFMILFSCFCWSLFFILQSYTLRSYPSELSLTTLICAMGVAQSGALALVMERDIKAWAIGFDMRLFTAVYSGIMCSGVAYYVQGIVIQERGPVFVTAFSPLCMIIVTVLGSFILSEVVTLGRLIGAIIIVVGLYALIWGKSNDHVVQVVREDSFDKHKVFELPFTATNITKASNLEQI* >Brasy3G319200.1.p pacid=40040509 transcript=Brasy3G319200.1 locus=Brasy3G319200 ID=Brasy3G319200.1.v1.1 annot-version=v1.1 MSRRAATLLVLFALVASASAAAGDQGADDGAATAAGLNATGRLDRRTKMFLRTTGRTVSSGGAAWAKEEGDAELGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSAFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVIGGSMLASRISQGTVATIGGLLFLGFSVSSYFYPPM* >Brasy3G319200.2.p pacid=40040510 transcript=Brasy3G319200.2 locus=Brasy3G319200 ID=Brasy3G319200.2.v1.1 annot-version=v1.1 MFLRTTGRTVSSGGAAWAKEEGDAELGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSAFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVIGGSMLASRISQGTVATIGGLLFLGFSVSSYFYPPM* >Brasy3G269800.1.p pacid=40040511 transcript=Brasy3G269800.1 locus=Brasy3G269800 ID=Brasy3G269800.1.v1.1 annot-version=v1.1 MDATPTLLLAVLLLLLAGECSTAHVANGGEEEDEFSVEFIHRDCVKSPFHDPTLTPEARLRLAARRSMARHAARINSSAVASGSGSDADVVSPMVPRDFEYLMALDVGTPPVRMLALADTGSDLVWLKCKPTTAPATNGAALYFDPSASSSYARLPCDAFACKALGDAASCRATGSGKNFCVYRYEYADGSRTAGPVAVDAFTFSTITSSGRRSSSRQAYLDFGCSTSTEGSFPDDGLVGLANGPISLVSQLSAKTPFGHKFSYCLVPYSSSATVSSSLNFGSRALVDVSKPGAATTPLVADRNKSFYTIALDSIKVAGKPVASGQTSTKLIVDSGTMLTYLPKAVLDPLVAELVAAIKLPRVRSPETLYDVCYDVSGAPEDVGKSIPDVTLVLGGGGEVRLPWGNTFVVVNKGTVCLALVESHLPEFILGNVAQQNLHVGLI* >Brasy3G129000.1.p pacid=40040512 transcript=Brasy3G129000.1 locus=Brasy3G129000 ID=Brasy3G129000.1.v1.1 annot-version=v1.1 MAPKRPAAAAAASGSASDASDAEGDRRHHPSDTDPSKTPPPNPNPKSSAAPSPNPASASVAADSAAAGSDSGGAYDSDAEARRRPAPRAASPKLSGGGKPKPRSPGINSDSEDSALPAASDADLDPAAGVDSDDGNASPLRPARHSRSEAAIIKPISSRPMDPPRRGGAPASEPRVKRPRSAAVVAPTPEQMKRPSRLWSPGDELVILRGLATYRAKSGVLPGSTNDIGKLHRHIRGQLSVKVSTTQLSDKVRRLKQKYQVLVTRAKNGRDQDFPTPHDRSIYEHAKKVWGARIGDGGGAGSENAGAGDSEEVQDSGDSDQDMESVRDDRDRSKNRKLRPVTMANGNGIGLGAVNANGKGKSEFEKGKDAYPYLWETVEELSREHPSGAAFKKAFEVLEGSKAHVMEEKLRKFRLTEIRQQLRRMDLMKETVKMVLDTLESTD* >Brasy3G041400.1.p pacid=40040513 transcript=Brasy3G041400.1 locus=Brasy3G041400 ID=Brasy3G041400.1.v1.1 annot-version=v1.1 MAGHERMTMYMPGSGHDAVAAAAAVLRKKMEEKEAAELQEAIELQNRHFMGMQLLHSNNNDSNRLSSGLAMGASAAGAMAAGWKQEQQYEEKEQGNNGNGSPNQLTVNSGARQAVAPNVVWEDSDLELNLPENPFYSPTKASIAAAEPSTPAASLKCPGSSLGMDQLD* >Brasy3G128700.1.p pacid=40040514 transcript=Brasy3G128700.1 locus=Brasy3G128700 ID=Brasy3G128700.1.v1.1 annot-version=v1.1 MSMGIGTPPRYYSAILDTGSDLIWTQCAPCMLCVDQPTPFFDPAQSPSYAKLPCNSPMCNALYYPLCYRNVCVYQYFYGDSANTAGVLSNETFTFGTSDGARVTVPRIAFGCGNLNAGSLFNGSGMVGFGRGPLSLVSQLGSPRFSYCLTSFMSPVPSRLYFGAYATLNGTSASTGEPVQSTPFIVNPGLPTMYYLNMTGISVGGELLPIDPSAFAINDADGTGGVIIDSGSTITYLARAAYDMVHRAFADQVGLPLTNATSLADVLDTCFVWPPPPRKIVTMPELAFHFEGADMELPLENYMLIDGNTGNLCLAIAASDDGSIIGSFQHQNFHVLYDNENSLLSFTPATCNVM* >Brasy3G315000.1.p pacid=40040515 transcript=Brasy3G315000.1 locus=Brasy3G315000 ID=Brasy3G315000.1.v1.1 annot-version=v1.1 MVDSCFVEFKLDRQSYSEKLASGSNGIMDLVTMAGEDAFIVNWYPPQCSQYGKKSEYVRLGLLLLSKSKRTNPVFEAFVLGKDGAPSPSNANRATGAVFPGWTDEQWSFLYSWDWDHFLKPSDLESLWAANGGVVTIICGVVVRRDNPITVPASGILGHLRGMMNCADGSDVSFSVAGETFHAHRAVLAARSPVFKAELFGAMAEARMPCITVHDVEPATFKALLCFIYTDSLPTGSGDLVGNPVDVFQHLLAAADRYALDRLKLLCAQKLWDNISADTVADVLACAETYNCRELKDSCMDFFVAEKNFKQAMFFFFFCGNKQAMLTEDFLRLGQKFPSIIAELRKRAGI* >Brasy3G128800.1.p pacid=40040516 transcript=Brasy3G128800.1 locus=Brasy3G128800 ID=Brasy3G128800.1.v1.1 annot-version=v1.1 MSPVNSSIAAGQGRGRGSRSTSPRGRRARAGQPSTAAKSGRRTRINVVPSPATSGPTSRRPARNSLIAEEIQGCWLAARHAKLEPESSRALHFRCARPLSNNSSSDEEEERGCSGRCQGC* >Brasy3G031600.1.p pacid=40040517 transcript=Brasy3G031600.1 locus=Brasy3G031600 ID=Brasy3G031600.1.v1.1 annot-version=v1.1 MSSVSYSHRLSPSLSTAHLLPFLRPRPSPPAAARLHVLPLLRPPPVSISSLSCGRRPSPLSPPVAAHPSQPPAISIPLSRLSSPSPPAAAHLFPAPAISFLFSAHLLQQEPPPIPHSPSPSRSPPPISPPCTQQ* >Brasy3G028400.1.p pacid=40040518 transcript=Brasy3G028400.1 locus=Brasy3G028400 ID=Brasy3G028400.1.v1.1 annot-version=v1.1 MDAQGQRCGARALEEDEEDESLITEILTITPAKRVDTSVACLISWAGDGDDRGDYYEPDKVRIGPFHRQDPSQQSADDWMEQEKKRALRGLLTTGNEEDEAGRREELRRYLRAMERIEPDARRCYNRTFSWMSSKEFARMLLLDGCFLYSRFAVDSGGGDDIAVDRDVVFLLENQIPFFVLEEIHRLITAAKDVSLVLDRVATRVEQVLRRNEYTAVTLDRSSCTPPCHLLHLLYMCFRPPAAAAAAPDSAGPPKQMILEHDIRVPVPQVRWRTATQYAAAGVRFVKRELGNGNSILDVELSPRGGGTLHVPRLTIDANTFRLLRNMVALEQKGLQGTSRASHVTAYCLFLSQLAGTEEDVALLVSKGIIVHLLRGDGDVAEELAGLCDGVVIDAYDPDTNYLMPKYEALEKLIFRRPGGGRRLIMSVPPWLRRQV* >Brasy3G146300.1.p pacid=40040519 transcript=Brasy3G146300.1 locus=Brasy3G146300 ID=Brasy3G146300.1.v1.1 annot-version=v1.1 MAAKTGVRSQEQFWSTLSRALSELCIIILLHVAAAASYAATGLARISKLRAPCTLCSRLDHALHGRPWFSADLVCAAHRSEISSLAYCRSHCNLAHSDDLCKRCLAACTTSGFTDEVNNSQSGSRSRRSCSCCSEPFKKSRNAQKLSVSANVVQSSQTVHGSSESKQRSKVAVVDENNLAMPTKAVPEQDHSKEKTFVVGIEEVSESDGASANTGTSKPTSSGSAIPSRIFVDRNSSIKNTFISRVNLPSPRPSEIISARDNNSTTQQEVKALLTQMSSVRGIDYSWSEGATSPDTSAFIDENNGTIRRPSLERNYSVLEPSDANIGEAEGEISLESLKRQLELNKKSMSALYKELDEERSASAIAASQAMAMINRLHQEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDSELDNCRRLLQHEPFNGGKFDDANTIDNTSGYDTGMSFDVLNGSDFVAGSDFVAGSDFVASAMSGFEDEKAYILEALGGLEEKLRISTDRLASDDANKNQGDRVFGDHTEDESTSLEQLAEENRKDECSCSPFDSDKVNDVTSFKDEILLLDTRVKALEDDHEFLKRVLSSLKGSTDGLQCVREITSHLQELRRVAVQ* >Brasy3G137000.1.p pacid=40040520 transcript=Brasy3G137000.1 locus=Brasy3G137000 ID=Brasy3G137000.1.v1.1 annot-version=v1.1 MPNTRTNRIGQSGDSRLLRASVTREAAATRRSSPPPVNTSSPPAPPPRLARSPGSPSCLVASSVAPCPAGAPPPDSATPSPTFNRYARELSTSYQKKDMSTSAPGRSSGFCHITGRCVGFVSRALFSDESFFCYRACFWVVINASLRER* >Brasy3G256100.1.p pacid=40040521 transcript=Brasy3G256100.1 locus=Brasy3G256100 ID=Brasy3G256100.1.v1.1 annot-version=v1.1 MATAAAPDLSLNISPPSPADMAGTSSGCEDMAISAETRLCLGFDMETPAQRDNGHCDLQQQRLHQPSQIPRFKKSSGDSQASSSKERSGSGGGGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTATDRSCAAGHVQMRDMGFLRRGREVDGFDVFNNITSNTRRQPWTSPPAEQQGSAWCQPPLAQAQAQQQRSAGLPLPSPYLMSIHHYLIKQNQGWRGSAVQRDAALLPCQGQEERGLQGRLPAGAGHDETARIRSSAAGFPKGGWSSSISSSWPTSTPAALQMNGRIGSAAEQACIRQQQQAPSRVPSLEMSLGRQGWQNGMDQQGLQGQRSVESTSASKELTLLKCL* >Brasy3G256100.4.p pacid=40040522 transcript=Brasy3G256100.4 locus=Brasy3G256100 ID=Brasy3G256100.4.v1.1 annot-version=v1.1 MATAAAPDLSLNISPPSPADMAGTSSGCEDMAISAETRLCLGFDMETPAQRDNGHCDLQQQRLHQPSQIPRFKKSSGDSQASSSKERSGSGGGGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTATDRSCAAGHVQMRDMGFLRRGREVDGFDVFNNITSNTRQPWTSPPAEQQGSAWCQPPLAQAQAQQQRSAGLPLPSPYLMSIHHYLIKQNQGWRGSAVQRDAALLPCQGQEERGLQGRLPAGAGHDETARIRSSAAGFPKGGWSSSISSSWPTSTPAALQMNGRIGSAAEQACIRQQQQAPSRVPSLEMSLGRQGWQNGMDQQGLQGQRSVESTSASKELTLLKCL* >Brasy3G256100.3.p pacid=40040523 transcript=Brasy3G256100.3 locus=Brasy3G256100 ID=Brasy3G256100.3.v1.1 annot-version=v1.1 MATAAAPDLSLNISPPSPADMAGTSSGCEDMAISAETRLCLGFDMETPAQRDNGHCDLQQQRLHQPSQIPRFKKSSGDSQASSSKERSGSGGGGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTATDRSCAAGHVQMRDMGFLRRGREVDGFDVFNNITSNTRRQPWTSPPAEQQGSAWCQPPLAQAQAQQQRSAGLPLPSPYLMSIHHYLIKQNQSFYCTSAYTDVLYRAIESERRLNYGSNICSVGDKQDLFNGAGTGSTDGGEVQYSEMQHYSLAKGRRREDYKDVCPRVQAMMRRPGLDRRPQVFQREDGRHRYRLLGRPLPQQHCK* >Brasy3G256100.2.p pacid=40040524 transcript=Brasy3G256100.2 locus=Brasy3G256100 ID=Brasy3G256100.2.v1.1 annot-version=v1.1 MATAAAPDLSLNISPPSPADMAGTSSGCEDMAISAETRLCLGFDMETPAQRDNGHCDLQQQRLHQPSQIPRFKKSSGDSQASSSKERSGSGGGGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTATDRSCAAGHVQMRDMGFLRRGREVDGFDVFNNITSNTRQPWTSPPAEQQGSAWCQPPLAQAQAQQQRSAGLPLPSPYLMSIHHYLIKQNQSFYCTSAYTDVLYRAIESERRLNYGSNICSVGDKQDLFNGAGTGSTDGGEVQYSEMQHYSLAKGRRREDYKDVCPRVQAMMRRPGLDRRPQVFQREDGRHRYRLLGRPLPQQHCK* >Brasy3G196700.1.p pacid=40040525 transcript=Brasy3G196700.1 locus=Brasy3G196700 ID=Brasy3G196700.1.v1.1 annot-version=v1.1 MRRPRYLPPDARNSAGRIGDPETNDSNGIRPARAKGASMDSQSSPMGLYTNLLSEGYLEEAWGQNLSSQFGAQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMNPIVGTEQKNATYLNRIHEEYELHKPEGSDRGVNSLSHRWSVLKEQVGRFCGCYEQIMHRHESGKTEQDKIVNALKLFKSQDKTHKGFVLLHCWNMPRFEQKWLGQANRPSQSNKKKKSSSNATPSMSTHETNTVHIDEFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMVRETARQARENTREASKKERYEGALAMEQKKIEIEERKMEMEIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy3G196700.2.p pacid=40040526 transcript=Brasy3G196700.2 locus=Brasy3G196700 ID=Brasy3G196700.2.v1.1 annot-version=v1.1 MDSQSSPMGLYTNLLSEGYLEEAWGQNLSSQFGAQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMNPIVGTEQKNATYLNRIHEEYELHKPEGSDRGVNSLSHRWSVLKEQVGRFCGCYEQIMHRHESGKTEQDKIVNALKLFKSQDKTHKGFVLLHCWNMPRFEQKWLGQANRPSQSNKKKKSSSNATPSMSTHETNTVHIDEFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMVRETARQARENTREASKKERYEGALAMEQKKIEIEERKMEMEIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy3G154600.1.p pacid=40040527 transcript=Brasy3G154600.1 locus=Brasy3G154600 ID=Brasy3G154600.1.v1.1 annot-version=v1.1 MTNNGDPAAQAAAQAQQQQAAQLRQQQIEQQAIAAAQAQVQALAVAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTQGTLQIK* >Brasy3G045100.1.p pacid=40040528 transcript=Brasy3G045100.1 locus=Brasy3G045100 ID=Brasy3G045100.1.v1.1 annot-version=v1.1 MGMKIFSPIPFPSQPHLSSSPPPCTSRPQHRPASPPQLSSTVARWSSSGGGGARWSSSGRARGSGGGGGARWSSSGRARGSGGGGGARWSKRGRIRRLRPSSPPDPASLGLAATGSGLPRPSNGRSTGGGAWSTHPPGSGYRRSISSLSPCRRRRRGLRGGAGPCLARLLLAVTAGSLKPSPSDLSPRAVSSPLLSPSLHLSLPPFP* >Brasy3G332600.1.p pacid=40040529 transcript=Brasy3G332600.1 locus=Brasy3G332600 ID=Brasy3G332600.1.v1.1 annot-version=v1.1 MAPTASEVCAGWLLYASLAAKCDGEGYRAAVFAMALLVASFVVTSLLHWASTPGGPAWGKHRWTSKAAISARRIPGPRGLPVVGSMGLMTGLAHRKLAAAVTGAGEERSQRRRLMAFSMGETRAVVSSDPAVARELLSSQAFADRPVKESAYGLLFHRAIGFAPHGAYWRSLRRVASAHLFSPRQVAASAAHRAAIAGNMVSSISALVVSGSGEVEARRFLKRAALHGVMWSVFGRRYDGAAAPELGKEEEELRSMVEEGYELLGKLNWADHLPWLARFDLQGIRARCAALVPRVNRFVGKIIDDHRAAAGDRVVDFTDVLLSLQGADKLSDADMIAVLWEMVFRGTDTMAVVMEWVLARLVMHQDVQARVHGELDRVVGPGQAVCESDAARLVYLQAVIKETMRMHPPGPLLSWARLATSDVHVGGLLVPAGTTAMVNMWAITHDPAVWVDPLEFNPDRFIGGAVPLLDSRHNAVPDAEFSIMGSDLRLAPFGSGRRICPGKPLAMASIGFWVATLLHEFKWTSAPHGGVDLSEVLRLSCEMAAPLKARLTPRGAA* >Brasy3G040100.1.p pacid=40040530 transcript=Brasy3G040100.1 locus=Brasy3G040100 ID=Brasy3G040100.1.v1.1 annot-version=v1.1 MDAGQLFSVDPLERQAARGHGAVTSMAAGSDVIVLGTSRGWLVRHDFSFEDAQDLDLGGGRSGEHAVHRVFLDPGGKHCVVTVIHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKKEKYVKLLFELTELREGIKDLQMETATAGIATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGMKPRSFALSEFHFLMLIGDKIKVVNRISQQIVEELVVDNTPESSKGIIGLCSDASTGVFYAYDDNSIFQVSTSDEGRDMWQVYLDMKAYPVALSHCRNSFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLMKDDKMQITMISTWATELYLDKINRLLLEDGTGTMTNVVADSPNSEYRSVVNEFRAFLSDSKEVLDEATTMVLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRHNVPVDLVYKFAPDLIMLDAYETVESWMMARSKLSPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNSEDPGVHNLLLSLYSKKADESQLLQFLDTKFGNGQANGPEFFYEPQYALRLCLQEKRMRACVRIYSMMSMHEEAVALALRVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHANCLIGHVTRCTSQAQAEKILNLQKQLSLMDRKAAKDNGGTANGESAMSTTPVDKLRSQLDDAVASECPFCGDPMIKEISMPFIRPEESIEKASWEIKPQPTAQKILPMTMSI* >Brasy3G040100.2.p pacid=40040531 transcript=Brasy3G040100.2 locus=Brasy3G040100 ID=Brasy3G040100.2.v1.1 annot-version=v1.1 MDAGQLFSVDPLERQAARGHGAVTSMAAGSDVIVLGTSRGWLVRHDFSFEDAQDLDLGGGRSGEHAVHRVFLDPGGKHCVVTVIHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKKEKYVKLLFELTELREGIKDLQMETATAGIATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGMKPRSFALSEFHFLMLIGDKIKVVNRISQQIVEELVVDNTPESSKGIIGLCSDASTGVFYAYDDNSIFQVSTSDEGRDMWQVYLDMKAYPVALSHCRNSFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLMKDDKMQITMISTWATELYLDKINRLLLEDGTGTMTNVVADSPNSEYRSVVNEFRAFLSDSKEVLDEATTMVLLESYGRVDELVYFAGLKEQYEIVVHHYIQYKFAPDLIMLDAYETVESWMMARSKLSPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNSEDPGVHNLLLSLYSKKADESQLLQFLDTKFGNGQANGPEFFYEPQYALRLCLQEKRMRACVRIYSMMSMHEEAVALALRVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHANCLIGHVTRCTSQAQAEKILNLQKQLSLMDRKAAKDNGGTANGESAMSTTPVDKLRSQLDDAVASECPFCGDPMIKEISMPFIRPEESIEKASWEIKPQPTAQKILPMTMSI* >Brasy3G043400.1.p pacid=40040532 transcript=Brasy3G043400.1 locus=Brasy3G043400 ID=Brasy3G043400.1.v1.1 annot-version=v1.1 MASSSSFLLLAALLALVSCQAFASDPSPLQDFCVVDKNSPVLVNGFVCKNPKDVNADDFFKAAELNKPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPAGLIHFQFNPNPHVPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY* >Brasy3G190900.1.p pacid=40040533 transcript=Brasy3G190900.1 locus=Brasy3G190900 ID=Brasy3G190900.1.v1.1 annot-version=v1.1 MRLRVEPAPVIRVGQQPQGVKMNDVPKHGTVVGLAIRSMQFVFAVITFVVMASTDFTDVPAFSFLVVAAGLLCLWSFSLIILYVYTLSVRRRLHQRLDILFTVGDGITGVLLLLAACASGAVSYFISTVSIPCTYCGSYASSTVFAFLSVFVTFLSFFLNLSSWASRSRAA* >Brasy3G042700.1.p pacid=40040534 transcript=Brasy3G042700.1 locus=Brasy3G042700 ID=Brasy3G042700.1.v1.1 annot-version=v1.1 MERRRAAAVIPGAGGDRRRASPSTDPRGVRRRWRPSASAGGEAFLGAGDARAAEWERRRRRPASRSGLRSCPESEDIWIKYLRKELTCVNKLKA* >Brasy3G103400.1.p pacid=40040535 transcript=Brasy3G103400.1 locus=Brasy3G103400 ID=Brasy3G103400.1.v1.1 annot-version=v1.1 MLDNMPPLPLDVLDNIFARLPFEQLVLTSRLSRDWRRRWESFRDLDIWFSPGISPKPDAVALERCAAPVRSFTARVGTPHYQRIAGWLHALARKRLEKLILRFDGQLQRAVLGPGLFSCRALARLELHGFCDMPRAPPQGFGGFPNLVTLVLIDVVLHFVGGGAQLERLISTAPQLRVLTLDNVMLTLDNVEETWTIRAPNLRVLKFITDIDNGCRFTEDLPLLEEAAICIDDPVEFGAQDLIETLRRIASVRRLLFDTDMVQLSENVLEGIPFPNLRVANITVNFGNLLSIMSVFSLMRSAPHIECLILRQKI* >Brasy3G089100.1.p pacid=40040536 transcript=Brasy3G089100.1 locus=Brasy3G089100 ID=Brasy3G089100.1.v1.1 annot-version=v1.1 MGDEPMVSYLTGSMNTLIGKLTKLKNRPIMVEEMQEDLVLLKEGFFDKLALNGRRAETDAQVRAWMKQVRELVFDIEDWVDEKPEITMADDPSWREEIDFFKTQIQEARERCARHHLLVSQEPTGLDHPDAVLSATQDHFSKLIQDLHHDLESLKKDLVELAGQREQFWQFRPCELQAWELVINVVEWIDHDKTVNDMGRKATQHFKTEIQRARERCKRYLETPYDMLPAAPVPLIDPNSQHLNKETGDIDRRPISKLVEHLADEQETFLKVVSIVGKDGLGKTTLAREAYAKLGCKFECRAFVTIGQRPSLKAILVDIFHQVKPQDTIPEDWTGSPDVQQVITKLRKHLGTVRYFILIDDIRSTYAWKVISCALPDKNHGSRVLTTTCNVEVANTCSMRAIDVVEEMLALEEGESRRLFDKEVKMPVGNEILKMCGGMPLAIIIAAGLLSKSPGLAEPEILQKYILPVSEQYPMSEEMRNILHISYTDLPAPVKSCFLYLSIFPEYYTFKKDRLIRLWVAEGFIAMRDDERRGEEIADEAGRYEESLWGRGESYFNELIGRRLIQPVFGYEDDQAVGCTVHGVILDFIRSMSSKENFVTMGAHLGSGPLPCITDTIRRFSLDCRDNEDEANTLASRTLFLSSMRSLAVFGDAEWTPLPTDFEDSEVLGDDTQVISVLPSFTFLRVLDLEDAGNLSSHHLEGIGGLTLLRYMRLGGTGIHELPEEIGKLEHLETLDVRGANLMTLPATIVGLKRLVSLLIDGAVELPCDILVMQGLEEVSTIGVSSSRLLDSVLGLLRRMQRLRVLGLSLARLGQSGDHSTRVMILSFLMEVARANIVSLSLHCLHAALHGQLPTLYQNHLRRFELTVSGPILGRDTASIPWMGPTHLEIEICDLKQETLRLLGMSSHLVRLKLVSSGNIASMMGRRERTAGWLSGGAFPCLEVLWFTCKDGGMQLLFEPGVMPRLQRLRLDFKAREMLTLCRSYGLGIKYLPGLLLVHATIGCQGATVSDVDDVEAAIRSEAAMEARRMATKAQGFSCHNWVPTVEFSREHEHKMLQAESNRKRIGPLKKMKNLFTSSVPRKNNNS* >Brasy3G138200.1.p pacid=40040537 transcript=Brasy3G138200.1 locus=Brasy3G138200 ID=Brasy3G138200.1.v1.1 annot-version=v1.1 MLILFWHATVVSPHLRDLSLGTRSSIRGQQGDPRRHAWEAGSRGEAASQDYFLERYDSVVWVRGLQISTVHVFYVIFLADQRSSQRARATCRNCLRGGSDSGNRCVLFVLVHISISHNVLLDSYNL* >Brasy3G328600.1.p pacid=40040538 transcript=Brasy3G328600.1 locus=Brasy3G328600 ID=Brasy3G328600.1.v1.1 annot-version=v1.1 MRRWFCCAHVDTHGDGFVNSPEKANGNGFTPDGDHGKAPLPIEVPELSFAELKEKTDDFGSKALVGEGSYGRVYYAVLENGKHVAVKKLDTSADPEPDKEFIAQVSVISRLKHDNFVDMLGYCVEGDQRLLAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRIKIVVDAAKGLEYLHEKVQPSVVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVTQCVDPRLNGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLIVNKPQQQQPTAPDA* >Brasy3G247400.1.p pacid=40040539 transcript=Brasy3G247400.1 locus=Brasy3G247400 ID=Brasy3G247400.1.v1.1 annot-version=v1.1 MTAAAAAARITPAILPRPRRRVSATRASASGTTGRGRRLRCEFVASVGNGALSGEDDPRLIDRQKALDAAMNDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRHIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCILDCAELMEVIAKKGSWYSYKDIRLGQGREKALQYLRESPTICDEIEKVVRAMIPEGTRHMTLLAFGQSSSPTEEEEVYDE* >Brasy3G105200.1.p pacid=40040540 transcript=Brasy3G105200.1 locus=Brasy3G105200 ID=Brasy3G105200.1.v1.1 annot-version=v1.1 MASRAILLCVSLVLVFVAGSDAKDFIVAGVEGWKVPAQPDAMNKWASANRFHAGDNLVFKFNGAADSVLEVTLDDYNRCSTASPIAAHKTGDATVNLPRSGPFYFISGTPGSCQKGERLIVVVMSDKHGRRRGSAPAPSALPAGLAEVPPAAAPAPAPATGAAGRTSGSGALLLGAALIGGVLVGF* >Brasy3G066800.1.p pacid=40040541 transcript=Brasy3G066800.1 locus=Brasy3G066800 ID=Brasy3G066800.1.v1.1 annot-version=v1.1 MFGAMNTTNHGVEETKIPIQESTRVTTERLEADFSRIGTKIHRFPQGLRWIGGQGDRYIVPSIVALGPYHHGLAHLQKMEEVKLVATHYFCAQSGHSVEEVYGKILSIAGEARGCYDDGDAAVTRFSEAEFATMMFLDGCFLLWYISRSEEPALLYNRMTLSTGPCMRRDIFLLENQLPWLVLEALMTFTSVPIYRYILNASREFDAIPAPDLRLSEDKFLRYKPPHLLGLFRYYQIGAMLPQDHSYKFRYFALASSAIDLAEIGIKVTASKKRWFADMSIQKGCLTGELSLTPLFLNDHTACWLVNMAAFEACTSAVFPSDGYTISSYISLLAMLMDKEEDVHELRAKHLVRSFFSNHDLLDFFKGLACHMRLGFRYHVILEEIDGYKRNRCLRIAVHRFIYKNFKTIATLLSIAGVLVGIFKTLLDLKQR* >Brasy3G218400.1.p pacid=40040542 transcript=Brasy3G218400.1 locus=Brasy3G218400 ID=Brasy3G218400.1.v1.1 annot-version=v1.1 MIICLAGGRVLPVVCFGSRSGGDGGVVGGRQGRDVEVEVGTADGARGVGAEPGVDAGRVEGVAADGGEQPDGVSVGELGQAHRALRRRLATGGVEPQRGERRRDDGGVQPRGLRRRRRIGRAVAVVGGGGSEVEAAAAAEAEGEEAEEVAEDEDGEEAEEEHEEEEHREQHRERRALGLELALALLLLLGLGRRRRARPVEEEAARGHGLQQRARASAAAATIGTETEGGLLVHVRMGVGREGGWWVDRRTSASAFRGAVAFTGRRKGRRGGTTWTV* >Brasy3G190500.1.p pacid=40040543 transcript=Brasy3G190500.1 locus=Brasy3G190500 ID=Brasy3G190500.1.v1.1 annot-version=v1.1 MSCWGSFTELLASVGGALPASSESCLPGPQLSHQTSNDGVYVEEHRHVQDRDVQQDPVVLTTRFLPDEHTDCQKDHPMPFVQSDSDGIGGETSRCSLEECEEAQTDGYSSDSESGVSGSGDENRHNHFDEWNNCSAKEDNIGNVHADATADSPQADANWDSFIGADEASGNPAGDNTNGDNTGPRDHDNGNYADVRGNETPAPASYERRRRHPRGAVLPDFRPTRSMGAVESALRAASTRDTEHIFQPKEGMSFDSDAEAFEFYNLYSWEVGFGIRRCSSRTRPKSTYVTMVEFECSNGGYDPRCKSQSQRCRCKARMKLLRTEDHGFYIKSFEESHNHQLSECRGEKMQWKSHRTIDKPDLDSVLCRKSAPDLNAASASSLSQTHSSSFLSVCCAVCRVLPQVSDHESQTSVCPCIAVLWACLWSCQFIKKIIKLYRPGIARQGSGFSGIFMPPTCYCCIPLYSCVA* >Brasy3G190500.2.p pacid=40040544 transcript=Brasy3G190500.2 locus=Brasy3G190500 ID=Brasy3G190500.2.v1.1 annot-version=v1.1 MPFVQSDSDGIGGETSRCSLEECEEAQTDGYSSDSESGVSGSGDENRHNHFDEWNNCSAKEDNIGNVHADATADSPQADANWDSFIGADEASGNPAGDNTNGDNTGPRDHDNGNYADVRGNETPAPASYERRRRHPRGAVLPDFRPTRSMGAVESALRAASTRDTEHIFQPKEGMSFDSDAEAFEFYNLYSWEVGFGIRRCSSRTRPKSTYVTMVEFECSNGGYDPRCKSQSQRCRCKARMKLLRTEDHGFYIKSFEESHNHQLSECRGEKMQWKSHRTIDKPDLDSVLCRKSAPDLNAASASSLSQTHSSSFLSVCCAVCRVLPQVSDHESQTSVCPCIAVLWACLWSCQFIKKIIKLYRPGIARQGSGFSGIFMPPTCYCCIPLYSCVA* >Brasy3G288400.1.p pacid=40040545 transcript=Brasy3G288400.1 locus=Brasy3G288400 ID=Brasy3G288400.1.v1.1 annot-version=v1.1 MPLAPPAAAVRNPLLPSPALAPPRRGGLIRAHAVRAAPSQWAPGSWRTRPALQQPEYPDKAGLGEVLRTVESFPPIVFAGEARNLEERLADAALGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDVFDEKSRVPDPQRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHCEQGDRYMELAHRVDEALGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPENRPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDKILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRRRRAASWALDNQPGTIPSSMGL* >Brasy3G076500.1.p pacid=40040546 transcript=Brasy3G076500.1 locus=Brasy3G076500 ID=Brasy3G076500.1.v1.1 annot-version=v1.1 MLMSRRLNEASLFFFLLLFVFLGSGAAAAGPSKRQFSTVAITHTSNSTIVCALVLDKDDSGGGSGGAKLRCVSLPSGEVTTYPSADVPFNAIAAGKDFLCGLMAPTGGHAAMRWWSFSEEEAANRSRPVGRRLYWGASLRSMAAGGAHACGLSDDHDPTCWEWPSLSLPKGLDFSLIALGHDFLCGIVKNDSADLRCFSGGASFSPTSSSSSSFMALAAGRRHACAVNDKGELVCWGDGNPAVVGGELPKEMAAMALGHNTTCILGGDGRVRCWGVEVPPGLRTMAFVAVEAEGGAVCGVAAVNYAVVCWSNDGRFGKKGRVVFNETMPGSCAPRKSCQCDVVSGSGALCGTGGGNGAAGELAVCQPCKVALSRLVISDNGRTAVDSSSESEKKKKKIAKIVGISVSGAVVLAVAGMGVVFVFKRKRAPALEKKKKKKSVRLGESSSQGLCRDIESSMAVSPAPEKNKHPQTNNRPLGCEEFTLKDLSRLTNGFAEEAKIGAGSFGSVYRATLADGREVAIKRAERRPNSSATGGGERRRQRRRFDAERAFRSELRLLSRVNHRNLVSLLGFCEERGERILVFEFMPHGALHDHLHADPAGAGEDNHSASPLFTSWEARLRVALDAARGVEYLHCYAVPAIIHRDIKPSNILLDADWTAKVSDFGLSLVSSNAGGSTTTTTATTTASTSSASMATAGTVGYMDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRDRSSPEGSGSPRNVIEFAVPAVEAGEVEKILDGRVPPPRGHEVEAVARVAKIGAECVRPRGRGRPVMSEVVAELEWAVTLCEECVVRNSGGRNYSSSDMSRSRNGGGGDISRSRSRSESDEPSPYHTRELEFSFHSGPSASAAGAGVVHGRSHSTM* >Brasy3G243800.1.p pacid=40040547 transcript=Brasy3G243800.1 locus=Brasy3G243800 ID=Brasy3G243800.1.v1.1 annot-version=v1.1 MASLSVPPVLTSPRQDAAALHKAFKGFGCDSTTVINILAHRNATQRALIMQEYRAIYHQDLYHRLSTELTGNHKKAMLLWILDPAGRDATILNRALNSDIPDLRAATEMVCSRTPSQLQIMKQAYRARFGCYLEHDVTERAYGDHQRLLLAYLGVPRHEVDPSAVTHDARELYRAGEKRLGTDERAFIRVFSERSWPHLASVASAYQHMYARSLEKAVKSETSGNFGFGLLTVLRCAESPAKYFAKVLHKAMKGLGTSDTTLIRVVVTRAEIDMQYIKAEYHKKYKRSLADAIHSETSGNYRTFLLSLVGRDR* >Brasy3G060800.1.p pacid=40040548 transcript=Brasy3G060800.1 locus=Brasy3G060800 ID=Brasy3G060800.1.v1.1 annot-version=v1.1 MGAALSVLVGGNRRGRGAERAAPAARRGRSRRLPPGDGGQREQRRRAMLSKKYSYIPDTYTSLEQVAAALREQGLESSNLILGIDFTKSNEWTGKRSFDGQSLHKLVEGGTGNPYEKAIGIIGKTLAPFDDDNLIPCFGFGDATTHDYGVFSFHGDNSPCQGFEEVLSCYRKIVPHLRLSGPTSFAPIVEAAVDIVDRTGGQYHVLVIVADGQVTRSVDTDEGDLSPQEKRTVDAIVMASSYPLSIVLVGVGDGPWEDMRKFDDKLPARAFDNFQFVNFTAIMSRPATAQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVVPAPPPLPTLLRREASNAATSTTTAEPREDQVCPICLTNAKDLAFGCGHMCCRECGENLTRCPICREAIRSKLRLYPG* >Brasy3G060800.2.p pacid=40040549 transcript=Brasy3G060800.2 locus=Brasy3G060800 ID=Brasy3G060800.2.v1.1 annot-version=v1.1 MGAALSVLVGGNRRGRGAERAAPAARRGRSRRLPPGDGGQREQRRRAMLSKKYSYIPDTYTSLEQVAAALREQGLESSNLILGIDFTKSNEWTGKRSFDGQSLHKLVEGGTGNPYEKAIGIIGKTLAPFDDDNLIPCFGFGDATTHDYGVFSFHGDNSPCQGFEEVLSCYRKIVPHLRLSGPTSFAPIVEAAVDIVDRTGGQYHVLVIVADGQVTRSVDTDEGDLSPQEKRTVDAIVMASSYPLSIVLVGVGDGPWEDMRKFDDKLPARAFDNFQFVNFTAIMSRPATAQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVVPAPPPLPTLLRREASNAATSTTTAEPREDQCCRECGENLTRCPICREAIRSKLRLYPG* >Brasy3G192200.1.p pacid=40040550 transcript=Brasy3G192200.1 locus=Brasy3G192200 ID=Brasy3G192200.1.v1.1 annot-version=v1.1 MSASRSFPLRAVFRVWRELALPDDFEESVVAQHPHLFRLAPNPAEPKTHVLHLVADPGNEEFTPAVEKTRPEKYAFKLQFPPGFKPTKEYRKKVNEWQQLPYVGPYEVVDHKVGGSKRVSKMARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIDVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRDKLAEANDVSEARRKLVELMLLRRRGLGNANSNANMSSSVNATTEESDDDLPELEHNLFNWCLAHHWSTVRELCLRAINNMKDGCFFRQDKMCRCK* >Brasy3G261700.1.p pacid=40040551 transcript=Brasy3G261700.1 locus=Brasy3G261700 ID=Brasy3G261700.1.v1.1 annot-version=v1.1 MEFARRAAARADADDIDGIPIPPPYAKMTVEALRQELLQEDIRQQIIVAELAEWLKLEAEFERERGLHGDVGPRFPQIIMPHRDTSPLPHVTAASMPRRSVKDRIEEWYQPPWHRRAEEEDVSIDAQAGLHTKALSGLKRKRTAETLLWICSICNAKCHRETDVQSHLRGRRHQENIENQQREGRDIEAKLHEKKAPQLAEKLASRWNCNICNTNCSSKSDLECHLRGKRHQENIEKQQREGRDIEAKLHEKKSPQLADRNQKPVSRWKCSICNADCSSKSDLESHLRGRRHHMNVQAQRLECIT* >Brasy3G261700.2.p pacid=40040552 transcript=Brasy3G261700.2 locus=Brasy3G261700 ID=Brasy3G261700.2.v1.1 annot-version=v1.1 MEFARRAAARADADDIDGIPIPPPYAKMTVEALRQELLQEDIRQQIIVAELAEWLKLEAEFERERGLHGDVGPRFPQIIMPHRDTSPLPHVTAASMPRRSVKDRIEEWYQPPWHRRAEEEDVSIDAAGLHTKALSGLKRKRTAETLLWICSICNAKCHRETDVQSHLRGRRHQENIENQQREGRDIEAKLHEKKAPQLAEKLASRWNCNICNTNCSSKSDLECHLRGKRHQENIEKQQREGRDIEAKLHEKKSPQLADRNQKPVSRWKCSICNADCSSKSDLESHLRGRRHHMNVQAQRLECIT* >Brasy3G261700.3.p pacid=40040553 transcript=Brasy3G261700.3 locus=Brasy3G261700 ID=Brasy3G261700.3.v1.1 annot-version=v1.1 MTVEALRQELLQEDIRQQIIVAELAEWLKLEAEFERERGLHGDVGPRFPQIIMPHRDTSPLPHVTAASMPRRSVKDRIEEWYQPPWHRRAEEEDVSIDAQAGLHTKALSGLKRKRTAETLLWICSICNAKCHRETDVQSHLRGRRHQENIENQQREGRDIEAKLHEKKAPQLAEKLASRWNCNICNTNCSSKSDLECHLRGKRHQENIEKQQREGRDIEAKLHEKKSPQLADRNQKPVSRWKCSICNADCSSKSDLESHLRGRRHHMNVQAQRLECIT* >Brasy3G261700.4.p pacid=40040554 transcript=Brasy3G261700.4 locus=Brasy3G261700 ID=Brasy3G261700.4.v1.1 annot-version=v1.1 MTVEALRQELLQEDIRQQIIVAELAEWLKLEAEFERERGLHGDVGPRFPQIIMPHRDTSPLPHVTAASMPRRSVKDRIEEWYQPPWHRRAEEEDVSIDAAGLHTKALSGLKRKRTAETLLWICSICNAKCHRETDVQSHLRGRRHQENIENQQREGRDIEAKLHEKKAPQLAEKLASRWNCNICNTNCSSKSDLECHLRGKRHQENIEKQQREGRDIEAKLHEKKSPQLADRNQKPVSRWKCSICNADCSSKSDLESHLRGRRHHMNVQAQRLECIT* >Brasy3G305800.1.p pacid=40040555 transcript=Brasy3G305800.1 locus=Brasy3G305800 ID=Brasy3G305800.1.v1.1 annot-version=v1.1 MEQEHQHHQPPAAGDDVVVQLSASAVAAVDERSSTATGNEDDDDNAGKSRRTFSQSYKMAHRKPPEFTWFQALALAYQSLGIVYGDLGTSPLYVFSTVKLENPTTDDFLGLLSLILWTLVSIAFVKYTLIVLHADDHGEGGTFALYSLLRQHVNFKGNMPVPVTQLESDVNLKYHSKKSKLPSKMLEFLERSTAAQATITGFVLCATSMVMGDGALTPAISVLSAVQGIQSRSSNITQDHVVILSVIILVILFFFEKYGTSKVSFAFSPIMLLWFASVSVIGLYNIIKYYPPVLKAISPHYIITFFMRNKRAGWEQLGAVVLCITGAEAMFADLGHFNKSSIQMAFSAVVLPSMVLAYSGQAAFLIKNPSMLSTTFYSSTPEPIFWPMFIVATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSEKYEGQVYSPEINIFLAILSILVTVGFRGGPEIGQAFGTAVIWVMLFTTTLMTVVMVIIWQTDILVVCLFFAVFFSIEGIYMTSLLNKIIQGGWFPFAIAIFFLTITLSWTYGRKKKNEYEAANSMDRQEFIKKVTVRSRVPGICIFCTDLMNGIPPIVRHYVQHVVSIREVMVFVTVRILPVRSVLPEERFLVDKLDHVGVYSCILQYGYMDNHNIDDDNFIVLVVASLKEIAENDDEIALIDSAFTNETTFVLGRTILNMSAKHNCFKCFVINELYRFLQKNFRSNMSSLKIAHGKTLQVGMLYEI* >Brasy3G037900.1.p pacid=40040556 transcript=Brasy3G037900.1 locus=Brasy3G037900 ID=Brasy3G037900.1.v1.1 annot-version=v1.1 MGEASSDVGAGAAQRAPAAVRVLAVSRVAPPAHVADGGVKVKLSFMDSLWVMLPPIQRVFLYELRQEDEFPAVVERLKRALADTLAHYVPLAGKLEYEAETGDVWVNYSSSDADAGVAFVEAESDMDVRRLATDESHDVPAFQSLVPELDASALPAPVLCVQATRLGAGATGMAVGISVHHALADGKAVWRFMEAWASASRDGSPVTAALGPPHYSREDAIPHPEADELARGLLRAVAPNLPVVNSAKYDFSQRFLRARRTFFLDADDIKSLKQRIDDLAMSEAEAANNAPKPKPVSTFVALAALGWTAFVRSKGLGAGDDTYLAFLADLRARLDPPVADGYLGNCVRACLASCADAAELLGEGGILRAARCVQAAVKAMEAAPLAGTDKGAINAMMRLPFNRLANVAASPRFKAYEASDFGFGKPARVELVSMNHDGEMVLVGGRRDGEVQVSVSVDPAHMDAFKACVLGCSR* >Brasy3G076400.1.p pacid=40040557 transcript=Brasy3G076400.1 locus=Brasy3G076400 ID=Brasy3G076400.1.v1.1 annot-version=v1.1 MARVRPLILSGSGFEAACSFLDGNLVSRTFLVGYALSVADIVVWSNLTDYADVLDEVAAAYVGKRGIGKSPAPSLKEKVPGLKENTSGHEIDLPKAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYNGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKLQMSERMNGVESKCRNSTVEENLSLWNEMVSGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWIDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDQRVLLTLTNGPEEPLVRILPRHKKYEGAGKKATTFTDKIWLEYADVSVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGGLHLDGSVKMTKLKLTWLPDIEDLMSLSLVEFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQKSTSIVSGA* >Brasy3G076400.3.p pacid=40040558 transcript=Brasy3G076400.3 locus=Brasy3G076400 ID=Brasy3G076400.3.v1.1 annot-version=v1.1 MARVRPLILSGSGFEAACSFLDGNLVSRTFLVGYALSVADIVVWSNLTDYADVLDEVAAAYVGKRGIGKSPAPSLKEKVPGLKENTSGHEIDLPKAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYNGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKLQMSERMNGVESKCRNSTVEENLSLWNEMVSGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWIDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDQRVLLTLTNGPEEPLVRILPRHKKYEGAGKKATTFTDKIWLEYADVSVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGGLHLDGSVKMTKLKLTWLPDIEDLMSLSLVEFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQKSTSIVSGA* >Brasy3G076400.2.p pacid=40040559 transcript=Brasy3G076400.2 locus=Brasy3G076400 ID=Brasy3G076400.2.v1.1 annot-version=v1.1 MARVRPLILSGSGFEAACSFLDGNLVSRTFLVGYALSVADIVVWSNLTDVLDEVAAAYVGKRGIGKSPAPSLKEKVPGLKENTSGHEIDLPKAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYNGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKLQMSERMNGVESKCRNSTVEENLSLWNEMVSGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWIDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDQRVLLTLTNGPEEPLVRILPRHKKYEGAGKKATTFTDKIWLEYADVSVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGGLHLDGSVKMTKLKLTWLPDIEDLMSLSLVEFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQKSTSIVSGA* >Brasy3G076400.4.p pacid=40040560 transcript=Brasy3G076400.4 locus=Brasy3G076400 ID=Brasy3G076400.4.v1.1 annot-version=v1.1 MEMAESLIKQGKAYVDDTPKLQMSERMNGVESKCRNSTVEENLSLWNEMVSGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWIDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDQRVLLTLTNGPEEPLVRILPRHKKYEGAGKKATTFTDKIWLEYADVSVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGGLHLDGSVKMTKLKLTWLPDIEDLMSLSLVEFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQKSTSIVSGA* >Brasy3G076400.5.p pacid=40040561 transcript=Brasy3G076400.5 locus=Brasy3G076400 ID=Brasy3G076400.5.v1.1 annot-version=v1.1 MEMAESLIKQGKAYVDDTPKLQMSERMNGVESKCRNSTVEENLSLWNEMVSGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWIDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDQRVLLTLTNGPEEPLVRILPRHKKYEGAGKKATTFTDKIWLEYADVSVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGGLHLDGSVKMTKLKLTWLPDIEDLMSLSLVEFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQKSTSIVSGA* >Brasy3G233700.1.p pacid=40040562 transcript=Brasy3G233700.1 locus=Brasy3G233700 ID=Brasy3G233700.1.v1.1 annot-version=v1.1 MAATSPSPAGAPARNTMSTHSTELVKGTHQFSIARYSLQKRIGVGKFIRSGAFKVGGYSWAIRCYPAGNAKGDEDHVSLYLDLLSTAIEEITVKHMFEINGPAGNSSPSVQSCAWDNFTPQNQTWGYRKFVKIESVESKYLTNDCLTIRCTVEVQKDSQTGATRSHFITVPPSAICHDLARLLESKQGADVTFQIGQNEYDAHKAVVAMRSPVFSAQFFGSMADKPGTGRFIQDVKPEAFEAVLHFIYTDTLPAVQDGDFLLTACANYPGLRELPANCSKECHRELVCDWLAAADLYGLERMRLMCESLLSETINVETAAATLLLADRHHCPQLKAFCVDYIVSPGVLTAVLATKGYKELKANCPSVLGDVLEKLGCGS* >Brasy3G094700.1.p pacid=40040563 transcript=Brasy3G094700.1 locus=Brasy3G094700 ID=Brasy3G094700.1.v1.1 annot-version=v1.1 MVADFGCRAPAPAISGSTPMFMPLEAARGEEQGTAVDIWAAGCTSTIIESWFADPVDWMLLQLESSGGRACRRGSCCR* >Brasy3G053200.1.p pacid=40040564 transcript=Brasy3G053200.1 locus=Brasy3G053200 ID=Brasy3G053200.1.v1.1 annot-version=v1.1 MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKSPRRIFEGEALLRRMNRYGLLAEDQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDTEKHIDFSLTSPLGGGEPGRVKRKNQKKASGGGGGDGDEEEE* >Brasy3G217700.1.p pacid=40040565 transcript=Brasy3G217700.1 locus=Brasy3G217700 ID=Brasy3G217700.1.v1.1 annot-version=v1.1 MMPGSAPPPAAGSGMFVPTQTAGTVLCCMCGVSMQPNPANMCARCLRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELLQILLRRLKHPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLNGIVLEQTHPVEFTVHDRLCDSCARAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLIKHGQAALAIRVASAPGGLDFFFGSRSHAARLVDFLGTVAPIQTNTAKQLVSHDTKSFIYNYKYTFSVEICPICREDLIALSPKASRDLGGLGPLVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYMVLDIEQESPEITVDGSRYQLAYAQVARMSDFGKNDTVFTVRTHLGHLLSPGDLALGYDLYGANMNDDDMDKALLRQTLPEVILVKKSYEKRPRTRRWKLKRLPIEEDLGNKAKGEEDKRADEYNKFILDLERDPEMRFNINLYKDEDYRSEMASTIGDDVPTVPIEELIEDLRLGDDEEDEEEDEEEEDSAPAGMVE* >Brasy3G127700.1.p pacid=40040566 transcript=Brasy3G127700.1 locus=Brasy3G127700 ID=Brasy3G127700.1.v1.1 annot-version=v1.1 MRRHLELLPRLFLRRHIHRRSKPGTSPPPPPPPNPPRPPQKPEPISIHGETWHDSYAWMGSLSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASEACTPPARWGPWLYYRRTDEGKQYPVLCRRSAALHEEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALIYVVTNEDRRPYRLFCSIIGSNKDDILMLEEPDDNTYLNIRHTKDFRFITLNVFSDTHSKVYLIRASDPLSRMTLAWEGESQVHCIIEHHHGHLYLFTDASRDGVCVNSHYLMQSDVQSPGPKSWTNVLLEEPGIILEDVDFCNTHMVLVLRQGRKLRLCSVNLPLPENIQVPSHLSDFRPFDLPLPSHVCQILSGPNYDYYSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLYERTKVLYGNAFASSMGKLSSDGADLSSQDSGDCDWNELSDYYACEYYDVPSKDGVLIPLTLVYSRKHKQEGNPGLLHGHGAYGEILDRRWRSELKSLLDRGWVVAYADVRGGGGYGKEWHQDGARTKKMNSIYDFVSCGEFLLEKGIVQQNKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHQEFLAIKKYSPYENIQRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVRELTKYDPERPVILNLTTDVVEESKYLETKELATETAFLIKMVNNT* >Brasy3G066400.1.p pacid=40040567 transcript=Brasy3G066400.1 locus=Brasy3G066400 ID=Brasy3G066400.1.v1.1 annot-version=v1.1 MVAIGPYYRHLPELQGMEEVKKAAAHRFLKDLSWEAEAAYEEVVAIADIARSCYDAGSLEGVGNTEFAEMMLHDACFLLVTILAVTEPEECPLSLVCRTGANTPIVVTDMFLLENQIPWMVLEVLMSFKEVEYLCGFIATMGMNVQSRVDRQARPLDIEAYKKPPHLLGLFHFYQSGHAITCMPLDYTAYTLRNPRESMLISVGPAAESPPQPQAVLHGVRGRAGVLESLPLGTSAIELAEIGIKLTLSKTAEFKDIGLTEGFLFRELFLPSLRLNENTACWLVNMAAFEASTAIFDSDYTVSSYLALFAMLMHREEDVHKLRAMRLIHGEFTNKQTLDFFKGRHAGSIRPGYDFYAILRRLERYRQKRWLWIAVYKFVYNNAKTIAMMLSIIGVLAGIFKALLDLKKQN* >Brasy3G232500.1.p pacid=40040568 transcript=Brasy3G232500.1 locus=Brasy3G232500 ID=Brasy3G232500.1.v1.1 annot-version=v1.1 MGSVDYYETLNVDRDATDDDLRRAYRRLAMRWHPDKNPTGKNDAEAKFKDITEAYNVLSDPGKRAVYDEYGEEGLKGPPPQAPGGGAAADDIFAEFFGDTPFTYCNNARAKPPRPYGSGSDQTTMAPPPPPPPVQNNLACTLEELYVGVTKKMKISRNVVDASGRMKTEWEILWIEVKPGWKKGTKITFPGKGNQPRWNQAAADLVFVVDEVPHAVYRRDGNDLVAEARVTLAEALGGTVVALAALDGRELAVDVGGKEEEEDHDEEQLVPVVWPGYELVVPMEGMPIAREPGRRGSLRILFDVEFPTTLTRAARKQIKRILDAAPARPAGG* >Brasy3G275400.1.p pacid=40040569 transcript=Brasy3G275400.1 locus=Brasy3G275400 ID=Brasy3G275400.1.v1.1 annot-version=v1.1 MASAQSWKSSLFCCVGGGGAMAGDEEGPSPSSTPRQRRGHRRTLLSSAASSASASRLSLSSLGSTGTLTPEDLSVTLSGSNLHAFTYAELKAVTGGFSRTNYLGCGGFGPVYKGRVSDELRPGLQAQAVAVKYLDLDCSTQGHKEWLAEVFFLGQLRHRNLVKLIGYCYEDEHRMLVYEYMANGSLEKHLFKSLDGSMPWITRMRIAVGAAKGLAFLHDADTPVIFRDFKASNILLDEDYNSKLSDFGLAKDGPQGDATHVTTRIMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRRSIDRARRSREQSLVDYARPYLKKPDKLYRIMDPALEGQYSCKGAEQAAQVAYKCLSQNSKSRPTMREVVKSLEPILNMDDYLQVGPFVFTVVVEDGNKNNESKTKLIDGESKVDVRVETTMEEKHQSHQDRYRQKFPNSAIHANIVLHRDGSIGSYTAALRRHRRASSYNEERGA* >Brasy3G009800.1.p pacid=40040570 transcript=Brasy3G009800.1 locus=Brasy3G009800 ID=Brasy3G009800.1.v1.1 annot-version=v1.1 MPSSSSSSRRRRRRQGRNKPPSLAPHSEEVARDEVDLPLDPPSAVHHYIHPTQRPAIPNPSPPEPQAHRAMISSSSGRRRGRRGRKGPAPATVEAPATVETPATEDARDWAELPLEILTALLHKLDHIEILMGAGQVCRSWRSAARDVPELWRRIDMRGHADLEHQLNLYGMEQVAVRRSAGQCEAFWGEYAADEDLLHFLGDRAPSLKSLRLIGCYNIVEGFAEAIKKFPLLEELELSLCPNVGDNHVFEVVGMACPQLTHFRLNRRSFLNFEESEDDDVFNKDEEAQGIATMHELRSLQLFANDLTNAGLTEILDNCPYLESLDIRHCFNVTMDAVLLAKCSRIKALKLPYDSTDDYDYEVQSPILHDLGIQSDSDDCFYGGPDYILDSDEYDDYCDPHRYLDGVYLGRRVTMMDLFDDDC* >Brasy3G193800.1.p pacid=40040571 transcript=Brasy3G193800.1 locus=Brasy3G193800 ID=Brasy3G193800.1.v1.1 annot-version=v1.1 YTRLPGLLLSPASAEPPRPSPASRGVDGRWPLDKDLPAGTLTALPPGTTEDATATAVDGTAAVPPSPPERQPSRIPRHLSAPSPEARRVLEHELRCGPRIHVRRGSPWPKVKPGCRDGSEAVPTQGGVPASARLP* >Brasy3G161800.1.p pacid=40040572 transcript=Brasy3G161800.1 locus=Brasy3G161800 ID=Brasy3G161800.1.v1.1 annot-version=v1.1 MAGRGETKRRQRMYEFLDSAAALDPGSAQRWCKAAREMRSADGGMKEARQLLRAAISRVKDYATVYKTWIAMETEVNNVAAARELVLDWGCVCAAEGTADEYAAFWIAYVAFELRHGGADRARTVAADAAKACPGDAAVGDMCASAMAKVDLRLNDDDAANPVPRRGWWTRLTRTRRTPSSTSMAVARGCLPPFAQPLRRRVGAS* >Brasy3G126800.1.p pacid=40040573 transcript=Brasy3G126800.1 locus=Brasy3G126800 ID=Brasy3G126800.1.v1.1 annot-version=v1.1 MERCGSWSDCDAAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSGSTTSPSPPPFFAPPHFPLFHHPGVSVAQHHHQQFVGDDGVIAAAAHGMGVLPFMQPHWQQREQQSQSGAAPVATRLLALGPSSEGNGSSRAANNAAAAGALMDENERLRRSNAALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAATFLQGLGLQAPARKKQPATAAAGNGLNTSGGSTTSSSSLTIADELSPPPPHRLAAEKSGGEGAGSSGAARSSAAAPTKLFGVHLSASPSGAGSKRPPSPEAELPSTPPVTKPRLLLECDDLSLSVAPPAQPCAASSPARASS* >Brasy3G349000.1.p pacid=40040574 transcript=Brasy3G349000.1 locus=Brasy3G349000 ID=Brasy3G349000.1.v1.1 annot-version=v1.1 MDGDQGGGEATKYRGVRRRPSGKYAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRSAYNMRGQLAVLNFPGEARNYVRGDSSSSSRQQQQVIELECLDDEVLQEMLRDDPQRRSGGKKN* >Brasy3G125600.1.p pacid=40040575 transcript=Brasy3G125600.1 locus=Brasy3G125600 ID=Brasy3G125600.1.v1.1 annot-version=v1.1 MEEGPKATMLARAIQLADEVAKQCAAARCFRTECGDLKLRADKLAALLRRAAAARATAATDPYDRPATWITWWTTQALAGASALAARCAHGHRRLRRLFKLSSPAAGDFPRTAAFLGTALQDVAWLLRFSSAEDGGLRGLPNIALSLGEGKALFLIWDHVARLHTGGLAARADSAASLASLAGDNPQFAKLIVEEDGIRPLLRLLKEGTVEGQEAAARALGLLGRDAESVEKLVQAGICPAFTAALKPPAPMRVQAAVAEAIATLAERSAACREQFTQNNAVRYLVGHLASAGSGGRGSCDVEEDPELKARLQAMAARALWMLGRGHLGASCKSVTESRSLLCFAVVLENGDSGVCSLVASRV* >Brasy3G286600.1.p pacid=40040576 transcript=Brasy3G286600.1 locus=Brasy3G286600 ID=Brasy3G286600.1.v1.1 annot-version=v1.1 MALPLVRLLLPSLPACQPPLRHPEHPSPPPRHGHVSFSVSAAARSFSCSRAAGFAACAASASVAPAPVPAEAEADTEAAEEEPVGPRTRLIAMNIPWDYTPDDMRALFEKQGSVVNVELSMYSSKKNRGLAFVTMGSEEEALSAINNLNSSTLNDRTIKVDFAKPRKKQPTVPSAPTQKHIVFVGNLTWRVRSRHLRELFASTPGVQSVEVIFQTTTPRRSAGYAFVSFSSKEEAEAAISTFNGKELLGRSINVTFKEETAKKKISSDSEEENLEAESSEQSDS* >Brasy3G100200.1.p pacid=40040577 transcript=Brasy3G100200.1 locus=Brasy3G100200 ID=Brasy3G100200.1.v1.1 annot-version=v1.1 MLNLYPRLSAESSSRRDAAAADEDDDDVDAAGSSYRYRALYGIGVVCVAIFLFFVLAAAVSALKALAFAGTVALLLLVLGCLAPRTTWVRRTQIGRRRRRRPANPVLALTATGGAPGCACVPPAFAYLCPAVEGESEGMGCGVMCPVCLEEVRGGEMVRQLPACRHVFHVGCIDMWLHSHRTCPMCRCVVSPPPTATVADKASEEPVEQAPGSASQDEALPPV* >Brasy3G023400.1.p pacid=40040578 transcript=Brasy3G023400.1 locus=Brasy3G023400 ID=Brasy3G023400.1.v1.1 annot-version=v1.1 MAPMKVFGSAPFTNVARVLVCLEEIGAEYDVVDIDFHVKEHKGLEHLARNPFGQVPAFQDGDLLLFQSRAISRYVLRKYKTEEVNLLREGNPEESALVDAWLDVEALQFEPVMHSVFYQHRVVPVLGGTTDAKIVEESIGKLKKVLDVYEARLSKHRYLAGDFVSLADLSHVPETHYFMEMPHAAVFGSYPRVKAWLEDLMSRPAVKKVAKMMAQETH* >Brasy3G257600.1.p pacid=40040579 transcript=Brasy3G257600.1 locus=Brasy3G257600 ID=Brasy3G257600.1.v1.1 annot-version=v1.1 MDEPAAAAASRRRWVQWEEVVVSNDRGRRLVHYYLRGEPGDGGAEERELAVVGRERSPRHMSYAVQGRFLRSLAAAAPSAASPSPSRSPAAADGAPRKWRSRREVVDWLSSLVSGHNDGASSMGNRFHGNSYDDNDVDFLDVPASKDVSTASIARKKSKDFTWLGPAWHCQKGWKHYRSFCRRGITISVHSFVYIMSEEKKRLIAYVEDLYEDSNALNMVTIRWFDKVDEVGVELPPNVGDREIFFSHGLQDLNVECIDGLAAVLSAQHLEKFQSGTKHSCWYPYLCRSQIDNYEVKPFDISQLQGYWSQELVRTMFNAASSLKVRFKVTKSGPGSNGVQKRKCLPSGQSCSGSLDLEHSLQKQLFPGCHAEILSQDSGIRGCWFRCLILKRHKDKIKVQYQDLQNAEETGNLEEWVLLTRIAKPDQLGIRISERPMVRPHHVQNSKGACSLDSGAVVDAWWNGGWWEGIVLHRGNDGRLQVYFPGEQRVADFDKDDLRPSLEWVGDRWNPLKERKDLTSQLRSSECEHRGLSKLVRLEDNPSPKPESDQRSDGKSLGNKISRGQKRVLADLTNDLKFDNLKWMARKRRRSSPRRQSDTGSGSSSQGDMEESSPCGINSVPDQEVCKSTGQPIFIGLPVPVSSLVMSR* >Brasy3G257600.2.p pacid=40040580 transcript=Brasy3G257600.2 locus=Brasy3G257600 ID=Brasy3G257600.2.v1.1 annot-version=v1.1 MGNRFHGNSYDDNDVDFLDVPASKDVSTASIARKKSKDFTWLGPAWHCQKGWKHYRSFCRRGITISVHSFVYIMSEEKKRLIAYVEDLYEDSNALNMVTIRWFDKVDEVGVELPPNVGDREIFFSHGLQDLNVECIDGLAAVLSAQHLEKFQSGTKHSCWYPYLCRSQIDNYEVKPFDISQLQGYWSQELVRTMFNAASSLKVRFKVTKSGPGSNGVQKRKCLPSGQSCSGSLDLEHSLQKQLFPGCHAEILSQDSGIRGCWFRCLILKRHKDKIKVQYQDLQNAEETGNLEEWVLLTRIAKPDQLGIRISERPMVRPHHVQNSKGACSLDSGAVVDAWWNGGWWEGIVLHRGNDGRLQVYFPGEQRVADFDKDDLRPSLEWVGDRWNPLKERKDLTSQLRSSECEHRGLSKLVRLEDNPSPKPESDQRSDGKSLGNKISRGQKRVLADLTNDLKFDNLKWMARKRRRSSPRRQSDTGSGSSSQGDMEESSPCGINSVPDQEVCKSTGQPIFIGLPVPVSSLVMSR* >Brasy3G075200.1.p pacid=40040581 transcript=Brasy3G075200.1 locus=Brasy3G075200 ID=Brasy3G075200.1.v1.1 annot-version=v1.1 MGRTKKAHSHIDCAQPPERTWQRKFDDEGKEIAMFSFTMNDIMTVAPLIVKMMRILVEERAKGKASVYDPFKKWMSNCYRGVPLGALGAGSIGRSYRGYFQHFQLFPGIYEQKPILANQFSAFVSRPGEKSYSTVLSAPPAEVFKGIDKAGIGSWDWNLKEKNSSYHGLFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFAVQNSGSTPADVTLLFTWANSVGGRSELTGNHSNSSMTARDGVHGVLLRHSTADGLPPVTFGIASQETAGVRVTCCPSFAMGPSSKSGEPTAKEMWEEIKKHGSFGDAAAGTGRPSRPGSSVGAAVAARTTVLAGCTREVSFVLSWSCPVVKFPAGRTYHRRHTKFLGVDRDAAAEQLAHDALLEHMEWERQIEAWQRPILDDKSLPDWYPVALFNELYYLNAGGTIWTDGMPSKKTSLASSSSGTMESFSLAAFHPGPNTTSSSTAAEDILLAMAVVEERLLPAAGDEKGVGKFLYLEGMEYHMYNTYDVHFYASFALLSLFPDLELSLQRDFAAAVLRHDPRLMYTLDGKFVPRKVLGAVPHDIGLNDPWHELNAYMIHDPSRWKDLNPKFVLQVYRDVAATGDLDFARSAWPSVYVAMAYMDQFDRDRDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALRAAAALAGLVGDLPAEAVFLERHDRASRVYDRELWTGDYFRYDNSGRGNSESVMADQLAGQWYARACGLDPIVGRDKARRALAAVLEHNVMRVQGGGVGAVNGARLPEHGGGVDESSTQSKEVWAGTTYAVAAAMIGEGMREEGFAAAKGAYGAGWGEDGYGYAFQTPESWTADGAGGYRSLHYMRPLAVWAMQWALSPPPPVLPEMEKMAGNRVTEEEAELAREKFEKVASLLRLPEEEKKKEEKGYLRAIYQILRQIMLPAAS* >Brasy3G193000.1.p pacid=40040582 transcript=Brasy3G193000.1 locus=Brasy3G193000 ID=Brasy3G193000.1.v1.1 annot-version=v1.1 MARFPFSAARWLKLSCAAHELPVPAYPGHGDEAPPPLGLLGLRKPRGVPPPPQSPQPRQWRWRVVSHPPPPPLAPPPPQYPLP* >Brasy3G344800.1.p pacid=40040583 transcript=Brasy3G344800.1 locus=Brasy3G344800 ID=Brasy3G344800.1.v1.1 annot-version=v1.1 MGRPGMDSLEGKETNGVIPDRNGAAAAAAPPAKQQQEGKDALQYANILRSRNKFADALQLYSTVLEKDGTNVEALIGKGICLQAQNLPKQALECFTEAVKVDPNNVCALTHCGMIYKDEGHLVEAAEAYQKARSADPSYKPAAEFLAIVLTDLGTKLKLAGNTEEGIQKYCEALEVDTHYAPAYYNLGVVYSEMMQFDVALTCYEKAAIERPLYAEAYCNLGVIYKNRGDLDAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDIKQGVAYYKRALFYNWHYADAMYNLGVAYGEMLNFEMAIVSYELALHFNPRCAEACNNLGVIYKDRDNLDRAVECYQMALTIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAYNNLGVLYRDAGSITLSVQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYNAHREWGKRFMKMYAQYTSWDNSKVADRPLVIGYVSPDFFTHSVSYFVEAPLAHHDYSNCKVVVYSGVVKADAKTLRFKDKVLKKGGVWRDIYGIDEKRVASMVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPAIDYRITDSLADSPNTNQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNNFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFGCDSIRQKFLSTLEELGLESIRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLRRLVAKSEDEYVSLALDLASDVGALQELRMSLREQMIKSPVCDGEGFTRGLESAYRNMWRRYCDGDSPALSRLELLEDQPGSNKEDLNKMALKLADIKSQRANAATEEHNQGPIKVNATLEQDEQPQIIVNGARSPGDNQPLATVNTVAQEDNQPPVIINGVSSPHSSTSGRCEANGHSSR* >Brasy3G231000.1.p pacid=40040584 transcript=Brasy3G231000.1 locus=Brasy3G231000 ID=Brasy3G231000.1.v1.1 annot-version=v1.1 MAPSARHTAASMPPSLIFFLAAIALSFSALSTASNAQATSSSCASHTFSSNQVYASCAALPRLGTTLHYNYTAGAGGDNNTVSVAFRAPSSGGGWVAWGINPDGTGMVGARAVVAFRGPDGGVVAYPTLLDSYAPSMAPASAEDEDQMGFFSDVAAEYAEGGKEMVVYATVALPAGKGSEFNHVWQRGSSVVKGVPAAHSTTGDNILSTGTIDFSK* >Brasy3G024100.1.p pacid=40040585 transcript=Brasy3G024100.1 locus=Brasy3G024100 ID=Brasy3G024100.1.v1.1 annot-version=v1.1 MGKTRQTTPGTFTSMCASPAPAWASISTLPPSNRGGTGSSPSLVRASRFSSPTWSGWCSSSAPVSSWAPTFQGTS* >Brasy3G189800.1.p pacid=40040586 transcript=Brasy3G189800.1 locus=Brasy3G189800 ID=Brasy3G189800.1.v1.1 annot-version=v1.1 MDTWRVFLGAILFFALPLILLPLLRGGGKSGRRRIPPGPPSVPVLGSLVWLTNSPAEVEPLLRRLFARYGPVVSLRVGSRLAIFVSDRRLAHAALVESGAVLADRPALPSVRLLGENDNTIVRASYGPVWRLLRRNLVSETLHPSRVRLFSPARAWVRRVLADKLREAAAPVVGTFQYAMFCLLVLMCFGERLDEAAVRAIAAAQRDQLIYMSRKMAIFGFFPPLTKLIFRGRIKTAEAMRQRQKELFVPLINARREYRNRRGAEGEPRTETMFEHSYVDALLDIKLPDEGDRGLTDGEMVNLCSEFLNAGTDTTSTGLQWIMAELVKNPSIQQKLYEEIRATTGDEKEEVSEEDVHKMPYLKAVVLEGLRKHPPAHFVLPHKAAEDMEVGEYLIPKGATVNFMVAEMSRDEREWERPMEFSPERFLPGGAGEGVDVTGNREIKMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWHEVAGHEVDFTEKTEFTVVMKNPLRPRLVPRRA* >Brasy3G273500.1.p pacid=40040587 transcript=Brasy3G273500.1 locus=Brasy3G273500 ID=Brasy3G273500.1.v1.1 annot-version=v1.1 MVVVRLLLFLAVVAGVSGGRGGEDADASRTYIVRVDADAKPSAFPTHAHWYESAVLTASGPGGEWPEGGPLIHTYSAALHGFSARMSPPAAAALAGAPGVAAVVPERVRHLATTRSPGFLGLLSSPPSAILADSDFGSDLVIAIIDTGISPTHRSFHDQGLGPVPPKWRGVCASGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDNDGHGTHTASIAAGRYVFPASTLGYARGVASGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMTTVGAGSMDRAFPANVRLGNGQVLDGVSVYGGPVLQSGKMYELAYAGTSSSGAASSSADGYSASMCLDGSLDPAAVHGKIVVCDRGVNSRAAKGDVVHRAGGVGMVLANGAFDGEGLVADCHVLPASAVGAASGEKLRKYIASSTPQKPATGTILFQGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPNGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNRNGTMVDESTGKVADVFDFGAGHVDPMLAMDPGLIYDITPGDYVNFLCNLNYTDQNIRAITRRGADCRGARRAGHAGNLNYPSLSATFVADGAKQTTKTHFIRTVTNVGGGRSVYHATIRAPAGSNVTVQPKQLAFRRDGQKLSFTVHVETAEPVPAKKMEPGSSEVRSGTLTWSDGRHAVNSPIVVTLQAPVQ* >Brasy3G270800.1.p pacid=40040588 transcript=Brasy3G270800.1 locus=Brasy3G270800 ID=Brasy3G270800.1.v1.1 annot-version=v1.1 MGSFAKLAKRAVETEAPVMVKMQKLLGGLKDVMSLAQGIVYWQPPEAALNKVKEIVWEPSTSKYGSDDGLPELREALLEKLHRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACNSKTLHPDVDWLEKVLKGNGPIPKLVTVVNPGNPSGSFIPKPMLQRISDLCRNAGSWLVVDNTYEYFMYDGMEHYCLEDAHIVNIFSFSKAYGMMGWRVGYIAYPSEVDGFHAQLLKVQDNIPICASIIGQRLALHALEAGPEWIKEKVKDLVKNRELLVEAMSPLGEDAVRGGEGAIYLWAKLPDTCSDDFEAVSWLASKHGVAVIPGSACGSPGFIRISFGGLKEADTRLAAERLRRGLQELVTDGMVQ* >Brasy3G270800.3.p pacid=40040589 transcript=Brasy3G270800.3 locus=Brasy3G270800 ID=Brasy3G270800.3.v1.1 annot-version=v1.1 MGSFAKLAKRAVETEAPVMVKMQKLLGGLKDVMSLAQGIVYWQPPEAALNKVKEIVWEPSTSKYGSDDGLPELREALLEKLHRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACNSKTLHPDVDWLEKVLKGNGPIPKLVTVVNPGNPSGSFIPKPMLQRISDLCRNAGSWLVVDNTYEYFMYDGMEHYCLEDAHIVNIFSFSKAYGMMGWRVGYIAYPSEVDGFHAQLLKVQDNIPICASIIGQRLALHALEAGPEWIKEKVKDLVKNRELLVEAMSPLGEDAVRGGEGAIYLWAKLPDTCSDDFEAVSWLASKHGVAVIPGSACGSPGFIRISFGGLKEADTRLAAERLRRGLQELVTDGMVQ* >Brasy3G270800.2.p pacid=40040590 transcript=Brasy3G270800.2 locus=Brasy3G270800 ID=Brasy3G270800.2.v1.1 annot-version=v1.1 MQKLLGGLKDVMSLAQGIVYWQPPEAALNKVKEIVWEPSTSKYGSDDGLPELREALLEKLHRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACNSKTLHPDVDWLEKVLKGNGPIPKLVTVVNPGNPSGSFIPKPMLQRISDLCRNAGSWLVVDNTYEYFMYDGMEHYCLEDAHIVNIFSFSKAYGMMGWRVGYIAYPSEVDGFHAQLLKVQDNIPICASIIGQRLALHALEAGPEWIKEKVKDLVKNRELLVEAMSPLGEDAVRGGEGAIYLWAKLPDTCSDDFEAVSWLASKHGVAVIPGSACGSPGFIRISFGGLKEADTRLAAERLRRGLQELVTDGMVQ* >Brasy3G223800.1.p pacid=40040591 transcript=Brasy3G223800.1 locus=Brasy3G223800 ID=Brasy3G223800.1.v1.1 annot-version=v1.1 MAYRVVPRDRKKQGLSRERNISGPNLHKHNTEKGGQTDRQQQNAKQAMQDAAAHDPSRTFYSAAAGVYSSTHATVSLPADPGLSLVPHLFSRLPFHDAAPHSLQLRDAATGASLSRADLRRLVSSLAHGLRQTHRIRAGTVVLLVLPNSIAFPVAFLAVLAAGAVATTMNPYSSSAEIADRVRETRPCLVLASRDNVAKLPPLAAAPVVLVPHLLTAASADEQFAPFHALLRSGAGDEFPSADVGQDDAAAVLYSSGTSGRSKGVVLTHRNLITMVELFVRFEASQYARPACDNVYLAALPMFHVYGLSLFAVGLLSLGSTVVVMNRFDVGKAVGAIHRYKVTHLPLVPPIMTALLRAKAAAGALPLESLVQVSSGAAPLSGRLIQDFMKAFPHVDFIQGYGMTESTAVATRGFNSSKHKNYASVGLLAPNMHAKIVELETGFCLPPGSCGELWLHGPAVMKGYLTDKDVCTRKDGWLRTGDLAYFDSDGYLYIAGRLKDTIKYKGFQIAPADLEEVLVQHPEIVDVAVTSAEDEEAGEIPIAFVVRKSGSTLTCVQVMEYVAKQVSPHKKVRRVIFVDAIPKSAAGKVLRRLLKDSLHHLDAVSPSSSNPSSRL* >Brasy3G086200.1.p pacid=40040592 transcript=Brasy3G086200.1 locus=Brasy3G086200 ID=Brasy3G086200.1.v1.1 annot-version=v1.1 MARPFAAAAPLLLMLLPALCMMPWPAAAVGVNWGTQLSHPLAASTMVQLLKDNGFDKVKLFDAEDEALSALKGSGIQVMVGIPNDMLADLAASGKAAENWVAANVSKHVSDGVDIRLVTVGNEPFLQTFNGTYLNTTFPAMQSVQAALAKAGLSDRVKVTVALNADVYQSASGKPSDGDFRADIHGLMLSIASFLASNGAPFVANVYPFISLYDDPNFPLDYAFFQGSASPVVDGGVTYQNTFDASHDTLAAALNRNGFGNVSIVAGEVGWPTDGDANANLQLARQFNQGLLTRIASGKGTPLRPGSAIDAYLFSLVDEDQKSIQPGNFERHWGLFYYDGKPKYPLTLRGGSGDGSFLLPARGVAYLNRRWCVLKPDADLADQKVGDSVSYACGKADCTSLGYKTSCAGLDAKGNVSYAFNSYYQHDDQDDRACDFDGLATTTTVDPSAGTCRFIVEIAPTSAAAAPARDVAGRVALLLVSFLLLCVL* >Brasy3G086200.2.p pacid=40040593 transcript=Brasy3G086200.2 locus=Brasy3G086200 ID=Brasy3G086200.2.v1.1 annot-version=v1.1 MARPFAAAAPLLLMLLPALCMMPWPAAAVGVNWGTQLSHPLAASTMVQLLKDNGFDKVKLFDAEDEALSALKGSGIQVMVGIPNDMLADLAASGKAAENWVAANVSKHVSDGVDIRLVTVGNEPFLQTFNGTYLNTTFPAMQSVQAALAKAGLSDRVKVTVALNADVYQSASGKPSDGDFRADIHGLMLSIASFLASNGAPFVANVYPFISLYDDPNFPLDYAFFQGSASPVVDGGVTYQNTFDASHDTLAAALNRNGFGNVSIVAGEVGWPTDGDANANLQLARQFNQGLLTRIASGKGTPLRPGSAIDAYLFSLVDEDQKSIQPGNFERHWGLFYYDGKPKYPLTLRGGSGDGSFLLPARGVAYLNRRWCVLKPDADLADQKVGDSVSYACGKADCTSLGYKTSCAGLDAKGNVSYAFNSYYQHDDQDDRACDFDGLATTTTVDPSAGTCRFIVEIAPTSAAAAPARDVAGRVALLLVSFLLLCVL* >Brasy3G315100.1.p pacid=40040594 transcript=Brasy3G315100.1 locus=Brasy3G315100 ID=Brasy3G315100.1.v1.1 annot-version=v1.1 MVDSCFVEFKLDHQSYSEKLASIGDGIMEFTSGGEDVFMVDCSLRQRSEYGKQGEYLCLGLSLLSKSESTNPVFEAFVAGKDGAPRRGNFWMKSPSHENRAKVADVIPGSTDEYWKFLYSWDHLLKRSDLESLWAANGGVVTILCGVVVRRDNPITVPASGILGHLRSLLDCADGSDVSFSVGDETFRAHRAVLAARSPVFKAELFGAMAEAQMPCITLHEIDSVTFKALLYFIYTDALPMDSVGDRVDVFQHLLAAADRYALDRLKLLCALKLWDLVSADTVADLLACAETYNCQELKDSCMDFFVAEENFRRATLTEGFMRAFPTIITELRKRSGI* >Brasy3G033900.1.p pacid=40040595 transcript=Brasy3G033900.1 locus=Brasy3G033900 ID=Brasy3G033900.1.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQVNNRDLSIAVLRSFLLKRQEEHDIRLVKGRHAEIPPEQHDNDLDPEVTLPREESNIKAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQELVNVPLFVSLHSLCATLKCTNPTMAMFHSALRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSRPGAHPSNRILSQEPKLQASFSQATGGLVARKSPRFVPNPKYWGPKAKAGRPLKAVHTDKL* >Brasy3G033900.5.p pacid=40040596 transcript=Brasy3G033900.5 locus=Brasy3G033900 ID=Brasy3G033900.5.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQVNNRDLSIAVLRSFLLKRQEEHDIRLVKGRHAEIPPEQHDNDLDPEVTLPREESNIKAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQELVNVPLFVSLHSLCATLKCTNPTMAMFHSALRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSRPGAHPSNRILSQEPKLQASFSQATGGLVARKSPRFVPNPKYWGPKAKAGRPLKAVHTDKL* >Brasy3G033900.2.p pacid=40040597 transcript=Brasy3G033900.2 locus=Brasy3G033900 ID=Brasy3G033900.2.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQVNNRDLSIAVLRSFLLKRQEEHDIRLVKGRHAEIPPEQHDNDLDPEVTLPREESNIKAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQELVNVPLFVSLHSLCATLKCTNPTMAMFHSALRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSRPGAHPSNRILSQEPKLQPYRPCRVGTDAILL* >Brasy3G033900.4.p pacid=40040598 transcript=Brasy3G033900.4 locus=Brasy3G033900 ID=Brasy3G033900.4.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQELVNVPLFVSLHSLCATLKCTNPTMAMFHSALRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSRPGAHPSNRILSQEPKLQASFSQATGGLVARKSPRFVPNPKYWGPKAKAGRPLKAVHTDKL* >Brasy3G033900.3.p pacid=40040599 transcript=Brasy3G033900.3 locus=Brasy3G033900 ID=Brasy3G033900.3.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQELVNVPLFVSLHSLCATLKCTNPTMAMFHSALRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSRPGAHPSNRILSQEPKLQPYRPCRVGTDAILL* >Brasy3G033900.6.p pacid=40040600 transcript=Brasy3G033900.6 locus=Brasy3G033900 ID=Brasy3G033900.6.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQVNNRDLSIAVLRSFLLKRQEEHDIRLVKGRHAEIPPEQHDNDLDPEVTLPREESNIKAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKTSPLKISHVYQCVGCSSFHLQNVGRINPMDKRNIALPNFCPTVPQECSECGHKFVMGGPIWSDPIHDKEWATSILSNVQAMSGAYPAYAKISAILTSVSQIACI* >Brasy3G033900.7.p pacid=40040601 transcript=Brasy3G033900.7 locus=Brasy3G033900 ID=Brasy3G033900.7.v1.1 annot-version=v1.1 MTLAFGFSRFLNPRVNSSTNPILGLWLASCSCSCSSSASEPPELAGGPETIREGRAEIFADKSNSVFYNKAQVNNRDLSIAVLRSFLLKRQEEHDIRLVKGRHAEIPPEQHDNDLDPEVTLPREESNIKAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEEAIEACKKNIYHNAIPASSKVVPNLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCYSKYGSYPVRGKYCHEMALRILLASIESHAIRHKRYIVPVISVHMDFYIRVFFG* >Brasy3G168600.1.p pacid=40040602 transcript=Brasy3G168600.1 locus=Brasy3G168600 ID=Brasy3G168600.1.v1.1 annot-version=v1.1 MENTQSLFCDATQSSSSEGGSATIKTKSSPPPTPSALVQSASRSAPDSSVIGAGASSEVDDGGEGEGGEEDPEVLMMEHLFDKVLTPSDMVDTTSSYDRLTTVGPQLQNPDGLVFEDRAVAGKLWRFQSDWRSSEVHALCPTDGWALFAREKGLAPGDAVSFYRGNSNGRLFVDCSKRRSTDRAPRPRGVWPPVAAAAAERPRGADEAPPVAARRAPPRRSPAVPRRPRRGKGKHEEDDLDEAAVEPPTILESMPPLVPSPDPKRVRLFGIYLN* >Brasy3G197200.1.p pacid=40040603 transcript=Brasy3G197200.1 locus=Brasy3G197200 ID=Brasy3G197200.1.v1.1 annot-version=v1.1 MAAGNLDLPVVDLASTDLRASAESIRKACVESGFFYVSNHRIEDGLLERVFAESKKFFELPLEEKMALQRNSGHRGYTPPYAEKLDTSSKFQGDLKESFYIGATGNGNLQNDANQWPSEERFPAWKDTMKLYLATALVTCKRILSLISLSLDLDAEFFQNIGAFNCPSEVLRLLHYPGEVNECDNGNYGASAHSDYGMLTLLATDGTPILYFIRLIVQICREKDRHPQLWEDVRHIDGSTLHRVVAVGKERYSAAFFLDPNPDLVVQLGKLFAPIRSGDYLKERLSATYK* >Brasy3G165900.1.p pacid=40040604 transcript=Brasy3G165900.1 locus=Brasy3G165900 ID=Brasy3G165900.1.v1.1 annot-version=v1.1 MVALAQPVVSEAAAARGALQTQMSSTASDRMQQIASGYAADECGFAAALAAGGFSGLEETLCQHQQQAGAAAMFPAAAAASTYAAQGGFFVGGGNGCAGSTAAVISMMMGMEEMGDEYQRMMEGGDGGDPAAQMAAFSAAAEMQMGGGGSPGQVAAAAGETTGSSLEDTSFKAARLSVEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRTIGSQNHDEYEQMAGVKGEDMLDPEALAHISGMSSYMYSHTVESWI* >Brasy3G311200.1.p pacid=40040605 transcript=Brasy3G311200.1 locus=Brasy3G311200 ID=Brasy3G311200.1.v1.1 annot-version=v1.1 MGKYKNCIHALLLVVIFLSFAAIHGECRDIEVGVDENKIHIQEDTACGFTSTFPPCNPDKRRCYCCLWGHCFKTMDECKANCPPPPAPPMKLGYYCDLET* >Brasy3G004600.1.p pacid=40040606 transcript=Brasy3G004600.1 locus=Brasy3G004600 ID=Brasy3G004600.1.v1.1 annot-version=v1.1 MNTNHSSSTRKAQRGKKKSPPPSEIPNPEAMAGGDEEATTLLLPPPAGSEPEEPSALPPPADRLGLAYLIFFTLGAGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCLLPLLLIVLVFPKSSAPARINTGLTLFTLALLVVPVMDAVYVKGTPRLYGAFDVTVAATVMCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRVITKASYPQDAEGLRQSAILYFIVGIVVMVICIVCYNVADRLPVVVYYKNIKRRAQKAEVGGGMTGSAWRSTLWSIVGRVKWYGLGVVLIYAVTLSIFPGYITEDVHSKALKDWYPIMLISAYNVFDLVGKCLPAVYLLQNANVAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTFLTCLLGITNGYLTSVLMILAPKAVPIHHSETAGIVIVLFLVVGLVIGSFVAWFWVI* >Brasy3G257900.1.p pacid=40040607 transcript=Brasy3G257900.1 locus=Brasy3G257900 ID=Brasy3G257900.1.v1.1 annot-version=v1.1 MAATPAPLSLSASALSARLRLAAPASRAAAARRRGPMVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVTKGDAVVVVESDKADMDVETFYDGIVAAVLVPAGESAPVGAPIALLAESEEDVALAVAQAQALSSGQAQQAPPSDAAAPPPPPPPPAAAAPVAAPAPVAAGTKGVATPQAKKLAKQHRVDLAKVTGTGQFGRITPADVEAAAGIQPKPKVAPTPAAAPAAAPSVRAVPQASVLPPVPGATVVPFTAMQAAVSKNMVESLSVPAFRVGYPILTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQYPVVNASCRDGGSFTYNSSINIAVAVAIDGGLITPVLEQADNLDIYLLSQKWKELVKKARAKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVTADKDGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL* >Brasy3G195200.1.p pacid=40040608 transcript=Brasy3G195200.1 locus=Brasy3G195200 ID=Brasy3G195200.1.v1.1 annot-version=v1.1 MGSLTVSSTAAAAATGTANKDREGAEIIRGAEACYAHSKELLKSMGFPGGVMPMRGLEECGLVRATGHVWMRQASGRHYEHTFPATGTRVRYDAEVTAYVEQGRMKRMTGVRSKQMLMWVPIVEMSLDGERNDRVYFKSAVGIGRSFPAAAFPDEDEVVDVPPPTAVPVAGDEK* >Brasy3G299200.1.p pacid=40040609 transcript=Brasy3G299200.1 locus=Brasy3G299200 ID=Brasy3G299200.1.v1.1 annot-version=v1.1 MIMNGLGTVQESDNQTLVTLNAAPAASSYVVLYGTDQEQEYYGIEATIEVYGFTLDVTENSGAALILKNRQGFNYFSVGWHAPPGLYNGDLGTHFFVSWTWDQYGKTGCFNLDCPGFVVEKSATILPGSRLQLVSHPYGIKRTITLRVLKDKATGDWLIHCGLDYAKPRLIGHFPKSLLEKATEIQLSGFVSSLKSRRLVPMGSGVRPGNAQAASFRSSYLLDQNGERVKSRQHFVPYMTDEKIYSVSPMSPVGAFSYGGPDMNGMLSVSHD* >Brasy3G213000.1.p pacid=40040610 transcript=Brasy3G213000.1 locus=Brasy3G213000 ID=Brasy3G213000.1.v1.1 annot-version=v1.1 MAAGVRVRYFDSAARTYADVILTSAGPWPVGASRRRRRSINRPLSSRIVRRRRRRRHDRRRTEEGLAAAVSPTAADLPCRLSLSLPRPNLVLGAPPPSLLNSATGAAVHVARPLPPAHNSAAASLAHFRRHLDRARLLLHPDTRPRFQRRRCSTRQPDDLFFVHLATPAPNSAALAHIRRLPPCYTSSASPAASPRRSRRRPPLLPSVLACSAMLAPLLLHSARVI* >Brasy3G169400.1.p pacid=40040611 transcript=Brasy3G169400.1 locus=Brasy3G169400 ID=Brasy3G169400.1.v1.1 annot-version=v1.1 MAAADADAAEVERLYELGDRLSSAKDKSQHAADYEAIIASVKGQSVKAKQLAAQLIPRYFGSFPTLGTLAMEAMFDLVDMEELAIRIQAIRGFPLLGKDAAFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPVKAELLKPQAEMERYITDLIKKSVQDVTGLEFQLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDGDHIERWTSCMYMAIPIFTRGASSSKFLNYFAKQIVPAFDKIPEERKLDLLKTVAASSPFAAAQDSRQLLPSIVQLLKKYMPGKKVDDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSLFYKDFTERLTGTEETVRASSKRLTQGMADFNKAISSAKTEEEKTKIKADQQKSTTTMRSYNNILAMSQPLHAKSPVFMGDRKITLSWMEQPKKQAATTAGAGGKRTLPANNGNAPASKKGRGEGGMHNQLVNRAFEGLSRGGGRGSGRGRGRGGRGGRGRAWGYR* >Brasy3G023100.1.p pacid=40040612 transcript=Brasy3G023100.1 locus=Brasy3G023100 ID=Brasy3G023100.1.v1.1 annot-version=v1.1 MGNSGSTGSSNPPRPHFDGRGHGLPPPYYHRYPPWPPSAAAPPPVPVPAQVERHRAVAVHAGVNVKGDTLRLVPDDDGCCLLLAFSFDADAPGSITVYFFAQEDDDHVLKATKENVLQPVKITFKEGQGQEFKQPSGTGIDVSMFEESELTKVGEDGVFPVAFKVEVDISSNQESERELDAEDSKSLVKFAVFVKKEKAEYGIRVVQQIMWVNGTRYVLQEIYGIRNTTDKNVPEDDFGKECVVCLSEPRDTTVLPCRHMCLCRECAQLLRFQTNKCPICRQPVERLLEIEVDSNMLHQGDQ* >Brasy3G063400.1.p pacid=40040613 transcript=Brasy3G063400.1 locus=Brasy3G063400 ID=Brasy3G063400.1.v1.1 annot-version=v1.1 MKEDIMFDNQTKPCRSRIDSKSNQNPLKPKFGSSWGSQIVKGFTADKKTKKTAAIASKKPPLPSVENVNQNNQQIPYHSRVKRSLIVDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERESALQSEVRKCRENPRVSELEKELDSRKNEIDRLARLKTSLEAEKTSLSEQLSALSSIVEQHEETVRLDGHGNRASSADGDNPFSSGNLEFEVVELRRLNKELQFQKRSLAIKLSSAESKLAILEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCSSDQAARAMTDIDFNDGMACNDDHCDARDGEDSCDDKRFSIADRIKQWSQNDKSCQASKKESLLDRAWIEATEARSPTRRHSLGGSKGCAQELNIMKRRQSDTFICLPEATDEAASCNKDQTSRDKRELLVDKYDFGQSDGSRFVLGKPEVCKSQCLDVEKRTLRIPNPPPRPSVSLPHSGPSNGSTVNPPRPPPPPPPPKFSTRNTGVMKRAPQVAELYHSLMRRDSKKDTSGGAICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTDAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLETEVSNYKDDSRLPCDIALKKMLTVSEKTERGVYNLLRTRDAMMRQCKEFNIPTDWMLDNNLISKIKFASVKLANMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRIHQFAGGFDTETMDAFEELRNLVHVRNSTQ* >Brasy3G059200.1.p pacid=40040614 transcript=Brasy3G059200.1 locus=Brasy3G059200 ID=Brasy3G059200.1.v1.1 annot-version=v1.1 MLRQRMEDEKKLKEKQEAEEMLRKRMEDEKKLKEADDSKFEFTDDGTDYALRITLRGFRMEDFSLIMDGRGKLVVRSKQPAASGRGFVKEFQLPSDSNSDGIVFNDNGVLTVTLPKGVWIENATNYVLRINLEGFQKDDMTVQVDDDADNKISVLAQEYPLPSKAILNDIHANFEAGVLAITAPKRPAAPAQITIAETSKAKGKEHGESIDDPFDSDEEGNWIESATNYVLRIKLQGISKEDVRVQGNSKGGITVRGHFPDGGPCLFNESFLLPPTASLAGMSAIFGANILKVTVPKRTLPTLSPTAVEIRRPKAKEDQAWSRTREQQVDCAKPLPTKDDEERPKKEDVFKKASDKATRNAPREEKDEKEEPASRSKQDVVMRIKEETKPIVPEEAVRRCHKREGQNN* >Brasy3G065900.1.p pacid=40040615 transcript=Brasy3G065900.1 locus=Brasy3G065900 ID=Brasy3G065900.1.v1.1 annot-version=v1.1 MGKIKIGINGFGRIGRLVARVALLSEDVELVAVNDPFITTEYMTYMFKYDTVHGNWKHSDIKQKDDKTLLFGEKPVTVFGARNPEEIPWAQAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTSDVSIVSNASCTTNCLAPLAKIINDHFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYEDIKKAIKAASEGNLKGIMGYVEEDLVSTDFLGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSNRVVDLIRHMAKTQ* >Brasy3G300200.1.p pacid=40040616 transcript=Brasy3G300200.1 locus=Brasy3G300200 ID=Brasy3G300200.1.v1.1 annot-version=v1.1 MAPPHLLPLLLGRLLVSGDLRSSPTHLRRLVPLLPSHPHLAAALSSLYFPLFPSSCTFLHNLLIRASAASPSPRLAFAAFSSILRSGDTPDRFTFPSLLKSASKLASFPRTGAQVHAQAVRRGFLADVFVVNTLLAMYAAFRDTRSMREVFDSCAAVADVVTWNTMLGGYVKCGEIGDARRVFEQMPQRNEVSWSAMVGAYAGAGELDVAREMFDEMPAIGRNVVSWNSMITGFARHGLLPLARKMFDEMPVRNLVSWNTMVRGYAVNGEMDGARELFDAMPEKDVVSWTCMISGYAQARRYTETLELFRAMQSESNVLPNEVTMVSVLSACAHLTALEEGRWAHAFIDKHKMVLDNEFNLGAALIDMYAKCGRTDLAVKNFDALDQKNVSAWNALITGLAVNGEVQSSIDVFERMKRSGQKPNDITFVGVLTACAHGGLVDEGRRCFQSMASTCGVQPEAKHYGCMVDMLGRAGLLEEAEELIRSMPMVPDVMILGALLGACRMHKRVDVAARVQSEILGLSTQQSGCHVLISDIYTAAGKWADALDARGVLQKFGISKLRGSSRSMQ* >Brasy3G096000.1.p pacid=40040617 transcript=Brasy3G096000.1 locus=Brasy3G096000 ID=Brasy3G096000.1.v1.1 annot-version=v1.1 MFQALPWLSGALVGWTGTPHTSRPTFPRRNSPQISLSRPPMASVEAAAAAPAPRATISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVEECGLTGLLTPEQFLEERESMLQELFPSCTVLPGVLRLIHHLHANGIPICVATGSHKRHFALKTQNHQGMFALMHHIVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVGAAKNAGMYAVMVPDPRLDVSYHKEADQVLSSLLDFKPAEWGLPPFKEE* >Brasy3G096000.2.p pacid=40040618 transcript=Brasy3G096000.2 locus=Brasy3G096000 ID=Brasy3G096000.2.v1.1 annot-version=v1.1 MFQALPWLSGALVGWTGTPHTSRPTFPRRNSPQISLSRPPMASVEAAAAAPAPRATISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVEECGLTGLLTPEQFLEERESMLQELFPSCTVLPGVLRLIHHLHANGIPICVATGSHKRHFALKTQNHQGMFALMHHIVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVGAAKNAGMYAVMVPDPRLDVSYHKEADQVLSSLLDFKPAEWGLPPFKEE* >Brasy3G148100.1.p pacid=40040619 transcript=Brasy3G148100.1 locus=Brasy3G148100 ID=Brasy3G148100.1.v1.1 annot-version=v1.1 MEDNMGRLFIRSVSFNDMDGAESPSALLAPVFASPKLVTERSLSFSRRESDRVQTSVRTPKSDKESCNSKPSISAGSSRFGRPGECAPDSPVVGMVSSKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQQWWKLLDFALLKRNSVSFFDIEKPETAVSRWSRARMKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESQQPFFYWLDVGDGKDVTLEEHCSRRKLHKQCIKYLGPKEREPYEVIVVDARLMYKVSHQIVDTTRGPKGTKWIFVLSTCKALYIGQKKKGTFQHSSFLAGGATSAAGRLVVENGIMKAVWPHSGHYRPTQQNFQEFVNFLKERSVDLTDVKLSPSEGEGEDEGEGDAEFSLKSSNSQVDLTELSQQENQVDEAQVAPCNGTDETEISNGSMPTTAISIELRASTPTIMDSSTTNRLQGKRPSRLLINSSNRILPATQDNRRTSPDAKDINEDSAMFGECLDFCKKNLFAEVEEEEEGGELVEVPEELILRRITSKKSMRSCQLGKQLSFQWSTGAGPRIGCVRDYPPELQFRALEVSLSPRSNRPMRFSSPRPSMLTPNTARVGDTPTFQGGGIHNLPKSRQRSVTWTAF* >Brasy3G148100.2.p pacid=40040620 transcript=Brasy3G148100.2 locus=Brasy3G148100 ID=Brasy3G148100.2.v1.1 annot-version=v1.1 MEDNMGRLFIRSVSFNDMDGAESPSALLAPVFASPKLVTERSLSFSRRESDRVQTSVRTPKSDKESCNSKPSISAGSSRFGRPGECAPDSPVVGMVSSKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQQWWKLLDFALLKRNSVSFFDIEKPETAVSRWSRARMKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESQQPFFYWLDVGDGKDVTLEEHCSRRKLHKQCIKYLGPKEREPYEVIVVDARLMYKKKKGTFQHSSFLAGGATSAAGRLVVENGIMKAVWPHSGHYRPTQQNFQEFVNFLKERSVDLTDVKLSPSEGEGEDEGEGDAEFSLKSSNSQVDLTELSQQENQVDEAQVAPCNGTDETEISNGSMPTTAISIELRASTPTIMDSSTTNRLQGKRPSRLLINSSNRILPATQDNRRTSPDAKDINEDSAMFGECLDFCKKNLFAEVEEEEEGGELVEVPEELILRRITSKKSMRSCQLGKQLSFQWSTGAGPRIGCVRDYPPELQFRALEVSLSPRSNRPMRFSSPRPSMLTPNTARVGDTPTFQGGGIHNLPKSRQRSVTWTAF* >Brasy3G148100.3.p pacid=40040621 transcript=Brasy3G148100.3 locus=Brasy3G148100 ID=Brasy3G148100.3.v1.1 annot-version=v1.1 MLLDFALLKRNSVSFFDIEKPETAVSRWSRARMKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESQQPFFYWLDVGDGKDVTLEEHCSRRKLHKQCIKYLGPKEREPYEVIVVDARLMYKVSHQIVDTTRGPKGTKWIFVLSTCKALYIGQKKKGTFQHSSFLAGGATSAAGRLVVENGIMKAVWPHSGHYRPTQQNFQEFVNFLKERSVDLTDVKLSPSEGEGEDEGEGDAEFSLKSSNSQVDLTELSQQENQVDEAQVAPCNGTDETEISNGSMPTTAISIELRASTPTIMDSSTTNRLQGKRPSRLLINSSNRILPATQDNRRTSPDAKDINEDSAMFGECLDFCKKNLFAEVEEEEEGGELVEVPEELILRRITSKKSMRSCQLGKQLSFQWSTGAGPRIGCVRDYPPELQFRALEVSLSPRSNRPMRFSSPRPSMLTPNTARVGDTPTFQGGGIHNLPKSRQRSVTWTAF* >Brasy3G148100.4.p pacid=40040622 transcript=Brasy3G148100.4 locus=Brasy3G148100 ID=Brasy3G148100.4.v1.1 annot-version=v1.1 MKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESQQPFFYWLDVGDGKDVTLEEHCSRRKLHKQCIKYLGPKEREPYEVIVVDARLMYKVSHQIVDTTRGPKGTKWIFVLSTCKALYIGQKKKGTFQHSSFLAGGATSAAGRLVVENGIMKAVWPHSGHYRPTQQNFQEFVNFLKERSVDLTDVKLSPSEGEGEDEGEGDAEFSLKSSNSQVDLTELSQQENQVDEAQVAPCNGTDETEISNGSMPTTAISIELRASTPTIMDSSTTNRLQGKRPSRLLINSSNRILPATQDNRRTSPDAKDINEDSAMFGECLDFCKKNLFAEVEEEEEGGELVEVPEELILRRITSKKSMRSCQLGKQLSFQWSTGAGPRIGCVRDYPPELQFRALEVSLSPRSNRPMRFSSPRPSMLTPNTARVGDTPTFQGGGIHNLPKSRQRSVTWTAF* >Brasy3G152100.1.p pacid=40040623 transcript=Brasy3G152100.1 locus=Brasy3G152100 ID=Brasy3G152100.1.v1.1 annot-version=v1.1 MVNTTATEAGAMNNNNKQPQPLPLPLPLVSLNHVSLVCRSLESSLAFYRNVLGFVPIRRPGSFGFDGAWLFNFGIGVHLLQAEDPANMPAKKAEINPKDNHISFTCESMETVQRRLKEMGIRYVQRRVEEGGIYVDQLFFHDPDGFMIEVCTCDNLPVIPLLDAPQAVCKRALTAPPAVAVPQDPSPSPAPAPSPLPQQQQCVAEVVVVDVANSNMSAMTAMACPEA* >Brasy3G313100.1.p pacid=40040624 transcript=Brasy3G313100.1 locus=Brasy3G313100 ID=Brasy3G313100.1.v1.1 annot-version=v1.1 MQDSFLELTGPVRAVQLCMPTEIEFQLRVKGGTPSEDRILSTDFWAYDPVRGCHTKGVSRTKEIATKFSTMALTFSHLTKALEATVQVKVVGSSSSSDDMRARFAAQMVGIDDEVVLGDFKDRPVPVDTDGVVRLSRRVVVVDGDGGVLRIRVEAWKKEPSGGCGYNGVNVANSVEDVIQFTVRSAGRSGGTLDVGFAKISVTVFWSLLSFV* >Brasy3G046400.1.p pacid=40040625 transcript=Brasy3G046400.1 locus=Brasy3G046400 ID=Brasy3G046400.1.v1.1 annot-version=v1.1 MAGGKIQKKRQGGGGGGARLQGGIPFEKSKGQHILKNPALVDSIIAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELSRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRVCFNRKNKTLGAIFKQKRVLELLEKNYKTLQSLQLAEDSEMGEEKMSPGDVAVLANMVEDLSMEACDEKEDDEMEMDDAYMAGDDRASFKEKIMGILQQGDFAEKRSSKLSQVDFLYLLSLFNKAGIHFS* >Brasy3G304900.1.p pacid=40040626 transcript=Brasy3G304900.1 locus=Brasy3G304900 ID=Brasy3G304900.1.v1.1 annot-version=v1.1 MRLYSPANCLRRSASSSMHCSSNQFHGGVTQSMALRKCSWSKSSSSHSNSGLVNFSLNRNIRSSEPHNLKYFVSLVGRQFRCGLSTREGALRIKLDMLSRERISGISWNWKGMHQKIGGTAGGLCLGFSVSGIAKAEMPADRKINCAETSASSTHGKKIYTDYSVTGIPGDGRCLFRSVVHGACVRSGKAIPNEDLQRKLADELRSMVADEFVTRREETEWFVEGDFDTYVSQIRQPHVWGGEPELFMASHVLQMPITVYMRDEDSGGLITIAEYGQEYGKEDPIQVLYHGFGHYDSLQIPANMGPKTKL* >Brasy3G304900.2.p pacid=40040627 transcript=Brasy3G304900.2 locus=Brasy3G304900 ID=Brasy3G304900.2.v1.1 annot-version=v1.1 MRLYSPANCLRRSASSSMHCSSNQFHGGVTQSMALRKCSWSKSSSSHSNSGLVNFSLNRNIRSSEPHNLKYFVSLVGRQFRCGLSTREGALRIKLDMLSRERISGIAKAEMPADRKINCAETSASSTHGKKIYTDYSVTGIPGDGRCLFRSVVHGACVRSGKAIPNEDLQRKLADELRSMVADEFVTRREETEWFVEGDFDTYVSQIRQPHVWGGEPELFMASHVLQMPITVYMRDEDSGGLITIAEYGQEYGKEDPIQVLYHGFGHYDSLQIPANMGPKTKL* >Brasy3G163200.1.p pacid=40040628 transcript=Brasy3G163200.1 locus=Brasy3G163200 ID=Brasy3G163200.1.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHSLWHISIYTSSFFFLCSMRLNTANHSPVTNYELTRQDSLHRTESGET* >Brasy3G163200.2.p pacid=40040629 transcript=Brasy3G163200.2 locus=Brasy3G163200 ID=Brasy3G163200.2.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHRFCGTSVSIHLRSSSCVQCVSIRRTIVR* >Brasy3G163200.3.p pacid=40040630 transcript=Brasy3G163200.3 locus=Brasy3G163200 ID=Brasy3G163200.3.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHSLWHISIYTSSFFFLCSMRLNTANHSPVTNYELTRQDSLHRTESGET* >Brasy3G163200.4.p pacid=40040631 transcript=Brasy3G163200.4 locus=Brasy3G163200 ID=Brasy3G163200.4.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHRCAVIFPC* >Brasy3G163200.7.p pacid=40040632 transcript=Brasy3G163200.7 locus=Brasy3G163200 ID=Brasy3G163200.7.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQGLAMAAGDGDGFAGVRGGGDVQAELAANVEGVVGEECGGGEDSLSRIGRLMVVIDVREKGKVSRRRWRHNSSIVGGHAVAVRRRGAGHVGGHALPASSASMYLKLSPSTACYEKRCWR* >Brasy3G163200.5.p pacid=40040633 transcript=Brasy3G163200.5 locus=Brasy3G163200 ID=Brasy3G163200.5.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHRCAVIFPC* >Brasy3G163200.8.p pacid=40040634 transcript=Brasy3G163200.8 locus=Brasy3G163200 ID=Brasy3G163200.8.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQVVTRGWRWRQEMEMGSPESEGEAMCKLN* >Brasy3G163200.9.p pacid=40040635 transcript=Brasy3G163200.9 locus=Brasy3G163200 ID=Brasy3G163200.9.v1.1 annot-version=v1.1 MISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKAFAEWILYTSSGISSALYHSCDVGTWCILSFHVLQFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHSLWHISIYTSSFFFLCSMRLNTANHSPVTNYELTRQDSLHRTESGET* >Brasy3G163200.6.p pacid=40040636 transcript=Brasy3G163200.6 locus=Brasy3G163200 ID=Brasy3G163200.6.v1.1 annot-version=v1.1 MAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKFLDFWLSFMAVIGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANISIVVAIGSLGLLVGWLLEYSTARRFTCCSWRIDLSVPQRWPNFGALFWNTLDKFNKRFHWLYLLFGFITLSFAAISWKLESNSTYWIWHRCAVIFPC* >Brasy3G163200.10.p pacid=40040637 transcript=Brasy3G163200.10 locus=Brasy3G163200 ID=Brasy3G163200.10.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKVHFFLVAWWTGNLNNS* >Brasy3G163200.11.p pacid=40040638 transcript=Brasy3G163200.11 locus=Brasy3G163200 ID=Brasy3G163200.11.v1.1 annot-version=v1.1 MAAARWAAALVTLAVAGLEAGPRGCGAQPVVLASYGQDRLWLKPYDWSYLRVELPQSFSSITMDFTTDRDTPREHLKDLPRGNLAIICLMIANPPIPDTSDYYLDKLLSNFLDVGSFGNTNNQSMAQCIPFQKNTTVVLTNEQISPGVWYIGYFNGLGPARTQSKMISRGRAHSVSTSITVEGCPTSALWGPYCNQTIEMIGCSHFSRYNNSRNLLDLNIDLQRSLNTRENNRRTNFLSRSNHLTGQQLDSNTTTLLRAENLITCSVSNDSLCFRQGDMKFYFLDIVSLELQFEITAANSGVVQRSSLICYMRYNAFPQRDLHDYSGDISRAPLVIKLPNIGRWYIAMETVNRTQMNNTSSTPMLDTTCFSFDWQVTGCLNGKTGINCSWQSYVLQRVPKRNPSVPFESYYVPTDGRASLEYSHFSLEQFLSNSSSEQFAWTYFFFDVPQGSAGALIHVQLKSDKELDYELYSKYGGLPSNDSWDYYASRTSSSNGSMFFSLRNSTNSDMDLSIFYAKEGTWCFGVKHPNDTSNFQTFMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHMWQSVFLIASNAAAILPAFWALRRKCQMEAVRNYAT* >Brasy3G161200.1.p pacid=40040639 transcript=Brasy3G161200.1 locus=Brasy3G161200 ID=Brasy3G161200.1.v1.1 annot-version=v1.1 MMMGHVVALLSAALSGAGAGGKAADEQYVYQSSGCCVCISRFRDGEEIRRLPCGHAFHRDCVDRWLALCGRRTTCPLCRLHVGGVAAAADVDDDDHLQLSDDLVIWFSSLFVAGL* >Brasy3G162700.1.p pacid=40040640 transcript=Brasy3G162700.1 locus=Brasy3G162700 ID=Brasy3G162700.1.v1.1 annot-version=v1.1 MRALAQVEATNRRRQSAGGTGHRRRRRWHRSICWHQAECPHALMAAERIPSGGGARGGGGLAGGARCCRSQGGGAPDWGA* >Brasy3G321600.1.p pacid=40040641 transcript=Brasy3G321600.1 locus=Brasy3G321600 ID=Brasy3G321600.1.v1.1 annot-version=v1.1 MAGSAGRRNKLLALCYLLLVAATLIIAEGDVVLAARPFSPPASTNGLVTTQPQAMARSSGLEGTSPPAAGTAARKEQQDQRRRRRRLVGSRAPTCSYNECRGCRGRRCSARGVPVDGSDPMNSAYHYRCFCHA* >Brasy3G324800.1.p pacid=40040642 transcript=Brasy3G324800.1 locus=Brasy3G324800 ID=Brasy3G324800.1.v1.1 annot-version=v1.1 MPARFLSLHHTLMHLKPKMNFSNQLRSQLALLKDRAQHCILDPPGGVQTTRRLEVVELSGEGAGCFAGLQCRVLGPQNLGGRDCEGAARVEAAHSTGGKEEGGARDRVEQERRGGQGDSHGGSPRCVTVVGPGRRGRRRRSGRGSGWMGPSGCCGPSAHTQFQICASGI* >Brasy3G054200.1.p pacid=40040643 transcript=Brasy3G054200.1 locus=Brasy3G054200 ID=Brasy3G054200.1.v1.1 annot-version=v1.1 MALLLLLALLSLSSSSADGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTGTAALSAALFGNGLSCGACFELRCAGSYCLPGAGSIVVTATNLCPPNYALPNDKGGWCNPPLHHFDLSQPAFLRIARYRAGIVPVAYRRYGGVQEEGRHPVHHQRPPLLQPGAGEQRGRRGRRARGGRQGGQRPDALAGHVQELGPELAERRAPRRPAALLHGHGQRQALRRLAQRRAGRMGLRTDLHRRPVPLRSILYKAAICMMCTINARRFSFSNKFPGVHSVPRSHEGVSAMYLQQRVFISTIYKVVNIFF* >Brasy3G054200.2.p pacid=40040644 transcript=Brasy3G054200.2 locus=Brasy3G054200 ID=Brasy3G054200.2.v1.1 annot-version=v1.1 MALLLLLALLSLSSSSADGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTGTAALSAALFGNGLSCGACFELRCAGSYCLPGAGSIVVTATNLCPPNYALPNDKGGWCNPPLHHFDLSQPAFLRIARYRAGIVPVAYRRVACRRRGGIRFTISGHPYFNLVLVSNVGGAGDVHAVAVRGGSGRTRWQAMSRNWGQNWQSAARLDGQPLSFTVTASDRRSVVSRNVAPAGWAFGQTFTGAQFR* >Brasy3G133000.1.p pacid=40040645 transcript=Brasy3G133000.1 locus=Brasy3G133000 ID=Brasy3G133000.1.v1.1 annot-version=v1.1 MAAATAALHGHPQRAAAAAASSLAAAHGATGLSASAAASLAAAALTLAAAAVIADKYAGGIRRRRPAVLQQTRLRRRRPARTISSSSSCSPPPPSSDAWSSRRSEYEEDTSSCSDACSSSQPISSKHQETSSSSPSFYTCSSSRSISPECEETSSSSASFYSCRSSRSISPECEETSSPSFYTRNSSRVDLAGAQGDPSTLRPKAVRSACRCRSGAT* >Brasy3G249600.1.p pacid=40040646 transcript=Brasy3G249600.1 locus=Brasy3G249600 ID=Brasy3G249600.1.v1.1 annot-version=v1.1 MGGRPRAGVVSDRVSEGGEVARRSGGAAFGDGERGCGGGGGEVQRRSIGMEEIEDYGVALLLVPTRGWPLVARCRDAGGGRDGGRNRKDGAKEIWWVSVEDEDIGSFPANAFDTRFPEGSYVEMHGRAALCPRFAATIFYYLGVGSNGQLFKDRADRTVVTTPSCYDVRPLGFSDGRKGFNVAFGGPEGIFCDHPEP* >Brasy3G225000.1.p pacid=40040647 transcript=Brasy3G225000.1 locus=Brasy3G225000 ID=Brasy3G225000.1.v1.1 annot-version=v1.1 LPPELILSVDLYLGAVYFPGPQLTRPTPTASPALPRPFRLLRTAPLSYESSTHALVAVAIDFRPPLLSYSRSKFQAALCCWCSAFACDSCRGETMFRLHRDY* >Brasy3G169100.1.p pacid=40040648 transcript=Brasy3G169100.1 locus=Brasy3G169100 ID=Brasy3G169100.1.v1.1 annot-version=v1.1 MVVPYPLPTPRSPRPDSADATADSPPSLDFGDHASLATLRGLTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDAAALRESSSSTAALADALSSRVRHLDAAHSRAEYALARAEAALDRSRALDAARRALAADDLTAAASAAHEFLAIDARFPTDDDLRRDLLDIKRRVEGLARRRLAAAVETQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELISAAQPTAEQPDFVGCLTRLFKDIVLAAEENDTVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLSSDINSYTKNLHSVVGSVANAAGGNEGPDPREVELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEKDLTGFYVILEEFFMVENIRKAIRIDEPMLDGLTTSMVDDVFFLLQSCCRRAASTASINSVLAVIGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCAEIFHAPADREKIKSCLSELGEISASFKKILYSGLEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLAVDTNVVWLQPVMTSNNYDSFIHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQKPVRDKFSRISQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAISALRL* >Brasy3G137500.1.p pacid=40040649 transcript=Brasy3G137500.1 locus=Brasy3G137500 ID=Brasy3G137500.1.v1.1 annot-version=v1.1 MTWRPQEGKVMYRQDDRVDVSSPGNGLNDLILFRPRPTRGLIDARALEERLQENGTDKAYCAAMRAQMSASEQLAYGFTNDGVSFTGFPVVGYQHRIQASGTCLNGPDDGLLTSCTWDPRIRGSFYYNSGFSVALSKAPAFIADMQRLRDINPSAFCAGVDARVGVVLRYIKASSAYLGKPEDSIDFDVIFYRSHTEGEPRVHGDVVDEIEQIALRKYGGLPHWGKNRNFAFDGAIRKYPKAGEFLRVKERYDPDGIFSSEWSDQVLGISGSPNILKKGCAIEGLCICSEDSHCAPEQGYFCQPGKVYTKARVCSFQPTGHPHPRSGLRG* >Brasy3G037700.1.p pacid=40040650 transcript=Brasy3G037700.1 locus=Brasy3G037700 ID=Brasy3G037700.1.v1.1 annot-version=v1.1 MHMEEKSELLGDDQAAAMEKAASSPALPASLLRYVAQACAGCLLRMCGARDAAPSGADLAPDARADDQAAANGGGETSGSTAYIQEDLQIDSRLLQVKINAVGDPREGSAAHGGSYN* >Brasy3G056500.1.p pacid=40040651 transcript=Brasy3G056500.1 locus=Brasy3G056500 ID=Brasy3G056500.1.v1.1 annot-version=v1.1 MGSLDTNPTAFSAFPDDDKSFQPLNTDDVRAYLHKAVDFISEYYTNVESMPVLPNVKPGYLQDQLSASPPTYSAPFDVTMKEIRNSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSDLPKLAVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETNYGLDPVRLLESMQADVDAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDAHRLSDALETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDLVRADDRFEIVVPRNFALVCFRIKASGVMTEEDADEANRVLMENLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTSEMMD* >Brasy3G069700.1.p pacid=40040652 transcript=Brasy3G069700.1 locus=Brasy3G069700 ID=Brasy3G069700.1.v1.1 annot-version=v1.1 MGGGTKLRELIMSRLHLAKRGDTIPRGHFAVYVGESRARFVVPTAYLRHPAFVALLETAEEEFGFGGGGGGITIPCSEQDFAALVGRLGSSSPSSSWH* >Brasy3G173700.1.p pacid=40040653 transcript=Brasy3G173700.1 locus=Brasy3G173700 ID=Brasy3G173700.1.v1.1 annot-version=v1.1 MEVEPARRDAAALDPELLQLPELAPGALRENSSIAEALYTQWLVLPESSKLVKCLIEDAKSGATLNASGSSASTNAASSSSLPSMFPAGSAPPLSPRSTAGSPRVMRRASGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPPPKDLREQCLSRLDHLFFAGEGLQLQEFRPVLKDICKLPSFLSGVLFKKIDAACSGTVTRDAFVDYWINDNKITMDTASQIFEVLRQPGYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQDRYAETVIYRIFYSINRSGNGHLTLRELKRGNLVAAMQQLDEEEDINKVLRYFSYEHFYVMYCKFWELDSDHDFLIDKENLIKYGNHSLTYRIVDRVFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTNEMQFFYEEQLHRMECMGQEPVLFEDILCQMIDMIGPENETYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF* >Brasy3G173700.2.p pacid=40040654 transcript=Brasy3G173700.2 locus=Brasy3G173700 ID=Brasy3G173700.2.v1.1 annot-version=v1.1 MFPAGSAPPLSPRSTAGSPRVMRRASGAGPSSLGSPLKLVSEPVREVIPQFYFKNGRPPPKDLREQCLSRLDHLFFAGEGLQLQEFRPVLKDICKLPSFLSGVLFKKIDAACSGTVTRDAFVDYWINDNKITMDTASQIFEVLRQPGYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQDRYAETVIYRIFYSINRSGNGHLTLRELKRGNLVAAMQQLDEEEDINKVLRYFSYEHFYVMYCKFWELDSDHDFLIDKENLIKYGNHSLTYRIVDRVFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTNEMQFFYEEQLHRMECMGQEPVLFEDILCQMIDMIGPENETYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF* >Brasy3G173700.3.p pacid=40040655 transcript=Brasy3G173700.3 locus=Brasy3G173700 ID=Brasy3G173700.3.v1.1 annot-version=v1.1 MFPAGSAPPLSPRSTAGSPRVMRRASGAGPSSLGSPLKLVSEPVREFYFKNGRPPPKDLREQCLSRLDHLFFAGEGLQLQEFRPVLKDICKLPSFLSGVLFKKIDAACSGTVTRDAFVDYWINDNKITMDTASQIFEVLRQPGYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQDRYAETVIYRIFYSINRSGNGHLTLRELKRGNLVAAMQQLDEEEDINKVLRYFSYEHFYVMYCKFWELDSDHDFLIDKENLIKYGNHSLTYRIVDRVFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTNEMQFFYEEQLHRMECMGQEPVLFEDILCQMIDMIGPENETYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF* >Brasy3G091400.1.p pacid=40040656 transcript=Brasy3G091400.1 locus=Brasy3G091400 ID=Brasy3G091400.1.v1.1 annot-version=v1.1 MEAAAPVAHLGYDQVLSILRRLPAEAVLSFAATCRAFRAWASSDVLWEALFRRDCGGRAAAALAERRRDLPWRRVYADVARLGALSARRLRVKGISPRPRASHSLNLVAGWLVVFGGGCEGGRHLDDIWATYVGNGAGNRLSNILNWQQLASGTPSGRFGHSCILVGDALVLFGGINDRGLRLNDTWIGQIICEEPCRMRISWRLLEVGPLAPSPRGAHAACCVDDKFIVIHGGIGLHGSRLGDTWLLDISGGLQSGSWRQMGDTGPLPSPRSGHTLTWIGETRMVLFGGRGSEYDVLNDVWLFDIGHHFPRWKELKYDLSSVLGELPFPRVGHSATHLLGSKILVYGGEDSQRRRMDDFWILDVPALLQFESGSRKMAKRMWKKLRIDGQSPNCRSFHGACVDTSDCRVYVFGGMVDALIHPAESLGLRFDGQLYQVELVLHL* >Brasy3G009200.1.p pacid=40040657 transcript=Brasy3G009200.1 locus=Brasy3G009200 ID=Brasy3G009200.1.v1.1 annot-version=v1.1 MFGGAARACRSWHAAAREPERWRRVDTRGHSRLFRETVSLDRMARLAVWFAAGELEAFLAEGHVDDDLLLFLAQPTTRISPVNLRVDPMKNSHVNIVQSFSFKKHLFFVKISLSFHIAPSLKSLHLIECYGVHTDAFAEAILRFPLLEELELSQCNNILATWVVDLVATACPRLKHFKHAKERISRRYFARTPYPADNSEAFAIARMRELRTLQLFRDGLDSKGLAAILDNCPRLEFLDIRSCDNVVMDSGLREKCARIKTKKLYPHDDDFTDDSEYFEPGSPISYCSTCFMFGDGICDEQDCQFMYAQDCESDDSDRSYYFSGVEETELEEHQRILGKAARRYLRI* >Brasy3G024000.1.p pacid=40040658 transcript=Brasy3G024000.1 locus=Brasy3G024000 ID=Brasy3G024000.1.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSCRMVEWSHLLLVDLQTLRLNQLSKGISVAADVHELEE* >Brasy3G024000.3.p pacid=40040659 transcript=Brasy3G024000.3 locus=Brasy3G024000 ID=Brasy3G024000.3.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSMVEWSHLLLVDLQTLRLNQLSKGISVAADVHELEE* >Brasy3G024000.2.p pacid=40040660 transcript=Brasy3G024000.2 locus=Brasy3G024000 ID=Brasy3G024000.2.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSFIHVQGAGW* >Brasy3G326800.1.p pacid=40040661 transcript=Brasy3G326800.1 locus=Brasy3G326800 ID=Brasy3G326800.1.v1.1 annot-version=v1.1 MEKVTPSTTQGDGTSSAAGITVDKSVEFSYEELFNATEGFNIIHKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCTESSLFLVYEFIENGNLSQHLRGTGYEPLSWAERVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNSRAKVADFGLTKLTEVGGASLQTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSTESSSDSKGLVYLFEEALAAPDPKEGLRKLIDPKLGDEFPIDTILKMTHLANACTQEDPKLRPTMRSVVVALMTLSSTSEFWDMNSLYENPGLASLMAGR* >Brasy3G036400.1.p pacid=40040662 transcript=Brasy3G036400.1 locus=Brasy3G036400 ID=Brasy3G036400.1.v1.1 annot-version=v1.1 MPRDCQQQVSTSIGARLSTQACSCRLISLGLSSFQLKIRCGKSVELPVFIMADGQLRPVKLLAAVLSGAPVAPYSVTAIAEVNSTSSCLAVWKHGDPHWVHMASCDDQENLEDILQSKEGVYFISSEERLGLLLPCGEVIYLQIRERSDYWLDQQLSTVKHYLCESQNGQILMIVKLEKDLLLELKVYKLMLQDEVVLKKEDSLQNDNNKSRWLDVSEELQDHVFVGTASSRSFKAKAEPKVHYFDDRYSHFLGAPGYFMRDDMGLVSLDSTGQITNWPELGDPPLPPPISDRCPPGWWLHEK* >Brasy3G134600.1.p pacid=40040663 transcript=Brasy3G134600.1 locus=Brasy3G134600 ID=Brasy3G134600.1.v1.1 annot-version=v1.1 MAGWADSGGSRGRGGGGGGGGFINRGAANTDNNNNNTRWRERRPPSQQQHSHHHQQQPPHNQQQQQQQPHNHQQHQHQHNQHQHQHNHQQQRYRPVNDNDHSPQPPRDSPSEELGRQQGQQGSSSTSCRRPRPQPTRAPPTFTDQNSPTSAANANANANEPDDKARRNAANFECNVCFEMADNPVVTKCGHLFCWECLYQWIHIHSNHRECPVCKGQVADDAIIPIYGRGGSAASVHDAPPRPTGARVESSRQQQLQQQAAMDDDEYDNPFDLQEIRLGFGASSLGDAMMSFIDDDVDVEQLYDDYTNPNRHHHHDFDEVYDYALLGLPMLPSVGARTTRRHQHHTAVSDDIMETFFDNNMTTYPDIYFGNRGGRSWRGRARGASSPLGMVMGSSLEMGGSVVSYRNVGDDPPPPTTSTGGSSRPNGGWTERRGRSNRNSNSGGGRGMQDSRRQGTHHN* >Brasy3G018700.1.p pacid=40040664 transcript=Brasy3G018700.1 locus=Brasy3G018700 ID=Brasy3G018700.1.v1.1 annot-version=v1.1 MQEGVRIDTEPLYVDAGEHVARQRKKGTSKRTAAYAECEDQLHELSLQKRWGFIQQECNKPAGAHDHVKARPAPKWQELSVSFKKRDASNIDLEASGHTETASHVVRPWGRTHSKGDSKCEASNLAFEETLKKILSEKEAGREKFQQRKKEKMKDYFEVQKRKLAIEETNAKARTKEAEAALLTEETRIMTADLSLLDLGTRA* >Brasy3G248200.1.p pacid=40040665 transcript=Brasy3G248200.1 locus=Brasy3G248200 ID=Brasy3G248200.1.v1.1 annot-version=v1.1 MYSTRRLQESKTMASLCLLTGRVIVSRLLLLGVLLPYGHGAAGTVATSKVSAVFMFGDSIVDPGNNNHKLTEAKANFPPYGQDFPGGKATGRFSNGKVPGDMLASKLGIKDLLPPYVGEDLELNDLLTGVAFASGGSGYDPLTSIPATATSSTGQLELFLEYKEKLKVLVGEEEMARVISEGVYFTVMGANDLANNYFTIPLRRHQYDLPSYVNFLVSSAVNFTMTLNGMGAKRIGFIGIPPIGCCPSQRKLGSRECEPQRNQAAELFNSEISKEIDRLNAELGVQGSKFVYIDIYYNLLDLIQQPRLYGFKEVTEGCCGSTVLNAAIFIQYHPACPNAYDYIFWDSFHPTEKAYNIVVDKLIQQDLKYLM* >Brasy3G292500.1.p pacid=40040666 transcript=Brasy3G292500.1 locus=Brasy3G292500 ID=Brasy3G292500.1.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPADANKPATDDGTPDLTAKDILEEQKPSHQRQESSASMLDKGPSSIDSDFGVLDVPIMAMPRGDSGELKEIQSLDCSGNPAKNSSQKSSTSETFASAKVSDGTNSLRKTSSSAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAMSSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESRIVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKATPPASQKGSDNYLEFEFF* >Brasy3G292500.4.p pacid=40040667 transcript=Brasy3G292500.4 locus=Brasy3G292500 ID=Brasy3G292500.4.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPADANKPATDDGTPDLTAKDILEEQKPSHQRQESSASMLDKGPSSIDSDFGVLDVPIMAMPRGDSGELKEIQSLDCSGNPAKNSSQKSSTSETFASAKVSDGTNSLRKTSSSAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAMSSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESRIVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKATPPASQKGSDNYLEFEFF* >Brasy3G292500.2.p pacid=40040668 transcript=Brasy3G292500.2 locus=Brasy3G292500 ID=Brasy3G292500.2.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPADANKPATDDGTPDLTAKDILEEQKPSHQRQESSASMLDKGPSSIDSDFGVLDVPIMAMPRGDSGELKEIQSLDCSGNPAKNSSQKSSTSETFASAKVSDGTNSLRKTSSSAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAMSSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESRIVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKATPPASQKGSDNYLEFEFF* >Brasy3G292500.3.p pacid=40040669 transcript=Brasy3G292500.3 locus=Brasy3G292500 ID=Brasy3G292500.3.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPADANKPATDDGTPDLTAKDILEEQKPSHQRQESSASMLDKGPSSIDSDFGVLDVPIMAMPRGDSGELKEIQSLDCSGNPAKNSSQKSSTSETFASAKVSDGTNSLRKTSSSAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAMSSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESRIVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKATPPASQKGSDNYLEFEFF* >Brasy3G305100.1.p pacid=40040670 transcript=Brasy3G305100.1 locus=Brasy3G305100 ID=Brasy3G305100.1.v1.1 annot-version=v1.1 MAPKLLSALAARKEKSSTIKVKVLRLWDSINPATNELISTDMIVADKKGNTMHASIWHKLADKFKPKILESSIYILKNFDVWEYNRFRPLKNSLKIIFISDTTVKEVVEESDNFPDNYFEFVDSSTLWSRIDNDAQCSDVIGLLTQMKPIEQKIIGRNSRKERTSILREIEILLLDGEKIKVTLWGDTLANMLDEDLLEKQTIVIVTSNMAKDFKGLSLNTTNASKIYFDMEIPETKEIIKRHCTKDVLPTMMKLDESILGTIEEQMFFNRRTIREITELRSSDVKEEEFVCTTKAEISEIVTDRRWWYMACNHCFSMTEKEADNYICKRCNKISEEPKQR* >Brasy3G217300.1.p pacid=40040671 transcript=Brasy3G217300.1 locus=Brasy3G217300 ID=Brasy3G217300.1.v1.1 annot-version=v1.1 MGVQAPDAEEEDDCCEIDPAEFAKKVNLKSDDDEVVIVAAKGQIKVKVELDQSGHATETPVRNLRECAAGDSLENPYEIQDDESSVRQIQSVEAKPDAVCIRDNSKIDALGDVKCATDKSKIDVLADAKSIPDEITIDAAADVKCIPDENKINVLAGVKCIPDENKIDPLGDVKCATDKSKIDVLADAKSIPDEIKINAAADVKCIPDEKKTDVLADVKCCTDMTSVKFGNFEVFIQDMLHVKCEHEESNGIDEGVEEEDAYDHLPEMDGVNLEEYLGPGGGVFEEEDSDDFVVVGREAL* >Brasy3G323600.1.p pacid=40040672 transcript=Brasy3G323600.1 locus=Brasy3G323600 ID=Brasy3G323600.1.v1.1 annot-version=v1.1 MECHTYWFYYLLSAAACAAVALYLRRSSSSGGGSTAPPELPPGPSALASTGLLFFLGRGNFSTIMRLVRARYGPVFTLRLKPSQPTIFVSDRAAAHRALVQGGALLADRPPAGFSNRVLFSDQHSIASCGYGPLWSALRRNLTGRVLHPLSLQRFAGARRRAAAVLVAGVTRQMDGVVVIEALLHRALYHVLVSMCFGDAAGEDDGVVAAVEAVQREFTTSVIGFQVLDVYTALAKLVFWRTWKHLQSLRRRQEELFIPLIQRARSKQSQGRSQQGEGADGVSNGGGSISYVESLLSLRVPEASGGGGSRKLTDGETVSLCSEFLAAGTDSTAAVVQWVMASLVAQTDIQTKLRAEIQAASNGAGVREDDLHKMPYLKAVVLEALRRHPPAQLVMPHAATDDGTALIDGFLVPRHASVNFTTADMALDERVWGPDARRFRPGRFLPGGVGADVQLTGAKEIKMIPFGAGRRACPGIELSLLHLEFMVATLVAGFEWQAAEKTVDLAERLELSIVMRTPLRALVVPCRSWIDIAVS* >Brasy3G032600.1.p pacid=40040673 transcript=Brasy3G032600.1 locus=Brasy3G032600 ID=Brasy3G032600.1.v1.1 annot-version=v1.1 MKAACSSGRPAMAQQQPWEYSLRKYLLLLATLVVTVTYAAGFNPPGGVWQSSGGGHDGERLAGDPIIRDTDYRRYLAFFYCNATAFAASLVLIVLILILAVRHDKEKRKNKDAVWVATDVVALRFVMVVDLLSLMAAYGAGTCRDWVSSVYSAVLVAAVFLYIVVLKLLAWWFPDTSSDSGSSSGSGSGSGGTTPVHKPGSGSGGAILTPAPNPAAIPAPVPDSDPAGVHEEQERLRKAKKKLKAEERLRKVLMLLATFAVSVTYIAGLSTPGGFWDTAGGGHGPGDAILKDHHGARLTVFLLCNTTAFVASLLITMLLIIDKKLREKTARSRELYACIVVALLGLVGAYAAGSCRETDTTVYVLGLVGAVLAFILLLHGFFYTAPSKLLCCSCSRPGRTEENQHPDDDLSTREALDKARSLVLLLATLAATITYAAGLDPPGGLWQDNSGGHMAGDPILLTTNARRFKVFFYCNSVAFVASLVAIILVQKKRLLKHHVLEAAMILDLFGLIGSYAAGSCRDVNTSINAMALAGAVLVYVVIHVVFFTLDHKDDGNRKDDEELLEKRRKRLLLFSILAATITYQAGLTPPGGFLLQDDKLSGHHAGDPVLLYNFPCRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSNALSVCTAVGLFGLMGGYAAGSTQHIKTSIYIFVLVAVVLLVAAGLLLVFLVRELEKKCSSAAAVPVPVSAPSIEQGLEEPPKEEAKGEEEQKKEQEEKKKQRKERKRHARRKYLMLLGILVASVTYQAGLKPPGGAWPSSVDGYEAGNPVMHDNRRPRYLTFFYSNSTSFVASIVVIIMLLPQWLPKEREEEWEEWSLRVMNTTIVLDLVALLGAYAAGSNRGWKTSVYVVTLILAVLGYFVIHTVLSLWSDRRRRRRRRESRENPPAPV* >Brasy3G070800.1.p pacid=40040674 transcript=Brasy3G070800.1 locus=Brasy3G070800 ID=Brasy3G070800.1.v1.1 annot-version=v1.1 MGRMHSRGKGISSSALPYKRTPPVWVKTAASDVDELITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA* >Brasy3G016700.1.p pacid=40040675 transcript=Brasy3G016700.1 locus=Brasy3G016700 ID=Brasy3G016700.1.v1.1 annot-version=v1.1 MHRFAVASFSATLLLPPAALGLGAGATQALTLATTASPLRRCGSPPCLARLRSSLCSSSSSSAAATTATAVEEARRGRKQLGMEPPLYDYLLSNVREHPVLRDLREETASMRGSQMQVSPAQAQLLAMLVQIIGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKVDVKHALAADSLRSLLDCGEASSYDFAFVDADKRLYEEYFELLLKLVRVGGLIIMDNVLWYGRVADPLVNDTKTISIRDFNKKLLEDKRVNISMVPIGDGMTICRKLQDT* >Brasy3G048600.1.p pacid=40040676 transcript=Brasy3G048600.1 locus=Brasy3G048600 ID=Brasy3G048600.1.v1.1 annot-version=v1.1 MAKDAMPSRIKPAAHAPPLLPAPRAAATAHLPLLALLLCSHRQRPDLSLPAPSPPPPPRTHPPRSTSTTSHTARPDPPSPRTGARPRSARRRPRCAPRPPPARPRTAARQAEIRLLLTVPPPWESRPPPRRVPTAPFARLGSQVFQFRGTAGSGDGEGESDAPARGEEVFGGRMEEVFRGRMELTGVQPLVGSFRAVTDPAMLGAASVAGAAVVNSIVLEVAATSVSEVEAIASKYGLSTQDAAFKAELCDLYA >Brasy3G341200.1.p pacid=40040677 transcript=Brasy3G341200.1 locus=Brasy3G341200 ID=Brasy3G341200.1.v1.1 annot-version=v1.1 MDVDSLSKDDGQRIASEPPLRGRKRAAWPQGRRLVRNGEPAREEDRRGMGGGPQAVAGGRGERRRGGQWSGAPNGLGTDAQSLGGQAARRAAAKVRRSHRGNGKKREGLGRQIDWDLFPLVHVQNTSVEAVFSPGGLRRPR* >Brasy3G223100.1.p pacid=40040678 transcript=Brasy3G223100.1 locus=Brasy3G223100 ID=Brasy3G223100.1.v1.1 annot-version=v1.1 MGRWLSSRKSKQRKWGSSSHRHGHGDKPKAVRHRASPGRLMKLYLHLTAGQRELIEGAGFGGLLKLRCPVLPAKLCAWLLRRFDADSGELVIRGGGRRGRIPVTADSVHRVLSIPKGGRDVVYGLDEDSISFVLDKYGVSSMPSIVSLEDSIKLMKQADEHFLRTFMMIALSTFLSPNSGLKVSPRCFPSLVDISTIGELNWCEFVVEQLKKCVSSYGKKRSVGGCLFYLVILYLDSLDTRHLEIPSETPRVSVWNRELIDKVMAMDMKNSSSFGKCCLKREATRDVNSRGTSSASVLLGDVSAIANFVSLNVLPGYCPKNKEVLCNATTNLCSSITDALSKFMREVSGLEGGSREAGKYNTRAATIEDNNVDNDGDMMDVDTLPDDSSELATKDMEDASVDECEDESSEEGDAGDSSSADSEDDPDWHSNRVTRIHSQKNIVTRNSNKRKEPRVGKNRPGEVTSKGSGHDSDTPEGDKSRMSQCDEENLDVEKLKSANVHEDVVAPTTTVSQARSRQQKNSEKRSSVEDKMAIQPSSPLQPGPSAMMLNDKGSMQQKNSEKRCFVEDKMAIQPASLLQPEPSALMLYDKVSMQQKNCEKRSFVEDKMVIQPAFPLPGGPSVMMLYDKGSPSKGDSNMDMAPLTDFFEGSPVIDLSTPSSSDSECTITRTVANPSSVKGPKKSS* >Brasy3G119600.1.p pacid=40040679 transcript=Brasy3G119600.1 locus=Brasy3G119600 ID=Brasy3G119600.1.v1.1 annot-version=v1.1 MEARPRLLLLVVVVVSLCGAAAAAENVTVAQLVPMSSYYHGAPAPTTFNAKNYGAKGNGVNDDTKPLMAAWKAACGAAGTVALVIPAGTYYIGPTQFHGPCKCAAITFMLQGTLKAATDLKRFGNDWIEFGWVNHLTVAGQNGIIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTVVKDIQSVNSKFFHIALLNTNNVRLSNLRINAPGNSPNTDGIHIERCNGVFISDTKISTGDDCISIGQGNDNVDISRVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFEGTMNGVRIKTWENSPTKSLAAHMVFENMVMKDVQNPIIIDQKYCPYYNCEHKYVSGVTIKDIQFKNIKGTASLPVAVLLRCGVPCQGVVLQDVDLKFKGQGGASSKCENAKAKYIGYQYPKPCP* >Brasy3G259900.1.p pacid=40040680 transcript=Brasy3G259900.1 locus=Brasy3G259900 ID=Brasy3G259900.1.v1.1 annot-version=v1.1 MDAMNPPPPASVPDNAPSAGEDKEEQGSGVVERVEEAAEAAVPGVGARSSRRLSSCSESEAIRDWDNARFSCSSANGFPPRRTYRPHDDFWGVLLRVGPGFGTLMFSRRFYWRASAWVI* >Brasy3G301100.1.p pacid=40040681 transcript=Brasy3G301100.1 locus=Brasy3G301100 ID=Brasy3G301100.1.v1.1 annot-version=v1.1 MGKKLEKMQRAVEQQKGKLYIIMACVALLVCGCKPHVQDDALEHLELKLRSWKNAVTVARIQGLGCSITNATVSTCVK* >Brasy3G301000.1.p pacid=40040682 transcript=Brasy3G301000.1 locus=Brasy3G301000 ID=Brasy3G301000.1.v1.1 annot-version=v1.1 MEVVARPARVAAAAIPILAAAAAVPVLLLMIDEAAASAPATAGCSDRCGNISIPYPFGVEPGCYHGGFNLTCNHSYHPPRLFLGDGTVQVLEISIPNGTVRINSSSVHLWLGSHKTANGTWRAGGLGHTDDGPYFLAEMTNNLVAIGCDVQVVLLRENHTLVSSCATFCPVEGDIGTVYLAWSCSGIGCCQSTILEGRTSYGLQVHKLDNDPGHSEDKSADVFIMDADYSFNTTDTMESFPGGQTVPAVLVWTISSSACRRNGSSHSCRSNHSYCKHYADTFGTPQSHRGHNCYCSYGYQGNPYIPDGCNDINECDHSDIYPCYGDCKNTQGGYDCQCPLGFKGNAFEQNGCKDIDECKHPEAYPCYGICINMPGSFHCHCPDGTYGDPLIKQGCVTTKKLFTGLNIGLMIGSGSIILFLALVSPLITRKIKLTRANQQKERLFKQNHGLLLQQLISHKRDFGERMIIPLLDLQKATNNFDRTREIGGGGHGVVYKGLLDLQVVAIKKSKIVVQREIDEFINEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGTLDHHLHAEGPTSLSWDDRIRIALQVARAISYLHSAASMPIYHRDIKSTNILLDDNFTAKVSDFGASRYIPIDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTKKKPCVFRCGGGVGLVSHFISLHTEGNLNAIIDPQVMEEEDGEIQEVASLATMCTKLTGEERPTMREVEMTLEYLRATKRHAPHDSASRRHDGDQTEAHYISMEGVTKEASRQYTMEEEILMSARYPR* >Brasy3G350200.1.p pacid=40040683 transcript=Brasy3G350200.1 locus=Brasy3G350200 ID=Brasy3G350200.1.v1.1 annot-version=v1.1 MDANWRPTQGSDPAAVAAGVDPNAPAPAGGDWRAQLQPEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQAPGNAQVIQNQNNPGAASGLPPQGSNQAQTSAIPLMSQQQSRQPNTSGSVQTSSLTSIGQSLPGANQASVQQNVSVMPQNTMNNGLAQGNSQDIYAAQRQMAGRQQQQQQSQNQLIYQQQQQMLMKQKLQQNSLMQPHIQQQQSLLQPTQMQSSQQPMMAMQSTNQSGIQQNPLNSVQQSVQSLLQQPQQSVTRQQQQTQSSMHQQPSLQQAQPTQQPNISLQQQQQQVMGQQPNLQQNQLIGQQSGAMEMQQQQRVPVQSNNLLNMQQTQQMLNQQSMPLHQPQQLASQANMSNLQQQQQQNQQQQQLLGTVPNVSNIQRMHLLQTKAQQPQQQQHGQQSSMGLMQPQSQHSQLQQSQQHLISQFQSQPNQLQQQLGMQQQPSMQQRLQSSAGMLLQQSNIDQHKQYMQAQRALQEVSSSTSADSTAQTGDWQEEIYQMIKSLKDKHFAELSEMFSKISAKLHHVDTMIPAQKSSEHYEKMKGFKTLLERILQFLQISKSSIQPAFRDKVPQYEKQILSILTSQRRKPLQPQGQQQFQQPAGQAPSSNISQQQQPSQSLQQHDSHTNPQASLSSMSTGLQSSGAAGIQHVPAPPAANFSVPTQQNGASVPQSGSVLENAQGSNFNSLQHGSMGGTLQQGSTGPMQGTMNAQLQASNSMLSHNSMSTMQPTANSMQANSSSLQQLKQQQDHHMMQTQQMKRHLFQQYQQKQMLQQQLPIPQQLQKQQQSQMQVPQLHAGNDVNELKPRPGTAMKPGIYQQHLGQRSYHQQLKQSGAFPISSPQNLQASSPQISHHSPLVDQHNPLSSQVKTGTPLHSANSPFVPSPSPSVAPSPIPVDSDKPLSNMSSLTNTGHGQAGHQQTSLAPQSQSIAVNTPGISASPLLAEFTSADGSQGNMPTQAPTKSSAERPVDRLLKALRTTQRESLNAAVSDIRSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFMTNDGSGASKKMKRDTSAMPLNVSSGSVNDSFKETFSVDTPDLQSTATSRAKQQKAEVNHALMEEIHAINQQLIDTELNVCEDDAESFAATSEGAEGTVIKCTYAAVAVSPSLKSMFASAQMSPIMPLRLLVPAGYPKCSPVFLDKFPDEQRNSDDLSSQARSKFGILLRGLDEPMSLREIARTWDACARKVIVEYAQKTGGGTFSSTYGRWESCVGA* >Brasy3G347300.1.p pacid=40040684 transcript=Brasy3G347300.1 locus=Brasy3G347300 ID=Brasy3G347300.1.v1.1 annot-version=v1.1 MSGSWAPLLLLVVVVLVLLAADVVAGTGEARVHHHNHGKFMEGPWRPAHATFYGEHDGTGTRAGACGYKDTVAEGYGLQTVALSAAMFKGGATCGACYEVRCTEMSPKWCKPPGAPPLVVTATNLCPPNYQQPGDNGGWCNPPREHFDLTMPAFLQMAEEKAGIVPISYRRVSCLKQGGIRYTITGNKYFNMVTVTNVGGAGDVAAVTVKGDDRVKWTPLTRNWGQVWQTGEILVGESLTFRVMTGDHRKATSWHVLPRDWQFGVTYQATNNFH* >Brasy3G038600.1.p pacid=40040685 transcript=Brasy3G038600.1 locus=Brasy3G038600 ID=Brasy3G038600.1.v1.1 annot-version=v1.1 MAAPRWALWALLLLRLLHPAARVLANTEGDALHNLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGTIPKSLTAITALQVLDLSNNKLSGEVPSTGSFSLFTPISFGNNPALCGPGTSKPCPGAPPFSPPPPYNPPTPVQSPGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPSEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERRLEMLVDPDLQEAYIDVEVESLIQVALLCTQGSPTERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRNSEWIVDSTDNLHAVELSGPR* >Brasy3G297600.1.p pacid=40040686 transcript=Brasy3G297600.1 locus=Brasy3G297600 ID=Brasy3G297600.1.v1.1 annot-version=v1.1 MQPVPSASASKAPRRAASESRAPSDRRPLPVFPFLSWDRSAMAAKDGGEEVADVHNSERAKTLLQSDALYEYMLKTMVYPRENEFQRELRLITTEHTYGFMSSPPDEGQLLSLLLKLMGAENTIEVGVYTGCSVLATALAIPDDGKIVAIDVRRDYFDMGLPIIKKAGVAHKVDFREGPAGPILDGLLADEARRGSFDFAFVDADKLNYGNYHEQLLRLVRVGGVLAYDNTLWVGTVAVPDGEREFTEHDKAIRHAMREFNAMIGADARVEPVQLPVADGITICRRVA* >Brasy3G217800.1.p pacid=40040687 transcript=Brasy3G217800.1 locus=Brasy3G217800 ID=Brasy3G217800.1.v1.1 annot-version=v1.1 MGSNGNSDDRSLNPDAGDIVVGIGSSRSRIDQEIVDMQRKLRNLGEEIDQAIASLNEQMEMKTDQISGASAEEEEDPVAIARRKSEVDSRSIYVGNVDYACLPEEIHAHFQDCGTINRVTILIDDFGNPKGYAYVEFVEVEAVEKALLMNDTKLLNRPLKVSPKRTNVPGMTHPWGRRPFQPYPTYGKFPRFRRPHGYSPYY* >Brasy3G128600.1.p pacid=40040688 transcript=Brasy3G128600.1 locus=Brasy3G128600 ID=Brasy3G128600.1.v1.1 annot-version=v1.1 MERTFLVLAVLLILAASLYASTPSSTEGGFSFAGGDVRVDLTHVDAGKQLSRRELVRRAVQRSKARAAALSVARLGGSNKGAPGQKDQDDQQQQQPGVPVRPSGDLEYLVDLAIGTPPQRVSALLDTGSDLIWTQCAPCASCLQQPDPIFSPGASSSYEPMRCSGELCNDILHHSCQRPDTCTYRYSYGDGTTTRGVYATERFTFSSSSSSGDRGAEATQLGAPLGFGCGTMNVGSLNNGSGIVGFGRAPLSLVSQLAIRRFSYCLTPYASGKKSTLLFGSLAGGVYDDATAATTGPVQTTRLLRSRQNPTFYYVPFTGVTVGARRLRIPISAFALRPDGSGGAIVDSGTALTLFPAPVLGEVVRAFRSQLRLPFAANGSSGPDDGVCFAAGASRIPRPAVVPRMVFHLQGADLDLPRRNYVLDDQRKGNLCLLLADSGDSGTTIGNFVQQDMRVLYDLEADTLSFAPAQC* >Brasy3G020000.1.p pacid=40040689 transcript=Brasy3G020000.1 locus=Brasy3G020000 ID=Brasy3G020000.1.v1.1 annot-version=v1.1 MAAASPLPVFLLILLVAVLLPASNAIYCDEDDCYDLLGVKQDANATEIKKAYYKLSLKHHPDKNPDPESRKLFVKVANAYEILKDEATREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLVIVSAFQYINQWTMYNQAIESVKQTPAYRNRLKALEFERTGGIASKKKGHKQMDKKVEDEVRNEVDLQIQGVAKPSVWSLYGVQFLLLPYLIGKLLTWEMCWLWRYRVKKLPYAWEDACYLTRTSLKIPANTWQNIDEFTKEDLVMKRLWKKGNMERHIAEARKGSKRRR* >Brasy3G020000.2.p pacid=40040690 transcript=Brasy3G020000.2 locus=Brasy3G020000 ID=Brasy3G020000.2.v1.1 annot-version=v1.1 MAAASPLPVFLLILLVAVLLPASNAIYCDEDDCYDLLGVKQDANATEIKKAYYKLSLKHHPDKNPDPESRKLFVKVANAYEILKDEATREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLVIVSAFQYINQWTMYNQAIESVKQTPAYRNRLKALEFERTGGIASKKKGHKQMDKLKMKLEMKLTCKFKELRNPLCGVSMASNFYFCLTSLASCLLGKCVGYGDTG* >Brasy3G246300.1.p pacid=40040691 transcript=Brasy3G246300.1 locus=Brasy3G246300 ID=Brasy3G246300.1.v1.1 annot-version=v1.1 MRIHIYSSTPESPHSHTHTSTMAYMISSPLHYLVLVLLLAQYSVCTSGETVKEIPPKPIRTTFQDEYGLIFDCFEINSQPSLNHPLLKNHTVQLRRSSYPRDIDKESRRRDDIVEPKISNISCPSGTVPILRNNGAPGSIKSVHNLTIYGDKYLDNKSVQLRKKFAGVFTPRGVFYGTTALLSVHKPDTYGSEGRISGANIKVANARGTGKDQQSSGIFAGWFVEPSLYGDKEPHLQIAWKDLGHYCVDLRCGGFVQTSSLIRPGMSLQLSGQQTYICLDISKDYDTGNWRLIYGCSTVFENYEVGYWPNSLFTHMREYATDVHWYGLVSARTGDDSSSRKLPPMGNGTLGSKPFDSPRPASFIEARVYNALIRTIFADVRSKML* >Brasy3G303100.1.p pacid=40040692 transcript=Brasy3G303100.1 locus=Brasy3G303100 ID=Brasy3G303100.1.v1.1 annot-version=v1.1 MEVDDVAVDDRTFKADFTDAGVDQLRERMREKLREFKDAEESLVEYVIVLLRNGRRKDEARKELHIFLGVDESASFVSWLWDHLSLNLHLYVKTQEKREVGNKDDGARKELYGGNKSSETHSRSKDQTHVEHPSESNTATRSRNKREWKVIGREGNKNFPLRSVLTDILHGEEKKPQKSTEIRQPPSSNQRNGRKRDREDEPQQTKRELPSRPLLGASRRLLQFAVRDAVKAVQPTSGSTKKSSKRLRSVVSTATDNIHGRKLERSADSLPDRRSERSTDGLHDRRSERTTDSLNDRRSERPTDNLNDKRSDRTRILQVPGAALALRAAKEAAADSSKARSTGSVFSRLGQGNVVNQPSRSREEKKDHEDIEPATTVDGHDSDHYDNDDEISGDLNIADGDAEMNVDSTSDDDVDIDDEISRYQSSDSHRGVLSSIVEKNYVSTKCSAEPETNTMRHSSLTSEEQPLSSSPIRASKTVAAPVNLNPVGPPNYGTSTLKDAHVVEKPDTTPMNSNVTSPAINVKELGHGEVQKDSQRPATSAASSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHTESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGSGRSSMYARHSRMAYPRGVLPGSSFRGRTPMKAGARSFQWKREPSGTDSNQVQNPT* >Brasy3G303100.2.p pacid=40040693 transcript=Brasy3G303100.2 locus=Brasy3G303100 ID=Brasy3G303100.2.v1.1 annot-version=v1.1 MTLLDLMTSQEYVIVLLRNGRRKDEARKELHIFLGVDESASFVSWLWDHLSLNLHLYVKTQEKREVGNKDDGARKELYGGNKSSETHSRSKDQTHVEHPSESNTATRSRNKREWKVIGREGNKNFPLRSVLTDILHGEEKKPQKSTEIRQPPSSNQRNGRKRDREDEPQQTKRELPSRPLLGASRRLLQFAVRDAVKAVQPTSGSTKKSSKRLRSVVSTATDNIHGRKLERSADSLPDRRSERSTDGLHDRRSERTTDSLNDRRSERPTDNLNDKRSDRTRILQVPGAALALRAAKEAAADSSKARSTGSVFSRLGQGNVVNQPSRSREEKKDHEDIEPATTVDGHDSDHYDNDDEISGDLNIADGDAEMNVDSTSDDDVDIDDEISRYQSSDSHRGVLSSIVEKNYVSTKCSAEPETNTMRHSSLTSEEQPLSSSPIRASKTVAAPVNLNPVGPPNYGTSTLKDAHVVEKPDTTPMNSNVTSPAINVKELGHGEVQKDSQRPATSAASSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHTESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGSGRSSMYARHSRMAYPRGVLPGSSFRGRTPMKAGARSFQWKREPSGTDSNQVQNPT* >Brasy3G303100.3.p pacid=40040694 transcript=Brasy3G303100.3 locus=Brasy3G303100 ID=Brasy3G303100.3.v1.1 annot-version=v1.1 MKRLWDHLSLNLHLYVKTQEKREVGNKDDGARKELYGGNKSSETHSRSKDQTHVEHPSESNTATRSRNKREWKVIGREGNKNFPLRSVLTDILHGEEKKPQKSTEIRQPPSSNQRNGRKRDREDEPQQTKRELPSRPLLGASRRLLQFAVRDAVKAVQPTSGSTKKSSKRLRSVVSTATDNIHGRKLERSADSLPDRRSERSTDGLHDRRSERTTDSLNDRRSERPTDNLNDKRSDRTRILQVPGAALALRAAKEAAADSSKARSTGSVFSRLGQGNVVNQPSRSREEKKDHEDIEPATTVDGHDSDHYDNDDEISGDLNIADGDAEMNVDSTSDDDVDIDDEISRYQSSDSHRGVLSSIVEKNYVSTKCSAEPETNTMRHSSLTSEEQPLSSSPIRASKTVAAPVNLNPVGPPNYGTSTLKDAHVVEKPDTTPMNSNVTSPAINVKELGHGEVQKDSQRPATSAASSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHTESAERALSLNGTSFMTRLLKVVRRSSHEAAHFYGWPGSGRSSMYARHSRMAYPRGVLPGSSFRGRTPMKAGARSFQWKREPSGTDSNQVQNPT* >Brasy3G050300.1.p pacid=40040695 transcript=Brasy3G050300.1 locus=Brasy3G050300 ID=Brasy3G050300.1.v1.1 annot-version=v1.1 MAPANGAAGTGSAAAACQAGQAALAAALLKRLSASATSSGGGYNLVFSPLCIHVALALMSAGAGGAALDEILAMAGAPSRAELEAFVGRTVVERVLADRSGIGGPCVAFACGVWSDKRFRLKQAYRDTIVNTYKGETWTVDFQNNPVEARKQINARVAQVTRNLITRVLNPNDQSNETVKVVINAIYFKGEWREPFCKEDTVDHREFHRLDGSSIKTVMACLAWWRLERMTSRPEFLHEHLPTALAPVGDFILPRFKLSFGSSIVGLLKSLGLRIPFDPFTFDLTEKMEKDDGDFVQLYVNNVIHKAVIDVNEEGSEAAALVESDDDMGFSLYDDPEPPPKPVDFVADHPFAFFIIEETTGIIVFSGHVLDPSKEE* >Brasy3G100100.1.p pacid=40040696 transcript=Brasy3G100100.1 locus=Brasy3G100100 ID=Brasy3G100100.1.v1.1 annot-version=v1.1 MAAAGPLRAFLVLLVVQAFMVLMMMAASSLTTVQGSRPVGVESTPACCLNHPDCCQRWMATVAAAAKAKP* >Brasy3G124500.1.p pacid=40040697 transcript=Brasy3G124500.1 locus=Brasy3G124500 ID=Brasy3G124500.1.v1.1 annot-version=v1.1 MASGGAIASASGGTLLSSSGGAGAKSLATSLWWDPFVDLSDDLDRAAASPSTPISDALAERIKNHHAWFRGAVSMFAKPNEASRRALDAGQVAVGTHCLAVKPDLKKAALRMSKCLNLDEVQSYILVKRFLESTPMTHDADAEELLRLVSLQYYLERQCLLKCIRRIFVHATCTDDGSDSTDAIKEEASLLISEDMERRLLSITEDSLAAAFSVKGAADLTVSWLEESLIEINLILDILFLAIYDNFSRCNSGLWITLCSIFKDMISGSYDVAKFAVSVEAKKSFHHAKAQLLLILIESLDFENLLRMVHDEVSFSGGYSTYSVVDILEMDIEVSKLPEFAVESGPLILAWAVFLCLVLSLPGSNTNLEIDHASYAQRAFELAPFNYLLGVLCSSIFRESDGPVSGFRGVLRTFISAFIASYEISYQTEDSSLEMILNILCQIYGGEESLCMQFWDKDSFVDGPIRSVLHMVEKEYPFQISDLLRFLSAVCHGTWPAQCVYNYLERINGVTTLYAIPSGVPDGVKYCDQIEIHHPMNIPGMEGITLPCGTHGYILRVLEDDVALVRWEFPHSGVFILLVTLAQDLYSCNYAEACDIMDLLYLIVLSNKDLCAALVHADKSLVVERSKNLRHIGEHVRIDVIKILCTSILKYVQDGNNATIMAKTFRLLTEFLKCVPCRMFDMGLECGIFTSQLNGSSSDWLLSGALARMLFAASEENGDCSSLTTSLLDFAIQVLRNGAAADDMISPFIVFSIQYIMVNHMSWKYKKSSRWRTTLKVFELVKRCIQVKSFSSKLGGIVWQILLYDSSIHSILWHILCMSTQLLEHSHGSYHNCHEDIDDIQLVLCCGFDIIFFMLSNLPEGLMPVPPFVTMVLSSSSKPFPFVAAAISSMSFQNSAIQVAAARAFSILCFTAHSAQAQLMENCSFIIDGSEIWRLQASISHILEKEESINNYLIVGIFNLLTSIARYQPALFVSLTEQNTRVEADRSSSTNSQINIFSPLIISRSNGDLVEKTLGYIAKSTELLDRSPSLLLSILNLLEALWESGVQFTCILDKLRSSIALWENLSRCICASLDHCPVESVDEKFSLRYNCQRKILEIMSHELFLKGKLVLEEKPSNPILVGTKERAEPSSESCPSNIVLKWFDSALVEDFVNNLSCNGYQKELLHDAKVASCICVIRLIMNLSSGDTGSLSFSAVKKIQLISSKLLQHRAFLALLSQYALHGYSDEQELTKLVINDLYYHIHGELEGRPITPGPFQELLCFLLELRFFECNPSEQPRNTFQTANGNFLFDVAHMRDELGVELWNHSDWKPCKVVAEKMLDIMHKANLMKSHADAKLCTLRSFITFLSVYIGTSTNNKLDLPDGGISATSTRSAIRYACKSFQSTVDLLFPEVDTNEVLFPLLSGQVELLLTLTRILFHQAKQTKSFRDLHSVIVVLMKTSGASTSFLVDLMPASPALKKPVKALLVLLLSLFEFIYVEDDMKDESAGVNLFGESSLISTKLLPVLCKLAENKEYSELAIGSMDLILKGVLPPHVWVPILHKHFCLQAILHKCHNGVILSTQVILNFLLTLGRTKDGAKILQSANIFAFLKVLLSQLSLDDSCYRNSSSTQAKDVNLWGLGLAIVASLNHCMDDDISRNSVANSTINFLSGQVPLMSSYLSAQSVNTHQNKKRAPLQQSQSSLSALSLTENILALLCILAKYHFPRDASMMEVDSELREIIIHLLAFISRGTARTGDSPNWNPSFFCPPTVKEELLLHEDPPLIRSKYGWFKFAASSALSTAVVSAPSNTSLSLVIRDKSAGDSDSVKQTRFTEMIAVQVYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVLQANGSSSLGRETDRVCHFLLVILETSLYMELCVSQSCGIRPVLGRFEDFSKGIKAMLHALEKHSSFKPLVRSLTQIATLLYPGLSQSSIIM* >Brasy3G124500.2.p pacid=40040698 transcript=Brasy3G124500.2 locus=Brasy3G124500 ID=Brasy3G124500.2.v1.1 annot-version=v1.1 MASGGAIASASGGTLLSSSGGAGAKSLATSLWWDPFVDLSDDLDRAAASPSTPISDALAERIKNHHAWFRGAVSMFAKPNEASRRALDAGQVAVGTHCLAVKPDLKKAALRMSKCLNLDEVQSYILVKRFLESTPMTHDADAEELLRLVSLQYYLERQCLLKCIRRIFVHANDGSDSTDAIKEEASLLISEDMERRLLSITEDSLAAAFSVKGAADLTVSWLEESLIEINLILDILFLAIYDNFSRCNSGLWITLCSIFKDMISGSYDVAKFAVSVEAKKSFHHAKAQLLLILIESLDFENLLRMVHDEVSFSGGYSTYSVVDILEMDIEVSKLPEFAVESGPLILAWAVFLCLVLSLPGSNTNLEIDHASYAQRAFELAPFNYLLGVLCSSIFRESDGPVSGFRGVLRTFISAFIASYEISYQTEDSSLEMILNILCQIYGGEESLCMQFWDKDSFVDGPIRSVLHMVEKEYPFQISDLLRFLSAVCHGTWPAQCVYNYLERINGVTTLYAIPSGVPDGVKYCDQIEIHHPMNIPGMEGITLPCGTHGYILRVLEDDVALVRWEFPHSGVFILLVTLAQDLYSCNYAEACDIMDLLYLIVLSNKDLCAALVHADKSLVVERSKNLRHIGEHVRIDVIKILCTSILKYVQDGNNATIMAKTFRLLTEFLKCVPCRMFDMGLECGIFTSQLNGSSSDWLLSGALARMLFAASEENGDCSSLTTSLLDFAIQVLRNGAAADDMISPFIVFSIQYIMVNHMSWKYKKSSRWRTTLKVFELVKRCIQVKSFSSKLGGIVWQILLYDSSIHSILWHILCMSTQLLEHSHGSYHNCHEDIDDIQLVLCCGFDIIFFMLSNLPEGLMPVPPFVTMVLSSSSKPFPFVAAAISSMSFQNSAIQVAAARAFSILCFTAHSAQAQLMENCSFIIDGSEIWRLQASISHILEKEESINNYLIVGIFNLLTSIARYQPALFVSLTEQNTRVEADRSSSTNSQINIFSPLIISRSNGDLVEKTLGYIAKSTELLDRSPSLLLSILNLLEALWESGVQFTCILDKLRSSIALWENLSRCICASLDHCPVESVDEKFSLRYNCQRKILEIMSHELFLKGKLVLEEKPSNPILVGTKERAEPSSESCPSNIVLKWFDSALVEDFVNNLSCNGYQKELLHDAKVASCICVIRLIMNLSSGDTGSLSFSAVKKIQLISSKLLQHRAFLALLSQYALHGYSDEQELTKLVINDLYYHIHGELEGRPITPGPFQELLCFLLELRFFECNPSEQPRNTFQTANGNFLFDVAHMRDELGVELWNHSDWKPCKVVAEKMLDIMHKANLMKSHADAKLCTLRSFITFLSVYIGTSTNNKLDLPDGGISATSTRSAIRYACKSFQSTVDLLFPEVDTNEVLFPLLSGQVELLLTLTRILFHQAKQTKSFRDLHSVIVVLMKTSGASTSFLVDLMPASPALKKPVKALLVLLLSLFEFIYVEDDMKDESAGVNLFGESSLISTKLLPVLCKLAENKEYSELAIGSMDLILKGVLPPHVWVPILHKHFCLQAILHKCHNGVILSTQVILNFLLTLGRTKDGAKILQSANIFAFLKVLLSQLSLDDSCYRNSSSTQAKDVNLWGLGLAIVASLNHCMDDDISRNSVANSTINFLSGQVPLMSSYLSAQSVNTHQNKKRAPLQQSQSSLSALSLTENILALLCILAKYHFPRDASMMEVDSELREIIIHLLAFISRGTARTGDSPNWNPSFFCPPTVKEELLLHEDPPLIRSKYGWFKFAASSALSTAVVSAPSNTSLSLVIRDKSAGDSDSVKQTRFTEMIAVQVYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVLQANGSSSLGRETDRVCHFLLVILETSLYMELCVSQSCGIRPVLGRFEDFSKGIKAMLHALEKHSSFKPLVRSLTQIATLLYPGLSQSSIIM* >Brasy3G152600.1.p pacid=40040699 transcript=Brasy3G152600.1 locus=Brasy3G152600 ID=Brasy3G152600.1.v1.1 annot-version=v1.1 MVLKAIATVVTVAEILKNNGLTVEKKIRTSTAKINDESRGRLFQKIKIELGKSDKFDELMAAAAEDREAEDGEEHA* >Brasy3G185400.1.p pacid=40040700 transcript=Brasy3G185400.1 locus=Brasy3G185400 ID=Brasy3G185400.1.v1.1 annot-version=v1.1 MMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRMACADPLIWGTLDFGLLKSNFIQTRASPYIWVDERSDKRLAKILRLAVAVSLGNVNCMIFHYNLYMKDEHLQYISQRFPHIKRLVMPAWNRITKMGICLAIQRWERLESLTMPTIGHPPYIMEELSRRCKNFKELKVMGSFDHTFALAVSTYLPKLKVLSLRCSKVRIDALQCVLTSMEHLEVLNISHCLLFEIAANGRRQVIHELDDKTLESASRLREFHHCQSRQCVACQRMLQDDGILRWYRYEDWFWRRDEVSSLDLKDYGRLFGAQCEMLTSVD* >Brasy3G063000.1.p pacid=40040701 transcript=Brasy3G063000.1 locus=Brasy3G063000 ID=Brasy3G063000.1.v1.1 annot-version=v1.1 MSSGCDFLGTASPPFICRTAAYFRTLQFSRPDVIVAFSTIRSFALGTNQLSCKNYVAELYLLLISSHQIFPVLFYVFFSCIYHCYLDAPFLLTTCAVSIS* >Brasy3G011300.1.p pacid=40040702 transcript=Brasy3G011300.1 locus=Brasy3G011300 ID=Brasy3G011300.1.v1.1 annot-version=v1.1 METGVAMDQPTDEGMGIEQHEQSEVMPPPHCNAFGIAEMEHEKGGDQFPSGNLRPSEIIVHQNCPELKTLPLPATKELPHTMEVEPNPLAVPEVRDWSELPVDALSAIFTKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAENVMCKMAKVAIDRSEESFWAQKFVSSELLDYIASRGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTNKGVYAILEGCPHLECLDLTECGHLKVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMYAMEAIALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLRNVTCDDTRYCTDFHEYYSL* >Brasy3G011300.2.p pacid=40040703 transcript=Brasy3G011300.2 locus=Brasy3G011300 ID=Brasy3G011300.2.v1.1 annot-version=v1.1 METGVAMDQPTDEGMGIEQHEQSEVMPPPHCNAFGIAEMEHEKGGDQFPSGNLRPSEIIVHQNCPELKTLPLPATKELPHTMEVEPNPLAVPEVRDWSELPVDALSAIFTKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAENVMCKMAKVAIDRSEESFWAQKFVSSELLDYIASRGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTNKGVYAILEGCPHLECLDLTECGHLKVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMYAMEAIALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLRNVTCDDTRYCTDFHEYYSL* >Brasy3G011300.4.p pacid=40040704 transcript=Brasy3G011300.4 locus=Brasy3G011300 ID=Brasy3G011300.4.v1.1 annot-version=v1.1 MEELPHTMEVEPNPLAVPEVRDWSELPVDALSAIFTKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAENVMCKMAKVAIDRSEESFWAQKFVSSELLDYIASRGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTNKGVYAILEGCPHLECLDLTECGHLKVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMYAMEAIALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLRNVTCDDTRYCTDFHEYYSL* >Brasy3G011300.3.p pacid=40040705 transcript=Brasy3G011300.3 locus=Brasy3G011300 ID=Brasy3G011300.3.v1.1 annot-version=v1.1 MEELPHTMEVEPNPLAVPEVRDWSELPVDALSAIFTKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAENVMCKMAKVAIDRSEESFWAQKFVSSELLDYIASRGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTNKGVYAILEGCPHLECLDLTECGHLKVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMYAMEAIALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLRNVTCDDTRYCTDFHEYYSL* >Brasy3G011300.5.p pacid=40040706 transcript=Brasy3G011300.5 locus=Brasy3G011300 ID=Brasy3G011300.5.v1.1 annot-version=v1.1 MTRHKVVFSKAENVMCKMAKVAIDRSEESFWAQKFVSSELLDYIASRGNSLKSIRVIASGFFWDDAVVRLAAKFPMLEEIQYSHQKHLGYFFEQLGAVCPELKRLRIQMPWFDSDAMEREMRMEQNHDEDEDEEEESYEAWEARHNEGAFAIAANLHELRLLQMAGDSLTNKGVYAILEGCPHLECLDLTECGHLKVDDELLARCARIRHVWLPGRWPRVHCPDLRTIEEDEGKVIEMDDDMYAMEAIALRDEGAMQCGDDYGDNYWEEYSTPSSHGGDSPDLRNVTCDDTRYCTDFHEYYSL* >Brasy3G251400.1.p pacid=40040707 transcript=Brasy3G251400.1 locus=Brasy3G251400 ID=Brasy3G251400.1.v1.1 annot-version=v1.1 MAGGLDFEETELRLGLPGGGNDASARGSGKRGFAETIDLKLKLEPAPAVGDDEVANGRIAAAPAEQLSSSAAADGKMKRSPSQSSVVTSDPEKPRAPKAQAVGWPPVRSFRKNILAAQAEKGDRSAALVKVSMDGAPYLRKLDISACSSYAELSMALEKMFGTMKESGCVPTYEDKDGDWMLVGDVPWEMFVSSCKRLRIMKGSESIGLGEKCKTAS* >Brasy3G297100.1.p pacid=40040708 transcript=Brasy3G297100.1 locus=Brasy3G297100 ID=Brasy3G297100.1.v1.1 annot-version=v1.1 MEEEQNAPSFLDVPKDIPVATKSLTIRTNSGGYGSCSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPAPPPHPEGKLGSSVTTTAAPSPASYSNNGSHSEDTDAPSASRTPPSERYDSSGIDPAKISDAPPRASFSFPVPRVSFTRGSVASPASNAKLRSCDVYIGYHSNGGSLGRFCKWLKSELELQGIASFVADRAKYSDTQIHEIADRIICSVAYGVVVVTMSSFLNPFSLEEIRFFAQKKNLIPILFDTEPSEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNWRSCVSRTVALLRSKLGRKNIAEKEGEVSDGIPFPRNRHFVGREKELSEIEGTFFGSTVDIQEVDCIRDSTTNDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRYLQKQRSKHRRSRFRCNSKGYSGASVVCINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMSLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATNVIVTTRLPRVMNLEPMQLPQLSYIDAMILMKGKTKNDYPPDETDVLRKLDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLNENLFPHDANDDGFCRNNSFLIKVLVFCFALMDRAKGGNLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSIHLLGESLKTAFLCGTHCFLAPNGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTSAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKPVDMVLFIRKTALPLAIESFMTFSRCSSALELLKVCTNILEEVEKSYTSRMQDWNRGSLSWRKKLQPNHRVDEFIWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTSISIRTVMLGHGDAQTVAAQETLAKLVRYRSKI* >Brasy3G297100.2.p pacid=40040709 transcript=Brasy3G297100.2 locus=Brasy3G297100 ID=Brasy3G297100.2.v1.1 annot-version=v1.1 MEEEQNAPSFLDVPKDIPVATKSLTIRTNSGGYGSCSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPAPPPHPEGKLGSSVTTTAAPSPASYSNNGSHSEDTDAPSASRTPPSERYDSSGIDPAKISDAPPRASFSFPVPRVSFTRGSVASPASNAKLRSCDVYIGYHSNGGSLGRFCKWLKSELELQGIASFVADRAKYSDTQIHEIADRIICSVAYGVVVVTMSSFLNPFSLEEIRFFAQKKNLIPILFDTEPSEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNWRSCVSRTVALLRSKLGRKNIAEKEGEVSDGIPFPRNRHFVGREKELSEIEGTFFGSTVDIQEVDCIRDSTTNDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRYLQKQRSKHRRSRFRCNSKGYSGASVVCINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMSLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATNVIVTTRLPRVMNLEPMQLPQLSYIDAMILMKGKTKNDYPPDETDVLRKLDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLNENLFPHDANDDGFCRNNSFLIKVLVFCFALMDRAKGGNLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSIHLLGESLKTAFLCGTHCFLAPNGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTSAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKPVDMVLFIRKTALPLAIESFMTFSRCSSALELLKVCTNILEEVEKSYTSRMQDWNRGSLSWRKKLQPNHRVDEFIWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTSISIRTVMLGHGDAQTVAAQETLAKLVRYRSKI* >Brasy3G274700.1.p pacid=40040710 transcript=Brasy3G274700.1 locus=Brasy3G274700 ID=Brasy3G274700.1.v1.1 annot-version=v1.1 MVETQQQPVPPVPVAPLSNEELLQAHAELWNLTFSYLKSMALDCAVKLAIPTAIHRRGGASSLPDLLSAVPVPDSKKPHFPRLMRFLAATGIFTVEAASGIYGLTPVSRLLVNDDAKSLSPFVLSQTNKYHVTAAMRLPDWFTSDEGAAGVDMPFRMAHGTDMWGVMARDPKINDLFNAGLAADTKLAMDFVVTNCGEAFEGVASLVDVAGGTGTAARAIAGAFPHVKCSVLDLPNVINSVPADGVVEYIVGDMMESIPPTDAVFLKYVLHDWDDDVCVKILTQCKKAIPESGGKVIIVDMVVGSNSNSKATAEAQAVLDLLMMVVTAGKERDEHQWRKIFMDAGFSNYKTKPVLGFLSIIELYP* >Brasy3G279900.1.p pacid=40040711 transcript=Brasy3G279900.1 locus=Brasy3G279900 ID=Brasy3G279900.1.v1.1 annot-version=v1.1 MRRGGVGGAAGRHPKSSLAPSAAVDRTPMMDQPLYPRNLDHAFSRRDSDAFSIGSSRPSSIGTAPAHAAPITNLSDRSSQAAALRVVNAYLAPTIHLRAPLPAARDILAAFRHFLERLQYPVQSSLEEDLLILLRLLACPYKLTRSALKAPGTPHSWPPLLSVLYWLTLLSRVADNLDASSSPSAATSNDLMLYITESYNLFLTADDDAVASLDEEYKSKARLQTDAFAVAVQALEKEVEDLEAKRSKQTSEPSRRKALEEKKEAFTADVQKFDAVVKSWSTKIKEKEESLVVLEKELEAKVMNGQHMLAENEELVKKVEAQVVNVRDADRMTREMQAVEHDISKLENANAALEEKGWELEAALVTKLEDIEGLAEQCNQALKKLKLGIDFKYMLNAKGSSPSEILGTTYKTVLKAALSALANETKRIFASKHDESNDLQKHLQGNAKIIEEKRNHVSVLEAKTNEVIAQLDSVDLEIGNHVSSCTTDSRKMKDELEKKEDQLSAVEKEADTFLKNSEQSLQDASRQSDEETQICARELLKLIDSITEHKEFVETSISGMKKCLYESVDNIASLSAKMLL* >Brasy3G279900.2.p pacid=40040712 transcript=Brasy3G279900.2 locus=Brasy3G279900 ID=Brasy3G279900.2.v1.1 annot-version=v1.1 MRRGGVGGAAGRHPKSSLAPSAAVDRTPMMDQPLYPRNLDHAFSRRDSDAFSIGSSRPSSIGTAPAHAAPITNLSDRSSQAAALRVVNAYLAPTIHLRAPLPAARDILAAFRHFLERLQYPVQSSLEEDLLILLRLLACPYKLTRSALKAPGTPHSWPPLLSVLYWLTLLSRVADNLDASSSPSAATSNDLMLYITESYNLFLTADDDAVASLDEEYKSKARLQTDAFAVAVQALEKEVEDLEAKRSKQTSEPSRRKALEEKKEAFTADVQKFDAVVKSWSTKIKEKEESLVVLEKELEAKVMNGQHMLAENEELVKKVEAQVVNVRDADRMTREMQAVEHDISKLENANAALEEKGWELEAALVTKLEDIEGLAEQCNQALKKLLLNWIQWILKLEIMFQAAPLILER* >Brasy3G308300.1.p pacid=40040713 transcript=Brasy3G308300.1 locus=Brasy3G308300 ID=Brasy3G308300.1.v1.1 annot-version=v1.1 MAACFLVLLLLLVFLASPASSSSTTSLATDGSPARQSAASGSNVTTFSFGSFHPDLRNVNLTVAGDAGITKGALQITPDSLNDAANFLVNKSGRVLFSRPFRLWRPLLNATTTKNNKQLASFSTVFTVNVFTAPGTDPGEGIAFLIAPSSSDPPTGSHGGFLGLTTAATDGNATNRFVAVELDTEKQPHDPDDNHVGLNVNSVVSVLTASLTPRGIEISPPSRAAKYNVWVDYDGNARRIAVYMADIEKQLQKPSKPVLAAPLDLGEVVAERSFFGFSASTGTKYQLNCVLAWNMTVEKLDEEEDERQEPSSKKKSLVLGLAVGVSVGVLALFAGAGALGYYYMCVVKGRRKTEVHGGDGGSGITGTMIRSLAGGPREFEYKELRKATNNFDERMKLGQGGYGVVYRGVVAEDPLANPGAGGGSTVDVAVKKFSRASTQGQNDFLAELSIINRLRHKNLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFSLPSPSPGGRQPLGWELRYTIIQGVASALHYLHDQYDQRVVHRDLKASNIMLDAAFTARLGDFGLARAIDTDKTSYTEEVAGGVHGTVGYIAPECFHTEKATRESDVYAFGAVILEVVCGRRPRCDVEGFHFLVDWVWRLHRDGRAVEAVDPRLEGGFDEDDAERLLMLGLACSHPTPGERPKAQAISQILLRAMLPPQVPPFKPSFVWPATNGGFDTMSTTAGTTSSQVSTVVTSTSTWSGNFARAPE* >Brasy3G221500.1.p pacid=40040714 transcript=Brasy3G221500.1 locus=Brasy3G221500 ID=Brasy3G221500.1.v1.1 annot-version=v1.1 MQRLSVGSPGASRPRLDAAAEEADEKASGKAGRAALAPDKSIHLVPLLTLLCLLVLFLFSHDPASSALADSPPVLAVAARSLEATADTTASVARGGAFKAGPVLRRGRRLGATRR* >Brasy3G193200.1.p pacid=40040715 transcript=Brasy3G193200.1 locus=Brasy3G193200 ID=Brasy3G193200.1.v1.1 annot-version=v1.1 MGKMTQIKPFAVLVILAALSVSDLTVGVHGRPPGTAPPTPKPAPRRSSAKPIHPPPPPLGKVHTVRSPPRPPPPPSTPYPRRGEQPQLPFPPPPLPCN* >Brasy3G174500.1.p pacid=40040716 transcript=Brasy3G174500.1 locus=Brasy3G174500 ID=Brasy3G174500.1.v1.1 annot-version=v1.1 MDLVPHPDSPQSDNNSGGGGGASSAASPLSSPSRYESQKRRDWNTFGQYLRNHRPPLSLGRCSGAHVLEFLRYLDQFGKTKVHAQGCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQARARGVSYEKKKRRKNNPSAAAAGPHHADLNGNSSNGVGHHHYMPPPPPPPGAAV* >Brasy3G273800.1.p pacid=40040717 transcript=Brasy3G273800.1 locus=Brasy3G273800 ID=Brasy3G273800.1.v1.1 annot-version=v1.1 MASKITLLVLAAQLLLAAAAPTATTTATPAAIPDRPTVPAAPLPPLPFPANEGEAAAECWKAVVQATETCAGDILRRLASPSPAVRVGPACCGVLNRVGEKCLRDLFPGSPFGRFYAPFVAHACGGIPIGVASATPGGRQ* >Brasy3G117200.1.p pacid=40040718 transcript=Brasy3G117200.1 locus=Brasy3G117200 ID=Brasy3G117200.1.v1.1 annot-version=v1.1 MHPSRIVHQPPRASIRSATIRRRSRLRLRCLCSQPPATVVLLRNHPGPSGEAPTPSPTEDRRLHYHGAAQISCPPPRFRAAGLPGARHAASDPPEATISCGRTAAGETDAQEQGRLAGVQGRGGPRQEFGSRLAGRGRGGGTEAGVHERSSGPRLKRRRLG* >Brasy3G223000.1.p pacid=40040719 transcript=Brasy3G223000.1 locus=Brasy3G223000 ID=Brasy3G223000.1.v1.1 annot-version=v1.1 MSDQVLHNFLSFDAQDVRNKMGLLLSNWFFLFFALVSSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCNWIGVGCDKKNNVVSLDLSSSGVSGSLGSQVGLIKYLEVISLPNNNISGPIPPELGNCSMLDLLDLSGNFLSGEIPESLGNLKKLSSLWLYNNSLNGEIPEKLFNNKFLQDVYLQNNNLSGSIPSSIGEMTSLKYLWLHHNALSGVLPDSIGNCSKLEDVYLLYNRLSGSIPKTLSYVKGLKNFDATANSFNGEIDFSFENCKLEKFILSFNQIRGEIPPWLGNCSRLTELALVNNSLSGHIPASLGLLSNLSRLLFSQNSLSGPIPPEIGNCRLLLWLEMDANMLVGTVPKELANLRNLQKLFLFDNRLTGEFPEDIWSIKRLESVLIYRNGFTGKLPPVLSELKFLQNITLFDNFFTGVIPPGLGVNSRLIQIDFTNNSFTGGIPPNICSGQSLRVFVLGFNLLNGSIPSGVVNCPSLERIILQNNNLTGPIPQFRNCANLDYMDLSHNSLSGDIPASLGGCINITKINWSDNKLFGPIPPEIGKLVNLRFLNLSQNSLLGELPVQISRCSRLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASFGKLIKLGVALNLSRNGLVGDIPTLLGDLVELQSLDLSFNNLTGGLATLGSLRLLNALNISYNRFSGPVPEYLMKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRGVHGRFRVALIVLGSLFIAALLVLILSCILLKTQASKTKGEESISNLLEGSSSKLNEVIEMTENFDAKYIIGKGAHGIVYKATLRSGEVYAVKKLAISTRDGSYKSMIRELKTLGKIRHRNLIKLKEFWLRSECGFILYDFMEHGSLYDVLHGVRPTPNLDWSVRYNIALGTAHGLAYLHHDCIPAIIHRDIKPSNILLNKDMVPRISDFGIAKIMDQSSAAPQTTGVVGTTGYMAPELAFSTRSSIETDVYSYGLVLLELITRKMAVDPSFPDNMDIASWVHHALNGTDQVAVVCDPALMDEFYGTDEMEEVRKVLSLALRCVAKETGRRPSMIDVVKELTDARAAAISSSKQAKQGSRRVPCPDG* >Brasy3G223000.2.p pacid=40040720 transcript=Brasy3G223000.2 locus=Brasy3G223000 ID=Brasy3G223000.2.v1.1 annot-version=v1.1 MGLLLSNWFFLFFALVSSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCNWIGVGCDKKNNVVSLDLSSSGVSGSLGSQVGLIKYLEVISLPNNNISGPIPPELGNCSMLDLLDLSGNFLSGEIPESLGNLKKLSSLWLYNNSLNGEIPEKLFNNKFLQDVYLQNNNLSGSIPSSIGEMTSLKYLWLHHNALSGVLPDSIGNCSKLEDVYLLYNRLSGSIPKTLSYVKGLKNFDATANSFNGEIDFSFENCKLEKFILSFNQIRGEIPPWLGNCSRLTELALVNNSLSGHIPASLGLLSNLSRLLFSQNSLSGPIPPEIGNCRLLLWLEMDANMLVGTVPKELANLRNLQKLFLFDNRLTGEFPEDIWSIKRLESVLIYRNGFTGKLPPVLSELKFLQNITLFDNFFTGVIPPGLGVNSRLIQIDFTNNSFTGGIPPNICSGQSLRVFVLGFNLLNGSIPSGVVNCPSLERIILQNNNLTGPIPQFRNCANLDYMDLSHNSLSGDIPASLGGCINITKINWSDNKLFGPIPPEIGKLVNLRFLNLSQNSLLGELPVQISRCSRLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASFGKLIKLGVALNLSRNGLVGDIPTLLGDLVELQSLDLSFNNLTGGLATLGSLRLLNALNISYNRFSGPVPEYLMKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRGVHGRFRVALIVLGSLFIAALLVLILSCILLKTQASKTKGEESISNLLEGSSSKLNEVIEMTENFDAKYIIGKGAHGIVYKATLRSGEVYAVKKLAISTRDGSYKSMIRELKTLGKIRHRNLIKLKEFWLRSECGFILYDFMEHGSLYDVLHGVRPTPNLDWSVRYNIALGTAHGLAYLHHDCIPAIIHRDIKPSNILLNKDMVPRISDFGIAKIMDQSSAAPQTTGVVGTTGYMAPELAFSTRSSIETDVYSYGLVLLELITRKMAVDPSFPDNMDIASWVHHALNGTDQVAVVCDPALMDEFYGTDEMEEVRKVLSLALRCVAKETGRRPSMIDVVKELTDARAAAISSSKQAKQGSRRVPCPDG* >Brasy3G223000.4.p pacid=40040721 transcript=Brasy3G223000.4 locus=Brasy3G223000 ID=Brasy3G223000.4.v1.1 annot-version=v1.1 MGLLLSNWFFLFFALVSSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCNWIGVGCDKKNNVVSLDLSSSGVSGSLGSQVGLIKYLEVISLPNNNISGPIPPELGNCSMLDLLDLSGNFLSGEIPESLGNLKKLSSLWLYNNSLNGEIPEKLFNNKFLQDVYLQNNNLSGSIPSSIGEMTSLKYLWLHHNALSGVLPDSIGNCSKLEDVYLLYNRLSGSIPKTLSYVKGLKNFDATANSFNGEIDFSFENCKLEKFILSFNQIRGEIPPWLGNCSRLTELALVNNSLSGHIPASLGLLSNLSRLLFSQNSLSGPIPPEIGNCRLLLWLEMDANMLVGTVPKELANLRNLQKLFLFDNRLTGEFPEDIWSIKRLESVLIYRNGFTGKLPPVLSELKFLQNITLFDNFFTGVIPPGLGVNSRLIQIDFTNNSFTGGIPPNICSGQSLRVFVLGFNLLNGSIPSGVVNCPSLERIILQNNNLTGPIPQFRNCANLDYMDLSHNSLSGDIPASLGGCINITKINWSDNKLFGPIPPEIGKLVNLRFLNLSQNSLLGELPVQISRCSRLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASFGKLIKLGVALNLSRNGLVGDIPTLLGDLVELQSLDLSFNNLTGGLATLGSLRLLNALNISYNRFSGPVPEYLMKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRGVHGRFRVALIVLGSLFIAALLVLILSCILLKTQASKTKGEESISNLLEGSSSKLNEVIEMTENFDAKYIIGKGAHGIVYKATLRSGEVYAVKKLAISTRDGSYKSMIRELKTLGKIRHRNLIKLKEFWLRSECGFILYDFMEHGSLYDVLHGVRPTPNLDWSVRYNIALGTAHGLAYLHHDCIPAIIHRDIKPSNILLNKDMVPRISDFGIAKIMDQSSAAPQTTGVVGTTGYMAPELAFSTRSSIETDVYSYGLVLLELITRKMAVDPSFPDNMDIASWVHHALNGTDQVAVVCDPALMDEFYGTDEMEEVRKVLSLALRCVAKETGRRPSMIDVVKELTDARAAAISSSKQAKQGSRRVPCPDG* >Brasy3G223000.5.p pacid=40040722 transcript=Brasy3G223000.5 locus=Brasy3G223000 ID=Brasy3G223000.5.v1.1 annot-version=v1.1 MGLLLSNWFFLFFALVSSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCNWIGVGCDKKNNVVSLDLSSSGVSGSLGSQVGLIKYLEVISLPNNNISGPIPPELGNCSMLDLLDLSGNFLSGEIPESLGNLKKLSSLWLYNNSLNGEIPEKLFNNKFLQDVYLQNNNLSGSIPSSIGEMTSLKYLWLHHNALSGVLPDSIGNCSKLEDVYLLYNRLSGSIPKTLSYVKGLKNFDATANSFNGEIDFSFENCKLEKFILSFNQIRGEIPPWLGNCSRLTELALVNNSLSGHIPASLGLLSNLSRLLFSQNSLSGPIPPEIGNCRLLLWLEMDANMLVGTVPKELANLRNLQKLFLFDNRLTGEFPEDIWSIKRLESVLIYRNGFTGKLPPVLSELKFLQNITLFDNFFTGVIPPGLGVNSRLIQIDFTNNSFTGGIPPNICSGQSLRVFVLGFNLLNGSIPSGVVNCPSLERIILQNNNLTGPIPQFRNCANLDYMDLSHNSLSGDIPASLGGCINITKINWSDNKLFGPIPPEIGKLVNLRFLNLSQNSLLGELPVQISRCSRLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASFGKLIKLGVALNLSRNGLVGDIPTLLGDLVELQSLDLSFNNLTGGLATLGSLRLLNALNISYNRFSGPVPEYLMKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRGVHGRFRVALIVLGSLFIAALLVLILSCILLKTQASKTKGEESISNLLEGSSSKLNEVIEMTENFDAKYIIGKGAHGIVYKATLRSGEVYAVKKLAISTRDGSYKSMIRELKTLAFMMFCMGFGRRQTWTGVCVITLLLELPMV* >Brasy3G223000.3.p pacid=40040723 transcript=Brasy3G223000.3 locus=Brasy3G223000 ID=Brasy3G223000.3.v1.1 annot-version=v1.1 MGLLLSNWFFLFFALVSSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCNWIGVGCDKKNNVVSLDLSSSGVSGSLGSQVGLIKYLEVISLPNNNISGPIPPELGNCSMLDLLDLSGNFLSGEIPESLGNLKKLSSLWLYNNSLNGEIPEKLFNNKFLQDVYLQNNNLSGSIPSSIGEMTSLKYLWLHHNALSGVLPDSIGNCSKLEDVYLLYNRLSGSIPKTLSYVKGLKNFDATANSFNGEIDFSFENCKLEKFILSFNQIRGEIPPWLGNCSRLTELALVNNSLSGHIPASLGLLSNLSRLLFSQNSLSGPIPPEIGNCRLLLWLEMDANMLVGTVPKELANLRNLQKLFLFDNRLTGEFPEDIWSIKRLESVLIYRNGFTGKLPPVLSELKFLQNITLFDNFFTGVIPPGLGVNSRLIQIDFTNNSFTGGIPPNICSGQSLRVFVLGFNLLNGSIPSGVVNCPSLERIILQNNNLTGPIPQFRNCANLDYMDLSHNSLSGDIPASLGGCINITKINWSDNKLFGPIPPEIGKLVNLRFLNLSQNSLLGELPVQISRCSRLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASFGKLIKLGVALNLSRNGLVGDIPTLLGDLVELQSLDLSFNNLTGGLATLGSLRLLNALNISYNRFSGPVPEYLMKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRGVHGRFRVALIVLGSLFIAALLVLILSCILLKTQASKTKGEESISNLLEGSSSKLNEVIEMTENFDAKYIIGKGAHGIVYKATLRSGEVYAVKKLAISTRDGSYKSMIRELKTLAFMMFCMGFGRRQTWTGVCVITLLLELPMV* >Brasy3G009500.1.p pacid=40040724 transcript=Brasy3G009500.1 locus=Brasy3G009500 ID=Brasy3G009500.1.v1.1 annot-version=v1.1 MPSSSSSRRRHRRRGRNKPPSPTPHPQAEDVARNAAELPRDDPSAVLQKLDPVPRPTLPNPNPPEPQPHSTTRSSSSRRRRGRRGRKAPPPAAVEARDWAELPLDVILAVLHKLDHVEILTGAGQACRSWLRAARDVPALWRRIDMRGHAADIHEYHLCRMSQVAVRRSAGQCEAFWGEYAANEELILFLGDRAPSLKSLRLISCHDVLIEGLVEAIKKFPLLEELELRGPEEELLTAVEPVDAGLNSVGLEPLLGGDAFCSVLCGPDPFGPCDTGLVQVDAALDVPAKLLHEVGCKAVAASPGLLDETLQSFLSELTSPVEAAVLPSPPVMEKKLKTLAHQGADAEVRKSGRLAAQSTRGLSSMDRARLVLLKKGGISDGEGAPAPDELLKYRQLFTKPLPASFVAAVSSLVSSHGELSLCSNIGAGNHMFEIGIACPQLRHFRLNKLRFYNFKDREDEDGIEDSDNEFKYDKDDDAKGIATMHELRPLQLFGDNLSSEGLTAILDNCSHLEIKTLRLSNDSTDDYTVECLSPIWPGLGLGTDSDSDDCVYGGPDYILDSDEYDDYCDPERYLDGVYIGRRVTIMDLVNAEF* >Brasy3G009500.2.p pacid=40040725 transcript=Brasy3G009500.2 locus=Brasy3G009500 ID=Brasy3G009500.2.v1.1 annot-version=v1.1 MPSSSSSRRRHRRRGRNKPPSPTPHPQAEDVARNAAELPRDDPSAVLQKLDPVPRPTLPNPNPPEPQPHSTTRSSSSRRRRGRRGRKAPPPAAVEARDWAELPLDVILAVLHKLDHVEILTGAGQACRSWLRAARDVPALWRRIDMRGHAADIHEYHLCRMSQVAVRRSAGQCEAFWGEYAANEELILFLGDRAPSLKSLRLISCHDVLIEGLVEAIKKFPLLEELELRGPEEELLTAVEPVDAGLNSVGLEPLLGGDAFCSVLCGPDPFGPCDTGLVQVDAALDVPAKLLHEVGCKAVAASPGLLDETLQSFLSELTSPVEAAVLPSPPVMEKKLKTLAHQGADAEVRKSGRLAAQSTRGLSSMDRARLVLLKKGGISDGEGAPAPDELLKYRQLFTKPLPASFVAAVSSLVSSHGGTGAALQLGEPAAA* >Brasy3G285900.1.p pacid=40040726 transcript=Brasy3G285900.1 locus=Brasy3G285900 ID=Brasy3G285900.1.v1.1 annot-version=v1.1 MGAPPAHRHSPDRPNGDNGDCGRPCSSGDAIPVVDLGVLLNGAAEQRSQAIRDLGRACEDWGFFMVINHGVPEALQEAVMDACKELYSLPREEKAAYIAAGPKDPIRVGTGFFYSDVDDTLCRRDFVKMIVHPEFHCPAKPAKLREIAMEYSTCTRELLLELAKAVSESLGLDSGCIFEALNLESCFQILVGNNYPPYTGSDGAMGISAHSDHGLLTLLFQNGVDGLQVKHNGQWLLAKPVVGSLFIITGDQLEIVSNGRYKALLHRAMIHGEQTRMSFVSLIGPSLDTFVEPVQELAQEAPQGMEFRGIKYRDYMEHQQSSKINEKAALDIVCVQRDILTFDGAQNNSIEPASVD* >Brasy3G015800.1.p pacid=40040727 transcript=Brasy3G015800.1 locus=Brasy3G015800 ID=Brasy3G015800.1.v1.1 annot-version=v1.1 MPFIQENDYPVESCKPDSAEDEKNMSPPAPSTPETVVKDEPFPFIGLFCYADALDWLFMVLGTMGSFVHGMAPSMSYYIMGKCVDAFGSNIGDQDAIVRGLSKLIPYMWFLALITLPAGMIEIACWMYTSQRQMTRMQMAYLRSVLSQDVGAFDTDLTTANIMAGATNHMSVIKDAIGEKMGHFISNFSTFLVAIIVAFVCSWEVGMMSFLVVPMLLMIGATYAKMMNGMSMTRMALVSEATSVVEQNLSHIKTVFSFVGENSAMRSFTKCMDKQYKLSKKEAITKGLGLGMLQIATFCSYSLTIYIGAVAVTGRRPAKAGETIAAVINILSAAIYISNAAPDLQAFSQAKAAGKEVFKIIKRKPVISSESDGRTLEKVIGEIEIREVDFTYPSREDKPILQGFSLAIQAGEIVALVGSSGCGKSTVISLVQRFYDPTSGDIIIDGQNTKDLDLKFLRRNIGSVSQEPALFSGTIMYNLRIGKMNATDEEIIEAAKTANVHSFISKLPNQYSTEVGERGLQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALDRAMRGRTVILIAHRMSTIINADKIVVVENGGVAQSGTHEELLEKSTFYSTVCNMQNLEKKYGKSDERFTDQGEADQDNGTYKEQSFAAHEQENKPEPTSEQPKQGTRKRMSAFNRIFLGTLKLAPAKVLLGSTAAAISGISRPLFAFYIITVGMTYLDPDAKRKVTKYSITLFLIGMSTFFSNIFQHYIYGLVGERAMKNLREALFTAVLRNEMGWFEKPKNSVGFLTSRVVSDTSMIKTIISERMAIIVQCISSILIATGLSTAVNWRMGLVSWAMMPCHFIAGLVQVRSAKGFATDTSKSHRKLISLTSEAVSNIRTVASFVQEEEILRKADLALQEPMRISRIESVKYGVVQGISLCLWHMTHAIALSFTIVLLDKRLASFEDSVRSYQAFAMTISSITELWSLIPMVMSAIAILDPALDILDRETQIVPDEPKVTCEDRIVGNVEFKDVIFSYPSRPEVIILDGFSLAIESGQRVALVGPSGSGKSTVLALLLRFYDPCNGQVLVDGKDIRTYNLKCLRKQIGLVQQEPILFNMSIRENISYGNEGASETEIVEAAMEANIHEFISSLSKGYDTVVGEKGSQLSGGQKQRIAVARTILKKPVILLLDEATSALDGESERVVMNTLGAKGWKNKGELSSKITSITIAHRLSTVTNTDVIVVMDKGEVVETGSHATLVSESNGIYSRMYHMQIKGAKD* >Brasy3G066700.1.p pacid=40040728 transcript=Brasy3G066700.1 locus=Brasy3G066700 ID=Brasy3G066700.1.v1.1 annot-version=v1.1 MNTNSASHGVEETIIPIQEMDTNSTSHGAEETKIPIQEWMRVKTESLETDFSNNETKIHRFPQGLRWIGGQGDRYIVPSVVALGPYHHGRAHLQKMEEVKPAAAQYFCLKSRHSAEEVYGKILSIASEARGCYDDGDAAVTRFSDAEFATMIFLDGCFLLWYISRSHEPALLFNRMTLSTGPCMSRDIFLLENQLPWLVLEALMTFTSVPIYRFIFNASSAFDAISVSELRLSEDEFLRYKPPHLLGLFRYYHIGAMPPKDHSRYEVTYLALASSAIDLAEIGIKVTASKKRWFADMSILKGCLTGELSLTPLFLNDFTACWLVNMAAFEACMSTDWPSDGYTISSYISLLAMLMDKEEDVHELRAKHLVRSFFSNHDLLDFFKGFACHVRIGCRYHVALDEIDGYKRDRCVRIAMHKFIYKNFKTIATLLSITGVLVGIFKTLLDLKQR* >Brasy3G287700.1.p pacid=40040729 transcript=Brasy3G287700.1 locus=Brasy3G287700 ID=Brasy3G287700.1.v1.1 annot-version=v1.1 MPNQTIPHILRNHPVLRGIGGARTGGDFSGRRRRSAVAWRDGGASTLARRGAKAEQRLGVMAAEHRRGATAAAHGEKATAAEQRLGATMQRLGASAAAAVGGGGRGRGRGRTRRRRGLWLRSGAVTRAVPAAVAASEGGGACGAATRGGGAATRGDCA* >Brasy3G016900.1.p pacid=40040730 transcript=Brasy3G016900.1 locus=Brasy3G016900 ID=Brasy3G016900.1.v1.1 annot-version=v1.1 MRMNSWQDGVAGTNCPIPAGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITINNRPVVPVPFGQPDGDITLFIGDWYTKSHIELRGMLDDGKDLGIPDGILINGKGPYRYDTTLVPEGLQYEIVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMLLVEAEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYHIVASPRFVGSESRWHDVNGVAILQYSNSKSSASGPLPAAPNDFYDKYYSVNQARSIRMNVSSGAARPNPQGSFHYGSINITQTFVLKNESPLRIDGKRRRTINRVSYSPPETPLRLADLHNLTGVYKTDFPTTPSNAPPLRASSVLNASYKGFLEIVFQNNETDVQTYHLDGYSFFVVGMDYGEWTPNSRGAYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYNGQEVYVKVADPLGYNITEMIAPENILYCGLLKDLQKPQVHQTSNKSSAHAAARWSARLLATVLLMVAAVIFS* >Brasy3G223500.1.p pacid=40040731 transcript=Brasy3G223500.1 locus=Brasy3G223500 ID=Brasy3G223500.1.v1.1 annot-version=v1.1 MKKTAVSTGSTGERGGWPCGLNLNAVFLLSMVVTNLLSIYHLSARASTNPPPSHSGSDRQLLQQLSAIRATVSHLRSSSSSSTPPPPPPELVLYSRLAPVGSACSAHPDLLHRFMSYAPFSACPDDARSLAEPLILRGCHPLPRRRCFSPTPPAAASGSIQQQLPTDPFAPLPDSAARWPTTDSKKCRSFTCLPASLGFDLAGTESARFLRARGPLDLTVPQLLRLASMSRAGPIRLGLDVGGGTGTLAARLKLAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRLPLGDGTVDLVRTGHAVNRWIPEPAMEFLWYDADRVLRAGGLLWVDHFWCRKGDLDAVYGAMLRRLGYKTVKWAVADKSSRGGNAKDHDLVYLTALLQKPF* >Brasy3G086600.1.p pacid=40040732 transcript=Brasy3G086600.1 locus=Brasy3G086600 ID=Brasy3G086600.1.v1.1 annot-version=v1.1 MAAMARGGEGSLRDAADGLSLPPCRLGGGEETGGDGGRRDSASASHGDGQPEMTGRPEVTGWPEVTVL* >Brasy3G117400.1.p pacid=40040733 transcript=Brasy3G117400.1 locus=Brasy3G117400 ID=Brasy3G117400.1.v1.1 annot-version=v1.1 MLESDNDERSELNIQASSAPMEEKKATPAGVKRVKKGSKLAEVRKVKKQPMLAAYHGDNDKGEEGATADYVDPTRPFEDQNLGLAWYPQWCRMRSELIAKSMPNDIIFPDPTPKRVRDAFSKIASHLDAILEKDSVPCFLRLFIESGEGMHWNFNITAQTLTYMVMNNAMGCAKAALEGKKSELYGMHANPNCMNSYGYFPLHEAAETFSVDMIKLLFRHGASANVRTVGNRVIEGLLPLHVAVQNACLHKYLEDNLSSIQDHQDYIYNLIHLLCLPEMKIFLDTIRLLAEKTDNLVDEVWTYIKGKKLVEAAVLLLAAQSQIRGGCSLKRNVKGKQNGFDVIMCRMMEDLENAQLQLDDKTTLKYASLLVRIIVRAGEALDGYIQHHSKVPHTEVIEHVSSILKDFGYYSTGKGIDVGNLRPYDCKMSDRESHDIGHIDATEACKITASAAGEKVARGKRSSGWDPDYSRRMFFPYWRSVLLHRCLVRVYPAYARAPVMCEFDPKVLMNSRRDSMDNVSSTNLNHNLMMLGRTQQPANNYQPRSLFTTAAFTGYGCSRNPNHNLGLLARIRQPTNRHQTRL* >Brasy3G213600.1.p pacid=40040734 transcript=Brasy3G213600.1 locus=Brasy3G213600 ID=Brasy3G213600.1.v1.1 annot-version=v1.1 MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVAEFTLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAEGAEVVLDDDEDGEGWLATHGVQASKAKEEEDIPSIDTLDIGKAEEIKSIPSYFGAGEKQDEEEDIPDMDTYEDTGDDAIASTQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHFLAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSANR* >Brasy3G213600.2.p pacid=40040735 transcript=Brasy3G213600.2 locus=Brasy3G213600 ID=Brasy3G213600.2.v1.1 annot-version=v1.1 MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVAEFTLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAEGAEVVLDDDEDGEGWLATHGVQASKAKEEEDIPSIDTLDIGKAEEIKSIPSYFGAGEKQDEEEDIPDMDTYEDTGDDAIASTQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHFLAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSANR* >Brasy3G132900.1.p pacid=40040736 transcript=Brasy3G132900.1 locus=Brasy3G132900 ID=Brasy3G132900.1.v1.1 annot-version=v1.1 MKRLQCFKQGGGGGGGNGGAAGRRLERRLSLGDYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRAKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHQNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPYSVPIELVLKLALDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKNHTRKVDVYSFGIVLWEILTSLVPFSEMTPEQAAIAVALKNARPPLPASCPVAMSHLISQCWATNPDRRPQFDDIVAVLESYKEALDEDPSFFLSYIPPPLLHQHQHQQQQQQSLLRCFPRCRALRRSASLKA* >Brasy3G033800.1.p pacid=40040737 transcript=Brasy3G033800.1 locus=Brasy3G033800 ID=Brasy3G033800.1.v1.1 annot-version=v1.1 MAPVGKQARPHLSRRDATQEQMGNGLHLLVTKGAARGVLQATTRKPVRRPASVPCRKPSKESTPSRGT* >Brasy3G209000.1.p pacid=40040738 transcript=Brasy3G209000.1 locus=Brasy3G209000 ID=Brasy3G209000.1.v1.1 annot-version=v1.1 MLRSLQYCKSVTALLAPSMHKIKLILLSRSWTKIVQLVCGRCQTLLMYLCGVTSVQCFLLPLSTWSWKSTKACLSFSLYLVATCM* >Brasy3G172200.1.p pacid=40040739 transcript=Brasy3G172200.1 locus=Brasy3G172200 ID=Brasy3G172200.1.v1.1 annot-version=v1.1 MASAAEMTGRHMLCLQSFPSNMVKQRHSFISARHSSLRRSRMVRDCLDLCHFCWLPNQRFALSNTRPMPALNAAVSRGVNSPLVEESVDDVKLFSRIIHLYRRPFLQESETKDLLRKVQAKVSSNIIDIKTEQCFNVELESALSSEKLTALQWLLAETYEPENLQSGSFLEEEVLRSPYSFLVEVGPRMTFSTAFSTNAVSICKALSLLEVTRLERSRRYLLCLQPGSGPLDESQLNSFAALVHDRMTECVYPSKLTSFRSDVVPEPVSVMPVIERGREALEEINIRMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLEIDGETMERTLFQLVKSPLKANPNNSIIGFKDNSSAIKGNPVNHLRPAVPGSTSPLSLMMCELGILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLLMEESYAPWEDSSFSYPLNLASPLQILIDASDGASDYGNKFGEPLIQGFTRTFGMRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEIGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIIVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLQSLCERERVSMAVLGKIDGSGKIVLIDGAAVEHAKLNGLPPPLPVVDLELEKVLGDMPQKTFEFKRASRLSEPLDIAPEVTLMNVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPEAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVAMADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLSKGKRRLGCSALAQAFDQIGNDCPDIEDVSYLKKVFEVVQELLSERLISSGHDISDGGLIVTILEMAFAGNCGVNLNIELKDNDLLQALFAEELGLVMEVHSKDHDVVKQKLQAAGVSANVIGKVTAAPEIELLVDGVVCLMERTSDLRDLWEETGFQLEELQRLKSCVLLEKEGLKSRTTPSWHLSFAPKFTDKKLLTASSKPKVAIIREEGSNSDREMSAAFHAAGFEPWDITMSDLLNHKASLNEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMSQPRFIHNESGRFECRFISVAIGDSPSIMFKGMEGSTLGIWSAHGEGRAFFPDENVLSGVVKSNLAPLRYCDDANNVTEVYPFNPNGSPLGIAALCSPNGRHLALMPHPERSFMMWQYPWYPKEWQIEKSGPSPWLRMFQNAREWCS* >Brasy3G329000.1.p pacid=40040740 transcript=Brasy3G329000.1 locus=Brasy3G329000 ID=Brasy3G329000.1.v1.1 annot-version=v1.1 MATGTAPEEVVQPPSGAKGAAGEATRKQSDAQGRGGGGGGGRGGGGGGGGGGGGFGGRGKKRKNKEVFIYGNYRNYYGYRIDRNIGEDPRLEIFKREWFAGKDCLDIGCNQGLVTIGLAMKFECRSILGVDIDSGLIETANWNLRRIARTGKLDTESAKVHNSSDSTIQRCPKEVVSEVSNGNISKHKHHDLFEIVSFQPENFVESMHKCSEQYDTIMCLSVTKWIHLNWGDDGMITLFVKIWRLLRPGGIFIMEPQPWTSYRRNRLVSEVARENFNDICIYPEKFREILLDKIGFRSVEVITDRLVGSVTGFDRPIEVYHK* >Brasy3G239100.1.p pacid=40040741 transcript=Brasy3G239100.1 locus=Brasy3G239100 ID=Brasy3G239100.1.v1.1 annot-version=v1.1 MAAGNVVPVDMPCFAAPMAVGMAAVLVALLIHMVFRWKSGPCRAAAGARLPPGSRGLPVIGESFQFFAASASLELLPFLKRRLQRYGPIFRTNLLDEDLIVSLDQELNNLVFQQEEKLFQIWYPESLMRILGADCIIATLGSFHKHLRTLVLRLFGPENLRLVLLHDVQRTAQASLLSWLDQPSIEAKEATSSMIFSVTAKRLISYDSSNSDGKLWKQFDAFLRGLLAFPLYIPGTAFYKCMQGRKNVMRVLRELLEERKKAPRPESTDFIDLLIEDLKEEKHLMNERVALDLLFLLLFAGFETTSSGITAALRFLTDDPKALQELTEEHDNIRKRRADPDSEITWEEYKSMKFTSHVIHEALRLGNIAPVMFRKAKEDVHIKGYTIPKGSKVMVSPSSVHFDPTVYKDPNSFNPWRWKDTTEPVGGASKEFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLAPGLQFPGGFHIQLLPRA* >Brasy3G239100.2.p pacid=40040742 transcript=Brasy3G239100.2 locus=Brasy3G239100 ID=Brasy3G239100.2.v1.1 annot-version=v1.1 MAAGNVVPVDMPCFAAPMAVGMAAVLVALLIHMVFRWKSGPCRAAAGARLPPGSRGLPVIGESFQFFAASASLELLPFLKRRLQRTNLLDEDLIVSLDQELNNLVFQQEEKLFQIWYPESLMRILGADCIIATLGSFHKHLRTLVLRLFGPENLRLVLLHDVQRTAQASLLSWLDQPSIEAKEATSSMIFSVTAKRLISYDSSNSDGKLWKQFDAFLRGLLAFPLYIPGTAFYKCMQGRKNVMRVLRELLEERKKAPRPESTDFIDLLIEDLKEEKHLMNERVALDLLFLLLFAGFETTSSGITAALRFLTDDPKALQELTEEHDNIRKRRADPDSEITWEEYKSMKFTSHVIHEALRLGNIAPVMFRKAKEDVHIKGYTIPKGSKVMVSPSSVHFDPTVYKDPNSFNPWRWKDTTEPVGGASKEFMAFGGGLRLCVGADFAKLQMAIFLHCLVTKYRWKAIKGGTMVLAPGLQFPGGFHIQLLPRA* >Brasy3G293700.1.p pacid=40040743 transcript=Brasy3G293700.1 locus=Brasy3G293700 ID=Brasy3G293700.1.v1.1 annot-version=v1.1 MDPGDEFPTDVLVEILLLLPPSSCRRCRLVCRRWRDAVDTRTTEMQSRPKVLVAVAESAYVLDDMPAERGRKLWTTRNGSGMDIVGTRNGLVCLCSRMSGGAAITVVNPLNGEALAVPPPPPDMAGPCWHQYSFAYHPVTGRYKVVHVPFFFEHVWVFTLGETSWRDVAVATAGPNSHLKPGAGIVSVDGATYWATEGAETKVMSIDHEDDHVTSIGPIPFVHSVTRPESPDSWYMAEVHGRLGIAISDDAATTVWVLECARGGGGERRWSRWYIVQTLLNPPQYLTQPHFAHGGECLLTLEWLPWRNGKGSVMYRHHSHRPSDERREARRGTVEIGERNKGAVAAIIETRGETCWTFNHVETTEPLSVYECR* >Brasy3G010300.1.p pacid=40040744 transcript=Brasy3G010300.1 locus=Brasy3G010300 ID=Brasy3G010300.1.v1.1 annot-version=v1.1 MGIRSKRKSRTRTTDQPTTPPPSRRRNDAHPPSPAADWAALPHDLLCEILSRVPQADILRGAGLACASWRRAAVHEPLLWRRIDLAADEIPDAPAGWKAMARAAVDRSAGHCESFRAPADADFLLYLAHSAPSLRSLHVTRNSLRRRRWRSWLCWRSWCW* >Brasy3G201500.1.p pacid=40040745 transcript=Brasy3G201500.1 locus=Brasy3G201500 ID=Brasy3G201500.1.v1.1 annot-version=v1.1 MGCCGSSLREWAHAEVKPRRPPPPPPPHRPSFSLSAHQAAPPPPGARVGDVPAFAEFSLADLRAATGGFAAENIVSESGEKAPNLVYRGRLQGASGGAAKRAIAVKKFAKLAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALGYCSNEERSLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTQESVIFSFGTVLLDLLSGKRIPPSHALDMIRIRNMQALMDSHLEGNYSTEEATTLVDLVSQCLQYEPRDRPDIKKLVSILEPLQTKSEVPSYVMLGILKPEEPSKAPPSPTPQHPLSPMGEACSRMDLTAIHQILVSMHYRDDEGSNELSFQEWTQQMRDMLDARKQGDFAFRDKDFKAAIDYYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLDMRSDSTDMLSEASQLEEKRQKSIRGP* >Brasy3G286900.1.p pacid=40040746 transcript=Brasy3G286900.1 locus=Brasy3G286900 ID=Brasy3G286900.1.v1.1 annot-version=v1.1 MEFAAGAMEPLLGKLGALLLDEYKLDKKVKKGVRSLATELTMMHAALRKVGDVPRDQLDDQVRIWAGKVRELSYEMEDAVDAFMVRVEENTDGEPPTIKKRVKKFLRKSTRLFRKGKDLHQIADAIEEAQDLAKQLGELRQRYGLEMHDTSAAGVAIDPRLMAMYKDVTELVGIEGTRDEVVSLLIEGEDWKKQQLKTVSIVGFVGLGKTTLVKAVYEKIRHQFDCGAFVSVSRSPDIKKVFKDMLYELDNSEYKEIHMTSRSEKHLLDELCKFLKDKRYLIIIDDIWDEQVWRFISCAFSQNNLGSRVITTTRKVNVSEACCSSSEDTIYKIRPLSDGYSRRLFYKRIFQSESGCPHELKQVSIKILKKCGGVPLAIITIASLLASNRRIKTRDQWYVLLNSIGRGLAEGASIGEMQKILSFSFYDLPSHLKTCLLYLSIFPEDWKTGRDRLVWRWIAEGFIQTEKQETSMFEIGEGYFNELVNRSMIQPVDVDLEGRAKACRIHDMVLDLIRSLSSEENFVTILDCTKSSALDSRNKVRRLSIQDSTEDTTTLGLARMKYMSRVRSVTLFQPAANVMPSLSIFGVLRVLDLEGVTMSHSWYKTNLKYIGNLLHLRYLKLSDSSAEEFKLPMEIGKLRYLQTLDFAGFHVEKLPSSVFLLRHLLCLRIYEYAEMPPFGMGKLMSLEELDYLLVNYDNQSNVKELAHLTELRVLKIRWGDLDESLAQVVTESLGNLRKLQSLSIRAWLSKTGEMMLLAGWVPPPRLRRFSSSIVFSTLPSWINSSSLPVLSSLHIEVGELHQGEIQLIGMLPALVDLRLKARRGVGVDDAPEGKAGGFVVTDDSFPCAKECRFDNLELLPSMFPQGAMPMVQILQFTVRASAIANAELDLAMGNLPSLQRLEVDLLCKRSSHAVVAEAEAALRLAADAHPYRPTLRIRRYLDEDYFLFRERYDCSSSFFYPSDYDTQEEESYKMESTLNE* >Brasy3G174400.1.p pacid=40040747 transcript=Brasy3G174400.1 locus=Brasy3G174400 ID=Brasy3G174400.1.v1.1 annot-version=v1.1 MEAQATATVKEALAALYHHPDDTIRTAADRWLQKFQHTLDAWQVADSLLHDESSNLETLMFCSQTLRSKVQRDFEELPSEAFRPLQDSLYKLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGGGGIVNWLGDEMKSQQEFIPSFLELLIVLPQETSSYKIAARPERRKQFENDLCSSADVALSLLTACLGLDELKEQVLEGFASWLRFCHGVSASTLASHPLVHTSLSSLNTDQFLEAAVNVTSELIHFTVSRDSSGITEQFPLIQILIPHVMGLKEQLKDSSKDEEDVKAIARLFADMGDSYVDLIATGDAMEIVNALLEVTSHSEFDISSMTFNFWHHLKRNLTGRDSYASTGSEMSIEAERNRRMQIFRPPFEILVSLVSSRVEYPEDYHTFSEEDRRDFRHARYAVSDVLIDATDVLGGDSTLKILFMKLIQACGSCAEQNQNWQPVEAALFCIQAIAKSVSVEEKEILPQVMPLLPRLPHQELLLQTVCSTIGAFSKWIDAAPAELPILPPLVDILNKGMSTSEDTAAAASMAFKYICEDCRGKFSGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPPDHARRALELICMPVINSLQEIIQQGENTLQQVPARQLTVHIDRLSCIFSNVKLPEVVAEAVNRYWPTLKVIFDHRAWDTRTMESLCRSCKFAVRTCGRFMGITIGEMLLEIQTLYQQHNQSCFLYLSSEVIKIFGSDPSCASYLASLIQTLFNHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTELFPRLVDCAMTGITIQHREACKSILCFLSDTFDLAKSPEGEKYRELINTIVLQRGATLTRIMIASLTGALPSGRLEEVSYVLLSLSRAFGGNMLNWTRDCIALIPPQALTDSERSRFLTIISDASSGSSLGSLTDRFAEISEVCRRNKTVQEIVQGALQPHDLTFTVVPRNS* >Brasy3G135000.1.p pacid=40040748 transcript=Brasy3G135000.1 locus=Brasy3G135000 ID=Brasy3G135000.1.v1.1 annot-version=v1.1 MDSSASPAAPPPPAATATDRYTFSPSLRWQPEVKEYFVSAYGRDHFARISEALANPSCYSCIRVNTLKSSTDAVMQKLLNLVNQNELCGGINGLGIGERNGGGQAHEGSYLVHKCPYFGLENVLFVRGSGPHVLHYNGQPGQSIKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAIEQPVKDSGWAVGITRGTVLQGLQSDAHYEERKGLYIGQGIAAMSRTGIFRVLHGVAVEMTERVYKLPSFNDVLEGDIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILKLADEMGLSCIKAYKLDALKSVRKTNEARNLGVADNITEAIATMVEDSEPCHTTVFSGVTNAGEDSSTKPAVQIDTSRYISKADLRKNLRRMKNGTGRSNCSGGRVENSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQKRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDAYKFLSLGSQHPNIGGPGIIGSCKLPNKSHAEEWLMEHEAPLVQRNFQDKCKPADIVATTCIAHKDLPMIFFLCGHKCSFLLMKSQDNYGANIFESVMPKYFELFLGYRIMA* >Brasy3G135000.2.p pacid=40040749 transcript=Brasy3G135000.2 locus=Brasy3G135000 ID=Brasy3G135000.2.v1.1 annot-version=v1.1 MDSSASPAAPPPPAATATDRYTFSPSLRWQPEVKEYFVSAYGRDHFARISEALANPSCYSCIRVNTLKSSTDAVMQKLLNLVNQNELCGGINGLGIGERNGGGQAHEGSYLVHKCPYFGLENVLFVRGSGPHVLHYNGQPGQSIKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAIEQPVKDSGWAVGITRGTVLQGLQSDAHYEERKGLYIGQGIAAMSRTGIFRVLHGVAVEMTERVYKLPSFNDVLEGDIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILKLADEMGLSCIKAYKLDALKSVRKTNEARNLGVADNITEAIATMVEDSEPCHTTVFSGVTNAGEDSSTKPAVQIDTSRYISKADLRKNLRRMKNGTGRSNCSGGRVENSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQKRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDAYKFLSLGSQDASQVVLLLHGSVPLPWCHRVAQSCYMLLPECRTYRHFAAASTQILEDLVLLVRVSCPTSHMLRSG* >Brasy3G135000.3.p pacid=40040750 transcript=Brasy3G135000.3 locus=Brasy3G135000 ID=Brasy3G135000.3.v1.1 annot-version=v1.1 MDSSASPAAPPPPAATATDRYTFSPSLRWQPEVKEYFVSAYGRDHFARISEALANPSCYSCIRVNTLKSSTDAVMQKLLNLVNQNELCGGINGLGIGERNGGGQAHEGSYLVHKCPYFGLENVLFVRGSGPHVLHYNGQPGQSIKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAIEQPVKDSGWAVGITRGTVLQGLQSDAHYEERKGLYIGQGIAAMSRTGIFRVLHGVAVEMTERVYKLPSFNDVLEGDIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILKLADEMGLSCIKAYKLDALKSVRKTNEARNLGVADNITEAIATMVEDSEPCHTTVFSGVTNAGEDSSTKPAVQIDTSRYISKADLRKNLRRMKNGTGRSNCSGGRVENSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQKRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDAYKFLSLGSQDASQVVLLLHGSVPLPWCHRVAQSCYMLLPECRTYRHFAAASTQILEDLVLLVRVSCPTSHMLRSG* >Brasy3G135000.4.p pacid=40040751 transcript=Brasy3G135000.4 locus=Brasy3G135000 ID=Brasy3G135000.4.v1.1 annot-version=v1.1 MDSSASPAAPPPPAATATDRYTFSPSLRWQPEVKEYFVSAYGRDHFARISEALANPSCYSCIRVNTLKSSTDAVMQKLLNLVNQNELCGGINGLGIGERNGGGQAHEGSYLVHKCPYFGLENVLFVRGSGPHVLHYNGQPGQSIKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAIEQPVKDSGWAVGITRGTVLQGLQSDAHYEERKGLYIGQGIAAMSRTGIFRVLHGVAVEMTERVYKLPSFNDVLEGDIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILKLADEMGLSCIKAYKLDALKSVRKTNEARNLGVADNITEAIATMVEDSEPCHTTVFSGVTNAGEDSSTKPAVQIDTSRYISKADLRKNLRRMKNGTGRSNCSGGRVENSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQKRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDAYKFLSLGSQHPNIGGPGIIGSCKLPNKSHAEEWLMEHEAPLVQRFDPSSSLDTMGFFIAKFNVGQKED* >Brasy3G101900.1.p pacid=40040752 transcript=Brasy3G101900.1 locus=Brasy3G101900 ID=Brasy3G101900.1.v1.1 annot-version=v1.1 MPSSRPAVLVLCRNAPATLAERFRILDYHASSLPIEAFLAAAAASAEPPRAAVVPGDGSVRVDAGLLDAVPWLRCVVIVSAGLDPVDLPECARRGVAVANAAGIYSADVADHAVVLLLDVLRGISAADRFVRRGLWPDQPGGSVSLLLPLPLGSRLRGKRVGIVGLGRIGSAIARRLWAFGCVVSYTSRAGPKPSFPCYGFFPTARELAAHSDALVVCCALTAETRRVVDRAVLDALGEGGVVVNVARGANVDEAELVGALAEGRIAGAGLDVFEDEPRVPAELMAMENVVLTPHKAVFTPESMADLDRLVVANLEAFFAGAPLLTPVHAFH* >Brasy3G222900.1.p pacid=40040753 transcript=Brasy3G222900.1 locus=Brasy3G222900 ID=Brasy3G222900.1.v1.1 annot-version=v1.1 MPTMLLDGDLDGMLPFEEEFPEATRNRHAPLPPPENEDGWDLWGQEGGDEVVNVAGGWPVPPQQQELDLLMPDSPPAQLDLRAPQRLLGLRLNSSSMNSSSSSEDLQQLRLGPTPAPRHFDRDLQVALLNQHDHAQEFQDELDDPEDPKGKKLAKNQRLLFFPPGRSSSAVPALPDRVLGGPSCSGIVNELTTAKLIAECSPATWPSPLVHIPDLTPLAQLDSIPDHVVVPPVACQPGQIQHVGGLSASPLPRNNTDVAPVVDNILNLISQNQERSLHNLAPTSSKKRWLMRYLQSLDDLEEAAEDVTPCHSQQSIFSPIRKLDLLSSAAPSPASDKNIRTRCISKVYSRRNKGGCNYALQASSSRSDDVQTLGLVVPAQSLPAHPNRRPISDLEVRRSPRLRAINQGFKRDFAAMHHGSSTTRQARSRATPRTSSSDASQVQSSSRRSVNQALPNELLQHLQHTSEDEIPGPIPLQIIQATGASFCGLAPMELEADKLNDDKVVPNDDTPDA* >Brasy3G082800.1.p pacid=40040754 transcript=Brasy3G082800.1 locus=Brasy3G082800 ID=Brasy3G082800.1.v1.1 annot-version=v1.1 MVKAVVADEAQLKAFEDVLASSAAPRSQVGLVVGKLSASSDRALVYSLLPTPPTDAGEPACSLRAAAPSAAKAKSKGGGKGGSTASSDAPPSLQFDIDWVAEHARQVSRMLLGGMDVIGIYIWASEGSFKATSPAVLSQVIRVVSQAVPWYGSDFDERLLIHLAYSPRRLVCRICEMASGSLRLCDFKYSKLLPSLQTFRCTYNFETRLTVVQAEPFNKTVSKAISHLTKEVQNAKVLIDGNLFSEDMNITSEGSHKVDFLIPFKNNLPAGECSLEGVAGLLLFAGSVSGYAYLGPKESISEAISDLKVDIIASLRSRLDIILDEADDDSATNDVENSLSQKTTQVIFRELREPYSFSFARRILIPWLAGSYICDYLQQSETTKDAMERCKEVISLEAGVENSSIIEPEIAAASVTLESFWDMVPGALARAPAGPSLKDSHSQQASDSRRTQGSNLSILVPLFVLLVALLVGFMFAFSPN* >Brasy3G304000.1.p pacid=40040755 transcript=Brasy3G304000.1 locus=Brasy3G304000 ID=Brasy3G304000.1.v1.1 annot-version=v1.1 MSSLPPQQITKPSSTIISNYVQLHRPKSQLSSSRFLLNGPSCSSSSSPSSISRRTRRQRRFACAAASTTPSSSTSTTSGSGAGVVDGSMAGKAGGGVRVKAVAKIKVTVGGFLDSLRPSRAMDDFKDLIGRSLELELVSAHLDAKTAKEKGTIRSYAHKVDDDELDAVVYEADFDVPPGFGPVGAVLISNEHRDEVFLEEIKVVPSASAASTMTIRCNSWLQPRSDAAPGKRVFFSNKPYLPSQTPPGLQSYRTKDLAQKRGDGTGKRNATDRIYDYDVYNDLGNPDAGASNARPVLGGNKQFPYPRRCRTGRARSAKDPASETRSGDVYVPRDEVFSETKNLQFSFKTLTSVLHAAVPAVQSTLVDLEQGFQSFFEIDRLFEDGVKLPRAEDLGFLRSVVPRVLELLRDGPGDRVLLFDRPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLRSKLDPAVYGPAESAITAEQLETQMGHVMTVAEAVKQRRLFMLDYHDLFLPYVHKIRSLEKRTTMYGSRTIFFLCDDGTLRLLAIELTRPASASSPQWRQVFTSSTDTTKSWLWRMAKSHVRAHDAGHHELVTHWLRTHSAVEPYIIAANRHLSEMHPVYRLLHPHFRYTMRINALARSALINGGGIIELTFSPGKYAMEISSAAYDKLWRFDMEALPADLVRRGMAEEDPEAEHGLKLAIKDYPFANDGLLIWDAIKSWVSAYVASYYADGAGSVAGDVELQAFWNEVRTVGHGDKKDAPWWPKLDTPETLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTKMPVEEPVDGAAMAAFLDNPDKALRECFPSQVQATLVMAVLDVLSSHSSDEEYLGGEETAPWSDDAAVRAAYKGFGERLKEVEGIIDGRNKDRKLKNRCGAGIVPYQLMKPFSKPGVTGMGIPNSTSI* >Brasy3G276800.1.p pacid=40040756 transcript=Brasy3G276800.1 locus=Brasy3G276800 ID=Brasy3G276800.1.v1.1 annot-version=v1.1 MFKEHGCMTLMWFLQAIMLTSLKNHGHPMDEQVRIKLSKFINALILHRNIFHKTRFHVVLWMPSLHHTVISKWSLVLTPPHCESQTEPNTCEL* >Brasy3G324500.1.p pacid=40040757 transcript=Brasy3G324500.1 locus=Brasy3G324500 ID=Brasy3G324500.1.v1.1 annot-version=v1.1 MAAESRQRVARRTVVRRSGGEQGARRRAPSRGATQRGRATSRRSSASRGAAQRRRAAAAVTGARWRSDASRGRAERRQRARPEAAMRAGGGDFADERHLAVTGGQGERVGGVVGPSLKRSAPCTPRSMSQALTAMRCRTAAPTCAWRTCCSSTVATVALALGGGKRRKESEAAWVRATAGELLQAQPSPPLSLFLSASGDASASLHAQPPPTSSSLPPREPLRPPSSPPASSSTPP* >Brasy3G185700.1.p pacid=40040758 transcript=Brasy3G185700.1 locus=Brasy3G185700 ID=Brasy3G185700.1.v1.1 annot-version=v1.1 MAAALRFTVRRKAAELVAPAGPTPRELKRLSDIDDQDGLRFHIPVIQFYRREASMVGRDPAAVVREAVSRALVPYYPFAGRLRELEARKLAVDCTGEGVLFIEADADVTLEHFGDALQPPFPGLDELIFDVPGSSQVLGTPLLLFQVTRLACGGFILGVRLMHTMADAQGLVQFLGAVAEMARGMATPTVKPVWERPLLEARDPPRPGYAHREYDEVPDTKGTIVPLDDMAHRSLFFGAGEVAAIRSHLPPSLRSRATTFEVLTGCLWWCRTAALAPDADEEMRMICIVNARGGNGKGNGKSAIPRGYYGNAFAFPVAVARAGELCARPLGHAVELVRRAKSEVDVEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFKNGKGEDGIVVPMCLPGPAMDRFVVEMARLLRPASTAVNVHDTIRSAL* >Brasy3G349300.1.p pacid=40040759 transcript=Brasy3G349300.1 locus=Brasy3G349300 ID=Brasy3G349300.1.v1.1 annot-version=v1.1 MRRPSTLLQLAALLALLLVVAVATAEADGADVIDPKPKPTKPEQPKPKPTQPEQPKPKPTQPDQPKPKPDPQPAPDAGKPSPKPRPTPMPKPGPSKPKPPVVGPGTPDNE* >Brasy3G031800.1.p pacid=40040760 transcript=Brasy3G031800.1 locus=Brasy3G031800 ID=Brasy3G031800.1.v1.1 annot-version=v1.1 MNLFIIAINLRVRVLSEQFLALFLPLKLLDKLHVQSEWFKLFAWVCPPLARVCARWLSLEREIRWVGADGDGGFSEDDWASLRYVGRPAILLRTELVHLLAPPLLFFRLTLCIRAGRYGQPSPLSIDLPRSREPLDIVVFRTGTKGDDALIFPDLNAEPGTAADDDELLLP* >Brasy3G020600.1.p pacid=40040761 transcript=Brasy3G020600.1 locus=Brasy3G020600 ID=Brasy3G020600.1.v1.1 annot-version=v1.1 MGKRPRTSRPLLARALPKSKRANEAAAVPDSDDDEIDAFHKQRDVIPLDVNDARESEDDDLEQPVFDLEGISDTESDGNEGDEDGDMAKANFEEWDKGYVAKLKRAQRAAKQIAGGDDIMEEDDEDDKDINNWGRGKKSYYDDHEHSGDDEFKEIKRIQEEKDSKLSMKDFGLEDDESDEEDEPVKASNHQGKGVDYTSSKAKDDFTALSRDEKMDVLYSSAPELVGLLSDLNEAHEQLKAIKPVTIEVTVGQVKHKGRMQPLEVKRACLLAHCQAITFYLLMRAEGLSVQDHPVIARLVETKNMVEKMKLVNINHLRQNGETDGHFMPDCSTTISLDKEGKSSNLLLDKVKLGAEVPELAKNEPSNNRQDEITRRKSKDECMGLQSLEMLKRRASLEERLKEKGLYKSSGKPEKLSNTRTTNNNRNLQTLDDFDDEVQKNTQVMKTKKLLVNAANSNIKNKFVSGDDDIPKRDEIGERRRKHELRVLARVGTNTFDEELPENGDHAEEKLNQSEEEDDNCDDHVSSESQDEFYKDVKRQRTEKLLSKERSTSIAEPLEEESEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRVKSDKQRSKRKGQVRDMKKPSGPYGGEMSGINPNVSRSVRFKG* >Brasy3G020600.4.p pacid=40040762 transcript=Brasy3G020600.4 locus=Brasy3G020600 ID=Brasy3G020600.4.v1.1 annot-version=v1.1 MGKRPRTSRPLLARALPKSKRANEAAAVPDSDDDEIDAFHKQRDVIPLDVNDARESEDDDLEQPVFDLEGISDTESDGNEGDEDGDMAKANFEEWDKGYVAKLKRAQRAAKQIAGGDDIMEEDDEDDKDINNWGRGKKSYYDDHEHSGDDEFKEIKRIQEEKDSKLSMKDFGLEDDESDEEDEPVKASNHQGKGVDYTSSKAKDDFTALSRDEKMDVLYSSAPELVGLLSDLNEAHEQLKAIKPVTIEVTVGQVKHKGRMQPLEVKRACLLAHCQAITFYLLMRAEGLSVQDHPVIARLVETKNMVEKMKLVNINHLRQNGETDGHFMPDCSTTISLDKEGKSSNLLLDKVKLGAEVPELAKNEPSNNRQDEITRRKSKDECMGLQSLEMLKRRASLEERLKEKGLYKSSGKPEKLSNTRTTNNKNLQTLDDFDDEVQKNTQVMKTKKLLVNAANSNIKNKFVSGDDDIPKRDEIGERRRKHELRVLARVGTNTFDEELPENGDHAEEKLNQSEEEDDNCDDHVSSESQDEFYKDVKRQRTEKLLSKERSTSIAEPLEEESEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRVKSDKQRSKRKGQVRDMKKPSGPYGGEMSGINPNVSRSVRFKG* >Brasy3G020600.2.p pacid=40040763 transcript=Brasy3G020600.2 locus=Brasy3G020600 ID=Brasy3G020600.2.v1.1 annot-version=v1.1 MGKRPRTSRPLLARALPKSKRANEAAAVPDSDDDEIDAFHKQRDVIPLDVNDARESEDDDLEQPVFDLEGISDTESDGNEGDEDGDMAKANFEEWDKGYVAKLKRAQRAAKQIAGGDDIMEEDDEDDKDINNWGRGKKSYYDDHEHSGDDEFKEIKRIQEEKDSKLSMKDFGLEDDESDEEDEPVKASNHQGKGVDYTSSKAKDDFTALSRDEKMDVLYSSAPELVGLLSDLNEAHEQLKAIKPVTIEVTVGQVKHKGRMQPLEVKRACLLAHCQAITFYLLMRAEGLSVQDHPVIARLVETKNMVEKMKLVNINHLRQNGETDGHFMPDCSTTISLDKEGKSSNLLLDKGAEVPELAKNEPSNNRQDEITRRKSKDECMGLQSLEMLKRRASLEERLKEKGLYKSSGKPEKLSNTRTTNNNRNLQTLDDFDDEVQKNTQVMKTKKLLVNAANSNIKNKFVSGDDDIPKRDEIGERRRKHELRVLARVGTNTFDEELPENGDHAEEKLNQSEEEDDNCDDHVSSESQDEFYKDVKRQRTEKLLSKERSTSIAEPLEEESEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRVKSDKQRSKRKGQVRDMKKPSGPYGGEMSGINPNVSRSVRFKG* >Brasy3G020600.3.p pacid=40040764 transcript=Brasy3G020600.3 locus=Brasy3G020600 ID=Brasy3G020600.3.v1.1 annot-version=v1.1 MGKRPRTSRPLLARALPKSKRANEAAAVPDSDDDEIDAFHKQRDVIPLDVNDARESEDDDLEQPVFDLEGISDTESDGNEGDEDGDMAKANFEEWDKGYVAKLKRAQRAAKQIAGGDDIMEEDDEDDKDINNWGRGKKSYYDDHEHSGDDEFKEIKRIQEEKDSKLSMKDFGLEDDESDEEDEPVKASNHQGKGVDYTSSKAKDDFTALSRDEKMDVLYSSAPELVGLLSDLNEAHEQLKAIKPVTIEVTVGQVKHKGRMQPLEVKRACLLAHCQAITFYLLMRAEGLSVQDHPVIARLVETKNMVEKMKLVNINHLRQNGETDGHFMPDCSTTISLDKEGKSSNLLLDKGAEVPELAKNEPSNNRQDEITRRKSKDECMGLQSLEMLKRRASLEERLKEKGLYKSSGKPEKLSNTRTTNNKNLQTLDDFDDEVQKNTQVMKTKKLLVNAANSNIKNKFVSGDDDIPKRDEIGERRRKHELRVLARVGTNTFDEELPENGDHAEEKLNQSEEEDDNCDDHVSSESQDEFYKDVKRQRTEKLLSKERSTSIAEPLEEESEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRVKSDKQRSKRKGQVRDMKKPSGPYGGEMSGINPNVSRSVRFKG* >Brasy3G198500.1.p pacid=40040765 transcript=Brasy3G198500.1 locus=Brasy3G198500 ID=Brasy3G198500.1.v1.1 annot-version=v1.1 MLLPPYCCLAYLVSNIAGLVMSAPQEHVSTDVQTHQHFRSPVLPIVSSQGIRRYLSPSLSRSITTRQKGSPSIRQRGFPSTRQRGLRGSPSVQNVN* >Brasy3G209700.1.p pacid=40040766 transcript=Brasy3G209700.1 locus=Brasy3G209700 ID=Brasy3G209700.1.v1.1 annot-version=v1.1 MGARLNKFYESWEDSPDIGFVMMKGSGRAFCAGGDVVGLHQLISEGKMDEGKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGERLNGVDMLALGLATHYSMSEHLDLVDERLATLVTDDPSVIDTSLAQYGDLVYPDKKSIVHRLEVIDKCFSHETVEEIVDALEGEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFSHEFCEGVRARLVDKDFAPKNLFLQWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTELREAFI* >Brasy3G209700.4.p pacid=40040767 transcript=Brasy3G209700.4 locus=Brasy3G209700 ID=Brasy3G209700.4.v1.1 annot-version=v1.1 MGARLNKFYESWEDSPDIGFVMMKGSGRAFCAGGDVVGLHQLISEGKMDEGKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGERLNGVDMLALGLATHYSMSEHLDLVDERLATLVTDDPSVIDTSLAQYGDLVYPDKKSIVHRLEVIDKCFSHETVEEIVDALEGEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFSHEFCEGVRARLVDKDFAPKNLFLQWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTELREAFI* >Brasy3G209700.5.p pacid=40040768 transcript=Brasy3G209700.5 locus=Brasy3G209700 ID=Brasy3G209700.5.v1.1 annot-version=v1.1 MGARLNKFYESWEDSPDIGFVMMKGSGRAFCAGGDVVGLHQLISEGKMDEGKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGERLNGVDMLALGLATHYSMSEHLDLVDERLATLVTDDPSVIDTSLAQYGDLVYPDKKSIVHRLEVIDKCFSHETVEEIVDALEGEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFSHEFCEGVRARLVDKDFAPKWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTELREAFI* >Brasy3G209700.2.p pacid=40040769 transcript=Brasy3G209700.2 locus=Brasy3G209700 ID=Brasy3G209700.2.v1.1 annot-version=v1.1 MGARLNKFYESWEDSPDIGFVMMKGSGRAFCAGGDVVGLHQLISEGKMDEGKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGERLNGVDMLALGLATHYSMSEHLDLVDERLATLVTDDPSVIDTSLAQYGDLVYPDKKSIVHRLEVIDKCFSHETVEEIVDALEGEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFSHEFCEGVRARLVDKDFAPKWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTELREAFI* >Brasy3G209700.3.p pacid=40040770 transcript=Brasy3G209700.3 locus=Brasy3G209700 ID=Brasy3G209700.3.v1.1 annot-version=v1.1 MLLDCTNLSVKVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGERLNGVDMLALGLATHYSMSEHLDLVDERLATLVTDDPSVIDTSLAQYGDLVYPDKKSIVHRLEVIDKCFSHETVEEIVDALEGEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFSHEFCEGVRARLVDKDFAPKWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTELREAFI* >Brasy3G129900.1.p pacid=40040771 transcript=Brasy3G129900.1 locus=Brasy3G129900 ID=Brasy3G129900.1.v1.1 annot-version=v1.1 MPSPSSLPPPLLPRPTSGLPASSPSPQELVASFPIFPAAGALVIFLSIQLGLAPSASSRTPSPISPAAAFSPFQREPRPLPSPTVFYPSGRRRPFPPHLFGARFPIPAAPAGPRPAGGEGACPAGEGTRPATVSHRTSSTGRLRHPAGPPLHASVNFFGPCVLPRAAVAASVISELLLEDL* >Brasy3G248600.1.p pacid=40040772 transcript=Brasy3G248600.1 locus=Brasy3G248600 ID=Brasy3G248600.1.v1.1 annot-version=v1.1 MQEIVCACAGKPLKENSRSSRCGCPALIRLLRSEDKGWYICEHKDRHNHPLSKTCGEKMHWKSHKHIDKYTRQLVQQLRENNISLGKVYNIMGSFFGSMDRVPFTKRSLRTLCGKISREQLDNDAMKTLDVFSKMLELIQVLSIQSKWTMIAGLRRLCGHQARAWTSIFVSGTC* >Brasy3G094800.1.p pacid=40040773 transcript=Brasy3G094800.1 locus=Brasy3G094800 ID=Brasy3G094800.1.v1.1 annot-version=v1.1 MLLVFLSSMVAYYSARSLHARLLLAQAPCPRPCCLGLARTLLLFPIRRAPSPPSAATPDTRAAAAPRAALPHRQRLSPPPLFPSAAPHPSSNSPAAALPPPPLSSPRQRPTPPPTPLRQRRGLGAEPGGGGDAAVGGSGRSPEAAEMALGSGGDRARPRLSHRTRSMPRKEIERPG* >Brasy3G343800.1.p pacid=40040774 transcript=Brasy3G343800.1 locus=Brasy3G343800 ID=Brasy3G343800.1.v1.1 annot-version=v1.1 MNGGSGKQTLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPSNSTRSTYSCDGHTFNFLVDRGFVFLVVAEEAVGRSVPFVFLERVKEDFMQRYGSSIDEEGQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCINHPEEISKLSKVKSHLSEVKGIMMDNIEKILDRGEKIELLVGKTENLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGGVAFLILILWLMVCKGFKC* >Brasy3G193300.1.p pacid=40040775 transcript=Brasy3G193300.1 locus=Brasy3G193300 ID=Brasy3G193300.1.v1.1 annot-version=v1.1 MPERRPHRLPTCATGSRTPNSSRRRILLSLHGATRRLSDHRAPSIRPELMSLACPDAAPALCPNEGHHDVPHARRLEEPCCREEKHRCRTAPVLPAVPPPWQDPRPLVLEVEDEMCNNDMWGRYKLMTWVSGF* >Brasy3G069300.1.p pacid=40040776 transcript=Brasy3G069300.1 locus=Brasy3G069300 ID=Brasy3G069300.1.v1.1 annot-version=v1.1 MKSHSPFISPFPHLFLPRRRFGGDWQATDGGRGDAPVRRRCGRQRAVGMAPARRHPGDPAPLYGNKDQLPAFYGTIPVSPQARQTRSSVKAMAEAVAVDSDDSSGSDWVDSDNEVEKDDDDLFDEWVDEEAKAKGKGGKKTSEHEMDSDYDSEDLDLPESDKEESKPEEEEEDDNKEDSESSKKKKKKKVKLRNFKPEDMKDPKVSNRHGVPYSG* >Brasy3G278300.1.p pacid=40040777 transcript=Brasy3G278300.1 locus=Brasy3G278300 ID=Brasy3G278300.1.v1.1 annot-version=v1.1 MELGLSLGEAPAVADAGRPAPELVLGLGVGAGTGGDQERDKRRREAAAAGAGWWAAAAAASPEPSVRLSLVSNHRLRWPSETGRSEAAPRGFDVNRMPSVAAGTEEEEDEDDPAAPAALSSSPNDDSGGSFPFDLSAHGGPLGTSEAAAEAQAGGGGERSSSRASDDDEGASARKKLRLSKDQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELSELRALKTAQPFYMHLPATTLSMCPSCERVASNPAAATASTSKVISKAAAVAAPEQRTPSAFAALFASPRGGFPLAAQTRPPPPPAASNCL* >Brasy3G202900.1.p pacid=40040778 transcript=Brasy3G202900.1 locus=Brasy3G202900 ID=Brasy3G202900.1.v1.1 annot-version=v1.1 MSARSEHPPPPPPKKKKNKKSQVPALTTIRALGDELIREIFLRLPSLPTLVRAALTCRGFLRAVRSSPAFRRRFRELRPPPILGVFLDIYDDDEVVPAFAPVRRRSDPDHAAAVRGIDVFLTRLPDDGERNYEDDDDDYDYDYEEDNDGDEPGWSMSECRDGYAVLINWNTKQLAVYDPLTGALHLLPVPPDEIYKRRQVEFHVFSFEEDHGSFRVVCVSSDGLAAAVLSSDTSEWQIFPLNEDVGLQAEGGHYWPHTGTLVNGSVYRTFANGTNVSVLNITTLQCSQIDLPPCGSGTDSFKIGETKDGKLCLVHASELTLVVWVWRSGDDGINRWMRVKRFRLHNAISEIPQHCSDECLTLKVVGIFGGIVYLSTVSSDPRCWFLSFCLENEELNKLCCVTQSVHYYPYIMAWPNSFVHNKVNPLLEEA* >Brasy3G009000.1.p pacid=40040779 transcript=Brasy3G009000.1 locus=Brasy3G009000 ID=Brasy3G009000.1.v1.1 annot-version=v1.1 MASPPPHALIIPYPAQGHVIPLMELAHAMVDRGFIVTFVNSEFNHARVVAAMSSSSPGNNGVGGMDRIRLVAVPDGMEPGEDRNNLVRLTILMTEFMAPAVEELIRHSGEEKITCMVTDYNVGTWAVDVARRTGIRSAAIWPASAAVMATLLSFNKLIEDNIIDAEHGSAVGKDTFKLSPEMPEMQSAHLAWNCVGDHDQQATLFKYLVKGVRAVDQCDFFICNSFHAAEPGAFSLFPKLLPVGPLLTGERGGDKAVGHLWQPEDAECISWLDAQPEPGSVVYVAFGSFTMFDRRQFQELALGLELCGRPFLWVVRPDIGYGKVHDYPDGFLDRVGESGTGLGKLVSWAPQQRVLAHPAVGCFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNQVYISDVWKVGLKAVKDEEAGVITKEHIADRVEVLMGDAGIRERVEGLKKAAHESIQDGGSSHGNFDKFVEAMKQA* >Brasy3G278900.1.p pacid=40040780 transcript=Brasy3G278900.1 locus=Brasy3G278900 ID=Brasy3G278900.1.v1.1 annot-version=v1.1 MQGSPSTSILQTLSASCRLLPMATPSMDVEAGRNDRKKKGVYQDLVLAYKTLGVVFGGLVTSPLYVYPSMNLRNPTEQDYLGIFSIMFWTLTLIGVVKYVCIALNADNHGEGGTFAMYSLLCQHANIGVLPSKKIYVDEAEGRLASARPAAGVVTGRPSRLRRFIEGSIVARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPVVEGMSAAILVGLFLLQKHGTSRVSFMFSPIMAAWTFTTPIIGAYSVWRYYPGVFKATSPHYVVMFFMANRRRGWQLLGGTVLCITGAEAMFADLGHFSKRSIQIAFLSGVYPSLVLTYAGQTAYLIRNADDFGDGFYKFVPRAVYWPMFAVATLAAIVASQSLISATFSVVKQSVALDCFPRVRVVHTSRDKEGEVYSPEANWVLMLLCVGVVVGFGDGKDIGNAFGVVVILVMLITTVLLTLVMLIIWGTNPALVALYFVPFLAMEGAYVSAVCAKVLRGGWLPFAVSVALAMVMFAWYYGRQRKAEYEMANKVTLERLGELLAGVPRVPGLCFFYSNVPTRQGWLTPVLSHYVRSVRSLHGVTVFLTLRYLLVAKVDAKDRVAVVRRLGPAAGGVYGCTMQYGYADPLDFEEDDLVGQVVSALRELMETEGEEEVARLDEARAAGVVHVRGKMRFRVGQDTGFFDRVLLGFYEFLHGACRSALPALGVPLQQRVEIGMLCKA* >Brasy3G234400.1.p pacid=40040781 transcript=Brasy3G234400.1 locus=Brasy3G234400 ID=Brasy3G234400.1.v1.1 annot-version=v1.1 MAMVVEKLEGDLVDGRREGRGVEGDKWRAREESERLKEISGRIGSTSATTDCYSLVVLSNTSPPPDPTRIPYPVRHRLRGGPCHPPTDPLTRRPHHPAARSASPSSPPPPLDKTVTRSGCRGSPPLPFPCSASASPRRLPFPFRAPPFLVRTPPPKRKENKSPIPHGSPRPAAAPSWPLNRINPV* >Brasy3G225800.1.p pacid=40040782 transcript=Brasy3G225800.1 locus=Brasy3G225800 ID=Brasy3G225800.1.v1.1 annot-version=v1.1 MADMKPEEVSHPPMDQLQGLEYCIDSNPSWGEAVALGFQHYILCLGTAVMIPTFLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSLYLKHVQVRHLPILERFSLVISIALVWVYAHILTVSGAYKHSSLTTQVNCRTDRANLIASADWISIPYPLQWGPPTFSADHAFGMMSAVMVSLVESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS* >Brasy3G225800.3.p pacid=40040783 transcript=Brasy3G225800.3 locus=Brasy3G225800 ID=Brasy3G225800.3.v1.1 annot-version=v1.1 MADMKPEEVSHPPMDQLQGLEYCIDSNPSWGEAVALGFQHYILCLGTAVMIPTFLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSLYLKHVQVRHLPILERFSLVISIALVWVYAHILTVSGAYKHSSLTTQVNCRTDRANLIASADWISIPYPLQWGPPTFSADHAFGMMSAVMVSLVESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS* >Brasy3G225800.5.p pacid=40040784 transcript=Brasy3G225800.5 locus=Brasy3G225800 ID=Brasy3G225800.5.v1.1 annot-version=v1.1 MLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSLYLKHVQVRHLPILERFSLVISIALVWVYAHILTVSGAYKHSSLTTQVNCRTDRANLIASADWISIPYPLQWGPPTFSADHAFGMMSAVMVSLVESTGAFKAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS* >Brasy3G225800.2.p pacid=40040785 transcript=Brasy3G225800.2 locus=Brasy3G225800 ID=Brasy3G225800.2.v1.1 annot-version=v1.1 MADMKPEEVSHPPMDQLQGLEYCIDSNPSWGEAVALGFQHYILCLGTAVMIPTFLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS* >Brasy3G225800.4.p pacid=40040786 transcript=Brasy3G225800.4 locus=Brasy3G225800 ID=Brasy3G225800.4.v1.1 annot-version=v1.1 MADMKPEEVSHPPMDQLQGLEYCIDSNPSWGEAVALGFQHYILCLGTAVMIPTFLVPLMGGNAHDKAKVVQTMLFVTGINTLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS* >Brasy3G343200.1.p pacid=40040787 transcript=Brasy3G343200.1 locus=Brasy3G343200 ID=Brasy3G343200.1.v1.1 annot-version=v1.1 MGQEGDGQIRRRDRVIVDTDPGIDDSMTILMAFEEPSVEIIGLTTIFGNVTTENATRNALLLCERAGHPEVPVAEGSPEPLKGGIPRVADFVHGSDGLGNLSLPAPSTKKVEESAAEFMVNKVSEFPGEISVLALGPLTNVALAIKRDPSFASKVKRIVVLGGAFFAAGNVNPAAEANIYGDPDAADVVFTSGADIDVVGINITTQVCLTDEDLLELKNSKGKHAEFLCDMCKFYRDWHYKSDGFQGIFLHDPVSFTALVHPEYFGFKKGVVRVETQGICTGHTLMDHGLKKWNTENPWSGYTPISVGWTVDVPKVLAYVKKLLVTP* >Brasy3G105000.1.p pacid=40040788 transcript=Brasy3G105000.1 locus=Brasy3G105000 ID=Brasy3G105000.1.v1.1 annot-version=v1.1 MTPSSTIDASESKRQAFPKILPSRMAAPPFAAALRRHLLLPHRYSLLLSRHISRSTAHPLSDQSDFECDQPLPPAPDGGELSSFLRRVSHASSVASSPKEALSLLLSSSPSSSPLSPASPSLLVRALWELRRYPEAAALALRWGEYCGASSGAEGARWPPAEAWHLAIWAAGKAGRFDLAWAAVRRMLHSGVLTRRAMIIVMERYAAASELEKAVKTFDVMEKFKVQADQTVFYSLLRALCKSKNIEDAEELLLMRKKFFPLTAEGFNIILDGWCNLIIDVAEAKRVWKEMSSYCITPDGTSYTLMVSCFSKVGNLFDTLRVYDEMKKRGWTPGIGVYNSLIYVLTRENCMKDAKKIFSKIIDEGLLLDVETYNSMIIPLCESCKLDEARIMMGDMIMKGIVPTILTYHAFLKQEGIDETLQLLRKMKEDGCGPNSDTFLMLIDKFIQANESGNALRVWNEMVRYEISPAYSHYMAVVKGLVKHGCIPRALEYYDEMKAKGFASDPILDKEFKTFLLANRDHWRGARKYNLIPQRSKHFTRRT* >Brasy3G104300.1.p pacid=40040789 transcript=Brasy3G104300.1 locus=Brasy3G104300 ID=Brasy3G104300.1.v1.1 annot-version=v1.1 MELSRHCGHTSCPFLSCSPSMQRQDHPRIPRISLKFSCAKCKLTASLLSNPSSEWKDPTVQTNSSKRYSALVLLALFLILKLQCGGYD* >Brasy3G309100.1.p pacid=40040790 transcript=Brasy3G309100.1 locus=Brasy3G309100 ID=Brasy3G309100.1.v1.1 annot-version=v1.1 MAARAAPFMLMLLLAALLAVAADARRQKGGEGWDCSGRGGRLCCNGTISDYFKAEHFEKLFPKRNDSLAHAAGFWDYRAFITAAALFEPRGFGTTGGREMGVKEVAAFLGHVGAKTSCGHLDSDGDSLAWGLCYNHEMSPGQSYCDNSNELYRCVEGVEYYGRGALPVYWNYNYGIVGKGIKQDLLSHPELLEQNATVAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKKDTLSKRYPGFGATMNILYGDSICGRGSTDSMNVIISHYQHYLDLMEVGHEHSGDNLDCGDQVVFNPSSKSPDS* >Brasy3G231400.1.p pacid=40040791 transcript=Brasy3G231400.1 locus=Brasy3G231400 ID=Brasy3G231400.1.v1.1 annot-version=v1.1 MAYRADDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELKDHTDANIVIMLVGNKADLRHLRAVPVEDAKAFAEREYTYFTETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGSKDDVSAVKKAGCCSS* >Brasy3G096100.1.p pacid=40040792 transcript=Brasy3G096100.1 locus=Brasy3G096100 ID=Brasy3G096100.1.v1.1 annot-version=v1.1 MGIGSRRRPAASRSVADLSTAANEHRYPDDDDFEFTPLLSYPRRGGSSSAATECPPRRTAQQAATEAPRSERAPASASAGRQESSRNKARGRRQPVRWHELAFGSARVAAAMDMAEIRRRLQAPRRDAADVGEAWAPWRLIRSLSCRGVEAVAVAAAASASAPVRLA* >Brasy3G003800.1.p pacid=40040793 transcript=Brasy3G003800.1 locus=Brasy3G003800 ID=Brasy3G003800.1.v1.1 annot-version=v1.1 MSYQQGYPPQGQGQGQQQAYVAPPPAGYPMEKDDLQQSYPAGAGAETTSRGHHHHHHGGHHHHGNGGGGGGFLRGCCAALCCCCLLDACF* >Brasy3G031500.1.p pacid=40040794 transcript=Brasy3G031500.1 locus=Brasy3G031500 ID=Brasy3G031500.1.v1.1 annot-version=v1.1 MEVEGQAMLETQGVELEDDSINFWASLGVSTTHVHGVDQMPALHNVQMVDHHVQLLPAATTVSHPQSVCKELFPVEADPCLEPRVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKCSESIIMLRFVCSKEGYSKEKRVVEGKKTRKRPASIREGCNAMLEVLRRGDNKWVVTKLVKEHNHEVGMPSTVHYIATESDTVVDPYIGMEFESLESAKTFYYSYAIRVGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRETNAEDAKKGQALDPLRDGCDALFEIIRKQQDVWMVSKLILEHTHELNPAPPSRVCCVRSQGEILVIAKNFADTRNLLLNGQDSQPSREIRYNDLGPEDAQSLLEYLKKVQVEDPAFFYAVQLDKNEQTVNIFWADANARMAYYHFGDAVRFETAYRNSKEHIPIVIFSGVNHHVQPIVFGCALLVDESENSFAWLFENWLEAMHVRPPVSFVTELNQQMAAAAAKVLPDTCHIFCEKHIFGTVKEELHNIYPELDHFITDLRKCIDGCRIEESFESCWDSILIKHGFRNNEVLQSLYDIRQQWAPAYTKKSFHATNLLPQSSENFEKIIDKYFSSKTQLQVSVQQLGQAICSSYEKEAQADYLSMVQVPALRTASPVEKQASLVFTRTVFDIFQEQFAESFGYHAERLEDGMVHKYCVTVDDGDEESHTVSFSPDQCTVCCSCCLFESCGILCRHALRVFIIEGVRFLPKAYVLKRWTKHAKSTVTLDNYTDLRGYCEDPSTSRYNDLCYDAIKCAKEGSASSELYKIAKDALHKALDEVMSLRNTRQQNLQNCTTSLKSPVKKFGKAKDTSGKSLKRIRTMKIILVALAGSEKAEKNGAEGRV* >Brasy3G238200.1.p pacid=40040795 transcript=Brasy3G238200.1 locus=Brasy3G238200 ID=Brasy3G238200.1.v1.1 annot-version=v1.1 MPVDGMGKVVATVSGYHADERHRLVKLISETGASYVGAMSRSITHLVCWRLEGKKYDIAKRLGTCVVSHRWFQDCLKEGRRLPEGPYMMESGEEAGPVRELPTLPGKRSKRKAFMEDTCLEELPTDCCNASYAKDVLNIGDSDSDFEHQGLLDSSLLKENFVTRRNAKKIDSRDVKQRRKCSKRARKSTDKDILHPRDIASSVMIREGLHMSSYTSSPGQKGYTSSRGTSRQKGNLSALLHNEISGRMGERNDPIRKESQSKHVRYLTEISDDDVDGSTDSFEEVQILDIPTAEARTKIGGRNASASLRQSTLDSIYCFRETSSHVYESEESEEQVNVEIGQSSRSLQPGDLFGDEPSFCTQEKIDQSNLDIVADHERTDEEKPTMEESPNLQRQAELSCVICWTDFSSTRGILPCGHRFCYSCIQGWADCLSSRGKVSTCPLCKASFSWISKVDEAGTSDQKIYSQTIPCGASTDVFVFADDGYDLPGSSSAQGACYQCHSREPEELLLSCHVCRSRWVHSYCLDPPLTPWTCMHCRDLRRMYQRYR* >Brasy3G238200.2.p pacid=40040796 transcript=Brasy3G238200.2 locus=Brasy3G238200 ID=Brasy3G238200.2.v1.1 annot-version=v1.1 MSSGEEAGPVRELPTLPGKRSKRKAFMEDTCLEELPTDCCNASYAKDVLNIGDSDSDFEHQGLLDSSLLKENFVTRRNAKKIDSRDVKQRRKCSKRARKSTDKDILHPRDIASSVMIREGLHMSSYTSSPGQKGYTSSRGTSRQKGNLSALLHNEISGRMGERNDPIRKESQSKHVRYLTEISDDDVDGSTDSFEEVQILDIPTAEARTKIGGRNASASLRQSTLDSIYCFRETSSHVYESEESEEQVNVEIGQSSRSLQPGDLFGDEPSFCTQEKIDQSNLDIVADHERTDEEKPTMEESPNLQRQAELSCVICWTDFSSTRGILPCGHRFCYSCIQGWADCLSSRGKVSTCPLCKASFSWISKVDEAGTSDQKIYSQTIPCGASTDVFVFADDGYDLPGSSSAQGACYQCHSREPEELLLSCHVCRSRWVHSYCLDPPLTPWTCMHCRDLRRMYQRYR* >Brasy3G126500.1.p pacid=40040797 transcript=Brasy3G126500.1 locus=Brasy3G126500 ID=Brasy3G126500.1.v1.1 annot-version=v1.1 MDYVDAMVEYCTAPVRDEWRRHSAGSNTQLERKTEELDGVRFELNLLRRRMEIQIRQYESKVAVLERGARKMRTFRSLVSVLVLMLLVAPVN* >Brasy3G306000.1.p pacid=40040798 transcript=Brasy3G306000.1 locus=Brasy3G306000 ID=Brasy3G306000.1.v1.1 annot-version=v1.1 MADGSNDPDDVAPDSPAAGGEIWGTVEELLLACLVNRHGGACWDTVAAELRERVPAAARFTPESCRLRFRLIRRRFAAADAKDEDDGDDGELVAAAKSCMEELRKLRMAELRREVERHDLSIGALESKVKRLKEEREGILSGETTPASEGEQEEAVNESPEEAGGENGVSGADGHAAVEDEPDAGAVKEEEEENASGESVAAPKEADEEEKESSDVQSSASPSKRRLRKVEALSSSAPPLPAAEALLAFLESVRASKSGSVFERRLESQEGGKYKSTIRRHVDLEMIRSKLESGGPTATTGKGGSACYYTSASEFYRDLLLLCANALVFFPRGSTEHAAAARTRALVSKRISGSLSLHGGAAGKASAAAAAAAAAGGGGSADGGKKPKPEAEVEGSLMEKSTPVMVSRKRSSIAKAAANAGKEEKVEKADDTDGEEQEQDEEEEDKKKRGSGRGRTLRSSKTREPAMNGSPVGKRTRSKGSAAAAEASKKPDKKSGGRGKAAAAAEGSVVKKRNAVDFLNRMKQSSSQSTERVSLLETLKLSATEQKEQKKAGRGKDNGSSSSSRRSAAAETPPSRGRRNVGRPPKRAAASPSPPPSKRAKTEDRPATRRRGRK* >Brasy3G213100.1.p pacid=40040799 transcript=Brasy3G213100.1 locus=Brasy3G213100 ID=Brasy3G213100.1.v1.1 annot-version=v1.1 MLKNIFSQSWRRGAHVLQEGHPTAALYTCSSRFHSGQALCSSRSFFGVEDFMDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDVKAALASRSDVPACLSVGRFLAERAKEADVYACTYTPRERDRFEGKIRAVVQSLIDNGIHVKVYLD* >Brasy3G061800.1.p pacid=40040800 transcript=Brasy3G061800.1 locus=Brasy3G061800 ID=Brasy3G061800.1.v1.1 annot-version=v1.1 MPIHGSAESCLSSASSLSMPIHGSALRCGGCSALLRGRAGRGEVVARAAGTDGEVVASREGRGGHGSEMGREEARRDGVGKGRRGGEMGRGEGEAAGRPAARWGGRKGRRAAGGAG* >Brasy3G240300.1.p pacid=40040801 transcript=Brasy3G240300.1 locus=Brasy3G240300 ID=Brasy3G240300.1.v1.1 annot-version=v1.1 MTVAQAQAAVPASALKLKAFAAPVNAFIFLWYVMLWAGSAGAFVMVVAGRALGEGSPVLPAASLVMHNSYRFAILLAPVGLMLLGVRGMLSVSDKTLAKKDVNVIGALISIPFLMLLSVNLVVGQSPVEGSQSERIGSVLFDVGLLGASATQCFIVLPTKILREWRMEW* >Brasy3G095600.1.p pacid=40040802 transcript=Brasy3G095600.1 locus=Brasy3G095600 ID=Brasy3G095600.1.v1.1 annot-version=v1.1 MSVSSRFELRTSSYLHQQQQPPTPVGMGGDGGTFADAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCMYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNSAALKAQIEKLQQERDEFQKMVIGNQQVRTQQIHETKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWTAKKNDNDYYKMIVDAYEVKKQELVQENADLRALLRSMQMDMREFLNAPNGSSQPAVVGNGKQDAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASVKARMTQLQDAQKGAEVTSEVTERELELEAQLVEARSIIQEQASIMSKHFSKSDKPSRRLSGLDGEREATTDA* >Brasy3G095600.2.p pacid=40040803 transcript=Brasy3G095600.2 locus=Brasy3G095600 ID=Brasy3G095600.2.v1.1 annot-version=v1.1 MSVSSRFELRTSSYLHQQQQPPTPVGMGGDGGTFADAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCMYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNSAALKAQIEKLQQERDEFQKMVIGNQQVRTQQIHETKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWTAKKNDNDYYKMIVDAYEVKKQELVQENADLRALLRSMQMDMREFLNAPNGSSQPAVVGNGKQDAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASVKARMTQLQDAQKGAEVTSEVTERELELEAQLVEARSIIQEQASIMSKHFSKSDKPRRLSGLDGEREATTDA* >Brasy3G268500.1.p pacid=40040804 transcript=Brasy3G268500.1 locus=Brasy3G268500 ID=Brasy3G268500.1.v1.1 annot-version=v1.1 MPAMAREDETAGPHFLVVTYPAQGHINPARHLAQRLLRATPGARVTLSTAVSACRKMFPENSGGAELVDGAGIRYAPYSDGYGEEGFDRAKDDHTAYMGQLKLVGAQTLDALLARFRDEGRPVTRVVYTVLLSWVADVARGHGVPAALYWIQPATVLAAYFHYFRGTDGVDAAIAAKAADPWAEVQLPGITAQLRVRDLPSFLVSGAAASDGGSDDPYSFVLAEFREQLAALDREDDPTVLVNTFDAMEPDAVATLREHGLDVVPVGPLLSFLDAGPAPAPSSNNDLFKQDGKGYMAWLDAQPEGSVVYISFGSMSVMSERQVAEVARGMADSGRPFLWVLRKDNRAGADVDDGNGMVVEWCEQGKVLGHAAVGCFVTHCGWNSTVETVACGVPAVGVPQWTDQGTNAWLLERIGVGVRAAVSEDDGVLEAEALQRCLAFAASEPVRAQAALWRDKARAAAARGGSSERNLRAFVEEAIAPGDN* >Brasy3G008800.1.p pacid=40040805 transcript=Brasy3G008800.1 locus=Brasy3G008800 ID=Brasy3G008800.1.v1.1 annot-version=v1.1 MRPTAFEDIASDRISSLPNEILHHILSLMPAREAVQTCVLSNEHSPLFETMLRRDCVPLDSFCLVSWSNCAHCLNHPRAIEYYELFSLDHSPFILVHLKELCLHCVFIGALFIENLLSNCPAFEDLTMIDSRVLATKFSSRTLKNLGAPGQIRKNLTFISLGPNDDSDVHDYFEDLVIDTPNLVSLHLEDLALLAPCLVNVSSVVKASFRLDEECFSCSDANCNILSALSNVTKLKLGTSQETETVSKAFNNLKSLSVGEWCVDADLSALLYFLRRSPVLKKLTLCLSAAGASGWGHQRHNLKSKKTDMSFNCEHLKRVRIRCARGDKRVHSIVNVILANAISLPEIVINPYEPLYPLE* >Brasy3G008800.2.p pacid=40040806 transcript=Brasy3G008800.2 locus=Brasy3G008800 ID=Brasy3G008800.2.v1.1 annot-version=v1.1 MRPTAFEDIASDRISSLPNEILHHILSLMPAREAVQTCVLSNEHSPLFETMLRRDCVPLDSFCLVSWSNCAHCLNHPRAIEYYELFSLDHSPFILVHLKELCLHCVFIGALFIENLLSNCPAFEDLTMIDSRVLATKFSSRTLKNLGAPGQIRKNLTFISLGPNDDSDVHDYFEDLVIDTPNLVSLHLEDLALLAPCLVNVSSVVKASFRLDEECFSCSDANCNILSALSNVTKLKLGTSQETETVSKAFNNLKSLSVGEWCVDADLSALLYFLRRSPVLKKLTLCLSAAGASGWGHQRHNLKSKKTDMSFNCEHLKRVRIRCARGDKRVHSIVNVILANAISLPEIVINPYEPLYPLE* >Brasy3G054600.1.p pacid=40040807 transcript=Brasy3G054600.1 locus=Brasy3G054600 ID=Brasy3G054600.1.v1.1 annot-version=v1.1 MASDRQLRDWVSDKLMSLQGFTTTVVVQYVIRLAKECSSTGDLVGKLVEYGFSSSAETSSFAADIYAKVPRRDRGISNYQKQEREAAKLVKKQSTYKLLDDDDENDIDNHTSSLASTPSKSRKHFRRRAEDQDDLKDDDETITHDSERSVRRRIEEVDDEDGDETFDEEREIIRDQQERAQLEKNMRERDTVNTRKLMERQLSKEEQEDLARRSQAMDKNDTSDLRNFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKDFRYKKKIYNLVKEHVESADDIAEYKMPEAYDMGEGINQEKRFSVAMQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDEYQYVFDDQIDFVKSSVIEGTQFEDDSDQETIDAKDILKRELQDERKTLPIYKFRDELLKAVDEYQVIVIVGETGSGKTTQIPQYLHEAGYTARGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTMIKYMTDGMLLREFLGEPDLAGYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKQRTRGLGTKIAELNICPIYANLPTELQAKIFEPTPEGSRKVVLATNIAETSLTIDGIKYVIDPGFCKVKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVCSNASDLDAIKKAITSGFFHHSARLQKNGSYRTVKNPQTVFVHPSSGLAQLLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVDDSGSKKLPKGQGRAAL* >Brasy3G001700.1.p pacid=40040808 transcript=Brasy3G001700.1 locus=Brasy3G001700 ID=Brasy3G001700.1.v1.1 annot-version=v1.1 MSTPLIANASNGSLPHVLAIENERIQRRILFEVLKCGNLRVTAVENAEEALKFLESENDVTMIICDVHLPEMNCYDFLVEVKKSAQLAHIPVLITSLDDIPEEKQKLLDAGVKDYIVKPIFLDSLPRILSNM* >Brasy3G085800.1.p pacid=40040809 transcript=Brasy3G085800.1 locus=Brasy3G085800 ID=Brasy3G085800.1.v1.1 annot-version=v1.1 MKSSFVLAVLFLLLIPMAGSSPPAEPVMCTHGNSGCTVTNTYGSFTDRMVCRAASVTYPCNEEEVIAAVAAAVSAKQKLKVATKHSHSIPKLACPGGHDGAIISTARLNPTVRVDAANRLMTVESGMLLRDLTKATAALAVFLRLDHRRPPLHGRARELPVGQGRRRKRVRRRDEDRDPRPGERGVSIGVLGVVTQITLALQPLFKRSVSYVTRNDSDFPEQVAAWGRLHEFGDVTWLPALGKVAYRQDDRVDVSSPGNGQLNRPPLFSSASTREAIEARALEVRLQQANATDTARCEVLQRQVAAAERLGNGFTNDGVSFTGFPVVGYQHQIQAAGGCIDSPEDGLATSCVWDPRVQGTFFYNAAFSVPLSKAPAFIAEMQRLRDLNPAAFCVAVDPRLGVFLRYVKASSAYLGKPVDSVVFDLIYYRSRNDGTPHAHADLVDELEQLALRKYGGLPHWGKNRDFAFDGAITKYPDAGKFLKVKGRYDPDGLFSNEWTDKVLGISGSPSVVEKRCAIEGLCVCSEDSHCAPELGYFCRPGKVYKKARVCSFQPSGHRG* >Brasy3G064300.1.p pacid=40040810 transcript=Brasy3G064300.1 locus=Brasy3G064300 ID=Brasy3G064300.1.v1.1 annot-version=v1.1 MGRGARRPEMGRGGRTRPPGRGRCNPIKTLERWNRPATMKGRLHKLQRRCRNQPVMLRSLLRKLQRRRRNQPVTMNAQVDLLHR* >Brasy3G220800.1.p pacid=40040811 transcript=Brasy3G220800.1 locus=Brasy3G220800 ID=Brasy3G220800.1.v1.1 annot-version=v1.1 MGENGEGLTTRRTVRSVGSEAVGAGGSTARRGDRRRCSSRGRSGSSPARGRGGDSAPLDGRRRPRPPGHASSTCRLCKCPHSILLSGEDLWARGGRTLCSRITGGSRRACFLLGKEAEVGEAISEWTSARRRRGLLAPPVSYSSFLLCCSMAVRQRRGVSRCVFDCASVRISWLFQGVSSASGPVLFSFSFSKNDFSFSTPRNCGGRNS* >Brasy3G220800.2.p pacid=40040812 transcript=Brasy3G220800.2 locus=Brasy3G220800 ID=Brasy3G220800.2.v1.1 annot-version=v1.1 MGENGEGLTTRRTVRSVGSEAVGAGGSTARRGDRRRCSSRGRSGSSPARGRGGDSAPLDGRRRPRPPGHASSTCRLCKCPHSILLSGEDLWARGGRTLCSRITGGSRRACFLLGKEAEVGEAISEWTSARRRRGLLAPPVSYSSFLLCCSMAVRRRGVSRCVFDCASVRISWLFQGVSSASGPVLFSFSFSKNDFSFSTPRNCGGRNS* >Brasy3G220800.3.p pacid=40040813 transcript=Brasy3G220800.3 locus=Brasy3G220800 ID=Brasy3G220800.3.v1.1 annot-version=v1.1 MGENGEGLTTRRTVRSVGSEAVGAGGSTARRGDRRRCSSRGRSGSSPARGRGGDSAPLDGRRRPRPPGHASSTCRLCKCPHSILLSGEDLWARGGRTLCSRITGGSRRACFLLGKEAEVGEAISEWTSARRRRGLLAPPMFYLGNLWLIDFAPVFVVDSRELMVAEARCVPLCLRLRICSHLMAVSRG* >Brasy3G234800.1.p pacid=40040814 transcript=Brasy3G234800.1 locus=Brasy3G234800 ID=Brasy3G234800.1.v1.1 annot-version=v1.1 MAAAIDRPADLKIRDAPAPQMQMLQPPPFHPSLPPPFPTGGAHGYHYMGSAQGQLSPAQMQYIQARLRLPPQTGLAPRAQPMKTAAAPKPAPAAQQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAAVVYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAISHTIAQKASSKNASKGGGGKATPINAPAAVAAVAASGNCSSGSGNSGGSATDDGSETTSSGSASASPLAQLNFGEVPWDESESFMLTKYPSYEIDWDSLLSSN* >Brasy3G323200.1.p pacid=40040815 transcript=Brasy3G323200.1 locus=Brasy3G323200 ID=Brasy3G323200.1.v1.1 annot-version=v1.1 MAPAVELEGAGEGPGILMEQSTLPRRSPLGHAAAGRAGAGDGGRTRGQRRREGQQGAGDGGRISRGQATAGGRAGRASDGGRRAGSGGARTRRRQAPRPS* >Brasy3G104500.1.p pacid=40040816 transcript=Brasy3G104500.1 locus=Brasy3G104500 ID=Brasy3G104500.1.v1.1 annot-version=v1.1 MTGAGASRRHGGGEAWEGGRRRVWGRRRDGGKASGGARGARGGGGSAAGGGEEASAARGGRRHAGEHEGGGSGGGEEASAASMGRKRKWEGVGVGRPGMEGRRGGSGRRGGITGEETGEGRRENF* >Brasy3G281900.1.p pacid=40040817 transcript=Brasy3G281900.1 locus=Brasy3G281900 ID=Brasy3G281900.1.v1.1 annot-version=v1.1 MLPVSSISAQKTHYEVLSVNEGATYDELRAGYRFAILNVHPDKSQANSDSLVPSGKQGEFLSAQKAWEVLRDPNSRAVYDRQLQTSRQNLENVAYEIGVVEMTTESTDNLTELVYPCRCGDYFSISSFDLGEMGIVIGEDGKIDFQSPDCASASVVLGCASCSLKTRLVINKSS* >Brasy3G207300.1.p pacid=40040818 transcript=Brasy3G207300.1 locus=Brasy3G207300 ID=Brasy3G207300.1.v1.1 annot-version=v1.1 MAAPAEKQGAEAEAERLLAAAKLNPNDGGAFRSLGHHYSRAGDEQRAARCYQRAVTLDPDDAEAGKALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVHQKKWSDAIQSLQHSIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGHFRKGVEQFRSAIELAPHNHSAFFGLASALLAWSKHCVTTGAFTWAANLLKEASEAAKVCTSLTGNLSCVWKLHADTQLALARCFPWEDGEFKRGMDEEIFKASVLEWKNTCSSAANGAKLSYQRALHLTPWEANIHIDTAICLDLMCIMEGNNSVGPIDWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSLIRALHLDTSLSEAWAYLGKIYRQSGDKQLARQAFDRARSIDPSLALPWAGMSAENHHQYGGGSVNESYESCLRAVQILPLPEFQIGLGTIASRTGELLSPQVLMAVRQAVQRAPQYPESHNINGLVSEVRSDFQSAITYYQQAKFALHMIRSSKLDNKYPFVDVSVNLARSLCKAGLATDAVRECEELKKQGLLNVDGLQIYAFALWKLGRHDEALSVSRNLAENLPSMKQESATAVLGFICTLAYSISGKDSAASVIHELPGQTNYNRELKFIISALDALQPKKRFQLPHLSMPPRLTSYEVMSEVHSNIALGKAIGGELNNCSSVDGGLSYLKKVLHMYPDRSLVRNHLGSLLLSCGDWSASHKAVRVASLSHGHTSSRGLRSQHQIQACATVSCYATCTSYPKFSFPTCEGQYLSGYNTIHNLQRLVHQEPWNQDARYLLVLAIFQQAREEKYPKHICIILKRLVLHVLSRSSDSQDDKVVLYEKYLLLLLSSEVNLQSDDYENCIAQATDALRVAPPNADAFFAHLQLCRAYAVQGDLSNSRKEYMKCLENRTNTEIGWVMLKQLESLCSSGSDSDEIEVNLKECIERNSSNRSKWASLFNLACAQCSIRDEDFASAEKALVQACADKDTDSCILFLNGSICMEIARRFAAPQFISSAASSLRKAQQKSHATLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELLPAELYFQMHLLANQSTAAAAPQQKQKQQQVMVETMQSPGAWLLRAIHMNPSSSRYWKALLQLVYV* >Brasy3G207300.3.p pacid=40040819 transcript=Brasy3G207300.3 locus=Brasy3G207300 ID=Brasy3G207300.3.v1.1 annot-version=v1.1 MAAPAEKQGAEAEAERLLAAAKLNPNDGGAFRSLGHHYSRAGDEQRAARCYQRAVTLDPDDAEAGKALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVHQKKWSDAIQSLQHSIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGHFRKEASEAAKVCTSLTGNLSCVWKLHADTQLALARCFPWEDGEFKRGMDEEIFKASVLEWKNTCSSAANGAKLSYQRALHLTPWEANIHIDTAICLDLMCIMEGNNSVGPIDWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSLIRALHLDTSLSEAWAYLGKIYRQSGDKQLARQAFDRARSIDPSLALPWAGMSAENHHQYGGGSVNESYESCLRAVQILPLPEFQIGLGTIASRTGELLSPQVLMAVRQAVQRAPQYPESHNINGLVSEVRSDFQSAITYYQQAKFALHMIRSSKLDNKYPFVDVSVNLARSLCKAGLATDAVRECEELKKQGLLNVDGLQIYAFALWKLGRHDEALSVSRNLAENLPSMKQESATAVLGFICTLAYSISGKDSAASVIHELPGQTNYNRELKFIISALDALQPKKRFQLPHLSMPPRLTSYEVMSEVHSNIALGKAIGGELNNCSSVDGGLSYLKKVLHMYPDRSLVRNHLGSLLLSCGDWSASHKAVRVASLSHGHTSSRGLRSQHQIQACATVSCYATCTSYPKFSFPTCEGQYLSGYNTIHNLQRLVHQEPWNQDARYLLVLAIFQQAREEKYPKHICIILKRLVLHVLSRSSDSQDDKVVLYEKYLLLLLSSEVNLQSDDYENCIAQATDALRVAPPNADAFFAHLQLCRAYAVQGDLSNSRKEYMKCLENRTNTEIGWVMLKQLESLCSSGSDSDEIEVNLKECIERNSSNRSKWASLFNLACAQCSIRDEDFASAEKALVQACADKDTDSCILFLNGSICMEIARRFAAPQFISSAASSLRKAQQKSHATLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELLPAELYFQMHLLANQSTAAAAPQQKQKQQQVMVETMQSPGAWLLRAIHMNPSSSRYWKALLQLVYV* >Brasy3G207300.2.p pacid=40040820 transcript=Brasy3G207300.2 locus=Brasy3G207300 ID=Brasy3G207300.2.v1.1 annot-version=v1.1 MAAPAEKQGAEAEAERLLAAAKLNPNDGGAFRSLGHHYSRAGDEQRAARCYQRAVTLDPDDAEAGKALCDLLDVEGKESLELAVCKEAAGKSPRAFWAFRRLGYLQVHQKKWSDAIQSLQHSIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGHFRKGVEQFRSAIELAPHNHSAFFGLASALLAWSKHCVTTGAFTWAANLLKEASEAAKVCTSLTGNLSCVWKLHADTQLALARCFPWEDGEFKRGMDEEIFKASVLEWKNTCSSAANGAKLSYQRALHLTPWEANIHIDTAICLDLMCIMEGNNSVGPIDWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSLIRALHLDTSLSEAWAYLGKIYRQSGDKQLARQAFDRARSIDPSLALPWAGMSAENHHQYGGGSVNESYESCLRAVQILPLPEFQIGLGTIASRTGELLSPQVLMAVRQAVQRAPQYPESHNINGLVSEVRSDFQSAITYYQQAKFALHMIRSSKLDNKYPFVDVSVNLARSLCKAGLATDAVRECEELKKQGLLNVDGLQIYAFALWKLGRHDEALSVSRNLAENLPSMKQESATAVLGFICTLAYSISGKDSAASVIHELPGQTNYNRELKFIISALDALQPKKRFQLPHLSMPPRLTSYEVMSEVHSNIALGKAIGGELNNCSSVDGGLSYLKKVLHMYPDRSLVRNHLGSLLLSCGDWSASHKAVRVASLSHGHTSSRGLRSQHQIQACATVSCYATCTSYPKFSFPTCEGQYLSGYNTIHNLQRLVHQEPWNQDARYLLVLAIFQQAREEKYPKHICIILKRLVLHVLSRSSDSQDDKML* >Brasy3G331300.1.p pacid=40040821 transcript=Brasy3G331300.1 locus=Brasy3G331300 ID=Brasy3G331300.1.v1.1 annot-version=v1.1 MAETLLLPMVRAVAGKAADVLVQTITRMCGLDNDRRKLERQLLAVQCKLADAEVKSETNQYVKRWMKDFRTVAYQADDVLDDFQYEALRREAQIGESKTLKVLSHLTLHSPLLFRLTMSRRLNDVLEKINKLVVEMNTFGLLENPVEVPQDLSRQTHAALDESAEIFGRDDDKEVVVNLLLGQKGERKVQVLPIFGMGGLGKTTLAKMVYNDSRVQQHFKLNMWHCVSENFEAVALVRSVIELATDKRCDLPDNIELMRRRLEEVIGKQRFLLILDDVWNEEERKWENELKPLLHSVGGPGSVVVVTCRSQQVASIMGTIKPHELACLSEDDSWKLFSRKAFSNGVQELAEFVTIGKCIVKKCKGLPLALKTMGGLMSSKQQVQEWEAIKESNIGDNARGKDEIISILKLSYKHLSSEMKRCFAFCAIFPKDYEMEKEVLIQLWMANCFIQEDGIMDLAQKGEFIFNDLVWRSFLQDVKVKEQRFIDYTYDSISCKMHDLMHDLTKDVSDECAIIEKLIEPKSSVEHVRHMQIGRGAKQISGLLKGTRYLRTFLIPSSSHRHLKELNLMSLRALRCDSHSITNSQVVNAKHLRYLDFSNSDIVRLPESICILYYLQSLTLNDCSKLRYLRDGMGAMRKLIHLNLLGSNSLRRMPPNIGQLNNLQTLTKFIVGTEAGHGIEELKDLCHLGNRLELHSLRKIKSGSNAKEANLHQKQNVSELFMHWGWRDPDMPGDVNEELVLESLVPHGKLKTLEVHGYGGVEISQWMRDPQMFQCIRKLTVSNCPRCEDLPIVWLSASLEYLRVEIMDSLTTLCKSIDGQAGGYNTCLQYFPKLKEMSLSCLRRLERWTENSAGQPNSLIMFPVLERITLRHCPKLLSVPVCPVLENLLILECCSLPISSFLHLTTLSELDWDGKGIIPESMPLDSWSSVVKLIVRSLANQSGLSKSLLGFPEWFSSVEDLLFIQCHELVRWPVEELRSFARLRTLSILICDDLEGNGSSSEETLLLPQLETLRITSCDNLLDIPKLPPTVEELSILSCKSLVALPSNLGDLPKLRLFSVKNCARLKELPGGMDGFISLEVLYIELCQGIQEFPQGLLQRLPDLESLSIRGCPKLQRRCREGGEYFHLLSSIPNKNIAETESSMKKLVRRLLPSCSDS* >Brasy3G081800.1.p pacid=40040822 transcript=Brasy3G081800.1 locus=Brasy3G081800 ID=Brasy3G081800.1.v1.1 annot-version=v1.1 MGSKTASGLTSVLCCPCRCIFCGLLSCIFSVIACLLVVAGLVFLALYLLFRPHLVHATAASADLANFTLTPRTWILRYNLTLALALRNPNTRIAIRYAAVEADAYYQGQPFAHAALPEFFQDTGERTEIAAQFVGQHPLEGGVAAAAFRKEAIDHARFAVDVKVTAKMRLKVWAFTVPGPRPRIDCPLVIQRRNLTTGAASTEFQPTDCRVWF* >Brasy3G086300.1.p pacid=40040823 transcript=Brasy3G086300.1 locus=Brasy3G086300 ID=Brasy3G086300.1.v1.1 annot-version=v1.1 MQWHAKVCILSGVRISVACTCGLSQPKGRGAALAAGKGRVGLNLGFKDDVYNLTATVCSTTFTLGKDKEDYLNLDVVPCVGPYLKTGKSRISGSQFRLNPGRNQGKFLCFQTGLQTNLRTRLSTKFRD* >Brasy3G253900.1.p pacid=40040824 transcript=Brasy3G253900.1 locus=Brasy3G253900 ID=Brasy3G253900.1.v1.1 annot-version=v1.1 MTEKKNRREKKNPREAKVTFEGLVTEALPNGMFRVRLENDTTILGYISGKIRSSSIRILMGRSCGKIARCQNDKKLNTSYLTFSLF* >Brasy3G327100.1.p pacid=40040825 transcript=Brasy3G327100.1 locus=Brasy3G327100 ID=Brasy3G327100.1.v1.1 annot-version=v1.1 MEGADAPPPGTPGAGDGGSRSTAVSKTEAAAAAAAMEQRFADLCKSKLALDESTMRQAMQLFKETKTILLSSMSSLGSGSPEEIQRFWSAFVLFCVSRLGKAGKAKEDGGITLHQILRAFEIKLVDFFKEMPQFCIKVGCVLTGLYDSDWEKKLELQELQATVVHLCSLGRHYKRAYQELFLSNDGKPADNPSEPNAQLASEYYRFGWLLFVLLRIQTNSRFKDLLTSITELVSVLAVLIVHIPVRLRKFSIEDSSFFAKKSDKGVNLIASLCEKHLTSADELSKSLEKTNTLIMDILKKKPCMDALECQQNNLSFIDQEGLTFFKNFMEEDSLKSSLLILDKEYENAINTKGELDERMFANDDDSFLGSGSLSGGAIKLPGTKRKYDVLASPSKSAISPSPMSPPRFCASPNGNSFGNSKMAPPLTPVSTAMTTAKWLRSTISPLPSRPSGELLRFFSACDKDVTEDITRRAAIILGAIFTGNSFGERMCTTVRSRNGFDAIWTEQRKMEALKLYYRVLESMCRAESHVLSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESLAWEKGSSMYNSLIVARPTLSVEINRLGLLAEPMPSLDAIVAHHDISLGGLPPLPFQKQERSPDKDEVRSPKRACTERRNVLVDSNSFRSPVKDTIKLKILPPLQSAFASPTRPNPAAGGETCAETGIGVFLSKIAKLAAIRIKGLCERLQLSQQILERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLTLTFKEIIFSYRKQPQCKLQVFRSVFVHRPSRNRTGKTGEDHVDIITFYNEVFIPTVKPLLVDLGSGASPNKNNGEKSTTDAVPFPESPRLTRFPTLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKAINTRLNSGKKVSGRLNFDVVSDLDVARSLSDQNGASTSMVVVGARSLGDQNGGYSPMKVLAAKPPVKCEQTDS* >Brasy3G327100.2.p pacid=40040826 transcript=Brasy3G327100.2 locus=Brasy3G327100 ID=Brasy3G327100.2.v1.1 annot-version=v1.1 MEGADAPPPGTPGAGDGGSRSTAVSKTEAAAAAAAMEQRFADLCKSKLALDESTMRQAMQLFKETKTILLSSMSSLGSGSPEEIQRFWSAFVLFCVSRLGKAGKAKEDGGITLHQILRAFEIKLVDFFKEMPQFCIKVGCVLTGLYDSDWEKKLELQELQATVVHLCSLGRHYKRAYQELFLSNDGKPADNPSEPNAQLASEYYRFGWLLFVLLRIQTNSRFKDLLTSITELVSVLAVLIVHIPVRLRKFSIEDSSFFAKKSDKGVNLIASLCEKHLTSADELSKSLEKTNTLIMDILKKKPCMDALECQQNNLSFIDQEGLTFFKNFMEEDSLKSSLLILDKEYENAINTKGELDERMFANDDDSFLGSGSLSGGAIKLPGTKRKYDVLASPSKSAISPSPMSPPRFCASPNGNSFGNSKMAPPLTPVSTAMTTAKWLRSTISPLPSRPSGELLRFFSACDKDVTEDITRRAAIILGAIFTGNSFGERMCTTVRSRNGFDAIWTEQRKMEALKLYYRVLESMCRAESHVLSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESLAWEKGSSMYNSLIVARPTLSVEINRLGLLAEPMPSLDAIVAHHDISLGGLPPLPFQKQERSPDKDEVRSPKRACTERRNVLVDSNSFRSPVKDTIKLKILPPLQSAFASPTRPNPAAGGETCAETGIGVFLSKIAKLAAIRIKGLCERLQLSQQILERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLTLTFKEIIFSYRKQPQCKLQVFRSVFVHRPSRNRTGKTGEDHVDIITFYNEVFIPTVKPLLVDLGSGASPNKNNGEKSTTDVPFPESPRLTRFPTLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKAINTRLNSGKKVSGRLNFDVVSDLDVARSLSDQNGASTSMVVVGARSLGDQNGGYSPMKVLAAKPPVKCEQTDS* >Brasy3G327100.3.p pacid=40040827 transcript=Brasy3G327100.3 locus=Brasy3G327100 ID=Brasy3G327100.3.v1.1 annot-version=v1.1 MFVGVVFMYDLLGSKLALDESTMRQAMQLFKETKTILLSSMSSLGSGSPEEIQRFWSAFVLFCVSRLGKAGKAKEDGGITLHQILRAFEIKLVDFFKEMPQFCIKVGCVLTGLYDSDWEKKLELQELQATVVHLCSLGRHYKRAYQELFLSNDGKPADNPSEPNAQLASEYYRFGWLLFVLLRIQTNSRFKDLLTSITELVSVLAVLIVHIPVRLRKFSIEDSSFFAKKSDKGVNLIASLCEKHLTSADELSKSLEKTNTLIMDILKKKPCMDALECQQNNLSFIDQEGLTFFKNFMEEDSLKSSLLILDKEYENAINTKGELDERMFANDDDSFLGSGSLSGGAIKLPGTKRKYDVLASPSKSAISPSPMSPPRFCASPNGNSFGNSKMAPPLTPVSTAMTTAKWLRSTISPLPSRPSGELLRFFSACDKDVTEDITRRAAIILGAIFTGNSFGERMCTTVRSRNGFDAIWTEQRKMEALKLYYRVLESMCRAESHVLSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESLAWEKGSSMYNSLIVARPTLSVEINRLGLLAEPMPSLDAIVAHHDISLGGLPPLPFQKQERSPDKDEVRSPKRACTERRNVLVDSNSFRSPVKDTIKLKILPPLQSAFASPTRPNPAAGGETCAETGIGVFLSKIAKLAAIRIKGLCERLQLSQQILERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLTLTFKEIIFSYRKQPQCKLQVFRSVFVHRPSRNRTGKTGEDHVDIITFYNEVFIPTVKPLLVDLGSGASPNKNNGEKSTTDAVPFPESPRLTRFPTLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKAINTRLNSGKKVSGRLNFDVVSDLDVARSLSDQNGASTSMVVVGARSLGDQNGGYSPMKVLAAKPPVKCEQTDS* >Brasy3G327100.4.p pacid=40040828 transcript=Brasy3G327100.4 locus=Brasy3G327100 ID=Brasy3G327100.4.v1.1 annot-version=v1.1 MFVGVVFMYDLLGSKLALDESTMRQAMQLFKETKTILLSSMSSLGSGSPEEIQRFWSAFVLFCVSRLGKAGKAKEDGGITLHQILRAFEIKLVDFFKEMPQFCIKVGCVLTGLYDSDWEKKLELQELQATVVHLCSLGRHYKRAYQELFLSNDGKPADNPSEPNAQLASEYYRFGWLLFVLLRIQTNSRFKDLLTSITELVSVLAVLIVHIPVRLRKFSIEDSSFFAKKSDKGVNLIASLCEKHLTSADELSKSLEKTNTLIMDILKKKPCMDALECQQNNLSFIDQEGLTFFKNFMEEDSLKSSLLILDKEYENAINTKGELDERMFANDDDSFLGSGSLSGGAIKLPGTKRKYDVLASPSKSAISPSPMSPPRFCASPNGNSFGNSKMAPPLTPVSTAMTTAKWLRSTISPLPSRPSGELLRFFSACDKDVTEDITRRAAIILGAIFTGNSFGERMCTTVRSRNGFDAIWTEQRKMEALKLYYRVLESMCRAESHVLSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESLAWEKGSSMYNSLIVARPTLSVEINRLGLLAEPMPSLDAIVAHHDISLGGLPPLPFQKQERSPDKDEVRSPKRACTERRNVLVDSNSFRSPVKDTIKLKILPPLQSAFASPTRPNPAAGGETCAETGIGVFLSKIAKLAAIRIKGLCERLQLSQQILERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLTLTFKEIIFSYRKQPQCKLQVFRSVFVHRPSRNRTGKTGEDHVDIITFYNEVFIPTVKPLLVDLGSGASPNKNNGEKSTTDVPFPESPRLTRFPTLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKAINTRLNSGKKVSGRLNFDVVSDLDVARSLSDQNGASTSMVVVGARSLGDQNGGYSPMKVLAAKPPVKCEQTDS* >Brasy3G230800.1.p pacid=40040829 transcript=Brasy3G230800.1 locus=Brasy3G230800 ID=Brasy3G230800.1.v1.1 annot-version=v1.1 MLGPPEKVDMEVEPAEAAAALVALASEPSPSQLDVFKNRIHLLRDGNTSDFDAWVSLIGSVEETNANDIEVISLVYRSFLLEFPLCHGYWIKYAAHKARLCTYEDVVDVYEQAVQAVPHSVDIWVSYCGFGMSVHEDPALVRRLFERAMSLVSRDYLCYHLWDKYIEFENSQKQLIQLATIYINMLKYPTKKLHKYYESFKKLVTSLEQESTNCGAEISSENLHTSEVMEAEESERDISIKIAGLFDGGGHLKPESLRQYLLTGDRLYQRSSKINEEICGFEASIKRPFFHVKPLDDDQLENWNLYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEYVDAKGGREIANYALGRASSCFVKGVPTFSTYHAMFKEQIGDAPGARALFLKGSSDFTSDSYMNINRLANMEKRMGNTKAATEIYENAIVDAMQKQNIEVVADLYTNFAQFIYAVTRSISEAKEVFVKGIKQAPCKPLIKGFIQFISTYGGPTEIPLLDSVISDAVTPGSDVSTALSPEDRKDISLLFLKFVDLYGGVQEVRKAWARHSKLFPHNTRNLSQWYCTIDSNKRRITEFLRVAYDHSPEGMTTLEQSSKSDTCSWQIDKEVGLQVDKNAVDSGKGQGDAGEQNIVGSVDVHQQVGDTAQECIDMAHSQHILDNDGTAKSCPSVNEEAPQAESCNYDSPSKSIADDKQIDAQDEIKTTELSAIDHPGTVCSRSDSPSGTSLLEGGIPSNQTPIFPELEEKHEEIQVKVETENDVSVSDAKPEKSSDNQDATHCDREVSVLDQDHIQSSETEDQSVGAKPSSSEMATTQATTCSQLAPSNAVPAQAPLQLQMDSSQTNQPVNLFLAGQNMQQMQQQGLAYAIPQNVQISSQTQAQLFAQPNQGNQQYLQMMQGYESQMWQYYQQQLYYLQAQHNQQIQSLQQQQLPTEQLQQNYMQQVQQVNQQMVLWQQQVQQQQQQQAPVQQQSNIAQGQYHPSSMDTKHEHNKHMKQEPQIDHQSQQFQQQQQLLYFQQQQQMYLMQQQQQMYQQQQQQQQQLMQQQQYLSQMPQQEQDMVQQQQLFQQQQQQLFEQQQKQMVVLQQQQQQFVQQQMQQYLQQQANQQGFKDQNYELNPQDAKKRQMEHGQQSEASQSDGSKLRSGEQSELSYPSTPQSQRSNY* >Brasy3G290900.1.p pacid=40040830 transcript=Brasy3G290900.1 locus=Brasy3G290900 ID=Brasy3G290900.1.v1.1 annot-version=v1.1 MGGVTSSVAAKFAFFPPDPPSYGVVDEEEPPQPGAAPVVAGRSSTAAAAVSTRVAMTGVPWREGVEARRVRTRRGTEIIAMYVRCPKARLTVLYSHGNAADLGKMYELFIEFSARLHVNVMGYDYSGYGRSSGKAGEANTFADIEAAYKCLVEVYGTRGEDIVLYGQSVGSGPTVDLAARLHHIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKIPLVKRPVLVIHGTNDDVVDCSHGKRLWELSQQKYEPLWIEGGDHCNLETFPVYIRHLKKFLSAMEKLPAGKAAAAESENLPAENETPSDSVALSEAPWTTSQRLEPSRKSSRHEQPPGLSTENVDKHRRSTGFREKARSSTEKKERRRRSVDTFDRIWDENEQPDKPRKSIDRLGEMIRSMGLCNVDCFKEPPRKTEPCQGEADPL* >Brasy3G290900.2.p pacid=40040831 transcript=Brasy3G290900.2 locus=Brasy3G290900 ID=Brasy3G290900.2.v1.1 annot-version=v1.1 MGGVTSSVAAKFAFFPPDPPSYGVVDEEEPPQPGAAPVVAGRSSTAAAAVSTRVAMTGVPWREGVEARRVRTRRGTEIIAMYVRCPKARLTVLYSHGNAADLGKMYELFIEFSARLHVNVMGYDYSGYGRSSGKAGEANTFADIEAAYKCLVEVYGTRGEDIVLYGQSVGSGPTVDLAARLHHIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKIPLVKRPVLVIHGTNDDVVDCSHGKRLWELSQQKYEPLWIEGGDHCNLETFPVYIRHLKKFLSAMEKLPAGKAAAAESENLPAENETPSDSVALSEAPWTTSQRLEPSRKSSRHEQPPGLSTENVDKHRRSTGFREKARSSTEKKERRRRSVDTFDRIWDENEQPDKPRKSIDRLGEMIRSMGLCNVDCFKEPPRKTEPCQGQ* >Brasy3G079200.1.p pacid=40040832 transcript=Brasy3G079200.1 locus=Brasy3G079200 ID=Brasy3G079200.1.v1.1 annot-version=v1.1 MAMKRRSTHMSPGNGCPAQSPIILPPDRRTNHHCFLIVQLTALTLVQSSSLPLYKLTKSLCSSSAQRRASTPMHHTLFSSRSLLCSADPSYTSTNRLAMNGFYSSIAHGLDELHGTLAASSSSPEGAFVSAPFLQQAAALLRSLHSQLVHLVQRLHLPPGESWLDEYMDETSRLWEACQVVKAGATALDTYCASATRIDAALDDWLCSPNPHTARQVMRAINAPRRQAVGLEQENRALAEARIDPASLLLDDRSPVEFKLNAFNGFRGVLYALRNASSFLLMILVSGTVSCLPDLGCAPMFRATGAGYVSSMGRLRQRVAEEMEVVAGQHSGSGVMMYEFRQARAGIESLKAEFDDRVIATGYADPGEIAERVEIIKGWVGVLRSGAESVVGELDDFFDEIVEGRKMLSDLCSHR* >Brasy3G004700.1.p pacid=40040833 transcript=Brasy3G004700.1 locus=Brasy3G004700 ID=Brasy3G004700.1.v1.1 annot-version=v1.1 MAAAQKPSKRLGGMAEALAIAGDLGFPAPPAQEDESSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLGRITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPVVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQTLRVGQSSLSMTPGGSSDDSKFLTPPQWREGSMLNSWKQVDDINPESDGLDGINQRRLSWPSSINRDL* >Brasy3G004700.2.p pacid=40040834 transcript=Brasy3G004700.2 locus=Brasy3G004700 ID=Brasy3G004700.2.v1.1 annot-version=v1.1 MAAAQKPSKRLGGMAEALAIAGDLGFPAPPAQEDESSSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLGRITAILKDVIQNKKQFSELLLKAASDYGALTASVGDFQWSQNFRESPVVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQTLRVGQSSLSMTPGGSSDDSKFLTPPQWREGSMLNSWKQVDDINPESDGLDGINQRRLSWPSSINRDL* >Brasy3G183000.1.p pacid=40040835 transcript=Brasy3G183000.1 locus=Brasy3G183000 ID=Brasy3G183000.1.v1.1 annot-version=v1.1 MRGGMKKGKWSKEDDNLIKNHIEKYGIGRSWQGLSNTLGLQRCGRSCRSRWLNYLRPGLKHGNFTAAEERIICEMYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLKKRFPRAARSRRRTGSSSSDYTSQDLALVVHNEDESTSTTTAPDLALVVYNEEEDSAEALELPLVVYNEEEEESAAAPELPLVVYNEEENATAGCSSSDASGVDKPVVFLAGSQLQARAQPPPPPPAAVKKDPICAPVGMIVPRTPPADYHQTGEETDVGWGWAPMSPMPLSFMDPDLARIIGIDLPPIAGFDDIGSFLSFFN* >Brasy3G183000.2.p pacid=40040836 transcript=Brasy3G183000.2 locus=Brasy3G183000 ID=Brasy3G183000.2.v1.1 annot-version=v1.1 MYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLKKRFPRAARSRRRTGSSSSDYTSQDLALVVHNEDESTSTTTAPDLALVVYNEEEDSAEALELPLVVYNEEEEESAAAPELPLVVYNEEENATAGCSSSDASGVDKPVVFLAGSQLQARAQPPPPPPAAVKKDPICAPVGMIVPRTPPADYHQTGEETDVGWGWAPMSPMPLSFMDPDLARIIGIDLPPIAGFDDIGSFLSFFN* >Brasy3G247300.1.p pacid=40040837 transcript=Brasy3G247300.1 locus=Brasy3G247300 ID=Brasy3G247300.1.v1.1 annot-version=v1.1 MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPAKQMKVMREFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGSSNKKAESNQARNAAPARNAAPESNAAEVDDLEKRLASLRRI* >Brasy3G189400.1.p pacid=40040838 transcript=Brasy3G189400.1 locus=Brasy3G189400 ID=Brasy3G189400.1.v1.1 annot-version=v1.1 MALRLWASSAANALKISSSGARAAAPAYSISRYFSTVLDGLKYSSSHEWVKNEGSVATIGISDHAQGHLGEVVFVELPEAGTKVSQGGAFGNVESVKATSDVNSPISGEVVEVNEKLSGTPGLINTSPYEDGWMIKVKPSSPSEMDALLDPAKYTKHCEEEDAH* >Brasy3G048800.1.p pacid=40040839 transcript=Brasy3G048800.1 locus=Brasy3G048800 ID=Brasy3G048800.1.v1.1 annot-version=v1.1 MAVDCLPSSISEAIFEQIRSCRRGKRPVLGARGPLCLFSLGLTRLHCVNFNFNSIVLPFS* >Brasy3G317400.1.p pacid=40040840 transcript=Brasy3G317400.1 locus=Brasy3G317400 ID=Brasy3G317400.1.v1.1 annot-version=v1.1 MGSLRMAGNAHSPVLPESSCAYLLQELKMIWDEVGQEENERERILEELEQECQEVYRRKVNSANMARIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGALKEQLNSITPALQEMQMRKEARLKQFMEVQTEVQRIASEIAGRSDNEAVTVNEEDLSLKKLEEHQSELQRLKREKGDRLCKVEEYKVLICNFAKIMGMDPSNVLANVHPSLLNGANEQQKKNISDDILNKLNTMVQQLKEEKNHRMEKLHTLGKALTNLWNILDTTMEERQPYGQIKIFSLTSGNGMLGPGSLTLQKIQQIESEVQRLDQLKASKMKELFIKKKNEIEEICKMSHMDVPYQTEMDSIMDLIISGDVDHDNLLKTMDGYIYKTKEEAASRKEIMDKVEKWIASCDEERWLEEYSRDERRYSVSRGAHKHLKRAERARIIANKIPGLVEILMAKTEIWENGRDKVFYYDELPLLAMLKDYMFTLKEKEEERYRQRENKKVQTQLVKRNENSFILRPNTSCPRSSSRGFNTSHRSTSLSSNRVPSLVQQPISDNSSAEKDGHKRNVTNRSMQSASGNNGSCSVPDEDKTSGLSMKQGISTI* >Brasy3G011000.1.p pacid=40040841 transcript=Brasy3G011000.1 locus=Brasy3G011000 ID=Brasy3G011000.1.v1.1 annot-version=v1.1 PTAADQEPTPPPSRRRRRRRNDAVHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPRLRLLDAGGCRTSRAIGKRFVERCKGSIKELRMPRFGGGRCGCCTRYAQRYADQHDE* >Brasy3G202100.1.p pacid=40040842 transcript=Brasy3G202100.1 locus=Brasy3G202100 ID=Brasy3G202100.1.v1.1 annot-version=v1.1 MDPVENGPTTLPIEKHRPLKALENTVIAIIGHTFDTAVSIVDDGTTQSDRSRPAVNLGWDIDPADKDSPVLTVHAEATSCCFAFNNSRRDAAAYAKYTSKSGKSAPTHRFRGAAIGISPGTLHLAHDGKRGVAGRTSAAGCSGVRPDVGSSSRGGRSLEGALVVLDAVVQRLDEAIWMEEAMLRKANALPWCGSVARVAAEIEQALKVLREMRSEMDIEAFMRRRLQKRRRCVIQEIGTSRAADAVDRGRGADKTAEELAKRLKRMEVGSSSSL* >Brasy3G161700.1.p pacid=40040843 transcript=Brasy3G161700.1 locus=Brasy3G161700 ID=Brasy3G161700.1.v1.1 annot-version=v1.1 MDFDEYDYLEKAVEPPVPSTNGAGEKDRSSRRRSSTGGGGGRDQDERGSKRPRSGEDRERHRSSREHRDRDDGKEKDGSRDREKVREKDEGRDREKVREKDGGRSREKVREKDEGRDPEKVREKDEGRDREKIREKDGSRDRDKVREKERDGRDRLMERENGRERRSRSRSERRRGEEEEMVRELQRERERSDRHRDYRDRDFRRKKDDGTEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALTGQPLLGQAVMVKPSEAEKNLAQSNAASGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPVDPLTGLCKGFGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQGGVQLGGTTTGDLDDDEGGGLALNASSRALLMRKLDRSGTATSLTGGIAAPGLNTSVGLPAASVFGAPLAATSLAPTIPTVGAVPGATLPIISQSADIGTPTEFLLLKNMFDPAVETDPDFDLDIRDDVQEECSKFGVVKHIFVDKHTAGFVYLHFDSSTAATSAQRSLHGRWFAGKMITATFMTAQQYEMKFPDRSAV* >Brasy3G145400.1.p pacid=40040844 transcript=Brasy3G145400.1 locus=Brasy3G145400 ID=Brasy3G145400.1.v1.1 annot-version=v1.1 MATATTALRFSAAATSAKTLARATVRARRGRFLHHLASRGLRLDHLLLPSPFRLRSPFSVCAAEGDGGKFDYDLFTIGAGSGGIRASCFASTLYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFDESQGFGWKYETDPKHDWSPLMTRKNLELQRLVDFQTDMLKNSGVTLIEGRGKIVDPHTVSVGGKLYTARNILIAVGARPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSEVHVYIRQKKVLRGFDEEVRDFVTQQMSLRGITFHTEQTPQAITKSDDGLMSLKTNKGTVNGFSHVMFATGRKPNTKNLGLEDVRVKMDKHGAVVVDEYSRTSVDSFWAVGDVTNRVNLTPVALMEGGALTHTIFGNDPVKPDHSAVPFAVFSQPPIGQVGLTEEQATEKYGDVDVYTSNFRPLKATLSGLPDRIYTKLIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTAAEELVTMRNPTRKVRRDSAGEAKTNVEALRQK* >Brasy3G145400.2.p pacid=40040845 transcript=Brasy3G145400.2 locus=Brasy3G145400 ID=Brasy3G145400.2.v1.1 annot-version=v1.1 MATATTALRFSAAATSAKTLARATVRARRGRFLHHLASRGLRLDHLLLPSPFRLRSPFSVCAAEGDGGKFDYDLFTIGAGSGGIRASCFASTLYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFDESQGFGWKYETDPKHDWSPLMTRKNLELQRLVDFQTDMLKNSGVTLIEGRGKIVDPHTVSVGGKLYTARNILIAVGARPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSEVHVYIRQKKVLRGFDEEVRDFVTQQMSLRGITFHTEQTPQAITKSDDGLMSLKTNKGTVNGFSHVMFATGRKPNTKNLGLEDVRVKMDKHGAVVVDEYSRTSVDSFWAVGDVTNRVNLTPVALMEGGALTHTIFGNDPVKPDHSAVPFAVFSQPPIGQVGLTEEQATEKYGDVDVYTSNFRPLKATLSGLPDRIYTKLIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTAAEELVTMRNPTRKVRRDSAGEN* >Brasy3G145400.3.p pacid=40040846 transcript=Brasy3G145400.3 locus=Brasy3G145400 ID=Brasy3G145400.3.v1.1 annot-version=v1.1 MATATTALRFSAAATSAKTLARATVRARRGRFLHHLASRGLRLDHLLLPSPFRLRSPFSVCAAEGDGGKFDYDLFTIGAGSGGIRASCFASTLYGARAAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVYASKYSHEFDESQGFGWKYETDPKHDWSPLMTRKNLELQRLVDFQTDMLKNSGVTLIEGRGKIVDPHTVSVGGKLYTARNILIAVGARPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSEVHVYIRQKKVLRGFDEEVRDFVTQQMSLRGITFHTEQTPQAITKSDDGLMSLKTNKGTVNGFSHVMFATGRKPNTKNLGLEDVRVKMDKHGAVVVDEYSRTSVDSFWAVGDVTNRVNLTPVALMEGGALTHTIFGNDPVKPDHSAVPFAVFSQPPIGQVGLTEEQYGDVDVYTSNFRPLKATLSGLPDRIYTKLIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTAAEELVTMRNPTRKVRRDSAGEN* >Brasy3G145400.4.p pacid=40040847 transcript=Brasy3G145400.4 locus=Brasy3G145400 ID=Brasy3G145400.4.v1.1 annot-version=v1.1 MSICLYCRCVLRGCVPKKLLVYASKYSHEFDESQGFGWKYETDPKHDWSPLMTRKNLELQRLVDFQTDMLKNSGVTLIEGRGKIVDPHTVSVGGKLYTARNILIAVGARPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSEVHVYIRQKKVLRGFDEEVRDFVTQQMSLRGITFHTEQTPQAITKSDDGLMSLKTNKGTVNGFSHVMFATGRKPNTKNLGLEDVRVKMDKHGAVVVDEYSRTSVDSFWAVGDVTNRVNLTPVALMEGGALTHTIFGNDPVKPDHSAVPFAVFSQPPIGQVGLTEEQATEKYGDVDVYTSNFRPLKATLSGLPDRIYTKLIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTAAEELVTMRNPTRKVRRDSAGEN* >Brasy3G145400.5.p pacid=40040848 transcript=Brasy3G145400.5 locus=Brasy3G145400 ID=Brasy3G145400.5.v1.1 annot-version=v1.1 MSICLYCRCVLRGCVPKKLLVYASKYSHEFDESQGFGWKYETDPKHDWSPLMTRKNLELQRLVDFQTDMLKNSGVTLIEGRGKIVDPHTVSVGGKLYTARNILIAVGARPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSEVHVYIRQKKVLRGFDEEVRDFVTQQMSLRGITFHTEQTPQAITKSDDGLMSLKTNKGTVNGFSHVMFATGRKPNTKNLGLEDVRVKMDKHGAVVVDEYSRTSVDSFWAVGDVTNRVNLTPVALMEGGALTHTIFGNDPVKPDHSAVPFAVFSQPPIGQVGLTEEQYGDVDVYTSNFRPLKATLSGLPDRIYTKLIVCANTNKVLGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTAAEELVTMRNPTRKVRRDSAGEN* >Brasy3G299400.1.p pacid=40040849 transcript=Brasy3G299400.1 locus=Brasy3G299400 ID=Brasy3G299400.1.v1.1 annot-version=v1.1 MRRKHNCGTAQHSSIPIPLPSSSPFPSPPFPSSPRLGAALPWIPGPLHTVYWTPSCSPMGIVKAADSMLVTKSVYSCGNEDLTPEERSLLQTFPGHESDDREHTEDILSLETWNLCLTEDDRFRLAAYLPDMNQHDFFTTMNELFSGSDMFFGSPLRGFFDRLNGGFYSPEVSQARELLMMFQRRKYYHFLKMYHDGMIWKFACMDKLWRRSGTSTSLEEKVHIWHSWIHQKLLTFADPNSSPINANLSIIGEAEAAGSSLLKRAKLMDVAVTTNCSAKHKEIVHRAESMEMSSSKSHIFHLPNEPSEKCSKLPKGVLKIRTECDSLADGNARIHHAAGLITLDQLQMQVSRFSPYASAQDVHNFAVNSSYPCHINTSSSTWGNSGSNPSQWQGASETYPVLVKSPFGVPYTALQELGRGSRSGYHTVAKHTLSYSNEDNDTREAPHEKNLLKNFGPQNAIIPGSSLGMFPTTTVNHRMSRYLDSPKNAEIISDMLTLGTSTNPSYANFSEQTEYQDGPKMKAPPAMDSVTKVEDHRFPYTYTRRKLHRGLDLADPVDKPSMLGSGSASVLASMENVKAKAIRL* >Brasy3G299400.2.p pacid=40040850 transcript=Brasy3G299400.2 locus=Brasy3G299400 ID=Brasy3G299400.2.v1.1 annot-version=v1.1 MGIVKAADSMLVTKSVYSCGNEDLTPEERSLLQTFPGHESDDREHTEVGCELAMSGGLMCNVPYELYDLPELKDILSLETWNLCLTEDDRFRLAAYLPDMNQHDFFTTMNELFSGSDMFFGSPLRGFFDRLNGGFYSPEVSQARELLMMFQRRKYYHFLKMYHDGMIWKFACMDKLWRRSGTSTSLEEKVHIWHSWIHQKLLTFADPNSSPINANLSIIGEAEAAGSSLLKRAKLMDVAVTTNCSAKHKEIVHRAESMEMSSSKSHIFHLPNEPSEKCSKLPKGVLKIRTECDSLADGNARIHHAAGLITLDQLQMQVSRFSPYASAQDVHNFAVNSSYPCHINTSSSTWGNSGSNPSQWQGASETYPVLVKSPFGVPYTALQELGRGSRSGYHTVAKHTLSYSNEDNDTREAPHEKNLLKNFGPQNAIIPGSSLGMFPTTTVNHRMSRYLDSPKNAEIISDMLTLGTSTNPSYANFSEQTEYQDGPKMKAPPAMDSVTKVEDHRFPYTYTRRKLHRGLDLADPVDKPSMLGSGSASVLASMENVKAKAIRL* >Brasy3G299400.3.p pacid=40040851 transcript=Brasy3G299400.3 locus=Brasy3G299400 ID=Brasy3G299400.3.v1.1 annot-version=v1.1 MGIVKAADSMLVTKSVYSCGNEDLTPEERSLLQTFPGHESDDREHTEDILSLETWNLCLTEDDRFRLAAYLPDMNQHDFFTTMNELFSGSDMFFGSPLRGFFDRLNGGFYSPEVSQARELLMMFQRRKYYHFLKMYHDGMIWKFACMDKLWRRSGTSTSLEEKVHIWHSWIHQKLLTFADPNSSPINANLSIIGEAEAAGSSLLKRAKLMDVAVTTNCSAKHKEIVHRAESMEMSSSKSHIFHLPNEPSEKCSKLPKGVLKIRTECDSLADGNARIHHAAGLITLDQLQMQVSRFSPYASAQDVHNFAVNSSYPCHINTSSSTWGNSGSNPSQWQGASETYPVLVKSPFGVPYTALQELGRGSRSGYHTVAKHTLSYSNEDNDTREAPHEKNLLKNFGPQNAIIPGSSLGMFPTTTVNHRMSRYLDSPKNAEIISDMLTLGTSTNPSYANFSEQTEYQDGPKMKAPPAMDSVTKVEDHRFPYTYTRRKLHRGLDLADPVDKPSMLGSGSASVLASMENVKAKAIRL* >Brasy3G230100.1.p pacid=40040852 transcript=Brasy3G230100.1 locus=Brasy3G230100 ID=Brasy3G230100.1.v1.1 annot-version=v1.1 MALHLVPASNIALLAAPSAAGAGTAAPGGVRARSVARPSASRVRASSAWRTGRRSGRRRRLGVAVAMAGGDEKEDEPEEAVATVRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKRGGNAEKSRIIMVGDTLKKAGQSESLVNIKDLGDTEMALKEKSGPCNLVLERPFAPYPIHQLHQNEDYHILFNRGRVALATWNSAQLSSKLNESSPGNGNSGFAIFSPRLLSAQGWTLLSREKDGLNRKSTNLANRISEIVGLYSDEDDLDAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETVGITAVLNFQSESERINWGINSEAINSSCRENNILMINYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNNGREGEEVELIGDFTSNWKDKLKCNHQGGSRHQAEVRLRHGKYYYKFIVGGQWRHSTSLPSETDEHGNVNNVIRVGDIARIRPAPSQLLIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLAPKQ* >Brasy3G009600.1.p pacid=40040853 transcript=Brasy3G009600.1 locus=Brasy3G009600 ID=Brasy3G009600.1.v1.1 annot-version=v1.1 MNKPEECAFCSEKESVEHLFFSCVVSRCIWNVISDCLRTQLGTNLESISRMWISNKRNGALNSICAAVLWCIWKFRNDKWRIMFKQDMLEQVQDFCTRLLSVLQAPPRLGWL* >Brasy3G282600.1.p pacid=40040854 transcript=Brasy3G282600.1 locus=Brasy3G282600 ID=Brasy3G282600.1.v1.1 annot-version=v1.1 MRGQHLDAVREQMHHLNAFPVQEMLQHLDALRAQHLAAGHAAHTDDGHAGHLDAMREQQVDGVKAMPAGHAAHTDDGHAGHLDAMREQQVDGVKAMPAGHAAHTDDGHAGHLDAVRVQQLDGVRAMPAGHAAHTDDGHAGHLDAVRVQQLDGVKALPAGADEQMLDAEPMLQGESQPLDDEPYILPGESQPLHDESDEDEFPILAGHSDLIVEDSYQEDEEENAIVEKKKARKVSQSNDVSVHDFQAGETFFGNFPLGTFLHFMNPNAFARDGSIIPPKSE* >Brasy3G002800.1.p pacid=40040855 transcript=Brasy3G002800.1 locus=Brasy3G002800 ID=Brasy3G002800.1.v1.1 annot-version=v1.1 MDQHSQTKVEDVVMVSGAPSAGAAFPAGSNGGPVVYAAAPLQQQLPLQQQQEEVQHQQQLQTFWADRKTEIEQMTDCKTHSLPLARIKKIMKADEDVQMIAGEAPAVFAKACEMFILELTLRSWLQTRENNRNTLQKNDIAAVVSRNDDLDFLVDVMQENGAVLPPVTMQTMVPGMGIPFGMYGNQLSTVFAWPQPEQQPPYNGEQQQQQEEEPPYNGGQDV* >Brasy3G208500.1.p pacid=40040856 transcript=Brasy3G208500.1 locus=Brasy3G208500 ID=Brasy3G208500.1.v1.1 annot-version=v1.1 MTLEANSEDSMMHSRGSMYQSSSDISRLRKLQEARRKLDSAYERDAFMSFGVVDSSSQPSTSGAYVAPQRSRSGRSRSSMNRNHEVNQDDREFINLSSLKVPDEKSKLGRPRMDCNLLKGDVRDSLLELSLEEDTTKSPCKNVAPHLLEGSGKKDTSSICQHPIGVYPDGSNSGERDLMSNLPKSFSAKVGVFDATYPLESNHGVDGKKKARSSTFMKIMDPFMKSKSLRNPSLLEKGDPKSSNAPARGKNSVLNKPLLSDISRTEQTLKPKCQTSVEARPMTVTSSPTHLHAVLKLDPDNCAFGFEFCTKGPEESIYANTWKSGNELNWIYTFHSVGKRSSTVGRTSKDRHGCLPPIVGQMQVSSYLYSEVGEDGILNNSATSEFVLYDIAHARRSSAVERIQCTDFIQPTSRNVVRNSISRESLERNNMMERQNTARNNSDGSAFCLWSQEDLHPHLEVAAVVIQVPFHKTRSKELKAGSSSGTIKVATAGGAHGLPRDDETSPSPLLNRLKSGGRCDCGGWDMSCPIVVLDNAYDSYWVDSVINESKHPMELFVQGNQEVLPAFFMKADGNGQFSVDFHARLSALQAFSVCISLLHCSEASSAIGIDKFKHKLYSSSLKMLLKEEVKQLVESVTGKEKKKVKRTKRKTTPPVIDGPPFSPMGRV* >Brasy3G127600.1.p pacid=40040857 transcript=Brasy3G127600.1 locus=Brasy3G127600 ID=Brasy3G127600.1.v1.1 annot-version=v1.1 MNLAATLSTTTAPHPHGLMPLNPSSLRRSLYASIRKISPLTPMASAPASAAVSTTAANNNGVPKPAEAHPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIKAINAGKNQYARGYGVPELNSAVAERFLKDSGLQVDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFAVPLEELKAAVSKNTRAIMINTPHNPTGKMFSREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQSAAAAALRAPDSYFEELKRDYGAKKALLVDGLKDAGFIVYPSSGTYFVMVDHTPFGFDSDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDDTLRAAVERMKTKLRKK* >Brasy3G238500.1.p pacid=40040858 transcript=Brasy3G238500.1 locus=Brasy3G238500 ID=Brasy3G238500.1.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHEVSINRFFNRIQKQYKRTQRKAAPISFSVIRSAIANSVLSTILLKQVRISVGYLTVVLPSARLERGVPSKPSPSLLDLDKVDTTPNIDLDNKAQPSSTELLGDFIGFEGDSDTEGDEFAFDGSANTQEEDKELSLGSDLLALLDDPQGSSMKIDNMIEANLLDFSGQDKCCSSAVNHPGVSRRRSHGDSKVL* >Brasy3G238500.10.p pacid=40040859 transcript=Brasy3G238500.10 locus=Brasy3G238500 ID=Brasy3G238500.10.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHEVSINRFFNRIQKQYKRTQRKAAPISFSVIRSAIANSVLSTILLKQVRISVGYLTVVLPSARLERGVPSKPSPSLLDLDKVDTTPNIDLDNKAQPSSTELLGDFIGFEGDSDTEGDEFAFDGSANTQEEDKELSLGSDLLALLDDPQGGGRMEIARFSELATTLEPLWCFRSEYHLF* >Brasy3G238500.3.p pacid=40040860 transcript=Brasy3G238500.3 locus=Brasy3G238500 ID=Brasy3G238500.3.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHEVSINRFFNRIQKQYKRTQRKAAPISFSVIRSAIANSVLSTILLKQPSSTELLGDFIGFEGDSDTEGDEFAFDGSANTQEEDKELSLGSDLLALLDDPQGSSMKIDNMIEANLLDFSGQDKCCSSAVNHPGVSRRRSHGDSKVL* >Brasy3G238500.4.p pacid=40040861 transcript=Brasy3G238500.4 locus=Brasy3G238500 ID=Brasy3G238500.4.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHEVSINRFFNRIQKQYKRTQRKAAPISFSVIRSAIANSVLSTILLKQPSSTELLGDFIGFEGDSDTEGDEFAFDGSANTQEEDKELSLGSDLLALLDDPQGGGRMEIARFSELATTLEPLWCFRSEYHLF* >Brasy3G238500.9.p pacid=40040862 transcript=Brasy3G238500.9 locus=Brasy3G238500 ID=Brasy3G238500.9.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHEVSINRFFNRIQKQYKRTQRKAAPISFSVIRSAIANSVLSTILLKQTRLILLLTLILTTKHSLLLLSFWGISSASRVTAILRVMNSPLMVVPILRRRIRSCHLAVTCWLFLMIHKVVL* >Brasy3G238500.5.p pacid=40040863 transcript=Brasy3G238500.5 locus=Brasy3G238500 ID=Brasy3G238500.5.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHAGGLHQPLFQQDTEAIQENPEEGRTDQLLRHQKRNC* >Brasy3G238500.6.p pacid=40040864 transcript=Brasy3G238500.6 locus=Brasy3G238500 ID=Brasy3G238500.6.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHAGGLHQPLFQQDTEAIQENPEEGRTDQLLRHQKRNC* >Brasy3G238500.2.p pacid=40040865 transcript=Brasy3G238500.2 locus=Brasy3G238500 ID=Brasy3G238500.2.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHAGGLHQPLFQQDTEAIQENPEEGRTDQLLRHQKRNC* >Brasy3G238500.7.p pacid=40040866 transcript=Brasy3G238500.7 locus=Brasy3G238500 ID=Brasy3G238500.7.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHAGGLHQPLFQQDTEAIQENPEEGRTDQLLRHQKRNC* >Brasy3G238500.8.p pacid=40040867 transcript=Brasy3G238500.8 locus=Brasy3G238500 ID=Brasy3G238500.8.v1.1 annot-version=v1.1 MASPPPRVLLLLILPLLAAAGPVLEDGYTVTTVADLNPRPPAPSSSGAHPYALLPRPRAGDLVLLDSTGSALYALALPVPAGHGEPRSLAGGASAFDRPRSIAVDGADNVYVADRARGAIRKVAPSGYTTTIAGGDSSRTTVATGHKDGPAQNATFSPDFELVYVHKICALLVTDRGNRLIRQINLKPGDCLHETQKGLGTMSASIIGILGVLFGSVIGFLVRHFYPIHAGGLHQPLFQQDTEAIQENPEEGRTDQLLRHQKRNC* >Brasy3G196900.1.p pacid=40040868 transcript=Brasy3G196900.1 locus=Brasy3G196900 ID=Brasy3G196900.1.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.2.p pacid=40040869 transcript=Brasy3G196900.2 locus=Brasy3G196900 ID=Brasy3G196900.2.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.3.p pacid=40040870 transcript=Brasy3G196900.3 locus=Brasy3G196900 ID=Brasy3G196900.3.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.4.p pacid=40040871 transcript=Brasy3G196900.4 locus=Brasy3G196900 ID=Brasy3G196900.4.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.5.p pacid=40040872 transcript=Brasy3G196900.5 locus=Brasy3G196900 ID=Brasy3G196900.5.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.6.p pacid=40040873 transcript=Brasy3G196900.6 locus=Brasy3G196900 ID=Brasy3G196900.6.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G196900.7.p pacid=40040874 transcript=Brasy3G196900.7 locus=Brasy3G196900 ID=Brasy3G196900.7.v1.1 annot-version=v1.1 MGFRPCSFHGPQVERHFYRSFAGPKDVTCGLCDEIAVCLRSKKKSSLLLRAAACEGTRTHGHHQFAMALLARAPPIFHLQSPPATAPAFRLLPHSTTRPTPTHRRRSLSARASDSDAPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDMSAAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPVDISKYAMIEWLKSQMEQAGLVNLSSALYLPNFSDTQLGKILPQGILSVLAQPIVSNPDRANGETEVEGVVLLASNANYAYSEKDRVWIRTVANKFRRA* >Brasy3G067600.1.p pacid=40040875 transcript=Brasy3G067600.1 locus=Brasy3G067600 ID=Brasy3G067600.1.v1.1 annot-version=v1.1 MAAITTHPPHHPQLALPRRGSRPPSNPYNLRFTSSPSPMTLLLRRSRAAPLPPVAAREKDDDGDAIPDPEPRRKFPLGLPPLLVAAAASAALPQAALALSGGSCGGCDDSSSSSSSSSSSCDDSTSSIDWSSYSSAKKKMKEGAEGTHESVGTAAAAPPVASCPDWWFWGTAAALVSAGAVALYVAAKNGLLQGQTIAVVKLQRDMNRIAETVKASNRRWYKFILTGNECC* >Brasy3G197900.1.p pacid=40040876 transcript=Brasy3G197900.1 locus=Brasy3G197900 ID=Brasy3G197900.1.v1.1 annot-version=v1.1 MEEFVATYDGNRGATPRPITPNDQSTPNPSPPLYKSSAGSGTEAPDDPVGPSDDIPKNIKCRILMPTMGNPVGARGMLMPRMPVVHNTPMAAGHVRVQVDYVLPEFDRQPVPYPPEEDAVTLGLCQGTYIQWPRRLVTLATQPSPSPLPCPGRLPSPSPRGPSPNKSARPASPSSSGQGGFDFEPAEDCSDDDAAPAHAKPPNPSPPHRQESQPSQRPIPRPVPRQSVAGALEYASGKQVTYLDPLRRKKGYEQRNFRPIGTLFDEAWKKAVEDYELPSYGRKKLIHCKNFPCIQQPQGTVFCGYYCAYINWITNFKPKTKMTYKQVVEYFKTESEFGPNSAVLMFDIQREIGSIINKEVLKENGDFYAGGIVRMY* >Brasy3G156100.1.p pacid=40040877 transcript=Brasy3G156100.1 locus=Brasy3G156100 ID=Brasy3G156100.1.v1.1 annot-version=v1.1 MVAGMQQVVDVAAEAAPRVPVPPARPFLRALGANLKETFFPDDPFRAVAREPGRGRRALAALRYLFPCLEWLPSYTLGALRSDLIAGITVASLAVPQGISYAKLADLPPIIGLYSSFVPALVYAVMGSSRDLAVGSMAVGSLLFAAMLGKEAPPAESPELYLHLAFTATFFAGVFQAGLGALRLGFVVDFLSHAAIVGFMGGAATVVCLQQLKGLLGLQHFTTATDVVAVMRAVFSQTQLWRWQSVVLGCCILVFLLTTRFFSKRRPNFFWVSAAAPLASVIFGSVLVYLIHGQNHGIQVIGNLKKGINPSSVNSLILSPPHMMVALKTGIITGLIGLAEGIAVGRSFAMAKNYHIDGNKEMIAFGLMNIVGSCTSCYLTAGPFSRSAVNVNAGCKTAMSNAVMAVAVAITLLFLTPLFHYTPLVVLSAIIIAAMLGVLDFPAAARLWNVDKVDFCVCLGSYLGVVFGDIEIGLSIAVGISVLRILLFVARPRTTVLGNMPNSTIYRRMDQYTMARTVPGVLVLHIDAPIYFANASYLRERISRWIDEEEDRIKAAGEESLQCVVLDMGAVASIDTSGTKLIEDIKKSLDRRSIQTALANPGSEVMKKLDKSKVLGFICDEWIFQTVGEACEYALQNFKIATGVERADHIV* >Brasy3G333400.1.p pacid=40040878 transcript=Brasy3G333400.1 locus=Brasy3G333400 ID=Brasy3G333400.1.v1.1 annot-version=v1.1 MMSSKKLAQLSKKWQGISAIGRRRVATTDKDINPSCSSVAGKGHFVVYSSDGRRFEIPLACLRTTVFEELLRMSQEEFGFTSDGRITLPCDTTMMEYVMCLLRREASEDVERALLSSITMTCHHPSRMTQPSSELNQQFAVWSS* >Brasy3G003600.1.p pacid=40040879 transcript=Brasy3G003600.1 locus=Brasy3G003600 ID=Brasy3G003600.1.v1.1 annot-version=v1.1 MRRRSPSCCLSGLLLLLFALSARAQRQAETDPSEVAALHAIFRRWGLRASPTPAWNISGELCSGAAVDDTDMDNAIDFNPGIKCDCSYNVSTVCHITRLKVYALDVVGQIPAELQNLTYLTNLNLAQNYLTGSLPAFIEKLTQLKYLSLGINAFTGVVPRELGNLKNLIALSISTNKFVGPLPEVVENLTQLEQLYIDSCGLSGELPSTFSKLKSLKILWASDNEFTGKIPDYIGTLSNLTELRLQGNYFDGPIPASFSNLLKLTRLQIGDLTGEVSSLAFVVNMTSLNTLVLRNSRISDNLTSVDFSKFAQLNFLRDLSFNSITGEVSPTLLNLSSLNFLFLGSNNLSGNLPDTRSPSLTTIDLSYNMLSGKFPSWVNMNNLKVNLVWNNFIIDSSNNSILSSGLNCLQRDTSCSIDSPSYTSFAVDSGGDAPFRGVDNNIYEPDDASLQGASYYVTNSTRWGVSNTGKFIDSYNASYIIDTSNRFTNTLDFELFQTARMSPSSLRYYGIGLKNGNYNVILQFAEIFFPDDQTWKSVGKRIFNIYIQGELKEKDFDIKKQANGKSYTVVPKSYIVQVTNNFIEIHLFWAGKGTCCVPTQGHYGPAISALSVSSYGSNNEGDPGPPKNNTASKTGLVVGVVVCLAVLGLLTLIGTFAWRQKRRQLDVEMEELFSMVGRPNVFSYGEIKSATSNFSPSNILGKGGYGLVYKGELHDGRMVAVKQLSPTSHQGKKEFMAEIATISAVQHRNLVKLHGCCIDSKAPLLVYEYLENGSLDRALFGKMESQLDCRTRFEICVGIARGLAYLHEESSMRIVHRDIKASNVLLDADLNPKISDFGLARHYKDSMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVVLEIIAGRPNFDDSLEEDEKYLLGWVWRLHESKQTLELLDARLAEFDEQEAARVINVALLCTMGMPQQRPPMSKVVSMLTEDIEMTDVDTAMRPSYVPEWQLKSSSTGYSSSGYSVQQSSGSQVSAPSSSSNKLGLHRDTSPLALSACSS >Brasy3G248700.1.p pacid=40040880 transcript=Brasy3G248700.1 locus=Brasy3G248700 ID=Brasy3G248700.1.v1.1 annot-version=v1.1 MASASKIVAVFCLLVLVALSSCSQHARADDEATKEKNEVMEYCKRKCCQVLRESRNVHAICEKFTAADLQEISLAKFATVTHECGHGLTPGTTCAGYTVPPPHHEHPSPPPSSHSV* >Brasy3G323300.1.p pacid=40040881 transcript=Brasy3G323300.1 locus=Brasy3G323300 ID=Brasy3G323300.1.v1.1 annot-version=v1.1 MGSTTCWSCGEGAVVPDPDSGALVCTSCGRVLDAGASEFVHQATFTAEGKLDLRTSSYVHHASHTPYRDQKLAGASAVITSTAARLGLSHTRAEEALAMAKSATGGTLATPGTAFLPALAAACAFLVARSHRLPLSLVEAAEAAGCGAAPLHDLASRIASTLSLPPLPSFDYSAALERAVRYSHPLSAVQGEKKEAILSQARFLLRCASKWSLTTGRHPLPLVAGLTALAAEMNGVTDVSVEDIAQDISAVMHTSRRRYKELVDALVHVARKLLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFAPDMSGIVQAYSSVDNDESKYLQIVPMDDLDFNNFGHQEKESEGLKISEECLSDMYQNVLKKLSKVKELGKFGKGANKRKRWGRGLELDPWMDSQDDGWIKDVPLEEVADIDIGYDAPPPAFTANLELQKRRRGRIEAAKCRIDAIRKAPAVCIANANDSPSVLINEDVCPPQKIIKKKKGQKRIDGRNHVMQGDHPAETSNDLNCRKRQKTGPSDGIDWEDCVIELLLLHGANEAEIEQGQYRRLLELHVFSSRNFVLVRSCEGQSS* >Brasy3G096400.1.p pacid=40040882 transcript=Brasy3G096400.1 locus=Brasy3G096400 ID=Brasy3G096400.1.v1.1 annot-version=v1.1 MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQPASASASHASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTASDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPTVEHLAQDRHAARDAFTGASFELPLETLAAGDEFSASSLAPAAAATTGDEAPPEDAPPVEKDPFAASDMVSKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLAMGGGQALNAAAGSPLENLLVTKSAEMTVPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGRDTEFSFRLEGTSGMKRAALQSTVLSNLENGLFHVKTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIHYASNPILPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIADIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFTYQGPFTLSGIKLCPAINSTAQFNQVGHTFSSGSYRCI* >Brasy3G253700.1.p pacid=40040883 transcript=Brasy3G253700.1 locus=Brasy3G253700 ID=Brasy3G253700.1.v1.1 annot-version=v1.1 MSTIPGFSQIQFEGFCRFINQGLAEELEKFPTIKDPDHEIAFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLREILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEKLQKKFFQQKCELGRIGRRNMNRRLNLDIPQNNTFLLPRDVLAATDQLIGMKFGTGILEDDDMNHLKNKRTH* >Brasy3G104800.1.p pacid=40040884 transcript=Brasy3G104800.1 locus=Brasy3G104800 ID=Brasy3G104800.1.v1.1 annot-version=v1.1 MEFQFRAGDKRSGERELSPPPPMIRSPESSESAGNPALGGDGENAAAVPQPRPAAPALPDLDELQRQAAKEWIRKRILREEEEKWVALEVEVRRELVQEGFPLLSSTGLVQSAAAAACAKGSVAARPAPLGTTTMAAQTMPDKAEDLSQASLSAALLSNQKNTNVAVTNVTSNEKLDKELTCTVCGITATSEIAMQEHYKGKSHRRKAAKLQQPITEAAQTEEDLPKNMGIFSKLNGSTALSVKQKNHDANATSTVLATTGKENLRCTLCGITTTDEKGMQDHLKGKIHRKKASVLNQPMVEENAVRSKSNGSTSHLPAKRKREEDSEVVAAAASTLPPAASNKKENLACTVCGITTSDERGMQDHLKGKIHRKKVAGAGAAPPQPLPEAAEQEQHPRPEQEDDAYTPKKIKMGIKTGKVHEVVQMDGFVLCEVCNVRTADIVTMMCHLDGGKHSSKVQQQQQRRSEAEEKSPETAVEGTAAPETVAAVEANGMSHAVRRLVDGRLLCECCGVKLPREPECVMRHLAGKKHARKIKATGAAAGEKKAVTNDVAVVAIKNQIPGTPPGHEAKVAPCAGGDSAVTPTEISDEKSPETAASTVDTTAAVAKKHEAEVAAMEIDEHAAQEAGDLPALVVEEEKEEAKANSSAATQEQQEQEETKMNGSATQELQQTVETKEAAIVGQEVKVEVEGQECTVLRQADGTLSCGLCGVHGRDKDGMRQHLYTRAHWSRVRSAQEIKEDVKNGDSTEGIPAVSDATTQVEN* >Brasy3G056000.1.p pacid=40040885 transcript=Brasy3G056000.1 locus=Brasy3G056000 ID=Brasy3G056000.1.v1.1 annot-version=v1.1 MRWTAFVWDGASRAMKHRPTFTNLVLVLAASSGGGLVAYADSQTDGAVDMPQGPKKKKVVVLGTGWGGTTFLRNLDSKLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDFKFWEAECFKIDPANKKIHCRSNAGTNLDGNGEFLVDYDYLVVAVGARSNTFNTPGVEENCHFLKEVEDAQKIRRSVMNCFEKASLPYLNEEERKKNLHFVIVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRNGIDVKTGYKVVKVSKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFIVDFMKQIGQANRRVLATDEWLRVRECDDIYAVGDCATINQRRVMEDIAEIFRVADKDKSGTLTVKEIQDILEDIYVRYPQVKLYMKSKQMNGIADLISTGEGDTKKENVELNIEEFKKALSLVDSQVKNLPATAQVAAQQGQYLARCFNKMPDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRALVISDWGRRFIFGRDSSCI* >Brasy3G056000.3.p pacid=40040886 transcript=Brasy3G056000.3 locus=Brasy3G056000 ID=Brasy3G056000.3.v1.1 annot-version=v1.1 MPQGPKKKKVVVLGTGWGGTTFLRNLDSKLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDFKFWEAECFKIDPANKKIHCRSNAGTNLDGNGEFLVDYDYLVVAVGARSNTFNTPGVEENCHFLKEVEDAQKIRRSVMNCFEKASLPYLNEEERKKNLHFVIVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRNGIDVKTGYKVVKVSKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFIVDFMKQIGQANRRVLATDEWLRVRECDDIYAVGDCATINQRRVMEDIAEIFRVADKDKSGTLTVKEIQDILEDIYVRYPQVKLYMKSKQMNGIADLISTGEGDTKKENVELNIEEFKKALSLVDSQVKNLPATAQVAAQQGQYLARCFNKMPDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRALVISDWGRRFIFGRDSSCI* >Brasy3G056000.2.p pacid=40040887 transcript=Brasy3G056000.2 locus=Brasy3G056000 ID=Brasy3G056000.2.v1.1 annot-version=v1.1 MPQGPKKKKVVVLGTGWGGTTFLRNLDSKLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDFKFWEAECFKIDPANKKIHCRSNAGTNLDGNGEFLVDYDYLVVAVGARSNTFNTPGVEENCHFLKEVEDAQKIRRSVMNCFEKASLPYLNEEERKKNLHFVIVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRNGIDVKTGYKVVKVSKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFIVDFMKQIGQANRRVLATDEWLRVRECDDIYAVGDCATINQRRVMEDIAEIFRVADKDKSGTLTVKEIQDILEDIYVRYPQVKLYMKSKQMNGIADLISTGEGDTKKENVELNIEEFKKALSLVDSQVKNLPATAQVAAQQGQYLARCFNKMPDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRALVISDWGRRFIFGRDSSCI* >Brasy3G001000.1.p pacid=40040888 transcript=Brasy3G001000.1 locus=Brasy3G001000 ID=Brasy3G001000.1.v1.1 annot-version=v1.1 MDLQKRNEMSLNEKRELVYEVSRFPQGAAEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVSRRKVELKNDKSVALLLGQNNHNEMQKKANGEQPHHVTKSVNSDLSLCREARAGSSLICRNIACQATLNEGDAYCKRCSCCICHKYDENKDPSLWLVCSSDNPYTSVSCGLSCHLRCALKNKKAGILKNVCNKLDGSFYCISCGKINWLMRNLRKQLEIARQARRVDVLCERLSLSHKMLKGSEHYKELSNIISSAVKILAKEVGSALDQVSAIIGRTLVSRLTCAADVQKLCLSALEIVDSRVASTLVLEENNNLEPLGHQPLILFEEITPFSLVIVLKYQDNIYKEDIDGCRVWHRNAKVLNYPVEPTCHILRPNTRNLVSGLSPSTEYFFKVLPFGSTLRFGECEAKCSTRSLDRGSSQCSTQNSESLCIKEDVSRYQKKVLNLQKQERVIEYDSPKASTNSSENNESPDRYYKRTKIARLDGTSDNDESQLPPTSEVLPFAGSNSSPSEAPNKPDWLSSTPDSACKNYVEQQYEYCVKVIKWLEHGGHMDKEFRVKFLTWFSLKSSAKDRRIVSAFVDALISDPATLVAQLMDAFMDVVCSKEKPAQSKFPCYNLWH* >Brasy3G001000.2.p pacid=40040889 transcript=Brasy3G001000.2 locus=Brasy3G001000 ID=Brasy3G001000.2.v1.1 annot-version=v1.1 MDLQKRNEMSLNEKRELVYEVSRFPQGAAEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVSRRKARAGSSLICRNIACQATLNEGDAYCKRCSCCICHKYDENKDPSLWLVCSSDNPYTSVSCGLSCHLRCALKNKKAGILKNVCNKLDGSFYCISCGKINWLMRNLRKQLEIARQARRVDVLCERLSLSHKMLKGSEHYKELSNIISSAVKILAKEVGSALDQVSAIIGRTLVSRLTCAADVQKLCLSALEIVDSRVASTLVLEENNNLEPLGHQPLILFEEITPFSLVIVLKYQDNIYKEDIDGCRVWHRNAKVLNYPVEPTCHILRPNTRNLVSGLSPSTEYFFKVLPFGSTLRFGECEAKCSTRSLDRGSSQCSTQNSESLCIKEDVSRYQKKVLNLQKQERVIEYDSPKASTNSSENNESPDRYYKRTKIARLDGTSDNDESQLPPTSEVLPFAGSNSSPSEAPNKPDWLSSTPDSACKNYVEQQYEYCVKVIKWLEHGGHMDKEFRVKFLTWFSLKSSAKDRRIVSAFVDALISDPATLVAQLMDAFMDVVCSKEKPAQSKFPCYNLWH* >Brasy3G001000.3.p pacid=40040890 transcript=Brasy3G001000.3 locus=Brasy3G001000 ID=Brasy3G001000.3.v1.1 annot-version=v1.1 MDLQKRNEMSLNEKRELVYEVSRFPQGAAEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVSRRKARAGSSLICRNIACQATLNEGDAYCKRCSCCICHKYDENKDPSLWLVCSSDNPYTSVSCGLSCHLRCALKNKKAGILKNVCNKLDGSFYCISCGKINWLMRNLRKQLEIARQARRVDVLCERLSLSHKMLKGSEHYKELSNIISSAVKILAKEVGSALDQVSAIIGRTLVSRLTCAADVQKLCLSALEIVDSRVASTLVLEENNNLEPLGHQPLILFEEITPFSLVIVLKYQDNIYKEDIDGCRVWHRNAKVLNYPVEPTCHILRPNTRNLVSGLSPSTEYFFKVLPFGSTLRFGECEAKCSTRSLDRGSSQCSTQNSESLCIKEDVSRYQKKVLNLQKQERVIEYDSPKASTNSSENNESPDRYYKRTKIARLDGTSDNDESQLPPTSEVLPFAGSNSSPSEAPNKPDWLSSTPDSACKNYVEQQYEYCVKVIKWLEHGGHMDKEFRVKFLTWFSLKSSAKDRRIVSAFVDALISDPATLVAQLMDAFMDVVCSKEKPAQSKFPCYNLWH* >Brasy3G098900.1.p pacid=40040891 transcript=Brasy3G098900.1 locus=Brasy3G098900 ID=Brasy3G098900.1.v1.1 annot-version=v1.1 MVLAQYDGKCYGGQQARKEIRRREIRGRPPPPPLPRRVMRTPPSSLSPKGSSGDAWRASRFLNPSLLVPVSVWLSSARESRHLPPPPHAMCVRRSLGHQRVIRVVLRPCRSTASCRSPIRHASVRAACRRRWDQRNSCWF* >Brasy3G024700.1.p pacid=40040892 transcript=Brasy3G024700.1 locus=Brasy3G024700 ID=Brasy3G024700.1.v1.1 annot-version=v1.1 MVCFGRLFPFLVGTAVGVYAAQNYKVPNLRSLAGDGADTARRYEEEYRKKKPDADAGERKKTATMKKKMHVEFDGDEE* >Brasy3G115500.1.p pacid=40040893 transcript=Brasy3G115500.1 locus=Brasy3G115500 ID=Brasy3G115500.1.v1.1 annot-version=v1.1 MPLPIPIPRILAAISAAAASPADLRRLSHLLLPPCTPLPPLRCLNAFLMALARHRMLQDMESFASRMPARNLRTYTTLINAYCLAGDLPASKRHLSSLLRAGFAPDSHAYTSFIVGYCRAGLLAHACRLFVLMPLRGCVRTAFTYTALLHGLCGAGMVREAMSVFAGMQADGCAPDQHVYATMVHGLCGAGRTREAETLLSDAMTEGFQPNVVVYNALIDGYCNAGDLELAVDVFERMDVNGCSPNVRTYTELICGFCKSRKLDRAMMMFSRMVDAGLVPNVVTYTALIQGQCSAGQLDCAYRLLQSMENSGLVPNEWTCSVLIDALCKHERVGEAQLLLGSLIQKGITVNEIVYTCLIDGLCKAGRFAAADRLMQTLVSQGFVPDAHTYSSLIDGLCRQKELSQAMLVLDDMMEKGVQPSPVTYTILIDELVREVGADGSKKILDKMIAAGINPDVFTYTIFVRSYCHEGRMEDAEHMMLHMVDHGVCPNLVTYNALICGYANLGLTSQAFSTFKHMVANGCKPNEESYTVLLRLLIKKESSNNIPASSVSIWKIAEMKYLHGLLEEMNKLQLPSEIDIYNCFLTSLCRVDRLDETKILLIEMQSANLTPSEDVYTSIIACCCRLKMLTEALTFVDSMAKSGYIPQLESYRHIVSSLCEEGSIQTARQVFGDMLSKEYNYEEIAWRILIDGLLQKGYVAECSSLLSVMEEKNYRPSDALYARLTGKITDANDIQEIAR* >Brasy3G068900.1.p pacid=40040894 transcript=Brasy3G068900.1 locus=Brasy3G068900 ID=Brasy3G068900.1.v1.1 annot-version=v1.1 MEKRKRVLELRDRLDRTLVLPVLAEESSLRALVKKQMQASSLTGSDEGDIDLIAEARAKEVSEFLQMLNTSSDGRSSKVHGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLLEGFANGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPRPVSEREALLHCFELEYLEEDLVIVIMKTISDLDTINIRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWFINFVSRQLIGSGHKLYQKAVSTVATYDEDYKRALRGPLYVRIREYQDSDDKAKVTTTEENATEVPPDNPIVQNRLAVTNTTSNSEIVEEECEQNTSLKMDSLPTSPSYQPAERAQQVENKPFISPEIEHALGILDTAIAVIRGDKTTNITTLQNLLSYDVASKDSTVSLRSSRANIRNADNLLNGGPATTQPQDSREIRQAYSLPSKKVNDRAEDAIDKGSLKNSTASTVTRTMSMTLRSAIRVHGEENLDTNGFRHNGLGNNRKSKQARKTKRWPCCLTPDTIG* >Brasy3G106800.1.p pacid=40040895 transcript=Brasy3G106800.1 locus=Brasy3G106800 ID=Brasy3G106800.1.v1.1 annot-version=v1.1 MHMPKLGMVFKTEEEGHKFYNYYAMIVGFSTAIAHKYHSRNKIHMGKVTRVTITCNKAGKPTEEDMEANKESAQRGEALGKQKPAKRRVRKTKEGTNDERNVLTRRTNTVVLTACPATMVITYMDDVWKITRLDLTHNHELHPPGEARFLRSHKKMTLEERLMIRTCSACKIPTRKIMAILAYMRGGLSSLPYTKKDVSNIRTSIRTESGRNDMMKVLVYFLKKKEKDEHSFTKLTQMMRAEF* >Brasy3G165400.1.p pacid=40040896 transcript=Brasy3G165400.1 locus=Brasy3G165400 ID=Brasy3G165400.1.v1.1 annot-version=v1.1 MGSAADVVEISSDEEDITMARKMQWVGKLFDDDVDDRVDGNDFFDLVVMGELSAPPVLPQKDNSCGGDHAEDDDDCVVLDGDPDRATTIGGEKGSAGDSSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKHCSMCHCFVCDAPAPCNYWGKGISLNDHCHATDKETRWKTLRQTFKNRSLPACHPEKRQNIVYPTVASSIHQDTECQVSRIQSQPSLTSNTGRSTLANRSPLLNATSQNQQRHTSVRVSLNGAQAISAPRASPATIARRSTSNVHTAQNTNSRGSFKRVGTASPGHTIRNADQFGSTAPTSTVPLMNKALPHVSSQPLQARINTSHVSYPIQAMQRTAFSSIVQNNAPQRSLSAPISCQVQQGQPPPSCEVASNGVHGIAPQLTRCTSLMTQKTQLLPEPLMDVSTQSWQDILASVASDLGVLDDSHYSTRTAQSQQPARTPSQPPHASPNQGGSLHAEPVVSTINLMTSNEHELLNHTTCAGVQTNPSTQTTRTLDPLNYQSSLVQNEVLLDGFVSHPADGLLVEAAHHRESSGLDSTSLIFDFELEDWA* >Brasy3G055300.1.p pacid=40040897 transcript=Brasy3G055300.1 locus=Brasy3G055300 ID=Brasy3G055300.1.v1.1 annot-version=v1.1 MPLGDLSEKPRIVSEKYFKYIILGGGVSAGYAAQEFVKQGIQPGELAIISKEAVAPYERPALSKAYLFPENPARLPGFHVCVGSGGERLLPEWYLEKGIELILATEIVKADLASKTLTSAAGERFAYEILLVATGSSVIKLSDLGMEGADFNNILYVRDVDDADKLVAAIQAKKDANAVVIGGGYIALELSAALKINNIDVTVVFPEDWFMPRLFTPDIAAFYEMVMYAHLLNPNSAVQIVLWVTAVKLDDGNVLKADLVVVGIGVTPLTDIFKGQVTQEKGGLKTDPRFETSVPGVYAVGDVATFPLRIYDDVRRVEHVDHARKSAEQAVKAIKGRESGEAVAEYDYLPHVYSHSFDLSWQFYGDNVGEAFLFGDRDPSSTKPKFGAYWIKDGRVLGAFLQGGTPDENSAIAKVARAQPLASDLEELKDALQFAR* >Brasy3G027000.1.p pacid=40040898 transcript=Brasy3G027000.1 locus=Brasy3G027000 ID=Brasy3G027000.1.v1.1 annot-version=v1.1 MRAAAGGRAFQLHLLCPRRPWRPPPPPPPPATAPISHSASAVGRPHHRRLPNGFLSNLEPGVSTIYLCRRIHSATSSVSLEDRSQAELTDGLSGGLVQKTEMVGAFQRIPMVMPATDILMSAQRKSRNIPPTKGITNIAKRERNKGAKQLDALMKELSVPLRTYTENFPRRRDLHPYERSLIELTFGEGYYEKVLGRVDALRKKITSVGKQHASVCAKSLTKREAEERLSEGRKELEEVFQRGQNAIDDLINVAKALRSMPVVDPHIPTLCLVGSPNVGKSSLVRVLSTGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTKVADQYITYRHIKERFGDRLWIDVISKCDLLDKTVPSSFNDYDDEEVGRYRRFGPEDAIRVSVQSQAGTEELKQRVHHLLTSQMTRIKANKSDDEIQGAASNASR* >Brasy3G027000.2.p pacid=40040899 transcript=Brasy3G027000.2 locus=Brasy3G027000 ID=Brasy3G027000.2.v1.1 annot-version=v1.1 MRAAAGGRAFQLHLLCPRRPWRPPPPPPPPATAPISHSASAVGRPHHRRLPNGFLSNLEPGVSTIYLCRRIHSATSSVSLEDRSQAELTDGLSGGLVQKTEMVGAFQRIPMVMPATDILMSAQRKSRNIPPTKGITNIAKRERNKGAKQLDALMKELSVPLRTYTENFPRRRDLHPYERSLIELTFGEGYYEKVLGRVDALRKKITSVGKQHASVCAKGRKELEEVFQRGQNAIDDLINVAKALRSMPVVDPHIPTLCLVGSPNVGKSSLVRVLSTGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTKVADQYITYRHIKERFGDRLWIDVISKCDLLDKTVPSSFNDYDDEEVGRYRRFGPEDAIRVSVQSQAGTEELKQRVHHLLTSQMTRIKANKSDDEIQGAASNASR* >Brasy3G151000.1.p pacid=40040900 transcript=Brasy3G151000.1 locus=Brasy3G151000 ID=Brasy3G151000.1.v1.1 annot-version=v1.1 MSFGGGSSVAAGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPSTAWFDVADLSEDSPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSGTCYAHGKYGNGNPYPVNLSLAVGLSGWLPCARSLKNKIESSQEAAQKASSLPLLLCHGKADDVVLYKHGERSVDALKSTGFSNVVFKSYNRLGHYTVPEEMDEVGKWLTASLEISSSSS* >Brasy3G151000.2.p pacid=40040901 transcript=Brasy3G151000.2 locus=Brasy3G151000 ID=Brasy3G151000.2.v1.1 annot-version=v1.1 MSFGGGSSVAAGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPSTAWFDVADLSEDSPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSGTCYAHGKYGNGNPYPVNLSLAVGLSGWLPCARSLKNKIESSQEAAQKASSLPLLLCHGKADDVVLYKHGERSVDALKSTGFSNVVFKSYNRLGHYTVPEEMDEVGKWLTASLEISSSSS* >Brasy3G207400.1.p pacid=40040902 transcript=Brasy3G207400.1 locus=Brasy3G207400 ID=Brasy3G207400.1.v1.1 annot-version=v1.1 MAPEEEEKVEEERVFVAVPAEPRAARSTLAWALGNLCGGGATVVVVLTHVHVPPQMIPVMGGRFHASKLSWEQVSSFRTTEREKADRMLDDYVHQCSKVKVPFPMISFFLLSAKVKCEKLVVENEDVVSGLVELIASRGVTKLVISAAADRQYSRKLDRPVSKTAAAIMQRADPSCKIWFVCKEQLICIRDIETENASALLPNACHEVLPVSTDQEGDESEMELGFYDEVKEACRAAEDLMNRALKESRRRQKADEEVASSLQKAKEYEEMYLEEVKRRQELEAALDKANTEIMQLRQAINRNTALEESQEATIVTSILEKRIIVSGDGVKACFATEHCLECAQVQAHVDYHGDGGAKEPEELVPSPFLGEDDSPVKLTAAAAFRL* >Brasy3G207400.2.p pacid=40040903 transcript=Brasy3G207400.2 locus=Brasy3G207400 ID=Brasy3G207400.2.v1.1 annot-version=v1.1 MAPEEEEKVEEERVFVAVPAEPRAARSTLAWALGNLCGGGATVVVVLTHVHVPPQMIPVSTLGGRFHASKLSWEQVSSFRTTEREKADRMLDDYVHQCSKVKVKCEKLVVENEDVVSGLVELIASRGVTKLVISAAADRQYSRKLDRPVSKTAAAIMQRADPSCKIWFVCKEQLICIRDIETENASALLPNACHEVLPVSTDQEGDESEMELGFYDEVKEACRAAEDLMNRALKESRRRQKADEEVASSLQKAKEYEEMYLEEVKRRQELEAALDKANTEIMQLRQAINRNTALEESQEATIVTSILEKRIIVSGDGVKACFATEHCLECAQVQAHVDYHGDGGAKEPEELVPSPFLGEDDSPVKLTAAAAFRL* >Brasy3G207400.3.p pacid=40040904 transcript=Brasy3G207400.3 locus=Brasy3G207400 ID=Brasy3G207400.3.v1.1 annot-version=v1.1 MAPEEEEKVEEERVFVAVPAEPRAARSTLAWALGNLCGGGATVVVVLTHVHVPPQMIPVMGGRFHASKLSWEQVSSFRTTEREKADRMLDDYVHQCSKVKVKCEKLVVENEDVVSGLVELIASRGVTKLVISAAADRQYSRKLDRPVSKTAAAIMQRADPSCKIWFVCKEQLICIRDIETENASALLPNACHEVLPVSTDQEGDESEMELGFYDEVKEACRAAEDLMNRALKESRRRQKADEEVASSLQKAKEYEEMYLEEVKRRQELEAALDKANTEIMQLRQAINRNTALEESQEATIVTSILEKRIIVSGDGVKACFATEHCLECAQVQAHVDYHGDGGAKEPEELVPSPFLGEDDSPVKLTAAAAFRL* >Brasy3G128500.1.p pacid=40040905 transcript=Brasy3G128500.1 locus=Brasy3G128500 ID=Brasy3G128500.1.v1.1 annot-version=v1.1 MEEKPDGIMTTGVVATVLKFLRKCIIAVLSYGPMPEHIAFIMDGNRRYAKFRSIKKGTGHRMGFSALTASLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMELMEEKINELLENRGVINKVNCKINFWGNLDLLSEPLKLAAQKLTASTAQNTGLVFSVCMPYNSTSEIVNAVNKLCAERRDIAQREYSGNCNGHDANNGAHPDVSVADLDRHMYSDGCPDPDIVIRTSGEARLSNFLLWQTTFSHLQSPAPLWPEFSLRHLLWAILQYQRVYPYIEQNRKLEKKQL* >Brasy3G216900.1.p pacid=40040906 transcript=Brasy3G216900.1 locus=Brasy3G216900 ID=Brasy3G216900.1.v1.1 annot-version=v1.1 MAVQARYAAGCLPGVVGAGYGVDAQEYGAFLAAAANNNNGYNHCAATMASGGAQSELTCNNGAGNGGARKRGRGEVEEQYVSSSSALLPIPGMVKAVAFRSVDSAMTSTSGRHPASAASSFAADGLVSELLRHNAEIDATVRAELERMRAGLEHARKRQCASLVRAASASAARRLREKEAELDAARRRAAELESCLRQAAAESQAWCGLARSNEAVAAGLRSTLDHLLLRSPAPAPAEGFGESDFTAAGAEDDAQSCCFVVPKEDAGAACSKWACKSCGEGEASVLLLPCRHLCLCKACEPRLDACPVCLATKNASVHIATD* >Brasy3G158700.1.p pacid=40040907 transcript=Brasy3G158700.1 locus=Brasy3G158700 ID=Brasy3G158700.1.v1.1 annot-version=v1.1 MVCDEIIPREAVELLNANKLGGRAAAEARERAKLLSPSYPYSGRAQILRAYIDLAQVRALDLAIDKTRFLRRTLSMIGEAAKVFRSSLMIALFDAKLLFVLDEFDAAEGECRRALRIETPHDPNEDDIPPLAVRGADYDARVSSVKKQLRVLLKHIVVVAALYWSHMVRTLQEERIISFRVDTLLEHYGGVDQSAAKTIADARRFIKKHNSWSFWICPHSRCDGKKFMDTDSLWQHMCSNHREELWKKLQSILGPELCEHTSEGDHSLDGITVTLCQDSGQHDIFHLPRVQDTFESLLLCPSIGIQTEPFAEMRQRKCREGSEILEGIKEKLRMLPSDVSSTEFEEYSFGIQNLWLKFLEISVMDYREVILPLARSFQWTKIKRWIAYNVNDPDRSIGDVNIDTVFGKVHGAPDRSASIENGSNPSHSNNIDHQNGENLKTENGDVNIDTVFGKVPGAPDMSVSVENGSNPSHSNNIDHQNGENLKTENLKPLCSDETLKADEKYEESEVCAEDGNSGTMVNQRSSDPPISMHENGMDILSRIAVVELDKRGTSGQALNEMTSTSNYKQSVIVLNKNNVGEDQFILNLIIQLLCNLRHFRDMFLTEPLVWIPCVDNPCIAQIFYEIFSSWEKNEHHLTDVLLTYMETLLCGIVDCNTFYEKLQVGKNLASEIVATILIGLHMSETSSRFRFNRETERQVVNPITCGDCICPTHNLFGIKFDAQMSCRCGNCSEGYLYTTLFHKLDAGSPQTTKIKSFAELPVLLDEQFCMENDCAHCGSLQNIDLFLSNTPHFFTIVLNWLGGSESQDTLSEVLAGISSPHGTEFFCRSAHSATMYAVTSMICYAEDRYVCFARDEDKWLIYDSDTIEDEDTWEHLLERFRDCKLQPEVLIFEVIK* >Brasy3G152300.1.p pacid=40040908 transcript=Brasy3G152300.1 locus=Brasy3G152300 ID=Brasy3G152300.1.v1.1 annot-version=v1.1 MATHYFCGYHSPSVLPLLQRQQPQPAGGGGGGRGRGQRTRLRVAASVAAGGARADPVVAATDAEFFQPSDAAPGPSCSSTACATSATAASGSCGSTTPVEAEASGTPCLLAQRQNCVRYSETDSPVLSTSMLCVYDDHT* >Brasy3G311500.1.p pacid=40040909 transcript=Brasy3G311500.1 locus=Brasy3G311500 ID=Brasy3G311500.1.v1.1 annot-version=v1.1 MNGAGGSQQQQQQQQQRLRQQQQQQQQQQALLMQQALQQQQYQSGVLAAAAAAAMTQMEPVSNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGPVERCKLIRKEKSSFGFVDYYDRRSAALAIMSLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSVYTSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKTNAEEKQETDNHNAVVLTNGSSSNAAMEGNQETGSKENPENNPDFTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYNTHGEAALAIQMANGLVIRGKPIKCSWGNKPTPPGTSSKPLPPPGPAYQPVAMAGVPQGFTAAELLAYQRQLALSQAAAGQIAAGGHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN* >Brasy3G311500.2.p pacid=40040910 transcript=Brasy3G311500.2 locus=Brasy3G311500 ID=Brasy3G311500.2.v1.1 annot-version=v1.1 MEPVSNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGPVERCKLIRKEKSSFGFVDYYDRRSAALAIMSLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSVYTSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKTNAEEKQETDNHNAVVLTNGSSSNAAMEGNQETGSKENPENNPDFTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYNTHGEAALAIQMANGLVIRGKPIKCSWGNKPTPPGTSSKPLPPPGPAYQPVAMAGVPQGFTAAELLAYQRQLALSQAAAGQIAAGGHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN* >Brasy3G072200.1.p pacid=40040911 transcript=Brasy3G072200.1 locus=Brasy3G072200 ID=Brasy3G072200.1.v1.1 annot-version=v1.1 MSEKLHVCHRPPRTRCDPNRFSSFSRYFLESLPSRSRPPPLFFLSVSSSTSPTLPPLAPALALTPLAHGPSTSICLARPASAPRACFPTAPLPPPATTSFLRPISTSDRDPNPTPLQISRPLQANRKASDRCAAGRFSPRRSNAKSLGAMPVAKTATFRVEAMATLRAATGQGKGCRRRFRLQS* >Brasy3G259500.1.p pacid=40040912 transcript=Brasy3G259500.1 locus=Brasy3G259500 ID=Brasy3G259500.1.v1.1 annot-version=v1.1 MGVQVDQRHSMGLDGLYGVQLAGQSQYSDDELVKTSIMDPSTCEQQEGFGLTRSLQIRRLWQQRPPCLKPIHCSLSCDKHAGETIANVVTSLPFIVLGLQTPRKNLNTALYANSLIGVGVASSLYHTSRGEIRKYMRWADYTMIATTTLCLTRALRNEHPKLLMAASTLLLPFQPLMVTALHTGMMEVSFAKRASIEPELRMAHNLHRMSSLLGGALFIADDVFPETPYIHAGWHLAAALGVGTCNKLLE* >Brasy3G232800.1.p pacid=40040913 transcript=Brasy3G232800.1 locus=Brasy3G232800 ID=Brasy3G232800.1.v1.1 annot-version=v1.1 MINLFKIKGQKKEDAANTNGKPAAKKQSPGELRLHKDIAELNLPKTTQMSFPQGKDDLMNFEVTIRPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPQKFARNVQKAMAGGYVDEIQFPRCM* >Brasy3G166500.1.p pacid=40040914 transcript=Brasy3G166500.1 locus=Brasy3G166500 ID=Brasy3G166500.1.v1.1 annot-version=v1.1 MMKMMESSPEKVKLLMGVLALQFCLAGFHVVSRAALNMGISMIVFTVYRNCIALALVAPFAYFLEKENRPPITFSLLVQFFLLAFCGITCNQGFYLLGLNYLSPTYASAIQNTVPAITFALAACLRLEQVNINKRHGMAKVIGTVVSIGGASIITLYKGMPLMNCKSLHILGATSIVSPNLVWNWTVGCLFLLGNCFAWSGWMVLQAPVLKKYPARLSALSLTLVSGLVQLLVIAAFSEKDPESWKLHSGGELFTILYAGLVASGIALSLQIWCIHKGGALFTAIFQPVQTVMVAILAAAVLGDELYTGGVIGAVLIVIGLYFVLWGKNEERNKTGSDQSSEEDLSRHLLNEEPSRGAKCLTNDVP* >Brasy3G006200.1.p pacid=40040915 transcript=Brasy3G006200.1 locus=Brasy3G006200 ID=Brasy3G006200.1.v1.1 annot-version=v1.1 MEGRTALCFLLVLVLLGNCVHAETCGEKSNLDTACIPQYVMSFCKREYPGRNVKNAYCKGFFPFVFRVCEICG* >Brasy3G184000.1.p pacid=40040916 transcript=Brasy3G184000.1 locus=Brasy3G184000 ID=Brasy3G184000.1.v1.1 annot-version=v1.1 MSAPSLDSSSRRAVTQRRTGRIFKVGTLTSTGTGAEMPCPVSKQLHVPGFQKLANLASCISPSQGH* >Brasy3G178600.1.p pacid=40040917 transcript=Brasy3G178600.1 locus=Brasy3G178600 ID=Brasy3G178600.1.v1.1 annot-version=v1.1 MAAKQHCSTKPASSSGAAAGDLDPKFEWIENATNYVLRINLSGFKKDDFRVQMDGAGRLTVRGHRPASGPSFHKVFQLPSTASLDDINGRFDASVLTLTVPKRAAAAPPTAIEEIRKPKAAKEEAAAPKPPPTEGAEMREAAGVKPKEETGNKEDALKKALDEATRTAAQQKEQEEDRRKQEQEQKPSPAARGEEVKPKATEAAAAAPPEKPKPSAPLKPDVASGEKPRQAVDRESLAERVRQRSEEERAKAAAAAAAGQKRMTSACVAWKERVASELEELAEMKWAQGLVETARNNKEVVATAIAAFSLGFFVSQKLFSRK* >Brasy3G202000.1.p pacid=40040918 transcript=Brasy3G202000.1 locus=Brasy3G202000 ID=Brasy3G202000.1.v1.1 annot-version=v1.1 MAPGLGFLTKAVLLVLAPVFISVALYSPKDFSPAAMPPEYSFEADDVSVPASRHDALALDASERVGEGRLPGPEDLAYDAAGGWLYTGCADGWVRRVSVPGGAVEDWARTGGRPLGVALAGDGSLVVADADKGLLRVGLDKAVELLTDAAEGLRFALTDGVDVATDGTIYFTDASHKHSLSNYLLDVLEARPHGRLMSFDPSTRRTTVLARDLYFPNGVALAPDQGSLIFCETIMMRCSRYNIRGDKAAGVVERFIDRLPGLPDNVRYDGHARYWIALSAGRTLRWDMLMGSPLLRKLVYLVNKYVAAVPTSTGGAGTMSVGLDGAPETMYSDPRLALATGWLRAGDCLYYGSLHNSYISRIDLTKSSIEA* >Brasy3G095000.1.p pacid=40040919 transcript=Brasy3G095000.1 locus=Brasy3G095000 ID=Brasy3G095000.1.v1.1 annot-version=v1.1 MAAAEGLERIKNESVDLENIPVEEVFENLQCSKEGLTTKDGEDRTAIFGPNKLEEKKESKFLKFLGFMWNPLSWVMELAAIMAIALANGDGRPPDWQDFVGIIFLLFLNSTISFLEENNAGNSAQALMANLAPKTKVLRDGRWSEQDAAVLVPGDIISIKLGDIVPADARLLDGDALKIDQSALTGESLPVTKNPGDCMYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTSNVGHFQKVLRAIGNFCILAIAIGMAVEIIVMYCIQHRRYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVAKDQVLLMAAMASRVENQDAIDTAMVGMLADPKEARAGIKEIHFLPFNPVDKRTALTYEDISTSTWHRVSKGAPEQILELCECREDVKNRAHAIIDKYADRGLRSLAVARQDVPEKTKESAGSAWEFVGLLPLLDPPRHDSAETIKEALNLGVNVKLITGDQLAIAKETGRRLGMGTNMYPSSALLGQSVDESIASLPVDELIEKADGFAGVFPEHKYEIVKKLQQMKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVVFFYAMTSTDFFSETFHVRSLRGNKDAMMSALYLQVSIISQALIFVTRSRRWCFMERPGFWLCFAFVVAQIIATLIAVYANLAFAHIRGIGWGWAGVIWLYSLVTFVPLDLFKFGIRYALSGKAWDTLFENKIAFTSKKDYGKDEREAKWATAQRTLHGLPTAEADNLQQERGSYGELSEIAEHAKRRAEMARLRELSTLKGKVESAVRLKGLDVETDNHHYTV* >Brasy3G171000.1.p pacid=40040920 transcript=Brasy3G171000.1 locus=Brasy3G171000 ID=Brasy3G171000.1.v1.1 annot-version=v1.1 MDCGGRRPSLQGPAATVRCRQCSAGIAAAPGARAVQCMQCSCVTRVRGQRPRAPQQMLIPQPPPGFCGGRGKKRAVLIGIKYTGRRNCELRGPINDVKCMRYMLTERFGFPNDCVLILTDEERNPCRLPTKENIRMAMHWLVQGCSYGDSLVFQFSGMGAQVPDEDGDERDGMDEAICPMDSCQQGPILDDEINEAIVRPLVPGVKLHAIVDACHSGTVLDLPYLCTSKTGCWKWVDERPPTGACKGTNGGQAVLISGSSNGKSRPVSIPDAYATMGAMTHSFIRAVECQPRATYASLLTSMKNTMREGAGNCNLQGRPVGSSIRKVANFSGVEEPQLSSARVFDLTREPFCM* >Brasy3G226200.1.p pacid=40040921 transcript=Brasy3G226200.1 locus=Brasy3G226200 ID=Brasy3G226200.1.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVRRRPPVLPQDLPLPAAKLPLPGVQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYRTLLADIIQIEDVNVLCKVLVLVSRQAAGAFLDSGVKESTNIKKLDRTESHIPSTNHDRKAVQKQHDEQVCSLENSLIAVPLSEGRAGMRRSDPSDTWKDNRRPVSPGTQALMCNEQDMFVQTSSVAGAILSTTKENLSEIYIEQEKRVLTNLLDFLRELAKSGRLQEEKRSLRCH* >Brasy3G226200.2.p pacid=40040922 transcript=Brasy3G226200.2 locus=Brasy3G226200 ID=Brasy3G226200.2.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVRRRPPVLPQDLPLPAAKLPLPGVQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYRTLLADIIQIEDVNVLCKVLVLVSRQAAGAFLDSGVKESTNIKKLDRTESHIPSTNHDRKAVQKQHDEQVCSLENSLIAVPLSEGRAGMRRSDPSDTWKDNRRPVSPGTQALMCNEQDMFVQTSSVAGAILSTTKENLSEIYIEQEKRVLTNLLDFLRELAKSGRLQEEKRSLRCH* >Brasy3G226200.3.p pacid=40040923 transcript=Brasy3G226200.3 locus=Brasy3G226200 ID=Brasy3G226200.3.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYRTLLADIIQIEDVNVLCKVLVLVSRQAAGAFLDSGVKESTNIKKLDRTESHIPSTNHDRKAVQKQHDEQVCSLENSLIAVPLSEGRAGMRRSDPSDTWKDNRRPVSPGTQALMCNEQDMFVQTSSVAGAILSTTKENLSEIYIEQEKRVLTNLLDFLRELAKSGRLQEEKRSLRCH* >Brasy3G226200.4.p pacid=40040924 transcript=Brasy3G226200.4 locus=Brasy3G226200 ID=Brasy3G226200.4.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYRTLLADIIQIEDVNVLCKVLVLVSRQAAGAFLDSGVKESTNIKKLDRTESHIPSTNHDRKAVQKQHDEQVCSLENSLIAVPLSEGRAGMRRSDPSDTWKDNRRPVSPGTQALMCNEQDMFVQTSSVAGAILSTTKENLSEIYIEQEKRVLTNLLDFLRELAKSGRLQEEKRSLRCH* >Brasy3G226200.5.p pacid=40040925 transcript=Brasy3G226200.5 locus=Brasy3G226200 ID=Brasy3G226200.5.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVRRRPPVLPQDLPLPAAKLPLPGVQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYR* >Brasy3G226200.6.p pacid=40040926 transcript=Brasy3G226200.6 locus=Brasy3G226200 ID=Brasy3G226200.6.v1.1 annot-version=v1.1 MDKPPNHPRDSVQLDFASVFYAGKPEIAATAAALSHALGPPRPPPHRQLMPVKVQSSLPPWPPVLWPTAIAGKHPMPFPTPPPAKKLQVRRRPPVLPQDLPLPAAKLPLPGVQVQRPLQQASPVLTQLLPKMEVPILLPMNATSVDVMLNSRYPWSGTSSESIYGTPRRRKNCKCKNSKCLKLYRDCFASGRYCNDDCNCKNCCNNVSHETARQYAINAVMERNPVAFMPKIGNIPRHSPQNREYRAAEGPLVGKHMKGCQCKRSECLKKYCECFQSNVLCSENCKCTDCKNYESSEDRKEIRRITQQHDVYAQHVQNLALKGMIGPSAVLPRAAEKFSSLSVASLGRGQPINNNDSSQVLSPLLTSVPTEDSESPVKLGPHGVTYR* >Brasy3G034900.1.p pacid=40040927 transcript=Brasy3G034900.1 locus=Brasy3G034900 ID=Brasy3G034900.1.v1.1 annot-version=v1.1 MAPTPRPHAVVVPYPGSGNINPALQLAKLLHRRGVYITFVNTEHTHRRVHGAAAELGRDGFRFEAIPDGLADADRAAPDYGSRLSASVSRHCAAPLRDLIARLSGGAITGVPPVTCVVATTLMSFALRVARELGIPSVMFWGGSAASLMGHMRLRQLGERGYIPLKDESCLTNGYLEKTVIDWIPGMPPISLGDVSSFVRTAVPDDAGLRFTEAEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIYTIGPIGSLLNTEDASIAGGGLSLWKQDTECLAWLDAQEPRSVVYANFGSNTVLTASQLAEFAWGLADSSHKFLLSIRDNLVIPSGSGGGLPAGFAAATAGRSCVAEWCPQERVLRHGAVGCFVTHNGWNSTSESLAAGVPMVCWPGFADQFTNCKYVCEVWGVGLRLDEDVKREQVAGHVKKAMEAEEMRRSAAAWKATAAEAVSPGGSSFENLQSMVKALNSV* >Brasy3G040800.1.p pacid=40040928 transcript=Brasy3G040800.1 locus=Brasy3G040800 ID=Brasy3G040800.1.v1.1 annot-version=v1.1 MGRGGGHRAQGQTTARGYLVPESCSGMAGEDSRRQGGRRCGQSSRRRGGAGWRRTKWQEPTEGAWGGAGGGAGPDLGREAREDARSGPEGNSPGIDTMRTSTMLRMAGEVLEARRRTRGGGKRGGDGRDCIHDDRRREAKRWIQAVRPDTTRVWAQAPVAERFGRQWSFAECQDPDAVEERKTGEKPTASPPPSLRANKALLSTLSGDDEEQGRGESGRRR* >Brasy3G028600.1.p pacid=40040929 transcript=Brasy3G028600.1 locus=Brasy3G028600 ID=Brasy3G028600.1.v1.1 annot-version=v1.1 MSDLRHWILPPNFLSESPREAGFYLWLLHPDPCSRPKASLKVHQLLLYRSAWCWRWLHHTASLLGTRCSSAALFFTVLAFFAAIVGQLVVRKLIGLLGRASLIVFILSLMIFVSAFSLGGVGISNIIHKITRHEYMGFKNICKYDA* >Brasy3G005800.1.p pacid=40040930 transcript=Brasy3G005800.1 locus=Brasy3G005800 ID=Brasy3G005800.1.v1.1 annot-version=v1.1 MRHSGKRTSQHRDHDREGRDEKRRPAVHTQENSSNDELVVYRILCPDKVIGSVIGKNGKVINSIRQQTNAKVKVVDPYPGADKRVILVYCYVHHRDLKHRDIDVDDDDREPVCAAQDALLKVHDAIVEALAINSDSDDEEANILVPASQAASVIGKSGSVIKRLRSISKSSIKVRPKDPNEVTHSCAMSFDNFVQITGDPRAVKKALFAVSAIIYKSPSKEIIPLETSVQELPPSIIIPSELPVYPASNFYSLSDGAMPSGHPSLPILGAPHHVSRIPEFTVPADAHGRLPIYQSMVPAIPTYSTPKGSGDLLLRVVCPGDKIGLVIGKGGMTIKSIRKESGANIDVDDAKNDREESIITVTSTEATDDVKSAAVEAVLLLQAKINDGVEDRMHIRLLVPGNVIGCLIGKGGSIVNDMRNKSKAIIHISKGSKPRRASSSDELVEVFGEVDKLRDALVQIVLRLREDVLKDSVERQNSDKDGKRTIAITEPMYSSSFSMPALLPSTRQVSPLSYDQRGEVERGLDVYPRSSLYRYSSLQAVDDGYGAHSSYTSKSYGGRRPDIEMIIPASGLSKVIGKRGTNLDNIRKISGADIEIIESKSSRHDHVAHISGTPEQRQSAENLIRAFIMST* >Brasy3G103500.1.p pacid=40040931 transcript=Brasy3G103500.1 locus=Brasy3G103500 ID=Brasy3G103500.1.v1.1 annot-version=v1.1 MTLLQLSSVRGGANPPAEPQEEPPLPAAVAVAESQITVADHVADSQDAPQAAEHVSSGATAGASASLPLIPPGHLSGAAALPVIMGSSSSSSLAKVAAASSPSVVVRDKQKGKLVVPDAAAKKPVLTKKRRLDDIHAQLQAAHQDPPCWLPLPASSGKFACTRQGCDDKFPTFQALGGHMAGHSRANKYHVAACALQRSLLHQGAGAVPRANSTAAAVFLPPPPPTPPTAPIIKNLIASSRMADKLREETRAAIDAAAASASAPAAPRGQPKDFDLNEMPSEEE* >Brasy3G228900.1.p pacid=40040932 transcript=Brasy3G228900.1 locus=Brasy3G228900 ID=Brasy3G228900.1.v1.1 annot-version=v1.1 MSQLKEVRYTARSITPPLNRNGSSKSPPPLRRSASRSPPPKRNASRSPRPGRHSTSGSPRPRGRGRSRSRDRSPSRDKSEDDLRNPGNNLYVTGLSTRVTEAELEKFFSTEGKVKNCHVVLDPRTKESRGFAFVSMDTVEDARRCIKRLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGSEKRSKSPSPARPRRRERSRSRDRKRERSRSRDRKRERSRSRDRRRDRSRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRPRSRDRRRDGSRDRRRDRSRSRDRKGNSSHERDSHRSRRDRSRSPTSNGNHKTD* >Brasy3G228900.2.p pacid=40040933 transcript=Brasy3G228900.2 locus=Brasy3G228900 ID=Brasy3G228900.2.v1.1 annot-version=v1.1 MSQLKEVRYTARSITPPLNRNGSSKSPPPLRRSASRSPPPKRNASRSPRPGRHSTSGSPRPRGRGRSRSRDRSPSRDKSEDDLRNPGNNLYVTGLSTRVTEAELEKFFSTEGKVKNCHVVLDPRTKESRGFAFVSMDTVEDARRCIKRLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGSEKRSKSPSPARPRRRERSRSRDRKRERSRSRDRKRERSRSRDRRRDRSRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRPRSRDRRRDGSRDRRRDRSRSRDRKGNSSHERDSHRSRRDRSRSPTSNGNHKTD* >Brasy3G228900.3.p pacid=40040934 transcript=Brasy3G228900.3 locus=Brasy3G228900 ID=Brasy3G228900.3.v1.1 annot-version=v1.1 MSQLKEVRYTARSITPPLNRNGSSKSPPPLRRSASRSPPPKRNASRSPRPGRHSTSGSPRPRGRGRSRSRDRSPSRDKSEDDLRNPGNNLYVTGLSTRVTEAELEKFFSTEGKVKNCHVVLDPRTKESRGFAFVSMDTVEDARRCIKRLHRTVLEGRLVTVEKFAWCWTASTSTWLVHAMLTACAAVLLVLITTPTELFFTACRLHLPHQHCLPSLHQPEGCCHLAILES* >Brasy3G228900.4.p pacid=40040935 transcript=Brasy3G228900.4 locus=Brasy3G228900 ID=Brasy3G228900.4.v1.1 annot-version=v1.1 MSQLKEVRYTARSITPPLNRNGSSKSPPPLRRSASRSPPPKRNASRSPRPGRHSTSGSPRPRGRGRSRSRDRSPSRDKSEDDLRNPGNNLYVTGLSTRVTEAELEKFFSTEGKVKNCHVVLDPRTKESRGFAFVSMDTVEDARRCIKRLHRTVLEGRLVTVEKFAWCWTASTSTWLVHAMLTACAAVLLVLITTPTELFFTACRLHLPHQHCLPSLHQPEGCCHLAILES* >Brasy3G058300.1.p pacid=40040936 transcript=Brasy3G058300.1 locus=Brasy3G058300 ID=Brasy3G058300.1.v1.1 annot-version=v1.1 MAKMIAAVIVCVVVLALDVTAGILGIQAQAAQNKTKKVTILFIQCEKPVYKAYQLGLAAAVFLVVSHAVANFLGGCACICSQLEFIRASINRKLAATLIILSWIALIVGFSLLLAGAMSNSKSKTSCGFVHGKTLALGGIMCFVHAGVTVAYYVTANAAANELP* >Brasy3G145900.1.p pacid=40040937 transcript=Brasy3G145900.1 locus=Brasy3G145900 ID=Brasy3G145900.1.v1.1 annot-version=v1.1 MDLKDSLSKFKQQQERCQSSLASIAASQASTARPKHRAQPINAPSAPARPSQPIKFSNDTERLQHLNSVRKSPVGAQIKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFDSLRNNLKVQYDGRRFSYKSKHDLKGKDQLLALVKDHREGLAVVEVKDAYPTVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDLKVKIKVDDDLKELFRGIELPRDMVDIEKELQKNGMKPMTNTTKRRAAAQIHGVKPKAKPKKKNREITKRTKLTNAHLPELFQNLNP* >Brasy3G025500.1.p pacid=40040938 transcript=Brasy3G025500.1 locus=Brasy3G025500 ID=Brasy3G025500.1.v1.1 annot-version=v1.1 MADVAAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLTALSSPSSPSISVLPLIARKSTNCSLRSGLLSDVSSVYLSYAFCKRSLTRENDAKSFVRVWKVMEMCYKILGEGKLVTQRELFYKLLSDSPKYFTCQRHVNQTIQDVVSLLRCTRQSLGIMASSRGALIGRLVLHEPEGEHIDCSILGPSGHAITGDLNQLSKLNLYTDARYLILVEKDAVFQRLAEDRLYNQLPCIVITAKGYPDIATRFCTGNASRFVLLMFILHRVSQTFPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGIRGDDLELIPQSAFQELKPRDLQIAKGLMSSKFLQGKHRAELALMVETGKRAEIEALYCHGFDFLGKYIARKIVRGDYI* >Brasy3G025500.2.p pacid=40040939 transcript=Brasy3G025500.2 locus=Brasy3G025500 ID=Brasy3G025500.2.v1.1 annot-version=v1.1 MADVAAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLTALSSPSSPSISVLPLIARKSTNCSLRSGLLSDVSSVYLSYAFCKRSLTRENDAKSFVRVWKVMEMCYKILGEGKLVTQRELFYKLLSDSPKYFTCQRHVNQTIQDVVSLLRCTRQSLGIMASSRGALIGRLVLHEPEGEHIDCSILGPSGHAITGDLNQLSKLNLYTDARYLILVEKDAVFQRLAEDRLYNQLPCIVITAKGYPDIATRFILHRVSQTFPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGIRGDDLELIPQSAFQELKPRDLQIAKGLMSSKFLQGKHRAELALMVETGKRAEIEALYCHGFDFLGKYIARKIVRGDYI* >Brasy3G025500.3.p pacid=40040940 transcript=Brasy3G025500.3 locus=Brasy3G025500 ID=Brasy3G025500.3.v1.1 annot-version=v1.1 MADVAAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLTALSSPSSPSISVLPLIARKSTNCSLRSGLLSDVSSVYLSYAFCKRSLTRENDAKSFVRVWKVMEMCYKILGEGKLVTQRELFYKLLSDSPKYFTCQRHVNQTIQGIMASSRGALIGRLVLHEPEGEHIDCSILGPSGHAITGDLNQLSKLNLYTDARYLILVEKDAVFQRLAEDRLYNQLPCIVITAKGYPDIATRFILHRVSQTFPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGIRGDDLELIPQSAFQELKPRDLQIAKGLMSSKFLQGKHRAELALMVETGKRAEIEALYCHGFDFLGKYIARKIVRGDYI* >Brasy3G025500.4.p pacid=40040941 transcript=Brasy3G025500.4 locus=Brasy3G025500 ID=Brasy3G025500.4.v1.1 annot-version=v1.1 MADVAAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLTALSSPSSPSISVLPLIARKSTNCSLRSGLLSDVSSVYLSYAFCKRSLTRENDAKSFVRVWKVMEMCYKILGEGKLVTQRELFYKLLSDSPKYFTCQRHVNQTIQDVVSLLRCTRQSLGIMASSRGALIGRLVLHEPEGEHIDCSILGPSGHAITGDLNQLSKLNLYTDARYLILVEKDAVFQRLAEDRLYNQLPCIVITAKGYPDIATRFCTGNVYLASSEPDFSKYAHFRIGGLEPSRACYTMHLQIWKHINGSGIIQICLQCKMAWHKRR* >Brasy3G118100.1.p pacid=40040942 transcript=Brasy3G118100.1 locus=Brasy3G118100 ID=Brasy3G118100.1.v1.1 annot-version=v1.1 MSDDDGVLTVAVDLVVPVMAGMSRYRRWCCSCSLAEGSLRAACGRPSSGPRPQLPRSRERPDAHAPCGQTPRAAGDGRAIAGLPRRPVHRIRTRDGRIWWRATPYRRRSGEEGGASRPGTGGGGRRKQEGRGGSDRKKGRGREKRRAAVGRKMGREGSLVGWLSLRGRYRRRPARRGGAGTWSGGVETARGEKETRFVFY* >Brasy3G309300.1.p pacid=40040943 transcript=Brasy3G309300.1 locus=Brasy3G309300 ID=Brasy3G309300.1.v1.1 annot-version=v1.1 MRPTAATKPCFFLAKHPSVVPAPSAAVAAADPCKITSKEKIRRCSGRRSWRAAAADSNGGDGDGDGDEYGGQSVSTTEATPARRRRARLSARRRERIRLLDVLPELGGGTDIGEFLRHPAGVESLLNTRALQSFAPVESSESGGSTFRCTLHRMGFLGFQVAPVLDLRVAPTCADCTVEMLSCKFEGSDSIEQQNELFSAFMRNHITWGGNGDDGEEPCLDIDVNLEVTLEVYTKPFSLLPLSAVEKPGNLLMQGLLDRLVPMLGEQLLRDYHTWIQQQQEEEQSS* >Brasy3G201100.1.p pacid=40040944 transcript=Brasy3G201100.1 locus=Brasy3G201100 ID=Brasy3G201100.1.v1.1 annot-version=v1.1 MLMPTPKVEPLWHLLCHQYDVTNQRHGLSINRERLLAASLYTKNQNLLELLDRTSCVDTILFSTEKT* >Brasy3G123100.1.p pacid=40040945 transcript=Brasy3G123100.1 locus=Brasy3G123100 ID=Brasy3G123100.1.v1.1 annot-version=v1.1 MKRPRPVYLVDFACYKPDEAQAISKEGFLDMTQSTGFFNAEALDFQTKITKRSGLGDRTYLPPGIQARPPKLSMAAARAEAEAVMFGCLDALFAATGIDPRRDVRVLVVNCSLFNPTPSLASMVVNRYRMREDVKSFNLGGMGCSAGLIAVDLARDLLQVHANRGSYAVVVSTENITLNWYFGNERSMLLSNCIFRMGGAAALLSNDSRRDARRAKYQLLHTVRTHKGAADECFNCVYQREDESGSKVGVSLARELMAVAGDALKTNITTLGPLVLPLPEQLKFLWSLATRRVLRVKGARPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLEDADMEPSKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPAVSGAEAGTGEGRRGCNPWVDSVESYPPKAYV* >Brasy3G180800.1.p pacid=40040946 transcript=Brasy3G180800.1 locus=Brasy3G180800 ID=Brasy3G180800.1.v1.1 annot-version=v1.1 MPSHQITYNTQNATKRFALCSSLLPTPRPPLPPVPLHLLSPPLPSDLEAVDRKQPINPRCPAPKKSRRHCLNPCRNRGRRPVLRRHLFRPTAIKSRPGRRRFSPLGLQAGGAGRQLHSGPDQRGTEQRLARWHAGGAAYGAVARVSARGRSRSGRGRLAADGRTGARRRARGASRRARGGLGRLALSRRQDPLLLQFVRTRPCPRLL >Brasy3G345400.1.p pacid=40040947 transcript=Brasy3G345400.1 locus=Brasy3G345400 ID=Brasy3G345400.1.v1.1 annot-version=v1.1 METNENSPAAAPLTCFHGGEDEWERFLQDTCPAAATYKSIEAAVQSAARRLPVDEMPELLECLYAAGHCLGLLDPASNAILNALSRLARCRRSGDPPDPQRVGWFSGVVFHSYEGLLAFMQAYFRDLTEGQARLFVHLAAGDLVVAVRLAELFHSDEVIVGHPEVFRDRAEFALKVAAVKAKHPMPDDVALLCRAGGGGAMPAALAVLEDQGRRLTVDDRRAPFSLAGLRNPEALRGKLESSCLAAAAAVAPPRAPMEETTPCGYLERLKTGLLDTMHAAYMEALARLVPRGRRRLLLRGVLVAGHCYGPMADPASNWVPDDILGAAALPRLEARSLDGLVAAARAVTGFSEHRAVEHLCSSGMFQIRGEEALRRASEAARHPLGEHHASFLASLDDDPALLDTLSSLLSAGAGEEEDRRRHYFVSESSIAELQRILGDRCSPIPIPAAAADADHTAALVRRKKGLLLERRQAFLRKELQRLLRQYADEQSAPEEEEHELETICAVEKKSDYLSKNCYHINFLATSSSSSTKLKSRVLFFAQLWEEKRSSDDDEWRAVFGDDDGRFGFGCRYIDREWEVSFCCPLPHYGTADPFPGRCAICEEEDGSKIVHPPCGYHVGALYDLFGRNSCSLEY* >Brasy3G241000.1.p pacid=40040948 transcript=Brasy3G241000.1 locus=Brasy3G241000 ID=Brasy3G241000.1.v1.1 annot-version=v1.1 MAPIFIACVSSLVLLLLIFLSRYVFQLLGRRLPPGPLPLPIVGNLLDVAFTGLLLHRSLGRLAKRHGPLMTLRLGRAVVIVVSSPDAAREVLQTHNLSLAGRVPPDAWQGAGHAANSVFVLPPNHSKWRSLRRIGTEHLLSARRLDLLRPVHLLQDAVVSHVSSELAASPAVPVVHVASGAAVDVLWRAMFDCQLDDAVPRAARPLARHVGAVGLKPNVSDFFPVLAAADLQGVRRNFARKLGGLYQLIDVQIQRRRRDRRELAAAGGARQNGDLLDAMLDMSEQDDDINNDTVKAFLTNPDTMRKLKEELRSVVSDKTHVDHSDMDHLPFLRAVVRETLRLHTLVPLVPNKAATSVQVHGYTIPEGSTVIMNLWGVHDAEVWPEPGRFIPDRFLGDKEVHFLGADLEFIPFSAGRRICLGLPLASRMLHVILATLLHRFEWALPPLAEQNGVDMSEKIGVTLSMANHLKAIPKPI* >Brasy3G044300.1.p pacid=40040949 transcript=Brasy3G044300.1 locus=Brasy3G044300 ID=Brasy3G044300.1.v1.1 annot-version=v1.1 MMMGESTAEEDQAPSGTRIEDLPESIMAEVISLTSPRDACRCAAVSPAFRDAADSDLVWDRFLSRSGTMTARAEKEEKKKEAYLCLSDGSGAVVVDDEGEGETAVWVARESGGKCVALSARRLSLPWDDGELSWKWTPHPKSRFTEVAQMVHCTGLEIYGRLPATSLTPATEYAAYLVYGVADDGHRGLSFPDQESTVALGGRAALRRHDVCLCPDGAEARKLRGGVGADGGDTRQPTRRDDEWSEIEMERVHIDETVGADAAEEVVVSFEVLGWYPKSGLIVEGIEFRPV* >Brasy3G144300.1.p pacid=40040950 transcript=Brasy3G144300.1 locus=Brasy3G144300 ID=Brasy3G144300.1.v1.1 annot-version=v1.1 MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGAPPSPAPPADLLLFGRPMLTVVGLADGGGFFDKLPKAWFIYLFIGVGAVVFIISLFGCIGAGTRNTCCLCCYSFLIILLILVEAGAAAFIFFDHSWKDVIPVDYTRNFDAMYDFLEENWEIARWVALGVVVFEVLLLLLAVVVRALNKPAEYDSDDEIIGTARSTSIRQPLIHSQNAPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPARYQQNGVPPAEERSRCTIL* >Brasy3G144300.2.p pacid=40040951 transcript=Brasy3G144300.2 locus=Brasy3G144300 ID=Brasy3G144300.2.v1.1 annot-version=v1.1 MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGAPPSPAPPADLLLFGRPMLTVVGLADGGGFFDKLPKAWFIYLFIGVGAVVFIISLFGCIGAGTRNTCCLCCYSFLIILLILVEAGAAAFIFFDHSWKDVIPVDYTRNFDAMYDFLEENWEIARWVALGVVVFEVLLLLLAVVVRALNKPAEYDSDDEIIGTARSTSIRQPLIHSQNAPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPARYQQNGVPPAEERSRCTIL* >Brasy3G244000.1.p pacid=40040952 transcript=Brasy3G244000.1 locus=Brasy3G244000 ID=Brasy3G244000.1.v1.1 annot-version=v1.1 MEAAAPSPDLSLHISPPTSGTMPASSPGLGTAAAAGGGVDPWRRLNGSTASTELSLSPPPQQGHQQADVLPWRLRQMMASSSVPVTLPTRPLDAAAAAESSARPIRGIPVYSRPGAAHHPFYNNPYYQSSAAWPPSSTAPSSSVDAAAAAAAASAAAFLSSPSAYHRMLSSTGRLHGVLADTLRGYGALGAASRFMLPKLPGSRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPASSSGPMDGIGSGSGGDDLPDSARQATSGGGMNPPQLFNEHNRSSSECTASPAAVDVAAAAAGRDVDCSSSAANPDSRARSDSRDLWPSSNGCDPAAHRLVGASRAVEGIEPACRSSSLQLQVSSHELSCPSLEFTLGRPNWHGADHD* >Brasy3G319700.1.p pacid=40040953 transcript=Brasy3G319700.1 locus=Brasy3G319700 ID=Brasy3G319700.1.v1.1 annot-version=v1.1 MARIQPRAGGKRLPARRAGTACSHGGAGQELEGLVMAQMPPWPKKRTGLELAAQRLGVPQPGEAELECRRRRRRCGHAPGAGVILQLAIFGGIWRGGNTPRPKPPDSSAPFGFAAAEAARFFPSSSDSEPSAPVTGVMGEEDKRKIMTVQGMAESLLKSQRLHMQSLVAAGPGCSATAVSQLGKTPSTAATGEAEATTNDCTNDMGPCEHFFMDSDGLVYDTKTAKKPPKCVHYLCTTTGREAAGGGEENARMMVCALCKSTFCSGVVDRKYPQGHAHWHANLYGHWVALWYDEPCTGYCFQCQRVVRLGANNWNKDEWAMVPRKRDEWGMVVEDKTKIVTVEEVANNAKDEWGMVVEDKTKIMTVEEMVNNAKDECGMVVEDKTKILTVEEVTKNPQKSQHLDLLALDGAAPRCSAETLLELSKSPSAGEEEVSSSYSPVDDGRCEHIFMTDEDLYVIANDFKTAKKHPKCQHNLCRVTWKRVSGGSEENAGMMMCTECCSYFCTGPVGDRENPQGHASWHAKQFQHWVLLWYDEPYKGYCFECRQVLRLSVDENACAMVARNGKDEWGMVAGNGNGVLGTVAGNGNSVWGTVASNGVWGMVTGNGSGVWGMLTSNRNGVWGTVASNGNGVGGMVSSDAAGSHASRIANGNGYVIKGIPNLGSTCYMNASLQCLLALGKLRTMILRPDARLGVIGLHLKQLFDAGTNDSRHMLDPRKLLDAMKSSTDMQFMGTGMQDSHDFLTYLLSALDKEVHKQNEVYSRRGFTEFPKFGDSIFKGQQCQTLSCKSCPNKSVSHGKFHDLQPAVALPSKDPPARSVTSSLRSESGRSPKPPHKKLFQQIDKGDGEKIQRIAGGGDSQNPCSELADGAMEKKPKPLQVDSTEVKDVVNGHLQTRKNDVPGEIIEVQIRALDFIPNLFDDSDSDTEELGEIKVDFHNPEDIGPPPLVNIEAKENTYSVEVTKEDTGKAQSRSDIIYDVAEHMNSLSSIEDCLALLLLERPIDWTCDNCSNVAKLPRTNISINGEQVKLDDQSAKRVDENQNEQKDGHGGATQTILLTKLPPVLTIQLKRYKDDLSKMRGHVSFKEILHVGPFMDPSCEDKGNSRYRLVGVIEHLGPNLGGGHYIAYVRGGCNQQSSGASSWVCANDHNISQVSLQEVLRCEAYVLFYERVED* >Brasy3G319700.2.p pacid=40040954 transcript=Brasy3G319700.2 locus=Brasy3G319700 ID=Brasy3G319700.2.v1.1 annot-version=v1.1 MARIQPRAGGKRLPARRAGTACSHGGAGQELEGLVMAQMPPWPKKRTGLELAAQRLGVPQPGEAELECRRRRRRCGHAPGAGVILQLAIFGGIWRGGNTPRPKPPDSSAPFGFAAAEAARFFPSSSDSEPSAPVTGVMGEEDKRKIMTVQGMAESLLKSQRLHMQSLVAAGPGCSATAVSQLGKTPSTAATGEAEATTNDCTNDMGPCEHFFMDSDGLVYDTKTAKKPPKCVHYLCTTTGREAAGGGEENARMMVCALCKSTFCSGVVDRKYPQGHAHWHANLYGHWVALWYDEPCTGYCFQCQRVVRLGANNWNKDEWAMVPRKRDEWGMVVEDKTKIVTVEEVANNAKDEWGMVVEDKTKIMTVEEMVNNAKDECGMVVEDKTKILTVEEVTKNPQKSQHLDLLALDGAAPRCSAETLLELSKSPSAGEEEVSSSYSPVDDGRCEHIFMTDEDLYVIANDFKTAKKHPKCQHNLCRVTWKRVSGGSEENAGMMMCTECCSYFCTGPVGDRENPQGHASWHAKQFQHWVLLWYDEPYKGYCFECRQVLRLSVDENACAMVARNGKDEWGMVAGNGNGVLGTVAGNGNSVWGTVASNGVWGMVTGNGSGVWGMLTSNRNGVWGTVASNGNGVGGMVSSDAAGSHASRIANGNGYVIKGIPNLGSTCYMNASLQCLLALGKLRTMILRPDARLGVIGLHLKQLFDAGTNDSRHMLDPRKLLDAMKSSTDMQFMGTGMQDSHDFLTYLLSALDKEVHKQNEVYSRRGFTEFPKFGDSIFKGQQCQTLSCKSCPNKSVSHGKFHDLQPAVALPSKDPPARSVTSSLRSESGRSPKPPHKKLFQQIDKGDGEKIQRIAGGGDSQNPCSELADGAMEKKPKPLQVGKSLCFFMLLQKTMVNRPADMWRCLGS* >Brasy3G051100.1.p pacid=40040955 transcript=Brasy3G051100.1 locus=Brasy3G051100 ID=Brasy3G051100.1.v1.1 annot-version=v1.1 MMSSPSSSMAKSMFSIGTPTMEGNNESRSPAPVPPPRRSPVDVDEEYGRAFKSKSFVDLWSHAHRKLRHTGSSLKLSSKTSSSFKDLRQLIGSDDDDDDAVTGQSSSCSYTVLDDFVLEPSPETLVARARRRQRRRRGLRCHGRHRAEALLLEFFDVTREACEACSALLAAIGIARRHHLTLRRLLLRGLEDGNNAAAAAAKDALARHVGRANPLAPGSIPAFHEAHARCAPLAKRLAAARRRLRRLARAGRVARCAVAATIVGASAAAVVAAVVLAAHAVVGVGAAAAAFGACCRPAARWSAVKKVVGSGERAGAGEAAVDTAARGAYIVGRDLDTVSRMVRRAHDELEHGRDMARIAVRGHAERPLLQEVAREEEECGEDLRGQLEELEEHACLCLTTINRSRRMVAQEMTRGSPSTETTAATTTQD* >Brasy3G263100.1.p pacid=40040956 transcript=Brasy3G263100.1 locus=Brasy3G263100 ID=Brasy3G263100.1.v1.1 annot-version=v1.1 MATTAKPSAPPPPAAEPAEVIEVESSEAGSPDAGSRSSSSGSGSGRSTSEYSGWVYHLGVNSIGHEYCHLRFLVIRGKCVAMYKRDPHDNPGLEPIRKGVVSHTLMVEELGRQKVNQGDVYVLRLYNRLDQTKKGEIACATSGEARKWIEAFEQAKQQADYDLAKGIKWNRLQNDNEFNLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLQSRERVNTNFGGDTGDALEAHEWRFVRTLNGIRIFEDIANSKGGKGILLKSVGVVGANPDTVFEMVLSLDKHKRYEWDVLIADLELVETIDGYYDVVYGTYEPKYLNWWKSKKDFVFSRQWFRAQDGAYNILQSPVSHKKKPPKHGYERTHINPTTWEIRRLDTSGSSTPKCVVTRMVEISLSFWDRWKRRSSSQFDRSIPFALLSQVAGLREYFAANPALTSDLPSTVVKPKASEPLIIQSELEDSEPDDEFYDALVRGESFEDEDSDDDDDDGVTTPTAGKVKLKNVSWAIAGFAMKRSKASLERSELVTNSIPITIDASHFHGTIRRAKSEDDPNSWSVPGGEKFMIRGKTYLTDNTKIAGGDPLLKLIAVDWFKVNDRFDSVALHLKSLVQSEAAKKIPFILVMNLQVPAKPNYNLVMYYAAEKPVNKESLLGRFIDGTDGFRDARFKLIPSIVEGYWMVKRAVGTRACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKDLPEYILGSVRLNRVNPESAVAI* >Brasy3G073000.1.p pacid=40040957 transcript=Brasy3G073000.1 locus=Brasy3G073000 ID=Brasy3G073000.1.v1.1 annot-version=v1.1 MGVVIEREEWVLHPVAYPLLAAASLAALALLPYFSRPHAAPVVSPSPFDAGTAPFLRFRRAFLLLFSVASVVEGIQSVFGEDEFARCGFGREQMAASLAAATAAVLFLGGASGVVSDNLGPQRACIFYWMLQFGVGALKSFRGLRCAWISNFILALASSMFSFCFETWIVVEHEKQGQKQDSLFDTFWLMTFFESVSLVGSQGITNLLLDNDDKGILLPYIFAALVSIIGILYIRKAPSSSTTQHASVIGSYQKSFFAHVLRDKRVLILVFAQASVQFSVSAFWFLWAPTIVADGRDAQLSLIYPCFLVSRMLGSTGFPWFYGATAPFQNDDSLTTAYVAAGLALSIVAYDYQEIRTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLALANAPIFIFLLQGTYRQNFANSTILGLAACGLLAAGGCIHVLLRRWRKHGRHNARSL* >Brasy3G058000.1.p pacid=40040958 transcript=Brasy3G058000.1 locus=Brasy3G058000 ID=Brasy3G058000.1.v1.1 annot-version=v1.1 MAAAVARSGLRRMFAISDVAAPNARLFSISAFTPPSPPTPPPKADPSPNLFVSGLSKRTTTDGLREAFAKFGEVMHARVVTDRVTGFSKGFGFVRYATVEDASKGIEGMDGKFLDGWVIFAEYARPRPPPQMGEGTSQPQQSWGPPSGSWGAQ* >Brasy3G064200.1.p pacid=40040959 transcript=Brasy3G064200.1 locus=Brasy3G064200 ID=Brasy3G064200.1.v1.1 annot-version=v1.1 MAVAAFLRSFLLAMAQQHYDGDGGGQLVGLDRRLRFCAVAYMLLVASFFLRAGTGAATGSLLWVAAVALLLLSFLHRRFPAAAIAAARVAQAALQPLFELLN* >Brasy3G213300.1.p pacid=40040960 transcript=Brasy3G213300.1 locus=Brasy3G213300 ID=Brasy3G213300.1.v1.1 annot-version=v1.1 MAIKKRQAKKPSPASGISSGSSSAVPAMADMPPPAAANHFQPVQLPPGVYFSPTRNESVGILNRWIAGEPLHDTHGFVFHDNVYGASPDELRSWYPPSSMRGRVRSWWFLSETKFQSRKNPGAGARRADRRVASGGYWRMDQSRMELAAVDGVKKTLKFYVGPGKKASKTPWLMEEFTSALDDGTGKSGAPALYRLYLTPNATNDELREIFGEDGVRFGPDGQKKPARALVPPDWFDAIAALLPPGRVRRPLDHQPQVQGAPPTGLMNYHGLHLEAARPANVVGQYYQVWEAPPPPPPAYSPGLLDETTPVNGLGQYDEQQGQFFLQTAPPPPSPPPASPGLSAEMPVDDLSMPFDEFLQWINENPA* >Brasy3G190700.1.p pacid=40040961 transcript=Brasy3G190700.1 locus=Brasy3G190700 ID=Brasy3G190700.1.v1.1 annot-version=v1.1 MTGVAVSLLRLPLARLSSHLRTLPSPPVPPPRLRLSVSHRFISSLLGPGRGLAVAVVSEAVAEADEEEFEPTEEPQLEAAPPSFVLPRLPRPKLDVKERKELASYAHGLGKKLKSQQVGKGGVTPSLVSAFTDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSSSKMKKREEVARKREEFARNSTRFLKSEGGFEERPRNSTSRRFVKSGSTFRTQQKRRSIASKGSSYSRGN* >Brasy3G160200.1.p pacid=40040962 transcript=Brasy3G160200.1 locus=Brasy3G160200 ID=Brasy3G160200.1.v1.1 annot-version=v1.1 MDAPNATDRYEDFKPKHTFVPGEPATHILSVDLTKEGGFKKEHIRVQLVRSKRLVIVSGERPVDGDDGKVWKRFKLEFQVPDSCDVNGIHARLDGGFVRVTMPDVKAAASAIVVRGSAGDAASAGKQQEPAAPAAAKQTEAAGAGGGKKEEEGVPKQKDGAGAAVDGPTGRGYGHLSLQEQRKLATGVVGTVLVLFCLGVYVRYSFGP* >Brasy3G050500.1.p pacid=40040963 transcript=Brasy3G050500.1 locus=Brasy3G050500 ID=Brasy3G050500.1.v1.1 annot-version=v1.1 MASTTSDSLPSSPSLPGADQESFSSVFFPSASSSSPAAGLYLDHDSSFHGGFMSPPPAPAPPAPPSHAPAPPSSKPPKKRPRASRRPPTTVLTTDTSNFRAMEFTGFPAPPFAAGPPPSVRPRLLGGGGGPPFLLRPSPLKYPAQQHNNYPGPLLAPAQATTATGAGSTSNSLVDALALFARSNSQMPIAAAATAGGSGAVDQYGGGGMLGGFNPFDDFEAASATEGDEKAPNNGGHGHGFFSSLGLGGAGDKYDRH* >Brasy3G069100.1.p pacid=40040964 transcript=Brasy3G069100.1 locus=Brasy3G069100 ID=Brasy3G069100.1.v1.1 annot-version=v1.1 MTHARLPFPVFSLNLFSTEICSQSIYFISPEIWIEPRRRPHPLLHRRPRQIHLLVLPSHPSARGFPLLSSLWIAHPPPALAKDGDRETALELQHARSTLQTYPHSTPSRSNRSSRVGSRSGPRPRSGALRRPGPTSAASSR* >Brasy3G344000.1.p pacid=40040965 transcript=Brasy3G344000.1 locus=Brasy3G344000 ID=Brasy3G344000.1.v1.1 annot-version=v1.1 MAMRCALISLPARLRSPAAPAAVRAFSDGKGRVLSEEERAKESVYIQKMERERLEKLKKKQEQEKADAEKAGKIWCHCMMLESFGDTATVRQQLPSAKLLFVSGRCRVDAAPRGGGSFIIENSV* >Brasy3G344500.1.p pacid=40040966 transcript=Brasy3G344500.1 locus=Brasy3G344500 ID=Brasy3G344500.1.v1.1 annot-version=v1.1 MSLQVASVSFWNSNSLNGSPLRLAFPLLYGHARRKCRSVAQALDQHRWTLDLHHNLTTPLLIDFLALWGLIQRLAPTPRPDARDSISWILTADGAYSARSAYGIQFEGRLETSTHLFVVCPAARAIWHDVAQRPNCSGAATASFEEPSSIAEFRDQMILASPSTHRKGMCSLFILVCWAIWRERNARIFRDKETSLRQLVYSIKDEAREWTFAGAKALRKLLWEPP* >Brasy3G020900.1.p pacid=40040967 transcript=Brasy3G020900.1 locus=Brasy3G020900 ID=Brasy3G020900.1.v1.1 annot-version=v1.1 MIRRLSRRLHSAFFNHTPPRRRDLVANATLQWLEDELTSLAFPCPGVDSYAYARLLQGCIARGDARGGRAVHGHVVRSGGLARLDLFCANVLLNMYTKVGPFASAHRVFAGLPERNMVSFVTLVQGHALRGEFEEASALFQRLRWEGHEVNQFVLTTVLKLVVAMDALGLAWGVHACACKLGHDRNAFVGSALIDAYSMCGVVSDARRVFDGIVGKDAVAWTAMVSCYSENDCPENTLQIFSKMRVAVSKLNPFALTSVLRAAVCLSSVVLGKGIHACSVKTLYDTEPHVYGALLDMYAKCGNIEDARLAFEMVTNDDVILWSFMISRYAQCNQNEQAFELFIRMMRSSVSPNEFSLSSVLQACANMPLLDLGKQIHNHAIKMGHESELFVGNALIDLYAKCSDMESSLEIFSSLRDVNEVSWNTIIVGYSKSGFGEAALSVFREMCAASVPSTQVTYSSVLRACASTASSNHVGQVHCLIEKSTFNSDTIVSNSLIDSYAKCGCIKDARKIFETLKECDLVSWNAIISGYAVHGHAAMALELFDMMSKNSIEANEITFVALLSVCGSTGLVSQGLSLFYSMRLDHGIEPSMEHYTCIVRLLGRAGRLHDALNFIGDIPSAPSAMVWRALLSSCIVHKNVELGRFSAEKVLEIEPQDETTYVLLSNMYSAAGSLDQVAFFRKSMRNIGVRKEPGLSWVEIKGEVHAFSVGSEDHPCMRVINAMLEWLNLKAMREGYVPDTDEVLHDVEEEQKVRMLWVHSERLALAYGLVMTPPGHPIRIMKSLRSCLDCHAIFKVISKIVKQEIIVRDINRFHHFEDGTCSCGDYW* >Brasy3G349100.1.p pacid=40040968 transcript=Brasy3G349100.1 locus=Brasy3G349100 ID=Brasy3G349100.1.v1.1 annot-version=v1.1 MEYSSSSYVYGDDQLQQVAYTDQILQYGLLQPITPPPPNNSYHGQQHLHYSSSMAASFHFQQQLHFGDISALMEATSISSTSSAPHPVPDAAGCYYSWDGAMISTQYPDHHNATTAPPPAAAPERSSSSTTNTGPDLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFDTPHAAALAYDQAALALRGPAAVLNFPVSRVQDSLDGLGIGEAQDSPALALKRRHCIRKRNPCTNKTPAAARKLNRQRLQECAADASSSSSCVLELEDLGEDYLQELLAFCDDP* >Brasy3G254900.1.p pacid=40040969 transcript=Brasy3G254900.1 locus=Brasy3G254900 ID=Brasy3G254900.1.v1.1 annot-version=v1.1 MASDDECYYYEEEQDDEGLDADEDYVGLHEEAAPSPQHRADHWAIPRKSLSAAQEQDLSMASNLVNIERHNARALLIHHRWKMDRIHDFLERKGRDRMLKEAGIVVPREAGSASCSGTTITDQRMATKRPRAITCDVCFEDIHSCGVSNMGCGHLFCDDCWAGHLLASLDMGKKQIRCMALKCPAICGDGMVRRLLGQKYPDAVLRFERFLVESYLENNETVKWCPSAPHCGRAIRVDASERYCEVECPCGVGFCFNCAGPAHSPCPCPMWDKWDAKFRGDSENLKWIAVHTKSCPGCHKPIEKNGGCNHVRCRCGQHLCYACGGVLDSTHNCNRYKEVNTSVNSIRREMLRYTHYCDRYNVHLSSYKMEQEKLWPAVDNRIRQLESACVIRPIIREASWLTRAHRSLLGSRKVLARSYAFAYYMFGGGEVRTYPSEKANLAMAQVLFENQQEQLERNVERLSKVLAAEMPVVAEEELLRTMQETANLAKIVETHCGEIYKCIQDELLPLLVDPMSIATYRPEGPEKAKELPA* >Brasy3G142400.1.p pacid=40040970 transcript=Brasy3G142400.1 locus=Brasy3G142400 ID=Brasy3G142400.1.v1.1 annot-version=v1.1 MAAILARAANGGLRGRQETRRGGLRRRRDYAVVVAAVTGAGPPPQEGALERPAWSGETPVSRLVAALIAFKPLYALMKLASREVIIRTAEKANIPWREMTKKVLESDVYEVFERIRDPNLVYPDYYLSPFHAYDEGNLSWLAAAEAEAATLSIAKRAIPEATSIEEANRIVRGNWMNAIKEHHLKYSGHSQINDILDIGCSVGVSTRYLADRFPSAKAVGLDLSPYFLAVAAQKEEQMSRQNPIRWVHANGEETGLPPDSFDVLSLAYVCHECPARAIHGLVKEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEEALSQAGFVKVHSIFTDPRHRTVTATVPSAKENFVSSLFV* >Brasy3G171300.1.p pacid=40040971 transcript=Brasy3G171300.1 locus=Brasy3G171300 ID=Brasy3G171300.1.v1.1 annot-version=v1.1 MGNTGPPRTRTTPWCSHCGAGLVVPPGSSSVRCALCHRVTRIERQYSSVGGNSMLTAPSVDRRELPAAGAGAGYPVARGNKRAVLVGVSYTGTDYELKGTVNDVSSMRALLCDKFGFPSDCILILTEKSDDPRRVPTRENLLAAMRWLVAGCEAGHSLVFHFSGHGVQKLDTDGDEVDGYNEALCPLDFEDKGKILDDEINETIVRPLVQGVKLHAIIDTCHSGTILDLPYLCRMSRTGYWQWENHTRQRGKDKGTKGGLAISISGCSDDQKSADSSGFSDQSASIGAMTDSFIKAVASEPGTTYGRLLGAMRSTIRDGQGSGRRLLTGRFGSFVRNMIASSSVQEPQLCSSEAFDIYRKPFLL* >Brasy3G053700.1.p pacid=40040972 transcript=Brasy3G053700.1 locus=Brasy3G053700 ID=Brasy3G053700.1.v1.1 annot-version=v1.1 MARHTSSRSSTRLLAVSCLLCLMLVRPAPVLGVRRTELLMAPGPAPAPAAAGHSAGIDTTTKRFAAATLDAAAAQMSKWRVRRGSDPIHNRS* >Brasy3G163800.1.p pacid=40040973 transcript=Brasy3G163800.1 locus=Brasy3G163800 ID=Brasy3G163800.1.v1.1 annot-version=v1.1 MAELHPSTPEAAVPEVDQNKERQQKGVPALMLFDTRTKKTEVFRPVVEGKVSMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKYLGYKVQYVRNFTDIDDKIIRRANEAGETATSLSSRFIDEFLHDMVQLQCLPPTHEPRVTDHIKQIVDLITKIKEKDKAYVIEGEGVYFSVDNFPEYLSLSGRKLEHNLPGSRVAIDTRKRNPADFALWKSAKDGEPFWESPWGRGRPGWHIECSAMSEHYLGQVFDIHGGGKDLIFPHHENELAQSRAANPKSEVKCWMHNGFVNNNGQKMAKADKNFFTIRDIIALYHPMALRLFLMRAHYRSDVNHSDTALEFASGRVYYIYQTLQDSQEVISLYCDDKLDFPVPTGDQAVVDKNHEEFLKHMANDLHTKGALDELMKPIRAINNNLSDLKKSQQKLEQEKKKESVKKQQQQQQKQTEVKQQAQKQTGHYVQALVALHGEVTSKLSILGLMPSSSLAEAVKELKDKALKRAGMSEEELHQVIEQRRVARKNKDFAESDRIRTVLSARGIALMDEPTGTVWKPAEPELVEES* >Brasy3G163800.2.p pacid=40040974 transcript=Brasy3G163800.2 locus=Brasy3G163800 ID=Brasy3G163800.2.v1.1 annot-version=v1.1 MAELHPSTPEAAVPEVDQNKERQQKGVPALMLFDTRTKKTEVFRPVVEGKVSMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKYLGYKVQYVRNFTDIDDKIIRRANEAGETATSLSSRFIDEFLHDMVQLQCLPPTHEPRVTDHIKQIVDLITKIKEKDKAYVIEGEGVYFSVDNFPEYLSLSGRKLEHNLPGSRVAIDTRKRNPADFALWKSAKDGEPFWESPWGRGRPGWHIECSAMSEHYLGQVFDIHGGGKDLIFPHHENELAQSRAANPKSEVKCWMHNGFVNNNGQKMAKADKNFFTIRDIIALYHPMALRLFLMRAHYRSDVNHSDTALEFASGRVYYIYQTLQDSQEVISLYCDDKLDFPVPTGDQAVVDKNHEEFLKHMANDLHTKGALDELMKPIRAINNNLSDLKSQQKLEQEKKKESVKKQQQQQQKQTEVKQQAQKQTGHYVQALVALHGEVTSKLSILGLMPSSSLAEAVKELKDKALKRAGMSEEELHQVIEQRRVARKNKDFAESDRIRTVLSARGIALMDEPTGTVWKPAEPELVEES* >Brasy3G206600.1.p pacid=40040975 transcript=Brasy3G206600.1 locus=Brasy3G206600 ID=Brasy3G206600.1.v1.1 annot-version=v1.1 MPAAALTFASTFAPPQPWAPRRPHPRHVRLRLPPPRSSGGGDNNKPTTSWVSPDWLTSLSRSLVGRGGGGGDSSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGTRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRAVVPSLHKRFISVMVEKVFCKCAERLVEKLETYALSGEPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDLLPYWQIDLLRKIVPRQIKAEKAVNIIRNTVEELIMKCKAIVDAENEQIEGDEYVNEADPSILRFLLASREEVNSSQLRDDLLSMLVAGHETTGSVLTWTVYLLSKDPAALRRAQDEVDRVLPGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKVKAGQDIMISVYNIHRSPEVWDRADEFVPERFDLEGPVPNESNTDFRFVPFSGGPRKCVGDQFALLEAIVALAVVLQKIDIQLVADQVINMTTGATIHTTNGLYMNVSLRTVEHEPELALSESR* >Brasy3G346800.1.p pacid=40040976 transcript=Brasy3G346800.1 locus=Brasy3G346800 ID=Brasy3G346800.1.v1.1 annot-version=v1.1 MARPEEKLRCTKEPFVEDVGTRRIKSMRFSMFSGKEIRQSAETQVWNNRIYGNDMKPVPNGLLDPRMGAANKFGECTTCHGSYHECPGHFGYLKLVLPVFNVGFFNNILDVLKSICKGCSRILLHEKDQREFLKKMRNPRLEPLHKAALMKKVRDKCKLSRCPLCGYINGVAKKGRPGLLIVHDCSKTLDGSTEELRSALSHKKEKLSISSVHTLDPATVLSLFKRMTDEDCELLNLGDRPEKLIITEIAVPPVPIRPSVFVGGGRMSNEDGITCILRNIVNTNSILKGILQNGDPLMKCFDCWQLLQLQVVEYINSDAPSLTDPQNRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFVTQPDGTKLHLKYCDRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDNFYDRSYFTLLCSYLGDAMENIDLPTPAIIKPLELWTGKQLFSVLVRPNACTKVYLNLTVEEKIYMKRRERDKNAVSVLEETMCPNDGYVYFRNSELLSGQVGKKTLGNGNKDGMFSVLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGESLNQKKKKTIDQGYTECHDLIAVYSKGAPGAELHPGCNRAQTLEVRVSAILNQLREKAGEHCMNTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASSGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDMLSPEAIMQMLNDKLSEQDMSSGGCSDKFKELLTKFFEDRIKMLRSTRRTLHLDEDHVGMKDSSIEERIAANISGISAKQLQVFLDTCLSRYHSKIIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKNISTPIITAELLSGQDMSFAVKVKRYVEKVVLGEVAAAVKIVLKSSQPNLVVKLDMQRIEAQGYTGISSDSVQQSIIRHPKIKLKSEHVRVIDGAKLRIYPTGTDKSKLQLELHNLKSMLPKVIVKGIPTVERAVVNPVKRPDKTLDRYNLLVEGTNLLKVLGTPGVDAKKTKSNHIMEVNQTLGIEAARRSIIDEIRYTFESNNMIIDQRHMMLLADLMTYKGEVLGITRFGIVKMKSSVLMLASFEKTLEHLFNASYSGREDEIEGVSECIIMGIPMTLGSGILKVRQRLDHLPEFKYQPDAIIS* >Brasy3G211100.1.p pacid=40040977 transcript=Brasy3G211100.1 locus=Brasy3G211100 ID=Brasy3G211100.1.v1.1 annot-version=v1.1 MATAKPTAPVPLAWAAAFTQILPPRPPRRRRAGAVRASPPSTACSGDAGELLPARLQPNARQPLWHGGGFSLGVDLGDVRTGLAVGRGITQPRPLTVLKLRGQKLELVLLDVAQEQEADELIVGLPVSADGRETPQSNKVRSVVGRLAIQAADRGLRVYLQDEHGTSMDALNYMISRGVKRTGRDVKSDAYAAVMILERYFSSSGQETKIVLPKQQELQDKLMAQSRQYDHIY* >Brasy3G106400.1.p pacid=40040978 transcript=Brasy3G106400.1 locus=Brasy3G106400 ID=Brasy3G106400.1.v1.1 annot-version=v1.1 MADGEPLAPAEDKRPVVEVAPAEAEKHPPPEPPESTPAETKPEKEVEMETEAEEVGKAEPKEMMETDANELGKAEPKEMMETEASEVGKAEPKEMMEKEEAAKPKEAEPREVKEVAEPKEKEVAEPKEKEAEEVAEPKEKEAVKLKVEKKEKEKAEIGATRRAPGSSPDAPILAVPMVAVPCFIAPPGFAGQFAMSHQAALASVTAQAHMQLQSPTSSAYSEGLPSPFPHPITPIAIRPLQQAPSVTQENVCRPIAERPSSSELKVHHQVAVNMVGDGFNWRKYGQKQVKSSDNSRSYYRCTNSSCLAKKKVEHYPDGRVIEIIYRGTHSHEPPQKTRFVKERLPHINVSPRGEETLRLVSTEIMESSLTPTPTSNKLKKSAVENSEQQLFCSSDCEGDAGIKSEDEHPSAEPQPKRRIVEATTPNSSPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAADDINNMVVTYEGKHNHDQPFKSSNESRDGSISLITPAVTTTDQTVTVASISDQKPSTSSSTQKTADTESIKDTTLEHGGEKGVESAQTLLSVTNPDDMKNSLLKDTSAVVPVQNN* >Brasy3G110000.1.p pacid=40040979 transcript=Brasy3G110000.1 locus=Brasy3G110000 ID=Brasy3G110000.1.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYIIIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGLCHLNFTSGPAKGKFCWERQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYGKDSVGDQIYIVRQPDKCLLQPTSVISRNCPSPGCPSSLSSSSCAQQAVQNGQLLWGTCIIFIFISTVLKEHLYFY* >Brasy3G110000.2.p pacid=40040980 transcript=Brasy3G110000.2 locus=Brasy3G110000 ID=Brasy3G110000.2.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYIIIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGLCHLNFTSGPAKGKFCWERQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYGKDSVGDQIYIVRQPDKCLLQPTSVISRNCPSPGCPSSLSSSSCAQQAVQNALSAQRPGKQLAVCG* >Brasy3G110000.3.p pacid=40040981 transcript=Brasy3G110000.3 locus=Brasy3G110000 ID=Brasy3G110000.3.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYIIIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGLCHLNFTSGPAKGKFCWERQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYGKDSVGDQIYIVRQPDKCLLQPTSVISRNWTVLRPDALLH* >Brasy3G110000.4.p pacid=40040982 transcript=Brasy3G110000.4 locus=Brasy3G110000 ID=Brasy3G110000.4.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYIIIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGLCHLNFTSGPAKGKFCWERQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYGKDSVGDQIYIVRQPDKCLLQPTSVISRNWTVLRPDALLH* >Brasy3G110000.5.p pacid=40040983 transcript=Brasy3G110000.5 locus=Brasy3G110000 ID=Brasy3G110000.5.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHMTLESVPRQVTTILNLVGCVI* >Brasy3G110000.6.p pacid=40040984 transcript=Brasy3G110000.6 locus=Brasy3G110000 ID=Brasy3G110000.6.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHMTLESVPRQVTTILNLVGCVI* >Brasy3G110000.7.p pacid=40040985 transcript=Brasy3G110000.7 locus=Brasy3G110000 ID=Brasy3G110000.7.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVTTILNLVGCVI* >Brasy3G110000.8.p pacid=40040986 transcript=Brasy3G110000.8 locus=Brasy3G110000 ID=Brasy3G110000.8.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHVTTILNLVGCVI* >Brasy3G110000.9.p pacid=40040987 transcript=Brasy3G110000.9 locus=Brasy3G110000 ID=Brasy3G110000.9.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHERTVRR* >Brasy3G110000.10.p pacid=40040988 transcript=Brasy3G110000.10 locus=Brasy3G110000 ID=Brasy3G110000.10.v1.1 annot-version=v1.1 MAAANLHHHRHPHCLLLLLLLPLSSCLLFQLVGGIPTTLDGPFTPATRAFDRSLRRGSEDVPLSDPRLAPRARPPSPEQISLAASADPTSLWVSWVTGRAQVGSHLTPLDPTSIRSEVLYGARHSASAAAGEEDGYPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLAGLAPSTRYYYRCGDSSLKSGLSEERSFTTLPAPAPGAYPRRVAVVGDLGLTGNSTSTVDHLARNDPSLVLMVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWARFMEPLASRIPLMVVEGNHEIEPQGHGGAVTFASYLARFAVPAEESGSNSKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLKKDLQRVDRGVTPWVVASWHSPWYNSYSSHYQEFECMRQEMEGLLYEHRVDIVFSGHERTVRR* >Brasy3G183200.1.p pacid=40040989 transcript=Brasy3G183200.1 locus=Brasy3G183200 ID=Brasy3G183200.1.v1.1 annot-version=v1.1 MKPGQHPPFPPSRITAAAAAAATTILELDPSHERAGRVIDDIVRLEKRIFPKHESLARSFREELKRRNSGLLYSTSGDEEDEVAGYAMYTCATSLCASITKLAVKEGCRRRGIGELLLEAAVERCRRRRIQRVSLHVDPARTAAVALYRKAGFQVDATVAGYYSPERDAYRMYMDLL* >Brasy3G095100.1.p pacid=40040990 transcript=Brasy3G095100.1 locus=Brasy3G095100 ID=Brasy3G095100.1.v1.1 annot-version=v1.1 MDHHSQAHPTPTQRAGCGEGAEPAARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQHQAQAQAQLPSAPHGVMHYGQLCGGVSSAALPVAVASAPAAATAGSQLLADVVGAGDDDLFAIPRQMGLMDHRYTDTSQQLTYQQPGTIQVFINGAAYEVPSAGALDMAGTFGHDVMLVHSSGEILPVNEHGVLMKNLHMGECYYMVSRSI* >Brasy3G261500.1.p pacid=40040991 transcript=Brasy3G261500.1 locus=Brasy3G261500 ID=Brasy3G261500.1.v1.1 annot-version=v1.1 MGSTSSMLTQYDIEEVQDHCSHAFSQQEIVSLYHRFCQLDRNGGGFVSADEFMTVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSGFSPHTSLQNKIEFIFRVYDTDCNGKVAFDDILNILRDLTGSFMTEQQRQKVLTHVLEEAGYTKDSHFTLTDFMKILGNSDLKMEVEVPID* >Brasy3G112400.1.p pacid=40040992 transcript=Brasy3G112400.1 locus=Brasy3G112400 ID=Brasy3G112400.1.v1.1 annot-version=v1.1 MSGFWLDKGIQDQLKLWLALGLVLLSFVAHLILALFAGIRRREYSGRWRMRNLVWFAYQVTDKVPKAALGKLFLDSPSSDQQLFAFWVPFLLLHLGLPDTITAYSLEDNVLSARQVLEISLDLVGAFYGAYKQKFMGGDWVLGSAFCIMFFLGFYKYVERAVALRQGGFARIQKSNKEKLPRRFSPYESEKLDNDGALIDAHGLLGITMAAFADYSVKLKDDRKGEKKKEHDDPHDEHPSFSTSYSYWYDVVKVVEMELSLMYDIMYTKAAVIHTWPGYLIRVASAPLTFTALMLFHFHRKEDHREVDIRITYLLLIGTLLLDVRWLLRALGSTWTYAFLQDTKCNLLKQTLCCRCGKWYISAPSLPHFSGSSPALS* >Brasy3G219000.1.p pacid=40040993 transcript=Brasy3G219000.1 locus=Brasy3G219000 ID=Brasy3G219000.1.v1.1 annot-version=v1.1 MLILLRLSEKEITISKVHRLQNRVSHDLAAYARASQRVACQLRYNPLTGSAREVIDVTPPWCSETSFSSQISTLVTFS* >Brasy3G013300.1.p pacid=40040994 transcript=Brasy3G013300.1 locus=Brasy3G013300 ID=Brasy3G013300.1.v1.1 annot-version=v1.1 MKRKTTTVPGHVLLQYCSRNKAVPPCSCPAPTVTATPDPDGRSTPFGRSTTKRAPARCRLLPQCSRGGGLDSRRRTVRGMAEGAEHRCFVGGLAWAIDERNLEVAFRPFGEILDAKIINDRETGRSRGFGFVTFSSEQSMRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDRRGPRPMTNPGNADDIFAQFFGAERPDQHRNEGGSGGNSDSRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G013300.2.p pacid=40040995 transcript=Brasy3G013300.2 locus=Brasy3G013300 ID=Brasy3G013300.2.v1.1 annot-version=v1.1 MKRKTTTVPGHVLLQYCSRNKAVPPCSCPAPTVTATPDPDGRSTPFGRSTTKRAPARCRLLPQCSRGGGLDSRRRTVRGMAEGAEHRCFVGGLAWAIDERNLEVAFRPFGEILDAKIINDRETGRSRGFGFVTFSSEQSMRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDSRRGPRPMTNPGNADDIFAQFFGAERPDQHRNEGGSGGNSDRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G013300.3.p pacid=40040996 transcript=Brasy3G013300.3 locus=Brasy3G013300 ID=Brasy3G013300.3.v1.1 annot-version=v1.1 MKRKTTTVPGHVLLQYCSRNKAVPPCSCPAPTVTATPDPDGRSTPFGRSTTKRAPARCRLLPQCSRGGGLDSRRRTVRGMAEGAEHRCFVGGLAWAIDERNLEVAFRPFGEILDAKIINDRETGRSRGFGFVTFSSEQSMRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDRRGPRPMTNPGNADDIFAQFFGAERPDQHRNEGGSGGNSDRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G013300.4.p pacid=40040997 transcript=Brasy3G013300.4 locus=Brasy3G013300 ID=Brasy3G013300.4.v1.1 annot-version=v1.1 MKRKTTTVPGHVLLQYCSRNKAVPPCSCPAPTVTATPDPDGRSTPFGRSTTKRAPARCRLLPQCSRGGGLDSRRRTVRGMAEGAEHRCFVGGLAWAIDERNLEVAFRPFGEILDAKIINDRETGRSRGFGFVTFSSEQSMRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G013300.5.p pacid=40040998 transcript=Brasy3G013300.5 locus=Brasy3G013300 ID=Brasy3G013300.5.v1.1 annot-version=v1.1 MRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDRRGPRPMTNPGNADDIFAQFFGAERPDQHRNEGGSGGNSDSRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G013300.6.p pacid=40040999 transcript=Brasy3G013300.6 locus=Brasy3G013300 ID=Brasy3G013300.6.v1.1 annot-version=v1.1 MRDAIDGMNGRELGGRCITVNEARPRYSGGRGGYGQPHEGDGGSGGYGQHRNRGNSDRRGPRPVTNPGNADDIFAQFFGAERPDQHRNKGGSGGNSDRRGPRPMTNPGNADDIFAQFFGAERPDQHRNEGGSGGNSDRRGRTGMLDDIFGHFPGWEGPRADPRQKKTYPGEASMHASQRLTKAAPIEHQLACNLADLYKGTTKKMKISRELCDSSGRRSVLEEILTIEIKPGWKKGTKITFSEKGNEAPHVIPADIVFIIDEKPHDQFTREGNDLVMTRKISLAEDWTRFTVHVTTLDGRNLAIPIKSFKPGYEEVVPGEGMPSPKDPSKKGNLRIKFNIKFPSGEESGN* >Brasy3G033700.1.p pacid=40041000 transcript=Brasy3G033700.1 locus=Brasy3G033700 ID=Brasy3G033700.1.v1.1 annot-version=v1.1 MEFSPGGPSRPSTKELRWRESPAPSSGGEESPRSYRDVLRCGTPSEDSMEVDPAASPRPQGVRRLASVVVQAAAAEGGQADHDGGPWLSQRKPRKRRRNLAPLPATVVREELADLAGRRFNCLREDHVAALCPNPTKCLRCGGEGHVARLCRSRRSPSGGPAPAAGRRAGPVAARPGPALLARLGPRVEETLEPAAPAPTPGLAPVLAAAPPPGLSTVAPPLSSPPPTVDPLPLGAASLRPRMELCIIPRSPELEAEERALQWSAVVSVTGVRSRISLPAVGKVLSARFPELDGCYTTHRFWPDDFLVIFDSRGARDAVLTTGSIDGRGFSLRFSAWNRFRQAVGRTASYRVHLEIEGVPPHAWSSSTAAALLGPACAVERLGTSTVNREDMGRLSVYAWTSDPCLIPRGKRLQIPEAPVVEDAEEDDLLVPPEMLIPSEVNLLEYDVLIHLLRVEATTASTDWPSSDEWPSDDGGSGHNGDPDRGYGDRRPAGGTRQNFFRCSRGRVDEDDFGDHPGGFRRHFAGRGVRAVRPSAPLSAEAPEFRPARRGFSLAPDPLLEWMTPLPWAADEWDPMRLEADSPPPSPSMGASLDLPPINYDEVSFPEVVSSPVSSPVAAEGSVMSTFMVSLSDVAMAARSPAAGPSAESARQVEAFRSMVRRRSSPVLARPVSRRPRKKVVQPCSPRRSKRLAGQGASVGAKRQQRVLMHRLGVVREGDRIGDEALQAYIRLFDRPLSQEHITAILSLFGWESMALPLEEGNGVEYSCVECAWLEF* >Brasy3G151800.1.p pacid=40041001 transcript=Brasy3G151800.1 locus=Brasy3G151800 ID=Brasy3G151800.1.v1.1 annot-version=v1.1 MAGLQRSSLTFRRSGSSGLVWDHESLMAEDHHGQMDQGEPEFKELRHSRSVGSLGLQRRRRDGDGGGGSQAYRTRRVTPALDPPSPKVPGLCIFCGIFRKAASSEPSKPRRY* >Brasy3G133600.1.p pacid=40041002 transcript=Brasy3G133600.1 locus=Brasy3G133600 ID=Brasy3G133600.1.v1.1 annot-version=v1.1 MAFLFFLPLPPRASVLFLVLALAARHGDAQAQPEPMTSFSANDTLPWVATQSSRVLVSPNRTMAAGFVPSEGPSTGKFRFAVWVVANDTGGKTIIWHAHNGSKVALEADGNSTLVVNAAGALTWAANDSTTVWSPRTKATAPRLTLNETGSLRFGGWASFAEPTDTLMPGQAIPKGQNNSGNITLQSANGSYRFVDSMALRYSGSTYANMTGPSTLLSLDTDGTMQLSGGSPPSLIASDKGARNRLRRLRLDDDGNLRLYSLLPKTRQWRVVWELVQELCKIQGTCGGNNRICVPAGANGFSCVCPPGFRPAPVGCEHKRRYSGRGDDDKFVRLDFVSFSGGAPTSASDPGRFMNNSKSPSNLADCERFCREDSNCPAYGYKFGGDRTCLLYRTQLVDGYWSPATEMSTFVRVVRTDTDKNNFTGMVTKIETVCPVQLALPVPPKQKRTTIRNIAIITTLFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKDFTDVVGRGAYGTVFRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKDQRMLVYEYVPNGSLDKYLFSSSSSAPATGDESEQQPQSGQQAALDLHTRYRIALGVARAVAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQESVGSEDWYFPKWAYEKVYVERRIEDIMDPRILLHVDDDAESVATVERMVKTAMWCLQDRAEMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD* >Brasy3G160100.1.p pacid=40041003 transcript=Brasy3G160100.1 locus=Brasy3G160100 ID=Brasy3G160100.1.v1.1 annot-version=v1.1 MAAPSSSLLHPSPAALLVHGASPRPPAGGAPAAASSSSSSCRCYGRPPSPRAGLLLRPPARGPRRRPAAARPVRFCGNGVYEGPAVLRVRDSTKWGPRKVLCASGRDDDAGYQAGEDGNHDGDGVRVSNEALRASIRKSREVLAMHRDLLEQISEKKKLISIIEASSMHNEQEPLSGDSISRLDAVSEGNEIGYDLQMYLDRRSHKSEAGTIHGESINDQNEYYGSLEGKLFNTDVNGSSNKDFVAQLSQISEKEQSVTEGINDNSSSSAGADVMNVILVAAECAPWSKTGGLGDVAGALPKALSKRGHRVMVLVPMYQNYEEPQQIGESKRYQVAGQDMEVKYCHTYIDGVDFVFIDNPIFHNAASEIYGGDRTDILKRMVLLCKAAVEAPWCVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPSNYMDLFKHHDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPEGGWGLHGIINESDWKFQGIVNGIDTTEWNPRSDVHLKSDGYINYSLETVQTGKAQCKAALQKELGLPVRSDVPVIAFIGRLDSQKGVDLIAEAMPWIAGQGVQVILLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQHYNPYEEAGLGWTFEMAEANRMIDALGHCLNTYRNYRTSWEGLQRRGMMQDLSWDNAAKSYEEVLVTAKYQW* >Brasy3G234300.1.p pacid=40041004 transcript=Brasy3G234300.1 locus=Brasy3G234300 ID=Brasy3G234300.1.v1.1 annot-version=v1.1 MGNSCLLASSPLYPATVSSPQIAVNSEYTEKAAHSRKYRVLLLREIKVDMMMGTKSAGPMRRTTSMTEFAPPDVLAGVAEEEAMAVAGEETDRLQVHEEEEEEIAYGRIGSGVAAYRARPAAAHRRNSADFSAAAVETAAFLRACGLCNRRLGPGRDTFMYRGDSAFCSLECRQQHIAHEEWKGKCALAAPVVATVEPATASSVDQPTAGGTLAAA* >Brasy3G269500.1.p pacid=40041005 transcript=Brasy3G269500.1 locus=Brasy3G269500 ID=Brasy3G269500.1.v1.1 annot-version=v1.1 MEKQIEDERKQKKDQKAREKEEKKLKAKQKEAARLQALAASDGPKKSEKKQRKKGADDENPEDFIDPDTPSGEKKLLAPQMAKQYNPSAVEKSWYAWWESSGNFGADPASSKPPFVIVLPPPNVTGALHIGHALAVAIEDTMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREVFVSEVLKWKDQYGGTILNQLRRLGASLDWSRECFTMDEQRSKAVTEAFVRLHQDGLIYRDYRLVNWDCTLRTAISDIEVDHLELKEETMLKVPGYGTPVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPGDKRYTHLHGRYAVHPFNGRKLKIICDAVLVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINILTDDGKINSNGGAQFEGMPRFAARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDVVEPIIKPQWFVSCHTMAKAGLDAVRSRKIEIIPEQYEQDWYRWLENIRDWCVSRQLWWGHRIPAWYVTLENDQVNDLGSNNDRWIVARNECDAILKAQQKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGTTLQDLLKRLEEGNLDPNELSIAREGKTKDFPEGIPECGTDALRFALISYTSQSDKINLDIKRVIGYRQWCNKLWNAIRFAMGKLGDHYTPPATLVVSTMPPICKWILSVLNAAIGKTVIALEAYKFSDATSAIYSWWQYELCDVFIEAIKPYFFNDSQESESARTACRDALWVCLDSGLRLLHPFMPYVTEELWQRLPQPKDSCRKGSIMVSEYPSVVKEWANDSLEKEIGIVLESVKKLRSLRPTTDTNERRPAFVLCRGQHIAATVQCYQSLAASLLSSVSSLKILTESDGTPPDCSTAVVNQELSVYLQLQGALNAEAELEKLRKKRDEIQKLQLALSQKMDASGYREKAPQNVQDEDLRKLTALFEQLEVISEAEKKLDVRDENA* >Brasy3G144700.1.p pacid=40041006 transcript=Brasy3G144700.1 locus=Brasy3G144700 ID=Brasy3G144700.1.v1.1 annot-version=v1.1 DIPGSTHSSSSPHEESQLKGETSRKKTYLGPMTRSRAKQIQQEVNALLAAPNDNINENFILPNSSVLLVLRFTTRSHTCCLDHQTTHEQLQNSSPTLLHHCT* >Brasy3G186500.1.p pacid=40041007 transcript=Brasy3G186500.1 locus=Brasy3G186500 ID=Brasy3G186500.1.v1.1 annot-version=v1.1 MFKSARWRGKAKAVFKLQFHATQVPEVGWESMMVVVTPQDVGRPTARSERAEVAGGACRWAAPIFEATKLPNPGKAAAGDKIYKFLVYETVSNRGSSKAALLGEATANLAEYAEAFKPSVVTLPLKGSPAPGALLHVTIQRVVGGGAGGGYGDDGSENGDTGKSSPRRTLQSQLGRCEDEDGEKARSPAIDAMSHDGLVISKPPGMRFPLRRNMPMAVEPAGHLHNASSFDAVSVSGSDGSSGRFTPKTSASMHNTFVQDATNILSPFANNGTPRNPLSSGDWSGSSAPDASTDGSTSNSGETGLGGAEDDVEKLRGEIGTLTRKLDVSDMELQTLRKQIVKESRRGHDLSKEMSSLREERDALRRECEGLRGTKKTIHDANGSGKRLSDGEDPWSQVEELKQELGHEKNLNADLRLQLQKMQESNSELLLAVKDLDEVLEQKNREISILQEETVEDHQEAQYEHALSNVHSAGHKIDMSETSSYQGKEDELMLDALVKKSDGIASSELEEKIVELSDEIELYKRDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVENLENELQAQSKRLEADIAQVLAAKVEHEQRAIKAEESLRKARWNNAATAERLQEEFKSLSSQVSSAFSANERLLVQARKEAAELQLQKSQLEELVQKAHEDIVSVQEQHRMKIQQLLTLVDFKSNETERLVMELQSKTDEFQNQKRCDEAKLNALSEEIDKLKVRIAKLSDERDNLLEKNEKKDMELAANGEKDMVLQDKTAVIALLNKEVALLKDQVQTYLEELHTLKRSKNEKDEVIGKLQIDIGSLKLQYDNVKNLLSTKESEKSNLASQVLKLRRALESREGVKENGVTSDAKDNQHTNSKRIKHDTSSTGSSYALPSTDRHDANGDCNEHSMRGAGAHADQSTKELDSLKEMNKAMQEELMELHERYSEISLKFAEVEGERQQLVMTVRTLKNSLR* >Brasy3G186500.2.p pacid=40041008 transcript=Brasy3G186500.2 locus=Brasy3G186500 ID=Brasy3G186500.2.v1.1 annot-version=v1.1 MFKSARWRGKAKAVFKLQFHATQVPEVGWESMMVVVTPQDVGRPTARSERAEVAGGACRWAAPIFEATKLPNPGKAAAGDKIYKFLVYETGSSKAALLGEATANLAEYAEAFKPSVVTLPLKGSPAPGALLHVTIQRVVGGGAGGGYGDDGSENGDTGKSSPRRTLQSQLGRCEDEDGEKARSPAIDAMSHDGLVISKPPGMRFPLRRNMPMAVEPAGHLHNASSFDAVSVSGSDGSSGRFTPKTSASMHNTFVQDATNILSPFANNGTPRNPLSSGDWSGSSAPDASTDGSTSNSGETGLGGAEDDVEKLRGEIGTLTRKLDVSDMELQTLRKQIVKESRRGHDLSKEMSSLREERDALRRECEGLRGTKKTIHDANGSGKRLSDGEDPWSQVEELKQELGHEKNLNADLRLQLQKMQESNSELLLAVKDLDEVLEQKNREISILQEETVEDHQEAQYEHALSNVHSAGHKIDMSETSSYQGKEDELMLDALVKKSDGIASSELEEKIVELSDEIELYKRDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVENLENELQAQSKRLEADIAQVLAAKVEHEQRAIKAEESLRKARWNNAATAERLQEEFKSLSSQVSSAFSANERLLVQARKEAAELQLQKSQLEELVQKAHEDIVSVQEQHRMKIQQLLTLVDFKSNETERLVMELQSKTDEFQNQKRCDEAKLNALSEEIDKLKVRIAKLSDERDNLLEKNEKKDMELAANGEKDMVLQDKTAVIALLNKEVALLKDQVQTYLEELHTLKRSKNEKDEVIGKLQIDIGSLKLQYDNVKNLLSTKESEKSNLASQVLKLRRALESREGVKENGVTSDAKDNQHTNSKRIKHDTSSTGSSYALPSTDRHDANGDCNEHSMRGAGAHADQSTKELDSLKEMNKAMQEELMELHERYSEISLKFAEVEGERQQLVMTVRTLKNSLR* >Brasy3G186500.3.p pacid=40041009 transcript=Brasy3G186500.3 locus=Brasy3G186500 ID=Brasy3G186500.3.v1.1 annot-version=v1.1 MSHDGLVISKPPGMRFPLRRNMPMAVEPAGHLHNASSFDAVSVSGSDGSSGRFTPKTSASMHNTFVQDATNILSPFANNGTPRNPLSSGDWSGSSAPDASTDGSTSNSGETGLGGAEDDVEKLRGEIGTLTRKLDVSDMELQTLRKQIVKESRRGHDLSKEMSSLREERDALRRECEGLRGTKKTIHDANGSGKRLSDGEDPWSQVEELKQELGHEKNLNADLRLQLQKMQESNSELLLAVKDLDEVLEQKNREISILQEETVEDHQEAQYEHALSNVHSAGHKIDMSETSSYQGKEDELMLDALVKKSDGIASSELEEKIVELSDEIELYKRDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVENLENELQAQSKRLEADIAQVLAAKVEHEQRAIKAEESLRKARWNNAATAERLQEEFKSLSSQVSSAFSANERLLVQARKEAAELQLQKSQLEELVQKAHEDIVSVQEQHRMKIQQLLTLVDFKSNETERLVMELQSKTDEFQNQKRCDEAKLNALSEEIDKLKVRIAKLSDERDNLLEKNEKKDMELAANGEKDMVLQDKTAVIALLNKEVALLKDQVQTYLEELHTLKRSKNEKDEVIGKLQIDIGSLKLQYDNVKNLLSTKESEKSNLASQVLKLRRALESREGVKENGVTSDAKDNQHTNSKRIKHDTSSTGSSYALPSTDRHDANGDCNEHSMRGAGAHADQSTKELDSLKEMNKAMQEELMELHERYSEISLKFAEVEGERQQLVMTVRTLKNSLR* >Brasy3G318800.1.p pacid=40041010 transcript=Brasy3G318800.1 locus=Brasy3G318800 ID=Brasy3G318800.1.v1.1 annot-version=v1.1 MIAWGDIWKVVSAMAPLYFALALGYGSSRWWHLLASPEQCAAVNTLVAFFSMPFFTFDFVSGVDPSAVNFRVLAADAVAKLLAALAAWAWATWARQYDGDWSWPITGFSLATLSNTLVVGVPLVEAMYGGWARELMVQVAVAQSVVWVPLLLLAFELRNACYVQPEPETKDGRDVELVAAAARVESDPNARAGNGNGNKKIRRCWAMARTVGLKVARNPNVYASVLGVAWACVAYRWRVGVPGVVTGSLQVMSRTGTGMSMFSMGLSMAQQERMVACGAGPAALGMALRFVAGPAAALAGAAAMGIRGDVLRFVIVQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLLSLPVLIAYYAVLDII* >Brasy3G314000.1.p pacid=40041011 transcript=Brasy3G314000.1 locus=Brasy3G314000 ID=Brasy3G314000.1.v1.1 annot-version=v1.1 MVEVADAPPRGTPGASTSPPPPSSGGGGGGGSGDRKRGRASPVLPPPPPGPPPPAAQNKRHRAEGGGFDRRRLGSGGGGQDDRRFGNDGGQGRHMNRAPDWHDSGRGGWNEGAGNSRREGLMSYKHFMLELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKNEDWLRNKYHPTNLESVMERRNELARGTANEFFQDLQSGRLDTGPGLSSAANKSGNNDLNANGKKGKHPDDLYYAAPKAHPVSSEARRVSIDIQQAQALISKLDSEKGIENNVLSGTDDDKAARDTSHGSMGPIVIIRGASTVKGLEGVELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKSDSRTYDGASSNAAEWEERLDSFWQDRIQGQDPLEMLKAKEKIDTAASEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVTKHLKLKHTEFVVELTSKVRDDIYFENYMNDPKAPGGTPIMQQPAPREKGKQRPPIESRLRDERGHRFDRNADSPSQDGSSENPDDPMYDSYGNPTMHGAFSPDVPAPPMLMPVPGAGPLGPFIPAPPEVAMRMLREQGGPPPFEPAAGPRPRKAGRGGGPPMGGPSPMLNAPLMHDPRMHDPRKIRSYQDLDAPGDEVTVMDYRSL* >Brasy3G090800.1.p pacid=40041012 transcript=Brasy3G090800.1 locus=Brasy3G090800 ID=Brasy3G090800.1.v1.1 annot-version=v1.1 MAASLCPIARVTSRCTAETAGAAAAFEISGYSLHKGLGRGKYVSSPAFSVGGYEWSIIYYPDGANTESEGYVSVFLHLLTKHAEARVLYKLMLVEPFMERSVVVFSNNNVPTIFNGVRSSWGKRKFMESSVQQESRYVRNDRLVLECEVTVVKETQVHVPPCDLSDNLSKLLEGKKGADVTFKVQGEDFPAHKIVLAMRSPVFDAEFYGPMGDKGGHDITIEDMQPSVFKAFLHFIYTDSMPPMKDLDDGEKREMVKHLLVAADRYGMERMKMMCESILCKSLDVETVATMLALADQHHCINLEGACIEFISSSNRMEDVMASQEYAHLKRSCPTVLVDMMEKIIKRRKI* >Brasy3G206200.1.p pacid=40041013 transcript=Brasy3G206200.1 locus=Brasy3G206200 ID=Brasy3G206200.1.v1.1 annot-version=v1.1 MLGKRPSAAAAGLLTEVLVRSVEVPELQPVAAAQQLASRDRIQSSRWVPLWSMLTAEVTQVLTSVGNFCIRSIAVGKTIGLIVIYCCALMDTIALLKNLLSCTEGQFTYEHVIRNSFHQFQSENVL* >Brasy3G048900.1.p pacid=40041014 transcript=Brasy3G048900.1 locus=Brasy3G048900 ID=Brasy3G048900.1.v1.1 annot-version=v1.1 MWKRQMQTRKEVFPTQRLDKGNCKHEKKCCLFSVLTGMVPSTALGSHVEYRKDTEFDCTCPSPEDCNKNSQGLRCLPDHFCRLKFHHMNSGFFHN* >Brasy3G281000.1.p pacid=40041015 transcript=Brasy3G281000.1 locus=Brasy3G281000 ID=Brasy3G281000.1.v1.1 annot-version=v1.1 MAAAPMAPPPPAADDEIVYESMPCIRIYKNRVERYFGSEFIAASTDAATGVVSRDRTISPEVSARLYLPRIDADASAAKLPVLVYYHGGGFCLGSAFNPTFHAYFNSFAALANVVVVSVEYRLAPEHPVPAAYADSWEALAWVVSHAAGSAGDEPWLTDHADFSRLYLGGESAGANLAHHMAMRVGAEGLAHDNKIRGLVMIHPYFLGSNKVDSDDLDPATRESLGSLWSVMCPTTTGEDDPLINPFVDGAPDLEALACGRVLVCVALGDVLRDRGRNYYDRLRASGWRGEAEIWQVPGKGHTFHLLEPCCDEAVAQDKVISDFLNR* >Brasy3G051700.1.p pacid=40041016 transcript=Brasy3G051700.1 locus=Brasy3G051700 ID=Brasy3G051700.1.v1.1 annot-version=v1.1 MGGTLEYLAGLFGGRNHHGVKSNERRQLQTVELKVRMDCEGCELKVKNALSSLKGVESVRINRKQQKVTVKGCVEAGKVLKKAQSTGKKAEMWPCTTVSMPCVAASYDKRAPPGHVRMVEPTAVPYYVSSSHVSRPEDRLTDMFNDDNPNACSVM* >Brasy3G159300.1.p pacid=40041017 transcript=Brasy3G159300.1 locus=Brasy3G159300 ID=Brasy3G159300.1.v1.1 annot-version=v1.1 MGKGGGCVPSKKRQPPATTVAAAPSSSSAAAVPRDAPEEALDSAAEEPRRTLRLYIVFYSMYGHVEALARHAAAGAGAVEGVEVVLRRVPETLSPEVLEKMQAPDKDPAVPVIAAPAELEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQGLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSEAELSLAEHQGRYMAAFVKKLAQV* >Brasy3G320100.1.p pacid=40041018 transcript=Brasy3G320100.1 locus=Brasy3G320100 ID=Brasy3G320100.1.v1.1 annot-version=v1.1 MYADKVSGRKRSIMDRLGSGGGGGGGGSRPRSDSAKRFRQVDGTWRRELYKDSEGNQSSSGLASRNLQARQKSILKQSTEAVKKSSVPDLREKLSGVQRPQLNSMVQIPKPAVAEIAKSAKPVQKRELSAPAPAAAPPVTKNVNAPTAPKQSQEKADSSLDRLLKSLDLQKYSINFQAEEVDMKALVHMNEEDMKSLGIPMGPRKKILSALASKRKKSSRSLPPTS* >Brasy3G269000.1.p pacid=40041019 transcript=Brasy3G269000.1 locus=Brasy3G269000 ID=Brasy3G269000.1.v1.1 annot-version=v1.1 MVGGGGRRGAAADEAKLNTGNVFAALETLKKKKKGDKAKGASSKGGKQDDQPSQQQQKELFWAPAPLTTKSWADVEDDDDDDYFATTAPPAATWGADPAGAGAKEEEEEEDAVHAALQEEIESDDEDLDDEAQDGAEDEPEHDVDAADPAMKKVAAPAAAPKETERQLSKKELKKKELAELDAVLAELGISENSTDAAQDGSNAEKKVVNQTGDGERKEDVPAPAESKNSKKKKSKKDKNSKEAKEADGSEEAASAEADEDPAVDVKERLKKMASMKKKKSSKETDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR* >Brasy3G127300.1.p pacid=40041020 transcript=Brasy3G127300.1 locus=Brasy3G127300 ID=Brasy3G127300.1.v1.1 annot-version=v1.1 MPGWSGKHGEASSPRSRRWFEREVSLTPDGQTKCIYSQKLHMDGLSTSEAKILPVQNPPHSWHGPQAKNKRIKPRGEERRKKKTLLNRQSHRPELEAGRESSPRRRLPHAEAGGFGAHGSGEELGISDRAESDALGMGKPVDVELGGAGGLAIAGGGGGGGWRGVAGAIGRSVSFRCVFVLMLAAGVLVSALFLLVPSRPRGYLSDDPDVLAAEIQVGFTLEKPVPFLAAHVDKIGRDIFEEIGVPNSKVSIVSLHSLASKYSTRVVFGVLPYPKDAPTSLPALSVLRSSLIEMMLQQLNLTLTPSLFGHPSSIELLRFPGGITVVPVQSGSIWASTDPLFNFVLNNSIYQILGNLMELKDQLKLGLNLRSDERIYLQFRNEIGSSVEAPATIEASVLDGSSILLPYRLKQLAQLIKEPDAGNLGLNHSVFGKVKGVQLSSYLQHSISDLSPTPSPSPSPSPSPSPSTSLPPSLSPSGSIPYPAPPTSSLPPRASPPSPNTHPCFRCSHCNRFPPAGSSMVKPPCFGRDPKPPPSAIPPPPVYEPHIPPAFPPIPGRGDPPRPLPSPNNVPNVAPGPTYQMMPIRAPAVPNFPHPMPPQKKRSSRTTKSPPIAPSPYSLLHS* >Brasy3G121100.1.p pacid=40041021 transcript=Brasy3G121100.1 locus=Brasy3G121100 ID=Brasy3G121100.1.v1.1 annot-version=v1.1 MGPTQVQFYRSRPGGEKKGLLAAPESDIAAQRAGEAGDRATQSRKSTTRCRTTRTSGRRLDWRTGEEKGKKEERRITICLIGTQIEKEKERERSERKFPSRQSA* >Brasy3G218600.1.p pacid=40041022 transcript=Brasy3G218600.1 locus=Brasy3G218600 ID=Brasy3G218600.1.v1.1 annot-version=v1.1 MAAEDTMPLALLPLDEEKEFHLQLYRQHLESDQTSIRETSSSMYVDTPCCSLNLTRGKSDYPVGGSLENPDKWTCFINCILQCVVHTGPLVSKLLKDDHTDACPSGSEKFCCYCSLKLHAVEVIKLSGLFLYPRKFVKVVQLVSENFESERQQDAHEFLRFLLNKLDEAHVPSSSPSERPISIVKEIFGGLLKSQLHCPDCNHCSDKLEPFLDLNLEVNQMDSVMDALHSFTKIEVVEDFNCDGCKSCVNMEKHFKVEQAPKVLVIQLKRFQILGTDISKIESMVKYQLELDLNPFMSSPDSKPQNYDLYAVVEHSGVPCGGHYVSYIRSSQTDWFLFDDDKVMKINEEDKILGSSAYLLFYVKQGSPWFSTLLEEKNMLQLHYFKELSGYLNELDVSSEYENDEDSDIDIDDDEQEYECFPESSSWRVEDEMKEYDDSPAGVPHVHRRTGTSRLETVDNGDGPRAKMQMKTHIGKWNDSGEEEGWRLHEACIENSFSLSIGTHRSYD* >Brasy3G218600.2.p pacid=40041023 transcript=Brasy3G218600.2 locus=Brasy3G218600 ID=Brasy3G218600.2.v1.1 annot-version=v1.1 MAAEDTMPLALLPLDEEKEFHLQLYRQHLESDQTSIRETSSSMYVDTPCCSLNLTRGKSDYPVGGSLENPDKWTCFINCILQCVVHTGPLVSKLLKDDHTDACPSGSEKFCCYCSLKLHAVEVIKLSGLFLYPRKFVKVVQLVSENFESERQQDAHEFLRFLLNKLDEAHVPSSSPSERPISIVKEIFGGLLKSQLHCPDCNHCSDKLEPFLDLNLEVNQMDSVMDALHSFTKIEVVEDFNCDGCKSCVNMEKHFKVEQAPKVLVIQLKRFQILGTDISKIESMVKYQLELDLNPFMSSPDSKPQNYDLYAVVEHSGVPCGGHYVSYIRSSQTDWFLFDDDKVMKINEEDKILGSSAYLLFYVKQGSPWFSTLLEEKNMLQLHYFKELSGYLNELDVSSEYENDEDSDIDIDDDEQEYECFPESSSWREDEMKEYDDSPAGVPHVHRRTGTSRLETVDNGDGPRAKMQMKTHIGKWNDSGEEEGWRLHEACIENSFSLSIGTHRSYD* >Brasy3G218600.3.p pacid=40041024 transcript=Brasy3G218600.3 locus=Brasy3G218600 ID=Brasy3G218600.3.v1.1 annot-version=v1.1 MAAEDTMPLALLPLDEEKEFHLQLYRQHLESDQTSIRETSSSMYVDTPCCSLNLTRGKSDYPVGGSLENPDKWTCFINCILQCVVHTGPLVSKLLKDDHTDACPSGSEKFCCYCSLKLHAVEVIKLSGLFLYPRKFVKVVQLVSENFESERQQDAHEFLRFLLNKLDEAHVPSSSPSERPISIVKEIFGGLLKSQLHCPDCNHCSDKLEPFLDLNLEVNQMDSVMDALHSFTKIEVVEDFNCDGCKSCVNMEKHFKVEQAPKVLVIQLKRFQILGTDISKIESMVKYQLELDLNPFMSSPDSKPQNYDLYAVVEHSGVPCGGHYVSYIRSSQTDWFLFDDDKVMKINEEDKILGSSAYLLFYVKQGSPWFSTLLEEKNMLQLHYFKELSGYLNELDVSSEYENDEDSDIDIDDDEQEYECFPESSSWREDEMKEYDDSPAGVPHVHRRTGTSRLETIMEMDPEQRCK* >Brasy3G333600.1.p pacid=40041025 transcript=Brasy3G333600.1 locus=Brasy3G333600 ID=Brasy3G333600.1.v1.1 annot-version=v1.1 MGRAFLNYYSCSRPVDDVGWTPIPGIALDTLFLVVIQALAVILVSNLFHSFLRRYHQPTPISQILAGMVVGGMGLRSAIVHVDVDNVEDMYNGYISTARILSMFLVGLETDVAALRGATRRCVAFTYATVAASLLVAAIVSSGMYGSMMHSPVRTPEMLAATLMVALTDTSSIAVARIAADLKLTVTENGRLVVAAAIGTNLICVVGDGVLSTTRLAKDREKSLDLSLGFLALLAAGVAVYMARPAVTWVNQRNVGQHHVRTRDLLVMLAAIWVVATFPMRLGYDGLPTSLALGLAFPREGPAARSVADALVPPVNGLMLPFYFATIGMRMDFNSMSGAIIVPGVLMMLLGLVGKAIGAAVASAYLNIPLCDALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLASTLVAGPAAAAVLRKEKELYATRHQAVESVPSPEQELRIAVCAHSAHATPALLSLVELLVTDPDTQPAVHLLHLFEGAAAAGAHHRHIKAPDHHDFLLDDEDHDVGRDAITDMNTVVDLYWRATGVSFKQMDAVSGARDAAAVCRCAGDAHAGLLLLPCYKEQRYDGVMACRLESRRELNRLVLAQAPCTVGLLVDRPYRSTGGGGMHCGASFQVPSSVAAVDHAAAGGHRTLLHPCSDRAVAHVVAAVFLGGADDREAVSLAARLAENPNIGLTVFRFVKRSTYDTVTSSSSRAAAIAAADEADLRQMSAAEADERFMWRFYEQYAARELAMYVEKVVESPADVVETLDGMAGMFSLVVVGCGGRQPVELLAGLERWAEADGGEMMGPVAEILASNASMEMGSVLVMQQHTVPPYVKKT* >Brasy3G046900.1.p pacid=40041026 transcript=Brasy3G046900.1 locus=Brasy3G046900 ID=Brasy3G046900.1.v1.1 annot-version=v1.1 MATGSEDVAVLEWPKQDKKRMLHAVYRVGDLESTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFAIANEDVYKLAETIKSSPYGKITREPGPVKGGSTVIAFAKDPEGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYDKEDKTTVIELTYNYGVTEYNKGNAYAQVAIGTDDVYKSAAAVELVTKELGGKILRQPGPLPGINTKITSFLDPDGWKVVLVDHADFLKELH* >Brasy3G046900.2.p pacid=40041027 transcript=Brasy3G046900.2 locus=Brasy3G046900 ID=Brasy3G046900.2.v1.1 annot-version=v1.1 MATGSEDVAVLEWPKQDKKRMLHAVYRVGDLESTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFAIANEDVYKLAETIKSSPYGKITREPGPVKGGSTVIAFAKDPEGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYDKEDKTTVIELTYNYGVTEYNKGNAYAQVAIGTDDVYKSAAAVELVTKELGGKILRQPGPLPGINTKITSFLDPDGWKVVLVDHADFLKELH* >Brasy3G276000.1.p pacid=40041028 transcript=Brasy3G276000.1 locus=Brasy3G276000 ID=Brasy3G276000.1.v1.1 annot-version=v1.1 MTLSACFPDFFPPPPALPYADQVHDLTYSDEQYQALMFAEFGPIVPLLSLDLQAAMKLAVTGDDETQPERGSKKRKKNKDDETQPERSSKKKNNNKDDDIQADRSSKKRRRKKKAPPSPRSTNKMIATRLLKRQDIRAPEPSDSEADGKSHTVLRCECGGLPRGSPGCALHQQVPGRSWMLTRGDVPAVGGNGGVLVPRTSTDSRRLVAGYMRWRRSVYWMPSRFYLEKSQKERAYQDAEDEMKRLLASLVLPGFRARLGPVLSSGE* >Brasy3G027600.1.p pacid=40041029 transcript=Brasy3G027600.1 locus=Brasy3G027600 ID=Brasy3G027600.1.v1.1 annot-version=v1.1 MPVPLAPYPTPPAPFTPPTPNAGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLSMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCSFVTSVGATPGADQKSSN* >Brasy3G293800.1.p pacid=40041030 transcript=Brasy3G293800.1 locus=Brasy3G293800 ID=Brasy3G293800.1.v1.1 annot-version=v1.1 MLQRAASNAYSWWWASHIRTSQSKWLDTTLHEMEDRLKVTIKLIEADADTFGKKAELYFRSRPELISNVEDMFKSYQALADRFDRISCELHKANHTIATVFPDHVQFSMQEGDVDGLPKALTSIDLINYKFPALEGLPMGSRVTSRGSSPVPKRTQTHRRVASHMNKDKALEEIDRMQKQILVMQTEKEFLKTSYDSALGKYLDIERKVAELQEEVCCLQDTFSTGSDIEDNEARALMAARAIMSCEHTLVNLQDQRKRSSVEARTEFQRFVDAKDKLKTFKDECGQPQTQIDGTDQPDTGLAQAPRSVDGDDSVLTEVKLDLQEVCQKFKELIELHPEVSVAEIAEKVDQLVEKVINLELASTSQNAQVDRMKTELDELHKRLRALEEEKAALVVDSSKLADRLKQVEGVLQVVQQIGRTIQYGAENINKEITEACSELAEFVETLHSPERQIFSSDKGSHGPLGRQQIVANGSEGVDSSLLEDYASVLQSYKDTEQQLSEFENKNQNYHIEAMSELKELKNANTMKDEEIHSLRRMLSSLQRKMNASDPECVEKSEETSKISTSTATEGKEAVEMCEVEEPLPYSAVEEKFRAAIDKVLEQNMDFWLRFSTSYHQIRSFQTAFDRLKAEMIKLTDAQAQGAADGFPTNHQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGELENRFSSLCSIQEDISKITILDKGCDEDHFSCFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRALSTKAVPLRTFLFGAKPKKPSLFSCMGPGMHKQYGSSKPGRR* >Brasy3G052700.1.p pacid=40041031 transcript=Brasy3G052700.1 locus=Brasy3G052700 ID=Brasy3G052700.1.v1.1 annot-version=v1.1 MSRHPSTKWAQRSDKVYLTIELPDAKDVKLNLKPDGHFDFSAKAPADETQYELDFELFDAVNVEESKAAVAQRSICYLIKKAESKWWPRLLKNEGKPPVFLKVDWDKWQDEDDEDAGFGDFGDMDFSKLDMGADDDDDIDEDDEDDIAIKDNEDVVAEGSKGDEAPAATADEAKP* >Brasy3G255700.1.p pacid=40041032 transcript=Brasy3G255700.1 locus=Brasy3G255700 ID=Brasy3G255700.1.v1.1 annot-version=v1.1 MASDDECYFFEDDGDEEEAVWDGLAVEADEEDLGLLEEDAPLPQLRSDCWAITPESISAAQKEDLLIVMNLLNIKQHHARTLFIYHRWKLDRIYDCLDRKGRGRMLREANIVLQENSRTPSRSAKCNVCFDDDLDLTAVSTMDCGHCFCNDCWAEHFYAAVNSGKIQIRCMEVKCLAICEEGIVRSLLGKKYPDAAKRFDRFLLESYLEDNDSVKWCPSVPHCGHAIRVGTGDRYCEVECPCGVSFCFNCMEQAHSPCPCTIWKKWNAKKHGESENIKWILKNTMSCPKCFRPIEKRDGCNLVRCKCGQCMCWLCGAPTGEAHTWRTIEGHSCNRFKESNNKVDTGRRQLERYTHYCNRFKIHEDSYKEQQQKLGPAIKERVKQLESDHLKRPRTIRDGSWLIQAHQRLLRSRQVLLRSYSFAYYMFGGELRTHPAEKGNLTPAQNLFENQQEQLERHVEQLSKVLTRDVPALTNQEIVLVKQEAVNLAKIIGTLCGGMYKCIQEELLPLLMEPMNIATYMPEGPDKAKEFLV* >Brasy3G255700.2.p pacid=40041033 transcript=Brasy3G255700.2 locus=Brasy3G255700 ID=Brasy3G255700.2.v1.1 annot-version=v1.1 MASDDECYFFEDDGDEEEAVWDGLAVEADEEDLGLLEEDAPLPQLRSDCWAITPESISAAQHHARTLFIYHRWKLDRIYDCLDRKGRGRMLREANIVLQENSRTPSRSAKCNVCFDDDLDLTAVSTMDCGHCFCNDCWAEHFYAAVNSGKIQIRCMEVKCLAICEEGIVRSLLGKKYPDAAKRFDRFLLESYLEDNDSVKWCPSVPHCGHAIRVGTGDRYCEVECPCGVSFCFNCMEQAHSPCPCTIWKKWNAKKHGESENIKWILKNTMSCPKCFRPIEKRDGCNLVRCKCGQCMCWLCGAPTGEAHTWRTIEGHSCNRFKESNNKVDTGRRQLERYTHYCNRFKIHEDSYKEQQQKLGPAIKERVKQLESDHLKRPRTIRDGSWLIQAHQRLLRSRQVLLRSYSFAYYMFGGELRTHPAEKGNLTPAQNLFENQQEQLERHVEQLSKVLTRDVPALTNQEIVLVKQEAVNLAKIIGTLCGGMYKCIQEELLPLLMEPMNIATYMPEGPDKAKEFLV* >Brasy3G291100.1.p pacid=40041034 transcript=Brasy3G291100.1 locus=Brasy3G291100 ID=Brasy3G291100.1.v1.1 annot-version=v1.1 MATPPPDTPRLVGPVPFKDLVFVGDDERRVSPPEDCEDIISVLPSATALGLRLRQYQGVWLPEARVPGILSIQRRFVPRPGDVLLASPPKCGTTWLKALSFATMARASYPPPSQEHPLLRLNPHDCVPYMEDLFSAGQDAKLDALPSPRLLQTHMHHSLLPAAVADNPRCKIVYVCREPKDMLVSLWHFMGVAVEPGRPLGELLELACEGRNPYGPIWSHVLGYWRASKASPEKVLFLRYEEMLLDPVGAVRELARFLVLAVSQISQGPEVPAELPAVPGTGTSGVTFGLTFGCSLFLHRNFRIFNRNFRIGGTSDLGPELPVSPIRI* >Brasy3G235800.1.p pacid=40041035 transcript=Brasy3G235800.1 locus=Brasy3G235800 ID=Brasy3G235800.1.v1.1 annot-version=v1.1 MMFDLLFLAAVQVGGSLLQFTAAVRTAVRLICTPEGNNGARARNSVLSEDDFTLEAELKMLNKPYVKSFKDNYGVVFDCVDIHKQPAFDHPLLKNHKLQIPPSSSAGTGLPTTSGESCPYGTVPIRRTLKEDFVRWRASSQVMKPQTEYTSTIEGQHFAQVLFDSEKGSLFADVKADIDVYPLVVPPGQKSTAQILVVDRRPSSATVVQAGWHIDSQHEGDNQPRSMADGYKKTGCLNWECPGFMVTSQATTPGMALPAGKISLRILRVIHDIHHISLNNFIFDFQTGNWNVDLNGTVYSTPGEGKSPPMGNGILAADTNAAAKFTWVVMRGSKTINYTVAKDVDSSIYDVQVTSASEDGPQGFAFVFGGPGGV* >Brasy3G342500.1.p pacid=40041036 transcript=Brasy3G342500.1 locus=Brasy3G342500 ID=Brasy3G342500.1.v1.1 annot-version=v1.1 MRWISTTNRRRWCAPLPRGSRVGSRLNYLWRLTKRNNFQKSASRHVELPLAFDQSNMPPERMRLDNGKAAASADAVQNCFEETTKADKPKGTSKKKWSAAWEHFDEIIIDDTVWADCKHCKQRLAAGGKAGTSTLRRHLKGVHGIEIPKASSKKKNSCGGPATSKSKTPTPSLLPSLEPVASKPPRTTTQRKKRTDEANDLATQEVCARGKRTRRRDNPSSSGVTPSEVPGSASTVGHATEAPSAGMVVASSQIDLSAAGTSREVVRSIADTITNPGPTDLANAILLMNQAAEAVAREKMLLQEMEKLRQEKADQDTVVISRLEALEAKSALAADENKKLKAENMALRAQLQESPHPRPSKRS* >Brasy3G198300.1.p pacid=40041037 transcript=Brasy3G198300.1 locus=Brasy3G198300 ID=Brasy3G198300.1.v1.1 annot-version=v1.1 MATAWCLGIDASDGVAAAAGGRAGRRSRMGGGDGGGPAQDQRRRRGRRRAEREEENRAAPTERRRAGREKENGSAPAERRRSTNSGDQRGRGSGCGGRRTRAAGGGVPISW* >Brasy3G107000.1.p pacid=40041038 transcript=Brasy3G107000.1 locus=Brasy3G107000 ID=Brasy3G107000.1.v1.1 annot-version=v1.1 MAGQMGGGDASSSEDSESCDHDTHDGGSPMCRSIIATNTPGAASLMLRGGGNKDPDAQNSASDVAPPDADVNIFGHSILGPGVLGVGNMCDGLAFGYAERSCRFYSNPPAVESDTSDAYDVGSARGAGPSNVDCSSGSSFEEFNDTDLLIDNISDMLKTCFRNNMRRRAAGRHPHKKRRKERDSVSPDCKKGASNRGNLGWLSEIIKKIPEDKKTVIGRYGFSCCLEVDCSGVPRAFSQWIADHVQVNSTDIILDGGCIHLSVQSFSQVTGLVNGGSPVKGHSEGAKEAFVSFLGLSEFPTIKTLGGLLLENVLTDEQYFVCFMAVYLSSFLCPNSNTYPSTKYLSSLLAPAEVRDYNWALFGFN* >Brasy3G008500.1.p pacid=40041039 transcript=Brasy3G008500.1 locus=Brasy3G008500 ID=Brasy3G008500.1.v1.1 annot-version=v1.1 MERGGRVRRAGQRRAAGVGGGGGFRGREGGGGAREAGWAEAGRGGRRRRWAQGKGRRRRRLQGKGRMRGGGREAGCAEAAAGAGEGKEAAAASGKGRRKGAREAGRRGRRRQRAQGKGSRRRRWARSMRGTERKKTVQG* >Brasy3G159500.1.p pacid=40041040 transcript=Brasy3G159500.1 locus=Brasy3G159500 ID=Brasy3G159500.1.v1.1 annot-version=v1.1 MAAVGAKVLIACLNVAFLLLLAGFFCLSRPAVEREGNGSCDCVSQRIYQDKCDYHGGSGSPFERGRGYVDYLYLFYCVCGEERRALGYASMAAWLAVLFYLLGDTAAVYFCSSLEGLSRLLRLSPEIAGATLLSLGNGAPDALSTVASFASGGGAEGGGRGTTAVGLNGLLGGALFVSSAVLGVICLRLGGPGRGFAVDRRSFFRDASFLLLALAAVAVVLAAGKVNIWGALAFTSLYLVYVLAVAFTHGRDRGSREGGEEETDHTNAASSELCDVAETNFYPYQEEPLLPETAPLLQYYADDESTKKSKRTSVFWSLVRVLELPLYLPRRLTIPDASKERWSKPAAVTAAALSPLFLSFLWSHTALALLLGGLAGIPVGLAAFLTTDTDAPPTKFLSAWLAGGFVMSVAWAYVIANELLSLLVSAGLVLAVDPATLGLTVLAWGNSLGDLVANVAVATSARGGGGGGGAQVAVAGCYGGPVFNVLVGLGLSLVLSCWAGYPRPVDIPREARLYQTLGFVAAGVLWTVVMLPRRGMWVDRTLGFGLLGIYFCFLCASVSQALGIV* >Brasy3G106000.1.p pacid=40041041 transcript=Brasy3G106000.1 locus=Brasy3G106000 ID=Brasy3G106000.1.v1.1 annot-version=v1.1 MPILHAKYARISLLSEPAHLDVTTVLPARPGRVQHCAAASRSGPVQHRAAKQVTSVSVTAPPLPVPATSCTAAASASNTKIRPQARPSSSATPVATSPGDVACSRRSSPPTPLPSSSQPRLHGRRLAPPPLGYQSPYPDSSSSWTYQQVGADADGSPRGGEGGRGWGGSGLDRGGWGWRRLTDGAAEGRDGRRHPQGRTAAASAAAQRRGATRSGHAFASGGVLLPAVPTVTTSRSRSASLAFSLPHLPSHPRHPRPSPFSSAATSSLLRRARLAAPAPSQPRSTSPHLPASTLHPLGPRSMPERRALPFLPASGRASPCSIQPPPSLPDLKAAPRSTGTTSLSPQRPRAPATSSSSGSTSSSAARSSEGSATYPPPSFSS* >Brasy3G100300.1.p pacid=40041042 transcript=Brasy3G100300.1 locus=Brasy3G100300 ID=Brasy3G100300.1.v1.1 annot-version=v1.1 MGILGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGASAIHFAALKGHTRCIRLLAADYVPSMPNFWNIMHGSSTEERNKEAFDAGTLRRLVNGKSDGGVTPLHLAALHGHAESLQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMAAENANGLTPLMVARSWHKTSIESILSKQPEGRVRILPSPYLSLPLMSIVKIARECGWRKTSVSSTCHDPCVICLEMECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRQAIVSFMKLTSTTPIKELPWTSSSLALCAAGASTTGSKCPSSLHCRSDMHRLRSSSVHLGCSSFRSIGSGKLSSIKLNCGGLDETMPCLVSCLRPDARRSSSYRERISRYSEFS* >Brasy3G100300.2.p pacid=40041043 transcript=Brasy3G100300.2 locus=Brasy3G100300 ID=Brasy3G100300.2.v1.1 annot-version=v1.1 MGILGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGASAIHFAALKGHTRCIRLLAADYVPSMPNFWNIMHGSSTEERNKEAFDAGTLRRLVNGKSDGGVTPLHLAALHGHAESLQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMAAENANGLTPLMVARSWHKTSIESILSKQPEGRVRILPSPYLSLPLMSIVKIARECGWRKTSVSSTCHDPCVICLEMECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRQAIVSFMKLTSTTPIKELPWTSSSLALCAAGASTTGSKCPSSLHCRSDMHRLRSSSVHLGCSSFRSIGSGKLSSIKLNCGGLDETMPCLVSCLRPDARRSSSYRERISRYSEFS* >Brasy3G100300.4.p pacid=40041044 transcript=Brasy3G100300.4 locus=Brasy3G100300 ID=Brasy3G100300.4.v1.1 annot-version=v1.1 MGILGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGASAIHFAALKGHTRCIRLLAADYVPSMPNFWNIMHGSSTEERNKEAFDAGTLRRLVNGKSDGGVTPLHLAALHGHAESLQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMAAENANGLTPLMVARSWHKTSIESILSKQPEGRVRILPSPYLSLPLMSIVKIARECGWRKTSVSSTCHDPCVICLEMECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRQAIVSFMKLTSTTPIKELPWTSSSLALCAAGASTTGSKCPSSLHCRSDMHRLRSSSVHLGCSSFRSIGSGKLSSIKLNCGGLDETMPCLVSCLRPDARRSSSYRERISRYSEFS* >Brasy3G100300.3.p pacid=40041045 transcript=Brasy3G100300.3 locus=Brasy3G100300 ID=Brasy3G100300.3.v1.1 annot-version=v1.1 MGILGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGASAIHFAALKGHTRCIRLLAADYVPSMPNFWNIMHGSSTEERNKEAFDAGTLRRLVNGKSDGGVTPLHLAALHGHAESLQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMAAENANGLTPLMVARSWHKTSIESILSKQPEGRVRILPSPYLSLPLMSIVKIARECGWRKTSVSSTCHDPCVICLEMECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRQAIVSFMKLTSTTPIKELPWTSSSLALCAAGASTTGSKCPSSLHCRSDMHRLRSSSVHLGCSSFRSIGSGKLSSIKLNCGGLDETMPCLVSCLRPDARRSSSYRERISRYSEFS* >Brasy3G137900.1.p pacid=40041046 transcript=Brasy3G137900.1 locus=Brasy3G137900 ID=Brasy3G137900.1.v1.1 annot-version=v1.1 MLEGVIWLLILKLGDALANEAEELGSSFIIYEASALRGLFGEIRKMKEELESMQAFFRTAERFKDTGETTVAFVKQIRGLAFNIEDVIDEFTYKLGEDREGMFLFKAIRRVRQIKTWYRLANNLRDIKASLKSAAERRRRYDLKGVERYAQLTRVGSSNRRSGESVHFKRADDLVGIAENRDLLMKWMKDEEQRHMIITVWGMGGVGKTTLAAHVYNAIKTDFDTCAWITVSHNYEADDLLKQTVEEFRKNDRKKEFPKDIDVTDYRSLVETIRCYLQKKRYVLVFDDVWSVNAWFDSKDAFFGGKLGRIIFTSRIYEVALLASEAQMINLQPLQNHYAWDLFCKEAFWKNENSDCPPELKHWAQKFVEKCNGLPIAIVCIGRLLSFKSPTLLEWENVYKTLEVQFTNNFILDMNIILKVSLEDLPHNMKNCFLYCCMFPENYVMQRKWLVRLWVAEGFIEASEHKTLEEVAEDYLTELINRCLLVEVKRNESGYVDDFQMHDILRVLALSKAREENFCTVLDYSRTHLTGKARRLSIQRGDIAHLAESVPHLRSLLVFQNSLNFGSLRSFSRSVKLMSVLNLQDSSIESLPNEVFDLFNLRYLGLRRTKIANISRSIGRLQNLLVLDAWKSKITNLPMEITRLSKLTHLIVTVKPLIPSMQFVPSIGVPAPIGMWSLASLQTLLLVEASSEMVHYLGSLVLLRSFHISKVQGRHCEKLFVAITNMVHLTRLGIHANDDQEVLQLDALSPPPLLQKLFLLGTLAKESLPRFFMSISKLKSLTILRLVCSKLQEDMFCYLEELQQLVKLQLYDAFDGNKMYFRATSFPKLRVLKIWGAPHLSQMNIERGAMSSLAHLKLLLCPKLKLLPGGVEHLSTLEELTLDSTAEELVDRVRRKKEGNISHVQRVYIGFVRNGELAAERIQ* >Brasy3G103200.1.p pacid=40041047 transcript=Brasy3G103200.1 locus=Brasy3G103200 ID=Brasy3G103200.1.v1.1 annot-version=v1.1 MFNWKTFFETTPITQPLLSNSGVMNDKGNHMNNQIDLGNSSSGVGCSSTRMDLANEIMMSANCMTNQQVVSGNQASYPNHGVLIPGSVPASTAMITEEPSGSQAQADDIFDWDKINEIMMDDNSTTNRQIVPENQASYPGHGVLIPGSAPATTSTAVTTEKQPSGSQAPAMFNWDVFFKKPLVTQPSVSSAPFMNGKAIQKLPQYDSFNNGSQTFLQIDPGNLLSNSNNINISSETTVLEQIGQSLLLDDNDADVVDAAEEERLLSTVNSLSSLIG* >Brasy3G103200.2.p pacid=40041048 transcript=Brasy3G103200.2 locus=Brasy3G103200 ID=Brasy3G103200.2.v1.1 annot-version=v1.1 MFNWKTFFETTPITQPLLSNSGVMNDKGNHMNNQIDLGNSSSGVGCSSTRMDLANEIMMSANCMTNQQVVSGNQASYPNHGVLIPGSVPASTAMITEEPSGSQAQADDIFDWDKINEIMMDDNSTTNRQIVPENQASYPGHGVLIPGSAPATTSTAVTTEKQPSGSQAPAMFNWDVFFKKPLVTQPSVSSAPFMNGKAIQKLPQYDSFNNGSQTFLQIDPGNLLSNSNNINISSETTVLEQIGQSLLLDDNDADVVDAAEEERLLSTVNSLSSLIG* >Brasy3G037600.1.p pacid=40041049 transcript=Brasy3G037600.1 locus=Brasy3G037600 ID=Brasy3G037600.1.v1.1 annot-version=v1.1 MEEKSKLLGDDDQAAAMAMTASSPAPAPSFPEILLRLAQAFAGCLLQEDLQIDNRLVHARRPEPPGDPREGSCGDGGSHN* >Brasy3G144800.1.p pacid=40041050 transcript=Brasy3G144800.1 locus=Brasy3G144800 ID=Brasy3G144800.1.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.2.p pacid=40041051 transcript=Brasy3G144800.2 locus=Brasy3G144800 ID=Brasy3G144800.2.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.3.p pacid=40041052 transcript=Brasy3G144800.3 locus=Brasy3G144800 ID=Brasy3G144800.3.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.9.p pacid=40041053 transcript=Brasy3G144800.9 locus=Brasy3G144800 ID=Brasy3G144800.9.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.4.p pacid=40041054 transcript=Brasy3G144800.4 locus=Brasy3G144800 ID=Brasy3G144800.4.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.14.p pacid=40041055 transcript=Brasy3G144800.14 locus=Brasy3G144800 ID=Brasy3G144800.14.v1.1 annot-version=v1.1 MFRNKVLVRAIPSSAPPPPFLLRQVSFSAAAPSLDLDILCCRLSRRHRLACLPQPPTDALVIYQRCQSRAADEVVSKIAAAFPIASVGEEEEVVCSGSLVAKAVECGLRCLMLEHGWSFVGESIYVETMFAASEERTDLCALNVEVRSGPNDHFDFIVSPDAFRFTAHKISDIASSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKGIINQEMIHPHINSRNLIIYQMSCKRQDQCQIFRRICLAQRQYSQNQSLWAARAR* >Brasy3G144800.5.p pacid=40041056 transcript=Brasy3G144800.5 locus=Brasy3G144800 ID=Brasy3G144800.5.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.10.p pacid=40041057 transcript=Brasy3G144800.10 locus=Brasy3G144800 ID=Brasy3G144800.10.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.6.p pacid=40041058 transcript=Brasy3G144800.6 locus=Brasy3G144800 ID=Brasy3G144800.6.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.7.p pacid=40041059 transcript=Brasy3G144800.7 locus=Brasy3G144800 ID=Brasy3G144800.7.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISSSMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.8.p pacid=40041060 transcript=Brasy3G144800.8 locus=Brasy3G144800 ID=Brasy3G144800.8.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.11.p pacid=40041061 transcript=Brasy3G144800.11 locus=Brasy3G144800 ID=Brasy3G144800.11.v1.1 annot-version=v1.1 MWKSDLDQMIILTLLSHLMHFGLLHISMMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.12.p pacid=40041062 transcript=Brasy3G144800.12 locus=Brasy3G144800 ID=Brasy3G144800.12.v1.1 annot-version=v1.1 MWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G144800.15.p pacid=40041063 transcript=Brasy3G144800.15 locus=Brasy3G144800 ID=Brasy3G144800.15.v1.1 annot-version=v1.1 MMERFEHSKEVSLDGCNLQTACVILPTLKECHLIAFSKLLPPGHSLDKFIEFCSIKHGLETNYSYHAAVKLTHGDSWEKQWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKGYTGHGFQSQPVVLTSSFKSHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKGIINQEMIHPHINSRNLIIYQMSCKRQDQCQIFRRICLAQRQYSQNQSLWAARAR* >Brasy3G144800.13.p pacid=40041064 transcript=Brasy3G144800.13 locus=Brasy3G144800 ID=Brasy3G144800.13.v1.1 annot-version=v1.1 MWLPSPFILQGSALQPALKSVRASKAMSSLRSFIELLKAWNFFGQHQLVIKEQLILNCATTLPSWKKAITVHTARVDYSEDFGLSHPHLKDQLLTLDFRTPKPAVFCSLSAKLGNAKVDEKALSSDDDGTGNGKAHVALLKPSFSRTKRADRNSTRHFSVESSDADSLSKLSDTSLPKSSLRCFTKATHANPVNSSSASNIKQVIQEAQEGHQGGDHAKNFKASEYLKRKHAEFLGNSCEAGNVKDYIPDVLQETRSMPDIQEDLLSTKAIQPKSKSMGCKGEMTATTKLKRKPEVVTNEFNKMVVDHQKDEFSKKITRVKVKAKDELTSTRTKARLDVDKDELTAKVIDHHKRGELRLLTVAELKGFLSTKKAKVGGSKEVLIQRASELLS* >Brasy3G045200.1.p pacid=40041065 transcript=Brasy3G045200.1 locus=Brasy3G045200 ID=Brasy3G045200.1.v1.1 annot-version=v1.1 MSKKRKARARSRSRRSQGDSGGTLARRQPGLPYTHLSPRCRRRKPTRRTAAAGSSFSVPTSVPLLLRRRRRFLPRRGRLLRRRRRLLGRCVGLLSGEPGPVAARRQAPAWAREIRRQQAVPGFPDPSLSCLLLGGAARICRGLGEIQRKYWPPSTPAIWVRRDVLVAWRLRPFVFVVRSQRRRPDLVRFEFLPACPG* >Brasy3G092200.1.p pacid=40041066 transcript=Brasy3G092200.1 locus=Brasy3G092200 ID=Brasy3G092200.1.v1.1 annot-version=v1.1 MASFRKHCSLLLWLVLVAIDQKIAMASIYDDVEVNWGQDHSFFYTDGGGDELQTLALCLDQSRGGSGFHTKEAYLYARFDIDIMLVPKNSAGTVTTLYLMPEDVPWDYHDEVDLEFLGNVTGEPYTLHTNIFANGVGSREEQFRLWFDPTADFHTYSIDWNPKRITILVDGVPIRNFKNRAGMPFMTWQKMRLHGSLWNADDWATQGGRVKTDWSEAPFFASYRNLRVSWCQPSPGVAWCGDEPPESKRFDEGLDADALREARDKHMIYDYCKDEKRYKQGSELPKECTED* >Brasy3G337700.1.p pacid=40041067 transcript=Brasy3G337700.1 locus=Brasy3G337700 ID=Brasy3G337700.1.v1.1 annot-version=v1.1 MAFHLRSASIPSSPRSNKTNIEDQLQILKAEISAPSAAIETMIDGFRRLVGVYNNIEEIMCLPSSQITLCQKNQRKAVELELEHCLVLLDICNTIQESFSELTTRTQEMQLVLKRGDDKAVQAKIHSYIRLTNKAQKQFKKCASADQESCRVVMLLAKQERLLY* >Brasy3G197400.1.p pacid=40041068 transcript=Brasy3G197400.1 locus=Brasy3G197400 ID=Brasy3G197400.1.v1.1 annot-version=v1.1 MIVAECKQPGVGLAAALAGETSDVIKGLLFRRVIMVAQRQGARGDSLFSSLSIHVFPGFSI* >Brasy3G259400.1.p pacid=40041069 transcript=Brasy3G259400.1 locus=Brasy3G259400 ID=Brasy3G259400.1.v1.1 annot-version=v1.1 MTVLSEVGDKTFFAAAILAMRHPRKLVLAGCLSALTVMTALSASLGWVAPNLISRKWTHHVTTLLFFVFGIWSLWEGFKEDGESEELAEMEAKLDADFKSNKGEQKNKSKATDDTKKQRRPFLMQFFSPIFLKAFSITFFGEWGDKSQIATIGLAADENPFGVVIGGVIAQALCTTAAVMGGKSLASQISEKMVELSSGVLFLLFGILSLLSGPEGQL* >Brasy3G336100.1.p pacid=40041070 transcript=Brasy3G336100.1 locus=Brasy3G336100 ID=Brasy3G336100.1.v1.1 annot-version=v1.1 MDGSKETPGGGGGGGEGVGEVNPSADQNRSPNRPAAAGGEDDVSAVAAAAGADGAAARRPFTALSQEEADLALARVLQEQERAYMMLSGYGSGYTGSDAGSYDDYDEEDEGSDYEEEEEGALPGGGDGDEVVADAEVDPAHYENDEAYARALQDAEEREIAGRLMALAGISDLGQGMEHDGEDDGAYAEEGDSAQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVTYEAKDKQDSNTEQCVICRVEFEDGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVSTPGNSQA* >Brasy3G336100.2.p pacid=40041071 transcript=Brasy3G336100.2 locus=Brasy3G336100 ID=Brasy3G336100.2.v1.1 annot-version=v1.1 MDGSKETPGGGGGGGEGVGEVNPSADQNRSPNRPAAAGGEDDVSAVAAAAGADGAAARRPFTALSQEEADLALARVLQEQERAYMMLSGYGSGYTGSDAGSYDDYDEEDEGSDYEEEEEGALPGGGDGDEVVADAEVDPAHYENDEAYARALQDAEEREIAGRLMALAGISDWQGMEHDGEDDGAYAEEGDSAQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVTYEAKDKQDSNTEQCVICRVEFEDGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVSTPGNSQA* >Brasy3G336100.3.p pacid=40041072 transcript=Brasy3G336100.3 locus=Brasy3G336100 ID=Brasy3G336100.3.v1.1 annot-version=v1.1 MDGSKETPGGGGGGGEGVGEVNPSADQNRSPNRPAAAGGEDDVSAVAAAAGADGAAARRPFTALSQEEADLALARVLQEQERAYMMLSGYGSGYTGSDAGSYDDYDEEDEGSDYEEEEEGALPGGGDGDEVVADAEVDPAHYENDEAYARALQDAEEREIAGRLMALAGISDLGQGMEHDGEDDGAYAEEGDSAQELVALGEVVGTESRGLSADTLASLPSVTYEAKDKQDSNTEQCVICRVEFEDGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVSTPGNSQA* >Brasy3G142900.1.p pacid=40041073 transcript=Brasy3G142900.1 locus=Brasy3G142900 ID=Brasy3G142900.1.v1.1 annot-version=v1.1 MPDLLPPQPKPRQAAPQEAPFLLRALLCVVASQRRRLPFAAFRSLRVPTVRTSVARRGRHHLPSSAQLRSLAAAAAALRLTRQRRSPPAPRPPSSSAPPRPPRSSPSTLCARRHGPPPSSAQHAVLSAALFPRSAPPRSRRLTTFAAGRPLARTPPSILTGGVHIRSSLEWVPLESRETGTSPCVPVAISPELFRCPLLLRRLYKRRTNPRQIPRISPPASATIDALHLPHPQIDGQIHSQFSLAMNPPPSSGATGRGRVAEGWLARDANLDNGGPSSRQAARDLREINPRVDAFRRFLETKERETLEAAKAEAENEKADVIVLSDDQ* >Brasy3G193700.1.p pacid=40041074 transcript=Brasy3G193700.1 locus=Brasy3G193700 ID=Brasy3G193700.1.v1.1 annot-version=v1.1 MLRLSTTLSLLSLASLCPHHPRRLVRLRALTPAASSSPSARSLRLLEWGKVCRAVASFAGTAHGREATEKQLWGVEDVSYERSRRLLQETEAAVRLLGTSGGSFDFSGLDTVTVESAIQCVSGGSVIKGQEAMAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLMQSRELHNNLEVAQKNVMDHTTVQRKRKSRVVSEYAVMARSIIHKKFQQFRESAIAQRVLEEERAVEKAKSERVKGPEPSSTPAIKMAQNTNSSMATEANDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQIQAGMMKLKLKLKDVKIQKRRISR* >Brasy3G193700.5.p pacid=40041075 transcript=Brasy3G193700.5 locus=Brasy3G193700 ID=Brasy3G193700.5.v1.1 annot-version=v1.1 MLRLSTTLSLLSLASLCPHHPRRLVRLRALTPAASSSPSARSLRLLEWGKVCRAVASFAGTAHGREATEKQLWGVEDVSYERSRRLLQETEAAVRLLGTSGGSFDFSGLDTVTVESAIQCVSGGSVIKGQEAMAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETSPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLMQSRELHNNLEVAQKNVMDHTTVQRKRKSRVVSEYAVMARSIIHKKFQQFRESAIAQRVLEEERAVEKAKSERVKGPEPSSTPAIKMAQNTNSSMATEANDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQIQAGMMKLKLKLKDVKIQKRRISR* >Brasy3G193700.6.p pacid=40041076 transcript=Brasy3G193700.6 locus=Brasy3G193700 ID=Brasy3G193700.6.v1.1 annot-version=v1.1 MSGAGGCYRRPRQPYGCLAPPVESAIQCVSGGSVIKGQEAMAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLMQSRELHNNLEVAQKNVMDHTTVQRKRKSRVVSEYAVMARSIIHKKFQQFRESAIAQRVLEEERAVEKAKSERVKGPEPSSTPAIKMAQNTNSSMATEANDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQIQAGMMKLKLKLKDVKIQKRRISR* >Brasy3G193700.3.p pacid=40041077 transcript=Brasy3G193700.3 locus=Brasy3G193700 ID=Brasy3G193700.3.v1.1 annot-version=v1.1 MLRLSTTLSLLSLASLCPHHPRRLVRLRALTPAASSSPSARSLRLLEWGKVCRAVASFAGTAHGREATEKQLWGVEDVSYERSRRLLQETEAAVRLLGTSGGSFDFSGLDTVTVESAIQCVSGGSVIKGQEAMAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLIPGSFIITWKWRRRMLWITLLFSGKESQE* >Brasy3G193700.7.p pacid=40041078 transcript=Brasy3G193700.7 locus=Brasy3G193700 ID=Brasy3G193700.7.v1.1 annot-version=v1.1 MAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLMQSRELHNNLEVAQKNVMDHTTVQRKRKSRVVSEYAVMARSIIHKKFQQFRESAIAQRVLEEERAVEKAKSERVKGPEPSSTPAIKMAQNTNSSMATEANDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQIQAGMMKLKLKLKDVKIQKRRISR* >Brasy3G193700.2.p pacid=40041079 transcript=Brasy3G193700.2 locus=Brasy3G193700 ID=Brasy3G193700.2.v1.1 annot-version=v1.1 MAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLMQSRELHNNLEVAQKNVMDHTTVQRKRKSRVVSEYAVMARSIIHKKFQQFRESAIAQRVLEEERAVEKAKSERVKGPEPSSTPAIKMAQNTNSSMATEANDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQIQAGMMKLKLKLKDVKIQKRRISR* >Brasy3G193700.4.p pacid=40041080 transcript=Brasy3G193700.4 locus=Brasy3G193700 ID=Brasy3G193700.4.v1.1 annot-version=v1.1 MAVVSLMLFVESLQVTIRSAMRQDEESHNLLMPLTETILEAVVNKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQSLESRLCQLMDRLIRNADSEASLSEVSIVNGRCCIRITGDKSLSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVARAELDALSKLTDKILLELDNIQILLQETITLDKVTARAKYSIAYDGTLPDLYLPKFEHETVNTSTDWPVSATSPAQLPKKPWKVFIPNAFHPLLLQQHQENLHRIKKDVASATAEIRRRRIYGQDIAEEDQLASDLDSMKNRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYDEQLQQAQHYLIPGSFIITWKWRRRMLWITLLFSGKESQE* >Brasy3G343900.1.p pacid=40041081 transcript=Brasy3G343900.1 locus=Brasy3G343900 ID=Brasy3G343900.1.v1.1 annot-version=v1.1 MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLLWYTRDKKSDSEDAMKEEIRRVKEEEEQAMREALGLAPKRSSRPKGNRLDKHEYAELIKRGSTAEDMGAGHAEAAQVRGLGLYKGPQNEEEPSSPNLDASEMKPEQIEFSPPHATKQEDSEDDDRKGKRRRGEERREEKGKERRRGEHSGGKERRRERHDSSRSSRHEEDREKRHRKDKQKKRRHDSD* >Brasy3G316800.1.p pacid=40041082 transcript=Brasy3G316800.1 locus=Brasy3G316800 ID=Brasy3G316800.1.v1.1 annot-version=v1.1 MEDHLKAGNAGGGRGFLFPPVHGAEGAASLGLALRSFGPTRGRVQPLLPEPEVSLLGDYAPLKISKLPRSSPPRTSPAGLHKASLLSPTSPLDTGKLSPQPSLLKKKKTELACYPTLRHVLVELRGASCKKLYFATI* >Brasy3G219400.1.p pacid=40041083 transcript=Brasy3G219400.1 locus=Brasy3G219400 ID=Brasy3G219400.1.v1.1 annot-version=v1.1 MATSIRALVILAALAVLGAVAAAALDTSPVAFDAGFAPLFGGDNLVRSPDGRSVQLKLDRYTGSGFVSKSTYRHGFFSASIKLPEGYTAGVVVAFYLSNGDEYPANHDEVDVELLGNRPGHGWRLQTNLYGNGSTGRGREERYLLPSPQSLQPQTVHTFAMAWTPNAVIFYLDSVPIREVVRVPSMGGDFPSKPMSVYATIWDGSAWATDGGRHKVDYAHAPFTAAFSDLVLAGGHDVEVLTADAAVMTPAKRAAMRRFRGRHLTYTACRDRVRYKISAGVLPECDDDGDEGLGFHEWGEVAKKAGWGRSPAARLRSSLELEQFLN* >Brasy3G219400.2.p pacid=40041084 transcript=Brasy3G219400.2 locus=Brasy3G219400 ID=Brasy3G219400.2.v1.1 annot-version=v1.1 MVDRSGSGFVSKSTYRHGFFSASIKLPEGYTAGVVVAFYLSNGDEYPANHDEVDVELLGNRPGHGWRLQTNLYGNGSTGRGREERYLLPSPQSLQPQTVHTFAMAWTPNAVIFYLDSVPIREVVRVPSMGGDFPSKPMSVYATIWDGSAWATDGGRHKVDYAHAPFTAAFSDLVLAGGHDVEVLTADAAVMTPAKRAAMRRFRGRHLTYTACRDRVRYKISAGVLPECDDDGDEGLGFHEWGEVAKKAGWGRSPAARLRSSLELEQFLN* >Brasy3G321900.1.p pacid=40041085 transcript=Brasy3G321900.1 locus=Brasy3G321900 ID=Brasy3G321900.1.v1.1 annot-version=v1.1 MHYYKTSRGRPQPPLPSSTLAPAAHKEQRPPPPPPDPATPTPAATGSAPAPPPHRQPRLRPSTAEPSDPAAAGPAAGSGHRGFGRPRIRPPQVRAPPPWGPAAAVKPRPPSSRQHTGTSLPHSLSHTRWWLTRGGRWWRGLVRAAAPAPPGCSSCFD* >Brasy3G130600.1.p pacid=40041086 transcript=Brasy3G130600.1 locus=Brasy3G130600 ID=Brasy3G130600.1.v1.1 annot-version=v1.1 MPSISSPKHIQAFTRPVHPPNSEPAAAAMADLSAEKLGSILEQAEKAAIKIRAQRDHLQASQVMLEGDAPPSDVGGLKWVKDLVSGQIEELRYEGLDAGARNLTAVLTVAAAEDGAASLALNSSFPVMPEEQLHDALLAHAEEIPKGSTAFSRVEAALFAVKLAREHHLAQISSPVTAGGGGQAGLPAAAPDNALSPQEAASMEQASACLGRALTLVKLTLEHVDIAAAMLSGFLDAKEAGDADEDGIIT* >Brasy3G227300.1.p pacid=40041087 transcript=Brasy3G227300.1 locus=Brasy3G227300 ID=Brasy3G227300.1.v1.1 annot-version=v1.1 MAPRLFPGPSTIATAAADAARTTSSSGAMALAAPFPDLGVPLSADDLRTTAYEVLVAASRATGAKPLTYIPQSTSASTASSSTSSATTSASISTTSSSSLQRSLTSTAASKVKKALGLRSSRAAAPRRPASAADLVRVKLRVTEQADARIRRGLLRIAASQLGRRAESMILPLEFLRQCKASDFPDPQEYVAWQFRNLKLLETGLLVHPLVPLSKSDISAQRLRQIIHRAYERPLETGKDSESMQELSSAVKSLASRSLDGRSDECHWADGFPLNFHIYRMLVEACFESENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFAMLDQVDIELLSAAERQLTEVVNDAKITEDPDYCDILSSTLSSIMGWTEQRLLAYHETFSTSNIDSMHGIISIGVSAAKILAKDTSKEYRRRRKGETDVARGRIEAYIRSSLRTAFAQRMEEADSKRSSRNPVPVLSILAKDIGDLATKEKNMYSPILKKWHPFASGVAVTTLHSCFGNELKQFMDGLTKLTPDAAQVLNAADKLEKYLVKIAVEDSVDSDDGGKSLIRQMPPYEAENAITNLVKAWVKDRVDRLKGWVDRSLQQETWNPKANRQSIAPSSVEMLRIIDEILDAFFQLPIPMHSTTFPDLAAGIDRIIQYYVPKAKSCCGTRSTTIPQLPHLTRCDVGSKLFKKKEKPHVLMKRGSQVGSSTGNSASDLPELCVRINTLHYIQTELENLKKKAKTCLRNCESAQDGITDGLINFELSQASCQDGIRQLCDTTAYKLVFNCLSHVLLDTLYVGGTSSNRVEPLLRELDSILRVISGIVHNGVRSRLITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQIIENDFRDLRGLYFANGDGLPEELVDKASLEVKNILPLLQTDTGILIQRFKQTISRCYESPAKSRFPMPAVPAQWSPDDPNTILRVLCYRNDEMASKFLKKTYDLPKKL* >Brasy3G227300.2.p pacid=40041088 transcript=Brasy3G227300.2 locus=Brasy3G227300 ID=Brasy3G227300.2.v1.1 annot-version=v1.1 MAPRLFPGPSTIATAAADAARTTSSSGAMALAAPFPDLGVPLSADDLRTTAYEVLVAASRATGAKPLTYIPQSTSASTASSSTSSATTSASISTTSSSSLQRSLTSTAASKVKKALGLRSSRAAAPRRPASAADLVRVKLRVTEQADARIRRGLLRIAASQLGRRAESMILPLEFLRQCKASDFPDPQEYVAWQFRNLKLLETGLLVHPLVPLSKSDISAQRLRQIIHRAYERPLETGKDSESMQELSSAVKSLASRSLDGRSDECHWADGFPLNFHIYRMLVEACFESENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFAMLDQVDIELLSAAERQLTEVVNDAKITEDPDYCDILSSTLSSIMGWTEQRLLAYHETFSTSNIDSMHGIISIGVSAAKILAKDTSKEYRRRRKGETDVARGRIEAYIRSSLRTAFAQRMEEADSKRSSRNPVPVLSILAKDIGDLATKEKNMYSPILKKWHPFASGVAVTTLHSCFGNELKQFMDGLTKLTPDAAQVLNAADKLEKYLVKIAVEDSVDSDDGGKSLIRQMPPYEAENAITNLVKAWVKDRVDRLKGWVDRSLQQELPIPMHSTTFPDLAAGIDRIIQYYVPKAKSCCGTRSTTIPQLPHLTRCDVGSKLFKKKEKPHVLMKRGSQVGSSTGNSASDLPELCVRINTLHYIQTELENLKKKAKTCLRNCESAQDGITDGLINFELSQASCQDGIRQLCDTTAYKLVFNCLSHVLLDTLYVGGTSSNRVEPLLRELDSILRVISGIVHNGVRSRLITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQIIENDFRDLRGLYFANGDGLPEELVDKASLEVKNILPLLQTDTGILIQRFKQTISRCYESPAKSRFPMPAVPAQWSPDDPNTILRVLCYRNDEMASKFLKKTYDLPKKL* >Brasy3G227300.5.p pacid=40041089 transcript=Brasy3G227300.5 locus=Brasy3G227300 ID=Brasy3G227300.5.v1.1 annot-version=v1.1 MSTQLGRRAESMILPLEFLRQCKASDFPDPQEYVAWQFRNLKLLETGLLVHPLVPLSKSDISAQRLRQIIHRAYERPLETGKDSESMQELSSAVKSLASRSLDGRSDECHWADGFPLNFHIYRMLVEACFESENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFAMLDQVDIELLSAAERQLTEVVNDAKITEDPDYCDILSSTLSSIMGWTEQRLLAYHETFSTSNIDSMHGIISIGVSAAKILAKDTSKEYRRRRKGETDVARGRIEAYIRSSLRTAFAQRMEEADSKRSSRNPVPVLSILAKDIGDLATKEKNMYSPILKKWHPFASGVAVTTLHSCFGNELKQFMDGLTKLTPDAAQVLNAADKLEKYLVKIAVEDSVDSDDGGKSLIRQMPPYEAENAITNLVKAWVKDRVDRLKGWVDRSLQQETWNPKANRQSIAPSSVEMLRIIDEILDAFFQLPIPMHSTTFPDLAAGIDRIIQYYVPKAKSCCGTRSTTIPQLPHLTRCDVGSKLFKKKEKPHVLMKRGSQVGSSTGNSASDLPELCVRINTLHYIQTELENLKKKAKTCLRNCESAQDGITDGLINFELSQASCQDGIRQLCDTTAYKLVFNCLSHVLLDTLYVGGTSSNRVEPLLRELDSILRVISGIVHNGVRSRLITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQIIENDFRDLRGLYFANGDGLPEELVDKASLEVKNILPLLQTDTGILIQRFKQTISRCYESPAKSRFPMPAVPAQWSPDDPNTILRVLCYRNDEMASKFLKKTYDLPKKL* >Brasy3G227300.4.p pacid=40041090 transcript=Brasy3G227300.4 locus=Brasy3G227300 ID=Brasy3G227300.4.v1.1 annot-version=v1.1 MILPLEFLRQCKASDFPDPQEYVAWQFRNLKLLETGLLVHPLVPLSKSDISAQRLRQIIHRAYERPLETGKDSESMQELSSAVKSLASRSLDGRSDECHWADGFPLNFHIYRMLVEACFESENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFAMLDQVDIELLSAAERQLTEVVNDAKITEDPDYCDILSSTLSSIMGWTEQRLLAYHETFSTSNIDSMHGIISIGVSAAKILAKDTSKEYRRRRKGETDVARGRIEAYIRSSLRTAFAQRMEEADSKRSSRNPVPVLSILAKDIGDLATKEKNMYSPILKKWHPFASGVAVTTLHSCFGNELKQFMDGLTKLTPDAAQVLNAADKLEKYLVKIAVEDSVDSDDGGKSLIRQMPPYEAENAITNLVKAWVKDRVDRLKGWVDRSLQQETWNPKANRQSIAPSSVEMLRIIDEILDAFFQLPIPMHSTTFPDLAAGIDRIIQYYVPKAKSCCGTRSTTIPQLPHLTRCDVGSKLFKKKEKPHVLMKRGSQVGSSTGNSASDLPELCVRINTLHYIQTELENLKKKAKTCLRNCESAQDGITDGLINFELSQASCQDGIRQLCDTTAYKLVFNCLSHVLLDTLYVGGTSSNRVEPLLRELDSILRVISGIVHNGVRSRLITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQIIENDFRDLRGLYFANGDGLPEELVDKASLEVKNILPLLQTDTGILIQRFKQTISRCYESPAKSRFPMPAVPAQWSPDDPNTILRVLCYRNDEMASKFLKKTYDLPKKL* >Brasy3G227300.3.p pacid=40041091 transcript=Brasy3G227300.3 locus=Brasy3G227300 ID=Brasy3G227300.3.v1.1 annot-version=v1.1 MAPRLFPGPSTIATAAADAARTTSSSGAMALAAPFPDLGVPLSADDLRTTAYEVLVAASRATGAKPLTYIPQSTSASTASSSTSSATTSASISTTSSSSLQRSLTSTAASKVKKALGLRSSRAAAPRRPASAADLVRVKLRVTEQADARIRRGLLRIAASQLGRRAESMILPLEFLRQCKASDFPDPQEYVAWQFRNLKLLETGLLVHPLVPLSKSDISAQRLRQIIHRAYERPLETGKDSESMQELSSAVKSLASRSLDGRSDECHWADGFPLNFHIYRMLVEACFESENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFAMLDQVDIELLSAAERQLTEVVNDAKITEDPDYCDILSSTLSSIMGWTEQRLLAYHETFSTSNIDSMHGIISIGVSAAKILAKDTSKEYRRRRKGETDVARGRIEAYIRSSLRTAFAQRMEEADSKRSSRNPVPVLSILAKDIGDLATKEKNMYSPILKKWHPFASGVAVTTLHSCFGNELKQFMDGLTKLTPDAAQVLNAADKLEKYLVKIAVEDSVDSDDGGKSLIRQMPPYEAENAITNLVKAWVKDRVDRLKGWVDRSLQQEGPGVLPFHNYLT* >Brasy3G137800.1.p pacid=40041092 transcript=Brasy3G137800.1 locus=Brasy3G137800 ID=Brasy3G137800.1.v1.1 annot-version=v1.1 MAAVVEGGGGDKKQAALVAESVVLPASMVLVQLFSIVLVLLSKLALNTGMRPFVLLAYRNIIGAAAIAPLAFIFERKARKIPNLVEWGWISINATFGVILSMGLYYYGLRGTNATYSVVFLNLIPIVTSIIAILLRAEKLMLTKWPGKMKLFGILVCVGGTMVVSLFKGKMLHNPWPTHLLRPHTQGAATPAIHQSMVAGTLFLCGSCLGYAFWFIIQVRLAKVFPFKYWTTTLTCLSGSLQAFVIGILIDPDKSAWRLNWDLQLLTVVYSGVFNTGVAFLLMSWAVKRRGPIYPSMFNSLAMIATVIMDSALLGTSLFLGSIVGTLLVILGLYTFLWGKGKELQEAMEAVAAAKSASEKEDAANGDEQGGRELEVRRGDEIA* >Brasy3G184200.1.p pacid=40041093 transcript=Brasy3G184200.1 locus=Brasy3G184200 ID=Brasy3G184200.1.v1.1 annot-version=v1.1 MATATASTPLRPLPSPPSLPRLAGSLRRWCWGIPAHSHRRAFHAHRRRRFVLSALLCAAVKGPEESFKKTIEVDRLIDMLRDANPRELDVIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENIMNIVDRVVHKTDEKIEQSTDVLKAIISPVMQEGEDVMWPPRDPEALKLMEIEISNREKEGQLDESFLSEVNAQLRQAKEDGDKPGLQAMLQKVLQLYACNFLQKRSYAYKGGEVVVPEKFLESIIQAPENDWNKLLLDGLTVGKGDVSPEELYAVTKKRIERILIRTEGGSYQQRVLVEYIKEIQARAEEIVNRLQGPAM* >Brasy3G184200.2.p pacid=40041094 transcript=Brasy3G184200.2 locus=Brasy3G184200 ID=Brasy3G184200.2.v1.1 annot-version=v1.1 MATATASTPLRPLPSPPSLPRLAGSLRRWCWGIPAHSHRRAFHAHRRRRSALLCAAVKGPEESFKKTIEVDRLIDMLRDANPRELDVIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENIMNIVDRVVHKTDEKIEQSTDVLKAIISPVMQEGEDVMWPPRDPEALKLMEIEISNREKEGQLDESFLSEVNAQLRQAKEDGDKPGLQAMLQKVLQLYACNFLQKRSYAYKGGEVVVPEKFLESIIQAPENDWNKLLLDGLTVGKGDVSPEELYAVTKKRIERILIRTEGGSYQQRVLVEYIKEIQARAEEIVNRLQGPAM* >Brasy3G184200.3.p pacid=40041095 transcript=Brasy3G184200.3 locus=Brasy3G184200 ID=Brasy3G184200.3.v1.1 annot-version=v1.1 MATATASTPLRPLPSPPSLPRLAGSLRRWCWGIPAHSHRRAFHAHRRRRFVLSALLCAAVKGPEESFKKTIEVDRLIDMLRDANPRELDVIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENIMNIVDRVVHKTDEKIEQSTDVLKAIISPVMQEGEDVMWPPRDPEALKLMEIEISNREKEGQLDESFLSEVNAQLRLKKMVINQGFKPCCKRYCNYMLATFSKSAVMLIKGEKSWCLKSFLNR* >Brasy3G184200.4.p pacid=40041096 transcript=Brasy3G184200.4 locus=Brasy3G184200 ID=Brasy3G184200.4.v1.1 annot-version=v1.1 MATATASTPLRPLPSPPSLPRLAGSLRRWCWGIPAHSHRRAFHAHRRRRSALLCAAVKGPEESFKKTIEVDRLIDMLRDANPRELDVIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENIMNIVDRVVHKTDEKIEQSTDVLKAIISPVMQEGEDVMWPPRDPEALKLMEIEISNREKEGQLDESFLSEVNAQLRLKKMVINQGFKPCCKRYCNYMLATFSKSAVMLIKGEKSWCLKSFLNR* >Brasy3G284700.1.p pacid=40041097 transcript=Brasy3G284700.1 locus=Brasy3G284700 ID=Brasy3G284700.1.v1.1 annot-version=v1.1 MDILQSQALQAELRERTEQFGQCWANFQQQFVEMQQSYHHTIQRLSLQLHEMRLLANDQEDNLQAAQEGSPDLSYVQNKRDNLAGNGSALADGNQSMTNNGSPDDFMKGKNAHAVPVFPSSLLGIGGFFPPGQMAGMHSYMLHPQGITQSLAPPNSGVPQFGTFQPTIQVVSNQAYTGQINRKHTMCHQHQMQQFISHPNQDQNVLQSGASKNSELSSKQSQVAHPEYPTAHAEQQQRSPSIIAEQTHEPKFITKSKRPRIHLRMPALLESLIIKKRAELKGEKVTSGKQSVEQVPRKQNQASDITGSTTQEPALLDERSLLACIVRAVPAGSDN* >Brasy3G187700.1.p pacid=40041098 transcript=Brasy3G187700.1 locus=Brasy3G187700 ID=Brasy3G187700.1.v1.1 annot-version=v1.1 MAASGDLLDVDPPEIQFPFELDKQISCPLKMTNKTDSTVAFKIKTTSPKKYCVRPNNGVVRPRSTCVVVVTMQAQTIAPPDLQCKDKFLVQSVVVGDGLSAQDVTPQMFMKKEGNIVEEVKMRVTYVMPPESPSEIAEESHGPQRILVPMQQILDNGRSASELSSGSVSLRSAELGTEVGSPRGPLMRNEELLKAAGHAAETRTYSGPDVQSLELSALIAKLTEEKDYALEQNKKLRNELRLKSAVPADIRRAIGEGTARDLPSTTSLLLDFFDGLPLFHQVISELTDPELALCRKDKGRAVELKGRGNACFSKREFEQALGFYSQALRYVPISSDGNYEILVPTLYVNRASTMHKLGLLKECLRDCNRAISVSSNYAKAWYRRGMVNASLKKYSSAIHDLKVALSMEVTSSGKSNIEQELKLILLKHRNVNEVGRSSSDCQDAGLPHTAEPHKVVLECASTPNKGRGMMSLNDISPASLIHVEDPLAAIIIKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKECQEQAVGDISWNQDTSLEFNSHHLVDNAKLGLTSTRCKTSNSKQIAEHMHECGGAHWAAVLPADIVLAGRVMAQYIDKRLLTGKSSAISGPNLDLVHHYDIDSPTSKLESHIYAIVLLLCLQKYYRSDLSWREESLSQLVLLICQIKVNSIAIVHMKSVDGGQELTESKGYSTASDAVMCSVEQIRVAQAIYMSGSLFNHSCRPNVHTYFHSRTLFLRSTEYIESGSPLELSYGPQAGEMDLLERQKSLQENYKFSCRCSSCSELNLSDLVIDSFCCPRSFCPGAVSESTCYRSEENFVHVSLNGSDVCKLSLPDVSKVDEDMEKVGKLFFRNDDANLKIDPGYCMSCRSQLDLSSVVATLDRAASKINRFKELIVIDDVSEVLITNALQSLEQIKKLRHPYSKALAQAEDKIAEAFAKVGDQEQARKHCEASIKILEKLYHPKHIAIAHELIKLISIKLCMGDKAGAAATVTRAEAIFSLYYGPDVQRVLPYIDALKIAVSG* >Brasy3G187700.2.p pacid=40041099 transcript=Brasy3G187700.2 locus=Brasy3G187700 ID=Brasy3G187700.2.v1.1 annot-version=v1.1 MAASGDLLDVDPPEIQFPFELDKQISCPLKMTNKTDSTVAFKIKTTSPKKYCVRPNNGVVRPRSTCVVVVTMQAQTIAPPDLQCKDKFLVQSVVVGDGLSAQDVTPQMFMKKEGNIVEEVKMRVTYVMPPESPSEIAEESHGPQRILVPMQQILDNGRSASELSSGSVSLRSAELGTEVGSPRGPLMRNEELLKAAGHAAETRTYSGPDVQSLELSALIAKLTEEKDYALEQNKKLRNELRLKSAVPADIRRAIGEGTARDLPSTTSLLLDFFDGLPLFHQVISELTDPELALCRKDKGRAVELKGRGNACFSKREFEQALGFYSQALRYVPISSDGNYEILVPTLYVNRASTMHKLGLLKECLRDCNRAISVSSNYAKAWYRRGMVNASLKKYSSAIHDLKVALSMEVTSSGKSNIEQELKLILLKHRNVNEVGRSSSDCQDAGLPHTEPHKVVLECASTPNKGRGMMSLNDISPASLIHVEDPLAAIIIKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKECQEQAVGDISWNQDTSLEFNSHHLVDNAKLGLTSTRCKTSNSKQIAEHMHECGGAHWAAVLPADIVLAGRVMAQYIDKRLLTGKSSAISGPNLDLVHHYDIDSPTSKLESHIYAIVLLLCLQKYYRSDLSWREESLSQLVLLICQIKVNSIAIVHMKSVDGGQELTESKGYSTASDAVMCSVEQIRVAQAIYMSGSLFNHSCRPNVHTYFHSRTLFLRSTEYIESGSPLELSYGPQAGEMDLLERQKSLQENYKFSCRCSSCSELNLSDLVIDSFCCPRSFCPGAVSESTCYRSEENFVHVSLNGSDVCKLSLPDVSKVDEDMEKVGKLFFRNDDANLKIDPGYCMSCRSQLDLSSVVATLDRAASKINRFKELIVIDDVSEVLITNALQSLEQIKKLRHPYSKALAQAEDKIAEAFAKVGDQEQARKHCEASIKILEKLYHPKHIAIAHELIKLISIKLCMGDKAGAAATVTRAEAIFSLYYGPDVQRVLPYIDALKIAVSG* >Brasy3G187700.3.p pacid=40041100 transcript=Brasy3G187700.3 locus=Brasy3G187700 ID=Brasy3G187700.3.v1.1 annot-version=v1.1 MERLKSAVPADIRRAIGEGTARDLPSTTSLLLDFFDGLPLFHQVISELTDPELALCRKDKGRAVELKGRGNACFSKREFEQALGFYSQALRYVPISSDGNYEILVPTLYVNRASTMHKLGLLKECLRDCNRAISVSSNYAKAWYRRGMVNASLKKYSSAIHDLKVALSMEVTSSGKSNIEQELKLILLKHRNVNEVGRSSSDCQDAGLPHTAEPHKVVLECASTPNKGRGMMSLNDISPASLIHVEDPLAAIIIKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKECQEQAVGDISWNQDTSLEFNSHHLVDNAKLGLTSTRCKTSNSKQIAEHMHECGGAHWAAVLPADIVLAGRVMAQYIDKRLLTGKSSAISGPNLDLVHHYDIDSPTSKLESHIYAIVLLLCLQKYYRSDLSWREESLSQLVLLICQIKVNSIAIVHMKSVDGGQELTESKGYSTASDAVMCSVEQIRVAQAIYMSGSLFNHSCRPNVHTYFHSRTLFLRSTEYIESGSPLELSYGPQAGEMDLLERQKSLQENYKFSCRCSSCSELNLSDLVIDSFCCPRSFCPGAVSESTCYRSEENFVHVSLNGSDVCKLSLPDVSKVDEDMEKVGKLFFRNDDANLKIDPGYCMSCRSQLDLSSVVATLDRAASKINRFKELIVIDDVSEVLITNALQSLEQIKKLRHPYSKALAQAEDKIAEAFAKVGDQEQARKHCEASIKILEKLYHPKHIAIAHELIKLISIKLCMGDKAGAAATVTRAEAIFSLYYGPDVQRVLPYIDALKIAVSG* >Brasy3G187700.5.p pacid=40041101 transcript=Brasy3G187700.5 locus=Brasy3G187700 ID=Brasy3G187700.5.v1.1 annot-version=v1.1 MERLKSAVPADIRRAIGEGTARDLPSTTSLLLDFFDGLPLFHQVISELTDPELALCRKDKGRAVELKGRGNACFSKREFEQALGFYSQALRYVPISSDGNYEILVPTLYVNRASTMHKLGLLKECLRDCNRAISVSSNYAKAWYRRGMVNASLKKYSSAIHDLKVALSMEVTSSGKSNIEQELKLILLKHRNVNEVGRSSSDCQDAGLPHTEPHKVVLECASTPNKGRGMMSLNDISPASLIHVEDPLAAIIIKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKECQEQAVGDISWNQDTSLEFNSHHLVDNAKLGLTSTRCKTSNSKQIAEHMHECGGAHWAAVLPADIVLAGRVMAQYIDKRLLTGKSSAISGPNLDLVHHYDIDSPTSKLESHIYAIVLLLCLQKYYRSDLSWREESLSQLVLLICQIKVNSIAIVHMKSVDGGQELTESKGYSTASDAVMCSVEQIRVAQAIYMSGSLFNHSCRPNVHTYFHSRTLFLRSTEYIESGSPLELSYGPQAGEMDLLERQKSLQENYKFSCRCSSCSELNLSDLVIDSFCCPRSFCPGAVSESTCYRSEENFVHVSLNGSDVCKLSLPDVSKVDEDMEKVGKLFFRNDDANLKIDPGYCMSCRSQLDLSSVVATLDRAASKINRFKELIVIDDVSEVLITNALQSLEQIKKLRHPYSKALAQAEDKIAEAFAKVGDQEQARKHCEASIKILEKLYHPKHIAIAHELIKLISIKLCMGDKAGAAATVTRAEAIFSLYYGPDVQRVLPYIDALKIAVSG* >Brasy3G187700.4.p pacid=40041102 transcript=Brasy3G187700.4 locus=Brasy3G187700 ID=Brasy3G187700.4.v1.1 annot-version=v1.1 MERLKSAVPADIRRAIGEGTARDLPSTTSLLLDFFDGLPLFHQVISELTDPELALCRKDKGRAVELKGRGNACFSKREFEQALGFYSQALRYVPISSDGNYEILVPTLYVNRASTMHKLGLLKECLRDCNRAISVSSNYAKAWYRRGMVNASLKKYSSAIHDLKVALSMEVTSSGKSNIEQELKLILLKHRNVNEVGRSSSDCQDAGLPHTEPHKVVLECASTPNKGRGMMSLNDISPASLIHVEDPLAAIIIKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKECQEQAVGDISWNQDTSLEFNSHHLVDNAKLGLTSTRCKTSNSKQIAEHMHECGGAHWAAVLPADIVLAGRVMAQYIDKRLLTGKSSAISGPNLDLVHHYDIDSPTSKLESHIYAIVLLLCLQKYYRSDLSWREESLSQLVLLICQIKVNSIAIVHMKSVDGGQELTESKGYSTASDAVMCSVEQIRVAQAIYMSGSLFNHSCRPNVHTYFHSRTLFLRSTEYIESGSPLELSYGPQAGEMDLLERQKSLQENYKFSCRCSSCSELNLSDLVIDSFCCPRSFCPGAVSESTCYRSEENFVHVSLNGSDVCKLSLPDVSKVDEDMEKVGKLFFRNDDANLKIDPGYCMSCRSQLDLSSVVATLDRAASKINRFKELIVIDDVSEVLITNALQSLEQIKKLRHPYSKALAQAEDKIAEAFAKVGDQEQARKHCEASIKILEKLYHPKHIAIAHELIKLISIKLCMGDKAGAAATVTRAEAIFSLYYGPDVQRVLPYIDALKIAVSG* >Brasy3G134700.1.p pacid=40041103 transcript=Brasy3G134700.1 locus=Brasy3G134700 ID=Brasy3G134700.1.v1.1 annot-version=v1.1 MASTAGAGCHLLAPLSPIPISIPTAAAAAGASSRNTRMCVLSAAAASGGGGGGRMVGRRPIEDVYKVRVERGATAQARVEALRVMETWSAWRTGGRCHMPWDWQVDQLVYVVSGEVRVLPTGATTGEEYMHFVAGDLVRYPKWFEADLHFDGPYEERYRFLAYGDDN* >Brasy3G271300.1.p pacid=40041104 transcript=Brasy3G271300.1 locus=Brasy3G271300 ID=Brasy3G271300.1.v1.1 annot-version=v1.1 MDSSPSDLTLDYTPNGNGGGGGGHPMTPKQAPPLVQEQHHLTAEQASATTIQKLQEFLSRLDDERLKIDAFKRELPLCMQLLNQAMEAYRQQLEACQMGSSHGGAAAAARAPLVLEEFIPLSKNIVGADAADQKTATENPKASWMVSAQLWNGPPPSSADAPETPKERAEHPPLDGGRGNGGAFLPFTKEMMATTDHSPAALLPELALAPGAEKDDAMVGINNGLSASGDKKPYLHHDAGGGGNNGNLGVARRDDGVQINGSSQTTTAAAEAGQAQAPQTNRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMQAPTAPPAGAPQLVVLGGIWMPPDYAAQAAAAGPAALYGAHPATQAHYTAAVSSAAAQEYYHSAAAHHHHLQQHHHHPHPAMAHGRAVAAAPPASAYKVHHPAAAASPESEGRGSGGGGRERSESIEEEGEGEDEEDDEDGLAADADADEIKY* >Brasy3G271300.2.p pacid=40041105 transcript=Brasy3G271300.2 locus=Brasy3G271300 ID=Brasy3G271300.2.v1.1 annot-version=v1.1 MDSSPSDLTLDYTPNGNGGGGGGHPMTPKQAPPLVQEQHHLTAEQASATTIQKLQEFLSRLDDERLKIDAFKRELPLCMQLLNQAMEAYRQQLEACQMGSSHGGAAAAARAPLVLEEFIPLSKNIVGADAADQKTATENPKASWMVSAQLWNGPPPSSADAPETPKERAEHPPLDGGRGNGGAFLPFTKEMMATTDHSPAALLPELALAPGAEKDDAMVGINNGLSASGDKKPYLHHDAGGGGNNGNLGVARRDDGVQINGSSQTTTAAAEAGQAQAPQTNRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMQAPTAPPAGAPQLVVLGGIWMPPDYAAQAAAAGPAALYGAHPATQAHYTAAVSSAAAQEYYHSAAAHHHHLQQHHHHPHPAMAHGRAVAAAPPASAYKVHHPAAAASPESEGRGSGGGGRERSESIEEEGEGEDEEDDEDGLAADADADEIKY* >Brasy3G271300.3.p pacid=40041106 transcript=Brasy3G271300.3 locus=Brasy3G271300 ID=Brasy3G271300.3.v1.1 annot-version=v1.1 MDSSPSDLTLDYTPNGNGGGGGGHPMTPKQAPPLVQEQHHLTAEQASATTIQKLQEFLSRLDDERLKIDAFKRELPLCMQLLNQAMEAYRQQLEACQMGSSHGGAAAAARAPLVLEEFIPLSKNIVGADAADQKTATENPKASWMVSAQLWNGPPPSSADAPETPKERAEHPPLDGGRGNGGAFLPFTKEMMATTDHSPAALLPELALAPGAEKDDAMVGINNGLSASGDKKPYLHHDAGGGGNNGNLGVARRDDGVQINGSSQTTTAAAEAGQAQAPQTNRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQVRNYNPIIYIIIIPCLLHSDLHVLILRNYACNHFNYEQHA* >Brasy3G244400.1.p pacid=40041107 transcript=Brasy3G244400.1 locus=Brasy3G244400 ID=Brasy3G244400.1.v1.1 annot-version=v1.1 MEEEMLLEQGARGELLKLEQEAPVHSQVRKIKQEDEKARELLHRLQLPETRPSAADFRELSPRVSPSSSPLRRAGQAISVGD* >Brasy3G200500.1.p pacid=40041108 transcript=Brasy3G200500.1 locus=Brasy3G200500 ID=Brasy3G200500.1.v1.1 annot-version=v1.1 MADEDRPSTASNTADQERKQPRHDGNKIDESIGGGASMTCQESDDLSRFKLLSVDDSYPDSKSFDGLDDEDIGNPFLTDRFGNLTNWRLNDLEAAYENHLEDEASKPSIEKKYSEEEKTKLDNDCKEQYMKSALQKYNSEENLDGEMCFVFDEIKEVVFIVEGGLDFYEHYNFTAKQAGSTILFFAEVIPDDGKTCDVLCCKPLDYNDNGPCFGCKNQGSASLRHPTDQGLYVGGHVDCEFPFMWDSISEDSDGEG* >Brasy3G200500.2.p pacid=40041109 transcript=Brasy3G200500.2 locus=Brasy3G200500 ID=Brasy3G200500.2.v1.1 annot-version=v1.1 MADEDRPSTASNTADQERKQPRHDGNKIDESIGGGASMTCQESLDDEDIGNPFLTDRFGNLTNWRLNDLEAAYENHLEDEASKPSIEKKYSEEEKTKLDNDCKEQYMKSALQKYNSEENLDGEMCFVFDEIKEVVFIVEGGLDFYEHYNFTAKQAGSTILFFAEVIPDDGKTCDVLCCKPLDYNDNGPCFGCKNQGSASLRHPTDQGLYVGGHVDCEFPFMWDSISEDSDGEG* >Brasy3G200500.3.p pacid=40041110 transcript=Brasy3G200500.3 locus=Brasy3G200500 ID=Brasy3G200500.3.v1.1 annot-version=v1.1 MTCQESDDLSRFKLLSVDDSYPDSKSFDGLDDEDIGNPFLTDRFGNLTNWRLNDLEAAYENHLEDEASKPSIEKKYSEEEKTKLDNDCKEQYMKSALQKYNSEENLDGEMCFVFDEIKEVVFIVEGGLDFYEHYNFTAKQAGSTILFFAEVIPDDGKTCDVLCCKPLDYNDNGPCFGCKNQGSASLRHPTDQGLYVGGHVDCEFPFMWDSISEDSDGEG* >Brasy3G200500.4.p pacid=40041111 transcript=Brasy3G200500.4 locus=Brasy3G200500 ID=Brasy3G200500.4.v1.1 annot-version=v1.1 MTCQESLDDEDIGNPFLTDRFGNLTNWRLNDLEAAYENHLEDEASKPSIEKKYSEEEKTKLDNDCKEQYMKSALQKYNSEENLDGEMCFVFDEIKEVVFIVEGGLDFYEHYNFTAKQAGSTILFFAEVIPDDGKTCDVLCCKPLDYNDNGPCFGCKNQGSASLRHPTDQGLYVGGHVDCEFPFMWDSISEDSDGEG* >Brasy3G300100.1.p pacid=40041112 transcript=Brasy3G300100.1 locus=Brasy3G300100 ID=Brasy3G300100.1.v1.1 annot-version=v1.1 MDGNRVARMSVTWRGRQLDVDADPNCTVKEFGQLLQDLTNVKPETLKLIVPQSTTKGSKLIMPFSDAHSGLTLKEAAVSEGKPIRMMGVFDDEIEEVSDNGKRPDMRIIGFDEEEQRLRQRSSGRPKFSLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAVMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASLDWTKSSGHVLSGRKIFDSYEDEFVLEPDTDVVGHKLGGGSSSLASARVLSGAAAYQRLLNAASTDLESSHNRVVTATKTDNMQGTQVEDPDDDGQDLVQGIVKVEPDPDDNDAMHVDVAIVTSGPSGNMQGTQVEPDPDDDGKDLVQGIVKVEPDPDDNDAMHVDVAIVTSGPSGYSEPDPDDGGKKNSVSSPEPDPDDSPDVGILNKEMKIDVKHCKEPDPDDGAGEFVLDSGNKMEVEREPRGNMSVLKSEPDPDDSSNAILNKKVSIGDKHMREPDPDASTCGAVMKSGNNIEVEIRPSNSSILKSEPDPDDHAIDLSSNELQRIEEPVAALCSRLQKAIEMLRLQATPPEAASVLQTLFKIIKNVIENPNDIRYRRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAEAYLVLKRNDPGLLWLVKSSLEVSMA* >Brasy3G071800.1.p pacid=40041113 transcript=Brasy3G071800.1 locus=Brasy3G071800 ID=Brasy3G071800.1.v1.1 annot-version=v1.1 MARPMRPSSSSPSPATTSAVRARSSLARIRTAMTPAAGAGLQLYGCTAAAAMDAQLPICMHVLYRTRIFHLIL* >Brasy3G226400.1.p pacid=40041114 transcript=Brasy3G226400.1 locus=Brasy3G226400 ID=Brasy3G226400.1.v1.1 annot-version=v1.1 MKTSIHGSIQPSMCTSRRSSSISTNATRFSHQIISISKPYFKELQHQFASTVGEASNASRRLLGAFVDAVFTVSHQPLRSSEGNFAPVDEIGKITEILDIAGEIPTDFPEGVYIRNGSNPLFGALHSSNSIFGKSRDIWVEGEGMLHALYFTKSSNNTWSISYNNRYVQSDTFRLEKGLKKPCFLPTTDGDPTAILISGVLNNLRFGKAFKNMSNTSVFEHAGRIFSAAENDNPHEIDLYSLDTLGSWNVDGGWKMAFTAHPKVIPGTGELLIFGFSVDKPFLTVGIVSANGKKLKRKVAIKLERCTYCHEIGVTEMYNIILDTPLTLDKKRMLRGGPLIEFEKDSYARIGVMPRHGDTNSIIWFYVQPFCTIHLVNCFEEDDEVVVRGFHVPASIILGPRLDNMTKESTDGGLNDEYFSRLYEWRLNLKTRTVAGKYLTGTEIALEFPVINDKYVGLSHSPVRPKFRGFAKFCLDERKDVTTKHTSSEDLIKVEYRHLDRHQFCQGATFVPKVDGTSEDDGWLISFVHDEETNKSKVHIINTRRFEHGPIAKITLPQRVPYGFHGTYVSKSA* >Brasy3G325000.1.p pacid=40041115 transcript=Brasy3G325000.1 locus=Brasy3G325000 ID=Brasy3G325000.1.v1.1 annot-version=v1.1 MMALRAAASPGFRIAATVGGGRPRELPPPLALLAERGATTTMVVGGHRGMGMNVVGAPPGPRVGAARERENTLLSFGRAADHAALAFVEFDVQVTKDGCPVIFHDDFILTQKTETLYERRVTDLLLEEFLSYGVQKESHKVSKPLLRRMEDGRVLTWNTEEDDSLCTLQEVFERVSPRLGFNIELKFDDNIIYPRGNLDRALQAVLQVVFQYASNRPIFFSTFQPDAARIARQLQSVYPVLFLTEGGTAKHNDSRRNSLDDAIRVCQEYDLHGIVSEARGVFKNPSAILKAQESNLALLTYGQLNNVWEAVYVQHLMGVNGVIVDLVEEISNAVADLSKPDLSQSTSSSSVGIDGKHQAFSQQQLGFLLRLIPELIQQPH* >Brasy3G325000.2.p pacid=40041116 transcript=Brasy3G325000.2 locus=Brasy3G325000 ID=Brasy3G325000.2.v1.1 annot-version=v1.1 MMALRAAASPGFRIAATVGGGRPRELPPPLALLAERGATTTMVVGGHRGMGMNVVGAPPGPRVGAARERENTLLSFGRAADHAALAFVEFDVQVTKDGCPVIFHDDFILTQKTETLYERRVTDLLLEEFLSYGVQKESHKVSKPLLRRMEDGRVLTWNTEEDDSLCTLQEVFERVSPRLGFNIELKFDDNIIYPRGNLDRALQAVLQVVFQYASNRPIFFSTFQPDAARIARQLQSVYPVLFLTEGGTAKHNDSRRNSLDDAIRVCQEYDLHGIVSEARGVFKNPSAILKAQESNLALLTYGQLK* >Brasy3G325000.3.p pacid=40041117 transcript=Brasy3G325000.3 locus=Brasy3G325000 ID=Brasy3G325000.3.v1.1 annot-version=v1.1 MMALRAAASPGFRIAATVGGGRPRELPPPLALLAERGATTTMVVGGHRGMGMNVVGAPPGPRVGAARERENTLLSFGRAADHAALAFVEFDVQVTKDGCPVIFHDDFILTQKTETLYERRVTDLLLEEFLSYGVQKESHKVSKPLLRRMEDGRVLTWNTEEDDSLCTLQEVFERVSPRLGFNIELKFDDNIIYPRGNLDRALQAVLQVVFQYASNRPIFFSTFQPDAARIARQLQSVLFLTEGGTAKHNDSRRNSLDDAIRVCQEYDLHGIVSEARGVFKNPSAILKAQESNLALLTYGQLK* >Brasy3G307000.1.p pacid=40041118 transcript=Brasy3G307000.1 locus=Brasy3G307000 ID=Brasy3G307000.1.v1.1 annot-version=v1.1 MAAASSAAAGGGRSSRDIRLTVQEAAKKLALWHTATFRPILTHDDLEPILYAAGFVALPLAPAPETGAGTEDHQERPAVLWREYAFLGGGAGGGGGPRGNAVVGWTGPRARLPYPRVDALHIRTYQAFLGAVEVYLGAARVPNLFHVRCMPVTTKQDRVFDKVFRAMRNDQEGIIVYRDGTLDETMFNAVCSEHTAIEEVGYHVIPGNAYSELDYVRHSKIHGNCDEESCKGCPGYIDVVPLKDLFPRPSRNVLV* >Brasy3G343300.1.p pacid=40041119 transcript=Brasy3G343300.1 locus=Brasy3G343300 ID=Brasy3G343300.1.v1.1 annot-version=v1.1 MSKLQSEAVKDAITQIVGDAREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDAQHVDQAEKLGLACMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMDEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKRVRVF* >Brasy3G038000.1.p pacid=40041120 transcript=Brasy3G038000.1 locus=Brasy3G038000 ID=Brasy3G038000.1.v1.1 annot-version=v1.1 MGMVDASSEVAVAQASPAAVRVLAVSRVAPSRARDQGERVKLSFLDSLWVVLPPIQRVFLYELELTEDAFPAAVDRLKRALADTLADYLPLAGKLEYEAEAGDVVVDCSADAGVAFVEAESDGMDVRRLAGDEAHDIPAFQSLVPALDARVLPAPVLSVQATRLGSGAGLAVGVSVHHAVADGKAVWRFMEAWASASRVGSPATGPPPHYGREAIRHPRADELAHDMLRTIMPNLPVVRTEAMEGHFSQRFLRARRTFHLGMDDIRSLKRRIDDLATSASKEAGLPKAPKPVSTFVALAALGWTAFVRSKGLGAGDDTYLMFLADLRARLDPPVSEAYLGNCIRACLASCADAAELLGERGVLRAAQAVQAAVDAMVAAPLDGTGKEWMLTLMKVPFQRMANVAASPRFRAYEVSDFGFGKPARVELVSMNNDGEMVLVGGRRDGEVQVSVSIDPKHMDDFKACILDRLA* >Brasy3G181700.1.p pacid=40041121 transcript=Brasy3G181700.1 locus=Brasy3G181700 ID=Brasy3G181700.1.v1.1 annot-version=v1.1 MVTAAGKSSAAGAAGKDGEYATLRELYRPHIESFDYFLDEGLDKMLLSIRPMDISHPSSSDTLRISLEKGHLLPPLRDVRMAKPLYPQECRQARISYSGEFKVDTLFQFNNGAPMRQTFNFGHLPIMLMSKLCHLRGADSRKLIYHGEEATEMGGYFISGGMERLIRILVLQKRNYPMGMVRGAFEKRGPGYTDKAVVIRCVQQDQTSVTVKLYYLQNGSARLGFWLGAREFLLPVGIVLKALIDTSDREIFASLTCCYSDKYERGKGVVSTQLIGERAQIILDEVRALSLLTRTQCLEHIGQYFRSAMEGFEKDDYETVAEAVLKDYVLVHLQNNHAKFNLLIFMLQKLYALVDQTASPDNPDALQYQEALLPGYLITVFLKDRLQDWLRKSKRLIIEEATKNKSFEFNNSQEIRKFLIKHSTSVGKAIESLIKIGRANSQSMLDLPQREGMSIQAERLNFHRYISHFRSVHRGSAFAKMRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYHSEGAMKDFEKIKDRLLAELVRGGMIPLSPKMEHTGPPEVLHVHLDGCIVGSIGSAKIEELVNYIRRLKLLAHPATPEDLEVGYVPLSIGGAYPGLYFFTSPARFVRPVKNLVSLPDGEPHIELIGAFEQAFMEIRCPDGGDGGRKELFPATHEEIHPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYKKYHMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGDIYQTECIDLSARRRGSVAEIFAKSSLSRDSSSVIDSDGLPRVGETIVPNEQYYSTYNTLTGAIRPTRLKGTEPAAIDYVAVNGTNSKGSLQKVNIRLRRKRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLHGNFVDATPFASSVKEGDGNPWKPKSIVDEMGPMLASYGFNYHGVEVLYSGLFGIELTCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTRQPIGGRKHGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSETQKKSKRNMLGVQTVKPPKNLACQACQTNKGMETVAMPYVFRYLAAELAAMNVKLDLRLSNRTESPPQQ* >Brasy3G181700.2.p pacid=40041122 transcript=Brasy3G181700.2 locus=Brasy3G181700 ID=Brasy3G181700.2.v1.1 annot-version=v1.1 MVTAAGKSSAAGAAGKDGEYATLRELYRPHIESFDYFLDEGLDKMLLSIRPMDISHPSSSDTLRISLEKGHLLPPLRDVRMAKPLYPQECRQARISYSGEFKVDTLFQFNNGAPMRQTFNFGHLPIMLMSKLCHLRGADSRKLIYHGEEATEMGGYFISGGMERLIRILVLQKRNYPMGMVRGAFEKRGPGYTDKAVVIRCVQQDQTSVTVKLYYLQNGSARLGFWLGAREFLLPVGIVLKALIDTSDREIFASLTCCYSDKYERGKGVVSTQLIGERAQIILDEVRALSLLTRTQCLEHIGQYFRSAMEGFEKDDYETDRLQDWLRKSKRLIIEEATKNKSFEFNNSQEIRKFLIKHSTSVGKAIESLIKIGRANSQSMLDLPQREGMSIQAERLNFHRYISHFRSVHRGSAFAKMRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYHSEGAMKDFEKIKDRLLAELVRGGMIPLSPKMEHTGPPEVLHVHLDGCIVGSIGSAKIEELVNYIRRLKLLAHPATPEDLEVGYVPLSIGGAYPGLYFFTSPARFVRPVKNLVSLPDGEPHIELIGAFEQAFMEIRCPDGGDGGRKELFPATHEEIHPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYKKYHMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGDIYQTECIDLSARRRGSVAEIFAKSSLSRDSSSVIDSDGLPRVGETIVPNEQYYSTYNTLTGAIRPTRLKGTEPAAIDYVAVNGTNSKGSLQKVNIRLRRKRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLHGNFVDATPFASSVKEGDGNPWKPKSIVDEMGPMLASYGFNYHGVEVLYSGLFGIELTCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTRQPIGGRKHGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSETQKKSKRNMLGVQTVKPPKNLACQACQTNKGMETVAMPYVFRYLAAELAAMNVKLDLRLSNRTESPPQQ* >Brasy3G068600.1.p pacid=40041123 transcript=Brasy3G068600.1 locus=Brasy3G068600 ID=Brasy3G068600.1.v1.1 annot-version=v1.1 MSSPSPSSMNPLLLASACSGSRQALSFLLSREDGDGPPAPYLPTPEFLDLLQGDSSSALAAPTLKGASDVEEGADHQTARPAAPAPPLLEGVTIDGDTALHVLATCGDGDAFLSSADVVCRKARGLLVAKNNKGDTPLHCAARAGRPRMVSRLIALAAGDDDGSVGDQLLLKEAVRKENARKETPLHEAVRLGSKHMVELLMAVDPELALFPKEGPSPLYLAIMLQRIDIAKSLYVMSDGNLSYSGPNGQNALHAAVLRGQDMTERVLSWNIGLTTQGDENGSTPLHFATSALQPRGVRYWIDIHSSWILWFPWNRDLWDSSGNIPFNQVLQANVAAMYQADDDGLFPVHIAAHKGAVTAVISFLEKCPNVACLRDAKGRTFLHVAVEKNRWHVVSHACKTASLSWILNMQDNDGETALHLAVKLGFKDVFCLLLENLEMDLNIANNDGKTPLDLAESKILDGYFYAWNPRFLINSALKYCHAKHGTRHRLDHFEKLYIRPLDKEREAEKLKTATQTLGLGAVLMVTVAFGASFTLPGGYKDNGAPALSGRYVFDAFVVANSLGFGCAGLATIHLMYAGTDIVDIPLRIWHFNIALFFAHGSATSLGTAFVLGMYVTLAPVAYMLAVGICVVASTIALCAFMDLLRGCAVARALYARLGNRAAMIFAYVIILQTVVVFWPLIFSFISAAISAKHRHK* >Brasy3G231300.1.p pacid=40041124 transcript=Brasy3G231300.1 locus=Brasy3G231300 ID=Brasy3G231300.1.v1.1 annot-version=v1.1 MLDMDGKEAPSELFGDPSRSASNTDEDDDWVIVKKQRITIWIPPLSPAAAILQASTPKVISTQTSLPRMSRRNCNAATKKQPKQGGESSCNPVVPVVKLDCTRHADRAFQRLSHEDNEKATSSFGNIYEPRLPIISSYLTNKILRARLLERRVAGFGGLRNWLFTCGLGWFVKILDSKKMGVYQIVSLTMNQLKEMGLIAVGPRRKLIHAIDNLCKPGESEMFS* >Brasy3G031200.1.p pacid=40041125 transcript=Brasy3G031200.1 locus=Brasy3G031200 ID=Brasy3G031200.1.v1.1 annot-version=v1.1 MLVYEYVSNGTLYHYIHGSNTNKFDVLNSPDTALDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDGSLTAKVSDFGASKLAPSDEAEVATMVQGTCGYLDPEYLMTCQLTDKSDVYSFLTGK* >Brasy3G210400.1.p pacid=40041126 transcript=Brasy3G210400.1 locus=Brasy3G210400 ID=Brasy3G210400.1.v1.1 annot-version=v1.1 MASVLPEIAAEAGKGIGILTDAWDSKGRPATRASTGGWGCAAMICGAELFERMTTLGIAVNLVPYMTSTMHLGSAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTITVFSAVQATGVMVLTISTVAPGLRPAACGDATGQSSECVPANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDDGERKKMMRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILAGLGVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRTLPLPSDPGMLYDVDDAAAAGEDLKGKQKLPHSKECRFLDHAAIVDRAAAESPATASKWMLCTRTDVEEVKQVVRMLPIWSTTIMFWTIHAQMTTFAVEQASVMDRGIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLVAPLARRVTGNPHGLSPLQRVFLGLFLSIAGMAVAALVERHRLTSSCHGATLTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFLSTLTVTVVHKITGHGPRGSASAGWLANNLDQGRLDYFYWLLAVMSAINLAFFVVAAKGYVYKEKRLADAGIELTDEEAIMVGH* >Brasy3G015400.1.p pacid=40041127 transcript=Brasy3G015400.1 locus=Brasy3G015400 ID=Brasy3G015400.1.v1.1 annot-version=v1.1 MHVARAIEVVIHLSLLSNLFWILKTTLAVGYHPLWVLYYLLRGILRIVQAIFSLFWFLLYTFFREMVKDLRR* >Brasy3G134000.1.p pacid=40041128 transcript=Brasy3G134000.1 locus=Brasy3G134000 ID=Brasy3G134000.1.v1.1 annot-version=v1.1 MDSFFQRAFGGSVCLEENNVVQQGIERCPFLRNINEPTSFSLSSVNFPAPATGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSFPQIEKPLPKPVPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNKKNPNNLPQNKGQSNSNHEAMSNDWLENGQCPLAKSYRAMGGVVPLLAKMMTPPAGMKLTCPPAIVAARAAISRTAFAKGLRPQPLPTKVVVIALLGMAANVPLGIWREHTTKFSVQWFAAVHAAVPFIGMLRKSILMPKSAMALTIAASILGQTIGSRAERIRLKRAKLAAEGHGHAARIEAPVSLKTGSYGAVQFWDPLALRVESTVSPVLVPTTVGALY* >Brasy3G012600.1.p pacid=40041129 transcript=Brasy3G012600.1 locus=Brasy3G012600 ID=Brasy3G012600.1.v1.1 annot-version=v1.1 MPSSSRRRGSRTGRNPPTPAPPEEERDWAEPPAPPVEERDWAELPLDVISALLHKLDTVEILMGAGLVCRSWLRAACDEPALWRRIDMRGHAANFNKLDAMAREAVRRSQGRCEAFWGESACDNNFLLYLGDQAPSLKSLRLISCYGVSDEGLTKVIEKFPLLEELELSLCSDVRKSGVFEAISKACPQLKRFRLSKHFFQDVEASDCVKDEVALGIANMHELRSLQLFANILTNKGLTAILENCRHLESLDIRHCFNVEMDDTLRAECARIGSLKFPFDSTDGYEFQVHSPVYEGSESGTDECDYYYNSDLELEDYDDYCDPSRYLDGVYEDELDEDDRVILMGMRALMK* >Brasy3G167400.1.p pacid=40041130 transcript=Brasy3G167400.1 locus=Brasy3G167400 ID=Brasy3G167400.1.v1.1 annot-version=v1.1 MSAAKRSFFAPKRKAAADGGHGSSRNPFDSDSDDGGMQQRPARASSVPPPTAAADHRASLFDGGAEERAGAASGFASSSAASRSRYRNDFRDAGGVEGQSVQELEGYAAYKAEETTQRVHGCVKIAEEMRDTASKTLVTVHQQGQQIRRTHTMAVDIDQDLSRGEKLLGDLGGIFSKKWKPKKNGAIRGPMLTRDDSFIRKGSHLEQRQKLGLSDHPRRSNARQFQSEPTSGLEKVEVEKAKQDDALSDLSDILSDLKGMAIDMGSEIDRQTKDLGHAEKDFDELNFRMKGASARTRRLLGR* >Brasy3G072300.1.p pacid=40041131 transcript=Brasy3G072300.1 locus=Brasy3G072300 ID=Brasy3G072300.1.v1.1 annot-version=v1.1 MGNNKKGSGSSSSSWLTAVKRAFRSPSSKEDSPASKSARLRDDDRAPNSEDEKSKRSERRRWLFRRSSSPSPSPAPPAPPPEQQQHQRQPRSAAAAAPAPAVTDEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPATSSNNNSCYVREHYAAILIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSLSFSHGAANGNGSSKSSYSVDTSAFWDSKYTHEYAERRSMERSRDGSSFAAAEDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEEMDGEQPRWAERWMASRASFDTNRSSRAAASGAAPARASTDHRDHQQHVKTLEMDTSRPFSYSTPRRQQQQAPAPSHHGNGSPMHHRATPSLGKARPPIQVRSASPRVERGGNGGGGSYTPSLHSQRLQHASSAVPNYMAATESAKARVRSHSAPRQRPATPERGGDRYQQLQHAAGAFSASAAASSAKKRLSFPVPADQYGGGGYAQSLRSPSFKSAAGRFSSEQRSTVSSLSCAESLGGEPISPSSTTDLRRWLR* >Brasy3G124300.1.p pacid=40041132 transcript=Brasy3G124300.1 locus=Brasy3G124300 ID=Brasy3G124300.1.v1.1 annot-version=v1.1 MELLRSIAVLLLLSCSLAAATAPAGTLERVSKQQILASIPPGGHASPPVPFLTSPSGKYAAYFVRTHTVAGAGGLGADFCYVEVTMAAHEGSEGAGIGTSVWESECRPVSTVNTCTLLFSWHGLEVFDGSEEVWHGETNTDGTNFLQTLELVDDGDMRVRDKDGELAWRASDEPRHAQHCGAPGSPGLAAALPPFAEPIGAHSSSLPFGQEEGGNGHAAQLPQAAELGNDGSGAPWAGGALGGGAGGVAPVAAGASGDGYGVAPAAGAVGDGYGMAPAAGVAPGEGLGDGYGYGIAPAAGVGNIGGAGVGAVGVAGAGQGGVAGTAGFGSQPLVDNSPYDSGAWKDGRGAHLSAIGVAAFVSAALGAMAVGL* >Brasy3G210100.1.p pacid=40041133 transcript=Brasy3G210100.1 locus=Brasy3G210100 ID=Brasy3G210100.1.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYNNLWLHSMIDRAQEDGSVVFRDGSTMKVDVIMHCTGYLYDFPFLGDDSTITVEDNCVDPLYKHVFPIEVAPDLSFIGLPWKIIPFPLFELQSKWVAGVLSGRINLPSKSEMMEDVKAIYSRREAHGWPKRYTHNFSGGYQFEYDDWLAKQCGHPPIEEWRKLMYAANARNKAARPESYRDEWDDDHLVAQANEDFRKHL* >Brasy3G210100.2.p pacid=40041134 transcript=Brasy3G210100.2 locus=Brasy3G210100 ID=Brasy3G210100.2.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYNNLWLHSMVNALFCDRPCAGRWQCGVPGWEHNESGCHHALHWIIPFPLFELQSKWVAGVLSGRINLPSKSEMMEDVKAIYSRREAHGWPKRYTHNFSGGYQFEYDDWLAKQCGHPPIEEWRKLMYAANARNKAARPESYRDEWDDDHLVAQANEDFRKHL* >Brasy3G210100.4.p pacid=40041135 transcript=Brasy3G210100.4 locus=Brasy3G210100 ID=Brasy3G210100.4.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYNNLWLHSMIDRAQEDGSVVFRDGSTMKVDVIMHCTGYLYDFPFLGDDSTITVEDNCVDPLYKHVFPIEVAPDLSFIGLPWKVNLDYPFSTV* >Brasy3G210100.3.p pacid=40041136 transcript=Brasy3G210100.3 locus=Brasy3G210100 ID=Brasy3G210100.3.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYNNLWLHSMIDRAQEDGSVVFRDGSTMKVDVIMHCTGLSLFHCLNYKASGWPVFCQDGSISRPNPK* >Brasy3G210100.5.p pacid=40041137 transcript=Brasy3G210100.5 locus=Brasy3G210100 ID=Brasy3G210100.5.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYNNLWLHSMVNALFCDRPCAGRWQCGVPGWEHNESGCHHALHWLPV* >Brasy3G210100.6.p pacid=40041138 transcript=Brasy3G210100.6 locus=Brasy3G210100 ID=Brasy3G210100.6.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTYRPCAGRWQCGVPGWEHNESGCHHALHWLPV* >Brasy3G210100.7.p pacid=40041139 transcript=Brasy3G210100.7 locus=Brasy3G210100 ID=Brasy3G210100.7.v1.1 annot-version=v1.1 MSTSVFGSREAPSASLQIAQVHPCQANQQNCNRTRLRDEKNPAANPNHSASQSQLHKPTMPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPSHADPLGAAATHSSLYASLRTNLPREVMGFLDFPFTEPKPGSAAAAIDARRFPGHREVLRYLEDFARRFDLCDLVRFETEVVGVRKEASGRWAVTSRKLGEKGELDEEIYDAVVVCNGHYTEPRLASIPGADTWPGKQLHSHSYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTYRPCAGRWQCGVPGWEHNESGCHHALHWLPV* >Brasy3G317300.1.p pacid=40041140 transcript=Brasy3G317300.1 locus=Brasy3G317300 ID=Brasy3G317300.1.v1.1 annot-version=v1.1 MPEPQAILPLRALPPDAPLPLPAPPFHQQNPAAPAAANATPTTPPNPPPPPVAAQPSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDAEQSAFPYRFQRTDLWKPVYQWLETLEMDSLVTSKQISDWLASNPQVMDRLVEKHSKYHLIHYSQRMHLKLLKKRGKLPKTLQLSAARATVRPIAVPVTPPESAATLPKSLPPVTGGNGSISPGGSASRSPGGNASRLPGGSATSLPGGSTSRVTGGSATIKDTDTSLSKKKEALLKYELLTDLQNQLTSVLLNQCRTVAIKDTDSSYMDFQKPETNICIQEGATTASTSNPVDVMKIYVNEQSNPGGAVESEFGQKRKRNPIIVTPAWCYSEAATGTLQHEQNSSSHSDGARSFNIWKGHVNHKFPHRSIKKNLLFCLEGREIGTSWSQVCSYGGYIGRSCERWTPFLEGWNSPAVQFEGPGVHAVKKSYLSWCPTSCAYTSSAPSVQPHDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRSIQLRGHSTKIQAISFAVKGASVVSCGSNLLKVWDCITGSCLYTLGGDDENSVGHTQKINALAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCDYGGSAVVQLWDIESPESYLSFSASDSYITSLKINPAGNTIITGSGDGTIGLFDIRACSAINHLSVGSGSEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPISHSRDTSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNATHWLHNQPVLVTVSGDGSVGMWDVTLGQPCVRHIITHNRCANAVAVAPNDEYISTGGSDQKVVLYHNRNGRANLNWRLTYPLPGND* >Brasy3G215200.1.p pacid=40041141 transcript=Brasy3G215200.1 locus=Brasy3G215200 ID=Brasy3G215200.1.v1.1 annot-version=v1.1 MADPAWPPRAAQPGRRLPPVKPRAARRRGSVWAWHGCPRPWLPPHLSLGRRPHPPAGGTEPPPCSPDVHRPCCPHLRPLGLHSDVVPGSTAAAVVLDMLVFFLLR* >Brasy3G070100.1.p pacid=40041142 transcript=Brasy3G070100.1 locus=Brasy3G070100 ID=Brasy3G070100.1.v1.1 annot-version=v1.1 MEWLNEYEKLVIRMDTPKVVIDNAACPTATLVQVDSARNRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRRGRKLTDHSVISYIQQSLAAWNGPAGLDPTASAAGMEGLTVLELTGADRTGLISEVFAVLADMGCGVVDARAWSHRGRLACLVYLRDADVAAASAGRIEARLSPLLRGPAAESSEVVAAVPACSVSHPDRRLHQLMHAATAREHDDRRASPAAADTPSVSVESWEERGYSVVTVQCGDRPKLLYDVVCTLTDMDYVVFHGTVDTSASGDARQEFYIRHADGSPISSDAEMQRVSLCLQDAIERRSFEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTGTTAGQAVHRSAIDAVREKVGVDSLVVEERPPQLHQKETRAADRSDGGLGLVYLGNLFKRNLYSLGLIKSFS* >Brasy3G260800.1.p pacid=40041143 transcript=Brasy3G260800.1 locus=Brasy3G260800 ID=Brasy3G260800.1.v1.1 annot-version=v1.1 MPPYLLLKHQCGNDFIVLIVFGPSKADGAVHAHSCMHKHNRRTEPVRCNAQATRPFFFTVGGMKFPAS* >Brasy3G093200.1.p pacid=40041144 transcript=Brasy3G093200.1 locus=Brasy3G093200 ID=Brasy3G093200.1.v1.1 annot-version=v1.1 MVKGKRAKGRRKIEIKAIRSEKARHVCFSKRRQGLFNVAIVVFSPGGKIFSFGNPSVDSIIHRFLPRSINHAHAMVGGSNQIHVDPNNLRALSQEYSNLQMVMEAEQKRKKRIQEEMERESEGRVMRWLNSDVTALRLDELEEFHGELTALECMVNGRLYWLLEQAKKLKKTLPQFHSKDTPTAQFMSPVKGVMPISSTPSSSTNGQFIISRL* >Brasy3G052500.1.p pacid=40041145 transcript=Brasy3G052500.1 locus=Brasy3G052500 ID=Brasy3G052500.1.v1.1 annot-version=v1.1 MAEARGDTRAASRVDDQQNGRGVAAAPPPAARGSATISITIVLLALLVASIAAFLVSSPPPEGRQRMEMEGAGVHGGRSGSEPVEQAVGHGVPGFNSRLDAWAKLAWMKLQRPRSDEPRYDTGGVAGSAAEAAKKSMEMGKETVEQAADAMGMAKDKVKGAAAPSSDAEL* >Brasy3G085200.1.p pacid=40041146 transcript=Brasy3G085200.1 locus=Brasy3G085200 ID=Brasy3G085200.1.v1.1 annot-version=v1.1 MPCSGGPSVPPRPGRRTLLVLLPAAAPPFVSSHRSRPRRPTRLVCCRLPSPTEALRLQAFSPGRPRPPPPPFCWTVGVGTRTVSSCPGCVASPSVAKVDNNTESEVAMGYTMTQICDKFIDFFMHKKPQTKDWRKILVFREEWRRYRPHFYKHCQVRIDTETDSSVKQKLVLLARKVKKIDNEIEKHMELFAELRENPTDINAIVARRRKDFTGEFFRNLNFLTNAYNGLDEQDAIARLGAKCLSAIHAYDCTLQQLDIDSTQTKFDDILNSSSLDDACEKVKSLAKAKELDSSLVLLINKAWAAAKDSKTMKNKVKDIMYHIYTTTKESLRIISPPEMKLLKYLLNIEDPEERFAALATAFSPGDEHEAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLVLLRETIEEEYMKQYIHPENQGSEQL* >Brasy3G325800.1.p pacid=40041147 transcript=Brasy3G325800.1 locus=Brasy3G325800 ID=Brasy3G325800.1.v1.1 annot-version=v1.1 MSTVTRRVKTHFLHIVYYRGKHAGERISWSSDASLLNLQPRPTHTTVTNPCTVVVIYPLYMYKYPCNSS* >Brasy3G095500.1.p pacid=40041148 transcript=Brasy3G095500.1 locus=Brasy3G095500 ID=Brasy3G095500.1.v1.1 annot-version=v1.1 MVEMAVTVAAGTHRPCSGVSPAASGNRRLAGWRPLAPAAPAKLRLSSPALRVPRAASPAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEVTLGDRLGDLLDTMSALRNLGLNVVKASVCLDSSGKHNKFAITKSSTGRKIDDPELLEAVRLTIINNMLEYHPETSSQLAMGATFGIEPPTEVVDVDIATHIDIYDDGPERSLLVVESADRPGLLVDLVKIIADINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEDASF* >Brasy3G123200.1.p pacid=40041149 transcript=Brasy3G123200.1 locus=Brasy3G123200 ID=Brasy3G123200.1.v1.1 annot-version=v1.1 MAEAQRESNHNGVADPEGESLAPTPTAQQFPWVLYQGCWLRPSAVESVKVVQNNFAPRHDDVFLATYPKCGTTWLKALAFAVTHRSRHSLTSDDHPLLTRHAQGLVPFLEMPYRQLHPISDLEALPSPRLLCTHIPLHLLPRSVCTLGCRVVYLCREPKDVLVSLWCFINKVNKNYTLDKAFELFCEGVSSFGPIWDHNLGFWKKSVSDPDNVLFLKYDEMMAQPVDHVKMLAEFLGVPFTGEEVSRGIVEETVKLCSFEKLKSLPVNSSGVSDWVGGVPMENSSFFRAGKVGDWKNHMTQEMAKKLDDIVEEKIKGSGLTF* >Brasy3G011700.1.p pacid=40041150 transcript=Brasy3G011700.1 locus=Brasy3G011700 ID=Brasy3G011700.1.v1.1 annot-version=v1.1 MAIYNIAQERLALSFALPLSLQKGHPPRPSRLSSLQASRCSVGGWRCTGCGGSGTGGAREQDGRFLMLPISNSEREKQEDTVASRDLLWAMATLGFQNLYPHNTQSSRKVLKFSEVLLAIAEMEHEKGKDHLPNGNLRPSDIMAREDSAPSHHINIHNLLHALPLTTEVAPNPLPVPEVRDWSELPVDALSTVFTKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAEDVMCKMAKVAIDRSDGRMESFWAQKFVNSELLDYIASRGNSLKSIRMIAPRYSLYYDDDAVARLPAYFFKKLGAVRPELKRLRIHMQWFDSDAMEREMMEQNHNGDEEDDYEEPYEAWEARHNEAAFAVAANLPELRLLQMAGDSLTNEGVYAILEGCPHLECLDLTECHHLKVDDELLARCAKIRHVWLPGRSCVQCPDLCTIGKTEGEVIEMDDLYEMEAPALREEVGTDYYWEDYWTPSPSGYY* >Brasy3G137400.1.p pacid=40041151 transcript=Brasy3G137400.1 locus=Brasy3G137400 ID=Brasy3G137400.1.v1.1 annot-version=v1.1 MSYVFWQGRLRRRRVICSLMAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G137400.6.p pacid=40041152 transcript=Brasy3G137400.6 locus=Brasy3G137400 ID=Brasy3G137400.6.v1.1 annot-version=v1.1 MSYVFWQGRLRRRRVICSLMAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G137400.2.p pacid=40041153 transcript=Brasy3G137400.2 locus=Brasy3G137400 ID=Brasy3G137400.2.v1.1 annot-version=v1.1 MAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G137400.4.p pacid=40041154 transcript=Brasy3G137400.4 locus=Brasy3G137400 ID=Brasy3G137400.4.v1.1 annot-version=v1.1 MAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G137400.3.p pacid=40041155 transcript=Brasy3G137400.3 locus=Brasy3G137400 ID=Brasy3G137400.3.v1.1 annot-version=v1.1 MAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G137400.5.p pacid=40041156 transcript=Brasy3G137400.5 locus=Brasy3G137400 ID=Brasy3G137400.5.v1.1 annot-version=v1.1 MAMEGPILCRPVMQAKLPAALISNSLIKSGQLGTAFLGVVSKYRNITRLVSPTSQPSAKNLGPICSSFSSSSDGNGYMAGNFNENDEDYVDSSVLEAVEVRSGSEGYIIKMRDGKNLRCVHNNSQGRHIPESAPQPAIVLRIEDGNETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKELIDKMGYEVKLVRINKRIQEAYCAVLYLAKIGDQADGITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKMMVAAGLSDGLLFTELDRPDGQPCIEAQEFSLVRNMLVAVVEERYKDAATWKDKLMKLRSKRKNWA* >Brasy3G216600.1.p pacid=40041157 transcript=Brasy3G216600.1 locus=Brasy3G216600 ID=Brasy3G216600.1.v1.1 annot-version=v1.1 FPPRRAANAVHRVPARPPHAGRPPRCRPSFPHRRAAAVVPRVLARPPCAGRPLLCDPPPVPAPPAALEQAADEAHEYPPLRPLDPPQEEDPYPDEVISVPSLVPTGITSLSVYLLNADALVHGTRNVVLKWRRPTSICTGLGICG* >Brasy3G188800.1.p pacid=40041158 transcript=Brasy3G188800.1 locus=Brasy3G188800 ID=Brasy3G188800.1.v1.1 annot-version=v1.1 MPFRPFNCRLPVLLILQSTRPIHQAPGRLRGSHQAPSPSAAWLQSNPRSLLPSAHAEHHHFHGVLTLEPFRNAAAHGHEPYASRRRRGPAASSPAPLTGAPSSPSPGSTRLGSEER* >Brasy3G180600.1.p pacid=40041159 transcript=Brasy3G180600.1 locus=Brasy3G180600 ID=Brasy3G180600.1.v1.1 annot-version=v1.1 MCTAGASLLLLPLHPPLPPASALHSLPRRGARLRLRLAAGRRETPPPVSSDELECVGTGTDVECFVEDDDDSPVAAEDGYVAPSLALAGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLIPAGALLVAFAAARGRKQPSGWAAWGAIAAFGVVDAACFQGFLTEGLQKTSAGLGSVIIDSQPLTVAVLAALFFGESIGAIGVGGLVLGVVGLLLLEVPALSVQGNDTTVWGSGEWWMFLSSQSMAIGTIMVRWVSKYSDPIMATGWHMVIGGIPLLVISVLNHDPALNGHIQELTWSDISALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPEQIGGALLTLLAIYMVNYKSIVGEK* >Brasy3G180600.2.p pacid=40041160 transcript=Brasy3G180600.2 locus=Brasy3G180600 ID=Brasy3G180600.2.v1.1 annot-version=v1.1 MCTAGASLLLLPLHPPLPPASALHSLPRRGARLRLRLAAGRRETPPPVSSDELECVGTGTDVECFVEDDDDSPVAAEDGYVAPSLALAGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLIPAGALLVAFAAARGRKQPSGWAAWGAIAAFGVVDAACFQGFLTEGLQKTSAGLGSVIIDSQPLTVAVLAALFFGESIGAIGVGGLVLGVVGLLLLEVPALSVQGNDTTVWGSGEWWMFLSSQSMAIGTIMVRWVSKYSDPIMATGWHMVIGGIPLLVISVLNHDPALNGHIQELTWSDISALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPEQIGGALLTLLAIYMVNYKSIVGEK* >Brasy3G180600.3.p pacid=40041161 transcript=Brasy3G180600.3 locus=Brasy3G180600 ID=Brasy3G180600.3.v1.1 annot-version=v1.1 MCTAGASLLLLPLHPPLPPASALHSLPRRGARLRLRLAAGRRETPPPVSSDELECVGTGTDVECFVEDDDDSPVAAEDGYVAPSLALAGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLIPAGALLVAFAAARGRKQPSGWAAWGAIAAFGVVDAACFQGFLTEGLQKTSAGLGSVIIDSQPLTVAVLAALFFGESIGAIGVGGLVLGVVGLLLLEVPALSVQGNDTTVWGSGEWWMFLSSQSMAIGTIMVRWVSKYSDPIMATGWHMVIGGIPLLVISVLNHDPALNGHIQELTWSDISALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPEQIGGALLTLLAIYMVNYKSIVGEK* >Brasy3G213700.1.p pacid=40041162 transcript=Brasy3G213700.1 locus=Brasy3G213700 ID=Brasy3G213700.1.v1.1 annot-version=v1.1 MAPRELQETSSSSSSTSYTTSSTTSCASTVTDSSSSPSSPAAGRKQLEAAMEMGMEIMEEGEARKKKRKRSSEGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDAAALAIKGPARAALNFPDLAKLLPRAASAAPKDVQAAAALAAAFTTSSPSSPSEEDDVAGVGEEEDAAALAPPNNEGASAAAAAAPLRGDEEELQLFDLPDLLLDIRDGFGFSSAMWAPLPDVDEAVNAELRLEEPLLWE* >Brasy3G115900.1.p pacid=40041163 transcript=Brasy3G115900.1 locus=Brasy3G115900 ID=Brasy3G115900.1.v1.1 annot-version=v1.1 MEIEMGISIKANGITRLTLVPGCVTSGSLKFEKLAHTDKKKVSSTGQASRKETIDKKSKLLWNFPP* >Brasy3G168700.1.p pacid=40041164 transcript=Brasy3G168700.1 locus=Brasy3G168700 ID=Brasy3G168700.1.v1.1 annot-version=v1.1 MLYQMPHRTVATCMICFPHELKTNHLTFQQWTLQQISHLHMNHSILFISSGAN* >Brasy3G251200.1.p pacid=40041165 transcript=Brasy3G251200.1 locus=Brasy3G251200 ID=Brasy3G251200.1.v1.1 annot-version=v1.1 MALPAVASPLKTLNPTLNPSSTHGRRLFSSPLLRLSPLLPRSGRLRCSAGYGEAAAPPPAAPTTPRPDEIPWSKDLSNSVRLIGTVGTDVELRQLPSGASVARGRIAVWKSATETTWVTLAFWDDLAVMASEHVKQGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQLNFIESFQPVRLYEPESNLNTQGGKHGYYADNDSTSGSAENKNGDFLSSSSRSTEALWQAFFANPLDWWDNRQNKKNPRYPDFKHKSTGEALWVDGRNNPNWVISQLAVLDSRMGSLQDRERKPVSFMYADDFMTSDTNTQVPPT* >Brasy3G177700.1.p pacid=40041166 transcript=Brasy3G177700.1 locus=Brasy3G177700 ID=Brasy3G177700.1.v1.1 annot-version=v1.1 MEIAMVCTRVNLLVLILSLCSPYKFIQSPMDFGPLNLLPTITTASSDFGRILFHSPSAVLKPQSPRDISLLLSFLSASSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPSEIEFHEGGRGGGDISYADVSGGAMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGIFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPANMDFNPDFGTKSWPKIYYCIEFAVHDYQHDNTNVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWDVHHPWLNMFVPKAGIQDLRDLLMDNISPDNFEGLILIYPLLRDKWGTNTSVVLPESGSDQVMYVVGILRSANPDEGCSHHCLQELLRHHRHVANTAGARIGAKQYLAHHPTPAGWHRHFGRRWERFADRKTRFDPLAILGPGQGIFPKGSNAVYAS* >Brasy3G177700.3.p pacid=40041167 transcript=Brasy3G177700.3 locus=Brasy3G177700 ID=Brasy3G177700.3.v1.1 annot-version=v1.1 MEIAMVCTRVNLLVLILSLCSPYKFIQSPMDFGPLNLLPTITTASSDFGRILFHSPSAVLKPQSPRDISLLLSFLSASSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPSEIEFHEGGRGGGDISYADVSGGAMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGIFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPANMDFNPDFGTKSWPKIYYCIEFAVHDYQHDNTNVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWDVHHPWLNMFVPKAGIQDLRDLLMDNISPDNFEGLILIYPLLRDKWGTNTSVVLPESGSDQVMYVVGILRSANPDEGCSHHCLQELLRHHRHVANTAGARIGAKQYLAHHPTPAGWHRHFGRRWERFADRKTRFDPLAILGPGQGIFPKGSNAVYAS* >Brasy3G177700.2.p pacid=40041168 transcript=Brasy3G177700.2 locus=Brasy3G177700 ID=Brasy3G177700.2.v1.1 annot-version=v1.1 MEIAMVCTRVNLLVLILSLCSPYKFIQSPMDFGPLNLLPTITTASSDFGRILFHSPSAVLKPQSPRDISLLLSFLSASSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPSEIEFHEGGRGGGDISYADVSGGAMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGIFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPANMDFNPDFGTKSWPKIYYCIEFAVHDYQHDNTNVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWDVHHPWLNMFVPKAGIQDLRDLLMDNISPDNFEGLILIYPLLRDKWGTNTSVVLPESGSDQVMYVVGILRSANPDEGCSHHCLQELLRHHRHVANTAGARIGAKQYLAHHPTPAGWHRHFGRRWERFADRKTRFDPLAILGPGQGIFPKGSNAVYAS* >Brasy3G109200.1.p pacid=40041169 transcript=Brasy3G109200.1 locus=Brasy3G109200 ID=Brasy3G109200.1.v1.1 annot-version=v1.1 MGKNGGGEGRHRCGRRVRGATARGARGGAAVDASALESAGAALGGDEGRRILAWEKGGQVHGRDGKEQQATEENARKKSSRRADSRPGTQERGTAAAQAACAWLVQRMRDQRSTQPKSRTQAVCEKAYEGDEGSKSLAAGDGAKFSLGRGGLEEEARPYGLQFGNWLGVEGWGGTEGATEMFGSGAWAGVYLLLVWD* >Brasy3G177200.1.p pacid=40041170 transcript=Brasy3G177200.1 locus=Brasy3G177200 ID=Brasy3G177200.1.v1.1 annot-version=v1.1 MARFHVADASQYLAITGWGIDDVKLAKKAWVFVGQDCKKFSISPVNYEFEVHAMSAEKLPFVLPAVFTIGPKVTPALTDPPARGVQEMEQQLLLYAKLIAPLHNSDTKVHDLVKGVIEGETRVLAAELTMEEIFKGTKTFKQQVFERVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQDAANQAKVDVAEAKMKGEVGAKERDGLTRQNAAKVDAETKVLSVRQQGQGLKEEAKVKAEVKVFENAREAEVAAAMADLKMKKAGWDKQAKVAEVEAAKAVAIREAELQMEVERKNALRQTEKLKAEQLSKATVQYETGVQDANALLYSRQKAAEAALFEQMRAAEARKAQADAQFFEQKMTEDAKLYAKQKEAESLALVGKAKTEYVASMLQALGGNYHALRDYLMIDGGMYAEMARINAGAVNGMQPKISIWSNGDGAGGSSEAAAGNALQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGTLPKDDAAAN* >Brasy3G225400.1.p pacid=40041171 transcript=Brasy3G225400.1 locus=Brasy3G225400 ID=Brasy3G225400.1.v1.1 annot-version=v1.1 MEPKQTKGKKAEFFKRCCKRVKCFVDTDAGFYPTLSKTDLQRHRPFTEALRCLGCSSSNDRSVEVVNIDIRKGGRSLSCKQLEKQYHDWIKEMHAKYDVEMDGGDDEHTVIVNPTNKERLGISEDVIVIRVYNSVFRKGKTWRRGDHLKIRPGVMARMKTNFYALKSNFYATLEFVVVEGLPGDVCGEARLICRSIEFSGEQGCLLEEGEDGISLDIQESVSFPVSMIDEDKCQIMDDNSWSQFLEKKLEKAPACIEVLRNLQCAALAIGGDLPFEEVVMAGHQHPREIVAVIRPQNYTANSTSPLDNRYIVKDDELEMIMEINQLPGSRDHLHAKLIDRVLRKPSSHNSINGLYIFPLSKDSSIFTKSGVYNFMFSVRCRGSNIIQHETNITICPNSINRCWQLSSAADCSADNALLDIRLGMPVRCLVVRSSDIYGNKIPFLDIPKVVITILDGDDILAQVDDMKMELSSDSLTLNVMDFLFETSKLDIIRPKYEAMLRISLSDNEISQHWPCKVKPGLASTVKMDMSHAMENNLTPGSVIDDVFLEVFDHRDNHVEEGTELVVRMVGLSFIDKHGPVRKVNSEGFVDLCGLLRVVNGFGSQACLTIFHHERKIFAETFQIAIRELTAVKVPQSCQAGTYLQNIVFEVSDNDGLTDKAIDGPLHTLSIRSNEAKHVEGAQYAFEHGRCVVSHLAVPREPGTISFLAYHTHFPDLKTVFQVHVYAHDLVPVNLDEAENIFSYPTSSVSSQNILHPSQLAAYVEDVMGNISDEIEKLDSKICSEEKLIKILDCQKKSLENEIFNLKDEIGPIVGSCSGAKELIRHKIEDSIGTAAAVLCHLSIGKGYEPGRCFREDVVGIVALLGTVADRKLSRMLSVYLGEDNMLAVVCKTRDAASYIEKYDTDGNVDLHFGIHREAASLGIHINRRFHNFCLDAIRPYKGDLFGNKQKNLDLPLPHDEIPKGFCGFAVNMIGISADNLNIITSSGHGLRETLFYSLFGELQVYKTRNDMVQAIHYLKNGAISLDGGVIKGDGTWLLGDSDPEVTFPVVPNGPDSLEESDDLPRKMKIMHGKKVHLKAVEDKIRQVEDSRQKSVMKRGKKKRKFDEISAAVSQPCGSQPVPLAVVGLPSQTNNEGAHRR* >Brasy3G225400.2.p pacid=40041172 transcript=Brasy3G225400.2 locus=Brasy3G225400 ID=Brasy3G225400.2.v1.1 annot-version=v1.1 MEPKQTKGKKAEFFKRCCKRVKCFVDTDAGFYPTLSKTDLQRHRPFTEALRCLGCSSSNDRSVEVVNIDIRKGGRSLSCKQLEKQYHDWIKEMHAKYDVEMDGGDDEHTVIVNPTNKERLGISEDVIVIRVYNSVFRKGKTWRRGDHLKIRPGVMARMKTNFYALKSNFYATLEFVVVEGLPGDVCGEARLICRSIEFSGEQGCLLEEGEDGISLDIQESVSFPVSMIDEDKCQIMDDNSWSQFLEKKLEKAPACIEVLRNLQCAALAIGGDLPFEEVVMAGHQHPREIVAVIRPQNYTANSTSPLDNRYIVKDDELEMIMEINQLPGSRDHLHAKLIDRVLRKPSSHNSINGLYIFPLSKDSSIFTKSGVYNFMFSVRCRGSNIIQHETNITICPNSINRCWQLSSAADCSADNALLDIRLGMPVRCLVVRSSDIYGNKIPFLDIPKVVITILDGDDILAQVDDMKMELSSDSLTLNVMDFLFETSKLDIIRPKYEAMLRISLSDNEISQHWPCKVKPGLASTVKMDMSHAMENNLTPGSVIDDVFLEVFDHRDNHVEEGTELVVRMVGLSFIDKHGPVRKVNSEGFVDLCGLLRVVNGFGSQACLTIFHHERKIFAETFQIAIRELTAVKVPQSCQAGTYLQNIVFEVSDNDGLTDKAIDGPLHTLSIRSNEAKHVEGAQYAFEHGRCVVSHLAVPREPGTISFLAYHTHFPDLKTVFQVHVYAHDLVPVNLDEAENIFSYPTSSVSSQNILHPSQLAAYVEDVMGKSLENEIFNLKDEIGPIVGSCSGAKELIRHKIEDSIGTAAAVLCHLSIGKGYEPGRCFREDVVGIVALLGTVADRKLSRMLSVYLGEDNMLAVVCKTRDAASYIEKYDTDGNVDLHFGIHREAASLGIHINRRFHNFCLDAIRPYKGDLFGNKQKNLDLPLPHDEIPKGFCGFAVNMIGISADNLNIITSSGHGLRETLFYSLFGELQVYKTRNDMVQAIHYLKNGAISLDGGVIKGDGTWLLGDSDPEVTFPVVPNGPDSLEESDDLPRKMKIMHGKKVHLKAVEDKIRQVEDSRQKSVMKRGKKKRKFDEISAAVSQPCGSQPVPLAVVGLPSQTNNEGAHRR* >Brasy3G225400.3.p pacid=40041173 transcript=Brasy3G225400.3 locus=Brasy3G225400 ID=Brasy3G225400.3.v1.1 annot-version=v1.1 MEPKQTKGKKAEFFKRCCKRVKCFVDTDAGFYPTLSKTDLQRHRPFTEALRCLGCSSSNDRSVEVVNIDIRKGGRSLSCKQLEKQYHDWIKEMHAKYDVEMDGGDDEHTVIVNPTNKERLGISEDVIVIRVYNSVFRKGKTWRRGDHLKIRPGVMARMKTNFYALKSNFYATLEFVVVEGLPGDVCGEARLICRSIEFSGEQGCLLEEGEDGISLDIQESVSFPVSMIDEDKCQIMDDNSWSQFLEKKLEKAPACIEVLRNLQCAALAIGGDLPFEEVVMAGHQHPREIVAVIRPQNYTANSTSPLDNRYIVKDDELEMIMEINQLPGSRDHLHAKLIDRVLRKPSSHNSINGLYIFPLSKDSSIFTKSGVYNFMFSVRCRGSNIIQHETNITICPNSINRCWQLSSAADCSADNALLDIRLGMPVRCLVVRSSDIYGNKIPFLDIPKVVITILDGDDILAQVDDMKMELSSDSLTLNVMDFLFETSKLDIIRPKYEAMLRISLSDNEISQHWPCKVKPGLASTVKMDMSHAMENNLTPGSVIDDVFLEVFDHRDNHVEEGTELVVRMVGLSFIDKHGPVRKVNSEGFVDLCGLLRVVNGFGSQACLTIFHHERKIFAETFQIAIRELTAVKVPQSCQAGTYLQNIVFEVSDNDGLTDKAIDGPLHTLSIRSNEAKHVEGAQYAFEHGRCVVSHLAVPREPGTISFLAYHTHFPDLKTVFQVHVYAHDLVPVNLDEAENIFSYPTSSVSSQNILHPSQLAAYVEDVMGKSLENEIFNLKDEIGPIVGSCSGAKELIRHKIEDSIGTAAAVLCHLSIGKGYEPGRCFREDVVGIVALLGTVADRKLSRMLSVYLGEDNMLAVVCKTRDAASYIEKYDTDGNVDLHFGIHREAASLGIHINRRFHNFCLDAIRPYKGDLFGNKQKNLDLPLPHDEIPKGFCGFAVNMIGISADNLNIITSSGHGLRETLFYSLFGELQVYKTRNDMVQAIHYLKNGAISLDGGVIKGDGTWLLGDSDPEVTFPVVPNGPDSLEESDDLPRKMKIMHGKKVHLKAVEDKIRQVEDSRQKSVMKRGKKKRKFDEISAAVSQPCGSQPVPLAVVGLPSQTNNEGAHRR* >Brasy3G225400.4.p pacid=40041174 transcript=Brasy3G225400.4 locus=Brasy3G225400 ID=Brasy3G225400.4.v1.1 annot-version=v1.1 MEPKQTKGKKAEFFKRCCKRVKCFVDTDAGFYPTLSKTDLQRHRPFTEALRCLGCSSSNDRSVEVVNIDIRKGGRSLSCKQLEKQYHDWIKEMHAKYDVEMDGGDDEHTVIVNPTNKERLGISEDVIVIRVYNSVFRKGKTWRRGDHLKIRPGVMARMKTNFYALKSNFYATLEFVVVEGLPGDVCGEARLICRSIEFSGEQGCLLEEGEDGISLDIQESVSFPVSMIDEDKCQIMDDNSWSQFLEKKLEKAPACIEVLRNLQCAALAIGGDLPFEEVVMAGHQHPREIVAVIRPQNYTANSTSPLDNRYIVKDDELEMIMEINQLPGSRDHLHAKLIDRVLRKPSSHNSINGLYIFPLSKDSSIFTKSGVYNFMFSVRCRGSNIIQHETNITICPNSINRCWQLSSAADCSADNALLDIRLGMPVRCLVVRSSDIYGNKIPFLDIPKVVITILDGDDILAQVDDMKMELSSDSLTLNVMDFLFETSKLDIIRPKYEAMLRISLSDNEISQHWPCKVKPGLASTVKMDMSHAMENNLTPGSVIDDVFLEVFDHRDNHVEEGTELVVRMVGLSFIDKHGPVRKVNSEGFVDLCGLLRVVNGFGSQACLTIFHHERKIFAETFQIAIRELTAVKVPQSCQAGTYLQNIVFEVSDNDGLTDKAIDGPLHTLSIRSNEAKHVEGAQYAFEHGRCVVSHLAVPREPGTISFLAYHTHFPDLKTVFQVHVYAHDLVPVNLDEAENIFSYPTSSVSSQNILHPSQLAAYVEDVMGNISDEIEKLDSKICSEEKLIKILDCQKKSLENEIFNLKDEIGPIVGSCSGAKELIRHKIEDSIGTAAAVLCHLSIGKGYEPGRCFREDVVGIVALLGTVADRKLRCFLCILVKTTCLQWFARPGMLLVTLKNMTLMEMWIFTLVFIGKQLV* >Brasy3G225400.5.p pacid=40041175 transcript=Brasy3G225400.5 locus=Brasy3G225400 ID=Brasy3G225400.5.v1.1 annot-version=v1.1 MEPKQTKGKKAEFFKRCCKRVKCFVDTDAGFYPTLSKTDLQRHRPFTEALRCLGCSSSNDRSVEVVNIDIRKGGRSLSCKQLEKQYHDWIKEMHAKYDVEMDGGDDEHTVIVNPTNKERLGISEDVIVIRVYNSVFRKGKTWRRGDHLKIRPGVMARMKTNFYALKSNFYATLEFVVVEGLPGDVCGEARLICRSIEFSGEQGCLLEEGEDGISLDIQESVSFPVSMIDEDKCQIMDDNSWSQFLEKKLEKAPACIEVLRNLQCAALAIGGDLPFEEVVMAGHQHPREIVAVIRPQNYTANSTSPLDNRYIVKDDELEMIMEINQLPGSRDHLHAKLIDRVLRKPSSHNSINGLYIFPLSKDSSIFTKSGVYNFMFSVRCRGSNIIQHETNITICPNSINRCWQLSSAADCSADNALLDIRLGMPVRCLVVRSSDIYGNKIPFLDIPKVVITILDGDDILAQVDDMKMELSSDSLTLNVMDFLFETSKLDIIRPKYEAMLRISLSDNEISQHWPCKVKPGLASTVKMDMSHAMENNLTPGSVIDDVFLEVFDHRDNHVEEGTELVVRMVGLSFIDKHGPVRKVNSEGFVDLCGLLRVVNGFGSQACLTIFHHERKIFAETFQIAIRELTAVKVPQSCQAGTYLQNIVFEVSDNDGLTDKAIDGPLHTLSIRSNEAKHVEGAQYAFEHGRCVVSHLAVPREPGTISFLAYHTHFPDLKTVFQVHVYAHDLVPVNLDEAENIFSYPTSSVSSQNILHPSQLAAYVEDVMGNISDEIEKLDSKICSEEKLIKILDCQKKSLENEIFNLKDEIGPIVGSCSGAKELIRHKIEDSIGTAAAVLCHLSIGKGYEPGRCFREDVVGIVALLGTVADRKLRCFLCILVKTTCLQWFARPGMLLVTLKNMTLMEMWIFTLVFIGKQLV* >Brasy3G213800.1.p pacid=40041176 transcript=Brasy3G213800.1 locus=Brasy3G213800 ID=Brasy3G213800.1.v1.1 annot-version=v1.1 MAQWDALVDAALGGLASRSLLRATRPIALAPPPAPPETFPGPGTWDRAAVEIRLDRETLRQWLAEGGEASDKEEELGEKLILFSGNDYMGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEKWRRSLIWRHVQYFASLTKLNITSPIISIVVGSEEAALAAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALAPWLPNRHGEQKFSKGHAGQSYAVSSKL* >Brasy3G213800.2.p pacid=40041177 transcript=Brasy3G213800.2 locus=Brasy3G213800 ID=Brasy3G213800.2.v1.1 annot-version=v1.1 MAQWDALVDAALGGLASRSLLRATRPIALAPPPAPPETFPGPGTWDRAAVEIRLDRETLRQWLAEGGEASDKEEELGEKLILFSGNDYMGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEKWRRSLIWRHVQYFASLTKLNITSPIISIVVGSEEAALAAGIC* >Brasy3G213800.7.p pacid=40041178 transcript=Brasy3G213800.7 locus=Brasy3G213800 ID=Brasy3G213800.7.v1.1 annot-version=v1.1 MGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEKWRRSLIWRHVQYFASLTKLNITSPIISIVVGSEEAALAAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALAPWLPNRHGEQKFSKGHAGQSYAVSSKL* >Brasy3G213800.4.p pacid=40041179 transcript=Brasy3G213800.4 locus=Brasy3G213800 ID=Brasy3G213800.4.v1.1 annot-version=v1.1 MAQWDALVDAALGGLASRSLLRATRPIALAPPPAPPETFPGPGTWDRAAVEIRLDRETLRQWLAEGGEASDKEEELGEKLILFSGNDYMGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACRLRITLSASHSSDDIKRLVDALAPWLPNRHGEQKFSKGHAGQSYAVSSKL* >Brasy3G213800.5.p pacid=40041180 transcript=Brasy3G213800.5 locus=Brasy3G213800 ID=Brasy3G213800.5.v1.1 annot-version=v1.1 MGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEKWRRSLIWRHVQYFASLTKLNITSPIISIVVGSEEAALAAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALAPWLPNRHGEQKFSKGHAGQSYAVSSKL* >Brasy3G213800.6.p pacid=40041181 transcript=Brasy3G213800.6 locus=Brasy3G213800 ID=Brasy3G213800.6.v1.1 annot-version=v1.1 MAQWDALVDAALGGLASRSLLRATRPIALAPPPAPPETFPGPGTWDRAAVEIRLDRETLRQWLAEGGEASDKEEELGEKLILFSGNDYMGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSGPPRLEKGKVAEIIDLETCSILCFFDETQYY* >Brasy3G213800.3.p pacid=40041182 transcript=Brasy3G213800.3 locus=Brasy3G213800 ID=Brasy3G213800.3.v1.1 annot-version=v1.1 MGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEKWRRSLIWRHVQYFASLTKLNITSPIISIVVGSEEAALAAGIC* >Brasy3G213800.8.p pacid=40041183 transcript=Brasy3G213800.8 locus=Brasy3G213800 ID=Brasy3G213800.8.v1.1 annot-version=v1.1 MGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLLERQQEAVAFVYKHCDMSHLDFLLSNCSTEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFFLVIDDAHGTLVCGENGGGAPELFECENDIDISVGTLSKAAGCQGGFVACSGPPRLEKGKVAEIIDLETCSILCFFDETQYY* >Brasy3G236500.1.p pacid=40041184 transcript=Brasy3G236500.1 locus=Brasy3G236500 ID=Brasy3G236500.1.v1.1 annot-version=v1.1 MGLRDKKRNQRRVLSRRSAGPRSGEGKDFLPLEGKEKKIREKQPEEPENTATVLYIGHIPHGFYEDQMQGFFQQFGAVKRVRIARNRKTGKSKHYGFIEFENPDVAKIVADEMNNYLLFEHTLQIALVPPEKVHAKLWKGVRTGFIPVDRVAIERRRLSKDKTVAEHKKMLEGIVKRDEKRRKRIKAAGIDYECPPLIGSVQPSAKKIKFDED* >Brasy3G332500.1.p pacid=40041185 transcript=Brasy3G332500.1 locus=Brasy3G332500 ID=Brasy3G332500.1.v1.1 annot-version=v1.1 MKALRRSSTSSSSSSSSSPRTPSSPPPPPPSSWRHRRSLLLVASSSLAAAAKSAAPAPAMLTADSSASSQASYSPNSDRAGTKSPWSRRKRKGALSCERWRHLFSSNGRLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEERNTIKIKKRNEYEKLRRKCHQILNCYKGFELKVINEVNNEGCSGGATYMEDISTSVSVSPKEFNCLVSKANSPESADRAAAECMDEDISELIHVDQCMAESESSESESSDEDDHGKMSMGANIEENCAPEPKFVRSASSKSDFFRSNKTPEDFATWQRIIRLDAIRANTDWALFSHNQAEVCKEKALQHALSVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIKTQLKTVSQIIKRKDSHLYRHLQKLQAENCFFVYRMVLVLFRRELTFEQTLCLWEVMWADQAAIRAGIRRSTWGKIRLHAPPTDDLLLYAIAACVLQRRKLIIERYSSMDEILRECQSMAGQLDVWRLLDDAHDLVVNLHNKI* >Brasy3G332500.2.p pacid=40041186 transcript=Brasy3G332500.2 locus=Brasy3G332500 ID=Brasy3G332500.2.v1.1 annot-version=v1.1 MLNCSKQSIFRAGTKSPWSRRKRKGALSCERWRHLFSSNGRLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEERNTIKIKKRNEYEKLRRKCHQILNCYKGFELKVINEVNNEGCSGGATYMEDISTSVSVSPKEFNCLVSKANSPESADRAAAECMDEDISELIHVDQCMAESESSESESSDEDDHGKMSMGANIEENCAPEPKFVRSASSKSDFFRSNKTPEDFATWQRIIRLDAIRANTDWALFSHNQAEVCKEKALQHALSVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIKTQLKTVSQIIKRKDSHLYRHLQKLQAENCFFVYRMVLVLFRRELTFEQTLCLWEVMWADQAAIRAGIRRSTWGKIRLHAPPTDDLLLYAIAACVLQRRKLIIERYSSMDEILRECQSMAGQLDVWRLLDDAHDLVVNLHNKI* >Brasy3G072900.1.p pacid=40041187 transcript=Brasy3G072900.1 locus=Brasy3G072900 ID=Brasy3G072900.1.v1.1 annot-version=v1.1 MARGKEVGWLQGPKKVVGAPICGGVKKAHRFRPGTVALREIRNYQKSTELQAGDRARPPRRTSSVSSRTPTNLCAIHANRVTIVPKDIQLARRIRGERA* >Brasy3G034200.1.p pacid=40041188 transcript=Brasy3G034200.1 locus=Brasy3G034200 ID=Brasy3G034200.1.v1.1 annot-version=v1.1 MAPAMQRDCAGQEKETKGTFHPCLWGDFFLTYQPPTSAQEPQMLEKAEILKEQVRGMLKASKEIPKMLDLIMSIERLGLDYHYEKEISQLLDVVFSSSHDDNNLHLVALRFYLLRKHRYDVSPDVFRKFQDKNGSFVDSDAASLLSLYNAAHLRIHGEEILDEAISFTRKRLLGVIEQLESPFAEEVSSALVTPLFKRVKILESRSYITYYGKEATRNEALLELAKLNFNLQQLNFCKELKEVTLWWSQLYSRTNLSFVRDRVVETYFWMNGATYKPQYSYARMLATKITAFITIIDDIFDTYGTTEESMQLKAAIDRSDESATNLLPEYMKDFYLYLLELYKSVEEHLGPEKSYRVFYLKEAMKQLVHMYSEEIKWRDGNYVPTLSEHLKVSMISIGNVMVACAFFVGMDDIPTVDTYKWVLNDTTLMNSFGMFVRLINDLVSTKREQTADHIASTVQCYMKDHGMTKEVACEKLKELAEYSWKDTLQLSLAPTDLPVAVPQMVLDLAKVSGYMYKYNDAFTTSGTVKDTIMMIFAEPIE* >Brasy3G292700.1.p pacid=40041189 transcript=Brasy3G292700.1 locus=Brasy3G292700 ID=Brasy3G292700.1.v1.1 annot-version=v1.1 MVDALRSLNLQGEINRVPEMGSGSVAAGAGADERAGDGDDGKQLQQKAAWGQTVACPPWHTTVSRGPVAAVQRRSLEERLRRWPARPRPHKTSPRREGATGTRGPRAGPLTVASAAGHRSHGRVLLRRSRRRRRFAFLLLFIFQDFVSWWSVCILAVGLVFLSSLPCFLVIRISINLQAKLVLSLDLSPVQKIFSLMKLPCTCSMDCQSLVSTHRRGGKKCSAAQPPARRMGLVAAAALSCACVRS* >Brasy3G166900.1.p pacid=40041190 transcript=Brasy3G166900.1 locus=Brasy3G166900 ID=Brasy3G166900.1.v1.1 annot-version=v1.1 MAAGLEDLRRRVQPIFFDADGNVMPSPDDDGEVLDGGTVNLLSRSSDEYNINELGFHKRTIRSDEAYSSEKAFRCSCHDMHIFDSVGNGASSVVHRAIYVPVHRILALKKINIFEKERRQQILNEIRTLSEACCYPDIIRVKKFISEPVLSHMLQKVLLALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQLLSHSFIKRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.6.p pacid=40041191 transcript=Brasy3G166900.6 locus=Brasy3G166900 ID=Brasy3G166900.6.v1.1 annot-version=v1.1 MAAGLEDLRRRVQPIFFDADGNVMPSPDDDGEVLDGGTVNLLSRSSDEYNINELGFHKRTIRSDEAYSSEKAFRCSCHDMHIFDSVGNGASSVVHRAIYVPVHRILALKKINIFEKALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQLLSHSFIKRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.7.p pacid=40041192 transcript=Brasy3G166900.7 locus=Brasy3G166900 ID=Brasy3G166900.7.v1.1 annot-version=v1.1 MAAGLEDLRRRVQPIFFDADGNVMPSPDDDGEVLDGGTVNLLSRSSDEYNINELGFHKRTIRSDEAYSSEKAFRCSCHDMHIFDSVGNGASSVVHRAIYVPVHRILALKKINIFEKALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.3.p pacid=40041193 transcript=Brasy3G166900.3 locus=Brasy3G166900 ID=Brasy3G166900.3.v1.1 annot-version=v1.1 MDGGSLADIIRVKKFISEPVLSHMLQKVLLALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQLLSHSFIKRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.5.p pacid=40041194 transcript=Brasy3G166900.5 locus=Brasy3G166900 ID=Brasy3G166900.5.v1.1 annot-version=v1.1 MDGGSLADIIRVKKFISEPVLSHMLQKVLLALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQLLSHSFIKRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.2.p pacid=40041195 transcript=Brasy3G166900.2 locus=Brasy3G166900 ID=Brasy3G166900.2.v1.1 annot-version=v1.1 MDGGSLADIIRVKKFISEPVLSHMLQKVLLALHYLHEVRHLVHRDIKPANLLLNLKGDTKITDFGVTSGLNDSITMCATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.8.p pacid=40041196 transcript=Brasy3G166900.8 locus=Brasy3G166900 ID=Brasy3G166900.8.v1.1 annot-version=v1.1 MAAGLEDLRRRVQPIFFDADGNVMPSPDDDGECATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQLLSHSFIKRYGGPGVDLSEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G166900.4.p pacid=40041197 transcript=Brasy3G166900.4 locus=Brasy3G166900 ID=Brasy3G166900.4.v1.1 annot-version=v1.1 MAAGLEDLRRRVQPIFFDADGNVMPSPDDDGECATFLGSVTYMSPERIRNESYSYSADIWSLGLTALECATGRYLYDVNGGEADLMLQILEDPSPTPPCDIYSEEFCSFIDACLHKDADARPTCDQMLAVHYYLIFDGGDEQWCHMKTFYKQDSIFSFSGETHVGKSEIFETLSRIRKMLKGNSPCEKIAHVMEKVYCRSHGEEGMRVRVSGSFIVGNEFVVCADGVRAEGMLSIDELSPDTLSKQAGHFQEDFFMEPGTALGCYVISKQELHIADTWGHSPSCVEDNIIRYEH* >Brasy3G199000.1.p pacid=40041198 transcript=Brasy3G199000.1 locus=Brasy3G199000 ID=Brasy3G199000.1.v1.1 annot-version=v1.1 MGRRILNDALRMMVNAERRGKATALLQPISGVMISFLNIMKHRGYIKNFEVFDPHRVGKITVELQGRIKDCKALTYRQDLRSKEIEQHRIRMLPTRQWGYVVG* >Brasy3G261600.1.p pacid=40041199 transcript=Brasy3G261600.1 locus=Brasy3G261600 ID=Brasy3G261600.1.v1.1 annot-version=v1.1 MKVEVVESTLVAPSEETPRQGLWLSNLDLAVPKTHTPLVYYYPAPAPPPAGAGDEDGGFFSPGRLRAALAKALVLFYPLAGRLGVDGAGGRLQIDCNGEGALFNVARADFAGEDVFGEYEPSPEVRRMFVPLAPSGDPPCLMAMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGLARGLPVSEACPSPPSHDRTLLRARSPPTPEFEHPVYSPAFLNGAPRPFVTRVYAVSPKLLAGLKAQCAPGASTYGAVTAHLWRCMCVARGLAQGSDTRLRVPANIRHRVRPPLPRQLFANAIVRDLVTAKAGDVVAQPLGFVADAIRKAVDHVDDAYVRSVVDYLELESEKGSNAARGTLMPESDLWVVSWLGMPMYDADFGWGAPRFVAPAQMFGSGTAYVTQRGADREDGVAVLFALEPEYLRCFEEVFYGE* >Brasy3G348200.1.p pacid=40041200 transcript=Brasy3G348200.1 locus=Brasy3G348200 ID=Brasy3G348200.1.v1.1 annot-version=v1.1 MACDTILCDYCESAEHVSAVCPLLSAPKPQMPMYGYADEELLIFELPLTASFRTKLDSGCMGMVSVAGGNLSVDEADLHRMIRIATFKVPGSPCVISFDEWSVKVQPVWTLQDVWVLVSGLPTVALRDFLALWGLGTLLGKTKEVDMVYTREHSVLRIRIACADFTRIPARRVVSVNGEGYVLSFQVERLAELQAEATDDVLPDVTDPDADGNNDAGGRSSAPPVGDVSLPSASQHACSPVPSIRFGIGSERLIKAPSSAPPVAAWCRRPSLAVADRTVIASGQEARILPATSPLLGSFSPAVGRSVAAPASSQRSADDRVAAVRSSSRAGSPVGCLVKSGALPQAAAVLSPAMTSPVRPRQEAVGGHRSTSTPASPVGLSGGQMTGAASPTVAEMIAFGGIQDAAVSGVRSSARLRAQPDGDDTQLDRASRRARDRDERLLSDDEVAEVEEDQSDPCVKVTRPRKKKVYDYTAVRRSTRTRKPKIIS* >Brasy3G036700.1.p pacid=40041201 transcript=Brasy3G036700.1 locus=Brasy3G036700 ID=Brasy3G036700.1.v1.1 annot-version=v1.1 MAAVAPAPEDLVGDGHITHRPAAGACVNNYISIAYIASSSLYALYVTKGSYKQQQQQGGCCCCAVASLAKRALSCFFSRSVVGEPAKRVLVAVAAGTEPLEAAATADILNRAGASVTIANVGSPASHGLVVQAAHGVRFVADRRVGDLDGEEFDLIALPARGEGRLCGAIGAAPAVALAHWGLLKGFKSGYSPLYAGVIAVDSRVVVDRNVVTSQGVGTAIEFGLALVEQLYGKGKMEEVAGPLYVRPQQGVDYIIQEYNPIQWKCNGSTPQVLVPVANGSEEMEALNLVDILRRAGANVTVASVEDTPHIVTRHQKLGLVADVLIEEAAEMQFDLIVMPGGLPGARKFAGTDKLVHLLKKQAGSDKPYGANMRFSSLCAPAPRFTEEAGRIRGKKATSFPPMACLLKDQSACEYRVVVDGNLITSKAPGSQGRSWSSALPDALL* >Brasy3G074400.1.p pacid=40041202 transcript=Brasy3G074400.1 locus=Brasy3G074400 ID=Brasy3G074400.1.v1.1 annot-version=v1.1 MARKRKELLSSAPWRTGEAAEEDDEAARMSREGKVSVTSNPGETPTMNVPRNKRPDLDLTVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQRSLGLGNEDGSRRVR* >Brasy3G217000.1.p pacid=40041203 transcript=Brasy3G217000.1 locus=Brasy3G217000 ID=Brasy3G217000.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLRQQQIEQQAIAAVQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTHGTLPTCALHFSFLKIR* >Brasy3G278400.1.p pacid=40041204 transcript=Brasy3G278400.1 locus=Brasy3G278400 ID=Brasy3G278400.1.v1.1 annot-version=v1.1 MCTTGTGSSRRAVSVRPLQSWRMNDGVAAYVPVLQLLQQAAAIYSSPLSVAGHGSCTMTAWLVVGCMALAWAGRQLYAVDTFTSPMRAMQG* >Brasy3G080900.1.p pacid=40041205 transcript=Brasy3G080900.1 locus=Brasy3G080900 ID=Brasy3G080900.1.v1.1 annot-version=v1.1 MAKQQRVYQVWRGNNIIWCHGRLIFGPDAKATLLSFALIATPVVVFCIFVATHLVHFFPAYNAGYAILVVTVVLTVHVLLLLFFTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRIKEVMVNGIPVRVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFWFVSSAAVLCFYVFSMCALYISLIMKRGHHSVVEAIKESPASVAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNAFDRGCMHNCFEVLCTKRKPSRINLRGIVQEEHGATLPRISRSSVPEDETPHRPRAKVEDDLEMGLDILKTSRRRSDELSDGELGVESNGVKYRRGGCTPDSDTEIPVVRSTAESSNEVRDLDILSVGNAARPSSSGQKHCPDELC* >Brasy3G080900.2.p pacid=40041206 transcript=Brasy3G080900.2 locus=Brasy3G080900 ID=Brasy3G080900.2.v1.1 annot-version=v1.1 MVNGIPVRVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFWFVSSAAVLCFYVFSMCALYISLIMKRGHHSVVEAIKESPASVAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNAFDRGCMHNCFEVLCTKRKPSRINLRGIVQEEHGATLPRISRSSVPEDETPHRPRAKVEDDLEMGLDILKTSRRRSDELSDGELGVESNGVKYRRGGCTPDSDTEIPVVRSTAESSNEVRDLDILSVGNAARPSSSGQKHCPDELC* >Brasy3G080900.3.p pacid=40041207 transcript=Brasy3G080900.3 locus=Brasy3G080900 ID=Brasy3G080900.3.v1.1 annot-version=v1.1 MVNGIPVRVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFWFVSSAAVLCFYVFSMCALYISLIMKRGHHSVVEAIKESPASVAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNAFDRGCMHNCFEVLCTKRKPSRINLRGIVQEEHGATLPRISRSSVPEDETPHRPRAKVEDDLEMGLDILKTSRRRSDELSDGELGVESNGVKYRRGGCTPDSDTEIPVVRSTAESSNEVRDLDILSVGNAARPSSSGQKHCPDELC* >Brasy3G056800.1.p pacid=40041208 transcript=Brasy3G056800.1 locus=Brasy3G056800 ID=Brasy3G056800.1.v1.1 annot-version=v1.1 MYILLSHCPVGPAPVSNLQRVSPPSSPSLPQRRRGSARGSSGGAAVAASGSCAAGEAWWQSMCFRRAMAAEHVRGGGAHMLPAAARICFRRRRGMRCKAGVFVPCTVQLGAVRSGATTAYFRKKELHRSRVNQVQRVAIDRSRMPVSRIAIGSPGELSHPDTFKAGVAEFISMLIFVFAGSGSGMAFGKLTAGGATTPAGLIAAALAHALALFVAVSVSANISGGHVNPAVTFGAFVGGNISLLKAVVYWVAQLLGSVAACLLLKIATGGAAVGAFSLSAGMGVWNAVVLEVVMTFGLVYTVYATAVDPKRGDVGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPLHDQLPSAEY* >Brasy3G118000.1.p pacid=40041209 transcript=Brasy3G118000.1 locus=Brasy3G118000 ID=Brasy3G118000.1.v1.1 annot-version=v1.1 MFKSTFNICQLQSCHKRNRPGPPRAYKMPLRLRMKHNLGTSVKSEVKPRFLHHVGVESLSILHPMTRTLPQWKPYSRLCFHDQQP* >Brasy3G219800.1.p pacid=40041210 transcript=Brasy3G219800.1 locus=Brasy3G219800 ID=Brasy3G219800.1.v1.1 annot-version=v1.1 MAAMNEKVLAKCGKNTSSLKRKRDSPAAYYADAGRTSELHQLPVGNSPIRLFVDEDHKANIACHLNRQILQSYQNFMTSAVPKSILLRQGGNWIEFPEKIVKLAQVDFRAKKTITEVGYQNQLFLLDFVHMMFIDSKSGLQRPIAWIDDNGKHYFPDVFSEDQILYRREDLGNGNHVYVRGEPNGAQVISDQFGASESSAESSNFESSTEDVSSAKRVRAEKSIIGKEIGDAGETVGENEPHTSLPTAFTFQPQHDKPGGQSRAQQATSVVQQMLLQGMGTVIGSKDIIGIYRTPTMDSLRENRYNLFQKQVEVTKSQRGNANIRYAWLPCSKDTVDAMMLNGHLQVKKPSRCPAYGAGTLLAPANCSINCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEHFDSGVDDIKNPQHYIVWDMNLNSHLYSEFVVTIQLPSKAKDSPFTQEDCHDSSDVSLVLSSSSPSSVSEGMNLQASPALGGQYEAPMLGGSMEKAPSTPWMPFSMLFAAISTKISHENMDMINNCYEEFKIKKISRVDLVKRLRHIVGDRLLISTIIRLQDKLPPVVKREAPNARAKLVAKRPRGQ* >Brasy3G116900.1.p pacid=40041211 transcript=Brasy3G116900.1 locus=Brasy3G116900 ID=Brasy3G116900.1.v1.1 annot-version=v1.1 MPSMAADAQADPPSCGSKDSRFFLSHDIGLPIAFRVDRLIPDHTLLIESSLELFVECALYIDGIQFGLTVDTRLESSGPIYCWNELMTLSIKYRDLTPLSQLAFTVWNVSAGEDRMIVGGATVSLFNSKKQLKTGRHRLRIWPKKMADGSLPTSTPGKVSKTKRDEMERLERVANKYKRGQIQHIDWLDRLTFRAVDKMEEASERTANSYPSLIVELCSFEHKVIFQESGANFRLATPLSLVHPSKHKLIFVSDPYVGQINPSEHKQLMLARSLTRGMIDRNMKPSSNERKLIQTILKYPPTHSLSLEEKQLLWKFRFSLMSEKKALAKFLLSVEWSDTQEAKQAVALIRRWETIDVADALGLLSAVFQNEEVRAYAVSILERANDEEIQCYLPQLVQALRFERSDKSHLALFLVRRSLSNFGIASFLRWYLIVELHDPTHARRYYRTYDQLEESIMTLVAGEDGGEDGFQLWLSMSRQSDLTAQLRSITKDLMSVKRAQSKAEKLEQLLSGVFSELTNFSEPIRSPLTPSVLLAGIVPQESFVFSSAMYPLCLTFRTQTGGTSKIIFKKGDNLRKDQLVIQMFSLMDRLLKSENLDLHLTPYRVLATDLNEGLVEFIPSSSLAKILQKHGSIISYLQIYHPDKEGPFGITALCLESFVKSCAGYCVITYILGIGDRHLDNLLLRDDGRLFHVDFSFMLGEEPHRFAPSQPPMKLCKEMVEAMGGVESQYYARFKSYCCEAYNILRKSSNLILNLFHLMSGSNIPNITERGIFKLQENFRLDLEDEEAVHFFQELINESISALFPQVVETIHRWAQARR* >Brasy3G116900.3.p pacid=40041212 transcript=Brasy3G116900.3 locus=Brasy3G116900 ID=Brasy3G116900.3.v1.1 annot-version=v1.1 MPSMAADAQADPPSCGSKDSRFFLSHDIGLPIAFRVDRLIPDHTLLIESSLELFVECALYIDGIQFGLTVDTRLESSGPIYCWNELMTLSIKYRDLTPLSQLAFTVWNVSAGEDRMIVGGATVSLFNSKKQLKTGRHRLRIWPKKMADGSLPTSTPGKVSKTKRDEMERLERVANKYKRGQIQHIDWLDRLTFRAVDKMEEASERTANSYPSLIVELCSFEHKVIFQESGANFRLATPLSLVHPSKHKLIFVSDPYVGQINPSEHKQLMLARSLTRGMIDRNMKPSSNERKLIQTILKYPPTHSLSLEEKQLLWKFRFSLMSEKKALAKFLLSVEWSDTQEAKQAVALIRRWETIDVADALGLLSAVFQNEEVRAYAVSILERANDEEIQCYLPQLVQALRFERSDKSHLALFLVRRSLSNFGIASFLRWYLIVELHDPTHARRYYRTYDQLEESIMTLVAGEDGGEDGFQLWLSMSRQSDLTAQLRSITKDLMSVKRAQSKAEKLEQLLSGVFSELTNFSEVIQMFSLMDRLLKSENLDLHLTPYRVLATDLNEGLVEFIPSSSLAKILQKHGSIISYLQIYHPDKEGPFGITALCLESFVKSCAGYCVITYILGIGDRHLDNLLLRDDGRLFHVDFSFMLGEEPHRFAPSQPPMKLCKEMVEAMGGVESQYYARFKSYCCEAYNILRKSSNLILNLFHLMSGSNIPNITERGIFKLQENFRLDLEDEEAVHFFQELINESISALFPQVVETIHRWAQARR* >Brasy3G116900.4.p pacid=40041213 transcript=Brasy3G116900.4 locus=Brasy3G116900 ID=Brasy3G116900.4.v1.1 annot-version=v1.1 MPSMAADAQADPPSCGSKDSRFFLSHDIGLPIAFRVDRLIPDHTLLIESSLELFVECALYIDGIQFGLTVDTRLESSGPIYCWNELMTLSIKYRDLTPLSQLAFTVWNVSAGEDRMIVGGATVSLFNSKKQLKTGRHRLRIWPKKMADGSLPTSTPGKVSKTKRDEMERLERVANKYKRGQIQHIDWLDRLTFRAVDKMEEASERTANSYPSLIVELCSFEHKVIFQESGANFRLATPLSLVHPSKHKLIFVSDPYVGQINPSEHKQLMLARSLTRGMIDRNMKPSSNERKLIQTILKYPPTHSLSLEEKQLLWKFRFSLMSEKKALAKFLLSVEWSDTQEAKQAVALIRRWETIDVADALGLLSAVFQNEEVRAYAVSILERANDEEIQCYLPQLVQALRFERSDKSHLALFLVRRSLSNFGIASFLRWYLIVELHDPTHARRYYRTYDQLEESIMTLVAGEDGGEDGFQLWLSMSRQSDLTAQLRSITKDLMSVKRAQSKAEKLEQLLSGVFSELTNFSEPIRSPLTPSVLLAGIVPQESFVFSSAMYPLCLTFRTQTGGTSKIIFKKGDNLRKDQLVIQMFSLMDRLLKSENLDLHLTPYRVLATDLNEGLVEFIPSSSLAKAS* >Brasy3G116900.2.p pacid=40041214 transcript=Brasy3G116900.2 locus=Brasy3G116900 ID=Brasy3G116900.2.v1.1 annot-version=v1.1 MPSMAADAQADPPSCGSKDSRFFLSHDIGLPIAFRVDRLIPDHTLLIESSLELFVECALYIDGIQFGLTVDTRLESSGPIYCWNELMTLSIKYRDLTPLSQLAFTVWNVSAGEDRMIVGGATVSLFNSKKQLKTGRHRLRIWPKKMADGSLPTSTPGKVSKTKRDEMERLERVANKYKRGQIQHIDWLDRLTFRAVDKMEEASERTANSYPSLIVELCSFEHKVIFQESGANFRLATPLSLVHPSKHKLIFVSDPYVGQINPSEHKQLMLARSLTRGMIDRNMKPSSNERKLIQTILKYPPTHSLSLEEKQLLWKFRFSLMSEKKALAKFLLSVEWSDTQEAKQAVALIRRWETIDVADALGLLSAVFQNEEVRAYAVSILERANDEEIQCYLPQLVQALRFERSDKSHLALFLVRRSLSNFGIASFLRWYLIVELHDPTHARRYYRTYDQLEESIMTLVAGEDGGEDGFQLWLSMSRQSDLTAQLRSITKDLMSVKRAQSKAEKLEQLLSGVFSELTNFSEVIQMFSLMDRLLKSENLDLHLTPYRVLATDLNEGLVEFIPSSSLAKAS* >Brasy3G173800.1.p pacid=40041215 transcript=Brasy3G173800.1 locus=Brasy3G173800 ID=Brasy3G173800.1.v1.1 annot-version=v1.1 MHVRTIKNDILLGRLQCWPNCLFKSDDGLQCGFFEPYISSFAAGAYCDDINNDGSSTLSGCSFLLRLPLLLQCVAMVTWRVTTKSVIEKSSQSWL* >Brasy3G317500.1.p pacid=40041216 transcript=Brasy3G317500.1 locus=Brasy3G317500 ID=Brasy3G317500.1.v1.1 annot-version=v1.1 MPAMAAAAKLSVVLVTVLAMAAAKAARASPAEGIQPLSKIAIHKATVDLHVSAFVRATPALLGDQEEDTAWVTVKYGWDNPSADDWIAVFSPADFVSGSCPNPSRYPGEPLLCTAPIKYQYANYSVNYMYRGKGAIRFQLINQRSDFSFVLFTGGLENPRLVAVSKQVAFKNPKAPVFPRLAQGKSHDEMTVTWTSGYDIGEAYPFVEWGMAGKSPTPTRRSPAGTFTFNRGSMCGEPARTVGWRDPGFIHTAFMRDLWPNKDYFYKVGHELLDGTVVWGKPYSFRAPPTPGQNSLQRVIVFGDMGKAERDGSNEFADYQPGSLNTTDALIQDLDNYDIVFHIGDMPYANGYLSQWDQFTAQVAPISARKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDHGMFRFCVADSEHDWREGTPQYAFIEECLSTVDRKHQPWLIFAAHRVLGYSSNSWYADQGSFEEPEGRESLQKLWQRYRVDVAFFGHVHNYERTCRLYQSQCVSGEKNRFSGPVNGTIFVVAGGGGSHLSDYTTAIPKWSVFRDRDYGFVKLTAFNQSSLLFEYKKSSDGKVYDSFTVDRDYRDVLGCVHDSCFPTTLAT* >Brasy3G252600.1.p pacid=40041217 transcript=Brasy3G252600.1 locus=Brasy3G252600 ID=Brasy3G252600.1.v1.1 annot-version=v1.1 MQPAPASPERNPPPPLEGAAPTEEPANDNADAAAVKEAPAAAEDEEVKEQEEDGDERREEEEPRRAGRGRKRGRRGGGGGAARGVVMVRRELLARCMTCPLCNRLLRDATTISECLHTFCRRCIYQKFNDEEVESCPVCKIDLGCTPVEKLRADHSLHDVRSKIFPFKRKKIKAEDVAAPISLPSKRKERSISSLVVPTPKLAPTGLTGRRTRAVTRKAAAALRGLGPNIGNPVKKENDISDKHPHISSLPANSGKVPKKRRQISSNAEASNHSSNKDAEDNSDMADNAELWRPLNCLVEAANRTKSFRSSLHTPVIKREQLNDSPNSTYGSKTKSREHLQKPKIEDNKKDAPVSPVTVKRKPGTGRRRRALRIPANGTPDGEVTPNEKRFNSIWFSLVASFEQKGDPPLPQIPSHYLRIKNANVPASSIQKYLMQKLSLPSESEVEINCCGQLVNPTQPLCNLVELWVRGRSTQTTQAMIGSSAEEFVMVLTYGRPKAIAP* >Brasy3G318000.1.p pacid=40041218 transcript=Brasy3G318000.1 locus=Brasy3G318000 ID=Brasy3G318000.1.v1.1 annot-version=v1.1 MTRYVLNYCGLLADCRTTLDTVLLLDDPDPDEDAINAEAQSQSHGAPSTPSGRCMRELLTRLLGKMEEKSELYDDAGLKNIFLMNNLYYVTQKVMDSPPLRELLGDDWIRRHRGQIRQYETAYLRASWMAALSSLRDDASPASPHSGSGGRASASRERDRQARGFNAAFEELYRSQTAWKVSDPQLREELRIAVSERLIPAYRSFLGRPRPQPARHVKYSLEDLETYMLDFFEGAQKFVR* >Brasy3G308500.1.p pacid=40041219 transcript=Brasy3G308500.1 locus=Brasy3G308500 ID=Brasy3G308500.1.v1.1 annot-version=v1.1 MENSPSQVFLETTFCCAQTPKAGHSSSRLQHRHSRSVTCTHGNSLEISPRFSYHKPTTNQEKMPRRRYSLNLPEHLPDHHMIASAEQNEKAISKPVADLVWEIAALEEEVVRRELHLLSLYRATFDQYLGISPRVSGQVEQETHSQGTRKKADEGALRLRDIKESASYNLPIISDSKRGLSRSSSGHSSLANFLSASIAEYVPRISCKLSEDILRCISAVYFKLAGRPSQDADSETLSTPSFSSASSTFSLKHRVDSWSPRFNYNVDASSDKYGSLDENNEQYSGMIICPTIHIDADKFDYASKMLETIRALIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQDRRMKSSDMILKAAYDVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPTKKSASGSSAHIYALRQPEPLAHFALSTGAFSDPPVRLYTTKKIYHQLDQARTEFTRANVLVRKQIIFLPKVLHHYAKDAALELPDLVEMVCNSMPEAQQKEIRQCLRRRIDKCVEWLPYKSSFRYTVHKNLAE* >Brasy3G308500.2.p pacid=40041220 transcript=Brasy3G308500.2 locus=Brasy3G308500 ID=Brasy3G308500.2.v1.1 annot-version=v1.1 MENSPSQVFLETTFCCAQTPKAGHSSSRLQHRHSRSVTCTHGNSLEISPRFSYHKPTTNQEKMPRRRYSLNLPEHLPDHHMIASAEQNEKAISKPVADLVWEIAALEEEVVRRELHLLSLYRATFDQYLGISPRVSGQVEQETHSQGTRKKADEGALRLRDIKESASYNLPIISDSKRGLSRSSSGHSSLANFLSASIAEYVPRISCKLSEDILRCISAVYFKLAGRPSQDADSETLSTPSFSSASSTFSLKHRVDSWSPRFNYNVDASSDKYGSLDENNEQYSGMIICPTIHIDADKFDYASKMLETIRALIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQDRRMKSSDMILKAAYDVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPTKKSASGSSAHIYALRQPEPLAHFALSTGAFSDPPVRLYTTKKIYHQLDQARTEFTRANVLVRKQIIFLPKVLHHYAKDAALELPDLVEMVCNSMPEAQQKEIRQCLRRRIDKCVEWLPYKSSFRYTVHKNLAE* >Brasy3G308500.3.p pacid=40041221 transcript=Brasy3G308500.3 locus=Brasy3G308500 ID=Brasy3G308500.3.v1.1 annot-version=v1.1 MEIHWRYHRDFLITNLWTTNQEKMPRRRYSLNLPEHLPDHHMIASAEQNEKAISKPVADLVWEIAALEEEVVRRELHLLSLYRATFDQYLGISPRVSGQVEQETHSQGTRKKADEGALRLRDIKESASYNLPIISDSKRGLSRSSSGHSSLANFLSASIAEYVPRISCKLSEDILRCISAVYFKLAGRPSQDADSETLSTPSFSSASSTFSLKHRVDSWSPRFNYNVDASSDKYGSLDENNEQYSGMIICPTIHIDADKFDYASKMLETIRALIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQDRRMKSSDMILKAAYDVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPTKKSASGSSAHIYALRQPEPLAHFALSTGAFSDPPVRLYTTKKIYHQLDQARTEFTRANVLVRKQIIFLPKVLHHYAKDAALELPDLVEMVCNSMPEAQQKEIRQCLRRRIDKCVEWLPYKSSFRYTVHKNLAE* >Brasy3G195700.1.p pacid=40041222 transcript=Brasy3G195700.1 locus=Brasy3G195700 ID=Brasy3G195700.1.v1.1 annot-version=v1.1 MATAPGKQGAAAVLPVSGRRNVLVTSALPYVNNVPHLGNLVGCVLSADVFARYCRLLGHNVLYVCGTDEYGTATETKALEEGCSPSEICDKYHAIHKEVYEWFDISFDHFGRTSSPQQTEICQAIFHKLWDNNWLSENTMQQLYCESCQRFLADRFVVGSCPTEGCDNDFARDDQCEKCGKLLNSTDLVDPKCKVCGVTPCVRDTDHLFLELPQLREKLEKYIDETSVAGSWSQNAIHITDSWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSALLGTGENWTLMRTISVTEYLKYESGKFSKTRGIGVFGNDAKATNIPADVWRYYLLSNRPEASDAVFTWTDLQAKFNNELINNLGNFVSRVLSFIAKPEGAGYSSIIPDAPNADSHPLSQSLAETVGKLVEQYIDAMDKVKLKQGLKIAMAISSEGNAYLQESQFWKLYKQDAASCAIVMKTSAALVYLLACLLEPFMPSFSKDVLQQLNLCTKVQISFCEKREIVKANRPWDLVPANHKIGKPVPLFKALDNDAVSRFREIFAGSQAERNARVS* >Brasy3G195700.2.p pacid=40041223 transcript=Brasy3G195700.2 locus=Brasy3G195700 ID=Brasy3G195700.2.v1.1 annot-version=v1.1 MATAPGKQGAAAVLPVSGRRNVLVTSALPYVNNVPHLGNLVGCVLSADVFARYCRLLGHNVLYVCGTDEYGTATETKALEEGCSPSEICDKYHAIHKEVYEWFDISFDHFGRTSSPQQTEICQAIFHKLWDNNWLSENTMQQLYCESCQRFLADRFVVGSCPTEGCDNDFARDDQCEKCGKLLNSTDLVDPKCKVCGVTPCVRDTDHLFLELPQLREKLEKYIDETSVAGSWSQNAIHITDSWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSALLGTGENWTLMRTISVTEYLKYESGKFSKTRGIGVFGNDAKATNIPADVWRYYLLSNRPEASDAVFTWTDLQAKFNNELINNLGNFVSRVLSFIAKPEGAGYSSIIPDAPNADSHPLSQSLAETVGKLVEQYIDAMDKVKLKQGLKIAMAISSEGNAYLQDNDAVSRFREIFAGSQAERNARVS* >Brasy3G086400.1.p pacid=40041224 transcript=Brasy3G086400.1 locus=Brasy3G086400 ID=Brasy3G086400.1.v1.1 annot-version=v1.1 MESACKKTASVCTSETARGTHVFAIEGYSLKNGMGVGQFLRSTTFSVGGYDWAIRVYPDGTVQHSEDSMVIYIMLVSQDVEEVRALFDLRIVDRPSALRHGIRVHKSKLQNSTCIQDDRLLVTCDLLVIKESQVHETRESSEIEVPPSNIAEHLGKLLEAKEEADVTFRVGGETFQAHRILLTVRSPVFRAELCGAMRETSTRCVTIQDMQPAVFRALLHFIYTDSLPDDMDDLEDDDSREMICHLLVAADRFDVDRLKLICQNILGKNLDVETVATTLALADQHHCDRLKDACIGFIASSEKMDDVVATEGFANLKRSCPSVVIDALEKRRKYTEA* >Brasy3G299100.1.p pacid=40041225 transcript=Brasy3G299100.1 locus=Brasy3G299100 ID=Brasy3G299100.1.v1.1 annot-version=v1.1 MGMKFLNKKGWHTGSLRNVEKVWVAEQKEKDEQRKIEEYKKQLKEEREKAEFRAIQEKAGFKPRQERLEFLYESGLGVGKGSSDGFQALQQPGPAAAAASSSAQASAGSSKEASLGALFEEKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKMQKEEKKEKRKHRKHRHHKSKSKRHQSTENSDSDESDDKDEGRKRVHSAVEHKREVKKSRHAKKDSREDSSYTDDNEPRKRRHERPEDEPRRRRQDTPEDDAPRRKRQGTPEDDAPRKRRQDTPEDDAPRRRRQDTPEDDALRRRRQDRPEDDAPRRRQRNTPEDGEPRRRQREMALDDEPRRRYQEIAEDDGPRRRRQGISKHDERSRRDRSDADDRRRHYSASDRHHAYPKHDNSDSKQRSIGDGQKNINSTSDHRPRSEVGSEEQQRQESQHGKELGSEDRQRQESQQGKSNGPTLNRRRGGVHHMSEEEREACLRQMQADAEVHEEQRWKRLKKAADDDAKEAATVNANQFRGKNFLEDEKKSIFGAEKGGSATIEESIRRRAYYSQGGRDTHEGNAFRR* >Brasy3G263300.1.p pacid=40041226 transcript=Brasy3G263300.1 locus=Brasy3G263300 ID=Brasy3G263300.1.v1.1 annot-version=v1.1 MVVERKMQPSPPPEHRRVLRFVTFFALSLLAFSCWALVSSRINRAILIAADADKTPLLAADHGVGTPIPASVPASSDHSTANAAVRISDPTVQETPLAGGRGGGGSEGSSPLSLSCDAESTQLRVYMYDLPPEFHFGMLGWNGKKAAVGAWPDVRDPGAVPHYPGGLNLQHSVAYWLTLDILSSTAPGIGSRPCVAVRVTNASQADVFFVPFFASLSYNRHSKLQGREKISRNRLLQAELVKYLARQEEWRRWGGKDHLVVPHHPNSMMQARKKLSAAMYVLSDFGRYPPDVANLKKDVVAPYKHVVRSLRDDESPTFDQRPVLAYFQGAIHRKDGGKVRQKLYQLLKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVMISDDIELPFEDVLDYAEFCVFVRASDAVRKGFLLRLLRGITPDEWNTMWRRLKEVAHHFEYQYPSKPDDAVQMIWGAVARKMHSLKLQLHKSVMTCRRKKTICFYPATDEL* >Brasy3G263300.3.p pacid=40041227 transcript=Brasy3G263300.3 locus=Brasy3G263300 ID=Brasy3G263300.3.v1.1 annot-version=v1.1 MVVERKMQPSPPPEHRRVLRFVTFFALSLLAFSCWALVSSRINRAILIAADADKTPLLAADHGVGTPIPASVPASSDHSTANAAVRISDPTVQETPLAGGRGGGGSEGSSPLSLSCDAESTQLRVYMYDLPPEFHFGMLGWNGKKAAVGAWPDVRDPGAVPHYPGGLNLQHSVAYWLTLDILSSTAPGIGSRPCVAVRVTNASQADVFFVPFFASLSYNRHSKLQGREKISRNRLLQAELVKYLARQEEWRRWGGKDHLVVPHHPNSMMQARKKLSAAMYVLSDFGRYPPDVANLKKDVVAPYKHVVRSLRDDESPTFDQRPVLAYFQGAIHRKDGGKVRQKLYQLLKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVMISDDIELPFEDVLDYAEFCVFVRASDAVRKGFLLRLLRGITPDEWNTMWRRLKEVAHHFEYQYPSKPDDAVQMIWGAVARKMHSLKLQLHKSGRFQRTHSES* >Brasy3G263300.2.p pacid=40041228 transcript=Brasy3G263300.2 locus=Brasy3G263300 ID=Brasy3G263300.2.v1.1 annot-version=v1.1 MVVERKMQPSPPPEHRRVLRFVTFFALSLLAFSCWALVSSRINRAILIAADADKTPLLAADHGVGTPIPASVPASSDHSTANAAVRISDPTVQETPLAGGRGGGGSEGSSPLSLSCDAESTQLRVYMYDLPPEFHFGMLGWNGKKAAVGAWPDVRDPGAVPHYPGGLNLQHSVAYWLTLDILSSTAPGIGSRPCVAVRVTNASQADVFFVPFFASLSYNRHSKLQGREKISRNRLLQAELVKYLARQEEWRRWGGKDHLVVPHHPNSMMQARKKLSAAMYVLSDFGRYPPDVANLKKDVVAPYKHVVRSLRDDESPTFDQRPVLAYFQGAIHRKDGGKVRQKLYQLLKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVMISDDIELPFEDVLDYAEFCVFVRASDAVRKGFLLRLLRGITPDEWNTMWRRLKEVAHHFEYQYPSKPDDAVQMIWGAVARKMHSLKLQLHKSD* >Brasy3G248900.1.p pacid=40041229 transcript=Brasy3G248900.1 locus=Brasy3G248900 ID=Brasy3G248900.1.v1.1 annot-version=v1.1 MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCHICIVIGYCEEGDMADAIKRANGTHFSEEKVCKWLVQLLIALDYLHVNHILHRDVKCSNIFLTRNQSVRLGDFGLAKILTAGDLASSVVGTPSYMCPELLADIPYGAKSDIWSLGCCIYEMTALRAAFRAFDMQALINKITKSNVSPLPTIYSSPFRRLVKSMLRKSPDHRPSAAELLKHPYLQRYVLQIHLKSSPACNISPPNHFPSDEVKKMTIPTESVWRSKGRRNSLGNERIMTFSKPCPVRNSISSFRSVKSYTTTQGVKELSIDDSHVEEMSSKTLTTKTSSIMKTPQSTTVKTITTQLEPPKGSYNRTESREFLSRKPVSRSARPARRVSLPLPAYETPSHNTRILNRLNSRDVSVNSPQIDRMAEFPLASSEEPLFKIRKPSSALGSPPATPQFVNQSITEKCTVGDSRSDSSDHGATTTSSRGSSESRERRFDMSSYQQRAEALEGVLEFSAQLLQQERYEELAILLKPFGPENVSPRETAIWLAKSFKEVVVASSVTHLA* >Brasy3G248900.2.p pacid=40041230 transcript=Brasy3G248900.2 locus=Brasy3G248900 ID=Brasy3G248900.2.v1.1 annot-version=v1.1 MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCHICIVIGYCEEGDMADAIKRANGTHFSEEKVCKWLVQLLIALDYLHVNHILHRDVKCSNIFLTRNQSVRLGDFGLAKILTAGDLASSVVGTPSYMCPELLADIPYGAKSDIWSLGCCIYEMTALRAAFRAFAAELLKHPYLQRYVLQIHLKSSPACNISPPNHFPSDEVKKMTIPTESVWRSKGRRNSLGNERIMTFSKPCPVRNSISSFRSVKSYTTTQGVKELSIDDSHVEEMSSKTLTTKTSSIMKTPQSTTVKTITTQLEPPKGSYNRTESREFLSRKPVSRSARPARRVSLPLPAYETPSHNTRILNRLNSRDVSVNSPQIDRMAEFPLASSEEPLFKIRKPSSALGSPPATPQFVNQSITEKCTVGDSRSDSSDHGATTTSSRGSSESRERRFDMSSYQQRAEALEGVLEFSAQLLQQERYEELAILLKPFGPENVSPRETAIWLAKSFKEVVVASSVTHLA* >Brasy3G058200.1.p pacid=40041231 transcript=Brasy3G058200.1 locus=Brasy3G058200 ID=Brasy3G058200.1.v1.1 annot-version=v1.1 MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSKAQIRSSSSRRSSMIPIRTNGVNTCTVLSNSTTGQDSPREFEDRGVPLWIEGPGRKSLISASGIPKYAYKELLKATSSFTTLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWHLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMRARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGVFDVEELNDMAAMAYKCVSRVSRKRPPMRDVVQALIRVAKHSRGSRNHHSGKLAPGRTEDESLDVSEVQSYASGLQRQESVGSVSDLPDV* >Brasy3G058200.2.p pacid=40041232 transcript=Brasy3G058200.2 locus=Brasy3G058200 ID=Brasy3G058200.2.v1.1 annot-version=v1.1 MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSKAQIRSSSSRRSSMIPIRTNGVNTCTVLSNSTTGQDSPREFEDRGVPLWIEGPGRKSLISASGIPKYAYKELLKATSSFTTLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWHLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMRARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGVFDVEELNDMAAMAYKCVSRVSRKRPPMRDVVQALIRVAKHSRGSRNHHSGKLAPGRTEDESLDVSEVQSYASGLQRQESVGSVSDLPDV* >Brasy3G058200.3.p pacid=40041233 transcript=Brasy3G058200.3 locus=Brasy3G058200 ID=Brasy3G058200.3.v1.1 annot-version=v1.1 MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSKAQIRSSSSRRSSMIPIRTNGVNTCTVLSNSTTGQDSPREFEDRGVPLWIEGPGRKSLISASGIPKYAYKELLKATSSFTTLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWHLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMRARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGVFDVEELNDMAAMAYKCVSRVSRKRPPMRDVVQALIRVAKHSRGSRNHHSGKLAPGRTEDESLDVSEVQSYASGLQRQESVGSVSDLPDV* >Brasy3G058200.4.p pacid=40041234 transcript=Brasy3G058200.4 locus=Brasy3G058200 ID=Brasy3G058200.4.v1.1 annot-version=v1.1 MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSKAQIRSSSSRRSSMIPIRTNGVNTCTVLSNSTTGQDSPREFEDRGVPLWIEGPGRKSLISASGIPKYAYKELLKATSSFTTLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWHLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMRARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGVFDVEELNDMAAMAYKCVSRVSRKRPPMRDVVQALIRVAKHSRGSRNHHSGKLAPGRTEDESLDVSEVQSYASGLQRQESVGSVSDLPDV* >Brasy3G058200.5.p pacid=40041235 transcript=Brasy3G058200.5 locus=Brasy3G058200 ID=Brasy3G058200.5.v1.1 annot-version=v1.1 MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSKAQIRSSSSRRSSMIPIRTNGVNTCTVLSNSTTGQDSPREFEDRGVPLWIEGPGRKSLISASGIPKYAYKELLKATSSFTTLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWHLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMRARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGVFDVEELNDMAAMAYKCVSRVSRKRPPMRDVVQALIRVAKHSRGSRNHHSGKLAPGRTEDESLDVSEVQSYASGLQRQESVGSVSDLPDV* >Brasy3G181600.1.p pacid=40041236 transcript=Brasy3G181600.1 locus=Brasy3G181600 ID=Brasy3G181600.1.v1.1 annot-version=v1.1 MIVRTYGRRSRSFSDGGEGSGGGGERGFSSSQDAFDFDGGDGGDELALLGSSSSQPFPPSQESSSMWDFDEDPPPRQLEAPRRQGRRGRGGGGYAEPEAEAEAATATLMEAEEYGEMMESVDEVNFALDGLRDTAPRRVRRASLLALLGICASAARRRVLRAQGLVKQIIDDVLVLNIDDPPCGVAAAALLFVLASDVQDSHLLDSESCIHFLLKLLNPPVNAVDAKAPSIGSKLLGISKVKMLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSADVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTESMKVRGAISSCLPDNSLKVLVPVLEKFVAFHLQLNMMTEETHSAVTEVIEKCKL* >Brasy3G181600.4.p pacid=40041237 transcript=Brasy3G181600.4 locus=Brasy3G181600 ID=Brasy3G181600.4.v1.1 annot-version=v1.1 MIVRTYGRRSRSFSDGGEGSGGGGERGFSSSQDAFDFDGGDGGDELALLGSSSSQPFPPSQESSSMWDFDEDPPPRQLEAPRRQGRRGRGGGGYAEPEAEAEAATATLMEAEEYGEMMESVDEVNFALDGLRDTAPRRVRRASLLALLGICASAARRRVLRAQGLVKQIIDDVLVLNIDDPPCGVAAAALLFVLASDVQDSHLLDSESCIHFLLKLLNPPVNAVDAKAPSIGSKLLGISKVKMLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSDVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTESMKVRGAISSCLPDNSLKVLVPVLEKFVAFHLQLNMMTEETHSAVTEVIEKCKL* >Brasy3G181600.2.p pacid=40041238 transcript=Brasy3G181600.2 locus=Brasy3G181600 ID=Brasy3G181600.2.v1.1 annot-version=v1.1 MIVRTYGRRSRSFSDGGEGSGGGGERGFSSSQDAFDFDGGDGGDELALLGSSSSQPFPPSQESSSMWDFDEDPPPRQLEAPRRQGRRGRGGGGYAEPEAEAEAATATLMEAEEYGEMMESVDEVNFALDGLRDTAPRRVRRASLLALLGICASAARRRVLRAQGLVKQIIDDVLVLNIDDPPCGVAAAALLFVLASDVQDSHLLDSESCIHFLLKLLNPPVNAVDAKAPSIGSKLLGISKVKMLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSADVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTERRFICSLT* >Brasy3G181600.3.p pacid=40041239 transcript=Brasy3G181600.3 locus=Brasy3G181600 ID=Brasy3G181600.3.v1.1 annot-version=v1.1 MIVRTYGRRSRSFSDGGEGSGGGGERGFSSSQDAFDFDGGDGGDELALLGSSSSQPFPPSQESSSMWDFDEDPPPRQLEAPRRQGRRGRGGGGYAEPEAEAEAATATLMEAEEYGEMMESVDEVNFALDGLRDTAPRRVRRASLLALLGICASAARRRVLRAQGLVKQIIDDVLVLNIDDPPCGVAAAALLFVLASDVQDSHLLDSESCIHFLLKLLNPPVNAVDAKAPSIGSKLLGISKVKMLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSDVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTERRFICSLT* >Brasy3G181600.5.p pacid=40041240 transcript=Brasy3G181600.5 locus=Brasy3G181600 ID=Brasy3G181600.5.v1.1 annot-version=v1.1 MLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSADVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTESMKVRGAISSCLPDNSLKVLVPVLEKFVAFHLQLNMMTEETHSAVTEVIEKCKL* >Brasy3G181600.6.p pacid=40041241 transcript=Brasy3G181600.6 locus=Brasy3G181600 ID=Brasy3G181600.6.v1.1 annot-version=v1.1 MLSCSNKDSDSGSVDIISKVEDILLSCKEIKPLGKDDKTTARPELCSKWLALLTMEKACLSAVALEETSDMVARVGGNFKETLRVLGGLDSIFDVIVNCHSALERLVKDTSTLALDIKEGTSLQSAALLLKCLKILENATFLSHDNKTHLLSMSRRLSPRCSPLSLVGVVINIIELLSALSLQNSSTVSSSTDEKTSIVFKGGCSDVKGATSLNGHGKCNKPKKNNLPLNQKRQKCSSAKADDVSHITISSSSDVGLSQMTLDCSQSISSNRASSGSLGERHSNGVGLKLNIRKDRGKANPIKGSSRWVSLAAHNFDGTSRETAKRRRLSENGNSDLGSGGGNDPFAFDDVDQEPLNWGLFGPKKKSTQGRQAKSANEKLSDNCGTAAIGSQESCQLQDNHQSGATSHSNVDDESSLLEDCLLVSIKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDFSVDIGLEQDLTCSEDRKIHQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVNLSKDLHSEKAQRDVIPLLCSIFLSSQGSGEASGTISLDNEESLLQGAREAEMMIVEAYAALLLGFLSTESMKVRGAISSCLPDNSLKVLVPVLEKFVAFHLQLNMMTEETHSAVTEVIEKCKL* >Brasy3G048500.1.p pacid=40041242 transcript=Brasy3G048500.1 locus=Brasy3G048500 ID=Brasy3G048500.1.v1.1 annot-version=v1.1 MAGKMKTLKGSEGATINTIEEDEAPEDAEAGDLIPRRRRRDVKDGQLSVAGPGGESKQVVLTTCFRYSSVFG* >Brasy3G263900.1.p pacid=40041243 transcript=Brasy3G263900.1 locus=Brasy3G263900 ID=Brasy3G263900.1.v1.1 annot-version=v1.1 MEGRNDIIMDNKSDEILLPGFRFHPTDEELVSFYLKKKIQQKPISIELIRQLDIYKFDPWDLPKLASTGETEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSSEGTRCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLADPSLPKRPVDKNIPLNDSWTICRIFKKTSSMAQRAMSHTWGPPLATEQHIFSAMQPVQASHFPLESSSCSLQVAAAPASQFTGKYDFQGQQQQFQKPSNAQDGSSCKVISFNCSPPLEAQKCPVILPFQAQPPQKPDDAAPPQLFDIMEFGQPEQITGFVIDSSADVNAGMNTRNQDSSTRKAGNALSMNTEWEAPGRLNFPFDLGADSPDDWKCNIPWESFLSPTVPAEMPHY* >Brasy3G327600.1.p pacid=40041244 transcript=Brasy3G327600.1 locus=Brasy3G327600 ID=Brasy3G327600.1.v1.1 annot-version=v1.1 MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFSLESQPLIEGLIVAMSLIGATVITTFSGAVADAVGRRPLLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFTMSLMPQPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTYIEEYIIGPDDELADEGLAPDPEKIKLYGPEGLSWVARPVRGGSALGSALGLMSRHGSMVSQGKSLVDPLVTLFGSVHEKMPEVMGSMRSTLFPNFGSMFSVAEQQQAKADWEAESHRDDEDYASDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSMQGGDAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVPSDQRGSILSMTGGDVPPGGEFIQAAALVSQPALYSKDLMEQQLAGPAMVHPSEAATKGPKWADLFEPGVKHALFVGIGLQILQQFAGINGVLYYTPQILEQAGVGILLSNLGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLTTIPILIVALAILVLVNILDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTSVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFVFVYMKVPETKGMPLEVITEFFSVGAKQGKEARD* >Brasy3G017700.1.p pacid=40041245 transcript=Brasy3G017700.1 locus=Brasy3G017700 ID=Brasy3G017700.1.v1.1 annot-version=v1.1 MTQSSSHHHHHALCCLSAAPPQPDAPPSPAPEPAAPPVPPAGAVAVAGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRSDAAAAGGGIAAAEEEDGGEVRLIGGASTRIAGGRRPEKPAGVVCLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLRSLSGRISFVQSDVSVSTARLRNRMHQEGLNENLIQDCEQIMLTEFSSYRKQLKLRYEDHLSLFGSNRHHFEEGKDGNIVQGALTRNEFSSSRHGNFSEYSTTESDDFEKQDGGELTCEEEFTFFDAADHFTEANSRSSTMSSSTDCGAPSATNINNPGDQEIMDIQIQDSDMLPEIKRRSRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKVGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPDRRIRFFAEKVCHHPMLIACHSEGKGWKFWGDSNVKSKFWGQSIQVDPVGVLTVEFEDGEIFQWSKVTTTINNLILGKLYCNHHGTMHIKGNRQYSCKLKFKEPSLLERNPHLVQGFVEDNDGKKASFLIGKWVESMYYSSLDTSKVKSADQLQGAASLLWEKNKPAPNPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANTEKLRLERRQRMSTKLQDNGWKPRWFEQDAKDGTYHYKGGYWEARDQGRWDGCLDIFGEFSET* >Brasy3G154200.1.p pacid=40041246 transcript=Brasy3G154200.1 locus=Brasy3G154200 ID=Brasy3G154200.1.v1.1 annot-version=v1.1 MGSTDKTGTPYGEYTYAELERELYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFAIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMKEMAEIVLGFEGKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRFTYFWIKEQIEKERTEGLDVARYGSSKVVSTQAPVQLGSLRAADGKE* >Brasy3G154200.3.p pacid=40041247 transcript=Brasy3G154200.3 locus=Brasy3G154200 ID=Brasy3G154200.3.v1.1 annot-version=v1.1 MGSTDKTGTPYGEYTYAELERELYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFAIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMKEMAEIVLGFEGKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRFTYFWIKEQIEKERTEGLDVARYGSSKVVSTQAPVQLGSLRAADGKE* >Brasy3G154200.2.p pacid=40041248 transcript=Brasy3G154200.2 locus=Brasy3G154200 ID=Brasy3G154200.2.v1.1 annot-version=v1.1 MGSTDKTGTPYGEYTYAELERELYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFAIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMKEMAEIVLGFEGKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRFTYFWIKEQIEKERTEGLDVARYGSSKVVSTQAPVQLGSLRAADGKE* >Brasy3G077900.1.p pacid=40041249 transcript=Brasy3G077900.1 locus=Brasy3G077900 ID=Brasy3G077900.1.v1.1 annot-version=v1.1 MAAAAGGAGNGAGEEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQDSEIMREDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS* >Brasy3G348500.1.p pacid=40041250 transcript=Brasy3G348500.1 locus=Brasy3G348500 ID=Brasy3G348500.1.v1.1 annot-version=v1.1 MARRLINPAAACQGCGLVKAGFLGVFSPLHSQRLPLSSPKRGSVQKNYSSFRANLTKEGSHWTGTRSRSSLSLHSSKFVSKRTACLVATEPPPAATEEPEMDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEAGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G348500.5.p pacid=40041251 transcript=Brasy3G348500.5 locus=Brasy3G348500 ID=Brasy3G348500.5.v1.1 annot-version=v1.1 MARRLINPAAACQGCGLVKAGFLGVFSPLHSQRLPLSSPKRGSVQKNYSSFRANLTKEGSHWTGTRSRSSLSLHSSKFVSKRTACLVATEPPPAATEEPEMDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEAGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G348500.2.p pacid=40041252 transcript=Brasy3G348500.2 locus=Brasy3G348500 ID=Brasy3G348500.2.v1.1 annot-version=v1.1 MARRLINPAAACQGCGLVKAGFLGVFSPLHSQRLPLSSPKRGSVQKNYSSFRANLTKEGSHWTGTRSRSSLSLHSSKFVSKRTACLVATEPPPAATEEPEMDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G348500.6.p pacid=40041253 transcript=Brasy3G348500.6 locus=Brasy3G348500 ID=Brasy3G348500.6.v1.1 annot-version=v1.1 MARRLINPAAACQGCGLVKAGFLGVFSPLHSQRLPLSSPKRGSVQKNYSSFRANLTKEGSHWTGTRSRSSLSLHSSKFVSKRTACLVATEPPPAATEEPEMDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G348500.3.p pacid=40041254 transcript=Brasy3G348500.3 locus=Brasy3G348500 ID=Brasy3G348500.3.v1.1 annot-version=v1.1 MDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEAGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G348500.4.p pacid=40041255 transcript=Brasy3G348500.4 locus=Brasy3G348500 ID=Brasy3G348500.4.v1.1 annot-version=v1.1 MDFPKEIFLKDYKKPDYLFDTVDLEFKLGSDETIVTSKIAVSPGNEGTSSPLVLHGRDLKLLSIKVNGTELKSDEYTVDPRHLTILTPPAGVFNLEIVTEIYPQLNTSLEGLYRTTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQYECREDSFVTCSGRKVTLRIWTPAEDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVTPDFNMGAMENKSLNVFQSRLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYSAMCDANNAQLPNFLQWYSQAGTPTVKVTSAYDASSQTFSLKFSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGTLRTLSNDGQPIFTTVLQFKKKEEEFIFNNVPERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELASQLKDDLLAAATSNRSSEAYAFNHDNVARRALKNTCLAYLTSLNEPDVTELALKEYNSATNMTDQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVRKLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYEFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA* >Brasy3G134500.1.p pacid=40041256 transcript=Brasy3G134500.1 locus=Brasy3G134500 ID=Brasy3G134500.1.v1.1 annot-version=v1.1 MGDGRAGGSNRPAWLQHYELLGKIGEGTYGLVFLARLKPTHPQAAGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEVIRHHREKLNLPINQYSVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWLNDQQHIQGHKYENTGLHTIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRLEPLPGRNALVPSQSGEKAVQYPIRPVDGSTDFEGTTSLQPTQPPSGNVPPGNQPVPRPHPRQMQQPMGSMPRMPAGANMGAFGPVPQPGMVGMNPGNIPMQRGAGGQSHPHQLRRKADQGMGMQNPGYPQQKRRL* >Brasy3G166700.1.p pacid=40041257 transcript=Brasy3G166700.1 locus=Brasy3G166700 ID=Brasy3G166700.1.v1.1 annot-version=v1.1 MAGSLATTATPGRCVPGVHAPAVGGPRRTLVPTRFGTAGRGLHATVSSRHRRRLGPVFSQNGGRFGLDSNSELQVTVNPAGGSVVVELVATNTGGSSLALHWGALQHGRREWVLPSRTPEGTRTIDNAALRTPFKSSGSNSTLKVEIDDPALQSIEFVVVDEAQNKWFKNNGQNFQIHIRTDHHQEHHSSSAAEISSERVSRKNRDVMQLLNKHASSSDIVNKATGAATPRSPTVLDLFLKSFQEKHGCQVLCKKLFKLGDKEILALMSDLQGSIKVHLATDHMEPLILHWALAKKAGEWKAPPPGTQPAGSTVLQMACESSFSDAELDGLHYQVLEIQLDDDTYKGMPFVFRCNETWIKNNNSDFYLDFSRKIAKSTEGTSDGSKGTAKALLETIADLEEDAQRSLMHRFNIAADLVEQAKDAGHLGLAGLLVWMRFMATRQLVWNKNYNVKPREISQAQDRFTDNLQSLYKTYPQYREMLRMIMSAVGRGGQGDVGQRIRDEILVIQRNNNCMGGMMEEWHQKLHNNTSPDDVVICQALIDYMNSDLDIKVYWDTLNKNGITKERLLSYDHPIHSEPNLKNEQKEGLLHDLANYMRSLKAVHSGADLESAIGICTGYTAESQGFMVGVEVNPVKGLPSGFPELLKFVLHHIEDQSVESLVEGLLEARAELRPLLLGSKDRLKDLIFLDIALDSTVRTAVERSYERLNNAAPEKIMYFISLVVENLALSSDDNENLLCCLKGWNHALQMSKQSDNQWALYAKAFLDRTRLALATKGEEYHEILQPSAECLGSLLGIEKWTVDIFTEEIIRSGSAASLSLLLNRLDPVLRNVANLGSWQIISPVEVAGYVVVVDELLTVQHQSYDKPTVLVVKSVKGEEEIPDGAVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPEILSQLRKNEGKVLSLKPASVDISYREIAESELLDSSSSNTPDDQLAPSLSLAKKQFLGKYAISADEFSDEMVGAKSRNIAYIKGKVPSWVSVPTSVALPFGTFETVLSDKINKEVAQQVQILEDKLNQGDFSALKETQNVILNLTAPTNLVKELKEKMQGSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYLSTRKVKLDHAYLSMSVLVQEVVSADYAFVIHTTNPSSGECSEIYAEVVKGLGETLVGAFPGRAMSFVCKKDNLNSPKVLGYPSKPIGLFIKKSIIFRSDSNGEDLEGYAGAGLYDSVPMDKEEEVVLDYTTDPLTTDCKFRNSILSSIARTGYDIEELYGSPQDIEGVVKDGKIYVVQTRPQM* >Brasy3G339200.1.p pacid=40041258 transcript=Brasy3G339200.1 locus=Brasy3G339200 ID=Brasy3G339200.1.v1.1 annot-version=v1.1 MFVSFSFPPNPLLSPDRASPPSTVSLRAGAVVRRRPPPVRHRRPLRQRRPRPPPARRRRRPPPSPCRRVPPSSHRAADLLPSRVSALIFLGLRSPLSRRPRGHRPPSPGRRRIHPHMNRATA* >Brasy3G199500.1.p pacid=40041259 transcript=Brasy3G199500.1 locus=Brasy3G199500 ID=Brasy3G199500.1.v1.1 annot-version=v1.1 MKKGKWSKEEDNLIKNHIEMYGIGRLQRCGRSCRSRWLNYLRPGLKHGHFTLAEETIILEMYSKRGSCWSVIAAQLPGRTDLAVKNYWNTTLAKRLPGARAAARRRRSRPSSSTTSDARKPDEIALVAHDEESATTGSPCHDTAVQARPLLAAATVSAVKEEVISGAARAERKPAVARAPLPENAPPRRGDQAGAMDMVCAPVSPMPLAFREPDLPWLAGFDEIDSFLPWFDDW* >Brasy3G223200.1.p pacid=40041260 transcript=Brasy3G223200.1 locus=Brasy3G223200 ID=Brasy3G223200.1.v1.1 annot-version=v1.1 MASKGILKNSGSSRMPPHGPSSKPPTAPTSAPQVVFGRRTESGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMEEDEATKAEEQYVSSSLFTGGFNSVTRAHVMDKQQGTGSNMGRPGPKGSNCMVQGCDSKIMRNGRGDDILPCECDFKICVDCFTDAVKGGGGVCPGCKELYKHTEWEEVLSASSNELTRALSVSHGPGSKMERHLSLVKQSTMSHNQSGEFDHNRWLFETKGTYGYGNAIWPDDNVDDDGGSGVPGHPKELMSKPWRPLTRKLKIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNDDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFETPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKADFVKDRRRIKREYDEFKIRVNGLPDAIRRRSDAYHAREEIQAMNLQREKIKAGSDEQFEPVKIPKATWMADSTHWPGTWLHSSQDHARGDHAGIIQVMLKPPSDMPMYGNIEKSPLDFSVVDTRLPMLVYMSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDINMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCGCCLPRRRKASASDANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSIKNGRPPGALTIPREMLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIISITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVMQGLLKVVAGIEISFTLTSKQVGDDVDDEFAELYAVKWTSLMVPPLTIIMVNLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVSITISLLWIAINPPSSAANSQLGGSFSFP* >Brasy3G069200.1.p pacid=40041261 transcript=Brasy3G069200.1 locus=Brasy3G069200 ID=Brasy3G069200.1.v1.1 annot-version=v1.1 MAPTAATNKQDGELRILCIDGGGIRGLIPAKILDYLESELQRIDESSTARLADYFDYIVGTSTGALVTTMLAAPNKDNRPLCTAKEIIDLYLQEGAVIFRNDHKATWAQVVLEAVLLYIKYYDGDNETLRTLHNMLAPGSAFEPLLRLILDALIHAKGTSSPAAANGGGLQQLVPAAAHHDNGVEPDEAPPATMEDYIQVQDHDAGAILHALCHAQGLALDSPLFQEGITEFAEGLRRTLLNPDFVRHALLRPKYDGEGLRKVVRGKLGDRRLKETVTNVVVPTFDIKRNQPVIFSTSKARKDQVMDPCLSDICIAATAAPTFFPAHKFEEFNLIDAGVFANNPTTVAMNEVWRMIDRGEDLPVEEISPMNCRKLRILSIGTGVVNHSYTADECNWWGLLPWVYNVRNKTQPLIDTLMYATGSLVDYNVALLFKTQRCEDNYLRIQEDHLDPALGAMDDTSSMEKLIQIGENLLKRQVYRTDCETREYQKVEGAGTNKEALTELAQQLVAERRRRGAPAPPPILLSAADIATVVEPRPKRLKPTYVVQ* >Brasy3G262700.1.p pacid=40041262 transcript=Brasy3G262700.1 locus=Brasy3G262700 ID=Brasy3G262700.1.v1.1 annot-version=v1.1 MDGATMKVVRNLDLERYMGRWYEIACFPSRFQPKGGANTCATYTLGPDGTVKVLNETWTDGRRGHIEGTAYRADPADDEAKLKVKFYVPPFLPVFPVVGDYWVLHVDDGYQYALVGQPSRNYLWILCRQPQMDEGVYNELVERAKEEGYDVSKLRRTAHPDPPPESQETPRDGGLWWIKSLFGK* >Brasy3G115400.1.p pacid=40041263 transcript=Brasy3G115400.1 locus=Brasy3G115400 ID=Brasy3G115400.1.v1.1 annot-version=v1.1 MFCCARGVEIARGTIYLFSFAPGSPPCHGVLFCPFDSVTRLHDSYRGKHTTRTS* >Brasy3G141400.1.p pacid=40041264 transcript=Brasy3G141400.1 locus=Brasy3G141400 ID=Brasy3G141400.1.v1.1 annot-version=v1.1 MASATPPASAQVIYSDEDFIPSLSLNKCLGRSGDRPCLSKRYGDPHLAVHEWSMEHKDGQRTPRGAVQRERDKSTGFITKGYNRKAKGCEVAKTLMTSGFSFLIMSCLQKTKNKSEKPV* >Brasy3G092400.1.p pacid=40041265 transcript=Brasy3G092400.1 locus=Brasy3G092400 ID=Brasy3G092400.1.v1.1 annot-version=v1.1 RPAAGHPTAATAPPGRLLGCRPGQRKHTHARSERERDRERERERDRFPAVQPYRSFPRRKVTASSSLARRPAVAYSPSVRPVIAESSSPSIRPAARQPRSVVDVHCPCVATAQTQDGR* >Brasy3G018900.1.p pacid=40041266 transcript=Brasy3G018900.1 locus=Brasy3G018900 ID=Brasy3G018900.1.v1.1 annot-version=v1.1 MSSAAAIGAGKAVCVTGASGYIASWLVKLLLARGYTVRATVRDTDDPKKTLHLRELDGAKDRLHLFKASLLEEGSFDAAIAGSECVFHTASPFYHNVKDPKAELLDPAVEGTLNVLRSCKKASIKRVVVTSSMAAVAYNEKPRTPDVVVDETWFSHPELCEKNQQWYVLSKTLAEDAAWKFSKDNGFEMVTINPAMVIGPLLQPTLNTSAEVILKLINGSSSTYANFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHHSEIVKIIHELYPNLPVPDKCADDGPFVPTYQVSKDKIRSLGMELIPLETSIKETIESLKEKGFLSL* >Brasy3G116800.1.p pacid=40041267 transcript=Brasy3G116800.1 locus=Brasy3G116800 ID=Brasy3G116800.1.v1.1 annot-version=v1.1 MRKMEVCCAYFDPDYENLNERIYGTRVHVDNESCGRCTVVKVNSRNKQDLLLEVLEVLIDLELSITKCYVSSDGGWSLDVFHVKDQEGSKVYNKKAISYIEQAICTREARRFTVRGSNEFASRPDVAAHYTEIEMIGHNRPGIFSEISAVLAEEGCNVIEAHAWSHKDSLACVAFLSDESTLSPINDRNRLATIEDHLGTVLRPGTSMDDDQRSARAHLLGVDGLTSHPERRLHQLMFASRDFDGQPGQVSTSFPMLSLDGYKKDKSTVVSLDRCNEKGYSVVNVECVDRPKLMFDTVCTLTDMQFNVFHASVSSQGPFACQEYYIRHKDGHMLDTADEKCLVVKGLKAAVERRTCEGVKLELCTEKNNVGFLSHITRVLRESGLTVTRADIAMDGDVTKNTFYVKDISGNEIDMNAVESVRRELEPLPFQVKDELLSPGLPEGDPASERNGFCILGLLRSKIERLSHGFIST* >Brasy3G116800.2.p pacid=40041268 transcript=Brasy3G116800.2 locus=Brasy3G116800 ID=Brasy3G116800.2.v1.1 annot-version=v1.1 MRKMEVCCAYFDPDYENLNERIYGTRVHVDNESCGRCTVVKVNSRNKQDLLLEVLEVLIDLELSITKCYVSSDGGWSLDVFHVKDQEGSKVYNKKAISYIEQAICTREARRFTVRGSNEFASRPDVAAHYTEIEMIGHNRPGIFSEISAVLAEEGCNVIEAHAWSHKDSLACVAFLSDESTLSPINDRNRLATIEDHLGTVLRPGTSMDDDQRSARAHLLGVDGLTSHPERRLHQLMFASRDFDGQPGQVSTSFPMLSLDGYKKDKSTVVSLDRCNEKGYSVVNVECVDRPKLMFDTVCTLTDMQFNVFHASVSSQGPFACQAQGWTYARHSR* >Brasy3G185100.1.p pacid=40041269 transcript=Brasy3G185100.1 locus=Brasy3G185100 ID=Brasy3G185100.1.v1.1 annot-version=v1.1 MAVAELWETLKQAIAAYTGLSPAAFFTAVAVAAALYHVVSGIFAAPPPPRQRPREEPEAEPLPPPVQLGEVDEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDIAGLGPFELDALQDWEYKFMSKYVKVGTIKKVPVEDGNTSTSPETNETANAEEAKEKEAETAVEAEPAVAAKES* >Brasy3G090900.1.p pacid=40041270 transcript=Brasy3G090900.1 locus=Brasy3G090900 ID=Brasy3G090900.1.v1.1 annot-version=v1.1 MDPQQPEPVSYLCGDCGAENTLKSGDVIQCRECGYRILYKKRTRRIVQYEAR* >Brasy3G052600.1.p pacid=40041271 transcript=Brasy3G052600.1 locus=Brasy3G052600 ID=Brasy3G052600.1.v1.1 annot-version=v1.1 MSNVGRLLFHGSALGSRTTDDDVLVMDGVLVANSSSSGPRRSSSFSDLGFVHAGSPGYGGSGRYASNRQCSYGKEESRAVPPMNRRHSEVELQNGRPVQGAGVNMQAGRRTYHPLHPQSLSAAGLPTPAAIRPPAALYFMPPRATTTTSTPARKLAGSSWPPKRPEFKAPAVRGATFTWPPTEEEDAFISQYLYGLHRIPLRRLPVFASICPV* >Brasy3G052600.2.p pacid=40041272 transcript=Brasy3G052600.2 locus=Brasy3G052600 ID=Brasy3G052600.2.v1.1 annot-version=v1.1 MSNVGRLLFHGSALGSRTTDDDVLVMDGVLVANSSSSGPRRSSSFSDLGFVHAGSPGYGGSGRYASNRQCSYGKEESRAVPPMNRRHSEVELQNGRPVQGAGVNMQAGRRTYHPLHPQSLSAAGLPTPAAIRPPAALYFMPPRATTTTSTPARKLAGSSWPPKRPEFKAPAVRGATFTWPPTEEEDAFISQYLYGLHRIPLRRLPVFASICPV* >Brasy3G017300.1.p pacid=40041273 transcript=Brasy3G017300.1 locus=Brasy3G017300 ID=Brasy3G017300.1.v1.1 annot-version=v1.1 MDPRDRNFTNHTIPHSPKSHLENLNIPPSQYSQGYSQNYSPGYSPPQYGMHLPQSQYPQSSPPSHYFQNLRPFSTPYNYEHHDVPTGSFQGMQQAYVNSPCGVPRAAEQPKQEIESDASSPEEDGRKGVRINWNDEENVRLASSWIENSNDPVEGNAKKSEFYWRSSTLNKGIAAFNGVYERAKSAYSSGQCDKMLKSKTREWYKAENNQKAFTMEYLWDQVKDNPKWRRIYMKDDKSKRTKISETGAYASSSNPEGEETTREMRPEGQKAAKARRKAKAVIDEPCENMKMYHSAMSKKSEGQVAIACATNERTKFNKMSKYLDMMEKDTSSFSEERLKRHNQALDQMQLELFG* >Brasy3G057400.1.p pacid=40041274 transcript=Brasy3G057400.1 locus=Brasy3G057400 ID=Brasy3G057400.1.v1.1 annot-version=v1.1 MEIRRSLVVMAMAMAVAATQVAAAGMSYTVGAPDGLWDMHTDYADWVSRRTFHPGDNITFTYSRELHDVVEVEKAGYDACSSANNISAFRSGNDVVSLAAPGTRYFLCGLTGHCANGMKIAIRVVDAASSAGGPNASPPVVSSAGVVGGLIGAVAMAVLQALVLVSGDARA* >Brasy3G087200.1.p pacid=40041275 transcript=Brasy3G087200.1 locus=Brasy3G087200 ID=Brasy3G087200.1.v1.1 annot-version=v1.1 MADLAAPMSMMKRKDVEVVVNSHGFSIFLDPKRIKLQDAMILDKMEEDEPLAIAPIATAPTIVYGKVDTVSGVKSSDPPSMFRRNENIAVAAPMDVEVDGRQHQPCQNDPFFSGFF* >Brasy3G110600.1.p pacid=40041276 transcript=Brasy3G110600.1 locus=Brasy3G110600 ID=Brasy3G110600.1.v1.1 annot-version=v1.1 MQLESNRIESARCGVSPSSARRAAPRYGPRPSRRRSGRSDNTPPSTCRSGPPCSLRLCTLTRRGHCGRGAAPSPMGASGESHMGPRRKDVSRLRAVADGGVSGNLRSDPDGRICPAAAPLPMGASAGASYGIPTEGGAPPPPMGESAGSCEPRRRRWVRRWVDLGRSFFLGEIWGAVIWTPTLLNSI* >Brasy3G074000.1.p pacid=40041277 transcript=Brasy3G074000.1 locus=Brasy3G074000 ID=Brasy3G074000.1.v1.1 annot-version=v1.1 MVSAGYPWRPPPLLLLLLMVAASALLMAAAEAEKKEEPPLVPALFVFGDSLVDNGNNNGLPSLAKANYLPYGVDFGSAGGGSPTGRFCNGYTIVDYLAELLGLPLVPPYSQLLSSGSGSVPTNGANYASAAAGILDESGANFAGRIPFDEQISNFERTVAQIAAAMGTNSVVGRSMVFVGMGSNDYLNNYLMPNYDTRRRHTPAQFSTLLLSRYAAQLTRLYRAGARRFVVAGLGSLGCIPTILARTTEGRCDEQVDHDLVAPFNAGVKAMLDRLNSNGGELPGARFAFLDNYRIVKLMLADPAAYGFAVVDRGCCGVGLNAGQMTCLPFMEPCAERGRYLFWDAYHPTAAVNEVIARAAFDGGEDVVFPVNVRRLAQP* >Brasy3G007100.1.p pacid=40041278 transcript=Brasy3G007100.1 locus=Brasy3G007100 ID=Brasy3G007100.1.v1.1 annot-version=v1.1 MEAHNFAHHPAYTVAGHRSYVVRPSPPARRVKKPTPAPFVPPARLSFPAVTSQASPTPCRRPDPVNISPPPSAHTAASSPSSRCRPPPVAPAPAPALLNEPPPPSSVPGGGCCLCTPSLRFVGGRRRRDLAAAPTAQLPSIP* >Brasy3G120200.1.p pacid=40041279 transcript=Brasy3G120200.1 locus=Brasy3G120200 ID=Brasy3G120200.1.v1.1 annot-version=v1.1 MRTVIARLSSAVTESAEGTSDDNTRPQDPTRNVSYKSATSFQKRALESLYEFMSLLHSAISRFTSSGTTRLKHSSEILQRVPLGLSLLVFMLVSPRPCFTSSCLASGGGVARGSAPARFWEDIISYLHN* >Brasy3G090200.1.p pacid=40041280 transcript=Brasy3G090200.1 locus=Brasy3G090200 ID=Brasy3G090200.1.v1.1 annot-version=v1.1 MGSRFPSHQLSNGLYVSGRPEQPKEKSPVVCSTAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQSSRNTSFGGAASNSGPVASAVGRPSYSGSISSAVPGTGGSARAKSNSGPLNRHGEPTKRSSGPQSGGVTPTTRQHSGPLPPILPTTGLITSGPISSGPLNSSGAPRKVSGPLDSTVSKKMRATSFAQNQAVTNLNEEDGYSIMDSLPKPIRLAVILLFVLGFIAGGLILGAVHNSILLLVVVVIFGFVAALLVWNICWGTRGVTGFVSRYPDADLRTAGDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYKGWDSKAANTQHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARLTPYVDESVVIDINPENKDMSPEFLRWLRERNLSSDDCIMCLKEGYVKEGSTVSVIGVVQRNDNVLMIVPPSEPFSTGCHWAKCILPTSLNGLVLRCEDTSDGDVIPV* >Brasy3G036600.1.p pacid=40041281 transcript=Brasy3G036600.1 locus=Brasy3G036600 ID=Brasy3G036600.1.v1.1 annot-version=v1.1 MVGFGAIRSNAAQPHSRSSSVASSGTPPAGPAASTSTRSSSLSSIDADEQSPARPHHTLEAPSRKQYRSSSSKPARLFQKLRNALPLVLAPRCGRSLASSTPFSSSGAGGGSDKHVLAHVAGRRRPCRRVTGTLYGHRKGRVALALQETPRSLPSVVVELALQTHALLRELGNPAGARIVLETERRGGGGDRRSSSAPLLEEAAWTMFCNGRKTGYAVRREASGGDLAVMDTLRAVSMGAGVLPASAAGAGAGGDDEVAYMRGCFEHFVGSRDSESLYMMAPQGGGNGPELAVFFVRL* >Brasy3G001400.1.p pacid=40041282 transcript=Brasy3G001400.1 locus=Brasy3G001400 ID=Brasy3G001400.1.v1.1 annot-version=v1.1 MRRLVQALRPLQTLTSNTNPIPLPFLRPLSTSSSSSSAAASSDSESPAADFDSSEFSLPTPDPAPVRAHNPVSALRKLRFDPSLRARADEALFGETNAGRGLGDAVEEERSREVALALLEAALEPPDEDEEEGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTVVGSKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSVNLYDLLIVMFDVNRHLKMPDSRVIKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFENLPGFERYFMVSGLKGKGVKDLVQYLMDQAVRRPWDEEPTTMTEEVMKTISLEIVREKMLDHIHQEIPYVIEHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRVAKKRSS* >Brasy3G001400.2.p pacid=40041283 transcript=Brasy3G001400.2 locus=Brasy3G001400 ID=Brasy3G001400.2.v1.1 annot-version=v1.1 MRRLVQALRPLQTLTSNTNPIPLPFLRPLSTSSSSSSAAASSDSESPAADFDSSEFSLPTPDPAPVRAHNPVSALRKLRFDPSLRARADEALFGETNAGRGLGDADEEEGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTVVGSKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSVNLYDLLIVMFDVNRHLKMPDSRVIKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFENLPGFERYFMVSGLKGKGVKDLVQYLMDQAVRRPWDEEPTTMTEEVMKTISLEIVREKMLDHIHQEIPYVIEHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRVAKKRSS* >Brasy3G154700.1.p pacid=40041284 transcript=Brasy3G154700.1 locus=Brasy3G154700 ID=Brasy3G154700.1.v1.1 annot-version=v1.1 MECADFLITTGRRRSSLSSAWKPAKQWRKAMNVIRTCHRLARGLSILSTVILPRSPSYVAIKIHHDTDSDADADAESSGNNGSSKAAAFSVAADDESFKGLVKEKREDCFHRLGGGGGIASALGSNAERGIRGDPADVGRRREAFGANTHPKRRPKRFLSHVWEALSDVFLVVLLVCAVVSLGFGIKEHGLKDGWYDGVSIFLAVFLVSAVSAVSNHSQAKRFAKLASESDNVSVTVVRNARRQEVSIFELVVGDVVILKIGDGVPADGVFLEGHGLQVDESSMTGEPHPVEIDAEKNPFLASGVKVVDGYGRMLVTAVGTDTAWGEMMGTLTKEPTDPTPLQERLERLTSAIGKIGVVVAVLVFVVLTARHFTGSTKDEQGKPIFDKGRVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVREHALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTEQPNKAPVARAVAGSVVGLLCQGAGLNTTGSVYKPDNVSPPEISGSPTEKALLSWAVEELGMDAHALKRSCEVVQVEAFNSDKKRSGVMVRDKATGAVTAHWKGAAEMVLVSCSMYVDADGAARQLGAEQRRSLQKVINDMAAGSLRCIAFACKQTNTNGEQSSKIDDEGLTLLGFVGLKDPCRPEVKAAIEACKKAGVAVKMVTGDNILTARAIASECGIVSGNDPEGVVIEGHEFRAMSPEQQLEIVDRIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALVINFVSAVTTGRMPLSTVQLLWVNLIMDTMGALALATDTPTKALMDRPPIGRTAPLISNAMWRNLMAQAAFQIAVLLALQYRGRDVFGTDGKANGTMIFNAFVLCQVFNEFNAREIEKKNVFAGMLKNRMFLAIIAVTLALQVVMVEVLTRFAGTTRLGLGQWGVCLAIAAMSWPIGWAVKFIPVPDRTLHQILTSRKLA* >Brasy3G047400.1.p pacid=40041285 transcript=Brasy3G047400.1 locus=Brasy3G047400 ID=Brasy3G047400.1.v1.1 annot-version=v1.1 MTSAVLAGRNEVHHHHHHWGGGEARVPLMSKPSNPNPRRHRPSPNPTHSIPRPPPPQHRQAVPAAAAAPEPSPSGYVTIRPSSLSRREALELRSRLAGELGRVRALLSRIDTWEDRRRPRREEPPPPQLQAAMRKRCAQILTKIRKQRNSVWFNKPVDVERLKLHDYYAVIRNPMDLGTVKENLTAGRYHSHEAFADDVRLTFNNALRYNPVGHQVHRSAGSLLASFEGMYGEAVSWFEQECAHFEPMQLALPPPPMLALPPPPQPLVPVHVRVSPVPRLQEPPRMGPGRRPKPKAREPNKREMSDEEKHKLRVEIESLPEEKMENVLQIVQKRSSDPALMGEVVELDFDEMDVETLWELDRFVVNWKKAVKKNRQTVVMNGDAVVTPVIPIEDDMMMVNVDTTMVVDIGESETDMPDKRASEAEMVDEYVDIGDEMPTSNYQSVEIEKDAQVVSSSSGSGSGSSSSSDSDSRSSADSDSDGDDARSPD* >Brasy3G047400.2.p pacid=40041286 transcript=Brasy3G047400.2 locus=Brasy3G047400 ID=Brasy3G047400.2.v1.1 annot-version=v1.1 MTSAVLAGRNEVHHHHHHWGGGEARVPLMSKPSNPNPRRHRPSPNPTHSIPRPPPPQHRQAVPAAAAAPEPSPSGYVTIRPSSLSRREALELRSRLAGELGRVRALLSRIDTWEDRRRPRREEPPPPQLQAAMRKRCAQILTKIRKQRNSVWFNKPVDVERLKLHDYYAVIRNPMDLGTVKENLTAGRYHSHEAFADDVRLTFNNALRYNPVGHQVHRSAGSLLASFEGMYGEAVSWFEQECAHFEPMQLALPPPPMLALPPPPQPLVPVHVRVSPVPRLQEPPRMGPGRRPKPKAREPNKREMSDEEKHKLRVEIESLPEEKMENVLQIVQKRSSDPALMGEVVELDFDEMDVETLWELDRFVVNWKKAVKKNRQTVVMNGDAVVTPVIPIEDDMMMVNVDTTMVVDIGESETDMPDKRASEAEMVDEYVDIGDEMPTSNYQSVEIEKDAQVVSSSSGSGSGSSSSSDSDSRSSADSDSDGDDARSPD* >Brasy3G036500.1.p pacid=40041287 transcript=Brasy3G036500.1 locus=Brasy3G036500 ID=Brasy3G036500.1.v1.1 annot-version=v1.1 MAKLAAQLKSKFFGLVGRITVCGRSGHKDAAANGDAKSASSQHVEIRSRGAAPVPSGGSKSRSNEMDY* >Brasy3G275500.1.p pacid=40041288 transcript=Brasy3G275500.1 locus=Brasy3G275500 ID=Brasy3G275500.1.v1.1 annot-version=v1.1 MRLGSINGLRCKQFKLVLLAFFMMFILWKWEEGTYYNTENLHPDSLVLIHPADSRFVDQHTSSEEDFPSADSFPQSVVKVEKQVTVAPPPLSISVSVDVEDEKETSSSEKKECNHRNGRWVSDKRRPLYSGFGCKQWLSESWSCRLTQRLDFNYEQFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMATAGQERADVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDPATSYAMHLDRPPAFVRDNLHRIHVLILNTGHHWNRGKLRANRWEMYLGGVPNNDRNTAVIWKAKNFTIHSVVRWLDDQLPHHPHLKVFYRSISPRHFFNGDWNTRGRCDSTSPLAKGSGIFQNHSDDADAEGAVMGTRVKLLDITALSSLRDEGHISRYSIKATQGIQDCLHWCLPGVPDTWNEILAAQL* >Brasy3G101800.1.p pacid=40041289 transcript=Brasy3G101800.1 locus=Brasy3G101800 ID=Brasy3G101800.1.v1.1 annot-version=v1.1 MAFWFLPELLIPILVLLVVLSLYIKSTRSKNSLPPPQDWPIVGILPSLVVNLHRLHDYIAAVLPSTGNNFMAPVASTTRFFVTCDPANVQHVFTSNHANYPKGEDFAQIFDVTSGSLFTADGESSRRLRARYQSVLSSPRLLASMANCCRDKVEKGLLPFMARMARTGAPLDINDVVSRLVFDLYATTVLGMDPCRLSLLDMPPMQVADAMDTVMEVGFVRHIVPLFCWKLMRCLMVGPERRLAAAQGVLRRFTMEMVERRRKTAGSIGRLEENEEQQARVTSSSVVDVLSNYVNDPEYYENDDHLLQATLITYMIAGRDTIGTTLPWVFYNLAKNPHVVLGIRNELAPVASTRKAAAPFVAGAMMVFEPEELKPLVYLQASLLESMRLYPPIPIERKTVVSSDVMPSGHEVRAGDIVIISLYSMGRMEDLWGPDCQEYRPERWLSKDGGKLRHVPSHKFLAFNSGPRMCLGKDIAISQMKTIVAAVLWNFDVVEVLLDGQQAVEPKLSCLLQMKNGLKLKVQKREV* >Brasy3G205900.1.p pacid=40041290 transcript=Brasy3G205900.1 locus=Brasy3G205900 ID=Brasy3G205900.1.v1.1 annot-version=v1.1 MRVKGGGGGEEPVRLLALTYGRLERRGRGSMNGWRIEGVKGTFRGGAKYEGRRMDAVRRQGPRDDEGVAAPATRKAGSRRTEPQWRETEQSQKSMNAGHARSPRQGGRLGVAPRHGPPSGRQRRGGAQRRRWSRGGKGGTPLHGRPNECPDRQRRRQMHLSGGENEERRGKEECGSGEDDWRRGRGKDVRKAEP* >Brasy3G162900.1.p pacid=40041291 transcript=Brasy3G162900.1 locus=Brasy3G162900 ID=Brasy3G162900.1.v1.1 annot-version=v1.1 MRKFQESVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCRLAGALGLLKIMIYKVYTPDGAATLSNWEREASVREFYGVLFPSLLQLPSGITELDDKKQRRLCMEKFRRMDGDFSEVDLERELECGICLELNAKTVLPDCAHSLCFRCFEDWNAKSKSCPFCRACLEKVEPNSLWVYTDGRDVVDTAALTRENIRRLFMYINKLPLVVLGVADLDIYEYRIK* >Brasy3G072400.1.p pacid=40041292 transcript=Brasy3G072400.1 locus=Brasy3G072400 ID=Brasy3G072400.1.v1.1 annot-version=v1.1 MELIGMHKPLLLLLLLAGLAAGSPPPEPVACTGRGNTDCTVTNTYGSFPDRTICRAANATFPSTEAELVAAVASAAASKRKVKVATKHSHSFPKLACPGGRSGTIISTERLNRTVSVDAAKGLMTVESGMVLRDLIKAAAAAGLALPHSPYWYGLTIGGLLATGAHGSGLWGKGSAVHEYVVGMRIVTPASASEGWAVVRELGVDHPDLDAVKVSLGVLGVVSQVTLALQPMFKRSVTFEKRDDTDFAAQSAVWGGLHEFGDMAWLPRQGKVIYRKDDRVPVSTPGNGLNDYLGFRANPTLALITARAAEEHLEKDGDDIARCLSARVPGALFELQAYGFTNDGSFFTGYPVVGFQNRIQASGTCIGSREDGLLSSCTWDPRIRSPFFYQSGFSVALSKAPPFIADMQKLRDLNPRAFCGLDAKLGILLRYVKASSAYLGKSEDSLDFDVTYYRSYTEGETRAHSDVIDELEQLAFGKYGAVPHWGKNRNFAFAGAINKYPKAGEFLKVKDRYDPDGIFSSEWSDQVLGIKGSPNVVGKGCAIEGLCLCSDDSHCAPEKGYFCRPGLVYKKSRVCSTRPAATGDLRDEL* >Brasy3G067500.1.p pacid=40041293 transcript=Brasy3G067500.1 locus=Brasy3G067500 ID=Brasy3G067500.1.v1.1 annot-version=v1.1 MDTGNFKNVDLKDREGSWKEHFNKISMEERSKFDEETLSNLEGVKRRKTYSMKTDGSKNEYIVVTLLVAADETLNLPEAIRSAADLEAAVLRLNSTPESDLLGVQVLWTPQDEDDVLSEERMQKDYPYLKPLLRGDN* >Brasy3G268100.1.p pacid=40041294 transcript=Brasy3G268100.1 locus=Brasy3G268100 ID=Brasy3G268100.1.v1.1 annot-version=v1.1 MRGGALLVLLLALAASCCGAAFDFSGDGGGGGEGLMASAAVEVDPSWRFPSRRIREAYVALQTWKQRAIFSDPRNLTADWSGPDVCNYTGVFCAPPPSDPSAGLAVAGVDLNHGDIAGYLPPELGLLSDLALLHLNSNRFCGVLPPALARLRLLHELDLSNNRFVGPFPEVVLSMPALRFLDLRFNEFEGVVPSKLFDRPLDAIFLNHNRFRFSLPDNFGNSPVSVVVLAHNTFGGCLPASVANMSGTLNEILLINNGLDSCFPPEIGRLRELTVLDVSHNRLAGPLPPEVAGLRKVEQLNVAHNLLSGPIPQAVCALPRLKNFTFAYNFFTGKPPSCARVVPRDGDRRNCLPNRPAQRPPQQCSAFYARPPVNCAAFQCKPFVPPVPPPPPPSPSPPPPSPPPPSPPPPSPPPPSPSPPPPSPSPPPPSPPPPSPPLPSPPPPSPPPPSPPPPAPVHHSPPPPAPVHHHSPPPPAPVHHHSPPPPAPVHHHSPPPPAPHPHPHPTCPPPPPCACPTPALPPPPPYYPGPLPPVSRAEYGSPPPPLRQ* >Brasy3G310300.1.p pacid=40041295 transcript=Brasy3G310300.1 locus=Brasy3G310300 ID=Brasy3G310300.1.v1.1 annot-version=v1.1 MTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKVSQATEAISVKEGTQKIEAAKLGGQGVEKVQTHQGTVKELPARGEKRAGTCSFYFKRQVSAKATICLVDQATPAQDRIKGEGNKCATDTAAAAQVVTPQGLPGHQPRQPSSICATLAPLPTTTATSCAPQNSVGTAPLEHVRGSSVPVHPIEKSRSRVVFNGLDFEAPSFDLGTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKSDDIIKYNNFFVTVSELASSMAPTCRLSNSVVEIGIEYIMQKQPSSVKKCVMPLRIGESNHYWLFNLNIRDRRYEIFDSIRSFSNQKLNEAAKRIATCIIALWGTHYSKSRVKVDQFKWLDVGGPKQDNTYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy3G028900.1.p pacid=40041296 transcript=Brasy3G028900.1 locus=Brasy3G028900 ID=Brasy3G028900.1.v1.1 annot-version=v1.1 MVYLSFFASPKRDERFPVISAHGYRGVRKIIERCEGVLGTDLVERCKGLLVAACTARGSAVLDRSRVQSPLQGVQGVVAAGWSMGGGACESEQLRAEGGGCGLREVAVGEGGGGGSRPGGRERRMEGGGGGLRETAHGGWREAATRAGGGGGGRVEGGGYTRGWRRRRRRRAGGGRQGGRRRAVGRGRGRRVEGGACERRVAAARGRGDGVQRRRRLMCPMGREKKGSICF* >Brasy3G145200.1.p pacid=40041297 transcript=Brasy3G145200.1 locus=Brasy3G145200 ID=Brasy3G145200.1.v1.1 annot-version=v1.1 MSHDEGYLRFFIYISFFNISMLGLVTSSNLIQFFFLGTCGNVFLFIDRLLVYTTNRSECLSKIFCNCYRKNKRKIKLFCGMALI* >Brasy3G273900.1.p pacid=40041298 transcript=Brasy3G273900.1 locus=Brasy3G273900 ID=Brasy3G273900.1.v1.1 annot-version=v1.1 MAAKTTLLSFTLLLLAPIAAMAFPVATTAMAAKSSSSGSVPAVGDAPTLPFPFPLPNGGDAAEAVRECLKMVLRAEGCAVDILRWFASPDLAVRVSRVCCGILHKVGDRCIRDLFPASPFGQFYDPLINNACFGPYKSPNTPGAGGGRQ* >Brasy3G055700.1.p pacid=40041299 transcript=Brasy3G055700.1 locus=Brasy3G055700 ID=Brasy3G055700.1.v1.1 annot-version=v1.1 MKFRQHLPAVLQIHVSATSQENVHPENNGEMLPKSRGAGGGGGDLGEGAGGEEAGEEGWEGGRREEGGGAGGEEGRGEGRRRRGQGGGGGGEGTGARSEGRGAGVAGRGGGGEAEAAGDGGSGGRGRGGGGGGRSEGAAGRRHRRGGGGEGPDPALEEADPVGGGGEGAAAGARESVRAGGVGRAREIE* >Brasy3G338500.1.p pacid=40041300 transcript=Brasy3G338500.1 locus=Brasy3G338500 ID=Brasy3G338500.1.v1.1 annot-version=v1.1 SGSEHETREIRYNSGVYTAHYARWYNVLELHEPINSVRLFDARLAMRYQLLMMGDNIAQLPHVFFG* >Brasy3G055200.1.p pacid=40041301 transcript=Brasy3G055200.1 locus=Brasy3G055200 ID=Brasy3G055200.1.v1.1 annot-version=v1.1 MHASHKQHLCKVTPLYFELPREKPVLCHHNTRSRNKPTKKPSEAETNQQQPSTIGLPSSLASQQHRPWASRQHDAELDVPAENTRRRQCRRRRTDPEALGRCSVPAHSRRRRRSQIRVEAHLPSVPSKLRWKLAPGDAGSARRAIGSGRKAPPPQRSRPARSSSDHKGVAQRPLQPRARRTRRRRHCVDFGRLGLAMDGLTSGGGDWQGKKKGSSLLASGRRTGNRREGDLRRRQRVITDERDPNQGRRPALREDALREDSRTRQNGNRNIHEHTVCEEPRRAEPFRPGEFFPVVVCRPRRFSSREDCREVLVLVFTCTRRSPPLLALSKSRRPPLPCLRPPGSLSLAALLLLLLASSAPPWPTPSARGCRGFPPPLSPCSLQLRIGED* >Brasy3G054800.1.p pacid=40041302 transcript=Brasy3G054800.1 locus=Brasy3G054800 ID=Brasy3G054800.1.v1.1 annot-version=v1.1 MVGPGHRNSHGKRHSDYSENGGGKRRNPGDDTYAPGPDDTVYRYLCTSRKIGSIIGRGGEIAKQLRTDTQAKIRIGESVPGCDERVITIFSSSRETNTIEDTEDKVCPAQDALFRVHEKLITDDGPGDEENEEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSQIRVLSNERLPACGISGDELLQISGDPLVVRKALLQVSSRLHDNPSRSQHLQAPSLMQPYPVGSRHGSSSTAPVVGIAPTYGGYKGDMVGDWPSIYQPRREESSAKDFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKEFFEDPVSPTIDATVRLQPKCSEKTDPESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVASEDEEMVQISGDLEVARHALVQITTRLKANFFEREGALSGFQPVIPYHPLPASISDEPKYLGRDTKPAGHDYPYSGGYRASEDMLPVDSYANYGSSQISGGGYGAYSGRSGSSGLSGPSYLTSGKRYGY* >Brasy3G310200.1.p pacid=40041303 transcript=Brasy3G310200.1 locus=Brasy3G310200 ID=Brasy3G310200.1.v1.1 annot-version=v1.1 MGRGRVVAGVIGRNRRWRARWGGRRRCWAGWGGASRAWWWGSGGGRGLLPAPAEAGSGSGVGGREGKAGGGGGREGRSRALGTCSPRRLERRRGGEVGGARAEQRGGEVRSGARGQNREERMR* >Brasy3G275100.1.p pacid=40041304 transcript=Brasy3G275100.1 locus=Brasy3G275100 ID=Brasy3G275100.1.v1.1 annot-version=v1.1 MARLEDEFRHVLSSRAFDLEIEALADLTSLSSISTDRSNSVSSIDLPVVEEDDPAVSYAVGRRSSYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLSFHIFHDLPISNVAVAAAVPAAAATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGNVHPLTRYVMNYSSLISEYKATLSELIVSRPSASARLAAEGNELAPSLAELELPELDNQSPLSAHIIWIIVVLEHNLEGKASLYKDTALSHLFLMNNVHYIVHKVKDSPELWSMIGDDYLKRLTGKFTVAATHYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWSVPDIQLREELRISISEKLLPAYRSFLGRFRHHIENGKHPELYIKHSAEDLEIAVNDFFEGVTPSPHNRRRSHG* >Brasy3G330400.1.p pacid=40041305 transcript=Brasy3G330400.1 locus=Brasy3G330400 ID=Brasy3G330400.1.v1.1 annot-version=v1.1 MGIKGEVKGKSGELEIEQGKGVRGEAKGRDEVAPKLEGMGGALSFDIYCRVRFLESSWSRLFSSHSTTETTSLSPMHFTHNTTGCARYDSFAGSTLQMYSIQVTEIKDALKLQWPLDVYGMVAVRDAADGNRNFIFLRQRRKYQRLTQKDPCLHLTGPSRAIVFTDFVDFDIELKVKGRTESEDRVLMSQTFKYDGIFCCSPTPLFNDLCKISLHFEKLEKTVQATIVGVRVTGRRRTWPFKHGGRVTCFAKGFSEPGELESNHELSKEVVLQDQVRANHSDGYVDLSRHVVSVELGGTLEVIIREWSESAAFTAHQVHVFFKTQEGKISQETCYLGHSELEVTVAWSLLARDKLAREGCVDSD* >Brasy3G330400.2.p pacid=40041306 transcript=Brasy3G330400.2 locus=Brasy3G330400 ID=Brasy3G330400.2.v1.1 annot-version=v1.1 MGIKGEVKGKSGELEIEQGKGVRGEAKGRDEVAPKLEGMGGALSFDIYCRVRFLESSWSRLFSSHSTTETTSLSPMHFTHNTTGCARYDSFAGSTLQMYSIQVTEIKDALKLQWPLDVYGMVAVRDAADGNRNFIFLRQRRKYQRLTQKDPCLHLTGPSRAIVFTDFVDFDIELKVKGRTESEDRVLMSQTFKYDGIFCCSPTPLFNDLCKISLHFEKLEKTVQATIVGVRVTGRRRTWPFKHGGRVTCFAKGFSEPGELESNHELSKEVVLQDQVRANHSDGYVDLSRHVVSVELGGTLEVIIREWSESAAFTAHQVHVFFKTQEGKISQETCYLGHSELEVTVAWSLLARDKLAREGCVDSD* >Brasy3G330400.3.p pacid=40041307 transcript=Brasy3G330400.3 locus=Brasy3G330400 ID=Brasy3G330400.3.v1.1 annot-version=v1.1 MGIKGEVKGKSGELEIEQGKGVRGEAKGRDEVAPKLEGMGGALSFDIYCRVRFLESSWSRLFSSHSTTETTSLSPMHFTHNTTGCARYDSFAGSTLQMYSIQVTEIKDALKLQWPLDVYGMVAVRDAADGNRNFIFLRQRRKYQRLTQKDPCLHLTGPSRAIVFTDFVDFDIELKVKGRTESEDRVLMSQTFKYDGIFCCSPTPLFNDLCKISLHFEKLEKTVQATIVGVRVTGRRRTWPFKHGGRVTCFAKGFSEPGELESNHELSKEVVLQDQVRANHSDGYVDLSRHVVSVELGGTLEVIIREWSESAAFTAHQVHVFFKTQEGKISQETCYLGHSELEVTVAWSLLARDKLAREGCVDSD* >Brasy3G268600.1.p pacid=40041308 transcript=Brasy3G268600.1 locus=Brasy3G268600 ID=Brasy3G268600.1.v1.1 annot-version=v1.1 MPAMAREEKEAKETKAKAVPPHYLVVTFPAQGHISPARHLAQRLLRATPGARVTLSTAVSACRKMFPDAASNAERELVDGAGIHYAPYSDGHDEGFDREKGDNAAYMRELRLVGARTLDALMGRFRDEGRPVTRVVYTLLLSWVAAVARAHGVPSALYWIQPATVLAAYFHYLRGTDGVDKAIAAAARAGDPWAEVRIPGITAQLRVRDLPSFLISGAVVDDPASSDDPYVMVLAEFLEDLAALAREEDPTVLVNTFDAMEPDAVATLREHGLNVVPVGPLLSFLDAGPGAPAPASNNDLFEQDGKDYMAWLEAQQEGSVVYISFGSLSVMSERQVAEISRGMAGSGRPFLWVLRKDNRAGAGIDVDGVAKKGGNGMVVEWCEQGKVLGHAAVGCFVTHCGWNSTVETVACGVPAVGVPQWTDQGTNAWLLERIGVGVRAAVSEDDGVLEAEELQRCLAFAASEPVRAQAALWRDKARAAAARGGSSEKNLRAFMEQAIPAAATSPSCVAWHAYVRGPA* >Brasy3G039500.1.p pacid=40041309 transcript=Brasy3G039500.1 locus=Brasy3G039500 ID=Brasy3G039500.1.v1.1 annot-version=v1.1 MDGGKYRQKGYGMAGAGKRLPCVLFLLVVLAAAALSVVVMHKTREQHAFAGLLREHDQQAAYLRMLLQKEKAYNKETKRKGEEMKATISSLRTQKTDLKTKLKGLEAIVASLKDMQKELEASLKERESHISQMDEKSRNLHKTQKELEVSLKERDNRIKQMEEKATNHHNTQKKLETSLKEKDRRINQLEEKATAGSNPDQMAALMEILQQKEAELEEIKTRFQDYRANNRKVVTSKSTVAQTNNGNARPAVVVAGNVTDSRNTTMPATSEEKRSGNTTITESKHEKQKARSLEQKQVKVTGNTDDDGLQDGTSDLFLDIDDIYGDNHARKPEFPQRNKKFLTNGHIDNQYSGHSLDQHTERVTYNKLLEKENSKADETKNSTDGHLEKNSKDGLIAASLNRKKEASQEKPGAADVKPKVPVNDDGPQQQNKKQKKKRSRSKKKLADGATINGDGEVTK* >Brasy3G309900.1.p pacid=40041310 transcript=Brasy3G309900.1 locus=Brasy3G309900 ID=Brasy3G309900.1.v1.1 annot-version=v1.1 MPPYLLLKHRCGNDFIVLIVFGPSKADGAVHAHSCMHKHNRRTEPVRCNAQATRPFLFTVGGMKFPAS* >Brasy3G166100.1.p pacid=40041311 transcript=Brasy3G166100.1 locus=Brasy3G166100 ID=Brasy3G166100.1.v1.1 annot-version=v1.1 MVSLAKMSGSSGPWRAPAPVRQLYWRVRKAVLRRPKHGDARFGYDLHSYSRNFDDGQQLVFA* >Brasy3G292100.1.p pacid=40041312 transcript=Brasy3G292100.1 locus=Brasy3G292100 ID=Brasy3G292100.1.v1.1 annot-version=v1.1 MDPRPPGGFLNYLQSQQYAQLAQQFPPENFHFVGIPRHHAPFPPPAFQGSPSMTGPDSSTPSSVPHKQTKEHINLDADDNGEAARTDKRLAWTHQEDVRLVNAWIENSNDPINGNSKKNDHYWSQVADVYNRNTPSNRRREASQLKVRWHRVSTKINNFHGCYESVRSVYVSGRSDPEVIADALLKYEADYGEPFKLCHWWEVLKNEPKWLTYCERLKKDKNSSSPSIVIDVVQDIPRPEGSKAAKANRNGKRKMPEMADGMRDELDKFIAAQTAAREDREGMKEFQLRISSEKLEAAKLAQQAEKDKKERKILDTYKDLMLADTSGLDEDEKAERKKALKFMSLQLFGGEN* >Brasy3G215700.1.p pacid=40041313 transcript=Brasy3G215700.1 locus=Brasy3G215700 ID=Brasy3G215700.1.v1.1 annot-version=v1.1 MTTRRVRRRTCREKGKGKEVVEEEGRVVEEGRSLRGCVAAEDGDGGREEVGGEGVDWTLLPDDTALQLFARLSYRDRASLGATCRTWRALGSSPCLWSALDLRPHRCDAQVASSLASRCGGLRRLRLRGHEAAAAVASCLRARDLREVVADGCRGLTDATLAVLAARHEALESLQIGPDPLEHVSSDALRHVALCCSRLRRLRLSGLREATADAIGALARYCPHLEDVALLDCVVVDESALGDIQSLRFLSLAGCLNMKWATASASWAQLPSLVAVDVSRTDVSPNAISRLISHSKTLELICAVNCKSVEEEQAHDPALFRNSKGKLVLTITSDIFKSVASLFPGKAVKEHGVFNECNWRDKNKVLGDMMSWLEWILSQSLLRIAESNPYGMDEFWLQQGTSMLLGLVKSSQEDVQERAATTIATYVVIDDETANVDAARSEAVMRDGGIPLLLDLARCSRVSAQSEAAKAIANLSVNAKVAKVVADEGGITIFTNLAQSTNRLVAEEAAGGLWNLSVGEEHKAAIAAAGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTCSQNEGVRQEAAGALWNLSFDDRNREAIAAAGGVQALVSLAQGCLNASEGLQERAAGALWGLSVSESNSIAIGQEGGVAPLLTMAQSDAEDVHETAAGALWNLAFYSSNALRIVEEGGVPILVHLCSSSGSKMARFMSALALAYMFDRRMDEVAIVGTSSEGSSKGATVEGARRMALKHIQLFVLTFSDPQVFSTAAASSAPAALSQVAEAVFIQEAGHLRCSGAEIGRFVAMLRNPTSVLRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAATSASIEAKVFARIVLRNLEHHQAGTST* >Brasy3G183400.1.p pacid=40041314 transcript=Brasy3G183400.1 locus=Brasy3G183400 ID=Brasy3G183400.1.v1.1 annot-version=v1.1 MVGKELVLQRNGHVDVREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSRENDPLLLDSSSHNTRELALVPLSRNDTEVTSRLRDGSSSHNGAEGTLVCANRHANGRTAAVSEDLALSNHNGIDGPLVIPGVLIKDAVLNLPVRFLGYGNIAYRISEATETCKKVSKMWCAWVGQEASHDSEGCNIYEQSGFAIVNFSYAYELGRKWPSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQTQGISQAIVTGSPTGTSRNLQGRSLSSKSMRRELRKQKRLAAEKVCDICGRSMLPGKDVATLLNCSTGNLACSSRNLSGAFHLFHTSCLLHWTILCQYEVLADQIAKKGKSKRGRKAKTAPKSKITSIFCPECQGTGIHVKGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPSEHLENSEEQVMPLKSLPFYAAEG* >Brasy3G337400.1.p pacid=40041315 transcript=Brasy3G337400.1 locus=Brasy3G337400 ID=Brasy3G337400.1.v1.1 annot-version=v1.1 MAFHLRSISLPSRPQVSEAEIEQELLSLEASISSSITISTMCDGLRRLGDIYNVVEEIVCLPSSSQQRKMLDGEMECSLELLDLCSTMQEIFVEMKAIIQDLQVALRKGNDAAAQAKIQSYTRLVKKAKKHFKKPAKKTTSADCRMVMLLSNAREVCISLLESTVQLLSKKIETPKQSLISKAFHNKKAVVCEEEQLQELECSIGDLENGAGHLFRKLVQSRVSLLNILSS* >Brasy3G149400.1.p pacid=40041316 transcript=Brasy3G149400.1 locus=Brasy3G149400 ID=Brasy3G149400.1.v1.1 annot-version=v1.1 MPPLRRRRRGRPASASAAASYRRRCQRADRQDREIDAGGGTSRLPDDALASVFARSHHVADVVRCSAACRRWARVVVASSAVIANALPPAGSFLPRAALGIFHQDKDAPTARTRGPLRFVPDPAAPDPQLARRRWPPPQFMRSRPVASRDGLLVLELQPDCHREGLRLVVCNPITGREVTLPALCGREKLRDYGCAVITGHDQDPPRSPAHLRVLLVYSRRGLAALRCYSSDTGRWGAEAETGFKVSGRKLRLSQAVVLRGAAFWPLDHGALGVRLAGPESSTMEPHLLPYCTPHRWPEKRLLGVSPDNRRLFFIYFGVGDDILVAKISYFAKKAMKMTRADPHVQDTLKLRWFCDRSGLVLFTLGQGSGHNGMFALNLQTRMVDKVADGECDSWKNIVGYEMDMAAYLASTAHQSGKFKWK* >Brasy3G155500.1.p pacid=40041317 transcript=Brasy3G155500.1 locus=Brasy3G155500 ID=Brasy3G155500.1.v1.1 annot-version=v1.1 MVLQVWMVGENGEDLENAKEFLPLSKLEEIGVLYWHLDPKKSETEEELTKIRKDRGYSYMDLLEICPDKLENYEEKLKNFFREHMHADEEIRYCLEGGGYFDVRDKDDKWIRIWIKERDMIILPAGIYHRFTLDGANRVKLMRLFIGEPVWTAHNRPQEDHPVRQEYVKNLTDNAGLALAAH* >Brasy3G155500.2.p pacid=40041318 transcript=Brasy3G155500.2 locus=Brasy3G155500 ID=Brasy3G155500.2.v1.1 annot-version=v1.1 MVLQVWMVGENGEDLENAKEFLPLSKLEEIGVLYWHLDPKKSETEEELTKIRKDRGYSYMDLLEICPDKLENYEEKLKNFFREHMHADEEIRYCLEGGGYFDVRDKDDKWIRIWIKERDMIILPAGIYHRFTLDGANRVKLMRLFIGEPVWTAHNRPQEDHPVRQEYVKNLTDNAGLALAAH* >Brasy3G155500.3.p pacid=40041319 transcript=Brasy3G155500.3 locus=Brasy3G155500 ID=Brasy3G155500.3.v1.1 annot-version=v1.1 MVLQVWMVGENGEDLENAKEFLPLSKLEEIGVLYWHLDPKKSETEEELTKIRKDRGYSYMDLLEICPDKLENYEEKLKNFFREHMHADEEIRYCLEGGGYFDVRDKDDKWIRIWIKERDMIILPAGIYHRFTLDGANRVKMVM* >Brasy3G315700.1.p pacid=40041320 transcript=Brasy3G315700.1 locus=Brasy3G315700 ID=Brasy3G315700.1.v1.1 annot-version=v1.1 MNDPSPVPSRTTPTPAARPRPRERRTLARSDGRRAEDKPSANDSSGGAAGGYQDMSVTGRTTVVDSGSLEFTLDYEQTKS* >Brasy3G315700.2.p pacid=40041321 transcript=Brasy3G315700.2 locus=Brasy3G315700 ID=Brasy3G315700.2.v1.1 annot-version=v1.1 MNDPSPVPSRTTPTPAARPRPRERRTLARSDGRRAEDKPSANDSSGGAAGGYQDTLDQVAAKYTGK* >Brasy3G016300.1.p pacid=40041322 transcript=Brasy3G016300.1 locus=Brasy3G016300 ID=Brasy3G016300.1.v1.1 annot-version=v1.1 MKTRSAMDCSSDESSDLSDTDIDEYAEQTYLNLKSGKLVARYGTDRFRCPFCLGKKKQDYRYNELLQHAVGVGASNRAAKVKANHLALANLLKTDYADAAGSLPPRQADALMNPPKPVQDQELFVWPWMGILANVPAEQTQRDGAILMQQLAHFNPSHFNAVYSPDGYTGFAVVRFTGDWIGFKDALAFHNNYKSRHLGKMDLNEASRRGKYICGWLAKDEDYKADDPVGRFLSENGELKTVSELQLDLSRKTETIIANLTTQISAKSKYMMELEVKCNKMNLALQRAMEDSDSLHQRYNEAMRKMQSDAREHSLRIFQETDQLRKQLDEKESDIQRRSKQLNEIVAQTDMQRRKLENERKKNAGQNDSLHMARVEQQKANEAVRVLVEKHKKEKEVALNKILQLEKQLDEKQKLELDIQQLRGQLEVVKHMEGEGVDVKKRTEELTEELENKIDDMENLEALNQTLIIKERMTNDELQDAKKELISGLSDLLGPRSNIGIKRMGELDEKPFIQACKQKYGVEAETKGLELCSMWQDNLKDANWHPFKVVVTGEKTEQIINEHDEKLVGLKEDLGQEVYQAVTTALLEINEYNASGSYVVSELWNNKENKKANMGDVVQHILKKWKLQKRRR* >Brasy3G007200.1.p pacid=40041323 transcript=Brasy3G007200.1 locus=Brasy3G007200 ID=Brasy3G007200.1.v1.1 annot-version=v1.1 MGGLSEGEKHFIRGGIAQDLRADGRTRLQFRPVTVETGIIPQANGSARVRLGATEVIASVKAELGKPTVLHPDKGKVSIYVDCSPTAAPIFEGRGSEDLSAELSVALQRCLLGGKSGAGAAIDLSSLIVLEGKACWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLNAATDGEPEVDVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRRGQICGLTKRGGAGLDPTIIFDMISVAKHVSQRFISLLDSEIAAAEVVVDE* >Brasy3G007200.2.p pacid=40041324 transcript=Brasy3G007200.2 locus=Brasy3G007200 ID=Brasy3G007200.2.v1.1 annot-version=v1.1 MGGLSEGEKHFIRGGIAQDLRADGRTRLQFRPVTVETGIIPQANGSARVRLGATEVIASVKAELGKPTVLHPDKGKVSIYVDCSPTAAPIFEGRGSEDLSAELSVALQRCLLGGKSGAGAAIDLSSLIVLEGKACWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLNAATDGEPEVDVSDEEFLQFDTSSVPVIVTLTKPGW* >Brasy3G007200.3.p pacid=40041325 transcript=Brasy3G007200.3 locus=Brasy3G007200 ID=Brasy3G007200.3.v1.1 annot-version=v1.1 MGGLSEGEKHFIRGGIAQDLRADGRTRLQFRPVTVETGIIPQANGSARVRLGATEVIASVKAELGKPTVLHPDKGKVSIYVDCSPTAAPIFEGRGSEDLSAELSVALQRCLLGGKSGAGAAIDLSSLIVLEGKACWDLYIDGLVVSSDGNLLDALAAAIKVLLESLGRFSNGAI* >Brasy3G007200.4.p pacid=40041326 transcript=Brasy3G007200.4 locus=Brasy3G007200 ID=Brasy3G007200.4.v1.1 annot-version=v1.1 MGGLSEGEKHFIRGGIAQDLRADGRTRLQFRPVTVETGIIPQANGSARVRLGATEVIASVKAELGKPTVLHPDKGKVSIYVDCSPTAAPIFEGRGSEDLSAELSVALQRCLLGGKSGAGAAIDLSSLIVLEGKACWDLYIDGLVVSSDGNLLDALAAAIKVLLESLGRFSNGAI* >Brasy3G199200.1.p pacid=40041327 transcript=Brasy3G199200.1 locus=Brasy3G199200 ID=Brasy3G199200.1.v1.1 annot-version=v1.1 MATPGACFSAALVVEDFPWAEREEMMGMAPDKYREVFDLAQRGTRAFRERRFDEAISFYSKAHNLRSGDPVILSNRSCAFCLISQVLRERSAADSEYQPLNGLDPTTHAELALKDADKVVTTGSNSPRPYLLKAYALFLLERYHEARESLLAGLQVDPVSHILQTCLSDLDRNTNTPTGARRPRLDRTDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRSFPEEYAERKSEHETTTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDEDGYRVAEIEWFQDVSLPEGSQERKDLIERASGASELARTYIRHARETIRPARRTRHLDLESMPGPQDPEKFSFWLVNLINLRPSDKLDLLRLRDTRDRISRSLRLLGDAEQGCRVQ* >Brasy3G199200.2.p pacid=40041328 transcript=Brasy3G199200.2 locus=Brasy3G199200 ID=Brasy3G199200.2.v1.1 annot-version=v1.1 MIMVLDMVLFQAISFYSKAHNLRSGDPVILSNRSCAFCLISQVLRERSAADSEYQPLNGLDPTTHAELALKDADKVVTTGSNSPRPYLLKAYALFLLERYHEARESLLAGLQVDPVSHILQTCLSDLDRNTNTPTGARRPRLDRTDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRSFPEEYAERKSEHETTTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDEDGYRVAEIEWFQDVSLPEGSQERKDLIERASGASELARTYIRHARETIRPARRTRHLDLESMPGPQDPEKFSFWLVNLINLRPSDKLDLLRLRDTRDRISRSLRLLGDAEQGCRVQ* >Brasy3G181400.1.p pacid=40041329 transcript=Brasy3G181400.1 locus=Brasy3G181400 ID=Brasy3G181400.1.v1.1 annot-version=v1.1 MSDSDEYVDLPVSDEDEDEWEDGEDVEDEDEEEEVKGSSKKKAKQHVDQLKRLQEKDPEFFKYLEECDKELLDFNDDSIDDDQETDEEPRSVPKEEPKQSVKPITMEMVDSWCNGAEDGKIGSIRSVLQAFRRACHYGEDSGDNSAPKFSVMSGSVLDKVMHFVLKNMDNVLRQLLGAPSFGGKKEKISELMLTKSWKRHGSLMRVYLVNALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLYTWARGRGAMPLVSFLFLRDLCIQVGQDCLDMCLKGIYKAYLVNCKLAKSIGGSKLQQIQFLGNCVKELYSVDPQSAYQHAFVFIRQLAVILRGVLTERGPKTPKDKTKKERGKPTNKQLEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDFRPLAYPLTQIIHGVACLVPSARYFPVRIRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLINVKQVDKKTVKTRAFQEACIYSVANELAKHLAQWSYSIAFFEMSFLPLVQLRNFCKTIKADRFRKEMKDLIREVEANVEFISSKRAGVSFSPNDPAVDSFLQVEKEEQSSPLSRYVATLHERAQNRIDAMDETSVIVGAESSTFSRRLSEVQKQQDDNEEKIGFSKNLLAEKKKTKTAKEKNKKRARDHDDVPTEEDVVEDLIISSDEEEDENNDLESDEDGSAPVEDDSDEDFIDPDSAYKKQKKAKLMKRNKYQPSNKASSKTKRKPHPKKKAKH* >Brasy3G330300.1.p pacid=40041330 transcript=Brasy3G330300.1 locus=Brasy3G330300 ID=Brasy3G330300.1.v1.1 annot-version=v1.1 MAERRAAAMETVRAEIHSYLDLIAKNAMKLKPGSKLRISRYSSSEVQIHLDDMRSEATNLLGTMGTMEKMGIKIRADDRHGKSILKALEQIHASLVCEMEAQGVVVEEPGPAASDDKKKLLELEEARTHIVLTDEVMTEEECFDQYRRSWVSNYSGLYGSSFTETTTVSPMHFAHATPGHGPHASFCPRTLQMYSIKVTDIKDALELKWPLQVYGVVAARDTVDRNRNIIFSRQRDDCQQLSQKDPFLRLTGPSRAIVTEDPINVEIELRVKGRTESEEDRVLMSQVWYYSSWVCPLYTPLHGQFCTLVLSSEELKRSVQATIVGVRATEGTPWPFEHGGRVVCSSRPRKAISPDSKHIAGPLFRQIVLEDGAMAMDPDGYLGLSRQVVSVELFGSLEVGIYAYSQSRAIAAQIRVCIEAQKCNVTRHKCCLGNSKMEITVAWSRLVQDKRCLVPSC* >Brasy3G166600.1.p pacid=40041331 transcript=Brasy3G166600.1 locus=Brasy3G166600 ID=Brasy3G166600.1.v1.1 annot-version=v1.1 MAAVAAAPSRKTETYTDTKRRDDVRGANISSARSVADAVRTSLGPRGMDKMISSGDGDVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLAAGAHPTAAADSLHRLAARAVEVLHGMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLAPLAVDAALSVVDPAHPDLLDLRDIRIIKKLGGTVDDTELVRGLIFDKKASHAAGGPSRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADVVEEVSVGDGKIVKITGIKDMGRTATVLVRGSNQLVIDEADRSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELHGMESYCIKEFADALEVIPYTLAENAGLNPIAIVTELRNRHAKGEKNTGINVRKGQITNILEENVVQPLLVSTSAISLACECVRMILKIDDIVTVR* >Brasy3G338900.1.p pacid=40041332 transcript=Brasy3G338900.1 locus=Brasy3G338900 ID=Brasy3G338900.1.v1.1 annot-version=v1.1 MRKTQRLLLILAFLVLASDAVMKASAEGSIEPANCDSTIIQSRVPCDPDDCQKDCHKQLEGSGKCVAKGCLCTFCVFPAILGTN* >Brasy3G029700.1.p pacid=40041333 transcript=Brasy3G029700.1 locus=Brasy3G029700 ID=Brasy3G029700.1.v1.1 annot-version=v1.1 MRPSIHQSLSASDIFPILLVSLLLSFLSISNYIVPALSVHAACLHREKFRQRWSWLMRSHPLVPHLATADNISSRERMLVAIYRARKRFLVMLVGELAWAGFRL* >Brasy3G304200.1.p pacid=40041334 transcript=Brasy3G304200.1 locus=Brasy3G304200 ID=Brasy3G304200.1.v1.1 annot-version=v1.1 MDTGEPAAAGGPRKRAALLRQITEEGGFAFAASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGPAWRDAYALACLHVAGLRAGDDRRGALRALDMGLIMGGDLLRAELEEAIALVPAGGNRDADGDGEGDAGSDVERWAEGLGRNRDLADALKVLPVKSLSCKQIERRACISLEAFIHDYFLRESPVILSGCIDHWPARTKWRDITYLERIAGDRTIPVEVGKHYVSNEWRQDLITFSQFLERMWSPNCSANLTYLAQHRLFDQIKELREDIVIPEYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNLFAQVLGRKYIRLYHPSISEDLYPQTDTMLSNTSQVDIDNIDVKEFPRAEGLEFMDSILEEGDLLYIPPKWWHYINYGADHLFYLPGTETLVILEDKTNNHIYQHSLSVSSLEMRLMSG* >Brasy3G304200.4.p pacid=40041335 transcript=Brasy3G304200.4 locus=Brasy3G304200 ID=Brasy3G304200.4.v1.1 annot-version=v1.1 MDTGEPAAAGGPRKRAALLRQITEEGGFAFAASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGPAWRDAYALACLHVAGLRAGDDRRGALRALDMGLIMGGDLLRAELEEAIALVPAGGNRDADGDGEGDAGSDVERWAEGLGRNRDLADALKVLPVKSLSCKQIERRACISLEAFIHDYFLRESPVILSGCIDHWPARTKWRDITYLERIAGDRTIPVEVGKHYVSNEWRQDLITFSQFLERMWSPNCSANLTYLAQHRLFDQIKELREDIVIPEYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNLFAQVLGRKYIRLYHPSISEDLYPQTDTMLSNTSQVDIDNIDVKEFPRAEGLEFMDSILEEGDLLYIPPKWWHYVRSLSISFSVSFWCRASVHP* >Brasy3G304200.3.p pacid=40041336 transcript=Brasy3G304200.3 locus=Brasy3G304200 ID=Brasy3G304200.3.v1.1 annot-version=v1.1 MDTGEPAAAGGPRKRAALLRQITEEGGFAFAASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGPAWRDAYALACLHVAGLRAGDDRRGALRALDMGLIMGGDLLRAELEEAIALVPAGGNRDADGDGEGDAGSDVERWAEGLGRNRDLADALKVLPVKSLSCKQIERRACISLEAFIHDYFLRESPVILSGCIDHWPARTKWRDITYLERIAGDRTIPVEVGKHYVSNEWRQDLITFSQFLERMWSPNCSANLTYLAQHRLFDQIKELREDIVIPEYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNLFAQVLGRKYIRLYHPSISEDLYPQTDTMLSNTSQVDIDNIDVKEFPRAEGLEFMDSILEEDQLWSRSFILFTWH* >Brasy3G304200.2.p pacid=40041337 transcript=Brasy3G304200.2 locus=Brasy3G304200 ID=Brasy3G304200.2.v1.1 annot-version=v1.1 MDTGEPAAAGGPRKRAALLRQITEEGGFAFAASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGPAWRDAYALACLHVAGLRAGDDRRGALRALDMGLIMGGDLLRAELEEAIALVPAGGNRDADGDGEGDAGSDVERWAEGLGRNRDLADALKVLPVKSLSCKQIERRACISLEAFIHDYFLRESPVILSGCIDHWPARTKWRDITYLERIAGDRTIPVEVGKHYVSNEWRQDLITFSQFLERMWSPNCSANLTYLAQHRLFDQIKELREDIVIPEYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNLFAQVLGRKYIRLYHPSISEDLYPQTDTMLSNTSQTIG* >Brasy3G096800.1.p pacid=40041338 transcript=Brasy3G096800.1 locus=Brasy3G096800 ID=Brasy3G096800.1.v1.1 annot-version=v1.1 MEENSSTLLRRILLKAARAVRTIAHLQDPPSPASPYGGPPPGLLDCTDDDGASFHTAPSSTPPGAETTTARLRPSKLGGSGSPAGDADIDSRAAEFIERFRRNASLELRYCSPVTPARPPASPETYFNLSRMHHGRATPPPAVAVGRGRASAMSIKWPSSRYSLRTRPTVQV* >Brasy3G238000.1.p pacid=40041339 transcript=Brasy3G238000.1 locus=Brasy3G238000 ID=Brasy3G238000.1.v1.1 annot-version=v1.1 MDQDQDMGSCKKDYSTAILGRKKAPNGLVVDDAEGGEPLDNSTVVLSPATMEALSLFKGEVVTLRGKRRRDTVCYAVSDESCPDSKARVNRVVRGNLRLHLGDIVTVNRCPDIPNAKRIQVIPFEDSLQGITGCLFDAYLKPYFNDALRPVRKGDRFVVHGKMHAVEFKVVDTDPGDSVIVSNDTALHGEFENPLKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLYGPPGTGKTLLARAIAAESGANFIVVNGPEIMSMMSGQSEENLRKVFEHAEARAPSIVFMDEIDAIAPNREKTHGEVERRVVSQLLTLMDGLRPRALVIVIGATNRPNSLDPALRRFGRFDKELDIGVPDEVGRLEILRIHSKDMPLSADVDLERIGRDTHGFVGADLAALCSEAAFQCIRQKMDVIDLEEETIDVAVLNSMTVTMGDLKHAIEVTKPSALRETGLVEVPKVSWEDVGGLEDVKLELQETVQYPVEHPEMFEKFGMDPSRGVLFYGPPGCGKTLLAKAIARECKANFISVKGPELLTMWIGESEHNVRDLFDKARQSAPCVLFFDELDSIAVKRGQSVGDAGGTADRVLNQLLTEMDGINAKKTVFVIGATNRPDILDPALLRPGRLDQLIYIPLPDEPSRLQIFKSCLRRSPVSRRVNLPDLAASTAGFSGADITEICHRACKLAVRDVIQKSTLVGKAVAMAGAEITREHFLGAMKHARRSVSDLDVLRYEYYAQKFRQGGSFEEAAAAAPHVEPLVPEGHLMLKAAEDADVSMDDDLLY* >Brasy3G096900.1.p pacid=40041340 transcript=Brasy3G096900.1 locus=Brasy3G096900 ID=Brasy3G096900.1.v1.1 annot-version=v1.1 MGSTELAVAVADGTTPDSEETTIIFRSKLPDIAITNTLPLHRYCFERLPEVSSRPCLIDGATAAVLTYAEVDALSRRLAAALRLRRGAVVMNLLRNSAEFVLAFFAASRAGAAVTTANPASTPHEVAAQLAASRATVVFTESLAAHKLPSTAHDGSPLTVVLIDDRRDGCLHLWDDLMAEEYSAGDEEDEEEDPDEFDPDDVVALPYSSGTTGLPKGVILTHRSLSTSVAQQVDGENPNIALTEHDVILCALPLFHIYSLNTIMMCALRVGAAIVLMRRFDLAAMAELVEKHRVTVAPLVPPIVVAVAKSGAGDGEDVVAKRDLSSVRMVLSGAAPMGKDIEDAFMAKLPDAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKVVDPGTGKSLGRNQNGEICIRGQQIMKGYLNDPEATRNTIDADGWLHTGDIGFVDGDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADVAVVGEQIEPEIGEIPVAFVARTQGSDISEDDIKQFVSKEVIYYKKIRKVFFVDSIPKAPSGKILRKELRKQLQQQQQDQS* >Brasy3G130800.1.p pacid=40041341 transcript=Brasy3G130800.1 locus=Brasy3G130800 ID=Brasy3G130800.1.v1.1 annot-version=v1.1 MADLSKEKLRSILAEAEAAAKKLRQQRDHVLALQFLLADDPPGDAAGLKRMKEFVSGVIEKYHHEGLEAGARDLTSVLTMAAASGARLALNSSFPVLSEEALHDALLAHAVEIPAGSTAFSRVEAALFAVKLAQEHHLPRCVGSLGPDTAAATGEPVQASNPGGGETGQPAPAPAKDKAPQESGGGGSLEQALDYVDRAFILVNLAVQHVDLAVAAMSGFLDPAAEEDDAKPSDDSDDFVVIT* >Brasy3G203700.1.p pacid=40041342 transcript=Brasy3G203700.1 locus=Brasy3G203700 ID=Brasy3G203700.1.v1.1 annot-version=v1.1 MLSAAMEYLRSCWGPASSPDGRSRKGADAAGRQDGLLWYKDSGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPDLQGTFVGVYDGHGGPETARYINDHLFNHLREFASEQKCMSVEVIRKAFRATEEGFLSVVSNQWSVRPQLAAVGSCCLVGVICAGTLYVANVGDSRAILGRLVKGTGEVLAMQLSAEHNASFEEVRREMHAMHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKRPEFNREPLHSKFRLPETFRRPLLSSEPAITVHQIQLTDQFIIFASDGLWEHLSNQKAVELVHSSPRNGIARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAVAADNWSRPTVSLRGGGVTLPANSLAPFSVPSSF* >Brasy3G243200.1.p pacid=40041343 transcript=Brasy3G243200.1 locus=Brasy3G243200 ID=Brasy3G243200.1.v1.1 annot-version=v1.1 MGRKASPEEDWISESEESDSNELGDRSWTPAKELMATQRRMKNKKALVANSSRMNKGAAEICTEGERNAAQPKRKRGRPRKITAPDEAEAGMSVKVAEGSSSPPRMVVLALPNATFMKNYLGKSRGWSRKAHQRRGIVWLWMGSRLPYPREAP* >Brasy3G199100.1.p pacid=40041344 transcript=Brasy3G199100.1 locus=Brasy3G199100 ID=Brasy3G199100.1.v1.1 annot-version=v1.1 MEREGEAKNERKARGGGGDGRTAGSNGGGGNGRRTWKGAYGGGGGGYRQYPIIQAYPALLPLPVNAGRNRTHINGAVALPLPPPVLLYLQPPPPLHLLPTCYGKPMAGAVQRGPMWTHKPSKKPPPPPHAVTAALLPLPNGSNTLQTEKFFMHEKKTSQVGMDNGNTHHMSSNNQQGTPIARRPDSGGVEGAVIPLSANHFLVRFDPDQKIFHYDVNIFPHPSKETARMIKSKLVEENSSVLSGALPAFDGRRDLYSPVEFQEDKAEFFVSLPVTSARCSVVKNNGFILDQQKFKVFKVNIRLVSKLSGEHLNKYLSKDKDGISLPQDYLHALDVILREGAMECSVLVGRSLYPRSMGEAKDIGGGAVGLRGFFQSLRPTKQGLALNVDLSLTAFHESTGMIAYLQKRCDFLKDLPHDKNRALAQEERREVEKALRNIRVFVCHRETNQRYHVHSLTEETTENLKFRDRSGKDLMVVDYFKEQYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCQRPSERKGIINGAVEEAFGAKRNSYADQFNLQVSKDMTQLSGRVLLPPKLKFGGGGRIKDITPHRFDRQWSLLDSHVTEGSKIKSWALISFGGTPEQHSCIPKFVNQLSSRCEQLGIFLNKKTVISPLFERIQLLNSVCILESKLKKIQEAASGNLQLIICVMERRHRGYADLKRIAETSIGVVTQCCLYPNLSKLTVQFVANLALKMNAKLGGCNVSLYNTLPCQIPRIFSDEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEHLDVMAGELLEEFLKEVGKLPARIIFFRDGVSETQFDKVLKEEMHAVRMTCSRYPGYKPLITFIVVQKRHHTRLFHREKNGGSTHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHILLDENKFGSDELQQLIHNLCYTFVRCTRPVSLVPPAYYAHLAAYRGKLYLERSDSVPTSRTTLYSTTPLQTPPLPKLSDSVKRLMFYC* >Brasy3G220900.1.p pacid=40041345 transcript=Brasy3G220900.1 locus=Brasy3G220900 ID=Brasy3G220900.1.v1.1 annot-version=v1.1 MEKGKCGKATWDALAHRVFLDVCIEEVRANNRPTGCLNPIGYANLISKFNDRTKRKDPVTKTIAASDEWWETEIKRRPDAAKFRHAPLADEEKMREIFDLHSVTNEYARVPPPSQVNLDAEGDSGCELDDESPAQPRLKKLKKRLCPYSPSPTAAAKIATESSSKMALECMVEIFERRENSRNSVTSQVTVDPVRQELKEMMVLVVQDGGVPGSDAHFYASQLFMKKEYRDAFSCLEEATPEQRVDWLKRTWEERKNNN* >Brasy3G208200.1.p pacid=40041346 transcript=Brasy3G208200.1 locus=Brasy3G208200 ID=Brasy3G208200.1.v1.1 annot-version=v1.1 MAAPPPQAQNPSDCSSGSGGRRIRLLPGDHLFSTLAPYLGFADHLALRLVSHSWRFFCRRVGRSPPPFPWLMLPQPASAGASSSARHAPAPAAVRRQFYDIPGGRAYAYDIPGEGYYRCVASSTFGWLVLVAVDAPPRRLLLVNPLDPGTRMVVSWPFGDKQCADTGRLHAALSPYPDDRRHMCFLVVATDRLLAYYGPGDGGAWRSLRVPGFRYDAAGSDMVVVGTMVYLVDGRGKIWRADLADPEPKVERRNPAFPLPSGSEIGVTRHYLVESLGHVHLVVLSGGDGEHRCRVALFRLDWDRKAWVRQECARGDRVLLLGRGCSASVPAAAARRPPGMVLFAHQPLLIPVAGGGGRRAAWFWAESWVDHDLPVLKKKTPHREGEFTTGDSFWFFPAMLPDVSATVMRYRVA* >Brasy3G327900.1.p pacid=40041347 transcript=Brasy3G327900.1 locus=Brasy3G327900 ID=Brasy3G327900.1.v1.1 annot-version=v1.1 MAIIRMETTTKARRKAAAAELLVRKAARTLRALRKRLTVLFFFLASPRRRTAMAAASIRALSPQGCGEADPAPAAALAPSTAAAPSGCEQPSPLLIAQLLQQVLDDDDGGGRGGYPDSTHCSMFDGDDEEELDNDVDRAADLFIRRVRARINEQCRSEELLGYSLRS* >Brasy3G088000.1.p pacid=40041348 transcript=Brasy3G088000.1 locus=Brasy3G088000 ID=Brasy3G088000.1.v1.1 annot-version=v1.1 MDCILKFAMKYLIDARGLFNLVHVSAIASYMSSEVLQYWIRSFYFLNLPPQVSHNIVIFGISIYSLYEMESNQSSKVCCAFVARQNEYFMGFVYTNIFLQEYCFLRGFFYGSKNNIHGGKY* >Brasy3G072700.1.p pacid=40041349 transcript=Brasy3G072700.1 locus=Brasy3G072700 ID=Brasy3G072700.1.v1.1 annot-version=v1.1 MASPTLMPVELRFSLASPASSPATPPRRTARRPKKAVFVGSRTSAVRCAATPASSSSSSPAPMGEKTVYNDGPLERAFMGLFARKMSKFATKTPNPNPNTSRAVWEWDYESFVDVSRRVMVACGTRERQQAAVREVLLSMLPAGAPAQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVAGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQSFFTDEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYASLCSIATPAAPICPKLQN* >Brasy3G083600.1.p pacid=40041350 transcript=Brasy3G083600.1 locus=Brasy3G083600 ID=Brasy3G083600.1.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKEKMVASTSLPNFQMAPPNNLFPFSMSMPHSGQNNLVTHEAVPILDNATQHLLEENIQLLSQISSNIETFKLEENMDLFLRTNSNIRTISKRMSETPGIMGQMRPLPEPVNEDHLSSLLQLGRAVASSGDIPHNCHMKEDGRS* >Brasy3G083600.9.p pacid=40041351 transcript=Brasy3G083600.9 locus=Brasy3G083600 ID=Brasy3G083600.9.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKEKMVASTSLPNFQMAPPNNLFPFSMSMPHSGQNNLVTHEDNATQHLLEENIQLLSQISSNIETFKLEENMDLFLRTNSNIRTISKRMSETPGIMGQMRPLPEPVNEDHLSSLLQLGRAVASSGDIPHNCHMKEDGRS* >Brasy3G083600.2.p pacid=40041352 transcript=Brasy3G083600.2 locus=Brasy3G083600 ID=Brasy3G083600.2.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKEKMVASTSLPNFQMAPPNNLFPFSMSMPHSGQNNLVTHEDNATQHLLEENIQLLSQISSNIETFKLEENMDLFLRTNSNIRTISKRCETKGAVNRNRVSDSRKFLWVFVVLRGLCLLLAVRCKTEHDLET* >Brasy3G083600.3.p pacid=40041353 transcript=Brasy3G083600.3 locus=Brasy3G083600 ID=Brasy3G083600.3.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKLEENMDLFLRTNSNIRTISKRMSETPGIMGQMRPLPEPVNEDHLSSLLQLGRAVASSGDIPHNCHMKEDGRS* >Brasy3G083600.4.p pacid=40041354 transcript=Brasy3G083600.4 locus=Brasy3G083600 ID=Brasy3G083600.4.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKIMQLSIFWRKIFSYSVKSLQISKLSSWRRTWISFYVRIAISEQFRKVCSNCFLYTSEESCMADVRPKVL* >Brasy3G083600.5.p pacid=40041355 transcript=Brasy3G083600.5 locus=Brasy3G083600 ID=Brasy3G083600.5.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKLEENMDLFLRTNSNIRTISKRCETKGAVNRNRVSDSRKFLWVFVVLRGLCLLLAVRCKTEHDLET* >Brasy3G083600.6.p pacid=40041356 transcript=Brasy3G083600.6 locus=Brasy3G083600 ID=Brasy3G083600.6.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKIMQLSIFWRKIFSYSVKSLQISKLSSWRRTWISFYVRIAISEQFRKDVRPKVL* >Brasy3G083600.7.p pacid=40041357 transcript=Brasy3G083600.7 locus=Brasy3G083600 ID=Brasy3G083600.7.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKEKMVASTSLPNFQMAPPNNLFPFSMSMPHSGQNNLVTHEDNATQHLLEENIQLLSQISSNIETFKLEENMDLFLRTNSNIRTISKSMFKLFLVYE* >Brasy3G083600.10.p pacid=40041358 transcript=Brasy3G083600.10 locus=Brasy3G083600 ID=Brasy3G083600.10.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKIMQLSIFWRKIFSYSVKSLQISKLSSWRRTWISFYVRIAISEQFRKE* >Brasy3G083600.8.p pacid=40041359 transcript=Brasy3G083600.8 locus=Brasy3G083600 ID=Brasy3G083600.8.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKVFLRKWLLLPRYLIFKWHLQITYSPSRCQCLIQVKITWSLMKLEENMDLFLRTNSNIRTISKSMFKLFLVYE* >Brasy3G083600.11.p pacid=40041360 transcript=Brasy3G083600.11 locus=Brasy3G083600 ID=Brasy3G083600.11.v1.1 annot-version=v1.1 MSLLLKEQKSLSRFDMIVLGRSKTGRETKEGRKELSLREHRVNSAASGCCSALLAGEILHPCSPASARRPLLAPDPSSSSLLDSSRSALPPPLYSSLHGFPTLLARVCSASPMFGDMAADSSMGMSFGPSSSLYHHHHHHHDDRMVSFQANPDYPSPAPAPPPPVFLPPPPPNAPVLHGPPPNYRFLTGSPADWTAYEVAILKEGLVRYSREPNMTKYIKIAAMLPTRTIRDVVLRCCWTAGKDSRRRKPDEFYAGKRIRDSKEKMVASTSLPNFQMAPPNNLFPFSMSMPHSGQNNLVTHEVGGEHGSLSTYE* >Brasy3G169200.1.p pacid=40041361 transcript=Brasy3G169200.1 locus=Brasy3G169200 ID=Brasy3G169200.1.v1.1 annot-version=v1.1 MAVASAWAKPGSWALAAEEQDDLPPPPPPAPASDFPDLATAATTKVPKKKKAQPVSLATFNSAKFVPSSTRGPTPDMLLSLPTGPRERTEEELGGARWGNMTRDEPRRGGSASEDYGPSRADEADDWGVKKPMERRERMGGFGGDSMSSRADDVDDWASTKKTAPSMPMERRERSSAFGSESQGRADDSASWVSNKSYSAPPPAPSDGRRGGSVWGFNRDGGQDADSWGRRRVEVSSGDGSGGVRPRLILQKRTLPVAIVTDGEKDVDKEEEKGDLQPKSKSSNPFGAARPREEVLAAKGESLVKEEDKEEKLVIQPKVRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKVREAPSERRSSGRRGSPVPGEENGNGEVSESRDQGVWKKPAAVEAARESEQGSGTVEESAPAN* >Brasy3G191300.1.p pacid=40041362 transcript=Brasy3G191300.1 locus=Brasy3G191300 ID=Brasy3G191300.1.v1.1 annot-version=v1.1 MVESTMLPCWPKLRSVIWFVVTAVVPTQEYVLRVRDTDNASHRYKFEASLWIRFNKIRDKK* >Brasy3G023200.1.p pacid=40041363 transcript=Brasy3G023200.1 locus=Brasy3G023200 ID=Brasy3G023200.1.v1.1 annot-version=v1.1 MARAPPLAFAGQVSHTQFIEHLRRAARPRDGEALHAWALKSGSSSHAPVSNSLITFYSSFPRLFLPAAFAVFADIPVAARDVASWNSLLNPLSHHHPLAALSHFRSMLASSTILPSPHSFAAAFTAAALAHSASAGAVVHALACKLPSATSNVFVSTALLNMYCKLGLVPDAQLVFDEMPHRNEVSWAAMVAGYAATKCSEEAFELFRQMLGECPLHKNEFVTTAVLSAISVPLGLPMGVQVHGLVVKDGMVGFVSVENSLVTMYAKAGCMDAAFHVFESSKERNSITWSAMITGYSQNGNAESAVRMFSQMHAAGFAPTEFTLVGVLNACSVVGTLTEGKQAHGLMVKLGFEVQVYVKSALVDMYAKCGCIGDAKECFDQFSELDIVLWTAMVSGHVQNGEFEQALMLYARMDKEGVFPNTLTITSLLRACAGLAALEPGKQLHTQILKFGFGLGASVGSALSTMYSKCGNLEDGMVVFRRMPHRDVIAWNSIISGFSQNGRGNDALDLFEEMKLEGTAPDPVTFINVLCACSHMGLVDRGWTYFRSMIKDYGLTPRLDHYACMVDILSRAGMLSEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGEKLMELDTGDSAAYILLSNIYAAQRKWNDVERVRYLMKLVGVSKDPGCSWVELNSRVHVFVVGEQQHPDAETINTELRRLGKHMKDEGYNPASKYSRDVLDALGESHQEDQLQLISAIYS* >Brasy3G163600.1.p pacid=40041364 transcript=Brasy3G163600.1 locus=Brasy3G163600 ID=Brasy3G163600.1.v1.1 annot-version=v1.1 MWPPVARSSVGSLHPSPTPCRWQPPPNPVQVVTGGGSRAHTLEARLRHMGSRRRSSSASEHADADLPSSSSPPASSTQHRDRRLSGSRRPRPAVHH* >Brasy3G075300.1.p pacid=40041365 transcript=Brasy3G075300.1 locus=Brasy3G075300 ID=Brasy3G075300.1.v1.1 annot-version=v1.1 MGSLKLEQTKAHSHIDCAQPAARTWQRKFDDEGKKIEMFSMTMNDMILIVPMVLKGLVRNAEERGKGRDVIYDPFKKWMDNCYRGLPLGGLGAGSIGRSYRGYFQHFQIFPAIYEQKPILANQFSAFISRPNGKSYSTVLSAPTADVLKGIDKAGIGSWDWKLKEKNCTYHALFPRSWTVYDGEPDPAIKITCRQISPFIPHNYKESSFPVVVFTFTVHNSGSTPADVTLLFTWVNSVGGRSELTGKHTNSKMMERDGVHGVLLHHRTANDGHPSVTFAIASQETEDVRVTYCPSFTMGLSSSSDFTAKDMWQEIKKHGSFLTTGKEPRASKPGSSIGAAVAAATTVPAGGTRVVSFALSWSCPEVKFPAGRTYHRRYTKFHGLDRDAAAESLAHDALLEHMDWESKIEEWQRPILQDQRLPDWYPVALFNELYYLNAGGTIWTDGLPPKMSVSSSGLGTEPFSLATTDLPGTAVDGVLSAVASATEDLHSAAAFGAALLGDGEEDVGQFLYLEAQEYYMYNTYDVHFYASFALLSLFPELELSLQRDFARAVLIHDPRPMVTLDGATVRRKVLGAVPHDAGLNDPWFELNAYMIHDPARWKDLNPKFVLQVYRAAVATGNAAFAKAAWPAVYLAMAYMDQYDRDGDGMVENEGPDQTYDLWSVTGVSAYTGGIWVTALQAAAAMARIVGDGDAERYFHGRYLMAKQVYDAELWNGAYFRYDNSGGETAESIMADQLAGQWYARVCGLEPVVEEDKARSALSTVLDYNVMRVKGGAVGAVNGMRPDGGIDVSSTQSKEIWPGTTYAVAAAMVHEGMPEAAFRTAKGAHDASWGKAGFGYAFQTPEAWTAEGGYRGLHYMRPLSVWAMQWALSPPELHKDLGTASMSPPGDAARGREKFDKVAGMLRLPEKVQHKGFLLALYHSLRQLVLPAC* >Brasy3G157900.1.p pacid=40041366 transcript=Brasy3G157900.1 locus=Brasy3G157900 ID=Brasy3G157900.1.v1.1 annot-version=v1.1 MPAAACKPSRSASTIVAATASGYHLLKIDGYSRTKGVPNGQKLQSHPFSLGGHQWRISYYPNGFNSEYADYISLFLVLDENVTTAVKVQNEFCFVDEVKDQAPSLASSEVNNFTKDLPENTPPTFVSVAPSDLHQHLGDLLKTEKGADVVFEVGGETCAAHRCVLAARSTVFRAELFGVMKEGDTACVIRVDDIEAQVFKALLCFAYTDSLPEATNEEEDVMCQHLLVAADRYNMDRLKTICEDKLCKYINIGTVATILALAEQHHCDGLEKACFHFLRTPANLKAMVDNDGFDHLSRSCPSVIKKLVTMLAR* >Brasy3G058500.1.p pacid=40041367 transcript=Brasy3G058500.1 locus=Brasy3G058500 ID=Brasy3G058500.1.v1.1 annot-version=v1.1 MALAPGDGGGGGGGGDDWFLDCGILEDLPASACGAFPWDASASSSNPSVEVGSYVNTSDVSKVPGSNKRSRSESCGRPTSKACREKVRRDKLNDRFLELGTTLDPGKPVKSDKAAILSDATRMVTQLRAEAQQLKDTNESLEDKIKELKTEKDELRDEKQKLKVEKETLEHQMKLLTATPAYMPHPAMMSSPFAQAPMAPFHAHAQGQKMMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA* >Brasy3G094300.1.p pacid=40041368 transcript=Brasy3G094300.1 locus=Brasy3G094300 ID=Brasy3G094300.1.v1.1 annot-version=v1.1 MQPRHEGLPRRAAHSNSGSSSRPASAAARATTRKAAKKPPKPIFFSLILQRKKRRIASCSGSVSLAQSSGSPSSRRCTRSSAKRTRRECSNGWKSAVAAARRHGPVARAHLKLQVRMVPLYGYKDSDDIPPNFSG* >Brasy3G070300.1.p pacid=40041369 transcript=Brasy3G070300.1 locus=Brasy3G070300 ID=Brasy3G070300.1.v1.1 annot-version=v1.1 MAWMIFVFCACCEGERQIRARVCACRGLPGGSVRELHKMQRGRGGRGGFFGFGDPFPGLGGFVPPGDLMSSFFGGTNPFDDPFFTNPASAMIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSAGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G070300.6.p pacid=40041370 transcript=Brasy3G070300.6 locus=Brasy3G070300 ID=Brasy3G070300.6.v1.1 annot-version=v1.1 MAWMIFVFCACCEGERQIRARVCACRGLPGGSVRELHKMQRGRGGRGGFFGFGDPFPGLGGFVPPGDLMSSFFGGTNPFDDPFFTNPASAMIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G070300.4.p pacid=40041371 transcript=Brasy3G070300.4 locus=Brasy3G070300 ID=Brasy3G070300.4.v1.1 annot-version=v1.1 MQRGRGGRGGFFGFGDPFPGLGGFVPPGDLMSSFFGGTNPFDDPFFTNPASAMIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSAGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G070300.5.p pacid=40041372 transcript=Brasy3G070300.5 locus=Brasy3G070300 ID=Brasy3G070300.5.v1.1 annot-version=v1.1 MQRGRGGRGGFFGFGDPFPGLGGFVPPGDLMSSFFGGTNPFDDPFFTNPASAMIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G070300.2.p pacid=40041373 transcript=Brasy3G070300.2 locus=Brasy3G070300 ID=Brasy3G070300.2.v1.1 annot-version=v1.1 MIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSAGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G070300.3.p pacid=40041374 transcript=Brasy3G070300.3 locus=Brasy3G070300 ID=Brasy3G070300.3.v1.1 annot-version=v1.1 MIRPSLFGPSMFGPSMFGPHIDLNGGVINSGGFQQLGPEPSRPKGPIIKELSSDNEDDAEVNKEDEKTKANPMKHPRIGKEPYVQDPDEEFQDNNKRHKREQFGREYVKAGTSYPQSHTFMFRSSTVTYGGANGACYTASTTRRSGGDGVTIEENKEADTTTGKATHRIARGIGSKGHAVTRKLNSDGKVNTMEILQNLNEDELSGFEESWKRNAGQYLPGWDPRDNMLSSGTLHPGFRDENGMFALPGANEMFALPAPDQPRGPSSSRMKRHPLNDSSQGRPRS* >Brasy3G134200.1.p pacid=40041375 transcript=Brasy3G134200.1 locus=Brasy3G134200 ID=Brasy3G134200.1.v1.1 annot-version=v1.1 MGRRRAGGGGRGGGQGRGGGGEGRGRGRGGEEDLPLHKAARSGDAAAAESLCESDPLALNSRDRLSRTPLHLAAWAGHIEVVKCLCKHKADVGAAAMDDTAAIHFASQKGHMEVVRELLASGASVKAKNRKGFTALHFAAQNSHLELVKYLVKKGLDITAKTNGGQTALHVAENDDVRAFLKECEQALKKGEELPSEKKDDSVSEKSGDGKVSDETVKDGAEAAQGEKRKSDDSGVPELKKAKVSLRHLENDMEEEEEGEQ* >Brasy3G082500.1.p pacid=40041376 transcript=Brasy3G082500.1 locus=Brasy3G082500 ID=Brasy3G082500.1.v1.1 annot-version=v1.1 MAIVYALVARGTVVLAEFAAVTGNAGAVARRILEKLPSEAEARLCFAQDRYIFHVLRSPADGLTFLCMANDTFGRRIPFIYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVSEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSSFHFRKQSKRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLSSCRS* >Brasy3G308900.1.p pacid=40041377 transcript=Brasy3G308900.1 locus=Brasy3G308900 ID=Brasy3G308900.1.v1.1 annot-version=v1.1 MASQDGGWGDGGDSLFEGMVLFAPADPAAEAAPEPSKPPTPRADPAAAADGDSGAASQPLDEDLFSDLTLLAPQTPLDQDQHPQGQDQNHQPASPAAPASPAPAAALSRQPSSSSLRKKKRAVRIGYGRSPQTAPALPPTAAVASTTTTAAAAEATVIPTTSSGGSFSDASPHDAAHPTPGQYLDQLSNGNEEDVAVVDPDDNSFDVKEEVKEDVEKEVGGAGVAVLGIEERLALLRSQISGKLDSIQLRAAAVAAKRRQLAGKQRKVAEDVGSAASKHKDLERELEEACEAEDFERAERISDSLAALEKEKDRLLMTLRDAELVYDSVDLELQDVLESRITVEEEAAALLEQFAKDATDHADLASMQAEEMSSKEIEGWQTSMELLQTKKLEMEVETELVLAARSGLEGSVEHLIKDDKREKDMLSKKGDILAEELAELLEMVRLKEAEIAENNSRIQEVQEKISAVVSRFHGSQSDIDTKINSLQEAQSKINQDTEALVLKRKEIDSFISSTEQKDSDLREIINACSSEAKACQQSVEIRRKLASSILKSRQDRIGLLKMEEEISQDIQMLRQQTTDARTSLQEISSRRAGIQQEISTFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAGSDLEIIEKDIIATTDKIQECEGLIVIKEQESAMTSYKRLRLDSSAARAELTAATETDDSEEVEILRKEAEAAESKALELKTLYNLQLDDDESIFQPVVPIAFITNSTWQHLAEIASSFGLCP* >Brasy3G254000.1.p pacid=40041378 transcript=Brasy3G254000.1 locus=Brasy3G254000 ID=Brasy3G254000.1.v1.1 annot-version=v1.1 MFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH* >Brasy3G150200.1.p pacid=40041379 transcript=Brasy3G150200.1 locus=Brasy3G150200 ID=Brasy3G150200.1.v1.1 annot-version=v1.1 MGAPRARRSRRGAAPVPPRTGAVGERPETEKARARGRWRRRGRTGRVGQAARAPSGVDRGRGGLCMSRGEVMGASRRVLAW* >Brasy3G338800.1.p pacid=40041380 transcript=Brasy3G338800.1 locus=Brasy3G338800 ID=Brasy3G338800.1.v1.1 annot-version=v1.1 MCHEAALLLSLPAPPAPAEQLPTSAVMTVDALISQVVRPCQFSLLGTQLATPPPAIATRRSGRLGEKNKSCKIPTAKRAEAKLLESFGEAASLAKDPESVATSKMAAYLRMYSEPLTVKVIDAMRVLAGVKDKAKIDLSAMGFTAADLDALGKEVSVI* >Brasy3G044900.1.p pacid=40041381 transcript=Brasy3G044900.1 locus=Brasy3G044900 ID=Brasy3G044900.1.v1.1 annot-version=v1.1 MGLDVQTDLDSNAEPAPCYPGYFPELAMPTLQRSSPISAQNHHPLTPAARGSPNRSRLPESPTVRLLRCSHTRREKERRTGRRTLLGGRRWRRVEACRRDRAERATYRRRADPAQGNGGLHPRRRLVPSVVSRDEDVFGY* >Brasy3G006900.1.p pacid=40041382 transcript=Brasy3G006900.1 locus=Brasy3G006900 ID=Brasy3G006900.1.v1.1 annot-version=v1.1 MAAAAAAEVRYGIVGVGMMGREHLHNLAHLAGQVEREQAVRVRVTCLADPHPESLLLGLRLAAQLGLPPPQTFSGHRELLDSGLCDAVIVSSPNMTHCEILMDIIGCPKPHHVLVEKPLCTTVQDCQKVIEASKQRPDILVQVGLEYRYMPPVAKLIDIVKKGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFADANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGNRAEGRDGVVTIKAEDERIKYQGLHHGSSYLEHLNFLSAILAEGASSPSINLNDGLLSVAIGVAGQLSVEKGRFITIEEVLSS* >Brasy3G077300.1.p pacid=40041383 transcript=Brasy3G077300.1 locus=Brasy3G077300 ID=Brasy3G077300.1.v1.1 annot-version=v1.1 MGYWVGDEGGEEREEAALEGRQGYWKFQCNVFFWLWILLIAPCLFGFWIVSPRGSQRRTHLTEHLWTTCVFFFVGRLVSCVCVCTHKSLLLVKKA* >Brasy3G191000.1.p pacid=40041384 transcript=Brasy3G191000.1 locus=Brasy3G191000 ID=Brasy3G191000.1.v1.1 annot-version=v1.1 MAMGLEHDAGIGASDLMKQQIKADEPDPSADDDGSPSRRSSSKDYRKMAVLYMLLSACVADVNMAEDGVGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEESRECISPRSRWQKWKRSGIIGAAALTGGTLMAISGGLAAPAIAAGFSALAPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVREFEFKTVGENHNQGRLAVCIMVSGFGFNEDDFLKPWEGWKTNLERYILQWETNHIVALSTAIQDFLASRVALELMREGAMQTVLSGIISAFAWPATLVTAADFIDSKWSIAIDRSDKVGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPVSVKDELWGPARKMVAGRLVNVYSTNDWILGVTFRASLLTQGLAGIQAIPVPGVENVDVSELVVGHSSYLGLLQQILDQLELNTYYPVFCPHTPRSK* >Brasy3G191000.2.p pacid=40041385 transcript=Brasy3G191000.2 locus=Brasy3G191000 ID=Brasy3G191000.2.v1.1 annot-version=v1.1 MAMGLEHDAGIGASDLMKQQIKADEPDPSADDDGSPSRRSSSKDYRKMAVLYMLLSACVADVNMAEDGVGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEESRECISPRSRWQKWKRSGIIGAAALTGGTLMAISGGLAAPAIAAGFSALAPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVREFEFKTVGENHNQGRLAVCIMVSGFGFNEDDFLKPWEGWKTNLERYILQWETNHIVALSTAIQDFLASRVALELMREGAMQTVLSGIISAFAWPATLVTAADFIDSKWSIAIDRSDKVGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPVSVKDELWGPARKMVAGRLVNVYSTNDWILGVTFRASFFSLCRLICLRTTV* >Brasy3G191000.3.p pacid=40041386 transcript=Brasy3G191000.3 locus=Brasy3G191000 ID=Brasy3G191000.3.v1.1 annot-version=v1.1 MAMGLEHDAGIGASDLMKQQIKADEPDPSADDDGSPSRRSSSKDYRKMAVLYMLLSACVADVNMAEDGVGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEESRECISPRSRWQKWKRSGIIGAAALTGGTLMAISGGLAAPAIAAGFSALAPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVREFEFKTVGENHNQGRLAVCIMVSGFGFNEDDFLKPWEGWKTNLERYILQWETNHIVALSTAIQDFLASRVALELMREGAMQTVLSGIISAFAWPATLVTAADFIDSKWSIAIDRSDKVGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPVSVKDELWGPARKMVAGRLVNVYSTNDWILGVTFRAR* >Brasy3G191000.4.p pacid=40041387 transcript=Brasy3G191000.4 locus=Brasy3G191000 ID=Brasy3G191000.4.v1.1 annot-version=v1.1 MAMGLEHDAGIGASDLMKQQIKADEPDPSADDDGSPSRRSSSKDYRKMAVLYMLLSACVADVNMAEDGVGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEESRECISPRSRWQKWKRSGIIGAAALTGGTLMAISGGLAAPAIAAGFSALAPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVREFEFKTVGENHNQGRLAVCIMVSGFGFNEDDFLKPWEGWKTNLERYILQWETNHIVALSTAIQDFLASRVALELMREGAMQTVLSGIISAFAWPATLVTAADFIDSKWSIAIDRSDKVGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPVSVKDELWLLEGS* >Brasy3G191000.5.p pacid=40041388 transcript=Brasy3G191000.5 locus=Brasy3G191000 ID=Brasy3G191000.5.v1.1 annot-version=v1.1 MAMGLEHDAGIGASDLMKQQIKADEPDPSADDDGSPSRRSSSKDYRKMAVLYMLLSACVADVNMAEDGVGSPRIRKGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAKEEEESRECISPRSRWQKWKRSGIIGAAALTGGTLMAISGGLAAPAIAAGFSALAPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVREFEFKTVGENHNQGRLAVCIMVSGFGFNEDDFLKPWEGWKTNLERYILQWETNHIVALSTAIQDFLASRVALELMREGAMQTVLSGIISAFAWPATLVTAADFIDSKWSIAIDRSDKVGKMLAEVLLKGLQGSRPVTLIGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPVSVKDELWLLEGS* >Brasy3G237900.1.p pacid=40041389 transcript=Brasy3G237900.1 locus=Brasy3G237900 ID=Brasy3G237900.1.v1.1 annot-version=v1.1 MHKFKRHSDAAIHPLDREPLNQNRRHAAGGHHLLDAAFLLLLVDPPASALVPKHLLPDAAQHTHPLPSRCPEPDVPIMQRTPTPRRLQISCVDPADEHHLTATHGIDVLPSLLQDDLIERGAVHLLLEWHAVFFDAEERAVPLPDYALRRRQVLGTELARPERVEVLGPGRVRQPVLSPVQHVRVAVGGDRPRLEAAALLVRHDLQLLVHVVRVERARLGVADAAAEEAARGHVLLPGARPHHAAVLATGEDRVVAVGGDGLAPGTGMNKTASSSSPSRTVMTRTVLRERELADLRVAAAAPAARLFGAVFVVVGAFEDEVAGAAAGGRR* >Brasy3G290200.1.p pacid=40041390 transcript=Brasy3G290200.1 locus=Brasy3G290200 ID=Brasy3G290200.1.v1.1 annot-version=v1.1 MYHHNHGEVASLHFLLPPNPSSSFTTHHHMNMALPPQAYFPPSFEPPALLAGDDTAAAFEFETILEEAAHLVSGNGSPSSGSDGGGGNCYYQEGGAGAGAAAAEERRRRRMVSNRESARRSRMRKQRQLSELWARVAHLRSANRRLLDELNRALRACADADRESARLRDEKTKLTEKLEQLLKQPAPEKTASSSAASSNRSSCSSEPCKNSATAAAVTE* >Brasy3G337500.1.p pacid=40041391 transcript=Brasy3G337500.1 locus=Brasy3G337500 ID=Brasy3G337500.1.v1.1 annot-version=v1.1 MLDTSNQKLLFLLIISSTRIQKKASRSDMAFHLRSISLPSRPHTTETEVEQELQSLEASISSSMTISTMCDGLRSLGDIYNGVEEIICLPSKQVCSSQQRKMLDGEMERSLELLDLCNTMQEIFVEMKAIIQELQVALRKGDAASAQAKIQSYTRLAKKAKKQFKKTPEKAVSHKTECRMVMLLTKAREISISLLKSTLHRLSKHIEMPKQSLVSKAFHKKTVVCKEEQLQELECSIGDLENGAGHLFRKLVQSRVSLLNILSS* >Brasy3G230600.1.p pacid=40041392 transcript=Brasy3G230600.1 locus=Brasy3G230600 ID=Brasy3G230600.1.v1.1 annot-version=v1.1 MAVLGEAALDDAVAFAKGHLIEAIRELGKARPPLAEQISRALDIALPRFTRRLETMHYIGEYEQEGAHDATLLELARLNFNLVRSLHLKELKALCLWWRDLYDIVKLPYARDRMTFGMVSLLDDTFDVHATLEQCHKLNEAMQRWEESEVSILPEYLHMLYIKTLSNFKEFEDALEPKHMYRMSYAKKAYKLSSEYYLREAILSSERDTGRASRNTRRRRYSRQKQGKMNGEKGDRLGGKKGELPGAGDGEFLSAPAGAAKEISIMTSGLPMLTLVIGYGDVATQEVFDWVSGVPEMVRAGSQVTRFLNDISSYKLGKHKKDMPSAMECYMMEKGSMGDEAAKAVAALLENRWRVLNRVNMEIDLL* >Brasy3G092700.1.p pacid=40041393 transcript=Brasy3G092700.1 locus=Brasy3G092700 ID=Brasy3G092700.1.v1.1 annot-version=v1.1 MPEVVASGLGIQEGGAPISSSVGDSGTLSAAAPNPTAHTPAMAAPQMAGTKTASRSILDTARPGPRATHAFEITGKRSDLEASPYLRDDRLLIECDVVVLKEPRIDQTLLDFEVQVPPSDLSDDLGKLLEAKEEADVTFKVKSEVFPAHKIVLAMRSPVFKAELYGPMRGNKTRRKYITVEDMQPAVFKALLHFIYTDSLPSMDELIGNDKKEIIKHLLVAADRYAMDRLRLICEGILCKSLDVDTVATTLALADQHHCSKLKDACVEFILSSNRMDVVVESQGVCKGRKIQGST* >Brasy3G233000.1.p pacid=40041394 transcript=Brasy3G233000.1 locus=Brasy3G233000 ID=Brasy3G233000.1.v1.1 annot-version=v1.1 MANFKKNIGPVVLMALLVLATILSTCKANNETQIDALPLPAKCYEIRFRNCTDERCNRGGCPGGHCTAEDKCCCPIS* >Brasy3G270500.1.p pacid=40041395 transcript=Brasy3G270500.1 locus=Brasy3G270500 ID=Brasy3G270500.1.v1.1 annot-version=v1.1 AWPGSIQGQEPGRRYSGIYSLLDEWERRKAAGSKSTAQSYMQDTSRDKNLARQLQEQLDLEESHAMPVRSEAEQLRMNMFSFNGPEEMGGGRRDFRAGKGPRTRARQGKILGSIL* >Brasy3G260000.1.p pacid=40041396 transcript=Brasy3G260000.1 locus=Brasy3G260000 ID=Brasy3G260000.1.v1.1 annot-version=v1.1 MLTTIELIKHPTPVASTHLRDNLARMAELTAPGLELVCYTFLCVLKLKLRPSASAGLNLPAGPWKLPVIGHMHLLLGALPHHAMHRLSQRHGPVMLLRLGHAPTLVVSSPEAAREVMKAHDAAFAERPVYATADIFSYGGENIAFARADSRHWKAVRKLCTVELLGPKRVRSFRALREGEAARLVRSVAAAFGPGPSPAVVNVSEKVKAMMNDIIMMASVGDRCTQRDAYIEEMDRMLSLLSGFNLIDLFPASRLARVLGGGALRAAREVRDKIQSFMDDMIRDHEKAMDQSEEGGDAGHEKEDILTTLLRFQRGGGMGITLTNENVCGIMFDIFSAGSETTATTTIWAMSELIRNPHAMSAAQSEIRRVLHGKTMVVEADIDGRLHYLNRVIKETLRLHPPIPLLVPRLCTEPSKIMGYDVPSGTTVFVNVWAIGRDEGNWTDAKEFKPERFESEMVDYGGTD* >Brasy3G260000.2.p pacid=40041397 transcript=Brasy3G260000.2 locus=Brasy3G260000 ID=Brasy3G260000.2.v1.1 annot-version=v1.1 MLTTIELIKHPTPVASTHLRDNLARMAELTAPGLELVCYTFLCVLKLKLRPSASAGLNLPAGPWKLPVIGHMHLLLGALPHHAMHRLSQRHGPVMLLRLGHAPTLVVSSPEAAREVMKAHDAAFAERPVYATADIFSYGGENIAFARADSRHWKAVRKLCTVELLGPKRVRSFRALREGEAARLVRSVAAAFGPGPSPAVVNVSEKVKAMMNDIIMMASVGDRCTQRDAYIEEMDRMLSLLSGFNLIDLFPASRLARVLGGGALRAAREVRDKIQSFMDDMIRDHEKAMDQSEEGGDAGHEKEDILTTLLRFQRGGGMGITLTNENVCGIMFVSQYPLIFSPRGQRQRRRRRSGPCRSLSGTRTPCPLRSQKSVESSMARPWWWRRTSTAGSTTSIGSSKRH* >Brasy3G195900.1.p pacid=40041398 transcript=Brasy3G195900.1 locus=Brasy3G195900 ID=Brasy3G195900.1.v1.1 annot-version=v1.1 MDGEQGQQRPVSGKNLIKIPSYQEVFGNGASSSSSSSSSSKPPSYNPPLPSAAVGSSSASSSFSEAFSFLKSSEFYSPPPPPPHPSTAPRPPLAGPSRPVVQSKNTILVSNRQKGNPLLKYIRNARWSFADIVPDYVIGQSSCALYISLRYHLLHPDYLYYRIRELQKDFKLRVILCHIDIEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVFENKPADSIREHTDNDYLSRLTHALTSIRRVNKTDVVTLGSTFGSLSRIMDSSMEELARCPGIGERKVKRIYDTFHEPFKRVTPRPNLVVHVTPDGKKVAGQPSSTNNDGMPDAAAENPDASSKKKGPDVKSALTAAFAKYSEKIRKQGRDAAHEAGEGTSSSTMEGGKKQID* >Brasy3G195900.2.p pacid=40041399 transcript=Brasy3G195900.2 locus=Brasy3G195900 ID=Brasy3G195900.2.v1.1 annot-version=v1.1 MDGEQGQQRPVSGKNLIKIPSYQEVFGNGASSSSSSSSSSKPPSYNPPLPSAAVGSSSASSSFSEAFSFLKSSEFYSPPPPPPHPSTAPRPPLAGPSRPVVQSKNTILVSNRQKGNPLLKYIRNARWSFADIVPDYVIGQSSCALYISLRYHLLHPDYLYYRIRELQKDFKLRVILCHIDIEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVFENKPADSIREHTDNDYLSRLTHALTSIRRVNKTDVVTLGSTFGPFTIVCSHFLELWILQWKNWLAVQELVSGR* >Brasy3G048200.1.p pacid=40041400 transcript=Brasy3G048200.1 locus=Brasy3G048200 ID=Brasy3G048200.1.v1.1 annot-version=v1.1 MSALSRRWRHMWASAPCLDIRHPCACDARADHRWYNTFVNNLLLKRSPGVPLDTLRLSWTHDGSSDMWTAHAVRRHARAIEFSGARHYPRPEPGYTSFLFGNFKILKLTRVEMRSELLAQLCSRCTCLEELELKDSNIHGHGVTVRSGSLRRLTMVSCFAPKGLLVDAPGLVLLRCIRPYSFVPQIRNLGSLATATIMLDDTCLFRHYGDERPHMEDHGDDDDVCFAFAYADGQNPDDSESAESHAEDPDCTESGNDDHTAGHGGTANVHPSLNGDYCGSNAITVFGGNGILRSLSNVRTMELLAHRGEVLLRSQLRKCPVFKNLKTLSLGEWCIGPDFDGLSTIRQGTHDALLDVCLLREFRSVVDPLNKFIIMQKAYESRGEINPSGRPFTCNHLKMVDIICLRDDTMGDKLAEFFRATSDFVFVPQNGTVAQAQGRRARCRTKLWRVQQRAY* >Brasy3G196200.1.p pacid=40041401 transcript=Brasy3G196200.1 locus=Brasy3G196200 ID=Brasy3G196200.1.v1.1 annot-version=v1.1 MFTENLVAAGRRDDAGDAAPRAAASAVEDHIPLVILRVGALEDGGGRGGFVVSEMQARWRQCEKRRAAGAVAARGGDPGGGRPRACREGEETGMQAGRGCCSRTDRTRSWI* >Brasy3G312100.1.p pacid=40041402 transcript=Brasy3G312100.1 locus=Brasy3G312100 ID=Brasy3G312100.1.v1.1 annot-version=v1.1 MLDRYVTTIGAATASSLPGKGYEPLRAQGSTSEPQAVPFILTLCLADPPAATRLYVEFPTVPSQGGTIGCELMAAHGNHLLLGISDVVKKFDPSDHRLLFQDHFICRVAAASPDHQPWLLLKRLPVSTNWLPYVSLSDGSERTTRRMFYANYLGLLARPRRHEQDEEEEFAVAHLDRFTEVRGDNGSWILVAELSVLHTRVSSSSDDGAKWEVKRVPIIDPRYVASGTTGWKSHTMIPFKNYICSVDYKKRAILFFDVFEESPKACYLELPSHGQSHHTYRRKTFSRFYCSVCVTGDGQSLKYVHVDREDGKRAGEIVESSSFRFTITSHVLKTTEGGEMLWVEEASVKSDRVWPSICPVSPCILPENVLAFPLVSMEKAHLVHFLFTENLDDGPTDKVSVVTIDMNAGEIVSIYPYITGDEDRCGYEADMLEEKHCNPRAFLPSKFPRRVVTRKRKIMSDDS* >Brasy3G217100.1.p pacid=40041403 transcript=Brasy3G217100.1 locus=Brasy3G217100 ID=Brasy3G217100.1.v1.1 annot-version=v1.1 MALASTTASRLISRRLPPTSPPPTAAPYAAALRGAARWPRSRGYLSVRWDGRPKALLGGFSDADDDDEEVDGRGVLSGGPPLQQDVFELAAASSSGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRTLVNHEERGRVLRAMDGCTYKAAAGGSLSNSLVALARLGSSQTTSYPELRIAMAGSVGSDPLGSFYRQKLQRANVQFLSKPVEDGTTGTVIVLTTPDAQRTMLAYQGTSSTLAYDSDLADIVSKSNILVVEGYLFEFAYTIEAIKQACEDAKKNGALIAVSASDVSCIKRCHSDFWDIVGNYADILFANANEARAFCELTSEESTMSAARYLSHSIPLVSVTDGMHGSYIGVKGEAIYIPPPSCIPVDTCGAGDAYASGILYGIVRGASDLKGIGLLAARVAAVVVGQQGTRLRVKDADRLAESFEFHLDNLEVCSDAETDQVSNF* >Brasy3G106200.1.p pacid=40041404 transcript=Brasy3G106200.1 locus=Brasy3G106200 ID=Brasy3G106200.1.v1.1 annot-version=v1.1 MAISPAEASALAVALVVLCLAAPAAGFYLPGVAPNDFDQKDLLPVKVNKLTSIKTQLPYSFYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPQMCQIVCKISVGEKEAKVLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGQYSGSKDEKYFIHNHLSFTVKYHRDAQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAKRIITSSDSPQEVEAGKDIVFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDWLCVYVGTGVQFFGMMLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNILIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQVPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFMFTRLIYSSVKIE* >Brasy3G318400.1.p pacid=40041405 transcript=Brasy3G318400.1 locus=Brasy3G318400 ID=Brasy3G318400.1.v1.1 annot-version=v1.1 MGGAAPGHGRVFLSPAVVIVLAVMMSLCSSLAAARVVQSHRADDPVVTTRWRRHRRDVVVVPSGGGGACALAVAPSGYPCEEHEVTTGGGYILSLQRIPRGHPGRASTGGSGAGQPVLLQHGVLADGMTWLLGSPEESLAYVLADRGFDVWVANNRGTRWSRRHTSLDTTSWRYWDWSWDDLVVNDMPDVVDYVRTRTGHKPHCVGHSMGTLVALAALSEGKVSEKLKSATLLSPVAYLSHMTTPLGILLANTFAGELISDLGVAEFNPTSPEVTNIVRGLCHNPGINCYDFIRDFTGENYCLNSSAIDVVLQYEPQPTSTKTLVHFAQTFRAGVLTKYDYVSPEVNVENYGQEEPPAYNMSRIPAGFPLFLSYGGQDDLADPADVQRLVADLRRGGHDDATMTVQYLDKFAHLDFIFGVCAKDYVYKDVVSFLNRFN* >Brasy3G326000.1.p pacid=40041406 transcript=Brasy3G326000.1 locus=Brasy3G326000 ID=Brasy3G326000.1.v1.1 annot-version=v1.1 MYFARLDDSPMFRTQIQTLEDSAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLSFVDIDLHDVKDARKRFDKASLLYDQVREKYLSLKKGTRADITTAIEEELHSARSSFEQARFNLVTAISHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYSQQSRERANKEQASLVERMHEYKKQIDRESRSSANGLVDSHNVDGMQTIGRSSHKMIEAVMQSSSKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQITRPPGVCPIQRTNHPPEHGSGLLSRLFSSHYHIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPIKIYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCLMQSPRSCGHDRSASESSSYTSSAELEASTSDDLTMERNNGQYDPRCTHQNRMSIKPEKPIDLLRKVDGNTICADCGAPEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNRVWEETLTSSNNGYSGDNTSVNGSHTAQYFTVSKPKHTDPFSSKEKFIHAKYADKEFVRRHSIDEIHVAQQMWDNVTANDKQGVYSLIVASHANVNLIYGQMASGLFLNLGKALLQEQPSSPSDGSPRFFDCNSHEKASPRESLSPASTSSHVDDLDDRYEGFSLLHLACRVADVGMVELLLQYGASVNVSDSRGQTPLHHCILKGRHLHAKLLLSRGANAHATDRDGRSALQYAMDRSSSDEDILMILEEHYR* >Brasy3G111200.1.p pacid=40041407 transcript=Brasy3G111200.1 locus=Brasy3G111200 ID=Brasy3G111200.1.v1.1 annot-version=v1.1 MAASSPATASPPLAGSAIHRGPGIPRQLPGSLFCRPLARSSSQTVSASAASTAPKPRGLLLRCRAAEGAAPARAEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTKIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDNLIDRCVGRRLDPVTGKIYHVKNFPPENEEISTRLITRSDDTFEKVKSRLETYKQNSEAVLPTYSDLLNQIDGNRPVEVVFQEIESLLQKICANASEDKLAKTNGKPSDSVGTTTTSKNEWRGIPTRLNNIPHSREIRKYFYDDVVQATKRAIVDKKTRLQIDINIPELNPEMDVYRIGTLMELVREISLAFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGAFINIGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPASSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGTFYPIMGALRMGYPNKYEIYRRVDEPNGKEKYVLLAELMDKPTADDITNAFKGPKREKESAPSGFWGFLNGIL* >Brasy3G254100.1.p pacid=40041408 transcript=Brasy3G254100.1 locus=Brasy3G254100 ID=Brasy3G254100.1.v1.1 annot-version=v1.1 MWRKVGKMADTTGRIPLWLIGTVTGIPVIGLVGVFFYGSYSGLGSSL* >Brasy3G036200.1.p pacid=40041409 transcript=Brasy3G036200.1 locus=Brasy3G036200 ID=Brasy3G036200.1.v1.1 annot-version=v1.1 MEEPQANDPQSPNGSDMELDVMDFMALDYDGDAKRHIKQDAKGEQQPPADADGGLSPMNVDLKGIPSSLEDESEVMSSSDPCVQAPIDFNVATLEKFCKEAARSFFSETGLVSHQINSYNDFISHGLQELIDSLGEITVEPDYDPSKRAEAGDWRHATIKFGRVKFEEPVFWVEDTELDEHTLKLKPKHARLQNMTYSSKMIVEMTVQVYSLKQSDKSKIGNNAYIQKKDILNETKWVSIGRLPVMVKSNLCWLHKLQKNDCQFDYGGYFLIKGMEKAFVAEEQRCLSRIWINDHPSWDASYMSQNKRERIYVKLVQSEEKDSLRKVVSLFFLGATMPIWIMFFALGVSSDKEAFDMIDIEDCDASLVNIISATIKESDKQCEGFRRGGRARQYVDEFIRKTKFPPEQSFDGYVGRYMFPSDVSDNRSKAFFLGYMVKCLLMAYSGHRKCDDRANFCNKRLDLACQLLRRELWVHLRRAERRMVKIMQRHLSGDGDLQVLDHYVDTSIVTNGLNRAFSTGSWCHPYKNERCSGIVGNLRRTNPLQMMSDLRKTRQLSAYFGNAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTALVSSVMRKPLMDLFVSCGMKKLNEVCVQELRGTDKIFLNGNLIGVCADPGEFVTRLRNMRRSKKIDRQVEIKRDMQHKEVRVFSDAGRILRPLLIVENLKRITTIKQKDGSYSFQELLDKNIIELLGVEEEDDIRCACAIRDLFSGDNEEGFLFYTHCELDPSFLLGLSCGIIPFANHNNARRVLMQAEKLSQQAIGYSSTNSQYRVDTLFHQMYYPQRPLFKTVVADCIGKSDHNFGEEDDFTRPENFPYFNGQNAIVSISVHQGFNQEDSLVFNRASLERGMFRTQHFKSYKSQIENKEVTRRLKYREKIDFGKTQSKRGRVDSLDIDGLPYIGASLQSGDIVIGKVSESGEDHSMKLMHTEKGMVEKVVLSANDDGKNSAVVTLRQVRSPCVGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGMTRYATPFTTPSVDVITEQLHKAGFSRWGGESVLNGQNGERMQSLVFMGPAFYQRLNHMAVDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGEMERDCLLAHGATANVHERLFRVSDLSQMHICQACERVANVILRSEGGKKVHGPYCGFCKSAENILQVSVPYGASLLYKELFCMGICLKFKTEAI* >Brasy3G107600.1.p pacid=40041410 transcript=Brasy3G107600.1 locus=Brasy3G107600 ID=Brasy3G107600.1.v1.1 annot-version=v1.1 MCVTHDVEYLSPFTEQLLSINLNKSQMDAIGSVIAALRCRHRYLMKLIWGPPGTGKTETASALLWALASLKCRTLTCAPTNIAVIRVCTRFLQNLKNFNNTIDDNGLPFSLGDVLLFGNKYNMDIPGDLQNVFLDFRVKELVGCFSNKSGWRCNLSSMISFFEDSGSQYDMLFQDDGSSDPVCFMNSLKKQFNVTEEALKRCIMNLWIHLPGRCFSHDSITNISALFNMLKKIDTLLCDVDIIYKSLKWGQSDLSTENSVFLQPISFIRNELEGTGSTCLKLLQDLQHSLNLPIGVDKNWVQRYCMRNAMLFFCTTSSSYRLHHMEIEPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLLGDDCQLTAMVKSKVGVLLFALYHVLQFRSQWSMYPQYRFLC* >Brasy3G299800.1.p pacid=40041411 transcript=Brasy3G299800.1 locus=Brasy3G299800 ID=Brasy3G299800.1.v1.1 annot-version=v1.1 MYPVDNAAIEQTKRKITFTEGARSSWEALNMFMLRYFRNLTPPQSELLLYMAGYDLRVAVEELHHYIGGPDHPDLLVHDSARTKAAFVEAAYPPCSVPDLLRLMTSTYSCCLVQPVLEVLSQGGKLTSACVYKICKMLCHPWSPPPSPSRPPPVGTFRDSSGGITMTVCFGPDFFVTTCISMDGTTSSAITQPCQTYAGSSDDMTDMLRTFPLNHRRLVSHSNLLLTLENPEFLPFLKFQLLDMLHAMYLKAIAMLPVRALREGHLLRSLLAAGHCYGPLDPVANIVINTVWYDVLYPLSWDVASKIRAADILDARSMHRVESRSIDGLVAYLCRSPTTDEQDAVTLLCKSRLDTPISELSNMCDVALAAKHPEPAAFGAFLECVPTRRFYNLYCQFRVSGNPDAAFDGLQFALFKETTGNAEVQRRAMDRSAALERLNSMTRQKIDLATLSAKMSAFESQQANVRRVLEELLIGHGYSEPLVPPYKLGIICGVTRQRNYSCTDVYHANFLASSDDGLSWKLFFAEFWNQSDDRVEESKYPFCCPIPDYHQYKLLYGYICC* >Brasy3G335800.1.p pacid=40041412 transcript=Brasy3G335800.1 locus=Brasy3G335800 ID=Brasy3G335800.1.v1.1 annot-version=v1.1 MSQSPTVDGIFFTSVITNSSHRDGTIYKNKLIQKDFFEGDIADRAETRLEPMMFSKATERCLPDAENSRDERDGMLNYVVNYSRDDPIVVHQGDLIEMTGPKRCILMDSMVLIEFDMRIKNEALEDNDQQLIDGAISCSCYTYKPWIPVVNRITGGSGAVDVSLAVVEQAVEATIEVVISQVLSGLNLSLSSFVDVMDVYEEIKLFNGKIGQSGSLRRFVVSVSHGTTMLLMFKVGNNVQGSLHGCASRQIKFQAKLHGCASRQIKFKFATISVKVTWSTID* >Brasy3G335800.2.p pacid=40041413 transcript=Brasy3G335800.2 locus=Brasy3G335800 ID=Brasy3G335800.2.v1.1 annot-version=v1.1 MMFSKATERCLPDAENSRDERDGMLNYVVNYSRDDPIVVHQGDLIEMTGPKRCILMDSMVLIEFDMRIKNEALEDNDQQLIDGAISCSCYTYKPWIPVVNRITGGSGAVDVSLAVVEQAVEATIEVVISQVLSGLNLSLSSFVDVMDVYEEIKLFNGKIGQSGSLRRFVVSVSHGTTMLLMFKVGNNVQGSLHGCASRQIKFQAKLHGCASRQIKFKFATISVKVTWSTID* >Brasy3G335800.3.p pacid=40041414 transcript=Brasy3G335800.3 locus=Brasy3G335800 ID=Brasy3G335800.3.v1.1 annot-version=v1.1 MLNYVVNYSRDDPIVVHQGDLIEMTGPKRCILMDSMVLIEFDMRIKNEALEDNDQQLIDGAISCSCYTYKPWIPVVNRITGGSGAVDVSLAVVEQAVEATIEVVISQVLSGLNLSLSSFVDVMDVYEEIKLFNGKIGQSGSLRRFVVSVSHGTTMLLMFKVGNNVQGSLHGCASRQIKFQAKLHGCASRQIKFKFATISVKVTWSTID* >Brasy3G327800.1.p pacid=40041415 transcript=Brasy3G327800.1 locus=Brasy3G327800 ID=Brasy3G327800.1.v1.1 annot-version=v1.1 MEGRRMQQLSDSNRRIMEADAAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLIATVCLIVIPVIVFAAVVSPQLAHEYQNQIGGWAASVSIVFTAYILVLLLLTSGRDPGIVPRNAHPPEPEDIGESSNLSGWQGGQHGLAGLPLTKDVHVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYHFFLMFVSSATLLCIYVFAFCWVNIRKIMDKHECNLGRAILKSPISAILMLYTFAAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRRTNPYNRGVVQNFIEILCSRIPDSRNNFRAKAKEDSAAFTSSLSVGRVLTPPKMSVDLESGIKRQTVGAEELEDLHSQIGSSMGLERCGTEPPHFSSRKGCSEIASDMEAFSEEFGMENTFTERKKIERHTNNNP* >Brasy3G327800.2.p pacid=40041416 transcript=Brasy3G327800.2 locus=Brasy3G327800 ID=Brasy3G327800.2.v1.1 annot-version=v1.1 MSTKTKLEILVLLLLTSGRDPGIVPRNAHPPEPEDIGESSNLSGWQGGQHGLAGLPLTKDVHVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYHFFLMFVSSATLLCIYVFAFCWVNIRKIMDKHECNLGRAILKSPISAILMLYTFAAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRRTNPYNRGVVQNFIEILCSRIPDSRNNFRAKAKEDSAAFTSSLSVGRVLTPPKMSVDLESGIKRQTVGAEELEDLHSQIGSSMGLERCGTEPPHFSSRKGCSEIASDMEAFSEEFGMENTFTERKKIERHTNNNP* >Brasy3G171700.1.p pacid=40041417 transcript=Brasy3G171700.1 locus=Brasy3G171700 ID=Brasy3G171700.1.v1.1 annot-version=v1.1 MRKQNQKAHPRRIRSSGGQTTLQSFLFKPRVAEGELNPPPLEFEEQAVSPPAPPPKREIIRVTNKTVIKEKANAFSSVGSSSSAGKNGAGGAGALNAAVFKRFNGSSSPAARAECFVVGGGGGGVAESGDDPEDDSGSGVRLDVEDIAAGSRRGRESRKRKSPLGGDEEHCKSSGRRHVLVLGDDPKPRPPPSAAAVKRRRGRGRGRHAGRGEGDRALYNHYASGGGWWEGEQEGVDGEEVGWTDDMWEGMGSITLGGLEWH* >Brasy3G007000.1.p pacid=40041418 transcript=Brasy3G007000.1 locus=Brasy3G007000 ID=Brasy3G007000.1.v1.1 annot-version=v1.1 MDSLPPSSYWLKIWTVDQHVIPNFRDETLLNHQAKLRKNMSQNTSLTPKQVEKNHYFHNNQFCHMETSKDGDAPVPCKHGDAPVSKPVSSIQLFVPSIILHFYKEKNRMRKPCLSLVCKNC* >Brasy3G296800.1.p pacid=40041419 transcript=Brasy3G296800.1 locus=Brasy3G296800 ID=Brasy3G296800.1.v1.1 annot-version=v1.1 MSGTGKRGALTEDWMLPTPSPRTLVLSLFNDDLSSGPCSDAFGDNNKPQDGIDGGKPCLVDSSWEETSQAPPHFETNLFGAKEKPISGSSLAERMAPGNGLCALQIDTSRVGSSVSIWSPVVIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMRANANLAIPSVHKKDEDLSSRDGCTIFFQPILRPKPSIFPTMDKPSVGDNRQDLSLQSSSTATKDVTGTTNHPIPIPDNEQEECDADRDGNYSLAPVIAAEDGYNWRKYGQKQVKNSDHPRCPVKKKVERCQDGHITEIVYKGSHNHPLPPPSHHFQDVHGEVLGTKLSASLNTADQLADISETREAVDISPVLSNEDDNKGTHSTVSLGFDGGGDVTGSKRRKMDSVTSATDTCTIDIEAVASRAVQEPRVIVQTTSDVDILDDGYRWRKYGQKVVKGNPNPRSYYRCTHPGCSVRKHVERASNDPKSVITTYEGKHDHEVPAARNTGNPSSGPGGAPPAPPQAILHRSPEPAQGRLSQSDGVASYGSTGRSGVADAFSSGMLPHGIAVPAPPLGTFAPSRIAGHPPAMQGYARRMPPPGEKKVNPAAQHGKPATNSTTAYQQLMGRLSQDPQK* >Brasy3G010000.1.p pacid=40041420 transcript=Brasy3G010000.1 locus=Brasy3G010000 ID=Brasy3G010000.1.v1.1 annot-version=v1.1 MGIRSKRKRKARPTTDHPSPSRRRRNNSHPPSPAERTVDWAALPHDVLCEILSRVPQADILRGAGLACASWRRAAAMARAAVGRCESFRGPADADFLLYLAHSEAEAESWAEELVNLPSRESKRKKELVNTQADRSVADRYEAQFPAGFSLV* >Brasy3G211000.1.p pacid=40041421 transcript=Brasy3G211000.1 locus=Brasy3G211000 ID=Brasy3G211000.1.v1.1 annot-version=v1.1 MMGWPPAAGRRLAAAALLCLLVASTSASAAEQKALQAAKGEGRRHHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARVGADADRVLIASDDVPRDWVRAMREEDGMRVVVVENLKNPYEGNLGGMNRRFKLTLNKLYAWSLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFNGMLHDLEIGRDNSDGADQGFLVGCFPDLLDKPLFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEIPVVLMELLMYIVIIAITKLARPQMTKLCYNRRPEKQSALVQGLIKLAGIVALVAAYAIPFFVIPCTVHPIMGWSMYLFGVLALSLVVINVFQLPPLAVLTAWLGIIGMLFAMAYPWYHDGITRILAVVGYAFCSAPFLWASIVRVLDSLQTMLERDPFFPRLGEPAQETEFSKLY* >Brasy3G128200.1.p pacid=40041422 transcript=Brasy3G128200.1 locus=Brasy3G128200 ID=Brasy3G128200.1.v1.1 annot-version=v1.1 MARGGAWASWAAVVLWWMAAGAGAVWLEIAPSGTKCVAEEIRSNLVVIGDYSVLYEHHQVHPTVSVKVTSPFGDTVHKKEKVSVDQFAFTTSEAGNYLACFTVDGDNKGLVVKLSLDWKIGIAAKDWDSVAKKEKLEGVELELVKLDANVQAIHQNLLLLRTKESEMRDVSEKTNARISWLSMMSLSVCILVSVLQLVHLKRYFRKKKLI* >Brasy3G008700.1.p pacid=40041423 transcript=Brasy3G008700.1 locus=Brasy3G008700 ID=Brasy3G008700.1.v1.1 annot-version=v1.1 MPAGDRISALPDELLHHVMSFLKAREAVQTCVLSRRWQNVWASVKRLNIDAISFTSFEQFNKFVDNLLLQRDCTPLDTLWLGITFNSYTYYFSDYSQIHCWVCHALRSDTRALGIVQDDDAEALTIQSSFTSLHLKRLHLCNFHIDDLFVKKLSSGCPALEELELIKCEIFVTEFSSTTLKRLTVNDALHRTDFPVEFRDLEIDMPNLVTLYIKELPPRNPYLTDVSSLETASIFIDDSSFIDSDVDCNVLSALSNVTSLESLSLSVHGDDAIQIFVRDALRCGTFSNLTTLTLGEWCLSTGCSMLLYLLGRSPKIQILILNLTKCGASPLEQDAAAETHPASNETETPLMCKNLKKIEINCRRGGDRRVHTVVKALLAHLASTPEIKIKPLKRNHIG* >Brasy3G078600.1.p pacid=40041424 transcript=Brasy3G078600.1 locus=Brasy3G078600 ID=Brasy3G078600.1.v1.1 annot-version=v1.1 MECEPEELQFLGPVGIARESVAILRAHRPLYARIAAAFVLPLSALFLAHIAISHALFSTIDSDDTALDSSSPGTASQDRILRRLAADWSALVLFKSAYLLALLLLSLLSTAAAVFSVASVYSAKHDALTFPRVLSVVPRVWRRLAATFLAAFALLAVYNLAAVAVFLALLVATENGSGLAAVVFFPLLAAYVAGLVYLGVIWHLASVVSVLEDYKGFNAMRKSKDLIKGKLRTASAIFFTLNLVFVIVELAFRAWVVQGARHGVGAGARMLLGLALLALLCAVVMVALVVQTVVYLVCKSYHHESIDKSNISDHLEVYLGDYVPLKASDVQMEHFEV* >Brasy3G141000.1.p pacid=40041425 transcript=Brasy3G141000.1 locus=Brasy3G141000 ID=Brasy3G141000.1.v1.1 annot-version=v1.1 MDPSPTAAARRVAAICRHLDGLSTGAAAVAALRSSPCLSYAPPESTENPPAFSPIELRALLDGHHLRERDWLFGAMEESPLFCPRRVGGGGGKVFVSPDYNEGKEGQREATMRRIGYLTRRGVFRGWLTEPGPDAELRKLALLDCLGVYDHSLAIKVGVHFFLWGSAIKFLGTKRHHDKWLLDTENYVIKGCFAMTELGHGSNVRGIETIATYDSKSREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDQDESVLPNIRIADCGHKIGLNGVDNGRIWFQNVRVPRENLLNLVADVLPDGEYVSMIDNPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYALSRRAFSITPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKNMYVKRTPEASKAIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLLQQVSKALYAEFIATQRKKKPFKGLGLEHLNGPCPIIPDSLTSGILRSSKFQMDLFCLRERNLLKQFTEDVAHHLAQGESREKALMLSYQIAEDLARAFTERTILQIFLEDEMNAPAGSLKDVLDLLRSMYVMTCIDESASFLRYGYLSRDNVATVRKEVMTLCSNLRPHALAIVSSFGIPDAFLSPLAFDWIEANALSSSSE* >Brasy3G113200.1.p pacid=40041426 transcript=Brasy3G113200.1 locus=Brasy3G113200 ID=Brasy3G113200.1.v1.1 annot-version=v1.1 MYELSMDPKWKCDGGFKNGYSSVLEAQLAKSLPGHNLTAVPHIESRVRHFRTKFGAIEVMLARNGFTWDDQRKMVQCEKRQYDDHCKTFTEAKGLYGVSFPYYDTLSAIYSKDIATGENVEGFDEAIANLEQEIPIEIDEDDEGSRATGKRPMASQSGATSGYKKARRERAQTRVNQDPMMALFGEVHVELKSVSVHVGTMDSTWRRSGQMQV* >Brasy3G280400.1.p pacid=40041427 transcript=Brasy3G280400.1 locus=Brasy3G280400 ID=Brasy3G280400.1.v1.1 annot-version=v1.1 MPSLIDGPASLRSLLRPVTDNNRRATKQQHHGASGGVVGLFKMFKLVPMLTTGCKMAALLGGRHSKYSIPRAPALLADHLPAVTLFGRRRGRLSLAIHEDTRAAPAFLIELPMLAPALHREMATGTLKLALESDTRSARRKLLEEYVWAVYCNGRKAGYAIRRKAADASDDETHVLRMLRGVSMGAGVLPPPPGYGERDQGQGGACTATPSNGPDGELTYMRARVEQVVGSKDSEAFYMINPDDAGAKGGHGAAELSIFLVRKK* >Brasy3G255900.1.p pacid=40041428 transcript=Brasy3G255900.1 locus=Brasy3G255900 ID=Brasy3G255900.1.v1.1 annot-version=v1.1 MGNHQKLLHFLRPDPVAAAVAACSPRSFSSSSASVSDDDGYSSSSYPTTDGDASPSNYSAASTPPTPKSPWAHFPGLGGAAADTKAATGLVASLVKEDGHVYSLAATGDVLYTGTDSKNVRVWRGPRELSGFRTASGLVKAIVVAGDGRIFTGHQDGKVRVWRRDAEDDGKHRQVGSLPKLADYLKSAVNPSSYVETPRRGAAVWVRHTDAVSSLSLDEGAGLLYSASWDRSFKCWRVSDSRCLDSVPRAHDDAVNAVAAAGFDNLVFTGSADGTVKVWRRETSEVTTKHVLERVLRQGESAVTAIAVSPADRVVYVGSSDGLVTYWRWTAAHGGEPRYGGVLRGHELGVMCLAVARDVVVSGSADRTLRVWRRVDEGERHVSLAVLEGHTGPVRCVAMDEEESAADGGGDRRTFVVYSGSLDGSVKVWRLSDTESVNTDRTPASSSAQQPLWGSRQAGTPVPPYAEAWAPYQTPELKRVAAA* >Brasy3G298400.1.p pacid=40041429 transcript=Brasy3G298400.1 locus=Brasy3G298400 ID=Brasy3G298400.1.v1.1 annot-version=v1.1 MSVVHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPDKHDDYHMILRFLKARKFDAEKAMQMWGDMLRWRKEFDVDTILEDFEFHELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVERYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKIARELVHRMQKIDSDYYPETLHQMFVVNGGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDPSELPEFLGGSCSCADKGGCLGSNKGPWNDPFILKLIHNLETGCARDIKPISDGEEQSNSSLRLEQLKWQGMICDTSNAESGSDVDDLVSSFVPKGTEYGCLTPVHEEVKGIDSSTYFICDDQNFLDISLQTGRGARQTTESVPKRQADNRQSSTNGNHHGLGNNAGNLDGTILPRGLENLVKVVVTALIKLFSFFRLFISTPQRRLEQAHPFPEPAPAAEKPQPRTISDDDMIACLQRIENLESLCNQLASKPPEIPEDKEQILQNSFERIRSIEVDLERTKRVLHATVAKQQSLVETLEAVQESSRVRKRLFCS* >Brasy3G298400.2.p pacid=40041430 transcript=Brasy3G298400.2 locus=Brasy3G298400 ID=Brasy3G298400.2.v1.1 annot-version=v1.1 MSVVHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPDKHDDYHMILRFLKARKFDAEKAMQMWGDMLRWRKEFDVDTILEDFEFHELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVERYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKIARELVHRMQKIDSDYYPETLHQMFVVNGGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDPSELPEFLGGSCSCADKGGCLGSNKGPWNDPFILKLIHNLETGCARDIKPISDGEEQSNSSLRLEQLKWQGMICDTSNAESGSDVDDLVSSFVPKGTEYGCLTPVHEEVKGIDSSTYFICDDQNFLDISLQTGRGARQTTESVPKRQADNRQSSTNGNHHGLGNNAGNLDGTILPRGLENLVKVVVTALIKLFSFFRLFISTPQRRLEQAHPFPEPAPAAEKPQPRTISDDDMIACLQRIENLESLCNQLASKPPEIPEDKEQILQNSFERIRSIEVDLERTKRVLHATVAKQQSLVETLEAVQESSRVRKRLFCS* >Brasy3G298400.3.p pacid=40041431 transcript=Brasy3G298400.3 locus=Brasy3G298400 ID=Brasy3G298400.3.v1.1 annot-version=v1.1 MSVVHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPDKHDDYHMILRFLKARKFDAEKAMQMWGDMLRWRKEFDVDTILEDFEFHELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVERYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKIARELVHRMQKIDSDYYPETLHQMFVVNGGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDPSELPEFLGGSCSCADKGGCLGSNKGPWNDPFILKLIHNLETGCARDIKPISDGEEQSNSSLRLEQLKWQGMICDTSNAESGSDVDDLVSSFVPKGTEYGCLTPVHEEVKGIDSSTYFICDDQNFLDISLQTGRGARQTTESVPKRQADNRQSSTNGNHHGLGNNAGNLDGTILPRGLENLVKVVVTALIKLFSFFRLFISTPQRRLEQAHPFPEPAPAAEKPQPRTISDDDMIACLQRIENLESLCNQLASKPPEIPEDKEQILQNSFERIRSIEVDLERTKRVLHATVAKQQSLVETLEAVQESSRVRKRLFCS* >Brasy3G298400.4.p pacid=40041432 transcript=Brasy3G298400.4 locus=Brasy3G298400 ID=Brasy3G298400.4.v1.1 annot-version=v1.1 MSVVHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPDKHDDYHMILRFLKARKFDAEKAMQMWGDMLRWRKEFDVDTILEDFEFHELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVERYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKIARELVHRMQKIDSDYYPETLHQMFVVNGGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDPSELPEFLGGSCSCADKGGCLGSNKGPWNDPFILKLIHNLETGCARDIKPISDGEEQSNSSLRLEQLKGMICDTSNAESGSDVDDLVSSFVPKGTEYGCLTPVHEEVKGIDSSTYFICDDQNFLDISLQTGRGARQTTESVPKRQADNRQSSTNGNHHGLGNNAGNLDGTILPRGLENLVKVVVTALIKLFSFFRLFISTPQRRLEQAHPFPEPAPAAEKPQPRTISDDDMIACLQRIENLESLCNQLASKPPEIPEDKEQILQNSFERIRSIEVDLERTKRVLHATVAKQQSLVETLEAVQESSRVRKRLFCS* >Brasy3G130700.1.p pacid=40041433 transcript=Brasy3G130700.1 locus=Brasy3G130700 ID=Brasy3G130700.1.v1.1 annot-version=v1.1 MAGGGVSKEKLGSILLQTKCASKNIRAQRDLLLQFQAQLQPDHPAGGASADAAALQEIASGLVKTFFYAGLEAGARYLTAVLTIAAESGARLALTPSFAVISDEQLYDALLAQRLPARPTTQTEAFSRVEAALFAVKLVQEHHLLRCVECLAEICRPDAAASAVTPASASSRETVLPAPAPANGETVPAGGGSMEQARAYLDRALILVNLAVKHVDLAAATMSRFLDPNKVAELSDFADRHGIIT* >Brasy3G279800.1.p pacid=40041434 transcript=Brasy3G279800.1 locus=Brasy3G279800 ID=Brasy3G279800.1.v1.1 annot-version=v1.1 MAASVEPRQFGRLEPGSTPVRAGAVTNGIRRRADSPVRGCGFPTLISPPRKPRAHEEASSDEEEEEQEDWRELYGSHLQSEVEPSVRDPRDEGTADAWIERNPSLVRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGVWATWTVEVTGLVKRPARFTMDELANEFPAVEIPATLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGVMGARQGQGALNVCFEGAEDLPGGGGSKYGTSVTREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWVKRIIVTTAESDNYYHFKDNRVLPSHVDAELANTEGWWYKPEHIINELNTNSVITTPGHDEILPINAFTTQRAYTMKGYAYSGGGKKITRVEVTLDGGETWQVCALDIPEKPNKYGRYWCWCFWSVEVEVLDLLGAKEVAVRAWDQTHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTGDKQFSMSEVRKHGSQESAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRVGELITTGTGYNSDNSVHGGSNLSHLAPIREATKALAPIALSSPREKVPCRLVDKKELSHDVRLFRFALPSSDQVLGLPVGKHIFVCANIEGKLCMRAYTPTSMVDEIGQFELLVKVYFKDEHPKFPNGGLMTQYLESLQLGACIDVKGPLGHVEYTGRGNFTINGKARHARRLAMICGGSGITPMYQVIQAVLRDQPEDETEMHLVYANRTEDDILLRDELDRWAADYPDRLKVWYVIDQVKRPEEGWKFSVGFVTEAILREHVPEGGDDTLALACGPPPMIKFAISPNLEKMKYDMANSFISF* >Brasy3G135300.1.p pacid=40041435 transcript=Brasy3G135300.1 locus=Brasy3G135300 ID=Brasy3G135300.1.v1.1 annot-version=v1.1 MQNTLRRCRDPLSIWHRYRLCYRRKLQNQLPQDSRWNRQAIHFRTGAAKHQRVPWTVSSFGAHPSILLQLHYGRNGPLHLEPLPLGGCTDVNESEHTPSPCPESATCQNTVGGYHCSCPFGRKFVKETNSCTNQIIGVVIRLSCGIGALFLAAILTLLIQMWKRGIKYRARKVHFRKNKGLLLEQLISSDESATHNTKVFSLDELEKATNNFDSTRILGLGGHGIVYKGILSDQRVVAIKKSTMVEQKEIDQFVNELAILSQIIHRNVVRLFGCCLLVRGTFARLRVNSSPTACCLSFFFVT* >Brasy3G135300.2.p pacid=40041436 transcript=Brasy3G135300.2 locus=Brasy3G135300 ID=Brasy3G135300.2.v1.1 annot-version=v1.1 MQNTLRRCRDPLSIWHRYRLCYRRKLQNQLPQDSRWNRQAIHFRTGAAKHQRVPWTVSSFGAHPSILLQLHYGRNGPLHLEPLPLGGCTDVNESEHTPSPCPESATCQNTVGGYHCSCPFGRKFVKETNSCTNQIIGVVIRLSCGIGALFLAAILTLLIQMWKRGIKYRARKVHFRKNKGLLLEQLISSDESATHNTKVFSLDELEKATNNFDSTRILGLGGHGIVYKGILSDQRVVAIKKSTMVEQKEIDQFVNELAILSQIIHRNVVRLFGCCLLVRGTFARLRVNSSPTACCLSFFFVT* >Brasy3G135300.3.p pacid=40041437 transcript=Brasy3G135300.3 locus=Brasy3G135300 ID=Brasy3G135300.3.v1.1 annot-version=v1.1 MQNTLRRCRDPLSIWHRYRLCYRRKLQNQLPQDSRWNRQAIHFRTGAAKHQRVPWTVSSFGAHPSILLQLHYGRNGPLHLEPLPLGGCTDVNESEHTPSPCPESATCQNTVGGYHCSCPFGRKFVKETNSCTNQIIGVVIRLSCGIGALFLAAILTLLIQMWKRGIKYRARKVHFRKNKGLLLEQLISSDESATHNTKVFSLDELEKATNNFDSTRILGLGGHGIVYKGILSDQRVVAIKKSTMVEQKEIDQFVNELAILSQIIHRNVVRLFGCCLLVRGTFARLRVNSSPTACCLSFFFVT* >Brasy3G135300.4.p pacid=40041438 transcript=Brasy3G135300.4 locus=Brasy3G135300 ID=Brasy3G135300.4.v1.1 annot-version=v1.1 MQNTLRRCRDPLSIWHRYRLCYRRKLQNQLPQDSRWNRQAIHFRTGAAKHQRVPWTVSSFGAHPSILLQLHYGRNGPLHLEPLPLGGCTDVNESEHTPSPCPESATCQNTVGGYHCSCPFGRKFVKETNSCTNQIIGVVIRLSCGIGALFLAAILTLLIQMWKRGIKYRARKVHFRKNKGLLLEQLISSDESATHNTKVFSLDELEKATNNFDSTRILGLGGHGIVYKGILSDQRVVAIKKSTMVEQKEIDQFVNELAILSQIIHRNVVRLFGCCLLVRGTFARLRVNSSPTACCLSFFFVT* >Brasy3G135300.5.p pacid=40041439 transcript=Brasy3G135300.5 locus=Brasy3G135300 ID=Brasy3G135300.5.v1.1 annot-version=v1.1 MQNTLRRCRDPLSIWHRYRLCYRRKLQNQLPQDSRWNRQAIHFRTGAAKHQRVPWTVSSFGAHPSILLQLHYGRNGPLHLEPLPLGGCTDVNESEHTPSPCPESATCQNTVGGYHCSCPFGRKFVKETNSCTNQIIGVVIRLSCGIGALFLAAILTLLIQMWKRGIKYRARKVHFRKNKGLLLEQLISSDESATHNTKVFSLDELEKATNNFDSTRILGLGGHGIVYKGILSDQRVVAIKKSTMVEQKEIDQFVNELAILSQIIHRNVVRLFGCCLLVRGTFARLRVNSSPTACCLSFFFVT* >Brasy3G222300.1.p pacid=40041440 transcript=Brasy3G222300.1 locus=Brasy3G222300 ID=Brasy3G222300.1.v1.1 annot-version=v1.1 MNDVIRILLRVHCQSSLSRTKTAFHSRNKIQAHLISLKSEDACKLQEDSVSAWRTRCLGLYIHVCLPLCIFVTVTYQ* >Brasy3G303600.1.p pacid=40041441 transcript=Brasy3G303600.1 locus=Brasy3G303600 ID=Brasy3G303600.1.v1.1 annot-version=v1.1 MADKKGGAPRKEEVVTREYTVNLHKRLHGCTFKKKAPNAIKELRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPAEGLKGLGTKVIDETD* >Brasy3G107800.1.p pacid=40041442 transcript=Brasy3G107800.1 locus=Brasy3G107800 ID=Brasy3G107800.1.v1.1 annot-version=v1.1 MMMMGEGSAHAPPWQRTAPSSGDGGCAGVGDVAAPYHALSALRHYLPSNEPSGDPQDEDEDAVAAADAYACDEFRMYEFKVRRCTRGRSHDWTDCPFAHPGEKARRRDPRRYCYSGAACPDFRKGGACKRGDACELAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRSPPCSPRAAAVSPSSSSPLADSYDGSPLRRQAFESCYLGGNGNGKSHLVQLSSSPTSTLLSPPRSPPSDSYSPPMSPNDVLTSLRQLRLGSGSPRSGGSFLAAAGYSAAVGSPKSPAGIYSLPSTPTRPSSLVTVTTPSGATVTVEPIDLGLIVDEEPPVERVESGRALRAKVFERLSKEATVCNDAMGEVPAAAAPDVGWVSDLIN* >Brasy3G037200.1.p pacid=40041443 transcript=Brasy3G037200.1 locus=Brasy3G037200 ID=Brasy3G037200.1.v1.1 annot-version=v1.1 MPMAMASLVSSISRRSPLLLARNYCFFSGSLLQLQLHRHFSSSSSSCHQQPQLRAGAKEEDGGADQQVKKAGNAPEKALLEAVATARRRPAAPKGGRGGGAPAKTPKRGGPAPPGKDGPREGSGGNGGVIHAVPASSSSSALYSRGGDKKAEETK* >Brasy3G203400.1.p pacid=40041444 transcript=Brasy3G203400.1 locus=Brasy3G203400 ID=Brasy3G203400.1.v1.1 annot-version=v1.1 MTRGSGWEHRVVPERVEEDVADGVVEAVGVAREHHAPRVPAGEGLAAHHGERLEVVPVDGVQERRLKRAGRAPAMRARLTGGRSRRHLDDAAGLAADRAEVDEVDAPGEDEDLLAEILLRLPARPSSLPRASLVCKSWRRLVTDPRFVRRFCAKHREAPIVGLFVQQKLDEFTFMSIRERPDFIPPERFSLRVVDGIQGGVWSFRGCRHGRVVFTNHERIGYGAREVLVWDPVTGDRRCLGLPCHPVHDWSKSHVQAEVHCVARDKGHAHGACHWSPFKVVLACAAKGVARACVYSSETGSWGNLISTAVQYDTPSHLGSRSILVGDILYWFLLGARLGILELDLDSQNLAVIDMPPDAHVVHGGLHLSSMGGGLGFIIVLGDDVQQWVRMTDSDGVAGWIPGQTIDLYELLALKSGERIKRVMGTAADGNVVLVSTRIGVFMVNLESLQFEKIFESNTFPDDWPPTIFTYPFTSFFAAVGTSHRFPV* >Brasy3G116700.1.p pacid=40041445 transcript=Brasy3G116700.1 locus=Brasy3G116700 ID=Brasy3G116700.1.v1.1 annot-version=v1.1 MMAVPMDKATASLSPRELAQRLFKKNAEHENRLRRAAQSKIPSDPSIWLQMRENYEKIILADPEFSENHEIEYLLWQLHYKRIEEFRGHIASAAASSSAAVQGGKNNVNPDRVKRIKSTFRSFLSEATGFYHDLMLKIRSNHGLPLGYFPEGLDTSVVPGKDKKKIIDMKKGLMSCYRCLIYLGDLARYKGLYGDADSASREYSAASSYYKEAASLCPSNGNPHHQLAILASYSGDEVTAIYRYFRSLAMDNPFSTARENLIIAFEKNRQSYAQLHSKTKVAAARALPSRSVGRGRGRADTRIQPKGANTEVTSKEREYNIPDTLKSFFVRFVRLNGILFTRTSLETFGELSATVASDLQILLSSGPVEELNFGSDAVENALSFVKLIAILVFTVYNVNKDSENPSYAETVQRRVLLQNAYSTIFEFVGYLLKRCLELHDVSSSTYLPAILVFIEWLACHPDYVSSSEMEQKQADARTFFWNQCVAFMNKLILTGLARVDGDDDETCFSDMCTYEEGETGNRIALWEDVELRGFLPLAPAQVILDFSNKHASGADGSTKEKQARVQRILAAGKALMSFVQIDQLRIYFEPTSKKFLMATEPPVSKAPLAHSGSSHADETNPTEYEHQVTSNVGSVAEKLDVVQTKAQLCAEGDDDEEIVFKPPVSEKPLRGPSELGTNEFIQPVQTSDSNWLNNGTPVSLQSTTSASTASTYVQSLPMSSIGWAVNGGQQLIPSVAPRSSQPFNMSDPTWVSTAAPLVARQSTAPIPSFPSIVPDHYTPAPSVPSFSSLDNPHMLPQNSFLLSALKNVNIGANGFLDHRVNGGLSGLQYMGNVPHVSAGVTWNGPNPNLNQFKSTEVTIPSAFDSVLPSVASMDSTSTKFMDTQAAISRKSPVSRPGRHVGPPPGFNNVPSKRRDDFISVGNGHHVQADDIWLNGYRPSLDLVNNQRHAHSNLTTSNSALTTPFPFPGKQAFGMYAGQTNEKQWHDFQLFESTKQLPEHSFPQGNQNNGPLAESQPAQSIWSGRYPV* >Brasy3G074800.1.p pacid=40041446 transcript=Brasy3G074800.1 locus=Brasy3G074800 ID=Brasy3G074800.1.v1.1 annot-version=v1.1 MEQLLPPWSDLPSELLGQVLAGLPLPADRARFRSVCRSWRSAQGWQLPWIVHRDGTFVTLPDGGLHRLPLPDKDNLISVVGATINGWLALDCAVVGGEHAYARAYMLHDPFSNTTLPLPALDLVIAKASEWFEIRKVLMRSTPNNDVVVVTTNNRYYPVILCRAGKAHAWIPEPDNEMMPYACIIDYEFLGDRLYGITSDEQLVSLHLDEDDEGMPTISGYEYVIRHPSSEMDMEVSTSNGKDEDIRGSGVEEEDEDNASRASDGEVSTDEDGSDDDDDDVSTDEEKINYTSGDGKIPDNELPVLHDHMNDPSPRDFIDNSWYLLESNGKLIMVRRQKCSPPYSRQYTVSAEVLEADMNTGSWVPSTAVGPGKNGRTLFISKRFCKSVATPREGFNYYFVDEHDVIEFDPTYGDTRSTWVFPRELAL* >Brasy3G016500.1.p pacid=40041447 transcript=Brasy3G016500.1 locus=Brasy3G016500 ID=Brasy3G016500.1.v1.1 annot-version=v1.1 MPATARNVTSWNTMVSGLSRSGAVGEARAVFLAMPARNSISWNAMVSCFAHAGDMCAAEECFEDAPDKENAVLWTAMVSGYMDSGYVERAMQFFEAMPVRSLVSWNAVVAGYVKNSRAEDALRVFKTMVRDADVRPNESTLSSVLLGCSNMSALGFGRQVHQWCTKLPLSRRVTAGTSLVSMYCKCGDLDGACKLFSEMRTRDVIAWNAMISGYAHHGDGREAIELFEKMKSQGVEPNWITFVAVLTACIHTGMCDFGMRCFERMQEFYGIEPRVDHYSCMVDLLCRAGSLERAVSLIRSMPFQPHPSAYGTLLNACRVYKNMEFAEFAAGKLIEQNPQNAGAYVQLANIYAVANQWADVSRVRRWMKDNAVVKTPGYSWVEIKGAIHVFRSNDRLHPQLGLIHERLGQLEERMKAMGYVPDLDFALHDVDESLKMQMLMRHSEKLAIAFGLLSTAPGITLRIFKNLRVCGDCHTVAKLISKIEDREIILRDKTRFHHFRSGHCSCGDYW* >Brasy3G062000.1.p pacid=40041448 transcript=Brasy3G062000.1 locus=Brasy3G062000 ID=Brasy3G062000.1.v1.1 annot-version=v1.1 MTSEIEGGRLLRRRGRGERIRLRRRRGSPDPVGLASVRSASGEREREREREREGAPGDGGVGAGRIRGGWSKGGQIRGGGAEAPPPRDSFAPPQRPAPPPPRCSRWARDRGLLRRRGEVLGSGGGEVLGRGGGWKKKKNRIGRRRIAAAWGEEGRWEFLYALPNPRARTGIGLLAQQH* >Brasy3G309400.1.p pacid=40041449 transcript=Brasy3G309400.1 locus=Brasy3G309400 ID=Brasy3G309400.1.v1.1 annot-version=v1.1 MGQAAGGAGGSGSVWRRGGGPSSLAPRKNLGLRGVRQRQWGRWAAEIRLPHTRDRLWIGTFRHPEQAALAYDATLYCFYGDEKPLPPQRCYNFPAAPRPYVPPGKHGNLSNENVKAIAEKHAVDLYNLVFAKNQMPPVPEEEEAPAAAASVGPAFVPAAAAYLPPPTPIMAEHAVGAMDCGAAPAAPAPDVGGYFDMDDDIVYSYNVEEVGEVFVDDDEPWMMM* >Brasy3G146600.1.p pacid=40041450 transcript=Brasy3G146600.1 locus=Brasy3G146600 ID=Brasy3G146600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYILRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVKHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy3G197000.1.p pacid=40041451 transcript=Brasy3G197000.1 locus=Brasy3G197000 ID=Brasy3G197000.1.v1.1 annot-version=v1.1 MIGILIAVAAAAAVLALVVGFRALAAGLARRAAGASGDSEGRDHADEGGCSCCCDRAMSLQSCEAAAAGDLPTATAEELLEWARGLVPAALDAARAAAGFGGRWKAIATRLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLTEAAELGARCREPPKAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPSAPLAEAATQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCEGLLVSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPEIARAIVGHSGVRPLVDVCQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMISLLDRGAVLGSKEYAAECLQNFTSSNDGLRRAVVAEGALPSLLTYLDGPLPQEPAVGALRNLVSNVSPGMLVSLGVLPRLAHVLRDGSVGAQQAAAAAICRISGTPEMKRLVGDHGCMPLLVRLLEAKSNGAREAAAQATATLMSGCPANAGDVKKDEKSVPNLVQLLDPSPGNTAKKYAISCLLLLSASKRCKKLMVAHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRSLFSRK* >Brasy3G314200.1.p pacid=40041452 transcript=Brasy3G314200.1 locus=Brasy3G314200 ID=Brasy3G314200.1.v1.1 annot-version=v1.1 MSASGNNNSSYVEAEEDDYQLEEAGSPAAATARRCAASKYLRRRCAADCVLAPHFPASLPPRYAAVHRVFGASNITRLLQGLPERERRTAAETMTVEAGLRVRDPVYGCTGLIHRLQEEIRDTQGRLATTRARLAIAAQPQQRAVKQDDGQPPALVDPDEFLDLDGFS* >Brasy3G324900.1.p pacid=40041453 transcript=Brasy3G324900.1 locus=Brasy3G324900 ID=Brasy3G324900.1.v1.1 annot-version=v1.1 MRRLTQQQIDRVEPWLTPESNAPLITDRLTEEFRARHPRDVVDRLAAIDADRLARKARMLALEQMLREERQGILDQYYAKGYAEYEVDEEDEEPAAAAVPRAAAPPPGRRRFQRGLVRRAGGNKRLN* >Brasy3G183300.1.p pacid=40041454 transcript=Brasy3G183300.1 locus=Brasy3G183300 ID=Brasy3G183300.1.v1.1 annot-version=v1.1 MASSALRRSLPRRCTLGRILPSHPPPSAAAASSFRRSFQSGEGEVESASQFEERLFGDQTGSNDSPFFRKLDGVGNSFRRPGMGSFGQNSDRGNFGFGGRSSSGFMDGFDSLNDGMNEKLDDAARTFQMTEEVDDEDYDFRPDVDCRRGSTYNVRDLDLTRPAASRNPPRPQFETSTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTKISAKAQRKVAREIKTSRALGLMPFTTMGKRPFIFGRSVEEDASEEENGYEFVEQEARPEDIVNDAVPDVESA* >Brasy3G245400.1.p pacid=40041455 transcript=Brasy3G245400.1 locus=Brasy3G245400 ID=Brasy3G245400.1.v1.1 annot-version=v1.1 MPANTPPNKNRTRVPTSSLSPPFLTYRDEAAQLELMHAGDLSLSLSPPDRSSLAPHLHLLSLSLSHLPDGRLAFILPNDDPTTSSCSVATARAAICVYLNATVPLADLWCQFTAADARFAEVAARLGGGGARVLRQDPVKCLFQFLCSSNNSIARIEKMLWTMASYGERLGEVGGFVFHRFPTIERLAQVLEQEAGFGYRSARVLYLLLPRRGRTARGRQCVQAPGARQAAAAAGGLGACWRWPWSFLLRLVDACKVFVKMSQAIFFVIKDNDLELSSKTQKPKNEASP* >Brasy3G347700.1.p pacid=40041456 transcript=Brasy3G347700.1 locus=Brasy3G347700 ID=Brasy3G347700.1.v1.1 annot-version=v1.1 MEKAPAAKEKERSLSALMRVKLKKTVGKKAPAVGPKKATARVARGRTQRLSSPTSSHSGSDAGVLLLLARSASGSRTGGAVASATAMEQEAHEDSIEQEGKEENNYEPSEEDMPMDEEYSDDIMSEGDPFGLSPGTDEVEQQTEVPGPGPGGSVHCHTLLYNLMVLREKVQLLHPLVGLAIHDRGDADPAVAADAGAIVQEIFAASSSMMYAFQHLCGTAGASTTSAAVTNAALQAQDSIVAAAARSARNNAAGTAAAAAGCSDHQLQATEDHEADMQQHSGFYDDDGTIGKPPPPEAAPAAAQQEAAMAAAQQEAAAPGTGTSRTATTIIELDAAELLAKYTDYCQVCGKGFKREANLRMHMRAHGDQYKSKAALVSPLSMPSSSPASNSSKYSCPQEGCRRNMRHARFTPLSSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGHSRWLCSCGTTFSRKDKLAGHVSTFAGHHSVAAAAGDQRTIDRSSSASSDQLLGNCTNNGGAGASIT* >Brasy3G175600.1.p pacid=40041457 transcript=Brasy3G175600.1 locus=Brasy3G175600 ID=Brasy3G175600.1.v1.1 annot-version=v1.1 MGSSSPAVPDPALALGLRHPGALARRIAMARGAAVAPALRPWLADAVPLVVVVLIAAHVLALGYWIYRLATDGSKLPGRSKKH* >Brasy3G175600.2.p pacid=40041458 transcript=Brasy3G175600.2 locus=Brasy3G175600 ID=Brasy3G175600.2.v1.1 annot-version=v1.1 MGSSSPAVPDPALALGLRHPGALARRIAMARGAAVAPALRPWLADAVPLVVVVLIAAHVLALGYWIYRLATDGSKLPGRSKKH* >Brasy3G091100.1.p pacid=40041459 transcript=Brasy3G091100.1 locus=Brasy3G091100 ID=Brasy3G091100.1.v1.1 annot-version=v1.1 MARLLAQTLARPTPASGGSPVCLLPPIRGLANKVEFIEIDLAADDDASAPGATAAAAGSPAVEVVGIRRLEEAIHGVMVRRATPDWLPFVPNGSFWVPPLRRPHGVAELVGRIAASGIGGSGDVAYEPEPFVHMTEEEELSLSTARGWPSAAYFVEGKSPHSKKRSRKDAAQTDDEES* >Brasy3G091100.2.p pacid=40041460 transcript=Brasy3G091100.2 locus=Brasy3G091100 ID=Brasy3G091100.2.v1.1 annot-version=v1.1 MARLLAQTLARPTPASGGSPVCLLPPIRGLANKVEFIEIDLAADDDASAPGATAAAAGSPAVEVVGIRRLEEAIHGVMVRRATPDWLPFVPNGSFWVPPLRRPHGVAELVGRIAASGIGGSGDVAYEPEPFVHMTEEEELSLSTARGWPSAAYFVEGKSPHSKKRSRKDAAQTDDEES* >Brasy3G091100.3.p pacid=40041461 transcript=Brasy3G091100.3 locus=Brasy3G091100 ID=Brasy3G091100.3.v1.1 annot-version=v1.1 MARLLAQTLARPTPASGGSPVCLLPPIRGLANKVEFIEIDLAADDDASAPGATAAAAGSPAVEVVGIRRLEEAIHGVMVRRATPDWLPFVPNGSFWVPPLRRPHGVAELVGRIAASGIGGSGDVAYEPEPFVHMTEEEELSLSTARGWPSAAYFVEGKSPHSKKRSRKDAAQTDDEES* >Brasy3G000900.1.p pacid=40041462 transcript=Brasy3G000900.1 locus=Brasy3G000900 ID=Brasy3G000900.1.v1.1 annot-version=v1.1 MDPKLTEVTQLFARFKAAYSRNDLDVCANLLSQLKVLLTKFPSLPPSFQQTPNAVEELKLARDIYEHAVVLSVKMEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPAKALDNLCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDYLSISDAKQMFMFSSDQELQQYITEEHPEWDVKNGSVFFQKAKESQPCKEIPAAPVINQTLGYARELERIV* >Brasy3G096600.1.p pacid=40041463 transcript=Brasy3G096600.1 locus=Brasy3G096600 ID=Brasy3G096600.1.v1.1 annot-version=v1.1 MAAEAELASGGDMVVVRLPSISEEDPLHHDKKRILESANLTCLFQVPISSSTADACRLLDQMIHAARVAHMDELELYFAGDDDYGPFSARNELESLNLLLKIMNTLLVTASDGAKGVLQVLEDEIVVRLRSVGLTDNNQMVVQEQNHDTEDSLLKWGEDHGVKSKLQIAFFQGAGRGMVASENIGVGDIALEIPESLIISEELLCQSDMFLALKDLNSITTETMLLLWSMRERHNPSSNFKMFFETLPSNFNTGLNFGIDALAALEGTLLFYELMQARQHLHQQYDELFPMLCTKFPEIFTQDIYTWDNFLWACELWYSNSMMVVLSSGKLTTCLIPVAGLLNHSVYPHILNYGRVDQATKSLKFPLSRPCEAGQQCFLSYGKHSGSHLITFYGFLPREDNPYDVVPLDLDMSVDEEDGTAQSVSSTVTAHMVRGTWLSRSGGPPTYGLPQRLMSHLRTVLNCGHNESIPKADIKENDRMVLETLITIFSPMLEGLGEPDDYNRESASWDLKLALAYKDLKRRIISSIVTSCTSGLAMLDS* >Brasy3G096600.2.p pacid=40041464 transcript=Brasy3G096600.2 locus=Brasy3G096600 ID=Brasy3G096600.2.v1.1 annot-version=v1.1 MTRRLLDQMIHAARVAHMDELELYFAGDDDYGPFSARNELESLNLLLKIMNTLLVTASDGAKGVLQVLEDEIVVRLRSVGLTDNNQMVVQEQNHDTEDSLLKWGEDHGVKSKLQIAFFQGAGRGMVASENIGVGDIALEIPESLIISEELLCQSDMFLALKDLNSITTETMLLLWSMRERHNPSSNFKMFFETLPSNFNTGLNFGIDALAALEGTLLFYELMQARQHLHQQYDELFPMLCTKFPEIFTQDIYTWDNFLWACELWYSNSMMVVLSSGKLTTCLIPVAGLLNHSVYPHILNYGRVDQATKSLKFPLSRPCEAGQQCFLSYGKHSGSHLITFYGFLPREDNPYDVVPLDLDMSVDEEDGTAQSVSSTVTAHMVRGTWLSRSGGPPTYGLPQRLMSHLRTVLNCGHNESIPKADIKENDRMVLETLITIFSPMLEGLGEPDDYNRESASWDLKLALAYKDLKRRIISSIVTSCTSGLAMLDS* >Brasy3G289500.1.p pacid=40041465 transcript=Brasy3G289500.1 locus=Brasy3G289500 ID=Brasy3G289500.1.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVESKNCLVVEDSVIGLQAAKGAGMSCIVTYTPSTANQDFKDAIATYPDLSNVRFEDLRLLLQESVVIG* >Brasy3G289500.4.p pacid=40041466 transcript=Brasy3G289500.4 locus=Brasy3G289500 ID=Brasy3G289500.4.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVESKNCLVVEDSVIGLQAAKGAGMSCIVTYTPSTANQDFKDAIATYPDLSNVRFEDLRLLLQESVVIG* >Brasy3G289500.5.p pacid=40041467 transcript=Brasy3G289500.5 locus=Brasy3G289500 ID=Brasy3G289500.5.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVESKNCLVVEDSVIGLQAAKGAGMSCIVTYTPSTANQV* >Brasy3G289500.6.p pacid=40041468 transcript=Brasy3G289500.6 locus=Brasy3G289500 ID=Brasy3G289500.6.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVESKNCLVVEDSVIGLQAAKGAGMSCIVTYTPSTANQV* >Brasy3G289500.2.p pacid=40041469 transcript=Brasy3G289500.2 locus=Brasy3G289500 ID=Brasy3G289500.2.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGLRTSGYCSKNLSSLDSAVSCFPEEIH* >Brasy3G289500.3.p pacid=40041470 transcript=Brasy3G289500.3 locus=Brasy3G289500 ID=Brasy3G289500.3.v1.1 annot-version=v1.1 MASTSLLIPVSFPTAAAAARHSFSSHRSPSGALPGLRLRPRRASGLVVSASAASPPLSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSTIFETPPSSDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVVLCLENLIGLERFNGLDCFLAGLRTSGYCSKNLSSLDSAVSCFPEEIH* >Brasy3G346400.1.p pacid=40041471 transcript=Brasy3G346400.1 locus=Brasy3G346400 ID=Brasy3G346400.1.v1.1 annot-version=v1.1 MRRLPAAPPRPALSPAAPSLGIRQQYHGRVALARTAPPVVCCSCFLPNRTPNHHGPEPFEPLRSARSADRGARGPAMGARAGPAAASAGGEASPPSPSRSPLAVLVELWRRTVQPLGDYGFGKRGVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYSAVFEFSQACGICVGTPLRIRGVTVGSVVRVDSSLRSIDAHVEIEDDKIIVPRNSLVEVNQSGLLMETMIDVTPKDPLPTPSVGPLDTDCSKEGLILCDKERMKGQEGVSLDAMVGIFTRLGRDMEEIGVHRSYMLAEKVASIMQEAQPLLSRIEALAEEVQPLLSEVRDSDLVKDVETIAKGLADASGDLRRLKSSMLTPENSDLIKQSIFTLIFTLKNIESISSDISGFTGDEATRRNIKLLIKSLSRLL* >Brasy3G152200.1.p pacid=40041472 transcript=Brasy3G152200.1 locus=Brasy3G152200 ID=Brasy3G152200.1.v1.1 annot-version=v1.1 MAVEEITEGVRALAVQDGEAAAPGGAAEGQQRRGTNSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGLAVEKKIRTSTVEINDESRGRPFQKAKIEIELGKSETFDELMAAAAEDREAEEGEEHA* >Brasy3G062800.1.p pacid=40041473 transcript=Brasy3G062800.1 locus=Brasy3G062800 ID=Brasy3G062800.1.v1.1 annot-version=v1.1 MSSNPDGGKSRARTELLIDIQNAVQECWEENKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHGFSLSKLEFGAAYHRLRGANILLPFAFHCTGMPIKASADKLAREIQQYGNPPVFPVAEEASSAEVAEADLVVVMPDKFKSKKSKAAAKSGIQKSQWEIMKSFHLSDEEITKFQDPYHWMNYFPLLAKDHLKDFGLGCDWRRSFVTTDMNPFYDAFVRWQMRKLKKLGKIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVVSPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPGGNYGAFEINDNDVFIMTARAALNLAYQHLSRFPEKPTCLAELSGSDLIGLRLKSPLAFNETIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLITKPALRAKYGLKDEWVLPFKIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLTEAKRMIYLKGFTDGTMIVGEFSGRKVQEAKPLIRKKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQKALQCLEHMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHFLQNGDMYGKEISSVTPEQMTDEVWDYVFCDGPAPKSDIPSALLCKMKHEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLKQAILRYSSDATRFALADAGDGMDDANFVTETAESAVLRLTKELAWMEEVISAESSLRCGPPSTFADRVFANEINIAVKETEKSYDGFMFRDALKSGFYDLQLARDEYRLSCRTAGMNRDLVWRFMDVQTRLITPICPHYGEHVWRKILKKEGFAIKAGWPIAGSPDPTLRFANKYLQDSIILMRKLIQTRESGSKKPKKGAAPPPSEGNKLTVGLIYVHEQYYGWKEQCLKVLKSKFDSQACSFAPEEEINEALKNCFIGQEADFRQVQKQCMPFIKLKKVEARNVGPHALNLKLPFGEMDVLEQNSELIKRQLGLEHLEVLSASDESALAKAGSHVSVLKNTPPSPGEPVAVFMTRQEFEAQH* >Brasy3G292800.1.p pacid=40041474 transcript=Brasy3G292800.1 locus=Brasy3G292800 ID=Brasy3G292800.1.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPANANKPATDDGTPDLAAKDISEEQKPSHRRQESSASMLDKGPSSIDSDCGVLDVPLMATSRGGSGELKEIQSLDCSGNPAKKSSQKSSTSETFASAKVSDGTDSLRKTSISAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAISSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPACVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKAVPPAGQKGSDNYLEFEFF* >Brasy3G292800.4.p pacid=40041475 transcript=Brasy3G292800.4 locus=Brasy3G292800 ID=Brasy3G292800.4.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPANANKPATDDGTPDLAAKDISEEQKPSHRRQESSASMLDKGPSSIDSDCGVLDVPLMATSRGGSGELKEIQSLDCSGNPAKKSSQKSSTSETFASAKVSDGTDSLRKTSISAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAISSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPACVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKAVPPAGQKGSDNYLEFEFF* >Brasy3G292800.3.p pacid=40041476 transcript=Brasy3G292800.3 locus=Brasy3G292800 ID=Brasy3G292800.3.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPANANKPATDDGTPDLAAKDISEEQKPSHRRQESSASMLDKGPSSIDSDCGVLDVPLMATSRGGSGELKEIQSLDCSGNPAKKSSQKSSTSETFASAKVSDGTDSLRKTSISAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAISSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPACVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKAVPPAGQKGSDNYLEFEFF* >Brasy3G292800.2.p pacid=40041477 transcript=Brasy3G292800.2 locus=Brasy3G292800 ID=Brasy3G292800.2.v1.1 annot-version=v1.1 MSVEVEKQNVPGHQAKLPADHTADPVPANANKPATDDGTPDLAAKDISEEQKPSHRRQESSASMLDKGPSSIDSDCGVLDVPLMATSRGGSGELKEIQSLDCSGNPAKKSSQKSSTSETFASAKVSDGTDSLRKTSISAKMNDRADLTESGKSSMCRPSTSSNVSDESSCSAISSGTTKPHKGSDSRWESIRVIRARDGILGLSHFRLLKKLGSGDIGSVYLSELNGTKSYFAMKVMDKGSLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPSIVKSANPGPDALQRNNQAYCVQPACVQPSCIQPSCVAPTTCFGPRLFFSKSKSKKEKKSKPETGNQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFSGRDLIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCATPPDVPKPVELERATKPAPSSEKAVPPAGQKGSDNYLEFEFF* >Brasy3G257400.1.p pacid=40041478 transcript=Brasy3G257400.1 locus=Brasy3G257400 ID=Brasy3G257400.1.v1.1 annot-version=v1.1 MEKEILRIDPHSVRSICLPSPSILRIDPHRWRCRPPFSRRGHLPTTPCVGLSSRRRKLLPLPTPEKPDPDTVARHRARAAAPPAAHRRLYNHLQSHSHAAATSRTAVAPPTLRPTRPSTIAPAAGHPAPAAPCPAAHRRRDPGPRPRRLLHAPNQPPQASPPAPRPPPLLQFLRQAPTHTHGACDDGRDAIMLPKSQDFNQSPFKDYNFSFDAKV* >Brasy3G206400.1.p pacid=40041479 transcript=Brasy3G206400.1 locus=Brasy3G206400 ID=Brasy3G206400.1.v1.1 annot-version=v1.1 MSASPEFYKPAPVFSPYSSPLLLHGDAAVAAGAGGGGAADQGTAWEEQCCRTPTGAGTGLGPAAGTCPPAPRKPRAPAAPCRKRLFEVEVFSLRLEELERLFWRPQPQRTPPPDKKTAKRRRVAPPPPPPQPEPSKRSKS* >Brasy3G235000.1.p pacid=40041480 transcript=Brasy3G235000.1 locus=Brasy3G235000 ID=Brasy3G235000.1.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPESDVARIHPVDRTVKINSLG* >Brasy3G339300.1.p pacid=40041481 transcript=Brasy3G339300.1 locus=Brasy3G339300 ID=Brasy3G339300.1.v1.1 annot-version=v1.1 MASHLRSVSVPSSPCSRETNIEGQLQSLNTAISSPSSTIETVCDGLRRLGGIYDCIDELTSLPSSQVLLCKQQQRIAVEQELERSLVLLDLCDAVQVSFSELKASVQDMQLVIKRGDAAALEAKIQSWFRLTKKAQKQIKKISKKSSPTDLESCRVVNLLAEARDAAVTMIESSTELLSKQIEMPNSAKWSLVSKAFQKKRVTCKDEQLQVLELDIIDLESGIETLFRRLIQSRVSLLNTLSL* >Brasy3G191800.1.p pacid=40041482 transcript=Brasy3G191800.1 locus=Brasy3G191800 ID=Brasy3G191800.1.v1.1 annot-version=v1.1 MHMKQQQLLQRKVSGAGGGGAMAGTVAPKGCVTVRVGAEGEEQRRFAVPLGHLKHPLFGELLEEAEREYGFRHQGAIAIPCRVDRFVHVEHLIDRDLGPAAHQHLVDLDNYCAAASSAGHGHHGGHSHLHLPRFVGCFRA* >Brasy3G057700.1.p pacid=40041483 transcript=Brasy3G057700.1 locus=Brasy3G057700 ID=Brasy3G057700.1.v1.1 annot-version=v1.1 MAITKALFLITVASASLFSMALGASYTVGGPAGSWDLKTNYTQWASARRFSPGDSLRFQYPAATHNVLEVTKAAYDTCDTSVSSSGNGSAVIATYQTGNDVIPLAASGVTRYFVCGVAGHCAAGMRLKVNVGAQPPVRCRGRGRGARRIRCTRPARAAPSASSAIGGGADLSGLWLAAVVAAGYLILCF* >Brasy3G322000.1.p pacid=40041484 transcript=Brasy3G322000.1 locus=Brasy3G322000 ID=Brasy3G322000.1.v1.1 annot-version=v1.1 MSVARVLALFLVSAASLALSPARAAGGACAAEKFSNNRVYAACADLPTLGASVHWTYDAAASSLSVAFVAAPPSAGGWVAWGLNPTGQGMAGTQALVAAPTAGGGGAYGVQTYAISGYSLGSPAPIAFKTSALAAEAGADGRVRMFGTLALGNSTGQEVNQVWQVGSVSGGSIGVHALAAANTGAKGKLNLVTGASTASGGGGSVLRKKNTHGILNAVSWGILLPMGAIVARYIKTFKSADPAWFYLHVACQLIGYGVGVAGWATGIHLGNLSKGITYSLHRNIGIAVFALGTLQIFALFLRPKKEHKFRVYWNVYHHSVGYTILILGIVNIFKGMNILSVEQKWKTAYIIAIGILGGIAVALEVITWSIVLKRRKTEQDKAYNGGASNGHLPLSM* >Brasy3G095400.1.p pacid=40041485 transcript=Brasy3G095400.1 locus=Brasy3G095400 ID=Brasy3G095400.1.v1.1 annot-version=v1.1 MPASRPVLVLCRRAPATLADRFRLLDFHASFLPIDAFLAAAAASAEPPRAAVVLGAGSVRIDAMLLDAVPSLRCIVSVSAGLNQIDLPECARRGVAVANAAGIYSADVADYAVVLLLDVLRGISSGDRFIRRGLWPEDQPGGGSSLLLPLGSRLRGKRVGIVGLGSIGSAIARRLGALGCVVSYTSRGRKPSSPYGFFPTAGDLAAHSDVLVVSCALTAETRRIVDNRAVLDALGEGGVVVNVARGANVDEEELVSALAEGRIAGAGLDVFEDEPSVPEELVAMENVVLTPHKAVFTPESMADLDRLVVANLEAFFAGAPLLTPVHVFH* >Brasy3G078400.1.p pacid=40041486 transcript=Brasy3G078400.1 locus=Brasy3G078400 ID=Brasy3G078400.1.v1.1 annot-version=v1.1 MAHRVHADPVCARLLPWRIVVLLLALLAFSCFALTRFPAATAPIAALIAASSSSASAAAGGSGRESCAGFYRGEGGRRAASASVEEFGAVGDGVTSNTAAFRRAVAALKQRAAAAGGGARLEVPPGRWLTGSFNLTSRFTLFLHHGAVILGSQKIGIFSPYSCLIGRWLRRLCCHLTVTIEKRKVGRDAVVGLESCTHAWMDPEEWPLIAPLPSYGRGRERLGPRHISLIHGENLNDVVITGSNGTVDGQGRMWWELWWNRTLNHTRGHLIELVNSTNVMISNVTLRNSPFWTVHPVYCRNVLIKDLTILAPLNAPNTDGIDPDSSSDVCIEDCYIESGDDLVAIKSGWDQYGISMNKPSTNIIIQRVSGTTPTCSGVGIGSEMSGGVSGVLIQDLHVWNSASAVRIKTDVGRGGYVTNVTIANVTMERVKVPIRFSRGSDDHSDDKYDRTALPTISDVRIVDIVGVDVQWAPILEAVHGAVYEGICFRNVSLRLREEVMRRQAGWQCESVYGEAHGVFPEACEELRHNRSSSWCGLS* >Brasy3G078400.2.p pacid=40041487 transcript=Brasy3G078400.2 locus=Brasy3G078400 ID=Brasy3G078400.2.v1.1 annot-version=v1.1 MAHRVHADPVCARLLPWRIVVLLLALLAFSCFALTRFPAATAPIAALIAASSSSASAAAGGSGRESCAGFYRGEGGRRAASASVEEFGAVGDGVTSNTAAFRRAVAALKQRAAAAGGGARLEVPPGRWLTGSFNLTSRFTLFLHHGAVILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGENLNDVVITGSNGTVDGQGRMWWELWWNRTLNHTRGHLIELVNSTNVMISNVTLRNSPFWTVHPVYCRNVLIKDLTILAPLNAPNTDGIDPDSSSDVCIEDCYIESGDDLVAIKSGWDQYGISMNKPSTNIIIQRVSGTTPTCSGVGIGSEMSGGVSGVLIQDLHVWNSASAVRIKTDVGRGGYVTNVTIANVTMERVKVPIRFSRGSDDHSDDKYDRTALPTISDVRIVDIVGVDVQWAPILEAVHGAVYEGICFRNVSLRLREEVMRRQAGWQCESVYGEAHGVFPEACEELRHNRSSSWCGLS* >Brasy3G178000.1.p pacid=40041488 transcript=Brasy3G178000.1 locus=Brasy3G178000 ID=Brasy3G178000.1.v1.1 annot-version=v1.1 MEQTRMDPDARQLNCRVVVWAAGCGAAVARSGYRSHAHVWCIWKQYKVVFERETATAEKILQAISTEGSCWRSARLFRGSMFSVFGDSLVDWREAAG* >Brasy3G116000.1.p pacid=40041489 transcript=Brasy3G116000.1 locus=Brasy3G116000 ID=Brasy3G116000.1.v1.1 annot-version=v1.1 MPELPEVEAARLALEEHCVGKRIVRCSAADDTKVIDGIAPSQLEAALVGRTIAAARRKGKNLWLVLDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKYSKLFVELDGGLEFSFTDKRRFAKIRLLDNPEAIPPISELGPDAQFDPMQLEDFVQSLGRKNVPIKSLLLDQSIMSGIGNWIADEVLYQARIHPMQTASKISKEKYKVLHRCIKEVIEKSVEVGADSSEFPQNWIFHSREKKPGKAFVDGKKIDFITVGGRTSAYVPELQTLDGVDAVAENRCKRSKEKVEKNDDTTSKKGPRAPKENKKTAKAMTVDDEEEDNPAKQRAKAAEPTKRGRKPMQAAKASSNNAVSSSTHDNEADEYQDDVEDSKPAKRGRKQRAKGSSNKDDCSPDTGNDGKVEAKPGKRRGQKQPAAEVKSLPDNEETAVPAKRPQRKKR* >Brasy3G116000.2.p pacid=40041490 transcript=Brasy3G116000.2 locus=Brasy3G116000 ID=Brasy3G116000.2.v1.1 annot-version=v1.1 MPELPEVEAARLALEEHCVGKRIVRCSAADDTKVIDGIAPSQLEAALVGRTIAAARRKGKNLWLVLDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKYSKLFVELDGGLEFSFTDKRRFAKIRLLDNPEAIPPISELGPDAQFDPMQLEDFVQSLGRKNVPIKSLLLDQSIMSGIGNWIADEVLYQARIHPMQTASKISKEKYKVIEKSVEVGADSSEFPQNWIFHSREKKPGKAFVDGKKIDFITVGGRTSAYVPELQTLDGVDAVAENRCKRSKEKVEKNDDTTSKKGPRAPKENKKTAKAMTVDDEEEDNPAKQRAKAAEPTKRGRKPMQAAKASSNNAVSSSTHDNEADEYQDDVEDSKPAKRGRKQRAKGSSNKDDCSPDTGNDGKVEAKPGKRRGQKQPAAEVKSLPDNEETAVPAKRPQRKKR* >Brasy3G328500.1.p pacid=40041491 transcript=Brasy3G328500.1 locus=Brasy3G328500 ID=Brasy3G328500.1.v1.1 annot-version=v1.1 MAPPHEEEERSGGGGGGCCLVRWMRREVLVALALGQLVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGGILLYRRQPLTTKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGVCVASLILVVFSDVHASDRSKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKNNNRIELMAMLGVFGAVISGIQISILEREELHSIKWNAGAVLPFLGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAGGLLIYSYKSSKEAQVAGAGDEEAGVRNLASDSGASNDGLISDKGLLSNVATSSK* >Brasy3G153500.1.p pacid=40041492 transcript=Brasy3G153500.1 locus=Brasy3G153500 ID=Brasy3G153500.1.v1.1 annot-version=v1.1 PNRRDLPPPERIALFLAHFRSARSPRPPPAAGWLGFGGRSRITAAILISAASKFASPTHPSPTPTLPPTPSESPQRVLLRLGRRRPPPPSLRATTHSMLPAFLLCARCFSRGGPPTLLLLGIVADEKLNTEVKQPRSVACVPQLGRTSTVTLHATSTSMVLSFS* >Brasy3G340800.1.p pacid=40041493 transcript=Brasy3G340800.1 locus=Brasy3G340800 ID=Brasy3G340800.1.v1.1 annot-version=v1.1 MKMDWESPYEEELVVHNPCLQSASGFWCYMCHTDLLLRKVYHAVGSEWEHEGCYWSGFDELQLSSMPNPLRDAIACMVLYEIGETMGYDGEEGKVNWEKMASKDILFNDSHIHSNGFCPKYSPMEDTSWRGSKLLGTARGALVHAWDPGNVKKFNGKMYTLQDVVDHQRVKLPSCP* >Brasy3G297800.1.p pacid=40041494 transcript=Brasy3G297800.1 locus=Brasy3G297800 ID=Brasy3G297800.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAAAQAQAQALAAAQEIAKAAAAAGVNIDAAGLVTDLNKQTQEKSTAPTAVKGRGKRARNRYANIHIWLEPLLPDRHWLEMEMAIDEESSRSTARCGELSFCRPPPGSGSQSASPCRPRAVSDSDNDSTTFLAGDERR* >Brasy3G131100.1.p pacid=40041495 transcript=Brasy3G131100.1 locus=Brasy3G131100 ID=Brasy3G131100.1.v1.1 annot-version=v1.1 MAGVEGKEELGIILMQAKCASHNLRHNRDRLLRLQLQLQAPAPCEDDGAAAAARREKLEEVISGLVDVYFTGIEAGARYLASCLTMAAENGARLALNPVFALMPDDKLFDALLAQKLPARPTTQIEAFSRIETAFYAVKLPQEHLLPRCIKHLDNPTHKVSAKTGRRRHGRAPATATGASNDPPQDQDSGTIALPAPAAAVEEPPRDTSGDMEQARAYLDRACTVVNLAVKHVDLAVMVISSFLDPKDVARFSDMADGGAFLG* >Brasy3G242100.1.p pacid=40041496 transcript=Brasy3G242100.1 locus=Brasy3G242100 ID=Brasy3G242100.1.v1.1 annot-version=v1.1 MTTPKSQNPVLPSQAPNGREGRFRPPSTAYRQFQNRRATPPNPQFPSDPALDPAPNPRRRRTARPIQPRPSPERTTSGTMRRDDAAAAGGGGFYELFDSVRRSISFRPGAALEEPASSSSGSPGGAGGGFRERVSTRLLKSRGMGLLGMASKSPSPKRRLLPPAPSQSQSPQPQPQASASASPERRDGGKGLLAAEDNHPPIRWRKGDLIGSGAFGQVYLGMDLDSGELLAVKQVLIGSSNSTRDKAQAHIRELEDEVKLLKNLSHLNIVRYIGTVREEDSLNILLEFVPGGSIQSLLGRLGAFPEPVIRKYTKQILHGLEYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVEKLATAAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSSASNLLQHPFVTGGSDDLRQLDHAVQKETSTNKLCENDMPTGVMGLNHSANICPLNSYKSSDTKPLWDGNDDMYQLGDKEDDFMMIGSSFNPVSEPFDGWESLEQRSTQSREFNGLVNHAESNMSENDFAFPCEAISEEDDEVTESKIKDFLDEKAIDMKKLQSPLYEFYNTVNAGFSHGVSDVCGASNRTSPQLLPPLGMSPTIKMDGGAAAEPICDNLNASPKICTRRFSRSSMENGRILREIASPQLNKFDDKVQVQDNPSISSERERKWKEELYQELEINRVMRSSGYGKAPSPKSRGLTRKRDRNPVY* >Brasy3G204200.1.p pacid=40041497 transcript=Brasy3G204200.1 locus=Brasy3G204200 ID=Brasy3G204200.1.v1.1 annot-version=v1.1 MSLAGSGSGTGSGAAATWTPAFCTIVEADTLDFSYLACPACGVRAPRDTSSCGAWPASSPRAAADAMRREMCRKALREPANGKGGEHLPAVSVVPLIRGGFRLVVDALRTLYSRR* >Brasy3G101100.1.p pacid=40041498 transcript=Brasy3G101100.1 locus=Brasy3G101100 ID=Brasy3G101100.1.v1.1 annot-version=v1.1 MLSLYPRLSAASSTRRDGAAADEDYDDDSVEAGSYRYRALYGIGVVCVAIFLFFVLAATVSVLKALAFAGTVALFLLVLGCLAPRTTWVRRPPPRRRPANAVLALTGHGLRRDVPGVPGGGARRRDGACGHVFHVGCIDMWLHSHRTCPICRCVLSPPPTTTVADKASLEAPGSSSEDEALPPV* >Brasy3G040300.1.p pacid=40041499 transcript=Brasy3G040300.1 locus=Brasy3G040300 ID=Brasy3G040300.1.v1.1 annot-version=v1.1 MEEEIDTPRDYCSKPCGPLEEYRIPDYILKPDAEQVIADHAPQCPVIVFINSKSGGQLGSSLIKTYRELLNEAQVIDLSEEAPDKVLHRLYVNVERLKMEGDILAVQIWRTMKLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKLFLGLVKHAKEIKIDSWHLILRMKAPKEGPCEPIAPLELPHSLHAFHRVSNSDSLNVEGHHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKHQLTNQGTYAKLGLKQGWFCASISHPSSRNIPQFAKIKVMKRAGGHWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTRRVEDREFTAPYVDDGLLEVVGFRDAWHGLVLLAPNGHGHRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTIVVEISHLGQVTMLANEPCKSKSFSDPLSSHGQDGHQHDHDEEDDDEWEDGKRKFGAADTFKLPDEIDIAHLS* >Brasy3G040300.3.p pacid=40041500 transcript=Brasy3G040300.3 locus=Brasy3G040300 ID=Brasy3G040300.3.v1.1 annot-version=v1.1 MEEEIDTPRDYCSKPCGPLEEYRIPDYILKPDAEQVIADHAPQCPVIVFINSKSGGQLGSSLIKTYRELLNEAQVIDLSEEAPDKVLHRLYVNVERLKMEGDILAVQIWRTMKLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKLFLGLVKHAKEIKIDSWHLILRMKAPKEGPCEPIAPLELPHSLHAFHRVSNSDSLNVEGHHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKHQLTNQGTYAKLGLKQGWFCASISHPSSRNIPQFAKIKVMKRAGGHWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTRRVEDREFTAPYVDDGLLEVVGFRDAWHGLVLLAPNGHGHRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTIVVEISHLGQVTMLANEPCKSKSFSDPLSSHGQDGHQHDHDEEDDDEWEDGKRKFGAADTFKLPDEIDIAHLS* >Brasy3G040300.2.p pacid=40041501 transcript=Brasy3G040300.2 locus=Brasy3G040300 ID=Brasy3G040300.2.v1.1 annot-version=v1.1 MEEEIDTPRDYCSKPCGPLEEYRIPDYILKPDAEQVIADHAPQCPVIVFINSKSGGQLGSSLIKTYRELLNEAQVIDLSEEAPDKVLHRLYVNVERLKMEGDILAVQIWRTMKLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKLFLGLVKHAKEIKIDSWHLILRMKAPKEGPCEPIAPLELPHSLHAFHRVSNSDSLNVEGHHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKHQLTNQGTYAKLGLKQGWFCASISHPSSRNIPQFAKIKVMKRAGGHWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTRRVEDREFTAPYVDDGLLEVVGFRDAWHGLVLLAPNGHGHRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTIVVEISHLGQVTMLANEPCKSKSFSDPLSSHGQDGHQHDHDEEDDDEWEDGKRKFGAADTFKLPDEIDIAHLS* >Brasy3G303700.1.p pacid=40041502 transcript=Brasy3G303700.1 locus=Brasy3G303700 ID=Brasy3G303700.1.v1.1 annot-version=v1.1 MGAKENGEVRDEKGAGPDYEPARVSRSSQTEAHGNEDNRVKRVSGLPKKLVKKETKESSPRMAKSNTGRQVQNKLQHKASNDTQNRSPKPRKAVNAAKAIEVRRPDIVKIPSRSPSEFSEETDDIISEAGTTDDRGNEEAKEMDVLDEAPHCDQSTGTDDDIVDIEEKIIDDEKSVLHQRNEELQSKLEKLEQELREVAALEVSLYSVMPEHGSSAHKLHTPARRLSRLYIHASKFWPIDKTASVAKNTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAKSFGISRQSTPTMKTNNINDSAKWFDGKSMPMLRNSSSNSNGKQTNLAAIHILDDWQETGTLLAALEKIESWIFSRIVETVWWQALTPHMQTRAEGSSTPKAGKVLGPSLGDQQQGTFSVNLWKAAFHDAFSRICPLRAGGHECGCLPVLAKLVMEQCIARLDVAIFNAILRESASEIPTDPISDPIVDPKVLPIPAGELSFGSGAQLKNSIGNWSRWLTDNLGIDDDDSEDECLDIGNGNDERSGAAEAKSFRLLNELSDLLMLPKDMLSEKSIRKEICPSVGLPLVTRILCNFTPDEFCPDPVPGIVLEELNSESLLERSIGKDATSAFPCIAAPVTYRPPSQLDVAEKVSDTGGGAKLDRRGSMVQRRGYTSDDDLDDLDSPLASLFDKSTPASPSNGVTPFTAQPRGASMENARYTLLREVWSERQ* >Brasy3G303700.2.p pacid=40041503 transcript=Brasy3G303700.2 locus=Brasy3G303700 ID=Brasy3G303700.2.v1.1 annot-version=v1.1 MGAKENGEVRDEKGAGPDYEPARVSRSSQTEAHGNEDNRVKRVSGLPKKLVKKETKESSPRMAKSNTGRQVQNKLQHKASNDTQNRSPKPRKAVNAAKAIEVRRPDIVKIPSRSPSEFSEETDDIISEAGTTDDRGNEEAKEMDVLDEAPHCDQSTGTDDDIVDIEEKIIDDEKSVLHQRNEELQSKLEKLEQELREVAALEVSLYSVMPEHGSSAHKLHTPARRLSRLYIHASKFWPIDKTASVAKNTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAKSFGISRQSTPTMKTNNINDSAKWFDGKSMPMLRNSSSNSNGKQTNLAAIHILDDWQETGTLLAALEKIESWIFSRIVETVWWQALTPHMQTRAEGSSTPKAGKVLGPSLGDQQQGTFSVNLWKAAFHDAFSRICPLRAGGHECGCLPVLAKLVMEQCIARLDVAIFNAILRESASEIPTDPISDPIVDPKVLPIPAGELSFGSGAQLKNSIGNWSRWLTDNLGIDDDDSEDECLDIGNGNDERSGAAEAKSFRLLNELSDLLMLPKDMLSEKSIRKEICPSVGLPLVTRILCNFTPDEFCPDPVPGIVLEELNSESLLERSIGKDATSAFPCIAAPVTYRPPSQLDVAEKVSDTGGGAKLDRRGSMVQRRGYTSDDDLDDLDSPLASLFDKSTPASPSNGVTPFTAQPRGASMENARYTLLREVWSERQ* >Brasy3G158600.1.p pacid=40041504 transcript=Brasy3G158600.1 locus=Brasy3G158600 ID=Brasy3G158600.1.v1.1 annot-version=v1.1 MSQEVPPSMPVPGDEHDEYEGGVDGPVRYGDVFAVRGELAGASIAPQDAATMQAAESAVLGRTPKGGPASVMQSAAARNVRAGAVAHGEATGAAAEHGVTVSETRVPGGRIFTEFVAGQHVGQEFVAASAADEAASVADGTKITMGEALEATAFSAGDEPVEASDAAAIESAEARVNGAAPAAGSLAAGARAAADANAVAEREEDKTRLRDVLADATVKLGADKEVEREDAARVVGAEVRSKPDATARPGGVGASVAAAARLNTTRL* >Brasy3G244800.1.p pacid=40041505 transcript=Brasy3G244800.1 locus=Brasy3G244800 ID=Brasy3G244800.1.v1.1 annot-version=v1.1 MLPTTATASTPPPAPAPAPAPGEPHAALFLALGYMRLPELLACWRVCRLLGEAVAGDPLLWRRVTVEPPLSSRLTDDALLKLTARAEGTLRSLHLLGCSLVSDAGLLRVVERNPSVTELYVPKCTGLTGDGVVKMVQLLDEQNGNTNRLRLHGISRMTKHHLDIINSLMCKRNPQIQEGGCPLFYNHRVHEMLNTNDERPIDVDVCPVCKNVRLVFDCTRDDCRKAEYSWCRRWWCRGCLFCLARCENCGGCISSEDLSEACLACSDFMCLACWLKFPKCSTCNRPYCGRHEHLMVPLSLPGQFSCHHCMELGSSLEIQEEGY* >Brasy3G283500.1.p pacid=40041506 transcript=Brasy3G283500.1 locus=Brasy3G283500 ID=Brasy3G283500.1.v1.1 annot-version=v1.1 MDFDMLNCNPEAQLELMNAMLQLEHLTALPDHHAMAPAPPTPPSPPCTPAAPPRHFSSAPPGHHIQPLPAGPNNCHGSAFHDPPYHSSLQLPPAYNNARYTTQQHQQQQQSPNPAGGEVVDGAAVGPAAMREMIFRIAALQPVNIDPDTVRPPKRRNVRISTDPQSVAARVRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANNNGNGNGNGNGHHRQSPADSGTAYQGLNGPW* >Brasy3G094000.1.p pacid=40041507 transcript=Brasy3G094000.1 locus=Brasy3G094000 ID=Brasy3G094000.1.v1.1 annot-version=v1.1 MGVVTRSQEKKRKLGVIKHQGISHEDVEGIDFISHLPDDIIGTVISFLPTDDAIRTSTLSLEWYNKWRSAPLNIDDRNIYGATPDIRIGIITHILSEHMGPIRRLAISNINLADFYATFDGWLQLYSTFDGWLQSPKLNKLQELEFNFGNGLHGHKPPLPPTTHRVFPTLRVAIMGGCHIHDETIHMFHLPVLEQLTLEKVIISEETIQSMLAGCPALDTLLLSKIARCRHIHIISGILKIIGVRVGWGRHRLKKIIIEAPSLERLLYLDRCESLQVSLGIAQKLKVLGALYKQELSSFEVGPVNKGLLDISMADTMSSVMVFALTTGQLSLEKVIPFLRIFPCLEKLYCESTEQFGPKNALRDTNLDDIGCLGLHLKKIVLTNYAGNVSHVNFAMFFLVNAKVLESIRLELRNPENNCKNWIARQSRRLQHEERASSCARVTFATRKCWSKFATISRACDLSIADPFLYS* >Brasy3G306100.1.p pacid=40041508 transcript=Brasy3G306100.1 locus=Brasy3G306100 ID=Brasy3G306100.1.v1.1 annot-version=v1.1 MKASIKFRDDDRPLMRAKVPIGVLGLPFQTGLSAGGDPRELRFDLSTAFSSGPALRLSYRPNDPGVPFALSVRAGVGALGSPARAPFSLAAEFNLLSASSSGSPAFFLLLKPRLGDFSLSHTLRSSSAPPPRTVLGEASGNSDGLAAAGLEYNKAAFASSGNGFVAAAGRSGAGGLLSGMRLATSSALPLWGRAAMRFSWGICAPPGLLAVDGRSKDARAPVSKMPLLVINKICIEQSPRTDTGKDKKKKSGRAEASPPSTDASEGGGGGEGFSLMRRQLEAMSAESGMLRRAVEDLRAEVGRGRAGGEAWRPPPPQPHHALSLKSDRRGSGKELTAAEKAAMPASDEVGEELKRALEARLR* >Brasy3G105900.1.p pacid=40041509 transcript=Brasy3G105900.1 locus=Brasy3G105900 ID=Brasy3G105900.1.v1.1 annot-version=v1.1 MDGFCFRVSFQNYHMINAHLPLPRMQEIGDFHTRFLVYLVLVSSKAPFCPTHNFLSPSPSPLHPTRSPVLELLPESPMDPERNLAPEELVAGGEKMVVPEGSGAGTVETDGVGAQEVAAGPEVQDYVWSCHQCRQRKRDDPVTCKTVGKRCPMKYCERCLLTRYGEIAAEVGEKENWKCPKCVGDCNCSNCMVKRGESPTGKLYHAAKASGCSSVRELLNKGKEAVADALKLIGTEKGNPKRALRTEDHVGELPVEIVLPRGTLLTRIAGVELRPEDVGRAIQFLEFCRSFGEIFQIRKGQAEQILNDITGDFEDRVVPSLVANLHINLFYVIQEHKEKKPLIYSEDGDKWIIDTGNYFSESTLNSVELPLGCLKQGLLAYINLSPSSKLDVLNALCDETLSSVNLRNLIVEQVERADERKCEARKKIFTATKQEKELKKSKSGMDKEMVLEGGDSATNEENNNIISKINEAKEIKQAGMNGPNCGLYIYTFPH* >Brasy3G029600.1.p pacid=40041510 transcript=Brasy3G029600.1 locus=Brasy3G029600 ID=Brasy3G029600.1.v1.1 annot-version=v1.1 MARAVAALAVAVLACVLLGAAADGSDHRYKEGDRVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELNFKEDKNSKTLCKKTLSKEEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLEKDKEQGAGKYLLFKHIHFDIMYNNDRVVEINVQTDPNVAVDITEDKEVPVEFSYSVAWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIIGSGSQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFSFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPELPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHKWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLLLGTVGFRASLLFVRHIYRSIKCE* >Brasy3G258700.1.p pacid=40041511 transcript=Brasy3G258700.1 locus=Brasy3G258700 ID=Brasy3G258700.1.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNVLGISSRIQLLFPPADAASCFSLVILLYLVYVLHLPSVLLCQEGGVQPSLQYVASGMIGFVAVHRLWNVEVKQGDNNQPMSEVCDCNMYGTKLKFHGWFLNTANEDGDGGEDGDGDEDDATFASEDCGDEIVSCARAVQLLAIRANFRISAINALDWNQCASIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSCTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPDAVETHVEVRLNLKDLGSRSRAVYGSVKAIATDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDRRHFKLHIEVDVRVVTTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVDVIWRLESTF* >Brasy3G212500.1.p pacid=40041512 transcript=Brasy3G212500.1 locus=Brasy3G212500 ID=Brasy3G212500.1.v1.1 annot-version=v1.1 MPPCRGARAAACLLLLLTAAILSSCAGAGRPSPVQHRHGGAGAGWHSFKRLLDARRGSRVTGLGELKRYLATFGYMPEHAGDNHAMPTTDDAFDERLEAAVKRYQTRLGLHVTGRLDAATLDRIMSPRCGVEDHGVSVSVAGGVSPEHGGGAVSRFSFFKGQPRWPARPQPESESGPAIVLTYAASPTATVGYLPPDAVRAVLQRAFTRWARVIPVSFVETDDYDAADIKVGFYEGSHGDGVPFDGPLGVLGHAFSPKNGRLHLDASERWAVDFGGEMETSSAIDLESVVTHEIGHVLGLGHSTSREAVMYPSIKPLQKKADLTIDDVEGVQLLYGSNPDFRLGSLYDERATSRSPARSSWAASSSRLGIIGVVLVILVTQL* >Brasy3G272200.1.p pacid=40041513 transcript=Brasy3G272200.1 locus=Brasy3G272200 ID=Brasy3G272200.1.v1.1 annot-version=v1.1 MGSPEGRIVELFGAVKLWMPRRGERSPSPAPKAAAAADDVPQPHDLSRDFWMPDHSCRVCYDCDTQFTILNRRHHCRHCGRVFCARCTANSVPRPPGDDPREDGERIRVCNYCFKRWLEEETAGWSEVAQPPSPSPSAASVGSDKSCSTGRSSAVTNGHMSSYANVSCGDFASLPADGEGDCGQPGVSPEKKHDVMEPAGSVDHVADVDNASNPFNFCLNRSDDEDEDYTIFRSDSKVHPQKSDEYYGPMCFDDHQVVCGDAAKESVSPRKDTSTLVDSVGVDKTGDHIIDNNEEGNARSSSLYGMEVLESELVDFENNSSLWLPPEAEDEEDDHDGALCDDDEGEDATGEWGYMRSNSFGSGHCRSRDKSAEEHKKAMKDIVDGYFRALVSQLLQAEKVPLVDKTGKESWLDIVTYLSWEAASLLKPDTSKGGRMDPGGYVKVKCLACGRPSDSLVVRGVVCKKNVAHRRMSSKKEKPRILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGSHIVPSIDYLSSQKLGNCDLFHVEKYIEEHGTAGEGGKKMLKTLMFFEGCPKPLGFTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNNHLQALNTQKDNFPFNGFRIMDQTAAACSPDNKSCERFGVSSTQTSSVQINKNESNCLLGIVPQSYIDPLLQQSRISFCHCPTCTRDVGSELKFEELQPESTRHAWVKGFSVSPAPPANLVSAEHDFSFAHNSENGVKISDKSSAPLELQTSHDDDSSKVCSIVKKDEVPESPVDNQSILVSMSSRCVWKEAVCERPHLLRIKYYGNFDKPLGRFLRDQLFDQTKRCISCELAPDAHVYCYVHPQGSLTISVRKLIVKLPGEHDGRIWMWHRCLRCPRNDGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIMVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEKRPITGSLDGNMKILELRRNIVELEDILQEEKADFTESLKNLLKKEIRKGQIFIDILEVNKLRRQLLFLCYLWDQRLSFIATSGGRYCDALGGLQVGSRNSESSDRPADNNAKLEKNPKVTELLLNAKNGSLRQSLSTLHADREGLNQHDQSNETSSRNIAELNGTEDTVFKINHANSANVKDHLDHQESSIGVRRISSEGQFPVTADISDTLDAKWRGENGPAPDASTVKPLALPGGTASDVKNHVKAVPCHTSALSVRTGDTVEDLLSCLKLPYMTLYNSLNTNSGTAPTFGTLADYNPEYISLFRELSQQGGARLFVPTGANDVVIPVFDDEPTSIISYALVSPMYYLQMSVENSKHKDSADSSLSLPVYDSGNFNPFLLFEDFGSPDDLASSISASRGSLAPDQVHSRVSFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFVRSVSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLQFGPEYFKYLSESISTGSPTCLAKILGIYQVTSKHLKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSNNKVLLDQNLIEAMPKSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDQEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAAPTVVSPMQYKKRFRKAMSAYFIVIPDQWMPAAINPDRSSSDICQDDSQNTSQE* >Brasy3G070700.1.p pacid=40041514 transcript=Brasy3G070700.1 locus=Brasy3G070700 ID=Brasy3G070700.1.v1.1 annot-version=v1.1 MGRMHSRGKGISSSALPYKRTPPAWVKIPTADVDEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA* >Brasy3G013700.1.p pacid=40041515 transcript=Brasy3G013700.1 locus=Brasy3G013700 ID=Brasy3G013700.1.v1.1 annot-version=v1.1 MVDESTKEVNHVHGGEKSTVPRVKRGNLQYCQKTHIAACSKTPPQFHLLRRLRLRPRSSQTLPIVLLAAGRCCSPMDDAPDASSAGTSSEEAFHGSARTKAFLEAARAGDLPSLEIHGQAIMKDMHGSVSSIRDPEMRTALHLAARNGHTAACAYLVGVFKVRLDPKDSYGETPLFQAARCNHLQTVEYLLARGADPSSMSKATSSMLHNAAMMGNTQLMRLLLEKGANVELISNDATPLICAASRGQIDAIKLLLEFRADPNHKTSATSYDTPLSAAIAASGRSLVCFKALLQGGADLGAIAYPLHDAARCGKKDVVNYLLDTCNPDALNGDGLKPIQIGALNDKLEVVDFLLPLTSPIRYFPEWTSAAIKDQTLSKIAKDKATLKELMDADDLTDEEIMKKLEKMLLRAEDDEFLSKKGHDEDNFFSNWPPLKRRLFYKSTIPTLEKPTLVTDLYVGPIENSTIFDTSSKDVDELQANYRRAIGHYRAWHLAGSDGIYEFENSSERFCVSRKDRTFIFWYRLVSQDVGLIIDGQTLWVEGFLRRTFNIDGTFTYNDHIIQFRDETGKSDNEGKSTSKKPAIGSEEQHTYIQGSDVQVVDCGGSYKNSVTLYKLNIHLVHVSIGVISSFERHDKLSFKDTCHEASDILVLFATEAGKNSWIFQFTMESLDSTILKPIRIPNSLKGTVVKHKKISLRALAAVEKRMEKSSANNEEYRIIPGAPFRRLCQCVDAFFILARKVTFDTKFLVPEMNRDSRAPVKPHQMKGKHAGTSKATEKLTLEAILSQGTVFTYGSHEGTTVYEGDICWPMPPKPSSKTQWYHFDDLAVS* >Brasy3G013700.2.p pacid=40041516 transcript=Brasy3G013700.2 locus=Brasy3G013700 ID=Brasy3G013700.2.v1.1 annot-version=v1.1 MVDESTKEVNHVHGGEKSTVPRVKRGNLQYCQKTHIAACSKTPPQFHLLRRLRLRPRSSQTLPIVLLAAGRCCSPMDDAPDASSAGTSSEEAFHGSARTKAFLEAARAGDLPSLEIHGQAIMKDMHGSVSSIRDPEMRTALHLAARNGHTAACAYLVGVFKVRLDPKDSYGETPLFQAARCNHLQTVEYLLARGADPSSMSKATSSMLHNAAMMGNTQLMRLLLEKGANVELISNDATPLICAASRGQIDAIKLLLEFRADPNHKTSATSYDTPLSAAIAASGRSLVCFKALLQGGADLGAIAYPLHDAARCGKKDVVNYLLDTCNPDALNGDGLKPIQIGALNDKLEVVDFLLPLTSPIRYFPEWTSAAIKDQTLSKIAKDKATLKELMDADDLTDEEIMKKLEKMLLRAEDDEFLSKKGHDEDNFFSNWPPLKRRLFYKSTIPTLEKPTLVTDLYVGPIENSTIFDTSSKDVDELQANYRRAIGHYRAWHLAGSDGIYEFENSSERFCVSRKDRTFIFWYRLVSQDVGLIIDGQTLWVEGFLRRTFNIDGTFTYNDHIIQFRDETGKSDNEGKSTSKKPAIGSEEQHTYIQGSDVQVVDCGGSYKNSVTLYKLNIHLVHVSIGVISSFERHDKLSFKDTCHEASDILVLFATEAGKNSWIFQFTMESLDSTILKPIRIPNSLKGTVVKHKKISLRALAAVEKRMEKSSANNEEYRIIPGAPFRRLCQCVDAFFILARKVTFDTKFLVPEMNRDSRAPVKPHQMKGKHAGTSKATEKLTLEAILSQGTVFTYGSHEGTTVYEGDICWPMPPKPSSKTQWYHFDDLAVS* >Brasy3G327000.1.p pacid=40041517 transcript=Brasy3G327000.1 locus=Brasy3G327000 ID=Brasy3G327000.1.v1.1 annot-version=v1.1 MCSKILPNHSTSQVVFSIHSPATYKQQVTHVRCSAQSLLPLIPSWSLLPAGNKMKMALLVMLLISLLSAIPSQALHVRGRQLKSKTFRSPAILLSPGSVSNKYYYDIDFPRGHIALKSFRAEVVDEDGVPVPLHETYLHHWIAEPYYALKNNGSGADAQKLPTRKKVLNDGVCNVLGQYFGLGSETRHTATWVPDPYGIEAGNPEKVPKGGYEEKWFLNVHAIDTRGVIDKLRCTECECGSYNVTVDEDGIAIPKNYTGGLFCCYDQTQCQLIDGFGSNLRGAKRKLFLQYTVTWLDWTDAKVVPVRIYIFDATDTALLDGTSPGDSCQIEYQVEECSPEARATNKCIHVKTAKAVLPRGGDVVFGVAHQHSGGNGSSLHAQDGRLLCESLPTYGTGKKAGNEAGYVVGMSTCYPKPGSVKVSDGEVLTVVSNYSSKRRHTGVMGLFYILVAEPHRR* >Brasy3G184400.1.p pacid=40041518 transcript=Brasy3G184400.1 locus=Brasy3G184400 ID=Brasy3G184400.1.v1.1 annot-version=v1.1 MVSSCLASPAAPRAGSALRPLQQQTRWGCRVTCSAGAAGTGAKAAGGFACGLLAAWAVASTPSTAIAAGQRLPPLSTDPNRCEVAFVGNTIGQANGVYDKVLDLRFCDYTNEKNNLKGKTLSAALMSDAKFDGADLTEVVMSKAYAVGASFKGTDFTNAVIDRANFGKADLEGAIFKNTVLSGSTFDDANMKDVVFEDTIIGYIDLQKLCRNTSINEDARLDLGCR* >Brasy3G260500.1.p pacid=40041519 transcript=Brasy3G260500.1 locus=Brasy3G260500 ID=Brasy3G260500.1.v1.1 annot-version=v1.1 MAFGSVVERNVQRPLMRLITMGGAPILQQLHLEERLLRRTSDNWCIVNDGTAPPTIVMGVSGKVSELVEVEPVLRDNVPVVRRFSGGGTVIVDQGTVFVTFICNKTAVTGLQPFPRDIMSWTGQLYGKVFHGFGEFQLRENDYAFSHRKFGGNAQSITKDRWVHHTSFLWDYDLKNMNYLKNPKRAPEYRLARNHTDFLCRMNEYMPSRSVFTEGIIAALSEHFTIQQTELKTALSHDNEFVPSTKLLSPQDLQDIISSKESSTVQRVPGWPQS* >Brasy3G260500.2.p pacid=40041520 transcript=Brasy3G260500.2 locus=Brasy3G260500 ID=Brasy3G260500.2.v1.1 annot-version=v1.1 MAFGSVVERNVQRPLMRLITMGGAPILQQLHLEERLLRRTSDNWCIVNDGTAPPTIVMGVSGKVSELVEVEPVLRDNVPVVRRFSGGGTVIVDQGTVFVTFICNKTAVTGLQPFPRDIMSWTGQLYGKVFHGFGEFQLRENDYAFSHRKFGGNAQSITKDRWVHHTSFLWDYDLKNMNYLKNPKRAPEYRLGIKLSYE* >Brasy3G172700.1.p pacid=40041521 transcript=Brasy3G172700.1 locus=Brasy3G172700 ID=Brasy3G172700.1.v1.1 annot-version=v1.1 MRPRPRLPPGAGPCRCHRGWAPAPSSRRRPPASTRHQRSHIRLPAPWTGAGSGLPAPRAVWGRRPPRLSSPCPCALRTWEGAGMIRTKGQAGCRPLDLVFFFLQSL* >Brasy3G323400.1.p pacid=40041522 transcript=Brasy3G323400.1 locus=Brasy3G323400 ID=Brasy3G323400.1.v1.1 annot-version=v1.1 MEKRRRISLRAVVAAMALMLSLGEAASYDGGSSGLSSGYYSKTCSNLEKIVLREVTKKKNETVVTIPAVLRLFFHDCLVNGCDASVLIASRYDDAEKNSEDDDSLAGDGYDTVNRVKDAVERECPGMVSCADILALAARDVVNLAYGPYWKVELGRRDGLVSKASDVKGKLPDPEMHVKELAAIFDKNGLSMRDMVALSGAHTVGFAHCSRFRKRLYNYNSTTRTDPSFNKYYAQQLKVACPPNVGPTIAVNMDPLSPVTFDNKYYNNLVNGLGLFTSDQVLYTDDASKKTVEEFNASQDQFFKAFVESMIKLGRVDVKTGSAGEIRRDCTAFNH* >Brasy3G179400.1.p pacid=40041523 transcript=Brasy3G179400.1 locus=Brasy3G179400 ID=Brasy3G179400.1.v1.1 annot-version=v1.1 MMLAETRSRAMEVDEVAGSSHDGMAAGCSCLPLCFWGSSRVPAAPERRRRRRRRLRLRLRLRLSLSWFSWPWRNRKSRGGKGKEEAAGGEKKRRKKLRRLRLMLLSTSSQAKKAFASVSSGSIFLPKVSSLGGAKMQNRGRPRRNVEVEPSTSCAAPALQPETTSQQPCPRPSGEEWRAPSSRLRSLQPGMWAMATTLGAIVFFGRVAAVFFLCSCMYGARWWFGPAAQGVGGGGGSRRLSDAGAGGSWRLGDRVAADLCTEEYKRKVVMDGLLERAGGKRPSSRFL* >Brasy3G205000.1.p pacid=40041524 transcript=Brasy3G205000.1 locus=Brasy3G205000 ID=Brasy3G205000.1.v1.1 annot-version=v1.1 MKALRDDRISPEDWEVLIKFWRSEEAKFKENGYYPRRDELFVKTHSKEDGTPINDAAAKVIVSPSPGDLRIPGNQKLKSTKLQLAEEETKQAWRANEALKEQVDDIRHDTQLRIDALTEELSQLKNLVVGNLTSQVIPTECADSVGESVAVEEHIVYNVEQEEQIQREMMEAQQVFEKKKALANLLKRKKEAAIREQKEADQLQQKKKQELECLEKKEAASALQEKKKQEIELLENKEAALKQNKAAAAHQQKKNHELEPSKKKEAAMKQNKAAVAHQQKKKNPTVVTQPNYLVW* >Brasy3G318200.1.p pacid=40041525 transcript=Brasy3G318200.1 locus=Brasy3G318200 ID=Brasy3G318200.1.v1.1 annot-version=v1.1 MALFSDDETSYYQRSSSKNVMACKVRGDMSKLLPVTSFFIGAALTAALVFFGATLDVNWRPSALASWGNGARQAPDDKMKTFAELAEVLRNASMEDKTVIVTSINRAYAAPGSLLDLFLESFRLGEGTAALLDHVLIVAVDPGALRRCRSVHRHCYLLRRSLSAVDYSGEKHFMTKDYLDMMWSRNRFQQTILELGFNFLFTDIDIMWFRNPLRHIAITSDIAIASDFFDGDPESMGNRPNGGFLYARSMNRTVEFYRRWRRARRRFPAGTNEQEILGQAQGELSRRAGVRMQFLDTAHCGGFCQLSGDMGKVCTLHANCCTGLANKVHDLKNVLRDWRNYTAAPPGDRRRGGFQWTRPGRCIH* >Brasy3G318200.2.p pacid=40041526 transcript=Brasy3G318200.2 locus=Brasy3G318200 ID=Brasy3G318200.2.v1.1 annot-version=v1.1 MKTFAELAEVLRNASMEDKTVIVTSINRAYAAPGSLLDLFLESFRLGEGTAALLDHVLIVAVDPGALRRCRSVHRHCYLLRRSLSAVDYSGEKHFMTKDYLDMMWSRNRFQQTILELGFNFLFTDIDIMWFRNPLRHIAITSDIAIASDFFDGDPESMGNRPNGGFLYARSMNRTVEFYRRWRRARRRFPAGTNEQEILGQAQGELSRRAGVRMQFLDTAHCGGFCQLSGDMGKVCTLHANCCTGLANKVHDLKNVLRDWRNYTAAPPGDRRRGGFQWTRPGRCIH* >Brasy3G318200.3.p pacid=40041527 transcript=Brasy3G318200.3 locus=Brasy3G318200 ID=Brasy3G318200.3.v1.1 annot-version=v1.1 MKTFAELAEVLRNASMEDKTVIVTSINRAYAAPGSLLDLFLESFRLGEGTAALLDHVLIVAVDPGALRRCRSVHRHCYLLRRSLSAVDYSGEKHFMTKDYLDMMWSRNRFQQTILELGFNFLFTDIDIMWFRNPLRHIAITSDIAIASDFFDGDPESMGNRPNGGFLYARSMNRTVEFYRRWRRARRRFPAGTNEQEILGQAQGELSRRAGVRMQFLDTAHCGGFCQLSGDMGKVCTLHANCCTGLANKVHDLKNVLRDWRNYTAAPPGDRRRGGFQWTRPGRCIH* >Brasy3G214600.1.p pacid=40041528 transcript=Brasy3G214600.1 locus=Brasy3G214600 ID=Brasy3G214600.1.v1.1 annot-version=v1.1 MRIEELPGDSGGGGGGGMVGGGELQPQLRCEDGGAVDWELRLHGEDRGTDGGELRLRAEDGGGAAEEGQEESRVEEGTGGAVMRAAFDAKRAAVGVGARMLFYPTLVYNVVRNQFESHFHWWDQVDEHVLLGAVPFPSDVLRLQKLGVCGVVTLNESYERLVAKSLYEAHGIENLVLPTRDYLYAPSFDNLCKAADFIHRNASCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAGAFEHVRSCRPRVLLASAQWKAVQEFYQLRVKKTGPSCLDIPIIKPTSSPVFLATRNLITFDDKTFVMVSESDLEGYNADTLAVNVGSSLWEISLVYRVQFASQAAFAGFSYLWLQCRARKDKEALAESVGSESCSLEAEQPANGHPCLLQSVVVNP* >Brasy3G054900.1.p pacid=40041529 transcript=Brasy3G054900.1 locus=Brasy3G054900 ID=Brasy3G054900.1.v1.1 annot-version=v1.1 MSSCPSPSRHRAPCVAPPVSTPPKPPSSRGIAAPHLHAQPLTFSLDLVHRSLFDPCEFGMSLSGFDRTESYRPNFCLIGFVPSP* >Brasy3G127200.1.p pacid=40041530 transcript=Brasy3G127200.1 locus=Brasy3G127200 ID=Brasy3G127200.1.v1.1 annot-version=v1.1 MECDGMDSVPEGVLQHILSTLNNARDVAACASVCRRWRDCVPYLQALFFSRNAFDASAVGADEAIGRMVAAATRLRELIIYCRFSIGSLPAWLATRSDSLRVLELRMDGAADKPADGGLGCIPLATGLEELRLWGVSLMTAPAWGRLERLRVLEIVGSSLQDSAVTDALAACPYLTDLSLLGCDCSGEVSIELSLLQRCRLDFLVGGNCSLSLSAPRVESLEVQGFSWITLLGGHSLRRLSIAKGMGKVYKVSTGKLPDLEYLSMRGVQWSWAAISSVLQCASEVKHLVMKIEFSGDFDVLQPFPEVDLVEFFNCHTKLRKFEIHGAMFAALCQKNSLKNLDSRFYIPSLEEVLITVRSPLNAEQKLNTLESLMTYSVKLRSMVIRISQMKNCHDVADDFFEEICKFRHMNYKRVRIE* >Brasy3G250400.1.p pacid=40041531 transcript=Brasy3G250400.1 locus=Brasy3G250400 ID=Brasy3G250400.1.v1.1 annot-version=v1.1 MGLDLRSCKRIEAGLLFGPDELKCGGCFSVLTNNEVIQNRWFILLLFVCLVLYKYKYIN* >Brasy3G288700.1.p pacid=40041532 transcript=Brasy3G288700.1 locus=Brasy3G288700 ID=Brasy3G288700.1.v1.1 annot-version=v1.1 MSGGGGSPNNSEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPEYSPRSENDRYEDEQNEGSQEVERQASGNTTDNISAKKSISPSTSSVNSLPDAQGLVSGARATDSARITKFTSELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLRRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQDPQIQKSLERVLYTWAIRHPASGYVQGINDLLTPFLIVFLSEHLEGNLDTWSMENLSLQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPLSKHIEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLQKLDFQEMVMFLQHLPTRNWAHDELEMVLSRAYMWHTMFKSSPSHLAS* >Brasy3G130500.1.p pacid=40041533 transcript=Brasy3G130500.1 locus=Brasy3G130500 ID=Brasy3G130500.1.v1.1 annot-version=v1.1 MELGLSLGETMADAGRELVLGLGVGEGAGREEETGRARRDQGVVAGGRRELGLGAMGCGSSPEPTTMRLALLPLVPSLGFPWPSESRHLEASTTRGFDVNQAPSTGGSAWACAAEEEEQEDTAAAAGAAVSSSPNNSGGSFPMDFGAQGGDGAPGGSRGSDEDDGGSSRKKLRLSKEQAAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPASSSSAAAAPEHRPSSFAALFSSARSFPQAQAPAPSSS* >Brasy3G130500.2.p pacid=40041534 transcript=Brasy3G130500.2 locus=Brasy3G130500 ID=Brasy3G130500.2.v1.1 annot-version=v1.1 MELGLSLGETMADAGRELVLGLGVGEGAGREEETGRARRDQGVVAGGRRELGLGAMGCGSSPEPTTMRLALLPLVPSLGFPWPSESRHLEASTTRGFDVNQAPSTGGSAWACAAEEEEQEDTAAAAGAAVSSSPNNSGGSFPMDFGAQGGDGAPGGSRGSDEDDGGSSRKKLRLSKEQAAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRASVWPLARAGRS* >Brasy3G070500.1.p pacid=40041535 transcript=Brasy3G070500.1 locus=Brasy3G070500 ID=Brasy3G070500.1.v1.1 annot-version=v1.1 MASRPRNACFLLTPLLLLIASVSAASSSPSLSSSSAGDAEVDALMDLKAALDPAGQAPALSSWARGVGGPCGGEGYFEGVTCDARGRVSVVSLQGRGLAGTVPPAVAMLPGLTGLYLHYNRLGGAIPRELGDLPDLAELYLGVNSLNGSVPVELGRLRRLQVLQLGYNQLSGSIPTQLSQLKKLTVLALQSNQLTGAIPASLGDLPELTRLDLSSNRLFGSIPSKLADIPNLKTLDLRNNTLSGSVPSGLKKLHRGFRFENNPELCGARFDALKPCPNGDDSIDDQVPHKPESTSVKPQQIAQTADLSRNCDNGACSRPSTLSSGAVLAGTIIIVAGVAACGLSVFSWHRRQKQKVGSSVENSECRFSLDQPKAAYQKSASSLINVEYSSGWDTSSEGSQHGVRLSPEGSPSVRFNLEEVECATQHFSDINLLGKSTFAATYRGIMRDGSVVAVKSISKSSCKSEEADFLKGLRLVTSLKHENLVGLRGFCRSRLRGECFLVYEFMANGSLSRYLGVKDGDGDAMVLDWPTRVSIITGIAKGIEYLHSSKPCKPPLVHQNISADKVLLDHQLAPRLSGAGTHRLLADDVVFSALKGSAAMGYLAPEYTTTTGRFTDKSDVYAFGVLVFQVLTGKKTVSQHLLLRAPVNAGSGIGAEFGGDAKLDDVVDPRLGGRFSRPEAAKLAGIALLCTSEAPGQRPAMASVLQQLGASQ* >Brasy3G122000.1.p pacid=40041536 transcript=Brasy3G122000.1 locus=Brasy3G122000 ID=Brasy3G122000.1.v1.1 annot-version=v1.1 MMWGIAAAVASAAAVAVASGAELLACDCAPTAPAVVGRCDGFFFRQQHQQGSSSSAGESGRDGKFAPRFDGLRFIETLVTAHR* >Brasy3G064400.1.p pacid=40041537 transcript=Brasy3G064400.1 locus=Brasy3G064400 ID=Brasy3G064400.1.v1.1 annot-version=v1.1 MAVPPAVFLRSFLLAMAQQYYDGGDADVGGHVARLEGRLRFCAVAYMLLAASFFLRAGGAVAGFLLWVVAVALLLLSFLHRRFPAPAVAAARVAQAALQPLFELLN* >Brasy3G136200.1.p pacid=40041538 transcript=Brasy3G136200.1 locus=Brasy3G136200 ID=Brasy3G136200.1.v1.1 annot-version=v1.1 MDQPGRRIREGYRLSACRRFGRAETSPTPTVQPECGVEVGDLARRDQDTGGGLQRPEMFATAARWAGKKGKPKMAPIELTAAPEQAQSITRTIFDVVREHGPLTITDVWEHVKGVGLRGLTSKRQMKIMLRWMRERQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPQRELKGEHPKP* >Brasy3G101300.1.p pacid=40041539 transcript=Brasy3G101300.1 locus=Brasy3G101300 ID=Brasy3G101300.1.v1.1 annot-version=v1.1 MAISFLQELLISTVLVLFVPLYFYLKSSTRSSKNNTALPTNWPIVGILPCLLANIHNLHGYLAHVLAAAGQSFRAHGPAGTGMRFFVTCDPANVRHIFTTNHANFPKGAEFAEIFDVMRGSFFTVDGEPVRRQRAKIQAVLGDPRLLARMAASCRDKVEKALLPLFADMARMGTPFDLQDVVARFVFDVTATPVFGVDPGLLSRDGMPMPPVDAAAAMDTVMEVALFRHTVPASCWKAMRWLRVGPERKLAAAHAVLRGFVAEMMQKRSKNKNGAAPVDIVSSYINDPEYRETENGGGLLRATLINYMIAGRDTIGTTLPWVFYNLAKSPHAVSAIRNELSPIASRKATGAGAMAIFEPEETKPLVYLTAALYESLRLYPPGPIERKTVVAGDVLPSGHEVRAGDTVFISLHAMGRMEAVWGKDCLEYNPGRWLPEPEEEEDGVGKARRLRYVPSHKFLAFNSGPRMCLGKDIALMQLKTVVAAVVWNFDVEVVGGQSVEPKLSCILQIKNGLVVKLKKRQM* >Brasy3G210500.1.p pacid=40041540 transcript=Brasy3G210500.1 locus=Brasy3G210500 ID=Brasy3G210500.1.v1.1 annot-version=v1.1 MGTELPETTADAGKLQAGADTALTDAWDYKGRPASRASTGGWGCAAMICGAELFERMTTLGIAVNLVPYMTAIMHLGSAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAVFSAFQATGVMVLTISTVAPGLRPAACGDATGQSSECVPANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDDGERKKMMRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGICAVGILSGLGVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWCKRGLPLPSDPGMLYDVDDAAAAGEDLKGKQKLPHSKECRFLDHAAVVDRESSPAKASSNWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVEQATVMDRGVGGSGFLIPAGSLTVFLIGSILLTVPLYDRLAAPLARRATGDPQGLSPLQRVFAGLSLSVAGMAAAALVERRRLASSANGAALTVFLLMPQFLLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLIVTVVHKVTGHGPRGSGGWLANNIDQGRLDYFYWLLAVMSAVNLVFFTVAARGYVYKEKRLADAGIELADEEAVVVGH* >Brasy3G103100.1.p pacid=40041541 transcript=Brasy3G103100.1 locus=Brasy3G103100 ID=Brasy3G103100.1.v1.1 annot-version=v1.1 MASPSSSSSSSSSRRRVEDAPAVGLDPLVYLPPDILDNVLARLPFEQLVLTSGLSRGWRRRWESVANLDIWFSPGVSPKPSVGALKRCAAPVRSFTARVLPPQFPSADDWLRALRGKRVARLAVELFDPRARFLGDVDGACLPAIFRHSELVHLDLAGCCSIPRVPRDFGGFPNLVTLSLNHVRLPFFGGGAHLQYLISSAPNLGELSLIDVDQEERRSQVVRCEIRAPKLRVLRLVMLNDNDNGCEVAEDLPMLEEAVISADCLLETDEFVTTFQRIATVNKLTFRTDSRKCNQDPLRVISWKFLNLKVATLSANFGKLSSIMSIFSLLRCAPYIEKLEIEVEKTKIQDDEDDENFDETYDDEVYDFLSDLGMVVDEIDEQLLNEKASDDLFVTLKHVSLHGIKCYMPNDIRFMKFLLSKTGSLESFDVTLRSEFRKEIACALLSTCSRASPQAKISVRLLNKPTPEPDES* >Brasy3G324400.1.p pacid=40041542 transcript=Brasy3G324400.1 locus=Brasy3G324400 ID=Brasy3G324400.1.v1.1 annot-version=v1.1 MLLLLTSGRDPGIIPRNTHPPEPESVDGSNYSRGQTPQQFCLPRTKDVIVNGISVKVKYCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGEHNYRFFYMFVFSTTLICLYVFAFCWVYIIKIRDAKQLSIWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGVLSNILEIFFSRIPSSKNNFRARVTVEQGAQEARSSSRGFMSPNNGKPVGDLEMGRKPGSWDEPRTAAHIGDLEVGLGGSMDGKEGRLTHASPDVSRDDELQPELVEGRAGTHSRRSSWDRRTGTSESLDSDSPQTTPAEEANGGSHLPTTGAH* >Brasy3G312300.1.p pacid=40041543 transcript=Brasy3G312300.1 locus=Brasy3G312300 ID=Brasy3G312300.1.v1.1 annot-version=v1.1 MGEDRRKVTTAGQAEAAAKSPRKSPRLALAVVPLAAVPPESSESPSTPLDSSESTCSDDSQQHQHMFMTDEELGAIANNFKTAKEHPMCQHDECKVTWKGASDGGGEDAGMMLCTECGCHFCTGPVGDRLNPGAHAYWQAHEAMHRVALWYDDPYQAYCFDCEEGLTLGGTGNSSQNKDAWAVVARNGKDQSGSDDVGGHASGVAYGYGDGYVIRGIPDLGSSCYMNALLQCLLALGKLRRMILGLDAWLGFTGHYMKELFVETSSANDATRLLNPRMLLDCVGGSGQQFKGNEMEDTYEFLVSLRNALVKEVKELNELNCVQGGAVFPAVGESIFRVQMCQTISCKSCPNNSVKHIELYDLQAPVPLPSKDPLARSVVSSPKIESRMSPRNICKKLFQETHKSGGEKLQTIAEGCELGDGVMEKKPEPLQVDSTKVKDVVHDRLQTHENDGPRGIVEVSIKALDFIPNLFDGIEGVEIADSHNPEDIVPPLVSTEDKGKAQGSDIIPPLAITKDMGKAQSSDIIPPFVITEEKGKAQSSDIIHDVAEHMNSLSSIEDCLALLLIEQPVEWTCGNCSNVAELRRNNSSKNGEQMMGSTNVNTTVGGDQTEQADRKTCPSEQSVDLNRFSSECTSPSRQPHVFDAQDKVILSEDRTTKGITSETSCDEKDSPSSSTGKKKTESHQGVQEAVPICLPTDKQTNMLSVQDNQDTSTENQGRGKQVKLDDHSVKQVDKDQNEQKNEDGGATQTILLTKLPPALTIQLKRFAADLSKLVGHVSFKEILHVGPFVDPSCEDKDNSSMECACHFCTGPVGGKLNPRAHVYWHTHEAMHRVALWYDDPYEACCFNCEEGLTLGGNSSQNKDAWAVVARNGKDQWGSDDVGGHASGVAYGYGDGYVTRGMPNLGRSCYMNALLQCLLALGKLRRMILGLDAWLGFTGHYLKELFVETSSANDATRLLDPLMLLDCVGGSDQQFKGNEMQDIYQFLVSLRSALDKEVKELNNQWGTDDVSGHASGIVHVGGFDMRGMQNERSGNEIEPEEFRSLGTEQVLLEALHIRGSALRELTNEAIQLVLDQGRRQPRNGSFQLRPR* >Brasy3G164900.1.p pacid=40041544 transcript=Brasy3G164900.1 locus=Brasy3G164900 ID=Brasy3G164900.1.v1.1 annot-version=v1.1 MNLPESSVSHAAAQTEPTTRRLGARGLVDREELVRLIAQSLYSLGYRKTAATLEAESGVPLYPPEHDRLLFDVMSGRWDACTAIIDSLDGISDRNRAVAEYLVWRGHFLELLGIGDDGVRLATEVLWRRIAPLGIDRRCVHWLARSIVSCEGAVAPDAVAEWRIGLFLDLVEALPPWLRVPSGRLEHLVETAVVQQVASCIFHNMPDEVTLFEDHKCLEEQIPSECTQILCAHKNEVWFVRFSNDGSYLASSSSDCTAIIWKVEEDDTLTKKHCLEGHKRPISFVAWSPNDRMLLTCGNGESLKLWNVKTGECCHKFGASVDRIIASCAWFPNSEKIVCASSEPESSPNMIFTCDLEGHELEVWAGERIPKVSDLAVTPDGQHLICVCPNEIWIRELRKGREWKIPEREMISSLCVSGDGQSMIVNLNSQEIHLWKTNGRSSVPDKFKGHKQGKFVIRSCFGGSDSLFIASGSEDSQVYIWKRCMEMPIKVLHGHSMTVNCVSWNPARPQMLASASDDCTVRIWLAHKAHRRT* >Brasy3G294400.1.p pacid=40041545 transcript=Brasy3G294400.1 locus=Brasy3G294400 ID=Brasy3G294400.1.v1.1 annot-version=v1.1 MQGSVRNSEAAAAPGGNEPMGLANLPLSTRTVKAIAEVEGFALLADELSSWPGSLGGCKASSWRRHSGSTFSSGQWRTWGLAATSSSQMKTTFLMSRFAKNAIRQRSLKAES* >Brasy3G133400.1.p pacid=40041546 transcript=Brasy3G133400.1 locus=Brasy3G133400 ID=Brasy3G133400.1.v1.1 annot-version=v1.1 MTTSSRRRRRDSSITAILFLLLLLLLPSASASSSSSSFHQQQQQQQSKKIISHLPGFDGPLPFQLQTGYVEVDESNGVRLFYYFIRSERKPEEDPVMLWLTGGPGCSAFSGLVYEIGPLSFDRYSSIDGIPKLLYKPDSWTRVSNVIFLDSPVGTGFSYSKTEQGYKSSDTKAVNQIVIFLKKWFDEHPEFLSNPLYIAGDSYCGMIVPAITLELAKGKEDGTISALNLKGYLVGNPVTDGNFDSPAKIPFAHGMGLISDEMYQAYKDSCSAQQNSQQSFQCTNSLDVIDKCVEDICTNHILEPLCTFASPHPNGGDSAARQVLQLHDYAAEAGIQLSDISTECRTAEYIMSRTWANNDAVRDALGIHKGTVPSWLRCNYDILYTNDIRSSVEHHLDVTTRGYRSLVYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWYVDSQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMFARWVSGDTL* >Brasy3G066600.1.p pacid=40041547 transcript=Brasy3G066600.1 locus=Brasy3G066600 ID=Brasy3G066600.1.v1.1 annot-version=v1.1 MVAIGPYYRHLPELQGMGDVKKAAAYHFFKDDFPEAGYEKVVALADIARSCYDAGSLEGVSSAEFAEMMFYDACFLLVTILAITKPEECALSLVCRTGANTPVVVTDMFLLENQIPWIVLEALLTFREVDLCGFISTMGMNVQSRVDREARPLDIKSYKKLPHLLGLFHFYQSGRGIACMSLYDRVYTFQDPRESMLASREPSADSEYPQGPCGTREFKDIGLTEGLLFRKLFLPSLRLNENTACWLINMAAFEASTALLDSDYTVSSYLTLFAMLMHREEDVHELRAKRLIHGEFTNKQALDFFKGRHAGSIRPGYDFYAILRRLERYKQKRWLWIAVYKFVYNNAKTIAWCCPSWACSPESSKHYSISRNNISAKR* >Brasy3G047500.1.p pacid=40041548 transcript=Brasy3G047500.1 locus=Brasy3G047500 ID=Brasy3G047500.1.v1.1 annot-version=v1.1 MAELVSHPDMQSKLYQELKTADEPELEDTSELRYLKAVVLEGLRLHPPVHILTPHAVLSDGADIGGHPVPRGTQVYLVASEFGRDETVWTAAAGEFRPERFMEGGEGHGVDLTGSREIKMLPFGAGRRMCPAYKLAMLQLEYFVAGLVRDLEWLPPATEGEKMDMSKELDFNIVMKHPLRARIVPRPTRLGREHHDDLPQFTVGMGYATEALHLPGISKRARTWKEHLHVC* >Brasy3G345000.1.p pacid=40041549 transcript=Brasy3G345000.1 locus=Brasy3G345000 ID=Brasy3G345000.1.v1.1 annot-version=v1.1 MEPRAERVVRRTAMVGAVTAAYLLLTADYGPNYPNPVKKAMESSALPFKDLIFRSGQDARLKEEHEDSESPDDTTK* >Brasy3G084900.1.p pacid=40041550 transcript=Brasy3G084900.1 locus=Brasy3G084900 ID=Brasy3G084900.1.v1.1 annot-version=v1.1 MAGGARAEIQPAAAASSSSSSAPFPSAGRRGRRRPDILTILRTVVCLDSSNPDTGRGRSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYKYENDHELGLFAIYDGHLGDTVSSFLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILENSKQLGPGGSTAVTAIIVDGTDLWVANIGDSRAVVCERGSAIQVTVDHEPHTADERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDFKHVPINSSIEFAILASDGLWKVIKNQEAVDLVKSVKDPQTAAKRLTSEALARMSKDDISCIVIRFRC* >Brasy3G143600.1.p pacid=40041551 transcript=Brasy3G143600.1 locus=Brasy3G143600 ID=Brasy3G143600.1.v1.1 annot-version=v1.1 MMASHALRLRPPLSTSRPTPTAAAPRRRAAFVLVRCSSAAQALKIKSIPTKPVEGQKTGTSGLRKKVKLFQEENYLANWIQALFNSLPPEDYVGKTLVLGGDGRYFNVEAAQIIIKIAAGNGVGKILVGRHGLLSTPAVSAVIRKREASGGFVMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLTSLGVEGYGDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFVFDAMHAVTGAYAGPIFIEKLGADPDCILNGVPLEDFGDGHPDPNLTYAKELVFIMFGSRAPDFGAASDGDGDRNMIIGKRFFVTPSDSVAIIAANAQATIPYFQSGLKGLARSMPTSGATDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSLCGEESFGTGSDHIREKDGIWAVLAWLNILAHRNKNKKVGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMEHLRDLIAKSNPGEKYGDYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQFESDASKHGLDAQIALKPLIDLALSISKLKDFTGRNQPTVIT* >Brasy3G143600.2.p pacid=40041552 transcript=Brasy3G143600.2 locus=Brasy3G143600 ID=Brasy3G143600.2.v1.1 annot-version=v1.1 MFIIKSIPTKPVEGQKTGTSGLRKKVKLFQEENYLANWIQALFNSLPPEDYVGKTLVLGGDGRYFNVEAAQIIIKIAAGNGVGKILVGRHGLLSTPAVSAVIRKREASGGFVMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLTSLGVEGYGDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFVFDAMHAVTGAYAGPIFIEKLGADPDCILNGVPLEDFGDGHPDPNLTYAKELVFIMFGSRAPDFGAASDGDGDRNMIIGKRFFVTPSDSVAIIAANAQATIPYFQSGLKGLARSMPTSGATDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSLCGEESFGTGSDHIREKDGIWAVLAWLNILAHRNKNKKVGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMEHLRDLIAKSNPGEKYGDYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQFESDASKHGLDAQIALKPLIDLALSISKLKDFTGRNQPTVIT* >Brasy3G337300.1.p pacid=40041553 transcript=Brasy3G337300.1 locus=Brasy3G337300 ID=Brasy3G337300.1.v1.1 annot-version=v1.1 MAFALRSISLPSRHHSSEEVVQEDLCILETSISSSITIETMCDGLRRLGDIYGAVEEIIQLPSNQVCSSQQRKMLDGETECSLQLLDLCNTMQEIYVELKAIIQELQVALRKGDDATVHATIHSYTRLVKAGKHFKKTNRKSTSDKMDCGVARLLTKAREMSVSLLESTVELLSKLLSKQIEMPQQSLVSKAFQKKKLVVCKEEQLQELECSIGDLESGAGHLFRRLVQSRVSLLNILGS* >Brasy3G227900.1.p pacid=40041554 transcript=Brasy3G227900.1 locus=Brasy3G227900 ID=Brasy3G227900.1.v1.1 annot-version=v1.1 MASMAAKKESLWLVVTTMLVLVVLLGTSAGVLLGAAVDDDKQHAAADDGSPPDYAEALAKAILFFEGQRSGRLPANQRARWRGDSALNDGRQENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWSAVEYRGEVAAAGQLGNLRAAIRWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITADSPGSEAGGEAAAALAAAYLVFKDDSDKQAFSSRLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLHRATQDARYLDFLLDNEGSSNPVNEFSWDNKLAGAQMLATQEYLRGKKELARYKDNMDSFVCALMPNSGNVQIQTTPGGLLFTRDSVNMQYTVTAGLLLSIYSKALRSSGSGGVRCSAASFSPDQIITFATSQVDYILGKNPMGMSYMVGFGTKFPRRIHHRGSSIPSVKILSRTVPCREGFSSWLPTSDPNPNVHVGAIVGGPNGNDQFSDDRGDSSHSEPATYINGAFVGACAAAMGQKQLVKLEEPAHNLAPTLSTY* >Brasy3G122200.1.p pacid=40041555 transcript=Brasy3G122200.1 locus=Brasy3G122200 ID=Brasy3G122200.1.v1.1 annot-version=v1.1 MNPQALLRLLHALTGTQDEDIEEAMYHNLLTKLRLKSGELHNLDTTNEPEAPIFEKTPQCVTKVLINFAENSSNKKIAAGEPDGAIGEKDASG* >Brasy3G025100.1.p pacid=40041556 transcript=Brasy3G025100.1 locus=Brasy3G025100 ID=Brasy3G025100.1.v1.1 annot-version=v1.1 MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTKS* >Brasy3G273200.1.p pacid=40041557 transcript=Brasy3G273200.1 locus=Brasy3G273200 ID=Brasy3G273200.1.v1.1 annot-version=v1.1 MAAGSSNADLAGLIDDSYFSALTHARNDADFAQDDDLFPISDEKYAVELQFQEVIMSSAIAAAASTSTSSSSLPDTLRRAMNTSPVPIVIIDDSSSAGASSSSSSRPSTAATPTAAALVFCKICMDAVPAADAHRASSGCAHAFCGACLAGYLGAKIQDRIADIKCPEERCAGVLDPALCQGMLPREVFDRWGAALCESMVLGAKRVYCPFKDCSAMMVADDGDGDGGVTQSECQVCRRLFCARCGVPWHAGADCAAYRKLGRGDRGKEDMMLLEMAKGKKWKRCPKCQYFVEKIDGCLHITCRCRYEFCYGCGNQWGVTHSSCSSA* >Brasy3G055600.1.p pacid=40041558 transcript=Brasy3G055600.1 locus=Brasy3G055600 ID=Brasy3G055600.1.v1.1 annot-version=v1.1 MSSSVVGSVVMAPLTSSSASACLLPLTSNKHGRRRLSCKAMAGAGADDDDSFRIDRRDVLLGLTAATTGSTLGRARALLAAEGVKQPMPITSEVLKCVSEGEFVCPAGSTDYDDATVVNFSELHAPTGPPRVRRPAHLLSEQEVEKLELALQRMKELPDDDPRSFKNQAAIHEAYCDSKYNVVARSPGEPDATKFDVHLSSIFAPWHRMYIYFFEGILGELVGDPTFGLPYWNWDAPAGMMLPAAFANPGSPLYDENRRSENDRGSFIDLSLKPKVPSDPNKFKDDLLGLIDSNLCAMYRQMNVKEPVDFHGGYPSRTTTPPVGSLESGAHTAAHIWVGKHMGNLKTAARDPVFYSNHSNVDRMWHLWSTKLGNHEDLANDQWLDTNFVFYDETKRPVRIAVRDVLDAEKLGYTYEEKKSLEWMERRIKPAAIKIDDRTRDAKDNSSLPLVLKKGRMEYLAVERPKKDGSRTDEVLVVDVTLDPCEQVKFDVLVNVPRGEEDKVGPQNSQFAGSFSTVPHGGTMTGSGMTKPVVSCRFKLQELIQDLNCNRSKMLNITLVPVEGEKTIVDNLRVELC* >Brasy3G246600.1.p pacid=40041559 transcript=Brasy3G246600.1 locus=Brasy3G246600 ID=Brasy3G246600.1.v1.1 annot-version=v1.1 MSREDNVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEDHVTLIKDYRGKIEAELSKICDGILKLLDSHLVPMSTAAESKVFYLKMKGDYHRYLAEFKASAERKEAAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEEGAEEGKEASKGEAGEGQ* >Brasy3G339500.1.p pacid=40041560 transcript=Brasy3G339500.1 locus=Brasy3G339500 ID=Brasy3G339500.1.v1.1 annot-version=v1.1 MGMRVTLFWSNLLNRIPAPASRSPMVHSSTWNCSSLQTTGFFFWNALETRDHFGVMICLDKRCNVDSSREVVISLASLNCLTVLQSLLSEVTFAVFLKCFFAFFTKRIDPKAQESTPFLRTTSSFARKSKLGC* >Brasy3G215600.1.p pacid=40041561 transcript=Brasy3G215600.1 locus=Brasy3G215600 ID=Brasy3G215600.1.v1.1 annot-version=v1.1 MAALVLVLVLAACAAIAPVASAVPFIVLHGIGDQCGNDGIASFTDELGKWSGSKGYCMEIGRGSWDSWIMPLQEQANAVCKKVKEMKDLHEGYNIIGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGVLCILVDALIQLEIYSNYVQAHLAPSGYLKIPTDMAEYLKGCKFLPKLNNEIPSERNATYKERFSSLENLVLIMFQDDAVLIPRETAWFGYYPDGSFNPVLPPQKTKLYTEDWIGLRTLDETGRVKFVSVPGGHLRISRSDMKKYVVPYLKPSCGSLKQSTRRILLN* >Brasy3G215600.2.p pacid=40041562 transcript=Brasy3G215600.2 locus=Brasy3G215600 ID=Brasy3G215600.2.v1.1 annot-version=v1.1 MAALVLVLVLAACAAIAPVASAVPFIVLHGIGDQCGNDGIASFTDELGKWSGSKGYCMEIGRGSWDSWIMPLQEQANAVCKKVKEMKDLHEGYNIIGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGAHLAPSGYLKIPTDMAEYLKGCKFLPKLNNEIPSERNATYKERFSSLENLVLIMFQDDAVLIPRETAWFGYYPDGSFNPVLPPQKTKLYTEDWIGLRTLDETGRVKFVSVPGGHLRISRSDMKKYVVPYLKPSCGSLKQSTRRILLN* >Brasy3G224700.1.p pacid=40041563 transcript=Brasy3G224700.1 locus=Brasy3G224700 ID=Brasy3G224700.1.v1.1 annot-version=v1.1 MAPTTLSLDQPLLLISKLLILLHALIFSFSGARAAPWITTKAVPRLPGYSGGGALPISLETGYVGLDDGVRLFYYFIQSERAPAEDPVLLWLTGGPGCSALSGLGYEVGPLSFDFDGYTGGLPTLLRKTEAWTKVSNVIFVDSPAGTGFSYDTTHGATPSDTIVVHQLQIFLETWFDEHPQFLSNPLYIAGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDTRLDDNGQLPFLHGMGIIPDELYEPARKSCRGEYHSPSNAACANSLQAINDCTRDLNGAHVMEPTCLEYPDLSIVHKKPTTLPENGTNRLMLESATLSSVCRNSTYFLSEVWANDEAVRESLGIRKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKISFVGTQAWIRQLNLSITDDWRPWYVDSQVAGFTRAYSNNFTYATVKGAGHTAPEYMPRECLAMIDRWLSGHPL* >Brasy3G224700.2.p pacid=40041564 transcript=Brasy3G224700.2 locus=Brasy3G224700 ID=Brasy3G224700.2.v1.1 annot-version=v1.1 MAPTTLSLDQPLLLISKLLILLHALIFSFSGARAAPWITTKAVPRLPGYSGGGALPISLETGYVGLDDGVRLFYYFIQSERAPAEDPVLLWLTGGPGCSALSGLGYEVGPLSFDFDGYTGGLPTLLRKTEAWTKVSNVIFVDSPAGTGFSYDTTHGATPSDTIVVHQLQIFLETWFDEHPQFLSNPLYIAGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDTRLDDNGQLPFLHGMGIIPDELYEPARKSCRGEYHSPSNAACANSLQAINDCTRDLNGAHVMEPTCLEYPDLSIVHKKPTTLPENGTNRLMLESATLSSVCRNSTYFLSEVWANDEAVRESLGIRKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKISFVGTQAWIRQLNLSITDDWRPWYVDSQVAGVLATRLRSTCPGSVLL* >Brasy3G245100.1.p pacid=40041565 transcript=Brasy3G245100.1 locus=Brasy3G245100 ID=Brasy3G245100.1.v1.1 annot-version=v1.1 MATEEAPKPAPLPPYPEMILEAIEALNDKQGSNKSAISKHIEGKYGDLPPAHGSLLTAHLSRMKESGELLFLKNNYFRADAPDAPPKRGRGRPPKSKDPNAPPPQPKQPKPASPRPRGRPAKPKDPEAQQAERPAKKPKPAAPAPAPAPAADGSAPAKRGRGRPPKVRPAEPAAA* >Brasy3G035500.1.p pacid=40041566 transcript=Brasy3G035500.1 locus=Brasy3G035500 ID=Brasy3G035500.1.v1.1 annot-version=v1.1 MAGQGQRLSVVPTVTAMGMVKARLAAATRGHALLKKKSDALTVKFRAILRRIVAAKEAAGDAMRAASLSLAQALYVAGPPLRQAVRLHSGPAVVRVRASHDNIAGVRLPRFETHADAPETTPITLAGLAGGGQQASACRAAHGHALGLLVELASLQTAFLTLDEAVKTTNRRVNALEHVVKPQLENTVAYIRDELDEQEREEFFRLKKIQAVKQRELERQMESAERYAEEKAAGAVALSRGVSLGTAASMLDNNGAGDRDQDIIF* >Brasy3G102300.1.p pacid=40041567 transcript=Brasy3G102300.1 locus=Brasy3G102300 ID=Brasy3G102300.1.v1.1 annot-version=v1.1 MGSFKLSMLVSLLPFFVLLFALIAAATSREELSTYLVHVQPQDGNVFATADDRETWYRSFLPEHGHGRLLHAYHHVASGFAARLTRGELAAIAAMPGFVAAVPGVVYKVRTTHTPRFLGLDTRQGGRNATAGSGDGVIIGVLDTGIFPDHPSFSGAGMPPPPAKWKGRCDFNGSACNNKLIGARAFLSGGSSPAGARAPPTDEVGHGTHTSSTAAGAVVPGAQVLGQGGGSASGIAPRAHVAMYKVCAGESCDDVDILAGIDAAVSDGCDVISMSLGGDSAPFFNDSIAIGTFAAAEKGIFVSMAAGNSGPLHSTLSNEAPWMLTVAASTMDRLILAKVILGNGASFDGESILQPNTTATVGLVYAGASPAPDAQFCGHGSLDGLDVKGKIVLCDLDSFGSDADTEVLRAGGAGMILANPFLNGYSTFTDFVYALPASQVSYAAGVLMKTYINSTANPTAQIAFKGTVLGTSPAPAITSFSSRGPSIQNPGILKPDITGPGVNVLAAWPFQVGPPAFDPRPTYNIISGTSMSTPHLAGIAALIKSKHPDWSPAAIKSAIMTTADVNDRSGESILDEQHNTADLFAVGAGHVNPGKAVDPGLVYDIASADYIGYLCSMYTDKEVSVIARTAVNCSAVAVIPQSQLNYPSIAVTFPVNRTALAPMIVNRTAKLVGESPAEYRAVIEVPAGGSVNVTVSPSVLSFSEASPVQNFTVLVWSWSAEANPAPTKAALLWVSARHTVRSPISISFTPR* >Brasy3G086700.1.p pacid=40041568 transcript=Brasy3G086700.1 locus=Brasy3G086700 ID=Brasy3G086700.1.v1.1 annot-version=v1.1 MAKKVCAVTGGRGFMARHLVAALLRSGEWSVRITDLAPVAALEPAEKEGLLGAALRDGIAVYASADVCDLAQLTKAFEGVDTVFHTAAPDPVNNNFKLHYKVNVEGTKNVIHACKTCKVKRLIYTSSSAVVFDGVHGLFGVDESIPYPDKFPDAYTQTKAEAEKLVKSANDTNGLLTCCIRPGTIFGPGDKTIPYYVSYARMMFTIGDGKNSDDFVYVENVANGHMCAERTLSTKSGAARSGGKAYFITNMEPMHMWDFLYIVLDELGYKRRIKIKIPAYLLKPITCILDRLCPFLGARLPVMLTSATIKYITLSRTFSCNNAIEQLGHQPTVSLKEGIKITAESYNHLRA* >Brasy3G086700.2.p pacid=40041569 transcript=Brasy3G086700.2 locus=Brasy3G086700 ID=Brasy3G086700.2.v1.1 annot-version=v1.1 MAKKVCAVTGGRGFMARHLVAALLRSGEWSVRITDLAPVAALEPAEKEGLLGAALRDGIAVYASADVCDLAQLTKAFEGVDTVFHTAAPDPVNNNFKLHYKVNVEGTKNVIHACKTCKVKRLIYTSSSAVVFDGVHGLFGVDESIPYPDKFPDAYTQTKAEAEKLVKSANDTNGLLTCCIRPGTIFGPGDKTIPYYVSYARMMFTIGDGKNSDDFVYVENVANGHMCAERTLSTKSGAARSGGKAYFITNMEPMHMWDFLYIVLDELGYKRRIKIKIPAYLLKPITCILDRLCPFLGARLPVMLTSATIKYITLSRTFSCNNAIEQLGHQPTVSLKITAESYNHLRA* >Brasy3G054100.1.p pacid=40041570 transcript=Brasy3G054100.1 locus=Brasy3G054100 ID=Brasy3G054100.1.v1.1 annot-version=v1.1 MASGGGGGGGSSKGLAAGKKRKAAGAGLGDLPSRREPRRGLGVAALESIRAQLETAENFYMFPSLSLTPPAAAQPPPIQSLLPGVRFNPYVGDGAAARDYYPQYYSAQHYALACSRYLQLQASNDHVVLRQNHQNNAVAVATEQDQHRLREHGQTRKPQVAFVDLVDSDDDEDQDAAGEKLDLELKL* >Brasy3G061200.1.p pacid=40041571 transcript=Brasy3G061200.1 locus=Brasy3G061200 ID=Brasy3G061200.1.v1.1 annot-version=v1.1 MRRVCMEHLLTTKRLESFAAHRAQEAEHLCQFVWAKSQSEKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFYLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREARKTTASSLDDDDDDDDDDDSKEEMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKHPRVLRKIQEELDTVVGRARMVSESDLPHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPARTRIFINTHALGRNPRVWDDVGQFRPERHMPAEGGARVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGEEIDTDEVYGMTMPKALPLFAAATPRLPPETYGHGSSCPSHGKQTM* >Brasy3G173300.1.p pacid=40041572 transcript=Brasy3G173300.1 locus=Brasy3G173300 ID=Brasy3G173300.1.v1.1 annot-version=v1.1 MRLQRHKMSVEDFDLLTMIGKGAFGEVRVCREKATGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVTLYCSFQDTDFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHSYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDYSAFPDLNEKDVTPNRTSSAHGDGRHQSVPNRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFRGIEWEKLYETEAAYLPQVTDELDTQNFEKFEDSSDHCQASSKTGPWRKMLSSKDLNFVGYTYKNFELVNDDEVLEMAGLKKKEKAKRPSVKSLFDSPEGEEQQAAGGEDDDGGSDDGSARCRKSTAEPELTRSLSLPSSSDEKPGLTLPSD* >Brasy3G127800.1.p pacid=40041573 transcript=Brasy3G127800.1 locus=Brasy3G127800 ID=Brasy3G127800.1.v1.1 annot-version=v1.1 MAFSFSSPAQQNPFQTPAQQNPFQTPTPSLQNPFQTPAPAQAQAQAPSPSPFQFNFQQPQQQQQQQQQLAAPTAQPQSQQLMLYTTEGKPAGYNTKWEELHTESQKALLQIEDKIREYKDESERLDQCSRLHDSSISNVNFELDASRITQELGGTATILEREKASVQELMTVVNEMMWNTEFAIRSYMMLRPRFNKSASGSSNPSGPAGALSNQSVVLAPTNDFYSGVPKRPSLFMQQTVSRFEKYLGECSKWIGELEQLVQMESNKRSSSSLESLPKVMSNVHDYFIYVASKVENLHQYVATMKTEYLHGQRRLGNANDPFLEANRREAAKQEAAAKRVHPTLHLPAPVQPTTQVSAPATSQPQQSLLPSGTNSSSAFAAFSTPASAPSTSSLFSTPTTPTLTSSLFSTPTLTTNLFGSSGSAQLSTPFGTSSTPTFGSTPAPSAFGSTPSFASTPALGGTSLFSTPFGGGATASGSSFGGTSKGRSKARGRR* >Brasy3G037400.1.p pacid=40041574 transcript=Brasy3G037400.1 locus=Brasy3G037400 ID=Brasy3G037400.1.v1.1 annot-version=v1.1 MASKLQQQQQQEEGSLGEETAMAASPTLWSLLLGALDLTRLRDNLLGSAKGRLTSMGFQRNGRIEQGVGPEAGQGRWRTPTPTNLTKRLTTAGRGGAGRGEGGGGRRGGRRRCEGRGGQEVAAAVKDMGLRRRRRTSLRRVEGSFGRSQAPWALLGRRTAYAECNGPRHSA* >Brasy3G291000.1.p pacid=40041575 transcript=Brasy3G291000.1 locus=Brasy3G291000 ID=Brasy3G291000.1.v1.1 annot-version=v1.1 MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQIKGAAQKGPKGPKLGGGGGKR* >Brasy3G291000.2.p pacid=40041576 transcript=Brasy3G291000.2 locus=Brasy3G291000 ID=Brasy3G291000.2.v1.1 annot-version=v1.1 MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQIKGAAQKGPKGPKLGGGGGKR* >Brasy3G324100.1.p pacid=40041577 transcript=Brasy3G324100.1 locus=Brasy3G324100 ID=Brasy3G324100.1.v1.1 annot-version=v1.1 MSDSTLQDLNLAQSAELEKSKDSVAKPCNTKPVLNGNKRVDKEENAPLACPDAVTNGCEAAVVDVEYIDSENLIDLPDVDTSFSTLLARLDSKDWIKTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSALCKTALMTCADIFKAYGELMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALISMTSWISPSILLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGVEGIKEYGMDELIQVAATQLSDQLPESREAARKLSLELQAFYEKSQASSSGEDESVPAASPAAETWEAFCQSKLSALSAQAILRVTSTTKETSTTKDGVTVGVPFALKEGGGPVTPKEGDVIVAPKEGAAAVGC* >Brasy3G324100.2.p pacid=40041578 transcript=Brasy3G324100.2 locus=Brasy3G324100 ID=Brasy3G324100.2.v1.1 annot-version=v1.1 MSDSTLQDLNLAQSAELEKSKDSVAKPCNTKPVLNGNKRVDKEENAPLACPDAVTNGCEAAVVDVEYIDSENLIDLPDVDTSFSTLLARLDSKDWIKTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSALCKTALMTCADIFKAYGELMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALISMTSWISPSILLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGVEGIKEYGMDELIQVAATQLSDQLPESREAARKLSLELQAFYEKSQASSSGEDESVPAASPAAETWEAFCQSKLSALSAQAILRVTSTTKETSTTKDGVTVGVPFALKEGGGPVTPKEGDVIVAPKEGAAAVGC* >Brasy3G156600.1.p pacid=40041579 transcript=Brasy3G156600.1 locus=Brasy3G156600 ID=Brasy3G156600.1.v1.1 annot-version=v1.1 MDNCLAWGKELPMAQFVGSANGGLGFFHINIDEKASSKWLNMKNCGVVAVTHGDISSNELVLKMSETWDATWPWQVRQLDGKSFLVRFPPGKKVADLIELPSFNLKEGADNSRVTIKILPWDGDLTELGEPTELWMQIRGIPPKWISWKVITQISKCFGLLLDVDWNGIFKSLYEMVRVKVAVRNPAKIPPERMVVMRKKFYLLQFTVEWEGIDIDKVMGLDDKDYDGSDEYEDDTLMDEELQDFEKKRGTYQQKKGKKDAQHPPSGGSKGVPPAKNQSNSFQALVDANLVDSDEEPDLSGDNQDPLNMEKMEISKDLNTNLTKNSPIGTDTQPDQIRQLSQATFVSENEEDTAFLSQKETEEDTSINLTNTEEFPTPTEAKGRKKQKQKWGPVIAARQSSRIAHDGRSMLSRAQDLMSQKNLEVPTYKGNKFKHSFATVCNTELASQAKALNICLGPTPASIHKQIDHLKKLEQKRLEQLNIEQPDIFLPVEIDITLEDVLEQHD* >Brasy3G103000.1.p pacid=40041580 transcript=Brasy3G103000.1 locus=Brasy3G103000 ID=Brasy3G103000.1.v1.1 annot-version=v1.1 MVAASSSSWSPVHYQLLLLVLIALLAFAGHVAAAAGSSGARNAAVGKKSAVLSLRELEYWGTAAATKTIQGRRYAQAKQAGFLGEHNKAAEEAARRRSRSTTTVLELELKHHSSTTIPDHPAGSERYLRNLLAADSARAASLQLPKPKPASTTTQSAAATAEVPLSSGIRYQTLNYVTTIALGGNPSAKNLTVIVDTGSDLTWVQCEPCPGSGCYAQRDPLFDPAASPTFAAVPCGSPACAASLKDATGAPGSCARSPGNSSEQRCYYALSYGDGSFSRGVLAQDTLGLGPGTKLDGFVFGCGLSNRGLFGGTAGLMGLGRTDLSLVSQTAARFGGVFSYCLPPTPASTGSLSLGPGPSSSFPNMAYTRMIADPAQPPFYFINITGAAVGGGAALAAPGLGAGNVLVDSGTVITRLAPSVYKAVRAEFARRFEYPAAPGFSILDACYDLTGRDEVKVPLLTLTLEGGAQVTVDAAGMLFVVRKDGSQVCLAMASLPYEDQTPIIGNYQQRNKRVVYDTVGSRLGFADEDCA* >Brasy3G274500.1.p pacid=40041581 transcript=Brasy3G274500.1 locus=Brasy3G274500 ID=Brasy3G274500.1.v1.1 annot-version=v1.1 MTGEEAPLGALNLAEYAPAGARTVDCFKRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHDNIIRLKEIVVSPGSTHGTGGSDDHMYRGDIYMVFEYMDHDMKKVLHHSIPSQVKVYMEQLLKGLHYCHVNNVLHRDIKGANLLISGDKLLKIADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEGVDIWSVGCIFAEFLLRKPLFPGRTEQEQLSKIFELCGYPNEENWPGASKLPLYKTIHPTTPTKRRLRDILKNFDCHAVELIDRMLILNPSQRISAQDALRATYFIRYGEET* >Brasy3G274500.2.p pacid=40041582 transcript=Brasy3G274500.2 locus=Brasy3G274500 ID=Brasy3G274500.2.v1.1 annot-version=v1.1 MTGEEAPLGALNLAEYAPAGARTVDCFKRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHDNIIRLKEIVVSPGSTHGTGGSDDHMYRGDIYMVFEYMDHDMKKVLHHSIPSQVKVYMEQLLKGLHYCHVNNVLHRDIKGANLLISGDKLLKIADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEGVDIWSVGCIFAEFLLRKPLFPGRTEQEQLSKIFELCGYPNEENWPGASKLPLYKTIHPTTPTKRRLRDILKNFDCHAVELIDRMLILNPSQRISAQDALRATYFIRYGEET* >Brasy3G342700.1.p pacid=40041583 transcript=Brasy3G342700.1 locus=Brasy3G342700 ID=Brasy3G342700.1.v1.1 annot-version=v1.1 MRGAARDAALHIFHAYHASLARIGVGEWPHHLEESGSSSTTPPPTFVPPQLVSTSVFPPLPPLVPTFIPPPPPLSALPPLYPTVPPSTPDFSAPPRVLRGSSSRAVSRPPTPYRRPPSPQSSVQELLSQLDTLQQRCSRLERENRDLTAALRDYTRPGRRYSEFHRSPTTSRPHSSHTTGFSTCRSCSTVSRLHPCFPRVHTRFSPTTHLLLPSTPQVLQTPSVLTYSDFAELDTSLVSSQFTPSVLPEPAPNPAGAVSR* >Brasy3G216300.1.p pacid=40041584 transcript=Brasy3G216300.1 locus=Brasy3G216300 ID=Brasy3G216300.1.v1.1 annot-version=v1.1 MKAAAGLLLAAVAVALLGGAAAAPPRKPVDVPFEKNYVPTWASDHIHYLNGGREVQLSLDKSTGTGFQTRGSYLFGHFSMHIKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFSGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFDQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARFCATQGARWWDRPEFRDLDAAQYRRLAWVRKEHTIYNYCTDRERYAAMSPECKRDRDV* >Brasy3G263800.1.p pacid=40041585 transcript=Brasy3G263800.1 locus=Brasy3G263800 ID=Brasy3G263800.1.v1.1 annot-version=v1.1 MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTGTK* >Brasy3G305700.1.p pacid=40041586 transcript=Brasy3G305700.1 locus=Brasy3G305700 ID=Brasy3G305700.1.v1.1 annot-version=v1.1 MPWISVASSRSSRAGHVPVANCTQIVAAGRKKAVAGLDLLPHAPCGCLISPSLHRRTPVPMGLWLQEFGSHCSCCSRLEQEVPHLSIRHFFFISQSLDLILSW* >Brasy3G340900.1.p pacid=40041587 transcript=Brasy3G340900.1 locus=Brasy3G340900 ID=Brasy3G340900.1.v1.1 annot-version=v1.1 MMYRQLLNMIVHDSRTNLYSLCRIDPFHPSPQAVSQAAARAAKANNGDGSSSWLSAVSSFRSLPRPEINFSSPPKSASVPQKHFFSLVEGNGVDCVLYASPSRYSLLYDYSKTSIVNVPQPNFCKPRDAFSFNITRRQGSVPQDSYGLYVLGNSGPETCFEVFNYGKRGPGERDLSWYWERLPSPPPALSPQPLWSHVASSGGGVDAKDVWHPSAVALVDDDTLCVSSDSMDDGRGGTYTFDMANRKWRHAGDWVLPIRGTAKFAPELGFWFGLTSDSDGHRLCAFELSPSSSSSPPTVQHTWEYLHHPPEDECWEPRHPHQLVYLGSGRFCIATSFLKVDRRTLVPLGTEAEDQTMFHELTVLTGVEVVHSNSCDSNAGHGLQMINHMSLHYNDIDGIRVHCVM* >Brasy3G000700.1.p pacid=40041588 transcript=Brasy3G000700.1 locus=Brasy3G000700 ID=Brasy3G000700.1.v1.1 annot-version=v1.1 MAFLFLPEQLISTLALLLLVLALYIKCSRSKNPLRPRNWPIIGILPSLVHNFHGFHDYVTHLLASSGNSFKVTIASMGSFVTCDPANVQHIFTSNHANYPKGDGFADIFDVVNGTLSPGFVAFTANYCHDKVEKGLLPFFIRAARTGNPLDINDLMGRLVFDLYATSVFGVDPGLLSLAMPPVHLADAMDTVMEVGFFRIIVPAFCWKSMRRLNIGPERKLAAAQAVLLGFAMEMIDRTKTSGTHIGLPEQATASSVDILSNFIDDLDYNNDDFLPKVLIAHMIAGRDTIGTTLPWIFYNLAKNPHVVSRIRNELAPIVSRKETTIASDPTTFEPEEVKSLVYLQASLLETLRLYPPTPFERKAAVAASDVMLSGHEVCARDIIIVSLYSMGRMEDVWGADCREYKPERWLLKDGSMLRHVPSHKFLAFNSGPRLCLGEDIAIIQMKTIVASVVWSFDMEVLGAQVIEPKLSCLMQMKNGLKLKVHKRQI* >Brasy3G305300.1.p pacid=40041589 transcript=Brasy3G305300.1 locus=Brasy3G305300 ID=Brasy3G305300.1.v1.1 annot-version=v1.1 MSVSAGMDRVLLLPALLLAALLLACSGGVHGATFSRYSFPKDFIFGTGSSAIQYEGAFERGKTTWDTFSHTPGKTADNGTTDIANDFYHRYKEDLQLITDMNMDTFRFSIAWSRILPTGTIAGGINQKGVDFYNSLIKEVLSRGLVPFVTIFHFDTPQALEDKYGSFLSDKIIKDYVEYADLVFGLFGDRIKLWNTFNEPMIFCSGGYATGIAAPGRCSPYVSKTCGAGNSATEPYIAGHNLLLAHAEAVALYRTKYQKAQGGQIGITQVSNWFEPYDPKSVADVRAQERSLDFMLGWFQHPVTYGEYPATMRGLVGARLPEFTPEQKKKLAGSFDFIGINYYTSNYAKHAPAPNALTPAYGTDNNANQTGYRNGVPIGPPAFTPIFFNYPPGLRELLLYIKRVYKDPAIYITENGTDEANNSTIPIKEALKDNTRIMFHYKHLEFVYRAIREGVNVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARYRKKSSYWLEGFLKRRH* >Brasy3G063200.1.p pacid=40041590 transcript=Brasy3G063200.1 locus=Brasy3G063200 ID=Brasy3G063200.1.v1.1 annot-version=v1.1 MKTLCLNCRGCGQPEAVQELRLLVEQHSPEIVFLSEAKMSADKAGKLRFLLGFENATGVGSDGLSGGLLLLRRKGIIVQQKTKSNSHIDVVSIGW* >Brasy3G180700.1.p pacid=40041591 transcript=Brasy3G180700.1 locus=Brasy3G180700 ID=Brasy3G180700.1.v1.1 annot-version=v1.1 MGGQRVEDGSNLQRFLDCTTPAVDTHILPKTNGRLSTDAWHHAEMDSVEYFNLADLWEQYYEWSAYGAGVSVQLLGGDKVVQYYVPYLSGIQLYTNKVLTVSRSFGEDYGMDFWSDDEDNEKMSRSWSSTSDDSFNCDMVAGNRRRPGHLYFEFFEVCSPYGRIPLMDKVYELSQGFPGLTSLKSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSAFQDHALESMTYGSAASGKQNDHLNKKANAISLPPFGLAANKIQGSLWTNPRSGDHKRIVSLFSAAESWLKQLGVRHHDFNYFITHPM* >Brasy3G180700.2.p pacid=40041592 transcript=Brasy3G180700.2 locus=Brasy3G180700 ID=Brasy3G180700.2.v1.1 annot-version=v1.1 MGGQRVEDGSNLQRFLDCTTPAVDTHILPKTNGRLSTDAWHHAEMDSVEYFNLADLWEQYYEWSAYGAGVSVQLLGGDKVVQYYVPYLSGIQLYTNKVLTVSRSFGEDYGMDFWSDDEDNEKMSRSWSSTSDDSFNCDMVAGNRRRPGHLYFEFFEVCSPYGRIPLMDKVYELSQGFPGLTSLKSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSAFQDVPTC* >Brasy3G128400.1.p pacid=40041593 transcript=Brasy3G128400.1 locus=Brasy3G128400 ID=Brasy3G128400.1.v1.1 annot-version=v1.1 MKAKNSATAAAGDRRPVITRSILLLCAFSFGLGMLFTDRFGTVPDLRNPGVTQRRRLEGEPKKIVTEDFVAKAKPSNDRDVMGEVSKTHEAIQYLDKSIATLQMELAARRSKHELLGISDGTRQERKKAFVVIGINTAFSSKKRRDSVRETWMPQGEKLKKLEEEKGIVIRFMIGHSTTSDSPLDRSIDEEDAIYHDFLRLDHVEGYHKLSAKTKTFFSTAVASWDADFYVKVDDDVHVNLGMFITTLGRHKLKPRVYIGCMKSGPVLSDKNSKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISVNQPLLHKYANEDVSLGAWFIGLDVEHVDDRDMCCGTPPDCEWKAQAGNVCVASFDWRCSGVCNPVERLKYVHSRCGEGDEAIWGASI* >Brasy3G224800.1.p pacid=40041594 transcript=Brasy3G224800.1 locus=Brasy3G224800 ID=Brasy3G224800.1.v1.1 annot-version=v1.1 MCSSCMDLRRESKVTSPESLRLPVPAPSPRCPPAYPPAPRRPHAPRRPPRARAALRPPVRLPADAQDAASSPPQSPFPQPAPRTLAPACSFVSPVRSSRRRRPPPPERGASSAGSPGSSAPAPTASPGSSPHPPRPPSHPSSSPPAAAAAPAGDRRRRPPRVRGASSAGSPGSSAPTLPSYPVFSARPHSDGVFHHAPPPLAPTASSDPLPERVASSAGSPDAKCWIAGLGSMQDAATPDSIARDQEKH* >Brasy3G057500.1.p pacid=40041595 transcript=Brasy3G057500.1 locus=Brasy3G057500 ID=Brasy3G057500.1.v1.1 annot-version=v1.1 MAITKALLLITVTAAALLGTALGASYTVGAPAGSWDLKTNYTQWASARRFFPGDNLRFQYPAATHNVLEVTKAGYDTCSNVSSVPGSGGSINGSAVIAAYQTGNDVITLAASGVTRYFLKVNVAAQPQPPMQCRGRGKGARRIRCTRPAPASPEASSAIDSGADRSRLWLAAVVAAGSLLLCF* >Brasy3G224000.1.p pacid=40041596 transcript=Brasy3G224000.1 locus=Brasy3G224000 ID=Brasy3G224000.1.v1.1 annot-version=v1.1 MASHPHPPSNKGDSDVEIHVPSQAADGSDATRPLLPAACEAYSVSAAVLPFFFPALGGLLYGYDIGATSGATISLKSPTTSGTTWYNLSSVQTGLVVSGSLYGALIGSAMAFTIADFLGRRRELVVASISYLVGALLTAVAPNFLIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKELFIVLGMLLGYIVGNFFVEVLSGWRYMYATSTPVCVIMGIGMCWLPASPRWLLLCATQGKGNLLETKENATRCLCRLRGQASPHLVSEQVNLILDELSYVGEEKNAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQTAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVALFLLSSYYTLFKGASYVAVIALLLYVGSYQLSFGPIGWLMISEVFPLKLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGVLFASFGVIALASLGFILCIVPETKGLTLEEIEAKL* >Brasy3G190600.1.p pacid=40041597 transcript=Brasy3G190600.1 locus=Brasy3G190600 ID=Brasy3G190600.1.v1.1 annot-version=v1.1 MVSLSNIAMEWLQDPLSWLFVASVLLLVLQRRRRGNKAPFPPGPKPLPFIGNMTMMDQLTHRGLAALAKQYGGLLHLRLGKLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIKYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDESAALVRAVAASAAKGGSEAVNLGELIFSLTKNVIFRAAFGSAGDGGKQDEFIAILQEFSKLFGAFNIGDFFPWLGWADTQGIDARLRAARSALDGFIDNIIDEHMKRGKNPDDADADMVDDMLAFLDEAKPKKAGAGAGDDDLQNSLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPSDLRRLQQELADVVGLDRNANESDLDKLPFLKCVIKETLRLHPPIPLLLHETAEDCLVGGYSVPRGSRVMINVYAIGRDAGAWKDPDAFRPSRFARGGEGEAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFSWELPDGMKPSELDMGDVFGLTAPRATRLFAVPTPRLTCSLLQNADGGDARQACQVMGIDGV* >Brasy3G293100.1.p pacid=40041598 transcript=Brasy3G293100.1 locus=Brasy3G293100 ID=Brasy3G293100.1.v1.1 annot-version=v1.1 MGKADARPREHGGGGGNGSPGKIFVGGLPRDTTPAVFQKHFGQYGEIIDSVIMKNKHTSQPRGFGFITYADPAVVDLVMEDNHVINGKQVEIKRTIPKDSMQSNPKDFKTKKIFVGGLPSTLTEDDFKDFFEKYGTVVDHQIMRDHQTRRSRGFGFVVFGSEQVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNPPPSGGSDSRSAYSRDSRDRPSGDDHGGLAGAYNSYNSGGFGPYRNLGSFGGSLGGHGGVGDYHGRYGRYYAGLGGYEGMSSFGYPSRFAPYGGGFDGPYAGGNFSGYRRGGDESFGGPGSSSFGGGMYGGPYDPALGGYGPGSPPEMNRGSFGGASGRYHPYG* >Brasy3G127100.1.p pacid=40041599 transcript=Brasy3G127100.1 locus=Brasy3G127100 ID=Brasy3G127100.1.v1.1 annot-version=v1.1 MARRRHARAAAFALAIFAFSSAASATMAPTEAPGRASASPICHDGTKQSPIDISQVVHDNKMGPLKQSYKASNGTMENRGHNFVLEWKGGNGNLTVDGKDYPLHQVHWHAPSEHTVNGTRFDAEIHMVHEDANKARTVVAVLFSTKEAGHPSKMLNHLGKYFKKLAGKKDADEEVKEPIDPAAWIDRTSGYYRYEGSLTTSPCTEPVIWTVMSKVKHISKELIKYLQSVTKIVQHNNRPTQKLNDRVVRYYEGETKDRAEG* >Brasy3G073700.1.p pacid=40041600 transcript=Brasy3G073700.1 locus=Brasy3G073700 ID=Brasy3G073700.1.v1.1 annot-version=v1.1 MAPLGDAPAAGGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVDILKQNGVNSEGCLYDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTADELNLDLIRRARIFHYGSISLITEPCRSAHVAAMRAAKAAGILCSYDPNVRLPLWPSPEAAREGIKSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGYSVKTVDTTGAGDAFVGSLLLNVAKDDSIFHNEAKLREVLQFSNACGAICTTQKGAIPALPTTAAALELISKGSN* >Brasy3G286200.1.p pacid=40041601 transcript=Brasy3G286200.1 locus=Brasy3G286200 ID=Brasy3G286200.1.v1.1 annot-version=v1.1 MGRTHGGGNGRISSSFLVVLLFVALVSQAADMATAQRDSPSGRGPSYFNPQSFNPSMAVIIVVLVTAFFFLGFFSIYLRRCAGSPLGPGPAGVGGDLLALGAGSGITFAAGAAAVRPRTPRGLDPAALRALPTMAYADVKAHRVGKGELECAVCLSEFDDRDALRLLPRCSHAFHVDCIDAWLASHVTCPVCRANLVFPEAPAPAPAPTVVQPQDVLPAAAGAAPEAVPTAPPEPVTTVAIAVDAEETEEERVKREEAAELVRIGSVKRALRSKSGRPPSPAQPVFPRSHTTGHSLAVAGGVAEERYTLRLPEHVLREAVAAGELRRTKSLQAFRDGTSGRSARRGFGFGFGGAAPRAGRSVRLGQSGRWPNMSALLASTFSSARLPAAWGASVRRGEADDAPGKVADAGGESNAAAGQRCDGGAGACPFPPGGGRV* >Brasy3G123400.1.p pacid=40041602 transcript=Brasy3G123400.1 locus=Brasy3G123400 ID=Brasy3G123400.1.v1.1 annot-version=v1.1 MILEYLDLSANSLSGAIPPEFSALPQLTYLDLSNNNISGTVPEFSAPCRLLYLSLFSNQLAGDLPRSLANCVNLTVLYLPDNEISGDVPDFFSAMPNLQKLYLENNQFTGELPASIGELVNLEELVVSGNSFNGSVPEAIGRCQSLTMLYLNGNRFTGSVPLFIGNLSQLQMFSAADNGFTGRIPPEIGNCRGLVDLELQNNNLSGPIPPEIAELSQLQKLYLFNNLLHGPVPPALWRLADMVELYLNNNSLTGEIHPEITHMRNLREITLYSNSFTGELPRDLGFNTTPGILRVDLTGNRFHGAIPPGLCAGGQLAILDLGDNLFDGGFPSEIGRCQSLYRLKLNNNKIGGILPADLGTNRGLSYVDMSGNRLEGRIPAVIGSWSNLTMLDLSGNNLLGPIPGELGALSNLVTLRMSSNMLTGLIPHQLGNCKRLVCLDLGNNLLNGSLPAEVTTLGSLQNLLLGGNNFTGVIPDAFTATQALLELQLGGNYFEGAIPHSLGNLQYLSKTLNISNNRLSSPIPSSLGNLQDLEVLDLSENSLYGPIPPQVSNMISLLVVNLSFNELSGQLPASWVKFAARSPEGFSGNPHLCVRSDIDAPCSTKKRSVKKTSRNSWIIVALVLPTVVVLVAALFAIHYIVKMPGRLSAKRVSLRSLDSTEELPEDLTYEDILRATDNWSEKYVIGKGRHGTVYRTDCKLGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYYIRGSVGLILYEYMPEGTLFELLHERKPQVALPWMVRHQIALGVAQGLSYLHQDCVPMIVHRDVKSSNILMDVELVPKLTDFGMGKIVGDEDSDATVSVIVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDSAFGDRVDIVTWMRSNLKRADHRSVMSCLDEEIVYWSEDEQAKALHLLDLAISCTEMACQSRPSMREVVNVLVRMEK* >Brasy3G182900.1.p pacid=40041603 transcript=Brasy3G182900.1 locus=Brasy3G182900 ID=Brasy3G182900.1.v1.1 annot-version=v1.1 MAGEEDRPAAGYRHGPPWVFKGSALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYHDSPAGRFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEASALRDKPLVKPNSFLNLLGMGSTFSNQETCRGIEISETTGSSTRHLCNISMPIIGSHKHNKWMGPAIRMSLPSFSGQTEDHPDLLKYSCKVECRVRPVSAAKIWSPRTAEPQKCSDGEISNAGSDALAESDAQKQSVLVLLSRPILALEFSSLRMHVDAPKIVTPDPKKKEVRYSSTST* >Brasy3G013600.1.p pacid=40041604 transcript=Brasy3G013600.1 locus=Brasy3G013600 ID=Brasy3G013600.1.v1.1 annot-version=v1.1 MEVEGEGKGESGTLPRPSSLDELVSDELWANLTDYRRRVLIEIMDRTGDYDKTRAVPNIKFPPEAHFAPAPPGCRFKAMIPSEGYWEFVREQQEERDALREREERERREREDAKRLEREEIRRRAFEEAMEQDALDQEKQRQALEDSIRQSRRAAARCYDDDDDDDSKRPRLTMTP* >Brasy3G049500.1.p pacid=40041605 transcript=Brasy3G049500.1 locus=Brasy3G049500 ID=Brasy3G049500.1.v1.1 annot-version=v1.1 MPPPGIRAPSSEMEAAGDFPAAPDPSSASLGAPADLLPALSGPVAAASGAARKPNAQRRLGLAPPPAGVPRPPSSTPVTTLEVSGGPPAPAATARTKKRPPLDPSASSSKRAAKKAPPPPPAASLPSHPPPAARAPTAPAPTPPPAAPAPAVPEVFDGIPQRRNEIEEGRNEIEEGRAKIEARRAAAEERRAEAKERKVASDDRMKRLEQEQKIMFMDTSGLDAKAKAYHELLRDQILASRTMGGFMGDMGGNEGFSGMGGNGGFGGMGGNGAI* >Brasy3G242000.1.p pacid=40041606 transcript=Brasy3G242000.1 locus=Brasy3G242000 ID=Brasy3G242000.1.v1.1 annot-version=v1.1 MATAVKPLDGAEGCLRWKESMLLRLNTAGVAHVLSEDPPAGGEDGTAAAAAKKWARDDAICRGHILAALSDRIFPDYVRHATAKAAWLAVARTYDVDTSQVARRMFKDFEFDEGAPLLEQIAHAEALSDRANWMPVCDDGFVAFMLSKKLPMDMASILIAASPGDLSMDMVWRLARRVESMRIRREDELQANSAMAEDQNPEEQKRYCRTCGKYGHLARNCRA* >Brasy3G295000.1.p pacid=40041607 transcript=Brasy3G295000.1 locus=Brasy3G295000 ID=Brasy3G295000.1.v1.1 annot-version=v1.1 MGRVKLPIKRIENNTNRHVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSKRLCPFSGRHGVEDVLLRYLNMTDHDRGEPIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYGSQQQLQISEERLRLFEPDPAAFGSASEIDGCEKFLMDMLTRVVERKNYLLSNHMAPFDPTAPGMQGGNGPQMYVHHHPAQQQAAGEGGGGLVGAFAAADAAALWASDPGGGPNNPAHHHLFAASDPMIYLRDQDVYEASSSQAAAEAVADQQQQQADAWRQAYTCTELLSTLIPATPFPLMQHCLGPDDGGPYGLPAPAMATTMQQQEVEASASCSYTMPTSDETAGTPPVLQPAAYDTAAMPPGIP* >Brasy3G319400.1.p pacid=40041608 transcript=Brasy3G319400.1 locus=Brasy3G319400 ID=Brasy3G319400.1.v1.1 annot-version=v1.1 MGEDKRKVTTAEEEAAEAAAKSPRKSPRLTPAVVPLAAVSPESSDSPSTPLDSSESTCSDDSQEHQHMFMTDEELLVIANNFKTAKEHPMCQHDECEVTWKGASDGGGEDAGMMLCSECGCHFCTGPVGDRLNPREHAYWHAHEAMHRVALWYDDPYKAYCFDCEEGLTLGGNSSQNKDEWAVVARNGKDQWGSDDVVGGHASGVAYGCGDGYVIRGIPNLGSSCYMNALLQCLLALGKLRRMILGLDAWLGFTGHYLKELFVETTSANDATRLLNPRMLLDCVGGSGQQFKGNEMEDTYEFLVSLRNALDKEVKELNELNCVQGGAVFPAVGESIFRVQMCQTISCKSCLNNSVKHIELYDLQAPVPLPSKDPLARSVVSSPKIESRMSPRNICKKLFQETHKSDGEKLQTIAEGCELGDGVMEKKPEPLQVDSTEVKDVVDDRLQTHENDGPREIVEVSIKALDFIPNLFDGIEGVEIADSHNPEDIVPPLFTTEDKGKAQSSDIIHPLVSTEDKGKAQSSDIIHDDTEHMNSLSSIEDCLALLLIDQPVEWTCGNCSNVAELRRTNSSKNGEQMMGSTNVNTTVGGDQTEQADRKPCPSEQSVDLNRFSSECTSPSRQPHVFDAQDKVILSEDRTTKGISSETSCDESHQGVQEAVPICLPTDKQTNMLIVQDSQDTSTENQGRGKQVKLDDHSVKQVDEDQNEQKNEDGGATQTILLTKLPPVLTIQLKRFVGDISKLVGHVSFKEILHVGPFVDPSCEDKDNSSYRLVGVIEHLGPSLNGGHYIAYVRADRNQQSGSSSWVCANDSNIRAVSLQEVLRCEAYILFYERMEEDD* >Brasy3G229100.1.p pacid=40041609 transcript=Brasy3G229100.1 locus=Brasy3G229100 ID=Brasy3G229100.1.v1.1 annot-version=v1.1 MEETDPCLFPGGVLAGVDFEKHQLPMERSGEERIPSDSDPLLERKDEEADPLPQLSPPQPATVSTLEIEDEETDGSSAACCRICLEAESDIGDDLISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRIFVARDVILGFLAVQLTIAIISAIAYFLDRDGSFRNSFSDGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYAAPKLEPEDEERLKMLKLL* >Brasy3G229100.3.p pacid=40041610 transcript=Brasy3G229100.3 locus=Brasy3G229100 ID=Brasy3G229100.3.v1.1 annot-version=v1.1 MERSGEERIPSDSDPLLERKDEEADPLPQLSPPQPATVSTLEIEDEETDGSSAACCRICLEAESDIGDDLISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRIFVARDVILGFLAVQLTIAIISAIAYFLDRDGSFRNSFSDGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYAAPKLEPEDEERLKMLKLL* >Brasy3G229100.4.p pacid=40041611 transcript=Brasy3G229100.4 locus=Brasy3G229100 ID=Brasy3G229100.4.v1.1 annot-version=v1.1 MERSGEERIPSDSDPLLERKDEEADPLPQLSPPQPATVSTLEIEDEETDGSSAACCRICLEAESDIGDDLISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRIFVARDVILGFLAVQLTIAIISAIAYFLDRDGSFRNSFSDGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYAAPKLEPEDEERLKMLKLL* >Brasy3G229100.2.p pacid=40041612 transcript=Brasy3G229100.2 locus=Brasy3G229100 ID=Brasy3G229100.2.v1.1 annot-version=v1.1 MERSGEERIPSDSDPLLERKDEEADPLPQLSPPQPATVSTLEIEDEETDGSSAACCRICLEAESDIGDDLISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRIFVARDVILGFLAVQLTIAIISAIAYFLDRDGSFRNSFSDGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYAAPKLEPEDEERLKMLKLL* >Brasy3G330900.1.p pacid=40041613 transcript=Brasy3G330900.1 locus=Brasy3G330900 ID=Brasy3G330900.1.v1.1 annot-version=v1.1 MLLRAAAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATHSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >Brasy3G153600.1.p pacid=40041614 transcript=Brasy3G153600.1 locus=Brasy3G153600 ID=Brasy3G153600.1.v1.1 annot-version=v1.1 MTSLTFVLVLLNAVTTHAVHLQALAAFQNKQYSILRYVLEDDEYHILYEGIDDLATRRSNYLYEHTRVLLQQTGIKNEPPECILVDLVGSNGGTTTLALRSDNLYLIGFTNSAAQWYAFDKSESLIDGSIKLDGINGSYASVGGRKQLVTKPLGKASALEAVERLLAYDHTSDIVSEADLKDALAKLLLIVCEASRFKNLSDIISMGWDTQSFIPEKIKSGLTLWSNVCSQILKGWPPNMYTRQLLKRFPENLKSRQDFLDNLHVMMIPEKFTDFSVFGPRNSPPPQPQPLPPAHSHTPRSGAHLSVLPQKQKC* >Brasy3G062400.1.p pacid=40041615 transcript=Brasy3G062400.1 locus=Brasy3G062400 ID=Brasy3G062400.1.v1.1 annot-version=v1.1 MWSGGRRMPPWTSPRGPAEAEAVAPTRWSPRTPAAGGGGSGSHVTPPLTAGCCCCSSSYRVTPPSSGGGCTSLLTPPVSGGGCSRPPRAPPAVVDSPYVRAKQAQLIEKDPNKAVPLFWAAINSGERIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQDSLDNVLLDLYKKCGRTKEQIEMLTIKLRMVDEDLASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWANMQSENYEEAEMLYRQALAIEADYNKECNLAVCLMKTGKVAEAKYLLQAIPYNSSDEKHVRSFARATEMIKELESQALPSPITQMKSKDTRILLATDAENLEYIQPEILSTSSTQLQYEEPEFPVSADTEKQVDCNSQELPSPITQLKRKVPQIMVESEKNGECPEENQDISRLFNDAATPQSLLEKLRKRLVKKDRPNISIQHQAQTPSSTECLPICNGATDASDNHLQEGQSSVGGARKTWADMVEEDEQQLGDGKSGTAQNESSKQASEQRYRTPPSSQANSTLQTPAAGVRLQSSSAGSWRRNDSTDENMNRKFVRTAPAWRQQKVQDHSNRVCQRLNTIHLGEKAQGQGAEQTPWRSSAAQRSLFHGHLPSGHSGRCHGSGHTEAVDRWPKTAAASTRPWRAQNRLRVFQEITNEMNRNVA* >Brasy3G062400.2.p pacid=40041616 transcript=Brasy3G062400.2 locus=Brasy3G062400 ID=Brasy3G062400.2.v1.1 annot-version=v1.1 MHAPDRAQRETRDGDVHVGRKPRGTAAASTSAPARFKIFYRLPLRWVYKPTCSFVRSFSAPSTTNAPGIGLRAQVRREGFAIDPTLLVAGGLIEKDPNKAVPLFWAAINSGERIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQDSLDNVLLDLYKKCGRTKEQIEMLTIKLRMVDEDLASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWANMQSENYEEAEMLYRQALAIEADYNKECNLAVCLMKTGKVAEAKYLLQAIPYNSSDEKHVRSFARATEMIKELESQALPSPITQMKSKDTRILLATDAENLEYIQPEILSTSSTQLQYEEPEFPVSADTEKQVDCNSQELPSPITQLKRKVPQIMVESEKNGECPEENQDISRLFNDAATPQSLLEKLRKRLVKKDRPNISIQHQAQTPSSTECLPICNGATDASDNHLQEGQSSVGGARKTWADMVEEDEQQLGDGKSGTAQNESSKQASEQRYRTPPSSQANSTLQTPAAGVRLQSSSAGSWRRNDSTDENMNRKFVRTAPAWRQQKVQDHSNRVCQRLNTIHLGEKAQGQGAEQTPWRSSAAQRSLFHGHLPSGHSGRCHGSGHTEAVDRWPKTAAASTRPWRAQNRLRVFQEITNEMNRNVA* >Brasy3G005400.1.p pacid=40041617 transcript=Brasy3G005400.1 locus=Brasy3G005400 ID=Brasy3G005400.1.v1.1 annot-version=v1.1 MQTHIKLFVSTPPTVFGCFQGSFRDFLAFAATCPSWRTTFLAYPSKSTLFTLVPHLLIQPNISVDAPHLPSNDGHCKLRRFKVIDPANRSATLSCQIREEILMMHFIGSSYGHLICYHHGYCVIVDPFSGAEVSPPRIKFRNYSPLASPNSHLLFGTRSSLFDSSVASLSWSELPYSGEWIVQILIVQCNGQFIAKDFHDPIYTLELAPQLRLQEITTNLIQGLPVAPWLVVCRDMLLRVVGHVCDLIPDRMVFAGRDRRNMLLPCMSPERWGGMSSCLYHAHNSPPWILHGLAEAPGQRDSRITAYGTCRRHMQSLWVYSRMLYSDGWGSSRATTT* >Brasy3G184600.1.p pacid=40041618 transcript=Brasy3G184600.1 locus=Brasy3G184600 ID=Brasy3G184600.1.v1.1 annot-version=v1.1 MMAGGDDLKLLGAWPSPFVTRVKLALAFKGLIFEDVEEDLRNKSELLLASNPVHKKVPVLIHDGKPICESLVILEYIDEAFSGTGPSLLPADPHHRAVARFWAAYVHEQLVAQFVQSIRGKTEEDRAEGIKQTFAAMETLEGALRDCSEGEGLFFGGGGVGLVDVLLGSLLSWLKAAEVISGAKYFDPVKTPLLAAWMERFSELDFAKAALPDVERVLEFSNMLAKAAAAAAASENK* >Brasy3G046000.1.p pacid=40041619 transcript=Brasy3G046000.1 locus=Brasy3G046000 ID=Brasy3G046000.1.v1.1 annot-version=v1.1 MGSYVYLTFRIKEEACPQGPAAATTCEEAARHQCPDRPERSPPASKGQQQVTSRVDRFHLLGYSSSLGAACSAVMAMQLQKSA* >Brasy3G079900.1.p pacid=40041620 transcript=Brasy3G079900.1 locus=Brasy3G079900 ID=Brasy3G079900.1.v1.1 annot-version=v1.1 MPCQPRMHTYARGGLYICVICWMPRKAPQGRGVSACSSLTRSHIDLHLHRAMAWVPLVVVVDIVIAADNYDSNINKLRAILADHPDPEDVDGHPVLAKQLFPEEPARWIHVNLSTGDLRATVVLAIRDDNVYLIGFKAQSGTWFEFGFEGKSTRMITGSTFLECGPDYRSLLGGSSSKDVRAKLVQLQLGKSFAEEAVLKLSGYVQPTPPPGAPDDATKLGLARLIVMICESSRMISISDTVSKGWASGTSISDRQVDYLWHWGDMSEALLAWKMGREPRKPIKEKLRKIGITDKAGALAVVQLILNRPPPALKAADNEEEDECRSAWHLDAATNHDGHGRPLVEVFAVRAGFPVTGTIAVFDGIRGQIIYKQEHHHLQPPLEGEMVLTGPYRAISADGSFAIKVDIGSASGNEEGGKLTWNCYDDRANTMYDAPLNGAINTTHGPVEVTYAVLTNAVEATVQVRLLLAQAAAGALIHGKVTARTPRSKAFDGVASVLFSNGSEHKVAVAANESMIPLNRSVISVPLDAPLYVEASFVYTPADADHGLEVTIQGNFDFYPELSGDRVKRQFTEHGEIEVKVTWSDI* >Brasy3G075400.1.p pacid=40041621 transcript=Brasy3G075400.1 locus=Brasy3G075400 ID=Brasy3G075400.1.v1.1 annot-version=v1.1 MADCDATAPPPEVSPEAPAVEPAAAPTQELAAPSEPAAPAPPAQEEAAAEGEADHKRKLEDVVDAGAEANGVGEDAKRPRVEGDDAAGVVQTDDASEKIEEPVAASAVVAVGAENGKVATAEDLQAISEDKPQMTATEAATEAPQQQQESGATGATNTHTIEVPNNKVGVLIGKNGETIRNLQNSSGAKIQITKDGEVASDALTRPVELVGTQESIDKAEQLIKSVIAEAEAGGSPALIAKGFGPGQSGSEQFEMSVPDNKVGLIIGKGGETIKNMQTRSGARIQLIPQHPPEGTTLTERTVRVTGNKKQIEAAKELIKQAMNQIFSRNTSQSGGYGQQHHHTQGHGPASQWGPRSQPQPYGYPPRGPPPQNVPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHSSHQGGGYDYYKQGPPPYEGQPPNYPPGPGNYNNYGPSQPPSYGQPPYPQSGPQQNYGPGYGDPRYSAPAPTQQYYGQPPAGPQQGYPQQPDPYARPPYGGPGQWQPRGGAPPAGDGTYQAPPPASYAPPAQQPPAYGQSYPTTGPDGYAQQGYPQQGGQAPAAYAQSAPAGPGYPQQGGYAQYPPTQPAYGDQSAQNNANYGYQGAAADPNYGNAYPQSGYGPTPASGQPGYAAAPAAGQPGYGQAGYTQPPPANPPAYDQSAVPPAQSGYAAPAANPQPVVAKGVSPQPAAAGYGGQWTA* >Brasy3G154900.1.p pacid=40041622 transcript=Brasy3G154900.1 locus=Brasy3G154900 ID=Brasy3G154900.1.v1.1 annot-version=v1.1 MGRKVSRNFIAHVATNILRASPTEPLLDSLSSNIGILAKKNSIQQSPFLPPESEGTPKSPSRRPKPRCRLGAPGSNDLNGGLLFAPRDLSFFV* >Brasy3G286400.1.p pacid=40041623 transcript=Brasy3G286400.1 locus=Brasy3G286400 ID=Brasy3G286400.1.v1.1 annot-version=v1.1 MALEAVVFSQAFFGCPAMPAAEAGAWGGSTASSSNAYGAIEGPLELDGSNACSWSASVLTAPAPEPPEEIAAPTAGRRKRRRVRSVKNVEEVESQRMTHIAVERNRRRQMNDYLAALRSLMPPSYVQRGDQASIIGGAINYVKELEQLLQSLQARRHESPAVSGSGAVALPFADFFTFPQYTMRAPEAATTTAASANDAEAVAAGNADTDIADAMVASSGSKQSSVAEIEVTIVESHASLKALTRRRPRQLLRLVAGLQAHRLAVLHLNATGAGHMALYSLSLKVEDDCRLSSVDDIAAAVHSIIETIAQEEQEQQSCS* >Brasy3G175400.1.p pacid=40041624 transcript=Brasy3G175400.1 locus=Brasy3G175400 ID=Brasy3G175400.1.v1.1 annot-version=v1.1 MSDTLHQNSANLVELSICVKDQETGKHRKLTGRCQFSKNSTKWGWEKFISLENFKDSSNGYLVKTKCCIEVEVAIVGSSKME* >Brasy3G163000.1.p pacid=40041625 transcript=Brasy3G163000.1 locus=Brasy3G163000 ID=Brasy3G163000.1.v1.1 annot-version=v1.1 MGFVSFAGRVLFAAAFLLSAYQEFSEFGSDGGTAAKALSPKFNTFVKNVSSRTGIVVPHIELKHVIAGAIALKGIGGLLFILSSSFGASLLLVHLAFVTPIVYDFYNYDTESAEFVHLFIEFTQSLALMGALLFFLGMKNSIAARQSTRKNPKSKTN* >Brasy3G250000.1.p pacid=40041626 transcript=Brasy3G250000.1 locus=Brasy3G250000 ID=Brasy3G250000.1.v1.1 annot-version=v1.1 MAPLPVRGCSPSSGTTSPLLPSSPSISAFLASHPALTLLHTQCATMAHLRQLHGALVKSGLAKDPIAASRVVAFCAGEGRDAAYAARLVRHHPRPNAFMWNTAIRALSDGPGPDAAVALFLDMLRLPTPPERRTFPSLFASYARLGGRADGADIAGAALHGMVLKLGLAGDAYVRNSMIAMYASWGRADEALLLYGQCGQAFDVVTCNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRAARCQDAVELFSAMQADGVEPNANVLVSVLGCCAGLGTLEQGAWVHAYIDRHDVAMNALVVTALVDMYCKCGSIQKARHVFYASRSQGLAKLSSWNAMMLGLAVHGQCQEALALFSELETYGLSPDNVTFIAILMAYGHSGMADEAKALFSAIEREYAVTPGIEHYGCLVDALARAGRLGDAEDVIRTMPMRPDTAIWGALLSGCRLHGDAEAGARAARGAMECDPQDSGAYVLAASVLARDGEVGAGMSVRGRMREEGVAKVPRCSMIEVNGVVHEFVS* >Brasy3G088700.1.p pacid=40041627 transcript=Brasy3G088700.1 locus=Brasy3G088700 ID=Brasy3G088700.1.v1.1 annot-version=v1.1 MLGTCASTAVRYRSSSMHRTTSLLLILIIVITSSLTVGTLQPREGDGCMLAERSALLSFKKGVTSDSANLLASWHGRDCCRWRGIECSNRTGHVIKLHLRNTNPYYSNNPDPDTSNACRNANSLFGKISPSLLFLEHLEYMDLSMNCLLGPNSHIPHFLGSMENLRSLNLSGMHFTGRVPSQLGNLSKLQHLDLGGYSGMYSTDITWLTKLPLLQHISMSGVNLSRIADWPHTLNMIPSLRVINFADCSLGTASPPLPYINLTKLEQLDLSWNKLGHPIASSWLGNMTSLRVLDLSSTNLNKTGDLKNLCGLEILGFSGNEIKGDIMLLKEWLPQCACEKLQELHFRDNQLIGTLPNFIFHFTSLRILELRNNNLVGPIPPELGNLKRLTTLDLNMNQLNGCVPTEIGSLTALTYLDISSNNLTGSIPAELGKLRHLTMLFLEDNKITGPIPPEAIHSNSLTMLCLSSNHLNGIVPTEIGSLSNLIYLDLSNNRFSGVITEEHFAGLKSLKSIDLSSNKLKIQVGSDWHPPFRLESAVFASCQMGPLFPVWLQRLQIISLDISNTALKDEFPDWFWSTFSQTIYLDLSNNQISGSLPAHLDGMALEELYLSSNRLTGPIPSLLTNITLLDISNNTFSGTIPSNFEASQLQTLLIYSNHLSGYIPESVCNLQELLYLDLSNNYLEGEIPPCFGIQKLQFLLLSNNSISGKFPEFLQNNTDLQFLDLAWNKLSGTLPTWTGQLRNLRFLLLSHNAFSDNIPVHITNLVYLQYLDLSGNNFSGGIPWHLSNLTFMKKLQKEFMYMGDGVTGSGIIMGAGHLGEILSVITKGQQLIYGRTIAYFVSLDLSDNSLTGEIPTDITSLVELMNLNLSSNQLSGQIPQMIGAMQSLVSLDISKNNLYGEIPSSISSLASLSYLNLSYNNLSGKIPSGRQLDTLNEENPSLMYIGNSGLCGPPLQKNCSGNDAVIHGQIESRKQEFEPMTFYFGLVLGLVAGLWLVFCALLFKKTWQIAYFRLFDKAYDRIYVFVVVKWASFTRNTTAE* >Brasy3G083000.1.p pacid=40041628 transcript=Brasy3G083000.1 locus=Brasy3G083000 ID=Brasy3G083000.1.v1.1 annot-version=v1.1 MKPPAAAEPTPKRRPAALVLAVHVLALASISLVFLWCISFRGGLAFRSGKNKQLIFNVHPPLMLLGPVAMSAQAILLYRNPPSLLPSAAAREARKKVHLALHLLGLAVGGTGLYAVFKYHAEAAIPHLYSLHSWLGIAVFALYVVQWLAGFAAFFFPGLPRTEARKAAVPWHAVAGLVLFSLAVGTAVLGFLEKLTFLQAPPARIVANKFGAEALLVNFTAVLVVLLGVAVVLATVHVGAK* >Brasy3G115000.1.p pacid=40041629 transcript=Brasy3G115000.1 locus=Brasy3G115000 ID=Brasy3G115000.1.v1.1 annot-version=v1.1 MPSQRAGAAAPPDDSAGAAAAPPPSEVTVLGRVLSVVRRETDVLVHLRFPEKQHEVAEVARCRWPSKSLAYPNNPLTLLPVAKECGREICVPVLVVSNYSDPGVSCFLPYTKSCFQRVGMPIDIDDVLYSNGEWLLLREHIFLWEFNAEQKWFLGQMDDLVHQGHFTGKPPHEATIVLAKQDPPKDLENAVTDVWLKIAGNNGWLKSSVQCTYEQRGLNSLTIYHDKVFWLNDAGQLCCAKESANGVQFMVCKSGIGAQRQNLSLVEHCDDLYVVSSGGFLPLQAALVHQITDGGSVPLLNGLSGKNIFTSPKQSGFVLSSLQSDDNKVFTANLLPNVDCAMHSYKSKVTETIRRCSYRHHLACSTVWVVLRTSTDTGV* >Brasy3G152500.1.p pacid=40041630 transcript=Brasy3G152500.1 locus=Brasy3G152500 ID=Brasy3G152500.1.v1.1 annot-version=v1.1 MGIGVGRTFFVLLLVLAVRSAAGRSSPVAVAGAKAGQQGRYSVLDFHAAGDGQTDDAPAFLEAWQAACNDGSSPNFVIPGGRTFLLSEIKFQGYCKSPITVQLDWNIVAPNYIWTAESDNLLTFYRIDNLTVAGNGQIDGRGSIWWSCYNHKRCTKRTNLLAFAHCNNLWVRNIHLKDSAEKHMTLYRCS* >Brasy3G211600.1.p pacid=40041631 transcript=Brasy3G211600.1 locus=Brasy3G211600 ID=Brasy3G211600.1.v1.1 annot-version=v1.1 MAGVFTGAVALVAILFSMLVNRSAAGYYAASPARAYSSAWLPAKATWYGAPTGAGPANGGGACAYSNNNLYPILSMNACGNQPLFMDGAGCGTCYEIKCDYKNNRACSGQPKRVTITDMNYNNIAQYYFDLSGTAFGAMAKYGQNENLRRAGILDIQFRRVPCNYQGMNINFRVERGSNPNYLAVLVQHANKDGNVVQMDLKDSGSYGSWTPMKRSWGAIWRMDTGRPLKAPFSFRMRSEIGATKVAYQVIPVNWKGGNNYWSNVQY* >Brasy3G026600.1.p pacid=40041632 transcript=Brasy3G026600.1 locus=Brasy3G026600 ID=Brasy3G026600.1.v1.1 annot-version=v1.1 MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQVEKNERSSPDEMDRSGYSPTPSRSTPDNMEANEEAITLLNKESPESGVQGCPIDLPGTVETPAAPITRWLADRTDNLVTQEANILRMPFHGHVDEIPKQTGPLVQPIDPQKKNVDSEEFLTFAAPMLPHEGNDLFCNPSLQGHSFDFGGSMAPGDLVEKFLNETIADPDEHSSTASKVQYDSDNGIMLPELENNWPMQGEMLDDPYWFENINFCPDDTTPQLSGYENTPLLPFIGANPDELSVDSDHESMQELFNTMEDSNEKMGGWNNGFGFNPIHQQLQSTMHPNHLVSQQGCAQRRLRLQDSLSAVNIEGEESMTKDEDEVSHIVTSKYMGEYVESTADGDDGDSTGVTIMSRRPAPSTNEPSDGDDGDSTGVTIMSRRPAPTTNDPSDGDDGDSTGITIMSRRPAPSTNEPSDGDDGDSTGVSIITRRPAPSTDEPSDGDDAESTGVLIMSRGPALSSDVPSDRDVAESAGVTVLSQCPAQSSSSDSSITQQGTAGRRLHLQLNLNAGPCSSIDGSSSCMIDEKENEHKGMRAENEGNMDTSFCDNANFVGDDHADEQGNIPEHDAARPVTRLRKTAEKNGNENKQELGLHPHVRAPRKKGGFPAYIIWPVLSVALLVLVSVGIYGLA* >Brasy3G026600.3.p pacid=40041633 transcript=Brasy3G026600.3 locus=Brasy3G026600 ID=Brasy3G026600.3.v1.1 annot-version=v1.1 MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQVEKNERSSPDEMDRSGYSPTPSRSTPDNMEANEEAITLLNKESPESGVQGCPIDLPGTVETPAAPITRWLADRTDNLVTQEANILRMPFHGHVDEIPKTGPLVQPIDPQKKNVDSEEFLTFAAPMLPHEGNDLFCNPSLQGHSFDFGGSMAPGDLVEKFLNETIADPDEHSSTASKVQYDSDNGIMLPELENNWPMQGEMLDDPYWFENINFCPDDTTPQLSGYENTPLLPFIGANPDELSVDSDHESMQELFNTMEDSNEKMGGWNNGFGFNPIHQQLQSTMHPNHLVSQQGCAQRRLRLQDSLSAVNIEGEESMTKDEDEVSHIVTSKYMGEYVESTADGDDGDSTGVTIMSRRPAPSTNEPSDGDDGDSTGVTIMSRRPAPTTNDPSDGDDGDSTGITIMSRRPAPSTNEPSDGDDGDSTGVSIITRRPAPSTDEPSDGDDAESTGVLIMSRGPALSSDVPSDRDVAESAGVTVLSQCPAQSSSSDSSITQQGTAGRRLHLQLNLNAGPCSSIDGSSSCMIDEKENEHKGMRAENEGNMDTSFCDNANFVGDDHADEQGNIPEHDAARPVTRLRKTAEKNGNENKQELGLHPHVRAPRKKGGFPAYIIWPVLSVALLVLVSVGIYGLA* >Brasy3G026600.4.p pacid=40041634 transcript=Brasy3G026600.4 locus=Brasy3G026600 ID=Brasy3G026600.4.v1.1 annot-version=v1.1 MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQVEKNERSSPDEMDRSGYSPTPSRSTPDNMEANEEAITLLNKESPESGVQGCPIDLPGTVETPAAPITRWLADRTDNLVTQEANILRMPFHGHVDEIPKQTGPLVQPIDPQKKNVDSEEFLTFAAPMLPHEGNDLFCNPSLQGHSFDFGGSMAPGDLVEKFLNETIADPDEHSSTASKVQYDSDNGIMLPELENNWPMQGEMLDDPYWFENINFCPDDTTPQLSGYENTPLLPFIGANPDELSVDSDHESMQELFNTMEDSNEKMGGWNNGFGFNPIHQQLQSTMHPNHLVSQQGCAQRRLRLQDSLSAVNIEGEESMTKDEDEVSHIVTSKYMGEYVESTADGDDGDSTGVTIMSRRPAPSTNEPSDGDDGDSTGVTIMSRRPAPTTNDPSDGDDGDSTGITIMSRRPAPSTNEPSDGDDGDSTGVSIITRRPAPSTDEPSDGDDAESTGVLIMSRGPALSSDVPSDRDVAESAGVTVLSQCPAQSSSSDSSITQQGTAGRRLHLQLNLNAGPCSSIDGSSSCMIDEKENEHKGMRAENEGNMDTSFCDNANFVGDDHADEQDAARPVTRLRKTAEKNGNENKQELGLHPHVRAPRKKGGFPAYIIWPVLSVALLVLVSVGIYGLA* >Brasy3G026600.2.p pacid=40041635 transcript=Brasy3G026600.2 locus=Brasy3G026600 ID=Brasy3G026600.2.v1.1 annot-version=v1.1 MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQVEKNERSSPDEMDRSGYSPTPSRSTPDNMEANEEAITLLNKESPESGVQGCPIDLPGTVETPAAPITRWLADRTDNLVTQEANILRMPFHGHVDEIPKTGPLVQPIDPQKKNVDSEEFLTFAAPMLPHEGNDLFCNPSLQGHSFDFGGSMAPGDLVEKFLNETIADPDEHSSTASKVQYDSDNGIMLPELENNWPMQGEMLDDPYWFENINFCPDDTTPQLSGYENTPLLPFIGANPDELSVDSDHESMQELFNTMEDSNEKMGGWNNGFGFNPIHQQLQSTMHPNHLVSQQGCAQRRLRLQDSLSAVNIEGEESMTKDEDEVSHIVTSKYMGEYVESTADGDDGDSTGVTIMSRRPAPSTNEPSDGDDGDSTGVTIMSRRPAPTTNDPSDGDDGDSTGITIMSRRPAPSTNEPSDGDDGDSTGVSIITRRPAPSTDEPSDGDDAESTGVLIMSRGPALSSDVPSDRDVAESAGVTVLSQCPAQSSSSDSSITQQGTAGRRLHLQLNLNAGPCSSIDGSSSCMIDEKENEHKGMRAENEGNMDTSFCDNANFVGDDHADEQDAARPVTRLRKTAEKNGNENKQELGLHPHVRAPRKKGGFPAYIIWPVLSVALLVLVSVGIYGLA* >Brasy3G026600.5.p pacid=40041636 transcript=Brasy3G026600.5 locus=Brasy3G026600 ID=Brasy3G026600.5.v1.1 annot-version=v1.1 MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINAEVEVIPEIDVCKCEPWDLPDKSLIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQVEKNERSSPDEMDRSGYSPTPSRSTPDNMEANEEAITLLNKESPESGVQGCPIDLPGTVETPAAPITRWLADRTDNLVTQEANILRMPFHGHVDEIPKTGPLVQPIDPQKKNVDSEEFLTFAAPMLPHEGNDLFCNPSLQGHSFDFGGSMAPGDLVEKFLNETIADPDEHSSTASKVQYDSDNGIMLPELENNWPMQGEMLDDPYWFENINFCPDDTTPQLSGYENTPLLPFIGANPDELSVDSDHESMQELFNTMEDSNEKMGGWNNGFGFNPIHQQLQSTMHPNHLVSQQGCAQRRLRLQDSLSAVNIEGEESMTKDEDEVSHIVTSKYMGEYVESTADGDDGDSTGVTIMSRRPAPSTNEPSDGDDGDSTGVTIMSRRPAPTTNDPSDGDDGDSTGITIMSRRPAPSTNEPSDGDDGDSTGVSIITRRPAPSTDEPSDGDDAESTGVLIMSRGPALSSDVPSDRDVAESAGVTVLSQCPAQSSSSDSSITQQGTAGRRLHLQLNLNAGPCSSIDGSSSCMIDEKENEHKGMRAENEGNMDTSFCDNANFVGDDHADEQDAARPVTRLRKTAEKNGNENKQELGLHPHVRAPRKKGGFPAYIIWPVLSVALLVLVSVGIYGLA* >Brasy3G270400.1.p pacid=40041637 transcript=Brasy3G270400.1 locus=Brasy3G270400 ID=Brasy3G270400.1.v1.1 annot-version=v1.1 MDQGVWIRAAAAVAAGGAIAARAVRRKSVDSSAVFVGVPAMVAHTVAGYRFAGLLLVFFFTASRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIALITGGEDKCLDSKQSSLVTALIGGVIGHYSCCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTICGLLSAAAAGCSIGLAFVLIGFLTTQCGSDVFWRQLLVIPLATAAGLCGSLIDSLLGATVQYSGYCSVRKKVVGVEGPTVTRISGMNILDNNGVNVVSVFLTTLLTALACTFLF* >Brasy3G214800.1.p pacid=40041638 transcript=Brasy3G214800.1 locus=Brasy3G214800 ID=Brasy3G214800.1.v1.1 annot-version=v1.1 MLARPVRLPASNEPAAAAAASAAATVVSILSGADPDWLPSAGINPDPSLLTHLRQSLTTIPESAFPALARWTGSATAVALLASRGLFAASWRLLLSPSSPPPPLDAFAPLIRRYARLGRATAALRAFHFLRRHPDRYTVVDGGDCSPAATCLLNMAVGALCKEGHPRAAAKLIQRCRHEEETLPAPDERTYNMLLYGWSSARRLDKVEKLWAEMRLAGVRPTVVSYGTLIKALCMMRHPDKAVGLLDEMREQGIEVNLLTCNPIVYSLALAGRFQDAYKLLEKFPLYGVAPNISTFNSLVLGYCKYGDLAGASSVLKAMIGRGILPTAKTYNYFFVFFAKTCNVELGMNLYNKMVNNGYAPDQITYNLLIKMLCEANRLELVVQMIKEMKTSGFESDLATSTMLIHLLCRSHRFEEACVEFEDMFRRGLVPQYITYRMLMKELKRLGLVELVEKLINLMRSVPHSTKLPGSYREKEGDDAREKRRLILDKAQAVSNVLKVCKDPKELHKLKNDEETIVEVADRVVANIRKRVYGGVSRGLG* >Brasy3G162100.1.p pacid=40041639 transcript=Brasy3G162100.1 locus=Brasy3G162100 ID=Brasy3G162100.1.v1.1 annot-version=v1.1 MAPHVLFGIAQTSSYMMHSCLPDDLSKQNRGMLEGVWMHKMVAAEAYNRRYGWAPAVRRNKS* >Brasy3G279200.1.p pacid=40041640 transcript=Brasy3G279200.1 locus=Brasy3G279200 ID=Brasy3G279200.1.v1.1 annot-version=v1.1 MCDPGFDLLVGFWFWGGAAFTPLRPTTGQPHNVNMTTQYKSSQRAGRPKLPVLQYGGEES* >Brasy3G300000.1.p pacid=40041641 transcript=Brasy3G300000.1 locus=Brasy3G300000 ID=Brasy3G300000.1.v1.1 annot-version=v1.1 MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLTLIDTGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADRVVVTTKHNDDEQYVWESQAGGSFTVTRDTGESLGRGTKMTLYLKEDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEEIDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKANNIKLYVRRVFIMDNCEELIPEWLAFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKSIELFFEIAENKEDYNKFYESFSKNLKLGIHEDSANRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLERLKKKGYEVIYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEDTEDEKKKKEELKEKFEGLCKVIKEVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETAEAEADDTDMPALEDDAGESKMEEVD* >Brasy3G283000.1.p pacid=40041642 transcript=Brasy3G283000.1 locus=Brasy3G283000 ID=Brasy3G283000.1.v1.1 annot-version=v1.1 MLSASKSLLSPTSLPAMAIRSPLHLQTCCAPPYSRPPPFRSVAGAAPSVSAAAATAVSSPPSTRKKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDDLEVEASCGTHIIADKPISACADQVFDLVALPGGMPGSVRLRDNKILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHRGRKITSHPSFIGDLPTFRAVESNVQISGELTTSRGPGTAFQFALSFVEQLFGAHAAEDMNSTLMTQIDADHERCTEVNEVEWSLDHNPQVLIPIANGSEEMEIIMLVDILRRANINVVLASVEKSTNIVGSQRMKIVADKSILSAFESKYDLIILPPLHKNCLPPSGRRVKLFGSEGVCIKGGPVGAERLQMSKILQKFLEEQKQAGRMYGGISFSPLILQKQGFLQDKTVTAHPEIVNQLTCQVMDQSKVVVDGNLITGKGLGTAIDFSLAIVRKFFGHRRAKLVATGLVFEYPNS* >Brasy3G283000.2.p pacid=40041643 transcript=Brasy3G283000.2 locus=Brasy3G283000 ID=Brasy3G283000.2.v1.1 annot-version=v1.1 MLSASKSLLSPTSLPAMAIRSPLHLQTCCAPPYSRPPPFRSVAGAAPSVSAAAATAVSSPPSTRKKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDDLEVEASCGTHIIADKPISACADQVFDLVALPGGMPGSVRLRDNKILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHRGRKITSHPSFIGDLPTFRAVESNVQISGELTTSRGPGTAFQFALSFVEQLFGAHAAEDMNSTLMTQIDADHERCTEVNEVEWSLDHNPQVLIPIANGSEEMEIIMLVDILRRANINVVLASVEKSTNIVGSQRMKIVADKSILSAFESKYDLIILPGGPVGAERLQMSKILQKFLEEQKQAGRMYGGISFSPLILQKQGFLQDKTVTAHPEIVNQLTCQVMDQSKVVVDGNLITGKGLGTAIDFSLAIVRKFFGHRRAKLVATGLVFEYPNS* >Brasy3G250200.1.p pacid=40041644 transcript=Brasy3G250200.1 locus=Brasy3G250200 ID=Brasy3G250200.1.v1.1 annot-version=v1.1 MQVPGGGGGGAGREAGDTRGRHRIQAELKKLEQEARFLKEELQELEKTDKVSAALQEFLVTIEGKADPLLPATTGVAYQSWDRWFEGPEDLRRCKCWFL* >Brasy3G063900.1.p pacid=40041645 transcript=Brasy3G063900.1 locus=Brasy3G063900 ID=Brasy3G063900.1.v1.1 annot-version=v1.1 MLVPGCLSFAERPHGAAAKEEAIRRRWSGRLEFVGDEGYTAPRAWCYYYRIRLVCHLPRLRRRRSRRLRRGSPSPHQRSSLSPSALRWTKTSTPLRAAPTIPFPSPWPVQSLALLLRRLALHRSVSAAWDLPSFGYALSAPHTALLAFVDACYRRGDLREIGQQRSCRCSPTADHGVHKPTGSSKPPCAPRPDGHRARSDGATREAPRGSPNEPDARTPALAITRVGSPESDPPSPIRNERNRNRCALAPTSLPALSTVDRASAAPRRSSAAQTWIGSRRGRSPWAPPRHRRPRCRLAGCRAPPPEVSLVRPPPVAAAAPEQGQACRRGHIQLQPARRRRRAGALQSRRATPPQGTYVPAALVPDRRRGIGLGRADAGDGERGSPGVRLVGRAAWRRPIGRGVYQDVERTGAWVSPSLTQFFPVSNSRFRVSWIQSAIQPNPGTSAPPRPRPRLNSQERDQAAAAASSSSPSFPLPSSTKPTPQIKPNQIKQPRLGSRSLPLSPPTKSPGARIDPPPPPARPFGRPPPIAPRPASPMLPRGAAEEI* >Brasy3G018000.1.p pacid=40041646 transcript=Brasy3G018000.1 locus=Brasy3G018000 ID=Brasy3G018000.1.v1.1 annot-version=v1.1 MEAASTILKVAQQIAKAAETAHQNKERCRDLAERAHIIGDSLPEATAKGDGAAELSGGALGRLNAALDDALKLVESCRRRRRQTGIGCLVSLLTSDRTAARITACVGDLGLEQILQLNSAAAYDPRPCCPEKVSNRGGKNNNGGHKQNKNGTNDRSNKGKNNNKKNVTASATAKPSSEAGPREQPFSVQDQGAEEPKVVPAQQRPKRPPKPNPKYFDPQFWTT* >Brasy3G090300.1.p pacid=40041647 transcript=Brasy3G090300.1 locus=Brasy3G090300 ID=Brasy3G090300.1.v1.1 annot-version=v1.1 MSLTEETNPPRPGWISAPCQSSRAEKYEDCGGGGRNARRARWRRRACVRGWEEWEEWGLAVSSAEMVGWMTPSAPWEGRGKGRAALLHRDSSSASHLSLPRSLPPLSPATATDPGPPPSLRRVSPPLRRSVKRGPSDRQKELTFKKKIKL* >Brasy3G159600.1.p pacid=40041648 transcript=Brasy3G159600.1 locus=Brasy3G159600 ID=Brasy3G159600.1.v1.1 annot-version=v1.1 MRVRGLAMGGREVAISAVFTGLLVVSILSLPSLLLTTGRFSRGAGPAADWPFLQLGEKSYPASFAYLISASTGDAERAARLLAALYHPANSYLVHLDQEAPAEEHRRLAELVSGQPVYGRVGNVWIVGRPNLVTYRGPTMLSTTLHAMAVLLRLGRPWDWFVNLSASDYPLVTQDDLMEAFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELLRPSPNITINRRGLPTAFKLFTGSAWTMLSRRFVEYCIMGWDNLPRTLLLYHANLVSSPEFYFQTVACNSAEFRNATVNSDLHFIRWDNPPKQHPLYLRPADYRRMVLSSAAFARKFKHADPVLDRIDREILKRQPPPRDDDDDDDDGDNGSSVGAQQGRFFSYGGWCSEGEVGLCSDPRELPGSRKGAIKAGAGARRLRVMLNKLLSARNFRRQQCRKRKRTPR* >Brasy3G159600.2.p pacid=40041649 transcript=Brasy3G159600.2 locus=Brasy3G159600 ID=Brasy3G159600.2.v1.1 annot-version=v1.1 MRVRGLAMGGREVAISAVFTGLLVVSILSLPSLLLTTGRFSRGAGPAADWPFLQLGEKSYPASFAYLISASTGDAERAARLLAALYHPANSYLVHLDQEAPAEEHRRLAELVSGQPVYGRVGNVWIVGRPNLVTYRGPTMLSTTLHAMAVLLRLGRPWDWFVNLSASDYPLVTQDDLMEAFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELLRPSPNITINRRGLPTAFKLFTGSAWTMLSRRFVEYCIMGWDNLPRTLLLYHANLVSSPEFYFQTVACNSAEFRNATVNSDLHFIRWDNPPKQHPLYLRPADYRRMVLSSAAFARKFKHADPVLDRIDREILKRQPPPRDDDDDDDDGDNGSSVGAQQGRFFSYGGWCSEGEVGLCSDPRELPGSRKGAIKAGAGARRLRVMLNKLLSARNFRRQQCR* >Brasy3G226600.1.p pacid=40041650 transcript=Brasy3G226600.1 locus=Brasy3G226600 ID=Brasy3G226600.1.v1.1 annot-version=v1.1 MSVLCFWALPLYFQTINFRYLWEHQEGCAWWMILWRFYNLYFPDSFICGHPITEIKIMTHSARPLSISRRILNSLTPWERPTANKKCILHIRH* >Brasy3G076200.1.p pacid=40041651 transcript=Brasy3G076200.1 locus=Brasy3G076200 ID=Brasy3G076200.1.v1.1 annot-version=v1.1 MGNAFACMSRKERRGTAASAVSRSKRMGSARSGRGGAAKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDGGGGGGSMSRRIGPGGSASSRRHGNLPDSVADAKAVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPVALDLTGSGIDHTDANSIATLEDYSKPLMDYLNKLPENEKVVLVGHSCGGASVSYALEHCPKKISKAVFLTATMVKDSQRPFDVFSEELASADVFLQESQFLLYGNGKDKPPTGLRFDKQQIKGLYFNQSPSKDIALATVSMRPIPLAPIMEKLSLTTENYGTVRRYFIQTLDDRMLSPDAQEKLVRDNPPDGIFKIKGGDHCPFFSKPQSLHKILVEIAQIQAPAVLFLPGSKAETAGEESADKS* >Brasy3G247800.1.p pacid=40041652 transcript=Brasy3G247800.1 locus=Brasy3G247800 ID=Brasy3G247800.1.v1.1 annot-version=v1.1 MGRTLAVPVATALSLLFLLLNASPCAATRPVSTRTSAALAVAPSASNGAQRHDADTMARAGKWLPYPYQYGGVHYPGFPRYTGYPSQGKPAVWAAPSLGAYGAGKTPSPYVDVTRQEQVAMWASLLNPAQRPTTTPAAWLPAGSDDEPADQAHDEPNRALDAAAAQGAEMDVPAGGGGVQNKWGVYEGSNNNGK* >Brasy3G002000.1.p pacid=40041653 transcript=Brasy3G002000.1 locus=Brasy3G002000 ID=Brasy3G002000.1.v1.1 annot-version=v1.1 MSPKVISLSGLIPLLLLLFAHLNNTHTSFLRALLDLATPSLPFHLLTVGYFSDVHCRPDEYNPLCDDFPPDFPPPDMAETSIFCVDPNGCCDFTKVQDAVDAVPRSRQKRNVVWINKGIYFEKVTVPATKPNITFQGQGFDLTAIAWNDTANSSHGTFYSASVTVFAAGFIAKNISFINVAPIPRPGAVDAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPAGVRTITGAITAHARESDDDNTGYSFVNCSIGGTGRIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQSVFYGEYKCTGDGANLAGRVPYSLKLSDEQALPYLNTSYIDGDRWLKPYCDSLISA* >Brasy3G002000.2.p pacid=40041654 transcript=Brasy3G002000.2 locus=Brasy3G002000 ID=Brasy3G002000.2.v1.1 annot-version=v1.1 MSPKVISLSGLIPLLLLLFAHLNNTHTSFLRALLDLATPSLPFHLLTVGYFSDVHCRPDEYNPLCDDFPPDFPPPDMAETSIFCVDPNGCCDFTKVQDAVDAVPRSRQKRNVVWINKGIYFEKVTVPATKPNITFQGQGFDLTAIAWNDTANSSHGTFYSASVTVFAAGFIAKNISFINVAPIPRPGAVDAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPAGVRTITGAITAHARESDDDNTGYSFVNCSIGGTGRIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQSVFYGEYKCTGDGANLAGPAILIPCPNKNSQTLPFLYATAPLNNLRLE* >Brasy3G002000.3.p pacid=40041655 transcript=Brasy3G002000.3 locus=Brasy3G002000 ID=Brasy3G002000.3.v1.1 annot-version=v1.1 MSPKVISLSGLIPLLLLLFAHLNNTHTSFLRALLDLATPSLPFHLLTVGYFSDVHCRPDEYNPLCDDFPPDFPPPDMAETSIFCVDPNGCCDFTKVQDAVDAVPRSRQKRNVVWINKGIYFEKVTVPATKPNITFQGQGFDLTAIAWNDTANSSHGTFYSASVTVFAAGFIAKNISFINVAPIPRPGAVDAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPAGVRTITGAITAHARESDDDNTGYSFVNCSIGGTGVCFMESTSVQVMVQTWQVECHIL* >Brasy3G002000.4.p pacid=40041656 transcript=Brasy3G002000.4 locus=Brasy3G002000 ID=Brasy3G002000.4.v1.1 annot-version=v1.1 MSPKVISLSGLIPLLLLLFAHLNNTHTSFLRALLDLATPSLPFHLLTVGYFSDVHCRPDEYNPLCDDFPPDFPPPDMAETSIFCVDPNGCCDFTKVQDAVDAVPRSRQKRNVVWINKGIYFEKVTVPATKPNITFQGQGFDLTAIAWNDTANSSHGTFYSASVTVFAAGFIAKNISFIVIMDAAHHVSVKSHRQSLSGSVVTRRHSGAAAFLVRKIHFMMIEAGITSRNVSFRAPLISSLEMLGHCMKIAD* >Brasy3G269100.1.p pacid=40041657 transcript=Brasy3G269100.1 locus=Brasy3G269100 ID=Brasy3G269100.1.v1.1 annot-version=v1.1 MNHPSRSAPASFRDRTNEFRSAVESARRHVAPSPGPSAAASASGGPLDDSRSAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTLEIQELTAVVKKDIGALNNAVMDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVPREASDSNANPAPWASDSATTPLFQRKKTNGDHGASSSSSPAFMQQQQQLAVQQDTYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMEETVANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA* >Brasy3G125800.1.p pacid=40041658 transcript=Brasy3G125800.1 locus=Brasy3G125800 ID=Brasy3G125800.1.v1.1 annot-version=v1.1 MSQLTSASLAATMLLLLAATAAATTSALAGSDCQNDIQMLETTCYKYVAKDAPTSPPSQECCDTMNGVDVPCVCSYLGAPGIKENISMEKVFYVAQKCGVSIPGNCGGKQFELVTSLSTN* >Brasy3G042600.1.p pacid=40041659 transcript=Brasy3G042600.1 locus=Brasy3G042600 ID=Brasy3G042600.1.v1.1 annot-version=v1.1 MGSGTPGQEKEHPIVCPSYLRTNSSSPLAPIFHRRLSLLARSRRRLSLLGRSLRPTTAAACEISDASRRRPIPLSRDPPWQCPSPEQLPPDPTATRAPRAPRLHLGRMPPPVRPGLQLRWIRTTAVT* >Brasy3G074900.1.p pacid=40041660 transcript=Brasy3G074900.1 locus=Brasy3G074900 ID=Brasy3G074900.1.v1.1 annot-version=v1.1 MEQLPPWSDLPAELLGQVLAGLPLPADRARFRSVCRSWRSAQGWQLPWIVHRHGTFVTLHDGGVHRLPLPDNDSTTTTVVGATKGGWLALECCAVEGDEDDKHARTYVLHNPFSNATLPLRGLDSVIGEVPGEVQVRKVLMRSTPDDVLVVTTDSLYCPVILCRAGKPGAWIPKLNNGMAYARIIDFEFLGDRLYGLTCDEELVVLDLDENDEGMPTVSSVNYVIGSPFGDNGDKEDGEVSNNDDVDYNESISEEEGMPTSLLTVKDTIDNYWHLLESNGKLFMIRRQQCIPPYASPYNIKVEVLEADMDKGLWVPTADGGVGDGRNLFISNCFCKSVPALNGKNLKYYFVDEHEVTGVLSDPMMYVDYFWRSIWVFPPELGF* >Brasy3G075000.1.p pacid=40041661 transcript=Brasy3G075000.1 locus=Brasy3G075000 ID=Brasy3G075000.1.v1.1 annot-version=v1.1 MELANSCSPSPSTTIRRRRHGGWSSSTAAARRRQQQHDVDTMVDGASRLLQPAAAPSKPIIKTSSRARERHHHVACGIGTRLRQRVTRQRCSTWTRELAKPHSYAIKLGEGSRRHPGVHHDVGAAAEETSASSPRSPSP* >Brasy3G244900.1.p pacid=40041662 transcript=Brasy3G244900.1 locus=Brasy3G244900 ID=Brasy3G244900.1.v1.1 annot-version=v1.1 MGRQPCCDKVGLKKGPWTAEEDQKLASFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEAEEKLVVDLHAQLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLRKIGIDPLTHKPLPVPPPQRHEQPQLAGHSPDQKLPEEERKKTAEAADEAEIGTTGLKRDGDDQEGLLLLKKSPGFCTDDVPMLHPDEIVVPFSPPPTSSYCSSSSASTSCVDGTTLFPIMDMDWPDSTMYYLMGLDNEYMVTEAPWEDCLAPQPPPAADEEDAFSAYQCQRNSAALFEQQQEAWNKLELF* >Brasy3G244900.3.p pacid=40041663 transcript=Brasy3G244900.3 locus=Brasy3G244900 ID=Brasy3G244900.3.v1.1 annot-version=v1.1 MGRQPCCDKVGLKKGPWTAEEDQKLASFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEAEEKLVVDLHAQLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLRKIGIDPLTHKPLPVPPPQRHEQPQLAGHSPDQKLPEEERKKTAEAADEAEIGTTGLKRDGDDQEGLLLLKKSPGFCTDDVPMLHPDEIVVPFSPPPTSSYCSSSSASTSCVDGTTLFPIMDMDWPDSTMYYLMGLDNEYMVTEAPWEDCLAPQPPPAADEEDAFSAYQCQRNSAALFEQQQEAWNKLELF* >Brasy3G244900.2.p pacid=40041664 transcript=Brasy3G244900.2 locus=Brasy3G244900 ID=Brasy3G244900.2.v1.1 annot-version=v1.1 MGRQPCCDKVGLKKGPWTAEEDQKLASFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEAEEKLVVDLHAQLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLRKIGIDPLTHKPLPVPPPQRHEQPQLAGHSPDQKLPEEERKKTAEAADEAEIGTTGLKRDGDDQEGLLLLKKSPGFCTDDVPMLHPDEIVVPFSPPPTSSYCSSSSASTSCVDGTTLFPIMDMDWPDSTMYYLMGLDNEYMVTEAPWEDCLAPQPPPAADEEDAFSAYQCQRNSAALFEQQQEAWNKLELF* >Brasy3G332000.1.p pacid=40041665 transcript=Brasy3G332000.1 locus=Brasy3G332000 ID=Brasy3G332000.1.v1.1 annot-version=v1.1 MEAAAARKEWRAVPDAPLRTNGAEDAAERAKMAQSEGRAIYEVRWAAGLEDFCSITIDGSGGLSEDILQKRLQNIVHQRKELEQVEIELRAQAIAHPQIIEVQRSFGVAAKEHAAAVEDLKVVLPFPLVCLVVPYSLGSAMTW* >Brasy3G340200.1.p pacid=40041666 transcript=Brasy3G340200.1 locus=Brasy3G340200 ID=Brasy3G340200.1.v1.1 annot-version=v1.1 MERAAAGGEVNLDLRLLHHSTAAASRASRQYHAPPAPVPVPVPVLQGAGAGVAEGGGDRSFSCTYCRRRFYSSQALGGHQNAHKLERSLAKHSRDLSSAVAGIAGAAASSSSSSSPADLMIIGSSSWYPPAPRGSVGSGDRVVVDQGGTPGSSSAAAAAAVVSWIADGAGRRRHGGYRVHAGAGAEDDGIDLSLKL* >Brasy3G189600.1.p pacid=40041667 transcript=Brasy3G189600.1 locus=Brasy3G189600 ID=Brasy3G189600.1.v1.1 annot-version=v1.1 MVRTMVDSLSISLSLFLSLSTAPGPDVGRRRLHMAGSANKQTAGVSASVHKMVPRLEYTALHQARQRAAHGTDVTEDRARPQLQPATVARQQHDIDAMVDGVSRHLQPTTAPPEPIIKSSPRAWRRHHHARARLWHLDQASPTCSPPTLIDE* >Brasy3G334500.1.p pacid=40041668 transcript=Brasy3G334500.1 locus=Brasy3G334500 ID=Brasy3G334500.1.v1.1 annot-version=v1.1 MAVPVVDAEYLRQVDRARRALRALIASKGCAPIMLRLAWHDAGTYDVNTRTGGANGSIRHEEEYNHGSNAGLKIAIDLLDPIKAKYPKVTYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHCLGKAHPERSGFEGAWTRDPLNFDNSYFVELLKGESEGLLKLPTDKALLDDPEFRRYVDLYAKDEDAFFKDYAESHKKLSELGFTPRSGGTASTKSDIPTAVILAQSAVGVAVAAAVVIAGYLYEASKKSK* >Brasy3G285700.1.p pacid=40041669 transcript=Brasy3G285700.1 locus=Brasy3G285700 ID=Brasy3G285700.1.v1.1 annot-version=v1.1 MSTRGEEEAALAAPLLPRHGEEGKSGGGSRRRAARDWWVESKLLWRIVGPAIFQRVALYGINVVAQAFIGHIGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWVVLLIFAAALTPTYIFMEDLLLLLGQSPELSKLAGKMSVWLIPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALAIHVVVTYLLVRRFELGFVGAVAAADMAWWLVVLGQLFYVVGGGCPLSWKGFSMEAFADFWDFIKLSTASGVMLCLENWYYRVLVLLTGYLQNAEIAVDALSICLTINGWEMMIPLGFLAATGVRVANELGAGSGKGARFSIVVSITTSVAIGLVFWCLILAYNDQIALLFSSGKAVLAAVHNLSMLLAFTILLNSVQPVLSGVAIGSGWQALVAYVNIGSYYLVGVPIGIILGWPLGFGVRGIWSGLIGGTAVQTLVLAYLTMRCDWDEEAKITSARMKKWASTK* >Brasy3G326100.1.p pacid=40041670 transcript=Brasy3G326100.1 locus=Brasy3G326100 ID=Brasy3G326100.1.v1.1 annot-version=v1.1 MGDAAAPVPDPEPRVEGGGEGADPKPSRCSPEPVSVELSMGGDYYYRACCGDPDPDPKPEGPQVPYVGDKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVERIQKNIEQCKKTLIGLGYIEFTFEDFFSIFIEQLENVLQGHETSIGPEDLLERTRDQMVSDYAVMFFRFVTSGEIQRRTEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDPGNLSVNHHDFVPAANSSEGDAAMTSTPADEKPYITLLYRPGHYDILYPK* >Brasy3G326100.2.p pacid=40041671 transcript=Brasy3G326100.2 locus=Brasy3G326100 ID=Brasy3G326100.2.v1.1 annot-version=v1.1 MGDAAAPVPDPEPRVEGGGEGADPKPSRCSPEPVSVELSMGGDYYYRACCGDPDPDPKPEGPQVPYVGDKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVERIQKNIEQCKKTLIGLGYIEFTFEDFFSIFIEQLENVLQGHETSIGFVTSGEIQRRTEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDPGNLSVNHHDFVPAANSSEGDAAMTSTPADEKPYITLLYRPGHYDILYPK* >Brasy3G326100.3.p pacid=40041672 transcript=Brasy3G326100.3 locus=Brasy3G326100 ID=Brasy3G326100.3.v1.1 annot-version=v1.1 MGDAAAPVPDPEPRVEGGGEGADPKPSRCSPEPVSVELSMGGDYYYRACCGDPDPDPKPEGPQVPYVGDKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVERIQKNIEQCKKTLIGLGYIEFTFEDFFSIFIEQLENVLQGHETSIGPEDLLERTRDQMVSDYAVMFFRFVTSGEIQRRTEFFEPFISGLTNSTVAQ* >Brasy3G326100.4.p pacid=40041673 transcript=Brasy3G326100.4 locus=Brasy3G326100 ID=Brasy3G326100.4.v1.1 annot-version=v1.1 MGDAAAPVPDPEPRVEGGGEGADPKPSRCSPEPVSVELSMGGDYYYRACCGDPDPDPKPEGPQVPYVGDKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVERIQKNIEQCKKTLIGLGYIEFTFEDFFSIFIEQLENVLQGHETSIGCHVL* >Brasy3G326100.5.p pacid=40041674 transcript=Brasy3G326100.5 locus=Brasy3G326100 ID=Brasy3G326100.5.v1.1 annot-version=v1.1 MGDAAAPVPDPEPRVEGGGEGADPKPSRCSPEPVSVELSMGGDYYYRACCGDPDPDPKPEGPQVPYVGDKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKSEVERIQKNIEQCKKTLIGLGYIEFTFEDFFSIFIEQLENVLQGHETSIGCHVL* >Brasy3G130400.1.p pacid=40041675 transcript=Brasy3G130400.1 locus=Brasy3G130400 ID=Brasy3G130400.1.v1.1 annot-version=v1.1 MDPSSPRAPRSTFLATGHAPTSLTRPAEDEAAAHRALDLIKEKEHHPVRDEPLEIMPSRFGSSQVESSKDVKEKTLDSSTTVMKASRSTVGIRHQALGEYGGQPLLTEEVRRCNRSNGRGSVCTAIAHPPYVLCLRHAQARRTSYELRLKKKKQALKAAGDLKDKHSS* >Brasy3G130400.2.p pacid=40041676 transcript=Brasy3G130400.2 locus=Brasy3G130400 ID=Brasy3G130400.2.v1.1 annot-version=v1.1 MDPSSPRAPRSTFLATGHAPTSLTRPAEDEAAAHRALDLIKEKEHHPVRDEPLEIMPSRFGSSQSSKDVKEKTLDSSTTVMKASRSTVGIRHQALGEYGGQPLLTEEVRRCNRSNGRGSVCTAIAHPPYVLCLRHAQARRTSYELRLKKKKQALKAAGDLKDKHSS* >Brasy3G321700.1.p pacid=40041677 transcript=Brasy3G321700.1 locus=Brasy3G321700 ID=Brasy3G321700.1.v1.1 annot-version=v1.1 MAVPLLTTKIVKKRVKQFKRAHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE* >Brasy3G321700.2.p pacid=40041678 transcript=Brasy3G321700.2 locus=Brasy3G321700 ID=Brasy3G321700.2.v1.1 annot-version=v1.1 MAVPLLTTKIVKKRVKQFKRAHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE* >Brasy3G158900.1.p pacid=40041679 transcript=Brasy3G158900.1 locus=Brasy3G158900 ID=Brasy3G158900.1.v1.1 annot-version=v1.1 MGNCWFGGSSYINRVSSTAKSETPKIQSPSERDRSGESKLPSNAREVEAMRLDSAARNPLTAFSFDELRKVTDGFRRDSLIGGGGFGRVYKGAVVAATGERLQVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVKLVGYCCEGDHRVLVYEYMPLGSVESHLFSRVMAPLPWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYILTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWALPMLTHKKKVMGIVDPRMGADQDNCPARSVHKAAMLAYHCLSSNPKARPLMRDIVASLEPLQHPPLAAAAVPDVDSLSA* >Brasy3G158900.2.p pacid=40041680 transcript=Brasy3G158900.2 locus=Brasy3G158900 ID=Brasy3G158900.2.v1.1 annot-version=v1.1 MGNCWFGGSSYINRVSSTAKSETPKIQSPSERDRSGESKLPSNAREVEAMRLDSAARNPLTAFSFDELRKVTDGFRRDSLIGGGGFGRVYKGAVVAATGERLQVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVKLVGYCCEGDHRVLVYEYMPLGSVESHLFSRVMAPLPWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYILTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWALPMLTHKKKVMGIVDPRMGADQDNCPARSVHKAAMLAYHCLSSNPKARPLMRDIVASLEPLQHPPLAAAAVPDVDSLSA* >Brasy3G327700.1.p pacid=40041681 transcript=Brasy3G327700.1 locus=Brasy3G327700 ID=Brasy3G327700.1.v1.1 annot-version=v1.1 MAPPPPRLSARADEENRLVGLAARCASARQLEQLHARAVLLGHARSSFVAAKLVRAFADLGHLRAARAVAASLGAAANAFVWTALVRAHSQSGSSAAVRDAMALYAQMHRVCPGVRPLTFTVSSVLKAAARREMLREGEQVHVHVFKNGFQADERIATTLVDLYAKCGRLDGARRVFDRLLVKDSQLYNTMIAAYMEADEVERAEELFEAMPERNTHTLVEMVGGYSARGDMDSAKRVFEMANGAANMVLCTAMISGFAKTGNVDDARSVFDGMRQRDVATWNVIIGAYSGAGRAVEAVNLFKVLLESRTRPKVEPNSTTISTVAAACAQCHDRMLLNNHTVAALIDMHSKCGNIDRALDLFCIDLICYSSMISALGMHGRGKDAIAVFNELRDDGFDPDGICFVSVLNACSHTGLVEEGRRYFQMMKDEYHIIPTVEHYLCMVDLLGRAGCINEAYQMITHEMPTDFQPHAGIWGALLSACRTYSNVKIGEVAASHLFKLEPYNMGNYAKKWDGVQKVRALMRRQGMKKAPGWSQVDAEGRLREFITGELHDSVLESIMEILNWELRDHGYIPIIEVE* >Brasy3G153400.1.p pacid=40041682 transcript=Brasy3G153400.1 locus=Brasy3G153400 ID=Brasy3G153400.1.v1.1 annot-version=v1.1 MLANKRDGGDLAGSSNENKRTKSFLSGDMYWYANKGTWSKLGEKLALKLSESVVSLALSDGHTVLFSFSGIVVEQLRCGYRFLTSASLVRALKEHDNLKIEVRHEQNVAVGFLEEYDLDHEIAVVKITSSLELEAVFLHNVSCYTPYCDVVSLGRDISGKLLATTGKLTPTSSGSDRQNLMFSSCKLSEFMEGGPLFDRSGAFVGMNLVPSMEKSFFLPVRLIYVRLKHFETSEQRAVFLARVNELKPERVGRTPTDILDSHAEVAPNKDHYRHLEVLGYPRPTKSGMTLVNTFEEPFGDIYREGVWKQLSRGVSEKIHDSVVTLASFQGDKRFFACSGTFIDWDGKFQDNGCKIILTSACLVRNPDYPRDGGNKIVDGSRIKVFLQGKKRRKGTLIHYSLHYNVALVRVRTSSAVSLPVVRHGRKNMCSNKLVVAVGRCKSGDLMASSGKLAPHWSGPFDFKRLVYSTCRIKKVGIGGPLVDAEGNYIGMNFYDKKVGNPVLFCDDIVDILDRFKKGTAAELDNDDLRMNRWPVPWPEWIRPGDWEGIMECEQRIIDSGGPYNYGDGEIILVK* >Brasy3G202400.1.p pacid=40041683 transcript=Brasy3G202400.1 locus=Brasy3G202400 ID=Brasy3G202400.1.v1.1 annot-version=v1.1 MRRPWKHPTPAYGAAALHGSADLCLREVGGLLPRRFARRAAASEDLIMRLQIHRKLNRHTGCVNTVGFNADGDTLISGSDDQLVMLWDWDTGAVKLQFHSGHVDNVLQARFMPYTDDRTVVTCAADGEVRIAKIQDGGDVPTSLLGEHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTDTATKLFICRNSFTKPGYSSHIHLNAIAMDPRNPNLLGVGGSNSFARVYDIRKYKWDGSSDFGHPSDCYCPPHLVKTRSPGVGITGLAFSHQSELLVSYNDENIYLFPKNGGLGPDPKSSVKIEGNQGSKSTMAAFDEDVARPAPQVYVGHRNCETVKGVTFMGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECIVNCIEPHPHAMTIASSGIDNDVKLWTPSAMERAQVLNVEELKPRKRKAKLWHFALPEQLVWHVLTSRRRQQGAGEDSSEDLEDNTELLNLVLQAADRDNSSDDSDEDKEISDGSGD* >Brasy3G202400.2.p pacid=40041684 transcript=Brasy3G202400.2 locus=Brasy3G202400 ID=Brasy3G202400.2.v1.1 annot-version=v1.1 MLLLANVSAVVQDLIMRLQIHRKLNRHTGCVNTVGFNADGDTLISGSDDQLVMLWDWDTGAVKLQFHSGHVDNVLQARFMPYTDDRTVVTCAADGEVRIAKIQDGGDVPTSLLGEHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTDTATKLFICRNSFTKPGYSSHIHLNAIAMDPRNPNLLGVGGSNSFARVYDIRKYKWDGSSDFGHPSDCYCPPHLVKTRSPGVGITGLAFSHQSELLVSYNDENIYLFPKNGGLGPDPKSSVKIEGNQGSKSTMAAFDEDVARPAPQVYVGHRNCETVKGVTFMGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECIVNCIEPHPHAMTIASSGIDNDVKLWTPSAMERAQVLNVEELKPRKRKAKLWHFALPEQLVWHVLTSRRRQQGAGEDSSEDLEDNTELLNLVLQAADRDNSSDDSDEDKEISDGSGD* >Brasy3G236100.1.p pacid=40041685 transcript=Brasy3G236100.1 locus=Brasy3G236100 ID=Brasy3G236100.1.v1.1 annot-version=v1.1 MLISSLLISSNASALCAPAALKERGPAAAPAAARRRRLRPARPRLGEEVRCGGEEVRCGGGGEMRRSSRGGRGGGGGSAEAAHGPSEADPAGSRLRSSRGGRRGGGGSAEAAVEVATVGPAFCEEALGSVGAGVDAKSYKELAGSGGEEVRRRLLRRRGGEMRRPVIVF* >Brasy3G186100.1.p pacid=40041686 transcript=Brasy3G186100.1 locus=Brasy3G186100 ID=Brasy3G186100.1.v1.1 annot-version=v1.1 MHHPRARYPPGYDYGGGGGGGGGRGGGGGGERGGGSGGNQNYYGRNPQPQHHDYHQPQHAQRNSFSHQQQHQQHQHAQRNSFSQQQQQQWLRRDQAAAQASGDAAARTAARLDAVDTSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIEVMVSTGGTSLKDDIMRLYQPVHLLAGTPGRILDLTRKGICMLNECSMLIMDEADKLLAPEFQPSVEQLISFLPASRQLLLFSATFPVTVKDFKQKYLPKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNMYRIEQELGTEIKTIPPQIDLAEYCQ* >Brasy3G107500.1.p pacid=40041687 transcript=Brasy3G107500.1 locus=Brasy3G107500 ID=Brasy3G107500.1.v1.1 annot-version=v1.1 MGGDDGGDGVGNKWCAEGGGGGGGGGVTGEAFWDPRACSKKNVQEIGDVKKQSIVEKNVRLYTNIVSALEGENLRLMQSLKDLEVEMKKIKHEKKIMERRHRLQIRDRDRREFCIVMVVAICTIGYGLATLMTRGFI* >Brasy3G081400.1.p pacid=40041688 transcript=Brasy3G081400.1 locus=Brasy3G081400 ID=Brasy3G081400.1.v1.1 annot-version=v1.1 MADYWAEDWGESSSPPRAAGVDGDGLRRRIYEHLLLVEASNSKHGECPPPDASSFREMLDTHLDRLPLSYLVDSSKAAGEDVLLHRSILHQCADTGYPVFSARFLQCFTIQTDYGYVGTDPESDLCQMLLEDLTLESKHDADDTCATSASSRTSEPNFLHEIIFSSVDRPKLLSWLTTLLSEVGLNIHEAHVYSTTDGFCLDIFVVDGWMTEETDELIRMFNDVTKRKFGSPRKICELRQQVGDSEINWSMLTKGGMIASRTSADLYRGTYSGCDVAIKMLKCTEDLNNPSRVELLQEVLILRIVNHENIIKYYGACTKHPNYCIVTEYMPEGNLYEFLHKQKHLLDLPEILRIAISISKGMEYLHRNNIIHRDLKTANVLKGYGQALKIKGFNLAILGSQEDQMTAETGTYRWMAPEVS* >Brasy3G206500.1.p pacid=40041689 transcript=Brasy3G206500.1 locus=Brasy3G206500 ID=Brasy3G206500.1.v1.1 annot-version=v1.1 MGTYSKKKVQTPISRKSLCLPTHTNRTGEPEIPLPNPLKSELRSQGLRAQHRRQEGPRREKAQGRGVTERKRRDRRDPVRLSLKPSWTNCSGTATASFEEPSSVAEFRDQMILASPSTHRKGMCSLFILVCWAIWRKRNARIFRDKETSLRQLVYSIKDEAREWAFAGAKALRKLLWEQ* >Brasy3G336000.1.p pacid=40041690 transcript=Brasy3G336000.1 locus=Brasy3G336000 ID=Brasy3G336000.1.v1.1 annot-version=v1.1 MISAPPLTQAPPATLAGFRRVASSASRTVRCSVAVASSAGRCTLYEVLGLRAGATGREIKAAYRRLARERHPDAAGADGGDEGFIRLHHAYATLSDPDARARYDRSVVAHAYYGGGYYGGDDGGVWGRRRPRRTWETDQCW* >Brasy3G247500.1.p pacid=40041691 transcript=Brasy3G247500.1 locus=Brasy3G247500 ID=Brasy3G247500.1.v1.1 annot-version=v1.1 MQSQIVCHGCRRVLCYPSGAPSVCCGACQAITIVPPPALEMAQLICGGCRTLLMYTRNADTVRCSCCHTVNLVRSVNNIAHVNCGQCRTTLMYPYGAPSVKCALCNYVTNTGINTMAPTPCPMPTPNGSPYNVPSTSVSTSQPQNVTVVVENPMTVDGKGKLVSNVVVGITPGKQ* >Brasy3G247500.2.p pacid=40041692 transcript=Brasy3G247500.2 locus=Brasy3G247500 ID=Brasy3G247500.2.v1.1 annot-version=v1.1 MQSQIVCHGCRRVLCYPSGAPSVCCGACQAITIVPPPALEMAQLICGGCRTLLMYTRNADTVRCSCCHTVNLVRSVNNIAHVNCGQCRTTLMYPYGAPSVKCALCNYVTNTGINTMAPTPCPMPTPNGSPYNSTSQPQNVTVVVENPMTVDGKGKLVSNVVVGITPGKQ* >Brasy3G337800.1.p pacid=40041693 transcript=Brasy3G337800.1 locus=Brasy3G337800 ID=Brasy3G337800.1.v1.1 annot-version=v1.1 MLRAFRRETLTPLCISLLNKDLTPLSRLTMSNSNVCNCSSNVNCFFWNVLEIRGHLAEGGFTICFNRI* >Brasy3G329200.1.p pacid=40041694 transcript=Brasy3G329200.1 locus=Brasy3G329200 ID=Brasy3G329200.1.v1.1 annot-version=v1.1 MASAFDDDNPTAAAAPAPQQEATTGDVYVYDGMLLAASVGGGEAVVAKDMDDGSVLGLSGQSFVDGDGRHVVHFLDVQGELEAFLLVVVVRREDSRIVSVMKF* >Brasy3G114000.1.p pacid=40041695 transcript=Brasy3G114000.1 locus=Brasy3G114000 ID=Brasy3G114000.1.v1.1 annot-version=v1.1 MVISVPSHRRSIRDAVLGGGRQLHTPLRCAFYDGAAAGGGIPGEGLAAVLSEATGSRFSVAVEGGGGGGRKAAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGVYLAALAYYYANEVVAGIMKYKPDVIISVHPLMQHIPLWVLKWQSLQPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAQVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELREELDMHPELPAVLLMGGGEGMGPVEETARALGEELYDHRRRRPAGQVVVICGRNQALRNTLQRLPWKVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFCKDPREAARQVSQWFGTDIDELKRYSRNALKLAEPEAVFNIVRDIHKLQQQPAAVTRIPYSLTSSFSYNT* >Brasy3G157400.1.p pacid=40041696 transcript=Brasy3G157400.1 locus=Brasy3G157400 ID=Brasy3G157400.1.v1.1 annot-version=v1.1 MEALVAMSEQRNHRSGGQRKSSGPNFSSPPSSRGFRGMNCRSSHYAVCAGLLPSPPPPPARTYSSPEPKTPKQQHVRHSGKRSMPISISPSMSPPSRPELWAGPAFSNSPPPSSLPIPKFSLREKRSVSLELPPVERCDDLELRPHAKSAPSSPVGGSGFGFFNDKDTAIATENLRRILQLDIADH* >Brasy3G064000.1.p pacid=40041697 transcript=Brasy3G064000.1 locus=Brasy3G064000 ID=Brasy3G064000.1.v1.1 annot-version=v1.1 MDPSERPAVVMDNGTGYSKLGFSGNSEPSFTIPTIVAVNESFLDQSEQCSSANWLAQYNAGVMADLDFFIGDEAVSHLRSSGLYSLRTPIRHGQVTDWDTMERFWQQSIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYISVQSVLSLSAGYAYLRSLSEEEADSASDMTGVVVDIGEGAPHIVPVVNGYVIGSSIKSFPHSGSDVTQFVLQLLQERGELLPPDDALDIARRVKETYCYTSSDIVKEFKKHDSKPSKYIKQWSAVKPKTGVPYTIDIGYERFLGPEIFFNPEMYSEDFFTPLPELIDNCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNNLKKIVDERVAATNARHHVEVKPVEVNVVTHPIQSYAVWFGGSVAASSPEFFECCHTKEEYEEHGASICRTSTVFKGMY* >Brasy3G064000.2.p pacid=40041698 transcript=Brasy3G064000.2 locus=Brasy3G064000 ID=Brasy3G064000.2.v1.1 annot-version=v1.1 MDPSERPAVVMDNGTGYSKLGFSGNSEPSFTIPTIVAVNESFLDQSEQCSSANWLAQYNAGVMADLDFFIGDEAVSHLRSSGLYSLRTPIRHGQVTDWDTMERFWQQSIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYISVQSVLSLSAGYAYLRSLSEEEADSALLQERGELLPPDDALDIARRVKETYCYTSSDIVKEFKKHDSKPSKYIKQWSAVKPKTGVPYTIDIGYERFLGPEIFFNPEMYSEDFFTPLPELIDNCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNNLKKIVDERVAATNARHHVEVKPVEVNVVTHPIQSYAVWFGGSVAASSPEFFECCHTKEEYEEHGASICRTSTVFKGMY* >Brasy3G110900.1.p pacid=40041699 transcript=Brasy3G110900.1 locus=Brasy3G110900 ID=Brasy3G110900.1.v1.1 annot-version=v1.1 MASPPGAGLTEYERLREENIRRNGVILASLRRKAGELSAAIQSSSAAKRGRGRASNLPRKKPKIPANPSELRRSLRLCQLPPTYSPNAEPKPEPPPEPRSTSISSALASSILEAVPLSAPAAKVRADDFDAGRELVLRPEDVRRVVRDRILGVLVLPLVDRTVVVAGNKLGNIGIWDADGLVEEDEAGDGADGVFEYLPHRGPVAAIVAHPATPQKVYSCSYEGEICLMDLEKENFNMIQLCDYPVYSLCQAPDNANCLYFGDGHGELKLYDERAGKVSSAWESHDQTINSIDFHPEKKHMLATSSTDRTARIWDLRRMKKKKEESLKVFKHSRSVQSAYFSPGGHMVATTSLEDTVRIFGGDDFGNSHIIKHNNQTGRWLSTFKAIWGWNDTTLFIGNMKRAIDVISVDASESSLLASNSALLESEHMTAIPCRFSRHPYKVGHLACASSGGKVFLWTRA* >Brasy3G110900.2.p pacid=40041700 transcript=Brasy3G110900.2 locus=Brasy3G110900 ID=Brasy3G110900.2.v1.1 annot-version=v1.1 MASPPGAGLTEYERLREENIRRNGVILASLRRKAGELSAAIQSSSAAKRGRGRASNLPRKKPKIPANPSELRRSLRLCQLPPTYSPNAEPKPEPPPEPRSTSISSALASSILEAVPLSAPAAKVRADDFDAGRELVLRPEDVRRVVRDRILGVLVLPLVDRTVVVAGNKLGNIGIWDADGLVEEDEAGDGADGVFEYLPHRGPVAAIVAHPATPQKVYSCSYEGEICLMDLEKENFNMIQLCDYPVYSLCQAPDNANCLYFGDGHGELKLYDERAGKVSSAWESHDQTINSIDFHPEKKHMLATSSTDRTARIWDLRRMKKKKEESLKVFKHSRSVQSAYFSPGGHMVATTSLEDTVRIFGGDDFGNSHIIKHNNQTGRWLSTFNQLLQGNLGLE* >Brasy3G344200.1.p pacid=40041701 transcript=Brasy3G344200.1 locus=Brasy3G344200 ID=Brasy3G344200.1.v1.1 annot-version=v1.1 MDVSIPPLVTGLKQFRATPAGRRKWACVPSSFASSPLSAPRAPNGRQPFFCPAHDPNGPNRTEKAELPSVQPDVLPFLVARRRQHGVAGGVLAGERALLREDHVADVPKAQPRRGLTSRPASYSLFLVVISPTARTTPPRVAQPHPTAPPSPADPASAAAALHCGGRCRRLQPLRRRPPSSTRLWRTPPPRPRPPISAVHGRRCHAPRACLPFAAHREVRPFPRHLSPDPVPRRTGEGEQRKGSEEGREGNGEAEGRRCDVGAGEEEEERRRRWGIGGEKRCRGRR* >Brasy3G073400.1.p pacid=40041702 transcript=Brasy3G073400.1 locus=Brasy3G073400 ID=Brasy3G073400.1.v1.1 annot-version=v1.1 MTRSRAAPAPGTTTMAAGAGGSSPEGNQQQHGDLQPGEDHQDQPQQETVMPGFRFHPTEEELIEFYLRRKVDGKRFNIDLISFLDLYRYDPWDLPGLASIGDKEWFFYVPRDRKYRNGDRPNRVTPLGYWKATGADRMVRVVAGEAGVSRSIGLKKTLVFYVGKAPKGIRSSWIMNEYRLPHADAQRNQKEISLCRVYKRPGIEDNFHLTGTTTRSSAGSKAAGTKHHTGAAHRTPATANRQQHPPMFVNGGHHPSPSSLKPYNHQATAAGVHVAPPPAMFRSTASVASLGSTTSTEEDGTSLYHLKQGPNTAAAAMQPSMHASLLNMSSSAAAMAAIPIDELSRAIGSYSTGQAGANPNNNQQQPAPPSQISPLLNFPSLEKIWDWNPPLESPKVCTSFK* >Brasy3G014100.1.p pacid=40041703 transcript=Brasy3G014100.1 locus=Brasy3G014100 ID=Brasy3G014100.1.v1.1 annot-version=v1.1 MSGGRKPAGEEAEVEALLRAAQDAVLLKLQANSHLVSSSTSNLPPPAAAPLESAPGALDDDLARRFDALKSRPTAPASQPKMPAMDEAEARFAALKGAPAAVGPEKVRLEDLGDVESEEEEDEVEKVMRWAMDAARLDVATAGAGGATTGDGRTEGKEEEQDDDKGSVSSSDEEDEEERLELEMEKKRKEMMSKNKSKNRR* >Brasy3G082300.1.p pacid=40041704 transcript=Brasy3G082300.1 locus=Brasy3G082300 ID=Brasy3G082300.1.v1.1 annot-version=v1.1 MLHLAPRLQPRPRGAGARKKNPYSFLPPAASFVPNAGGGVQLTVSDADLSARGFTARRTAEGLDVAALNEVFARVGFPRRQEARLRRALEHSEVAWVASAATGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVAGLRRKGVGNIVLYAEPRVVGFYRPLGFAMDPDGIRGMAYYKSRQTTTNTTTASQ* >Brasy3G202700.1.p pacid=40041705 transcript=Brasy3G202700.1 locus=Brasy3G202700 ID=Brasy3G202700.1.v1.1 annot-version=v1.1 MASREARPPTPLLLPPPSEKKQSPPQGSTTISDLSDDLLRAVFLRLPSLPSLVRAALSCRSFLRAVRSSPAFRRRFRELHPPPLLGIFLDIYGPAIPVFAPLRGHSDPDLAAAIRGADFFLTCLPDGEGAASEWAIRECRDGYVVLISFNSNQMAVYNPLTRALDLLPQPPGEICEDMYVEFHIVTSDEDPRLFRVICVCHENWGAQVAVLSSDTRQWQIFPWVDFDTQIGDDEYSTHDGTLLNGSIYWTQLNRVNGRVLNTATMQFSRMELPLHMEGQGKCMAGETKDGKLCIVCAVQLTLVLWIWRTGDDGVERWMLDKTFPLSQAINVSDQRSIVVVSPYDALKIVSIVDGFLYMSTYSEMDHSAP* >Brasy3G173500.1.p pacid=40041706 transcript=Brasy3G173500.1 locus=Brasy3G173500 ID=Brasy3G173500.1.v1.1 annot-version=v1.1 MNPFAKKPTPREAIRNSKRELTNATRGIERDIGTLQLEEKRLVTEIKRTARTGNEAATKILARQLIRLRQQISTLQGSRAQIRGITTHTQAMHANTSVAAGMQSASKAMGAMNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNVLDDDQAEDETEELANQVLDEIGVDIASQLSSAPKGRIAGKKVQADESSELDELEKRLAALKNP* >Brasy3G326500.1.p pacid=40041707 transcript=Brasy3G326500.1 locus=Brasy3G326500 ID=Brasy3G326500.1.v1.1 annot-version=v1.1 MATGAPRMNATAQPLSATAGHHNSEADEVVVASKDDKHAGEEMPPSMQQRLVESSTASTGVDEEDTDAVEMAARMQRRLDVLPGRPHESEPFTIFRVAGPMRDRNRHLYEPQMVSIGPFHRGGAHLRAMEEHKWRYLRDLLARSSGDGAATLASYTEAARVLEPRARRHYAEPTGHLSARDFAEMLLLDGCFIIEFFLKGEDQATDALIDAAWAMQNLSNDLYLLENQLPFFVLECFYGLATGCLGRDHLVSNFLAKYLTVDTPQDAATAKPPDGEIHHLLHLYYHWFLPKEDAAPTGDNSKEAEEKAFDEWLAKPMDERVPWLLPSASELKDAGIRFRTKKSPRSLVDVTFRPHDGVLEIPAVESYTNRAVFANLLAYEQSRGRWELQRLMSYVVLMASVVRNGRRDVEILQRAGVFVKGDEETAAFYAHLGELLGGTAIAWGPAHDDSYVDLFRDVREHCGRSWNRHRAVLAHDYFSNPWTTMSAAAAVLLLVLTVVQTVYTVLPYYHP* >Brasy3G005100.1.p pacid=40041708 transcript=Brasy3G005100.1 locus=Brasy3G005100 ID=Brasy3G005100.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMEREAAGVGGGSGGPEAAGRLGGAGGEPAGVGEGGGRRPGWGREAAGVGEGGGSRGGAGRVGGGGEGGGEAGGAGRVGGGAGGGGDGRGRRGGWGGGGGRGEGGGGVAGGGREGRGRRGGWGGGPGAAGRPGEAGAAGGSRGRRGMGGGLDASRILYKDRRCQPGSSNSPASTMMWTASQEDHAAPNDARVQAHVALDGARVSRGRAAVEKRAVFLSYTRRGRVEHHCPRTSFIWEQKS* >Brasy3G147400.1.p pacid=40041709 transcript=Brasy3G147400.1 locus=Brasy3G147400 ID=Brasy3G147400.1.v1.1 annot-version=v1.1 MANLLLLLSCILVLVQALPGAMVPAGGVMFHVTNKCPFPVWPATAPNSGHPVLAGGGFFLPPGQSKRVNAPPSWNGRFWGRTGCSNFNGATTSRSRCLTGDCEGRPRLQRVRGRPSRHAPGGELARGARDELLRRERGGRVQPARGRVEQAGEPERQVLHRRVRQGRERGVPARAAGAGAGKGQGADGGGVQERVPGVRAGRLLLPGRVRHGGGVQGERLLAALQGRLPGLL* >Brasy3G221000.1.p pacid=40041710 transcript=Brasy3G221000.1 locus=Brasy3G221000 ID=Brasy3G221000.1.v1.1 annot-version=v1.1 MAAEGVDREHAQILAATRSELTNSMRSMNDRDHVNAFYQLDYADRAEASAAAIAIDLAEDADDFHRGAALLTGYGEDQALAETMRGQAAWSDAKHAEAVEVAADARTLRDRCLRIIATAETADIVTLAAVEYIAYVSEGADDGGHLIPSSPEAAARSEAVDAAARDHLGLGRSARFAEEFVRRAQRLRRGAEALGLGLDGLQEVKEVAEALRERAAWVEALCADPEALVEKMMSSAYWRLRRILRRQRQDSWSTQQASTATAPAAK* >Brasy3G060700.1.p pacid=40041711 transcript=Brasy3G060700.1 locus=Brasy3G060700 ID=Brasy3G060700.1.v1.1 annot-version=v1.1 MASSTTVRFIFFFAALMLLMHCTNAAAAARRAPNFQQNQEGKTWCVAKPSTGEDALRANLEYACSESDCSAIQGTGGCSPLYGGVLLSRASVAMNAYYQAKGRNSWNCFFNGTGLVAITDPSLGTCKYA* >Brasy3G011600.1.p pacid=40041712 transcript=Brasy3G011600.1 locus=Brasy3G011600 ID=Brasy3G011600.1.v1.1 annot-version=v1.1 MASSSSFLLLAALLALVSLQAIASDPSPLQDFCVADKNSPVLVNGFVCKNPMYVNADDFFKAAELDRPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN* >Brasy3G209100.1.p pacid=40041713 transcript=Brasy3G209100.1 locus=Brasy3G209100 ID=Brasy3G209100.1.v1.1 annot-version=v1.1 MRGMPFAGESHPGIQLLLQQQQGGLAGGGKVGGGFWEPTSVLDLRQSPSPSPPVSSAASTLSSSEVAALAGGDAKNVSPPPQAWPGGAGGEDAAGVKEEWAHQLAPLDNMGLAPGDDWDDAAAAPPSGPESSFLRWIIAGGEDGSVLMDPPVLELDHATSMMSSPAAAAFGPPNLSSSFAPAMEETKPQPAFGHGPGFLLHHPQPHAAFFGSHPSFDSPPTTKRHHPMAAAPPPKLPPYPSHHLAPASGGGGFVLKQQPKAAAASQDEAAAMAAVEQLAEAAKLAEAGDAHGAREILARLNYRLPAAPAAGNTPPLLRSAFYFKEALRLALSTPSGEAYYSPAPPASTPYDVVLKLGAYKAFSEASPVLPFAHLTCVQAVLDELGDRGCVHVLDFDIGMGEQWASLMQELASSSHRRGTSAAAALKVTALVSPTTHHQLELQLIHENLSSFAADLGVLFQFSAFNVETLDPADLVSIVAGAGDEALAVHLPVGAAHGASTPAILRLVKRLGAKVVVAVDRGGDRAELPFAAHLFQAFQSAVFLLESIDAVLGSADPDTAGRVERFLVQPAVEQCVVARHRDRASPGMMPWRAVFAAAGFAPSQASTFAESQAESLLHKVPVRGFRVERRAPGSLCLYWQRAELVSVSAWRC* >Brasy3G261900.1.p pacid=40041714 transcript=Brasy3G261900.1 locus=Brasy3G261900 ID=Brasy3G261900.1.v1.1 annot-version=v1.1 MTVVDVVAAGAGAGAAAEAGNGSGNGQTVCVTGAGGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLRALEGAAERLILCKADLLDADAIRRAVHGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIDAAVEAGTVRRMVLTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAAWEAARQRGVDLVVVNPVLVIGPLLQPTVNASIAHILKYLDGSASKFANAVQAYVDVRDVADAHLRAFENPLASGRLLCAERVLHREDVVRILSKLFPEYPVPTRCSDEINPRKQPYKMSNQKLRDLGLEFRPVSQSLYETVKSLQEKGHLPVLSEQTEADKEVPAEELQGGVTIRA* >Brasy3G193500.1.p pacid=40041715 transcript=Brasy3G193500.1 locus=Brasy3G193500 ID=Brasy3G193500.1.v1.1 annot-version=v1.1 MIKTKCAFKFKSEFHVWVRGSSGSVRTARIEARPSDPGWVVTRRRQARRRGRISGQRQQIGHSRLQPHSAQQKNMALAAAPLRRFQTAPPRPPPFSAPQRHARLRPQHIQRSPPPFLTAAAAGPLTAADTEERKHELLRAVQETGRGSAAGPDQRAAIEEAIVCVEELGAGEGTPLDLAALDGTWRLCYTSASDVLVLFEAADRLPLLQIGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFDVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFVFTRAQPLL* >Brasy3G193500.2.p pacid=40041716 transcript=Brasy3G193500.2 locus=Brasy3G193500 ID=Brasy3G193500.2.v1.1 annot-version=v1.1 MIKTKCAFKFKSEFHVWVRGSSGSVRTARIEARPSDPGWVVTRRRQARRRGRISGQRQQIGHSRLQPHSAQQKNMALAAAPLRRFQTAPPRPPPFSAPQRHARLRPQHIQRSPPPFLTAAAAGPLTAADTEERKHELLRAVQETGRGSAAGPDQRAAIEEAIVCVEELGAGEGTPLDLAALDGTWRLCYTSASDVLVLFEAADRLPLLQIGQIYQKFECKDRSDGGVVRNVVRWSIENLLEVAVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFVFTRAQPLL* >Brasy3G077200.1.p pacid=40041717 transcript=Brasy3G077200.1 locus=Brasy3G077200 ID=Brasy3G077200.1.v1.1 annot-version=v1.1 MASEMVKAATSEKLKEMDWAKNIEICELVARDPGKAKDVIKSIKKCIGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPCREKIFLLLDATQTSLGGAKGKFPHYYEAYYDLVSAGVKFANGPNVIVTHAQHPVPEATIEPNKDNLSSRLNEGQKEAHAQPVSDSSIIKKASSVMEVLRDVLDSMDPRHPEGATDEFVLDLVEQCTFQKHRIMHLVMTARDEVVVSQCIELNEELQKVLVRHDELLSVQPTTTAVASNLKEDEEEEDAESLYRRLRKGKALSQDYPPDSIPSFRSIPEDKMRRPLTIQPPLPDRKPGVLNICSPDHLEARPNPAVLIPPPPAKHAERERFFREKSLDGGVNLPGHLRGLSLQSSRDGSSSCSGSTDYGD* >Brasy3G068700.1.p pacid=40041718 transcript=Brasy3G068700.1 locus=Brasy3G068700 ID=Brasy3G068700.1.v1.1 annot-version=v1.1 MPWHAHRFLPLSAWWVVELTVITSMLLPRGRAAVSGPLRPAGAPKSANRSPEAASQFRAAAPRRCAPGRRRYHTGPRGPPLVRSLAVPSPTALHSVAARHPCLADRRLLAGHPLAPPLPRACCWPPARPPRLPATCSLPTRSPASQLTREGDPCPVRSRACRPCLAGRSLARWSCAARRNNPTMSQLKRPRPF* >Brasy3G345600.1.p pacid=40041719 transcript=Brasy3G345600.1 locus=Brasy3G345600 ID=Brasy3G345600.1.v1.1 annot-version=v1.1 MESLWKLTYLLEPASLALVATAVSVAYASASRALDHGKEMERNLGFSESSITLDRSQALMIPLASSCSLLLMFYLFASVSHLVAAFAAAASATALFFCLSPCLPRARSLLRLPDPFLPSSSSRFCCSSSSKPFTRLQGLLLLFCVGTVAAWLVTGHWVLNNALGIAICVAFVSHVRLPNIKICALLLACLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVADKLSLPGLQMITKKIELPVKLVFPRDLLGGIVPGSTPGDYMMLGLGDMAIPGMLLALVLSFDHRKSKEAAAPSDSSPSPKRRKYVWYALTGYGVGLVTALAAGILSQSAQPALLYLVPSTLGPIMYLSWLRNDLWELWEGSGEILNEKAHQLEV* >Brasy3G179000.1.p pacid=40041720 transcript=Brasy3G179000.1 locus=Brasy3G179000 ID=Brasy3G179000.1.v1.1 annot-version=v1.1 MRRLFAAAMPRLLAALLLPLLVALQSKAALSFGATAGRAEWHVLTRANFSSQIRLHPHVLVLVTMPWYGESRSLMAEIEHLVATDERVLGHLKLMVVYRNHEKLLSDAIGATEGTKFIYYQQSIRFKYQGKLRARDILYSIHHTMSLKHEEAPFEVLHTKEDVEAFIESTDKAVLLSEFCGWFTRLASGGSNRSNGGPSSKNHTENVGISGKIQTRQSDGQLELVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGFLWKKKMVTQHDAPAPQSFQFHISDKSSSSVGYQSHPSHSERRKVHIVTGSILTATEKLGAAVPFDNAQVLIVSADSHEGFHGLIINKRLSWGAFKNLDSSMEPIKLAPLFYGGPVVVQGYHLVSLSRVVFKGYAQVIPGIYYGNIIATSRVIRGIKSGQQSAEVLWFFLGYAGWGYSQLFDELSEGAWHVSGQPIEHLEWPES* >Brasy3G179000.2.p pacid=40041721 transcript=Brasy3G179000.2 locus=Brasy3G179000 ID=Brasy3G179000.2.v1.1 annot-version=v1.1 MRSCCRMLLEPLKEQSSYTINNPYGSSIKESFVLEIYYIQYTIPCHLSMRRPLLRFCIQKKTWKLLLNQPIKLCFYLNSVDGLPDWLVVEATEAMVALHQKITQRMVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGFLWKKKMVTQHDAPAPQSFQFHISDKSSSSVGYQSHPSHSERRKVHIVTGSILTATEKLGAAVPFDNAQVLIVSADSHEGFHGLIINKRLSWGAFKNLDSSMEPIKLAPLFYGGPVVVQGYHLVSLSRVVFKGYAQVIPGIYYGNIIATSRVIRGIKSGQQSAEVLWFFLGYAGWGYSQLFDELSEGAWHVSGQPIEHLEWPES* >Brasy3G179000.3.p pacid=40041722 transcript=Brasy3G179000.3 locus=Brasy3G179000 ID=Brasy3G179000.3.v1.1 annot-version=v1.1 MRRLFAAAMPRLLAALLLPLLVALQSKAALSFGATAGRAEWHVLTRANFSSQIRLHPHVLVLVTMPWYGESRSLMAEIEHLVATDERVLGHLKLMVVYRNHEKLLSDAIGATEGTKFIYYQQSIRFKYQGKLRARDILYSIHHTMSLKHEEAPFEVLHTKEDVEAFIESTDKAVLLSEFCGWFTRLASGGSNRSNGGPSSKNHTENVGISGKIQTRQSDGQLELVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEVAEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGWCKSIF* >Brasy3G179000.4.p pacid=40041723 transcript=Brasy3G179000.4 locus=Brasy3G179000 ID=Brasy3G179000.4.v1.1 annot-version=v1.1 MRRLFAAAMPRLLAALLLPLLVALQSKAALSFGATAGRAEWHVLTRANFSSQIRLHPHVLVLVTMPWYGESRSLMAEIEHLVATDERVLGHLKLMVVYRNHEKLLSDAIGATEGTKFIYYQQSIRFKYQGKLRARDILYSIHHTMSLKHEEAPFEVLHTKEDVEAFIESTDKAVLLSEFCGWFTRLASGGSNRSNGGPSSKNHTENVGISGKIQTRQSDGQLELVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGWCKSIF* >Brasy3G179000.5.p pacid=40041724 transcript=Brasy3G179000.5 locus=Brasy3G179000 ID=Brasy3G179000.5.v1.1 annot-version=v1.1 MRSCCRMLLEPLKEQSSYTINNPYGSSIKESFVLEIYYIQYTIPCHLSMRRPLLRFCIQKKTWKLLLNQPIKLCFYLNSVDGLPDWLVVEATEAMVALHQKITQRMVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEVAEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGWCKSIF* >Brasy3G179000.6.p pacid=40041725 transcript=Brasy3G179000.6 locus=Brasy3G179000 ID=Brasy3G179000.6.v1.1 annot-version=v1.1 MRSCCRMLLEPLKEQSSYTINNPYGSSIKESFVLEIYYIQYTIPCHLSMRRPLLRFCIQKKTWKLLLNQPIKLCFYLNSVDGLPDWLVVEATEAMVALHQKITQRMVIEDEELTFGGGGQLTGSPWKGGFTIANGSLSDQSEISTDENRKLCTVQKFQQFESFYTKLTALSREYFLPPEKVRFGLITEKSSLHSLDIVNEGNSETWFLSVHYLGCATCSVTAKEGDDLRSLLQSHHNLDVKEIEVDESGGEATFPSNRPSAILFIDRLSDSAKTRDESKLSLKLLREYVQENYPPYVSSDDLNSGNSIMHSKVVPSVPSRSKSDAHSETARLHALASKFMELGDKMSVMVVKDGESISYRSGSQGSTNSPLYDILTKLVREARPAHRSKKTRIRFVGKDIGLKLLSDDSEVQLVDSVSIQESQHEGTDDSFARSDIVTDGITEVSMHENKAKKVEHIDDGQAPSILEKTAAYYCGINNDDHECSDTEIEEQQEAEASDVSLDLNYRDEKTIAEDLDILEPDGRNVHLNTEKSGSRNKQDVFSVQGQESGTIESFIYEGDLFNLDEESEKRDSKYSPDATFSSSSILASDNTDYTEQVTSSISDNRFVGPFFFSDGGSRLLRSLTGGSRVPSLVIVDPVQQKHYVFPQESEFSYPSLENYFDNFVNQNLSSYYRSTSTFISSKELPRPPFVNLDFHEANSIPLLTASSFCPLVFGFEDCDSENGMSFLNTENISSAWKKDVLVLFSNPWCGFCQRIDLVVRELHRSFKSFMSLNAQFADTQNLQTEEKNGESTAMGLPVIYLMDCTTNDCYHLLKSSGKEEFYPTVLLFPAEKKSAISYEGGMSVVNLIEFLESHASNSHHMSGYIGWCKSIF* >Brasy3G119700.1.p pacid=40041726 transcript=Brasy3G119700.1 locus=Brasy3G119700 ID=Brasy3G119700.1.v1.1 annot-version=v1.1 MVRTGRSVLLFLLALTVLSPLVLYTRRLSVALNPIQRKDLPGEIANQGRGVKASSRLNALPLETVSSLKEPVGVVFSEEPRDLPNESIESKDQESTPRKKANRALSEVTAADGAGSKEDGLIDQVTRREGQDGSLARSSIDQQEKATGSQQQSSSEASSLETSAKVLVENPQNESTDVKSKNMALPDTRVRNIKDLLIKVKVYLGLGAIRANSQYLRDLRQRIREVQKVLGDASKDSDLPKNANEKVKALEQTLIKGKQTQDDCSVVVKKLRAMLHSAEEQLLAQKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDSVQQQFPNHEKLDDPKLYHYALFSDNILATAVVVNSTVLNAKHPSRHVFHIVTDRLNYAPMKMWFLSNPPGKATIEVQNIDEFTWLNETYSPVLKQLGSQSMIDYYFRAQRANSDSNLKYRNPKYLSMLNHLRFYLPEIYPKLDKMVFLDDDVVVKKDLTGLWSIDMKGKVNGAVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQDITEIYHSWQKLNEDRLLWKLGTLPPGLITFWNKTFPLNRSWHVLGLGYNPHVNSRDIEGAAVIHYNGNMKPWLEIGLPKFRSYWSKYLYYDQPFLRECNINP* >Brasy3G133200.1.p pacid=40041727 transcript=Brasy3G133200.1 locus=Brasy3G133200 ID=Brasy3G133200.1.v1.1 annot-version=v1.1 MTLLVAAGEPHLAAFLQPGPVQVLEHRRCWCLGRGKEESRRRCLRCQGCLDQAGPRKPLSRARHLLAASLPIDPFLSPTSPYAAHLASSPPVGDLSPPLLVPLLPCLRAHGRRITGWGETRRRKSFRGGRVCSLRRATEEREADATPHKEDGSGHTRVQEEGGCTPRRGHAPMEEKEGCAPLRWRGDSRVAVQKENTGALLWRRGGDLRRQRREGGALRRRHTCFL* >Brasy3G157300.1.p pacid=40041728 transcript=Brasy3G157300.1 locus=Brasy3G157300 ID=Brasy3G157300.1.v1.1 annot-version=v1.1 MEIESAKCECCGLREDCTREYIAGVKADFGGRWLCGLCSESVRDEVAKKKKKKGGSSSSLDLEEAVRDHMSFCGKFSRRKPAFRVADGMRQMLRRRASDIVSAASSAAS* >Brasy3G213900.1.p pacid=40041729 transcript=Brasy3G213900.1 locus=Brasy3G213900 ID=Brasy3G213900.1.v1.1 annot-version=v1.1 MAGERELKLFHKSERNMFRRLVLDLGEEAVCMRWVIALWLYLESVGHHDFIHRLAAMPGPVLGRFVHEAVSCLAALADRALPGACTTLHCTNALLKRPIDAGVGYFEEHRDAVMAGVNPLYKDVCCVVLDDELVADAAALRLPATEADLFRDDVVRATAGQLPVAAAGFAAGGHAAVASSAAPVPAARMSTLRAAAEPWCPAPMPEWQLPAPVAPEWQPVRMAPRQAPVQEWQPAVPVQMPVPDWQQVIPVEPPVPEDHRSLFATFSRGYPISKEEILDFFEREFGPCVEDVKMERPAEGQAPMYARVVLRSQDMIPLVLDGNETAKYVINKRHLWIRVYVPNNRHSSSALHD* >Brasy3G187000.1.p pacid=40041730 transcript=Brasy3G187000.1 locus=Brasy3G187000 ID=Brasy3G187000.1.v1.1 annot-version=v1.1 MQMQCRCVGCATKVEKAMASIWSFGELYQWLKTKTRKDVKIVCPDPPVENHDQKMILVLGSSSEVGGTTARTFQRRRIRVKKLQDLADLISYLKEDTSI* >Brasy3G221300.1.p pacid=40041731 transcript=Brasy3G221300.1 locus=Brasy3G221300 ID=Brasy3G221300.1.v1.1 annot-version=v1.1 MYMDCEKWAVLTGHINGPICYCKCASERPSVRLLSVPQSPPLRRDPTRARRREERCAAAEEEEKRRSKMVRKAKVEFDERPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLESTRGVGWGKDHRPADLHEPKKVVEAEE* >Brasy3G335900.1.p pacid=40041732 transcript=Brasy3G335900.1 locus=Brasy3G335900 ID=Brasy3G335900.1.v1.1 annot-version=v1.1 MSTTAAANADEQCHGNGDSSTSGAVEVSLDFLPCSPNDRLEAVSAHRGLACCVDPAAFTLSYDGKPRSSRRCNYVCKPATKQWRALPSPRLRFPTAATAMIARPAGAGAADFKILRLSVTSLRDRLRCEIFDSRRRRGGWRRSADVPLWPESLAGAGPTVRAHGAMHWLRWPDRLGSGAGAEDIFAFDMRSETWRLVPLPPELEERAADGRGCWARKKLAAVEGRLCLVAVADAEAEVRAVAGYGGQTERSEKKMTGDSSCSDFRDLCSSDVAFLNTVYTVIWYDFLKGKKIAEVPVHHKCIQEVFKYESDLAPWDI* >Brasy3G139500.1.p pacid=40041733 transcript=Brasy3G139500.1 locus=Brasy3G139500 ID=Brasy3G139500.1.v1.1 annot-version=v1.1 MNRLSFRPRPLDIHKKLPIVKSAREFDDDETTLALRAAPPLPRHSVPELAADAEAHPGSAKKNEQEIPTPQYDDVDTYDRDYTRTFAQPTSYIRARGARAEIGEFVEYDLDNEDEDWLEDFNNERINLNPEKLEVLLFKLEILDLKARERAGIITPTFIGPIPVILQLDSAMEALQYLSVRYTVFQAVYNYWKAKREQWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSVQSFEKLRLIQRNLVQAKILMDALIKREEKKREAMECEVHLRRIQMKYKHEALLIDDGFALSGLQQVPSKFGSSDDDYADSDDTDTEQPVVFHPRFPDNKLSTIPSARLKRERELKRRRHQNAWLFRRDPEEPIMLFTRPLDPDKLEMARIRPPPDPPIDGGSTAPPFRCQGRIGRGGRIIFDRWNPLLGVPIGQEASHFVQYNHRSPLAER* >Brasy3G227000.1.p pacid=40041734 transcript=Brasy3G227000.1 locus=Brasy3G227000 ID=Brasy3G227000.1.v1.1 annot-version=v1.1 MEAFLVSAATGALRPVLGKLAVVLGDKYKRFKGVRSEIKFLADELTAMHAFLLKMSEVEDPDVQDQAWMNEVRELSYDIEDNLDEFMLNVDAKDATMPDGFIQKIKSLLEKTKSRGSIAKAIQDLKKQVIMVGERHTRYKIAEAVSKTSNVTVDPRALAIFSDASKLVGIDEPKHEVIKLLTEEVGCQSTQQHLKIVSIVGFGGLGKTTLAYQVYQELKGQYDCQAFISLSRNPDMMKILRTILSEVSQKAYASTEAADAQQLIIKISDFLKDKRYFIVVDDIWHVEKWDIVKCAFPNTSCGSRIITTSRINDVARSCCPSVGDHIYYIRPLNMVHSRQLFQKRLFNSGEKCPSYLEDVSCQILKRCAGLPLAIISISGLLANKPSTKDQWNQVKNSIGCALERNSSTEGMIKILSLSYFDLPPHLKTCLLYLSIFPEDCTIEKQHLINRWIAEGFIREEVGYTVHELGEMSFNELINRSLIQPGRLSFNSEEVKSCCVHDTILDFIISKSIEENFVTLIGVPGLTIGTQRKVRWLSLQGCKKGSSSLPTNLVLSQVRSLNVFGHLAVVPSLEKFRYLRVLDFQGIHRVKSNYFANIGRLFQLRYLNLGNTNVNDLPEQIGHLQCLQMLDIRDTYVRELPAAVVRLGNLVHLFTDSDVKYPDGIAKMQALQTLKRVGICLQSSNFLQELGQLKNLRKLRLGCDDPTEVNEKQLKAIASSIYELSTRSLDSLKIVTRDTDDGSFDGNFLLEQWCPATRSLRELVISVTILRVPDWVGSLVNLQHLCLVVKEVRQEDLCTLGGLPTLFFLLLVVKGEAEPTGTLTVSGTDGFPCLSGFCIGADDEHGVELMFVEGSMPKLEFLYISFNAARRESQTSGAFYFGIENLPCLKALCCTIHGSGESTDEVVEAAKNDMERAASTHPNHPRLQIDISEKDAPVCDLSRD* >Brasy3G297400.1.p pacid=40041735 transcript=Brasy3G297400.1 locus=Brasy3G297400 ID=Brasy3G297400.1.v1.1 annot-version=v1.1 MATYRPDSNTLLASDSILEYVLETTVYPREHERVRELRLITQQHPRSFMGSSPDQMQFFSVLLKMTGAVKAVEVGVFTGYSLLCTALALPAHGKVVGIDVNREYYELGRPVIEKAGVAHKVDFRQGDGLAVLDDLIAEAEAGEEKLFDFAYADADKLQYSGCHERLLGLVRVGGVIAYDNTLWGGSVAMPRDTPGSSDYDRVVRDYMVRFNAAVAADDRVQACLLPFADGVTLCRRLK* >Brasy3G279500.1.p pacid=40041736 transcript=Brasy3G279500.1 locus=Brasy3G279500 ID=Brasy3G279500.1.v1.1 annot-version=v1.1 MDCRSAPGCPRARTAATLMSRRLLSLLLLAAAVVAAAGHGDSSASSCPFHGGHDEEPHHHHDHGHSCGGGDTHHHHHHHRDEIQRLLPEELAEEADLELDALGHDHHHHHGHHHDHSHGDFQPEMSPMGVWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKAMVDALAVFGAGAMLGDSFFHQLPHAFGGGHSHSHDHEDHSHAHEHSHAHSLEDLSVGMSILFGIVLFFIVEKIVRYVEDNSQKGAHSFGHGHHHHHHNRQDISDKAKLYDTESDHEGKDTDPTEKDSLHDDSIGKLDESSNKDSNATIHKRSYSSSSATDKEPSKSETDPAPSKALASEDSPVSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLSNSLTQLIFLTMGMLVALGISLVE* >Brasy3G279500.2.p pacid=40041737 transcript=Brasy3G279500.2 locus=Brasy3G279500 ID=Brasy3G279500.2.v1.1 annot-version=v1.1 MDCRSAPGCPRARTAATLMSRRLLSLLLLAAAVVAAAGHGDSSASSCPFHGGHDEEPHHHHDHGHSCGGGDTHHHHHHHRDEIQRLLPEELAEEADLELDALGHDHHHHHGHHHDHSHGDFQPEMSPMGVWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKAMVDALAVFGAGAMLGDSFFHQLPHAFGGGHSHSHDHEDHSHAHEHSHAHSLEDLSVGMSILFGIVLFFIVEKIVRYVEDNSQKGAHSFGHGHHHHHHNRQDISDKAKLYDTESDHEGKDTDPTEKDSLHDDSIGKLDESSNKDSNATIHKRSYSSSSATDKEPSKSETDPAPSKALASEDSPVSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLSNSLTQLIFLTMGMLVALGISLVE* >Brasy3G343600.1.p pacid=40041738 transcript=Brasy3G343600.1 locus=Brasy3G343600 ID=Brasy3G343600.1.v1.1 annot-version=v1.1 MGSSTSSQSQQRRWSLTSALAGAGATAAAAGVLLCRPRDPRFELISISLSSFHFRSPAALDMGLTLTVHATNPNVVPVRYGASTVTILYGGDRLGTARLDAGDQPATSCRLIQLPARLDGVELASHAGAILADAARRHMELDAEVEIAGEAAVMVFWARKFSVRIRSHIVVDPVFLDVVEQDSSSQMQLYLT* >Brasy3G335300.1.p pacid=40041739 transcript=Brasy3G335300.1 locus=Brasy3G335300 ID=Brasy3G335300.1.v1.1 annot-version=v1.1 MLGSPRRSPSPSPSPCPSASFSSARTRRIPLLGMDAGTSPEIHGYGRCTGDARRSTGREDSGEEREMPGHRRTLARCWPEMEKMKEKKKRGERKK* >Brasy3G216400.1.p pacid=40041740 transcript=Brasy3G216400.1 locus=Brasy3G216400 ID=Brasy3G216400.1.v1.1 annot-version=v1.1 MATSAAARDQHLDELRALMAAHSPPIHALLVPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITTKEALLWTDGRYFLQATQQLSDSWKLMRMGEDPPVEVWIADNLSDEAVIGIDPWCISVDAARRYEQSFLKKHQTLFQLSSDLVDEIWKDRPPNDALPVVVHPVEFAGRSVAEKIKELREKLEHEKANGIIITALDEVAWLYNVRGADVHFSPVVHSFAIVTLHNAFFYVDKRKVTAEVQNYMAENGIDIREYHTVQPDVSLLASGHLKGSAVNGSLQVENDINKVEHSKIWIDSNSCCLALYSKLSTNQVLMLQSPIALPKAVKNPMELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGAPGYFSEANGSQRKEHLEIKLTEVSASDKLEGFRATKELFKGLSFPTISSVGPNAAIIHYSPEANTCAELDADKIYLCDSGAQYLDGTTDITRTVHFGRPSEHEKSCYTAVLKGHIALDAAVFPNGTTGHALDILARTPLWKSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGEKGYLSFEHITWAPYQTKLINTALLTPAEIDWVNMYHSDCRKILGSYLNEQEKEWLRKATEPITMSS* >Brasy3G126000.1.p pacid=40041741 transcript=Brasy3G126000.1 locus=Brasy3G126000 ID=Brasy3G126000.1.v1.1 annot-version=v1.1 MASETTTTTAGSALAADEKAAGRYHAGAGEAEDQAMEQEEGGNKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRKEKDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYVNDHLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLLMTTYTAWYIAVASLVHGQVEGVKHSGPTTIMLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSASAAYWAFGDQLLTHSNALSLLPRDAWRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCKSLCRRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPAMAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVSTFGLFAKCYQCPPRPAAASSFLPPPVALSPSMPPTPFSFNFTGIFAPMSSAPSPAPAPMPFGLGHHHHRHHRHGL* >Brasy3G287800.1.p pacid=40041742 transcript=Brasy3G287800.1 locus=Brasy3G287800 ID=Brasy3G287800.1.v1.1 annot-version=v1.1 MRRLVASGARAGGGPPVFPMTPTDDGFVGVGMEEDDADEDMAMCGGGGGGAGEKKRRLSVEQVRALEGSFEKENKLEPERKARLARDLGLQPRQVAIWFQNRRARWKTKQLERDYAALRQSFDALRAEHDALRRDKDALLAEVKALKAKLGDDDEEEDGAAAAAASFSSVKEEPTAAAAAQGSSESDSSEVLNDAAVLASDDKATPTPTAAKAMAMAVPPEMGLYAVHGQQLLLKVEDDEAAFLGDDDAACGGFFVDEQPPSLPWWTEPTDPWA* >Brasy3G147300.1.p pacid=40041743 transcript=Brasy3G147300.1 locus=Brasy3G147300 ID=Brasy3G147300.1.v1.1 annot-version=v1.1 MHRRRRLAAPISVALRYSSITSVALRLHRSPPPPRPCTASLSRPPPCAAVAPTPSSAAAPSPAPHPYLAGQPAPPSHPPQAPLQPHLLHRIPISPATLRPRRTHLKLRHRSPHLRRRFPHPSTAAGLSST* >Brasy3G158200.1.p pacid=40041744 transcript=Brasy3G158200.1 locus=Brasy3G158200 ID=Brasy3G158200.1.v1.1 annot-version=v1.1 MVHGNKGPNPKIFSRFPPGLLLLLLLLLATASFPSPRFQPGEEGRRDAEMATTTLQQLKDIFFGHMLGKKGEAVQELEVSIRAKGNVTPGEDFILRLSTVFSNFTYTVTAAATTVLASFVTGQVQKFGGGPPLPRFVRFGICAGGGLVTGKVLYYVSLDAFAEFILRHKEEERLKMELANIILTNHSDEKFLVEAVQKHFFAEHLYSDRHQDKPLFRWRQRHSYVDSTYMERLKEIEANNSEDKVKTISGQATRSFGDLMEDPLACILGSPDSNMESDKPPEHKATILRRRDLRAQRRSQRHHHRHATL* >Brasy3G158200.2.p pacid=40041745 transcript=Brasy3G158200.2 locus=Brasy3G158200 ID=Brasy3G158200.2.v1.1 annot-version=v1.1 MVHGNKGPNPKIFSRFPPGLLLLLLLLLATASFPSPRFQPGEEGRRDAEMATTTLQQLKDIFFGHMLGKKGEAVQELEVSIRAKGNVTPGEDFILRLSTVFSNFTYTVTAAATTVLASFVTGQVQKFGGGPPLPRFVRFGICAGGGLVTGKVLYYVSLDAFAEFILRHKEEERLKMELANMCEYLLTIVMRNSWLKLYKSISLPSISTVIDIKINHFSGGASVTRMLTALIWKG* >Brasy3G028000.1.p pacid=40041746 transcript=Brasy3G028000.1 locus=Brasy3G028000 ID=Brasy3G028000.1.v1.1 annot-version=v1.1 MDYTNAIHIIPDAAGPEAWANNSAAPPGGDSGIWATEDDYSQWNADPGLTGGGYGGDRNSSQPRSRDGSEQPPLGKKPRGGGPSGGGGGGDAGSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSSSVAAPGDSVSGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGSYNSPTAAANGPTILKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHNYGGGLVDIDGRDVASTPDSKQAALSAKAPAETAAASTAAPPHADVYHLGIQSQRSTLASQRSGQLPRPIQKWKGPDKISRIYGDWIDETD* >Brasy3G313600.1.p pacid=40041747 transcript=Brasy3G313600.1 locus=Brasy3G313600 ID=Brasy3G313600.1.v1.1 annot-version=v1.1 MEAPRSCSLLCLLLVVVLVSPWCSTSRAASCSFTISNYCSHTIWPGTMAGAGTPQLPTTGFRLDPGQTVQIPAPAGWSGRIWARTGCNFSADGAGAPAGAVACQTGDCGGGHMECGGTGGKPPATLFEVTLGKGTTADDQDFYDVSLVDGYNLPVVAVPRARQGGGSCNATGCAADLNLSCPKELQVEDRIGGSGTVACQSACEAFGQDKYCCSGAYATPATCSPTAYSSVFKSACPRAYSYAYDDGSSLFTCNAIDYTIAFCIPPTGGGTQLGAPANGQSSSGDVNLAPPAGSNGAGSAYQPPWTGNVGGGSAYQPPPTGNVGPGSVYQPPTTGNNGLGIVYQPPPLAGNDGAGSAYQPLPSGNNPTSNNGVGTELGTELGSAYLPPLTGNNGVRSTYQPWKMPSSASTRYNQLWFLLPAALLFLV* >Brasy3G297900.1.p pacid=40041748 transcript=Brasy3G297900.1 locus=Brasy3G297900 ID=Brasy3G297900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQATAAAQAQAQALAAAQEIAKAAAAAGVNIDAAGLVTDLNKQTQEKSTTPRRCDL* >Brasy3G315800.1.p pacid=40041749 transcript=Brasy3G315800.1 locus=Brasy3G315800 ID=Brasy3G315800.1.v1.1 annot-version=v1.1 MSKRIKTAGPESRTTIAGSAVVVFRVDHEQGKQLPIGKVVSVGGHLWRIGCYPHGQNEDDKGEYLSVFLAHMSRTRSVNAILDAFLIDRDGKPSATIAGRFHHAFDVDEDGCSWGWPKFVKGDNLEKDYVSDGHITIVCAAMVVDDSPLPVPRSDIGTHISRPPAGRCCRDGCVIFLAHRPARTARSPVLKAELLGSMSEATIDAVHHAA* >Brasy3G031300.1.p pacid=40041750 transcript=Brasy3G031300.1 locus=Brasy3G031300 ID=Brasy3G031300.1.v1.1 annot-version=v1.1 ANIAAAAAFQIPIQSRGREFPMARSTTTPTRRPACGAAAPPFFPRRVCSRPRHDGFNSHPSSSLPVRLAPAAVLSTHDRGGRAKRACMCSPTTHTGSFRCALHRGCSSSPGPAVGGLHQDARRSAMANSLVRIAAVEGGDHVRRALAALVRPSSHHQRRRASFRALPSRLSAMSAAAEPPPPQ* >Brasy3G076100.1.p pacid=40041751 transcript=Brasy3G076100.1 locus=Brasy3G076100 ID=Brasy3G076100.1.v1.1 annot-version=v1.1 MRGQGRRSPGAACEFVASSIRALLVAGASLLPAQWQIHDDELVAARIWAIGGPGGTDLASSAGDRVPPHGRRRGRRRQERDFGTESPRCLVPLSSRFRDLEILVRLGQARPATSSLSVYQPGRRNSRFRVDDDGAAEAGRGGGHGGARQRGGGRDLAGLAAPAATAAPRRSPSLDPPRNPSLHHKPRRPPRPDPGPGEATLRPAAPPLLSHIRVHCLGLGPTRFATEPRVFAAADGLVLLAVVIGHLFLSSNLRMLDYFLYDTARPSLELLPHPPEPPGLLPHPFAQAGFFGDKLVALLPRRRRPDGAEEEGPTMPRTDYTIAILVGQQDRRFDLHRYRSDAGAWTRTRLRLAASVNTPLGGTFFSHHSDKAITLTGGFVGWADLRRGAILFLDVLLDDPQPHYVPLPPQPMTRNCDALVAQDIAVVDGGHIKFIDHRYDDDHGWTATTWTKKITGNPWKEEEGWRKVCELRASDVLVPDSMLPLLPKPLQMLRTGHPAISLRDGDGNVVYFMCKDRLRDDEAWMVAIDMVNKTLQGVVPFCAGRTIGIDRSYVQLRIPDDHPKTAPGRMKRPGVLFAGSSSKKLSVSPFQDMYTT* >Brasy3G015700.1.p pacid=40041752 transcript=Brasy3G015700.1 locus=Brasy3G015700 ID=Brasy3G015700.1.v1.1 annot-version=v1.1 MATVHDEDHPVSLSFFFERSLSLSQHNDTDERRSTDSASPAASFDEKSFPFFGLLCYADKVDWLLMALGTLGSAIHGMAFPIGYLLLGKALDAFGTNINDQERMVHALYKVVPYVWYMAIATLPAGMVEISCWIYSSERQLARMRLEFLRSVLSQEVGAFDTDLTTANIITGVTNHMNIIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVAMLSFLVIPLILAIGATYTKKMNVISLSRNAIVSEATSVVEQTLSHIKTVFSFVGENWAIKSFVRCTDNQYKLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVSKRTATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRNPSIGYGKGGTVLEKVYGEIELRGVHFAYPSRQDKPILQGFSLSIPAGKVVALIGSSGCGKSTVISLLQRFYDPASGDIFIDGHSIKKVDLKSLRRNIASVSQEPSLFSGTIKDNLRIGKMDATDEEIIEAAKTANVHTFISKLPNGYLTEVGERGVQLSGGQKQRVAIARAMLKDPPILLLDEATSALDTESEKLVQDALESAMHGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHQELLEKSTFYSNHSMKQGLQNKLERLSSKQPKQGVRKETHPFFRIWYGLRKDDIAKILLGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRIVSKYSLIFFGAGMVTLASSILQHYIYGVIGEKAMKNLREALFSSVLRNELAWFEKPKNGVGSLTTRIVSDTSTVKTIISDRMAVIVQCISSILIATTVSMYVNWRMGLVSWAVMPCHFIGGLIQARSAKGFYGDAAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRITRIESMKYGVIQGISLCLWNIAHAVALWYTTVLVHRKQATFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFDMLDRETEIVPDEPKKPGEHWLVGRTEFQDVSFNYPSRPEVTILDGFNLVIQPGQRVALVGPSGAGKSSVLALLLRFYDPHGGRVLVDNTNIRDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEESSETEIIQAAVDANIHEFISGLPKGYDTVVGDKGGQLSGGQKQRIAIARTLLKRPSILLLDEATSALDSESERVVMDSLGAKEWKNIDERSSKITSITVAHRLSTVINADMIVVMEKGKVIELGDHQTLISADDGVYSRLYHLQSNMND* >Brasy3G138000.1.p pacid=40041753 transcript=Brasy3G138000.1 locus=Brasy3G138000 ID=Brasy3G138000.1.v1.1 annot-version=v1.1 MGGSFVLIGNGGVRRRFSSTGTRQRAARELCPSSFRHNAPTKPCICTGVGVPGNYTDRKEPSALALVCKLAYER* >Brasy3G176400.1.p pacid=40041754 transcript=Brasy3G176400.1 locus=Brasy3G176400 ID=Brasy3G176400.1.v1.1 annot-version=v1.1 MAAAVAMRSGSGSGSDGGGGGYDKGGMDSGKYVRYTPEQVEALERVYAECPKPTSTRRQQLLRECPILSNIEARQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPQNPLRDASNPAGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTQLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSNNAAPSAFESPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALDEGLLSRDIHLLQFCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPTGRTLDLASSLEVGSTTLQAPGNTSLDDSNLRSVLTIAFQFPYEMHLQDTVATMARQYVRSIVSAVQRVSMAISPSRSGLNAEQKIISGFPEAATLARWICQSYQFHLGVELVRQADEAGESLLRMLWDYEDAILCCSFKEKPVFTFANEMGINMLETSFVALQDLSLDKIFDEAGRKALYSEIPKLMEQGYVYLPAGVCLSGMGRHVSFEQAIAWKVLGEDNNVHCLAFCFVNWSFV* >Brasy3G176400.3.p pacid=40041755 transcript=Brasy3G176400.3 locus=Brasy3G176400 ID=Brasy3G176400.3.v1.1 annot-version=v1.1 MAAAVAMRSGSGSGSDGGGGGYDKGGMDSGKYVRYTPEQVEALERVYAECPKPTSTRRQQLLRECPILSNIEARQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPQNPLRDASNPAGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTQLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSNNAAPSAFESPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALDEGLLSRDIHLLQFCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPTGRTLDLASSLEVGSTTLQAPGNTSLDDSNLRSVLTIAFQFPYEMHLQDTVATMARQYVRSIVSAVQRVSMAISPSRSGLNAEQKIISGFPEAATLARWICQSYQFHLGVELVRQADEAGESLLRMLWDYEDAILCCSFKEKPVFTFANEMGINMLETSFVALQDLSLDKIFDEAGRKALYSEIPKLMEQGYVYLPAGVCLSGMGRHVSFEQAIAWKVLGEDNNVHCLAFCFVNWSFV* >Brasy3G176400.2.p pacid=40041756 transcript=Brasy3G176400.2 locus=Brasy3G176400 ID=Brasy3G176400.2.v1.1 annot-version=v1.1 MAAAVAMRSGSGSGSDGGGGGYDKGGMDSGKYVRYTPEQVEALERVYAECPKPTSTRRQQLLRECPILSNIEARQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPQNPLRDASNPAGLLTIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTQLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSNNAAPSAFESPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALDEGLLSRDIHLLQFCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPTGRTLDLASSLEVGSTTLQAPGNTSLDDSNLRSVLTIAFQFPYEMHLQDTVATMARQYVRSIVSAVQRVSMAISPSRSGLNAEQKIISGFPEAATLARWICQSYQFHLGVELVRQADEAGESLLRMLWDYEDAILCCSFKEKPVFTFANEMGINMLETSFVALQDLSLDKIFDEAGRKALYSEIPKLMEQGYVYLPAGVCLSGMGRHVSFEQAIAWKVLGEDNNVHCLAFCFVNWSFV* >Brasy3G110100.1.p pacid=40041757 transcript=Brasy3G110100.1 locus=Brasy3G110100 ID=Brasy3G110100.1.v1.1 annot-version=v1.1 MQQQQGERGAAAAAASTRRRLTVPRRTSAASAAASVWETRMKMDEVKGGVKVFSAAADDADEEGMRVYTRLRRNQSDAGGAGAGTGTGTGTAAAAAKKRRNWKASEPVTAIGDLRKSRSDAAAAGSVVVARRAVATVAAPEKKVAAGVAEVKEVVVVEVEKKKPPPQPENLDEETEEEEEEWEEELEEEEEEEKEVLDQDHMAIDDEGTVQATDLHPVDEQDLEPPTKRGVKPVEDERAANPEPVKLAAAVVNLKAMKPEPMTPPPAEKKPTPVIVHRVINAEPARPSPEKKSSPVNGRRIPKQEPINTPEEEYEEIQGRPSAPSRTNGRMQNIVDLVMWKDVSKSAFVFGFGTFLLISCSYAKDLNFNTITAASYLGLVYLGLRFLCKSILNRGESVECDDEKDSGRYHHHLVGEEDAIWLLRLVLPYVNEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGIFIIPKVCSSYSTQLARYGKFWLERFRDAWESCSHKKAVVAAMFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEFGWSSSVEEAGADEAAEDDGSPPQQQQQEEASPAAKPGFVSVRQRRTPVSGEFARERLRARGGIQPR* >Brasy3G268300.1.p pacid=40041758 transcript=Brasy3G268300.1 locus=Brasy3G268300 ID=Brasy3G268300.1.v1.1 annot-version=v1.1 MGDGEPAVRPPLSEPNAGNGLDLEKYSWTQQLPEVNITVPIPDGTKSRFVVCEIKKDHLKVGLKGQPPIVDGELHKPVKVDDCFWSIEDGKTLSILITKQNQMEWWKSVIKGDPEVDTQRVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQETLKKFMAEHPEMDFSGAKIA* >Brasy3G312800.1.p pacid=40041759 transcript=Brasy3G312800.1 locus=Brasy3G312800 ID=Brasy3G312800.1.v1.1 annot-version=v1.1 MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFATSHIAYIESLKRVSKALQRFVAGDDIHEFIFDPLISPVKQQKPGMLGLPYGSYEKRTVHVAKYFRSESNPSVSMEEVPRPVETVRIESHYPMDSYGGTDRFYATNSSPMRPSAYYTPYDRPSYLPPSPREPVRNSSYYMPPYERPSYASPSPQEPMGTSYYTSHDRPIYTSASPQEPTRTSYYTPYDRTSYTSPSPQEPMRTSYYSSHDRPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G312800.2.p pacid=40041760 transcript=Brasy3G312800.2 locus=Brasy3G312800 ID=Brasy3G312800.2.v1.1 annot-version=v1.1 MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFATSHIAYIESLKRVSKALQRFVAGDDIHEFIFDPLISPVKQQKPGMLGLPYGSYEKRTVHVAKYFRSESNPSVSMEEVPRPVETVRIESHYPMDSYGGTDRFYATNSSPMRPSAYYTPYDRPSYLPPSPREPVRNSSYYMPPYERPSYASPSPQEPMGTSYYTSHDRPIYTSASPQEPTRTSYYTPYDRTSYTSPSPQEPMRTSYYSSHDRPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G312800.3.p pacid=40041761 transcript=Brasy3G312800.3 locus=Brasy3G312800 ID=Brasy3G312800.3.v1.1 annot-version=v1.1 MTGQATCLHHPGNQTSYTSPSPQEPMRTSYYSSHDRPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G312800.4.p pacid=40041762 transcript=Brasy3G312800.4 locus=Brasy3G312800 ID=Brasy3G312800.4.v1.1 annot-version=v1.1 MTGQATCLHHPGNQTSYTSPSPQEPMRTSYYSSHDRPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G312800.5.p pacid=40041763 transcript=Brasy3G312800.5 locus=Brasy3G312800 ID=Brasy3G312800.5.v1.1 annot-version=v1.1 MTGQATCLHHPGNQPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G312800.6.p pacid=40041764 transcript=Brasy3G312800.6 locus=Brasy3G312800 ID=Brasy3G312800.6.v1.1 annot-version=v1.1 MTGQATCLHHPGNQPRYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPQSSYDNEVTDDELARLQRVREEEGIPELEEEDDECQQPEQMQNKQEEEEQESDEDDDEEEDEDEECEHSEDQRCMTSMEGARPGNSDVNAKQETKGFQSKGIQCAEVLEPRNAVDVEIKTHKKEPTRNKVANPEETPAGYTVYVNRRPASLVEAMEHIDSQFLGICNAAQEVSRMLEASRAQYTASSDLSVKMLNPVALLRSASTRSSSSRFLLASSNSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIEYEKRMTHLRNQDVRGEEPSSVDKTRAALRSLQTRMKVSIHTVQSISRRIEVLRDEELHPQLLELIQGLSRMWRAMAERHKAQKSTIDAAKLLFLHHQQRASSAVALVTPPAESTAPPPPAAVALELEVRAWRGALDTWLSAQRAYARALAAWARRCLGVGAPGAATVAAPAPPRAFMACMEWGRAAEAASEARAMDALDFFVAGVGSVCAGAAMGGVGVEGGMAGRVLCAGTAAVTGALAELAAASADAYDAAAAASAAASAPEGNAAQPPLR* >Brasy3G085000.1.p pacid=40041765 transcript=Brasy3G085000.1 locus=Brasy3G085000 ID=Brasy3G085000.1.v1.1 annot-version=v1.1 MPPPPQERWSILARIPKVIKDKEAKRTFPRGADVTLACADPPRASLLTVPFRVSPPPCIFNHPYVAAADPSGLLLLSVTEPAGDVTYHLCHARTGEATCLRPQNCLMVGCHGPNVGLMVLSGGGGVVVAELQPSTDGSGRATLLCYTVGGYGEWAVKELAYSPPLLRQWFCEGVVSYGGMLWWVELSYGILACDPCSDNPELLHVPLPAVTDPLPPGKSETSLASRGARSCVKVSGRKLRYVQIHGDPGAPVVSTWALTEARQWNPERRVPLPEIWADETYIDAMLPGTIPALALLHPADPDKLYFFLGSCIFAVDLRRGKVVEFGEFDMPEPANWLIVRSSHLVHAWQYDPSNSPMDRAPPWRAAGPRLLRGSPSPPPLGDEEAAAHEPACLRISLHRPRFLRG* >Brasy3G306400.1.p pacid=40041766 transcript=Brasy3G306400.1 locus=Brasy3G306400 ID=Brasy3G306400.1.v1.1 annot-version=v1.1 MATDAILETIKPRRSLSREDLPVTHAASGKGKAAGDLDLSGMRRRVSSSLSARIRPLSSSSSSAALFRRARSMPSIKALAAAGALRRWWDWGLGWVMARKPPFARGFEMSDDEAKALGGCHCRGTLRHVFFRARAEVRRLLGRDGRPVVSASNAHDFRYDSDSYAQNFDDGGDAHARC* >Brasy3G068000.1.p pacid=40041767 transcript=Brasy3G068000.1 locus=Brasy3G068000 ID=Brasy3G068000.1.v1.1 annot-version=v1.1 MECTVQIRSRVQTDGRPGSPPRNKISSTENKKRAHETLVSLVSPEPSPRNAKQTKPGTRARAKPPLSPPAAAAAMAMESVETLVAHVQALSGSGEDLAQLHSLLRKAEGDVLRGAHTDGLFPFLSQLSPAAHSLGYLYLLDAFVSSPANVRGLAAGWDLLVTIADFLTSCSASQIRLAPDKFLNVCRVLKNEVMLLNVPIRGIAPLRAAVRKVQASSEQLTPLHPEYLLLCLLAKQYKAGLSVLEDDIFQVDQPRDLFLYCYYGGMIYIGLKKFHKALELLHNAVTAPMSSLNAITVEAYKKYVLVSLIQNGQVPSFPKYTSVTVQRNLKNHTQIYVDLSTCYGNGNYSELETFIQSNADKFQSDNNFGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFNEDPEQYKSSEMVEHIDSSIQRLMALSKKLTSIDENISCNHAYLAKTGKERGRFDYDDFDSVPHKYF* >Brasy3G338700.1.p pacid=40041768 transcript=Brasy3G338700.1 locus=Brasy3G338700 ID=Brasy3G338700.1.v1.1 annot-version=v1.1 MDKQLVPKVPGSGTKCELQHVEIDVLSARGIHRVSEIFAGFVGRKASLVDSIGFGGSRLLGERGSLDGQFALWLLSRVDASTMTLKSAADQPSLPMKPRDVHLVVGLPYQGVEVDDGSNVPEATMASVREKLFLTNTGGMITVDYLEDILVKDYSDDMSADEEEAFKIAAIFFLDNLDFGLCQNAVVRVPRVADYSANRVTKLIKLATDEPIYGRLNSYDVFKVTTNHIKKNVSPTSSIQ* >Brasy3G056600.1.p pacid=40041769 transcript=Brasy3G056600.1 locus=Brasy3G056600 ID=Brasy3G056600.1.v1.1 annot-version=v1.1 MGHGVVRPPGRSSPARRAGPSPWRAEPARPELANFSSEPSPRKRPAKSSGRAELSSPTASSVRFELGQDSPGQVPTSPPSPVSTPSEPPPRARRLPPPPLASARRRCRPERGTARHCRRRPERGACRRRCRRPQLRARASTGHRHGEGPAGEGEGASRLFVNADEARIIEGEEAGRGEEGAGRKSWGRQGSLEVEPNDSARQRSCSSSTRSGNAA* >Brasy3G215400.1.p pacid=40041770 transcript=Brasy3G215400.1 locus=Brasy3G215400 ID=Brasy3G215400.1.v1.1 annot-version=v1.1 MAAAAVAFDRRARWPDIPPELLGLVLARLPSRADRVRVPAVCLQWLSGARMVQPLLPRLLPWAALPNGTFLSLPDGAVHRIHAAMPGDNDVAHRISTGGGKGLLFLVHHDGRCSLKHPLSGDTTPQWIDPEFLTWSWPRRFVPDNIRKAIVSDDIVAVRTIDDVAVYPRGGRAAGAPGSTMLMRWSAPPDCHNPVADIALSQGKLYIIAAKLMNLPHEFFVMDLVTGDSSRCIRTGVQRYFLDSGCLYRFYLVVSGDRLLMVEQVIERTGVSAQRMAARTWFDVFEAADLSSDGHGRWVKVDTLMGRAIFISESCSESFPAGGGGGAREDCIYFMTENDVGDEILSGVHDMREGTTVAPLETFPTTLCNRRQWPPAWLFPVET* >Brasy3G175800.1.p pacid=40041771 transcript=Brasy3G175800.1 locus=Brasy3G175800 ID=Brasy3G175800.1.v1.1 annot-version=v1.1 MRFRPRLPLRLLLPHVRRRPQLPFAPLRRPISSYPSSAVVAATDSEEDAVVARDALLAPPRAGGAGGRVGEDKEELERKASIAVRINLCYELLRQRKWREMRGALAQMVTEQGSGSAAILCDILWNGFRECDPSSIMWDALANSYARAQMIHDALYVLSKMNSLNMQISVSTYDSLLYGLRMTDMALELFEEMEAYGISKSEYSHSIIIDGLCKQDKVGEALSFLQEARKEEEFKPLGMSFNILMSSLCNWGFIQPAKSFLCLMLKYGLNPDRYTYSTLIHGLCKIGFMDEAVDLFERVTEEGMKLETVTYNSLINGYRLLGLTREVPKIIQFMRYQGIEPDIVTYTILIAGHCESGDVEEGMKIRNDILDQGLQLNIVTYSVLLNALFKKGLVHEAENLLGEIHSIGLDMDIIAYSILIHGYCKLGEIERALEVCDVMCCSQKVVPTSLNHLSILVGLCKKGLLVEARWYLENVAVKYQPGDVVLYNVVIDGYAKVGDISNAVGLYDQIVIAGMFPTIVTCNSILYGYCKFGDLQAAESYFRAIQANSLLPTMVTYTTLMDALSEAGKVNTMLSILYEMVEKGIRPNAVTYSVVIKGLCKQLRFHDAIHFLDNMHGEGVNADPVTYNTLIQGFCEVQDIQMAFHIHDRMVYCGIVPTPVTYNLLINVLCLKGQVIQAEYLLESLRERGIELRKFAYTTLIKAQCAKGMPYEAISLFGKLLDDGFETTVKDFSAAINRLCKRKFAKEAVMFIPFMLSAGVFPDTQVYYVLVRALQKRNELFYLPLLHALAVKTGI* >Brasy3G249900.1.p pacid=40041772 transcript=Brasy3G249900.1 locus=Brasy3G249900 ID=Brasy3G249900.1.v1.1 annot-version=v1.1 MPFTPRRFLGRLRTMIFSSDNEGPVSEDDRRPWEPPFDASKPAPPISYPITELAALASRSYLSDASNFHLPFNRASTPLPSPGAPLPPRRRVLVCHDMQGGYRDDAAPQGGANPDAYALWHWHLMDIFVYFSHYLVTLPPPCWTNTAHLHGVKVLGTFIAEWDKGAEICREMFATQDSAQMYAERLQELAATLGFDGWLINIEVKLDIQFIDNLKEFVNHLTDRMHATVPGSLVIWYDAVTVNGHLNWQNKLNEYNKPFFDLCDGLFVNYTWKENYPRDSAAVAGERKYDVYMGIDVFGRNSYGSGQWNTNVALDLLKKDDISTAIFAPGWIYETKQPPDFQSAQNRWWDLVEKSWGVLQSYPKRLPFYSDFDQGRGYQVSSEGLQVSRDPWNNISCQSFQPMLKYTGDEVHPPLITSINFKDGQYSGGGCVTVKGSLNQNTIFSEQLFEGSLSMEDGPLHLFYSVKADTNCVVGLSLDLSSRNKGNTFVLIAENIETFHRKKKNHIYSTYVQSVKARALDNQNWVLYQATVQSCASYTMTGINIVCTLETSGNINPETEEDGSLEADANILLAYQASLGHISIQDIDETMPFPPSESWEIEGEHISWSNSNGSKLVSLKISWKLNTSHPASFTKYNIYVEKLTTDSNPKASRSFMGVASVEAFYVSDLQVPDEVTILKFIIQACGCDGSNQELEECPKFFLVPVVA* >Brasy3G118400.1.p pacid=40041773 transcript=Brasy3G118400.1 locus=Brasy3G118400 ID=Brasy3G118400.1.v1.1 annot-version=v1.1 MLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTCSMDTPGTSSSVFEDEVTSPSKSVPTKRPIGQKRAKEAQRQASASGSSSRELFGDIFETRESKRQERFELMLAIDKQRDEERLAEERNRTAIKEKKVALEEEKIQIMRMTEERLAAAEDGRIMSMDISGMGEEEKEFNKLRKSQILKRLHN* >Brasy3G248100.1.p pacid=40041774 transcript=Brasy3G248100.1 locus=Brasy3G248100 ID=Brasy3G248100.1.v1.1 annot-version=v1.1 MASSTSEVPEVKSKLKKSGSLGSSDTYVCADKIDLTSLDIQLEQQLTKKWGKANLKSQGPKADWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRSSFKQEVAVWHKLNHPNVTKFVGASMGTTDLKIPANDTGARANLPVRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLSRGLSYLHSQKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLMEALDTSKGGGMIPDGQSSGCLCFTRARGP* >Brasy3G182000.1.p pacid=40041775 transcript=Brasy3G182000.1 locus=Brasy3G182000 ID=Brasy3G182000.1.v1.1 annot-version=v1.1 MASRAAGRGGRPLLGGGGAGGGRRAGKPSKAILAALLLALAALLLLLALGALSLPAGSDGADGRGAALSLHRPRFRRSASESRLEMRGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDSATGGSKDSRVRTSSGTFLRRGQDKVIRTIEKRISDFTFIPAENGEGLQVLHYEVGQKYEPHFDYFHDDFNTKNGGQRIATLLMYLSDVEEGGETVFPSAKVNSSSIPFYNELSECAKRGISVKPKMGDALLFWSMRPDGTLDPTSLHGGCPVIKGDKWSSTKWIRVHEYKV* >Brasy3G332200.1.p pacid=40041776 transcript=Brasy3G332200.1 locus=Brasy3G332200 ID=Brasy3G332200.1.v1.1 annot-version=v1.1 MGLLSRRVERSELKPGDHIYAWRAAYTYSHHGIYVGGSKVVHFTRKKESGTTGLDSAVAVSTLLLSPGSPPECPTFPDCGFQLPDSGVILTCLDCFLHEGPLHGFDYGVTPAAFLARLRGGTCTTAAAPDPAEAVVRRAMYLLQNGFGSYDVFENNCEDFALYCKTGLILPPPAGDDPGIGRSGQAATAVGVPLAALLSAPFKLLSAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVEDLSAHLGWRRHESAKAKTKEQQPAGGTVTIRLLPLKRKRES* >Brasy3G321200.1.p pacid=40041777 transcript=Brasy3G321200.1 locus=Brasy3G321200 ID=Brasy3G321200.1.v1.1 annot-version=v1.1 MEGGGDYISSLLNSSPMLDFGELDGGGAGGDCLEKFCGDPGFAERAARLSSFNGQRFPGAAAGLFGMPPPAPAASNGGGDFGGGGGSREASSVSDPASAMMKDANAKKRKASAAKGKAKEPSLSTSGQVGDQKELDAKRCKTGDAEKKMTAVKPKAEQARSDSSVEDYGEPKKGRGKNAKPVEPPNDYVHVRARRGQATDSHSLAERVRRERISQRMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLHKDMYQACGPSASSVFSLESSNSAFPFSDQGDVFQSFVPNSMESQCTLNQLDLALSHATSAQYAFQDGTGSTNLQQQQQRNFWEDDLQSVFHVDNNNGQSQDNAAGSAQSFNVIAGADESTQPGQMKMEF* >Brasy3G321200.2.p pacid=40041778 transcript=Brasy3G321200.2 locus=Brasy3G321200 ID=Brasy3G321200.2.v1.1 annot-version=v1.1 MEGGGDYISSLLNSSPMLDFGELDGGGAGGDCLEKFCGDPGFAERAARLSSFNGQRFPGAAAGLFGMPPPAPAASNGGGDFGGGGGSREASSVSDPASAMMKDANAKKRKASAAKGKAKEPSLSTSGQVGDQKELDAKRCKTGDAEKKMTAVKPKAEQARSDSSVEDYGEPKKGRGKNAKPVEPPNDYVHVRARRGQATDSHSLAERVRRERISQRMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLHKDMYQACGPSASSVFSLESSNSAFPFSDQGDVFQSFVPNSMESQCTLNQLDLALSHATSAQYAFQDGTGSTNLQQQQRNFWEDDLQSVFHVDNNNGQSQDNAAGSAQSFNVIAGADESTQPGQMKMEF* >Brasy3G321200.3.p pacid=40041779 transcript=Brasy3G321200.3 locus=Brasy3G321200 ID=Brasy3G321200.3.v1.1 annot-version=v1.1 MEGGGDYISSLLNSSPMLDFGELDGGGAGGDCLEKFCGDPGFAERAARLSSFNGQRFPGAAAGLFGMPPPAPAASNGGGDFGGGGGSREASSVSDPASAMMKDANAKKRKASAAKGKAKEPSLSTSGQVGDQKELDAKRCKTGDAEKKMTAVKPKAEQARSDSSVEDYGEPKKGRGKNAKPVEPPNDYVHVRARRGQATDSHSLAERVRRERISQRMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLHKDMYQACGPSASSVFSLESSNSAFPFSDQGDVFQSFVPNSMESQCTLNQLDLALSHATSAQYAFQDGTGSTNLQQQQQRNFWEDDLQSVFHVDNNNGQSQDNAAGSAQSFNGADESTQPGQMKMEF* >Brasy3G113300.1.p pacid=40041780 transcript=Brasy3G113300.1 locus=Brasy3G113300 ID=Brasy3G113300.1.v1.1 annot-version=v1.1 MEIAVATSGGRRERALRLWASGGEDRLNAALGEVKSAVAEAQGKEIQNEALVRCLKEAWHASSRADDLLGELDYYRILQLQELKKRKDDDDDDEVMEDSTGNSAFSMENSSSAALQIIPFVANPTVLAPSSLEPHFELLDSDATSCKIREHIEGCCTMAKYIRKALELENLDFHIVQKYQSTKNNPRETSSYLTELKVHGRDQERDLIISKLTSEESARKKLSVFAIIGNGGVGKTTLAKVVFNNSAVSRHFDVMLWIYVSVYFDQTKITHEILESLLGNRHDNIKDLKDLQDILEYALKLKRVLLVLDDVWEDSKISKWDELITPLLSNDVEGNKVLVTTRKPSVAKLVGAADHLNLDGLKRDHFWHLFKECAFGDENYKGERKLEKIGQKIVVQLKGNPLAAKSVGKVLRRRLDVGYWTRVLENSEWKDKKDDTDIMPALMISYKYLPLHLQQCFSYCAVFPKYHRYDKECLVHIGLISSIGMHRRVEDTGSEFFDDLIEWGFFQKEFEFGSYIMHDLIHDLAQKVSTDESFTIEDNEPRDAPRLVRHVSIITDREYKTQKDGTVCSNEAFRHKFSNSFSELQQRNLSTLMLFGPHDLDFGHTLRQEFSEVKSIRVLKLEMAVFDLDSMIGNISAFVNLRYLELGCFYKGPRLELPQAICRLYHLEVLDIKKNWGTSTVLPRDMNNLINLRHFIAEEELHAKIAGIGEMVSLQELRAFGVRKASEFNLSQLQRLNQLRGSIHIYNLDDVGSQQEAIEARICDKVHLTTLHLSWYGVYGGRVGTSSKFPILEDLKPHADLVNLRIEACRHHVPSWLSNNVHLTSLRSLHLDNCSHWGAIPRPQELPLLRELHLINMARVSKIEIGCLEILELRNLQRLRQCIVLDKAQLCANLKVLDVELCLRSKEFPLGLFISTDVQSECQFTNLRRLRVHGCFGHISISQLLLIDSVVDIDFSLQSTFEEFRLKPFGPSNGLHMNIKGNRNILRMEEGLFALNKLRDLVELEIKEYPNLTYLACEGFQQLASLKKLKMIRCCKLFSSNVLLSLPPSIEDLGFRSCNITGKQLSQLLLNLTSLKSFNLTNCEEVTSLPVGLFTGEYNLRGEVSWFIPPNCLSTLQRLQFSFTRSSWDASSVMHFSSEKGLGRFVSLKKIVIEDYPTLLSAIISGGASVIPPSSLVKLSVISIEDSILQFSELSSLVELEVERCLSLTCVNIDSCTALQQLKIPGCDLLSSLEGLQSCKALRHLSIHDCKVLPSLRALSSTLTTLNIERNPNLASLDLDSCTSLEKLCIEGCGTMASWEGLKSLVGLKYLKVENSPGFTKSWLSAAAEVEREHSYYQKLEVLDIDDIDILCLPICSQLTSLKTLTIHGALGSCGDYADALRDNHEKALLLLTSLRQLAFIKFKHLRSLPAELQSLTSRQRLTLDNCEGITSLPVGALPGSLKDMELHCCSKELNALCREIRRVQKLYVCLDGTEEG* >Brasy3G027400.1.p pacid=40041781 transcript=Brasy3G027400.1 locus=Brasy3G027400 ID=Brasy3G027400.1.v1.1 annot-version=v1.1 MEFIDDDWDSQPRARVLHSRAAPNANSSPGTADRASRSLPHTAACAAAAVLLLAAAYSLDAAYQVFSSVLIWIAASLLLAPFAPSSATGGDISVGRGSPLPDQEPAEEPSPDPDPASRRGSRRQNTTPAPPKPSDPIDPPVQQRPPRKEATGGGTGAVGGGEREEDVGEWTDQEMELLRRQMVKHPAGEPQRWEKIAAVFGGRRTPESVIRAAKSGGSAAAAGGSFEQFLRKRKPLDPRAEAAEADEGGSAGGGDGGWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAGCMKRVTELKRDFRSSKTSSDTAQ* >Brasy3G248800.1.p pacid=40041782 transcript=Brasy3G248800.1 locus=Brasy3G248800 ID=Brasy3G248800.1.v1.1 annot-version=v1.1 MRRRPCHLLPPLAIVLLVLLPSSQAAAISSGDTITPATPLAGNRTLVSSGRTFALGFFTPDPAGTGRTYLGIWYNNIPAHTVVWVANRENPVLGPRGSATLKIDGNGSLVIVDSQHGGGGGRIVRVSPVLSSDVVPRSPRAQLLDTGNLVLRFAGENAGSVAWQSFDYPTDTLLPGMKLGIDFRTGLDRRMSSWRGADDPSSPGEYTFRLDPRGSPELFLYRWSARTYGSGPWNGYQFTGVPNLKSNGLLSFRFVSKPGEEAYYRYEVDGRSKVLTRFVMNCSGQIQRLMWIDLTRSWSVFWSYPMDECDGYRACGPYGVCSVAHSPPMCGCTAGFRPRFPKEWALRDGSGGCARQTGINCTGTGDGFEALRNMKLPESANATVDRTLSLEECRVRCLGDCACRAYASANVSTPGGKGCFMWTGDLLDMRQFESGGQDLFVRLAASDLPANIVVSEQSQTTKLVKIIVPSAVAMLLLLAGIFICAVKVKKQSKAIQTPLNNGQSTPFRRRNQIAASADDGQDTSLHPPGQGNHQDLDLPSFDVDTIQAATDSFSDANKIGQGGFGPVYMGKLDSGKDIAVKRLSRRSMQGLREFKNEVKLIARLQHRNLVRLLGCCIDGSERMLVYEYMHNSSLNTFLFNEEKRSLLNWEKRFSIVNGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAAHTKKIVGTYGYMSPEYAMDGVFSPKSDVFSFGVLVLEIVSGKKNRGFYHSELDLNLLRYAWRLWKEGRNLELLDQSVAETSNVREVVRCIQIGLLCVQEQPRHRPAMSAVTVMLGSENAELPEPCEPAFSTGRNHSSEDVEMEVSRSNSASSFTVTVVEGR* >Brasy3G336300.1.p pacid=40041783 transcript=Brasy3G336300.1 locus=Brasy3G336300 ID=Brasy3G336300.1.v1.1 annot-version=v1.1 MPYGKWKQEELQKHQMGRQPCCDKQGVKRGPWTAEEDNKLVSFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEQLVVNLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANTKAASPIGTSSRSTSFKSSDTVNQLDSKGAHGEGVSRPTDSSEHSSRNTSDGNQDLLANLLLEEELPVDEPWLNFASSNDDEFSGIIGQLLCDGTADTNWLLDYQDIGMGDSSLINQDFGSADSNLTDGAVVRSSEESKF* >Brasy3G155300.1.p pacid=40041784 transcript=Brasy3G155300.1 locus=Brasy3G155300 ID=Brasy3G155300.1.v1.1 annot-version=v1.1 MDPVAGGIVKEELLEQDGGVGAAGLPRPMEGLHEAGPPPFLTKTYDLVGDPSTDQVVSWSPAGNSFVVWDPHVLADVLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKMIKRRKPPSNLPPSQQQTLASCLEVGEFGHEEEIDRLKRDKNILITEVVKLRQEQQTTKGHVQAMEERLRTAEQKQAQMMGFLARAMRNPRFFQQLVQQQDKRKELEDAISKKRRRPVDHVPFYGPGIASQNEQLDSQFLFDSGVLSELSEPGMPGLENLAHNIQELGKSTVDEENRACKKIGSGLGELNNEFWAELFDDDYGDGSGQSEFEGRRPEDIDELAQQLGYLSSTSPR* >Brasy3G040700.1.p pacid=40041785 transcript=Brasy3G040700.1 locus=Brasy3G040700 ID=Brasy3G040700.1.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKATVQGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.3.p pacid=40041786 transcript=Brasy3G040700.3 locus=Brasy3G040700 ID=Brasy3G040700.3.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKATVQGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.4.p pacid=40041787 transcript=Brasy3G040700.4 locus=Brasy3G040700 ID=Brasy3G040700.4.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFTDATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.5.p pacid=40041788 transcript=Brasy3G040700.5 locus=Brasy3G040700 ID=Brasy3G040700.5.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.6.p pacid=40041789 transcript=Brasy3G040700.6 locus=Brasy3G040700 ID=Brasy3G040700.6.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.7.p pacid=40041790 transcript=Brasy3G040700.7 locus=Brasy3G040700 ID=Brasy3G040700.7.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKATVQGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.8.p pacid=40041791 transcript=Brasy3G040700.8 locus=Brasy3G040700 ID=Brasy3G040700.8.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFTDATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.2.p pacid=40041792 transcript=Brasy3G040700.2 locus=Brasy3G040700 ID=Brasy3G040700.2.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVRICNNGGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.9.p pacid=40041793 transcript=Brasy3G040700.9 locus=Brasy3G040700 ID=Brasy3G040700.9.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKATVQGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.10.p pacid=40041794 transcript=Brasy3G040700.10 locus=Brasy3G040700 ID=Brasy3G040700.10.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFTDATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.11.p pacid=40041795 transcript=Brasy3G040700.11 locus=Brasy3G040700 ID=Brasy3G040700.11.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTYGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040700.12.p pacid=40041796 transcript=Brasy3G040700.12 locus=Brasy3G040700 ID=Brasy3G040700.12.v1.1 annot-version=v1.1 MDSLLPIHTILFLLLCLSFCVLPFCASSGSRLLLDKPLTAGTTLISDDGTFALGFFSPSNSTMKNYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLTLINGSNLAVSDTNGQLLWMTNTSTTSNSSSEAMLDNNGNFVLRSSQGTILWQSFDDPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDEFLQRFIWNGSRPYRRSPVWNYNLIVGQYVESINSTIYFTLHRVDDEVYFSFGLPAPSVSSLVLLKMGYSGKIKIRIWNSNMSTWTDMRSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTEKLIRHGENLYIRVNGLSGKQRTKKILKKLIPGGLSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLRKGSGQGVQEFRNEVILIAKLQHRNLVRLLGFCINGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFEIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGNEQQGNTNRVVGTGYMSPEYALEGAFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G149100.1.p pacid=40041797 transcript=Brasy3G149100.1 locus=Brasy3G149100 ID=Brasy3G149100.1.v1.1 annot-version=v1.1 MGACPHAWRHNLQHRGRMWAASPAFRRQLFLLRSLAPTCTCVGGGRAPSSSLRVRAMHAASAGAVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERESVSSIILTEVTKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLVTNNISLVEDNSLAILTPNVYEYKRLVQKVLSCDVDEENACDQLTTLCQKIGGVTIMRKGKADVISDGKQVTQVSTFGSPRRCGGQGDILSGSVALFSAWARHFLFSNGQPTEKSVNPMILGCIAGSLLLRKAAGLAFEKNKRSTVTTDIIEFLGKSFEDICPAEQ* >Brasy3G149100.2.p pacid=40041798 transcript=Brasy3G149100.2 locus=Brasy3G149100 ID=Brasy3G149100.2.v1.1 annot-version=v1.1 MGACPHAWRHNLQHRGRMWAASPAFRRQLFLLRSLAPTCTCVGGGRAPSSSLRVRAMHAASAGAVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERESVSSIILTEVTKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLVTNNISLVEDNSLAILTPNVYEYKRLVQKVLSCDVDEENACDQLTTLCQKIGGVTIMRKGKADVISDGKQVTQVSTFGSPRRCGGQGDILSGSVNPMILGCIAGSLLLRKAAGLAFEKNKRSTVTTDIIEFLGKSFEDICPAEQ* >Brasy3G197600.1.p pacid=40041799 transcript=Brasy3G197600.1 locus=Brasy3G197600 ID=Brasy3G197600.1.v1.1 annot-version=v1.1 MAYTSSLHLSKHLLLPRNTHRARPSSSGSPPSFVPAAASRVNGRAAPNGKPQHINGHAAPNGKPRHINGDGGGKKINGAAVNGKTHVNGRDRIHLSVSTGGGGQDGTGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALSAVDRGLVDRAILPVESTMEGTALRNYDLLLRHELVVVQEINLFVHYCLLAMPGVRAAQVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNMMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVAVPVDADAKTSMVVAHRGGSMAVVLKVLSAFSSRNINMSKLEVINNEGGGGEPRPPVMILDTGARGAPTLRSFPHVLYVDCEGAADDPLVRDAIKEIEKFAVFVRVLGCYAADTNVYDLQ* >Brasy3G312600.1.p pacid=40041800 transcript=Brasy3G312600.1 locus=Brasy3G312600 ID=Brasy3G312600.1.v1.1 annot-version=v1.1 MADSGFIQFKLDSKEIQNLARGASLVEPIRAGRGSLVLRILLSSCVQKGRRPPPSRSAPKLVNRLRGKQRRIPCEEDNGDRDRRWREQSDLDSLWAAWSRLYVWSSSRMTTLSLSRRQTSARETCWAAPMVRTSPSRSAARRSMLAARSPVFKAELFGSMSEAKMPCIAVDDIEPSTFKALLHFLYTDALPADQDLGGSPIDTFQHLLAAADRYALDRLKLVCAWKLWEIVIGGYSCCHSGVCRDVQLPGAEEQLHGFFVAEKNFKRAMLTKGFGQLVLEFPSIIAERRKRAGI* >Brasy3G300400.1.p pacid=40041801 transcript=Brasy3G300400.1 locus=Brasy3G300400 ID=Brasy3G300400.1.v1.1 annot-version=v1.1 MAMQSFLGISLFVVSIQLIGAAVAGDDGRLSKVVRQVPAMYVFGDSTLDVGNNNYLPGNDVPRANMPLYGVDFRGSKPTGRFSNGYNLADSIARTLGLKQSPPAFLSLAPHSIRLVLTALGEGVSYASAGSGILDSTNAGNNIPLSKQVSHFASTKRKMEATVGARAVRRLLSGSFFLLGTGSNDVSVFAATQPAGDVAAFYSSLVSNYSSAITDLYEMGARKFAVINVGLVGCVPMARALSPTGSCIGGLNDLAAGFDAALGQLLAGLAAGLPGLEYSLADYHGLSTETFANPQASGYVSVDSACCGSGRLGAESDCLPNSTLCDDHDGFVFWDRGHPSQRAGQLSAEAFYDGPAQFTAPVSFKQLANMDASY* >Brasy3G101400.1.p pacid=40041802 transcript=Brasy3G101400.1 locus=Brasy3G101400 ID=Brasy3G101400.1.v1.1 annot-version=v1.1 MHQDACQFCSFSSFFPFASSRCFFFPICRPGSRTEQTRGRGTEKTRTAAEAACVPGEVVDLVFLGSWSPIASLPGGAGSASMRRGDRRSGGLFFARRWRISFCRLRWQRREGVSVHASRQFHCELAETRCALLCRWLRICSHFMVNEPLLI* >Brasy3G275700.1.p pacid=40041803 transcript=Brasy3G275700.1 locus=Brasy3G275700 ID=Brasy3G275700.1.v1.1 annot-version=v1.1 MDKEMFTFFPHGIRAFIVDDDQKFLKSAKMMLDLLHFKVATCGSPSSALRLLSDDGSLKDVDVVLADAHKVAASGFDLRAIVEPDLGIPIHLNFNKRHPTPVEGDDSSTLFQIVQSATYIIKKPLDASELTGLWRVVAYHKCVLDARGVNARASSSAGRQDGSQPSGARFVHHFHPLRPQHSPSLPLGLFQTEGWAVNTVDGARKRTNSLEEICRQKCLWDMVGWEDGGCRDRVTLFTEHAGPSNIAGGSSSAVEQPSSFTAGAASNAIARAFAGNAALAPFPWPAGNFCGLTAQVATPAEEQQSSGTNQNQASFPQFSFDHFPTKAPEQSEAAAMVAGLMDVPLDNDYLLGAGAAPAATDDDILFPLDEILWPGGLMDIDSGGFVDGASGSLDGMRDDIGSSGQGTEGFSFLDDTL* >Brasy3G153200.1.p pacid=40041804 transcript=Brasy3G153200.1 locus=Brasy3G153200 ID=Brasy3G153200.1.v1.1 annot-version=v1.1 MNNVLTGLPQLELFFTTLSRNMTASEIAALVFLFSALLSCSLVLSQGLQRGFYDSNCPDAEDIVRSTVEKYYNNDATIAPGLLRLHFHDCFVQGCDASVLISGASSERTAPQNFGLRGFEVIDDAKSQLEATCPGVVSCADILALAARDSVDLTGGPSWSVPLGRRDGRISSAADAKALPSPADPVSIQRQKFADQGLSDHDLVTLVGAHTIGQTDCALFRYRLFNFTATGNADPTISPAFLPQLRALCPPNGDPSRRVALDKDSAGTFDTSFFKNVRDGNAVLESDQRLWSDDATQAIVQKYAGNVRGLFGLRFAYDFPKAMVSMSSVAVKTGRQGEIRRKCSRIN* >Brasy3G157000.1.p pacid=40041805 transcript=Brasy3G157000.1 locus=Brasy3G157000 ID=Brasy3G157000.1.v1.1 annot-version=v1.1 MSVPPFTRPGTMRDGTKKSKLSWSKSLVRKWFNIRGKSHDFHADDAAATIGRRGDDDWSSGSFSRRESCTAKKSRTDKASRRSSHERSRRSKIDLDAAEATVTMDYRIFVATWNVGGRAPPCSLSLDDWLHTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNAPPDNTGSACSGALHHAAASSPAPDPVAEVDDDFEGSSSRRREWDNPSVFHRRSFQSSSGLSRSMRMEGDVLLGQAQPRLERRYSVNDRVMCGGGGGRPSDYEASYHRWGSDDDGGESPSSTVFSPLSYGYVNAPPYVEESNGSAGGHTRYCLVASKQMVGLFLMIWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPLVYGQYERSPETILEHDRIVWLGDLNYRIALSYRAVKALVEMRNWKALLEKDQLRSEQRGGRVFLGWNEGRIYFPPTYKYSNNSDRYAGEDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSSSSSQLDIEELLPYSYGYTDINPYGYTDLNFY* >Brasy3G206100.1.p pacid=40041806 transcript=Brasy3G206100.1 locus=Brasy3G206100 ID=Brasy3G206100.1.v1.1 annot-version=v1.1 MGQGRVVAGVIGRKRRWRARWGGRRRCWAGWGGASRAWWWGSGGGRGLLPAPAEDGSGSGVGGREGKAGGGGGREGRSRALGACSPRRLERRRGGKVGGARAEQKGGERPHPARGEDGESCDLAARRHSYLGSRRLLDYQ* >Brasy3G179700.1.p pacid=40041807 transcript=Brasy3G179700.1 locus=Brasy3G179700 ID=Brasy3G179700.1.v1.1 annot-version=v1.1 MASLFLEYRTDGARGGGSSGDKTMFVLDDQCDFSPAACWNLKCFIWSECGAYILTSEPAARTNWRRQWRCRFLLAHAGGHRACQLGTIRVVDCDVS* >Brasy3G302900.1.p pacid=40041808 transcript=Brasy3G302900.1 locus=Brasy3G302900 ID=Brasy3G302900.1.v1.1 annot-version=v1.1 MEDPYASFLKNPYYYYCTSSFPATAPPTHPFPPYATTLYPASMAAAAPQYHHHQYPSLFHQNPPPEQPHYHYTSTATAPPPSPPLREALPLLSLSPTPNTRRREDFGYSDSENENEDCCGGYTLQQDHRHQAPAARAPLFADLNCVPSCCDGDGDPMDVEGAAAWPADDAAVALRIGLPAGSAEADLLSALSARATTAGSAEAEMEDDEDEFKAAGGHGQGQEQEEVPLGYFSSWAAGAPVGRLNKGQYWIPTPTQILMGPTQFSCPVCAKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAQGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGAAFGCGGGNGGADADADADADGFFDEDDEGAVSEIEHDGAGGRPSLR* >Brasy3G132700.1.p pacid=40041809 transcript=Brasy3G132700.1 locus=Brasy3G132700 ID=Brasy3G132700.1.v1.1 annot-version=v1.1 MPHHAPSSQHALPRKLLSRRRAVASCAVVAVLAAAAILLAAPTSEGPSSALLVGASLLSSPSEETPEAPPPAKTPLASLLETILSSRVAPDPSMFSAPAPSPVENFDDTSNEEPEHPDVKPISSGSPTSSSNVSGDLDMSGHAAPPPNREQILLWSTAADEELLYAKKEIVNAPLVTDDPDLYAPLFRNVSIFKRSYELMERLLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLIEGNQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTLEPLSIFVKNYIDMISAKFPYWNRTKGADHFFVACHDWGPYTTKLHDELRRNTIKALCNADLSEGVFIRGRDVSLPETFVRSPRRPLRDIGGKPAAERSILAFFAGQMHGRVRPILLQYWGGKDMDMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECIPVIIADNFVLPFDDALNWSAFSVLVPEKDVPRLKEILMRIPESRYITMQSNVKKVQKHFLWHAKPVKYDIFHMILHSVWFSRVNQAQI* >Brasy3G265000.1.p pacid=40041810 transcript=Brasy3G265000.1 locus=Brasy3G265000 ID=Brasy3G265000.1.v1.1 annot-version=v1.1 MARQFVNMIVQDVGTTLYSLCRIDLSKHLFHPSTAAAKQAAAKAKAKMNKNELFFSQLRGIGESCILSSDFTGHATAYDADTDSVIAVPHANFRKDIDSITLSTTHRALDANLHEVDVYRLYVLAHTVDGFSEAFNYTKTATCVEPTPAGRRYNTHPPDPPVIDDTTICVSSMDGVTYSFDVEDCEWTQLTSGSWVLPFRGAAEHVPELGLWFGIDATEHRLCALDLSGFSSSFGPPVVKHAWDYLHYVPGDEWSVSYQNLVNLGSGRFCIATAWARRDDNGRHMVDDEITVLTGVEVVRDHGDDGRLQMIRHRSKRYSIPNVELQCVL* >Brasy3G335000.1.p pacid=40041811 transcript=Brasy3G335000.1 locus=Brasy3G335000 ID=Brasy3G335000.1.v1.1 annot-version=v1.1 MLTLLLVRGGHGRWRRPAELRRADGGEGDGGEGEGGNDGGGRRSGQGRRSGDERRVAEKDENGRIRRWTGRIRPVGPAVRPGAAGSRSGRRRCLLLVAREESGGGERSGGVGRG* >Brasy3G345500.1.p pacid=40041812 transcript=Brasy3G345500.1 locus=Brasy3G345500 ID=Brasy3G345500.1.v1.1 annot-version=v1.1 MEIDGQQQQEVAAAVPEGFNADYLRIYYGKLFPYGDFFKWLSYGNDAKHPGCDQSYIGRRELSFTLENDIYIRFQSFDSVAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFAPVERELIFDIDISDYDDVRYCCSGADTCVDCWPLMTIVIKILDTSLRGDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRSAIADYFRVYKGGENTSKKVSLTGPVLHPFLARSYTDVLKCFFEDKLLHSQQLFSSEERCNKILELIPDENVASELHDKWQGNRRSSISKEDVNAARWEQLKTTLQSGKHKAQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPAAVPTLSQLLGELNAAGMQIDSESDWERTSLEKSIRFFRTSFLQPMLKACKEELESAYKAKLQQSKNALNW* >Brasy3G298700.1.p pacid=40041813 transcript=Brasy3G298700.1 locus=Brasy3G298700 ID=Brasy3G298700.1.v1.1 annot-version=v1.1 MARFLLVVAFLAATLVAAGWQLCHAAPATSTAEVFWRAALPDSPLPDAILRLLRPAPAAESGFVSRGAEEEEATNKPPFNYQDYKRPSDTTGANARAGDSPFGYKYQSSSSSGKRAAAVGAARDFDYDDYSASEEEKRAVAGKQTAPFGYDYKKVHTPSSSKRQAAGERRRRAAGPPPSPFMYAYKTASASAGNGKPKPPKPAPSPFMYAYNNKKASTATGANAKAPTTVFFHEEAVRVGEKLRFHFPPASPAPLGLLPRHVADSIPFTAASLPAAMATLGVAPDSAMARRMEATLSTCDDEASPTIAGESKFCAASLEALVEGATASLGTRDIRPLTSRVPRAGAPTQTYAVRAVRPVEGGPVFVACHDEPYPYAVYRCHTTGPARAYVVDMDGDGGDKVTLATVCHTDTSLWNPDHVSFQLLGTKPGGAPVCHLMPYGHILWAKDVKRSPA* >Brasy3G313800.1.p pacid=40041814 transcript=Brasy3G313800.1 locus=Brasy3G313800 ID=Brasy3G313800.1.v1.1 annot-version=v1.1 MTGAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVRPHHRLLLVGCGNSVFGENMVDDGYEDVVNIDISSVVIEQMKKKYHDKPQMKYMKMDVKNMSNFETGSFDAVFDKGTLDSIMCGQNSQEHATKMLGEVNRILKDTGVYIMITYGDPSYRLHLLKDMQIWTVQLHVIADRWDRSSKQKWDLTKPLPLHDDSTSTISLLGPKPDVHYIYVCIKGDNGARTSSKAEADEAALIDSFV* >Brasy3G313800.2.p pacid=40041815 transcript=Brasy3G313800.2 locus=Brasy3G313800 ID=Brasy3G313800.2.v1.1 annot-version=v1.1 MTGAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVRPHHRLLLVGCGNSVFGENMVDDGYEDVVNIDISSVVIEQMKKKYHDKPQMKYMKMDVKNMSNFETGSFDAVFDKGTLDSIMCGQNSQEHATKMLGEVNRILKDTGVYIMITYGDPSYRLHLLKDMQIWTVQLHVIDRWDRSSKQKWDLTKPLPLHDDSTSTISLLGPKPDVHYIYVCIKGDNGARTSSKAEADEAALIDSFV* >Brasy3G241400.1.p pacid=40041816 transcript=Brasy3G241400.1 locus=Brasy3G241400 ID=Brasy3G241400.1.v1.1 annot-version=v1.1 MSKTFTLLLFPLLLAGTIAAAGNNNATDDGPHSCGGVEIPYPFGIGNGSFRKGFEITCEAGTPVLPNTNSISIPIKTLSIVTAEARVLLPVGRQCYNSSGLVVSSSYTDLEFNKDDVYRISNSSNDLYVLGCNTVGYLGSKPIGDLAQGNDDYSFAEYTGCLCYCSNAHSAADGACSGVGCCSVAIPPDLTDNQVYFSSYNRTRSANFNPCDYAFLAEKGTYTFQAADLKMDEDRKMAVVMDWAIRDDNLTCQEAQKKEAYACLSSNSICKNSVNGPGYICNCSGGYEGNPYIKDGCVNIDECKLPDKYPCKGICMDRQNGFDCICPKHSSSPDPFNQTCSPDFPIVAQAVVGSIAGLFIIAIVVFLVLLRKEKRNMKAFFEKNGGPTLEKLNNIKLFRKEDLKKILKDNNVIGKGGFGMVYKGSIGDSSQLVAVKKPINVNLADKDQFANEIIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVPKGSLHEILHGSRRAPLDLDLRLLIAAESAEGLAYMHSKTATTILHGDVKPANILLNDEFVPKISDFGISRLIAADKQHTRNVIGDMNYMDPVYLQTGLLTNKSDVYSFGVVLLELITRKKASHSDNNSLLRNFLDAYTKSNSAIELLDKELGVADDLELLDSLAGMIKQCLNLDVDQRPDMNDLAERLRDMVKRSKSK* >Brasy3G156200.1.p pacid=40041817 transcript=Brasy3G156200.1 locus=Brasy3G156200 ID=Brasy3G156200.1.v1.1 annot-version=v1.1 MAASVGHDSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKQVEEFYRAYRALAERYDQATGALRQAHRTISEVFPNQMPSMDESPSSTGQEMEPHTPEMPSFSRTFDSDDHSTPKRNGSHPQETNALSERKSLKQFNDSSQTGENAPRAVFDGKARKGLSFESPEVKGKQDISNEMINLQQEMSRLLTESQNLKHQMLSESERANKAENEIQSLKDTVFQLNSEKGTSLPQYNESTERLSTLESELSKAQADLKKLTDEMASEVEKLNSAESHNIVLQSELETLDNKARIQQQELEQKLKELENVHLSFQEEHEKRMHAESALLSEGKERAQSQEEVQRLTIEVKMAHEKLDQLMKSNVDLESAVCELKKEVESLTEQNSSFELLIQELRNEINLLRDSKGELQSETQSLRVTISQLNAEKDGAVLQHQQSVERVSVLESQLLNLQSELEVNEAKVDTLMQDLKQKTEEVNSVRGQLQDESHRRTQTEAALLMTEGLHSKLEQEVKGLTQDLDMSREKLNELEKDKLDLENTSTELKKIILDLNSEKDAALLQQQRSLEKVSYFELQLSKAQLGLEKSEQKMQAVELEIAQKSENVNSLELSLKDEAEQRLQAETSLMSMENMYAQSQEEVNMLHLEIEKLNGKLNELEKLSSELKSTILLLNTEKDAIHLKNQESSMRVSDLESELSKLQAELKHKQEEVCILQTSLEDETQKRAEGEATLISVTSLHSESLEEVNRLAMDIEKLTGKLNEVENSKRDLENIVNKHTEDINSLHEQNLSTELIVGGLHRELDALKALNVKLEAEMGSRIGEKEAIQKDFARQREEKENLDSRHHALTDEMDALKSSIAAKHNLIAELQSTNLKLKEVCAKNLIEKALLSEKVQEMEKLSEEYSLMENSISDANAEMEGLREKIKALESSESSLKAKVLSCVSEKAVLVSELENLGKSFADISENNSTLEFSLSDMKAECEVSRTKLKDSEERRQTQLANNSALSAERNNLFSQMENMTVVMKALEGKHADLEDKHSSLSRENDLVHDQVRKLQGLLRTINAEYEDAVKSHEMHANRLEEQISALQEKIHHMDERLEQEEQTSMRASISLMVLEDSLADMKDKIVALFKKCQKYVEENHSADILISQLEDEARHHEAEMKTLLNLNGKLREGISQHMKILSINKDLGPAEDEILLQIVSDETSNILKLKEESDDVNMLLYTELSVLTTVMLQIGMESRDLCLQKCALEKEVENEAAELLSLKNKNCKLFRCNEQLSQELQKGCEREQVQKTEVLVLHAKLSCLTESYQTAQDEIIGMTEKNESLSKEQQSLIEKYNALEDENGTVLAECMMLEHLSLFLRGHNDEVASALVSLTDEMALLSLVKGELDSKVKAMSARALMLESENNHLKKYLVYLVDVFRTRFVLLEFDLNNAKSVCQEFAIELESCMVQLIQKDDELLEAEENAQLTQEKNRELCGVVGALQVGIEGAKVMKGELENKITTLTWECTTKDDEIFLLRQANETLQADAAILKEKEQSLDSAHELVLKEVEQHEREFVLLVGDAITSSVNAAVYEEKILEFIKEAKDIEISAIAQKERIKNEIFSRDGHFEALREKATGVEEQNAELIAELSKHAALIGSLSDHIDVLEEDILSLSKPYSTEVKEETTVSMQEDDHGPESHRFPTGTLDLQQLMSRIEALRAFILNAKDRRDQESTNFAAKLAAANIENQELRARGGLEAKEIYSDNERQKDAHGPKGKQVQMMKDIELDQISTCPPYGTGAALYPLGSGANAEMDDEMLQLWEAAERSCKNQTAKSSSSEHDIQAVEEVKSEYPSSELVRGRDLGISKLEMSSASMVEPHEVWGNNVVERLSSDAQRLLSIQESIEELKRKMGGPSKGRSPMNSEYNSVSAQLHETEGFVLEQINLNSKLAKKAENYPALSDSMNAEQEGFPSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEENEYRRLKVSDKRTRVLLRDYLYGRKDHRSGSQKKRRAPFCGCVGSKSRTEP* >Brasy3G056900.1.p pacid=40041818 transcript=Brasy3G056900.1 locus=Brasy3G056900 ID=Brasy3G056900.1.v1.1 annot-version=v1.1 MGSIGTNPTAFSAFPDDDDKSFQPLNTDDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDMLSASPPTYSAPFDVTMKEIRTSVVPGMTHWQSPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPKLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYGLDPVKLLEVMQADVDAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDALETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDLVRANDRFEIVVPRNFALVCFRIKASGAMTEEDADEVTRELMERLNKTGKAYLAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTSEMMD* >Brasy3G035600.1.p pacid=40041819 transcript=Brasy3G035600.1 locus=Brasy3G035600 ID=Brasy3G035600.1.v1.1 annot-version=v1.1 MSSKKRPARIEPFRHRVETDPKFFDKSWKKLHDAIREIYNHNASGLSFEELYRTAYNMVLYKHGPKLYDNLIETMTGHLQEMRRSIESAQGGLFLEELQRKWDDHNKALQMIRDVLMYMDRTYIPTHKKTAVFDLGLELWRDTVVRSSKIRKRLLDTLLDLIHSERMGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLQVSASFYSGESQQFIESCACGEYLKKAQKRLDEEAERVAQYLDAKTDEKITAVVVKEVLTNHMQRLFLMEDSGLVNMLVEDKYEDLTMMYNLFQRVPDGHSTIKSVMTSHVKETGKSLVTDPERLKDPVDFVQRLLNEKDKYDNIISISFGNDKGFQNALTSSFEYFINLNNRSPEFISLYVDDKLRKGMKDANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGRAASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSQDTTQGFYGTSSSDAGDAPLISVQILTTGSWPTQPCSTCNLPPEILSVSEKFRAYYLGTHNGRRLTWQTNMGTADIKVTFGNGSKHELNVSTYQMCVLMLFNSADCLTYNEIEQATAIPPVDLKRCLQSLALVKGKNVLRKEPMSRDISPTDNFYVNDKFTSKLFKVKIGTVAVQKESEPEKMETRHRVEEDRKPQIEAAIVRIMKARRVLDHNSIVTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA* >Brasy3G291200.1.p pacid=40041820 transcript=Brasy3G291200.1 locus=Brasy3G291200 ID=Brasy3G291200.1.v1.1 annot-version=v1.1 MDKAPAQAQTNASNGDGRSRPPPHALLVPYPAQGHMLPLLDLVALLAARGLALTVAVTAGNARLLAPLHAACPSVATAVFPFPASSPFLPAAGSGCGENTKDLPPGLFRPFMAALASLSGPLLSWCEAQPRGRRVTAVVSDLFTGWTLPLARELGVPHVTFSSGSACYLAMSHSLWRRMPTGCRLDDDDDETAVVAFPEIPGSPSFPWRQLSWLYRSHVAGDEVSETIRRVFLWSLESSCFVVNSFAAIEPACVCVLRDLMPSAERVLAVGALSDAATLSCHRGGEHAVTAAEVAPWLDAFEEDGSVVYVCFGSQHALSPAQAACVADALALSKAPFVWAVPRAGATLPEATMAAMAAASRRGMVIRGWAPQVEILRHREVGWFLTHCGWSSVLEAAAAGVPMLAWPMGADQFANARVVKEAGVAVAVAEGADAVPDAGKMAGAIVAAFGEEGKPVRARAVELGRMAAAAVAKGGSSHGDLEDLVRMLTHVG* >Brasy3G300800.1.p pacid=40041821 transcript=Brasy3G300800.1 locus=Brasy3G300800 ID=Brasy3G300800.1.v1.1 annot-version=v1.1 MEKAGRSARAAILAAVAATVILLLFDAAAASAPAIARSCTSSCGNISIQYPFGVEPGCYHDGVGGFNLTCNHSYHPPKLFLGDGTVQVLDISIPNGTVRINSSSIEFWLGAQGANGTWRASGQGTNGTWRAGSAGYAAGPYFLVEDQNNLVAFSCDVRAVLLRENNTVVSTCAPFCPKYNDGGFMISNGACNGVGCCQASIVEGRASYGIQLHHLDFVPSEKTYVSVYIAEWDYVFDMDYFNQDPTTTVPAVLGWTITSSECHSNGSSPSCLSKNSFCQNYSSYLGTLHPGHNCLCSHGYEGNPYISNGCNDINECDHSDTYPCYGDCKNTQGGYGCECPPGFKGNASEKNGCEDIDECKHSEVYPCSDGKCINMPGTFHCRCHDGTYWDPLMKHGCVRKNKTFTGLSIALVIIGGAVSLLLALAAPVIARKIKLNRRNKQKERLFKQNHGLLLQQLVSQKRDFGERMIIPLSDLEKATNNFDKIREVGGGGHGVVYKGLLDLEVVAIKKSKIVVQREIDDFINEVAILSQINHRNVVKLIGCCLEAEVPLLVYEFISNGTLHQHLHVEGPVSIPWNSRIRIALEVARAISYLHSAASMPIYHRDIKSSNILLDDNFTAKVSDFGASRYIPIDQTELLTRKQPCVFRCGGCVGLVSHFASLRAEGDLSEIIDTQVMEEEDGEVQEVATLAAMCIKLKEEDRPTMREVEMTIENLRVSKRHAPRDTASRRHDRDEIETHYMSTEGVAKEASRQYTMEDEILMSARYPR* >Brasy3G079300.1.p pacid=40041822 transcript=Brasy3G079300.1 locus=Brasy3G079300 ID=Brasy3G079300.1.v1.1 annot-version=v1.1 MCPDMNPCHTASQVESEVLDGNRNHHSQLDADHLGQIGRSPIKRSSNNLSTDDNFSSSASLHKHEHVSSDPSAPKADDRSIKSGDDSDGAESTNGKSNNTDSPGTENDSIWIPPEAADKGDEAESVAGNIAYDDDDDDYGDGIKWGQSSFPAAGEEHEASPNPKDERENAMLEAMNGQLKILVSRFLASAGIPFGKGESSESWLDIVTSLSWEAALLIKPDSTIGKEMDPGSYIKVKCVASGTRWQSEVIKGLVFKKNTAHKHMPTSCHNPKLLLLEGVLGHSDVGLSSFNSMNQEKDHLDRTISKVIDICSPNVIMVEKTVSRDIQELLLRQGCTLILDMKLSRLQRIARCTGSPIISFPEVLDKPKLKQCDYFHIEKFIEEHNDGSEGGKRPSKTLMFLEGFPRPLGCTILLRGANTEELKKVKQVMHYTVFAAYHLILETSFFEDQRVFLNDKNVSKENYITAMVGPSAIGDDTAVLGCAIPPSHDDSPALKLYHATSNIYADGKKSLSYTNADAPVSIKNSSLNELGEGANVRHSSTSPLHAGRLPPPVSGPLRKFADKLHRHNIYLPVTSFQETTDNKIEGRVESRKGMVSNGFHVGSKVEESAASSEILDDTKDLSKQERIQEVMPSGSSGRGEHEESSTIAEDGEHHSTSIISNGNISNEDQADDALDSDSILILMSSQCITKQIICLPSQLCRIKYYGNFDLSLGRYLQDILQNQKASCSSCQEPPEAHIYSYTHQNGNLTVRSKNLVSQHRLPGESEGKIWMWTKCLRCEHEHGISKSTPRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYTTCKPPPTLHFDNPSRQNWFEKERIHILARGMKLFSEVATLLQLLKNQHHDVTATSCSTFDPVKDFSELEELLMKEKADFEDSLVKTINQNGRSSSSVHELLNINWSYQDVLLELYVWDRRLDELSMCKSAGQGSVGNSNNPTGTADKISGANYEIDKKIGELTCDRTMTAVRADGTADCTSNNILIDHQSGETAEPLLDDSQGAGKSEPSCSEGSKDEGSLSLIAPDQVKVESTTQNQKVPSLEVSNRTEVQGNGVVAHPVSKEQEPSSTLQKFRSTDWDDSDRWIWSSFCESQLAYRKDIQMGCLEKFYLVNHYSPSHLSPLFEKHEEVDSPQFTVGPGGNILCVLEHEVSSIIARALAISEERRQDTVVENEAEEARLEHAKTMEKSYSFFSEGSFSSSPWSSIGSLDSEGSFSSSISSYPSDDLSGYDTLPLLSLAHPEITVNGKVTLRGKYSVTSIYANQFYLLRKKCCPSELAYITSLSRCKKWDAQGGKSKALFAKTLDDRFIIKQIKKTEFESFITFAPDYFKHAYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENLMFGHNISRIYDLKGAIFSRHITKSNDPDTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKNELVFGIIDYLRQYTWDKQLETWVKSSLVRKNVSPTVISPKDYKKRFRKFMAKYFLTVPDTWSPENSSGPYKSFGHSNSKLVEADNDDNLLQHPIEAEACV* >Brasy3G348900.1.p pacid=40041823 transcript=Brasy3G348900.1 locus=Brasy3G348900 ID=Brasy3G348900.1.v1.1 annot-version=v1.1 MGTGMGAKQAAEAAAADPFPGGNSSSFVILSVSVAGILAISLLLLTYYLFLTRCAASWRHNNQLDDVAHQRYVVHSPRLERRRRGLEEAAIRRIPTLRYSHHDKAAAVASECAVCLGEFGEGERLRRLPACLHAFHIDCIDAWLHATANCPLCRADAVADHLVIDIASPPGGATAEVPAAARVGAMSMGDECIDHERPCRGVQQQPMRRSLSLDSCSDKHLYLALQSALRRQQHHSALLPGEEGAKFGESSAAAGSPAAAASGGRRLRRSFFSFSHGRSSRSAILPV* >Brasy3G178800.1.p pacid=40041824 transcript=Brasy3G178800.1 locus=Brasy3G178800 ID=Brasy3G178800.1.v1.1 annot-version=v1.1 MASLLRRRHAHPPTVSAHLLRRFSALPDIDPPPTPVPAAAPDPTATPDPTAPASARASVLDLQLAVRAETDPTRVHSLVTSALSNPEFHRLHTSRALFSLAASRLDRLRRPDLAASLLDLLIASAPPSPGLLARALSLFPRPDDAVRAFSSSVPEARSDVSLSALLHALLRAGRLDDLKSTFKSAESSLGVAPGRASHNVLLHALVKNSELTAARKMLNEMTKKKFKHRPPADIISFNTVLAGFSAQDDGEEFEKLLKEINENKLEPNVVTYNCRIQWFAKKGETFKGEELLDVMESKQVLPNYITYNALVQGYCKEGNVGAAMRVFKRMKVMKRREGRSDLGVSVHSQTYVVLFRTLVENERLDDAVWICKSCFAMKAAPTFEAVKGLVEGLVKAGRSTEAKDVVAKMNFLVKGDAIVAWEKIAAELSLEEGAQSSNP* >Brasy3G092300.1.p pacid=40041825 transcript=Brasy3G092300.1 locus=Brasy3G092300 ID=Brasy3G092300.1.v1.1 annot-version=v1.1 MMLVVPILPPLHETGYLASTDVRSRSARQEEHRPALMDDFYIVVSIGFGAPLNLPVFRVGMEEQLARHRRFRSVQVMSKEGTPQWEVRTEVNLDPAAVAADPDKVVEDYVASLWTTPGRPGSSTSWTSRPPRRGLHRCAPRAPLLRRRHVAHDVSHRFLTQRCGPGKAAGAQAQRRYLRAPAAPAICGRPGVPRVGLFVPRARVAYRRGRLVCRCDNHVLARSAHAVHACQRRRDPTSDALCPPKPWPRRCEVSKKCHDHCTVNDVLVGVTSAALSRYYFRHSSDTRTSKLCVRSILFVNLRPTHSLQAYVNMIESGDSNDVKWGNRLGYIILPFHIAMHDDPLEYVRKAKKSMEREKSSLEVVFTNMVTEFILKILGAKVLFVFPF* >Brasy3G092300.2.p pacid=40041826 transcript=Brasy3G092300.2 locus=Brasy3G092300 ID=Brasy3G092300.2.v1.1 annot-version=v1.1 MMLVVPILPPLHETGYLASTDVRSRSARQEEHRPALMDDFYIVVSIGFGAPLNLPVFRVGMEEQLARHRRFRSVQVMSKEGTPQWEVRTEVNLDPAAVAADPDKVVEDYVASLWTTPGRPGSSTSWTSRPPRRGLHRCAPRAPLLRRRHVAHDVSHRFLTQRCGPGKAAGAQAQRRYLRAPAAPAICGRPGVPRVGLFVPRARVAYRRGRLVCRCDNHVLARSAHAVHACQRRRDPTSDALCPPKPWPRRCEVSKKCHDHCTVNDVLVGVTSAALSRYYFRHSSDTRTSKLCVRSILFVNLRPTHSLQAYVNMIESGDSNDVKWGNRLGYIILPFHIAMHDDPLEYVRKAKKSMEREKSSLEVVFTNMVTEFILKILGAKVLFVFPF* >Brasy3G266100.1.p pacid=40041827 transcript=Brasy3G266100.1 locus=Brasy3G266100 ID=Brasy3G266100.1.v1.1 annot-version=v1.1 MDEVAGYGDAEMGRRRWPGAGASPLPSRGLAVHGGDSAAAAVNREVTAPPLILYPLHHVLPSYHGFELGTESVASPYKHRLEWKELMGLILWHWLLLLFNLVSLCCSLSSDGLALVALSKRLILPDMISSNWSSYDTTPCEWKGVQCKMNNVAHLNLSYYGVSGSIGPEIGRMKYLEQLDLSSNHISGLIPSELGNCTLLTLLDLSNNSLSGVIPASFMNLKKLSQLALYSNSLGGEIPEGLFKNQFLEWVYLHNNKLNGSIPSSVGEMTSLRYFRLDENMLSGVLPDSIGNCTKLENLYLYDNQLNGSLPKSLSNMEGLRLLDISRNSFTGDISFIFKTCKLEDFALSSNQISGKIPEWLGNCSGLTKLGFYNNSLSGQIPSSIGLLRNLSILVLTENSLTGPIPPEIGNCRSLVWLELDANQLEGTVPKQLAKLSRLKRLFLFENHLTGEFPQDIWGIQSLEYVLLYRNNLSGRLPPILAELKHLQYVKLFDNLFTGVIPPGFGMSSPLIEIDFTNNSFVGGIPPNICSGNRLEVLNLGNNFLNGTIPSSVANCPSMVRVRLQNNSLTGLVPQFGHCANLNFTDLSHNFLSGHIPASLGRCVKMAYLDWSKNKLAGPIPPELGQLVKLESLDLSHNSLNGSALITLCSLKHMSKLRLQENKFSGGIPDCISQLNMLIELQLGGNVLGGNLPSSVGSLEKLSIALNLSSNGLMGDIPSQLGNLVELASLDLSFNNLSGGLDSLRNLGSLYVLNLSFNRFSGPVPENLLQFMNSTPSPFNGNSGLCVSCDDGDSSCKGDNVLKLCSPLSKRGAVGRVKIAVICLGSALVGGHGTVYKATLRSGEVYAVKKLVSSATKILNASMIREMNTLGHIRHRNLVKLKDFLLKREYGLILYEFMEKGSLHDVLHGTEPAPVLEWSIRYNIALGTAHGLAYLHNDCQPAIIHRDIKPKNILLDKDMVPHISDFGIAKIIDQSPPAALTTGIVGTIGYMAPEMAFSTRSTIEFDVYSYGVVLLELITRKMALDPSLPDNLDLVSWVSSTTLNDEGNVIETVCDPALMRVVCGTAELEEVRGVLSLALRCTAKDPRQRPSMMDVVKELTNARPDAVSLSKQEISGSSSSLHNQATSCFVPAVPANGDNGVIQRGSSSS* >Brasy3G266100.2.p pacid=40041828 transcript=Brasy3G266100.2 locus=Brasy3G266100 ID=Brasy3G266100.2.v1.1 annot-version=v1.1 MGLILWHWLLLLFNLVSLCCSLSSDGLALVALSKRLILPDMISSNWSSYDTTPCEWKGVQCKMNNVAHLNLSYYGVSGSIGPEIGRMKYLEQLDLSSNHISGLIPSELGNCTLLTLLDLSNNSLSGVIPASFMNLKKLSQLALYSNSLGGEIPEGLFKNQFLEWVYLHNNKLNGSIPSSVGEMTSLRYFRLDENMLSGVLPDSIGNCTKLENLYLYDNQLNGSLPKSLSNMEGLRLLDISRNSFTGDISFIFKTCKLEDFALSSNQISGKIPEWLGNCSGLTKLGFYNNSLSGQIPSSIGLLRNLSILVLTENSLTGPIPPEIGNCRSLVWLELDANQLEGTVPKQLAKLSRLKRLFLFENHLTGEFPQDIWGIQSLEYVLLYRNNLSGRLPPILAELKHLQYVKLFDNLFTGVIPPGFGMSSPLIEIDFTNNSFVGGIPPNICSGNRLEVLNLGNNFLNGTIPSSVANCPSMVRVRLQNNSLTGLVPQFGHCANLNFTDLSHNFLSGHIPASLGRCVKMAYLDWSKNKLAGPIPPELGQLVKLESLDLSHNSLNGSALITLCSLKHMSKLRLQENKFSGGIPDCISQLNMLIELQLGGNVLGGNLPSSVGSLEKLSIALNLSSNGLMGDIPSQLGNLVELASLDLSFNNLSGGLDSLRNLGSLYVLNLSFNRFSGPVPENLLQFMNSTPSPFNGNSGLCVSCDDGDSSCKGDNVLKLCSPLSKRGAVGRVKIAVICLGSALVGAFLILCIFLKYRCSKTKVDIGLTKFFRESSSKLIEVIESTENFDDKYIVGTGGHGTVYKATLRSGEVYAVKKLVSSATKILNASMIREMNTLGHIRHRNLVKLKDFLLKREYGLILYEFMEKGSLHDVLHGTEPAPVLEWSIRYNIALGTAHGLAYLHNDCQPAIIHRDIKPKNILLDKDMVPHISDFGIAKIIDQSPPAALTTGIVGTIGYMAPEMAFSTRSTIEFDVYSYGVVLLELITRKMALDPSLPDNLDLVSWVSSTTLNDEGNVIETVCDPALMRVVCGTAELEEVRGVLSLALRCTAKDPRQRPSMMDVVKELTNARPDAVSLSKQEISGSSSSLHNQATSCFVPAVPANGDNGVIQRGSSSS* >Brasy3G174600.1.p pacid=40041829 transcript=Brasy3G174600.1 locus=Brasy3G174600 ID=Brasy3G174600.1.v1.1 annot-version=v1.1 MVYGCILAAASAAGSPQFRSADIENSYEILGISPLDGLDQVKMAYKRRRGKHAEIGGDLERAYDTVMMEQLQNRKKGVAYGSIQVSKDIKYADNQPIVPWGPRYSRSTAKDLGINMAISATSIMCIWTMGHADWKPLQFLCFAYFHRILEKLKFTEPAKTTVYNSSALQLWKKPLDFESITTLLHLCFELDMVYFMLRSLSLVLCSIFAASLGYTGLANFSQFLGHYIPSVVYNFQLRYAQQQELIVTTASSVLLCMLASYYR* >Brasy3G292400.1.p pacid=40041830 transcript=Brasy3G292400.1 locus=Brasy3G292400 ID=Brasy3G292400.1.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLGKKDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRDENLDKILLSNLPLDPAEIFARKCSFKLSDVLEIHVPQRQSS* >Brasy3G292400.7.p pacid=40041831 transcript=Brasy3G292400.7 locus=Brasy3G292400 ID=Brasy3G292400.7.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRDENLDKILLSNLPLDPAEIFARKCSFKLSDVLEIHVPQRQSS* >Brasy3G292400.8.p pacid=40041832 transcript=Brasy3G292400.8 locus=Brasy3G292400 ID=Brasy3G292400.8.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLGKKDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRDENLDKILLSNLPLDPAEIFARKCSFKLSDVLEIHVPQRQSS* >Brasy3G292400.6.p pacid=40041833 transcript=Brasy3G292400.6 locus=Brasy3G292400 ID=Brasy3G292400.6.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRDENLDKILLSNLPLDPAEIFARKCSFKLSDVLEIHVPQRQSS* >Brasy3G292400.2.p pacid=40041834 transcript=Brasy3G292400.2 locus=Brasy3G292400 ID=Brasy3G292400.2.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLGKKDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRVLVQVTCLCFAFRIST* >Brasy3G292400.3.p pacid=40041835 transcript=Brasy3G292400.3 locus=Brasy3G292400 ID=Brasy3G292400.3.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRVLVQVTCLCFAFRIST* >Brasy3G292400.4.p pacid=40041836 transcript=Brasy3G292400.4 locus=Brasy3G292400 ID=Brasy3G292400.4.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLGKKDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRFRSKRMQISLHI* >Brasy3G292400.5.p pacid=40041837 transcript=Brasy3G292400.5 locus=Brasy3G292400 ID=Brasy3G292400.5.v1.1 annot-version=v1.1 MDALFMLVFERRDWVEGQMRQQVVSFSESVACTLLAAGSRPPPWLLPHSADATGTRQGNTLRKPSLDLANLKRADGVRLALPKIHQLSEPETLEFDGVKPGSGNITGSSEVDNGCKKCVSDTTLTELTSMHPLNEDPSSTNSLEGPSSVASPLLENDSLQSVKPNFLEEIVSVPEPLPEKDAVQLHTTETDYPEGPHSTASLLLEKEAICSNEVNFLDGPPSICLLLAKEPEHTSELDSPEELHENATSHDVRTSSLEGPHPMASLPCEKETVHTSEASVLEGPQCVASPLLESEPLYTVEHTEPLKNSYLNRSIDRCSTSHEQESSEFILPPSCYVSSSRPAAQLADTTSGDQEMSGMLQNNMLDDGYGCSPHPKENAMEDGGGHYSNSELDGALSTCSLQKSGDDNVYQNKVVSSGTISDNDSNSANALDALISPQNESSQMQSSVHKLSSQYYRTGTVADTSIVFSALSTSNKRLGKEPALDSANCHLKQSGPAEGKLFVQSEECDNMDKSVTPVVAQEVHLSANSSPGRSSASPSDVICSNSRSMKATLCIGSTPSSNVSLVPQSDSLENNLDAVSNSSPSCSDALSDVDACCIRKAISCFKYPDTDVRTATVEDKVVTEIDYLAYESGVLKPENYPSTTSPTTFTSCALFQQNQQARASNESVLEKLNYDSNLEIDEKVIDDGLQVSDGAIPRENGDNYVDYDETVQSFSTTVPSKAKSPTIKERAFAGLCDSTKLINLSTSLSAKYKMDNKMSGHYQALSARFKKLIDPSSTNSVDTKWHDPSYDINKLGVSGKYSLELDGSFRMSNVLSYDTANSISVQEDSEIPLTPSVEKYSLEKLSGRTRSNSECMGSIPELACFKIDEASSMAEENENREILPRCPGRNYSSQGLTGKKTLEHVSSLYRSTENSASLSTRYVDVGRLGLTATKVYTRKPDYHLHLSIDEAIKNPKENCAPSINKGKVPQPLHDRASRAGILSSKNIRHRSEENLEKGRKPSNIISNMTSFVPLVKQQQKSTMTCGKSFLDVRVKALEAAEAAKRRQEKKQNERLMRKAAAELERERLKQEREQRQKQKEVEQKKKIDADAVTRKRQREDDEKKEKERKKKRAEEARKPEQWMEQIHAANGEKDDCWKSSDDNEPRNDCVRVKHESIPDGRTEPVYNFIASENHNLKSVVADGRSESSRLQVRGSFSCDIDKSYEMSPYKDSDEEDDDDPDQEEVRRRKFIPSWSRFRSKRMQISLHI* >Brasy3G143000.1.p pacid=40041838 transcript=Brasy3G143000.1 locus=Brasy3G143000 ID=Brasy3G143000.1.v1.1 annot-version=v1.1 MEPKEDQSDITTLQRINRSTGPSCPAQTAGPVPASTGAEPPGRGGSGRPTPPRTATNRRTRACAARAEPETPTSAAYELQRPQEHRTVAAAELEFLGGTAAKAGARAKREEGPLVASSILQCALQLVSVMFVGHLGELALAGASLATSLANVTGFSLLVGMASALDTLCGQAFGARQYHLLGVYKQRAMLVLALACVPVVLLWANTGRILLLMGQDAAIAAEAGAYARWLVPSLVPYVPLVCHVRFLQTQSIVVPVMASSAVMSLGHVLVCWALVHRAGMGSRGAALSNAISYTVNLVILGLYTRLSGACKRTWTGFSMEAFKDLRQFTQLAFPSAMMVSLHTADLEWWSFELLLLLSGLLPNPELETSVLSICLNTGALMFMVPSGLSAAISTRVSNLLGAGKPQAAKLATRVVICMALSEGLLISITMILLRNFWGYMYSNEDEVVTYIARMIPILAISFFIDGIHTSLSGVLTGCGEQKIGIPLAVVLAFVLHLNGMELWLGIVCGSLTKLMLLVWTVHSINWEKE >Brasy3G138400.1.p pacid=40041839 transcript=Brasy3G138400.1 locus=Brasy3G138400 ID=Brasy3G138400.1.v1.1 annot-version=v1.1 MSGSTSVGAAGGSGGGGGGIGGSGTVGGGGPCGACKFLRRKCVSGCIFAPHFNTEHGAAHFAAVHKVFGASNVSKLLLQIPAAKRVDAVVTICYEAQARIRDPVYGCVSHVFSLQQQVANLQAELTCLQAHLATLELPAPPPFPQQPQMPMATAAPFSISDLPLTLPSNIPSSIDVSSLFDPSMMQSSSQWAFQQQPQQQQLQQQQPFVPMMGDGSGGFGGGNIFGDGDLQALARELLERQSAGAMPQQPPHTNC* >Brasy3G065300.1.p pacid=40041840 transcript=Brasy3G065300.1 locus=Brasy3G065300 ID=Brasy3G065300.1.v1.1 annot-version=v1.1 MGKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDTVHGHWKHSDIKLKNDKTLLFGEKSVTVFGVRNPEEIPWAEAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKIINDNFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYEDIKKAIKAASEGNLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVSWYDNEWGYSNRVVDLIRHMAKTQ* >Brasy3G191900.1.p pacid=40041841 transcript=Brasy3G191900.1 locus=Brasy3G191900 ID=Brasy3G191900.1.v1.1 annot-version=v1.1 MGTALRGYSPLIVVASSSCTFDRPFSQLSITCTVDLNRLEATPRKLPKYTIEYDNKVKKRIYMHVMMISVLVNCAV* >Brasy3G179600.1.p pacid=40041842 transcript=Brasy3G179600.1 locus=Brasy3G179600 ID=Brasy3G179600.1.v1.1 annot-version=v1.1 MGALDHLSDLCSMTETREALKLRKKRPLQTVNIKIKMDCEGCERRVKSAAKSIRGVTSVAVTPKMSKLTVTGYVEPRKVLERVKSRTGKSAEMWPYVPYSLATYPYVGGAYDKKAPRASSAAPRRPWPTPPTPRSST* >Brasy3G022800.1.p pacid=40041843 transcript=Brasy3G022800.1 locus=Brasy3G022800 ID=Brasy3G022800.1.v1.1 annot-version=v1.1 MILRIRSRDGTDRITVPDGASATVGELQRLIAARVTVPVTLQRLSLDPALLLPAAQPQLLSDPTTPLASLPLANGSFVYLSYPPDARSSQPPPPKALTVAGSFGKKMTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLYVAESLAFAVKRAGFLYGRVDAETKEVFVDFIYEPPQSGTEDVVQLMRDSDEELRVDAIADGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAELQAEGGIPEWVTAIVKIKVGDDGTGDVHFEAFQMSEVCVKLFKDGVLQTEIGDSDDPRLSKMRKEVIAGGKDTMEVDNDFFLVPVKISDHQGPLSIGFPIENRGSPLAMSTLRNHLDRAKHLPFVRRISDFHLLLQVTTFLDVKADVPALAACVKHQAKVPEGYQLLIETLAGQT* >Brasy3G188300.1.p pacid=40041844 transcript=Brasy3G188300.1 locus=Brasy3G188300 ID=Brasy3G188300.1.v1.1 annot-version=v1.1 MWADKSVSASTTHGKYKIKQSVLPTIAATISLPRARKSLQGVAAMGDYGNAMMRNQDAGVQSRTKAQSRANLLQLKLIGQSHPTGLTTNLLKLFEPRPPLEHKPPVEKRKLPAYTGMAQFVSRFAEPGDTEYAPPVPTCETRAEKKDRIRQLKLEQGAAKVAGELQKYDPQNDPNVTGDPYKTLFVARLNYETSEHKVKRDFEAYGPIKRVRLVTDKVTNKPRGYAFVEYVHTRDMKSAYKQADGRKVDNKRVLVDVERGRTVPNWCPRRLGGGLGSSRIGGVDASQKHSAREQQNAAGRPRSEEPRRDDRPADRDREKSRERVRERDRDERTRERSHDRTHDRDPREEKHHHRDRERTRDRDRERDRRDRDRHKDHGRDRDQDRDRKRERSHGRGRDRDRDYEHVSHDQDPARDRDRDYKRARHEHDRGHFQESDADYGNGDPSHNQHRSHEQYGYSQGHGHETERSKRHEHYHDNSHSKMANNYLGQPNDAEPEVPEEGEAYEEGDYQHNRPDEYRN* >Brasy3G000200.1.p pacid=40041845 transcript=Brasy3G000200.1 locus=Brasy3G000200 ID=Brasy3G000200.1.v1.1 annot-version=v1.1 MAAKVEDGKSGKGRWGDEPRMRGVRKRPWGRYSAEIRDPRKKKRVWLGTYATAHQAARAYDAAARRIRGPNAKTNFPLPPASAAANAVPFTFLTAPQEKASATGSSSATAGSSSGASSSSSSSLFRFREESPAGFLAAAPAASLELTLGRIVVPAKPAMAFDLNFPPTAEM* >Brasy3G249500.1.p pacid=40041846 transcript=Brasy3G249500.1 locus=Brasy3G249500 ID=Brasy3G249500.1.v1.1 annot-version=v1.1 MGPAAATALLAVLAVSTLPSVFPQVAPRPAHQEMPDLPASAAPTRPVLAHGPLGRAAPPLPAGPSREPHIEPREVHSGGAAPVNSSGALEQRGCTCWICAVKEHGRTDGQRWR* >Brasy3G322800.1.p pacid=40041847 transcript=Brasy3G322800.1 locus=Brasy3G322800 ID=Brasy3G322800.1.v1.1 annot-version=v1.1 MEEERRMLQEEEAHGHGGKEEEMEKGKKRKKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAFELSVLCDVEVALLVFSPAGRLYQFVSSDTSVEEIFGRCRDLPNTVNDLNIEVRDPRVDIQIDLNEQAAPDPLSDLNHFADWALEIDVNAMGVAELRRFEEIVSDVLAVIKNNLRMKASQLTQTERNPQKKANNLASQESEE* >Brasy3G094100.1.p pacid=40041848 transcript=Brasy3G094100.1 locus=Brasy3G094100 ID=Brasy3G094100.1.v1.1 annot-version=v1.1 MAAAAAPQSPQQQQQQPSPLVERVKTAAGLDKLVLRGQRNCSVEISLYGGQVTSWKNDYGEELLFVSSKANFKPPKPIRGGIPICFPQFGTHGNLEQHGFARNRLWTVDDNPPPLPINPAIKAFVDLILKPSEDDLKVWPHSFEFRLRIALGLGGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNIKGKERFTEQGDAIVFESEVDKVYLAAPSKVAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPQMVLHG* >Brasy3G094100.2.p pacid=40041849 transcript=Brasy3G094100.2 locus=Brasy3G094100 ID=Brasy3G094100.2.v1.1 annot-version=v1.1 MAAAAAPQSPQQQQQQPSPLVERVKTAAGLDKLVLRGQRNCSVEISLYGGQVTSWKNDYGEELLFVSSKFGTHGNLEQHGFARNRLWTVDDNPPPLPINPAIKAFVDLILKPSEDDLKVWPHSFEFRLRIALGLGGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNIKGKERFTEQGDAIVFESEVDKVYLAAPSKVAIIDHEKKRTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDAEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPQMVLHG* >Brasy3G210000.1.p pacid=40041850 transcript=Brasy3G210000.1 locus=Brasy3G210000 ID=Brasy3G210000.1.v1.1 annot-version=v1.1 MPNPVLLLLLPVLLHAIAVVSSDDAAEDPCAGRRIHIRALPPRFNTHLLRHCDAAFPLADPSASAPPSCASLANHGLGPRTHPRSRSWYRTDARLLEPFFHRRILERRCLAADPALADAVFVPYYASLDALPYLLDPALLDSSASHGASLAEFLAHDRPQILSRRHGHDHFLVLAGSAWDHSQPTESEKEQQPRMWGSTSLIRRPEFENFTFLALEARTWPWQEHAIPHPTSFHPSSLRRLRAWLDRARRSRRPVLMLFAGGVSRPSRPNIRGSILAECANRTDACVVVDCSAGKCAHDPVRYTRPMLRSKFCLEPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPARYDEFSVYIQKETVVLGGVRIAETLAAVPEAAVRRMRERALEMAPRVMYRRHGSTAELRRRRTTKWNALDDIRVVWI* >Brasy3G085600.1.p pacid=40041851 transcript=Brasy3G085600.1 locus=Brasy3G085600 ID=Brasy3G085600.1.v1.1 annot-version=v1.1 MESLLAVLFLLLIPMAGGSPPPEPVMCARGTSDCTITNTFGAFTDRTVCRAASVAYPRTEEEVIAAVAAAASAKRKLRVATKHSHSIPKLACPGGHEGAIISTARLNRTVHVDTAKRLMTVESGMLLRDVTQAAAAAGLSLPHSPYFYGLTIGGLLSTGAHGSSLWGRGGAVHEYVVGMRIVTPAPESEGFAIVRELTARDPDLDAAKVSIGVLGVVTQITLALQPLFKRSVSFVTRNDSDFPEQVAAWGRLHEFGDITWLPALGEVAYRQDDRVDVSSPGNGLNGALLFRSTPTREAIEARALEERLQQANATDTARCEALRRQAAEAERLGNGFTNDGVSFTGFPVVGYQHRIQASGVCIDSPEDGLASSCVWDPRIQGTFYYNGGFSVPLSEAPALVTEMLRLRDLNPAAFCAAVDPRLGVLLRYVKASTAYLGKPVDSVVFDILYYRSRTNGMPRVHADVVDELEQLALRKYGGLPHWGKNRDFAFDGAIAKYPNTGKFLKVKGRYDPDGLFSNEWTDKVLGISGSPNTIEKRCAIEGLCVCSKDPHCAPEQGYFCRPGKVYPEARVCSFQPTGHRD* >Brasy3G188000.1.p pacid=40041852 transcript=Brasy3G188000.1 locus=Brasy3G188000 ID=Brasy3G188000.1.v1.1 annot-version=v1.1 MAPVPNPEEGRELYALLHLSPESSDEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSCLELGPKLNKPEEIKEELERLRRRKEEAKIFIHSRSIGSIIANMSVPQYLDGDGIMRGMGMSSEVQLPISKQNTVIVGGNLVVDGTDGTGAASAVLRHELSPASSIEFMATAGLRSLIGVHTSRQISPNSSATSGLALSLRDGSVNLSNAWTRQLSEDTVGNIELNLGTESSISVGWQKKNEKRSAAGEVKLGANSFGASAHYTHHFSSKSHGRVAGRVGCTALDFEIGGGRRISEFSTVRMLYNIGIQGVSWRFELNRAGQKLVIPVLLSTDFNALFVTGAFAIPSTLFFLLQTYVVKPYYLKRMKQKTLEKMDSLSTQLTEARQAAKKAQRLLEPVSNRKKNKQLENDGLVITKALYGNPRKIKESSELSEINDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQQYKATADDYSALLIPQEIHQI* >Brasy3G114900.1.p pacid=40041853 transcript=Brasy3G114900.1 locus=Brasy3G114900 ID=Brasy3G114900.1.v1.1 annot-version=v1.1 MSNLGPGAATHIQQLPFLVLSALYLCRTRATVAAAGRLLALPRRRRPSPAVSSSSGDQHCCPQELHEQTLGGRVRAEAGQGIEAPGAGRFLSGFFSGAGGVEDQPTPSVPSPCCRRCGGYGKLWMKRGRCGGDGDGEQRAPREGDGIWIGHISPKQCSGLL* >Brasy3G159000.1.p pacid=40041854 transcript=Brasy3G159000.1 locus=Brasy3G159000 ID=Brasy3G159000.1.v1.1 annot-version=v1.1 MCVQGLWEATWTTTSGLPPICTGSSPTPSPWFILPPSHKDRHGGGSIYKKTSFCFFTVWRIKKDGPSPPRPATSWIPSFVIDHRDGLDPDTRSLLLGIAYSCQVKCFIIITGVADGINVADVPGSEGVVESFSESRWSLL* >Brasy3G019400.1.p pacid=40041855 transcript=Brasy3G019400.1 locus=Brasy3G019400 ID=Brasy3G019400.1.v1.1 annot-version=v1.1 MERNGIASRKLGGNNNKRQNKVVLVMGATATGKSKLAVDLALRFNGEVINSDKIQVHDGLPVVTNKVTPKEQSGVPHHLIGGLHPDADYSPSDFRRDATRAVESALSRGALPIVAGGSNRYLEALLDGSPTFRRRFECCFVWVDAGGDVAVLEEYVRQRVDAMVEQGLVEEVRGFFREDGDYSTGIRRAIGVPEMDAYFRMEAAGELDGGDKELRARVLEAAVERIKRNTCVLARRQVGKIRRLSGLPGWSIRRVHVGRVLALKVAGEKRKEDAGAEKDLWEKDVLGPAARTVEVFLAKGAGERGAGVGAAVEWYGGRQFVVEAAAASPRFHGRKAAAAV* >Brasy3G046800.1.p pacid=40041856 transcript=Brasy3G046800.1 locus=Brasy3G046800 ID=Brasy3G046800.1.v1.1 annot-version=v1.1 MESGKEAAANAGAAARARVEKARAAVQGQVDKAAAYTSGHREAAEVNWEAAEVKKQQRVRAAEEEKQRALRDNAKDHRAAASGGGGTDHRPSEGAHDQE* >Brasy3G060300.1.p pacid=40041857 transcript=Brasy3G060300.1 locus=Brasy3G060300 ID=Brasy3G060300.1.v1.1 annot-version=v1.1 MVFCWRCFGPRGSGRWLNLAVISVRVRGSRFCWLRRSRFSRPALSVRVPLLGQIAQRDEEARARGGEEEGGGGADVPNAPMYSDLISEVSSVAWSAIVLTHHLFVVMPQSSLRLVLTLLLMFV* >Brasy3G069900.1.p pacid=40041858 transcript=Brasy3G069900.1 locus=Brasy3G069900 ID=Brasy3G069900.1.v1.1 annot-version=v1.1 MGPKMVGAFLLVLLAVFAVSDAQVLPTPCCRFSCCDGKPECCDPGYVPAVAPAAAAVAPAVAAGAKAEGPAPFGGVSGEVSAGN* >Brasy3G191100.1.p pacid=40041859 transcript=Brasy3G191100.1 locus=Brasy3G191100 ID=Brasy3G191100.1.v1.1 annot-version=v1.1 MDEGPGPVDEMLSPGEAEWPPELRLPPPPPIHEPAPPPPPPPAPTAGSGSLTAWLHVPPAAPSPTKKEPSPPRHSEGFDDSHFLGSIMGAAAPLQQQQQQHPAVAEAPVVVKRKRGRPPKNRDGAAAAPAPPKPVKKTDEEEVVCFICFDGGSLVVCDRRGCPKVYHPTCIKRDESFFRSRGKWNCGWHICSSCEKAVQYMCYTCTYSLCKGCIKQGKFFGVRGNKGFCDTCYGTILLIESKDEDVAKVRVDFDDKSSWEYLFKLYWLDLKGKHSLTLEELLSAKSSWTVQSYSARKEKEESSGERYDANNDHDASSDSSSKKRKRCTSLRKRGRKRQTHGGITARKYEVPNKVAESLPKGVPFERTNLLGDKKWASLELLEFIGHMRNGDQSQISQFDVQVLLLEYIKQNNLRDPRKKSQIICDTRLSSLFRKPRVGHFEMLKLLELHFHVKETPKVNGDTQRTLDPDSAQVDGGGYSEMAPKLSSDKRRKTHKKVERDPQADLEDYAAIDIHNINLIYLRRSLMEDLIDDVAAFSEKITGAFVRIRISGVGQKQDMYRLVRVLGTHKVAERYSVGKKTTDYALEISNLDRKEVITMDTISNQDFTEEECKRLRQSMKYGLISRLKVGDIHEKAKIFQSLQINDLLENEKQRLSHLRDRASEIGRRKELRECVEKLKLLNTPEERERRINEVPEVHVDPHMAPDYESAEEWNDKTTVDCTIKRNGSDQLFHGGKGTESNSVLYTTQKISDTSRHTSLSPPTEGLSDKPGTGSNINLPSANGWNIPRPGIDLNNTAGESASVSSSGVVPSDTEPEKVWHYKDPSGNVQGPFTLSQLSKWTSYFPHDMKIWLTFESEENSLLLTEVLSKQQKDLIQPTPVIGNSKSTLAGPGKDRSDSSMAENFTSPIGYNVLYSSGLPKQSADRSPRRKGPDHLGQALPSTTSWEPPKNAPTSSCVQTQHQANYSCTIPSSVGSYGPPGSHAEGVPEEKTGGKNNTQEAGGLWSPTPPPHKSQISQSIMQPHPGVCTTKKQLQNVSNSNSLAGSVENLNMQMDFGPQKVLMPSQQSERDLTISLGTSNSPEFKTQEGTCWSLTTKPTAHDEIQFSIASAKPESHSNPVEGSNSNSAFGLPSQSGTAACSPQSAPFTHSSNSSKTEKTMDLYKTYPADASKAPVHQLPEPKVDLVSSPGTQDQYPSPTPKLERKEPSMNKSGSTSVEPEDSATKAYDRSLTAFVSETSGPPCSKIVDLQLFKETSCVEERDLKHGSPTQTEQLKEGAPLAKRDNIIVNPVTDAESIAVSNVLESLTEQNCGTYNMHGATPLENFAPASVEEERPQCSSPIAMSPWGEPSYYQGEAVDSALWGVQDDPSNDMWSLSSPTPALQPSLGLRVDGKDTSCIIQDIIAQGNSAVVEMSPIPEEKKTEKCNSSASTASGVPEQVKPKPSAASGSSLGSTKASGGQQLDSSLEGCTKASDGQQPVSFLDRSTKTSAWQQSGSSLEGSTKTSAWQPSGSSLEGSTKGSGWGSSLEGSTKGSGWGSALEGSTKASGWLQSSSSPEGRKASGWPSSVRENSKVNSTSNASQNRNSSGHQTTAPSAKNYSEAPRRHGNTNQSSGWGEAVGNNRSWHSSSGNASRGSQSSHHDRYSHGNESRRGSSHGNESRRGSSNHSRRPDHRQDHGSGVQSRSFSRGQSQRGICKYYESGNCRKGSSCYYIHR* >Brasy3G191100.2.p pacid=40041860 transcript=Brasy3G191100.2 locus=Brasy3G191100 ID=Brasy3G191100.2.v1.1 annot-version=v1.1 MDEGPGPVDEMLSPGEAEWPPELRLPPPPPIHEPAPPPPPPPAPTAGSGSLTAWLHVPPAAPSPTKKEPSPPRHSEGFDDSHFLGSIMGAAAPLQQQQQQHPAVAEAPVVVKRKRGRPPKNRDGAAAAPAPPKPVKKTDEEEVVCFICFDGGSLVVCDRRGCPKVYHPTCIKRDESFFRSRGKWNCGWHICSSCEKAVQYMCYTCTYSLCKGCIKQGKFFGVRGNKGFCDTCYGTILLIESKDEDVAKVRVDFDDKSSWEYLFKLYWLDLKGKHSLTLEELLSAKSSWTVQSYSARKEKEESSGERYDANNDHDASSDSSSKKRKRCTSLRKRGRKRQTHGGITARKYEVPNKVAESLPKGVPFERTNLLGDKKWASLELLEFIGHMRNGDQSQISQFDVQVLLLEYIKQNNLRDPRKKSQIICDTRLSSLFRKPRVGHFEMLKLLELHFHVKETPKVNGDTQRTLDPDSAQVDGGGYSEMAPKLSSDKRRKTHKKVERDPQADLEDYAAIDIHNINLIYLRRSLMEDLIDDVAAFSEKITGAFVRIRISGVGQKQDMYRLVRVLGTHKVAERYSVGKKTTDYALEISNLDRKEVITMDTISNQDFTEEECKRLRQSMKYGLISRLKVGDIHEKAKIFQSLQINDLLENEKQRLSHLRDRASEIGRRKELRECVEKLKLLNTPEERERRINEVPEVHVDPHMAPDYESAEEWNDKTTVDCTIKRNGSDQLFHGGKGTESNSVLYTTQKISDTSRHTSLSPPTEGLSDKPGTGSNINLPSANGWNIPRPGIDLNNTAGESASVSSSGVVPSDTEPEKVWHYKDPSGNVQGPFTLSQLSKWTSYFPHDMKIWLTFESEENSLLLTEVLSKQQKDLIQPTPVIGNSKSTLAGPGKDRSDSSMAENFTSPIGYNVLYSSGLPKQSADRSPRRKGPDHLGQALPSTTSWEPPKNAPTSSCVQTQHQANYSCTIPSSVGSYGPPGSHAEGVPEEKTGGKNNTQEAGGLWSPTPPPHKSQISQSIMQPHPGVCTTKKQLQNVSNSNSLAGSVENLNMQMDFGPQKVLMPSQQSERDLTISLGTSNSPEFKTQEGTCWSLTTKPTAHDEIQFSIASAKPESHSNPVEGSNSNSAFGLPSQSGTAACSPQSAPFTHSSNSSKTEKTMDLYKTYPADASKAPVHQLPEPKVDLVSSPGTQDQYPSPTPKLERKEPSMNKSGSTSVEPEDSATKAYDRSLTAFVSETSGPPCSKIVDLQLFKETSCVEERDLKHGSPTQTEQLKEGAPLAKRDNIIVNPVTDAESIAVSNVLESLTEQNCGTYNMHGATPLENFAPASVEEERPQCSSPIAMSPWGEPSYYQGEAVDSALWGVQDDPSNDMWSLSSPTPALQPSLGLRVDGKDTSCIIQDIIAQGNSAVVEMSPIPEEKKTEKCNSSASTASGVPEQVKPKPSAASGSSLGSTKASGGQQLDSSLEGCTKASDGQQPVSFLDRSTKTSAWQQSGSSLEGSTKTSAWQPSGSSLEGSTKGSGWGSSLEGSTKGSGWGSALEGSTKASGWLQSSSSPEGRKASGWPSSVRENSKVNSTSNASQNRNSSGHQTTAPSAKNYSEAPRRHGNTNQSSGWGEAVGNNRSWHSSSGNASRGSQSSHHDRYSHGNESRRGSSHGNESRRGSSNHSRRPDHRQDHGSGVQSRSFSRGQSQRGICKYYESGNCRKGSSCYYIHR* >Brasy3G263000.1.p pacid=40041861 transcript=Brasy3G263000.1 locus=Brasy3G263000 ID=Brasy3G263000.1.v1.1 annot-version=v1.1 MRRSSKKLSSSAAAGEEQVNEKQNRKRKGVSTNLTSRKAQRGPTKAVSKEVERIDQLFYTYADSSSGMVDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYITLDEWRTGLKALRADSISKLKKAFPEMVQEVTRPSNFQDFYPFAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLMDYLKYQNDYKVINMDQWMGFLRFCNEINFPLLDNYDPDQAWPLILDNFVEWLRANKN* >Brasy3G263000.2.p pacid=40041862 transcript=Brasy3G263000.2 locus=Brasy3G263000 ID=Brasy3G263000.2.v1.1 annot-version=v1.1 MRRSSKKLSSSAAAGPTKAVSKEVERIDQLFYTYADSSSGMVDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYITLDEWRTGLKALRADSISKLKKAFPEMVQEVTRPSNFQDFYPFAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVDKLMDYLKYQNDYKVINMDQWMGFLRFCNEINFPLLDNYDPDQAWPLILDNFVEWLRANKN* >Brasy3G256600.1.p pacid=40041863 transcript=Brasy3G256600.1 locus=Brasy3G256600 ID=Brasy3G256600.1.v1.1 annot-version=v1.1 MGAVGCREMVLKRAGRRGRWHARFVSGDYCRGLSGCGWRDFVRDNGILPKDVCLFELLEGARRPTATVHVLRRLHGRFVRMHGAN* >Brasy3G033400.1.p pacid=40041864 transcript=Brasy3G033400.1 locus=Brasy3G033400 ID=Brasy3G033400.1.v1.1 annot-version=v1.1 MEIAVSAVTGELLSRFISFLIKKHRERASLDAKLDRLRHLVLRVHSVVEEAEGRYITNAVMLARLSTLANAMYRGYCLVDSIKYLPPAEKIASQQDQASRSCSLVSPAKRFRTTHSVLDRNEIQSVLEDLETVVANMSEFVVLLAGCERMYRTPYDSYLYIENFMFARTVEKKQIANFLLQDVSLTGAPAVLPIIGGCFVGKKTLVGHACHDTRVQSHFSSILHLDGDDIWRKGHQLLPSTQPGRILVVVEFFSDVDDDCWEQFYAAMKSKARGSKVIIISRLEATARFGTMKPIRLNNLPHEEYVYLFKVLVFGSTNPDDHPQLASIAMETATVLNGLLMTANVIAEMLRKNLNAQHWLRSLKRFRNVVEDNLSAFDAHPKHLLEKDQPVDITRLASQYGCRDRLRLMSPRAERSIGQRELSGVTFGELVAGSCSAMTQFPKGDFQIVIWESRLPPCTKFVATCVEQEPPSASSSTKKRRGFEI* >Brasy3G173400.1.p pacid=40041865 transcript=Brasy3G173400.1 locus=Brasy3G173400 ID=Brasy3G173400.1.v1.1 annot-version=v1.1 MEHVIGGKFKLGRKIGSGSFGELYLGINIQNGEEVGIKLEPVKSKHPQLHYESKVYMLMQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNSCNRKFTMKTVLMLADQLITRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFISYFHYCRSLRFEDRPDYSYLKKLFRDVFIREGYQFDYVFDWTVLKYPHMSSNNKLVRQPSGRMAGVGPSVERTDRSSVGQDIRDRFTGAVEAFARRNSGNGRHGDHSRHKSLAESFTSSREAVADSEKTHILSRTGSSSKTTITPSSRPTSSGDLTSRLVSGSGSSNRPSSSTVQRLHHSGGGATAENGSSSPTARNAQGGRAGGRRDSHAALRSFERLSISAERRK* >Brasy3G227400.1.p pacid=40041866 transcript=Brasy3G227400.1 locus=Brasy3G227400 ID=Brasy3G227400.1.v1.1 annot-version=v1.1 MGRQWHAVAAVAISCTVMAAAVGADRGRSFAGTAAAPEPEDVSFLRKVASSIWQKDGTTYHHVWPPMKFGWKIVLGSFFGFIGGAFGSIGGVGGGGIFVPMLTLIIGFDPKSAAAMSKCMIMGAAVSTVYCNLKLKHPTFDMPVIDYDLVLLIQPMLMLGVSIGVICNVIFPNWLVTVLLIILFLVTSTKAFLKGVETWKKETIIIKEAEKRSEEISEETEYTPLPTGPDAPAETITRSDEVVSIWKNVYWKEFGLLVFVWVAFLALQVTKNYIATCSTWYWVLNLLQIPVSVGVAMYEAVGLLQGKRVISSKANDQTSLKVHQLLLYCFLGLMAGVVAGLLGVGGGSIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYFLLNRFPVPYALFFTVLAFLAAIVGQRVVRKLIGLLGRASLIVFILSMMIFVSAFSLGGVGISNMIHKITRHEYMGFENICKYDA* >Brasy3G335400.1.p pacid=40041867 transcript=Brasy3G335400.1 locus=Brasy3G335400 ID=Brasy3G335400.1.v1.1 annot-version=v1.1 MSLLYALGNGDPKSKQKLESDKGEQLAIETKEKVWLTPCSDSTGLIDKRVKMLLSASSEESDPQMDFYGGPQIFNTVTWPLDGPARKVKGGHLYASGHQRLWYFIRGQLLDVSSLLGPDMVIFTTAMFGTFYLTITFEVHSVTLAIDGREGWNYGFENEHGCFEYYHEDNDCPYLANGRNWSMLRGCLPDYSANGLCPTGNHEDVEMGIPAARRACKSLSTYKGELPVPPEVRKACATLITYAIESVKSNEVLDWTCGTLEDEEEEVTLGMLHPYASPRIKKWSSLGKSRLGYLVRRLNGEDIFPKIPAVTGIQTIEGVLNSVCVLPYNQLLGRRTSKARDWSSFPLDENADMAGGRPHFLSAQFARPWPMNLKLAVLRSPDTMINAASILPFAKVKKQNCGSGELPNARTFSPGAGTGAASRIQKRSLCSVDIPNARTFSSGACAALRILKSFVK* >Brasy3G316000.1.p pacid=40041868 transcript=Brasy3G316000.1 locus=Brasy3G316000 ID=Brasy3G316000.1.v1.1 annot-version=v1.1 MERSPTPPPGRAPSPSSSSSHRYPDWVMLDPHTRLNHPTVSLDYPEELFRATSARAETDAGGHIDVRFRAVPPPGASRLYSRSRRGDDWKATRPEIRHEEGQGKDLAWWCTDAVVPYGDSLCYVDYFRGILFADVLSGCPQLRVCVTDGGRAVKFVDVVTTAVLFPGDGCRVASSSFAINVWRLRWEDEHKAWEKECAMEDTELWSLRGYGDLPRDTPLFPLVSMQEPNVVYFVLSSIRDLRGVDNDNTWVVVVDMLNKALLSSSGYTKVFGDSTESDGNMSAMNLPDQTAFMPCDFPKYLLATR* >Brasy3G211200.1.p pacid=40041869 transcript=Brasy3G211200.1 locus=Brasy3G211200 ID=Brasy3G211200.1.v1.1 annot-version=v1.1 MDRHTCKLCFRRFQNGRALGGHMRSHVMAAAAAAAAAAAAAYSPPVPEQSPPLSLASTSSTAMDGTQAQRKALPCVLREDRKVKAGGAPPEFSGGYFGGAAAGGESSVVQDGESDAESTPRGGGGAGFAVSRRRSKRGRRRCAPDPEQQPASSVVSDATREEEDVAMSLVMLSRDSWARPRSEPEPRPWPRSSSEAAKQNNVFDDDEEEEEYYYYGEPTTPARGPRSSNRHQCGVCKKVFRSYQALGGHRASVKKGKGGCVPAPAPGKKGSRAGDGVVHECPFCFRVFGSGQALGGHKRSHMPPAAAATATSPAAKCGDSSFGSFDLNMAPPAFDDDFEHSAVYDAEFGSSSRR* >Brasy3G215500.1.p pacid=40041870 transcript=Brasy3G215500.1 locus=Brasy3G215500 ID=Brasy3G215500.1.v1.1 annot-version=v1.1 MAEFVHRHLDLDLIRAHLLDDHPHAAAVAASGSDSDSSASSSPPGHRTRRPAALSLSLPLEQESYAEEEEEGDGDFRRYRGVRQRPWGKFAAEIRDPAQKGGARMWLGTYDAAVDAARAYDAAAFRLRGPKAILNFPNDASPAHLPLSDMSASGKRARPDEQEEERLFREVKRERVQMEEISLTFWDELKGICSLPPLSPLSPPYPGFAFPQLSAVN* >Brasy3G250600.1.p pacid=40041871 transcript=Brasy3G250600.1 locus=Brasy3G250600 ID=Brasy3G250600.1.v1.1 annot-version=v1.1 MTSNGDPAAQAAVQAQQQQAAQLQAHQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQDTLLILQEHGETVVEGTGREAVGDMVVLHLP* >Brasy3G327200.1.p pacid=40041872 transcript=Brasy3G327200.1 locus=Brasy3G327200 ID=Brasy3G327200.1.v1.1 annot-version=v1.1 MAALVSFSTGARRLLLRRILLLSTSPEALSEAPPPFAGARLLCTSPEAEAPPEAHRPPPPPFAKKAAHPVVAEKGDPQQGAWARLYTRLSKLPPDAPLGCLAAELDQWLRERRPLSEQQLFSYVRKLRSYRHYKRALELMDWMEARGASLQLGHHAVRLGLVCKVNGLEAAEEYFWSLPEIFKSIKTYSSLLNCYAEHRKADKGLELFEKMKAVNIVPSTLVYNNLMDLYLKTDQPEKIPTTFEQMRENRVRTDNFTYYMLTQSYIMVNDLKAAEKFVEELEKSTPVPWSLYTVLANNYNKLAQFDKAVLALKKAEEVMDRSEISAWHNLLSLYAGSGNLSEVKRVWESLKSAFKKCINRSYLVMLSALKKLDDFDSLQQLFLEWESTHEIYDMRITNVMIEAYLAKDMTDEAEAIRQTTMAKGHSDAMTFYIFVESYLEKSRTSEALDIWRDAEKMVKTPTWVPPKELVMRFLKHFEEAKDVDGMEAFWEHLKKLGCLDADAYGALIRTYAAAGRTNTSISRRIEEDRVEIGPDME* >Brasy3G182300.1.p pacid=40041873 transcript=Brasy3G182300.1 locus=Brasy3G182300 ID=Brasy3G182300.1.v1.1 annot-version=v1.1 MDLRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADVSVQEWESIPEIGDYSARNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLRSVTQTNPKHPPGWIAAARLEEVAGKLQSARQLIQRGCEECPKNEDVWFEACRLASPDESKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARMLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLNKEPAIWITAAKLEEANGNTQSVSKVIDRGIRSLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGVGVDDEDRKRTWVADAEECKKRGSIETARAIYSHALSVFLTKKSIWLKAAQLEKSHGTRETLEAILRKAVTYKPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVNEERRLLEEGLKLFPSFFKLWLMLGQMENRIGHGARAKEVYENGLKRCPSSIPLWLSLASLEEVINGLSKSRAFLTMARKKNPGRPELWLAAIRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPHRKSKSSDALKRCDHDPHVIAAVAKLFWHDRKVDKARTWLGKAVTLAPDIGDFWAFLYKFELQHGTADTQKEVLQKCIAAEPKHGERWQSVSKAVENSHQPVDAILRKVVLALGAEENPNAAEP* >Brasy3G271800.1.p pacid=40041874 transcript=Brasy3G271800.1 locus=Brasy3G271800 ID=Brasy3G271800.1.v1.1 annot-version=v1.1 MGTMSRQVLPSCRALCYFCPTFGPYPFNPLRGTRRSSPRSTSCHRQAVLLFGGQPNSFPICFSM* >Brasy3G271800.2.p pacid=40041875 transcript=Brasy3G271800.2 locus=Brasy3G271800 ID=Brasy3G271800.2.v1.1 annot-version=v1.1 MGTMSRQVLPSCRALCYFCPTFGPYPFNPLRGKLYCFLVVNPIPFQSAFQCEG* >Brasy3G220400.1.p pacid=40041876 transcript=Brasy3G220400.1 locus=Brasy3G220400 ID=Brasy3G220400.1.v1.1 annot-version=v1.1 MLASKPNRASQSEPFNHKEPPNSNSSSHTNYSRAHASAPRVSRLAKPAATGSSKQAAEPRAPSPLHNAARAAAPASVDAAAPKPSPGERRSFKASPHRTTTVPDKQPRMPKASELQAQLNIVQEDLRSARDHLASIDRDRAQVHGDLALTKSLADEAYLKLEDSVAAQRRAEEALELERFKSVEREQAAVELARRKEDEWQWKHGDATKRQAQDAASLARITKELENAKGELAVTLQAKNSAIGRAGEAEKIAEASAKKMETLMDEVTRLKSEMDSRGQEAAEIISKLRPEASELRAELQRAMAFEEKLARAEEVVEGLKVDIAYSKRAEMDSDQSAQRWKAKVAALEARLTEITSLNKSKEEALISLTKSFDDTHAQLLQLREKMALSEMEAGQYKEGFLETTSRLEVAKKETSGLQAAIDSLRSEHGLLNEAHRQVINNKKAASVQFSVLAGDKARLELELDGAREEKDKAKKAVEDLAAALRQVSSEAREAKERVLAKQSELEHVQLQMSALKKMMKDAEDKYQLVLDESNCLKKIVQRMESEAKIFQDDRTSKEAGFAEMLRRSEEEASSARSEMNKLMGSLAAAEKQVEELNAERTNRIHELKGIDQNTMDASSGAQQPVVVDESSCLKDLLSSKEKEALAPDNQVTELRLREMAALAKAEELSKSLAEATARKAGEEEAARGAEKSKALLVKLEMDTVVESLKAAEREAKDAKDDMAQLQNKLRLVESKITEANLSAEEEKIGSLRLKETLAEKEEELLSIARENEGLRTKEAASRAKADELAALLVEATAMKGGDQPATRSTEKQPNVFMKMMCPPMDNVVRGDHEARKNSDMAVQVLEEIKHVEVETVKQVKHEREEEVSVEANSLENSKIIEDDLSKEMRADGVDDIESSDDGDDIESQGEDGAADQMDGLLMHGPSSSFKKEQHIQKKKKKALLKKFGSMLRKKAHFTKLRNHS* >Brasy3G220400.2.p pacid=40041877 transcript=Brasy3G220400.2 locus=Brasy3G220400 ID=Brasy3G220400.2.v1.1 annot-version=v1.1 MLASKPKASQSEPFNHKEPPNSNSSSHTNYSRAHASAPRVSRLAKPAATGSSKQAAEPRAPSPLHNAARAAAPASVDAAAPKPSPGERRSFKASPHRTTTVPDKQPRMPKASELQAQLNIVQEDLRSARDHLASIDRDRAQVHGDLALTKSLADEAYLKLEDSVAAQRRAEEALELERFKSVEREQAAVELARRKEDEWQWKHGDATKRQAQDAASLARITKELENAKGELAVTLQAKNSAIGRAGEAEKIAEASAKKMETLMDEVTRLKSEMDSRGQEAAEIISKLRPEASELRAELQRAMAFEEKLARAEEVVEGLKVDIAYSKRAEMDSDQSAQRWKAKVAALEARLTEITSLNKSKEEALISLTKSFDDTHAQLLQLREKMALSEMEAGQYKEGFLETTSRLEVAKKETSGLQAAIDSLRSEHGLLNEAHRQVINNKKAASVQFSVLAGDKARLELELDGAREEKDKAKKAVEDLAAALRQVSSEAREAKERVLAKQSELEHVQLQMSALKKMMKDAEDKYQLVLDESNCLKKIVQRMESEAKIFQDDRTSKEAGFAEMLRRSEEEASSARSEMNKLMGSLAAAEKQVEELNAERTNRIHELKGIDQNTMDASSGAQQPVVVDESSCLKDLLSSKEKEALAPDNQVTELRLREMAALAKAEELSKSLAEATARKAGEEEAARGAEKSKALLVKLEMDTVVESLKAAEREAKDAKDDMAQLQNKLRLVESKITEANLSAEEEKIGSLRLKETLAEKEEELLSIARENEGLRTKEAASRAKADELAALLVEATAMKGGDQPATRSTEKQPNVFMKMMCPPMDNVVRGDHEARKNSDMAVQVLEEIKHVEVETVKQVKHEREEEVSVEANSLENSKIIEDDLSKEMRADGVDDIESSDDGDDIESQGEDGAADQMDGLLMHGPSSSFKKEQHIQKKKKKALLKKFGSMLRKKAHFTKLRNHS* >Brasy3G223600.1.p pacid=40041878 transcript=Brasy3G223600.1 locus=Brasy3G223600 ID=Brasy3G223600.1.v1.1 annot-version=v1.1 MAATMIGIAALGFHNTRRPLLLHSALPRATSPPGSRFCTLPRCRCRRSLPRSSSSSSSSSSCSCCSARATRALPPSSAAFMASPPHNAALGHRLIAPNSTAGTGDAAAPPGGILPSVIGVAHLAVSLGIVLATDKYLKQAFAAAAIKFPSALFGMFCVFSVLLVLDALAPSLARGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDIPAASGLKIGLITFGGWFASLTVAGYTALAVRKVVKTELVAAEPMSKPSAFATLEFWAWGAFFVASFATAIVSPAALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAVAYGYLSGSGIDAALGDYLTKVPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFSSIAIASTFSLYSTAILGRLIGLEPTLTISILPRCITVALALSIVSFFEGANTSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSSSAVRQSLVFIAG* >Brasy3G267100.1.p pacid=40041879 transcript=Brasy3G267100.1 locus=Brasy3G267100 ID=Brasy3G267100.1.v1.1 annot-version=v1.1 MLRSVERPRLSSKVVGSLPSLSGQQADDSGNPWTVVRSRRCSSLVQAGWRPMPRQALSPARAAFLRRFKGRCFRCLSKDHRRKDCREPPRCIECWAWRHLASESSRCKAARAKVAAAATAGPRQPISQRLRFPALPPSDDMLGRAITLPAPRRSASSHSVVMTSRSIERQVDTLRSHGVLVKAVDRHHSASPILVGRALENALRIPSHCLRVTSHMPEDFFVHFDATALRDRAVGLGRLVIDGATFVMQPWREAEHGTLQTYTLHVRICIEKMPLNLWSIEGAESVLGKDVIVDRLDSRTYARDNTKLFSCWVWCWCLDSIPSVHEFTVFRAGAGRVEEMNGYSPLRPEVAPPPEGLRFRSLIHIDRAEDWTVREARTPSSGQSGIPSSSSDETPPLPTVQLYSWFFDVQDGEQPPLGAGRRHVASCRGLPAPSRRDDAGDDADRSRRARRVTVLNHGSATNVPAAPASGLGGERHRSRTPAGRRRAASVPASPPATATTDARRVGETLPPLPLHGPLPQRFCPPVVSEPIVSDAVDTVNTAVPAAVVTPPSSPTSRFFESSEDPLAELMASEQLEDLCWPLQNIDPMAFELDAICAATVVSPLTFSDGSDLSVAGVGRQATPWPSPLGLVSPLLAPSGDSALGDITGQVEQVAINEHAGVVRSLFTAPPPSLLGLSPAPSPHPAPKVTATPRRSARQAGKTSTTPVAQRATLRLAKELGVIDQEEQRADIAATALTQRLQEPLSEVDVDGLAIMTRLDREALLRAATQASSARAATPAH* >Brasy3G136100.1.p pacid=40041880 transcript=Brasy3G136100.1 locus=Brasy3G136100 ID=Brasy3G136100.1.v1.1 annot-version=v1.1 MAPPPLPLFSPSPKPPPPPPWLHGPKTPASVPPPNPIEAPPKPHNDPKPQRNNSSTTTNPLTTGVPGGRTRRAVLGIIRRVRSLELSDPPNPRPRPSTSGNIPFHLPIDRPQEAQEEEEAGKKENLTKSRAVPWSAARDEHLKVVLRREKKVREPTRAERELEPALLERLRLEARGMDRWARAKKAGVTDEVVEDVRSEWSSGQELAGVRIVEPLRRCMDRAREILEIKTGGLVVWTKGDIHFVYRGSDYVHNIKHSHNFVADIQKVRTPQEKYKSNAELLAKHNDEAEGAFRENDSSIDIQTYEEPVKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVLGFKTPFRQCPPGVRPTLADEELTYLRKLARPLPTHFALGRNTKLQGLAAAILKLWEKSLVAKIAVKVGIENTNNEQMAWNLKHLTGGTIILRNKDFIILYRGKDFLPAGVKQSVIQLEAQVDAQQVKEEEARLSVTESLQMFAGLPSVESSVGTFREYQDFQVNQAHETTENNKVIELEAEKHRLEKELKDQERRLFILTKKIERSNQALAKLHSSWNPSEQSADKELLTEEERMIFRKIGLKMDEHVLLGRRGVFDGVIEEIHQHWKHKEIVKVITKQNQAYQITYTSMLLEVETGGLLIATQKLPNSHAIILYRGKNYRRPEKSPSNLLTKREALRRSVEVQRRGSMKYYVWERQKSIEELEWRLANVAREIRKLTP* >Brasy3G019100.1.p pacid=40041881 transcript=Brasy3G019100.1 locus=Brasy3G019100 ID=Brasy3G019100.1.v1.1 annot-version=v1.1 MATPGSCPTTAAPSAGHPSVCLADASETLRPRLVLFGDSITEQSFRPGGWGAALTDTYSRKADVVVRGYGGYNTRWALFLIQRIFPLVGVPPVATTIFFGANDAALLGRTSERQHVPVEEYKQNLQKIVNHLRDCSKSMVILLITPPPVDEDGRERYARSLYGKDARRLPERTNEMAGVYAGQCVELARQMDIHCIDIWSKMQATEGWQKLYLSDGLHLTPEGNDVVHKEVVHTLRGAGLKTEDMPSDFPHHSKIDGNCLEKAFQ* >Brasy3G325900.1.p pacid=40041882 transcript=Brasy3G325900.1 locus=Brasy3G325900 ID=Brasy3G325900.1.v1.1 annot-version=v1.1 MADFSSSHHSLLLKMPAAFTTIDLSSSDVSSFLLHNQTHGQEGAANASAAMVEDGSLESSSAVLDTSPQGSASVDRKRKASTADDSSATLSSAHSKDCKDGKGRRGKREKSSTDQEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRLLQTLVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPLLYGFGLDSDGLLDQTQKIGGMFQEALAVPSAPVLNQEQSPAPSQAMMDTTSYSLQDQGAISFSQSQDNGSYLMQSVGEQRQELLNQLVFSNMCSFQ* >Brasy3G041200.1.p pacid=40041883 transcript=Brasy3G041200.1 locus=Brasy3G041200 ID=Brasy3G041200.1.v1.1 annot-version=v1.1 MNKRPRDETSSALASAPKRQFGAGGGGGGGYGGQQGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLVQLYARPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIRDCMTRYNGDTDQQRRKKFSFPARLICADCYETRLDKYLSEDAPFDICSCQFAMHYSWSTEARARQALSNISALLRPGGTFIGTMPDANVIIKRLRETDGMEFGNSVYWITFGEEYTEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVNEYLQKPEFADLMRRLGALGDGRPGQSTLSQDEWEVSYLYLAFVLRKRGPPPSQRRSNNVNRGKTFLAEDDIEVLRI* >Brasy3G182100.1.p pacid=40041884 transcript=Brasy3G182100.1 locus=Brasy3G182100 ID=Brasy3G182100.1.v1.1 annot-version=v1.1 MAKRQRTLTVSLHAPSGRASDAQKPSRKNMGHGGGDEVGSLHAREPPHGEPSYSTTTSSKKRRLAHAMADRFFPNELPDFVAEATVADGDPPAAGLLGLLSLPYPKLSDRLLRAALRLKDKVVEETWTKAGGHVTDYTLYTGALGTALLLFRSFQVNGDSRDLTLAGEIVQACDVASNGLPFFTFICGRAGVCALGAVIAKHRNDQLMVTHYLSSFDEITVTDKVPNELLYGRAGYLWACLFLNEHLSEKTIPAEHISSVAKDIIKEGRKLSNKGSCPLMYEWHGKKYWGAAHGLTGIMHVLMHTELKLDEQDDVKNTLRYMISNRYPSGNYPSSEGSGSDRLVHWCHGAPGVALTLCKAYQVFHDDHF* >Brasy3G182100.2.p pacid=40041885 transcript=Brasy3G182100.2 locus=Brasy3G182100 ID=Brasy3G182100.2.v1.1 annot-version=v1.1 MAKRQRTLTVSLHAPSGRASDAQKPSRKNMGHGGGDEVGSLHAREPPHGEPSYSTTTSSKKRRLAHAMADRFFPNELPDFVAEATVADGDPPAAGLLGLLSLPYPKLSDRLLRAALRLKDKVVEETWTKAGGHVTDYTLYTGALGTALLLFRSFQVNGDSRDLTLAGEIVQACDVASNGLPFFTFICGRAGVCALGAVIAKHRNDQLMVTHYLSSFDESSVAKDIIKEGRKLSNKGSCPLMYEWHGKKYWGAAHGLTGIMHVLMHTELKLDEQDDVKNTLRYMISNRYPSGNYPSSEGSGSDRLVHWCHGAPGVALTLCKAYQVFHDDHF* >Brasy3G182100.3.p pacid=40041886 transcript=Brasy3G182100.3 locus=Brasy3G182100 ID=Brasy3G182100.3.v1.1 annot-version=v1.1 MAKRQRTLTVSLHAPSGRASDAQKPSRKNMGHGGGDEVGSLHAREPPHGEPSYSTTTSSKKRRLAHAMADRFFPNELPDFVAEATVADGDPPAAGLLGLLSLPYPKLSDRLLRAALRLKDKVVEETWTKAGGHVTDYTLYTGALGTALLLFRSFQVNGDSRDLTLAGEIVQACDVASNGLPRRFFTFICGRAGVCALGAVIAKHRNDQLMVTHYLSSFDEITVTDKVPNELLYGRAGYLWACLFLNEHLSEKTIPAEHIVC* >Brasy3G182100.4.p pacid=40041887 transcript=Brasy3G182100.4 locus=Brasy3G182100 ID=Brasy3G182100.4.v1.1 annot-version=v1.1 MAKRQRTLTVSLHAPSGRASDAQKPSRKNMGHGGGDEVGSLHAREPPHGEPSYSTTTSSKKRRLAHAMADRFFPNELPDFVAEATVADGDPPAAGLLGLLSLPYPKLSDRLLRAALRLKDKVVEETWTKAGGHVTDYTLYTGALGTALLLFRSFQVNGDSRDLTLAGEIVQACDVASNGLPFFTFICGRAGVCALGAVIAKHRNDQLMVTHYLSSFDEITVTDKVPNELLYGRAGYLWACLFLNEHLSEKTIPAEHIVC* >Brasy3G106900.1.p pacid=40041888 transcript=Brasy3G106900.1 locus=Brasy3G106900 ID=Brasy3G106900.1.v1.1 annot-version=v1.1 MIKEFSRNDLKHGHEYGLRNLKSLSNTCYGICISDGASTSRSSSFSDSLESSFKDCLPGHVLKDICNMYQIFCSTTDQAVASRTGDLVLDVLNCVRAASDNMDASQFADSSGYLYADASDSRDVDDALRHTQSVSEGGEGTVNHDAVATAIPLNADSTLKIDDPDAIPDLSDAAMSDCPTVVVNSGVSESDALGCAGVNAATNSEDLHRINASMGPHTNEYDCKSANMVNIQTLIDQPNQIDNFELDLEAAKIDVEHQGAIHVNDPVIPGCDSKEPDLADTAVLKMGVIDPRQTMSKFERMKLDRKLRLPDRPNSNLGINHAGYEFTFGPGVDEFGDCMEYPDKAVAVNVNTDPFKSHHLEAPCIDKDVARTDHPSTQLHNTRHVSDLCNKENINPNKVIGELNIVRNNRDKGRSESYKEYPITQLHNTNFISNMRKENSNQNKFIGVSNIACNNQDKGRLEPHQDDVIINLSAPQLAMNAGISHNQNGPQNHYQTVSKKASTTHCDHVDLTSPCTPDVEITGERFFSKECNFLSNRADIIYNKCLKPTSAFVESASSSGHNKKLFVPRRHVAPSHFHLSPYEDNRLKSKVTSHAVSYHATIVKLSEDDDIKYNTAIDLDRVRLTLFSFGESFKFRGRVDIWTMNGFCRLLGLQAPPSKSRKNYFFSTVGDLILRNWDCDQKLRDILKSVDKSFDYLRKYISKCDLVFIPCLHRVHWFVFVVDFPAECFTILDSYFKEGSEYHKHTRNLLIPNFSEVWAKYTHMNIDFTKFRVEHPIVPMQNNLDDCEIFCMKFLQLFSPRSHLKDKFSFRDINNFRVQISNDMLFSRHNTSFRVRNMVTDQSEDFLDWFQRAEVQRRTVPIFLCFLS* >Brasy3G006800.1.p pacid=40041889 transcript=Brasy3G006800.1 locus=Brasy3G006800 ID=Brasy3G006800.1.v1.1 annot-version=v1.1 MPTAHTATPMKIRATGYWPRHISHQPARSSRSQHQAASQRPPPIGRRTKPTELALPATASSTPLTALPTLASRRPPKEQAQPKLVPFELMTARTLLKHMDLESGPAAVQHVQSTTAPPSSGLRSTMPACSSRPWHAATKDAGSPPTRGPPSLHPRRRQLAANIRAAPRSRGERAASTRGVKILGETGTRPEVEVGEEEEVARTSAAAHRRDAPPPSNAAANRKVEQREGRGRGSTAQQRRGEGAGEVPPPSPAGGRPPATAARGAQGGRRRLGFGPRGSPRESPGAAHETSLISLIGNLTEPSRSVDLKQNKKEL* >Brasy3G003700.1.p pacid=40041890 transcript=Brasy3G003700.1 locus=Brasy3G003700 ID=Brasy3G003700.1.v1.1 annot-version=v1.1 MSYQQGAPPAGAAGYPPPGQQQAYVAPPPPAGYPQAQDQQQQYPAGAGAETTSRGHHHHHHHGGGFWRGCCAALCCCCLLDACF* >Brasy3G120500.1.p pacid=40041891 transcript=Brasy3G120500.1 locus=Brasy3G120500 ID=Brasy3G120500.1.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.5.p pacid=40041892 transcript=Brasy3G120500.5 locus=Brasy3G120500 ID=Brasy3G120500.5.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.6.p pacid=40041893 transcript=Brasy3G120500.6 locus=Brasy3G120500 ID=Brasy3G120500.6.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.7.p pacid=40041894 transcript=Brasy3G120500.7 locus=Brasy3G120500 ID=Brasy3G120500.7.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.8.p pacid=40041895 transcript=Brasy3G120500.8 locus=Brasy3G120500 ID=Brasy3G120500.8.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.2.p pacid=40041896 transcript=Brasy3G120500.2 locus=Brasy3G120500 ID=Brasy3G120500.2.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.4.p pacid=40041897 transcript=Brasy3G120500.4 locus=Brasy3G120500 ID=Brasy3G120500.4.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G120500.3.p pacid=40041898 transcript=Brasy3G120500.3 locus=Brasy3G120500 ID=Brasy3G120500.3.v1.1 annot-version=v1.1 MYRGGLDRFKKAKALEPFSVQQSSSSAKNTPAAAGTAKVPLAPLTLPQSTHVGPSQSQHAPQSAGSSMPGQEAGAPGPAGTQLGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVIGRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERITKDSPVEFEVGQSLRFAASTRAYILQKNTAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPSRSKRSSREDSGADDDNQTVGRLPKRSKKLRVSFRDQVGGELIQVVGISDGVDVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKDQTSPKESASQSGVTDKLQQVLNKVKSTTRGGIYGDLYDDSVPAKLGSSWAYKSDNQSEQVKAVDEKKSSRNADTNSADDSDDLFGD* >Brasy3G025400.1.p pacid=40041899 transcript=Brasy3G025400.1 locus=Brasy3G025400 ID=Brasy3G025400.1.v1.1 annot-version=v1.1 MTLAPLFCASIHPLSKSVLNQKQEEVNQLMELSSRIFLAALLLPAAIEVGPVMVAEAQEELLCEECQDFPFLDCEEGNCQCGSKPPN* >Brasy3G148400.1.p pacid=40041900 transcript=Brasy3G148400.1 locus=Brasy3G148400 ID=Brasy3G148400.1.v1.1 annot-version=v1.1 MPSNMQPSGPPQPPRYPMLGSTAPPQNMGPPMPMQFRPAGPPQQPPQFIQRPPQQFQPVGQAMPGANMGMPRSMPHFPQPGQHIPHSGHVPPAPQAVPTVYQADRPMSLVPMQPQQQAVFPGGHMATMGACMPPTYTFQCQPTSVPPVAQPRGTAPGQSIPLVPLVVQPGHQSVSASSVSNAGPLVSSTEPSSSDWQEHTSLAGKKYYYNKRTRQSSWKKPAELMTPLERADASTEWKEFTTAEGQKYYYNKLTKQSKWSIPDELKVAREQAEKALNQQPDRVTETTTSAPVGSTSVPVEPSCLPANQFSSEVGIIASSTHHRIANLPLPPVNGLSYNADITSSYVVMQTGGTSTAVVAPVTTTIGVSSVATDSGTSRKESSSQTIITDTKAGASAEDLEEAKKTMPTAGKIVVIPMEEKRSEEPVIYANKLEARNAFKALLESANVESDWPWDRAMRVIINDKRYSALKTLGERKQAYNEYLNQRKKIEAEERRVKQRKARDDFLTMLEECKDLTSSTRWGKAITMFEDDKRFNAVEHPRDREDLFENFLVDLQKKERAKAAEEHKRHVVEYRVFLESCDFIKANTQWRKVQDRLEDDERCSRLKKIDRLDIFQEYIRDLEKQEEEQKWIQKEQARRQERKNRHEFRKMLEEHIADGTLTAKTHWRDYSAQVKDSCAYLAVASNTSGSMPKELFEDVMEELHGRYQDDKTLIKDEVKCGKIHMTTSWTLEDFQAAVTEDDNCKGISNINIKLIYDDLIGRLREKNLKEAKKRQRLGEIFLDLLYSIKEISAISTWDDSKPLFEDSQDYRALDSDAYARELFEECVIHLKERLKEKKRMREEEKAKKEKEREERKKKKEIEKEKKEKERKEKERERGKKREKGQSKRDEMEIDGSDMDIRRSKDKKRGKDKKKKHKRRYDDTTDDVSSERDEKDDLKKSKSHSSDRKKSRKA* >Brasy3G347000.1.p pacid=40041901 transcript=Brasy3G347000.1 locus=Brasy3G347000 ID=Brasy3G347000.1.v1.1 annot-version=v1.1 MAKQMKNGGLLLLSVLLMSCLATPSPICGRTIEIVASTNADGSNGIIIKSVRCTQPDGYQWCCNNKHLCFPSERECMQGCA* >Brasy3G075600.1.p pacid=40041902 transcript=Brasy3G075600.1 locus=Brasy3G075600 ID=Brasy3G075600.1.v1.1 annot-version=v1.1 MAPAPAGPGGDGGDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDAPSVADFDAKLKANGADLPDYFVRTLLTIIHAILPPPPSRNPSSSAAQSGSKFSALSRPDDPDRARDLRLELERDASAAAGAAADAPAHSSRDRRGDDRRQDRDHDRPRDDDRRRDRDRDRDRDDRRRDRDHGRGHGDPSRDQGRDRDHNRDRDRGRAHDDDDQGRDRDNNRDRHRGRDRDSEGDRGGNWRRDQDRRHEKDRDTDRDDRRSRKYVDGDGEQERGGGRKAENAGANFSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMASRRVANAKELVKRDQEVFVKVVSVKGEKLSLSLRDVDQDTGKDLLPMQRGAEDAQRNNPSGASGGAVGSGRRLGLSGIVITEEDEVAPISRRPLKRMSSPERWEAKQLIASGVLDVRDYPQFDEDGDGMLYQEEGAEEELEIELNEDEPEFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQTLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVVMLDEAHERTIHTDVLFGLLKQLVKRRPDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTYPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFEPAPLGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVAAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFYKSSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA* >Brasy3G212100.1.p pacid=40041903 transcript=Brasy3G212100.1 locus=Brasy3G212100 ID=Brasy3G212100.1.v1.1 annot-version=v1.1 MTARRRQLLLRRAYHEFMTAGISRSGGWCCAGGLTSRGSGHSDFSADEVEGGSAEDDSEQIRFTSPPSPFFLGNDDRWEEEAGETGREEEAGETGREEEAGETGWEEEAGETGREEAGGRNWTQ* >Brasy3G117000.1.p pacid=40041904 transcript=Brasy3G117000.1 locus=Brasy3G117000 ID=Brasy3G117000.1.v1.1 annot-version=v1.1 MWFSRFGCRRRLMYRLVAAGCRFSGGSNRFELFRDRSPVILPSHDTNLLPSHDTNLDDIVFMPPKNEVDSERSPVNLRAHDANMDGIVFMPPNPKHQPAKYCRVGHGRGAAQSIFYRNLCTLPKQGDNSNLPLCDDHHNTQIEPSSYGSKNYDIDTGKAIEENNSNLPLCDDHHNTQIEPSSDGSKSYVIAAGNSQMLIQKIKEAVEENNRMLDKSILQKRFDMVLRPVLAPRFSFFRREKRTDDPEEWDKFMSILTSRRVFVVDDWVSNVGNIETTFYYHSIKGAKDVEFYRGEIDASFYDGVDGSLGTAVLSFIVKKGVEPIHAEIFEDVPCASIHAAEAYAALALCFYCSENEIKDLELWTDNNDIHGAICGSLTLRDVRKDKDLLLLLRSASTKFDRLISMNKRREQLFLADDLAQSSRKSKAAAVRLEDYLKKWDYELRGKALFRLSQTPLFGQILKDLKNKPYIGRPQTKYFMEVTQISKLEVISLLIETLCPETIHFAMKNQGKANDFISQLEVVANTSMTSKTMMDDHSLLQFGETGTRKLLIVIDAAVPAGSYDHLGFFTIILVGPEEKALLDPKIEEASALTYVYFKGVLSGNRTAHAGQTKATGNAKTGQKKATGNVAGHKKATGNVAGHKTATGNVAGHKKATGNVAGHKKATGNANSGQKKKK* >Brasy3G117000.2.p pacid=40041905 transcript=Brasy3G117000.2 locus=Brasy3G117000 ID=Brasy3G117000.2.v1.1 annot-version=v1.1 MWFSRFGCRRRLMYRLVAAGCRFSGGSNRFELFRDRSPVILPSHDTNLLPSHDTNLDDIVFMPPKNEVDSERSPVNLRAHDANMDGIVFMPPNPKHQPAKYCRVGHGRGAAQSIFYRNLCTLPKQGDNSNLPLCDDHHNTQIEPSSYGSKNYDIDTGKAIEENNSNLPLCDDHHNTQIEPSSDGSKSYVIAAGNSQMLIQKIKEAVEENNRMLDKSILQKRFDMVLRPVLAPRFSFFRREKRTDDPEEWDKFMSILTSRRVFVVDDWVSNVGNIETTFYYHSIKGAKDVEFYRGEIDASFYDGVDGSLGTAVLSFIVKKGVEPIHAEIFEDVPCASIHAAEAYAALALCFYCSENEIKDLELWTDNNDIHGAICGSLTLRDVRKDKDLLLLLRSASTKFDRLISMNKRREQLFLADDLAQSSRKSKAAAVRLEDYLKKWDYELRGKALFRLSQTPLFGQILKDLKNKPYIGRPQTKYFMEVTQISKLEVISLLIETLCPETIHFAMKNQGKANDFISQLEVVANTSMTSKTMMDDHSLLQFGETGTRKLLIVIDAAVPAGSYDHLGFFTIILVGPEEKALLDPKIEEASALTYVYFKVLSGNRTAHAGQTKATGNAKTGQKKATGNVAGHKKATGNVAGHKTATGNVAGHKKATGNVAGHKKATGNANSGQKKKK* >Brasy3G211900.1.p pacid=40041906 transcript=Brasy3G211900.1 locus=Brasy3G211900 ID=Brasy3G211900.1.v1.1 annot-version=v1.1 MGTLSSFAALAALLAVLAVGGGAVEFNVTDATPADYGGAGLNSSEAAVYWGPWTPARATWYGQPNGAGPDDNGGACGFKHTNQYPFASMTSCGNQPLFKDGKGCGSCYKIRCRKDQSCSGRTETVIITDMNYFPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPLTGQWTRMRESWGSIWRLDSNHRLQAPFSIRITNESGKRLIAREVIPANWRPNTFYRSFVQYS* >Brasy3G291300.1.p pacid=40041907 transcript=Brasy3G291300.1 locus=Brasy3G291300 ID=Brasy3G291300.1.v1.1 annot-version=v1.1 MSAAAKPSRRPHVLVVPFPSKGHLLPLLDFAHLLSTRHQIPLTVAATPSDLPLLSAFLSSTPLAAALPLPLPPLEHPAHHALLAAPLAALRGPLVSWARSHHHPPTAVLSDFFLGSAQLVADDLGLPRVAFYSSGAFATAALDHLWHGTLPLDPDSPVALGALPGSPSFPYAHVPSVVRSFVPGDPDWELAREGFLLNSRAWGAVVNTFDAIEGEYLEHLKRRFGHGRVWAVGPVADTGCRGAEERSSTAAEAEDLRALFAWLGDCPARSVIYVCFGTMYSPSPAQAAALGAALEASGARFVWAVGAAAAPALLPEGMEERLSSKGRVVRGWAPQVEILRHAAVGAFVTHCGWNSTLEGVAAGKTLADQFIDARLVVDVHGAGVRAAEGEAAVPDPAALARVFADAVDGGGDRAELAGVRDRARALAVAAAEAVEEGGSSWVDLDTMVKELEAVAAA* >Brasy3G209800.1.p pacid=40041908 transcript=Brasy3G209800.1 locus=Brasy3G209800 ID=Brasy3G209800.1.v1.1 annot-version=v1.1 MGWMRFMHVCSDKTLRRWFFIDKRFTAILGGSLVLLMDLKTSLNSPVFVDPLPSLSLPSAVMTYKTPTSFPASGLYLNTPKKKPLPSKIEEVRAAGWLDLMLASSPPRKRQGKEFLPNDIQADDLDLRYRNWMVKYPSALTSFEAITELARGKRLALFLDYDGTLSPIVDNPANAVMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSESNGLPVECVRSTDSEGKEVNLFQPASEFLPMIAEVFHNLSESIMDIDGAKMEDNKFCVSVHYRNVAPHDYEKVHQRVTNVLKDYPCLRLTHGRKVLEVRPMIDWNKGKAVEFLLESLGLSESDDVLPIYVGDDKTDEDAFKVLKANNRGFGILASSVPKDSDAFYSLKYPAEVMEFLSKLAAWKEESS* >Brasy3G039000.1.p pacid=40041909 transcript=Brasy3G039000.1 locus=Brasy3G039000 ID=Brasy3G039000.1.v1.1 annot-version=v1.1 MDPVPTSAETPRSSEDEAAAFFRAAPPLRDRDAVAASLAAFVARHSRSHSAGTGGGPVGVVCVTSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFIHRRGSKQPYCRFLPEDSFLDVFELGEGSEIQVPESHTTVVKTAISSYRKAIDEGLLLKLPFTTIFEYLQLLQLVSTAMNCLEHRGMFYFAAAVSDFYVPWESMAKHKIESAGGPLNMQLSQVPKMLFILRKHWAPKAFCVSFKLETDPDILLHKAEMALRKYGMNVVVANELANYKDVVVMVTSSGRKTVRRQSKEDDLEEQLIDILVKMHSDHITQPHSYQET* >Brasy3G206800.1.p pacid=40041910 transcript=Brasy3G206800.1 locus=Brasy3G206800 ID=Brasy3G206800.1.v1.1 annot-version=v1.1 MEFRFSGLRAVKVPVVSDRLLIKIISVVNGTTIAKSSKAAALNGICQWPKSILVPMWFSQDEVSKEFHERQCKIVVSMGSSKTAVLGEAFLNLTNYLSSSDSTAISLPLKRCNSGTVLQLKIRCLGGRSKPSPAEDDMGIKSDGSNSILNKSAESLSEIHSGSVCQDEAGNGDASFSAPDSHRNSNSGDGTTNREVPSPRDNSNEGSLMGRQDSASYASHDYADHGDDSSRSILDTSEETTEDGKRMSERHSGNFKIETETVNNECSDKPKQQAELALEFSASYSEQDSLRQEMEELKSSLGEVTAHQTIEGNPKSGGAIVLQNEVIDEVQFLKLSNANLTAQLSKTQEANIELVSILQELEETIEIQRVEMSKVPHVNDVVDHEVSKSDLTVQEAAELARMLSLKEDEITMLREKLDRILNIEKVDGAGPDAIYLELEKENDFLKVKIQELENDFSELTEENLKLIYKLKEVNGIAKGEDPCISNSEEMSSVERSTSNVKDLERKCADLELNLLNFRSEFSGLEENFQKSQEELKERTLELSELREKLFHATELEGLESGSARRDQLGSGEPGDSETDLDLMKHSVLLKEQEIEGLQHSAKEMENIISDIQKEKNQLEERLAASLKESSMTLKSLDEVREDLIVLTSSLDSHVSANKVLENKISELESSKIGLESHVSKLENENIELSEFISELEAQLTSLTSENESTKLQMDDYRSLIINLKDEVDQQQSEMGSQKLHVSKLEHENVELSEFIFEMEAQLTSLTSEIESTKLQMDDSRSLITNLKDKVEQQQAEMEAQKLHISNLEAQKLHVSKLEDENIELANFISELEAQLTSLTSENESTKLQMDDSNSLITNLKDKVEQQQAEMEAQKLHVSKLEHENIELSKLKSELEAQLTSMTSENESTKHMDDSGSLITNLKDQVEQQQTEMEAQKLLVSKLELENIELSKLISELEARLTSLTSENEPSKQMDDSGSLITNLKDQVEQQQAEMEAQKLLVSKLEHENIELSKLISELEAQLTSLTSENESTKLQMDDSGSLSTNLKEKVEQQQAEMEAQKLHVSKLEHENIELSKLISELEAQLTSLTSENESTKLQMDDSGSLITNLKDEVEQRQAEMEGQKLHVSKLEHENVELSKVISELEAQLTSLTSENESTKLQMDDSGSLITNLNCKVEQQHAEMEAQKLHVSKLEHENMELSRFISELEAQLTSLTSENESTKLQMDNSRSLITNLKDKVEQQHAEMESQMLDLKQKYLESQRRLSEVQEDSEALRRSNAKLQATADSVVEECSSLQTLTADLKMQKLELHGRSTQLEQELDQSKRKMMDFCKTMEFLEVKLSSLQKDITSKEQSLLAELENIFQEHKEHEERINRAHFLLNKIENENIAEVKNLEREVMSLTAQVSSTDGERGSADLDSIHEVSILRADKAKLEANLEDANAQMRHYESQLEDLRKESTTKIKGLADSLNASKQNEGMLTTDVEHMRGLMEAARSNEESLRKTSDELELRYKSSDYEKQQIMEEICGLKAQVNKMTSLQDEVFNLKSSLDQAKFEKGKLEELLQSLSEECEEVKAQKAMLTDKLSCLQSTLHDAGDENHSKSMQEKLIINQGNDDVANGNGSTPVNEDPDIQSKIQSLETRLAEALEENKLYRAQLQSPTEEGQSSNRDEMDNNGNSKIAQLESELNDMQERLLTVSMQYAEVEAQREELVMELKNANAKKGRWF* >Brasy3G315200.1.p pacid=40041911 transcript=Brasy3G315200.1 locus=Brasy3G315200 ID=Brasy3G315200.1.v1.1 annot-version=v1.1 MVDSGFIEFNLDYQKTQKLAIGDTLSKSIRKDGAPSKSHAKRTESSKTIFGGRNASLCYFLKQSDLKSLWAANGGVVTVVCGVILRHDDNPITVPAPNIGFHLRDMMGCTDGSDVSFSVGGETFHVHRAMLAARSPVFKAELFGSMAEAKLPCVTVCDALPEGEELDGSPVDIFQHLIAAADRYMLDRLKLVCAHKLWETVSVDTVSVILTCAETYNCQELKDNCMDYFVAENNFKSAMLTESFAHLVLKFPSIITELRKKAGLDSGVIKLV* >Brasy3G015900.1.p pacid=40041912 transcript=Brasy3G015900.1 locus=Brasy3G015900 ID=Brasy3G015900.1.v1.1 annot-version=v1.1 MGKEALDYVLVPLGLALMVGYHGWLLLRIRRRPATTVIGVNAINRRIWVRHVMEEATGKHAVLAVQTMRNNIMASTLLASTAITLSSLIAVLMSSGGCGSSPSSSSSSGLLPDAPLVVGATGAGALTAKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPLLRRSSRRSVAAVDYVTGTLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACVVMVCALYFLDVCDDWELEEEDDGERSGQGKKKNDAAGEQQV* >Brasy3G318300.1.p pacid=40041913 transcript=Brasy3G318300.1 locus=Brasy3G318300 ID=Brasy3G318300.1.v1.1 annot-version=v1.1 MEDVLDSGAAAVHFSGLRLVSRSLSSSSAGFSVIPPSPSSCNGTARQQPFVIGVTGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYHGLTEEQSEHVQEYNFDHPDAFDTDQLLECMGKLKAGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERARDVLSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIQTKLGQHNLCKMYPNVDVVHSTFQIRGMHTLIRDQDITTPDFVFYSERLIRLVVEHGLGNLPFAEKQVVTPTGSVYSGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDDGQQLIYHKLPMDIAERHVLLMDPVLGTGNSVNQAIELLIRKGVPEDQIMFLNLISAPEGIHSVCKRFPRLKIVTSEIDLGLNEEYRVVPGLGEYGDRYFGTD* >Brasy3G297000.1.p pacid=40041914 transcript=Brasy3G297000.1 locus=Brasy3G297000 ID=Brasy3G297000.1.v1.1 annot-version=v1.1 MAHGGDLEPLRAGAAALPSTSDPDSPSTPRRNRVRELLRNLDRRLSSRGRHHPSDGATTPPAATGAGEAGTARREEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVSERPPWTWRKILFVPVASPRYLGFAKCVVFVKY* >Brasy3G057800.1.p pacid=40041915 transcript=Brasy3G057800.1 locus=Brasy3G057800 ID=Brasy3G057800.1.v1.1 annot-version=v1.1 MEEVSWCPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVSPPPAAAAAVSPETVRECQGAEPPALSSVSGMLRLAKSGAAGRRLVLESGAVAVLLRRVAGGDEAAARALVYLSLDGDDARVGLVADGAVDALAAAVSGGGAAAAHAATALTSLATVGVNKCTIAAHPTAVPALAAALWRGGAPRERREAATALYELCKLPENRRRAVRAGAAPPLVELAGAGSARAVEVLGLLAKSRECRQELARIPDIVPVLCAVAGSGNARAVDQALVVLNWICSESHRLAKEAIKLGAFQLCEALVKDDNCKIAKNAVELARTLEEA* >Brasy3G227500.1.p pacid=40041916 transcript=Brasy3G227500.1 locus=Brasy3G227500 ID=Brasy3G227500.1.v1.1 annot-version=v1.1 MSSHQELFMEVSKAWTGNDSGRTLFGGDEQGQIVASGGSTGMITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLVTSTKAFLKGVATWKKETLIKREATKQSEQTSEQLEYTLPPSGPDGTASKAPSDEAVSIWKNIYWKESGLLAFVWVAFLALQITKDYVATCSTWYWVLNLLQIPVSVGVTMYQAVGLAQGKRVISSKANNKTSLKAYQLFVYCFLGLTAGSMGGLLGVGGGIIMGPLFLELGVPPQVSSATSTFAMMFSASMSVVEYYLLKRFPVPYAAFFSIVAFLAAIVGQRVVRKIISWLGHASLIIFVLSFMIFISALSLGGVGTSNMIHKITQHEYLGFENICKYDA* >Brasy3G227500.5.p pacid=40041917 transcript=Brasy3G227500.5 locus=Brasy3G227500 ID=Brasy3G227500.5.v1.1 annot-version=v1.1 MITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLVTSTKAFLKGVATWKKETLIKREATKQSEQTSEQLEYTLPPSGPDGTASKAPSDEAVSIWKNIYWKESGLLAFVWVAFLALQITKDYVATCSTWYWVLNLLQIPVSVGVTMYQAVGLAQGKRVISSKANNKTSLKAYQLFVYCFLGLTAGSMGGLLGVGGGIIMGPLFLELGVPPQVSSATSTFAMMFSASMSVVEYYLLKRFPVPYAAFFSIVAFLAAIVGQRVVRKIISWLGHASLIIFVLSFMIFISALSLGGVGTSNMIHKITQHEYLGFENICKYDA* >Brasy3G227500.2.p pacid=40041918 transcript=Brasy3G227500.2 locus=Brasy3G227500 ID=Brasy3G227500.2.v1.1 annot-version=v1.1 MITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLVTSTKAFLKGVATWKKETLIKREATKQSEQTSEQLEYTLPPSGPDGTASKAPSDEAVSIWKNIYWKESGLLAFVWVAFLALQITKDYVATCSTWYWVLNLLQIPVSVGVTMYQAVGLAQGKRVISSKANNKTSLKAYQLFVYCFLGLTAGSMGGLLGVGGGIIMGPLFLELGVPPQVSSATSTFAMMFSASMSVVEYYLLKRFPVPYAAFFSIVAFLAAIVGQRVVRKIISWLGHASLIIFVLSFMIFISALSLGGVGTSNMIHKITQHEYLGFENICKYDA* >Brasy3G227500.3.p pacid=40041919 transcript=Brasy3G227500.3 locus=Brasy3G227500 ID=Brasy3G227500.3.v1.1 annot-version=v1.1 MITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLVTSTKAFLKGVATWKKETLIKREATKQSEQTSEQLEYTLPPSGPDGTASKAPSDEAVSIWKNIYWKESGLLAFVWVAFLALQITKDYVATCSTWYWVLNLLQIPVSVGVTMYQAVGLAQGKRVISSKANNKTSLKAYQLFVYCFLGLTAGSMGGLLGVGGGIIMGPLFLELGVPPQIRLVCIPINSAGLKCYINLCYDVLGLHVCRRILPLEAVPCTICCLLLHSGISRCDCWPASCKEDNQLVRACIAYHLRIVLHDLHQRTFSRRSRHF* >Brasy3G227500.4.p pacid=40041920 transcript=Brasy3G227500.4 locus=Brasy3G227500 ID=Brasy3G227500.4.v1.1 annot-version=v1.1 MITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLVTSTKAFLKGVATWKKETLIKREATKQSEQTSEQLEYTLPPSGPDGTASKAPSDEAVSIWKNIYWKESGLLAFVWVAFLALQITKDYVATCSTWYWVLNLLQIPVSVGVTMYQAVGLAQGKRVISSKANNKTSLKAYQLFVYCFLGLTAGSMGGLLGVGGGIIMGPLFLELGVPPQIRLVCIPINSAGLKCYINLCYDVLGLHVCRRILPLEAVPCTICCLLLHSGISRCDCWPASCKEDNQLVRACIAYHLRIVLHDLHQRTFSRRSRHF* >Brasy3G006300.1.p pacid=40041921 transcript=Brasy3G006300.1 locus=Brasy3G006300 ID=Brasy3G006300.1.v1.1 annot-version=v1.1 MEGRTALCFFLVLVLVGNCVHAYSCRKNQNLDTACIPRYVRRFCEREYPGKVVKEAYCWGFFPFLFKICIMCG* >Brasy3G144500.1.p pacid=40041922 transcript=Brasy3G144500.1 locus=Brasy3G144500 ID=Brasy3G144500.1.v1.1 annot-version=v1.1 MDSTVDELSASYKEFVTAALAVMEARELSGGQKTAATDLALESFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSSSSAPASAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGPSATGATGGGGGVANSAAGAGVQHGQGGLDPRFPEDGTQ* >Brasy3G058800.1.p pacid=40041923 transcript=Brasy3G058800.1 locus=Brasy3G058800 ID=Brasy3G058800.1.v1.1 annot-version=v1.1 MFLFDKQDEEDDFSGVDKGSRAEIDDVAELIFQAAQDRRCLMIFYNGSDHEIDLSTSGLPVFDSRNKVLWTFRGRFRLDPAITDKVKSADVFLSAYPSYNIWYHDELSKVVHEEAAQVSHDINPAAITDCWFYLSLPHYNHDNSIDYDRYNHASNYWVCDGIISRNSAWEIGERLYESMRLEYLPTKQDDIEWFQRFSDQQTKKKHQRM* >Brasy3G300700.1.p pacid=40041924 transcript=Brasy3G300700.1 locus=Brasy3G300700 ID=Brasy3G300700.1.v1.1 annot-version=v1.1 MNPPMEAAAAPAVASSGSGLRCSLDPVPKQQRRSARVGFSTKRPPCAVNCRGLLLRPSSRVYVAASASKSAVMDNGAGDSRVRRKRLAVFVSGGGSNFRSIHEAALGGRVNGDVVALVTDKPGCGGAEHARCNDIPVIVFRKSKLSSEGVSVTELLNALRNLKVDLILLAGYMKLIPGELVQAYPRSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEQYDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRILWREDGVPIIRSRTNPDEYT* >Brasy3G210200.1.p pacid=40041925 transcript=Brasy3G210200.1 locus=Brasy3G210200 ID=Brasy3G210200.1.v1.1 annot-version=v1.1 MAARAQGLDLVDDEEAGQHGAAVEYHELAAAHVDGVVLRVVMMMVSVVVRGADAPRDDAAHQHRPSARGGARVGVGSAAAGEGADRGAGRAEAAGGHAGAEDAPRAGACGGPALEAHHLVAVGAAPRRGPVRAGGRPGFLEPRHGPRRAVHPEHRLHLRPRLRGGPPGREDQLHHPPRGVAARQLRHRQERRARRRRHGRRHEQRGSLDLLLRLLLRWPRHRRRHRLLLLGPRPPLPPRRLQLRPGAPRGGNRVPHVRRGGGARDCGEERLALPAWRRRDRGVRHALPRTEQRAALAGCLVQSAFTPALCASSRRQRALWLGLARLDGWWPRLSLAWFGSGRYYGGADLFATTVTCAPLCH* >Brasy3G135700.1.p pacid=40041926 transcript=Brasy3G135700.1 locus=Brasy3G135700 ID=Brasy3G135700.1.v1.1 annot-version=v1.1 MASSAADPPAAQVQPYVVEDCPGLLQLLSDGTVVRFGPPPFPTVDDVDDGRVEWKDAVYDTDRGLGVRMYKPAAGGGGPEEHTKSKKLPVLVHFHGGGFCVGSYAWPSFHAGCARLAAELPAVVLSFDYRLAPENRVPAAYEDAAAALLWLRGQLASNVNPWLADAADARKVFVSGEATGANLAHHLALRSGTADDIAGLILVTPAFLSEQPTRSELDTPATAFLTRELCDALCRLFLPAGADKDHPLINPLGPESPGLDPLLDVAVLVVAAEGDLLRDKNVEYAERLRALAAAAGKGKDEDHVELVVFAGEEHGFFGLKPTSEAAGELVRLIARFVATSVSRSSGSQVISS* >Brasy3G280600.1.p pacid=40041927 transcript=Brasy3G280600.1 locus=Brasy3G280600 ID=Brasy3G280600.1.v1.1 annot-version=v1.1 MLRSVSGSTCSRGIAAVVGVGPGLGSAVARKFASEGYTVAILSRDLDKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACDPPPPPASRPTPFLSVSPDAFHRAMSVCAAGAFHCAQQVIPAMVERGRGTIIFTGSSASVAGFAGYSGLSCGKFALRGLAQSLAEEFQPAGVHIAHVIINGVIGDRRSQRGNNKQAGAAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSFM* >Brasy3G323800.1.p pacid=40041928 transcript=Brasy3G323800.1 locus=Brasy3G323800 ID=Brasy3G323800.1.v1.1 annot-version=v1.1 MMACGSRHNNAEVVDEFEKLVIRMNPPRVTVDNESDITATLVKVDSANKYGTLLEVVQVLTDLKLTINRAYISSDGEWFMDVFHVVDEEGNKLYDGQVIDGIEQSLGAGSLSFRATDAEAAASSPAAAMAQSTAIELVGRDRPGLLSEVFAVLSNLRCNIASSEVWTHGGRVAALVRVTDADTGAGIEEDDDPDRLDTVKRLLRHVLRGGGGGRDRKAAAAAVAVQTRPGGLHAHRRLHQMMSADLRGRTAAAGAGDEEEEEEGEDCEGVVVGVEECAERGYTVVNVRCRDRAKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDGGAASSSAADRARLRRCLQAAIQRRNTEGVVGLELRCEDRPGLLSDVTRVFREHGLSVTHAEVATWGTQAADVFRVVTASGDAPVPARAVDAVRAEVGEDILLVKDDTLAGASAANAVGGPVSPTGRGGDGRRSLGNMIRSRSEKFLFNLGLIRSCS* >Brasy3G323800.2.p pacid=40041929 transcript=Brasy3G323800.2 locus=Brasy3G323800 ID=Brasy3G323800.2.v1.1 annot-version=v1.1 MMACGSRHNNAEVVDEFEKLVIRMNPPRVTVDNESDITATLVKVDSANKYGTLLEVVQVLTDLKLTINRAYISSDGEWFMDVFHVVDEEGNKLYDGQVIDGIEQSLGAGSLSFRATDAEAAASSPAAAMAQSTAIELVGRDRPGLLSEVFAVLSNLRCNIASSEVWTHGGRVAALVRVTDADTGAGIEEDDDPDRLDTVKRLLRHVLRGGGGGRDRKAAAAAVAVQTRPGGLHAHRRLHQMMSADLRGRTAAAGAGDEEEEEEGEDCEGVVVGVEECAERGYTVVNVRCRDRAKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDGGAASSSAADRARLRRCLQAAIQRRNTEVYKFINSDKSPLQTNNNVSEF* >Brasy3G098600.1.p pacid=40041930 transcript=Brasy3G098600.1 locus=Brasy3G098600 ID=Brasy3G098600.1.v1.1 annot-version=v1.1 MSATTAAVPFWRAAGMTYIGYSNVCAALVRSCLKEPYKSEVAAREKVHFSLSKWSNEKQEKPTVRSDNE* >Brasy3G294300.1.p pacid=40041931 transcript=Brasy3G294300.1 locus=Brasy3G294300 ID=Brasy3G294300.1.v1.1 annot-version=v1.1 MGASLNSQFIKAYAVSSGSILQSKKDIGMASCIEGPLFVADGTSYQEPNGKSILAHGYVSIRFGVSSTGKKAPTSPCGGDSGGDEASPRETTRAHPSSSPPPVDAGGKSPRGAGGGGAFYSVAAAGGRQRAGGRWPPGRAAGAAAFSGQIRRLANRIQWPLRQIRVFFVGSAPRRCWLLHRPPSGSLVEGGGGRNSPVRLVPGRTSPSVGPPCLLRALGSSAGPFIPCRAGPAGLRPGPIATCSWERGAWIWCFTSRGQPDLALLGGFGKQQRGPLERVAERLVIPFLGSVVVPEVGVGGGARGGFVRGLRLVIPFLGSVVVPEVGVGGGARGGFVRGSRLVIPFLGSIVVLEVGVGGGVAAGSSGDSWCSGAEVKFWCSERHFVLIHLDLDLVLLNVSTGSMTILPSPTESYEAFVMHVVGEGVFQIFGLSSPSPLVLRIGYCEGKGWEDEDLKNQLPFELCKTSAVVLIDDELYSLGKDGSVGYYSREGWIVIPSTEPAVFEVAGRFTFESNGAFHCLLHGPDNFDAMVLNLDERLWQRTDNLADLSIFSTHIRSYAFEAEVEGSVTLGMVKQSSGVIKSSIVDTSDSIVLLLDRRHKALLEENIGSSLLNFQYPGAAEWEDPEYSYPPSSVWINPEHFIIMLI* >Brasy3G326400.1.p pacid=40041932 transcript=Brasy3G326400.1 locus=Brasy3G326400 ID=Brasy3G326400.1.v1.1 annot-version=v1.1 MVVMRFRPQLIFFSLCRLPKPARTLAAPPLAAARRRFPAAPLPSSYSYSHMASASPSEDALRRALAERQAAVDAQAEAVRALKASGAQAGVDAAVEALKALKVEAGAAARRLQAAVGSGGGAAREELRQVIVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLTLSPEKAAEFKHVLAVLDDLSPEELGAKIKDYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFADVADLEFLMFPRELQLSGDSAKLMKLAEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLKAHSEKSGVPLVAHEKFAKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALDSKGETNFQVCTLGKDVVITKKMVSISMEKKMEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVLAKELTAAGISHIIDTTGISIGRRYARTDEIGVPFAVTVDSATEVTIRERDSKQQVRVGIDEVASVVKELTEGQSNWADISFKYPSHIGPQGDQE* >Brasy3G210300.1.p pacid=40041933 transcript=Brasy3G210300.1 locus=Brasy3G210300 ID=Brasy3G210300.1.v1.1 annot-version=v1.1 MRAREMHPLCCLAGAAEYCPGGGAGDRSPPPPPAPALDGAPAAVAGVLYKWTNIGSGWRPRWFAIRGGVLAYSKIRRRVAAEVTPPRHEAAGVRLIGGAARAAGEQPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G210300.2.p pacid=40041934 transcript=Brasy3G210300.2 locus=Brasy3G210300 ID=Brasy3G210300.2.v1.1 annot-version=v1.1 MRAREMHPLCCLAGAAEYCPGGGAGDRSPPPPPAPALDGAPAAVAGVLYKWTNIGSGWRPRWFAIRGGVLAYSKIRRRVAAEVTPPRHEAAGVRLIGGAARAAGEQPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G210300.5.p pacid=40041935 transcript=Brasy3G210300.5 locus=Brasy3G210300 ID=Brasy3G210300.5.v1.1 annot-version=v1.1 MFSLISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G210300.6.p pacid=40041936 transcript=Brasy3G210300.6 locus=Brasy3G210300 ID=Brasy3G210300.6.v1.1 annot-version=v1.1 MFSLISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G210300.3.p pacid=40041937 transcript=Brasy3G210300.3 locus=Brasy3G210300 ID=Brasy3G210300.3.v1.1 annot-version=v1.1 MRAREMHPLCCLAGAAEYCPGGGAGDRSPPPPPAPALDGAPAAVAGVLYKWTNIGSGWRPRWFAIRGGVLAYSKIRRRVAAEVTPPRHEAAGVRLIGGAARAAGEQPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQFYARFKALLNILTGPRLHP* >Brasy3G210300.4.p pacid=40041938 transcript=Brasy3G210300.4 locus=Brasy3G210300 ID=Brasy3G210300.4.v1.1 annot-version=v1.1 MRAREMHPLCCLAGAAEYCPGGGAGDRSPPPPPAPALDGAPAAVAGVLYKWTNIGSGWRPRWFAIRGGVLAYSKIRRRVAAEVTPPRHEAAGVRLIGGAARAAGEQPVGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQALLNILTGPRLHP* >Brasy3G210300.8.p pacid=40041939 transcript=Brasy3G210300.8 locus=Brasy3G210300 ID=Brasy3G210300.8.v1.1 annot-version=v1.1 MKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G210300.7.p pacid=40041940 transcript=Brasy3G210300.7 locus=Brasy3G210300 ID=Brasy3G210300.7.v1.1 annot-version=v1.1 MFSLISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSEYSLCGVLPCDQNDGSFSTEKLRDRLHAEGVGAAIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVNSGDATIVDNLELQLFKPDCSSSGKCSEYSNESSDDAVKQEIGQVSDEDEFHFYDTRQSFSDCTASPDLKTRHLDHGNEARKFANLLAADKTNEDSFSSSKRRSKLPEPVEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQALLNILTGPRLHP* >Brasy3G210300.9.p pacid=40041941 transcript=Brasy3G210300.9 locus=Brasy3G210300 ID=Brasy3G210300.9.v1.1 annot-version=v1.1 MIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYECGVRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLMFKEQSFLERNPRQVQGFVKYSDGTKVASLMGKWDESMHCIISDDASKVNSHVSHQSAGTTLLWEKNEPPDNPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARNMQESGWRPRWFQRDAEDGTYRYVGGYWEAREQRKWVGCNDIFGNFTDTPKLHTGATV* >Brasy3G343500.1.p pacid=40041942 transcript=Brasy3G343500.1 locus=Brasy3G343500 ID=Brasy3G343500.1.v1.1 annot-version=v1.1 MAAALRLPPLLLPSRAARPTGAAVRGNRRSRAATTRIAAQLDDTTATTASTSSAPSPAPPAGFTPPEPQRFAVKPGQSSNIAGASLALPFRLGTGVFVLGYGVSLVDADEIPPDQYALEFQGRKVKETSKVGQCPRPAKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPKNGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDIIRYLAKTYGDGSVPIMLSLGLLTTITAGLALSGRSGKGSSYTPAKLPAQPIEIWAYEGSPFCKIARETLVELELPHLLHSCARGSPKRQDFFKKYGLFQAPYLEDPNTGVKMFESADIVEYLRATYAA* >Brasy3G118200.1.p pacid=40041943 transcript=Brasy3G118200.1 locus=Brasy3G118200 ID=Brasy3G118200.1.v1.1 annot-version=v1.1 MRARLVRQLARDEKVTTESRSVGPSWSMTKLSTKHRDRFSSARLVGPSPTGSLGGWEVSAGSDGAGAAQISPAPPPSDRRPPPRVRRRLPPHGPPTPFARPRTEAAAVVACPGAPAVASIRATAAAHLHATKAAASGQIEQDPAGSSSLGPCTASCGLSRRRRAPALVAEGLGGAGGGEARELRGGAGSGRVMPESKERAAAGGSVAAGRGRRGERRPDRAVRAKPPGSPVFAKTARFTWFSPVRWPAPPPPPTQKPMPHLTLSPCQIHG* >Brasy3G224100.1.p pacid=40041944 transcript=Brasy3G224100.1 locus=Brasy3G224100 ID=Brasy3G224100.1.v1.1 annot-version=v1.1 MAAVVRSVLQGIKEKGLTGFLRYARDEGYLKCLGDGNLLQTKIHNIGATLVGVDSFGNKYYEKTHDTQYGRHRWVEYAEKGRYNASQVPAEWHGWLHHITDSTGDKLLEEKTKKYIREHRQNYTGQGDDLIYHSKGHALNPGQRDWTRYQPWEPKKEEAA* >Brasy3G223400.1.p pacid=40041945 transcript=Brasy3G223400.1 locus=Brasy3G223400 ID=Brasy3G223400.1.v1.1 annot-version=v1.1 MALQYIEAQRQARPDLADWYADLADLYQRKLWHQLTLKLDQFLQIPAAQTGDTLIQLYNNFISDFETKINLLKLAQFAVIASRQYPDKDAAITFLEGIIAKLRETRESRINEPILHVKMQIAAIHLDKGNQKECKNLLEEGKTTLDGMTDVDPAVHASFYWISSQYHKSLQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNEKTLLEKINILCLMEIIFSRPSEDRTIPLSVIADQTKLSTSEVECLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDSWIGKVHSTLLSVEAETPDLVAA* >Brasy3G276200.1.p pacid=40041946 transcript=Brasy3G276200.1 locus=Brasy3G276200 ID=Brasy3G276200.1.v1.1 annot-version=v1.1 MDRPAPDQRPSLFSPYEMRRFSLSHRVVLAPMTRCRAIGGLPGPALAEYYAQRSTQGGLLISEGTIISPAGPGFPHVPGIYNQEQIDAWKKVVDAVHAKGSIFFCQLWHVGRASHQVYQPNGAAPISSTDKPVSGRWKILMPDGSYGVYPKPRRLATSEIPDLVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDGYGGSLPNRCRFLLELTKAVVSAIGSDRVAVRISPAIDHLDAYDSNPLQLGTAVVERLNALQKESGQLAYLHVTQPRYAAYGQTESGPHGSAEEESRLMRTLRGAYRGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERLRLNADLNRYDRKTFYTPDPVVGYTDYPFLAKPKSRM* >Brasy3G192400.1.p pacid=40041947 transcript=Brasy3G192400.1 locus=Brasy3G192400 ID=Brasy3G192400.1.v1.1 annot-version=v1.1 MSSAGKRGTSSTSQARPCNPQHPVLAHLDAGVRALLAWPASGTDDDGLARAESVLAALGGLLAEPRAAAALRVHRDDRILDVFLELADVYGSFGRALLALKQSITELRPGVQRRHGESAVTVASSLRARRRAEKELCRLAPAMRRVSRNAAVLSDGDSVTGVVADAAVAIAAASEAIFRRCAAMSPGVSSGARTVPWLARLRVVPPPPATNKGVPEMLAALQALEERIGELERGTEKVFRSLLRTTVSLLNIHSSF* >Brasy3G099700.1.p pacid=40041948 transcript=Brasy3G099700.1 locus=Brasy3G099700 ID=Brasy3G099700.1.v1.1 annot-version=v1.1 MHAPDIAAFLRRLLSARRVRGLFLQLFALSAALSLAMHLFKFAALSTDAAGGAAGYYINPQDMDMAGALGMGSKLLTSDDDNGRPWLPLALAYLVAGVAVQSAAYIAAAAAAVVAFSGPGKQRHTLPSLLRKVVANGSLAASAFALGCALKLAVVLASAAEVHFVGGSVVAFVVVPGAGVLCAALVAVQAVAVAASVAEPGGGAIGAVRRACRLMRGKYAQGFAAVGENGKLGTAFWVLGELVGMARLPGVVEAAVKCLLATAERMASLAVLTGYYFECRKRAEQEEKNKAGHQD* >Brasy3G233500.1.p pacid=40041949 transcript=Brasy3G233500.1 locus=Brasy3G233500 ID=Brasy3G233500.1.v1.1 annot-version=v1.1 MVHHISDEAAANEPSVTTQAPSYDPSQAPLVYKVGYPPQKNLAREFTETLRETFFHDNPLRQYKDQSLCRKFMIGLEFLFPVFGWGRDYNFRKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQNEVDHVKNKEEYMRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGISQFTRKTDIISVMESVWGSVHHGWNWQTIVIGISFLAFLLFAKYIGKKNKKLFWVPAIAPIISVILTTFFVYITRADKQGVQIVRKIEKGINPSSVHMIYFTGPFLAKGFKIGLVCGIVGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVTTGSFSRSAVNFMAGCKTPVSNVVMSIVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKLDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTALLGNLPGTTIYRNTSQYPEARLIPGVVIVRVDSAIYFSNSNYVRERILRWLTDGEDKTKAEGLPKINFLIVEMSPVIDIDTSGIHALEDLYKNLQKRDIQLILSNPGSIVIEKLHASKLTEHIGSSNIFLAVSDAVRFCTTKSMQEP* >Brasy3G233500.3.p pacid=40041950 transcript=Brasy3G233500.3 locus=Brasy3G233500 ID=Brasy3G233500.3.v1.1 annot-version=v1.1 MVHHISDEAAANEPSVTTQAPSYDPSQAPLVYKVGYPPQKNLAREFTETLRETFFHDNPLRQYKDQSLCRKFMIGLEFLFPVFGWGRDYNFRKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQNEVDHVKNKEEYMRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGISQFTRKTDIISVMESVWGSVHHGWNWQTIVIGISFLAFLLFAKYIGKKNKKLFWVPAIAPIISVILTTFFVYITRADKQGVQIVRKIEKGINPSSVHMIYFTGPFLAKGFKIGLVCGIVGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVTTGSFSRSAVNFMAGCKTPVSNVVMSIVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKLDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTALLGNLPGTTIYRNTSQYPEARLIPGVVIVRVDSAIYFSNSNYVRERILRWLTDGEDKTKAEGLPKINFLIVEMSPVIDIDTSGIHALEDLYKNLQKRDIQLILSNPGSIVIEKLHASKLTEHIGSSNIFLAVSDAVRFCTTKSMQEP* >Brasy3G233500.2.p pacid=40041951 transcript=Brasy3G233500.2 locus=Brasy3G233500 ID=Brasy3G233500.2.v1.1 annot-version=v1.1 MVHHISDEAAANEPSVTTQAPSYDPSQAPLVYKVGYPPQKNLAREFTETLRETFFHDNPLRQYKDQSLCRKFMIGLEFLFPVFGWGRDYNFRKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQNEVDHVKNKEEYMRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGISQFTRKTDIISVMESVWGSVHHGWNWQTIVIGISFLAFLLFAKYIGKKNKKLFWVPAIAPIISVILTTFFVYITRADKQGVQIVRKIEKGINPSSVHMIYFTGPFLAKGFKIGLVCGIVGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVTTGSFSRSAVNFMAGCKTPVSNVVMSIVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKLDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTALLGNLPGTTIYRNTSQYPEARLIPGVVIVRVDSAIYFSNSNYVRERILRWLTDGEDKTKAEGLPKINFLIVEMSPVIDIDTSGIHALEDLYKNLQKRDIQLILSNPGSIVIEKLHASKLTEHIGSSNIFLAVSDAVRFCTTKSMQEP* >Brasy3G285800.1.p pacid=40041952 transcript=Brasy3G285800.1 locus=Brasy3G285800 ID=Brasy3G285800.1.v1.1 annot-version=v1.1 MRYLRKNLALYPYHLADYICRVMRISSFRYYCDVLFEAMKNEEPYDSIPNFTAADALRITGVGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPADIVIEPWWGVRFVNFTLEEFKKLSEEETSAIDKICKEEVNSYVLFDPEVINGLYKRGGVYYDVPVYPDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQSAASFACRLGWAVKLMDTDSVLRDSSTAALPINNLGDDDDGSRTSINSEQSCQELLNNDSDGPRKISGTAYVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGYSCIADLCNDLASLEGKKFEGVLQEFANHAFSLRCFLECLLSGGTSPTESSEGNCQNCPLPDDLSSALSKEGTEEGVSNVIENGAGSHKTRDPANDGQDGEISEQEHTTIDSDGSPHSTTISESKESIVKNDFECSQTVTLKDSSQTTELDGSAGNTSVPKTKRNYRVNILRCESLASLAPSTLERLLLRDYDVVVSMIPLPYSSVIPSSAGPVHFGPPSYSSMTPWMKLALYTSGSCGPISAVFMKGQRLRLLPEPLASCEKALIWSWDHSVVGGLGGIFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSINDLDETGNLVTMDVPLPLKDVDGSIASVIAGTNLPEEQMSNLTSLLEDLSFKVELSTVGYLRLVRLHRLNESFELTEKESYEWIPLSLEFGIPLFNPNLCERICERVVASHILQKDDISEHYESMQNVRKRLRELCTEYQATGPTARLLNQRGGGSKTSPRQLMNIVSGRWSPFHDSLTPTNGGSPREHARLNLGRRQKCFTEVLSFDGSILRSHALAPVYEAATRSVTEDQPSPSAVKLESEDSGTKDVVLPGVNLIFDGAELHPFDISACLQARQPISLIAEASAASLAMK* >Brasy3G186800.1.p pacid=40041953 transcript=Brasy3G186800.1 locus=Brasy3G186800 ID=Brasy3G186800.1.v1.1 annot-version=v1.1 MGQASSEAKVPRRWAAKIAILLHRPEAIYEGVLLLFYPGRLVLLDVDGIPIDSRMLTEGEVIDEDSTVLFPCHRAVVSSRLEEGRIPSDQILEAARRPLPPWTGMRAWSGIAEVHPEEDSRVREVAAAPRVVRRIVGARPPRMELREEGARGIPAVEVLLCDVEGGPGGAGDEETAVGGIGSASEKGGGSKERDGVGKTTAGGVRGIQLGGGRANRPRSPGWSPRRPIYCEEVFDEEVAAAFENCEDMAAADKVRFLDPVIVSGGCGGVSPRQEERRPMVLVQVTTSASKLDRVRAIAEYGIIAVVAPPRSPTSRVNSTSAGSAASAEPASTVVPVTRLSSLPERSSSSPATMAAAAAAAPQRQVSGLGRGDGPMLTQSGQERPDGQADRGPEPVVGQVGPLRTLPDITGTGGRSWLWIPKSNTLSLDTVVSTASAEDVRRNFGESRVISRVREKLVDGRSFLEAVMQRDREGRSLKRPLEPQGAGSGSGGGSQFSREGGFDGRTKRPFDGARGGRGSSPFHSGEERRPAREWEGGGNRKSQWQREAELRARLEKEQEMRKGSGTGAQRTEKRGIYCHKCTESGHLPKDCTNPPVCYSCKKPGHVLGQCPELKKKQRGLQICGGGSEGTVFYTLQVPVVKESKAIPTVMGKLVVRAGRCSPEAIVSEFNALIDDKWDWKVKMLTAGSFLVEFPNKSSCKEVTRFVNGFTFVTDPSVQAKVTETEREFDSFGVLNEVWVKLHGLPSWAKLEESVTELAYMVAEPREVDLASLPGKGPARLKVACPDIKVIDGANSVYLNGKGFYLEWEVELPDTTVSASSVPGIQVKDGDKRQEFIDAKKEHAKTVNSKAAAPPSLGLSEQSNNGKNVSAGQKDLGDQNVKSMLENVKLDTVMEGGVDDELCASQKKASAEGQRLKEAGKEQTREQNLMSEPTKVIEEPLSQISANDDTKWSSGTDFTKHKYLDYPEKVNLSQSISLGDEDEVDSCKSSPSKNTNQATSVFAPLTPMAVPPVITSSEVAKVTLAVPEDMRNHGVLPQGRSGWTAVAGRERKACKEPPVAQRQSKRIPHDGTTVMKRAELRAKKRNAPGTNAFLVLQEAPNVELQSIASDCFVELGKSEVEIEECLDRFKAKEVAEAVLAEARQKLAKVAQEVQVPKENLVEQQGLVVFGAQDEDPGEPESPTKRFEDQLDQENDVMVAASVSRKGSGGNQKRSSGKKKRKGGGGRGKRFR* >Brasy3G336900.1.p pacid=40041954 transcript=Brasy3G336900.1 locus=Brasy3G336900 ID=Brasy3G336900.1.v1.1 annot-version=v1.1 MPLYDCMLLVKPMVTKEAVAELVTRVAGRAYQRNGVVTELKSFGKVHLGYGIKKLDGRHFQGQLMQMTMMVPPSFTKELQYLNKEDRLLRWLVVKHRDAVYGLEFINEDEQGSEMDNYRYRTRSKDDDVDEYDDDDDDYEYEVEEE* >Brasy3G093800.1.p pacid=40041955 transcript=Brasy3G093800.1 locus=Brasy3G093800 ID=Brasy3G093800.1.v1.1 annot-version=v1.1 MDETMGRRTVGGLLVTKGGSILVFRDESSRHKDNTRCTRLGCSSKLFPDKCRKMHRSGNEEATPRPHIFGKSNRMLPEGRMEYASTRRNAASTCSETGNTPRRETGGRDLLSRLKERVNASRKRSVSGGSRPPSAIASSTGSTSNSRLAPRTRKNDRNRDAVNTCRVTRGNSVEDVEKSDDEQPAGSFLSRRFFRHGSRLQGGRLSYLEGDSADSNEYWRFGMDESDEMEDHVFSDQHRGMRMDIEDMSYEELLALGDKIGTVSTGLSDDALSDSLKRILYVRTTSASHEDGDIKCIICQEEYFSGEQVAKIVCNHYYHVTCIQHWLRQKNWCPICKMVASATNLLCN* >Brasy3G093800.3.p pacid=40041956 transcript=Brasy3G093800.3 locus=Brasy3G093800 ID=Brasy3G093800.3.v1.1 annot-version=v1.1 MDETMGRRTVGGLLVTKGGSILVFRDESSRHKDNTRCTRLGCSSKLFPDKCRKMHRSGNEEATPRPHIFGKSNRMLPEGRMEYASTRRNAASTCSETGNTPRRETGGRDLLSRLKERVNASRKRSVSGGSRPPSAIASSTGSTSNSRLAPRTRKNDRNRDAVNTCRVTRGNSVEDVEKSDDEQPAGSFLSRRFFRHGSRLQGGRLSYLEGDSADSNEYWRFGMDESDEMEDHVFSDQHRGMRMDIEDMSYEELLALGDKIGTVSTGLSDDALSDSLKRILYVRTTSASHEDGDIKCIICQEEYFSGEQVAKIVCNHYYHVTCIQHWLRQKNWCPICKMVASATNLLCN* >Brasy3G093800.2.p pacid=40041957 transcript=Brasy3G093800.2 locus=Brasy3G093800 ID=Brasy3G093800.2.v1.1 annot-version=v1.1 MDETMGRRTVGGLLVTKGGSILVFRDESSRHKDNTRCTRLGCSSKLFPDKCRKMHRSGNEEATPRPHIFGKSNRMLPEGRMEYASTRRNAASTCSETGNTPRRETGGRDLLSRLKERVNASRKRSVSGGSRPPSAIASSTGSTSNSRLAPRTRKNDRNRDAVNTCRVTRGNSVEDVEKSDDEQPAGSFLSRRFFRHGSRLQGGRLSYLEGDSADSNEYWRFGMDESDEMEDHVFSDQHRGMRMDIEDMSYEELLALGDKIGTVSTGLSDDALSDSLKRILYVRTTSASHEDGDIKCIICQEEYFSGEQVAKIVCNHYYHVTCIQHWLRQKNWCPICKMVASATNLLCN* >Brasy3G023700.1.p pacid=40041958 transcript=Brasy3G023700.1 locus=Brasy3G023700 ID=Brasy3G023700.1.v1.1 annot-version=v1.1 MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNIDFQAQEHKSPEHLVRNPFGQIPAFQDEDLLLFESRAISKYVLRKYKTDEVDLLREGNLKEAAMVDVWTEVDAHTYNPALSPIVYECLINPLMRGIPTNEKVVEESLEKLKKVLEVYEAHLSKHQYLAGDFVSFADLNHFPYTFYFMGTPHAALFDSYPHVKAWWERLVARPSIQKLGASMVPPKA* >Brasy3G245700.1.p pacid=40041959 transcript=Brasy3G245700.1 locus=Brasy3G245700 ID=Brasy3G245700.1.v1.1 annot-version=v1.1 MRKAGAKEDIEGSIGFERAVDVNPSLVSAETEGRLSDLMIRLCSCSGRSSTLRTLSKTYVSMTCKGCGGESIVDRGGPSCSIKLNTMGLELPRPIDPEVNWNTVNRRQRAPRRARTIFSGEDRMKDEIKSFYGSVDAPSREMAREDAPVSDSEKLGVSILGRRFSDSMENVPIKKRKFHMDCSPSPPPTPLLVDPYEKILSRSCGGTSSYGKHRKVKMLGGKQEEKKGPFDTADFSGISILAAVACESELDGALLNGECSKSAHSLEERKPENIIGSSRLGLLHEIKEDKLNIADASHCKVVKPLKSSETAPDMKPLIPSTLNSSESAPDMKPTLFPTTLNSLENDAESAAALKANRAPNSSLKNADKTDDASDKSGDVIMSNNSSNPDKFVGCFGDTVMHTKHSDGTHDSRLHWDLNVPMEAWDTHCGGDDHHSMVGSAPVTSDVRDCNDAEKDMNNPQDLCDPIVAGDILDLSGDKVHVVEVPKDVKTKDQSGFPADGSFHPLCSQSPQHLQLLDSESLNGNGSSVETNDLPDQQKSSYVSKVELHIGSNPDLIKEPLALAADVEKLDVSHATSLDRKGLSHLVSADGHGGGSLIQTGDLSSRVKPPASRIVSEESTNIATVTVSNKSFTDAGWSDDKLGQVSLQSIAEYKNEELLDVDSGTSKTDLSVNDKAEHSADLLCVAKRAPDADNDLNLPDHHPENNLGTSDCNMANVHEEDGVDPPINCKNHLVTCANSSSAEPNYITSDAPQALDLSSECTKLAVTDVDSTVDSRSAAQSYPDGYKKGLQKVSSSNHLEHCYQTDTSRVSKNLSVTGKVDVEEDDSQYEDGELRESGDRYWADDGSEEVKCADYQVSDYKDEEAAPDIHPVPVGSVLKNLGIPTADYDGTLSRKEDRDISPVSSKRSWSSNCLDGGSGIICAASISEKARDVRLTMKSEAQTYESNPGHVIAESAATVSQSERCSDGLGDDPLNIRTKPTGWDMLPEDQEHSHHDSRDGVDSSNQCVLGILDPAEGGESFRRMGLSKRDVQSQLDRPRSFDRSHRNEHCRSDDGYGSGSKAERTIDAHRSHGRGGASRHGQTSCRVEQWVENSNNSRSTQRGSPDYYNYGLPGPRNAAEAAVAKMESNGFVVAPDGTLVRAVDAANAGTMARRMKSSSSSYRPLSGRGSPIDRDGGCVLSRGSAHAREAPSDRRFGASGNRSGRFGPEVDKDDTADGNMSSVRCSLSNRQQRRFPPHRASLNLSRAHSQSPSGSRSRSPHAWTSPRNRRDIMENGGSSIRRHSRSPNCMTEVRIGRVTSPRRQPGFGDRVMRDSSSSRNRTYTQHDSTWVDGRNYQTPDVSDNKKRYSRRSPPPRITSRNDRFDVMDSQGRSRSGEFHRPTHERLPYSFETENKHDGNGDNKREYDNRYETVKPYDRDGTVKHFRNHTGDKLHPRISAPRSPGPQRRGSPRRF* >Brasy3G245700.2.p pacid=40041960 transcript=Brasy3G245700.2 locus=Brasy3G245700 ID=Brasy3G245700.2.v1.1 annot-version=v1.1 MIRLCSCSGRSSTLRTLSKTYVSMTCKGCGGESIVDRGGPSCSIKLNTMGLELPRPIDPEVNWNTVNRRQRAPRRARTIFSGEDRMKDEIKSFYGSVDAPSREMAREDAPVSDSEKLGVSILGRRFSDSMENVPIKKRKFHMDCSPSPPPTPLLVDPYEKILSRSCGGTSSYGKHRKVKMLGGKQEEKKGPFDTADFSGISILAAVACESELDGALLNGECSKSAHSLEERKPENIIGSSRLGLLHEIKEDKLNIADASHCKVVKPLKSSETAPDMKPLIPSTLNSSESAPDMKPTLFPTTLNSLENDAESAAALKANRAPNSSLKNADKTDDASDKSGDVIMSNNSSNPDKFVGCFGDTVMHTKHSDGTHDSRLHWDLNVPMEAWDTHCGGDDHHSMVGSAPVTSDVRDCNDAEKDMNNPQDLCDPIVAGDILDLSGDKVHVVEVPKDVKTKDQSGFPADGSFHPLCSQSPQHLQLLDSESLNGNGSSVETNDLPDQQKSSYVSKVELHIGSNPDLIKEPLALAADVEKLDVSHATSLDRKGLSHLVSADGHGGGSLIQTGDLSSRVKPPASRIVSEESTNIATVTVSNKSFTDAGWSDDKLGQVSLQSIAEYKNEELLDVDSGTSKTDLSVNDKAEHSADLLCVAKRAPDADNDLNLPDHHPENNLGTSDCNMANVHEEDGVDPPINCKNHLVTCANSSSAEPNYITSDAPQALDLSSECTKLAVTDVDSTVDSRSAAQSYPDGYKKGLQKVSSSNHLEHCYQTDTSRVSKNLSVTGKVDVEEDDSQYEDGELRESGDRYWADDGSEEVKCADYQVSDYKDEEAAPDIHPVPVGSVLKNLGIPTADYDGTLSRKEDRDISPVSSKRSWSSNCLDGGSGIICAASISEKARDVRLTMKSEAQTYESNPGHVIAESAATVSQSERCSDGLGDDPLNIRTKPTGWDMLPEDQEHSHHDSRDGVDSSNQCVLGILDPAEGGESFRRMGLSKRDVQSQLDRPRSFDRSHRNEHCRSDDGYGSGSKAERTIDAHRSHGRGGASRHGQTSCRVEQWVENSNNSRSTQRGSPDYYNYGLPGPRNAAEAAVAKMESNGFVVAPDGTLVRAVDAANAGTMARRMKSSSSSYRPLSGRGSPIDRDGGCVLSRGSAHAREAPSDRRFGASGNRSGRFGPEVDKDDTADGNMSSVRCSLSNRQQRRFPPHRASLNLSRAHSQSPSGSRSRSPHAWTSPRNRRDIMENGGSSIRRHSRSPNCMTEVRIGRVTSPRRQPGFGDRVMRDSSSSRNRTYTQHDSTWVDGRNYQTPDVSDNKKRYSRRSPPPRITSRNDRFDVMDSQGRSRSGEFHRPTHERLPYSFETENKHDGNGDNKREYDNRYETVKPYDRDGTVKHFRNHTGDKLHPRISAPRSPGPQRRGSPRRF* >Brasy3G245700.3.p pacid=40041961 transcript=Brasy3G245700.3 locus=Brasy3G245700 ID=Brasy3G245700.3.v1.1 annot-version=v1.1 MIRLCSCSGRSSTLRTLSKTYVSMTCKGCGGESIVDRGGPSCSIKLNTMGLELPRPIDPEVNWNTVNRRQRAPRRARTIFSGEDRMKDEIKSFYGSVDAPSREMAREDAPVSDSEKLGVSILGRRFSDSMENVPIKKRKFHMDCSPSPPPTPLLVDPYEKILSRSCGGTSSYGKHRKVKMLGGKQEEKKGPFDTADFSGISILAAVACESELDGALLNGECSKSAHSLEERKPENIIGSSRLGLLHEIKEDKLNIADASHCKVVKPLKSSETAPDMKPLIPSTLNSSESAPDMKPTLFPTTLNSLENDAESAAALKANRAPNSSLKNADKTDDASDKSGDVIMSNNSSNPDKFVGCFGDTVMHTKHSDGTHDSRLHWDLNVPMEAWDTHCGGDDHHSMVGSAPVTSDVRDCNDAEKDMNNPQDLCDPIVAGDILDLSGDKVHVVEVPKDVKTKDQSGFPADGSFHPLCSQSPQHLQLLDSESLNGNGSSVETNDLPDQQKSSYVSKVELHIGSNPDLIKEPLALAADVEKLDVSHATSLDRKGLSHLVSADGHGGGSLIQTGDLSSRVKPPASRIVSEESTNIATVTVSNKSFTDAGWSDDKLGQVSLQSIAEYKNEELLDVDSGTSKTDLSVNDKAEHSADLLCVAKRAPDADNDLNLPDHHPENNLGTSDCNMANVHEEDGVDPPINCKNHLVTCANSSSAEPNYITSDAPQALDLSSECTKLAVTDVDSTVDSRSAAQSYPDGYKKGLQKVSSSNHLEHCYQTDTSRVSKNLSVTGKVDVEEDDSQYEDGELRESGDRYWADDGSEEVKCADYQVSDYKDEEAAPDIHPVPVGSVLKNLGIPTADYDGTLSRKEDRDISPVSSKRSWSSNCLDGGSGIICAASISEKARDVRLTMKSEAQTYESNPGHVIAESAATVSQSERCSDGLGDDPLNIRTKPTGWDMLPEDQEHSHHDSRDGVDSSNQCVLGILDPAEGGESFRRMGLSKRDVQSQLDRPRSFDRSHRNEHCRSDDGYGSGSKAERTIDAHRSHGRGGASRHGQTSCRVEQWVENSNNSRSTQRGSPDYYNYGLPGPRNAAEAAVAKMESNGFVVAPDGTLVRAVDAANAGTMARRMKSSSSSYRPLSGRGSPIDRDGGCVLSRGSAHAREAPSDRRFGASGNRSGRFGPEVDKDDTADGNMSSVRCSLSNRQQRRFPPHRASLNLSRAHSQSPSGSRSRSPHAWTSPRNRRDIMENGGSSIRRHSRSPNCMTEVRIGRVTSPRRQPGFGDRVMRDSSSSRNRTYTQHDSTWVDGRNYQTPDVSDNKKRYSRRSPPPRITSRNDRFDVMDSQGRSRSGEFHRPTHERLPYSFETENKHDGNGDNKREYDNRYETVKPYDRDGTVKHFRNHTGDKLHPRISAPRSPGPQRRGSPRRF* >Brasy3G284800.1.p pacid=40041962 transcript=Brasy3G284800.1 locus=Brasy3G284800 ID=Brasy3G284800.1.v1.1 annot-version=v1.1 MTLAELRRAFAYKFSIEVEEVQVSVFGVGEYLVQFCDPAVRNKAIGLQGGLVLGGVTFMLSPWTRFRRARAGKLKLKARVCIENVPRDAWQIETVKTLFDSSMIIEEQDFSTNSEKETSCMTVWVWMDDVKLLAKRGRLQLEEPSVHSTPEVHLPELGVFQEAPRHETGVNLLIYDVLLHLDQVVDFSDPPVGQEGYFSFTEESWTFDWPRRWKYNWYLGFEDGTFPPCEPRQLVHSRVRFPHGRDGNDGDSAGGAGAPRGNRRGPRSSGWDQRPSNAKGDSSSASGEGHKYSGGRSRAVQSPELEEKMEESHVAVGTETLPAMPVLARLPVAPGRSVVNDSNEESRRLSSEEGELCRLSCGERGSELGPGEDVNAKVTGPEEGQLLALQDEVFREGILLQLPRDPFESHGDSVHESLVGLGNGPWKETEATDGLLQESSGPKCDAVASNFRVVNEELPDGLMEQDGQIPLALNSDAGLPNGPMEQEILVSEGCLLTETVHGLVDVPVTPLSSFLASFAAPAPEAMLATPTEQKTTNTQGKAARRSSGRLAAKATNGLTAMEKVQHVLLSKSGMAVPADPDDKLKKYREIYAKPLSPDFVDAITAMMEVSLPVKLGSGSGAHPQAAATPA* >Brasy3G202200.1.p pacid=40041963 transcript=Brasy3G202200.1 locus=Brasy3G202200 ID=Brasy3G202200.1.v1.1 annot-version=v1.1 MPGAVKVFGSPTSSEVARVLACLFEKDVEFQLIRVDSFRGPRRMPQYLKLQPHGEALTFEDANVTLVESRKILRHVADKYRMQGNPDLIGTGALERSSIEQWLQTEAQSFDMPSADLVYSLGFLPLTTPTAAPLDARGASGGRNARQQRQEAMMSPAHAEKVEEMRQLYEKSGRELGKVLDIYDQRLEEAEYLAGDKFTLADLSHMPNADRLAADPRSLRLIRSRSNVSRWWDDISARESWLYVKSLQRPPSASEPLF* >Brasy3G207800.1.p pacid=40041964 transcript=Brasy3G207800.1 locus=Brasy3G207800 ID=Brasy3G207800.1.v1.1 annot-version=v1.1 MECGIASHGALLAAAPLAGRRPLRLPLSPPLSPPSIQIQNRLYSMSMLPLKARNMGRCDASLASNYMQTSEFADLDWENLGFGLVQTDFMYIAKCGPDGNFDKGEMVPFGPIALNPSSGVLNYGQGLFEGLKAYRKSDGSILLFRPQENATRMQTGAERMCMPAPPVDQFVDAVKQTVLANKRWVPPTNKGSLYIRPLLVGSGAVLGLAPAPEYTFIIFASPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVAVSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRIEYAGNHGVGAVSQQLYTALTSLQMGQAEDSMGWTVQLN* >Brasy3G126100.1.p pacid=40041965 transcript=Brasy3G126100.1 locus=Brasy3G126100 ID=Brasy3G126100.1.v1.1 annot-version=v1.1 MVEFFFVVRPAILLPGGCVSPSWFWWIAAGRAGVVGVLVFITGGRSMVGEQYRQHPQVQQAIHGSAPMSKDRL* >Brasy3G183100.1.p pacid=40041966 transcript=Brasy3G183100.1 locus=Brasy3G183100 ID=Brasy3G183100.1.v1.1 annot-version=v1.1 MRKAYRDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVVFPSLLQLQRGITDMEDKKQKAVCMERYRRREDDESSSLSDIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTNSGDLWIYVEERDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSVYDSHIK* >Brasy3G183100.2.p pacid=40041967 transcript=Brasy3G183100.2 locus=Brasy3G183100 ID=Brasy3G183100.2.v1.1 annot-version=v1.1 MRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVVFPSLLQLQRGITDMEDKKQKAVCMERYRRREDDESSSLSDIDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTNSGDLWIYVEERDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSVYDSHIK* >Brasy3G303300.1.p pacid=40041968 transcript=Brasy3G303300.1 locus=Brasy3G303300 ID=Brasy3G303300.1.v1.1 annot-version=v1.1 MAQRDKKVEEPTEVQLHAPAEITLCANSCGFPGNPATNNLCQNCFLASSSAAAASPPSPSSSSSAPAPFPLFDKPRPALSSSAPAPVSAQAPAAAADGPGPAASASRSSVNRCRSCRKRVGLTGFRCRCGEMFCCAHRYSDRHDCSYDYKSAARDAIARENPVVRAAKIVRF* >Brasy3G023300.1.p pacid=40041969 transcript=Brasy3G023300.1 locus=Brasy3G023300 ID=Brasy3G023300.1.v1.1 annot-version=v1.1 MGWVAFLIISSGGNAGSPQLTGVLLWLWVLSLVKLIHRLVAAELAKNSFAVGLNAYLISDYMKQLYGHEDLLQHGEEEDSVPPYLVMGEEKLHIEARPRGYRIGQTSLSVDAGHVVTMDRIWRLSSSGDSLLASYPQIKDLCLSFSLFKLQLRRFLGCPLAEVGSRRALAFVQDGLLGAGSSPERAFRVIETELSFLADLLYSKLTSFYASGWWFPVLNSTLVLATWMSCLAAAGAIVHDMTNRGTALAVDYNQLRDYLQHHDTVFHAIVGLDVVVTVSFIVAIVFTEGWEIANYVRSDWIKVATVCEYARCPSWRKSPWTRRKLGRVLRFKAVQRWDDRFGQTSVLQPRMCYCGCVSRHVDRIAKTSVPVPASVKSAIVTTLRTNQGSLGNGVLSLRRNGVADKLVWACRVGAGGADDGIGGDETSISEQIFVWHVATRLLEIKRSDQGAHGSSDNVDADGDNEVVATHLSRYCAYLVAMKPELLPDHPAWTEELYEGVVEEATRVLAPCAGPLVRYDRLATCLGGSTNQALRKAAKLGRQLVEEVGDEELVWKVLAEFWAELVVFLAPSENVTAHAKSLRRGGEFITVLWALLGHAGIVSRPETYV* >Brasy3G102400.1.p pacid=40041970 transcript=Brasy3G102400.1 locus=Brasy3G102400 ID=Brasy3G102400.1.v1.1 annot-version=v1.1 MEPRWWGAPGAGALSAPPSAWSCSAAAPRRSGPSTFVSPPGPSSSSTPAYASSKVTFPSRPNWHGLLQYNCTSQM* >Brasy3G344700.1.p pacid=40041971 transcript=Brasy3G344700.1 locus=Brasy3G344700 ID=Brasy3G344700.1.v1.1 annot-version=v1.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQPTYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMERGLIRMVSVHSSQQIYTRATNT* >Brasy3G277400.1.p pacid=40041972 transcript=Brasy3G277400.1 locus=Brasy3G277400 ID=Brasy3G277400.1.v1.1 annot-version=v1.1 MGTTERDAARGGKPDGSIDSFSQLPFIRPAARGEKPPPSSSSSPVIPIRLFGFDVPTEAAAAAAARREVAVQEAEDRAAAAAADEDAASHYSQTAGGDGDGRSGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMHHHHGHAHYYHALSDPAAYHHRFSPVPPPHYPSWAGAARSASSYYSGPGSISQPINGSPVAPPGLGWRPVPAVGTTMQLAAAARRQELPPPPGPPHTMSLAGGDQEPVIVVGRPVGSTSFSAPSTSSSSSSSASPHERRRVDLQPERKENWE* >Brasy3G181000.1.p pacid=40041973 transcript=Brasy3G181000.1 locus=Brasy3G181000 ID=Brasy3G181000.1.v1.1 annot-version=v1.1 MLQIRLSKIGSSDSGAAAAGAAAGAPGGAPAKSAAAAAGGVPESVTVACPDHLVIADLAVAKSLGAVTNSAIAASRAIGRRSRRPLGERVHICSRCEFPIAIYGRLNPCEHAFCLACARSDSSCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKKAEFESHVPEVHANLLRTNADKEDRNEPDAPNISRASAGDTQRQSQMPEMSTARAPPRPGVSPTSSSHMQDREDRSRYHHSREQTPLRPPMLSKPPSFHGCHSYPPGDTQSENNPPQGFDRPYGWAHDSTPGATPLRQESDHGTQDKQQVMPNSPFMFSPMHPHQQNFMMHVNMNQPLMQNTPFNYPVQQDGNPQYFGAPFQMQPPDAGLDQGPVSGPEGLQRPWGMGLMGNPSQGGGGMAFMQAGFGIMPDNSMNPGMQGRDIQGQADRGDGRGVLEQLPMAMQMQMPLPPPPPAQPPSAGQQSFNRT* >Brasy3G329800.1.p pacid=40041974 transcript=Brasy3G329800.1 locus=Brasy3G329800 ID=Brasy3G329800.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPSHSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLLQREPHQSCLRRLEHKIRSVYAAITCTRTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHHPRPRLAEQSTPRPPPPEQAGGSSWQHPQSSFDAWQEQSPFQAGGSSWQQQSPTMNFEFRPQTQPQ >Brasy3G073300.1.p pacid=40041975 transcript=Brasy3G073300.1 locus=Brasy3G073300 ID=Brasy3G073300.1.v1.1 annot-version=v1.1 MHPGLASIGPFAAAHGPDVRTSTISVTFHLASPPAVSYLCVDGPELRREDFKRVPEVLSAEKDLVLLRFALASGPRIRSYDPYRRGSADEHLLDECLLYTAGKPSLRPIPFLRAKRIAFRRRGGIPARRFCLDLRHHDRGPLHLLVQDRKLELLQAFGAHDLFRGIKVCNVLRFIPLPKPEFDQGRWGSARPIRDVVFSGGVIKFVEIDRRFRQVLGVNNDRKYCKKMTNDLDNTDVIYDSEMLVHNYSRVEPIPVPDGWKIRTCHRHTCWDYWRKGHVVDVDDLHDSMPELSDGSDGKWALKDLTTAYPTLSIVPGDDAVYLMLSKVKKSDDEKAWMIGLHLGKKTLEILEPYSASYFKSPKFLACAFSEYLNTTPSSVSSTLLV* >Brasy3G296200.1.p pacid=40041976 transcript=Brasy3G296200.1 locus=Brasy3G296200 ID=Brasy3G296200.1.v1.1 annot-version=v1.1 MEPKSTTPPPPAPVLGAPVGYPPAGVYPPSAAAGYPHAPALYAPPPPPPPAAASQQAAAAQQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAGMPHPAAGMPTADSMAYYYVPPQ* >Brasy3G039700.1.p pacid=40041977 transcript=Brasy3G039700.1 locus=Brasy3G039700 ID=Brasy3G039700.1.v1.1 annot-version=v1.1 MHKTSSLSELGFDSAEASSGFFRPVASGCPPPSSPHRRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDASVTRNSDLVVVTAGARQIPGETRLNLLQRNVALYRKIVPPVAACSPEALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLIAEHLDVNAQDVQLQAMKPYGIIQAYMVGEHGDSSVAIWSSISVGGMPALKSLQDSHRSFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLATSLLRDQRRVHPVSVLAAGFHGISDGHEVFLSLPARLGRGGVLGVAEMDLTEAEAAQLRRSAKTLWDNCQLLGL* >Brasy3G039700.2.p pacid=40041978 transcript=Brasy3G039700.2 locus=Brasy3G039700 ID=Brasy3G039700.2.v1.1 annot-version=v1.1 MHKTSSLSELGFDSAEASSGFFRPVASGCPPPSSPHRRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDASVTRNSDLVVVTAGARQIPGETRLNLLQRNVALYRKIVPPVAACSPEALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLIAEHLDVNAQDVQAYMVGEHGDSSVAIWSSISVGGMPALKSLQDSHRSFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLATSLLRDQRRVHPVSVLAAGFHGISDGHEVFLSLPARLGRGGVLGVAEMDLTEAEAAQLRRSAKTLWDNCQLLGL* >Brasy3G039700.3.p pacid=40041979 transcript=Brasy3G039700.3 locus=Brasy3G039700 ID=Brasy3G039700.3.v1.1 annot-version=v1.1 MHKTSSLSELGFDSAEASSGFFRPVASGCPPPSSPHRRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDASVTRNSDLVVVTAGARQIPGETRLNLLQRNVALYRKIVPPVAACSPEALLLVVSNPVDVLTYVAWKLSGFPPSRVIGSGTNLDSSRFRFLIAEHLDVNAQDVQAYMVGEHGDSSVAIWSSISVGGMPALKSLQDSHRSFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLATSLLRDQRRVHPVSVLAAGFHGISDGHEVFLSLPARLGRGGVLGVAEMDLTEAEAAQLRRSAKTLWDNCQLLGL* >Brasy3G150400.1.p pacid=40041980 transcript=Brasy3G150400.1 locus=Brasy3G150400 ID=Brasy3G150400.1.v1.1 annot-version=v1.1 MAPPFIYLRPSDDGSDVKALMKAALDGDLRRLKGIVHSLTQGSGDPSTIFSLNMEGTSVLQCAACSGHLEVCKYLVEELGGDVNAPETGAGVPGVTPFMVSAQSGDVPTVKYLLDHGGDLMKADGKGQTVLHHAAFAGSCKVIEFLLSKGVPVDIDCGRGTPLFTAATNEMDKTVKILLDHHANPNTVVGGVMSPLMSALTYRSLKCMKLLIKAGADVNGKGCIQTPLVFATSHGGYTNFIKFLLKAGSDPNIPDDLGRLPVELAALRDCMEEVEMLFPLTSRIPNIPNWSIEGVISHAKIEAKKPMEQQHRARRKRLLKSLADTAFRQKEYKQAAQFYGLAIDHGESPTLYANRSVCKLFMGDGEGALSDAMRCRMLRPNWAKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNVEIETELRKARELMKNP* >Brasy3G296100.1.p pacid=40041981 transcript=Brasy3G296100.1 locus=Brasy3G296100 ID=Brasy3G296100.1.v1.1 annot-version=v1.1 MAPTIRLTCPFLCVLLVAAAVFAGRTAATAEAEAPAPHFVVSGWSGGTTGVGGCWKSVVGTTRPCARDVLQTVASGTLHMSKDCCVVLVKAGEKCVDEIFHTPPLDAAYLPAVRAICGLASLIPY* >Brasy3G144600.1.p pacid=40041982 transcript=Brasy3G144600.1 locus=Brasy3G144600 ID=Brasy3G144600.1.v1.1 annot-version=v1.1 MAAPCPPRRPISAPCFLICFLLGFVAGLFPFAHRHLHLDLHLPLPSAPTAVMEPFRNPSPLPLPPPGDQSPLPLPPPSRDPSPLPPPAPMATERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVDDGAASRETAALLRGCGVMYRHLSSPDTRQEPPQLRRPRQHNRVLQQNAALDHIEHHRIHGIVYFADESHAYSLDLFRHLRQIRSFGTWPVAMLAVGKSKTILQGPVCNGSRVVGWHTSEKSRRLRRFHASMSGFAFNSTMLWDAKKRAHQAWNYIRLLDTVKEGFQETKFIEQLVEDETHMEGIPPGCSKIMNFHLHLEDKGVVYPKGWQLTKNLDVVIPLNHEVKRTVTFSYGQKEEGISTSLS* >Brasy3G144600.2.p pacid=40041983 transcript=Brasy3G144600.2 locus=Brasy3G144600 ID=Brasy3G144600.2.v1.1 annot-version=v1.1 MAAPCPPRRPISAPCFLICFLLGFVAGLFPFAHRHLHLDLHLPLPSAPTAVMEPFRNPSPLPLPPPGDQSPLPLPPPSRDPSPLPPPAPMATERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVDDGAASRETAALLRGCGVMYRHLSSPDTRQEPPQLRRPRQHNRVLQQNAALDHIEHHRIHGIVYFADESHAYSLDLFRHLRQIRSFGTWPVAMLAVGKSKTILQGPVCNGSRVVGWHTSEKSRRLRRFHASMSGFAFNSTMLWDAKKRAHQAWNYIRLLDTVKEGFQETKFIEQLVEDETHMEGIPPGCSKIMNFHLHLEDKGVVYPKGWQLTKNLDVVIPLNHEVKRTV* >Brasy3G017400.1.p pacid=40041984 transcript=Brasy3G017400.1 locus=Brasy3G017400 ID=Brasy3G017400.1.v1.1 annot-version=v1.1 MINRSCSHVFVLVIQFSDCITILEYTQKSMQASFVLCFVFFQRYIKYGDRN* >Brasy3G130900.1.p pacid=40041985 transcript=Brasy3G130900.1 locus=Brasy3G130900 ID=Brasy3G130900.1.v1.1 annot-version=v1.1 MEDSTMVKQTSIHDVPDELLQWDILFVLPANDLLRCSAVCRSWRRLTTDPEFLLARHRLQPSLPLVLFNNRYTSQVHGVVDAFDIRRTPGPGVRRPVLAFKDSTSVGGFTIHASCDGLLLVSLAKTRFYLCNPATRQWCELPDLTGCSVAALYPHRPSGEYRILYWKHYMYAGSNIDRVYRVLKVSASSTTTRCIGLPVPSLSIKNAPSHWLIRGRWFNYWFIRACMHPPVLLHDCLHWHLGSSILDGSQIFDGKVVVFDTLAESFRLMENPIANISVHLLEMDGSLGISHIDYATSMAELWVLRDYEKEVWSLKYRIKLFVAETRGHFPYRTPILSGEVVSENGDMLVTGHSCRCYDLFHCDSNGKLLQKYQWQGTVPKVLGLRFKESLIRHEFFQRKDGSRGGLPCFFQGL* >Brasy3G173100.1.p pacid=40041986 transcript=Brasy3G173100.1 locus=Brasy3G173100 ID=Brasy3G173100.1.v1.1 annot-version=v1.1 MKRRATMDGPQEQPAASYKIHVKMLKTVTLDVNCTDTVDQIKSKFSAIEGIDKSMQELFFAGIHLKNHGKVADYNIMPNSSVELYVTDRMQISIDIPSNVSSDGKTIKLNMKKSNKVVDVKAEIEQREGIPMNRQMLIYEGRHLKNNHMLSQCGLRNDPALLLLVRPTDNLHVFVNVGDERIVSLTVKCWHTVAIVKSMIDSLEGLPAWNQILMQPRSGVDVVLKDSETLQAQGIKNNDILILQQKVQHTRNNQTVQVFITPWEGKTVTMFLNLSDRVEKVMKKIEEKLLIKEGIYYLCYQGHHLSSFDTLQKHGVKRHSTITNPPPPSGSQPRETKAWELKTRKSLGATPNF* >Brasy3G115100.1.p pacid=40041987 transcript=Brasy3G115100.1 locus=Brasy3G115100 ID=Brasy3G115100.1.v1.1 annot-version=v1.1 MEANVVNKNGCSEEGQLQLPLALFAVERNSKKRLLFDVSSRKVRGISSVLFPDAFCESQNGGWLLMVQHKPFKLEEQIVFLVHPSTGRRIDLPLLRDATQGCFVAYIDSRGNPLVVACIEIMTVFPTVHITCPGDVYWCVYKHIDNPFMPEAMCRCGRSTPMCRCTKSTYIIDVALIGTQAVCVESHGQILIFDVTEMTWRTVSCPEWTIGDAPYLVASNTEVVLISWDRGAEVRTFKFFKLDVKALEWSSLDDGELDNTSWFLWKGQSFRVREEGKRKVYTFGPDQCKPPMTSVTDSSTTMNWITYSTGGSKLKSITNIYAYDLVDGTVEMVIPASIVTEVRGWVQSSTFATPAK* >Brasy3G126700.1.p pacid=40041988 transcript=Brasy3G126700.1 locus=Brasy3G126700 ID=Brasy3G126700.1.v1.1 annot-version=v1.1 MPSWRDGSSGDSYSFLDHCRRSDSSDSEGGGRQVEDGWPDWIRDPDYNGEETEVEMQCFCDLPVCRYVAFHGTNLGRKFLGCPHEKLWWMLQDKDLEKETTVWDGNAKISTLMVSMEDEKMKMQIEEADRLMVLEARTAILMQMVRAEVEKYKRWSAFAFGVAVCIAAIIAVFMLKNA* >Brasy3G004200.1.p pacid=40041989 transcript=Brasy3G004200.1 locus=Brasy3G004200 ID=Brasy3G004200.1.v1.1 annot-version=v1.1 MKQFRWSRDVGKCGVLLPELILSVDPYSGAIYFPGPQLTRPTPTASPALPRPFRLLRTAPLSYEPPLPSYSRSKFQAALCCWCSAFACDSCRGETMFRLHRDY* >Brasy3G097800.1.p pacid=40041990 transcript=Brasy3G097800.1 locus=Brasy3G097800 ID=Brasy3G097800.1.v1.1 annot-version=v1.1 MDAIEECSRSNDERRRHQLLLGSRILVGVPNNSRGCSELLSWAIRAVAKPNDSVVAVHVLGTVLVFLSLCCFRMDCLFVLMILFSFGCVVVLCLAGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVICSSSIPRALTREAELTDANFIVVGRSRSTYHRNHFEVSNYCFMHAPNKCSVIAVGREGLPQSSTRFKSPSFDNSSMFSSSTWSKRFPPLQKLLRSSSARKQAPQSTSEGNEEDKSSPRTVLDGPEEGKHLQDTEECYSTCSTDVSRRGHNGIWRRLSDMKLWLPFLRFVDDESAKGGDVGSTFTEDQKPAWKCYSYQELSVATNDFHPDNMVGRGGYAEVYKGTLFDGQCVAVKRLAKGKPSEQKEKDFLSELGIQGHVCHPNTADLLGCCIENGLYLVMEFCANGTLASALHGKCGKVLQWPLRHKIALGVARGLLYLHMFCKHRIIHRDIKASNILLGDDFEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCTKLSLLQWAKPLLEAGQATELADADLGDDYDKDQLKRMVAVASRCILRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVNDMDDCTLFSESCSP* >Brasy3G097800.2.p pacid=40041991 transcript=Brasy3G097800.2 locus=Brasy3G097800 ID=Brasy3G097800.2.v1.1 annot-version=v1.1 MDAIEECSRSNDERRRHQLLLGSRILVGVPNNSRGCSELLSWAIRAVAKPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVICSSSIPRALTREAELTDANFIVVGRSRSTYHRNHFEVSNYCFMHAPNKCSVIAVGREGLPQSSTRFKSPSFDNSSMFSSSTWSKRFPPLQKLLRSSSARKQAPQSTSEGNEEDKSSPRTVLDGPEEGKHLQDTEECYSTCSTDVSRRGHNGIWRRLSDMKLWLPFLRFVDDESAKGGDVGSTFTEDQKPAWKCYSYQELSVATNDFHPDNMVGRGGYAEVYKGTLFDGQCVAVKRLAKGKPSEQKEKDFLSELGIQGHVCHPNTADLLGCCIENGLYLVMEFCANGTLASALHGKCGKVLQWPLRHKIALGVARGLLYLHMFCKHRIIHRDIKASNILLGDDFEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCTKLSLLQWAKPLLEAGQATELADADLGDDYDKDQLKRMVAVASRCILRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVNDMDDCTLFSESCSP* >Brasy3G097800.3.p pacid=40041992 transcript=Brasy3G097800.3 locus=Brasy3G097800 ID=Brasy3G097800.3.v1.1 annot-version=v1.1 MHAPNKCSVIAVGREGLPQSSTRFKSPSFDNSSMFSSSTWSKRFPPLQKLLRSSSARKQAPQSTSEGNEEDKSSPRTVLDGPEEGKHLQDTEECYSTCSTDVSRRGHNGIWRRLSDMKLWLPFLRFVDDESAKGGDVGSTFTEDQKPAWKCYSYQELSVATNDFHPDNMVGRGGYAEVYKGTLFDGQCVAVKRLAKGKPSEQKEKDFLSELGIQGHVCHPNTADLLGCCIENGLYLVMEFCANGTLASALHGKCGKVLQWPLRHKIALGVARGLLYLHMFCKHRIIHRDIKASNILLGDDFEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCTKLSLLQWAKPLLEAGQATELADADLGDDYDKDQLKRMVAVASRCILRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVNDMDDCTLFSESCSP* >Brasy3G114400.1.p pacid=40041993 transcript=Brasy3G114400.1 locus=Brasy3G114400 ID=Brasy3G114400.1.v1.1 annot-version=v1.1 MASTAPREDPLMEDDEQRPPLTRALLHRSATNNISQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGILTGIVGFLINLAVENVAGFKHTAVSSLMESSSYWTAFLVFAGANLALLLFASSITAFVAPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTWRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVAAVVLRLFIDLCGTGRCGLFGQGGLIMYDVSTLFDDLMTYHLKDIPAVVLIGATGALLGALYNLLMATVLRLYAAVNERGPAHKLLLAAAVSLATSCCLFGLPWFAPCRPCPTARPPAGMSASTSSNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGANDVYHPGSMLAFFLASYFLGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGRHSGLDHGLVAVLGSASFLGGTIRMTVSVCVIILELTNNLLLLPLVMLVLLISKTLADSFNSSIYDLILKLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFGGVEKVAHVAHVLRTTGHHAFPVVDEPPFARAPVLYGLVLRAHLLVLLKKRDFFMAAPERCGPEEFIAAGGFEAQDFDKRGSGKQDAIGDVELSAEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGGRWKRLRWQKGAVTKYFRSLIVWIANTG* >Brasy3G114400.2.p pacid=40041994 transcript=Brasy3G114400.2 locus=Brasy3G114400 ID=Brasy3G114400.2.v1.1 annot-version=v1.1 MDLLLRHRDPHRHRRVPHQPRRRERSRVQAHGRVLTHGIQQVIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTWRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVAAVVLRLFIDLCGTGRCGLFGQGGLIMYDVSTLFDDLMTYHLKDIPAVVLIGATGALLGALYNLLMATVLRLYAAVNERGPAHKLLLAAAVSLATSCCLFGLPWFAPCRPCPTARPPAGMSASTSSNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGANDVYHPGSMLAFFLASYFLGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGRHSGLDHGLVAVLGSASFLGGTIRMTVSVCVIILELTNNLLLLPLVMLVLLISKTLADSFNSSIYDLILKLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFGGVEKVAHVAHVLRTTGHHAFPVVDEPPFARAPVLYGLVLRAHLLVLLKKRDFFMAAPERCGPEEFIAAGGFEAQDFDKRGSGKQDAIGDVELSAEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGGRWKRLRWQKGAVTKYFRSLIVWIANTG* >Brasy3G041500.1.p pacid=40041995 transcript=Brasy3G041500.1 locus=Brasy3G041500 ID=Brasy3G041500.1.v1.1 annot-version=v1.1 MFPHISPSQPIIPNLTPSKFSSSSPSQTAAAAVVPFFQESTPGDLDCQPPPLSARTGFDLGCCAEVPHFLMDSPARGFSIKLWPLSESTRLMVVDRMTENLSAETLFSRKYDCLGKEEARENAKRIEETCFASVDEHFKEEPDGDGSSAVQLYARETSKLMMEVLKRGPRASAEPEAPAVIEAPVEPCDSVLDISGGKRAFIDADEAKELLRPLTKPGNSYKRICFSNRSFGIDAANVAGPILESIKKQITEVDISDFVAGRPEDKALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYAMNDGIS* >Brasy3G207000.1.p pacid=40041996 transcript=Brasy3G207000.1 locus=Brasy3G207000 ID=Brasy3G207000.1.v1.1 annot-version=v1.1 MSQLASLPKVILGSASFGVFWVLAVFPSVPFLPIGRTAGALLSAALMILFHVIGPDDAYASIDLPILGLLFATMVVGGYLKQAGMFAHLGRLLAWRCRPGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLQLAADRKLPAKPFLLALATSANIGSSATPIGNPQNLVIAFSSKISFVGFLLGVLPAMLAGMAVNMVLLLCMYWKDLAPGDMAAAGNNSKEMEMEDVVVVEEGLPSPSPPSTTLVATGAGEEDEDQESSISTKHRWFMECTERRRRAFLKSFAYVATGGMVVAYMMGLNMSWTAITTAVALVVADFRDAERCLGKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWRVVAPYSRIDHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISEAAVRRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAHDLTFWSHVVFGAPSTLLVTAVGIPLIGILNAA* >Brasy3G161300.1.p pacid=40041997 transcript=Brasy3G161300.1 locus=Brasy3G161300 ID=Brasy3G161300.1.v1.1 annot-version=v1.1 MATSMAKWLALCAPVLAALAATCAADWSPATATFYGEPDGSGTMGGACGYGNLYDQGYGINNAALSTVLFNDGASCGQCFIIMCDASKTGWCKPGYGTVTVSATNLCPPNWALPNDNGGWCNPPRQHFDMSQPAWETIGIYRAGIVPVLYQRVKCWRTGGVRFTIAGFNYFELVLITNVGGSGSVASMSIKGSNTGWIQMSRNWGANWQCLAGLAGQALSFSVTTTGGQNLVFDNVAPAWWQFGQTFGTYKQFDY* >Brasy3G040600.1.p pacid=40041998 transcript=Brasy3G040600.1 locus=Brasy3G040600 ID=Brasy3G040600.1.v1.1 annot-version=v1.1 MSDRHKGQLVCLANLITTKKTELKATDKKKEILQGDTSSAGLYIEWSKVKESHFIYISSQSRSVVLKLELLAIAGVEGVLEETDINKPLLVFSKGFGERGHWDDTKVLLFKMFSKSGADDNIAKDYLYAFTRSVGKIYFRIYKINSLSGVDKEGS* >Brasy3G250900.1.p pacid=40041999 transcript=Brasy3G250900.1 locus=Brasy3G250900 ID=Brasy3G250900.1.v1.1 annot-version=v1.1 MDPACKIKSLSRRGLNFSKKRERRGLNSKLSSTNAEGHSCTITPMSRLRILRRRSSFSSASLMSGLRIPRRRSSSSTTPMSGLRLRRSSSSSTTPMPRLQLLLRRSSSSTSPPSRYWDPQIAFVAAKARVRAGTFSTEDAHHLFDELLHQDTPVHGRALNGFLAALARATDSVACSDAPALVLALFNRICREEAGPRVAPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLRTEGIVTNTFLKCLCYAKRTDEALSMLLHRMSELGCVPDAFSYNTVIKSLCSDSRSQEALDLLLSMAKGGGCSPDVVSYTMVIHGLFMEGEISKACNLFNEMVQKGVVPNVVTYNSIVHALCKARAMDKAELVLRQMFDNSVQPDEVTYTAMIHGYSCLGRWKEAGKMFRDMTREGLIPDIVTFNSLMDSLCKHKRSKEAAEIFHSITTKGHKPDIVSYSVLLHGYATEGRFVDMNNLFHSMIDNGIVADSHCFNILIHAHAKRGMMDKALLIFTEMRGQGVSPNVVTYATVIAALCRMGRLADAMEKLSQMISIGLKPNKVVYHTLIQGFCTHGDLIKAKELISEMMDQGIPRPNITFFSSIIHSLCNEGKVMNAQDVFNLVIHIGDRPDIFTFNSLIDGYCLVRKMDKAFGVLDAMVSAGTEPDVITYSTLINGYFKSGRIDDGLILFREMLCKRVKPTTVTYRIVLDGLFRAGRTSAAKKMFHEMIESGTAMSIATYTIILQGLCRNNCTDEAITLFQKLGAMNLKFEISILNTMIHALYKVKRREEAHDLFASVSASGLVPNASTYGVMIINLLKEGSVEETDIMFSSMEKTGCAPSSRLLNDVIRMLLQKGEIVKAGYYMSKVDGTIISLEASTTSLLMSLFASKGKYWEQIKFLPAKYQFFDGIS* >Brasy3G250900.3.p pacid=40042000 transcript=Brasy3G250900.3 locus=Brasy3G250900 ID=Brasy3G250900.3.v1.1 annot-version=v1.1 MDPACKIKSLSRRGLNFSKKRERRGLNSKLSSTNAEGHSCTITPMSRLRILRRRSSFSSASLMSGLRIPRRRSSSSTTPMSGLRLRRSSSSSTTPMPRLQLLLRRSSSSTSPPSRYWDPQIAFVAAKARVRAGTFSTEDAHHLFDELLHQDTPVHGRALNGFLAALARATDSVACSDAPALVLALFNRICREEAGPRVAPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLRTEGIVTNTFLKCLCYAKRTDEALSMLLHRMSELGCVPDAFSYNTVIKSLCSDSRSQEALDLLLSMAKGGGCSPDVVSYTMVIHGLFMEGEISKACNLFNEMVQKGVVPNVVTYNSIVHALCKARAMDKAELVLRQMFDNSVQPDEVTYTAMIHGYSCLGRWKEAGKMFRDMTREGLIPDIVTFNSLMDSLCKHKRSKEAAEIFHSITTKGHKPDIVSYSVLLHGYATEGRFVDMNNLFHSMIDNGIVADSHCFNILIHAHAKRGMMDKALLIFTEMRGQGVSPNVVTYATVIAALCRMGRLADAMEKLSQMISIGLKPNKVVYHTLIQGFCTHGDLIKAKELISEMMDQGIPRPNITFFSSIIHSLCNEGKVMNAQDVFNLVIHIGDRPDIFTFNSLIDGYCLVRKMDKAFGVLDAMVSAGTEPDVITYSTLINGYFKSGRIDDGLILFREMLCKRVKPTTVTYRIVLDGLFRAGRTSAAKKMFHEMIESGTAMSIATYTIILQGLCRNNCTDEAITLFQKLGAMNLKFEISILNTMIHALYKVKRREEAHDLFASVSASGLVPNASTYGVMIINLLKEGSVEETDIMFSSMEKTGCAPSSRLLNDVIRMLLQKGEIVKAGYYMSKVDGTIISLEASTTSLLMSLFASKGKYWEQIKFLPAKYQFFDGIS* >Brasy3G250900.4.p pacid=40042001 transcript=Brasy3G250900.4 locus=Brasy3G250900 ID=Brasy3G250900.4.v1.1 annot-version=v1.1 MDPACKIKSLSRRGLNFSKKRERRGLNSKLSSTNAEGHSCTITPMSRLRILRRRSSFSSASLMSGLRIPRRRSSSSTTPMSGLRLRRSSSSSTTPMPRLQLLLRRSSSSTSPPSRYWDPQIAFVAAKARVRAGTFSTEDAHHLFDELLHQDTPVHGRALNGFLAALARATDSVACSDAPALVLALFNRICREEAGPRVAPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLRTEGIVTNTFLKCLCYAKRTDEALSMLLHRMSELGCVPDAFSYNTVIKSLCSDSRSQEALDLLLSMAKGGGCSPDVVSYTMVIHGLFMEGEISKACNLFNEMVQKGVVPNVVTYNSIVHALCKARAMDKAELVLRQMFDNSVQPDEVTYTAMIHGYSCLGRWKEAGKMFRDMTREGLIPDIVTFNSLMDSLCKHKRSKEAAEIFHSITTKGHKPDIVSYSVLLHGYATEGRFVDMNNLFHSMIDNGIVADSHCFNILIHAHAKRGMMDKALLIFTEMRGQGVSPNVVTYATVIAALCRMGRLADAMEKLSQMISIGLKPNKVVYHTLIQGFCTHGDLIKAKELISEMMDQGIPRPNITFFSSIIHSLCNEGKVMNAQDVFNLVIHIGDRPDIFTFNSLIDGYCLVRKMDKAFGVLDAMVSAGTEPDVITYSTLINGYFKSGRIDDGLILFREMLCKRVKPTTVTYRIVLDGLFRAGRTSAAKKMFHEMIESGTAMSIATYTIILQGLCRNNCTDEAITLFQKLGAMNLKFEISILNTMIHALYKVKRREEAHDLFASVSASGLVPNASTYGVMIINLLKEGSVEETDIMFSSMEKTGCAPSSRLLNDVIRMLLQKGEIVKAGYYMSKVDGTIISLEASTTSLLMSLFASKGKYWEQIKFLPAKYQFFDGIS* >Brasy3G250900.2.p pacid=40042002 transcript=Brasy3G250900.2 locus=Brasy3G250900 ID=Brasy3G250900.2.v1.1 annot-version=v1.1 MDPACKIKSLSRRGLNFSKKRERRGLNSKLSSTNAEGHSCTITPMSRLRILRRRSSFSSASLMSGLRIPRRRSSSSTTPMSGLRLRRSSSSSTTPMPRLQLLLRRSSSSTSPPSRYWDPQIAFVAAKARVRAGTFSTEDAHHLFDELLHQDTPVHGRALNGFLAALARATDSVACSDAPALVLALFNRICREEAGPRVAPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLRTEGIVTNTFLKCLCYAKRTDEALSMLLHRMSELGCVPDAFSYNTVIKSLCSDSRSQEALDLLLSMAKGGGCSPDVVSYTMVIHGLFMEGEISKACNLFNEMVQKGVVPNVVTYNSIVHALCKARAMDKAELVLRQMFDNSVQPDEVTYTAMIHGYSCLGRWKEAGKMFRDMTREGLIPDIVTFNSLMDSLCKHKRSKEAAEIFHSITTKGHKPDIVSYSVLLHGYATEGRFVDMNNLFHSMIDNGIVADSHCFNILIHAHAKRGMMDKALLIFTEMRGQGVSPNVVTYATVIAALCRMGRLADAMEKLSQMISIGLKPNKVVYHTLIQGFCTHGDLIKAKELISEMMDQGIPRPNITFFSSIIHSLCNEGKVMNAQDVFNLVIHIGDRPDIFTFNSLIDGYCLVRKMDKAFGVLDAMVSAGTEPDVITYSTLINGYFKSGRIDDGLILFREMLCKRVKPTTVTYRIVLDGLFRAGRTSAAKKMFHEMIESGTAMSIATYTIILQGLCRNNCTDEAITLFQKLGAMNLKFEISILNTMIHALYKVKRREEAHDLFASVSASGLVPNASTYGVMIINLLKEGSVEETDIMFSSMEKTGCAPSSRLLNDVIRMLLQKGEIVKAGYYMSKVDGTIISLEASTTSLLMSLFASKGKYWEQIKFLPAKYQFFDGIS* >Brasy3G262800.1.p pacid=40042003 transcript=Brasy3G262800.1 locus=Brasy3G262800 ID=Brasy3G262800.1.v1.1 annot-version=v1.1 MARRLLHLRRHLTTLPPRLLPSRQYMSDMRRSAFLDRLLRSLRSEISSCRPEPAPPLPPSAAPFTVDDRPGEQWIRLRRAFSAAEGGGGEEEEVRVDATMVDGAVAPTRSGAVADDGGPPRMHISVHVEVSKTARPDMALHFECSAWPEEMDVERVYPVRRGASAPEQQYMGRQFRELDEEMQGAVRDYLEQRGVNDELAEFLHSYMENKEQTELVRWLRNVECYLKK* >Brasy3G334200.1.p pacid=40042004 transcript=Brasy3G334200.1 locus=Brasy3G334200 ID=Brasy3G334200.1.v1.1 annot-version=v1.1 MEIKVERKRRTVLCEAEEAASAGMGGGAGDGFGCFFTEAELAAADQLVQLSASGEATAASASSPTSSTLSVNKGGGEAAAAAEDDDDWPLDRRARKRYRAVAELYEATRPGGGSERRNR* >Brasy3G320300.1.p pacid=40042005 transcript=Brasy3G320300.1 locus=Brasy3G320300 ID=Brasy3G320300.1.v1.1 annot-version=v1.1 MSAVGTAARPRRRAQEDGLVREKVVVVDLEAAGSPAAVGGGGSRRVAPTPAAPAGTQASPIDVEALVDGEGRRNRRGTSRRHPATVVVDLEVDTVQQGCDDDVITVHSFIGSKRRREERASIQRNDAVRLSRSSADAAPKSIPEKIAPPKEPAFTCPVCLNKLEQPSTTTCGHVFCENCIKTAIKAQKKCPTCRKKLGPRSYHRVYLPTTAD* >Brasy3G160700.1.p pacid=40042006 transcript=Brasy3G160700.1 locus=Brasy3G160700 ID=Brasy3G160700.1.v1.1 annot-version=v1.1 MDSIGADEKRKGKAPLLQADDVAYAKASAAAAKAAPEEEEAVSMAEAKGSSSSEVKEPEEKPMLVLLAQDGVEVRISEPAARMSQMLRHMIEDCCAGDRIPTPDVYSDVLERVVHYCEKHGPYYDPEASERDRHPFPPFPVELTPTVSSIKPVTYVNPDPHGLKAWDKEFINLDNSTIFEITLAANYLNIQDLLDLCTTTVANKMRGKTPEELREIFEIENDYTAEQEAEVRRENSWAFED* >Brasy3G160700.2.p pacid=40042007 transcript=Brasy3G160700.2 locus=Brasy3G160700 ID=Brasy3G160700.2.v1.1 annot-version=v1.1 MDSIGADEKRKGKAPLLQADDVAYAKASAAAAKAAPEEEEAVSMAEAKGSSSSEVKEPEEKPMLVLLAQDGVEVRISEPAARMSQMLRHMIEDCCAGDRIPTPDVYSDVLERVVHYCEKHGPYYDPEASERDRHPFPPFPVELTPTVSSIKPVTYVNPDPHGLKAWDKEFINLDNSTIFEITLAANYLNIQDLLDLCTTTVANKMRGKTPEELREIFEIENDYTAEQEAEVRRENSWAFED* >Brasy3G332400.1.p pacid=40042008 transcript=Brasy3G332400.1 locus=Brasy3G332400 ID=Brasy3G332400.1.v1.1 annot-version=v1.1 MSTTTAAAATASTSLSSASRRRSSPAYSILATRGGSLLRRPLSTSSRPLLRPVPAMASPCADGEAETSRKNLLIFDAEEALAASLAEYTAGLSEKATAAERGAFTVVLSGGSLIKALRKLAEPPYLEAVDWSRWHVFWADERVVPKDHADSNYKLAMDGLLTKVPIPPNQVYAMNDTLSVEGAADDYETCLKQLVKNGVIAVSPVTGFPKFDLILLGMGPDGHIASLFPGHPIVHENQKLVTYVKDSPKPPPERITFTFPVINSSTHIGLVVTGAGKAGAVHKALSGDQKSLDLLPVEMIEPQDGEVTWFTDKPAVSMLSKI* >Brasy3G348400.1.p pacid=40042009 transcript=Brasy3G348400.1 locus=Brasy3G348400 ID=Brasy3G348400.1.v1.1 annot-version=v1.1 MGSRCEVEVTVGSARELKNVNWRDGGDLKPYAVLWLDSSDAGAKCSTRVDLDNADRPVWDEKLTLPAPGGRLDDAVLHIDVVHAAPAGAQPQLIGSARLPLRDVLREAGGIGGGRVSSRPSGRPQGRLDVRVAVRQSARGYYDPSPPPASSYPPAPYGAAPGYGSGAGGYAAQPPPTGYPAAYGAAQQQPAYGAAQQPVAEEKGMGMGAGLAAGVLGGLALAVGASYLEDELEEGVAEKVEEDMARRAGYRDDSDSD* >Brasy3G109500.1.p pacid=40042010 transcript=Brasy3G109500.1 locus=Brasy3G109500 ID=Brasy3G109500.1.v1.1 annot-version=v1.1 MMSRPKLSGIQRQALALYRGFLRTARLKSPEERHRIESVVSAEFRENARNVDRRNFVYIEYLIRRGKRQLEQLKNPDITGLSTLEVNKASSIP* >Brasy3G298100.1.p pacid=40042011 transcript=Brasy3G298100.1 locus=Brasy3G298100 ID=Brasy3G298100.1.v1.1 annot-version=v1.1 MAVHATEMDAMANGAAESSAESPAAAADSSVAARAKGRGLRRWRRIKREHHKEASPPAGDGAADDDDASQLHKRRLPLGADAPPKGKHEHVAAHVEGERSAASVESRFVPMVPAPAKLDPDLATAGFSVGAGGADSDNSEDRSSKSSTATSAPRHDFTPSRRAPAAWPASLHGKNHRAMRARADRLRVYPAEAENSPSSVESDRRSSNAFNGRRPGVGNGIHKVLSEGDDHSDEEQPSLEVRWMARGYCKQNDESSVVGRLVRRSGDSGDADVEDTFDEGSVGKGENGLGMNSGADPYAESVLLLQRTQEALEDEIQNFTAVGKESNDDFDVQDDGWSNSIDLDEPVEEASKKIRDLESRMEDVSALIKEKDSTILELEALSRTRAWRSAIQSTNLLLQPDLDQLLQEKMEAEIQCIILTRAAQTWTPLAKDRTALYEEQKSLLGDYKQLELKLQNAENRATILGEMVEKLEVQCKKLSASSEILQLQSRASTISLFCFIQFILLLIAIGTFLVRLLSSSTEVVPT* >Brasy3G149700.1.p pacid=40042012 transcript=Brasy3G149700.1 locus=Brasy3G149700 ID=Brasy3G149700.1.v1.1 annot-version=v1.1 MLLRVYVGMLARGARPDAYTFPPLLKAVTAAAEQGAVGDAVHAHVVKFGMELNAHVASSLVLMYAARGDGTIARALLDAWPARGGDTPVVWNALISGHRRSRQFRLSCCSFVDMVRAGIKPTPVTYITVLSACGKDKYIRFGMQVHKCVVANGMLPDLKVGNALIDMYTECSKMDAAWELFEGMEVRNTVSWTSVISGFLRLGQVNQARTLFDCMPERDTVSWTAMIDGYVQAGQFREALEMFREMQFSKVRADEFTMVSVVTACAQLGALETGEWARIYMSRHGIKMDTFVGNALIDMYSKCGSIQQALDVFKEMYIRDKFTWTAVILGLAVNGHGEEAINMFYRMLRVFEAPDEVTFIGVLTACTHAGLVDKGRDFFLSMTGSYGIAPNVMHYGCLIDLLGRAGKLKEALETIGKMPMRPNSAIWGTLLAACRVHGNSEIGQLAAEHLLELDKENGMAYILLSNLYAKSNRWEDVRRLRQVIMEKGIKKEPGCSLIEMNGTIHEFIAGDRSHPMSKEIYSKLDKVLTDLKDAGYVPDLTEIFVQVTREEK* >Brasy3G149700.2.p pacid=40042013 transcript=Brasy3G149700.2 locus=Brasy3G149700 ID=Brasy3G149700.2.v1.1 annot-version=v1.1 MLLRVYVGMLARGARPDAYTFPPLLKAVTAAAEQGAVGDAVHAHVVKFGMELNAHVASSLVLMYAARGDGTIARALLDAWPARGGDTPVVWNALISGHRRSRQFRLSCCSFVDMVRAGIKPTPVTYITVLSACGKDKYIRFGMQVHKCVVANGMLPDLKVGNALIDMYTECSKMDAAWELFEGMEVRNTVSWTSVISGFLRLGQVNQARTLFDCMPERDTVSWTAMIDGYVQAGQFREALEMFREMQFSKVRADEFTMVSVVTACAQLGALETGEWARIYMSRHGIKMDTFVGNALIDMYSKCGSIQQALDVFKEMYIRDKFTWTAVILGLAVNGHGEEAINMFYRMLRVFEAPDEVTFIGVLTACTHAGLVDKGRDFFLSMTGSYGIAPNVMHYGCLIDLLGRAGKLKEALETIGKMPMRPNSAIWGTLLAACRVHGNSEIGQLAAEHLLELDKENGMAYILLSNLYAKSNRWEDVRRLRQVIMEKGIKKEPGCSLIEMNGTIHEFIAGDRSHPMSKEIYSKLDKVLTDLKDAGYVPDLTEIFVQVTREEK* >Brasy3G149700.4.p pacid=40042014 transcript=Brasy3G149700.4 locus=Brasy3G149700 ID=Brasy3G149700.4.v1.1 annot-version=v1.1 MLLRVYVGMLARGARPDAYTFPPLLKAVTAAAEQGAVGDAVHAHVVKFGMELNAHVASSLVLMYAARGDGTIARALLDAWPARGGDTPVVWNALISGHRRSRQFRLSCCSFVDMVRAGIKPTPVTYITVLSACGKDKYIRFGMQVHKCVVANGMLPDLKVGNALIDMYTECSKMDAAWELFEGMEVRNTVSWTSVISGFLRLGQVNQARTLFDCMPERDTVSWTAMIDGYVQAGQFREALEMFREMQFSKVRADEFTMVSVVTACAQLGALETGEWARIYMSRHGIKMDTFVGNALIDMYSKCGSIQQALDVFKEMYIRDKFTWTAVILGLAVNGHGEEAINMFYRMLRVFEAPDEVTFIGVLTACTHAGLVDKGRDFFLSMTGSYGIAPNVMHYGCLIDLLGRAGKLKEALETIGKMPMRPNSAIWGTLLAACRVHGNSEIGQLAAEHLLELDKENGMAYILLSNLYAKSNRWEDVRRLRQVIMEKGIKKEPGCSLIEMNGTIHEFIAGDRSHPMSKEIYSKLDKVLTDLKDAGYVPDLTEIFVQVTREEK* >Brasy3G149700.3.p pacid=40042015 transcript=Brasy3G149700.3 locus=Brasy3G149700 ID=Brasy3G149700.3.v1.1 annot-version=v1.1 MLLRVYVGMLARGARPDAYTFPPLLKAVTAAAEQGAVGDAVHAHVVKFGMELNAHVASSLVLMYAARGDGTIARALLDAWPARGGDTPVVWNALISGHRRSRQFRLSCCSFVDMVRAGIKPTPVTYITVLSACGKDKYIRFGMQVHKCVVANGMLPDLKVGNALIDMYTECSKMDAAWELFEGMEVRNTVSWTSVISGFLRLGQVNQARTLFDCMPERDTVSWTAMIDGYVQAGQFREALEMFREMQFSKVRADEFTMVSVVTACAQLGALETGEWARIYMSRHGIKMDTFVGNALIDMYSKCGSIQQALDVFKEMYIRDKFTWTAVILGLAVNGHGEEAINMFYRMLRVFEAPDEVTFIGVLTACTHAGLVDKGRDFFLSMTGSYGIAPNVMHYGCLIDLLGRAGKLKEALETIGKMPMRPNSAIWGTLLAACRVHGNSEIGQLAAEHLLELDKENGMAYILLSNLYAKSNRWEDVRRLRQVIMEKGIKKEPGCSLIEMNGTIHEFIAGDRSHPMSKEIYSKLDKVLTDLKDAGYVPDLTEIFVQVTREEK* >Brasy3G267800.1.p pacid=40042016 transcript=Brasy3G267800.1 locus=Brasy3G267800 ID=Brasy3G267800.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTQAGDAAAKKPKEKDRPTGMSNADWAADCTRRSVENASRRERERKAKERNADLARQMEAQRVAASAQMVANKAGIAAPRPPSGQHWSSGSQGSSPSSPSPSGISPVSPHMPHDNHANATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGGFNPKAFASPPLRRGPLSYSGYLSSASFQQFPAGCSQPAPNPFGGMSQGDTIMADMINDGSQHAHYTYTQEEEPYAAEDAEEREEWADGTEEPVVAEPRGKKKAATEMKKAGGGGRGPKWTSKEDECLAEAWKIVSMDLFTGVNPSGVTYWRRVKTAYDERRRINRQFASVTHDRNESGLSHQWQMIQQACNKWHVIQEEVRHHRPK* >Brasy3G062700.1.p pacid=40042017 transcript=Brasy3G062700.1 locus=Brasy3G062700 ID=Brasy3G062700.1.v1.1 annot-version=v1.1 MHGGLCYLKYSITGCSKLKLNILSMPIHLIQYSITGVTFLEHLFFLNMLEFNLFQTELKKSPFSRPNWRPSKHPLDPLIIRFVSSPLDGAPTPMDGSDLPAASCPTATTGVASDGPPETAGEDPATAPHPERCEALAAAIAGVLGGALEEHEARAAATARSQGELAAAIDRLNGELDKLLENAPSPVIAQHAARISSIRKRVLALNMLLRAIQRRIDNIDRMVSTGVTSDHPSRVQLHNHN* >Brasy3G185300.1.p pacid=40042018 transcript=Brasy3G185300.1 locus=Brasy3G185300 ID=Brasy3G185300.1.v1.1 annot-version=v1.1 MPPRPIPPKKKPRPYRNLSHTLPLRPLPLGLGCQSPPPPPPNISSPVAAAPSVHHPIGLHPPITVLVASPSPIPPDLSRSVAQSRSLSHVPNLAADHEIGEGSR* >Brasy3G260900.1.p pacid=40042019 transcript=Brasy3G260900.1 locus=Brasy3G260900 ID=Brasy3G260900.1.v1.1 annot-version=v1.1 MAGPTAPATSSSPPARRRSARPGQHRGRDQRPPTPPPPAAAPPPEEPGHRRSVRIRTRARTRVRGLPSASPSSSRRRRTGPPRPTLQACAEEWAKGKAASGAPEEECVLPFLQKGAPRKGTQDTC* >Brasy3G028800.1.p pacid=40042020 transcript=Brasy3G028800.1 locus=Brasy3G028800 ID=Brasy3G028800.1.v1.1 annot-version=v1.1 MELFPSQPDLSLQIGLPATTTRHDHHHQARFFGPPSSNNPSMAPPPPLQPSPLQLPFPMPHLPLPMPPGMGHGGGLYYPNGGLLRPIRGVPLYGPGGPGPHVVGNSPAMAAPPCYCDPYARRSGGACGGGGGGGGRILGGFPAPKRAARAPRMRWTSTLHSRFVHAVELLGGHDRATPKAVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNLLDLHGVGGRTEAARHARLAAANDHDGSISAGALWNSSSREEDWSGFPCDSNNESMQSFKDHMQSKSLEILSDINSCLSETTSSASEPNLDFTLGRPHNRRS* >Brasy3G222400.1.p pacid=40042021 transcript=Brasy3G222400.1 locus=Brasy3G222400 ID=Brasy3G222400.1.v1.1 annot-version=v1.1 MELFCLACGRRKTTASFGRAGAARPPDGPGGRSAQEGEKEKKKGGGWAGPVELGQAQAVRREARLEEGGAARSGRGGRCRPGSDRGEEGGDSGRARGGGAMGARGGGSGGGARGAGGRPRKVGGSARATHRGRGSSGGGLGKRQSNG* >Brasy3G046600.1.p pacid=40042022 transcript=Brasy3G046600.1 locus=Brasy3G046600 ID=Brasy3G046600.1.v1.1 annot-version=v1.1 MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF* >Brasy3G112000.1.p pacid=40042023 transcript=Brasy3G112000.1 locus=Brasy3G112000 ID=Brasy3G112000.1.v1.1 annot-version=v1.1 MASGDPFAAAGDVTGDSSSSSPTTARLLEALAALSQVFESGDPASSDAATAAVVEILGANADADAGGDAARPDTANMVSEQLLREVHAFLSCPSSNQMAIDALSLDLPKPVAKLGARMGNCRDIAKTIIELLVSNCNPRDMLSILCEALDTPLALNGSAYFVLVLDMLARVLILIQRRHIEQVKVVLPAVLRVMRAIISECDEEHGTTVVDLFDAALQIGNSIQEMCKTMVNHKKEELSSMLGLYSLQNIALISESKHQHILSACGSFVLQHFKIVTFCGFTCLGLLTGNEVISATNKLSKEEDADFLGCFSFAMEGASLLAVWTYMHDDMSKYAGAELESALKEVQDNYIRKWEAINMFRYVLSSVNYPWVIKSYSLDLLLTLVDENCIEETKDHADFLYSTKFFATLKAIESVMIAAPDPLTRKKAFATLKKVISVVPSSQRFDILQSLIKNSMFPSLTAIHLDLVKNEVLRESRRAKDWIESDQSQDAGESPHWASQSLELVELILRPPEGGPPCLPDHSEQVLSALNLLRLILIIDSRECRSAKLFQKETTRKVYSEWLIPLRPVVAGVQSELEKDDGEGANQMVCMLNPVQLVLHRCIELLEEKMKGS* >Brasy3G112000.2.p pacid=40042024 transcript=Brasy3G112000.2 locus=Brasy3G112000 ID=Brasy3G112000.2.v1.1 annot-version=v1.1 MASGDPFAAAGDVTGDSSSSSPTTARLLEALAALSQVFESGDPASSDAATAAVVEILGANADADAGGDAARPDTANMVSEQLLREVHAFLSCPSSNQMAIDALSLDLPKPVAKLGARMGNCRDIAKTIIELLVSNCNPRDMLSILCEALDTPLALNGSAYFVLVLDMLARVLILIQRRHIEQVKVVLPAVLRVMRAIISECDEEHGTTVVDLFDAALQIGNSIQEMCKTMVNHKKEELSSMLGLYSLQNIALISESKHQHILSACGSFVLQHFKIVTFCGFTCLGLLTGNEVISATNKLSKEEDADFLGCFSFAMEGASLLAVWTYMHDDMSKYAGAELESALKEVQDNYIRKWEAINMFRYVLSSVNYPWVIKSYSLDLLLTLVDENCIEETKDHADFLYSTKFFATLKAIESVMIAAPDPLTRKKAFATLKKVISVVPSSQRFDILQSLIKNSMFPSLTAIHLDLVKNEVLRESRRAKDWIESDQSQDAGESPHWASQSLELVELILRPPEGGPPCLPDHSEQVLSALNLLRLILIIDSRGVQSELEKDDGEGANQMVCMLNPVQLVLHRCIELLEEKMKGS* >Brasy3G112000.3.p pacid=40042025 transcript=Brasy3G112000.3 locus=Brasy3G112000 ID=Brasy3G112000.3.v1.1 annot-version=v1.1 MASGDPFAAAGDVTGDSSSSSPTTARLLEALAALSQVFESGDPASSDAATAAVVEILGANADADAGGDAARPDTANMVSEQLLREVHAFLSCPSSNQMAIDALSLDLPKPVAKLGARMGNCRDIAKTIIELLVSNCNPRDMLSILCEALDTPLALNGSAYFVLVLDMLARVLILIQRRHIEQVKVVLPAVLRVMRAIISECDEEHGTTVVDLFDAALQIGNSIQEMCKTMVNHKKEELSSMLGLYSLQNIALISESKHQHILSACGSFVLQHFKIVTFCGFTCLGLLTGNEVISATNKLSKEEDADFLGCFSFAMEGASLLAVWTYMHDDMSKYAGAELESALKEVQDNYIRKWEAINMFRYVLSSVNYPWVIKSYSLDLLLTLVDENCIEETKDHADFLYSTKFFATLKAIESVMIAAPDPLTRKKAFATLKKVISVVPSSQRFDILQSLIKNSMFPSLTAIHLDLVKNEVLRESRRAKDWIESDQSQDAGESPHWASQSLELVELILRPPEGGPPCLPDHSEQVLSALNLLRLILIIDSRDQQNCSKKRQHGKCTQSG* >Brasy3G026300.1.p pacid=40042026 transcript=Brasy3G026300.1 locus=Brasy3G026300 ID=Brasy3G026300.1.v1.1 annot-version=v1.1 MHERLAQRATLVRRSLRTKKHTPSSIKSTTIIMNRNRTGDIARNDGPPLSIEIFVGSEDQEALSCKGRY* >Brasy3G030600.1.p pacid=40042027 transcript=Brasy3G030600.1 locus=Brasy3G030600 ID=Brasy3G030600.1.v1.1 annot-version=v1.1 MNYWGSPDTSPAWGAARHGPSPMVPLMVVLALGWVICNETLMGWYDQVAEVQETVTENSVVLILTAGFLLVAIVILSSRMEVVLVPAVLVLVMLLIQNIVLAVLLLLLALYFAGIYYSAPHRGYGGGSFTGGDWAGSGLGFYMLLLLCLVLCAMFSEEGGSWWIPGVLLAACLLCLNLFSGGKVMGYEYF* >Brasy3G158300.1.p pacid=40042028 transcript=Brasy3G158300.1 locus=Brasy3G158300 ID=Brasy3G158300.1.v1.1 annot-version=v1.1 MSMDIRDRVRAAKASFSGPCFMMIFICAAWHIWKQRNSHILDRSPPSLSSWFAGFKQELFLLSHRVKEIHRSILLVWLESLVNPS* >Brasy3G344100.1.p pacid=40042029 transcript=Brasy3G344100.1 locus=Brasy3G344100 ID=Brasy3G344100.1.v1.1 annot-version=v1.1 MNSVTYSNKASAMATLVLIVVINWAIGNIPARWRWQILLGLGSCLSLGLNRIPSTNHTSMLCLARHSSCCWLGTRLPDAAVSHLCWYLS* >Brasy3G104100.1.p pacid=40042030 transcript=Brasy3G104100.1 locus=Brasy3G104100 ID=Brasy3G104100.1.v1.1 annot-version=v1.1 MATGGESGEAAPEERDWSDLTPVCLADAFSRLDLEDLWRGAMACCRSWRDAARSRPALFAALDLEPAFESVGADAAVWWTPAFQRRVDAMLRSTASLAAGELREVRVRHCSDDALAAATESSQQLCILSIRSSPSVTDRSMLIVASCCPMLTELDISYCHEVSYKTLEAIGQNCPNLIVLKRSIFNWLDSSEHIGIVPDDYLRGCPQDGDREAIAISKSMQKLKHLVLRFGKLSGVGLNSIVEGCKELEVLDLFGCANLTSRGIEQAATNLKNLETFVKPNFYIPRSSFHMERYGHWQLYDERFQTNVFQI* >Brasy3G177900.1.p pacid=40042031 transcript=Brasy3G177900.1 locus=Brasy3G177900 ID=Brasy3G177900.1.v1.1 annot-version=v1.1 MEPSEATTAEENQDATPLPKEMLTEILARLPAKSVGRFRCVSPEWAAMLSSAHFVDLHARRANRSDCPRLLLAPVGSLYDDYIYSWQPGGQVEKLMPDDFAAKGLLAPVTKPCHGLVLIRCTDYGGYFVCNPSTGAVLPLPDSENPLKMIWRRSSFHGEVELPYFHEVSYGLGYCSMTKQHKVVRFFCRNDLSSCEVLVLDTPAYWRPTAQEPPLCYVNEEKPAVFLNGHLHFLCRDAEIITFNTSSETFGSLLPPTGFENASPVLTELDGCLCFCYGEPDSDDPYHVFLLKDYMEGRWEKLCCIDRIAWPETERMLLRSLCISPLGMYHSGDGQRKIMFGTGSCKVFAVDIDSNTPEILFTPDGTIIGSCEDDYTLPLCLFEEYLGPVGRTLEEMIFSSPTTKAWSDILKWMSARSVSELSLVCREWRAMIMTDRFIQSHVVHANLNKNPRIMIVVDARFGHYMNMKDFFDAGAPALYANLVCNAQPCHGLNVGSCGPWDFVCNPVMGYCQHIESVDDDVPLFAGRIGLGYDSEINKHVLVHTTYKEKNLDTRDYELQCKLRYVKEQQWRSVDPPPRPIADMPPTYVSGKIYWMVEPNLGPISLRCEIVAFNVATGEFGVLQGPPCSHDNGRISILQLQGALCVACSDKNMNVLDIWMMKDIDTWLMEYHIELKEFSPEYLSENTTPLLVDPEDGRILLNTGLSIGYYDPKMAGLETIYTVGMPEHDARFWPIICDESLLCPLGPS* >Brasy3G002100.1.p pacid=40042032 transcript=Brasy3G002100.1 locus=Brasy3G002100 ID=Brasy3G002100.1.v1.1 annot-version=v1.1 MAELVVAAAAPAAAGRWGAAPPQELLERLKDYGQEGAFALWDELAPEERDFLVRDIQSIDLARIDRIVRCSLRSQGGPVPVVQPVPESSVATVDDRSPEDKERWWRRGLKAIAEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSTDGNTPQIHWYIMTSPFTDETTRKFFESHRYFGLEPDQVTFFQQGTLPCVSPDGRFLMETPYKVARAPDGNGGVYAALKSKRLLDDMSARGVKYIDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDPSMTTEINQTTGRLRYCWSNVCLHMFTSEFLNQVTNSLEKDSVYHLADKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPMCSYAGENLEAICRGRTFHAPSEISL* >Brasy3G113000.1.p pacid=40042033 transcript=Brasy3G113000.1 locus=Brasy3G113000 ID=Brasy3G113000.1.v1.1 annot-version=v1.1 MLVCLRRGLSLLRQHPPRALPQLPPRPAHLLSPSPSPSPLRLSTAAMAGDKSAAGKDAKGKASAPPPTVVPDGAYLEAVTQKRIRLFEEIQTKQALERLNIGGEPIKIILPDGAVKEGKKWISTPMDIAKGISSGLAASCLIAQVNGILWDMERPLEGDCDLKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAHYNDVTLNDTHFGLIEKQAQKAVAEKQPFERIEVSRAEALEIFAENQFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASASYWRGKADRESLQRVYGISFPDSKRLKEYQHMIEEAKKRDHRLLGQSQELFFFHPLSPGSCFFLPHGAIIYNKLMDFLRGQYRERGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFDHKVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCTESQIKAEVRGCLDFIDYVYGIFGFKYELELSTRPEKYLGDIKTWNKAEQQLTEALDEFGKPWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLSYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCCVSSNSLEYAKEVHVKIHAAGFHVDIDMTDRTIQKKVREAQLAQFNYILVVGAKEAETGKVVLRVRDKAELSTESIADVITRFSDEIASFQ* >Brasy3G244100.1.p pacid=40042034 transcript=Brasy3G244100.1 locus=Brasy3G244100 ID=Brasy3G244100.1.v1.1 annot-version=v1.1 MGLSSTDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFTRIFSPEDDCEVIESDRHSHVFYQAETDIWMVLVVEKTKDSESTLRCAGLQGILKESHSLFTMFHGPIRSLLDRQPSAELARGHLHTFITDYLSDFSVGKKLQLPTYRDSLKERGTVQMLTVSREVALEVQSLATVLGSCLGNVACQSIVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALSNANSWSYLRKGGYVNAGSTSSSSNGATTAERPLQREKLSKGKDGFVAAEFATTEVRGAVPLTPILWFQQAEERMYLCVYQHKSLTILLLIPASSLINGEEGIAHVKRHLLENASRNIVTVEQKLSRGWGGENAYHVGGYRYLLVDPDRKISRASPPGKVTTLSKDSLLALNKLREEMDLEKSRAKRSDPTRDKDFEVCIRAKNNAWIITKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD* >Brasy3G244100.2.p pacid=40042035 transcript=Brasy3G244100.2 locus=Brasy3G244100 ID=Brasy3G244100.2.v1.1 annot-version=v1.1 MGLSSTDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFTRIFSPEDDCEVIESDRHSHVFYQAETDIWMVLVVEKTKDSESTLRCAGLQGILKESHSLFTMFHGPIRSLLDRQPSAELARGHLHTFITDYLSDFSVGKKLQLPTYRDSLKERGTVQMLTVSREVALEVQSLATVLGSCLGNVACQSIVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALSNANSWSYLRKGGYVNAGSTSSSSNGATTAERPLQREKLSKGKDGFVAAEFATTEVRGAVPLTPILWFQQAEERMYLCVYQHKSLTILLLIPASSLINGEEGIAHVKRHLLENASRNIVTVEQKLSRGWGGENAYHVGGYRYLLVDPDRKISRASPPGKVTTLSKDSLLALNKLREEMDLEKSRAKRSDPTRDKDFEVCIRAKNNAWIITKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD* >Brasy3G244100.3.p pacid=40042036 transcript=Brasy3G244100.3 locus=Brasy3G244100 ID=Brasy3G244100.3.v1.1 annot-version=v1.1 MFHGPIRSLLDRQPSAELARGHLHTFITDYLSDFSVGKKLQLPTYRDSLKERGTVQMLTVSREVALEVQSLATVLGSCLGNVACQSIVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALSNANSWSYLRKGGYVNAGSTSSSSNGATTAERPLQREKLSKGKDGFVAAEFATTEVRGAVPLTPILWFQQAEERMYLCVYQHKSLTILLLIPASSLINGEEGIAHVKRHLLENASRNIVTVEQKLSRGWGGENAYHVGGYRYLLVDPDRKISRASPPGKVTTLSKDSLLALNKLREEMDLEKSRAKRSDPTRDKDFEVCIRAKNNAWIITKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD* >Brasy3G056400.1.p pacid=40042037 transcript=Brasy3G056400.1 locus=Brasy3G056400 ID=Brasy3G056400.1.v1.1 annot-version=v1.1 MGSIDTNPTAFSAFPDDDKSFQPLNTDDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDQLSASPPTYSAPFDVTMKEIRNSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPKLAVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETDYGLDPVKLLEVMQADVDAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADDRFEIVVPRNFALVCFRIKANGSMTEEGADEANRVLMENLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTSEMMD* >Brasy3G315500.1.p pacid=40042038 transcript=Brasy3G315500.1 locus=Brasy3G315500 ID=Brasy3G315500.1.v1.1 annot-version=v1.1 MLDSIFTEFRFDSTAGAKNIHNGKPVSKQIATADYTLRIECCPHGHAEGTGEFVSLSLHLTSRRKLAYAALEAFALSAHGGPRQAVSSGSDAASAVWHSFLRRSDVKSLYASARDGTVTLVCGAVVLRAGADADAEAAVPASDIGEQLGSLLLDSPGNNGSDVSFLVGGETFHAHRAVLAARSPVFKALLLGAMAESAMPCITIEETEPAVFSTLLRFTYTDALPPRIGEEDHELLRGLLAAADRYAMGRLKAMCVQELCGSLSTATVADTLVFAERHHCQELKARCIEFFLVEGNFMEAALTDGYVRLMQDFPGIKDELREYKAEYCRMSSIGPLF* >Brasy3G008300.1.p pacid=40042039 transcript=Brasy3G008300.1 locus=Brasy3G008300 ID=Brasy3G008300.1.v1.1 annot-version=v1.1 MVLEFGIGILLQFQPACHTLPSVLTKFQPPWLGFGLNSHFPPPAHVRPLTGSLSLYRTKKQVLHQGPPPPPKSPAELRRRELLEEGTGASFFLDPARSGAAPPARGPPAATPYPPEAAPFFTVSGEIQRRRPARCSLVGDEPFFPGSATFAVAAAPASPAASGFRPRKPRPNFPSTSRRSARSPVPVRPCAAVLLATMVAAVAAGQYGGFIYDPL* >Brasy3G261000.1.p pacid=40042040 transcript=Brasy3G261000.1 locus=Brasy3G261000 ID=Brasy3G261000.1.v1.1 annot-version=v1.1 MPDERMQCSSNRCEVTLHKDCSEQYDGSCPRHVCFYCKRGASFHRLKHLMPACTQCLLQTNRMVEPVDPSKTMISCSIWPSTSEGAGLAYGIEEAFRRLPLPYTDREFNIDPIKTEELESLTKPPTYVLLKRNVYIVKHKCDGDAIEGGCTDCYPPLTCKTMCSCRSVWISCSRACKCSNECTNRPFRREKRIGVVKTQHCGWGVIALESIQKGDFVIEFVGEVIDDVTCEERLEDMKRRGDQNFYMCKVSNNFVIDATFRGNDCRFLNHSCEPNCQLEKWQVNGKTRLGVFASQAIEVGKPLTYSYRFKQHFGPRMECLCGAENCQGKL* >Brasy3G007700.1.p pacid=40042041 transcript=Brasy3G007700.1 locus=Brasy3G007700 ID=Brasy3G007700.1.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPILVSAKAVELRNHSSLPFLTFTSVKWLCFCDLLTLEF* >Brasy3G007700.2.p pacid=40042042 transcript=Brasy3G007700.2 locus=Brasy3G007700 ID=Brasy3G007700.2.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPILVSAKAVELRNHSSLPFLTFTSVKWLCFCDLLTLEF* >Brasy3G007700.3.p pacid=40042043 transcript=Brasy3G007700.3 locus=Brasy3G007700 ID=Brasy3G007700.3.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPILVSAKAVELRNHSSLPFLTFTSVKWLCFCDLLTLEF* >Brasy3G007700.4.p pacid=40042044 transcript=Brasy3G007700.4 locus=Brasy3G007700 ID=Brasy3G007700.4.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPVRSGRALRFW* >Brasy3G007700.5.p pacid=40042045 transcript=Brasy3G007700.5 locus=Brasy3G007700 ID=Brasy3G007700.5.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPVRSGRALRFW* >Brasy3G007700.6.p pacid=40042046 transcript=Brasy3G007700.6 locus=Brasy3G007700 ID=Brasy3G007700.6.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPVRSGRALRFW* >Brasy3G007700.7.p pacid=40042047 transcript=Brasy3G007700.7 locus=Brasy3G007700 ID=Brasy3G007700.7.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPVRFW* >Brasy3G007700.8.p pacid=40042048 transcript=Brasy3G007700.8 locus=Brasy3G007700 ID=Brasy3G007700.8.v1.1 annot-version=v1.1 MYALKIRVTFLFSVSSDHFLVCILLLFPILQDWFAAAPFSALIFFALARVWGGEHSSGEEVFGSSPVRFW* >Brasy3G268800.1.p pacid=40042049 transcript=Brasy3G268800.1 locus=Brasy3G268800 ID=Brasy3G268800.1.v1.1 annot-version=v1.1 MTTILVEGDAMELLLWRRWPGGAELAPWAAAAKHKRNPRPPLRVCRKNQPIWAQPAPRTLFPFPLGLNLVMDIYLQDN* >Brasy3G072100.1.p pacid=40042050 transcript=Brasy3G072100.1 locus=Brasy3G072100 ID=Brasy3G072100.1.v1.1 annot-version=v1.1 MAAAVAFLVLAALSSPAPVAGRHNSGWIDAHATFYGDETGAETMQGACGYGDLFQQGYGLDTAALSVALFNGGASCGGCYELHCAYSPYCRPKGGPITITATNLCPANYSKPNENWCNPPLHHFDLSKPAFLRLVTDFHVGIIPVKYRRVKCGNKRGGVRFQMTGDSRYWVGVVVFNVAGAGEVKGLAVKGGREGVWREMKRNWGAVWDGGDVHTLVGQGLSFRVVAGDGRMLELDGVAPGNWAVGQSFEGKGQF* >Brasy3G052300.1.p pacid=40042051 transcript=Brasy3G052300.1 locus=Brasy3G052300 ID=Brasy3G052300.1.v1.1 annot-version=v1.1 MRRVTSQRTCENLRASAPSHRSSGPYVRRRNYRFLFLGLPTRLGDASSSVVMNPRDLVEHMQIGSTSGVTSVARH* >Brasy3G196600.1.p pacid=40042052 transcript=Brasy3G196600.1 locus=Brasy3G196600 ID=Brasy3G196600.1.v1.1 annot-version=v1.1 MPSTSSPSGRAGRKWYARADLFLTVAVAATLCSATYCFSIWHNGRNAPEKIVFGPTPFVAVAVSGTGVTLCGGRGSAGELDFETHHSAEKAGLSVSSSSAPATVAGRRALRAVPMDAGRRVPTSPGLALTGN* >Brasy3G326300.1.p pacid=40042053 transcript=Brasy3G326300.1 locus=Brasy3G326300 ID=Brasy3G326300.1.v1.1 annot-version=v1.1 MVAALTHLISSGREPPAAVVQGAVSRQQVTTCQQFYSAAAIAPLMAAPASAAPSTASEEQRAEEPQAERPRYRGVRRRPWGKWAAEIRDPVKAARVWLGTFSTAEDAARAYDAAALRFKGPHKAKLNFPLSSRPLAGISSHVAPLAQRRPAAAAIDNVAAAAAGCGGDQEELSCFFPDIGRYVHILQSGDDVLRDHQHVAPGGGGGLAPPGHYSAEEEHRPPSTWRGKSAGP* >Brasy3G005200.1.p pacid=40042054 transcript=Brasy3G005200.1 locus=Brasy3G005200 ID=Brasy3G005200.1.v1.1 annot-version=v1.1 MNLNLSTPPSLLAVVVGRRIDIFDCRYGRVLLGIIEVSGEILILVLWDPLTGDEHFILTPFRVHHYGMPALVCATCRVGRRAHGNGIGIGIHERLINHGVRVQLRGPELGPRPSTAVLANNTKWFCVLDDWGNNTVLEYKRETHALQSIRAPVPMRLRNNREFAVVPAKGDARLAPLYSCWVCSSWCYIMASKKVATIGFCDGRLTPWSVDDDEEEDNYPMPVGAVIGFAEEANAIFVKTGRSVFMLDLETKQHQRVFGRACFSHIYPFSTFYTFLLNSAMACSDVSRGSSINDGGISPDKVLSHKFT* >Brasy3G198100.1.p pacid=40042055 transcript=Brasy3G198100.1 locus=Brasy3G198100 ID=Brasy3G198100.1.v1.1 annot-version=v1.1 MTGRHGPLMLRGGSGGSGKPLSRGSRIVVAVVVGVALGCACAFLYPDGLLFRSSASALQWSRQVGSVACESSDRVTNLKPQLTLLERENTELKKQINELSMKLQLSRQGKNEAMYKPGPPGTVKALRMNPTVMPDESVNPRLAKILEEVAVKKELIVALANSNVRQMLEVWFTNIKRVDVPNYLVVALDDNIESFCKSNDVPVYRRDPDEGVDSIAKTGGNHAVSGLKFRILREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPAIELLDRVAGRLSQEPKSWDQAVFNEELFFPSHPGYEGLHASRRTMDIYLFMNSKVLFKTVRKDAQLRKLKPVIVHSNYHPDKLDRMKAVMEFYVNGKQNALEHFPDGSE* >Brasy3G198100.2.p pacid=40042056 transcript=Brasy3G198100.2 locus=Brasy3G198100 ID=Brasy3G198100.2.v1.1 annot-version=v1.1 MKLQLSRQGKNEAMYKPGPPGTVKALRMNPTVMPDESVNPRLAKILEEVAVKKELIVALANSNVRQMLEVWFTNIKRVDVPNYLVVALDDNIESFCKSNDVPVYRRDPDEGVDSIAKTGGNHAVSGLKFRILREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPAIELLDRVAGRLSQEPKSWDQAVFNEELFFPSHPGYEGLHASRRTMDIYLFMNSKVLFKTVRKDAQLRKLKPVIVHSNYHPDKLDRMKAVMEFYVNGKQNALEHFPDGSE* >Brasy3G008600.1.p pacid=40042057 transcript=Brasy3G008600.1 locus=Brasy3G008600 ID=Brasy3G008600.1.v1.1 annot-version=v1.1 MLVFVSFSPAFPLLLFVPPPCRQFQGRRGVSTASGAAANEEARVVGYHKRRPWSAVFFCAATKGTTHCGIMNLNEDEAAGGAAKQDKSADLIP* >Brasy3G008600.2.p pacid=40042058 transcript=Brasy3G008600.2 locus=Brasy3G008600 ID=Brasy3G008600.2.v1.1 annot-version=v1.1 MLVFVSFSPAFPLLLFVPPPCRQFQGRRGVSTASGAAANEEARVVGYHKRRPWSAVFFCAATKGTTHCGIMNLNEDEAAGGAAKQDKSADLIP* >Brasy3G008600.3.p pacid=40042059 transcript=Brasy3G008600.3 locus=Brasy3G008600 ID=Brasy3G008600.3.v1.1 annot-version=v1.1 MLVFVSFSPAFPLLLFVPPPCRQFQGRRGVSTASGAAANEEARVVGYHKRRPWSAVFFCAATKGTTHCGIMNLNEDEAAGGAAKQDKSADLIP* >Brasy3G273400.1.p pacid=40042060 transcript=Brasy3G273400.1 locus=Brasy3G273400 ID=Brasy3G273400.1.v1.1 annot-version=v1.1 MADAAVCSICMEPMAPPDAHRGGSGCAHAFCGACLAGHVRAKVDAGAGAAVRCPDASCAGALDPELCRAALPADLFVRWCAALCESMFLGARRTYCPFRDCSEMMVADDDGGGSEECVTQTECQVCRRLFCAQCGVPWHAGVSCSEFQRLDVGERAQGDLLLMETARECKWKRCPRCRFYVEKAVGCLHIVCRCGFEFCYGCGKPWELIHDGCPGE* >Brasy3G345900.1.p pacid=40042061 transcript=Brasy3G345900.1 locus=Brasy3G345900 ID=Brasy3G345900.1.v1.1 annot-version=v1.1 MASSPGGGGSPRRHSSDCGDGGASGSQLAADASCFRGQPPREEELHLGGSAEPASEAKTMQEQGSSSTAAASSSSPPAPEAVATEVAQPASSLPSTGSVFWARRSSDWGLTFFIRVDIEGSFHTYPDVGGPFQSLQEAQSAINCHLEDRRVPKMCMKQAKVSLVEMAIRTCLYFPDGTRKRCSKSSATEKSRDAKRRFVQALVDNHNNDHNLFGDAAYELKDVLQYYAICENHRVYYHFNFTAKTKGADDFDCGTDNLFFAEVKSTRQGEEHEELLVSCFCMLKPIDNGHCYGCKNHGRVNMKHPNEASAYIGGHLDISMPMTLKTEWSDAEDEEAEEARIRHMYKGHKRLMKKLRELPPDVKRRCLKIGKSSLSFYNRMCLICGRLGRGSRVKPCTYL* >Brasy3G345900.2.p pacid=40042062 transcript=Brasy3G345900.2 locus=Brasy3G345900 ID=Brasy3G345900.2.v1.1 annot-version=v1.1 MQEQGSSSTAAASSSSPPAPEAVATEVAQPASSLPSTGSVFWARRSSDWGLTFFIRVDIEGSFHTYPDVGGPFQSLQEAQSAINCHLEDRRVPKMCMKQAKVSLVEMAIRTCLYFPDGTRKRCSKSSATEKSRDAKRRFVQALVDNHNNDHNLFGDAAYELKDVLQYYAICENHRVYYHFNFTAKTKGADDFDCGTDNLFFAEVKSTRQGEEHEELLVSCFCMLKPIDNGHCYGCKNHGRVNMKHPNEASAYIGGHLDISMPMTLKTEWSDAEDEEAEEARIRHMYKGHKRLMKKLRELPPDVKRRCLKIGKSSLSFYNRMCLICGRLGRGSRVKPCTYL* >Brasy3G345900.3.p pacid=40042063 transcript=Brasy3G345900.3 locus=Brasy3G345900 ID=Brasy3G345900.3.v1.1 annot-version=v1.1 MASSPGGGGSPRRHSSDCGDGGASGSQLAADASCFRGQPPREEELHLGGSAEPASEAKTMQEQGSSSTAAASSSSPPAPEAVATEVAQPASSLPSTGSVFWARRSSDWGLTFFIRVDIEGSFHTYPDVGGPFQSLQEAQSAINCHLEDRRVPKMCMKQAKVSLVEMAIRTCLYFPDGTRKRCSKSSATEKSRDAKRRFVQALVDNHNNDHNLFGDAAYELKDVLQYYAICENHRVYYHFNFTAKTKGADDFDCGTDNLFFAEVKSTRQGEEHEELLVSCFCMLKPIDNGGSRGG* >Brasy3G345900.4.p pacid=40042064 transcript=Brasy3G345900.4 locus=Brasy3G345900 ID=Brasy3G345900.4.v1.1 annot-version=v1.1 MQEQGSSSTAAASSSSPPAPEAVATEVAQPASSLPSTGSVFWARRSSDWGLTFFIRVDIEGSFHTYPDVGGPFQSLQEAQSAINCHLEDRRVPKMCMKQAKVSLVEMAIRTCLYFPDGTRKRCSKSSATEKSRDAKRRFVQALVDNHNNDHNLFGDAAYELKDVLQYYAICENHRVYYHFNFTAKTKGADDFDCGTDNLFFAEVKSTRQGEEHEELLVSCFCMLKPIDNGGSRGG* >Brasy3G002300.1.p pacid=40042065 transcript=Brasy3G002300.1 locus=Brasy3G002300 ID=Brasy3G002300.1.v1.1 annot-version=v1.1 MWTKKNIVALVLMMLLIILVAHEDYGAYVADAKICRKRSAGFHGMCVSDRNCAQVCLAEGWGGGNCDGALRRCKCAREC* >Brasy3G301500.1.p pacid=40042066 transcript=Brasy3G301500.1 locus=Brasy3G301500 ID=Brasy3G301500.1.v1.1 annot-version=v1.1 MRLPTLAAVVAVLLLSGRIVVAAAASSANIALPGCQSLCGDVEIPYPFGTTSGCYRPGFMVTCNETHHPPKLFLDQGISPGPGPEVVEISLANSMVCVGSWVSHFITGNTSDVQLAIARDSPFVLSAKANSLVIVGCGFRVLLDIVDGWTYASCASFCPIDNSTGQPFLPDIVCNGIGCCQPSILVGLESFRIKLSPLDGPGRCPIAPAPAPVLAFNASVHMVEQEWWSDGSHVYGLQQYFMDLLSYPDIDMRPFFVPAIAAWVLGRFPCEEAAQRPDFGCHSKNSVCLNSTNGVSGYVCECSDGYQGNPYLANGCQGGQNRRLAAGKCTQ* >Brasy3G154000.1.p pacid=40042067 transcript=Brasy3G154000.1 locus=Brasy3G154000 ID=Brasy3G154000.1.v1.1 annot-version=v1.1 MVGLGGDTVQNTGVNATFSPTSVDTWVANAVSSLSAMINQYGLDGVDVDYEHFAADVDTFVECMGRLLTQLKARFPKMATSIAPFERPEIQKYYRALWAKYSGVIDYVNFQFYGYGANTNVDYYVGFYNLQLSNYPGSGSKLLASFKTGNVTGLLSPEQGINGAKELQRQGKLPGLFIWSADSSKEATYKFDYETKAQQIVANH* >Brasy3G050400.1.p pacid=40042068 transcript=Brasy3G050400.1 locus=Brasy3G050400 ID=Brasy3G050400.1.v1.1 annot-version=v1.1 MEAALLSGFIKVILPKLFSLIDDKYKLHKGVKGDIKFLVKELRMIVGAIDDADDELCARTGDRGAVPLLSIQDLRELAHGIEDCIDGLMYRTTWEQQASFFRRSVRPPKALKTGAQFAREMRRLRQMAREAHERKQRYSVGGGGGVPSSAAPVDESPSDPRILDADLVGVDGPRAELLEQLAEGRPRQLKVISIVGFCGLGKTALAAGVYNRETGSGRFEKQAWVCAALREPREVLADMLWKLGSSDAPCCQASDVGQLCVDLRRQLVKKRYFIVVDDIRTEDQWKTIKSALPADKDVSSRIVVTTSIQSVANTCSSANGYVHKMSRLDKTCSKQLFSKKACPDKYSCYEQPDPVDVLKKCDGQPLALVTIGEFLQSKGWPTGPTCEDVCNQISYHLENDKSFEKIRRVLIRNYTTLPGHALKACLLYFGMFPSDRPIRRKILLRRWLAEGFVEPLPSASTLDPIAAFDALMDRNIIEPVNISNNDNVKTCQTYGMMREFILHMSISQNFVTLFSNDRIEPKYVRRLSLHHNSATDANRVDNIDLSLVRSLTIFGKAHETVLDLSKYQLVRVLDLEKCDDLKDDHLKDICNLLLLKYLSLGAGVTRLPRDIAKLKHLVSLDVRRTNVKILPVEVFQLPCLIHLLGKFKLQDGVKPKSEVHDFLSQGKSNLETLAGFVTDGSGGFLDLMGYTKKLSKVKIWCELPAGSTDQTNLKEAIQLFIQDEKQANTGARSLSLHFGECCKEFLNSLKGPCYLSSLKLDGNLASLPQFVVSLRGLKELCLSSTKLTVGVLEVLSNLSYLQYLKLIAHDLEKFAIRNQAFCRLLRLCLELQCPTFPTIEEGALPFLVTLQLLCKDLHGLSDIKIESLKHLKEVALDPRVTPITRAKWEKAAKEHPNRPKVLLLSSVDATQSEPIDCSIVSDSEPVDDETTEGYILSEGSIQETDIQIRLGSSSVLRKENISAFNMGLSEVSLL* >Brasy3G028700.1.p pacid=40042069 transcript=Brasy3G028700.1 locus=Brasy3G028700 ID=Brasy3G028700.1.v1.1 annot-version=v1.1 MMAKRRSSCLMEEGGRASADEDLPSPINSPARPPPLCVARAPPPTVPPPPSLTSRRRRLLSSGRRAASHLLPSRRHRRLPSPRRAAAYGPAARSPRAAASRSASPLAGSGPPTTTKVSDQQPRYVTDGQQPR* >Brasy3G028700.2.p pacid=40042070 transcript=Brasy3G028700.2 locus=Brasy3G028700 ID=Brasy3G028700.2.v1.1 annot-version=v1.1 MMAKRRSSCLMEEGGRASADEDLPSPINSPARPPPLCVARAPPPTVPPPPSLTSRRRRLLSSGRRAASHLLPSRRHRRLPSPRRAAAYGPAARSPRAAASRSASPLAGSGPPTTTKRSDDKGNI* >Brasy3G149300.1.p pacid=40042071 transcript=Brasy3G149300.1 locus=Brasy3G149300 ID=Brasy3G149300.1.v1.1 annot-version=v1.1 MDGIDSRYEIPRTAEFLRARAYTRVALQFPDELLKDAAAVARALRRELGGGTKLYVMADTAYNSCCVDEVGASHIDAQCVVHYGHSCMSPTSNLPAFFVFGKAPLDIGACCRSLLDCSRESNKPILVLCGLEYAYAFDDLKGMSVELCKSDSCNSEIQYAEVLCSEMNPSTSPSAEKEFPQPNGSTHNDDWPLHNDDVTTFVNSCCNVEGNTRKYNLGGLTWGISINEKMEDYLLYWIGQDNSTFANIALTFNKCDIVRYDAIANQLSRDVSHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGREWTGEYLLDFKELITSEKPEVGSKSEEARFSFIKGGYVEDDCPQDDEDNSDTSLALADVTEKSLSIRNQNNDAILYQGGANSAIEYLKARSYRGLTGEYEGPVPDSVLIGRTGRAAGYSNEKTNSSQ* >Brasy3G149300.2.p pacid=40042072 transcript=Brasy3G149300.2 locus=Brasy3G149300 ID=Brasy3G149300.2.v1.1 annot-version=v1.1 MSVELCKSDSCNSEIQYAEVLCSEMNPSTSPSAEKEFPQPNGSTHNDDWPLHNDDVTTFVNSCCNVEGNTRKYNLGGLTWGISINEKMEDYLLYWIGQDNSTFANIALTFNKCDIVRYDAIANQLSRDVSHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGREWTGEYLLDFKELITSEKPEVGSKSEEARFSFIKGGYVEDDCPQDDEDNSDTSLALADVTEKSLSIRNQNNDAILYQGGANSAIEYLKARSYRGLTGEYEGPVPDSVLIGRTGRAAGYSNEKTNSSQ* >Brasy3G149300.3.p pacid=40042073 transcript=Brasy3G149300.3 locus=Brasy3G149300 ID=Brasy3G149300.3.v1.1 annot-version=v1.1 MDGIDSRYEIPRTAEFLRARAYTRVALQFPDELLKDAAAVARALRRELGGGTKLYVMADTAYNSCCVDEVGASHIDAQCVVHYGHSCMSPTSNLPAFFVFGKAPLDIVRYDAIANQLSRDVSHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGREWTGEYLLDFKELITSEKPEVGSKSEEARFSFIKGGYVEDDCPQDDEDNSDTSLALADVTEKSLSIRNQNNDAILYQGGANSAIEYLKARSYRGLTGEYEGPVPDSVLIGRTGRAAGYSNEKTNSSQ* >Brasy3G212700.1.p pacid=40042074 transcript=Brasy3G212700.1 locus=Brasy3G212700 ID=Brasy3G212700.1.v1.1 annot-version=v1.1 MDYDDTDFQSQNFQLAGEDNSKSPSALRSFALPKLDIDDQLQGHLRFDNLVDSEGFFSAGGHDNSWIEVLSTGSSVVDFSASAAESCSISRTNYVWSEATSTECVEMLLKSVGENEMTGNMDGSAHQQLSGMDSQIDPSNTQAKLSNSPSGSIVVPTENDQSQSKSSEKLSSASKNTTESCPAVGNYFDVVHADDSLDNLSIHSAGVDSGELNNEPFSDLAPIQNMYSTGSYHFQQDNQGSGVGVTHQDSEIQCMHKNKLEGGMHELQKMSESSDGLLEAITNPVKMMQRDDDTCKRASAPLQPSFSQVDAANDPETSVDTSDKVVIEKFGMGEEPSSAKSRRSQPDMEHSNPHLITALSTESGQLIQSPNGKQLTHVTGVPEETKGDGLDDTNTDVSKLGVLEQHQESVHSLNTVIMDDTDMNTGEDSKLGVPEQHQDSVDNINSVVMEEKITREEISAVSGDFEHLVETGHAENAISATGTSKDEFNSSGDVTTDNSSAGLLDENDPNILSVNHEGPVKEDDTPALENEPGNQHLVSPNSMPSSINISSTTVADTSNTSMDKLDCSGGVPSGGSPSGILDGTDLTSINHAESFKKGSDSSLEVGDNNVTSPVSEPRGKKLAIPVNSNIDAVHSSRTDSVASKTQCEEQATFTMNQTDDKSGDHPDSYTQKCQTDRPSLQSEHQENVHLQKFQIDESPIQSEHHGISVTPSSLGVSPDKAAETIIETPLNAKDDQSAHIKDIDRSCNDATCGSPTVISCTEPCVQEGGQGGNALLRQTPSEQSGDQNDPAASADSSKSSKECSARNIEPTVSSEETNTAGDDRGFSFEVRDPPEAHCPAWSPFPRSKPAQPQSTEASTETPKTGFPSGNALRNIEDSKRKTGKEQLPGKKVVESVGVLSISSHNGDGTRTRSTPLEQPLQHPTTESTALAHQPFTDIQHVQLRAQIFVYGALIQTIPPAEAYMVSAFGEPVVGGKPTWEAVWRVAVERFQIQKSPLIGLETPTSSRIGSRVAEKASKGLAVKTAPASKKGDKTVSLAHPATLLHSPTFNASPLGSSTLNLQRGSHLDFSQAVSPLFAYNSQTRQPTSAVASWFPQSAGPRAAPWLVPPQNLIFDSSMQPTAPSSESAKGSFQNISISQAITPGVFLPNQASATVASPLAIVHEEKQKTPASTSKRGRGGAASQKPRKRKKASASPEQELDINNSLLKTDIASVTPSTDHTPGFTLSTRSTSSALGGGLVPNTSLFTSVPDYLVGKGAEKTIIFSEQISGAVEQSMDQAKGASMSSMEALRHSEGVWSHLSKNSGSQLPAEVEQKLTSAAAAASAAVSVAQAAAEAAKMAAAAALQAKLMTEEALGSSKSANSLQNRHAGETDVNNNLASLSSLTPKSSWKMNDSSHAPGSTISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGSIIGMGEPLPFTLSELLEAGPDGYWKSDRVKNKKAGNTSVNAVIEEVEVPNDINKSGRKSRSKAKIDKATQKSEQSSSVKGLQPDGMQSGNGVGNDPAAAPLNDSRNDTSPSIIWNGIGKGSLVEVLADEGGLKAAWFCAKVLDINEDSACISYETHGEGIGIREAWVSLKQDGEKAPQIRLCHPATMPKSKGTRKRRRDTPGNYSWAIGDHVDAWIENSWREGTISQNCESGEIKFVVQLSVGDSVVVDAWNLRPSLVWKDGQWTEWSRAQERKDKSNNKGDSPYEKRQKTAVSDPVPTVGVAPDPHKDKGTKNTTKPEEQPLALSDKDIMFNIGKSAVENKTTRRPGLQKEGSKVFGVPKPGKKKKFMEVSKHYVADQADKISEGNASTRFAKHLMPQVSRPQESTSKLDQRGTRIGDMRSRGLKSAKSQNVSTNSIPGKDPPSMPILSSAVLESCFAFAGSMPSSSNTVNPTMERNNPAHGTGLRTENVSLPESRIPAAPTGRATKKNSTATDRAKRKYVPSVDSNSNLNRRMLKTSEIPGQTTSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKTPRTNYRSATSTSRGKAHG* >Brasy3G212700.2.p pacid=40042075 transcript=Brasy3G212700.2 locus=Brasy3G212700 ID=Brasy3G212700.2.v1.1 annot-version=v1.1 MDYDDTDFQSQNFQLAGEDNSKSPSALRSFALPKLDIDDQLQGHLRFDNLVDSEGFFSAGGHDNSWIEVLSTGSSVVDFSASAAESCSISRTNYVWSEATSTECVEMLLKSVGENEMTGNMDGSAHQQLSGMDSQIDPSNTQAKLSNSPSGSIVVPTENDQSQSKSSEKLSSASKNTTESCPAVGNYFDVVHADDSLDNLSIHSAGVDSGELNNEPFSDLAPIQNMYSTGSYHFQQDNQGSGVGVTHQDSEIQCMHKNKLEGGMHELQKMSESSDGLLEAITNPVKMMQRDDDTCKRASAPLQPSFSQVDAANDPETSVDTSDKVVIEKFGMGEEPSSAKSRRSQPDMEHSNPHLITALSTESGQLIQSPNGKQLTHVTGVPEETKGDGLDDTNTDVSKLGVLEQHQESVHSLNTVIMDDTDMNTGEDSKLGVPEQHQDSVDNINSVVMEEKITREEISAVSGDFEHLVETGHAENAISATGTSKDEFNSSGDVTTDNSSAGLLDENDPNILSVNHEGPVKEDDTPALENEPGNQHLVSPNSMPSSINISSTTVADTSNTSMDKLDCSGGVPSGGSPSGILDGTDLTSINHAESFKKGSDSSLEVGDNNVTSPVSEPRGKKLAIPVNSNIDAVHSSRTDSVASKTQCEEQATFTMNQTDDKSGDHPDSYTQKCQTDRPSLQSEHQENVHLQKFQIDESPIQSEHHGISVTPSSLGVSPDKAAETIIETPLNAKDDQSAHIKDIDRSCNDATCGSPTVISCTEPCVQEGGQGGNALLRQTPSEQSGDQNDPAASADSSKSSKECSARNIEPTVSSEETNTAGDDRGFSFEVRDPPEAHCPAWSPFPRSKPAQPQSTEASTETPKTGFPSGNALRNIEDSKRKTGKEQLPGKKVVESVGVLSISSHNGDGTRTRSTPLEQPLQHPTTESTALAHQPFTDIQHVQLRAQIFVYGALIQTIPPAEAYMVSAFGEPVGGKPTWEAVWRVAVERFQIQKSPLIGLETPTSSRIGSRVAEKASKGLAVKTAPASKKGDKTVSLAHPATLLHSPTFNASPLGSSTLNLQRGSHLDFSQAVSPLFAYNSQTRQPTSAVASWFPQSAGPRAAPWLVPPQNLIFDSSMQPTAPSSESAKGSFQNISISQAITPGVFLPNQASATVASPLAIVHEEKQKTPASTSKRGRGGAASQKPRKRKKASASPEQELDINNSLLKTDIASVTPSTDHTPGFTLSTRSTSSALGGGLVPNTSLFTSVPDYLVGKGAEKTIIFSEQISGAVEQSMDQAKGASMSSMEALRHSEGVWSHLSKNSGSQLPAEVEQKLTSAAAAASAAVSVAQAAAEAAKMAAAAALQAKLMTEEALGSSKSANSLQNRHAGETDVNNNLASLSSLTPKSSWKMNDSSHAPGSTISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGSIIGMGEPLPFTLSELLEAGPDGYWKSDRVKNKKAGNTSVNAVIEEVEVPNDINKSGRKSRSKAKIDKATQKSEQSSSVKGLQPDGMQSGNGVGNDPAAAPLNDSRNDTSPSIIWNGIGKGSLVEVLADEGGLKAAWFCAKVLDINEDSACISYETHGEGIGIREAWVSLKQDGEKAPQIRLCHPATMPKSKGTRKRRRDTPGNYSWAIGDHVDAWIENSWREGTISQNCESGEIKFVVQLSVGDSVVVDAWNLRPSLVWKDGQWTEWSRAQERKDKSNNKGDSPYEKRQKTAVSDPVPTVGVAPDPHKDKGTKNTTKPEEQPLALSDKDIMFNIGKSAVENKTTRRPGLQKEGSKVFGVPKPGKKKKFMEVSKHYVADQADKISEGNASTRFAKHLMPQVSRPQESTSKLDQRGTRIGDMRSRGLKSAKSQNVSTNSIPGKDPPSMPILSSAVLESCFAFAGSMPSSSNTVNPTMERNNPAHGTGLRTENVSLPESRIPAAPTGRATKKNSTATDRAKRKYVPSVDSNSNLNRRMLKTSEIPGQTTSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKTPRTNYRSATSTSRGKAHG* >Brasy3G212700.3.p pacid=40042076 transcript=Brasy3G212700.3 locus=Brasy3G212700 ID=Brasy3G212700.3.v1.1 annot-version=v1.1 MDYDDTDFQSQNFQLAGEDNSKSPSALRSFALPKLDIDDQLQGHLRFDNLVDSEGFFSAGGHDNSWIEVLSTGSSVVDFSASAAESCSISRTNYVWSEATSTECVEMLLKSVGENEMTGNMDGSAHQQLSGMDSQIDPSNTQAKLSNSPSGSIVVPTENDQSQSKSSEKLSSASKNTTESCPAVGNYFDVVHADDSLDNLSIHSAGVDSGELNNEPFSDLAPIQNMYSTGSYHFQQDNQGSGVGVTHQDSEIQCMHKNKLEGGMHELQKMSESSDGLLEAITNPVKMMQRDDDTCKRASAPLQPSFSQVDAANDPETSVDTSDKVVIEKFGMGEEPSSAKSRRSQPDMEHSNPHLITALSTESGQLIQSPNGKQLTHVTGVPEETKGDGLDDTNTDVSKLGVLEQHQESVHSLNTVIMDDTDMNTGEDSKLGVPEQHQDSVDNINSVVMEEKITREEISAVSGDFEHLVETGHAENAISATGTSKDEFNSSGDVTTDNSSAGLLDENDPNILSVNHEGPVKEDDTPALENEPGNQHLVSPNSMPSSINISSTTVADTSNTSMDKLDCSGGVPSGGSPSGILDGTDLTSINHAESFKKGSDSSLEVGDNNVTSPVSEPRGKKLAIPVNSNIDAVHSSRTDSVASKTQCEEQATFTMNQTDDKSGDHPDSYTQKCQTDRPSLQSEHQENVHLQKFQIDESPIQSEHHGISVTPSSLGVSPDKAAETIIETPLNAKDDQSAHIKDIDRSCNDATCGSPTVISCTEPCVQEGGQGGNALLRQTPSEQSGDQNDPAASADSSKSSKECSARNIEPTVSSEETNTAGDDRGFSFEVRDPPEAHCPAWSPFPRSKPAQPQSTEASTETPKTGFPSGNALRNIEDSKRKTGKEQLPGKKVVESVGVLSISSHNGDGTRTRSTPLEQPLQHPTTESTALAHQPFTDIQHVQLRAQIFVYGALIQTIPPAEAYMVSAFGEPVGGKPTWEAVWRVAVERFQIQKSPLIGLETPTSSRIGSRVAEKASKGLAVKTAPASKKGDKTVSLAHPATLLHSPTFNASPLGSSTLNLQRGSHLDFSQAVSPLFAYNSQTRQPTSAVASWFPQSAGPRAAPWLVPPQNLIFDSSMQPTAPSSESAKGSFQNISISQAITPGVFLPNQASATVASPLAIVHEEKQKTPASTSKRGRGGAASQKPRKRKKASASPEQELDINNSLLKTDIASVTPSTDHTPGFTLSTRSTSSALGGGLVPNTSLFTSVPDYLVGKGAEKTIIFSEQISGAVEQSMDQAKGASMSSMEALRHSEGVWSHLSKNSGSQLPAEVEQKLTSAAAAASAAVSVAQAAAEAAKMAAAAALQAKLMTEEALGSSKSANSLQNRHAGETDVNNNLASLSSLTPKSSWKMNDSSHAPGSTISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGSIIGMGEPLPFTLSELLEAGPDGYWKSDRVKNKKAGNTSVNAVIEEVEVPNDINKSGRKSRSKAKIDKATQKSEQSSSVKGLQPDGMQSGNGVGNDPAAAPLNDSRNDTSPSIIWNGIGKGSLVEVLADEGGLKAAWFCAKVLDINEDSACISYETHGEGIGIREAWVSLKQDGEKAPQIRLCHPATMPKSKGTRKRRRDTPGNYSWAIGDHVDAWIENSWREGTISQNCESGEIKFVVQLSVGDSVVVDAWNLRPSLVWKDGQWTEWSRAQERKDKSNNKGDSPYEKRQKTAVSDPVPTVGVAPDPHKDKGTKNTTKPEEQPLALSDKDIMFNIGKSAVENKTTRRPGLQKEGSKVFGVPKPGKKKKFMEVSKHYVADQADKISEGNASTRFAKHLMPQVSRPQESTSKLDQRGTRIGDMRSRGLKSAKSQNVSTNSIPGKDPPSMPILSSAVLESCFAFAGSMPSSSNTVNPTMERNNPAHGTGLRTENVSLPESRIPAAPTGRATKKNSTATDRAKRKYVPSVDSNSNLNRRMLKTSEIPGQTTSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPGEKTPRTNYRSATSTSRGKAHG* >Brasy3G049300.1.p pacid=40042077 transcript=Brasy3G049300.1 locus=Brasy3G049300 ID=Brasy3G049300.1.v1.1 annot-version=v1.1 MIFFQIVNFQAPGRYFLKAKLEVENSKRHASRIGRYYVFFYKLAHPFFFYTLPTIYLHHCYITLISLLVQDTSQ* >Brasy3G285400.1.p pacid=40042078 transcript=Brasy3G285400.1 locus=Brasy3G285400 ID=Brasy3G285400.1.v1.1 annot-version=v1.1 MLDSLLNWIRRWLHEGGERLTFSEEGAAAALDPAAASGGGGRGGAPGDGIRPGRTGRGAALRIRRRLPAVEGGAGPLHWIRRRLPAVEGGAGPLHWWIRRRLPAGEDGEGRRGMGSGRGGLGGAPAVTCTDGEGPAGNPRRRRRVPCSREGEERTSWAEKLVEAREAPREVLLCL* >Brasy3G021400.1.p pacid=40042079 transcript=Brasy3G021400.1 locus=Brasy3G021400 ID=Brasy3G021400.1.v1.1 annot-version=v1.1 MAASASAAGGEPQKQLLSIIRDFAAEKSHGERTVSGLKRRLDDVLAAADAATAELEAAKRAREAAETELQGSQVQASIAAASIQALEATISHLQEEISKVGSELEALKSKGDTERDEFVSQMQELNARIRKFQQIAHVELAEKKGSELLSADGDNVSDKSETTDSEGILKDLVDKVSKIDAEVHVLDGEYQKDLLDHEKVCQELAAIQAKRTLMEAVVGEMKQLQELGGRVAEAEKVHASLAEELQRRYACPGCGVNNMVEMEEAPVVAN* >Brasy3G286000.1.p pacid=40042080 transcript=Brasy3G286000.1 locus=Brasy3G286000 ID=Brasy3G286000.1.v1.1 annot-version=v1.1 MAAPSPHRSPAQPNGAHGDRAGPCSEDGIPVVDMAVLVNGDAGQRAQAVRHLGRACQEWGIFTVINHGVPEALQGAAMDACKELLLSLPMEDKTEYMDGSPMDPVRVGTGFVNSAVDGAQYWRDYLKMFVHPDFHCPSKPANLREVAKEYAACTRGLMLELVAAISESLGLGGGRIAQALNLESCLQTLVANHYPPYGGPDDDEGTPIGLPAHSDYGLLALLFQNGVDGLQVHHDGRWILAKPLPGSFFVIAGDQLEIVSNGRYRAALHRAWIGGERRMSVLALIAPCLDAVVEPVPELAREGPEGLEFRGIRFRDYMESHQSSRLVGKTALDIVRVQR* >Brasy3G000500.1.p pacid=40042081 transcript=Brasy3G000500.1 locus=Brasy3G000500 ID=Brasy3G000500.1.v1.1 annot-version=v1.1 MADVMDDLLESFVALRVLAMLPAALTRVVYRKRWAKLVSIRRRQEELYLPLVDLRRRAAAGEGAPAYVDTLIELMVPDERDGKRRLLTDGEVVALVSEFLGAGTEPVAAELQWIMGRLVKQPRVQAAVRSEIEAVVGADAEQVDEEALGRLHYLNAVIMETLRMHPTVPVMQRLVTEDDDVALDRQRIPAGTAVKFPVERLARNKAAWADPDEFRPERFLAGGEGEGVSLAVAVPGGQMIRMMPFGVGRRMCPGWSIAVLHLGYFVANLVREFQWTDPEGELDLQPRLHGLVTVMKRPLRVRLTPLRHKTCY* >Brasy3G157200.1.p pacid=40042082 transcript=Brasy3G157200.1 locus=Brasy3G157200 ID=Brasy3G157200.1.v1.1 annot-version=v1.1 MLRVGRVCTRSNSCRALISFFVSPLPLVGHDSVHFLDYVQNRTKRTADKNIGFLNHKLHIGSCGACFSTTAQTVLVQARDSSQLALEIENAIDQHRFDDAWRVYEKHVHMDGLPRKSVLSKLITGFAVTRDVHRLNQSYNVVDHAFEEKYELLEKEPLIYLSFTLAQCALPNLAVNVVRKLVKMEAYPPVAAWLGIIAHLCQTANGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLSMKPNSFTFNIVLTASLLFGTTKKAEQLLELMPRIGVKPDVSLLIVMARIYEKNGHKDEIVKLKRHVDEACGLSELEFQQFYDCLLSCHLKFGDLDSAVDMVLDMLRKGKNAKRSLEAAKAVLEAVENSKIYLPFEKSGPENSGSPKKSVSADSQMLSYVSFFKDKSFARLELHARELLNLLSDKLQDQVGLVKSEHGILHPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACIFVGLLEQAHDLLDEMRFSGIKVGSSIYSSLLKAYCKEGHHEDDITALLKDAQQAGIQLDSSCYEDLIQSRVHHKNTAGALHLFKEMRNSKVVKSGHREFEMLVQSCDNSEAALTTKLVEEVKSGHTVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRVLGHTPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKCKMFIDKYKYKSLWLKYHRTMYKGKAPKVQTEAQLIRREAALQFKRWIGLT* >Brasy3G052200.1.p pacid=40042083 transcript=Brasy3G052200.1 locus=Brasy3G052200 ID=Brasy3G052200.1.v1.1 annot-version=v1.1 MELLLLLTVLLLAHAVAYLAWAAAARRRQARCYLIDYVCHKPSDDRKVSTEFAGELIQRNTRLGLAEYRFLLRVIVGSGIGEDTYCPYNILESREDTPTHEDAVAEMDAFVDATVADLFAKTGFRAADVDVLVVNISMFSPAPSVASRIVGRFGLREDVAAYNLSGMGCSAGLISLDLARNALRTRPNSVALVVSTESIAPNWYSGNDKSMMLGNCLFRCGGSAVLLTNDPAKAKAGRGCKMELRCLVRANIAANDDAHACALQREDAEGRVGISLSKALPKAAVRAFTVNLKTLAPRILPVSELARFAFHLFSRKLLSSRSANNKDSGGNKTNNSNGSGGPKINFKTGVEHFCLHPGGTAVIEAVKRSLGLDDSDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDRVLMVTFGSGFKCNSCMWEVKADMADKGAWADCIDDYPLASTANPYMDKYGWLNAVEGDTMVF* >Brasy3G119400.1.p pacid=40042084 transcript=Brasy3G119400.1 locus=Brasy3G119400 ID=Brasy3G119400.1.v1.1 annot-version=v1.1 MAGRDLFEWLSEGHLISSQPFVDPEFLNLFSRLFLLLETRCLIAAVRSLSLPLPSHTAAVLYSIPPVSPRLPAGHLLRCHPRLSPSRPCPARRRAGGRRRCPPRRPRYGWPFVASGRRLSSASLSAGAGLPVNSSICKLDFISY* >Brasy3G216100.1.p pacid=40042085 transcript=Brasy3G216100.1 locus=Brasy3G216100 ID=Brasy3G216100.1.v1.1 annot-version=v1.1 MIKYALMNYDLEHQRSIRTSNKQKTFMSNIVILVLVILLDALETNSPVIHLRTSSSSGLEIPCPHNT* >Brasy3G265600.1.p pacid=40042086 transcript=Brasy3G265600.1 locus=Brasy3G265600 ID=Brasy3G265600.1.v1.1 annot-version=v1.1 MEKNLLGVRAKPAATKRRRKVAAGGGSRWGLAKAVAEYLASDSYMYAPLVSDPQQQPPPPPSAAPATASPEKEVTLVQKYRGSWRSTFAAC* >Brasy3G107400.1.p pacid=40042087 transcript=Brasy3G107400.1 locus=Brasy3G107400 ID=Brasy3G107400.1.v1.1 annot-version=v1.1 MPSGNSMGVLKQVKDHLPNVPHTTELFLSKRLPSPSVTSSTGGCNSATNISRVSWCPPMPRKAISALFSWLPLKDIFRVGYVFSKDWLGIWKECPLVFHDVQLAPDTVKNDILSGQVIRYNVARNASHVLALHPGPIHLARFDFCTWRGSNVQLRLWLHMIISKEVHELCLISRWPSQVLDTLPNNIIHLTSLRKLHLCMLSIPAIGFDDHRLFPSLVELKIYHCEFRPLDLCLVLKHCRNLACLDLGYITQEVLIIQSESLSFLTLWGCTIEGLCVRNCPKLVTFYQEAERFWDHISDI* >Brasy3G097700.1.p pacid=40042088 transcript=Brasy3G097700.1 locus=Brasy3G097700 ID=Brasy3G097700.1.v1.1 annot-version=v1.1 MGSEGSSSSGSPNGGGAGCAVCGVAAAALYCAADAAALCAPCDAAIHAANPLASRHHRVPLSSAAATSGVYDYNNLFAADDEAGSTLTPGQGSPNSGSSSFSNGGDAERSLFEFLSDVDLMAANDGAAPLWMPMMMQPPGMDAWTSWPSPSDAGAAEFHSLAVGGGGVAMVAAAAADREARVRRYKEKREKRKFHKTIRYASRKAYAEARPRIKGRFVKRTAAAEDADSTNAAAEGGKFWLSFSDADSVGFHAPPAYGVVPSSF* >Brasy3G238400.1.p pacid=40042089 transcript=Brasy3G238400.1 locus=Brasy3G238400 ID=Brasy3G238400.1.v1.1 annot-version=v1.1 MQGGKGRLHWAVVAASLMALLLLVTAVQEADDSDDDGLILNDAMHADEVPGRDRSLSRPDAVANPFKRGCEKIEHCRARLGTSN* >Brasy3G203000.1.p pacid=40042090 transcript=Brasy3G203000.1 locus=Brasy3G203000 ID=Brasy3G203000.1.v1.1 annot-version=v1.1 MLECRDGYALLINGHTEEVAVYDPLTGALHLFPVPSYEVYDEGQVDFHVFSFEEDHESFRVVCVSSDGESAAVLSPDDREWEIFPLDEDEGGHYWPHEGTPVNGSVYRAFADGYHVCVLNITTPQFFRIYLPPDIAGTDNFKVGETKDGNLCLVSASKLRLVVWVWRDGDDGINRWMLENTYCLRSAMGKISQRSSEDHLVGKFARYRALKVVGIIGGIVYLSGYSCADSGCWFLSFCLEKVELNQLCRITKYIFSYPYIMAWPNSLVHNKVNLQLEGA* >Brasy3G231600.1.p pacid=40042091 transcript=Brasy3G231600.1 locus=Brasy3G231600 ID=Brasy3G231600.1.v1.1 annot-version=v1.1 MLLLEKGSLDLVLVPCGLVIMFGYHLLLLYRILRHPGTTVIGYENHNKLAWVRRMTQATPEETALALSVISSSISASTNLASLSIALGSLIGAWVSSTTKVFMTQLVYGDTSQATAAVKYISLLVCFLVSFTCFIHSARYYVQASFLVSTLDSDVPASYVQHAMIRGGNFWSTGLRALYFATTLLMWIFGPIPMFACSVFMVFILHLLDSNSLPLHRHQFTVRKQHDQRALASASTTWQPSPQNAIISNPILSPVTFFT* >Brasy3G314600.1.p pacid=40042092 transcript=Brasy3G314600.1 locus=Brasy3G314600 ID=Brasy3G314600.1.v1.1 annot-version=v1.1 MRPSAAGDVVLPPIRTRSVAEPPSTPPDAELLRAVAMAEEEEKEAVSTPTRAAAEEEAEPRTPTSEESKLRPPTECPPAPRKPAWTRPPSSGTKRRLCYYGVPRDLSAVFMASLPPKKRIRAPPRLIPCRARCGGADGSAP* >Brasy3G094500.1.p pacid=40042093 transcript=Brasy3G094500.1 locus=Brasy3G094500 ID=Brasy3G094500.1.v1.1 annot-version=v1.1 MENFGKAPPSPEEKAAAAGLRADAQRDQLRALGHGYRSRSQTHSTVLFLFDFCAFLILGEEGWPDLPLWVPRLTSAPFLLRSEEARLTCSAWLISSWLLCRHCAAIGAGGGRVPSTGAFFPLPVSVFPPSLPPPVLPRLPCGALFAVSSSRRRRTPGLPTRSGSWPLCRRSAAGRTSVVQGRCIPTVTPCRRCVALGAEGGRVAATGASGLIPSSVPRFCFANLLDFFSVQVQAAHALAGRHVVMRAQALLFLPCVDSTAALCFAWPINMRFVIGVGSPLLLPPPAPVPSSTHCSCSLFFVGPARRFRAGSGSEIFLRCPFLLATFVIVVVKLVSSGCSLRRVLGSVWLAAGH* >Brasy3G192300.1.p pacid=40042094 transcript=Brasy3G192300.1 locus=Brasy3G192300 ID=Brasy3G192300.1.v1.1 annot-version=v1.1 MHLAIRSAGKTKVLAAHARSASLPHEHSHPVLAHLDDSTRALRSWSAITAGKSSSGIALVDAILTALGELLALPQAVAAFRDKAACDQILDRFLVLADAYGTFLSALVTLKQGVAELQVGTRCGDGTMISASLRAHRRTEKELCGVVSTMRHATRCTLVAAVTVEASEAIFLQCAAMSSDMPVIVQKVSSSKWLARLGVRPAAKKVASETATVPLERLEKLEACIDGLEIGSEKVFRKLLQSRVSLLNILTLQS* >Brasy3G264700.1.p pacid=40042095 transcript=Brasy3G264700.1 locus=Brasy3G264700 ID=Brasy3G264700.1.v1.1 annot-version=v1.1 MRAHLSLAVLLVLSIGCAAPALAAAQDYDFFFLVLQWPGSYCDTKKSCCYPKSGKPAADFGIHGLWPNRDDGTYPQDCNPDNAFDPSKVSDLLSSMRKNWPTLACPTNDGVRFWGHEWEKHGTCAENLFDEHGYFSTALRLRDQLRVLDALRSGGISPDGGYYTLSAIKGAIQQGTGFEPFVECNRDESGNSQLYQLYFCVDAGATKFVECPVSPGGRPCGNRIEFPAF* >Brasy3G264700.2.p pacid=40042096 transcript=Brasy3G264700.2 locus=Brasy3G264700 ID=Brasy3G264700.2.v1.1 annot-version=v1.1 MRAHLSLAVLLVLSIGCAAPALAAAQDYDFFFLVLQWPGSYCDTKKSCCYPKSGKPAADFGIHGLWPNRDDGTYPQDCNPDNAFDPSKVSDLLSSMRKNWPTLACPTNDGVRFWGHEWEKHGTCAENLFDEHGYFSTALRLRDQLRVLDALRSGGISPDGGYYTLSAIKGAIQQGTGFEPFVECNRDESGNSQLYQLYFCVDAGATKFVECPVSPGGRPCGNRIEFPAF* >Brasy3G331900.1.p pacid=40042097 transcript=Brasy3G331900.1 locus=Brasy3G331900 ID=Brasy3G331900.1.v1.1 annot-version=v1.1 MAQSEGRAIYEDGAAGLEDFCSITIDGSGGLSEDILQKRLQNIVHQRKELEQVEIELRAQAIAHPQIIEVQRSFGVAAKEHAAAVEDLKDQLHQREKYILELQMKLDDKERELDALKIDHQTVWANQDLLREQTKELASVRRERDNSEAERNQHLKQIHDLQEHLREKETQFLALEEQHRAAQDNSIYRDEQLREAHAWIARVQEMDILQSQALQAELRERTEQFTQCWANFQQQFVEMQQSYHHTIQRLSVQIHEMRLLEQEDNLQAAQEGSPDSSYVQNKEDNLAGNGSALADGNQSMTNNGSPNDFTKGNNAHAVPVVPSSLLGIGGFVPPGQMAGMHSYMLHPQGIAQSLAPPNSGVPQFGTFQPTIQPSVHWPNQQEAHNVSPTPDATIYQPSQSDQNVLQSGASKNSELSSKQSQVAHPEYTTAHAEQLQRSPSIIAEQTHEPKVVESNVTEHLVYNEEQKAQDSSSNASSTGKFEHQEETAELKGEKVTSGKQSVEQVPRKQNQASDLTGSTTQEPALLDERSLLACIVRAVPAGSDNRIRISSTLPNRLGKMLAPLHWHDYKKRYGKLDDFVASHNELFVIEGDFIYLREGAQQIISATTAAAKIAAAAAAASTASYSTLLPSVAVTPVAQVTRQKRGPVVDSRTSNSMPSGNGSTTGSFGDQQGNGFLDEVRPGQSSRHMAANGGRHERAGLPAHDKGAGIRHGYGGKQQGRSTGTEYNSRR* >Brasy3G259600.1.p pacid=40042098 transcript=Brasy3G259600.1 locus=Brasy3G259600 ID=Brasy3G259600.1.v1.1 annot-version=v1.1 MLPAALLSPSRCLLGSPLRCHAPTQQPAVSRGLLLRDAGSPVVKRLPAGDWLLWYQSGARVALSTSPDGLRWSPPVEPDPLLPSTDWWVFDTAVVRPADVLVISGPDASSRRFPSSAVYWLYYTGSNDVRLASPSPAADVVALPGLAISQDGRHWARIEGDHHTGALLGVGEDYPQGWEKRCAAAPKVVLHADGDLRMYYHSFDEMSQRHAIGVARSRDGIRWNRVGKVLEGGGPGSFDEGGVRQGHVVRDRAARRYIMVYEGLDRDGRMSIGMAVSEDGLKEWRRCSELPVLHPSQEDDGWDVAGVGSPCLVQMDGVYDWRLYYMGVGRDGEAAIGMAYSEGQGLPRFEKCDAVLM* >Brasy3G225600.1.p pacid=40042099 transcript=Brasy3G225600.1 locus=Brasy3G225600 ID=Brasy3G225600.1.v1.1 annot-version=v1.1 MHPVSISLQHFTANPTDGFSSSRSTNVRHSCDFVKLSTVKRNRGHLAMNSRPDSPSRSETTGGQDYTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYRIVEPAHMELAEPTIKEAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVPYIVTAPLGLHELMVDVMNDRIKYCLRHVGGDADECTVCAGTGKCRLYS* >Brasy3G225600.2.p pacid=40042100 transcript=Brasy3G225600.2 locus=Brasy3G225600 ID=Brasy3G225600.2.v1.1 annot-version=v1.1 MHPVSISLQHFTANPTDGFSSRSTNVRHSCDFVKLSTVKRNRGHLAMNSRPDSPSRSETTGGQDYTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYRIVEPAHMELAEPTIKEAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVPYIVTAPLGLHELMVDVMNDRIKYCLRHVGGDADECTVCAGTGKCRLYS* >Brasy3G225600.3.p pacid=40042101 transcript=Brasy3G225600.3 locus=Brasy3G225600 ID=Brasy3G225600.3.v1.1 annot-version=v1.1 MHPVSISLQHFTANPTDGFSSSRSTNVRHSCDFVKLSTVKRNRGHLAMNSRPDSPSRSETTGGQDYTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYRIVEPAHMELAEPTIKEAFGKCVQQGASRVIVSPYFLSPGRHWKQVCP* >Brasy3G225600.4.p pacid=40042102 transcript=Brasy3G225600.4 locus=Brasy3G225600 ID=Brasy3G225600.4.v1.1 annot-version=v1.1 MHPVSISLQHFTANPTDGFSSRSTNVRHSCDFVKLSTVKRNRGHLAMNSRPDSPSRSETTGGQDYTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYRIVEPAHMELAEPTIKEAFGKCVQQGASRVIVSPYFLSPGRHWKQVCP* >Brasy3G083200.1.p pacid=40042103 transcript=Brasy3G083200.1 locus=Brasy3G083200 ID=Brasy3G083200.1.v1.1 annot-version=v1.1 MGGGDGRHGDGGGGSHPHQFQYQALLAAVHTQNPNNNTLPFPLPPLNGNGPDAPANNAACQPPAPRGFADWSASNSAFTSLAAQSTPAASTAATTNAFHYGVTPCYAFWTHYMLNKSGYSYYPPQHQEHPHPFCPDSQQAKNTGSALSFGIDSFTSTPVAPNISLAPNGSAHMPPMEGHISTNEPETSEDVPRVVRRKDGMETRNGGEDKCGTVDTLPELKQGHESCATKFNSGEYQVILRKELTKSDVANVGRIVLPKKDAEASLPQLCQRDPMILQMDDMVLPVTWKFKYRFWPNNKSRMYILDSTAEFVKTHGLQAGDALVIYKNPVPGKFIIRGEKAIQHPTNP* >Brasy3G325500.1.p pacid=40042104 transcript=Brasy3G325500.1 locus=Brasy3G325500 ID=Brasy3G325500.1.v1.1 annot-version=v1.1 MGLPQVSPAREDVSTGSRTPVSSPPHFGGVAACDLDGLPRGSSSGKVSYPSMGFNFSRQTASDVLDEPDGYVRGSNAFDGPAGLRGLKIGLGDANSRFSHELEAAVHMPTMRVVGFQSGSAGDSDTAELVTMNPSVATDSCGSLFDQHELQARKRLLSPLKNVLPRKFHGDMLNISPVDSRFQPSELGSKLCSSGFQDNKKANTGSLSSFESQACPTPRYSSWSSDWDANRINCNYFTDGPLLGKKESLSYYDHLAASAKLAHSPLSLSPLSPRHMHKVKIAGSQRHIMRDIESDYLDLKENGKGDGIRMLDVLEETNRLHDEYDAMTPKRSSCRRYQNWSSESCPTSPRVGYRRSLSLPVRRSLVVSFEESLLSGRLSYGKDNQILDGFLAILNIVGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLSASATNSNSPKLHRSLSNNDSRSAKSRLRIPAKGRIQLVVSNPEKTPLHTFFCNYDLTDMPSGTKTFMRQKVTLSPTVCPSNIVKEESKTSVSDSNVGPKAQSVSCGSELTQGTVCPEYCNGQKGDSTDESVKRCTNTKCYSLDSDMKESYKSTPVNKKNNSDSDDCCCQMDDIHSGAKKSCCASSKINDSSGVGVLRYALHLRFLCPASKKSSKSMLRCKSDPLSVPYSGNTVTEERRFYLYNDLRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN* >Brasy3G178500.1.p pacid=40042105 transcript=Brasy3G178500.1 locus=Brasy3G178500 ID=Brasy3G178500.1.v1.1 annot-version=v1.1 MEGKLSEASRRAVPSPIQKLSHLAQRVGAVNLAEGFPDFPAPAHVKAAAAAAIAGDLNQYRHVQGICDVLSETMKRDHGLDVDPLTDFAICCGQSEAFAAAVFAIIDPGDEVLLFDPAYETYHTCIELARGIPVYVPLDPPSWTLNGDKFFKSFTSRTKAVILNSPHNPTGKVFSKEELLIISEACQKKDYFAITDEVYEYITYDENKHTSLASLPGMQERTIITSSLSKTYSVTGWRVGWACAAANIAAAIRNIHVKLTDSAPAPFQEAAVIALTSTSDYYKSLKKDYAVKRDFILQLLTDYGFHISFRPQGSVFVFAELPRSWQISDIDFVTSLIQNAGVAAVPGRGFFHTDSDDQSYNHRYVRFAFCKSHETLEAAARKMRTLADSYGTMELNGK* >Brasy3G253800.1.p pacid=40042106 transcript=Brasy3G253800.1 locus=Brasy3G253800 ID=Brasy3G253800.1.v1.1 annot-version=v1.1 MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHVLREMVYECLLPGATRSSW* >Brasy3G078200.1.p pacid=40042107 transcript=Brasy3G078200.1 locus=Brasy3G078200 ID=Brasy3G078200.1.v1.1 annot-version=v1.1 METKEETKYRKIFQGLPSVIRGQFDVEVSDDLAPNPLGDGTMGLYKCTYGVGQLKSDAMVKKGSPDHPISEEQFVFLSGLNHHHNALLIENYYKDENNNACLVVETVSGTFQSWFNFKHQNRVQLFTDDGRMGTELRSIIL* >Brasy3G310800.1.p pacid=40042108 transcript=Brasy3G310800.1 locus=Brasy3G310800 ID=Brasy3G310800.1.v1.1 annot-version=v1.1 MAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGEEGEFARLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEQSSDLHRFCCLMTKFRKECECLALEDFPTAQRLQWHGHEPGKPDWSEASRFVAFSMKDETKGEIYVAFNASHLPTVVALPERTGYRWEPVVDTGKPAPYDFLTDDLPDRAFTVHQFSHFLSSSLYPMLSYSSIMLVSRPDV* >Brasy3G310800.2.p pacid=40042109 transcript=Brasy3G310800.2 locus=Brasy3G310800 ID=Brasy3G310800.2.v1.1 annot-version=v1.1 MAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGEEGEFARLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEQSSDLHRFCCLMTKFRKECECLALEDFPTAQRLQWHGHEPGKPDWSEASRFVAFSMKDETKGEIYVAFNASHLPTVVALPERTGYRWEPVVDTGKPAPYDFLTDDLPDRAFTVHQFSHFLSSSLYPMLSYSSIMLVSRPDV* >Brasy3G310800.3.p pacid=40042110 transcript=Brasy3G310800.3 locus=Brasy3G310800 ID=Brasy3G310800.3.v1.1 annot-version=v1.1 MHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGEEGEFARLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEQSSDLHRFCCLMTKFRKECECLALEDFPTAQRLQWHGHEPGKPDWSEASRFVAFSMKDETKGEIYVAFNASHLPTVVALPERTGYRWEPVVDTGKPAPYDFLTDDLPDRAFTVHQFSHFLSSSLYPMLSYSSIMLVSRPDV* >Brasy3G310800.4.p pacid=40042111 transcript=Brasy3G310800.4 locus=Brasy3G310800 ID=Brasy3G310800.4.v1.1 annot-version=v1.1 MSSTSWSTSPPLRSGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGEEGEFARLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEQSSDLHRFCCLMTKFRKECECLALEDFPTAQRLQWHGHEPGKPDWSEASRFVAFSMKDETKGEIYVAFNASHLPTVVALPERTGYRWEPVVDTGKPAPYDFLTDDLPDRAFTVHQFSHFLSSSLYPMLSYSSIMLVSRPDV* >Brasy3G310800.5.p pacid=40042112 transcript=Brasy3G310800.5 locus=Brasy3G310800 ID=Brasy3G310800.5.v1.1 annot-version=v1.1 MAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGELNN* >Brasy3G310800.6.p pacid=40042113 transcript=Brasy3G310800.6 locus=Brasy3G310800 ID=Brasy3G310800.6.v1.1 annot-version=v1.1 MHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGELNN* >Brasy3G310800.7.p pacid=40042114 transcript=Brasy3G310800.7 locus=Brasy3G310800 ID=Brasy3G310800.7.v1.1 annot-version=v1.1 MHLRGFTKHDSSNVKHPGTFIGAVSKLDYLKELGVNCVELMPCHEFNELEYFTSSSKMNFWGYSTINFFSPMMRYASGGINNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPVNVYGDQMEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNIPNGENNRDGENHNLSWNCGELNN* >Brasy3G111400.1.p pacid=40042115 transcript=Brasy3G111400.1 locus=Brasy3G111400 ID=Brasy3G111400.1.v1.1 annot-version=v1.1 MAGGKRRVKRNPTAGGPRQSSGAGRRRPVPELPSFVSPTSVAAAFAPSQSGGRGRGRGGGRRGGASPAAAGSAHAVPFSYTEALRPCSVSSGGATQAVECSIDTGLCADPADSVQLYSYDVVGGIGLGFHGEEDAADEDAGESGLHLGLGFRDSGIEEMDVEAEELKEASFVTPRHPKAKGTRNGGYLTIAGVRIYTEDTSSPESEGMGDSDEESDSDYEVRDGNADVDSDEQGSDDDEGDPESDEDSSGSESEEGLSIGDSSVDDEVVADYMEGIGGSEELLLSSKWVAGMKLADSDDDMDTDDDEDGFLKKGKEQLEGYALMCASEQYGMKRPNSAERRNRKGTGSRECDRGLSSIRVMGLDDVMMVKDVRMAKHSRKAAKASSSQLSRSWPNEGRKSKKYPSVPGEKKKHKKDLIAKKRRQRMLSRGVDLEQINTKLRKMVVDCLDMFCFQPMHTRDCSQVQRLASIYQLKSGCQGSGNKRFVTVTLTGQSSLPSADGQVRLDKLLGTEPEDFGVNWDSSKGPAKGKGLSAPGKLARHHDSSGKKSCKKQVSFAERPVSFVSSGTMVETVTEAITVDSTGGDTLSENVVESDSAKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDSEAEAMKARSEPANARPEPSKARSEPSKARSEQRRNIRPAEMNSLGTFERHTKGFGSKMMVKMGFVPGYGLGKDGQGIVNPLTAVRRPRSRGLGATDKY* >Brasy3G206900.1.p pacid=40042116 transcript=Brasy3G206900.1 locus=Brasy3G206900 ID=Brasy3G206900.1.v1.1 annot-version=v1.1 MPAESRGVSGSPRAKRTAVLRCLVVALIATVLLAGLVVLVFWLIVRPKPIEYTVTHAAVRHLNLTSPANPNAAAAALVNATFYLTFSADNPNRHLSVRYRDVAFSVHYGAGNGNGNDDKASAPLAVADPVADFRQPPRNETRLAVRAVARSVPVAGQTARELDHDRAAGEVGVEVRVSARVRFVVGGVRSRRYDMSAVCSPVVIGLSPASARSFRSVPCDVAIS* >Brasy3G116100.1.p pacid=40042117 transcript=Brasy3G116100.1 locus=Brasy3G116100 ID=Brasy3G116100.1.v1.1 annot-version=v1.1 MASAARLREKKEEEKWQGALRGNGGAGSASGRGALARRGAEAAVHDAVPRTHDVARLRAGNGEAASRGARSTDREPDGAEAAAQRKRERGGGVSLGHDLPSARKVLDAVLGRERERGVGSRRVSLGGREASRKERGSGRQALGNAGAPRTWPGQRD* >Brasy3G072600.1.p pacid=40042118 transcript=Brasy3G072600.1 locus=Brasy3G072600 ID=Brasy3G072600.1.v1.1 annot-version=v1.1 MAAAPNGAAVSSLPATVPVEAVLFDIDGTLCDSDPLHHVAFQELLLAIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEVKYRSLAMERLEPVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMIKLLGLSDFFQAVIVGGECEKPKPAPFPYLKALKELDVSAAHTFIFEDSASGTSAGVAAGMPVVAVLTRNPEKSLLDAGASLIIKDYEDPKLWSVLKEIDREEAKLKNGGA* >Brasy3G136500.1.p pacid=40042119 transcript=Brasy3G136500.1 locus=Brasy3G136500 ID=Brasy3G136500.1.v1.1 annot-version=v1.1 MLLPPISPDVAGAVVRQTRADSPFPPSPCPTRLRLRLRLVSPIRTHSSSISLSAAVPSRPAKPPVCTADELHYAPVSGAGWRLALWRYKPPPHAPVRNHPLMLLSGVGTNAIGFDLSPQASFARHMSNQGFDTWIVEVRGAGLSTREHGSSVASGSSATEDISSGVPHLDEQSTLEAASPHSSGGSAIDCDDLGIVALDEPPLLAELANFFYRISKLLEEARLSKNLNEISEKISALSEMAESSAIIGPMKEESLRLLKNFQEQIDSWEHFVATQMQLTSEYNWDFDHYLEEDIPTVMEYIRQHSIATDGKLLAIGHSMGGILLYATLSKSGFEGVPSNLAAIVTLASSVDYTASNSSLKMFLPLAHPAQALNVPALPLGALLAAAYPWASAPPYLLSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTGTFSYKDHLRDCQTPVLALAGDRDLICPPEAVYETVKLIPQHMVNYKVFGKPEGPHYAHYDLVGGRLATDEVYPCITEFLSRHDRLLI* >Brasy3G136500.2.p pacid=40042120 transcript=Brasy3G136500.2 locus=Brasy3G136500 ID=Brasy3G136500.2.v1.1 annot-version=v1.1 MLDESKDIPTGIFAKASFARHMSNQGFDTWIVEVRGAGLSTREHGSSVASGSSATEDISSGVPHLDEQSTLEAASPHSSGGSAIDCDDLGIVALDEPPLLAELANFFYRISKLLEEARLSKNLNEISEKISALSEMAESSAIIGPMKEESLRLLKNFQEQIDSWEHFVATQMQLTSEYNWDFDHYLEEDIPTVMEYIRQHSIATDGKLLAIGHSMGGILLYATLSKSGFEGVPSNLAAIVTLASSVDYTASNSSLKMFLPLAHPAQALNVPALPLGALLAAAYPWASAPPYLLSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTGTFSYKDHLRDCQTPVLALAGDRDLICPPEAVYETVKLIPQHMVNYKVFGKPEGPHYAHYDLVGGRLATDEVYPCITEFLSRHDRLLI* >Brasy3G136500.3.p pacid=40042121 transcript=Brasy3G136500.3 locus=Brasy3G136500 ID=Brasy3G136500.3.v1.1 annot-version=v1.1 MSNQGFDTWIVEVRGAGLSTREHGSSVASGSSATEDISSGVPHLDEQSTLEAASPHSSGGSAIDCDDLGIVALDEPPLLAELANFFYRISKLLEEARLSKNLNEISEKISALSEMAESSAIIGPMKEESLRLLKNFQEQIDSWEHFVATQMQLTSEYNWDFDHYLEEDIPTVMEYIRQHSIATDGKLLAIGHSMGGILLYATLSKSGFEGVPSNLAAIVTLASSVDYTASNSSLKMFLPLAHPAQALNVPALPLGALLAAAYPWASAPPYLLSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRTGTFSYKDHLRDCQTPVLALAGDRDLICPPEAVYETVKLIPQHMVNYKVFGKPEGPHYAHYDLVGGRLATDEVYPCITEFLSRHDRLLI* >Brasy3G163900.1.p pacid=40042122 transcript=Brasy3G163900.1 locus=Brasy3G163900 ID=Brasy3G163900.1.v1.1 annot-version=v1.1 MTSALGGCGSFWAVMLMAAAGVGLLASPSECARAFFVFGDSLVDNGNNNYLMTSARADSPPYGIDFPTHRATGRFSNGLNIPDIISEHLGAEPTLPYLCPELHGAKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLHYFREYQAKLRALVGAAQATQVVNRALVLITLGGNDFVNNYYLIPFSLRSRQFALPDYVRLLISEYKKILVNLYEMGARRVLVTGTGPLGCAPAELALRSRDGECDKDLMRAAGLFNPQLSGVLGELNARYGGGTFIAANAMKVHFDFISDPAAYGFRTAKEACCGQGPHNGLGLCTVASNMCANRDEYVFWDSYHPTERANRIIVSQFMTGSLDYVSPLNLSTALHMDAAKLLD* >Brasy3G087400.1.p pacid=40042123 transcript=Brasy3G087400.1 locus=Brasy3G087400 ID=Brasy3G087400.1.v1.1 annot-version=v1.1 MEATAGGSPGLGLIDGDGDDAASSTKGAGASGRWAVGAVCGGLVYYHCAVRRASVVSLAADVLLVLLCSLSILGLLFRHLHIAVPVDPLEWQISQEMANSIVASLANTVGAAESVLRVAATGHDKKLLLKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDAT* >Brasy3G087400.2.p pacid=40042124 transcript=Brasy3G087400.2 locus=Brasy3G087400 ID=Brasy3G087400.2.v1.1 annot-version=v1.1 MEATAGGSPGLGLIDGDGDDAASSTKGAGASGRWAVGAVCGGLVYYHCAVRRASVVSLAADVLLVLLCSLSILGLLFRHLHIAVPVDPLEWQISQEMANSIVASLANTVGAAESVLRVAATGHDKKLLLKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDAT* >Brasy3G035300.1.p pacid=40042125 transcript=Brasy3G035300.1 locus=Brasy3G035300 ID=Brasy3G035300.1.v1.1 annot-version=v1.1 MSSNWRSLQHRHRYTYTSVVFPKHYLEALALVPAEVSSSNFFAQLNNLISLPSTYAQVVVVKDFASAFVQFLSGPAIPDHVVLVAVKLYLEILFFENSLPLHRTVISVLAKCKKFSTVISGCFTSLCEEYGGSGVKAKSFLVSRAALSLIGYPKLGFLNEAVEEATEITALDVVAGLDGVIRDIADGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFKSAVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSPEEISWFISQGLFSIFADHEDRRDLAAHVALSDFDLSEEIRDLSVLSRLCLLRGILTAIPRKALNVRQLHSNGSLWTILYDGILPELCKHCENPIDRHFNFHALTVTQICLQQIKSSVSADFTDFSGDYKPFSRDIINRLLKLIWSNLEDPLSQTVKQVHLIFDLLLDIEACVQSEDQNNKLFLCNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLSLKADLILETAYAYIDDDVCCAATTFLKCFLETLRDECWDSDGVEQGYDAFRALCLPPLMRGLISGNSKLRSNLNTYALPAVIEVDTDSIFTMLGFISVGPSAKATKIDFALKSDQCIAALVSLLKVSRNLALVEGDIHLDSNELSQHEGKKDAVAISVKGIIVTVPVNWFVLALTHSDETIRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTGLFRKFFARVRTALDRQLKQGSWLPSPTSIVKEANPVGTAIVNDTVVQRAEDLFQFMKWLGSFLFNSCYPSGPYERKTIAMELILILLDVWPICRSEGKKDLYPYNDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISLTTSIDDVIRWAKELVLSPRVRESDAGALTFRLIFRKYVLELGCVLVFSEENDCLQCYTKSTNGDTEIITSQNPVAQYISSLIQWLCTVVEEGEGDLSEACKKSFVHGVLLTLRYTFDELHWNSAVIQSCVSEMRHLVGKLLQLIMRITSLALWVVSSDAWYMPYDMDDMIDDGSFLSDIVDEDQSDTASAIAEKNAKSGNGGKPAEHVIMVGCWLAMKEVSLLFGTIVRKIPLPVCSHSNSSQSGLPDNNEETSMSPEILDVEQLEMMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMVLLMDRTVAKGQTVDDLLRRSAGIPASFMALFLAEPEGTPKKLLPRALEWLIEFARTSLANFQKDCNQKSEAMKDRVEVFCEPQSGSTTSVHSNGTLSKSRDEGVVPAVHVFNVLRAAFNDANLAADTSGFCAEATIVAVRAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSITGLEFFHRYPALHPFLSSELKVATEQLADGVSRNLESHIAKAIHPSLCPILILLSRLKPSPISCGADDPLDPFLLLPFIQKCATQSNYRVRVLASRALIGLVSNERLQHVVSHILADLPSRREAHSSQGSVSPVSSNVENRNSPRPAKSSSFNSTHGLLLQLCSLLDSNFRGLTDSNKKDQILGQLIEVLSKCSWLGCRKLCTCPVVSTSYLSVLDQMLDVARTGKSKHADVIRTLLLQLSSQCLNNATLTHYTFHDPTQNELQQQAAASYFSCVGIPKGHDETAEEDIRLQILDQRTSSMSEMPCQVSLPELHKEIMSCLADPIYDVRITVLKRILQLVKSIRCGDAMNILHQWARANLHSVIMERLFVEEHPKCIYYSLKIVFSWNMECQFNNGEDSSTFLCIWDRLLHLNSIVSHAKTREMILCCMGMCMKQFAKLLRNGVLLDGLQTNELSTSSVRISDGNRLSAAITSTNLFVSLVKNQSAPSETVNSRRAAAEAIIASGLLEEANFVKASVSNAYVPSEHDECHIEERCSEANLGEVASLYACKILDLWFICIQLLEDEDVHLRQKLAKNVQKIIANGSANNLCDDSAPLQVDRVIELSFEFVTYLFGHWLKYIEYLLRVVLSTANTLNSHGDLVRQIFDKEIDNHHEEKLLICQISCSNIQKLLGSENQVTTKGRTELFLQNWRENFLHQLTSLTSGYLEEEGKTDWIGGIGNHKDVFPSVYANLLGLYMLTQSGSMEQLEDRHKLYLQGFSDLERFITPFLKNPLILNLYLLVKQSHGMIGSPSKPEDQVGSSASESFDPYFLLR* >Brasy3G125300.1.p pacid=40042126 transcript=Brasy3G125300.1 locus=Brasy3G125300 ID=Brasy3G125300.1.v1.1 annot-version=v1.1 MDPLPTAGSAAAAAPPTERLAATTTRPHVVLLASPGAGHLLPLAELAQRLVEHHGFAATLVTFTNRSDPKLLSSVPASVATAALPAVQIDDLPADAHFGLVLLELTRRSRQNLRALLRSVAPPLAALVPDFFCSAALPVAAELGVPGYVFVPCNLTWVALMRHVVELHDGAAPGEYRDVPEPLELPGGVSLRRADLPEPYRDCNGPAYPLLVDWGRRNRGADGFLVNTFRAMEPAAAEAFEAAAEQGAFPPVFPVGPFVRSPDSDEITSSPCLEWLDRQPADSVVYVSFGSSGALSVEQTAELAAGLEASGHRFLWVVRMPSLDGRHFAIGTRYVSDEKDPLAAWLPEGFAERTRGRGLAVAAWAPQVRVLSHPATAAFVTHCGWNSALESLKHGVPMVSWPMFAEQRMNALLLEGSLGVARRARAREDGGVVTGEELAAAVKELMEGEKGRAVRARARDLQRAVERALGPEGSSHRALEEVAAKWKAVLCHVF* >Brasy3G067300.1.p pacid=40042127 transcript=Brasy3G067300.1 locus=Brasy3G067300 ID=Brasy3G067300.1.v1.1 annot-version=v1.1 MASGGGRRRGKAKRKTKYLSLSRHLNAKAAAKEVKEEDGSTESVSPLLLELSPSVPLPAAEEEDGDGGGQQQQMEPFALHPEAAPCTLFAAAPSLTDILGASPSSGGGGGSPPSCTPSPDAASGGFEEEDLARRALRGRERWVYCCRSNSPSSSPPTTTTTTSSSSCSSAASTGAAAWSSSSSSPPPRLKLDYDGILAAWNGRGSLYTAAAAAPKLELELLDSVFVEVAPSTWSAPETERATAAGRAERVRRYKEKRQARLFSKRIRYEVRRINAVRRPRFKGRFIKGKELGATAMAADGSKLEPAAS* >Brasy3G299000.1.p pacid=40042128 transcript=Brasy3G299000.1 locus=Brasy3G299000 ID=Brasy3G299000.1.v1.1 annot-version=v1.1 MATRHLITGQSNCPADGASSSNPFGALANALLGQSSKAQSIKELPGASVNFPTTSDYSTPAPLSTIPGSENEFKQDQRPPGWGTEFIHGGSANDWVESFRPPGHPVVGGPEPNFAEFDQIYNNASITSGPALDGPPQRVLSGVLHSFLSSGRAGVPFQPVPIPALGLSEGDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNNHRMRNPLQGPYPEMEEYWNQSQNAMISAPMHNSADQWITEFGKQNNNPDDWAHSFEQHHGPNGWASEFEQHQSQMGMGQMGGANMANLAAMQQSRMLAQTLSSNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEELSQGADKWVSEFSSERNQGGLNEKWADEFSKLHVDDEWAEEFSGGAFGESSADPWADEFQNQLSASKQNSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAALALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMLRAQEANPTNLEVLLALGVSHTNELEQGEALRYLSRWLQNHPKYGGLAPPQPTDSFYGPDVIRLFNEAAKMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAVLAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVSMNPKADNAWQYLRISLGNASRSDMIAACDSRNLDVLQKEFPL* >Brasy3G299000.2.p pacid=40042129 transcript=Brasy3G299000.2 locus=Brasy3G299000 ID=Brasy3G299000.2.v1.1 annot-version=v1.1 MATRHLITGQSNCPADGASSSNPFGALANALLGQSSKAQSIKELPGASVNFPTTSDYSTPAPLSTIPGSENEFKQDQRPPGWGTEFIHGGSANDWVESFRPPGHPVVGGPEPNFAEFDQIYNNASITSGPALDGPPQRVLSGVLHSFLSSGRAGVPFQPVPIPALGLSEGDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNNHRMRNPLQGPYPEMEEYWNQSQNAMISAPMHNSADQWITEFGKQNNNPDDWAHSFEQHHGPNGWASEFEQHQSQMGMGQMGGANMANLAAMQQSRMLAQTLSSNNDPKFQLSQGADKWVSEFSSERNQGGLNEKWADEFSKLHVDDEWAEEFSGGAFGESSADPWADEFQNQLSASKQNSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAALALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMLRAQEANPTNLEVLLALGVSHTNELEQGEALRYLSRWLQNHPKYGGLAPPQPTDSFYGPDVIRLFNEAAKMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAVLAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVSMNPKADNAWQYLRISLGNASRSDMIAACDSRNLDVLQKEFPL* >Brasy3G116300.1.p pacid=40042130 transcript=Brasy3G116300.1 locus=Brasy3G116300 ID=Brasy3G116300.1.v1.1 annot-version=v1.1 MHHYNFTPPRMPYPDQGHQSMENLHYVGASPHDSFQTPPPPPQPKANKVASRSSSAKRKKPLINLDDDEPNERTARRLPYTPEEHVRLASAWLECSNDRFSGNGKSGEKFWDEIAAVYNSTTPINRKRDRNQLKQEWQRTKKRLSSFHGEWIAVTGVYHSGYKPEDLEQMALQKYESNNGHAFSHLTMWVKLKDEGKWLACYRNILEKEGKSASVETTSNVINLEGEQRPPGRDRAKAERAGKAKAREVSQDLGEKLDKFIEVNNQSTEERQKVMECQLLLSNRQLETTKIANNTKMLDVYQKLL* >Brasy3G328700.1.p pacid=40042131 transcript=Brasy3G328700.1 locus=Brasy3G328700 ID=Brasy3G328700.1.v1.1 annot-version=v1.1 MMLLARSSLRLRVAPLASAAAPFSAAAEAAERAVRDGPRNDWTRPEIQAIYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKADKLMKKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEQLKTAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALIAVKGTPLQDQKPVEIWEMIRMIASARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPNFGDEEATSAASTESCEQVASM* >Brasy3G198200.1.p pacid=40042132 transcript=Brasy3G198200.1 locus=Brasy3G198200 ID=Brasy3G198200.1.v1.1 annot-version=v1.1 MSWWWAGAIGAVKKRQDESAAAAEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYALSRRPLPPWSPPPSPAVTHLHLDLADSAAVADALAPLIDITHVFYVAWSNHPTEAQNREANSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEAIGKIPAPDPPYTEDMPRLDYPNFYYDQEDVLFDEVSRRGGAVSWSVHRPTTIFGFSPRSAMNVVGSLCVYATICRKEGATMRWPGSKVAWEGFSDSSDADLIAEHEIWAAVDPFAKNEAFNCSNGDLYKWKQFWPMLADHFGVEWAGYEGEDNRFMITQAMAGKEAVWAEILQENELIRTELEEITNWWFVDALFNVETQHLDSMNKSKEHGFLGFRNTTNSFNTWIEKMKVFKIVP* >Brasy3G257000.1.p pacid=40042133 transcript=Brasy3G257000.1 locus=Brasy3G257000 ID=Brasy3G257000.1.v1.1 annot-version=v1.1 MEKGRKTKARWDSFAHKVFCEICKEEVSAGNRPVASLSATGYKNLHQKFLARTGRNYERKQFKNRWDFLKKEYGHWVAFTKAATGQRWNSELGTVDADNEWWAKHIEVHPESVKFRNGPPENLAYLVGMFDEAHVTVATSAIPGEYIEDLTNLIEVENGDDDVETNIAASQKAKEAEMRRDAIVSAGPKKKQKNPTAQEFRHVPNIVAGSTSGTSSSAVPTGHRIKDVIKLAVQSGAKECSDLFFTATKLFMNADYRELFSALETNEGRLDWLNRMHEEAKKN* >Brasy3G068800.1.p pacid=40042134 transcript=Brasy3G068800.1 locus=Brasy3G068800 ID=Brasy3G068800.1.v1.1 annot-version=v1.1 MTRARRRVALEATFSGPFRNPPRDVPRSVDFRPSDRPRAFRGRPRRLSAVRSTPRPLPRWSAPLPSPRTAPHPRYPLRHRRQSPSSRPPPRPTPATPRRSLALHLQATAALQPPPPAPSDFPISPPYRAAAVPHPLRARRPARFGRRLRRVPRRYHPPSSPRSTAEKTDRRIPFDLRPHPARTAAVLPLPPRAPGERGCSWLGTTGRAGGVALVPVPARPRVRAVVTVGGPLIPHSTRSISEVFAALGDGFYITQLQVSVFVTRPRPRRSTMLLLRLERWRRRLPMDAPPNDGVPASQGP* >Brasy3G189200.1.p pacid=40042135 transcript=Brasy3G189200.1 locus=Brasy3G189200 ID=Brasy3G189200.1.v1.1 annot-version=v1.1 MHRVFRAAAAAGAVGLAPARRHRAPNWGAETARWLSGGREAMIYDVVVVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGAEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWMLTKNKAWTLPSPFDNKGNYVISLSQLVRWMAVKAEELGIEIYPGFAASEILYDENQIVAGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSETIIRNCKLRESGQGQHQTYALGIKEVWEIEEGKHEPGSVVHTVGWPLDTKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAIRTLLEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFKALVEGSSMDLYWENLKKSWIWEELYRARNYRPAFEYGFIPGMALSAAERYIFKGKSPFTLKHGEPDHEATDLANLHSPIQYPKPDGQVSFDVPSSLYRSNTNHEHNQPPHLRLRDPTVPERVNLPQYAGPESRYCPARVYEYVSDENGNPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTIM* >Brasy3G241200.1.p pacid=40042136 transcript=Brasy3G241200.1 locus=Brasy3G241200 ID=Brasy3G241200.1.v1.1 annot-version=v1.1 MAGGGAAPPPKQEEMHPHAVKDQLPSVSYCITSPPPWPEAVILGFQHYLVMLGTSVIIPSALVPQMGGGNDEKARVIQTLVFVAGINTLFQSFFGTRLPAVMGGSYTVVAPTISIILAGRYSSETDPHEKFLRTMRGTQGAFIIASTIQIILGFSGLWRNVVRLLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLIFSQYLPHLIHVAKPVFDRFAVIFTIAIVWLYAYILTVSGAYNNAPLKTQVHCRVDRSGLIGGAPWIRVPYPFQWGAPTFDAGECFAMMMASFVALVESTGTFVAVSRYASATMIPPSILGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGHGPVHTGARWFNDMINVPFSSKPFVAGIVAYFLDNTMHRRDNAVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV* >Brasy3G222200.1.p pacid=40042137 transcript=Brasy3G222200.1 locus=Brasy3G222200 ID=Brasy3G222200.1.v1.1 annot-version=v1.1 MRAIETPPSGVVPKRACAVRRRAQASLRRPPSRPREPEPDPRRNPRRLALASPRHDPVDPRKRDPAFRPRARASPSRLHAVTPRRPPSRPRAPRLSTPSGRLRRSSRVFLSYVGRACLPFLPTLFPDFSSPQTDHSRRAPAPPDATAPAVLPAILAPPSLSSAAPAALSLQRSDQLSHPPPPSHICGTAVALSCRAPQLPPTPFARRRLLKPRSRDSQGAQPRRGTIAFAPAGVAADSAGHPPPRPVEVLPTSSFLLIFFK* >Brasy3G137600.1.p pacid=40042138 transcript=Brasy3G137600.1 locus=Brasy3G137600 ID=Brasy3G137600.1.v1.1 annot-version=v1.1 MIAGNDDMIQNQMSCCFDMSHDRYSSKNVQILAYRILGNFAGSHCVR* >Brasy3G134400.1.p pacid=40042139 transcript=Brasy3G134400.1 locus=Brasy3G134400 ID=Brasy3G134400.1.v1.1 annot-version=v1.1 MSGGGVVCPPPELSFGGEYYSVVNGVCSRAESFFGGKPVLGQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGGSRLRTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATVQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGNPAHLVFLAFCLATNAIVTAMLLLGGSAVVNALTGVDVYAASFLIPLGVVAYTLAGGLKATFLASYVHSVVVHAVLLVFVFLVYTSSSRLGSPKVVYERLMAVASVARDCSGQLSRSGQACGPVRGNLKGSYLTMLSSGGLVFGVINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITASEAARGLVPPATATALMGKPGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDVYRTYVNPGASGKQILRVSRAVILAFGGSMGALAAVLNLAGVSLGWMYLAMGVLVGSAVIPIALLLLWSKANASGAVLGAVGGCALGVAVWLTVARVQYGRVDLDSTGRNAPMLAGNLVSILVGGAVHAVCSLVSPQRYDWESCRQITTVETTAIGDDDEDEERKEERLVRAKRWIVRWGLAFTGVIVVAWPALSVPAGRFSEGYFTLWAAVAIAWGAVGSAVIILLPLVESWATISKVFAGMLTNDAVYERLDDVSLRLTAIMGAMPEAEKHYRSLLQRQHAEMEMTQPAAAGTHPIPANDDGDDEAGRHLQN* >Brasy3G205200.1.p pacid=40042140 transcript=Brasy3G205200.1 locus=Brasy3G205200 ID=Brasy3G205200.1.v1.1 annot-version=v1.1 MHSQDWRPQPWVKAKANLNKLMGGIIRHVVGGSTRVEWYTGSRLQSRSASSSQGDIDKLGMPVTPRRPCRSASPSSSPSSPASELVGSGEWQVPEGYVLSRNHM* >Brasy3G280800.1.p pacid=40042141 transcript=Brasy3G280800.1 locus=Brasy3G280800 ID=Brasy3G280800.1.v1.1 annot-version=v1.1 MAGSKALCTCIIIFIVISSQQAEARRLTKVAATSKGKEPCSELGTLKDDGQSFKARAGQDGKAMPMATTVDSRSTSPGNSPGIGNKGKTTNN* >Brasy3G285100.1.p pacid=40042142 transcript=Brasy3G285100.1 locus=Brasy3G285100 ID=Brasy3G285100.1.v1.1 annot-version=v1.1 MDVDFGADLFPPQSEECVAGLVEREREHMPRPDYGDRLLLAGGGVDLRVRTEAVDWIWKVYTYYNFGPLTAYLAVNYLDRFLSRYELPEDKAWMAQLLSVACLSLAAKMEETYVPRSLDLQIGEERYAFEAKTIQRMELIVLSTLNWRMQAVTPFSYIDYFLGKLNGGNAPPQCWLFQSAELILCAARGTGCLGFRPSEIAAAVAAAVVGAVDGAAIAKACTHVDKERVLRCQEQLLHRQPAMAMAVSSIDDTVPPTTSANSSSSSTAPRSPVGVLDASCLSYKSDDTATIAAHGSRCCCSRHDSSPVTSKRRKISS* >Brasy3G032000.1.p pacid=40042143 transcript=Brasy3G032000.1 locus=Brasy3G032000 ID=Brasy3G032000.1.v1.1 annot-version=v1.1 MDPQTFVRLSVGQLGLRLPAGKSAAGRACHCEIRLRGFPAQTAPVPLLINSSELSFDPHGANAAAVFSLDESDLAALSAPRCFSFRGAPAEPCLEIAVYLGGSHGGGGVVASRRRRGRLVGVVRVGVGPEWREGKPVVLRHGWTAVGGGGGELHLRVKALADPRYVFRFEDEVALNPQVVQIHGRHSQPIFSCKFIRAGRRASQADDWSSSSGEEEKEMEAARRRERMRERKGWKVAVHDLSGTAVAAAFMATPFVPATGRDTVARSNPGAWLIVRADTTGSSDSWQPWGRLEAWREDPPAPGTKGKGSAEAACLLRLRLLPEQQRGEDACVLVSETPLSCDKGGEFSIDMDMHSSSEEPEEEAAEHCAAGMGGGFVMSCRVHGEASGGPPVVVRVAARHVTCMEDAAMFLALAAAVDLSVKACRPFPGKSAAAGKTKKKLAAGGAGSSSPDPLELDT* >Brasy3G268200.1.p pacid=40042144 transcript=Brasy3G268200.1 locus=Brasy3G268200 ID=Brasy3G268200.1.v1.1 annot-version=v1.1 MTLDGINGGSVSTMERDGGAAAAAGAAPAERERWCVWVAEECSPSGCACAMGPKPMMARYVYALVFLVTNLLAWTVRDYGHSALAELQRLKGCRGARYCLGAEGVLRISLGCFLFFFMMFLSTVNTRKVHDCRNSWHSEWWPVKILLWMGFTAVPFFAPSQLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKKCHMHVLVASTVAYVGAVLGIALMYVWYVPRPSCRLNSIFISVTLLLVLLMTFVSANSKVKAGYLAPGLMGVYVVFLCWAAIRSEPHTEVCNRKAGAATSADWLNIANFVIAVIVIVAATFSTGIDSKCLQFKSSETESEDDIPYGFGFFHFVFAMGAMYFAMLFVGWNAHQKMEKWTIDVGWASTWVRVANEWLAAIVYIWMVIAPIVWKRRQVGSSATCP* >Brasy3G268200.5.p pacid=40042145 transcript=Brasy3G268200.5 locus=Brasy3G268200 ID=Brasy3G268200.5.v1.1 annot-version=v1.1 MTLDGINGGSVSTMERDGGAAAAAGAAPAERERWCVWVAEECSPSGCACAMGPKPMMARYVYALVFLVTNLLAWTVRDYGHSALAELQRLKGCRGARYCLGAEGVLRISLGCFLFFFMMFLSTVNTRKVHDCRNSWHSEWWPVKILLWMGFTAVPFFAPSQLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKKCHMHVLVASTVAYVGAVLGIALMYVWYVPRPSCRLNSIFISVTLLLVLLMTFVSANSKVKAGYLAPGLMGVYVVFLCWAAIRSEPHTEVCNRKAGAATSADWLNIANFVIAVIVIVAATFSTGIDSKCLQFKSSETESEDDIPYGFGFFHFVFAMGAMYFAMLFVGWNAHQKMEKWTIDVGWASTWVRVANEWLAAIVYIWMVIAPIVWKRRQVGSSATCP* >Brasy3G268200.3.p pacid=40042146 transcript=Brasy3G268200.3 locus=Brasy3G268200 ID=Brasy3G268200.3.v1.1 annot-version=v1.1 MTLDGINGGSVSTMERDGGAAAAAGAAPAERERWCVWVAEECSPSGCACAMGPKPMMARYVYALVFLVTNLLAWTVRDYGHSALAELQRLKGCRGARYCLGAEGVLRISLGCFLFFFMMFLSTVNTRKVHDCRNSWHSEWWPVKILLWMGFTAVPFFAPSQLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKKCHMHVLVASTVAYVGAVLGIALMYVWYVPRPSCRLNSIFISVTLLLVLLMTFVSANSKVKAGYLAPGLMGVYVVFLCWAAIRSEPHTEVCNRKAGAATSADWLNIANFVIAVIVIVAATFSTGIDSKCLQFKSSETESEDDIPYGFGFFHFVFAMGAMYFAMLFVGWNAHQKMEKWTIDVGWASTWVRVANEWLAAIVYIWMVIAPIVWKRRQVGSSATCP* >Brasy3G268200.2.p pacid=40042147 transcript=Brasy3G268200.2 locus=Brasy3G268200 ID=Brasy3G268200.2.v1.1 annot-version=v1.1 MTLDGINGGSVSTMERDGGAAAAAGAAPAERERWCVWVAEECSPSGCACAMGPKPMMARYVYALVFLVTNLLAWTVRDYGHSALAELQRLKGCRGARYCLGAEGVLRISLGCFLFFFMMFLSTVNTRKVHDCRNSWHSEWWPVKILLWMGFTAVPFFAPSQLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKKCHMHVLVASTVAYVGAVLGIALMYVWYVPRPSCRLNSIFISVTLLLVLLMTFVSANSKVKAGYLAPGLMGVYVVFLCWAAIRSEPHTEVCNRKAGAATSADWLNIANFVIAVIVIVAATFSTGIDSKCLQFKSSETESEDDIPYGFGFFHFVFAMGAMYFAMLFVGWNAHQKMEKWTIDVGWASTWVRVANEWLAAIVYIWMVIAPIVWKRRQVGSSATCP* >Brasy3G268200.4.p pacid=40042148 transcript=Brasy3G268200.4 locus=Brasy3G268200 ID=Brasy3G268200.4.v1.1 annot-version=v1.1 MTLDGINGGSVSTMERDGGAAAAAGAAPAERERWCVWVAEECSPSGCACAMGPKPMMARYVYALVFLVTNLLAWTVRDYGHSALAELQRLKGCRGARYCLGAEGVLRISLGCFLFFFMMFLSTVNTRKVHDCRNSWHSEWWPVKILLWMGFTAVPFFAPSQLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKKCHMHVLVASTVAYVGAVLGIALMYVWYVPRPSCRLNSIFISVTLLLVLLMTFVSANSKVKAGYLAPGLMGVYVVFLCWAAIRSEPHTEVCNRKAGAATSADWLNIANFVIAVIVIVAATFSTGIDSKCLQFKSSETESEDDIPYGFGFFHFVFAMGAMYFAMLFVGWNAHQKMEKWTIDVGWASTWVRVANEWLAAIVYIWMVIAPIVWKRRQVGSSATCP* >Brasy3G103800.1.p pacid=40042149 transcript=Brasy3G103800.1 locus=Brasy3G103800 ID=Brasy3G103800.1.v1.1 annot-version=v1.1 MAGAEEEGEVAALREALRQQRQAVEALKAELEEERQAASSGADEALAMILRLQAEKAAERMEAEQFRRVAEERILHDEDSLAFLKAVVFSQEMDIASLKSRLSGAVNGGNGDELPWLRRLAKNATLPAARLEELCGPDLDAAHQQMRLQRPAAQSHRLRRAQSYSAHCLTTRGNVYDKFEAPESVASHAPPPPRSSRRSSPEIISEEDDEAAMVSATRRGDLVAEIGQIKCGVEKLATELGRMREASVSRGEAQVRLLGEIRGKLDAIDNREQSVQGGKRFASREEGSSSSKGVVDLPQSELLMNHFIEAMMYIP* >Brasy3G103800.3.p pacid=40042150 transcript=Brasy3G103800.3 locus=Brasy3G103800 ID=Brasy3G103800.3.v1.1 annot-version=v1.1 MAGAEEEGEVAALREALRQQRQAVEALKAELEEERQAASSGADEALAMILRLQAEKAAERMEAEQFRRVAEERILHDEDSLAFLKAVVFSQEMDIASLKSRLSGAVNGGNGDELPWLRRLAKNATLPAARLEELCGPDLDAAHQQMRLQRPAAQSHRLRRAQSYSAHCLTTRGNVYDKFEAPESVASHAPPPPRSSRRSSPEIISEEDDEAAMVSATRRGDLVAEIGQIKCGVEKLATELGRMREASVSRGEAQVRLLGEIRGKLDAIDNREQSVQGGKRFASREEGSSSSKGVVDLPQSELLMNHFIEAMMYIP* >Brasy3G103800.2.p pacid=40042151 transcript=Brasy3G103800.2 locus=Brasy3G103800 ID=Brasy3G103800.2.v1.1 annot-version=v1.1 MAGAEEEGEVAALREALRQQRQAVEALKAELEEERQAASSGADEALAMILRLQAEKAAERMEAEQFRRVAEERILHDEDSLAFLKAVVFSQEMDIASLKSRLSGAVNGGNGDELPWLRRLAKNATLPAARLEELCGPDLDAAHQQMRLQRPAAQSHRLRRAQSYSAHCLTTRGNVYDKFEAPESVASHAPPPPRSSRRSSPEIISEEDDEAAMVSATRRGDLVAEIGQIKCGVEKLATELGRMREASVSRGEAQVRLLGEIRGKLDAIDNREQSVQGGKRFASREEGSSSSKGVVDLPQSELLMNHFIEAMMYIP* >Brasy3G059400.1.p pacid=40042152 transcript=Brasy3G059400.1 locus=Brasy3G059400 ID=Brasy3G059400.1.v1.1 annot-version=v1.1 MAGDGDFDPEYEWVQRDDSYLLRLRLPGFQKGDFRVHVDSAGKLKVLSQRPAAAGPGFTKVFQLPSSAIVDEISGLFDGRVLTLTVPKRISGEPTITKEETKKPKPTEWLENATDYVLRVNLGGFRKDDVAVEMDDVDKLSVLAQGPVDGGPRFFKEYALPSGVILDDVRADFEAGVLTVTAPKLAAAAAQMKVKDTDTRKAKAKEHGGSTDDPFDSDEEGIWIENATGYVLRIKLEGISKDDVRVQGSGEGGITVRGHFPDNGPCLFNQPFVLPSTASLAGMSASFGNNILTVTVPKRTVITLSPTAVEIRRPKAMEERQAKPRTRELQVDCAKQLPAEEDDGRRRKKEDGIKKAPDKATRKAQREEEDEKVEEATRSKQEVVLRIKEETKPITSKEAIPAAGPPKEDDISEKGRVIDQERRLVERIRHYCSCFSGRGSGTKEKDSM* >Brasy3G051900.1.p pacid=40042153 transcript=Brasy3G051900.1 locus=Brasy3G051900 ID=Brasy3G051900.1.v1.1 annot-version=v1.1 MATSPLIRALRRPLPQAGTLLQLAMHGKGQAVTGYQHLNSRNLSILFSKQLKGEAKSTPEFVKSMKDLNGRFGCLT* >Brasy3G115600.1.p pacid=40042154 transcript=Brasy3G115600.1 locus=Brasy3G115600 ID=Brasy3G115600.1.v1.1 annot-version=v1.1 MVGNDWINSYLEAILDAGGAAGDISAASVAGGGDGPAAGGAAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRSSKKRLEREKARRDAAADLSEDLSEGEKGENIHESSIHDESTRGRMPRIGSTDAIEVWANQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGETPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENLGDDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEVDATYKIMRRIEAEELCLDASEIIITSTRQEIEKQWGLYNGFDLTMAKKLRARMKRGVSCYGRYMPRMIAIPPGMEFGHIVPHDVDLDGEEGNEIGSGSPDPPIWTDIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHHELRNLANLTLIMGNRDVIDEMSSTNGAVLTSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAARTKGVFINPAYIEPFGLTLIEAAAYGLPMVATQNGGPVDIHRVLDNGILVDPHNQNDIAEALYKLVSDKHLWAKCRENGLQNIHRFSWPEHCKNYLSRVGTLKPRHPRWQRSDDATEISEADSPGDSLRDLHDISLNLKISLDNEKSGTKRNLEDALLKFSKGVSASTKDESVENAEATTGSNKWPSLRRRKHIVVIAVDSVQDADLVQIIKNIFEASSKERLSGDVGFVLSTSRAVSEIHPLLTSGGIETTDFDAFICSSGSDLCYPSSSSEDMLSPSELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNSESGEKVVVEDEECSSTYCISFKVKNTEAVPPVKDLRKTMRIQALRCHVLYSHDGSKLNLIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYDGLLGGVHKTIILKGSFNAAPNQLHAARGYSLEDVVSFDKPGISSVEGYLPDDLKSALQQFGVLKD* >Brasy3G115600.2.p pacid=40042155 transcript=Brasy3G115600.2 locus=Brasy3G115600 ID=Brasy3G115600.2.v1.1 annot-version=v1.1 MVGNDWINSYLEAILDAGGAAGDISAASVAGGGDGPAAGGAAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRSSKKRLEREKARRDAAADLSEDLSEGEKGENIHESSIHDESTRGRMPRIGSTDAIEVWANQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGETPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENLGDDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEVDATYKIMRRIEAEELCLDASEIIITSTRQEIEKQWGLYNGFDLTMAKKLRARMKRGVSCYGRYMPRMIAIPPGMEFGHIVPHDVDLDGEEGNEIGSGSPDPPIWTDIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHHELRNLANLTLIMGNRDVIDEMSSTNGAVLTSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAARTKAAAYGLPMVATQNGGPVDIHRVLDNGILVDPHNQNDIAEALYKLVSDKHLWAKCRENGLQNIHRFSWPEHCKNYLSRVGTLKPRHPRWQRSDDATEISEADSPGDSLRDLHDISLNLKISLDNEKSGTKRNLEDALLKFSKGVSASTKDESVENAEATTGSNKWPSLRRRKHIVVIAVDSVQDADLVQIIKNIFEASSKERLSGDVGFVLSTSRAVSEIHPLLTSGGIETTDFDAFICSSGSDLCYPSSSSEDMLSPSELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNSESGEKVVVEDEECSSTYCISFKVKNTEAVPPVKDLRKTMRIQALRCHVLYSHDGSKLNLIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYDGLLGGVHKTIILKGSFNAAPNQLHAARGYSLEDVVSFDKPGISSVEGYLPDDLKSALQQFGVLKD* >Brasy3G234700.1.p pacid=40042156 transcript=Brasy3G234700.1 locus=Brasy3G234700 ID=Brasy3G234700.1.v1.1 annot-version=v1.1 MALILRVSSYAVQMAKYAAVCCLRLTFRRAFLGEPSEPLLLNSSPADGWSSQLSLSLRHASWNLCEEFTPWPCEQLWNWETHMLHVSPPRVP* >Brasy3G264200.1.p pacid=40042157 transcript=Brasy3G264200.1 locus=Brasy3G264200 ID=Brasy3G264200.1.v1.1 annot-version=v1.1 AQEGTPWEPRQRNQNKPRPRSRDILASRIQIISGDTDRSMAHKQASSSSTELQAGGAAAAPLYCASGCGFFGSAATNNMCSKCYRDHLKAIGAPPAAKVEGKKKIATTADVALVKESASSAAAAADIPAAGEPAAPAVAEKKAAPSRCASCKKKVGLLGFACRCGGTFCSRHRYADGHACDFDYKKAGRDKIAQQNPLVVAPKIDNKI* >Brasy3G049600.1.p pacid=40042158 transcript=Brasy3G049600.1 locus=Brasy3G049600 ID=Brasy3G049600.1.v1.1 annot-version=v1.1 MTRDGVPPPAGGAAGDGPRRCSQCGHHGHNARTCTARGPVKLFGVRIGDKPPIRKSASMGNLAQLAAEGSGGGGARAGGYGSEGDDDKPHRKRGESWSEEEHKNFLLGLKELGRGDWRGISRNYVVSRTPTQVASHAQKYFIRQSNVHRRKRRSSLFDMVIDDSGDRPLSRSSSQEIPLSRSSSQEVEEYIEDLQPVSASVPVLTSVSVPPTVPVVPPPMPVTASPAPVLTNASAPPVPAMAHQPEENESAGSSSNTREAGTMMPQVMHPYGYPVMFPPPHYAPAFYPVPYYGYAPMFYGPPVPVQASSQATVQASHELVRPVAAHSSPQINAEDLYGMSELSLKGNSNTNSVAPNLQLPPKPNGTTDRRSAFHAKAPANGSSNGLIPAK* >Brasy3G316900.1.p pacid=40042159 transcript=Brasy3G316900.1 locus=Brasy3G316900 ID=Brasy3G316900.1.v1.1 annot-version=v1.1 MPPTIDASCRSLAGPLCAALVRRREGFDATTRRQGPRRRRHGMEHCRSPRTPPWDGALPVPADAAMGRSPAAEDRRRRRAPPIWPPPPVPAAASSPSRKSARRRLRITGSGLPQPRRRRDRAPPTLPRPDPAFPDPTLEIDSSEC* >Brasy3G010800.1.p pacid=40042160 transcript=Brasy3G010800.1 locus=Brasy3G010800 ID=Brasy3G010800.1.v1.1 annot-version=v1.1 MGIRSKKRKTRSRTIAARPSCRRRRRKVVGMHDLLPAQGEWRDWAALPHDVLWLILSRIPQADILLGAELACSAWRQLAVHEPLLWRHIDLADGEEDDESDGPKAWRRMARAAVDRSAGRCESFRGRVDGGFLIYLAHRAPLLRSLHMTCRFDITLEGKLMAGIKKLQQLQQLVLSAGRIEEPSSSLVALLDHCPRLQLLDASGCRTYNLISDTARARLESRIKHLRLPCHSIFCRRLLMCYSSTKIRRSGQGTHSVRSKVIEGTEGVLSTE* >Brasy3G134800.1.p pacid=40042161 transcript=Brasy3G134800.1 locus=Brasy3G134800 ID=Brasy3G134800.1.v1.1 annot-version=v1.1 MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLNNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRLASSSS* >Brasy3G136000.1.p pacid=40042162 transcript=Brasy3G136000.1 locus=Brasy3G136000 ID=Brasy3G136000.1.v1.1 annot-version=v1.1 MSVRRPRGDDDDDELQLGSGDDDQQQRHGPRRIRPAPLSFRSLDVVRRAATADTIQQIVVSLEPVIRRVVREEIQNIFAQHAHIPIPCRSLPLHIQEVDVTPPLKLSFTKRLMLPIFTNNKLVDATSNAIEIRLVDTRTNCPITQTNTHLGSSSTKLQVLVLDGDFRCDDGVGWTDDQFNAAVVKAREGRRPLLVGCTLNVPMGNHGVAVLDDVSFTDNSSWIRSRKFRIGVRVMASTYYGPRIQEAVSESFTVKDHRGELYKKHYPPNLTDNIWRLKNIGKDGPIDKRLESEGIKNVQDFLKLNTIEPDKLKNLVGMSDRQWRTTLNHAKTCDTGGKCYVIKSQGCEVIFNPIGEILAARIGDQTCSLQQLHQQQMVQVKELAVKAYQQWDQLEEVAHETALAAYGGLIPSFPQENPSSSCTPASNESMISSGSQNAEYLDNMGSRTATSSALMATNSSSTLDSATAAVPASSDAMFWIPSMASDDHFSWNNSPNLGCWDQVD* >Brasy3G136000.2.p pacid=40042163 transcript=Brasy3G136000.2 locus=Brasy3G136000 ID=Brasy3G136000.2.v1.1 annot-version=v1.1 MSVRRPRGDDDDDELQLGSGDDDQQQRHGPRRIRPAPLSFRSVVRRAATADTIQQIVVSLEPVIRRVVREEIQNIFAQHAHIPIPCRSLPLHIQEVDVTPPLKLSFTKRLMLPIFTNNKLVDATSNAIEIRLVDTRTNCPITQTNTHLGSSSTKLQVLVLDGDFRCDDGVGWTDDQFNAAVVKAREGRRPLLVGCTLNVPMGNHGVAVLDDVSFTDNSSWIRSRKFRIGVRVMASTYYGPRIQEAVSESFTVKDHRGELYKKHYPPNLTDNIWRLKNIGKDGPIDKRLESEGIKNVQDFLKLNTIEPDKLKNLVGMSDRQWRTTLNHAKTCDTGGKCYVIKSQGCEVIFNPIGEILAARIGDQTCSLQQLHQQQMVQVKELAVKAYQQWDQLEEVAHETALAAYGGLIPSFPQENPSSSCTPASNESMISSGSQNAEYLDNMGSRTATSSALMATNSSSTLDSATAAVPASSDAMFWIPSMASDDHFSWNNSPNLGCWDQVD* >Brasy3G204100.1.p pacid=40042164 transcript=Brasy3G204100.1 locus=Brasy3G204100 ID=Brasy3G204100.1.v1.1 annot-version=v1.1 MSSRSPPPRDRRMRTERTSYRDAPYRRDRDSRHGRSSRSDLCNNCKRPGHFARDCPNVAVCHTCGLPGHMAAECSSKGLCWNCKEPGHMANSCPNEGICRNCGKSGHIAKDCSAPPMLPGEVKLCNNCYKPGHIAVECTNEKACNNCRKSGHLARNCTNDPVCNLCHVAGHLARECPKSDEIIERGGPPLRGSGALFHGGDIPFRGGGGAPFRGGGYSDVVCRVCNQLGHMSRDCMDGAFTICHNCGGRGHMAYECPSGRLLDRFPPRRY* >Brasy3G112800.1.p pacid=40042165 transcript=Brasy3G112800.1 locus=Brasy3G112800 ID=Brasy3G112800.1.v1.1 annot-version=v1.1 MDCDSSNVQMSHCAEDSETTIEINIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQPATSGNIGNEAPSANSAHHHGPTVARSIVLEAVNLDQGGEFSSIAQILQSLFSAASGGPAPSDTRPSEPTQPSFPNGARVELDQQQASLLFPEATPGSSEPNVIPDSLTTISQYIEFMRDSFRREGFNGNGQPIGNIDHRSTQTAHVGGTQNQESQPDSSSAQLGLPTASLLAETMHSTRQLLVDLAGPLLSQLSAQLGDLVNVSDTATRRNLQHGAMRHGVLLQNLGSLLLELGRTTMMLRINPAPSEAVVNSGPAVFISPSGPNPLMVPPVPFFPGARSVQMGPIFSSLSSQGSVLHPREADIHARTSGSVPVASTNPSEPVGAQQAQEHTDRTGNASHTSVREASARVTGGAPFAVGSGVRLLPLRTVVAVPAGIRRPPSGSSSGGVGVIYPMVTRIQQRVNTSGSDARNGQTPNEPGRSDTHANVQPNPQPSQAHETGNPVFPVDVNVSNSSQTSPGQQNGQSPLLSHLMDNFPWIGSVSSVGNSRTNVTSQHVPMSSTEQVDAANRGAPEVPGVSNEGIRFANFLQQIMPFISQVENQPQSTRGDGNSIPSQVASESSNNARDEPSDSRRNSHDHNRDPVDCPNSKRQRTSD* >Brasy3G262000.1.p pacid=40042166 transcript=Brasy3G262000.1 locus=Brasy3G262000 ID=Brasy3G262000.1.v1.1 annot-version=v1.1 MSTAPNSDSDDHHDAGTIMADVLSKGRESCYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRAQYVNSCRPSWVKHFDRQHCAKKRVQRLLDGDDDRRGPMALPQPYTFKQ* >Brasy3G262000.2.p pacid=40042167 transcript=Brasy3G262000.2 locus=Brasy3G262000 ID=Brasy3G262000.2.v1.1 annot-version=v1.1 MSTAPNSDSDDHHDAGTIMADVLSKGRESCYKARDAFYACVEKHADKKPTEIATMGLLYPADCKKSRAQYVNSCRPSWVKHFDRQHCAKKRVQRLLDGDDDRRGPMALPQPYTFKQ* >Brasy3G342900.1.p pacid=40042168 transcript=Brasy3G342900.1 locus=Brasy3G342900 ID=Brasy3G342900.1.v1.1 annot-version=v1.1 MASEKHFKYLVLGGGVAAGYAAKEFAKQGLQPGELAIISKESVAPYERPALSKGYLAPQNAARLPGFHTCVGSGGEKLLPEWYTDKGIELILSTEIVKADLATKTLTSADGATFTCEILVIATGSSTIKLTDFGVQGAESNNILYLRDIEDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYSSKGINIVKGTVASGFDADANGDVSKVNLKDGRVLDADIVIVGVGGRPLTGLFKGQVEEEKGGLKTDTFFETSVAGVYAIGDVATFPMKLYNEPRRVEHVDHARKSAEQAVKAIKAKETGETAAEYDYLPYFYSRSFEVAWQFYGDNVGETVLFGDNDPATDKAKFGTYWVKDGKVIGVFLEGGSAEENQAIAKVARAQPPVADLEALAKEGLDFASNI* >Brasy3G341700.1.p pacid=40042169 transcript=Brasy3G341700.1 locus=Brasy3G341700 ID=Brasy3G341700.1.v1.1 annot-version=v1.1 MAATDFPNWMMLDRFVFRRDDPKSFPDKTTPLAISSASSQGDPFRVALHIAEPPGISRFYVQWPKGLDPTFSPSCDLVTAHDDTIVFKLVCRPVVVEDSPLPHQQLDCFLCRASPSSSPPLLLKRIPMCAQPVVIRVKKNGTEITMPRPFRSEILGLLRRGEDEFAMAQLQVPGRELCVLRSRLSNHDHDHKWEVLQNVQIQCGEDESSDLFYWATDAVIPFGKYLCWVDYSKGGIVFCDVFEEMPKISYLRLPIEDRPPRSFRRPFLDRKRSVCVAEGVLKFMNVSRDDGELLGPMEPGTGFTITSHVLKISERGNMEWHMDFSITSVDLWACNSPERLPRCALMYPVVSMDRPHLLHFLLSEYDENWIEKVSRVTIDISTNAVVSVLPYIGQEDQTDEDADMVELRSRFLQSFLPSEFPKFLNGTRKRKNQA* >Brasy3G338100.1.p pacid=40042170 transcript=Brasy3G338100.1 locus=Brasy3G338100 ID=Brasy3G338100.1.v1.1 annot-version=v1.1 MRTTQRLLLTLAFLVLASDAAMKASTEGRIEPEYCTTMIIPSRVPCEPDACENDCYKNFHGGGTCNSGGCLCAFCRNIPPEKRSMPI* >Brasy3G027100.1.p pacid=40042171 transcript=Brasy3G027100.1 locus=Brasy3G027100 ID=Brasy3G027100.1.v1.1 annot-version=v1.1 MSNLADSHHSPHLSLLHSDDRACLLLSLAYTHPPASNARVRCHAAVGSPALRDLSFRPATTFSCQFFLPSLLAQPYLVRAGSTSTSLFDGAVRLFDQTCSRESRMNGYARKVFDEMGHCPPAASRPHEVVAIQIGRSKPRSLPRK* >Brasy3G027100.3.p pacid=40042172 transcript=Brasy3G027100.3 locus=Brasy3G027100 ID=Brasy3G027100.3.v1.1 annot-version=v1.1 MSNLADSHHSPHLSLLHSDDRACLLLSLAYTHPPASNARVRCHAAVGSPALRDLSFRPATTFSCQFFLPSLLAQPYLVRAGSTSTSLFDGAVRLFDQTCSRESRMNGYARKVFDEMGHCPPAASRPHEVVAIQIGRSKPRSLPRK* >Brasy3G027100.4.p pacid=40042173 transcript=Brasy3G027100.4 locus=Brasy3G027100 ID=Brasy3G027100.4.v1.1 annot-version=v1.1 MSNLADSHHSPHLSLLHSDDRACLLLSLAYTHPPASNARVRCHAAVGSPALRDLSFRPATTFSCQFFLPSLLAQPYLVRAGSTSTSLFDGAVRLFDQTCSRESRMNGYARKVFDEMGHCPPAASRPHEVVAIQIGRSKPRSLPRK* >Brasy3G027100.2.p pacid=40042174 transcript=Brasy3G027100.2 locus=Brasy3G027100 ID=Brasy3G027100.2.v1.1 annot-version=v1.1 MSNLADSHHSPHLSLLHSDDRACLLLSLAYTHPPASNARVRCHAAVGSPALRDLSFRPATTFSCQFFLPSLLAQPYLVRAGSTSTSLFDGAVRLFDQTCSRESRMNGYARKVFDEMGHCPPAASRPHEVVAIQIGRSKPRSLPRK* >Brasy3G114500.1.p pacid=40042175 transcript=Brasy3G114500.1 locus=Brasy3G114500 ID=Brasy3G114500.1.v1.1 annot-version=v1.1 METAVPCSQGNSGADPERDGAGAGDEESAVQERDEARGVESVATESNGAARALPQIQEATGDGKTCHQCRQKRTQYAAACTAVKNYGLCSLKYCRSCLRNRYGEVAEVVAQKEAWTCPKCRGDCNCSMCRKKNGETPTGILAPAAKASGCSSVHDLLNKGADMVAAAQKLVNPLKGKPSITLGTENGANEVQAGADDLNAVRSVPAKKNLKVNCKVNKRPADKKSLLGTGSLVAPENEIVLPRGTPVTNIAGVELEAEDVGPAIQFYEFCRSFAEFFQIRKGQPERILQDITGGRELRVVASLIAELHINLFSIIKEDRGEKPLNYSRDGDEWIIDIGKYITESDSISKEFPLDSLKLGLVGYKNLSPSCKLYVLNFLCDATLSSVKLRTWTDEQNEKAAERKNAAREKIHAANEKEKELKERQSDMAKDPLMSEGGDTPRIVESEIKEAKEVKQTATNALEEEVGVVLSTKPVMVDKGVAYWKLDGYCNNATIMRQEIDSQNIISNKDIWFKFTEDEEKVIGDHVARRSRGRSRKRTWGQ* >Brasy3G349900.1.p pacid=40042176 transcript=Brasy3G349900.1 locus=Brasy3G349900 ID=Brasy3G349900.1.v1.1 annot-version=v1.1 MGGKCPHRKVKKRRLSHKTARRGKFLLHADDAVYDELVKLADQGKDAEGKPLPVDEDLPGMGQFYCLHCDRYFADESVKEDHYRSKRHKKRVKQLSGPAPHTQIDADLAAGMGLPDNGLKLMST* >Brasy3G138100.1.p pacid=40042177 transcript=Brasy3G138100.1 locus=Brasy3G138100 ID=Brasy3G138100.1.v1.1 annot-version=v1.1 MVTCGYRGLTTATARCTGVLAAVPVMPMLLWKDVAVERTATTTASEHGKGLLLEKQGKARPSAASIAAQSAGSLLGMAGSGPGMVGSGGEEGRKKRRERAGDRQGGEGGEKGERAGEVPAALDMAGRRGYGGRHGAGQGGSETVRCEKTAAEGRGDRRGEGDVVEGYGGGRTAVEGALWWRDVQAMAAVR* >Brasy3G263500.1.p pacid=40042178 transcript=Brasy3G263500.1 locus=Brasy3G263500 ID=Brasy3G263500.1.v1.1 annot-version=v1.1 MEAVMDVKYRPVVFSNGGGAAAAKKMRPAAAAAAVVGDDSALFYRECLKNHAASLGGHAVDGCGEFMPSPAADPSNPASLKCAACGCHRNFHRRLLEAPPPSPPPLLALPPVPHTVAPPPQFVHGAGAQHPQRGEETPERRMPAAVAGDDDDSDDSEERSDYSDEEDDDRPASPPLPAPGVAMPPPGYISATHMLLSLSTSGAPSAPAAMATRPPGVPTVPAGPHPQPGPGGSSSSARKRFRTKFSPEQKQQMQALSERLGWRLQKSDEAVVHERCREIGVGKGVFKVWMHNNKHNFVGGHSARRSSASLSTAGAPQHPSAYPTPAAPPPPSAPPVHADFNINGSAPAATAAAGDHSAGFQRPAATASGGSGSPQSA* >Brasy3G330800.1.p pacid=40042179 transcript=Brasy3G330800.1 locus=Brasy3G330800 ID=Brasy3G330800.1.v1.1 annot-version=v1.1 MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPGLTVQEV* >Brasy3G341100.1.p pacid=40042180 transcript=Brasy3G341100.1 locus=Brasy3G341100 ID=Brasy3G341100.1.v1.1 annot-version=v1.1 MMYRQLLNMIVHDSRTNLYSLCRIDPMRHLFHPSPQAASQAAARAAKANNGDGSSSWLSAVSSFRSLPRPEINFSSPPKSASVPQMHFFSLVEGLGVDCVLYASPSRHTSIYDTSKASAVSVPQPNFSKPRDAFWFNITRRQGSLPQDSYGLYVLGNWGPETCFEVFNYGKNGPGELDHSWYWERLPSPPPAWSPQPLLSYVADAKDVWHPSAAALVDDDTLCVSSDYGGGSYTFDMVKCKWDHAGDWVLPIRGTAKFAPELGLWFGLTGDSDGHRLCAFNLSPSSSSSPPTVQHTWEYLPHPPEDECWQPWHPQQLVYLGSGRFCIATSFLKVERHNLPSLGTEEEVRTLFHELTVLTGVEVVRSSSCNDDAGHGLQMINHMSLRINELDSIRVHCVL* >Brasy3G316300.1.p pacid=40042181 transcript=Brasy3G316300.1 locus=Brasy3G316300 ID=Brasy3G316300.1.v1.1 annot-version=v1.1 MLLVMSLKVPTLFPLLAYHVWMHIQKISTPIDQRRAYTGVVRLAKMFDSCFVPFKLHYAEMKNLAVGDAVYSETVSAGGHLWRIRCHPHRDNKDGEAADYLSIYLELMSNARGVKGIFEAFVMEKNEAVYTFNSTRSSFFSYKNSNSACGWSQFMAQRELESFYVVDGWVTIVWGVIVLCGGDPLTVPSSDIGNQLGRILDCTDNGSDVSFVIGGETFPAHRAVLAARSPVFKAELFGSMAEASMTSITLEDITPATFRVFLRFIYTDALPEDDDSTIEMYKHLLAVADRYAMDRLKLMCAKKLWDDVPVDTVAETLIFC* >Brasy3G316300.2.p pacid=40042182 transcript=Brasy3G316300.2 locus=Brasy3G316300 ID=Brasy3G316300.2.v1.1 annot-version=v1.1 MLLVMSLKVPTLFPLLAYHVWMHIQKISTPIDQRRGVVRLAKMFDSCFVPFKLHYAEMKNLAVGDAVYSETVSAGGHLWRIRCHPHRDNKDGEAADYLSIYLELMSNARGVKGIFEAFVMEKNEAVYTFNSTRSSFFSYKNSNSACGWSQFMAQRELESFYVVDGWVTIVWGVIVLCGGDPLTVPSSDIGNQLGRILDCTDNGSDVSFVIGGETFPAHRAVLAARSPVFKAELFGSMAEASMTSITLEDITPATFRVFLRFIYTDALPEDDDSTIEMYKHLLAVADRYAMDRLKLMCAKKLWDDVPVDTVAETLIFC* >Brasy3G061700.1.p pacid=40042183 transcript=Brasy3G061700.1 locus=Brasy3G061700 ID=Brasy3G061700.1.v1.1 annot-version=v1.1 MACLKLGSRADVFRKQGQEWYCTTGLPSDITVVVGEQSFHLHKFPLLSKSGLLECRIREKIETGEDSCGIDLSDIPGGAKAFELAARFCYGVKFEMTSSNVVHLRCAADYLKMTEEIAEGNLIAQTESFLTQTVLKSWKDSIKALHTCDDVIDIAEKLQVVKRCIESVATKSCTDPDLFGWPVVQYGGPMQSPGGSFLWNGISTGARPRNSSSDWWYDDVSCLSLPLYKKVISAMEYRGIDQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSMTTLTAMPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTSIFLKASSSCVSNLERRIGLQLDKATLEDILIPNMSESVETLYDVDCIHRILDHFLTMDQETGGASPGLGEDGQILASPSLMPVTMVAKLIDGYLAEVAPDVNLKLQKFRSLADAIPDYARPIDDGLYRAVDIYLKAHPHLPESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISENLEGGSRQLGLPISAEQHRGVGWPLATRENHALREGMDGMKQRVAELEKECSTMREEIERLGRSRNASKSWLSSLGLGGTKPQICSTKDAAPTTMSDHDKLAVVKADSTPRLKLSRHKKNLSIDA* >Brasy3G316600.1.p pacid=40042184 transcript=Brasy3G316600.1 locus=Brasy3G316600 ID=Brasy3G316600.1.v1.1 annot-version=v1.1 MAGAAAAAVGSGISIRPAVAPKASLAPRGRSVVRAAVSVEKGASAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSHMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCALENVLAQMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATVGTLTAPYNDAEAVKKLFEDNKGEIAAVFLEPVVGNAGFIPPNPAFLNALRELTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKITGELVRGILDSGAKTGHEMCGGHIRGMFGFFFAGGPVNNFDDAKKSDTAKFGRFHRGMLEEGVYLAPSQFEAGFTSLAHTPLDIEKTVEAAEKVLRRI* >Brasy3G175200.1.p pacid=40042185 transcript=Brasy3G175200.1 locus=Brasy3G175200 ID=Brasy3G175200.1.v1.1 annot-version=v1.1 MPPAAAPAPPAPSLDARTGGRVLRRAAAHLLHPASLPPLLLAALLLLLFRSALLAGTLRLSSFADRDPALRSLLLRLSPPAPPSPLPPQHHLPRRRSPFTSSSSSLSDDDFLVGPLDPASSETSHWRNASHHNIFFTSFSTPKPYPIPLSQQLSASASPFFLAVHNDTSSPKPASPRGGELRLLDLTRRDAAAIINLLALLSSAHVLAILGYITVHSIALGTVFASVAGRYLPERRRGFFLSGAAMGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASANPWLAAAISGPELDGFFIAWALLDAVVSVLFTVVPWVVAMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWETVVCGSMGRLILVTFGGKVLGGFLHSFAEVYFMVVWLMFYFTARCKESRLGGRQFGLEDVATALN* >Brasy3G013100.1.p pacid=40042186 transcript=Brasy3G013100.1 locus=Brasy3G013100 ID=Brasy3G013100.1.v1.1 annot-version=v1.1 MRNPQPSRAIGDEKSPNLLHGRWREVAAGADVFGSARASGRRWMRRRAGDDAPCAGRWSPLLRSSRGGTLGATVARRGRADGRGRMESRRVGVKADRPGREEARSRPEVEAVAQSGVGPRTDALDAAASPDLPKGNRFDALLLLTKKGSEGRCVGPMYFAAAIAVLAEPPPLEVV* >Brasy3G036800.1.p pacid=40042187 transcript=Brasy3G036800.1 locus=Brasy3G036800 ID=Brasy3G036800.1.v1.1 annot-version=v1.1 MASSSSSSVLLQLVRYVSSLPSQLMRRATTSTRAFGLPSSSLQAGPARPGAPAEGAGGQGGAIHAAQRMRPAAAGPQRPGKPAEGAGGRGGSIHAAAASS* >Brasy3G106500.1.p pacid=40042188 transcript=Brasy3G106500.1 locus=Brasy3G106500 ID=Brasy3G106500.1.v1.1 annot-version=v1.1 MDLSSNALDGDLPELSPLRSLAYLNLSGNLLRGSVSSAFQEQLSAIDLSNNRFSRLNFSSGYVGSSLMYLDLSSNELLGEFGLAGRFRNLKHMNLAFNKLSLGNLLESMGEISALEYVNLSSTGLRERIPGVLSSRLTGLKVLDLSKNNVSGVVPDLSTLQLRVLDLSVNNLTGEIPVSLVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDNIKKNRASRKGMKLALAIVLSLFFSVLGLLCLAVVCRRRKKMSDALPADKQVSFKEEQGMSGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSVMADQDAARELERLGRIKHPNLVPLTGYCLAGDQRIAIYEYMENGNMHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWRFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDYAMEPRLSDFGLSMIAGTSADDDLLRHSPGYAPPEFSDSENATATAKSDVYSFGIVLFELITGKKPLGDEYPDQKEASLVDWARAMVKANQVSSIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVEEEC* >Brasy3G303900.1.p pacid=40042189 transcript=Brasy3G303900.1 locus=Brasy3G303900 ID=Brasy3G303900.1.v1.1 annot-version=v1.1 MAPSSPISGAGGHRAGAVVIGVGDPKAPNADADAIHKSVAVQADDDAPSVPEKVRYRGWKTMPYVIGNETFEKLGSIGTAANLMMYLTSVFHMANADAAMALNAFSGTTNLATVLGAFASVLCLGRFATVGIGCVATFIGMIILTLTAAVPTLHPPPCSAENDARHHCAGATRLQMAVLALAFAFIVAGAGGIRPCNLAFGADQFDPRSEAGRRGINSFFNWYYFTFTIAVCVSSTAIIYVQSSVSWWAGFAVPAALMLVSCALFFAGTRLYVRVKPEGSPLAGVFRVAVAAFRKRRAPDGSKSLFRTTRHGSGLVSRLPYTDQFSEVDEVHGLPKDPWRLCSVQQVEETKCVLRVLPVWATCIVYYVAFAQTNTYVVLQAAQSDRRLGAGGFEVPPGSFTVLPMLFLTAWIPLYDRLVLPWARRLTGREDGITLLQRMGIGMALSTVAMLVSGLVERRRRDHAASGKVSPQSAFWLVPQLAALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSSYLSGLLVSVVRRATTGVDGDEGWLAEDLNVGRLDCFYFLIGAIGFADLLVFLACANWYRYKVSDDGMHDHDAGN* >Brasy3G226300.1.p pacid=40042190 transcript=Brasy3G226300.1 locus=Brasy3G226300 ID=Brasy3G226300.1.v1.1 annot-version=v1.1 MAAYRPPLRRLAALLNGRLRANHRLLTSSTAERALALASPAEPEAVLMTEGCVRRLKELHAKEPSSEGKMLRVSVEAGGCSGFQYSFSLDNKENSDDRVFEKDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVK* >Brasy3G240900.1.p pacid=40042191 transcript=Brasy3G240900.1 locus=Brasy3G240900 ID=Brasy3G240900.1.v1.1 annot-version=v1.1 MAIAHADAVMPWPPRIAAAGLLLLMRVAFIAAAALTYLSLASAWVACAACAVVAIGFAAGRGAWCGAGFLVAFASLKVLILAAVLFGTLVLAMLLAVCGMAGMGFLGFSFSSDVKKSFGPTKELIWELLHDTCVQRLLASLTFYLISSGTCLVIERLLPMKGSQGEKIGSVIVTVWLLLLGALVVSCFVMVPTAALLIWRIMWTAKQKIEEVEDALLLMYSPSTSALKQQGLEETEATV* >Brasy3G076000.1.p pacid=40042192 transcript=Brasy3G076000.1 locus=Brasy3G076000 ID=Brasy3G076000.1.v1.1 annot-version=v1.1 MLPAADHPARRRGPRPDCPLYRFPSGAAARLQRSPPSDVASAALAPSTTGSSASAAPPRMEHASESIVRRQHVSKLTTSEAAIPGATEDNSPYLALVWPDGNHVSLTKLPDYLSVQIKDFLDNSAPVASTRKASMKSRPHHNKQRNPIDQESPTNADAFPTSPLKRRKFGDMSSQAPSEPNHLKGKHPVDQASPTNADTLPKSPLEKEKHTRLITDLLNTKKEKRASYYREKKTFLTSCRSNGSARTSIDGLQWREWSRNSTQEEKDRVRGRKATKQSLSSTKPNLEAVARASRARVRNMLARARIKKLRVERSKIHELGVLAVTDIMKGELIVEYIGERMPKWVADLRGLRYEKAGKGDYFFKIDADLVIDATLRGGIARYINHSCEPNCKTRVILSNGQRRIFIYANQKIKAGTELTYDYKFPFEENKIPCSCGSKRCRKSMN* >Brasy3G122900.1.p pacid=40042193 transcript=Brasy3G122900.1 locus=Brasy3G122900 ID=Brasy3G122900.1.v1.1 annot-version=v1.1 MSTVTSCNLLGLRALPTSSSGGRLLRPGKGAAVVLPGFQRKSSSLCFANNPKADLQPFSISPFALVHPVPTPREERWQMKEDAETVSMQFDVPGLSNEDLVVELDEDVLVIRKKLDASRDSRAEETHDGGAICARLLVPAGYSREDVKAQLASGVLTVTIAKVKRHARRKINVDIQVIK* >Brasy3G136800.1.p pacid=40042194 transcript=Brasy3G136800.1 locus=Brasy3G136800 ID=Brasy3G136800.1.v1.1 annot-version=v1.1 MSMQSAAHAVRALAALPAPSSSRRRAGHASSLLPCRPSRAVAPVRAADSSPPAAPASPSPSGAAGGKAVVPDDEFTLAKVSFGVIGLGIGGSLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKYAELKPVPCITYSDAFALRDKCATPILKQVRNDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQKIQEEVTEEGKYCLVLEFEAKALELSDFEKREAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT* >Brasy3G033000.1.p pacid=40042195 transcript=Brasy3G033000.1 locus=Brasy3G033000 ID=Brasy3G033000.1.v1.1 annot-version=v1.1 MAHNGILSPVVTLLVNELWKPIKKHIGYCLKPETYASNLAKAADDLKNSIDTVEETIKLGEYEGKRPKGQATRWIESARPIEAEACSVINNYEARNIHIFGCSWSCWSNYQISKSAAKIKTDVEDMNKRSPQHDHILSLLPQVGMELPLPANVVGQEHYRRKVLDYIEKGTEDIIGICGMGGSGKTTLLKQINNYYCSALERSGFDHVMFIEIGKRLNLMAVQQNIASALGLLLKDGADATHGARAIFNFLKEKKFLLLIDDLWDMLDLVKIGIPHGLTKGGFPNSQVVVITTRSLDICGRMHIFENIVQLKCLNSDQSWYLFKEIVGGRKMEDARIRGCAEKIAAKCGGLPLAIKITGQAMASNVASEEWEYNLMLLQDSNSHQVSAAHNELFPILKISYDSLHSDVIRMCFLLSAAEVGHYDDCPAACLIQNWMGHGLLGEDDDIERTYLSGFSIVETLKRSFLLESSGSDRGDKRVKMHQVVQDLALWIVATKHDRMCKEKWLVGQREHIGPDDWSTANRIFLCDDEIKTVPSYCSCPNLLTLILGNNKHISEFPEGFFSVLKSLIYLDLSGTCIQEIPSEIGALTSLQSLDLSRTPIHSLPMELGLLENLRYLYLLCFRLRNIPNGLLSKLKMLRLLNLYRCHDLKTGSSSGYIKELEKLTRLRDIGFTVQDSESLRKICNLPQASVSRLTITALEGLQTLQISPLLLSNRSAGRIEALSLESYNLLEELVIGHTDIDQGWCLPRLETLLLYKLQALQRVIFKGVKSNTCLPSLMSLKISDCPNMMSITWIKRLPCLEDVYLGHCDSMVELVASDEEAASSPPGSFPRLKFIAVHNLKNLQSICDSRITFPALKRLLVYACPMLVKLPDNLVSGESSLPLIIGEQKWWEILEWEDTSSPSSRLPFFRTLPAGFTGRQQKVFRALYD* >Brasy3G033000.2.p pacid=40042196 transcript=Brasy3G033000.2 locus=Brasy3G033000 ID=Brasy3G033000.2.v1.1 annot-version=v1.1 MAHNGILSPVVTLLVNELWKPIKKHIGYCLKPETYASNLAKAADDLKNSIDTVEETIKLGEYEGKRPKGQATRWIESARPIEAEACSVINNYEARNIHIFGCSWSCWSNYQISKSAAKIKTDVEDMNKRSPQHDHILSLLPQVGMELPLPANVVGQEHYRRKVLDYIEKGTEDIIGICGMGGSGKTTLLKQINNYYCSALERSGFDHVMFIEIGKRLNLMAVQQNIASALGLLLKDGADATHGARAIFNFLKEKKFLLLIDDLWDMLDLVKIGIPHGLTKGGFPNSQVVVITTRSLDICGRMHIFENIVQLKCLNSDQSWYLFKEIVGGRKMEDARIRGCAEKIAAKCGGLPLAIKITGQAMASNVASEEWEYNLMLLQDSNSHQVSAAHNELFPILKISYDSLHSDVIRMCFLLSAAEVGHYDDCPAACLIQNWMGHGLLGEDDDIERTYLSGFSIVETLKRSFLLESSGSDRGDKRVKMHQVVQDLALWIVATKHDRMCKEKWLVGQREHIGPDDWSTANRIFLCDDEIKTVPSYCSCPNLLTLILGNNKHISEFPEGFFSVLKSLIYLDLSGTCIQEIPSEIGALTSLQSLDLSRTPIHSLPMELGLLENLSARFRVAAEDLQLAPSLCKPPHHYSSRRFANTSNITTAP* >Brasy3G080600.1.p pacid=40042197 transcript=Brasy3G080600.1 locus=Brasy3G080600 ID=Brasy3G080600.1.v1.1 annot-version=v1.1 MLAMEEQSSSASWCNTAAVPPGFRFHPTEEELVGYYLARKVQASSSSSAASSHNKMNKMDVLDIIQEVDLYRIEPWDLQERCGKYGGGGGGGGQEDPAREEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVMSSLSPSSSSPATAMMMIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNQHAPTQEEGWVVCRAFVKPLPNQQQRFSTSYGGGGGGYPMMSGGGGGGYVSSYYYHSDNYPPSARLMMDHEHHNGVASAAESKQQVQLFSSAVSGDMPPPLRSPTTLDGDCAVAAADEQLAMATGTTTSVDWNLWSSLLQPPTPQLFHGQPPPAAAAPTTSSPKNN* >Brasy3G196000.1.p pacid=40042198 transcript=Brasy3G196000.1 locus=Brasy3G196000 ID=Brasy3G196000.1.v1.1 annot-version=v1.1 MLHWWMSRPWLPTSTVVSGSGSFPNALDEKNRLFALSTGIFHKKAELATQQGVQESFYRDMAVMFGRWTEFEPTDLGEAPPFPVHLFQGDEDGVVPAQLQRHICRRLGWISYHELAGVGHFLSAVPGLGDRIISTLLPAPADNSSNATGSVCAS* >Brasy3G214500.1.p pacid=40042199 transcript=Brasy3G214500.1 locus=Brasy3G214500 ID=Brasy3G214500.1.v1.1 annot-version=v1.1 MAVARAASVLGSFPFRAALVALCVLLLPLLPSPQGGGGGGEDGAGGGFLAKVWELLHLLLVGIAVSYGLFGRRNDGGGLVGAGGGVGEKDDGGAAPAMGKTMDARYVSRMLQGDLVFDDEDGDVDGARSWSALHHAEEPVVMVADGGGGRSGHVAQQQAPPLSLPVRALKPQQDSADFEARPLRSAHDDTVLPSPIPWRSRTGRLGADAPPPSNTSSPAPSPKRLSPAPSMSNEAPAEEHEVPRRRSAYRSSQPPAPPPPPPPFLVHGYHPVSDRRTTAAAAAKSFKEELQVHSTRGRRNYDDRYSQSSPRFSNSNSSSSANPRSSFDGPSSPSIGRSVRTIRPRQGIQTQTQMQEPPNDDGGGGGSDAPDNSRASEEEPYGYRAYQSIPRFQYEKADPILGKVTVSSDETESSDDDDEDNDAYSTRATNSPSTAVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRAVKHGK* >Brasy3G027800.1.p pacid=40042200 transcript=Brasy3G027800.1 locus=Brasy3G027800 ID=Brasy3G027800.1.v1.1 annot-version=v1.1 MAGLDLGTVSYLHHHQQQQLHLLQGHDDGGGGSDGGQDELSPGSGGAAAAGGGGIGGVGGEVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGAVANVTIRQQPSTNSSSSSSPVVATLQGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGPLVAAGPVVVVAASFSNVAYERLPLEDDADEVPPPPSGMDPFGAGADPSSGGGGGHGGGLPFFNQLPPGLGMPPPPMAMDGHNGWPAGVGRPPFS* >Brasy3G027800.2.p pacid=40042201 transcript=Brasy3G027800.2 locus=Brasy3G027800 ID=Brasy3G027800.2.v1.1 annot-version=v1.1 MAGLDLGTVSYLHHHQQQQLHLLQGHDDGGGGSDGGQDELSPGSGGAAAAGGGGIGGVGGEVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGAVANVTIRQQPSTNSSSSSSPVVATLQGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGPLVAAGPVVVVAASFSNVAYERLPLEDDADEVPPPPSGMDPFGAGADPSSGGGGGHGGGLPFFNQLPPGLGMPPPPMAMDGHNGWPAGVGRPPFS* >Brasy3G047000.1.p pacid=40042202 transcript=Brasy3G047000.1 locus=Brasy3G047000 ID=Brasy3G047000.1.v1.1 annot-version=v1.1 MFSFKCITKETELIHGQEQSDPVANLNGHIQYVMIFRRSHVVYSFCDSRDKLARSVYGRGLVVNYSSFYSQNLFSMFIIISNLCI* >Brasy3G047000.2.p pacid=40042203 transcript=Brasy3G047000.2 locus=Brasy3G047000 ID=Brasy3G047000.2.v1.1 annot-version=v1.1 MFSFKCITKETELIHGQEQSDPVANLNGHIQYVMIFRRSHVVYSFCDRDKLARSVYGRGLVVNYSSFYSQNLFSMFIIISNLCI* >Brasy3G093400.1.p pacid=40042204 transcript=Brasy3G093400.1 locus=Brasy3G093400 ID=Brasy3G093400.1.v1.1 annot-version=v1.1 MGQARVHLLASLLAFYLIVLAITHVTANLLDDFNNLWGNTKVVYDSTSQQTIAMTLDRSTTSGFSSESTYLFGRIDMDIKLVPGNSAGTVTTFYMVSDGPWQYHDEIDLEFLGNSSGNPYTLHTNMFARGKGAREKRYNLWFDPTQDFHTYTIIWNQQFIRILIDDKLIRQIKNQLVYGVSYPSYQPMRVFSSIWNADDWATQGGRVKTDWSQAPFTAYFRNFKATRCLPSQSKICGQSSLSAGGLFNQDLDETRKQQLKDVDANYKVYDYCTDSTRFQNGAPKECGLQ* >Brasy3G273000.1.p pacid=40042205 transcript=Brasy3G273000.1 locus=Brasy3G273000 ID=Brasy3G273000.1.v1.1 annot-version=v1.1 MIIKMKTISTATGFWTKRNRVSVALEDLADSILVEAAHWQLIVLGRLFFASDFMFGFSFGVLGLMYVVPT* >Brasy3G008400.1.p pacid=40042206 transcript=Brasy3G008400.1 locus=Brasy3G008400 ID=Brasy3G008400.1.v1.1 annot-version=v1.1 MWKLKVGGGGGGGSELLRSNNNFLGREVWEFDADAGTPEERAHVELLRRDFTQHRFQRPRESEDLIMRLQEQYEQNLLLPPYFYKMFGGVQCKLTNYPRDLTPVCIGYTAKIKLKDYEQVTEEAILTSLRGALNAFSALQAHDGHWPGGFSGILFIMPLIIFALHVTGSLNTVLSQEHIREMCRYIYNIQNEDGGWSTHILGPSSMFGSCVNYTTLRLLGEVLHGNDALYKGRAWILSHGSATAALQWAKIWLSVIGVYDWSGNNPVIPELWMVPHFLPIHPGKFWCFCRMVYMPMAYIYGKKFVGPISPTILAIRDELYNDGYSQIDWNKARSSCAKEDLTYPTSRGHSIAFAFLNKFVEPMLSCWPFTKIRERALTSLMEHIHYEDENSNYVGLCPINKALNMICCWIENPNSNSFKQHSPRIHDFLWISEDGMKAKVYSGCQSWDTALIVQALCASNLIEEIAPTLRKAHEFMKYSQVNQNFPSYKNYYRERSKGGWTLSNGENGWPVADSTAEALKAVLLLSDISPDLVGDPIKEERLYDALDCLLSYMNKDGTLSSAESKRTYSWTEIINPSESFRNIIVDYPYIECTSSLIQSLILFKKYHPCYRRDEIDRCIKNGTLFIEKQQQKDGSWYGSWAVFAAGRTYENSDCIRKGCNFLLAKQLSTGGWGENYLCCEIEEYVDSGRPHGVNTAWAMLALLYAGQIERDPTPLYHAAKELINMQMENGEFPQQETVGNFNSSLYFNYTNYSNLFPIWALGEFRCRLVGKKK* >Brasy3G347400.1.p pacid=40042207 transcript=Brasy3G347400.1 locus=Brasy3G347400 ID=Brasy3G347400.1.v1.1 annot-version=v1.1 MQSPPSSPMAAATPPTLGLPLPAGTPQLRPNPRPVPPTPACPTVAPTPGLPCPGGAPRLRAQPRPPPSRRRAPAPPSAAPATRPSGGAPRLAYPMSLFDDWFSQMTVMMA* >Brasy3G258000.1.p pacid=40042208 transcript=Brasy3G258000.1 locus=Brasy3G258000 ID=Brasy3G258000.1.v1.1 annot-version=v1.1 MNTDITASVKPEYPVVDRNPAFTKVVGNFSALDYLRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVLGGFMYAYQNSAGRIMGFFPNEAEVARAKHNKF* >Brasy3G270900.1.p pacid=40042209 transcript=Brasy3G270900.1 locus=Brasy3G270900 ID=Brasy3G270900.1.v1.1 annot-version=v1.1 MWAAEAAFSRSGSWREAEDEQEALRWAALQRLPTIARARRGFLRSPAAAASSSSSAVEGADDYDAPLCEEVDVAGLSSGDRTALVDRLLADSGDAEQFFRRIRERFDAVHIGFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMTGSITYNGHHLKEFVPQRTSAYVSQQDCHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDQDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREHAVDFFSAMGFRCPERKNVADFLQEVLSKKDQQQYWCQYDYPYQFVSVSKFAEAFKTFVIGKRLHEELDVPYNRKRNHPAALSRSNYGVKSLEILKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRTTMHHDSVDDGILYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAFTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWVSPMMYAQNAISVNEFHGRSWSKQFADQNITLGEAVLTGYGLFKEKYWFWIGVGALLGYTIVLNALFTLFLTILNPIGNMQAVVSKDAIKHRNSRKKSDRVALELRSYLHSTSLNGLKLKEQKGMVLPFQPLSMCFKNINYYVDVPEELKQQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVNDDTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAFPGVPKIKDGYNPAAWMLEVTSTHMEQILGVDFAEYYRQSKLFLQTKEMVETLSKPNSESKELTFSTKYAQPFCAQFLACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLVYGTIFYSLGSFEWTGVKFLWFLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGTSSTTVAAFLESHFGFRHDFLGVVATMVVGFCALFALVFALAIKYLNFQRR* >Brasy3G233200.1.p pacid=40042210 transcript=Brasy3G233200.1 locus=Brasy3G233200 ID=Brasy3G233200.1.v1.1 annot-version=v1.1 MGIRFVLLVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILTPIQLMEKTS* >Brasy3G283200.1.p pacid=40042211 transcript=Brasy3G283200.1 locus=Brasy3G283200 ID=Brasy3G283200.1.v1.1 annot-version=v1.1 MRVGDDEVAGLASRGGGEGVAMRRAADVAEGGGGCSTSRGDPALPSFVRLGGGPGTDLEPRGLPTTSSSSDGSPASTGSGEDDHDRDDGAPEVAKGERWIQRPGLTKNPVLRSTGECQGQRHPLGAVLFQGRKDRKQRPVSLDFGCPGVDRSSTYSPGFFVNGVGVMNKGLGVSPQNRSGVLTSPGTPGYSRRGPTVVGYQQGWSSERVPLPSNGHKRHPGSSMALPYNNGRVLPSKWEDAKRWIFSPNPSDVPGRTSMLQPRRPKSKSGPLGPPGRFGAPYSSVSSSASLLDSAGVGNQAVNAPFLSGVLLPEHVCGGSSHTGIDIGGASGEDSSNGRGGGSGRANGGHSAVWSTGVCRLLNSAVQSSQSFSSSEEFSQDEQLEITKDLATSNTPVISRKDVATQTSPDLSRSSSPNMRPSFSRSLSVQQVKELESCFSKLEIKDVQMDDRVTLTRWSKKHVSRSSDKNSTNIIEWKRNTVESKSSTWELTETAKCISKIEGEEAKMTAWENMQKAKAEAAIQKLVIKLEKKRSYSLERIFNTFRSAHRKTQVVGSTTTTNHDQQISRSVKRTSHLSKNGQMSSLSGCFTCHAF* >Brasy3G283200.2.p pacid=40042212 transcript=Brasy3G283200.2 locus=Brasy3G283200 ID=Brasy3G283200.2.v1.1 annot-version=v1.1 MRVGDDEVAGLASRGGGEGVAMRRAADVAEGGGGCSTSRGDPALPSFVRLGGGPGTDLEPRGLPTTSSSSDGSPASTGSGEDDHDRDDGAPEVAKGERWIQRPGLTKNPVLRSTGECQGQRHPLGAVLFQGRKDRKQRPVSLDFGCPGVDRSSTYSPGFFVNGVGVMNKGLGVSPQNRSGVLTSPGTPGYSRRGPTVVGYQQGWSSERVPLPSNGHKRHPGSSMALPYNNGRVLPSKWEDAKRWIFSPNPSDVPGRTSMLQPRRPKSKSGPLGPPGRFGAPYSSVSSSASLLDSAGVGNQAVNAPFLSGVLLPEHVCGGSSHTGIDIGGASGEDSSNGRGGGSGRANGGHSAVWSTGVCRLLNSAVQSSQSFSSSEEFSQDEQLEITKDLATSNTPVISRKDVATQTSPDLSRSSSPNMRPSFSRSLSVQQVKELESCFSKLEIKDVQMDDRVTLTRWSKKHVSRSSDKNSTNIIEWKRNTVESKSSTWELTETAKCISKIEGEEAKMTAWENMQKAKAEAAIQKLVIKLEKKRSYSLERIFNTFRSAHRKTQVVGSTTTTNHDQQISRSVKRTSHLSKNGQMSSLSGCFTCHAF* >Brasy3G123900.1.p pacid=40042213 transcript=Brasy3G123900.1 locus=Brasy3G123900 ID=Brasy3G123900.1.v1.1 annot-version=v1.1 MPPSPRNLLLLLLAAAAASSALAFELEEATVESIHHAFANGALTSRGLVELYLRRIASLDPALHAVVELDADGALAAADRADAYRLERAGPAALPPLHGIPVLIKDNIAAAGDGGGLLNATAGSLALVGSRPARDAGVVERLRRAGAAVLGTASLSEWCNFRAPGIPAGWSPRGGQGLNPYVPSATTCSSSSGSAIAAAANMVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGPICRTVSDAVHVLEAIVGYDPSDAEATRIASHYIPEGGYRQFLSIDGLRSKRLGILRKDFFRFPSGSVQEKVFEEHFNTMRQMGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELAISPVRSLSEIIDFNNKHPIEERMAEFGQSYLLQSEATNGVGPTEVRAIAKLNKLCKRGLEKIMQVNQLDAIIAPGASAHSLLAIGGYPAVTVPAGYASNGVPFAICFGGLKGSEPKLIEIAYSFEQATKVRRPPVLQHSVI* >Brasy3G123900.2.p pacid=40042214 transcript=Brasy3G123900.2 locus=Brasy3G123900 ID=Brasy3G123900.2.v1.1 annot-version=v1.1 MPPSPRNLLLLLLAAAAASSALAFELEEATVESIHHAFANGALTSRGLVELYLRRIASLDPALHAVVELDADGALAAADRADAYRLERAGPAALPPLHGIPVLIKDNIAAAGDGGGLLNATAGSLALVGSRPARDAGVVERLRRAGAAVLGTASLSEWCNFRAPGIPAGWSPRGGQGLNPYVPSATTCSSSSGSAIAAAANMVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGQMGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELAISPVRSLSEIIDFNNKHPIEERMAEFGQSYLLQSEATNGVGPTEVRAIAKLNKLCKRGLEKIMQVNQLDAIIAPGASAHSLLAIGGYPAVTVPAGYASNGVPFAICFGGLKGSEPKLIEIAYSFEQATKVRRPPVLQHSVI* >Brasy3G123900.3.p pacid=40042215 transcript=Brasy3G123900.3 locus=Brasy3G123900 ID=Brasy3G123900.3.v1.1 annot-version=v1.1 MVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGPICRTVSDAVHVLEAIVGYDPSDAEATRIASHYIPEGGYRQFLSIDGLRSKRLGILRKDFFRFPSGSVQEKVFEEHFNTMRQMGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELAISPVRSLSEIIDFNNKHPIEERMAEFGQSYLLQSEATNGVGPTEVRAIAKLNKLCKRGLEKIMQVNQLDAIIAPGASAHSLLAIGGYPAVTVPAGYASNGVPFAICFGGLKGSEPKLIEIAYSFEQATKVRRPPVLQHSVI* >Brasy3G280200.1.p pacid=40042216 transcript=Brasy3G280200.1 locus=Brasy3G280200 ID=Brasy3G280200.1.v1.1 annot-version=v1.1 MSPVHVPEQSGSGSLTLNPVLGGVASSSLLTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPQARIADYFDVIAGTSTGGLVTAMLTAPNKDGRPLFAAKDINKFYLDHCPKIFPAASNWPFGLWKTMTGPKYDGQYLHSIVKELLGGTRVSQALQNIVVPTFDIKLLQPTIFSRYDAQNDVSKDALLSDVCISTSAAPTYLPGHHFQTKHKDGTPRDFDLIDGGVAANNPTMLAITDVSKQILLGNRDFFPIKPADYGKFLVLSLGTGSAKVEGKFDAAASSKWGVLGWLYNSGATPLIDSFSQASADLVDIQASVLFQALRSEKRYLRIQDDELKGDTSSVDVSTPENLNRLVGVGEALLKRSVCRVDVETGKSVPDKNRGTNEEELLNFARLLSQERKARLQKKGIKQ* >Brasy3G004000.1.p pacid=40042217 transcript=Brasy3G004000.1 locus=Brasy3G004000 ID=Brasy3G004000.1.v1.1 annot-version=v1.1 MRCCPARRHARCCPSSHCCPPRAVARAAALSSRCCPPLARAPSGAVAVLPFRGAPRHARCCPLLCPLLARAPSRVAGTPLARAPSAPAARRSALCSPARHHALLHAARTGAVARAASAALPSARRAPSRVAARLSHGRRRALLPLRSHACCCCSRADQAPVGHGVTDRSRCDRREPVGGLGGRRAVVGAGMADGTFSAWVWPRSPGPNRGSTSASLSWEPALALGMPCFLVPEVHQRRLLFTLEIDGEENAALLDEQSTSALCEEDKVNPLAPESVSSERDQPPSRPP* >Brasy3G038800.1.p pacid=40042218 transcript=Brasy3G038800.1 locus=Brasy3G038800 ID=Brasy3G038800.1.v1.1 annot-version=v1.1 MSMLDAFFGKGAGGGGGGGAFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQVMFATKYGKEFVAAAAELMPDCGVNRQIIELLSIRPPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFSGSTLPLPKEKHEETVSATAADQPDEEYESDCEFDSLDLPEVPKAAVRPAPDAPSDIGPHVQSSQSAAHGFSNTPDLEDNPTADAAFYNELKSKEPPVPSPFVLPSMPALPNEKKQYVPFASPPPFAAASPLEKNDSIPLRPPSPPVKPTEPEIFTRKIDEVTPPQTLPDFNMFSEHSEKVHSVSHKESGANIDLDDVLSAAQTAAESAERAASAARAAANLAQLRIADLKKNSRVYESYSDGAQKESHHQAEVTQKPVFDHQDSFSNDIEGYTPSHVPQRSPSLEDDPYFSYPNLFSSKP* >Brasy3G113700.1.p pacid=40042219 transcript=Brasy3G113700.1 locus=Brasy3G113700 ID=Brasy3G113700.1.v1.1 annot-version=v1.1 MIEEMDANMVAGYFRGKTILITGSTGFLGKVLVEKILRVQPDVKKLFLLVRASDVESAKLRIQTEVTGREIFQVLKEKHGMGFDNFIEEKICPLAGDIMYENFGLDAANLRELVKDIDIIVNGAATTNFSERYDVAFDANVLGAKHVCAFAKKCTKLKMLLHVSTAYVAGEKEGLILEKPFLMRETLREGTHLDIESELNLIKDTRSELKANCSSEKTERRTMKELGLKRARQFGWPNTYVFTKAMGEMLLGHLRGDLPVVILRPSIITSTLKDPLPGWMEGIRTIDSVVIGYAKQTLSFFLVDLDLIMDVIPGDMVVNAMMVAMAAHSEEQAQTIYHVTSSLRNPAPYAILANTGHRYFFDNPPCTGKNGAPARLKKMRFFSTVARLSLYMTIKYRLPLEMLRLVNIALCGVFSQRYNELSRKYRFVMHLIELYAPYSLFKGCFDDMNSERLRMAMKKEQNDNGEYCFDFDPKSIDWDDYFYNVHIPGVLKYMRD* >Brasy3G342600.1.p pacid=40042220 transcript=Brasy3G342600.1 locus=Brasy3G342600 ID=Brasy3G342600.1.v1.1 annot-version=v1.1 MSQSPWGPPLQQQQEQDPLLMEVDSSACGFSWQLELADPQMALPQQQDSLPMSIDPLGGGGGEYEDELISRLRSCPSLSPPRPLLTFSSASPPDISVTLWDPYTWTPPCSPQIDRAAAALPNISIRGSTARLLRWLPKFSLPKGDIFRFGSITPDGRPKLRIGNLDDGEEEEERVHVFVVKPHRRNPYGPSELVQQQFKFREDGELQYCIHCDEVFPSDAPLSDLSIHFSTSHRVLFPSSHKYWHGFELELDQIRANFYGTEGVVLFNFVVVHTPTKCSRGITDTLETALNRICPWDIKRGASNSRGLWEGYHSASEAANWGNEKPKEIDSQKSASRHVELPLAFDQSNTPPERMRVDNGKAAASTDAVQHPFFEEPAGASNSRGLLEGCQSASEAARGNEKPKEIDSQKSASRHVELPLAFDQSNTPPERMRVDNGKAAASTWSAVWEHFVRIIIDDTVFADCKHCKQRFAGGSKKAGTSTLRRHLDKLHGIKVPKASSSKNKNSSSKWKTPTPSLLPSLEPVASKPPRTTTQRKKRTDGANDLATQEVCARGKRTRRRDNPSSSGVTPSEVPGSASTVGHATEAPSTGMAVASSQIALSAAGPSGEVVRSIADTITDPGPKDVSNAILLMRKYPDHAKYRNGGPANLNEMDIMFDGRHVTRATSAIPGEVPSETVDLAGDDTDEEDDEPTKAKTGERKKSSVPDLREKLSGVQRPQLNSMVQIPKPAVAEIAKSAKPVQKRELSAPAPAPALPVTKNVNEPTAPKQSQEKVGKLYPLADSSLDRLLKSLDLQKYSINFQAEEVDMKALVHMNEEDMKSLGIPMGPRKKILSALASKRKKSSKSLPPTS* >Brasy3G018600.1.p pacid=40042221 transcript=Brasy3G018600.1 locus=Brasy3G018600 ID=Brasy3G018600.1.v1.1 annot-version=v1.1 MERTEGEKKVVCVTGAGGFIASWIVKLLLQRGHTVRGTLRDPADPKKTEHLRALDGSADRLHLFKADLLEEGSFDAVVDGCECVFHTASPFYNNPKDPQVELIDPAVKGTLNVLASCKKASSVKRVILTSSMAAVVINENPLSPEVVVDETSFSIPELCKKAKEWYVLSKTLAEQAAWKFSKDNGIDLVTMNPAMVIGPLLQPTLNTSAQLVLYLISGSPVYLNYSFGWVNVKDVALAHVLACEVPSASGRYCMVDRVIHFSDVVKIIHEMYPSLPVPEKCADDQPFAPTYQVSRDKIKSLGVELTPFETTLRESIECLKEKGFISF* >Brasy3G328800.1.p pacid=40042222 transcript=Brasy3G328800.1 locus=Brasy3G328800 ID=Brasy3G328800.1.v1.1 annot-version=v1.1 MDSDSDVPVPSDEEMGDDEDYYYDYSDDNGGSSYGGGEEEESGDEQLDAGEYEGSVEAVSRREQRYIVLSETDISERQEEDISKVCAILLIPREEASVLLHHYKWNISKLNDEWFSDEEKVRDIVGLPMNAIEFQNSRKLTCGICFEGYSSDMMSSAGCAHFYCHECWEGYISSAVSDGPGCLSLRCPDPSCSAMVLPGMINKLGKDEDKERYARFALRAYVEGSRKSKWCPAPDCTCAVEFLSDGNYDVSCNCNFRFCWNCTEEAHRPVNCATVSKWILKNSAESENMNWILANSKPCPKCQRPIEKNQGCMHMTCTPPCKFEFCWLCLSSWAEHGERTGGFYACNRYESAKKEGIYDETEARRERAKNSLERYMHYYERWASNQTSRQKALVDLQKAEKEHLKKLTNTYGIPETQLKFITDAWSQIIECRRVLKWTYAYGYYLEDKVKSEFFEYLQGEAESGLERLHQCAEKELQGYLPFSKHSNDTLPSPAEFSDFRVKLTGLTSITRNYFENLVQALEGGLEDVKYNAEAAATSSAATTSKKAGTKAKVTKKQRSGSSSDHSDDTWPCERCTFLNPNAADLCSACSKPRYNA* >Brasy3G058700.1.p pacid=40042223 transcript=Brasy3G058700.1 locus=Brasy3G058700 ID=Brasy3G058700.1.v1.1 annot-version=v1.1 MFTYSRELHDVVEVEKAGYDACSSANNVSAFRSGNDVVTLAAPGTRYFLCGLTGHCDNGMKIAIRVVDAVGPTPRLRWPRLLTTSVAL* >Brasy3G150500.1.p pacid=40042224 transcript=Brasy3G150500.1 locus=Brasy3G150500 ID=Brasy3G150500.1.v1.1 annot-version=v1.1 MAVASGPAATFSARPSTTTTARGVMLRPCASAAGVSGGGGARFRAEATGNGKWWAPLLGWSGQPDYIDGAPPAPPREEEERASKGHGQRRFGVLTEEKARQLRARMMETESFHDAMYHSAIASRLASAAPDANDKP* >Brasy3G320900.1.p pacid=40042225 transcript=Brasy3G320900.1 locus=Brasy3G320900 ID=Brasy3G320900.1.v1.1 annot-version=v1.1 MDMMDEEFQIPAGDDMMMGDDMMGDFAGGDGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGKKFDSSRDRADTFKFKLGQGQVIKGWDQGIKTMKKGENALFTIPPELAYGETGSPPTIPANATLQFDVELLSWTSVRDICKDGGIFKKILKEGEKWENPKDPDEVFVKYEARLEDGTVVSKSEGVEFTVKDGYLCPALAKAVKTMKKAEKVLLTVKPQYGFGEMGRPAAGQEVAIPPNAGLLIDLELVSWKTVTEIGDDKKILKKVIKEGEGYERPNEGAIVKVKITGKLQDGTVFLKKGQDEQEPFEFKTDEEEVIGGLELAVLNMKKGEVALVTIPPEHAYGSTESKQDLAIVPPNSTVIYEVELVSFVKDKESWDLNNAEKIESAGTKKEEGNALFKLSKYARASKRYEKAAKLIEYDTSFSEDEKKQSKQLKITCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYTQLADLELAETDIKKALEIEPDNRDVKLTYKNLKEKIKEINKKDAKFYSNMFAKMTKPSAEEGKAGTGA* >Brasy3G036100.1.p pacid=40042226 transcript=Brasy3G036100.1 locus=Brasy3G036100 ID=Brasy3G036100.1.v1.1 annot-version=v1.1 MGCSSSRDAPARGDIEMRTLSLPSARAAERRHSLPPLRSSSLGGLCRCRDDDGAHSPLLLDGGASAAEAAAPRIASRAPTAKASPPGAKQPAGPGLAAVLEDHPWRPASCPSGWCPGGDASSSSSLPPVLQQQTEVQQLLPGFFDPAVLSSFQEPKSEEEEEEEPFDLDVATVPHSPSAIARDSPQVASGLVRSRVQEFQEQQRAAAQRRRKQQEEEEEAMAIAMAMAEEEAPRAGKVVIYFTSIRGVRRTFEDGRAVRAILRAYRVRVDERDVSMHAAFKDELRHLLPLHGHGQTSAKDAMPRVFIVFSDGDGERWGDMGGADEVRALHEAGELGCALAGCETLPAAAACAGCGDMRFLPCSTCWGCCRVFDGGEFLRCPDCNENGLIRCPLCCY* >Brasy3G304700.1.p pacid=40042227 transcript=Brasy3G304700.1 locus=Brasy3G304700 ID=Brasy3G304700.1.v1.1 annot-version=v1.1 MELGLRLRALAGCRATLGGFPAAASHGGGCRQPRRGASSSASCSLAASATGNGAAAGPVGSGVEVARAKRMLHVVLVSPMIPGNTGSIARTCAASAVGLHLVGPLGYNVDDTKLKRAGLDYWPYVVVKVHDSWDEFRDYFMKQDGDKRLLAFTKRGTKIHSDFSYKPGDWLVFGSETKGLPHNVLEDCSSGAIGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQPDLPEEARGLFPAEDIYG* >Brasy3G304700.2.p pacid=40042228 transcript=Brasy3G304700.2 locus=Brasy3G304700 ID=Brasy3G304700.2.v1.1 annot-version=v1.1 MELGLRLRALAGCRATLGGFPAAASHGGGCRQPRRGASSSASCSLAASATGNGAAAGPVGSGVEVARAKRMLHVVLVSPMIPGNTGSIARTCAASAVGLHLVGPLGYNVDDTKLKRAGLDYWPYVVVKVHDSWDEFRDYFMKQDFSYKPGDWLVFGSETKGLPHNVLEDCSSGAIGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQPDLPEEARGLFPAEDIYG* >Brasy3G304700.3.p pacid=40042229 transcript=Brasy3G304700.3 locus=Brasy3G304700 ID=Brasy3G304700.3.v1.1 annot-version=v1.1 MELGLRLRALAGCRATLGGFPAAASHGGGCRQPRRGASSSASCSLAASATGNGAAAGPVGSGVEVARAKRMLHVVLVSPMIPGNTGSIARTCAASAVGLHLVGDGDKRLLAFTKRGTKIHSDFSYKPGDWLVFGSETKGLPHNVLEDCSSGAIGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQPDLPEEARGLFPAEDIYG* >Brasy3G304700.4.p pacid=40042230 transcript=Brasy3G304700.4 locus=Brasy3G304700 ID=Brasy3G304700.4.v1.1 annot-version=v1.1 MELGLRLRALAGCRATLGGFPAAASHGGGCRQPRRGASSSASCSLAASATGNGAAAGPVGSGVEVARAKRMLHVVLVSPMIPGNTGSIARTCAASAVGLHLVGDFSYKPGDWLVFGSETKGLPHNVLEDCSSGAIGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQPDLPEEARGLFPAEDIYG* >Brasy3G266000.1.p pacid=40042231 transcript=Brasy3G266000.1 locus=Brasy3G266000 ID=Brasy3G266000.1.v1.1 annot-version=v1.1 MGLVLSNWFFLFFALVPSSWSLNLDGQALLALSKNLILPSSISCSWNASDRTPCKWIGVGCDKNNNVVSLDLPSSGVSGSLGAQIGLIKHLEVISLTNNNISGLIPPELGNCSMLEKLDLSENFLTGEIPESLGNLKKLSSLFLYSNSLNGEIPERLFNNKFLQDVYLYSNKLSGSIPPSIGEMTSLKSLWLHENALSGVLPDSIGNCTKLEEVFLLDNHLSGSVPKTLSYVKGLKSFDATGNSFTGEIDFSFEDCKLDRFILSFNQIRGEIPSWLGNCSSLTQLALVNNSLSGHIPASLGLLSNLSMLLLSQNSLSGPIPPEIGNCRLLEWLELDANMLEGTVPKELANLRNLKKLFLFENRLTGEFPEDIWSIKRLESVLIYSNGFTGKLPPVLSELKFLQNITLFNNFFTGVIPPGLGVRSPLIQIDFTNNSFAGGIPPNICSGRSLRVLDLGFNLLNGSIPSDVMNCSSLERIILQNNNLTGPIPQFRNCTHLDYMDLSHNSLSGDIPASLGRCINITKINWSDNKLFGPIPTEIGKLVNLRFLNLSRNSLLGELPVKISSCSKLYYLDLSFNSLNGSALMTVSNLKFLLQLRLQENKFSGGLPDSLSQLHMLIELQLGGNILGGSIPASLGKLIKLSIALNLSRNGLVGDIPTLLGDFVELQSLDLSLNNLTGGLATLGSLRLLNALNVSYNRFSGPVPAYLLKFLDSTASSFRGNSGLCISCHASDSSCKRSNVLKPCGGSEKRSGVHGRFKIALIVLGSLFIAALVVLVLSCILLKTRDSKTKSEESISNLLEGSSSKLNEVIEMTENFDAKYIIGTGAHGTVYKATLRSGEVYAIKKLAISTRNGSYKSMIRELKTLGKIRHRNLIKLKEFWLRSECGFILYDFMEHGSLYDVLHGVWPTPNLDWSVRYNIALGTAHGLAYLHHDCVPAIIHRDIKPSNILLNKDMVPRISDFGIAKIMDQSSAAPQTTGIVGTTGYMAPELAFSTRSSIETDVYSYGVVLLELITRKMAVDPSFPDDMDIASWVHDALNGTDQVAIVCDPALMDEVYGTDEMEEVPKVLALALRCAAKEAGRRPSMLDVVKELTDARAAAVSSSNKAKPGSHGLP* >Brasy3G218500.1.p pacid=40042232 transcript=Brasy3G218500.1 locus=Brasy3G218500 ID=Brasy3G218500.1.v1.1 annot-version=v1.1 MAIDHESPFKELRLKNRRIMGAGAPEPEEEEDLAAAAEPEEQQQWPRWLRPLLSARFFAQCKTHAESHRSRGECNMFCLDCSPAASTAAAALCSQCLAEGHRGHHVTQIRRSSYHDVIRVSDIARFMDIAGVQTYVINSARVVFLNERPQQKNNPGKASANGGGGANLCEVCSRSLLDNFRFCSLGCKVAGCSPAAASSSSSMRNAAAGKQSFSPSTPPPPPPPPAAAKRRKGIPHRAPFGNLIVDY* >Brasy3G106300.1.p pacid=40042233 transcript=Brasy3G106300.1 locus=Brasy3G106300 ID=Brasy3G106300.1.v1.1 annot-version=v1.1 MQTPTMSTSMAARATSAAAKLPAPPPTRQCFHLPPHAGRRSGLAGGWALSASPKKKNPWLDPFDDGPDEDFDYTGVYSGGKQDEDPRPPEDPSNPYGFLRFPMGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQLLKDRYPGVLVDVVASARGKQVYEMCKNVRYANVYDPDDDWPEPAEYTHQLGVLKNRYYDMILSTKLAGTGHALFLFMSSAREKVGYVYPNVNGAGAGLFLTEMFKPPTTNLSDGGYNMYQEMLEWLGRPGKGVPQQPVPPLRVSISKKLRGIVEDKYSRAGVEKGKFVVVHGIASDSVANMTSRGDDDCLLCLEQWAEIAKAISSQGNGLRPLFVMPHQKHREEIEDIVGRETSYLFITTPGQLTCLINDSVGVVATNTAAVQLANARDRPCVALFSSKEKAKLFLPYAEDKKSCTVVASATGKLADIDIEAVKNAVKDFEPAPSFALAQK* >Brasy3G161600.1.p pacid=40042234 transcript=Brasy3G161600.1 locus=Brasy3G161600 ID=Brasy3G161600.1.v1.1 annot-version=v1.1 MAVREWMGIGDGAGTLDHDSARGKEAESGHGQGRATLGAPTARSPAASPTPGRPVTRQPPRALPRELTTATIADGRELNDVRCLLPLLQRVEPDGGAPRLPRHGEELPGHPFTHAHRLLPRDLVSRGCPPPARPRLPLATARILSRRSPPSLPFRLSPPTSYPFFPCTHRFSCLHSARRAAYSHPAVASSSLGQPWALPARPLPRALRSPRSWRPTPAAEDTTELRGGALGVSRRCRHGGDLWSKPNSCPGGGSSVSIHRDSAVVSSSQK* >Brasy3G159900.1.p pacid=40042235 transcript=Brasy3G159900.1 locus=Brasy3G159900 ID=Brasy3G159900.1.v1.1 annot-version=v1.1 MEADEAPPPRWKQNKSAAAIESSSGPLAAAAARLSARSRAIPLARDFHFYNNFPSFKSPVGAAATIADSSLGILGESPLLPKQQQTPFPQEDLDEAYDWLVARNDDLLEMFGSSADEFKAWREKEEAEARKDAPQEISGDGFQVVYGKKKKKMGMGEEEIGRGQTFGASGSVRMATMDKAAASGTKAKVPFHIPSIARPQDLYRIVVDNRSKPFEHAFLERSDDGCRAIHPLEKLPMEQLFDRRVPESEPLKPPALDDTPFTFVEDRKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRNYLGENLREVFQDPTKKKVMHGAGRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVVANKEYQSADWRLRPLPDEMTKYAREDTHYLLYIYDLMRLRLVNESSDENDLLLEVCKRSNEICLQLYEKELLTDSSYLYIHGLKENELSARQLAVLSGLYQWRDSVARAEDESTGYILPNKALLEIAKQMPLTSGRLKRTVKSKNPFLERHLGHVITIIRNAIENSVAFESIAEQLKKGRLEELMVADANNSTEDTEMIPAVNADNNESNFVLSDGSALVPTVITNVVTASSGNVTSGASLGNLQLGNITPETKSLVTLSGPTGLADTEVAKAKVQVLKRPTAFGALLGKPSSGRRPNLFPGFSSEQSKSKVDKIKSSVVLPFHHFSGGVKPPATSLPVAELAYSELESICNDPASQMEEVIQLDTGTDNHLPENCNADGQNHCEPEDTEMSSSPRELSSGNEQRFRSINESRNVQQNHKALEEPEFHDQLKPFDYAEARKNISFGEVKSERRKDNAVARAINKDSGDKRRTSKQPGGEEDEGDFQNPRRRQAFPPSGNRSATYH* >Brasy3G159900.2.p pacid=40042236 transcript=Brasy3G159900.2 locus=Brasy3G159900 ID=Brasy3G159900.2.v1.1 annot-version=v1.1 MEADEAPPPRWKQNKSAAAIESSSGPLAAAAARLSARSRAIPLARDFHFYNNFPSFKSPVGAAATIADSSLGILGESPLLPKQQQTPFPQEDLDEAYDWLVARNDDLLEMFGSSADEFKAWREKEEAEARKDAPQEISGDGFQVVYGKKKKKMGMGEEEIGRGQTFGASGSVRMATMDKAAASGTKAKVPFHIPSIARPQDLYRIVVDNRSKPFEHAFLERSDDGCRAIHPLEKLPMEQLFDRRVPESEPLKPPALDDTPFTFVEDRKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRNYLGENLREVFQDPTKKKVMHGAGRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVVANKEYQSADWRLRPLPDEMTKYAREDTHYLLYIYDLMRLRLVNESSDENDLLLEVCKRSNEICLQLYEKELLTDSSYLYIHGLKENELSARQLAVLSGLYQWRDSVARAEDESTGYILPNKALLEIAKQMPLTSGRLKRTVKSKNPFLERHLGHVITIIRNAIENSVAFESIAEQLKKGRLEELMVADANNSTEDTEMIPAVNADNNESNLQLGNITPETKSLVTLSGPTGLADTEVAKAKVQVLKRPTAFGALLGKPSSGRRPNLFPGFSSEQSKSKVDKIKSSVVLPFHHFSGGVKPPATSLPVAELAYSELESICNDPASQMEEVIQLDTGTDNHLPENCNADGQNHCEPEDTEMSSSPRELSSGNEQRFRSINESRNVQQNHKALEEPEFHDQLKPFDYAEARKNISFGEVKSERRKDNAVARAINKDSGDKRRTSKQPGGEEDEGDFQNPRRRQAFPPSGNRSATYH* >Brasy3G252300.1.p pacid=40042237 transcript=Brasy3G252300.1 locus=Brasy3G252300 ID=Brasy3G252300.1.v1.1 annot-version=v1.1 MCACAYSGVDGDTAVLERSHSKYKPSDFTSAVRDGSDEQDNQSERTTVRNKNHERKDHQHKTHTWHHQLPEGTLRIK* >Brasy3G079100.1.p pacid=40042238 transcript=Brasy3G079100.1 locus=Brasy3G079100 ID=Brasy3G079100.1.v1.1 annot-version=v1.1 MEEGKMGNVATVRAVLAILQWWCFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVAPEDHWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGGGVINWLYTYESTVPALIIIITSGILAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLISWMIFRNPISAMNAVGCGITLIGCTFYGYVRHLISQQGAALSPRTPRSRMEMLPLVGEKQEKI* >Brasy3G079100.2.p pacid=40042239 transcript=Brasy3G079100.2 locus=Brasy3G079100 ID=Brasy3G079100.2.v1.1 annot-version=v1.1 MKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVAPEDHWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGGGVINWLYTYESTVPALIIIITSGILAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLISWMIFRNPISAMNAVGCGITLIGCTFYGYVRHLISQQGAALSPRTPRSRMEMLPLVGEKQEKI* >Brasy3G079100.3.p pacid=40042240 transcript=Brasy3G079100.3 locus=Brasy3G079100 ID=Brasy3G079100.3.v1.1 annot-version=v1.1 MSACGTSQSPSCRLSNPSLLQRQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGGGVINWLYTYESTVPALIIIITSGILAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLISWMIFRNPISAMNAVGCGITLIGCTFYGYVRHLISQQGAALSPRTPRSRMEMLPLVGEKQEKI* >Brasy3G079100.4.p pacid=40042241 transcript=Brasy3G079100.4 locus=Brasy3G079100 ID=Brasy3G079100.4.v1.1 annot-version=v1.1 MSACGTSQSPSCRLSNPSLLQRQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGGGVINWLYTYESTVPALIIIITSGILAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLISWMIFRNPISAMNAVGCGITLIGCTFYGYVRHLISQQGAALSPRTPRSRMEMLPLVGEKQEKI* >Brasy3G092900.1.p pacid=40042242 transcript=Brasy3G092900.1 locus=Brasy3G092900 ID=Brasy3G092900.1.v1.1 annot-version=v1.1 MAAPEMAGTKTASRSVLDTARATHAFEIIGYSQHRGLGKGKSVRSAAFAAGGYHWCIRYYPDGDNTEDSNDHVSAFLVFLSKEAKVRAGFDLRLINPVTTDFIYRVQPLVFDDANRTWGHRRFMKRSDLEASPYLRDDRLLIECDVVVLKEPRIDQTLLDFEVQVPPSDLSDDLGKLLEAKEEADVTFKVKSEVFPAHKIVLAMRSPVFKAELYGPMRGNKTRRKYITVEDMQPAVFKALLHFIYTDSLPSMDELIGNDKKEIIKHLLVAADRYAMDRLRLICEGILCKSLDVDTVATTLALADQHHCSKLKDACVEFILSSNRMDVVVESQGYVHLKRSCPALIFDIFERATKFHKI* >Brasy3G007900.1.p pacid=40042243 transcript=Brasy3G007900.1 locus=Brasy3G007900 ID=Brasy3G007900.1.v1.1 annot-version=v1.1 MWRLKVGGGGGSGSEWLHSNNNFLGREVWEFDADAGTPEERAHVERLRRDFTEHRFERPREQRLQRARDTDMPPLPGPKDLGFSLGSVIRATTMPPRRATAPEDVVIVSTGGRQPRLSPGPHNPWQKLRLETARRGGGLSHTHKQQRTAYIPPLEGAAPDPTPASKTPVATRDTANRRIRPRSARSRRPPAPDHLHLLKDYEQVTEENILTSLRGALNAYSVLQAHDGHWPGGYSGILFIMPLIIFALHVTGSLSTVLSPEHIREICRYIYNIQAGNMISQFRIITHILGTSSMFGSCLNYTTLRLLGEVLHDNDALYKGRAWILSHGSATAAPQWAKIWLSIIGVYDWSGNNPVIPELWILPHFLPIHPGKFWCFCRMVYMPMAYIYGKKIVGPISPTILAIRDELYKEEYSQIDWNKARSSCAKEDLTYPTSRGHNIAFAFLNKLVEPMLSCWPFSKIRERALISLMEHIHYEDENSNYVGLCPINKVLNMICCWIENRNSNSFKQHIPRIHDYLWISEDGMKAKVYSGCQSWETALIVQALCSLNLIEEVAPTLRKAHEFIKYSQVNQNFPSYRNYYRERSKGSWTLSNGENGWSIADTTAEAIKALLMLSDISPDLVGDPIKEERLHDAVDCLLSYVNKDGTLSSTEPKRTYSWTEIMNPSESFRNIMVDYPYTECTSSLIQSLVLFKKSHPCYHREEIDRCIKNGTLYGSWALCFTYATFFAIKGLVAAGRTYENSDCIRKGCKFLLEKQLSTGGWGESYLCCEIEEYVDSGRPHGVNTAWAMLALLYAGQIERDPTPLYHAAKELINMQMDNGEFPQQESVGNFNSSIYFNYTNYRNLFPIWALGEFRCRLVGKKY* >Brasy3G220700.1.p pacid=40042244 transcript=Brasy3G220700.1 locus=Brasy3G220700 ID=Brasy3G220700.1.v1.1 annot-version=v1.1 MPDKWSCPNFLVALIPDLEQKKGHGCQHTDVLGAEAKCARQIVTLSSGEETIISYAKPKYQTIRRSDTSTAESGSEADDVTSSKPLRSHIYHPKLNPVRQDVVEIIVLRCHYLVVNLICHLK* >Brasy3G099100.1.p pacid=40042245 transcript=Brasy3G099100.1 locus=Brasy3G099100 ID=Brasy3G099100.1.v1.1 annot-version=v1.1 MARDSGGVSGVRGARPAAGAAVGRPGWGRRWRALAAERERRALATEIRVSGGACSWRRGGRRRVLATEIEVGGGAFSWRRGRAWVVACARGGEGGCRRRVLTTESEGGGTLDFGSGSGVAQYAGRRCCAGEGRRWAREKACGRKRGVGGDVARNRESLGVPGNESWRV* >Brasy3G214900.1.p pacid=40042246 transcript=Brasy3G214900.1 locus=Brasy3G214900 ID=Brasy3G214900.1.v1.1 annot-version=v1.1 MSHFRLLRRLGCGDIGTVYLSELTNTGNGGSLPSPWFAMKVMDKAALAQRRKESRAHTEREILQLLDHPFLPTLYASFDTDRFACLVMEFCPGGDLHALRQRQPRKRFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHVMLSDFDLSLRCATASPTLLRPSPPPNPASAAACVQPTCFMPKIFGKKKKSPACTTAARSPKSGEKQHGGGGMPELVVEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPEDESPATATSEASRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFQGVNWALIRCSTPPGVPRAPMEPVVVAPAMPPAPTPVKPAPVPVNRMEMNFSSSKRIADGHVESGGKFLDFEFF* >Brasy3G176500.1.p pacid=40042247 transcript=Brasy3G176500.1 locus=Brasy3G176500 ID=Brasy3G176500.1.v1.1 annot-version=v1.1 MYKNQLQELAQRSCFNLPAYTSLREGPDHAPRFKASVTFNGELFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGIIFTGDHAKNKKQAEKNAASAAWASLKQLAREEANSTNEPENNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMKPERKPSFAQSSQSSHSKILPLFRPKSSSRSRPESPAATDGASQSPFWPIESSNSRPRFPAAGAAPYVPVGHYRMPCHSMAPPVTVRSAVPVFSAPPLPPPGSQTQQLPPLLSHPPPIRMASPVRIRPPPSLFAPSGPVRSPRPVMSVQMKDVQHKPMKESLSSVIPLQVKDAQHQLFNGSLSRVIPIQMKDVQPQLPKEQLSLAKDAPPAVPLPVIRPPVKIEAPAQVKEAPQVASEVQCPAAGSTPAAGSTAAATSAEFLPASQSGAADEDKGEAGLDDQAEAKAAVEGIIRHLEIK* >Brasy3G176500.2.p pacid=40042248 transcript=Brasy3G176500.2 locus=Brasy3G176500 ID=Brasy3G176500.2.v1.1 annot-version=v1.1 MYKNQLQELAQRSCFNLPAYTSLREGPDHAPRFKASVTFNGELFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGIIFTGDHAKNKKQAEKNAASAAWASLKQLAREEANSTNEPENNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMKPERKPSFAQSSQSSHSKILPLFRPKSSSRSRPESPAATDGASQSPFWPIESSNSRPRFPAAGAAPYVPVGHYRMPCHSMAPPVTVRSAVPVFSAPPLPPPGSQTQQLPPLLSHPPPIRMASPVRIRPPPSLFAPSGPVRSPRPVMSVQMKDVQHKPMKESLSSVIPLQPQLPKEQLSLAKDAPPAVPLPVIRPPVKIEAPAQVKEAPQVASEVQCPAAGSTPAAGSTAAATSAEFLPASQSGAADEDKGEAGLDDQAEAKAAVEGIIRHLEIK* >Brasy3G102600.1.p pacid=40042249 transcript=Brasy3G102600.1 locus=Brasy3G102600 ID=Brasy3G102600.1.v1.1 annot-version=v1.1 MVETRCLFQRASGRRWRLAGVAGVGEHLPRSDHPFAPRRPQLASPPPPLLTRVARGRCRRLAPSRARRLPSLPPPSLLSHVAALALRRPSPPPPRALTRPPPPPRAHHPWSPSSLPSMAPSRGLLPPCIAFHGTGSPPYPPCVVVSAIGSSGRERPGSAAHGSGARGTAARSAERQQGGAARRSSEQNRDLRRGRIEDLRRTKKSH* >Brasy3G054500.1.p pacid=40042250 transcript=Brasy3G054500.1 locus=Brasy3G054500 ID=Brasy3G054500.1.v1.1 annot-version=v1.1 MTSPSITVMTLNLQEGDQPSGSPNSWENRRDICVSVITSYCPTILCTQQGFRCQLEYLQQCLPGYEQFGISRKGSEDTSDEYCTILYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G054500.2.p pacid=40042251 transcript=Brasy3G054500.2 locus=Brasy3G054500 ID=Brasy3G054500.2.v1.1 annot-version=v1.1 MTSPSITVMTLNLQEGDQPSGSPNSWENRRDICVSVITSYCPTILCTQQGYEQFGISRKGSEDTSDEYCTILYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G054500.8.p pacid=40042252 transcript=Brasy3G054500.8 locus=Brasy3G054500 ID=Brasy3G054500.8.v1.1 annot-version=v1.1 MSRSLHRVRVMSNLAFQEKAQKTPVMNTAQYYMKKRRWSLRKVVPFGYQNLLQCLEAYHGEQLHPALLHGQFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G054500.3.p pacid=40042253 transcript=Brasy3G054500.3 locus=Brasy3G054500 ID=Brasy3G054500.3.v1.1 annot-version=v1.1 MSNLAFQEKAQKTPVMNTAQYYMKKRRWSLRKVVPFGYQNLLQCLEAYHGEQLHPALLHGQFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G054500.4.p pacid=40042254 transcript=Brasy3G054500.4 locus=Brasy3G054500 ID=Brasy3G054500.4.v1.1 annot-version=v1.1 MSNLAFQEKAQKTPVMNTAQYYMKKRRWSLRKVVPFGYQNLLQCLEAYHGEQLHPALLHGQFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G054500.6.p pacid=40042255 transcript=Brasy3G054500.6 locus=Brasy3G054500 ID=Brasy3G054500.6.v1.1 annot-version=v1.1 MTSPSITVMTLNLQEGDQPSGSPNSWENRRDICVSVITSYCPTILCTQQGFRCQLEYLQQCLPGYEQFGISRKGSEDTSDEYCTILYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGLLANQWKIILL* >Brasy3G054500.7.p pacid=40042256 transcript=Brasy3G054500.7 locus=Brasy3G054500 ID=Brasy3G054500.7.v1.1 annot-version=v1.1 MTSPSITVMTLNLQEGDQPSGSPNSWENRRDICVSVITSYCPTILCTQQGYEQFGISRKGSEDTSDEYCTILYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGLLANQWKIILL* >Brasy3G054500.5.p pacid=40042257 transcript=Brasy3G054500.5 locus=Brasy3G054500 ID=Brasy3G054500.5.v1.1 annot-version=v1.1 MGSNCTLHCYMGNTFRLKRVEPPGFSFQIVNTNLDVDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGYKGEKQGALEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTPS* >Brasy3G209500.1.p pacid=40042258 transcript=Brasy3G209500.1 locus=Brasy3G209500 ID=Brasy3G209500.1.v1.1 annot-version=v1.1 MAMAPRAALLLAVLALTVLASTASARGGGYGHGTCPKDGLKLKACVDVLGLLKVKVNVPRYEPCCSLLDGLVGLDAALCLCTRLTADVLGLVQLDLPIDLRLLLNNCGKVCPDDFRCPGHY* >Brasy3G136600.1.p pacid=40042259 transcript=Brasy3G136600.1 locus=Brasy3G136600 ID=Brasy3G136600.1.v1.1 annot-version=v1.1 MADIQLGCHTVRSHGAKVARLHMYDWIILVFLGVVDGLLNIIEPFHRFVGRDMMTDLSYPLKGNTIPFWAVPLFAIVLPWVIFAGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPNYDNITSNVICHGEKSVIKEGHKSFPSGHSSGSFAGLGFLAWYLAGKLAAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAYFQQLAETQSNGIANSYGMRPTGVEPVDEGHGAIALRDTSPILDEMESGRRL* >Brasy3G136600.2.p pacid=40042260 transcript=Brasy3G136600.2 locus=Brasy3G136600 ID=Brasy3G136600.2.v1.1 annot-version=v1.1 MADIQLGCHTVRSHGAKVARLHMYDWIILVFLGVVDGLLNIIEPFHRFVGRDMMTDLSYPLKGNTIPFWAVPLFAIVLPWVIFAGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPNYDNITSNVICHGEKSVIKEGHKSFPSGHSSGSFAGLGFLAWYLAGKLAAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAYFQQLAETQSNGIANSYGMRPTGVEPVDEGHGAIALRDTSPILDEMESGRRL* >Brasy3G061000.1.p pacid=40042261 transcript=Brasy3G061000.1 locus=Brasy3G061000 ID=Brasy3G061000.1.v1.1 annot-version=v1.1 MERNGGKQQQQQHQAAAGPGRGAWRDGAVTYFHLAFYVVISGGQIFFNKWVLSSKEINFPYPVALTLMHMVFSSVVCFAATKIFKVIKIEEGMTTDVYVSSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGTAFGLEEMNFKMLAIMSVISVGVVVASVGEITISWIGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDASVTWNFPPVTLFLNCMCTFILNLSVFLVISRTSALTARVTGVVRDWSVVLVSAAIFADTKLTFINIVGYVIAIAGVLAYNNHKLGVKPQANQQQGVDSKVNAGSPQHVEIPLNSTKEAS* >Brasy3G230300.1.p pacid=40042262 transcript=Brasy3G230300.1 locus=Brasy3G230300 ID=Brasy3G230300.1.v1.1 annot-version=v1.1 MELGAAMTLLFVSLITLVILVSLLSRKSSTGRSEKKKRRPPGPWRLPLIGNLLHLLTSQPQAALRDLARKHGPVISLRLGQVDAVVISSPAAAQEVLRDKDLTFASRPSLLAADIILYGNMDIAFALYGAYWRTLRKPCMIELLGAHKVRQLAPVRDGETLSLVRKVGGHGGGGGGEPVNLGLLLSSCSIAITGKATFGELFGGELQERYMSVIDVATRYGGGFSAGDLFPSLRFVDLDAIFDKVIADCKAQREEKKKMKMTAMANTGDEDLLSILLRNKEEATLETPISTTSIKAILFDMLTGGTETTSTAAEWIMSELIRNPVAMAKAQAEVRQALDGMSPRDHEGHMDKLRYTRMVIKEGLRLHPVLPLLLPRLCRDTCEVGGYEVAKGTKVIVNAWAMARSPEHWGDADEFRPERFSFDDGNGSSATADYKGSRFEYLPFGSGRRMCPGDTFGLAVLELMVARLLYYFDWSLPGGMRPDELDMDMIVGSTARRRNHLHLVASPCREIPPEI* >Brasy3G138800.1.p pacid=40042263 transcript=Brasy3G138800.1 locus=Brasy3G138800 ID=Brasy3G138800.1.v1.1 annot-version=v1.1 MFDDDDDADPHFTAVDKYHFENGMQEPVSLSVLPLKFDEIDEVACLDSTKVDLHGFADNGLHTVHAKVVAWRVGFDYEQPKITVLSSEGYWIELLKPRKCYEKTVRSVLISVQMLHFVKKWPRKENTSLLNHLCEVFNKFDIKPSKRDLKKQHSIIKFFAERDPTLMKSKILQRIIENTPRKTKKPILKGVGATERLVASSSDDEEDLDNDDDDDDYNEKDDNSDDDSSCNNDYNDGDDNSNDDSSCKDDDSDDDGDIDKDDDSDDDGDIDKDDDTGTDNLCAMCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G138800.2.p pacid=40042264 transcript=Brasy3G138800.2 locus=Brasy3G138800 ID=Brasy3G138800.2.v1.1 annot-version=v1.1 MFDDDDDADPHFTAVDKYHFENGMQEPVSLSVLPLKFDEIDEVACLDSTKVDLHGFADNGLHTVHAKVVAWRVGFDYEQPKITVLSSEGYWIELLKPRKCYEKTVRSVLISVQMLHFVKKWPRKENTSLLNHLCEVFNKFDIKPSKRDLKKQHSIIKFFAERDPTLMKSKILQRIIENTPRKTKKGVGATERLVASSSDDEEDLDNDDDDDDYNEKDDNSDDDSSCNNDYNDGDDNSNDDSSCKDDDSDDDGDIDKDDDSDDDGDIDKDDDTGTDNLCAMCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G138800.5.p pacid=40042265 transcript=Brasy3G138800.5 locus=Brasy3G138800 ID=Brasy3G138800.5.v1.1 annot-version=v1.1 MFDDDDDADPHFTAVDKYHFENGMQEPVSLSVLPLKFDEIDEVACLDSTKVDLHGFADNGLHTVHAKVVAWRVGFDYEQPKITVLSSEGYWIELLKPRKCYEKTVRSVLISVQMLHFVKKWPRKENTSLLNHLCEVFNKFDIKPSKRDLKKQHSIIKFFAERDPTLMKSKILQRIIENTPRKTKKGVGATERLVASSSDDEEDLDNDDDDDDYNEKDDNSDDDSSCNNDYNDGDDNSNDDSSCKDDDSDDDGDIDKDDDSDDDGDIDKDDDTGTDNLCAMCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G138800.3.p pacid=40042266 transcript=Brasy3G138800.3 locus=Brasy3G138800 ID=Brasy3G138800.3.v1.1 annot-version=v1.1 MLHFVKKWPRKENTSLLNHLCEVFNKFDIKPSKRDLKKQHSIIKFFAERDPTLMKSKILQRIIENTPRKTKKPILKGVGATERLVASSSDDEEDLDNDDDDDDYNEKDDNSDDDSSCNNDYNDGDDNSNDDSSCKDDDSDDDGDIDKDDDSDDDGDIDKDDDTGTDNLCAMCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G138800.4.p pacid=40042267 transcript=Brasy3G138800.4 locus=Brasy3G138800 ID=Brasy3G138800.4.v1.1 annot-version=v1.1 MLHFVKKWPRKENTSLLNHLCEVFNKFDIKPSKRDLKKQHSIIKFFAERDPTLMKSKILQRIIENTPRKTKKGVGATERLVASSSDDEEDLDNDDDDDDYNEKDDNSDDDSSCNNDYNDGDDNSNDDSSCKDDDSDDDGDIDKDDDSDDDGDIDKDDDTGTDNLCAMCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G138800.6.p pacid=40042268 transcript=Brasy3G138800.6 locus=Brasy3G138800 ID=Brasy3G138800.6.v1.1 annot-version=v1.1 MCDNGGKLLSCEGRCKRAFHPREKDGRESNCETLGFSSAQLQEIGHYLCKNCEFQQHQCFKCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPHDNDGACELEKRIAAGMAFTCPAHWCSKCGMMEDHTEIPLWLAVCRRCPISYHKKCLPRNISFEEFEEKDGSTSIRAWHLDDRIIIYCRQHEIEDKIGTPRRDHIKLPYIKDSDTIGTHCMDHMKLPSITESTRDLAKKKAKVTGKRKMNTDQGSTGTEKLSNKISQEKAGQIQGVVIKNDTVHLNQGHHDELDKLTVDEQAKGDENNAKSGKEREMHRGENAYGHDSPGVKSNTSGLTVGGLTSGHIDDPTPEKKLQIAYVKTSTSNRINSSQDSGWDGEKQVDGSDACQQGPKIPHCNDNSKATEIDTSVDKSRARRGQEEQASDGNMLDLDTNRKKFHKKNGDVRHKVERPQRICDIKNPRSKSLPQHTDDQRASKSSEYIGREGRGSSRGEWRDSTRVNKHNSLSGRSPQKRSRASSPQRRRMDYPRINNQHRYEQGRRDDYSSDGDFGRRRLSPQQPAFSRDEFGTMPSPPSYRGRAEYGTSERRCSPSYLRRAEEYERPPSYLRRAEEYERRGSPSYRRRPEGATSRMDDSSLYALGSDYAMDRTSVPVDLDYGDYHAMGIARVDRHSAYGARTDTGWGENAALNGGGFSDYGLRSDYPPRLRSDSPPRLRSDSPPRNGLKLGDWALTARSVTDKYAPQLDQTNHYPIRGQGGLSDVDFQHGHQNSYTYRLF* >Brasy3G153300.1.p pacid=40042269 transcript=Brasy3G153300.1 locus=Brasy3G153300 ID=Brasy3G153300.1.v1.1 annot-version=v1.1 MAQILLHGNLHVTIFEASSLSNPRASGGAPKFLRKFVEGIEDTVGVGKGASKLYATIDLEKARVGRTRMLSNESVNPRWYESFHIYCAHLAADVIFTVKADNAIGATLIGRAYLPVQELLDGEEIDRWLEVRDENREPVGESKIHVKLQYFDISKDRNWSRGVRSSKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKSYEPGRCWEDIFDAISNAQHLIYITGWSVHTEITLIRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFQGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRILSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGTASITKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKNILVQLRDLSEIIIPPSPVMFPEDRDTWNVQLFRSIDGGAAFGFPDTPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEEIGALHVIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMDMMYTDIVQALQAKGIEANPKEYLTFFCLGNREVKQDGEYEPQEQPEPDTDYVRAQEARRFMIYVHTKMIIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQHPESPECVQKVNKIADKYWDIYSSDDLQQDLPGHLLTYPIGVSSDGVVTELPGMEFFPDTRARVLGAKSDYLPPILTT* >Brasy3G105600.1.p pacid=40042270 transcript=Brasy3G105600.1 locus=Brasy3G105600 ID=Brasy3G105600.1.v1.1 annot-version=v1.1 MSGFWLDKGIQDHLNVWLTLILVFSSFVAHLLLALLFGIRRRENSGLWRNLVWFAYQVTDKAPKAALGKLFLDSPSSKQQLFAFWVPFLLLHLGLPDTISAYSLEDNVLSGRQVFDISADLFGAIYGAYKQKFMDGDWVLGSAFWIMFFLGFCKYLERAVALWQGGFARIRSANEKKLLRRFSDQYKSEKLDNDDALLDAHGLLGITMAAFADYSVKLKDDTSKEGQKKKDFRTSYPYWYDVVNVVEMELSLMYDIMYTKAAVIHTCKRGYKEVDIGITYLLLVGTLLLDVRWLQGALGSTWAYAFLKDTECNLLKRTLCSQCGKWYRLRGFLISLDPFQLYLSRVCPKGSYRMWSRTVGQHNLLHWCTHDGTPLNKIGWKGDSRSAGVGSLLEELCKDILKKMPIPEEKKKPAEEKRKPAEADEAYKRRRVFGDARLFGPEFDELVIAWHIATDVFLLCMPGSEELPNYRKIKTMSDYMMFLVAERPEMLPGLRLHSNYENTRFALKSIWKDDNSSLSSYKQKEKKLARDLCHLQHVHSNDLHKRNTILSEAIEYAKVLRLLCLRKNSSERSKNWSELRKEVPSMKEESEKRLLFLVPDLLEFAIEEYSELGWWTLEHVLNHFILKSWVRLIIFASTRCNRDSHAKQISCGVFESARESMAAFKKWRVGGVRLQKESILGRKRKTKLPDGPSTP* >Brasy3G050200.1.p pacid=40042271 transcript=Brasy3G050200.1 locus=Brasy3G050200 ID=Brasy3G050200.1.v1.1 annot-version=v1.1 MQGKLPLQFLKDITSNFSPEQELGRGGFSVVYKGVLPFGNVIAVKRLNAMPGTHDEQFEAEVNVLMKLKHKNIVDLLGYCDGRQEKGHNGAEMHEKLLCYEYLPKGSLAKIISEVVYSAGESGTFQWNDRFRIIKGICNGLHYLHEDWGSTPIVHLDLKPSNILLDDDLVPKIADFGLSRLFGEEQTKICTMNVIGSIGYIASEYYHTGEISVKSDIFSLGILIFKIVTGMENHPVHSDLSGTHFLENIRENWTEKSQIASKFPSLETCGLQQVTKCIK* >Brasy3G020300.1.p pacid=40042272 transcript=Brasy3G020300.1 locus=Brasy3G020300 ID=Brasy3G020300.1.v1.1 annot-version=v1.1 MSDGPRPCQASPRRSVLRVSIAKLVPSVSVPPPSFGVLLYLSAVPASTGSSSSAEREPSVLLRHRGRPLAGRLSPPLPCFRGLPSSCTLDPRLPPCAMAGRGRIRPPSAPQMPDPAFLGPAVAGSG* >Brasy3G335500.1.p pacid=40042273 transcript=Brasy3G335500.1 locus=Brasy3G335500 ID=Brasy3G335500.1.v1.1 annot-version=v1.1 MKHIFKIIAVLVATSAVWIAFLETSMVPRSYTWLIIY* >Brasy3G027300.1.p pacid=40042274 transcript=Brasy3G027300.1 locus=Brasy3G027300 ID=Brasy3G027300.1.v1.1 annot-version=v1.1 MSPALKLLLLPHLLLLASTACSQALPFSNGTDLNALLAFKAGINRHSDALASWNTNIDLCKWRGVICSHWHKQRVSALNLSSAGLIGYISPSVGNLTYLTSLDLSYNLLHGEIPQTIGQLTQMSYLDLSNNSLQGEMPWTIGRLSQLTYLYLSNNSLHGEITHGLRNCTRLVSIKLDLNNLSREIPDWLGGLSRIETISIGKNSFTGSMPSSLGNLSSLLRLYLNENQLSGPIPESLGRLGNLESLALEVNHLSGNIPRTLFNISSLTHIGMQMNELQGTLPSNMGNGLRKIRYLILALNHFTGRIPASIANATTIKSMDLSGNNLTGIVPPEIGTLCPNFLMLNGNQLQANTVQDWGFITLLTNCTSLRWITLQNNRFSGELPSSIANLSRELVALDIRYNEISGKIPVGIGSFPKLFKLGLSSNQFTGPIPDSIGRLKMLQFLALENNLITGMMPSSLGNLTQLQHLSVDNNMLEGPIPPSIGNLQRLVSATFSNNALSGPLPGDIFSLSSLSYILDLSRNHFSSSLPSQVSGLTKLTYLYIHGNNLSGVLPAGLSNCQSLMELRLDGNYFNGVIPSSMSKMRGLVLLNLTKNRLTGAIPQELGLMTGLQELYLAHNNLSAQIPETFESMNSLYRLEVSFNQLDGRVPEHGVFTNLTGFIFYGNDKLCGGIQDLHLPPCPTKTMGHTQRITQLIRNAVIPAAIVVFVCFIMVLGFFSLKKFKNKLTLTSIRTALVTPSLMGDMYPRVSYSKLYHATNGFTTNNLVGTGRYGCVYKGRMMLKKSVSTVAVKVFDLEQSGSSESFVAECKALGKIRHRNLIGVITCCSCSDFNQNDFKAIVLDFMPHGGLDKWLHSEIYGSNPIKILTLVQRLSIASDIAAALDYLHNNCQPAIVHCDFKPSNILLGEDMVAHVGDFGLAKILTDPEGKQLINSKSSIAGTIGYVAAEYGQGGQISPSGDVYSFGIVLLEMFTGKAPTHGMFTDGLTLLEYAKKAYPAQLMEIIDPLLLSVEKIQGDLNSIMYSVTRLALACSRKRPTERLSMRDVVAEMHRIMARYAAEATSESSSE* >Brasy3G027300.2.p pacid=40042275 transcript=Brasy3G027300.2 locus=Brasy3G027300 ID=Brasy3G027300.2.v1.1 annot-version=v1.1 MSPALKLLLLPHLLLLASTACSQALPFSNGTDLNALLAFKAGINRHSDALASWNTNIDLCKWRGVICSHWHKQRVSALNLSSAGLIGYISPSVGNLTYLTSLDLSYNLLHGEIPQTIGQLTQMSYLDLSNNSLQGEMPWTIGRLSQLTYLYLSNNSLHGEITHGLRNCTRLVSIKLDLNNLSREIPDWLGGLSRIETISIGKNSFTGSMPSSLGNLSSLLRLYLNENQLSGPIPESLGRLGNLESLALEVNHLSGNIPRTLFNISSLTHIGMQMNELQGTLPSNMGNGLRKIRYLILALNHFTGRIPASIANATTIKSMDLSGNNLTGIVPPEIGTLCPNFLMLNGNQLQANTVQDWGFITLLTNCTSLRWITLQNNRFSGELPSSIANLSRELVALDIRYNEISGKIPVGIGSFPKLFKLGLSSNQFTGPIPDSIGRLKMLQFLALENNLITGMMPSSLGNLTQLQHLSVDNNMLEGPIPPSIGNLQRLVSATFSNNALSGPLPGDIFSLSSLSYILDLSRNHFSSSLPSQVSGLTKLTYLYIHGNNLSGVLPAGLSNCQSLMELRLDGNYFNGVIPSSMSKMRGLVLLNLTKNRLTGAIPQELGLMTGLQELYLAHNNLSAQIPETFESMNSLYRLEVSFNQLDGRVPEHGVFTNLTGFIFYGNDKLCGGIQDLHLPPCPTKTMGHTQRITQLIRNAVIPAAIVVFVCFIMVLGFFSLKKFKNKLTLTSIRTALVTPSLMGDMYPRVSYSKLYHATNGFTTNNLVGTGRYGCVYKGRMMLKKSVSTVAVKVFDLEQSGSSESFVAECKALGKIRHRNLIGVITCCSCSDFNQNDFKAIVLDFMPHGGLDKWLHSEIYGSNPIKILTLVQRLSIASDIAAALDYLHNNCQPAIVHCDFKPSNILLGEDMVAHVGDFGLAKILTDPEEYGQGGQISPSGDVYSFGIVLLEMFTGKAPTHGMFTDGLTLLEYAKKAYPAQLMEIIDPLLLSVEKIQGDLNSIMYSVTRLALACSRKRPTERLSMRDVVAEMHRIMARYAAEATSESSSE* >Brasy3G209600.1.p pacid=40042276 transcript=Brasy3G209600.1 locus=Brasy3G209600 ID=Brasy3G209600.1.v1.1 annot-version=v1.1 MAMAPRAMLLLAVSLLAMASAASAHGGYGTCPKDGLKLKACVDVLGLLKVRVNVPRDEACCSLLNGLAGVDAAACLCTRLTTDVLGLVKLDLPVDLRLLLNNCGKVCPDDFRCPHRH* >Brasy3G323100.1.p pacid=40042277 transcript=Brasy3G323100.1 locus=Brasy3G323100 ID=Brasy3G323100.1.v1.1 annot-version=v1.1 MCTPTKQLACTVDSNSPMTRSRKKQLGLDATMRKVSEVAAKPKATKKTARKMDVKKAKK* >Brasy3G158000.1.p pacid=40042278 transcript=Brasy3G158000.1 locus=Brasy3G158000 ID=Brasy3G158000.1.v1.1 annot-version=v1.1 MLGLLASRFALPPPRASASAAAGNISLADGSVCALLRPQPDEADTVAPESAHKWRMVIAYDGTKFKAILLSHGLANKLWTEKIRKFLTVDSQRLNKLISSQKASMTPAVIDPGIEKSMLRDGRARNVMKINTIMPMEPYWGWQYQPSPPTIQCFLEKALIHITKLDRKELCLVGAGRTDTGVHARGQVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G158000.2.p pacid=40042279 transcript=Brasy3G158000.2 locus=Brasy3G158000 ID=Brasy3G158000.2.v1.1 annot-version=v1.1 MLGLLASRFALPPPRASASAAAGNISLADGSVCALLRPQPDEADTVAPESAHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLEKALIHITKLDRKELCLVGAGRTDTGVHARGQVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G158000.3.p pacid=40042280 transcript=Brasy3G158000.3 locus=Brasy3G158000 ID=Brasy3G158000.3.v1.1 annot-version=v1.1 MTPAVIDPGIEKSMLRDGRARNVMKINTIMPMEPYWGWQYQPSPPTIQCFLEKALIHITKLDRKELCLVGAGRTDTGVHARGQVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G158000.5.p pacid=40042281 transcript=Brasy3G158000.5 locus=Brasy3G158000 ID=Brasy3G158000.5.v1.1 annot-version=v1.1 MTPAVIDPGIEKSMLRDGRARNVMKINTIMPMEPYWGWQYQPSPPTIQCFLEKALIHITKLDRKELCLVGAGRTDTGVHARGQVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G158000.6.p pacid=40042282 transcript=Brasy3G158000.6 locus=Brasy3G158000 ID=Brasy3G158000.6.v1.1 annot-version=v1.1 MHGVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G158000.4.p pacid=40042283 transcript=Brasy3G158000.4 locus=Brasy3G158000 ID=Brasy3G158000.4.v1.1 annot-version=v1.1 MHGVAHFTTPFTYRCLDSFHSAINGLLPPDIRVREISAARPEFHARTSTKSKIYHYKIYNEAVMDPFHNNYAYHSAHRLNSHAMREAAKHFVGEHDFTSFANAVHNDRVRSPIKKILRFDVTEMDAIIQLEVEGTGFLYRQVRNMAALLIQVGREALPPEIVPKIIEAKDRKELAKVAFLAPPHGLYLMSVNYDREILKPPEDSPPISFGRTHQISRCKLLFY* >Brasy3G283100.1.p pacid=40042284 transcript=Brasy3G283100.1 locus=Brasy3G283100 ID=Brasy3G283100.1.v1.1 annot-version=v1.1 MASSGEEGRVVVDLRSAAESAGDEDAHATPLHEIESLCMRCGENGTTRILLTLIPHFREVVLMAFECPHCSERNNEVQFAGQLQPKGCCYRLEVPSGKSEILNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGCIMRAVDELQALQDERKKVDPQKAEAIDKFLVKLKSLGSGEVAFTFILDDPSGNSFIENPHAPSSDPLLSLRFYERTSEQQAALGFLAEPTKEPGESSGDASTVEANSGGLQRMPHGSVGAVAGRRAIAQGNSDEVTAALCRYSAPEEVDTLPSTCGACATECVTRFFATKIPYFREVIVMATTCDVCGYRNSELKPGGEIPAKGKKTTLRVQNAKDLSRDVIKSDSAAVQVPELDLELSSGTLGGMVTTVEGLIVKICEALERVHGFQLGDSTHEWKKKKWDGFTERLSKLLNLQEPWTLIIDDALAASFVAPATDSIEDDHQLTIEEYERSWEQNEELGLNDMDTSSADMAYNTTTT* >Brasy3G118600.1.p pacid=40042285 transcript=Brasy3G118600.1 locus=Brasy3G118600 ID=Brasy3G118600.1.v1.1 annot-version=v1.1 MLRRASQLLRTTPTVAVLSRRLPQPQRHPLPTPSARLLPAPRLASYFYATQAAAAPAARAPKAQRPLRTVGSLLRLNDLRDNPGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKDTSAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFAKKGRLIPKAARPPPKQRDRTDSIGRLPAPTKPLPFTPEELEFAAKREAAKVIAA* >Brasy3G135900.1.p pacid=40042286 transcript=Brasy3G135900.1 locus=Brasy3G135900 ID=Brasy3G135900.1.v1.1 annot-version=v1.1 MKPIRVEPARPTKLATHVAQHRRPNHAKWRPHLQRLPPQSFPRPPPRHPTRPSGAAAPASTCPDDKDRSAASSPCKPQG* >Brasy3G068400.1.p pacid=40042287 transcript=Brasy3G068400.1 locus=Brasy3G068400 ID=Brasy3G068400.1.v1.1 annot-version=v1.1 MADFAVAYLIYICLFVHYNYQGKKKKLLAVPIVISIAATLAILSLVYILLTWCKRSKTKTPSTMFMPGHPSISYSQLAKATDSFSPTNLLGSGSFGSVYKGELDGQDSESRNLVAVKVLKLQTPGALKSFIAECEALRNMRHQNLVKIVTACASIDSRGNDFKAIVYDFMPNGSLEGWLHPDANDELTEQRYLDLAERVTILLDVAYALDYLHSDGPVPVVHCDLKSSNVLLDADMVAHVGDFGLAKIIVDGSLIVQQSVSSMGFRGTIGYAAPEYGAGNVVSTNGDIYRNELQGAGEGNSSHKRKTDCLIALLRLGLSCSEESPSSRMPTGDIIKELLVIKGSIL* >Brasy3G064100.1.p pacid=40042288 transcript=Brasy3G064100.1 locus=Brasy3G064100 ID=Brasy3G064100.1.v1.1 annot-version=v1.1 MASGDRLAAAGGAPPSPPPPPLPPTPSRPPPTTESHAAPLLLPAGADAGGPLGRWLRRLEAFLSLSGLSASTPLGVAFAASALAVAGLALPAAALGLSPCRGTRDRARACEEFEVEVFEVCVMTSQAAAAAVAVACVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYVARIQDFFRTLMWWILPCFAVKLTREIFRFSHMYHESVWRACVVLFASIMSWMYLTTIVLSSCMLFNLVCNLQVIHFDDYGKVLEQDADPLFYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILYKTTAYNGPINFTNGGDIAVSSVVQVVGLVLCLHGAAKISHRAQNIASIASRWHALATCSSDSTYVSTPNSSGNLVPFPAHMFLRDYSESDLESLENSSTQGNSHNTAQLASYMSSYHKRESLVLYLLTNPGGITIFGWIVDRTFLNTILMFELTLVLFVLSKTIVIPTKSLVHSFLSFQ* >Brasy3G064100.2.p pacid=40042289 transcript=Brasy3G064100.2 locus=Brasy3G064100 ID=Brasy3G064100.2.v1.1 annot-version=v1.1 MASGDRLAAAGGAPPSPPPPPLPPTPSRPPPTTESHAAPLLLPAGADAGGPLGRWLRRLEAFLSLSGLSASTPLGVAFAASALAVAGLALPAAALGLSPCRGTRDRARACEEFEVEVFEVCVMTSQAAAAAVAVACVSRKMAMYGLRKFLFVDPDLGMRIRFQKEYVARIQDFFRTLMWWILPCFAVKLTREIFRFSHMYHESVWRACVVLFASIMSWMYLTTIVLSSCMLFNLVCNLQVIHFDDYGKVLEQDADPLFYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILYKTTAYNGPINFTNGGDIAVSSVVQVVGLVLCLHGAAKISHRAQNIASIASRWHALATCSSDSTYVSTPNSSGNLVPFPAHMFLRDYSESDLESLENSSTQGNSHNTAQLASYMSSYHKRESLVLYLLTNPGGITIFGWIVDRTFLNTILMFELTLVLFVLSKTIVIPTKSLVHSFLSFQ* >Brasy3G032800.1.p pacid=40042290 transcript=Brasy3G032800.1 locus=Brasy3G032800 ID=Brasy3G032800.1.v1.1 annot-version=v1.1 MLEKQWHLPFEDDDEEDDDAVGSAAVVVARSGVSARERRMSGRRRGRSSSKAKSGGGAQLGIVSPLLMQSRNRIYLRGSVSKELLTHKQVVQLSKKIKDGIWLQQQRSKLKEKLGNEPSYKQMAQSLRISTPELRSRMRESFLAREVLTMSNLRLVISIAQKYDKLGVELPDLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALADNSKTFRLPTYLHERLIAIRGAKYALEDQGISPTTEKIAESLNISEKKVHNATDAVNKVLSLDRQAFPSLNGLPGDTLHSYIEDQNVANDPWHGFEQWYLKEEVNKLLNSTLTERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMQKLKHAARRKKLDALLEDY* >Brasy3G024400.1.p pacid=40042291 transcript=Brasy3G024400.1 locus=Brasy3G024400 ID=Brasy3G024400.1.v1.1 annot-version=v1.1 MMHHKGEREQPAIWGSTRHEEKARRMDRKEGMQRSHPLIDYSSKQILPSLIHFCSSNWLILD* >Brasy3G026200.1.p pacid=40042292 transcript=Brasy3G026200.1 locus=Brasy3G026200 ID=Brasy3G026200.1.v1.1 annot-version=v1.1 MEMNSSGEETVIKVRKPYKITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGEAHDIDIPPPRPKRKPNCPYPRKGCLSSDTPTREAPNDKSTKSNISLTNSNAQTAGNATLQKLQGKESSSCSEVLNLFRDAPSASFSSVNKSTSNHGASMEIEATKTEIKDMTTMDGTSVSIDMEKDVKDINDQEMERLNRIRISAKYDHLHDNCLDNSRQHVKLKPKSVEITHTDQHPARASHYQMDRTGATGIPVIGTEGSPADQTNDQVGVAKGNMNCLHPTLHVDPKFDSSSTAQPLTHNHAAFAPMMQCNQDAYKSSVNMSSAFSSMLVSTLLSNPAVHAAARLAASYWSSADSSVDPNQESPDDNAQGRHIGSPPSMASIVAATVAAASAWWATQGLLPLFAPPMAFPFVPVPSASFPTADVQRASENFPVDCTPKECQLAQKQDQPEAVMVVASSQSDESGNGEVSLHTEIKISLTDKAETTPATGADTSDAFGNKKKQDRSSCGSNTPSSSDVEAEHVPEKQDQANDKEQQASCNNPSAGDTNHRRFRSIASTSESWKEVSEEGRMAFDALFSRGKLPQSFSPPQAEGSKAVSKEEQGEATTVTVDLNECASIIDHEHDTSVGPITSLPIELSHLNMKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLDSEAST* >Brasy3G348100.1.p pacid=40042293 transcript=Brasy3G348100.1 locus=Brasy3G348100 ID=Brasy3G348100.1.v1.1 annot-version=v1.1 MAEAASGNGATAAAVTVTGTRTVAPSKRKPTTLATFDLPYITFYYNQKLLLYRLPSAVDFHDAAARMAASLADALALFHPLAGRILLQDGGDDKDALSGVLAVDGEEGAEVTEAAAEGVSVAELAGEDCAEELMQRLVPYTGVMNLEGLRRPLLAVQLTKLKDGMAVGCAFNHAVLDGTATWHFMTSWAELCRGLASPSVLPVHDRSAARSTKVRLTLPPSAAAHEATDPNGPKPPLVARVFSFPASTVARIKAQANSSLPPGTTKPFSTFQSLGAHVWRAVSKARGLGPSDITVFAVFADCRARLSPPVPASYFGNLIQAVFTGIPAGMLLGSPPQLAAGMLQKAIDEHDDAAVTRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYGVDFGFGRPERVRSGGNNKFDGMVYLYPGRGEEGDGGIDVELALQPEPMKRLQEDHGFLNVAAADA* >Brasy3G280000.1.p pacid=40042294 transcript=Brasy3G280000.1 locus=Brasy3G280000 ID=Brasy3G280000.1.v1.1 annot-version=v1.1 MARGGAGAVVAAAVAALWWLQLQARGTGAVWLELPTTASSSRCLSEEIQSNVVVMGDYAILFEEQPTRPMVSAKVTSPYGNVLHRSSRVTQGQFAFTTAEAGMYQACFSADTTDMVINLNLDWKLGIAAKDWDALAKKEKLEGVALELVKLESAVQAIRENLLLLRYREEAMAEISEWTNLKVTLLSLMSLVVCIIVSYAQLWYLKQFFRKKKLI* >Brasy3G063800.1.p pacid=40042295 transcript=Brasy3G063800.1 locus=Brasy3G063800 ID=Brasy3G063800.1.v1.1 annot-version=v1.1 MASTNESSCVTQKVLGKHRFQICQFSYSNVSGNDYIRSGTFRVGGFDWAIVYCPDADVEDGEGYISVFLELMSKYAEVSVLVDLRLIDQVTGKPCTICGTKNFPNQFNSRSFDEAVWGREKFFSKAALKDSVYIRDNCLIIECAVVVISELRVSENKAVCEIEVPPSDALEHFGNMLKDTSGADVTFKVYGKTFLAHRVVLAARSPVFKEQLSKPMKETKMKHITIDRMEPAVFEYMLHFIYTDSLPRMDDLDRSEKNDVFQHLLVAVDQYGLERLKLMSERFLCMNIDMGNVTGLLCLAEHRNCQKLKEACVDFMVPLERVDAVVASRDYEVMKMVSPSPLADVWEKRSRVVRESLF* >Brasy3G219900.1.p pacid=40042296 transcript=Brasy3G219900.1 locus=Brasy3G219900 ID=Brasy3G219900.1.v1.1 annot-version=v1.1 MQAPPLAALAGGAWASHRPAILAAPAGLRRSRRGALRLPAWRAAGGGRSPRVPAKGAVLASDMGADEVVGPSPLLASRSEQELVLRVRKEVEKGKLPADVAHNFEDLYYNYKNAVLQNGDPNAYQILLSNMTDLFDRVLLDVENPFTFQPYHKAIREPFDYFTFGQNYIRPLVDFRNSYVGNISVFDDMEKKLRQGHNVVLMSNHQTEADPAVIALSLERTNPWISENIVYVAGDRVLTDPLCKPFSMGRNLICVYSKKHMNDFPELIDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPSTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEIMPPPQQIEKEIGEQRVIAFHGVGLSAAEELKYGDVTAQTQNADEAREKFSETLYNSIVDQYNVLRSAIFRDLGAASANPAISLSQPWR* >Brasy3G346600.1.p pacid=40042297 transcript=Brasy3G346600.1 locus=Brasy3G346600 ID=Brasy3G346600.1.v1.1 annot-version=v1.1 MAAAEDMERIFKRFDMNGDGKISLSELTEALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVF* >Brasy3G189000.1.p pacid=40042298 transcript=Brasy3G189000.1 locus=Brasy3G189000 ID=Brasy3G189000.1.v1.1 annot-version=v1.1 MTVSPLLPPSGSPFRVFVGYDPREHEAYEVCRRSLLRHATVPLDVRPVRQPDLRAAGLYWRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVAELLASAVPASSDDPAAAAERLAVVCVKHEYAPAEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPEAVSTQTGAFLHRFSWLDDDEIGEVPFAWNFLVGHNRVDPADPATQPKALHYTCGGPWFERYKDCDFADLWIKEAEGLRADKERLKALGDFQLQDGDEGDKKGD* >Brasy3G167500.1.p pacid=40042299 transcript=Brasy3G167500.1 locus=Brasy3G167500 ID=Brasy3G167500.1.v1.1 annot-version=v1.1 MVLIPLVRDYIDRMLHDISGMKVLVLDPQTVGMVSVVYSQSDLLKKEVFLVETVDDASSSKASMAHLKAVYFLRPSSENVQKLRRHLAAPRFAECHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPFHFTLNIHNNHIYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIKNNKVVLKEFANVPKDQQEVVLSAVQDDFFRANMFENFGDLGMNVKRMVDDFQHLSKSSQNFQSIGDMAKFVANYPEYRKTHGNVTKHVALVSEMSRMVEERKLMQVSQTEQELACTSGQAAAFEAVTSLLNNQNVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDADYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLEDLGEAQRISKSSTVV* >Brasy3G339400.1.p pacid=40042300 transcript=Brasy3G339400.1 locus=Brasy3G339400 ID=Brasy3G339400.1.v1.1 annot-version=v1.1 MASHFRSVSVPSSPCSSKNNVEEQLQSLNTAISSPSSTIETMCDGFRRLGDIYDCIHELTCLPSSQVLLCKAQQRIAVEQELERSLVLLDLCNAVQVSFSELKASLQDMQLVIKRQDDPALQVQIKSLFHLTKKVQKQLKKISKKSSSADLESCRVVKLLAEAREAAVTVVESSLELLSKQIVIPGSKKWLLVSKAFQKKRVTCEEEQLQVLELDLVDLESAVEILFRRLIQSRVSLLNTLSL* >Brasy3G288000.1.p pacid=40042301 transcript=Brasy3G288000.1 locus=Brasy3G288000 ID=Brasy3G288000.1.v1.1 annot-version=v1.1 MLAGLVRLGRMDDAWGLFGEMPQRNVVSYTTMVDGLARRGEVARAREVFDAMPERNLVSWAAMITGYVENGMFAEARKLFEAMPEKNVVACTAMITGYCKDGDVESARRLFDGIPVKDVISWNAMITGYVHNGHGEEAMKLHIIMFREGAKPDHATLIAILTACSALALLRQGRSTHAVATKTMLESSTSFCNSLMTMYSKCGNVGESELVFMNLKIQDIVSWNTIIAAYAQHGKYQKAIALFHEMETRGLIPNDITILSMLSACGHVGRVNDSLELFDLMSSKYAISPSAEHYACIVDILGRAGQLEKACSYIKKMPFEAERNVWGALLGASKTHGNVQLGELAAKMLVQSDSGSSGPYVMLSNIYAAAGMWGEVNRVRGQMKEKGVKKQPGYSWTEIANKVNMFVGGDASHPEMNKIISELRKISFHMQMMTDETHMVVELAQEC* >Brasy3G148700.1.p pacid=40042302 transcript=Brasy3G148700.1 locus=Brasy3G148700 ID=Brasy3G148700.1.v1.1 annot-version=v1.1 MANPSLLFLPKVLCAVAAFCACLPAPVSAIRKDTGFAAPIMCRRTVQGRHLISDDNGYVCSALSIDPWSHCCPRTGARFSCQGCKLDLQCCNSYEYCVSCCLDPSRTKEGDVLKLKVAKPITAGTYANIFDFCMGRCRHSSASVVHENAYVSEFHHCFMVQQNLSAGSTDSNYGSRLAGISVVVGRQGEPCSSVCRAKGQSCVPSRLSELNKCQILQKYMRCRSGCFPSLGPDQPAEVVDEAPTSLNPGACLYMQTDERLTCDGSHQHTRRVCPCA* >Brasy3G148700.2.p pacid=40042303 transcript=Brasy3G148700.2 locus=Brasy3G148700 ID=Brasy3G148700.2.v1.1 annot-version=v1.1 MANPSLLFLPKVLCAVAAFCACLPAPVSAIRKDTGFAAPIMCRRTVQGRHLISDDNGYVCSALSIDPWSHCCPRTGARFSCQGCKLDLQCCNSYEYCVSCCLDPSRTKEGDVLKLKVAKPITAGTYANIFDFCMGRCRHSSASVVHENAYVSEFHHCFMVQQNLSGSTDSNYGSRLAGISVVVGRQGEPCSSVCRAKGQSCVPSRLSELNKCQILQKYMRCRSGCFPSLGPDQPAEVVDEAPTSLNPGACLYMQTDERLTCDGSHQHTRRVCPCA* >Brasy3G148700.5.p pacid=40042304 transcript=Brasy3G148700.5 locus=Brasy3G148700 ID=Brasy3G148700.5.v1.1 annot-version=v1.1 MCRRTVQGRHLISDDNGYVCSALSIDPWSHCCPRTGARFSCQGCKLDLQCCNSYEYCVSCCLDPSRTKEGDVLKLKVAKPITAGTYANIFDFCMGRCRHSSASVVHENAYVSEFHHCFMVQQNLSGSTDSNYGSRLAGISVVVGRQGEPCSSVCRAKGQSCVPSRLSELNKCQILQKYMRCRSGCFPSLGPDQPAEVVDEAPTSLNPGACLYMQTDERLTCDGSHQHTRRVCPCA* >Brasy3G148700.3.p pacid=40042305 transcript=Brasy3G148700.3 locus=Brasy3G148700 ID=Brasy3G148700.3.v1.1 annot-version=v1.1 MLCPFNRSMVTLLPKNRSTLFLGCKLDLQCCNSYEYCVSCCLDPSRTKEGDVLKLKVAKPITAGTYANIFDFCMGRCRHSSASVVHENAYVSEFHHCFMVQQNLSAGSTDSNYGSRLAGISVVVGRQGEPCSSVCRAKGQSCVPSRLSELNKCQILQKYMRCRSGCFPSLGPDQPAEVVDEAPTSLNPGACLYMQTDERLTCDGSHQHTRRVCPCA* >Brasy3G148700.4.p pacid=40042306 transcript=Brasy3G148700.4 locus=Brasy3G148700 ID=Brasy3G148700.4.v1.1 annot-version=v1.1 MLCPFNRSMVTLLPKNRSTLFLGCKLDLQCCNSYEYCVSCCLDPSRTKEGDVLKLKVAKPITAGTYANIFDFCMGRCRHSSASVVHENAYVSEFHHCFMVQQNLSGSTDSNYGSRLAGISVVVGRQGEPCSSVCRAKGQSCVPSRLSELNKCQILQKYMRCRSGCFPSLGPDQPAEVVDEAPTSLNPGACLYMQTDERLTCDGSHQHTRRVCPCA* >Brasy3G228000.1.p pacid=40042307 transcript=Brasy3G228000.1 locus=Brasy3G228000 ID=Brasy3G228000.1.v1.1 annot-version=v1.1 MDMVLFAFIMALYIELLWCLLEPERSRDCCHLQRKPQSISNNLALLFSIIDLGCFSSVIQLGFGAYGNGWVHRQFPRTDSCRRWAH* >Brasy3G124600.1.p pacid=40042308 transcript=Brasy3G124600.1 locus=Brasy3G124600 ID=Brasy3G124600.1.v1.1 annot-version=v1.1 MDYLGAYARHFGVLDCVRFGHRVLGMEYVGVSEEKLAGFQEWGGSGEAFGSGDGEWRLEVADADGHVETHDVDFVVLCIGRFSGVPNIPTFPPGRGPEAFDGQVIHSMDYSKMGSEKAKEMIKGKRVIVVGYLKSALDVAAECAETNGTEQPCTMIVRTKHWIIPDYYAWGVHISKLYLNRFSELLIHKPGEGMLLSLLATILTPLRWMFSKFAESYYSIPMKKYEMVPDHSLFEALVTCLIAITPNDHYKRLEEGSIVLEKSKTFSFCKEGVLLEGQSSPIKSDIVIFGTGFKGDQKIKDMFKSEYFQSIAVGSTSTTVPLYRECIHPKIPQLAVIGYSESLANLYTTELRVKWLTHFLDGGFKLPSIEAMQKDVLEWEKFMKRYSSQYFRRSCIGILNIWYNDQLCKDMGCNPRRKSGFFADLTEVYGPGDYANLHPK* >Brasy3G254500.1.p pacid=40042309 transcript=Brasy3G254500.1 locus=Brasy3G254500 ID=Brasy3G254500.1.v1.1 annot-version=v1.1 MGWLSKFFKGSANRVTRGHYDGNFHGGYSDQHTKSYGTPDSDNEDIDHAIALSLSEEGQTKGKAIDTTDDHLEEDEVLARALQESLNDEPPLGQNVPVEDIQSDSTPATSLQPSSGLRVCAGCKTPIGLGRFLSSLDSVWHPQCFRCLGCHRPISEYEFAVHEDHPYHRSCYKELFHPKCDVCKNFIRTNKNGLIEYRANPFWMQKYCPSHDNDGTPRCCSCERMEPNDSKYVTLDDGRKLCLECLTTSIMDTNECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQGLNEAMEAEKMGHHLPETRGLCLSEEQIVRTILKRPIIGPGNKIIDMITGPCKLVRRCEVTAILVIYALPRLLTGSILAHEMMHAYLRLKGYRILSPEVEEGICQVLAHLWLESEITSGSSSNIATTSAAAAAAAEAAAAVAATATSSTSLTSKKGAKTDFEKKLGEFFKHQVETDPSAVYGEGFRAGIRAVEHYGLRSTLDHIKLTGSFPC* >Brasy3G333300.1.p pacid=40042310 transcript=Brasy3G333300.1 locus=Brasy3G333300 ID=Brasy3G333300.1.v1.1 annot-version=v1.1 MAMDTATWISTSSEMMLYAAALLATAAAFIYAAVAHGRRHGLPPGPMGLPVVGSLLSLDPNLHTYFAGLAAKYGPVFSIRLGSKLAIVVTSPELVREVLRDNDLLFANRDVPDAARAITYGGDKDIVWNSVGPTWRMLRRVCVHEMLSPAGLEAMHGLRRREFRATLAHLHAAAMAGEPVEVGAQMFLTTLNVLTSTMWGGNVGTESERTAVGKEFRQLVSEITQMLGAPNVSDFFPALAPFDLQGIWRKSDALKARFDDIFARIIQQRVRTEEAGGKTPVDFLECMLKMEKEGGDGKAPFTMTNIKAMLMDMVVGGTETTSNTVEWAMAEMMKNKWILGKAREELDAVVGVDGVVEESHLPQLHYLHQVLKETLRLHPAVPLLVPHCPSADTTLAGHRVPAGSRVFINAWAVMRDPATWSDPTEFAPERFQGRKVDFTGGELDYVPFGSGRRICAGIPMPERMMAYSLAMLLQAFDWELLPDHRLDLTEKFGIVMKKATPLVLVPTPRLSRAELYSAAHSVLPLHA* >Brasy3G183700.1.p pacid=40042311 transcript=Brasy3G183700.1 locus=Brasy3G183700 ID=Brasy3G183700.1.v1.1 annot-version=v1.1 MQRLLPPRGSLLCRLSTAAEAASPPSHPPPSPLADSLYHRVAAVAIPRLQLTPVLEQWAFTEDRPVEKHELQAIIKKLIRLRRFSHALEMSMWMTDRRYFPLKPGDVAYRLELISKVHGIKSAVEYFGGLSKEIKKSQCYGSLLKCYAEAKFVEEAEKLFGEMQEMGMMSSYPYNAMMKLYSETGQVEKARTMYRAMEESGIKPDSFSINILLTIYTTSGDLDGIEEVLQKAKPRENLVGWHSYAIVASAFMKAGLQGKALQALQESEKRIDPKNGRVAYGFLLSMCADSGMCSEVDRIWDVYKSKVPACNSMYMCRISALLKMNDIDGAEKAFREWESRIVHHDFRLINLLINGYCAEGLMEKAEALVDEAITKGRTPYANTWYKLAAGFFKDGQVLKAVDLTRKALASATSGWEPDLTNVLMSLDHFMMQKNVEAAEEMASLLRSLVPLTRDVYHSLLKTYVHAGMPVSDLLDRMNKDGFEPDEETDRILAGLCK* >Brasy3G183700.2.p pacid=40042312 transcript=Brasy3G183700.2 locus=Brasy3G183700 ID=Brasy3G183700.2.v1.1 annot-version=v1.1 MQRLLPPRGSLLCRLSTAAEAASPPSHPPPSPLADSLYHRVAAVAIPRLQLTPVLEQWAFTEDRPVEKHELQAIIKKLIRLRRFSHALEMSMWMTDRRYFPLKPGDVAYRLELISKVHGIKSAVEYFGGLSKEIKKSQCYGSLLKCYAEAKFVEEAEKLFGEMQEMGMMSSYPYNAMMKLYSETGQVEKARTMYRAMEESGIKPDSFSINILLTIYTTSGDLDGIEEVLQKAKPRENLVGWHSYAIVASAFMKAGLQGKALQALQESEKRIDPKNGRVAYGFLLSMCADSGMCSEVDRIWDVYKSKVPACNSMYMCRISALLKMNDIDGAEKAFREWESRIVHHDFRLINLLINGYCAEGLMEKAEALVDEAITKGRTPYANTWYKLAAGFFKDGQVLKAVDLTRKALASATSGWEPDLTNVLMSLDHFMMQKNVEAAEEMASLLRSLVPLTRDVYHSLLKTYVHAGMPVSDLLDRMNKDGFEPDEETDRILAGLCK* >Brasy3G191200.1.p pacid=40042313 transcript=Brasy3G191200.1 locus=Brasy3G191200 ID=Brasy3G191200.1.v1.1 annot-version=v1.1 MGRKGSAGSATGIPFAVRFVDFPPVLKAFPRFCSLRPWSLFGAGGLFVGSDGQLHLFGIRRVDMLISLPAICCCVPDLFLCFVDCFVLPQVSFGAIVPSSPLPVLPPVIELVSFFDSDLFRPVLYICLKENAYHSASHKLSGEVS* >Brasy3G113900.1.p pacid=40042314 transcript=Brasy3G113900.1 locus=Brasy3G113900 ID=Brasy3G113900.1.v1.1 annot-version=v1.1 MEFVRRCTGVSLAWGVYIDDADVGAVQVFAEPTLGVRLLVDGTGVLRRFLRRGTSAPRSVKVRVHPWPTSPRRRSLAVCGGVHKRSTKQRRCEEASSDLGFLAFHLRLRQRGRRNRAKRVGWAWTGWAATAGPVGLGRYGLGPPLSSGRGSGTRAAFGSRPCRARRALDVASATRSDMGGRRRRLRRRGYAREEDKRRGRLTVARGRRSARAVEKRQSGEETSGGHRRSRRTWGRRRTQRKLRGTARRSEGLSSCAPARGDDSRRWRGRSIAGEAQRRRGHGGRRRARRGGAPGRCCFGRGRRMVRGVVAVLQG* >Brasy3G297300.1.p pacid=40042315 transcript=Brasy3G297300.1 locus=Brasy3G297300 ID=Brasy3G297300.1.v1.1 annot-version=v1.1 MQGRQDAAAGASIQGGGGCRPASLALSGATGSPAAMLSGSGHPPTAADPATAAAGIGDGPLQLEFMFEFWEHFGDLHLPNRRITKLQLFAGRSELESLSWREQQEEIPKL* >Brasy3G120700.1.p pacid=40042316 transcript=Brasy3G120700.1 locus=Brasy3G120700 ID=Brasy3G120700.1.v1.1 annot-version=v1.1 MAYDGGSSKPPPPPRYVMLTEEYGADSLVPPPRNGRRRTSDGNPDGGGSCCGCCCACICWCCCFLFLLVFAAAVSAAYFAYLYKPRAPSYSVSGISVSRFDVSASDLTVYAKLVATVRAENPNAMIGIGYGEGSRTAVSYRGTTLCSGTLPGYRNTTVMEIAMEGRHGFGSGLQSALEEGEKAGHVPLDVYVSVPVTLRLGNFDLRQVTVNVHCALVVDSLSPKKKPAIKSAHYWPYVEF* >Brasy3G314900.1.p pacid=40042317 transcript=Brasy3G314900.1 locus=Brasy3G314900 ID=Brasy3G314900.1.v1.1 annot-version=v1.1 MASKPGSGYLQFKHVSAGGHLWRVRCYPYRLGLGDVSVFFDLPAATSTAPAPIITAVLEAFLIGSDGSPSHGRRSVVSCSPGADPEKPPRPWGWARVAGGEKDDGRLQSREYLNGGHVTVLCGVIVLGGGCGGPAGPSAVGQLGSHLGALLDSADDHTSSDVSFLVGGETVKAHRAVLAARSSVFKAALFGPMAEAQMAHITLEDLDPDTFRSLLRFIYTDELPGDDDDNNDKDQNVLRGLRLLAAADRYGVGGLKHACAQRMALTAGTAAAALECAEMHGLAGLRKRCVDFLVAKKENEGDGGVRAALPGRSGNTARLRKKNPPRNSVIVVRELDLLKNVEIVVAEDNIPHLASEGASKEDMRPGLMRLGAKGATRSVWPAPNGEVVGETTE* >Brasy3G011900.1.p pacid=40042318 transcript=Brasy3G011900.1 locus=Brasy3G011900 ID=Brasy3G011900.1.v1.1 annot-version=v1.1 MRSPRGGGGGGGAEEGRIRPGEPAAGPDLARVGRAEEGGGAHGSARGRRRRRCEGRRRRGGGPDPAGGAGGGAGSGPGRPRGGGRRRPRQREEGRIRPGEPAAGPDPARVGRGGGPDPAGGAGGGAGSGPGRPRGGGRRRPRQREGEAAERRRRAAAPTAARGGGGGGGARGGGRWGGVWPCGAHAQLARGCSGRPGIDEEDEEETWLLVGLGLLDRSIAR* >Brasy3G214100.1.p pacid=40042319 transcript=Brasy3G214100.1 locus=Brasy3G214100 ID=Brasy3G214100.1.v1.1 annot-version=v1.1 MPIGAFVENGGGGYSGRVTPFVVLTCVVAGSGGILFGYDLGISGGLTSMDSFLKRFFPKVYRQKQDSKVSHYCQFDSELLTVFTSSLYIAGLIATLLASYVTRRYGRRASMLIGGTVFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELSISIGILIANILNYCVVKITGGWGWRISLSMAAVPAAFLTIGAIFLPDTPSFIIQRDGNTDKARALLQKMRGTTSVQNELDDLISASNLSRTTRYPFRNIFKRKYRPQLAIVLLIPFFNQLTGINVMNFYAPVMFRTIGFHESASLLLSVVTRLCATFANIVAMIVVDRFGRRKLFIVGGIQMILSQLAVGAILAAEFKDYGLMDKSCVYLVLVTMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAAQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFVFAAWICLMTLLVYLFLPETKKLPMEQMEQVWRKHWFWKKIVGEEDDKEGLENEKKQAGSVAA* >Brasy3G214100.2.p pacid=40042320 transcript=Brasy3G214100.2 locus=Brasy3G214100 ID=Brasy3G214100.2.v1.1 annot-version=v1.1 MPIGAFVENGGGGYSGRVTPFVVLTCVVAGSGGILFGYDLGISGGLTSMDSFLKRFFPKVYRQKQDSKVSHYCQFDSELLTVFTSSLYIAGLIATLLASYVTRRYGRRASMLIGGTVFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELSISIGILIANILNYCVVKITGGWGWRISLSMAAVPAAFLTIGAIFLPDTPSFIIQRDGNTDKARALLQKMRGTTSVQNELDDLISASNLSRTTRYPFRNIFKRKYRPQLAIVLLIPFFNQLTGINVMNFYAPVMFRTIGFHESASLLLSVVTRLCATFANIVAMIVVDRFGRRKLFIVGGFAWSWGPLTFLVPTEICPLEIRSAAQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFVFAAWICLMTLLVYLFLPETKKLPMEQMEQVWRKHWFWKKIVGEEDDKEGLENEKKQAGSVAA* >Brasy3G214100.3.p pacid=40042321 transcript=Brasy3G214100.3 locus=Brasy3G214100 ID=Brasy3G214100.3.v1.1 annot-version=v1.1 MPIGAFVENGGGGYSGRVTPFVVLTCVVAGSGGILFGYDLGISGGLTSMDSFLKRFFPKVYRQKQDSKVSHYCQFDSELLTVFTSSLYIAGLIATLLASYVTRRYGRRASMLIGGTVFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELSISIGILIANILNYCVVKITGGWGWRISLSMAAVPAAFLTIGAIFLPDTPSFIIQRDGNTDKARALLQKMRGTTSVQNELDDLISASNLSRTTRYPFRNIFKRKYRPQLAIVLLIPFFNQLTGINVMNFYAPVMFRTIGFHESASLLLSVVTRLCATFANIVAMIVVDRFGRRKLFIVGGFAWSWGPLTFLVPTEICPLEIRDKEASHGADGAGLEEALVLEKDCWGGR* >Brasy3G312200.1.p pacid=40042322 transcript=Brasy3G312200.1 locus=Brasy3G312200 ID=Brasy3G312200.1.v1.1 annot-version=v1.1 MGKTKPTGGEETVITDEYMTKEDFHAFHSEVQRKFDDLTREHHELVKFHGESRETLEKVQNETNSKLDKLAEMMASLLHPELGKDTPRHEISDRASIASTPQQIPQLTVPMCMTMNSGLQPPALLANSAPPLLDQPAITLDTHPRVTQAEELFRVGWTPQPIPQARQGNLFGTGQGQQTQNRPVIMDRQFQFGQVQNMFQQQEAEHYHGGNGPGGRGFHQEQNHHTRHFAKGPKMDFPEFDGNYPEGWIKKANKYFKLAQTSEEQKDQALMWTVLNGQISAES* >Brasy3G264100.1.p pacid=40042323 transcript=Brasy3G264100.1 locus=Brasy3G264100 ID=Brasy3G264100.1.v1.1 annot-version=v1.1 MAAETKEQLGFGCEHAAAAKKTCAGCYRDLLNAVDRAAEAARTRALLAGMGVELLGSLEAAAAGAPQESPKATTETIRTNSACAACRMKAGLQLGLPSRATTQLHATAGTTRLARAALITLPTTSSMPWLSQRAMKSPEREPDTICKRVEEVIRKDEVTSPKTPVEGLW* >Brasy3G180000.1.p pacid=40042324 transcript=Brasy3G180000.1 locus=Brasy3G180000 ID=Brasy3G180000.1.v1.1 annot-version=v1.1 MAKDKELVDATESSAGGCHGRELVTTPTSATRRHAPVALSHALSMDAAARRSRSPPPKRACIEEDVPCSSCGRLPLNDDDDDEEAECEINRRHAELLHTYQ* >Brasy3G122700.1.p pacid=40042325 transcript=Brasy3G122700.1 locus=Brasy3G122700 ID=Brasy3G122700.1.v1.1 annot-version=v1.1 MLRCVATRQSMARPQIAAQSRLWRCVAARRSRARLPNRGAEQAAAAFVGCGDGAWRRPRAGGGGELPRSRPDQAAAQKVSSVGAQRRRRRMRARSWRRRSIFGVNTLIGRRHGGAWRACGVPLCYGVVGSRGGGPRRGQRRALRRL* >Brasy3G330700.1.p pacid=40042326 transcript=Brasy3G330700.1 locus=Brasy3G330700 ID=Brasy3G330700.1.v1.1 annot-version=v1.1 MKHIFKIIAVLVATSAVWIAFLETSMVPRSYTWLVCIIYLHLLISCEGHCGSISIFACNFSDNILTSKQEAHN* >Brasy3G139100.1.p pacid=40042327 transcript=Brasy3G139100.1 locus=Brasy3G139100 ID=Brasy3G139100.1.v1.1 annot-version=v1.1 MEGVGARLGRSSARYGPATTFTGPVRKWRKEWVPIAAAAATAASAATSSTATGSRGNNLVLFKWTPLNGANGGDGEQAAAAAAAAAEEETAKRRRRYVPVSIVEDQRQESAKSDDENKANDGDPSSTETEPSNGKTNMDDTPMDESQASDEARDSGNNGGGTDLNLNLGPKDPDDEDEGDTGEQNEARTEHRLKRKSVTPDLEMRM* >Brasy3G254200.1.p pacid=40042328 transcript=Brasy3G254200.1 locus=Brasy3G254200 ID=Brasy3G254200.1.v1.1 annot-version=v1.1 MGFGLFLIPIATKKNRRIWAFPTVLLLSIAMVFSVQLSIQQINGSSIYQYLWSWTINNDFSLEFGYLIDPLTSIMLMLITTVGILVLIYSDGYMSHDEGYLRFFIYISVFSGNMMAILCRGEMLLELLG* >Brasy3G120800.1.p pacid=40042329 transcript=Brasy3G120800.1 locus=Brasy3G120800 ID=Brasy3G120800.1.v1.1 annot-version=v1.1 MAARALGPPPPPPLPPLGTMRAPPRATDAAAPPPPHGYRRGATGLTSALTGNRGRHILCSVQLTEALRGNLQVEANSSHAPTALMSTGRDDSAITCKGFCMISWNLKADVPDGYVIFVTGDPVTLGCWEPDMAVQLSPSVKSSNEWTAEIKVPYGVHYRYNYFVREEKGSSSDIIWRPGPECSLSIPSVSRKKHVIVVKDLWMKTSVTGIPSPSWGSWLMEADFLEDRFVESEKREGTVKAHSVIDLVEQTSSVGEHIILRLGNGTPLQAKHISETESPLISVHDDFTVVDKPNEIKTSVNQLGGTQPVEEPWILGPMVSAKNSVVPVKHKKDRKKFLNNEHDPGKVAENMPEQDQPVEEPWLLQSWAVAKRTGVKTKGTIEAKDSTKKYRKIDKPPAPSEADTPSTSEHSSRVILINSSICTMQRIAVLEDGKLVELLLEPIKNTVHCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQIVKDNKGGTVNDSDYNDESLPTYDDDDDIPDEEFPDEENDDDSATFLAHNVTENEQGMDFVSHSKMKMIDSAEFENVSACDDEKDDETDDHVEDEYNDDLVPGDQSEISNDIKALSSIQHALRESNDDTNGCRWSQVRKGTKVMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPLGFTLTARTVAAGHSWEELQKDLDRLLSTWKGITEHAQSAALAAEEGVEGAVPVMLHRSKGQALSIVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVVLQNGGSLIIEQTEALVSIDVNGGHSMFGQGTSQENAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDESNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGLGRVEALDTSFSKIEREICRRLASSGHKSDPEKPKSWPRFLLRVDHEMCTYLTSGKKTKLGLLSSSLKVWVLLKIARGFTRGAFELLPYSDEDTDEHKEPPESQDSPPPKEAGRPRLSVFPIKKWMSRAKRAK* >Brasy3G120800.2.p pacid=40042330 transcript=Brasy3G120800.2 locus=Brasy3G120800 ID=Brasy3G120800.2.v1.1 annot-version=v1.1 MAARALGPPPPPPLPPLGTMRAPPRATDAAAPPPPHGYRRGATGLTSALTGNRGRHILCSVQLTEALRGNLQVEANSSHAPTALMSTGRDDSAITCKGFCMISWNLKADVPDGYVIFVTGDPVTLGCWEPDMAVQLSPSVKSSNEWTAEIKVPYGVHYRYNYFVREEKGSSSDIIWRPGPECSLSIPSVSRKKHVIVVKDLWMKTSVTGIPSPSWGSWLMEADFLEDRFVESEKREGTVKAHSVIDLVEQTSSVGEHIILRLGNGTPLQAKHISETESPLISVHDDFTVVDKPNEIKTSVNQLGGTQPVEEPWILGPMVSAKNSVVPVKHKKDRKKFLNNEHDPGKVAENMPEQDQPVEEPWLLQSWAVAKRTGVKTKGTIEAKDSTKKYRKIDKPPAPSEADTPSTSEHSSRVILINSSICTMQRIAVLEDGKLVELLLEPIKNTVHCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQIVKDNKGGTVNDSDYNDESLPTYDDDDDIPDEEFPDEENDDDSATFLAHNVTENEQGMDFVSHSKMKMIDSAEFENVSACDDEKDDETDDHVEDEYNDDLVPGDQSEISNDIKALSSIQHALRESNDDTNGCRWSQVRKGTKVMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPLGFTLTARTVAAGHSWEELQKDLDRLLSTWKGITEHAQSAALAAEEGVEGAVPVMLHRSKGQALSIVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVVLQNGGSLIIEQTEALVSIDVNGGHSMFGQGTSQENAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDECSTECYFHDQ* >Brasy3G205500.1.p pacid=40042331 transcript=Brasy3G205500.1 locus=Brasy3G205500 ID=Brasy3G205500.1.v1.1 annot-version=v1.1 MAWERSSPMLQQAALVLVAALAMAATCAEAGRDYGAGQSKFTITGTVLCQDCTKNWNAYAYNAKPIPRSWVAVTCLEKGKVAFYGRDETNKDGAFSVEVPYEPVGSGAGCRLDPSECLVRLVASGDEGCSVLTNFNGGRSGQRPFRPSRVCPTEVVYNVGPYYATLPQCDVDDKKTDAHLDRWRG* >Brasy3G261400.1.p pacid=40042332 transcript=Brasy3G261400.1 locus=Brasy3G261400 ID=Brasy3G261400.1.v1.1 annot-version=v1.1 MEPFTKMAENESAQLQRAIFAQYIMMKKLFKELEEEKEASATAASAALSMIRKLQKEKEAERMEAWQYKRIAEERMIHNDRAMEIVKEVMQQKELEIYYLRNQLQVYKQRLLGVGIDDCDIADETIAKNIPLFESKGVENLCYNIKRNFSLPILQLNKPSEMDNNKNDGAIQSPKSRLGVYMHNSSENEPEQVSGDGTDLKDVEPKESLSTHIDSTEKYREESKSSSSGILQNSYPSEESSGCSPSVMVNHRTDICSQRETRVGEDAEDKLHRDPPRTSCSDNEVDKIAAQRTGDVDTLKAPEQSKAPDPSCTKNGIKREESELSSAVVLKDKRVTKFAATRKVGSMNNVDRHVRVSAGSSTPRAVGNSTPRAAGNSTPRAVGNSTPRARIERTRSRLKRVQSEKMIELNEPRKSKEQIIMLKEVYEQLNMIEAHMRPSSSQETPRNEQSLDSVMEAALSFSI* >Brasy3G320700.1.p pacid=40042333 transcript=Brasy3G320700.1 locus=Brasy3G320700 ID=Brasy3G320700.1.v1.1 annot-version=v1.1 MAAGTCATSAGTSTPARTPAPSSAARTVRTAASRRPPQPLRGRRRAATAGSCFCQARAMGKEAQRVLPPAAVYPDLRLMLAMCSRTRAMETTLLLMVLELKLALISPLKIASSVAMISLLRLLPRLMELRFKLKWPGSYLKMHYILKIYIHLSQTLVVIISRMLLLLILHRSLKMVLQKSLQMK* >Brasy3G332700.1.p pacid=40042334 transcript=Brasy3G332700.1 locus=Brasy3G332700 ID=Brasy3G332700.1.v1.1 annot-version=v1.1 MVRPFVAAVENTPTRHAAAAAAPKDWASTSTPCGGEGPAMGAGEEEAAMAAAEAKEERIMVSVRLRPLNGREAGDCSDWECVSPTTVMFRSTVPTAYTYDRVFGPDCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVMDIYDYIEKHPEREFMLKFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLIEETLRDKDHLRDLLAMCEAQRETGETVLNEASSRSHQILRLTIESSVRQYVGRGKSSTLVACVNFVDLAGSERASQTGSAGRFKEGSHINKSLLTLGKVVRQLSTGRNGHIPYRDSKLTRILHSSLGGNARTAIVCTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVISDKALVKHLQRELARLESELKSPESASCTSHAEALREKDAQIKKLEKQLRELMEEKDTVQSQLNCLLKSDGADLTAKQWDEYSRSSESLARNAPEEALSVSDTYGGSYRDQGHALFDGSYVFSADHDDSSFPDQARDRTLTSPWHPPSNYSSDGTESYNMKDVAFRTASEVSEEHCREVQCIEIHEHRRCMSHEFSILPPVDTKLHKPEVVEISRDAVPQPDEEQKLGSITKKMEDPIRMYSNKLEQQAEIIANAVEGPVEVHQCESNGFADNVVKLYSCDSSISLDINKPCPHECLTVKRFIMSSKDSALARSQSCRASFTIIPNNWFDDSENARRTPPDEIFKYPSRRPGKVRRSLYSENDDCQNDTTPVDHSAVCAEVVCDEVVKDMSISDEGVKDMSVSDEGANEMSADDAEQDILINDVSCVTGLEEKTEKHHEDQPEEFKAEQQAVRDDSTAVKSVKDVGIDSSLSTIESPSRWPIDFTRQQLEIIKLWYDCNVSLVHRTYFFLLFKGDPADSVYMEVEHRRLSFLMSTFSASPATGPGKLHPDFVSSFKNLERERDMLYRQMLRKLGGEGEKESVYRRWGINLSSKQRRLQLSRLVWSRRGDVEHVRQSASLVARLIDPVEPGQQALREMFGLNFTLAPRRSARRSSFSLLGGGA* >Brasy3G332700.4.p pacid=40042335 transcript=Brasy3G332700.4 locus=Brasy3G332700 ID=Brasy3G332700.4.v1.1 annot-version=v1.1 MVRPFVAAVENTPTRHAAAAAAPKDWASTSTPCGGEGPAMGAGEEEAAMAAAEAKEERIMVSVRLRPLNGREAGDCSDWECVSPTTVMFRSTVPTAYTYDRVFGPDCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVMDIYDYIEKHPEREFMLKFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLIEETLRDKDHLRDLLAMCEAQRETGETVLNEASSRSHQILRLTIESSVRQYVGRGKSSTLVACVNFVDLAGSERASQTGSAGRFKEGSHINKSLLTLGKVVRQLSTGRNGHIPYRDSKLTRILHSSLGGNARTAIVCTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVISDKALVKHLQRELARLESELKSPESASCTSHAEALREKDAQIKKLEKQLRELMEEKDTVQSQLNCLLKSDGADLTAKQWDEYSRSSESLARNAPEEALSVSDTYGGSYRDQGHALFDGSYVFSADHDDSSFPDQARDRTLTSPWHPPSNYSSDGTESYNMKDVAFRTASEVSEEHCREVQCIEIHEHRRCMSHEFSILPPVDTKLHKPEVVEISRDAVPQPDEEQKLGSITKKMEDPIRMYSNKLEQQAEIIANAVEGPVEVHQCESNGFADNVVKLYSCDSSISLDINKPCPHECLTVKRFIMSSKDSALARSQSCRASFTIIPNNWFDDSENARRTPPDEIFKYPSRRPGKVRRSLYSENDDCQNDTTPVDHSAVCAEVVCDEVVKDMSISDEGVKDMSVSDEGANEMSADDAEQDILINDVSCVTGLEEKTEKHHEDQPEEFKAEQAVRDDSTAVKSVKDVGIDSSLSTIESPSRWPIDFTRQQLEIIKLWYDCNVSLVHRTYFFLLFKGDPADSVYMEVEHRRLSFLMSTFSASPATGPGKLHPDFVSSFKNLERERDMLYRQMLRKLGGEGEKESVYRRWGINLSSKQRRLQLSRLVWSRRGDVEHVRQSASLVARLIDPVEPGQQALREMFGLNFTLAPRRSARRSSFSLLGGGA* >Brasy3G332700.2.p pacid=40042336 transcript=Brasy3G332700.2 locus=Brasy3G332700 ID=Brasy3G332700.2.v1.1 annot-version=v1.1 MGAGEEEAAMAAAEAKEERIMVSVRLRPLNGREAGDCSDWECVSPTTVMFRSTVPTAYTYDRVFGPDCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVMDIYDYIEKHPEREFMLKFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLIEETLRDKDHLRDLLAMCEAQRETGETVLNEASSRSHQILRLTIESSVRQYVGRGKSSTLVACVNFVDLAGSERASQTGSAGRFKEGSHINKSLLTLGKVVRQLSTGRNGHIPYRDSKLTRILHSSLGGNARTAIVCTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVISDKALVKHLQRELARLESELKSPESASCTSHAEALREKDAQIKKLEKQLRELMEEKDTVQSQLNCLLKSDGADLTAKQWDEYSRSSESLARNAPEEALSVSDTYGGSYRDQGHALFDGSYVFSADHDDSSFPDQARDRTLTSPWHPPSNYSSDGTESYNMKDVAFRTASEVSEEHCREVQCIEIHEHRRCMSHEFSILPPVDTKLHKPEVVEISRDAVPQPDEEQKLGSITKKMEDPIRMYSNKLEQQAEIIANAVEGPVEVHQCESNGFADNVVKLYSCDSSISLDINKPCPHECLTVKRFIMSSKDSALARSQSCRASFTIIPNNWFDDSENARRTPPDEIFKYPSRRPGKVRRSLYSENDDCQNDTTPVDHSAVCAEVVCDEVVKDMSISDEGVKDMSVSDEGANEMSADDAEQDILINDVSCVTGLEEKTEKHHEDQPEEFKAEQQAVRDDSTAVKSVKDVGIDSSLSTIESPSRWPIDFTRQQLEIIKLWYDCNVSLVHRTYFFLLFKGDPADSVYMEVEHRRLSFLMSTFSASPATGPGKLHPDFVSSFKNLERERDMLYRQMLRKLGGEGEKESVYRRWGINLSSKQRRLQLSRLVWSRRGDVEHVRQSASLVARLIDPVEPGQQALREMFGLNFTLAPRRSARRSSFSLLGGGA* >Brasy3G332700.3.p pacid=40042337 transcript=Brasy3G332700.3 locus=Brasy3G332700 ID=Brasy3G332700.3.v1.1 annot-version=v1.1 MGAGEEEAAMAAAEAKEERIMVSVRLRPLNGREAGDCSDWECVSPTTVMFRSTVPTAYTYDRVFGPDCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVMDIYDYIEKHPEREFMLKFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLIEETLRDKDHLRDLLAMCEAQRETGETVLNEASSRSHQILRLTIESSVRQYVGRGKSSTLVACVNFVDLAGSERASQTGSAGRFKEGSHINKSLLTLGKVVRQLSTGRNGHIPYRDSKLTRILHSSLGGNARTAIVCTMSPARTHIEQSRNTLLFATCAKEVVTNAQVNVVISDKALVKHLQRELARLESELKSPESASCTSHAEALREKDAQIKKLEKQLRELMEEKDTVQSQLNCLLKSDGADLTAKQWDEYSRSSESLARNAPEEALSVSDTYGGSYRDQGHALFDGSYVFSADHDDSSFPDQARDRTLTSPWHPPSNYSSDGTESYNMKDVAFRTASEVSEEHCREVQCIEIHEHRRCMSHEFSILPPVDTKLHKPEVVEISRDAVPQPDEEQKLGSITKKMEDPIRMYSNKLEQQAEIIANAVEGPVEVHQCESNGFADNVVKLYSCDSSISLDINKPCPHECLTVKRFIMSSKDSALARSQSCRASFTIIPNNWFDDSENARRTPPDEIFKYPSRRPGKVRRSLYSENDDCQNDTTPVDHSAVCAEVVCDEVVKDMSISDEGVKDMSVSDEGANEMSADDAEQDILINDVSCVTGLEEKTEKHHEDQPEEFKAEQAVRDDSTAVKSVKDVGIDSSLSTIESPSRWPIDFTRQQLEIIKLWYDCNVSLVHRTYFFLLFKGDPADSVYMEVEHRRLSFLMSTFSASPATGPGKLHPDFVSSFKNLERERDMLYRQMLRKLGGEGEKESVYRRWGINLSSKQRRLQLSRLVWSRRGDVEHVRQSASLVARLIDPVEPGQQALREMFGLNFTLAPRRSARRSSFSLLGGGA* >Brasy3G271100.1.p pacid=40042338 transcript=Brasy3G271100.1 locus=Brasy3G271100 ID=Brasy3G271100.1.v1.1 annot-version=v1.1 MDLLRSRLHKVRIPEPTNRIHKDECCVSFDTPRSEGGLYVDMNSFLGFGREHVPWNFEKTENPVYLHIVQRRKPEPDEADRPLKKPTLLAIGVEGGFTDQEPEYDVTYEIVILPEFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLASWVADKKNISAFAMDLQQLGNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAVEHYEQTKYPLAVKLGTITADLEAADVYSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNFDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFAISQADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDKVDQANPGNHKLNPFTGFKFVIEERVQCPSGKVSYNKRSDYILSLSIPLHEATNKEQLEVFNEKKAAMDLDGKEVSNEEVVRPRVPLEACLASFSGPDEVPDFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGVQPGEELLPEGASCGSKAEPAKPVANEDIVSQLASMGFNYFHCQRAAINTSNTGVEEAMNWLLSHMDDPDIDDPLSQDPRPSEDTIDESSVQTLVSFGFQEDVARMALKASGGNIERATDWVFSHPEASSSVSTESSTSNVKDDDSDIPDGSGRYKLVAFVSHMGTSTHCGHYVAHVLKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISS* >Brasy3G011400.1.p pacid=40042339 transcript=Brasy3G011400.1 locus=Brasy3G011400 ID=Brasy3G011400.1.v1.1 annot-version=v1.1 MASSSSFLLLAAILALVSLHAIASDPSPLQDFCVADKNSPVLVNGFVCKNPMYVNADDFFKAAELDKPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLAKAFQVQKGTIDWLQAQFWENNHN* >Brasy3G321400.1.p pacid=40042340 transcript=Brasy3G321400.1 locus=Brasy3G321400 ID=Brasy3G321400.1.v1.1 annot-version=v1.1 MQQRAGSSVVLRMGPCGGGGGGGEARDMDTRGVERVLKVAVRHGEDTGIDAISVLYEREGGRHEWTDLWGGPGGSLAEICLQPGEHLVSVTGHYGELLDGGGGGLSTVVRSLTFVSNRRSFGPFGKEEGVPFALPAGGGGGRIVGFHARSGTHLHAIGTYVRTTAMHGGSSSSVVDDEDGTTGNLA* >Brasy3G331200.1.p pacid=40042341 transcript=Brasy3G331200.1 locus=Brasy3G331200 ID=Brasy3G331200.1.v1.1 annot-version=v1.1 MAATPAVPAFESLAGIRPLAESGRFKAWFLDQFGVLHDGKKPYPGAILALEKLAGFGAKMVIISNSSRRASVTMEKLGSLGFDTSCFLAAVTSGELTHQYLEKREDPWFAALGRKCVHLTWGSRGAISLEGLGLQVVSNVDDADFILAHGTEALGLPSGDPLTKSLEELEQVLVLGIQKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVQTHECITVGDSLHHDIKGANGVGMASAFITGGIHASELGLGEFGETAGDDTVSTLCRKHSAYPSYVLPSFTW* >Brasy3G232900.1.p pacid=40042342 transcript=Brasy3G232900.1 locus=Brasy3G232900 ID=Brasy3G232900.1.v1.1 annot-version=v1.1 MAFSKPLAVMALFLVALLMVVDAQHDLDHLSVSSGGSKRSLLQATTIDCPSACEARCANNWKNKMCNRMCNICCGKCNCVPPGTGQDTRNLCPCYANMVDSKNGKLKCP* >Brasy3G050700.1.p pacid=40042343 transcript=Brasy3G050700.1 locus=Brasy3G050700 ID=Brasy3G050700.1.v1.1 annot-version=v1.1 MPKVYGTGVFEFRHPRAAEYPLPADVPAAAAPDKVPSSTGASITLLDIQRDRLTRVATEHWGSPAAAAVFDAELVKEIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPDKASFEHVMSMILMVNEKFRENVAAWTCFHGRKDSFKGFLWRVLKLKEEDRPVSMAEKTNYLLFMINAFQSLEDELVRETILQVVSLKLWHTLSSGRLQMELCLNPELVKKWTKIKRKEAKEAKKAGLPCNPSEMLENKFLRNLIEEFLEILDLKVLLSSQDGGEESVFNESLSGQVDDSSVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVIAKCHLSTLYTHEKGRLFAQLVDLLQFYEGFEINDQSGTQLSDDDVLQAHYSRFQAFQLLAFKQIPKLRDLALCNIGSIHKRADLTKKLLVLSDTELQDLVCNKLKIISEKDPYSGRRDFLIEVLVAFFEKRQSQKEAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITQVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDSLKEHDVLFLLSIHPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGGLMNDYTGRIKRDEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKRTENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWTNMPDLLETIDFKDTFLDADHVVQSFPAFQVTFINTDGTENMHPSPPFRIKLSKKMREISHALPGNVNSSDITSKNNMADDEGSQKEKLRVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLAEVAKLARSLHLPEDVSYTCENAAYFWLLHVYARWEQFLAACAPNKENLSFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPTLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVISRRCKPWNIEPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFKLLLRRPDKLALNLEECTPFTERPLGEAGNIHYITGVEDIGHLVNFRLEHLRQMQSMQCYTTPPENGNAGIVLRDAKEVMEEENGEAFDAMNSNRMDEDTVETKGDMMQEGSKMDAGNGEAGDVAIEDKMVEGSTDEAKDNMEEENADEAKDKMEEENAESKGMEEE* >Brasy3G050700.2.p pacid=40042344 transcript=Brasy3G050700.2 locus=Brasy3G050700 ID=Brasy3G050700.2.v1.1 annot-version=v1.1 MPKVYGTGVFEFRHPRAAEYPLPADVPAAAAPDKVPSSTGASITLLDIQRDRLTRVATEHWGSPAAAAVFDAELVKEIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPDKASFEHVMSMILMVNEKFRENVAAWTCFHGRKDSFKGFLWRVLKLKEEDRPVSMAEKTNYLLFMINAFQSLEDELVRETILQVVSLKLWHTLSSGRLQMELCLNPELVKKWTKIKRKEAKEAKKAGLPCNPSEMLENKFLRNLIEEFLEILDLKVLLSSQDGGEESVFNESLSGQVDDSSVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVIAKCHLSTLYTHEKGRLFAQLVDLLQFYEGFEINDQSGTQLSDDDVLQAHYSRFQAFQLLAFKQIPKLRDLALCNIGSIHKRADLTKKLLVLSDTELQDLVCNKLKIISEKDPYSGRRDFLIEVLVAFFEKRQSQKEAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITQVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDSLKEHDVLFLLSIHPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGGLMNDYTGRIKRDEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKRTENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWTNMPDLLETIDFKDTFLDADHVVQSFPAFQVTFINTDGTENMHPSPPFRIKLSKKMREISHALPGNVNSSDITSKNNMADDEGSQKEKLRVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLAEVAKLARSLHLPEDVSYTCENAAYFWLLHVYARWEQFLAACAPNKENLSFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPTLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVISRRCKPWNIEPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFKLLLRRPDKLALNLEECTPFTERPLGEAGNIHYITGVEDIGHLVNFRLEHLRQ* >Brasy3G088800.1.p pacid=40042345 transcript=Brasy3G088800.1 locus=Brasy3G088800 ID=Brasy3G088800.1.v1.1 annot-version=v1.1 MTLCPPCMIISSLYLELRAPRAAQLPSPGGLGMEATMARRLLVGPAARIRSPYFRSVAKQEWKMGRIEGKKNQSNFSSHLVLRPTIPAAAHASSEHPLLASSLSEPHWGRRGGVSQLFDGMRGPIGGLDDEGLTAKPPIVPIGVCLSFTVNVVRSMAILNRPRLLERKDLTEKRKNKLGFEFWISIGTHLDRIYVYCILCFDNSIQQKSKCYRASARHIYRQFSYYSN* >Brasy3G229900.1.p pacid=40042346 transcript=Brasy3G229900.1 locus=Brasy3G229900 ID=Brasy3G229900.1.v1.1 annot-version=v1.1 MASPVLTTLFLLLLLSNAGATASSSSSVNPFTAKAAFIRYWNRKVPNNRPHPAFFLSKLSPLPAADAASFPSSPAAIRARLPTLCSRAALLCPSSDTASMLASRKGGPFKSYNDANFTNYGSGAGAGTDGFSNYSPDFNIAGDSFRRYGRGSTGRGDSFATYEANDNVVTANFTSYAGGATGGSGSFAAYAEGTNVLDSKFTNYDAGANGRARGFTAYSREANHGENSFAGYGKSGNRLRETFTSYGNDTNTLSSGFANYGESANGATDTFTGYGVEGNVPENTFRSYGAGGNAGVDSFKGYRDDSNVGDDSFASYAKGANGGAAEFRSYGGSANPGSVSFKGYGEGDNPNHHIGFKEYAGDNTTFKAYAKTGMDFKGYHNTSGNMAATAMSAEAAAASGHHQHMKWSPEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPRDISRKIPFEPNAVSEVFGVPLDSALGKAVVSTVAECERAPSQGETKRCATSAEDIVDFAVEMLGNDIVVHSTASTAGSGGDIRLGSVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEAEIMAVDSDQKINHGVAICHLDTSDWSPAHGAFVALGGKPGETEVCHWIFEGDMTWTVAD* >Brasy3G212900.1.p pacid=40042347 transcript=Brasy3G212900.1 locus=Brasy3G212900 ID=Brasy3G212900.1.v1.1 annot-version=v1.1 MASIQGKMEEQAGGVAGEKGPCEDGAKNGELAPESAPKPPTVPNHRRSKSASSSGRNQEPCKHGGGVEQRFHQAQNPPDARKPSLDATGGSATAHRRPPRDRQPSAAASPPNHRVSLENDVSQLQLHLHQERSIRIMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLSLYRSIFDQCVSGPSSGQSSGISSPAHTKSVSSSTRRSSIISSAFCSSKKLPLQPFQIMSSVSESGKTKNMLKTKIKHESFSSETLDIRPVSLASDPRKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYCLLRTESAEKPEKARSPFLSRSSTSVILPRRGSPEENNNPPNNKSIVEVCSISVEKNQMPDVSCAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIAGHAVTANSIEHSMLCCRSPRIGRWFESILSTAMRKRCADEKQLVQLNFGLPDCQPLALFALCTGAASDPMLKVYTAKNVAAELERAKREFLQGSVVARKPRKVLLPRLVERYAREAGLAGGEGGVLAWARENVDGRAAQDAVQRCAAAAGGRRAVEWLPYNTRFRYAFARSVVDKAAVLPS* >Brasy3G212900.2.p pacid=40042348 transcript=Brasy3G212900.2 locus=Brasy3G212900 ID=Brasy3G212900.2.v1.1 annot-version=v1.1 MASIQGKMEEQAGGVAGEKGPCEDGAKNGELAPESAPKPPTVPNHRRSKSASSSGRNQEPCKHGGGVEQRFHQAQNPPDARKPSLDATGGSATAHRRPPRDRQPSAAASPPNHRVSLENDVSQLQLHLHQERSIRIMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLSLYRSIFDQCVSGPSSGQSSGISSPAHTKSVSSSTRRSSIISSAFCSSKKLPLQPFQIMSSVSESGKTKNMLKTKIKHESFSSETLDIRPVSLASDPRKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYCLLRTESAEKPEKARSPFLSRSSTSVILPRRGSPEENNNPPNNKSIVEVCSISVEKNQMPDVSCAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIAGHAVTANSIEHSMLCCRSPRIGRVRMNCDLILNGSNPSCRRRCGRDAPTRSSWSSSTSGCPTASLWPCSPSAPAPPPTPC* >Brasy3G212900.3.p pacid=40042349 transcript=Brasy3G212900.3 locus=Brasy3G212900 ID=Brasy3G212900.3.v1.1 annot-version=v1.1 MSSVSESGKTKNMLKTKIKHESFSSETLDIRPVSLASDPRKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYCLLRTESAEKPEKARSPFLSRSSTSVILPRRGSPEENNNPPNNKSIVEVCSISVEKNQMPDVSCAITHYRLLVEQLERVDLSMSENSIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIAGHAVTANSIEHSMLCCRSPRIGRWFESILSTAMRKRCADEKQLVQLNFGLPDCQPLALFALCTGAASDPMLKVYTAKNVAAELERAKREFLQGSVVARKPRKVLLPRLVERYAREAGLAGGEGGVLAWARENVDGRAAQDAVQRCAAAAGGRRAVEWLPYNTRFRYAFARSVVDKAAVLPS* >Brasy3G032400.1.p pacid=40042350 transcript=Brasy3G032400.1 locus=Brasy3G032400 ID=Brasy3G032400.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPTHSTSGSRQHAAQLTQDLEADVVAYGRSLSSGPFLLEREPHQSWLRRLHDKIRSVYAAITCTRPSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRPPRHSTHRQHPRQQDPPDLRPPRHSTHRQHPRQQDPPDLRHHPRPRLVEQSTPRPPPPDQAGGSSWQHPQSSFDAWTEQSPFQAGGSSWQQQIPAMNFEFCPQTQPQGAYAHQPSLSEPSWGSDHDQGQRDDFSSWILHTPPPDSTQEDTQYREDGSEIPPRRAVPPHRYGWTTPVPPPERRPRRRDV* >Brasy3G112900.1.p pacid=40042351 transcript=Brasy3G112900.1 locus=Brasy3G112900 ID=Brasy3G112900.1.v1.1 annot-version=v1.1 ETRVSSPPPLPSPAAPIHAGGPDATASASFAISFSTLSVIPISTRRIPAGGRPESPSALPDTPPPSLSSRGRRLFFLLQIRTSPSSSWHVPPPRPPVILDSPPPLPAS* >Brasy3G282400.1.p pacid=40042352 transcript=Brasy3G282400.1 locus=Brasy3G282400 ID=Brasy3G282400.1.v1.1 annot-version=v1.1 MKGSTSQAQAASRRRRYVWLVPLLVGAAFLGEIAFLGRLDMSKNVAAVESWTTSFYRSSATWGMDAPPGSGHDDDDREIRQCEERLEREDAVPYDRDFDRDPVLVGGAAKDWNKCSVGCDFGFSASKTPDATFGIAPDPSVESILRSMESSQYYSENNINAARGRGYQIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLEKLDIKIDSYGACHRNRDGKVDKVDTLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVVGAPNIQEFSPGEGAILHIKELDDVISVAKTMKHIASSPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEEKTPKFKNRSCSCSSKKGTVYHLYVRERGRFKTESIYLRLDELTLGALESAVHAKFRSLNHVPVWKDERPPSIRGGDELKVYRIYPIGLTERQALYKFRFSDDTELAKYIKDHPCAKLEVIFV* >Brasy3G282400.2.p pacid=40042353 transcript=Brasy3G282400.2 locus=Brasy3G282400 ID=Brasy3G282400.2.v1.1 annot-version=v1.1 MESSQYYSENNINAARGRGYQIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLEKLDIKIDSYGACHRNRDGKVDKVDTLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVVGAPNIQEFSPGEGAILHIKELDDVISVAKTMKHIASSPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEEKTPKFKNRSCSCSSKKGTVYHLYVRERGRFKTESIYLRLDELTLGALESAVHAKFRSLNHVPVWKDERPPSIRGGDELKVYRIYPIGLTERQALYKFRFSDDTELAKYIKDHPCAKLEVIFV* >Brasy3G282400.3.p pacid=40042354 transcript=Brasy3G282400.3 locus=Brasy3G282400 ID=Brasy3G282400.3.v1.1 annot-version=v1.1 MRLEGGRGYQIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLEKLDIKIDSYGACHRNRDGKVDKVDTLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVVGAPNIQEFSPGEGAILHIKELDDVISVAKTMKHIASSPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEEKTPKFKNRSCSCSSKKGTVYHLYVRERGRFKTESIYLRLDELTLGALESAVHAKFRSLNHVPVWKDERPPSIRGGDELKVYRIYPIGLTERQALYKFRFSDDTELAKYIKDHPCAKLEVIFV* >Brasy3G057900.1.p pacid=40042355 transcript=Brasy3G057900.1 locus=Brasy3G057900 ID=Brasy3G057900.1.v1.1 annot-version=v1.1 MASLPTAAAAAATRAFAFPAKPSFSASTAALPRAASAFPAIALAAAAAGRPRRPTAARAAPGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPKQQASQPESASS* >Brasy3G020100.1.p pacid=40042356 transcript=Brasy3G020100.1 locus=Brasy3G020100 ID=Brasy3G020100.1.v1.1 annot-version=v1.1 MPQETLSASTTVASSSTQPSYRRLRLHHKWTQKKLHDTSAPTTSLHGRSTLLQLCTSPPITRRSTLHSTAHSDPDQARAAAAASPHRAQMRPAPGPDPAHQHRNEQAPGHCLAPSGLQPPRAPAGATSTRPQTGAAGQQQPPTADRSQTPRSPRTPQIWPASGPDAARTAAATLPRALAASAPPSTTQEQPPTSPPTTPASQIRPSRPDPATTPSHAATANHAAMHQQTGRSRAARTADPAPLEPYRAAREQDAEIRGAAQTTEGPSLPHHELGCGNSSPAATVSRTGLAWRRHPAAARDRR* >Brasy3G311700.1.p pacid=40042357 transcript=Brasy3G311700.1 locus=Brasy3G311700 ID=Brasy3G311700.1.v1.1 annot-version=v1.1 MLSRRLRPWPWPAARRRLSRLAPPAPAAADPVVRVESGSNVARLGAPKPGPRPRQLLSLPPFPAGADPLPGRNTVAAAASRRVSAVSWVKHCFADVPQEVVQAHFNKRQVFAECSDQEVSAVSIRSQKHHLKKIKHSEVMEPGMRIHLPVSVAEAEIKKRYETIPAATLHPNKDEIEYLKRLVIYRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRDTSGLLLMGRTKESFTRLHWLFTSVKLARTASPIWNSACESYLQRYWALVIGAPKESEGVISAPLTKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGERYKLRRPEGLEVQKGSVLSEVPLLHLHCREMVVPNIAKFLSNTGEWHGDGTPWSKEKPHLLRFIAPMPAHMKISWNIMSSYLV* >Brasy3G165600.1.p pacid=40042358 transcript=Brasy3G165600.1 locus=Brasy3G165600 ID=Brasy3G165600.1.v1.1 annot-version=v1.1 MATLKDAAARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNGRTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy3G165600.3.p pacid=40042359 transcript=Brasy3G165600.3 locus=Brasy3G165600 ID=Brasy3G165600.3.v1.1 annot-version=v1.1 MATLKDAAARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNGRTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy3G165600.4.p pacid=40042360 transcript=Brasy3G165600.4 locus=Brasy3G165600 ID=Brasy3G165600.4.v1.1 annot-version=v1.1 MATLKDAAARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNGRTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy3G165600.5.p pacid=40042361 transcript=Brasy3G165600.5 locus=Brasy3G165600 ID=Brasy3G165600.5.v1.1 annot-version=v1.1 MATLKDAAARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNGRTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy3G165600.2.p pacid=40042362 transcript=Brasy3G165600.2 locus=Brasy3G165600 ID=Brasy3G165600.2.v1.1 annot-version=v1.1 MATLKDAAARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNGRTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy3G247100.1.p pacid=40042363 transcript=Brasy3G247100.1 locus=Brasy3G247100 ID=Brasy3G247100.1.v1.1 annot-version=v1.1 MPKAGGGGDEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRTEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYEDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANGKNKTLDAYQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDSHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNQCEDWVLASVAEDNILQIWQMAENIYHDEDDLPSDEPAKAS* >Brasy3G140300.1.p pacid=40042364 transcript=Brasy3G140300.1 locus=Brasy3G140300 ID=Brasy3G140300.1.v1.1 annot-version=v1.1 MASLKLVAALAVLAVCAAATAAAYPAPGYGQPPKESCETQSSYFVNCLRLGYGESCCKGVVEDPRCFCMLEAEAEIHCVPGRRFSACSGTGVAKAVKLAEMKLSCMKNLKCKHA* >Brasy3G007500.1.p pacid=40042365 transcript=Brasy3G007500.1 locus=Brasy3G007500 ID=Brasy3G007500.1.v1.1 annot-version=v1.1 MSRRKRHTNDDDWISTLPDELLHHIMSFLQAREAVHTCLLSRRWQNVWPSVRFLNMDAAKFTSLAQFKMFVDNFLLHRGCTSLDTLWLRIIYDDSGSGYFSDYSQIQPWVCLCHALRSNVQVLGIVHPSMAPLPLIIEGTFTSARLKKLHLCRFEIIDAFVSKLSSGCPALEELELIHCDICATEFSSTTLKRLTVTDSHFTIWGWHGDLLIDMPNLVSLCIKKLPVSNPYLVDVSSLETATIYLDRYSFKNSDTDCNVLSALSNAAGLESLYLTVHNKIAIKVLARDVLRCGTLGNLRTLSLGEWCLGTCVTPPA* >Brasy3G321100.1.p pacid=40042366 transcript=Brasy3G321100.1 locus=Brasy3G321100 ID=Brasy3G321100.1.v1.1 annot-version=v1.1 MAVPLLTTKIVKKRVKQFKRAHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE* >Brasy3G219200.1.p pacid=40042367 transcript=Brasy3G219200.1 locus=Brasy3G219200 ID=Brasy3G219200.1.v1.1 annot-version=v1.1 MNKLLVFYGDGVVRDGPCGLDVSQCEHKIVTIEGMINTRFLDVTKCIKTEFGSEMALKKLIVEAFIVPPVEEGSMHRWGLRQVKGDDDWRSYMKIVSKPGAAMYGRPMVYVQFADASKAGCSTVVGEMQLASTGGATAICPIQEDEEGPLLPTPHAGPYRFAVVDRNDHVDGLLDDLDSGDEVVESPLDESDEGEGDGPQRAEVPPMVCEAVRAQVATTTTGNGSSTALSLKLLVDSKAQRVLFAEAGKDVVDFLFSLLAVPVGTAVKLIGKEAMVGCVGNLYGSAEKLNGTYVEPGAAKDAVLSPAANSLLGLPAASSLALADKVMYTVMDDLKVTAMSAISSITLLGSFGVRDLSTLQEKTVQIGYKEGLAILKASLQSRTVLTDVFLGGKKLPSA* >Brasy3G295600.1.p pacid=40042368 transcript=Brasy3G295600.1 locus=Brasy3G295600 ID=Brasy3G295600.1.v1.1 annot-version=v1.1 MLDRLVHRNKGLSQTPIELSSACTRSPAQESDRPVQRREPPPAMLLDRFTHHTKGDGPHPHEVVRDEVAEGTLSSFAFTCTGDPIAVSLTIAEPPAVSRLHLHWTQGLRPEMRKLIAPSIIAAHGRSILFETYVPLANCCNPEYYPSDYFLYTAPASSGSGIRSPVLRRLPTCFIGGLVDPVADPYYIPHRIQQQRRRGRSRRAHCWHV* >Brasy3G072800.1.p pacid=40042369 transcript=Brasy3G072800.1 locus=Brasy3G072800 ID=Brasy3G072800.1.v1.1 annot-version=v1.1 MSASCLVTTMMGNSSWLLSSPRIPSRAMNSAPSRPRRASGAPAGALSSWCRFPRCPGRQLTRSLCLVGWVDLWKGIKICNVLHEDPALCFIPLPNAEFDQDQSGSSKQIRDVVLREGVLMLVEIDCRSRKVLGVCDDKKSCKKMTNDFDNTDVMYDSEILVRNHARLEPIPVPDGWKIRTCYRHASWDYWRKGHIVDFDDLHDSTPEYLSDGSAGKWPLRGLTTGYPILSIAPGDDVVYLMSKEKPDDKRAWMIGLHLGKKTLEVLQPYSAP* >Brasy3G031900.1.p pacid=40042370 transcript=Brasy3G031900.1 locus=Brasy3G031900 ID=Brasy3G031900.1.v1.1 annot-version=v1.1 MEVFEGADFVRLRTVKRGGAGAPIYVHADEDGRSVRLDPRRAAYNSAWAVQRRVSHASASSSGAGGTLYVLLRGAYGRYLGGPDPDATPARDFDEPEVPAILWRAVATGGAHVLLHDAAGRYLRADCPRCLRSGVSVSACASLGTAVQWAVEVVRRRRFNGRPELPIARDELLSANVREFEFLAPFLVIARNFAPW* >Brasy3G154100.1.p pacid=40042371 transcript=Brasy3G154100.1 locus=Brasy3G154100 ID=Brasy3G154100.1.v1.1 annot-version=v1.1 MWPDVRTLPPMTLSQRPPLASAVIHRTSMYPAAIHRPPPAITLPREQAPLLPLNQIPSYNCIT* >Brasy3G154100.2.p pacid=40042372 transcript=Brasy3G154100.2 locus=Brasy3G154100 ID=Brasy3G154100.2.v1.1 annot-version=v1.1 MWPDVRTLPPMTLSQRPPLASAVIHRTSMYPAAIHRPPPAITLPREQAPLLPLNQIPSYNCIT* >Brasy3G217500.1.p pacid=40042373 transcript=Brasy3G217500.1 locus=Brasy3G217500 ID=Brasy3G217500.1.v1.1 annot-version=v1.1 MGDVAKDLGAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAIDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEAVLRSSPGAPLTVAQQVAAGAGAGVAVSFLACPTELIKCRLQAQSSLPAPAPAPAAAAGATVTVVSAAGPKYGGPMDVARHVLRSEGGVRGLFKGLGPTLAREVPGNAVMFGVYEATKQAMAGGQDTSGLGRGSMVVAGGVAGAAFWGSVYPTDVVKSVLQVDDYKNPKYSGAMDAFKKILAADGVKGLYRGFGPAMARSVPANGACFLAYEVTRSLL* >Brasy3G216500.1.p pacid=40042374 transcript=Brasy3G216500.1 locus=Brasy3G216500 ID=Brasy3G216500.1.v1.1 annot-version=v1.1 MATARRVGRAGAALEAPSGESRGATARSPLRPRPPGARLLHPALWRFFLVSGGGFFSSPSVICVSLLIFCLLWRIGERSGGFCICARRRRSLSDPRGAYV* >Brasy3G342400.1.p pacid=40042375 transcript=Brasy3G342400.1 locus=Brasy3G342400 ID=Brasy3G342400.1.v1.1 annot-version=v1.1 MRELSCFREAAVCAASPASSVSNAGGLDRSLQAATTSVYRAQLASGKGILIRVTWTRSSAGAAGIAAVAFFDDDEKGSSVLFSSFSSSDARAQQHVLRRKRGSRGFVTGAGTAVGVHWDVAAAEYASTSSPEPYGGDYYLAVVADAQLLLLLGSGDLACRLSSSLSTATTLVSRCEQLRSTGAAMIEHTTRCRFREGGEEHSVAVRVSAEGEGEVVVSIDGEEVAVARRLRWGFRGNRAAVLPDGEVVDVHDWWFGCRSGDGKGAQFMVRARAEKEVLNN* >Brasy3G009900.1.p pacid=40042376 transcript=Brasy3G009900.1 locus=Brasy3G009900 ID=Brasy3G009900.1.v1.1 annot-version=v1.1 MSSSSRRRRRRRRKYHRPSRPEWRDWSALPSDILYIILSQLPQPDILRGAGLACSSWRQVAAEEPLLWRHIDLAAANEDAPPPWPWAAMGWLPMACAAVDRSAGRCESFRGRVDFEFLLYLADKAPSLRSLQITSWFSTTYWFTTDQQQFVDGVIKKPPLLEKFVVSRGRFDGGPLQALVDHCPRLRTLDVGGCGTTCCPIGKRRVERWRRRIKELWLPKFEGSKLDQEFADKYDCCRHCTFACLRLARLSES* >Brasy3G205400.1.p pacid=40042377 transcript=Brasy3G205400.1 locus=Brasy3G205400 ID=Brasy3G205400.1.v1.1 annot-version=v1.1 MLDRSTPPSPPAMRDPKPKPPAGAQQQPNHIDKSTKHCGWLWLLWASLSAYLFIPALRHSSSDQTPRPAGPGVRIYAYDLPPRFNRAWVSADARCGRHLFAAEVAVHEALLRRHPRARPEEADLFLVPVYVSCNFSTATGLPSLKHARGLLAEAVELVRRDMPYWNRSAGADHVFVASHDFGACFHAMEDVAIADGIPEFLKRSILLQTFGVQGRHACQEVEHVVIPPHVPPEVARELPEPEKSHRDIFAFFRGKMEVHPKNMSGRFYGKKVRTKLLQLYGHNQKFYLKRKRHDDYRLEMARSLFCLCPLGWAPWSPRLVESVLQGCIPVIIADKIRLPFPGVLRWPDISLQVAERDIANLEAVLDHVAATNLTTIQGNLWDPVKRKALVFNQPMEEGDATWQVLKELEAKLGHLRQKGRVRLRLDR* >Brasy3G171100.1.p pacid=40042378 transcript=Brasy3G171100.1 locus=Brasy3G171100 ID=Brasy3G171100.1.v1.1 annot-version=v1.1 MLPLAGDRMPVTLHYLFWPAATPPHNSDETTPPTHAPFLPTHPKTSHDELGRRCTAQPPRSVPPSSSSPPPPIAPRGAVASASNRLGSIQQ* >Brasy3G310900.1.p pacid=40042379 transcript=Brasy3G310900.1 locus=Brasy3G310900 ID=Brasy3G310900.1.v1.1 annot-version=v1.1 MVVLMEYVAPGAGAGVSMATKRKGVEEPGLFACSAGDWDGDGGVPPSCRATKIRRLESADLDAPAAVSVGAGHGDDAMMGEESGTEPAAVPVAADADGKKAVVVYEPQAGAASSDGGSGIVGLWRRLRPWAAPDWVRDMLREADGRTVRALLSSGCAQEDDSAAAMAVVPWSAAPAPSASTDQAASSMAAAETEVEEEDAEGAAAMDIEQEEESPGLHRQTTQTFGASAGCREGSCGLYRWPPQQQHAMPLPAVGQASPGMWSW* >Brasy3G165500.1.p pacid=40042380 transcript=Brasy3G165500.1 locus=Brasy3G165500 ID=Brasy3G165500.1.v1.1 annot-version=v1.1 MAQMFFPRASTAAPPFDDARILLLSGPPCCGKTSLLFQFAINRAAESGRDVVFISSRGRLERNPPFLSQGVKPSLEVLQRIQIKYVEDDEGIRKYFAAFHLLDNFPAAVIVDDFTGFFSERICQQRYGNTRARDLAMVRILALCQNAIAHANAKLGTLGSCNLLLSDVHQGDNPRSLFIYKRWISSIYTIQGDGLGSYILKNISSSQSGIKESRTAKYSIALQYLVLEEINE* >Brasy3G350400.1.p pacid=40042381 transcript=Brasy3G350400.1 locus=Brasy3G350400 ID=Brasy3G350400.1.v1.1 annot-version=v1.1 MAAERGRQPGGNRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFSTALDAARAYDAAAKALYGDCARLNLNNPVAVPPAAAASSDLSSNDDYFGLNDEPSASEEDFETYVRRLPKAEDFGLQAFLQNMPFDVLAEASGTAGAGIFWEPSCCDMPATA* >Brasy3G149500.1.p pacid=40042382 transcript=Brasy3G149500.1 locus=Brasy3G149500 ID=Brasy3G149500.1.v1.1 annot-version=v1.1 MQLPQNAATGLWAWTRNPSAIPRIVWLTLANRNAGGLSSVLRVSQEPAPEWLRGVTFRVVIHLDQMEDWANAPMDVQSPSSAPVFVPPSTTFPWKLGTVDGWPTPFGDVSAPIPPIRPERVCSRGASRDRREAEEAARRDRREDSSSRAPSRGRPYDRHHHVVSSRRGRGRGRRQDRLIAEPPRPRSVNDRRRDDQYKLVSSL* >Brasy3G163700.1.p pacid=40042383 transcript=Brasy3G163700.1 locus=Brasy3G163700 ID=Brasy3G163700.1.v1.1 annot-version=v1.1 MYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFSEGCKSVAAGMNAMDLRRGISMAVDDVVTNLKGMARMISTPEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKIDRGYISPYFITNPKTQKCEMEDPMILIHEKKISNLPAFVKVLELAHAKQRPLLVVAEDLESEALGTLILNKLRVGLKLCAIKAPGFGENRKANLQDLAILTGGEVISEELGMNLENFDPQMLGTCKKVTVSKDDTVILDGAGDKKNMEERAEQIRSAIEESTSDYDKEKLQERLAKLSGGIAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKALDKLQTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKVGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMGGMEY* >Brasy3G226900.1.p pacid=40042384 transcript=Brasy3G226900.1 locus=Brasy3G226900 ID=Brasy3G226900.1.v1.1 annot-version=v1.1 MVVLAYMPVSYLGPRMSFPKTRPASTRPSATRSSSPIASIQYFKELQRALTSKLSEASEGLLDAFVDSTFTFSDQPLRPTESNFAPVDEIGELTAIADIEGEIPADFPEGVYIRNGSNPQFGALHNVDSVFGRSENVWVEGEGMVHAVYFSKSSTGTWSVHYANRYVQSDTFKLGKRRQRPFALPVATGEPTAMLAGYALNMLRLGKPVQNYSNTSVFEHSGRVYTIAENNVPQEIDLRSLDTVGRHDFGGDWNTPCTSHPKVVPGSGELVICGFNLTKPFLMVGVVSADGKKLTHKVDLKLDRCTFCHEIGVTALYNIIMDSPLTVNPSRMLRGAPLIDYDKESYARIGVMPRYGDANSVLWFDVEPYCTFHLVNCHEEDDEVIVRGIRVPPSVLIGLNQAHLTSANDRGMDEEYFARLYEWRLNLKTGAVKGKYITGKDVALEFPVINDQFSGLHHSYAYAQVVHSTASLAGGSGTVRPKFGGFAKLYLEEEVSENSKLAEKEDLINVECHHLNANQFCSGATFVPSVNGGHEDHGWIISFVHDEDTNISQAHIIDTRRFESEAVAKITLPQRVPYGFHGAFISKIK* >Brasy3G226900.2.p pacid=40042385 transcript=Brasy3G226900.2 locus=Brasy3G226900 ID=Brasy3G226900.2.v1.1 annot-version=v1.1 MVVLAYMPVSYLGPRMSFPKTRPASTRPSATRSSSPIASIQYFKELQRALTSKLSEASEGLLDAFVDSTFTFSDQPLRPTESNFAPVDEIGELTAIADIEGEIPADFPEGVYIRNGSNPQFGALHNVDSVFGRSENVWVEGEGMVHAVYFSKSSTGTWSVHYANRYVQSDTFKLGKRRQRPFALPVATGEPTAMLAGYALNMLRLGKPVQNYSNTSVFEHSGRVYTIAENNVPQEIDLRSLDTVGRHDFGGDWNTPCTSHPKVVPGSGELVICGFNLTKPFLMVGVVSADGKKLTHKVDLKLDRCTFCHEIGVTALYNIIMDSPLTVNPSRMLRGAPLIDYDKESYARIGVMPRYGDANSVLWFDVEPYCTFHLVNCHEEDDEVIVRGIRVPPSVLIGLNQAHLTSANDRGMDEEYFARLYEWRLNLKTGAVKGKYITGKDVALEFPVINDQFSGLHHSYAYAQVVHSTASLAGGSGTVRPKFGGFAKLYLEEEVSENSKLAEKEDLINVECHHLNANQFCSGATFVPSVNGGHEDHGWIISFVHDEDTNISQAHIIDTRRFESEAVAKITLPQRVPYGFHGAFISKIK* >Brasy3G332900.1.p pacid=40042386 transcript=Brasy3G332900.1 locus=Brasy3G332900 ID=Brasy3G332900.1.v1.1 annot-version=v1.1 MGPTQVQFYRSRPGGEKKGLLAAPESDIAAQRAGEAGDRATQSRKSTTRCRTTRTSGRRLDWRTGEEKGKKEERRITICLIGTQIEKEKERERSERKFPSRQSA* >Brasy3G063500.1.p pacid=40042387 transcript=Brasy3G063500.1 locus=Brasy3G063500 ID=Brasy3G063500.1.v1.1 annot-version=v1.1 MAASSPASSGKAASDTSAPAPAPAPAPAVANGNGTPQKPPPGTGFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPEKTPPTPAPLSDQPSTNSPLNGSSSRLSSLQKLPHHFLNSLRTRKSLAKKDVASINKWRFMKLEEHIQGDIDVENEAYDRYTQNIGLLEETFYLTEDAAGEHETEATSSEERMEIMVSEAKVRLKSDCSNADGFKERIATILGQKLKELHGRGSAYEDDKPSDQNLDDHRKPVKLSIKQQMESNMKTNELLGKLAKAQSEDDLRPCLGIMAQLFRKENSSSSMGTSNKSSSQESTPAITPSYSFPKRITRSEFDEDMSSKINDEFSSLSQVAQL* >Brasy3G063500.2.p pacid=40042388 transcript=Brasy3G063500.2 locus=Brasy3G063500 ID=Brasy3G063500.2.v1.1 annot-version=v1.1 MTVLKQNNTLPEKTPPTPAPLSDQPSTNSPLNGSSSRLSSLQKLPHHFLNSLRTRKSLAKKDVASINKWRFMKLEEHIQGDIDVENEAYDRYTQNIGLLEETFYLTEDAAGEHETEATSSEERMEIMVSEAKVRLKSDCSNADGFKERIATILGQKLKELHGRGSAYEDDKPSDQNLDDHRKPVKLSIKQQMESNMKTNELLGKLAKAQSEDDLRPCLGIMAQLFRKENSSSSMGTSNKSSSQESTPAITPSYSFPKRITRSEFDEDMSSKINDEFSSLSQVAQL* >Brasy3G063500.3.p pacid=40042389 transcript=Brasy3G063500.3 locus=Brasy3G063500 ID=Brasy3G063500.3.v1.1 annot-version=v1.1 MTVLKQNNTLPEKTPPTPAPLSDQPSTNSPLNGSSSRLSSLQKLPHHFLNSLRTRKSLAKKDVASINKWRFMKLEEHIQGDIDVENEAYDRYTQNIGLLEETFYLTEDAAGEHETEATSSEERMEIMVSEAKVRLKSDCSNADGFKERIATILGQKLKELHGRGSAYEDDKPSDQNLDDHRKPVKLSIKQQMESNMKTNELLGKLAKAQSEDDLRPCLGIMAQLFRKENSSSSMGTSNKSSSQESTPAITPSYSFPKRITRSEFDEDMSSKINDEFSSLSQVAQL* >Brasy3G240100.1.p pacid=40042390 transcript=Brasy3G240100.1 locus=Brasy3G240100 ID=Brasy3G240100.1.v1.1 annot-version=v1.1 MADVEAAAPPTHAPPPTMRVALAVVLRGLLELASRATLCGFLGAMWVSSAANIGMVIAHRFVVDGPFAAAMWYIFLFSCLATVLLSLAALLLLSLRLGGPQAEARQTSWQSAGGGGAAVQRHPAESTGARLRPDCGYGPPTVLVYVLSSMSILMGLAILLDAPEKAVGEATVRAGLRFCDYGRVGCSAMVCFIFIPKLISQLSALQ* >Brasy3G271200.1.p pacid=40042391 transcript=Brasy3G271200.1 locus=Brasy3G271200 ID=Brasy3G271200.1.v1.1 annot-version=v1.1 MAQDTWEADKTSLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKKVAALQQQQQNSSQQQLLQHPLLCQEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKAVLWHAETLKQNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G271200.2.p pacid=40042392 transcript=Brasy3G271200.2 locus=Brasy3G271200 ID=Brasy3G271200.2.v1.1 annot-version=v1.1 MAQDTWEADKTLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKKVAALQQQQQNSSQQQLLQHPLLCQEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKAVLWHAETLKQNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G271200.3.p pacid=40042393 transcript=Brasy3G271200.3 locus=Brasy3G271200 ID=Brasy3G271200.3.v1.1 annot-version=v1.1 MAQDTWEADKTSLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKKVAALQQQQQNSSQQQLLQHPLLCQEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G271200.4.p pacid=40042394 transcript=Brasy3G271200.4 locus=Brasy3G271200 ID=Brasy3G271200.4.v1.1 annot-version=v1.1 MAQDTWEADKTLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKKVAALQQQQQNSSQQQLLQHPLLCQEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G271200.5.p pacid=40042395 transcript=Brasy3G271200.5 locus=Brasy3G271200 ID=Brasy3G271200.5.v1.1 annot-version=v1.1 MAQDTWEADKTSLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKAVLWHAETLKQNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G271200.6.p pacid=40042396 transcript=Brasy3G271200.6 locus=Brasy3G271200 ID=Brasy3G271200.6.v1.1 annot-version=v1.1 MAQDTWEADKTLDAYIYDYLVKRNLQNTAKAFLAEGNVSTDPVAIDAPGGFLFEWWSVFWEIFIARTNGKHSEEAASYIETQKIKAQEQQALRQQQQLAHSQQSPHQIQTQQVLLQRHAQQQQQQHPQQQQQQPCRQQKQQQHNESSYLPTSSQNGSVSADPTTRQNTAAANSLSAKMYDERMKISSQRDALDEASIKQRYTENIEQLLESNQASMLKSAAMSAQTSGQIFQGSAGGIPGSFQQAQARSLQLQGSTQEIKADTNGAQNFSAAGADGSLLGVPGANPAGHNLTLKGWPLTGLDHLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNLASSPGDMDGRRLRMLLSSRNMFSGRDGQSNAFTEIIPSAGPSLQNIYSPVQLMETDMLMKEPQSSNHHPSNHGKLVSGSVTMDGSFCNSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPSPSSIPSTPSTDTPGDTMSMPLIHNNASISKAVVVFGADTPGTRESPANQIVDMDRFVEDDCLGDNAGSFLPHAAAACLRDARSRCVTSTKGFTFREISSARASTNKVVCCHFSSDGKLLATGGHDQKAVLWHAETLKQNSILEEHSHLITDVRFSPSIPRVATSSFDKTVRVWDVDNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDSEIRFWSINNGNIVQIFKGGSSQLRFQPRHGAFLAAASENVVSILDVETQTCMRRFEGHTEHVGSLCWDPSGEYVVSASEDTVKVWSLNSGNEENCVHELNSSGSKFHSCAFHPLYPSLLIIGCYQSLELWDMSENRSMTVAAHDGLISALASSSSGVVASVSHDKHVKLWR* >Brasy3G196800.1.p pacid=40042397 transcript=Brasy3G196800.1 locus=Brasy3G196800 ID=Brasy3G196800.1.v1.1 annot-version=v1.1 MGAGVSSLFFGIGGEAAQEPGAPGLGDLPELCAAEVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRHLMGYVEGGGDEGRRRRRLAGKKEIYARLSRPVPFDGGTKEFWLEKSKGRVCMALSSKALVITGIDDRRYWTHMPTAESRFQSVAYLQQIWWFEVVGELDFCFPVGTYSLYFRIHLGKFYKRFGRRVCSSEHVHGWDKKPVRFQLATSDGQHALSQCYLDEPGSWVLYHAGDFVVSKPDQALKLKFSMAQIDCTHTKGGLCVDSVYVYPKGFQQEKVITAKSSEMRL* >Brasy3G014900.1.p pacid=40042398 transcript=Brasy3G014900.1 locus=Brasy3G014900 ID=Brasy3G014900.1.v1.1 annot-version=v1.1 MHSPSPTSAAAAPSSSSPSHLSPAEGFLCAVKEGVDEMIKHVANEPSVGLYFVQQHAHASMPILLDVKGKVAEKTREVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINRSLMMMSKTQPKRGLIKNPTWGFQSGKSSEAWDDVGATNGGSSRNYLSSMFNTAKQKASILRWPQPDFSANDDVSEKSGSSAAPGSSKAGRHGASTPSDAERDDLPVSSRLLGNNNAGTTNPSLSASDISHMAESYNKFKEEQELKLQEWLRESKEAEDNRE* >Brasy3G014900.2.p pacid=40042399 transcript=Brasy3G014900.2 locus=Brasy3G014900 ID=Brasy3G014900.2.v1.1 annot-version=v1.1 MHSPSPTSAAAAPSSSSPSHLSPAEGFLCVKEGVDEMIKHVANEPSVGLYFVQQHAHASMPILLDVKGKVAEKTREVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINRSLMMMSKTQPKRGLIKNPTWGFQSGKSSEAWDDVGATNGGSSRNYLSSMFNTAKQKASILRWPQPDFSANDDVSEKSGSSAAPGSSKAGRHGASTPSDAERDDLPVSSRLLGNNNAGTTNPSLSASDISHMAESYNKFKEEQELKLQEWLRESKEAEDNRE* >Brasy3G070200.1.p pacid=40042400 transcript=Brasy3G070200.1 locus=Brasy3G070200 ID=Brasy3G070200.1.v1.1 annot-version=v1.1 MEANKSGEASASAAAAAAGPSPSPVVVSSEATVSFQPQSSPAAAAAERGFSGGVLVPPPGMAMGGGGGGGLAAARPAAVKVGGKKRGRPRKYGPDGSLIRPLNATPISASAPMLAAAVSPGQYTPASAVGAAMKRGRGSRPLDFSSAAAAVSVAKPYHHYQQPPQQTQQQFGFHFDSIGDMVACSAGGNFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTESNGARSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGTFLPSYQMEQKPKKARVDAAAAAAPVLIHTPPAVPLSSAETHSGEQQGQQHSSAAPRRTNVVTSAYSADQSWPPAVVQPAPVVDLSRTSSGDLKLTASGA* >Brasy3G106600.1.p pacid=40042401 transcript=Brasy3G106600.1 locus=Brasy3G106600 ID=Brasy3G106600.1.v1.1 annot-version=v1.1 MQAVLLLCCAAGQLQLMHGSTPPIYSPIYSLHLPSIYGRLPPLLPLSSFLPLPSSILSSSFSLSLGAARTCQTQTALASHKPRGKERGRGPRGKATCHLRAVPHDSTSTTTATGT* >Brasy3G318700.1.p pacid=40042402 transcript=Brasy3G318700.1 locus=Brasy3G318700 ID=Brasy3G318700.1.v1.1 annot-version=v1.1 MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRAHSKIAHLADKCVLASSGFQGDIKALQKNLTAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGATLMMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDRVEIVVINSSGTHRECIELRKD* >Brasy3G047100.1.p pacid=40042403 transcript=Brasy3G047100.1 locus=Brasy3G047100 ID=Brasy3G047100.1.v1.1 annot-version=v1.1 MEAAMGTLGSQSPLSFSSGLCNAKVSCGSLVYNVKIKSNRRLEVVCQGMFATRKFVRKKKEEVFTDAADEAKQKNWRRMMNEIEESGSAVSILKTQRSTKEPLPRDAVLGTLVRFKQLKKWNLVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYRPTVISQTALMEAYGRAKQYRKAEAVFHRMQTSGPEPSPITYQIILKSLVEGDKYKEAEAIFEDLLSEKRTSFKPDQKMFHMMIYMYKKSGDYAQARKLFAQMSERGIPQSTVTFNSLMSFEGDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGIRPTRKSYNILIDAFAISGLVEEAQTVFKTMRRHRVEPDLCSYTTMLLAYVNASDMDGAEKFFRRIKEDGLRPNVVVYGTLMKGYSKLDNVEKVMRVYERMRMQGVEPNQTIFTTIMDVHGRNSDFGNAVIWFNEMETRGYPPDKKAKNILLSLAKTPEEQQEANELTGNGAIQLKVKPSGMTPGLDKDGADEHEGGRIDAGHHRSLNGACTTSDLNGNNGAGSSRFDEENEDENEDDDDYEEEEDEDLNFVSFKDKRELNFAT* >Brasy3G229500.1.p pacid=40042404 transcript=Brasy3G229500.1 locus=Brasy3G229500 ID=Brasy3G229500.1.v1.1 annot-version=v1.1 MAAAPAVRFPVFGIARLLGVVAAAAILVWAIHFRGGMALSSEKDKLLIFNVHPVLMLIGLVVLNGEALLAYKTVPGTKKLKKLVHLSLQFLAMFLSLVGLWAVWKFHDEKEIDHLYTLHSWLGLSCIIFFTLQWAIGFWTFWYPGGSRSGRASLLPWHVFFGVFLYVLAIATSISGLLEKSIFMQTAKMIGRFSMEAMLMNSLGMLLVLLGALVILAVVSPGAGKIDTYRGSSE* >Brasy3G005900.1.p pacid=40042405 transcript=Brasy3G005900.1 locus=Brasy3G005900 ID=Brasy3G005900.1.v1.1 annot-version=v1.1 MSCCFMCGKDIKQTIEGEEVPGGNKVRTFSYNELRKATHDFSEANKIGEGGFGSVFRGRLKDGTIVAVKVLSATSKQGIREFFTELTAISDIVHENLITLVGCCAEGSHRILVYNYLENNSLAHTLLGKGYSSIRFNWRVRVKIALGVAHGLTFLHEEVCPPIIHRDIKASNILLNKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNNRLPYEDQFLLERTWKHYEQGQLEKIIDADLEDDLDVEEACRFLKVGLLCTQDAMKLRPNMTNIVLMLTGEKGVSTDMITKPAVISDMGDIKVNNQQRPDDTHSTTMRSFTITEPSTVASSEATTEPSP* >Brasy3G005900.2.p pacid=40042406 transcript=Brasy3G005900.2 locus=Brasy3G005900 ID=Brasy3G005900.2.v1.1 annot-version=v1.1 MSCCFMCGKDIKQTIEVPGGNKVRTFSYNELRKATHDFSEANKIGEGGFGSVFRGRLKDGTIVAVKVLSATSKQGIREFFTELTAISDIVHENLITLVGCCAEGSHRILVYNYLENNSLAHTLLGKGYSSIRFNWRVRVKIALGVAHGLTFLHEEVCPPIIHRDIKASNILLNKDLTPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNNRLPYEDQFLLERTWKHYEQGQLEKIIDADLEDDLDVEEACRFLKVGLLCTQDAMKLRPNMTNIVLMLTGEKGVSTDMITKPAVISDMGDIKVNNQQRPDDTHSTTMRSFTITEPSTVASSEATTEPSP* >Brasy3G023900.1.p pacid=40042407 transcript=Brasy3G023900.1 locus=Brasy3G023900 ID=Brasy3G023900.1.v1.1 annot-version=v1.1 MAPVKVFGPAASTNVARVLVCLEEVGAEYELVDIDFPGKGHKRPDHLARNPFGQVPAFQDGDVILFESRAIAKYVLRKYKSEQLDLLREGNLEEAAMVDVWTEVETHQYHPALSPIVLECFIYPTLHGLPTNQKVVDESLEKAKKVLEIYETHLSKHKYLAGDFVSFADFNHFACTFYLMDATPYAYLFDSYPHVKAWWESLMSRPSMKKLGANMSMRN* >Brasy3G264300.1.p pacid=40042408 transcript=Brasy3G264300.1 locus=Brasy3G264300 ID=Brasy3G264300.1.v1.1 annot-version=v1.1 MRRANARVELREQTLAPPAPASKMVAQRQRPSSCRSRRHLAGALGLLAAAAFALLLLLPRSPPPSYGVIIDAGSTGSRVHVIAYRAGTLPRLDWTRTASLKATPGLSSFAANPNSAGLSIAPLVEFARRRVPRDSWVDTEVRLMATAGLRLLDAVAADAVLESCREVLRESGFRFQDEWATVISGAEEGIYAWVAANYALGTLGGGTQDTTGIIELGGASIQVTFVTKKPMPPEFSHILTFGDITYNLYSHSFLHLGQNVAYEKLHDLLSTKGLKSMATHLIHQATYRDPCTPRGFSRMAGSVKLPVNVLESKAEYRPFAHAVGNFSECRSAVRTLLQKGQEECTYHDCRLGAAFVPDMEGKFIATENFYHTSKFFGLRSKSFLSDLMLAGEQFCHGDWSNIKKKYRSFNEGELLLFCFSSAYIVALLHDTLKVPMDHKSIDITNQIRGVPVDWALGAFIVQKTPNRTEYSDPSVSYLNNYDSSGLAPLIFITMVVFTVFSILKWRRPRLKTIYDMEKGRYIITRVNR* >Brasy3G264300.2.p pacid=40042409 transcript=Brasy3G264300.2 locus=Brasy3G264300 ID=Brasy3G264300.2.v1.1 annot-version=v1.1 MRRANARVELREQTLAPPAPASKMVAQRQRPSSCRSRRHLAGALGLLAAAAFALLLLLPRSPPPSYGVIIDAGSTGSRVHVIAYRAGTLPRLDWTRTASLKATPGLSSFAANPNSAGLSIAPLVEFARRRVPRDSWVDTEVRLMATAGLRLLDAVAADAVLESCREVLRESGFRFQDEWATVISGAEEGIYAWVAANYALGTLGGGTQDTTGIIELGGASIQVTFVTKKPMPPEFSHILTFGDITYNLYSHSFLHLGQNVAYEKLHDLLSTKGLKSMATHLIHQATYRDPCTPRGFSRMAGSVKLPVNVLESKAEYRPFAHAVGNFSECRSAVRTLLQKGQEECTYHDCRLGAAFVPDMEGKFIATENFYHTSKFFGLRSKSFLSDLMLAGEQFCHGDWSNIKKKYRSFNEGELLLFCFSSAYIVALLHDTLKVPMDHKSIDITNQIRGVPVDWALGAFIVQKTPNRTDVLHPEVEKTSPEDNIRHGERPVHHNASQPMSLAPRRWELVDFLRWGASDRVCKFS* >Brasy3G264300.3.p pacid=40042410 transcript=Brasy3G264300.3 locus=Brasy3G264300 ID=Brasy3G264300.3.v1.1 annot-version=v1.1 MRRANARVELREQTLAPPAPASKMVAQRQRPSSCRSRRHLAGALGLLAAAAFALLLLLPRSPPPSYGVIIDAGSTGSRVHVIAYRAGTLPRLDWTRTASLKATPGLSSFAANPNSAGLSIAPLVEFARRRVPRDSWVDTEVRLMATAGLRLLDAVAADAVLESCREVLRESGFRFQDEWATVISGAEEGIYAWVAANYALGTLGGGTQDTTGIIELGGASIQVTFVTKKPMPPEFSHILTFGDITYNLYSHSFLHLGQNVAYEKLHDLLSTKGLKSMATHLIHQATYRDPCTPRGFSRMAGSVKLPVNVLESKAEYRPFAHAVGNFSECRSAVRTLLQKGQAFVPDMEGKFIATENFYHTSKFFGLRSKSFLSDLMLAGEQFCHGDWSNIKKKYRSFNEGELLLFCFSSAYIVALLHDTLKVPMDHKSIDITNQIRGVPVDWALGAFIVQKTPNRTDVLHPEVEKTSPEDNIRHGERPVHHNASQPMSLAPRRWELVDFLRWGASDRVCKFS* >Brasy3G123000.1.p pacid=40042411 transcript=Brasy3G123000.1 locus=Brasy3G123000 ID=Brasy3G123000.1.v1.1 annot-version=v1.1 MSTINVSSYTVMCRRSPAMPASSAGTPTSHSWRPAPPPTTFHTTPVAIKCRRPMSVTCALPEKQRPPAFSIPPTVLLYPVPPDVKERWDIKDEKDQVKLWFQVPGLSEGDLKVSTSEDMLEIERIGAKTGGGTGDGGEAPVDVHGVGFFHVRLLMTKEHDSANVKAELKAGMLEITVGKTKGRKPNTVFGPKDTAQSDNSTGSGTNGVGTQSTSKTEQNMGKNGAQGEAPKRTPS* >Brasy3G319900.1.p pacid=40042412 transcript=Brasy3G319900.1 locus=Brasy3G319900 ID=Brasy3G319900.1.v1.1 annot-version=v1.1 MAVPQVDKKLLGELEVMGFPAVRSVRALHYSGNSSLESAINWLLEHESDPDIDQLPLVPREIRIECGDSSNEVSNSAQGIRAHAQERKSEEQTATGNQKEISRVERGANAAEDEEDTRKKILALYKSKRDEEERARGRIRNQLQEDKRERIRAAKDATEAKRTLEENQRKRMMESRKADQEEEKRARERIRQRIDDDKAERRRGLGLPHENTVASVPLVPPTKIKSVEPAVTSEQLRDRLRTLKKNHKDDNARVTRAFQILLKIIANIVKNPEEDKFRRIRLSNPVFKDRVANLQGGIEFLELCGFQKLRNNSYLVMPRGKVDTGLLNAAGVEIASAMENPYFGLLSK* >Brasy3G169300.1.p pacid=40042413 transcript=Brasy3G169300.1 locus=Brasy3G169300 ID=Brasy3G169300.1.v1.1 annot-version=v1.1 MLERVQQDEDDSDNVSLLARGSHTISGRVPLQGGEGDDRGHKADRHKQRGRQCGSRSNPSGGGGGALQGGEGEPARTRKTRGREEGSGATATMRGVTSRKPTGGSVLGRRRRLSCGREESIAARAGLAYAGGCAPGAQDDAAQIAHIA* >Brasy3G019700.1.p pacid=40042414 transcript=Brasy3G019700.1 locus=Brasy3G019700 ID=Brasy3G019700.1.v1.1 annot-version=v1.1 MDLILPFKVGDIIESRSFTVGYRGAWFRCKISDMSIRYGHMECQLEYMDYPGEKKSWTRLYKILPKCRNQKAGQSRAIMVRPPFPQWYWESHIPEHCQKADVVAIVSGLWKVGDLVDWWYTGCFWTGKITEVLGDDKFKENGECWYTARLITQNPDAGSSSSDEDIEQPYDGKEEVQECLNGAFHTPEKEVQKCLNGASDTPEEVVDSDVKLPANSCCMKIPAHNEEEPQKCINKESGTSLEAINSKVELAHENGECCINKQADCPSSPMTNSGQSTNIFLTNDQLSTASFKKRKTSTEPELPHTVGGAILELEKVANKIRLAEDLVLSMSSAPQNAPPMPSWKFLENNPSAKHN* >Brasy3G201400.1.p pacid=40042415 transcript=Brasy3G201400.1 locus=Brasy3G201400 ID=Brasy3G201400.1.v1.1 annot-version=v1.1 MIIPVRCFTCGKVIGNKWDHYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTESS* >Brasy3G306900.1.p pacid=40042416 transcript=Brasy3G306900.1 locus=Brasy3G306900 ID=Brasy3G306900.1.v1.1 annot-version=v1.1 MAGFTEILLPLLLLLFSAAAASPAPSDVVSRFQEYLRIDTAQPAPDYAAAVAFLRDQAAAAGLEARTLEPAAGKPLLVLRWPGRRPSLPSILLNSHTDVVPSEPKKWEHAPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRDAGFVPDRTIYITFVPDEEIGGHDGVELFVTTKEFKEMNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGEKSEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGIDIRMPPNVHIEALERRLAEEWAPSSRNLTFEFKQKGTVLDNFGKPAMTLADSSNPWWPVFEEAVKRAGGKLSKPEIFPASTDARYFRQIGIPAFGFSPMANTPILLHDHNEFLSKDEYLKGIGIYESIIKALATHKGDKIDEESRAEL* >Brasy3G346100.1.p pacid=40042417 transcript=Brasy3G346100.1 locus=Brasy3G346100 ID=Brasy3G346100.1.v1.1 annot-version=v1.1 MAGCRGREREREESTHAGGCGRVAGSRGAGGARARERERERERERERERERERARGEEETDLKIFNSMTALLTPWRTACVREGTERRRGKRENQEGEGDLPAAAWPRKAGGGRIRRTEAKGCRIRPCPSSVAGRGRRVAGRVWTRGSRSGSGGSRSGSGKAGGTTGGGRGRGRRPGLGPGSVAGSGAARAGAPGDGGREAGW* >Brasy3G236400.1.p pacid=40042418 transcript=Brasy3G236400.1 locus=Brasy3G236400 ID=Brasy3G236400.1.v1.1 annot-version=v1.1 MAAWSPRPLLLLLLLALLCSHIALCSSAAPAAKPKPKASGGRKALLASDADDGDEPIKAPKTTAAAGKAKKKLVPDGKNQTKVVAKGKKSEPAASAKPTKKTSAKAGADLAIAKPKVPKVDKAATDSAKSTKVQPKTGAVKASKPVKSEGGAPKAKKPSNSTVDASTSKKPAKSTKKTPAVAAADGKAKAKAMNTTASKESAGVEEDVVFAEEADGTEDLMSEFRGLPARLHETLMPDLARLSHTSKAYMSAANAGIAGGVRPILGGRWAAAAAAAASVALLLLPLFMLTALVRRMGAYLPLLHRALLLSQAYLAIYFATLALLAAATGLEPLRFFHAASPAAYAWTQSAQSLGFMGYLVLQMVDLVAVFSGAASPEEDTNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFLIICACARAEKRKKAYLAGGDGAAEEWKKS* >Brasy3G100400.1.p pacid=40042419 transcript=Brasy3G100400.1 locus=Brasy3G100400 ID=Brasy3G100400.1.v1.1 annot-version=v1.1 MASASRLLPPAPPPSVPTFRHATLGAAPRSCRHGIATPRLRCRASSAVSADAPLILEERAAAVALREFVTLEELRAAVRLRVRTFYEYARETVGAEDHRKALAEREYEALQNRISGKMINFRRVSCINGTVPLSPSLMSAEELCSACKFVEDGEERIVVSSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKGLARQWGITDLYVHVAINNEAAQKLYMKSGFVYESEEPAWKARHLGRPRRLLLWLDMKN* >Brasy3G018100.1.p pacid=40042420 transcript=Brasy3G018100.1 locus=Brasy3G018100 ID=Brasy3G018100.1.v1.1 annot-version=v1.1 MQQRQRSRAGTGVAAEPRPHLSDQPASCCSLPISLTEFLLFSICSFLLRIATCRQHCFFLLFFVD* >Brasy3G210600.1.p pacid=40042421 transcript=Brasy3G210600.1 locus=Brasy3G210600 ID=Brasy3G210600.1.v1.1 annot-version=v1.1 MLAGQRRGSSSSRRGERKVVALELFLNTAIPHPSLNKHPTPDRSPDPNPAPDSTPNSPLVGAGERAAAEADAREPATPSRGNSPTDEPPASHLLLPAWASERRLLPHPRLLLRAGSVSRNDVSRACRAAAAVRAGQGGGGVPVRSDSRRGGDCPRGRSRAGARGRCGRRAGDGEVVPAAMGVDAGLLGLVPFQIEGGGGFPGTRQSSSARLGVAGDSGVGGARSRDAPAGVEEEEARGLFGWRNRSRAAVESAAGSGVLCIWAVHSFSPEEFTHQT* >Brasy3G181200.1.p pacid=40042422 transcript=Brasy3G181200.1 locus=Brasy3G181200 ID=Brasy3G181200.1.v1.1 annot-version=v1.1 MDYEKSRRHNPSTSRKRTHFNSDDGNRKRLNSRHDDGPMSSQPIETVYRILCPGKKIGSVLGRGGHIVKALREETKAKIRVADSIPGAEERVIIIFDYQDQSEQTDEAVQNISNNDGSENMKIQCFAQDALLKIHDKISTDEDPHDGAIHEKSETAADVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRILPSQDLPQCALQSDELVQISGAPSLVRKALYEISTRLHQHPRKENPPLEEIIDASTQRKRESLPPLPHENLMLPYQHVDRVPPTMPLLDPYRNRPSQYPVPEAEEFSVRILCASELIGPVIGKSGANVRQVEQQTGARILVQELDKDASGERLIVLSSKEIPGDPVSPAIEALILLHSKVSASSEKRHLITRLVVPSSKVGCILGEGGKVITEMRRRIGAEIRVYSKADKPKYLSFDEELVQVAGPPDIARDALTEIASRLRTRTLRDGGSANNPLPLAPSDGPRGDIFPSREFTQYGRPANPPYGRPANDPPYGRPANDSPYRRLTIDQPYGRPAGDSLYGMPANDPIYGRPANDPPYGRPANDPPYGRPANKPNDPSSAYPIDYFSKRREYPIGSPFASNVPLSASYDRNVAPARLPTREMPLSASPGADYMTHRSYRNHMPTGSYSSRGTQELGLSRAGNSNVQQLGVARAGNSNAYDYTEAARQHGREDYQRLAGVTGYSSSSLELTIPNSSLESVLGAGGVNLAEIRQISGARMKLLEGHPGSSESIMEIQGMPDQVRAAQSLLQGFIGANSSQSTQQSQSSRDVHYPRWN* >Brasy3G181200.2.p pacid=40042423 transcript=Brasy3G181200.2 locus=Brasy3G181200 ID=Brasy3G181200.2.v1.1 annot-version=v1.1 MDYEKSRRHNPSTSRKRTHFNSDDGNRKRLNSRHDDGPMSSQPIETVYRILCPGKKIGSVLGRGGHIVKALREETKAKIRVADSIPGAEERVIIIFDYQDQSEQTDEAVQNISNNDGSENMKIQCFAQDALLKIHDKISTDEDPHDGAIHEKSETAADVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRILPSQDLPQCALQSDELVQISGAPSLVRKALYEISTRLHQHPRKENPPLEEIIDASTQRKRESLPPLPHENLMLPYQHVDRVPPTMPLLDPYRNRPSQYPVPEAEEFSVRILCASELIGPVIGKSGANVRQVEQQTGARILVQELDKDASGERLIVLSSKEIPGDPVSPAIEALILLHSKVSASSEKRHLITRLVVPSSKVGCILGEGGKVITEMRRRIGAEIRVYSKADKPKYLSFDEELVQVAGPPDIARDALTEIASRLRTRTLRDGGSANNPLPLAPSDGPRGDIFPSREFTQYGRPANPPYGRPANDPPYGRPANDSPYRRLTIDQPYGRPAGDSLYGMPANDPIYGRPANDPPYGRPANDPPYGRPANKPNDPSSAYPIDYFSKRREYPIGSPFASNVPLSASYDRNVAPARLPTREMPLSASPGADYMTHRSYRNHMPTGSYSSRGTQELGLSRAGNSNVQQLGVARAGNSNAYDYTEAARQHGREDYQRLAGVTGYSSSSLELTIPNSSLESVLGAGGVNLAEIRQISGARMKLLEGHPGSSESIMEIQGMPDQVRAAQSLLQGFIGANSSQSTQQSQSSRDVHYPRWN* >Brasy3G010400.1.p pacid=40042424 transcript=Brasy3G010400.1 locus=Brasy3G010400 ID=Brasy3G010400.1.v1.1 annot-version=v1.1 MGIRSKKRKTRSRTIAARPSCRRRRRKVVGMHDLLPAQGEWRDWAALPHDVLWLILSRIPQADILLGAELACSAWRQLAVHEPLLWRHIDLADGEEDDESDGPKAWRRMARAAVDRSAGRCESFRGRVDGGFLIYLAHRAPLLRSLHMTCRFDITLEGKLMAGIKKLQQLQQLVLSAGRIEEPSSSLVALLDHCPRLQLLDASGCRTYNLISDTARARLESRIKHLRLPCHSIFCRRLLMCYSSTKIRHDLQ* >Brasy3G174900.1.p pacid=40042425 transcript=Brasy3G174900.1 locus=Brasy3G174900 ID=Brasy3G174900.1.v1.1 annot-version=v1.1 METKKIRDALAARRLACPGALHLSIASAPLNTPPRAEAQLASPPPRPPSPAVASTHIRLDRSLRRRAAGKGKESMGRAPCCEKEGLRKGAWSPDEDQRLADYIAQHGHPNWRALPKHAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEDQIIRLHHSLGNRWSAIAAQLPGRTDNEIKNVWHTHLKKRLLEDNQKTTPGGDGGRRQKKKRKQAKATKSAPVSVKHEQLSPGRSSSSVTYNSTVTETAAPVSSSPAITSASHQLVKEESFSSAVVTDDSFWFSTDVTGMMNLGSMEEELSLAPTRNEDMDFWLKMFESGDMRDLAVS* >Brasy3G182800.1.p pacid=40042426 transcript=Brasy3G182800.1 locus=Brasy3G182800 ID=Brasy3G182800.1.v1.1 annot-version=v1.1 MAMAGRKLEGGEEQDKIEELAPVEVPAHSLKPLDPEWVITIREKLVQARQSVYRVPEYLRDDNKHAYSPQVVSIGRALHHGKH* >Brasy3G189300.1.p pacid=40042427 transcript=Brasy3G189300.1 locus=Brasy3G189300 ID=Brasy3G189300.1.v1.1 annot-version=v1.1 MGQRYRFFCCGCGANAAADDREADEEGGAGVPDGGKGGDIVGAARQLSWAQVERMTGGFTSAVVGEGGFSTVYLARLSGALAAAKVHRSSERLHRVFRQELETLLRVRHPHVVRLLAFCEQQDEGVLVLEFAANGNLHEKLHGGGKAAGTMPWARRVSVALQVAQALEYLHDRCEPQVVHGDVKASNVLLDSTMSTKLCDFGSARMGFSAAVRPRAHQTMLGSPGYVDPHYIRSGVVTKKTDVYSFGVLLLELLTGMEAFCPVEGRLLTAVLAPRLKPAGGVPCCDARALVDERLGTAYDAAEASAVAALAASCVGQNPSLRPSMADVVRTLEQSAQGSILAVGKGSDGHGKL* >Brasy3G130000.1.p pacid=40042428 transcript=Brasy3G130000.1 locus=Brasy3G130000 ID=Brasy3G130000.1.v1.1 annot-version=v1.1 METAQERELLQLQLQGWPFHAMQASLEASTGYTYSGSSISSGGGGDSFFLGWEPPFGCFGVVAADAHLHDLFPLCLESLPMSPALSTAADLPPEPQGAATMPGELDDLLLNFWDGDASEKQPAAINSSCVPQQLHLHEKGNSSSAAMATASSFLLYGDDDPLGSIFSKGPVFTPEEEAVFQAPAAPAEPLASSSSSSSCHAGPRASDAQQPQDTAAPSGPRVPRCSTSASSLKRATPEESTEAECSQSSSVVKRQKKAAPGRVVCPFAVLKPDGLDGGATLADINARILMRPARPVRHPVGEYACAPRVLERDAPGISGKAVAGFTRLHTPGRGTITIMRTRG* >Brasy3G124100.1.p pacid=40042429 transcript=Brasy3G124100.1 locus=Brasy3G124100 ID=Brasy3G124100.1.v1.1 annot-version=v1.1 MASALGEQVVLLRCVDHATFAVPASMALRSARVAAAVGEELRVVDLPREVSGHGVATAVAYWAGRADAAARGADLAEFDAEFVRGLTHDAAIDLVHAAYHLGDDALFGLF* >Brasy3G287900.1.p pacid=40042430 transcript=Brasy3G287900.1 locus=Brasy3G287900 ID=Brasy3G287900.1.v1.1 annot-version=v1.1 MPHAPAGAASVVVGHPGALGGGRELDDEDLVEELLATVNSARAYTDFRRTQRKECHSLLRWLQLVLPLLEELRDSSPRLTDNAYARLALLGRAFAAARRLLRSCHDGSKIFLALENEAVLGRFRTVYEKMNSALDGMPYAELGVSDEVMEQVELMNAQLTRCKKRRDTQDIELAMDLMVILQKKEDDERSSDGAILDRLASKLELQTLPDLRAETVAIKKLINERNGQHPDSTRQIVELLSKFKAVAGVDEKNVLGGEVAVTAKSLDKCPSLMIPDDFLCPITLEIMTDPVIVASGQSYERRSIQRWLDSGERTCPKTRQPLAHLSLAPNYALKNLILQWCEKHKVELQKREPEPEPAVDEHRPTEDIPSLVEALSSIHPDVQRKAAKKIRVLSKESPENRTLIAHNSGIPALIGLLAYPDKKVQENTVTSLLNLSIDKGNKLLITKGGAIPLIVEILRNGSPEGQENSAATLFSLSMLDENKAAIGTLGGIAPLVELLANGTVRGKKDAATAIFNLVLNQQNKVRAVQAGIVPALTKIIDDGSQLGMVDEALSIFLLLSSHPGCLAEVGTTAFVEKLVQLIKEGTPKNKECALSVLLELGSKKQPLLVHALRFGLHEHLSNIARTGTSRAQRKANSLIQLAKKCY* >Brasy3G182500.1.p pacid=40042431 transcript=Brasy3G182500.1 locus=Brasy3G182500 ID=Brasy3G182500.1.v1.1 annot-version=v1.1 MSSPYTGAPAPSPATQQNHSLAFRVMRLSRPSLRPDPAALLRFDPRDVFLPEDALTSPDPSAAAELLHGLLHPPDSAVSTTAVPGDFTFRDRFLLRDPADALALPGLLVLPQAFGAIYLGETFCSYISINNSSGLEAREVIIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDAERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAEQWSATILEADEHPSVVKSTIRDLCKQPILIRAGGGIYNYLYQLRPSSDESGQIKAEGSSILGKFQITWRTNLGEPGRLQTQNINSTPTPSKDVDLRAVKVPPVIFLERPFMVNLCLTNQTGKTVGPFEVFLASNISGEQKAVLVNGLQKLVLPLVEAFESINFDLSMVATQLGVQKISGITMFAVQERKYYEPLPDIEIFVDAE* >Brasy3G321800.1.p pacid=40042432 transcript=Brasy3G321800.1 locus=Brasy3G321800 ID=Brasy3G321800.1.v1.1 annot-version=v1.1 MASHNLALLFLVLLLSSPTLSLAAAACNSEKFPAGRKYANCEDLPQLGAALHWTYDDSTAALSLAFVAAPAKPGGWVAWGLNPAGSGMLGAQALVALRPSPSAPVAVRTYNITGYVPLGGDSTPLAFPATELAADESGAGGKIRVYGKLQLRKGMKEVSQVWQVGPSVSKGAPDKHDVAAANLAAKATLVLAGSDKAAAAAPAPAPTSGGPDAGEGAGTVTAPAAGTKTPSASAAAMAMAGVEKMAFAVLALVAAFFLA* >Brasy3G333800.1.p pacid=40042433 transcript=Brasy3G333800.1 locus=Brasy3G333800 ID=Brasy3G333800.1.v1.1 annot-version=v1.1 MEAAAAGGGGAEAHTVDTSTFPSAFFSGSDHQDAAAAWPARVAGAVVRGIITFVFATVGTILGAITGGLIGLATESGLVRGAGIGAISGAVVAMEVVDRCLAIWRSDQSAIWSVLYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMTAAGFGDDSGPPTLSEMFDAASFKGMAADAIADLPATTFTDADAACCCSVCLHDMEAGEQARRLPDCGHTFHLTCIDGWLRRHASCPLCRRAV* >Brasy3G089500.1.p pacid=40042434 transcript=Brasy3G089500.1 locus=Brasy3G089500 ID=Brasy3G089500.1.v1.1 annot-version=v1.1 MLNQMLPTRTVSTCTPRTVQGIHVFSISGYSQHRGIGVGKYIKSGAFSVGDHEWEIRFYPDGILTQDFIAVYLELLSKGANVHASCDLRLVNQTTGLSSSVHKTAPRMFNPNNDLRFAPQDGNFISRSEFEASAYLRNDHLAIECVVTVLKDARVSQVKPAPQIEVPPSDITTHLGKLLEAQEGVDVTFSVGGATFAAHKIVLAMRSPVFKAEFYGPMREKAAEVIPIQDMQPYVFKAMLHFIYTDALPAMDDLEGDDRSEMIRHLLAAADRYALDRLKLDACVEFISSSAMDDIVATKGYVDLTRNCPSVLIDVFVRMSRSCKS* >Brasy3G197500.1.p pacid=40042435 transcript=Brasy3G197500.1 locus=Brasy3G197500 ID=Brasy3G197500.1.v1.1 annot-version=v1.1 MVKLISVISEGADEGTAMPLQPQEHGGDRKPQRFISGSKETQRKAKRKADRALADRNERDRPGSPYQMCEFLRACGTGIGRIGSGKFGRIWFRKQTHRAPTTHRIRHAFSSVFGLRCSR* >Brasy3G234500.1.p pacid=40042436 transcript=Brasy3G234500.1 locus=Brasy3G234500 ID=Brasy3G234500.1.v1.1 annot-version=v1.1 MDEFELEDNLEFILHSIQELIEDQGDNNPFGEVNQNELLANLVNYDQDNMMPDVSAEDVVNGKDVQGIPWEKMMFPRDKYRDMKMKSYKNYQNLSYAREDALQDCKQVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHDQSVTHWSSLDQISTELINGDDCIVPKQRGHGSQSVAMVQFTTMAVDDNLLILGGFQGELICKRLEDDGIVFSTRVTDDENAISNSLEIYQDPSGSRRLVAANNDCSVRIFDTEYFDLLKHYVFPWSVNSVSVSPNGKLFAVLGDHEDGLVVDPKCGKAIGKLSGHLDYSFSSAWHPDGNILATGSQDTTCRLWDIRNLSESLAVLGGRVGSIRCIKFSPDGRFLATAEPIDFVHIYDSWADYGKSHEIDFFGEIAGLSFSPDTEAFYIGVADQTYGGLMEFKRRHQHHYVNCMW* >Brasy3G071200.1.p pacid=40042437 transcript=Brasy3G071200.1 locus=Brasy3G071200 ID=Brasy3G071200.1.v1.1 annot-version=v1.1 MHFLAGSQGSQPRAPSRAAACSVRLPLPQASPDRGGEMEQGEAGQGHGGGAQGGGRAKREAQRGEPGFRRSARDREQDGVGVVSGERGDHGHRAQVRASLKRSAAAASRTADGAWWRRIHHLPACGRARRRPRRLLLSPFPLFQCTDFNICGDVGFVIVVLHRKRLVLFRCRSLSLCTLWFVNINQNSSYSGIFNYGMFVLWVY* >Brasy3G236200.1.p pacid=40042438 transcript=Brasy3G236200.1 locus=Brasy3G236200 ID=Brasy3G236200.1.v1.1 annot-version=v1.1 MHRLDPARSYLVPRRTDGRFLMLRVYPASLTPGERAVRCASNHRRGASIYAAWVDLDRTNFFS* >Brasy3G236200.2.p pacid=40042439 transcript=Brasy3G236200.2 locus=Brasy3G236200 ID=Brasy3G236200.2.v1.1 annot-version=v1.1 MHRLDPARSYLVPRRTDGRFLMLRVYPASLTPGERAVRCASNHRRGASIYAAWVDLDRTNFFS* >Brasy3G278500.1.p pacid=40042440 transcript=Brasy3G278500.1 locus=Brasy3G278500 ID=Brasy3G278500.1.v1.1 annot-version=v1.1 MEIELWPLWATMLAVVSLLYYCLTNLQAARGATGTGRRLPPGPPPLPVVGNVLSLLGGNLHHTLARLARAHGPVMTLKLGLTTAVVVSSRDAAQEAFTRHDRRLAARAVPDTARALGFSGRFIIWLPSSDPLWKTLRGIVATHVFSARGLAAARGALERKVRDMARYFRGRAGREVSVGQAVYGGVLNLMLSAFCSVDVVDGAELSSALGLRELVEDLIAAVAKPNVSDLVPFLRSLDLQGWRRWTARRFEKIFRILDAIIDRRMAADNKLQHGDFMDSLLELVSEGKIGRDNLTAILFDVLAAGNDTTTLSVEWAMAELLRNPGAMAKARAEIHDALGGKESIGEADAASLRYLQAVVKEALRLHQVAPILLPHLAAEEGVEIGGYAVPRGSTVIFNAWAIMRDPAAWERPDEFLPERFLDVADRVDFWGKAFEFIPFGSGRRLCPGVPLAERVVPFILASLLHAFEWRLPDGVSAEELDVSERFTTANKMAVPLRAVPVVVT* >Brasy3G004800.1.p pacid=40042441 transcript=Brasy3G004800.1 locus=Brasy3G004800 ID=Brasy3G004800.1.v1.1 annot-version=v1.1 MPPKASKKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIEPNEARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGASAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEITHIDDTIDPVRDLETISQELRLKDIEFVQARIDDLDKQMKRSNDKQLKIEHELCQRVMAHLREGKDVRLGEWKSAEIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFEQKLVDMPADEAAKYCAENQITSLIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK* >Brasy3G316500.1.p pacid=40042442 transcript=Brasy3G316500.1 locus=Brasy3G316500 ID=Brasy3G316500.1.v1.1 annot-version=v1.1 MFDSCFVPFKFQCYAETENLATGSTVSSEVVSAGGYLWRINCYPRGDDEAADYLAIYLELMSNGRGIKAIFEAFVMEKNGEPSYSHADRLVDLFPPEDSKFRSWGWTRRSTWSTIVCGIIVVRDDPLSVPCSDIGAHLGSLLDCAADGNSDVSFVVGGQTFPAHRAVLAARSPVFKAELFGSMAEATMSSITLEDIASAMFEVFLRFMYTDALPEEDDSPVEMYKHLIAVADRYAMDRLKLMCAKKLWDDVSVDTVADTLFYAETYSCAALKTKCIAFFAEEKNFRNAVLTDGFAAPKFVQPPPSPPLFPQRPAAATPPPLFLQRLPPNSCSRRRRLLLPPESRPAPTSCSCRRLLLLPAQLLAAAATTVTREQDCRTTTVLPQLPGSTTLGPPPSRDQVTTVLALTGLIVWQRRFYIG* >Brasy3G145300.1.p pacid=40042443 transcript=Brasy3G145300.1 locus=Brasy3G145300 ID=Brasy3G145300.1.v1.1 annot-version=v1.1 MPRAVSRSPPAHRRRRSPSPRYGIRRIRRDRSRSPYASSRRKTRSPSPRWDRSHSPIPRKRRSSPSPRRQRRRRSRSSTSSLINNSRSPSHGSEQNNLIGKQRKEEEEKKRRQKEAELKLLEEELARRVEEDIRKNVEEHLNSEEVKNEIKRRVEDGIKKLFDEVDAQLLKEKESALKEARQKVEQERREREELDRMLEENRRKVEESQRKEAQEQQQKELERYLELERIQKQREEALRRKKIEEEEERVRQMKLLGRNRY* >Brasy3G145300.2.p pacid=40042444 transcript=Brasy3G145300.2 locus=Brasy3G145300 ID=Brasy3G145300.2.v1.1 annot-version=v1.1 MPRAVSRSPPAHRRRRSPSPRYGIRRIRRDRSRSPYASSRRKTRSPSPRWDRSHSPIPRKRRSSPSPRRQRRRRSRSSTSSLINNSRSPSHGSEQNNLIGKQRKEEEEKKRRQKEAELKLLEEELARRVEEDIRKNVEEHLNSEEVKNEIKRRVEDGIKKLFDEVDAQLLKEKESALKEARQKVEQERREREELDRMLEENRRKVEESQRKEAQEQQQKELERYLELERIQKQREEALRRKKIEEEEERVRQMKLLGRNRY* >Brasy3G091300.1.p pacid=40042445 transcript=Brasy3G091300.1 locus=Brasy3G091300 ID=Brasy3G091300.1.v1.1 annot-version=v1.1 MEFLLCGLVSFIEITSIVTAKSRNTNTPRHPKRFSVSSPPPGKLGFPCAAADRSFHRPSPMAWHSARRQPRRHILIQTLEWIQHPVRCTNYFDAKRIWTDSFATGQLQSCPNLYQPMTKGIILCWHRIVLGLCNCL* >Brasy3G091300.2.p pacid=40042446 transcript=Brasy3G091300.2 locus=Brasy3G091300 ID=Brasy3G091300.2.v1.1 annot-version=v1.1 MEFLLCGLVSFIEITSIVTAKSRNTNTPRHPKRFSVSSPPPGKLGFPCAAADRSFHRPSPMAWHSARRQPRRHILIQTLEWIQHPGQCLKLLISYPF* >Brasy3G091300.3.p pacid=40042447 transcript=Brasy3G091300.3 locus=Brasy3G091300 ID=Brasy3G091300.3.v1.1 annot-version=v1.1 MEFLLCGLVSFIEITSIVTAKSRNTNTPRHPKRFSVSSPPPGKLGFPCAAADRSFHRPSPMAWHSARRQPRRHILIQTLEWIQHPGCQGLLSLSKQ* >Brasy3G091300.4.p pacid=40042448 transcript=Brasy3G091300.4 locus=Brasy3G091300 ID=Brasy3G091300.4.v1.1 annot-version=v1.1 MEFLLCGLVSFIEITSIVTAKSRNTNTPRHPKRFSVSSPPPGKLGFPCAAADRSFHRPSPMAWHSARRQPRRHIL* >Brasy3G133800.1.p pacid=40042449 transcript=Brasy3G133800.1 locus=Brasy3G133800 ID=Brasy3G133800.1.v1.1 annot-version=v1.1 MKYSKEAKPERAMGGGGVGARALPAALMVLLLCGFSFYLGSIYSSTGRTFTLFDSTTTTIVSTTSKQSSGGGGGAIAIAEVEEEFAECPAEYQDYTPCTDPKRWRRYGNYRLSFMERHCPPAPDRSVCLVPPPKGYRPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKVDGDRFAFPGGGTMFPNGVGAYVDLMADLVPGMKDGSVRTALDTGCGVASWGGDLLARGILALSLAPRDNHEAQVQFALERGIPAILGIISTQRLPVPASSMDMAHCSRCLIPWTEFGGLYLMEIHRVLRPGGFWVLSGPPVNYENRWHGWNTTVEAQKADFDRLKKLLSSMCFKLYNKKGDIAVWQKSLDSSCYDKLTPVTSPAKCDDSVDPDAAWYVPMRSCVNAPPKPHRKQTQLLPKWPQRLGVAPERVSVIPGGSGSAMKHDDGKWKAATKRYKALLPALGSDKVRNVMDMATTYGGFAASLVKDPVWVMNVVSSYGPNSLGVVYDRGLIGTNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRDNPYFLDSAANIAKGMRWSCDRHDTEDKENEKEKLLICNKPLWSAKKKNI* >Brasy3G146100.1.p pacid=40042450 transcript=Brasy3G146100.1 locus=Brasy3G146100 ID=Brasy3G146100.1.v1.1 annot-version=v1.1 MHVWEMELQLHHRWCNQTMLNCVTEVAVQTTQSDKVSRSARRKKLKRQLKKKAKEELKENGHCQEPSIDAHCPPSSNQDDICPSSNQKDPRLPFSSHEAEEEESETADDIVPVVVRPGHIRFEPAGVTCFLRDILLTSMPEVSSSICSEAVWTKTGVPVTSGMGQSRAGMEA* >Brasy3G281200.1.p pacid=40042451 transcript=Brasy3G281200.1 locus=Brasy3G281200 ID=Brasy3G281200.1.v1.1 annot-version=v1.1 MATAATSGTAPAAADEEIVHESMSIRIYKNRVERHASDKYVPPSTDAATGVYSRDHTISPNVSARLYLPRDDGDTPAGKLPVLVYYHGGGFCLGSAFDRTYHDYFNNFVALAKIVVVSVEYRLAPEHPIPAAYADSWEALTWVISHSAGSTGNDPWLTDHVDLSRLYLGGESAGANISHHMMMRVGSEGLAHNANICGLVLIHPYFLGSNKVNSDDLDLAARDRLGKLWHAVCPMTIGEDDPLINPFVDGAPSLEALACIRVLVCVGEVDVLRDRGNAYYDWLKGSGWRGEVEIWQAPGKGHRFHLLEPGCDEAVVQDKVISDFVNHRLTVKELDIIRRESNCNFTNNNILRT* >Brasy3G026900.1.p pacid=40042452 transcript=Brasy3G026900.1 locus=Brasy3G026900 ID=Brasy3G026900.1.v1.1 annot-version=v1.1 MATILRRSVGPARQFLLPRLLGPARSMSRYYARDEVSRFDALSTPVNWGVSIVPEKKAFVIERFGKYQRTLGSGIHALVPVVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVRSINEAAVDWGLKCLRYEIRDISPPKGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILARSQATAEGIRMVSESMKAEGSAEAASLRVAEQYIKAFAGLAKTTNTILLPSDAGNPSSMIAQSLQIYKHICQSNKTKGLSLEAEEAEEEDDMSGSGIPSLGSTFSLQSRKD* >Brasy3G022500.1.p pacid=40042453 transcript=Brasy3G022500.1 locus=Brasy3G022500 ID=Brasy3G022500.1.v1.1 annot-version=v1.1 MPPRHLATPLNEDSDSGGVRRRPPPIWSIIPSSGHPHIPSATGVDSPLAAQLHSKHDPPIVLAKVDANDEKKKPLAAKDEFQGFLTLEEHSAIQGPQGGRGDRRLLEEAGWSCFQGDRGTRRCSAP* >Brasy3G044700.1.p pacid=40042454 transcript=Brasy3G044700.1 locus=Brasy3G044700 ID=Brasy3G044700.1.v1.1 annot-version=v1.1 MLVDLLIAGQRSSNGQEDFAESQMTVSSSFINQGQQCSAAAPPQQEEDDDDSLILEILTITPVTSVDTTVPCLISWAGDGTNRSDYEPGLVRIGPLHWLQDPSQQSADWMEQQKKHVLHGLLVSLDEAGRREEMRIYLQAMEGMEERARRCYNRRFSWMTIKEFSRMLLLDGCFLYSRFFSASVGDITVDRDIIFLLENQIPFFVLEEIHRLLIVRGRPDESVIVLDKVASCVEQVLRCNDYSATTLSNTSSPPCHLLHLLYMYFSPAGADAGAVQVPVGWRTVIHYCATSVIRILKRIIGVVDNRNATSATDAGLQLQAPGVGHHSPNNSSTAVPVPQVRWRMAMQYYTAGVRFAMRKLRNGEGEARSILDVEVIGDTLHVPCLMVDSNTFRMLRNMVALEQKGLQQMRTSHVTTYCLFMSKLASTEKDVELLVTKGIISHMLHSNEEVASGLASLCDDVILNAYDPDLFYLRRQQEALEELCLSSWRKSKAWLRHIKCDNRLKVLVVVAAVVIFVATLLQLLFAGLSYGKGH* >Brasy3G290800.1.p pacid=40042455 transcript=Brasy3G290800.1 locus=Brasy3G290800 ID=Brasy3G290800.1.v1.1 annot-version=v1.1 MEPALGRSLGASSVAALRPCATRAPAATSVTPRGSGAVRRAKGVRWEAGRSSGRPLRVRCDAAVAEKPAGEEAAEEQFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKSDPEAGTITVTDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKEVGADNSLIGQFGVGFYSAFLVAEKVVVSTKSPKTDKQYVWEAAADSSSYVIKEETDPEKMLTRGTQITLFLRPDDKYEFADPTRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEPKEGEEATEGEKEKKKKTITEKYWDWELANETKPIWMRNPKEIEKAEYNEFYKKAFNEFLDPLSYTHFTTEGEVEFRSVIYIPGMAPMSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFPSSKNEGDMISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELDDEDEDSTESKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPQHPIVKDLNAACKNEPESVEAKRAVELLYETALISSGYTPESPAELGGKIYEMMSIALGGRWGRSDTEADTTNETGTEADSSEGPVTEVIEPSEVRPESDPWKD* >Brasy3G320000.1.p pacid=40042456 transcript=Brasy3G320000.1 locus=Brasy3G320000 ID=Brasy3G320000.1.v1.1 annot-version=v1.1 MNPADPRRSSRLAAAGVVVEERPVPAVTRRSKQKNAVAAEPPETGKEGIEEVAEFTRHGRRKKAVKPPEPEKEKEEEVAVPTRRVRTVKFAEPEKEEEGEEEAVVVTFHAGRKNKAMPVAPPELLPKTRSRRGRGRVTTASARNTILEEEDQVEQEENEVAAAREQAGNQTATDGDEAGKGGEVGAGDEAVEGTSKTLEDEARVARRGRARRALKGMNNAECAASDDRGEEIKCARDVEEDGKREVAGRGGEVGDMEKEEEHAGRSSLETMTLGDWFDRMEKYLPRMINEAADEMIATMEERHQRLNEYILTLRNSSDPS* >Brasy3G347900.1.p pacid=40042457 transcript=Brasy3G347900.1 locus=Brasy3G347900 ID=Brasy3G347900.1.v1.1 annot-version=v1.1 MTELAAGAVSSLLVVIRNEALLLGGVRDDVQFIQEEMESMNSFLAHLARSAPPGSEHDEQVRTWMNQVRLLAQDCNGCIDLYLYRGNPDIHRAKGRFRRYLWWVYWSLHKLLAQHRAAVRLRQLKDRARDVGERRLRYGVEVPAKSAAAGLMPALSSSSSSVNAAAAAGEDDEEEESEDQLVVAPRSGGRSLLFEPPTLDDHVKAKLLKWFDEIPPDAGKTHSIAIMVSDTTYYREALALARDTLVVPSAIRGAGYHRDILVNIPGLHLDFLPLRLDEVLYYILRELKDAKSQKPRIDQGEGWEEEDKEEEEQQKDVMEEEEEDLDSWQDYSKKFSIYLEKTRELRKIKRSIKKMMIHEKLDKIKSEIQVQQQKGEGARQQLLRLDLGQNKSVDRLDLHVLLLLLHESATTDASQQDPVKNKDMRNLPAWDDSIILKIAKKLKKHMEAAEKSREFNGQMGEEKEEIAKQEAGGEEWEEEKRKEMENREDDIKEEPNKEMENRGEDDSKMEEEQNKEMENRAQKTSEEEQKKDNNKERSGEMEEADSKEDEQLEHMEKEVGEEDDDEDYDDDDDTEEEEEEGEEDEDEEGPICLHEDQYAQILREVFPKTSNSKPLQAQQKDGSATTTALDEERIKEMIQEAKQEVLRELQGGNPDKNLAAGKPGVLGQNQKADFDEIVQKIEKIKQKLKEQLKINRIVDKIKHHLKGDCPLIILKVDDMMDGSGWEDIRQALSLLECSADAVIFTTTKSTQRAKGYYYPPREPIDYSLVGLYHDKVLELTSQQKEEDSPSPKVFRDILEECQPHEFCMKIFSHALYANPKRSNEELKKLHSTLKDSPKSFNILAKKMFMYSYNDLPKEYKSCLLYLAIFPKGKTIRRSTLIGRWVVEGLTLKEDWTSSVLHAHRCFDALIHRWFVYPADIGATGKIKSCVVSDLVHGFITTIARKQHIVETRLSHHLARHFSIFNNLQLRSSDRIDKFFQGLSKSSRISMLKVLDLEDCQCFGGKNQRYLKDICSKMLLLKYLSLKGTDITYLPSEINYLRDLEILDIRQTKVPEDATANILLLKLKRLLAGDPTPDNFDTSVRVPHRIDKMVNIEILYNVKAKHRDDLKDIGKLWLRKLGVVIEDKGSHLRHLLQTISDLHECLHSLSITLPVAAPHGGTPSTGSRLHECLRSLSNTLPVAEPHGGAPSTAELPEDISSHLHNHPKILESLSISGTTLHGHLLPLFKRGDNNTLAKVTLSRTQMDEKDLDVLAELPRLRCVRLKHIVCTEPMLTFKQGKFSCLKCLLVEGSNLTNITFEEEAAPELEKMVLSFTSTGSVSGVDMLPKLEELELKNSFSGRLLSSFNDAKQIAKLTLCGTLLEQDALRILSKKPNMRCLVLLGKSFDEKQNQITFNKDEFIWLNLLVVDCSTITKIVFATGSAPRLEKIVRSSFTSLSGINNLPRLKELEFNGDLVPNEVEEVIKNNKDKVKIKHNKPETQHQAKGDEQEYDEDAGIFSLCWK* >Brasy3G066200.1.p pacid=40042458 transcript=Brasy3G066200.1 locus=Brasy3G066200 ID=Brasy3G066200.1.v1.1 annot-version=v1.1 MARSGKPHVRVLHLLVLVVTICLHGAHMVTAAGGTGGSALARVKNAFSQMTGALPGDANKNVEYLKKGKDATEEFIDITLKKKKEDDKDKPNSAAAGRRSTPVVPNDGFSKFPYVGVTGMKVGDGATLNMEAELRLDTSNGEGGVLVISQKFPLTFLNKDAYELLKAELSKGQVLAPSELDVDDQPCYADASAKQAITLVFAGGAEMKVDKDNCWYDQKDGSVCLAVLPAPWTSGETIIGKMLQQTSNMIVVGDKWMF* >Brasy3G029900.1.p pacid=40042459 transcript=Brasy3G029900.1 locus=Brasy3G029900 ID=Brasy3G029900.1.v1.1 annot-version=v1.1 MPTSTAASCVNSPLTLAIRAVADQGRPRRAIALYVSSLRSAAHRPCPFALAAVLKSVSRLPAHALAAASFHAHLLRLGLLAHPYPHAALAHLYSRDHPDAARRLLDETPPAGARSAHSLLVSRNSLLASLLRSGDLAAARILFDQMPVRDVVSWNSMVAGLAKAGRLDSAIELFHQMPERNAASWNAVLCGLIAHGHLARARELFEQMPVRSNVSWITMISGYAKAGDVQAAASLFERMENKNDLYAWNAMIACYAQNGSAREAISVFNRMLKPHVCVLPNEKTFSSVISACSQLGDLRFGLWVESFMCSLGIELDDHLRTALVDLYTKSGRIESAFKLFRGLRTRDVVSYSAMIVGCGMNGKLSEAIGLFKEMSDAKIVPNAVTFVGLLSAYNHAGLMEEARACFAFMSSKYNIRPSMEHYTIMVDLLGRSGKLDEAFQLIMKMPMQPHASVWGALLLACRLHNNVELGEMVASKCFELAPGESGYYILLGNIYAQANKWDKAKRLRKTMMEIGLSKMPGSSWVQAA* >Brasy3G027500.1.p pacid=40042460 transcript=Brasy3G027500.1 locus=Brasy3G027500 ID=Brasy3G027500.1.v1.1 annot-version=v1.1 MACRGFFECVLKLLNFVVMVVGLAMAGYGAYLLVLWLQVLTPPLPPSPAPPAAVAPSGGDLVRLGRPMLLLLDASLSDNGTVERLSSAWFIFAFIGIGVILFITSIFGCAGASMRSGCCLSTYSFLIILFILVELAAGCFIFFDHDWKNVIPVDKTGNFDMIYSFLKENWRIAKWVALGSVVFEALLFTVALIVQSGIQDDYDSDDEYIGARSGVRQPLVNKQAAADPRVPNLDYRPIRNDAWSQRMRDKYGVDTFDPNRFQQATISPAEQRSRCTIL* >Brasy3G016800.1.p pacid=40042461 transcript=Brasy3G016800.1 locus=Brasy3G016800 ID=Brasy3G016800.1.v1.1 annot-version=v1.1 MASSPHDHEDAAEVAQLPLETRCPPYPLRQYGGFWMPEPLLQGTTAARARFEPRPSDVFLASFPKSGTTWLKALAFAALNRAGHPPRHPEHPLRRRNPHDWVKFLEMMRHGGEDDPFAAAPPSPRLISTHTPYPLLPAPVTAEAGSGCRIVYVCRDPKDAFVSTWLFIRNNTAAPAFTVQEAFELSCDGRCFYGPQWRHVVGYWEESRRRPGKVLFLRYEEMLRDPARHVRKLAEFMGCAFSDEEAAAGVVEEIVELCSLDVLKNMEGNRNGTQSHFKNESYFREGVAGDWSSHMTPAMAARLDKIVDDALRGSGFTFGATNPT* >Brasy3G111100.1.p pacid=40042462 transcript=Brasy3G111100.1 locus=Brasy3G111100 ID=Brasy3G111100.1.v1.1 annot-version=v1.1 MASKELLPLPLTLLFLLLLKAATANHVPQGENLIDRCWRGQRHWAADRQRLALCSVGFAGKMRQNRGPGVAHYTVTDPTDDPVRPKPGTLRYGATVLPGKVWITFSRDMNITLSQPLFVKSFTTIDGRGADVHVTGGAGIVLYHVSHVILHGFHVHGVRSQAAGHGVVRPGGAVETGDAGDGDAVRLVGSSKVWVDHLTLSRCEDGLVDVTLGSTDVTVSNTWFHDHDKVMLLGHDDGHVTDRKMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFIASPGNAKVTRRMPPVEHAREKEWHWHSVGDHFENGASFKQMGSRVRPNYNKYQAFQAANASEVRVLTKDAGNLRCFAKAAC* >Brasy3G344300.1.p pacid=40042463 transcript=Brasy3G344300.1 locus=Brasy3G344300 ID=Brasy3G344300.1.v1.1 annot-version=v1.1 MRGCNVEPPVYTKVVGRPSLKRKKNPLEEEEGRRMSRHGALSHCSVCHSVQHNKRRCPKLGRGPVQEEATAAQEEPTPAEPEVEPIPARATRHRKLPVRRNVIIHEEPLTQESGVSSVGQNVGGSQQGTQRSMLYALMEEVSQVSQGPLPESNFIASSRDALPTARATTATVNLEAAAKKRRAAVKKSKAAAAKAKAPEGKGKAAAGKKKK* >Brasy3G185900.1.p pacid=40042464 transcript=Brasy3G185900.1 locus=Brasy3G185900 ID=Brasy3G185900.1.v1.1 annot-version=v1.1 MAAEWSDENTRIVTDLFADQVRAGNRPNTHLTPNAYEEVAKEFKRRTGVDLKHSQLKNKWDKLKIDYNIFKRLKQRETGGGWESGTVTHDSEWWKHAKKDIKGCGKFRKQGLRNEENLRVMFEDINSDGTDHWNPASGLPPPSSAVVANILNVDAIQDVDFDEIEEVSPASSKSGKRLIFFV* >Brasy3G187600.1.p pacid=40042465 transcript=Brasy3G187600.1 locus=Brasy3G187600 ID=Brasy3G187600.1.v1.1 annot-version=v1.1 MGAGRRQLVPLDEKEFERKLKKDQKALEKEEKKLKAKQKEAARLQAQLASDGAKKSEKKQKKEGATNENPEDFIDPDTPTGQKKLLASQMAKQYSPSCVEKSWYTWWESSQYFVADASSSKPPFVIVLPPPNVTGALHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRERKLSRHDLGRDKFLAEVCNWKAQYGGTILRQLRTLGASLDWSRECFTMDEQRSKAVTEAFVRLYKEGLVYRDIRLVNCDCTLRTAISDIEVDRVELKEETFLEVPGYSTPIQFGVLISFAYPLEDGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYAIHPFNGRKLKIICDAGLVNPTFGTGAVKITPAHDPNDFKFGKQYNLDFINIFTDDGNINENGGPQFEGMPRFTARAAVIDALMAKGLYRGKTNNEMELGICSRTNDIVEPMIKPQWFINCSTMAKVALDAVKSKQIEIIPQQYEQDWYRWLENIHDWCISRQIWWGHRVPAWYVMLEDDEEKDMGSYNDHWIIARNESDATLEAKQRYPGQKYQLYQDPDVLDTWFSSGLFPLSVLGWPDDTTDLSTFYPTSVLETGMDILFFWAARMVMMGILLGGDVPFQKIYLHPIIRDAHGRKMSKSLGNVIDPVDVINGITLEGLQKKLEQGNLDPDELEKAKEGQKKDFPDGIPECGTDALRFALISYTSQSDKINLDIKRVHSYREWCNKLWNAVRFAMIKLGDHYTPPATVVVCSMPPVCIWILSVLNKTVGKTVSSLEAYNFSEAASSIHSWWKSNLCKVFIEAIKPYFNDSQEFESARGASRDTLWLCLDTGLRLLHPFMPYVTEELWQRLPQPKEACRKDSIMISKYPSVVQEWANDKVENEMEIVLAVANELKSLKASTDIHERRPSFVLCRNVEIAATVQSYKSQIATIASVSSLKILTEDNPVPPDCATNIVNKDLAVYLQLRGAVNREAEHEKLRKKRKDVQKQYDTLSQKMSASGYCKKAPQSKQDDDMKKLATLLEELEIISEAESTLDAHS* >Brasy3G187600.2.p pacid=40042466 transcript=Brasy3G187600.2 locus=Brasy3G187600 ID=Brasy3G187600.2.v1.1 annot-version=v1.1 MEKPLDEKEFERKLKKDQKALEKEEKKLKAKQKEAARLQAQLASDGAKKSEKKQKKEGATNENPEDFIDPDTPTGQKKLLASQMAKQYSPSCVEKSWYTWWESSQYFVADASSSKPPFVIVLPPPNVTGALHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRERKLSRHDLGRDKFLAEVCNWKAQYGGTILRQLRTLGASLDWSRECFTMDEQRSKAVTEAFVRLYKEGLVYRDIRLVNCDCTLRTAISDIEVDRVELKEETFLEVPGYSTPIQFGVLISFAYPLEDGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYAIHPFNGRKLKIICDAGLVNPTFGTGAVKITPAHDPNDFKFGKQYNLDFINIFTDDGNINENGGPQFEGMPRFTARAAVIDALMAKGLYRGKTNNEMELGICSRTNDIVEPMIKPQWFINCSTMAKVALDAVKSKQIEIIPQQYEQDWYRWLENIHDWCISRQIWWGHRVPAWYVMLEDDEEKDMGSYNDHWIIARNESDATLEAKQRYPGQKYQLYQDPDVLDTWFSSGLFPLSVLGWPDDTTDLSTFYPTSVLETGMDILFFWAARMVMMGILLGGDVPFQKIYLHPIIRDAHGRKMSKSLGNVIDPVDVINGITLEGLQKKLEQGNLDPDELEKAKEGQKKDFPDGIPECGTDALRFALISYTSQSDKINLDIKRVHSYREWCNKLWNAVRFAMIKLGDHYTPPATVVVCSMPPVCIWILSVLNKTVGKTVSSLEAYNFSEAASSIHSWWKSNLCKVFIEAIKPYFNDSQEFESARGASRDTLWLCLDTGLRLLHPFMPYVTEELWQRLPQPKEACRKDSIMISKYPSVVQEWANDKVENEMEIVLAVANELKSLKASTDIHERRPSFVLCRNVEIAATVQSYKSQIATIASVSSLKILTEDNPVPPDCATNIVNKDLAVYLQLRGAVNREAEHEKLRKKRKDVQKQYDTLSQKMSASGYCKKAPQSKQDDDMKKLATLLEELEIISEAESTLDAHS* >Brasy3G187600.3.p pacid=40042467 transcript=Brasy3G187600.3 locus=Brasy3G187600 ID=Brasy3G187600.3.v1.1 annot-version=v1.1 MEKPLDEKEFERKLKKDQKALEKEEKKLKAKQKEAARLQAQLASDGAKKSEKKQKKEGATNENPEDFIDPDTPTGQKKLLASQMAKQYSPSCVEKSWYTWWESSQYFVADASSSKPPFVIVLPPPNVTGALHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRERKLSRHDLGRDKFLAEVCNWKAQYGGTILRQLRTLGASLDWSRECFTMDEQRSKAVTEAFVRLYKEGLVYRDIRLVNCDCTLRTAISDIEVDRVELKEETFLEVPGYSTPIQFGVLISFAYPLEDGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYAIHPFNGRKLKIICDAGLVNPTFGTGAVKITPAHDPNDFKFGKQYNLDFINIFTDDGNINENGGPQFEGMPRFTARAAVIDALMAKGLYRGKTNNEMELGICSRTNDIVEPMIKPQWFINCSTMAKVALDAVKSKQIEIIPQQYEQDWYRWLENIHDWCISRQIWWGHRVPAWYVMLEDDEEKDMGSYNDHWIIARNESDATLEAKQRYPGQKYQLYQDPDVLDTWFSSGLFPLSVLGWPDDTTDLSTFYPTSVLETGMDILFFWAARMVMMGILLGGDVPFQKIYLHPIIRDAHGRKMSKSLGNVIDPVDVINGITLEGLQKKLEQGNLDPDELEKAKEGQKKDFPDGIPECGTDALRFALISYTSQSDKINLDIKRVHSYREWCNKLWNAVRFAMIKLGDHYTPPATVVVCSMPPVCIWILSVLNKTVGKTVSSLEAYNFSEAASSIHSWWKSNLCKVFIEAIKPYFNDSQEFESARGASRDTLWLCLDTGLRLLHPFMPYVTEELWQRLPQPKEACRKDSIMISKYPSVVQEWANDKVENEMEIVLAVANELKSLKASTDIHERRPSFVLCRNVEIAATVQSYKSQIATIASVSSLKVQQLVQLLCFSPSSLALSWRL* >Brasy3G098000.1.p pacid=40042468 transcript=Brasy3G098000.1 locus=Brasy3G098000 ID=Brasy3G098000.1.v1.1 annot-version=v1.1 MRPIAGPAPTPAGAAAGVSAWQSPVPYLFGGLAAMMGLIALALLILACSYWKLNSYLGTGRASSSATGGGGDGAKSLAAAAPASPAGFADLVAVVMAGEKMPTFLAAPVVRRLVAGGEDSRETTENEKSRGKAEEGSEASQQLDQV* >Brasy3G329100.1.p pacid=40042469 transcript=Brasy3G329100.1 locus=Brasy3G329100 ID=Brasy3G329100.1.v1.1 annot-version=v1.1 MVCLGIVECQHVHRSALDYFLAILVVVAAIVAARLLVCAVAHCLGDYFGGASHHHHHHHSPTSTDVDDDTVIEHRRADWQERQPPEAVEVVVPAGQSNVIG* >Brasy3G018300.1.p pacid=40042470 transcript=Brasy3G018300.1 locus=Brasy3G018300 ID=Brasy3G018300.1.v1.1 annot-version=v1.1 MSPWAWSRSSPCGCSWLSKRRTTSNRRRQALRYDEQDLINSLGVGDDDENAMGNVVHGGTWRSALYRAPLRLQHDDDSSSSSLSMPRAVVVKKLQNESGGPVDAGLDSRRQSELNLLGSIRHGSIIGLADCIRTDSFFLLVYDHGADNGSLRQWLHGGNLLLDWPARRAVAVAVAAGLCYLHHGRKNPVVHHNINSANILLDADLKPKIAGFDLARVSLAGPDQPVPVSEFTAGNIFGYTAPEYATMVTTAKVDVYSFGVVLLELVTGRMANAAAVADGHLATWAGKHCERLMENTRDFSNVVDMAIPDRARYLKEMAVMFRLGVDCTVEMPQERPAMHKVHRRLRHRGL* >Brasy3G270700.1.p pacid=40042471 transcript=Brasy3G270700.1 locus=Brasy3G270700 ID=Brasy3G270700.1.v1.1 annot-version=v1.1 MAAEAPGSSAYPQEEMLLRSLSARGWRFRDAPDEAIQALLHASPSPSPEAVEAELLDTDLMTFGGKSLPDRVAVTAPKRLSYLHGPLVLQVVSVRDIYSSSIDASFKNPQQRRLLRFGLTDGISEAVAIEFSPIPFVTEEIAPGTKICLENRIPVNHGILCLSAKNVSIIGGTVQSLYEEWQMNKKFSGLSRPSLRLSQNDDGVGPPPFEKLDVDARPNRTIQLQAYPDHKAGDLGTTHDHASVNSKSRPMNQGSCDMNRESTANKVESRQSSSDVRPKEVSEAVPVQNQAAAQKLLQKMAMPEDRHGRGRFKGKSKEEDTPVFTLDEWERRKAAGSKSTAQSYMQDTSRDEELARQLQEQLDLEDPHGMPVRSEAEQLRMNMFSFNDPEEMGGGRRDFRGRGRGRGRGRGRGRGRF* >Brasy3G270700.2.p pacid=40042472 transcript=Brasy3G270700.2 locus=Brasy3G270700 ID=Brasy3G270700.2.v1.1 annot-version=v1.1 MAAEAPGSSAYPQEEMLLRSLSARGWRFRDAPDEAIQALLHASPSPSPEAVEAELLDTDLMTFGGKSLPDRVAVTAPKRLSYLHGPLVLQQRRLLRFGLTDGISEAVAIEFSPIPFVTEEIAPGTKICLENRIPVNHGILCLSAKNVSIIGGTVQSLYEEWQMNKKFSGLSRPSLRLSQNDDGVGPPPFEKLDVDARPNRTIQLQAYPDHKAGDLGTTHDHASVNSKSRPMNQGSCDMNRESTANKVESRQSSSDVRPKEVSEAVPVQNQAAAQKLLQKMAMPEDRHGRGRFKGKSKEEDTPVFTLDEWERRKAAGSKSTAQSYMQDTSRDEELARQLQEQLDLEDPHGMPVRSEAEQLRMNMFSFNDPEEMGGGRRDFRGRGRGRGRGRGRGRGRF* >Brasy3G270700.3.p pacid=40042473 transcript=Brasy3G270700.3 locus=Brasy3G270700 ID=Brasy3G270700.3.v1.1 annot-version=v1.1 MQSVSSLNSMIERDSYITLRFASLCVLLEFEDLSWMYEVVSVRDIYSSSIDASFKNPQQRRLLRFGLTDGISEAVAIEFSPIPFVTEEIAPGTKICLENRIPVNHGILCLSAKNVSIIGGTVQSLYEEWQMNKKFSGLSRPSLRLSQNDDGVGPPPFEKLDVDARPNRTIQLQAYPDHKAGDLGTTHDHASVNSKSRPMNQGSCDMNRESTANKVESRQSSSDVRPKEVSEAVPVQNQAAAQKLLQKMAMPEDRHGRGRFKGKSKEEDTPVFTLDEWERRKAAGSKSTAQSYMQDTSRDEELARQLQEQLDLEDPHGMPVRSEAEQLRMNMFSFNDPEEMGGGRRDFRGRGRGRGRGRGRGRGRF* >Brasy3G270700.4.p pacid=40042474 transcript=Brasy3G270700.4 locus=Brasy3G270700 ID=Brasy3G270700.4.v1.1 annot-version=v1.1 MQSVSSLNSMIERDSYITLRFASLCVLLEFEDLSWMYEVVSVRDIYSSSIDASFKNPQQRRLLRFGLTDGISEAVAIEFSPIPFVTEEIAPGTKICLENRIPVNHGILCLSAKNVSIIGGTVQSLYEEWQMNKKFSGLSRPSLRLSQNDDGVGPPPFEKLDVDARPNRTIQLQAYPDHKAGDLGTTHDHASVNSKSRPMNQGSCDMNRESTANKVESRQSSSDVRPKEVSEAVPVQNQAAAQKLLQKMAMPEDRHGRGRFKGKSKEEDTPVFTLDEWERRKAAGSKSTAQSYMQDTSRDEELARQLQEQLDLEDPHGMPVRSEAEQLRMNMFSFNDPEEMGGGRRDFRGRGRGRGRGRGRGRGRF* >Brasy3G183800.1.p pacid=40042475 transcript=Brasy3G183800.1 locus=Brasy3G183800 ID=Brasy3G183800.1.v1.1 annot-version=v1.1 MASINPYIRPLPHFYEHLMDFVSHHSICSDRRASLLSPAERGCSPLAKNRLAEAQNRHRPSFQPMAAAAAFVRPVERSRAVPRRRFRSCHPSSLASLRSSRPCSPSDLRLPCKLARQVDHKCGQRQTSWR* >Brasy3G253600.1.p pacid=40042476 transcript=Brasy3G253600.1 locus=Brasy3G253600 ID=Brasy3G253600.1.v1.1 annot-version=v1.1 VDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVGDRIRSQNKNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSENFYKSKSIVPSYKLVN* >Brasy3G037300.1.p pacid=40042477 transcript=Brasy3G037300.1 locus=Brasy3G037300 ID=Brasy3G037300.1.v1.1 annot-version=v1.1 MMEEKSKLLGDGDQAAAAMDLQEASSSPAPASSLLRYVAEACAGCLLRMCGGRDADAAGGTDDPTTAPVADDEAGADGDGKNSENLRINNELLLTRRSKARPKPPGNPREGRGGGGGSHN* >Brasy3G346500.1.p pacid=40042478 transcript=Brasy3G346500.1 locus=Brasy3G346500 ID=Brasy3G346500.1.v1.1 annot-version=v1.1 MAAPGRLLLLSCIAALLLLVLAPNGAAAAGTADGSEEWGYVRVRPKAHMFWWLYRSPHRVDNATTPWPTVLWLQGGPGASGVGYGNFEEIGPLDVALKPRNSTWLNKADLLFVDNPVGTGFSFVEGGNTTLLAHSDPQTATDLTALLVKLYGGPGAPLKQGSPLYIVAESYGGKFAVTTALAALKAISAGQLKATLAGVALGDSWISPEDFVLSWGPLLYQMSRLDENGLQTCDKIAQKIKAQLKANQYAEAEASWEELENAISVLTNAIDFYNILKDSSSADSATAAPLTASKRQALLRRRSTRPGRYLRSLMAAPAAEEGGLQGLMDTKIKAKLGIIPANFSWGEQDDAVFDALKPDFMKPRIHEVDELLKLGVNVTIYTGQLDLICATKGTLDWVQKLKWEGLKNFTDAPRKPIYCKGAEAAGTEGTQAFLKSYKNLNFYWIMGAGHMVPVDNPCTALKMLGDITQSPAK* >Brasy3G276300.1.p pacid=40042479 transcript=Brasy3G276300.1 locus=Brasy3G276300 ID=Brasy3G276300.1.v1.1 annot-version=v1.1 MLWCKCEELPRGSIGCALHQAVPFRPWMRMQGEIPTIGSNDCFLVPNTSTESLFEVLWYNRWRRKAWMPSRFYLEKAQDDRALQEEEEFSRDLQTRLSM* >Brasy3G229300.1.p pacid=40042480 transcript=Brasy3G229300.1 locus=Brasy3G229300 ID=Brasy3G229300.1.v1.1 annot-version=v1.1 MATVADAPPASPPAAALPAPVPPLFDKCNYKNRLQELAQRAHQKLPVYLTEKKGDHHQPEFRSTVEVWGEQFSSARTHGRIKDAEQDAARVAYEMLATKIKDANAGVTDVLGLIDQDVLFCKSILNEFAVKTKATQPTYSVDRPQGLSPMALFVSSVVFDGKTYTGEAAVSKKDAEQKAARAAVKSILATKNTCMVHIIKSKENLITAITASGYNKERGDVSQENCNAPRNAISPFCPIKFVPAVGSAYPTVDQGANTIPKAGPSAQAVPGSKKRKKNRTT* >Brasy3G125700.1.p pacid=40042481 transcript=Brasy3G125700.1 locus=Brasy3G125700 ID=Brasy3G125700.1.v1.1 annot-version=v1.1 MGEGAKMLVRPIQLADEVAKQCAAARCFRTECGDLQARADKLAALLRQAARADLYDRPAARIMAGAMQALAKASALAARCAHGHPRLRRLFTLSPAAGFPRTAALLDTAIEDVAWLLRISSAQAGADGDGDGDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGIPPLLRLLKEGTDDGQEAAVRALGLLGCDAESVEKLVQAGICSAFTAALKEPPMRVQAAVAEAIATLAERSPTCQELFTQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKSSTAAPTHMTSLHSVVLAKTLSSRHSGDFATSVADEPSRVSTVSKAELDTKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGARDVEDPDLRARLKAMAAKALWMLARGHLGVCKSITESRALLCFAVLLEKGDGGMGTNMQFFSAMAIMEIARVAEHSLPLRQSAFKPSSPAAKAVVEQLLHIVRKGDYDELLLPCITALGCLARTFTASETRMIAPLVQLLDEREPPVIKEAVLALTKFACNNNHLHVNHCKAIVDDGGARHLVQLVYLGDEVQIEALILLCYIASHVPESEELAQAGVLAVLLWASKQQYMVQDVRVEALLPEARTRLELFQSRASR* >Brasy3G167900.1.p pacid=40042482 transcript=Brasy3G167900.1 locus=Brasy3G167900 ID=Brasy3G167900.1.v1.1 annot-version=v1.1 MDGGSESIEKLSCEHMYSWYFTKEELEKLSPSRKDGITESKESEIRHLCCSFIRDVGIRLKIPQMTIATAIMFCHRFYLHQSLAKNGWQTIATVCVFLASKVEDTPCPLDLVTRVAYETMYRKDPATAGRIQQKDVFEKHKALILIGERLLLKTIRFDFNIQHPYRPLLDAMKNLGITQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHDVKLPLHGAHVWWHQFDVAPKPLEAVIQHMMDLVGLKKMLLARASPIKQKEAPSEAKLLISNSPDSVLNVSSLSTSSTSPDIGEPRDHLKVDSNQYMVSGHTGDGRVSQTVGTILNVSANRNSPRKCHKVERLDQSLITKHSNGVISPSHQTSSDKIATIDANAKCVEQGVSNCNSSSNKLNGDNMSQASRYQHSDNLVNSRLDGGSLDSDVNSKCSSSGRVNVGSVCSVLRLAGDTAGAVENALPVRTEVDHSSVELKKIDVARIKDLLVKRKRRVEIRKQDIPSDDLSEEAWIERELESGIEVGQSNDQVALDGLSADDWIERELESGIIVEPRPDAKKQKL* >Brasy3G207900.1.p pacid=40042483 transcript=Brasy3G207900.1 locus=Brasy3G207900 ID=Brasy3G207900.1.v1.1 annot-version=v1.1 MGKRSHKRSAGQDESNVGCVWGLMRLLYFRRDPRFLMDAKQASGRHTLMEIADRGHSTKKSRDLEEMDEDDSIKEGTLQKPTVKKLMDDELGRVNILKNIPNNEVQRRLADKGNDLSLSGNSEHTNKIMGALNHGTDICASYLSGSVDSEGSKSLSHAGAYDLESVLASFLGEIYRRHNDCPHGDCKSNSELCPALKSLIHKKLNDLGSPHCSLDSEQPQDSKGEMLLDEISISSSRAVQSKEFKDALEILGSNKELFLKLLQKPNSHMLDNIRSHQNSRLTTKLEPNKILGETNFFQQTRCSNDHELTAKAQDRESKHMFFWRKDKSKRKQMVPEEANRSEPVSKIVILKPNPGRGTDQTVTASVRSLHQQPSALQAPEYSGRESSKFSIKEVRRRFRIVTGETRERNAAPADGLQRVPRWHSLIPTKKDSGHQTQGSLADKSASRFKNDIIRPSTSSKQMQQNGGQTEISSRLVASQGTSIFYEEAKKHLTDMLKDNNQSGDYPTAQVSKSLEGMLSLPHCDASSPRSITRGKCHTDLSPEETDACLVPVVDKEESSEERSKSWDLSGKSTACCTVVAVDNQVVVLDKCSMKEESQEGPRDVTDVVDTICIEGIDKMDRSETTCNVQCTPVEQCRDSMQPVMLEESEEGKEPDQMLLSYPVSIVENLDQQEPETPEPRASVKFITDCLPEQNHEKQEQPSPISVLDTFYEDIADPECENMKQCHVHEDLRATLYFPDSESDLKVFWEDKNVRLEIIKLVLELSELCAEQNLEVWYLEDELISPCLFEELPNQGDQIDDLKLLFDCICEALTEIQERYFRLSSWLSFLKHDIRTPPIGENLISEVDKCVDDYLRHSFPNTLDHTIKRDLEVRTWMDIRSKTEGIVVEIWEYVLEELLDEAVFDLWI* >Brasy3G129700.1.p pacid=40042484 transcript=Brasy3G129700.1 locus=Brasy3G129700 ID=Brasy3G129700.1.v1.1 annot-version=v1.1 MAAKMVISLGSSRRRKRGEVLFRFESFCQPGYPAQLAGPFRDNVRTLLGLAHLEAGVQGETRCWSFQLELQRHPPTVVRLFVVEEEVAASPHRQCHLCRLIGWGRHLICSKRFHFVLPKRESTVETDGLSYGISSSHGGGTEKASSKGGTAAASSRGHLLHGVVHLNGYGHLVGLHGFEGGSDFVSGHQIMDLWDRICSALHVRKVSLVDTARKGHMVLRLLHGVAYGDTWFGRWGYRYGRPSYGVTLQSYLHSLHALQSVPLCVLVPHLACCFGQELPMVVTKYQAISGHKLLDLGDLLRFMLELRARLPATSVTAMDYRGIMSDASCRWSAKRVDMAARAVVDALRRPASASDRGPAQAMPAAPRWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPSHGGKMRVRFHLTRAQLMRDLVHLYRHVLKEPAQVPLAASAGSGAAAFGAIPVAVRRVLDVKHLVKDYHEAIMAAATANNSVGGVVGHVYVNMCCTLVVRDGSPELVPPYETVTVPAHATVGELKWEVQRLFRDMYLALRTFTAESVVGIGTGPQQEASPVLGLIGVGSTVVVEGVVGEQQQQAGEEEQRNEAVCEGSDGGRVVDCACGADDDDGERMACCDICEAWQHTRCAGVADADDVPHVFLCSRCDNDVVSFPSLNC* >Brasy3G255400.1.p pacid=40042485 transcript=Brasy3G255400.1 locus=Brasy3G255400 ID=Brasy3G255400.1.v1.1 annot-version=v1.1 SLSPPTTSPSPFFFFLLHPLSISVQEQQHHHLRPEHQQHHPHGQQHLRPGAAAAATPSRGLESVCSHRSEMEDAAAVLPCFHRLPLSMLSVPADPRSYMRAAS* >Brasy3G154400.1.p pacid=40042486 transcript=Brasy3G154400.1 locus=Brasy3G154400 ID=Brasy3G154400.1.v1.1 annot-version=v1.1 MPDYPADPNYYNEPSQFMDDLISQEAQVGVSIDTEPLYIDAGAGTDPGSDVSRCQSMGKSKRTQAYAECEDKLVCEAWLEIGQDPICRAEQKGAAYWKRIYDYFHEHRLLPPYSFISDRGEVSLQKRWGLIQPECNKFVGAQDHVKARPVSGVGVGDMEAPKWQDFYLAKKKSPGDGKKRDSSVIDLEASGHTEAASRALKWPPATPRHQAGPVRPRERTNSKLDAKREASNLAFEKTLKKMWSAKDAVKEKMIQLKEEQMKDFIDVQKRKLAIEETNAAATRTAAAAAMLAKETRIMSLVLGQEEDDTRMRCAQIWYMVY* >Brasy3G262900.1.p pacid=40042487 transcript=Brasy3G262900.1 locus=Brasy3G262900 ID=Brasy3G262900.1.v1.1 annot-version=v1.1 MPGAIAACFRCAPPAAAAAAPLASGSSAAGPSLATSVYETHLGLVALSWSRTSLGLSLRAVLRLSCPPTPDSSPAASSAAVCFGDDPDEETLAFRVRPWLLWRRRGSRRFAAGDRRVDLAWDLTRARFPPSGSPEPSSGFFVAVVVDGQMVLAAGDLPDAAYRKTRARRPPPGPRSVLLSRREHVAMRDAGQGRGHRTWVNVRGREREISMDLVSRSRGKDSVSREKERADVGMSVSVDGERVLHVRRLRWKFRGSERVDLGGGDRVQVSWDLHNWLFPQREPLPTAAADTAAQAHAVFVFRFEVGGGEDREAEEGKDLLEKDSSGRNKGMWRGYFERWGRRDWSETGSNGERKKKKGRGRRLAMASSSSSASVASSTASWASSSTVMDWASPEEAEMQRGDGFSLLIYAWKS* >Brasy3G230400.1.p pacid=40042488 transcript=Brasy3G230400.1 locus=Brasy3G230400 ID=Brasy3G230400.1.v1.1 annot-version=v1.1 MMGIFTNGRSTLRRTRGRRVDEAGSVVGGVPRLHGAAYLLHCERERERERERENQHRERGYLRWGWREWRRRPDPVSWRPDPASWRPRLHGAAYLLHCKRERERGRKREREREREREREEGRGGTCGAGEADLFISTVGPGLGTPDLAAAGRGGAARPASSSPWAADGGRKPRRARQRGQRPAPPRSPRRRQDAGASIVGDAEARRGRREESSGGGGRRVRVRKGEETKGGGGRGEMRWGFRDRLVFIG* >Brasy3G021800.1.p pacid=40042489 transcript=Brasy3G021800.1 locus=Brasy3G021800 ID=Brasy3G021800.1.v1.1 annot-version=v1.1 MSAGREAVEGHGIRCRTDLLFRQVPDSSAQRARMGRPGQRRRLRGVRGGRSHRRYVAVQRCSWQGRVQDCVTINNSDTGAAVAMKILDKATIVNHRMMHQRTRKVVCVIICVSQLVSDRVQKKVHGGLGQIQTAVAGAFYGDGRPEVGDTHIPKVTITGHAHPHAALKQAVDAHNWLASYVFCRGAINYCV* >Brasy3G021800.3.p pacid=40042490 transcript=Brasy3G021800.3 locus=Brasy3G021800 ID=Brasy3G021800.3.v1.1 annot-version=v1.1 MSAGREAVEGHGIRCRTDLLFRQVPDSSAQRARMGRPGQRRRLRGVRGGRSHRRYVAVQRCSWQGRVQDCVTINNSDTGAAVAMKILDKATIVNHRMMHQVHGGLGQIQTAVAGAFYGDGRPEVGDTHIPKVTITGHAHPHAALKQAVDAHNWLASYVFCRGAINYCV* >Brasy3G021800.2.p pacid=40042491 transcript=Brasy3G021800.2 locus=Brasy3G021800 ID=Brasy3G021800.2.v1.1 annot-version=v1.1 MSAGREAVEGHGIRCRTDLLFRQVPDSSAQRARMGRPGQRRRLRGVRGGRSHRRYVAVQRCSWQGRVQDCVTINNSDTGAAVAMKILDKATIVNHRMMHQRTRKVVCVIICVSQLVSDRVQKKMRGHRTRKIGTIVSNYSSI* >Brasy3G248300.1.p pacid=40042492 transcript=Brasy3G248300.1 locus=Brasy3G248300 ID=Brasy3G248300.1.v1.1 annot-version=v1.1 METYTTDDALAAMGFGKFQALVLAYAGMGWVAEAMELNLLSFVGPLVRDEWEISAQDESLLSSVVFAGMLTGSCTWGFVSDRYGRRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.3.p pacid=40042493 transcript=Brasy3G248300.3 locus=Brasy3G248300 ID=Brasy3G248300.3.v1.1 annot-version=v1.1 METYTTDDALAAMGFGKFQALVLAYAGMGWVAEAMELNLLSFVGPLVRDEWEISAQDESLLSSVVFAGMLTGSCTWGFVSDRYGRRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.4.p pacid=40042494 transcript=Brasy3G248300.4 locus=Brasy3G248300 ID=Brasy3G248300.4.v1.1 annot-version=v1.1 MLARSSISCWSRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.6.p pacid=40042495 transcript=Brasy3G248300.6 locus=Brasy3G248300 ID=Brasy3G248300.6.v1.1 annot-version=v1.1 MLARSSISCWSRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.9.p pacid=40042496 transcript=Brasy3G248300.9 locus=Brasy3G248300 ID=Brasy3G248300.9.v1.1 annot-version=v1.1 MLARSSISCWSRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.7.p pacid=40042497 transcript=Brasy3G248300.7 locus=Brasy3G248300 ID=Brasy3G248300.7.v1.1 annot-version=v1.1 MLARSSISCWSRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.2.p pacid=40042498 transcript=Brasy3G248300.2 locus=Brasy3G248300 ID=Brasy3G248300.2.v1.1 annot-version=v1.1 MLARSSISCWSRSRWWACVFFLVFGVCSCTKPWHLDGSFFPFLDCGTILEASLAWIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.5.p pacid=40042499 transcript=Brasy3G248300.5 locus=Brasy3G248300 ID=Brasy3G248300.5.v1.1 annot-version=v1.1 MHLGLCFRQIWAKIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G248300.8.p pacid=40042500 transcript=Brasy3G248300.8 locus=Brasy3G248300 ID=Brasy3G248300.8.v1.1 annot-version=v1.1 MHLGLCFRQIWAKIVILTLSWRWLLALTALPCFLLLPFFGTTPESPRFLCAQNRMSDATLVLERISMTNQSALPSGVLTHHPQNKVVHSTSMSETEADHFLPVTETERKDDNASSSKSGVVAALRKLLSPGLLRSTLLLWFVYYANSFAYYGLVLLTTQLSDANRRCPSRLQNVQRQEDANIYKDTFFTSLAEIPGLILSAVLVEWLGRKATMWCMLFTCCAFLGPLVLHQNELLTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKTGGVICPLVAVGMLRSCHQMEAILVFELVLFLAGVACLLFPVETKGRQID* >Brasy3G030300.1.p pacid=40042501 transcript=Brasy3G030300.1 locus=Brasy3G030300 ID=Brasy3G030300.1.v1.1 annot-version=v1.1 MAGEEPAEGSQSRRRLDLNLHASVPPLSRRPGRLGGAMDCPVQAQLPEMPIAEVPRTDELAGWLAREEIPLQPAVYSSSNALSIPEISNPELSLIDPMIIEWLDGLTTDGEQGLNAGEPAALPSNDANVSPPVVPPLLSTLTRLEGVGLEWVERLSHPVVVPPAVPVEMVSTMRGRGGATIAEMTPELRLQRIIQVSEQHRIVRPGPVTHNQQSHKSLGASRGPIKDGNCRCNSNFKCYICLEAAKEPVVTPCGHLFCWPCLYRWLHGRPVHPVCPVCKEKVLEVNVTPIYGSSGDERDASNNDIPPRPRANRTESLRDQLQTQDPRGIANMVWQFIENQGIVRAHYAAPTDGVDVTVLPPAQPNARVRRQQRQYNLNSPFPVQLHARNTASLTLQQGSNNPAPQGGIQVPMPSSSGDNAALAIPQQSSSMEQASTSSTVPVIVGQIAQSRRSRPSESTTTTRRTRRRRHHQQ* >Brasy3G305600.1.p pacid=40042502 transcript=Brasy3G305600.1 locus=Brasy3G305600 ID=Brasy3G305600.1.v1.1 annot-version=v1.1 MEIGSGGGGAGAGDGGGGGGGDDQLRHGLQFGKKIYFEDSSSGGSSGGANASSSSSKPAASGGGKKGKGAAGGGPAPPRCQVEGCDVDLTGSKGYYCRHKVCSMHAKSPRVVVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRRPPAGPLASRYGRLAASFEESGRFRSYLLDFSYPRVPSSVRDAWPAVRPGYRMPSEVQWQGNLDPRPQSGAAVGYGGHAYSSHGFPSPGLPPGGCLAGVAADSSCALSLLSTHPWDTTTHGASHDHRSAAMSAAAARFDGNPVAVAPSVMASNYLPPPANPWSGSRGHEGGRDVPPDQQLPNDQVPLHEVHPAGSSQQGHFSGELELALQGNRPAAPGTRYGAGRSTFDHPGSSTNWSQ* >Brasy3G305600.2.p pacid=40042503 transcript=Brasy3G305600.2 locus=Brasy3G305600 ID=Brasy3G305600.2.v1.1 annot-version=v1.1 MEIGSGGGGAGAGDGGGGGGGDDQLRHGLQFGKKIYFEDSSSGGSSGGANASSSSSKPAASGGGKKGKGAAGGGPAPPRCQVEGCDVDLTGSKGYYCRHKVCSMHAKSPRVVVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRRPPAGPLASRYEESGRFRSYLLDFSYPRVPSSVRDAWPAVRPGYRMPSEVQWQGNLDPRPQSGAAVGYGGHAYSSHGFPSPGLPPGGCLAGVAADSSCALSLLSTHPWDTTTHGASHDHRSAAMSAAAARFDGNPVAVAPSVMASNYLPPPANPWSGSRGHEGGRDVPPDQQLPNDQVPLHEVHPAGSSQQGHFSGELELALQGNRPAAPGTRYGAGRSTFDHPGSSTNWSQ* >Brasy3G291400.1.p pacid=40042504 transcript=Brasy3G291400.1 locus=Brasy3G291400 ID=Brasy3G291400.1.v1.1 annot-version=v1.1 MLSTTPHHSRQRHAFEKSPSHHTARKKNSSSSSSIDRKLQLQAMSHASKYLQRMLINPPGVQRSGSGLSLSSLSLSQNSSDSSLSSSNSSSCCWEPKVPLLYGGTFSPWGDVLVSLDRRRGCGGGGGGDDDDKVSGRDDGMEEEEEEEFECSEPGSLHRCSWITKNSDEAYVQFHDESWGVPVYNDNQLFELLALSGMLIDHNWTEILKRRDMYMKAFADFDPKAVAKMNENDIAAISANKELKLAECRVRCVVENAKCIRKVAKEFGSFSEYIWGHVNHRPAVGKYKHHKYIPLRTPKSEAVSKDLIRRGFRLVGPVIVHSFMQASGMAIDHLVGCFRFSECLRLADADAAT* >Brasy3G035000.1.p pacid=40042505 transcript=Brasy3G035000.1 locus=Brasy3G035000 ID=Brasy3G035000.1.v1.1 annot-version=v1.1 MMIQRTTMAQPSSSFSTSSFTTGCCCWLIALLCLFDPRRSWPFRAMASTASYTILAVQLLVLSGWVPGWRGSQGSLQDRCICMSQHLKFRIAFS* >Brasy3G208900.1.p pacid=40042506 transcript=Brasy3G208900.1 locus=Brasy3G208900 ID=Brasy3G208900.1.v1.1 annot-version=v1.1 MALDQRRRSGTSWAGPSTDGSSSFYFYALIFCHVGIPCLVSQINIVFIFDSRILSNRSDSLKEPNREPSELRIW* >Brasy3G272500.1.p pacid=40042507 transcript=Brasy3G272500.1 locus=Brasy3G272500 ID=Brasy3G272500.1.v1.1 annot-version=v1.1 MATTPATLPKSGAVSKGYNFAYAWEKNAPLTEQQNAAISALSHAVAERPFPANLEDGGTAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYESTLEDRVKTCDGILQQVDDTLNLFEELQSLHSSVAIKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENASTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSTLKAASSQVQAAIRGSDSGKNAVTEGVEASLIYVRFKAAAGELKPIFAEIESRSSKKEYAQILSECHSLFCEQRLYLIRGMVQQRISEFAKKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSMAPLMDPLCTHLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDKDLDYPGKLERSATSSANVSDNSDIYATWYRPLEKTVSCLSKLYHCLESSVFTGLAQEAVEVCSTSLQNASKVITKRATPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTSIQQDLPKVMTKMTLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYSAEEIQSIGMLSISDLQSQLDGLL* >Brasy3G241300.1.p pacid=40042508 transcript=Brasy3G241300.1 locus=Brasy3G241300 ID=Brasy3G241300.1.v1.1 annot-version=v1.1 MGNCQAADAAAVVIQHPAEGKVERLYWPATAADVMRKNPGHYVALVVVHVSGAGGDPAVAGAAGAARITKVKLLKPRDTLLIGQVYRLITSQEVTKAVQARRQEKKQSCDELIEQQRPRLHRRRQPPRPRGDAAAATDDEEQRQPTDQQERKRLEKDRHRSIAGSGGRSRHWRPSLQSITELSS* >Brasy3G007300.1.p pacid=40042509 transcript=Brasy3G007300.1 locus=Brasy3G007300 ID=Brasy3G007300.1.v1.1 annot-version=v1.1 MAAATQAQLPLPFLLLLPLLTITAASSAPLPLLALLALKSSLHDPAGALTPWTYASAASTSPIARSPPWCSWPGVSCSAAADAAIVGVDLSRRNLSGSFSPTFAALLSPTLTSLNLSGNAFSGEFPPALLLLRRLVTLDVSHNFFNGTFPDGIAKLGESLAVVDAYSNCFVGPLPRGLGALRRLQRLNLGGSFFNGNIPPELGKLTSLRFLHLAGNSLSGRLPKELGELALLERLELGYNNGYDGGIPPEFGGMKQLQYLDIAACNLSGTLPPELGGLARLESLFLFKNRLAGAIPPAWSRLQALRVLDLSDNRLTGTIPAGLGNLTNLTTLNLMSNSLSGEIPATIGDLGNLEVLQLWNNSLTGPLPQSLGSASRRLVRLDASTNSLSGPIPATLCAGGRLVRLILFANRLESSIPPSLASCASLWRVRLESNRLSGSIPAGFGKLENLTYMDLSSNNLSHGGIPPDLLASPSLEFLNVSSNRELGGEIPEHAWRAPRLQVFSASGCGVRGEIPAFAGCANLYGIELGWNSLSGAIPGDVGGCRRLVSLRLQHNRLVGEIPAALVSLPSVTDVDLSWNLLVGDVPPGFANSTTLETFDVSFNNLSSKADPPVVDHPGEIATTTRRTAAMWVSAVAVALAGFAVLALTSRWLRCLDEEEDGGSGTGSSGGDKQGVGPWRMTAFQKLGFTADDVARCVEIGGVVVGAGSSGTVYRAKMPNGEVIAVKKLLQSHKDSASPEHERPPPKQRVVAEVEMLGQLRHRNIVRLLGWCTNAEGTNTMLLYEYMPNGSLHDLLHPNPNAARKTKTTKEAAEWWETRYRIAFGVAQGLSYLHHDCVPPVAHRDVKPSNILLDADLEARVADFGAAKALHHHHGDGGAAMAMSGVAGSCGYMAPEYARTLRVDGEKSDVYSFGVVLLEIVTGRRAVEPDEFGEGCSIADWARRKVAAGTGGVWSEVMEQGIGSEAEEMAVVLRVALLCTSRCPRERPSMRDVLSMLHEARPQPQPARKSAAAKTTDRASAGV* >Brasy3G010900.1.p pacid=40042510 transcript=Brasy3G010900.1 locus=Brasy3G010900 ID=Brasy3G010900.1.v1.1 annot-version=v1.1 MFRRTSSDPPSCRRRKVVDVHRLPLVEWRDWAALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVRATLESRIKIVRLPRRRVGYVLSVRNIPPPPPPPPPPPPARYRRPHRRPGRRPRRLPARRGVGRRGNDDWYRWLGKAAPARDQWRDWSALPDDVLWLILGRIPQADILPGAGLACLAWRRAAVHEPLLWRCIDLAGKEDDGDEDKGAPAGWQAMARAAVARSAGLRAAASPSAAPSTPISCSTSPTAPFGGSAPLLRSLRVTSRFDTTTRDEKEKLMATSRFDTTTRDEKEKLMAAMKKLHLLERLVLSRGVVELSWFVALLDHCPRLELIDAGGCVASGRTRSARATLASRIRDVRLPRRLVGLLLSLRIILPPPPPAARDR* >Brasy3G084800.1.p pacid=40042511 transcript=Brasy3G084800.1 locus=Brasy3G084800 ID=Brasy3G084800.1.v1.1 annot-version=v1.1 MALSPAAVQVRCAGCRGVLAVAAGMTEFICPKCRMAQRLPPELMPSSPPKASPTPPPPPPPPAPAPPPPAAPAPPPPSLPQPQPQPHPAPLPPRRPGPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDISKLQHFLASAPQGFVPPPPPPPPPPPVPMPHMPFLPMLPHMQQVPMAPMAPPPELPDEINEVAVDVEREEDEGGTIGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYNLAIMDELEETKALSCLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQKGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKANGITNGVVFVTYSSLIASSEKGYSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGGQSTRTGKVVLEIQEMLSEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQQFLGALEKGGVGALELVAMDMKARGMYACRTLSYKGVDFDVVEAPLEERMMNMYRKAAEFWAELRVELLSAIEFYTEDKGNSTQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEERCVVIGLQSTGEARTEEAITKYGVEMEDFISGPRELLLKLVEDNYPLPPKPDSFLQDEEKVTEVQRKRHYGPDVSSKGRVRKLAKIEEVSDDGMDGHSPLESDHESTDSGEEFYICQICNTEEEKSQLLHCSSCATRVHPGCTIPPWTGMLTDDWSCYACTEKVEGYFKERDAYIAELSKRYDAAVERKSKILDIIRALDLPNNPLDDIIDQLGGPENVAEITGRRGMLIRASDGKGVVYHARNTKEVALDMINMHEKQLFMDAEKFIAIISEAGSAGVSLHADRRVKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPVYRILFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMTYRGIMEQDAFPIVPLGCSENQHNLQEFITKAKAALVAVGIIRDAIISIGKNGGKLTGRIIDSDIHDVARFLNRILGLAPDIQNKLFDLFTSILDMVIQNARTEGQLDSGILDIKAKRVEMKESPKTVHVDSLSGASTVLFTFIIDRGVTWELANTILEGKLKDGACSSNDGFYESRREWMGRRHFMIAFEGSTEGMYRVIRPAVGEALREMPLVELKGKYKKTSSIDKIGKGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGTVEKALTKQARQVHRRIRVVRLETTDDNQRIVGLMIPNAAVESVLEGLQWVQDIDD* >Brasy3G084800.2.p pacid=40042512 transcript=Brasy3G084800.2 locus=Brasy3G084800 ID=Brasy3G084800.2.v1.1 annot-version=v1.1 MALSPAAVQVRCAGCRGVLAVAAGMTEFICPKCRMAQRLPPELMPSSPPKASPTPPPPPPPPAPAPPPPAAPAPPPPSLPQPQPQPHPAPLPPRRPGPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDISKLQHFLASAPQGFVPPPPPPPPPPPVPMPHMPFLPMLPHMQQVPMAPMAPPPELPDEINEVAVDVEREEDEGGTIGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYNLAIMDELEETKALSCLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQKGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKANGITNGVVFVTYSSLIASSEKGYSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGGQSTRTGKVVLEIQEMLSEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQQFLGALEKGGVGALELVAMDMKARGMYACRTLSYKGVDFDVVEAPLEERMMNMYRKAAEFWAELRVELLSAIEFYTEDKGNSTQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEERCVVIGLQSTGEARTEEAITKYGVEMEDFISGPRELLLKLVEDNYPLPPKPDSFLQDEEKVTEVQRKRHYGPDVSSKGRVRKLAKIEEVSDDGMDGHSPLESDHESTDSGEEFYICQICNTEEVEGYFKERDAYIAELSKRYDAAVERKSKILDIIRALDLPNNPLDDIIDQLGGPENVAEITGRRGMLIRASDGKGVVYHARNTKEVALDMINMHEKQLFMDAEKFIAIISEAGSAGVSLHADRRVKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPVYRILFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMTYRGIMEQDAFPIVPLGCSENQHNLQEFITKAKAALVAVGIIRDAIISIGKNGGKLTGRIIDSDIHDVARFLNRILGLAPDIQNKLFDLFTSILDMVIQNARTEGQLDSGILDIKAKRVEMKESPKTVHVDSLSGASTVLFTFIIDRGVTWELANTILEGKLKDGACSSNDGFYESRREWMGRRHFMIAFEGSTEGMYRVIRPAVGEALREMPLVELKGKYKKTSSIDKIGKGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGTVEKALTKQARQVHRRIRVVRLETTDDNQRIVGLMIPNAAVESVLEGLQWVQDIDD* >Brasy3G321500.1.p pacid=40042513 transcript=Brasy3G321500.1 locus=Brasy3G321500 ID=Brasy3G321500.1.v1.1 annot-version=v1.1 MGDDSDGEAEEYLFKVVIVGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQTMEIDAKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDVSRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLENIREVPVEEGKALAESEGLFFMETSALDSTNVNTAFELVIKEIYSSVSRKILNSDSYKAELTLNRVSIDNDGSKDGQKQARRFGCC* >Brasy3G162200.1.p pacid=40042514 transcript=Brasy3G162200.1 locus=Brasy3G162200 ID=Brasy3G162200.1.v1.1 annot-version=v1.1 MVPGARSTGGVAHSASNSAANAGSPSGRSRPGKGAAAAAATTSASTSTPARGSTVARRLNDLEIKGDGAPSPQTAASKKKKKGAHVVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNSNIGSPDPDNPNTQQYDEKNIRRRVYDALNVLMAMDIIYKDKKEIQWKGLPRTSMSDIDKLKIKLIGQKGRIDKKSAYLQELQDQYVGLQNLVERNEHLYSSGDTPSGGVALPFILVQTRPHATVEVEISEDMQLVHLDFNSTPFELHDDSFVLKAMGLSGKEETDGTLALVANGIERSSTPNVYPHRSPQPARRSGLRLQTSPPIPGILKGRVKHEH* >Brasy3G287400.1.p pacid=40042515 transcript=Brasy3G287400.1 locus=Brasy3G287400 ID=Brasy3G287400.1.v1.1 annot-version=v1.1 MERLRLAVSHRPALPLPAPHSHLRRRHLQLQSSPNSLSLSRPISPHLSPTPRRHLHPLLASASAAQSASSIPEPAPAPAAAVSSAGAKLLPLIASVAVGLAVRFLAPRPAEVTPEAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGGSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSAKKLGAYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEAGVKIASPWILWFKVASLPAIIALLATPYLLYKIFPPEIKDTPDAPALAAQKLEKMGPVTKNEWVMVATMVLAVSLWIFGDIIGVSSVVAAMIGLSILLLLGVMNWDDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFLIHYLFASQTAHVGALYSAFLAMHLAAGVPALLSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFASAAINAVIWGVVGTFWWKFLGLY* >Brasy3G266200.1.p pacid=40042516 transcript=Brasy3G266200.1 locus=Brasy3G266200 ID=Brasy3G266200.1.v1.1 annot-version=v1.1 MRPGAGFNATAAAAVAPVAAAGAVHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAAGRGDGTGSGADGMKPAASDLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSKGEEEEEEKKVQEVALASIKVDDNGEHSDSQRETDDDNHRIPEV* >Brasy3G118300.1.p pacid=40042517 transcript=Brasy3G118300.1 locus=Brasy3G118300 ID=Brasy3G118300.1.v1.1 annot-version=v1.1 MDSFYASSAAGASSDRLPNWVMLDPFVRVNDGKDLGEHGDTYADDFTSTGERIGFVFWKVPPPGASRLYVSWKPPGQDNAESSAQRRRAFVEPQVVAAHRDSILLKVAGSGPRADYFLYMVHSDGRPPSLFRLPTCELTMQSASFTNGWISHMLRVTCIGLLCHGDEEYVIADLQVLPETEEFPLEVQFCIFSSCDTTIGWHVKQPHVHYKKGQGRDLRYWETDVVIPYGDCLCYVDYYRGILFGDVLSSSPELRYLRLPVLDPSGYPVKPELGAREAQHLLRAVGVTKDGVMTFVDVVETTISTSGNRLVSSNFAIHLWKLRHENLTWEDEIVLKDSELWSMQGYGNLPHVVPELPIVSMEEPNVVYLVLRNDNQNDTDSDGVDECDDVDDCGDVDTWIIAVDMFNKTLQSCQKYADVDCFSDNDCDMGSINIAYNHAFIPYGLSKHLKMAETR* >Brasy3G095300.1.p pacid=40042518 transcript=Brasy3G095300.1 locus=Brasy3G095300 ID=Brasy3G095300.1.v1.1 annot-version=v1.1 METPACPSKQLPDVHVIKTMLEPCEDSPAPAPYLAPDAAEDWETTPLSGNHPFFTMLMSRSHVQKPFQLGIPGRFLYHLPKACKATTLICRGKSWPMSYRGDLKVKKLDVAWKDFAVDNRLKVNDACIFELVNGAGEEELVFQVQILRGGLPKEVLSKGATADEPMIILD* >Brasy3G095300.2.p pacid=40042519 transcript=Brasy3G095300.2 locus=Brasy3G095300 ID=Brasy3G095300.2.v1.1 annot-version=v1.1 METPACPSKQLPDVHVIKTMLEPCEDSPAPAPYLAPDAAEDWETTPLSGNHPFFTMLMSRSHVQKPFQLGIPGRFLYHLPKACKATTLICRGKSWPMSYRGDLKVKKLDVAWKDFAVDNRLKVNDACIFELVNGAGEEELVFQVQILRGGLPKEVLSKGATADEPMIILD* >Brasy3G020500.1.p pacid=40042520 transcript=Brasy3G020500.1 locus=Brasy3G020500 ID=Brasy3G020500.1.v1.1 annot-version=v1.1 MASPLLHLNTHRLLPRLRRPTSGRLLLSLRFKPLSATAASPEAAQTPPPKPPSHGPSLRRGGRPSPSYPPEGPLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARQPNDQGHGLLPSPSGSSSSALPPTSVARREKLAREFNARGFMEFPILAKMSRPAARTRREKNGARDCNKETKREKDKVYVVEVLRPGGEDDDDEWKGLVGEEGFGKGAWRGEPTRLLLLDESYAKRRLDELPEAIKVVLENEAKQCGTSAYELVQCQLTLFYDYWPMDEVLEALLPEGMIIPAGFETVGHIAHLNLRDEHLQYKTLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMKLEVLAGCDSLVTTVIESGLRFKVDLATVYWNSRLATERQRLVNSIFQNSDVVCDVFSGVGPIAISAAKKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFVAAMYSSQHVHPVTQLVMNLPKDAAEFLDVFRGILRNRQTEQHVAMPKIHVYGFSKAEDPEYDFHERINLALCDNVACIEMHRVRLVAPGKWMLCASFTLPESVAFAKPNYSTC* >Brasy3G020500.3.p pacid=40042521 transcript=Brasy3G020500.3 locus=Brasy3G020500 ID=Brasy3G020500.3.v1.1 annot-version=v1.1 MASPLLHLNTHRLLPRLRRPTSGRLLLSLRFKPLSATAASPEAAQTPPPKPPSHGPSLRRGGRPSPSYPPEGPLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARQPNDQGHGLLPSPSGSSSSALPPTSVARREKLAREFNARGFMEFPILAKMSRPAARTRREKNGARDCNKETKREKDKVYVVEVLRPGGEDDDDEWKGLVGEEGFGKGAWRGEPTRLLLLDESYAKRRLDELPEAIKVVLENEAKQCGTSAYELVQCQLTLFYDYWPMDEVLEALLPEGMIIPAGFETVGHIAHLNLRDEHLQYKTLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMKLEVLAGCDSLVTTVIESGLRFKVDLATVYWNSRLATERQRLVNSIFQNSDVVCDVFSGVGPIAISAAKKVKYVYANDLNPTAVEYLERNIVLNKLERKIEIP* >Brasy3G020500.2.p pacid=40042522 transcript=Brasy3G020500.2 locus=Brasy3G020500 ID=Brasy3G020500.2.v1.1 annot-version=v1.1 MASPLLHLNTHRLLPRLRRPTSGRLLLSLRFKPLSATAASPEAAQTPPPKPPSHGPSLRRGGRPSPSYPPEGPLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARQPNDQGHGLLPSPSGSSSSALPPTSVARREKLAREFNARGFMEFPILAKMSRPAARTRREKNGARDCNKETKREKDKVYVVEVLRPGGEDDDDEWKGLVGEEGFGKGAWRGEPTRLLLLDESYAKRRLDELPEAIKVVLENEAKQCGTSAYELVQCQLTLFYDYWPMDEVLEALLPEGMIIPAGFETVGHIAHLNLRDEHLQYKTLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMKLEVLAGCDSLVTTVIESGLRFKVDLATVYLTWMPGGLLLQCTQANMCIQSHN* >Brasy3G020500.4.p pacid=40042523 transcript=Brasy3G020500.4 locus=Brasy3G020500 ID=Brasy3G020500.4.v1.1 annot-version=v1.1 MASPLLHLNTHRLLPRLRRPTSGRLLLSLRFKPLSATAASPEAAQTPPPKPPSHGPSLRRGGRPSPSYPPEGPLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARQPNDQGHGLLPSPSGSSSSALPPTSVARREKLAREFNARGFMEFPILAKMSRPAARTRREKNGARDCNKETKREKDKVYVVEVLRPGGEDDDDEWKGLVGEEGFGKGAWRGEPTRLLLLDESYAKRRLDELPEAIKVVLENEAKQCGTSAYELVQCQLTLFYDYWPMDEVLEALLPEGMIIPAGFETVGHIAHLNLRDEHLQYKTLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMKLEVLAGCDSLVTTVIESGLRFKVDLATV* >Brasy3G020500.5.p pacid=40042524 transcript=Brasy3G020500.5 locus=Brasy3G020500 ID=Brasy3G020500.5.v1.1 annot-version=v1.1 MASPLLHLNTHRLLPRLRRPTSGRLLLSLRFKPLSATAASPEAAQTPPPKPPSHGPSLRRGGRPSPSYPPEGPLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARQPNDQGHGLLPSPSGSSSSALPPTSVARREKLAREFNARGFMEFPILAKMSRPAARTRREKNGARDCNKETKREKDKVYVVEVLRPGGEDDDDEWKGLVGEEGFGKGAWRGEPTRLLLLDESYAKRRLDELPEAIKVVLENEAKQCGTSAYELVQCQLTLFYDYWPMDEVTLPLQIQDSGKKINLFRS* >Brasy3G067100.1.p pacid=40042525 transcript=Brasy3G067100.1 locus=Brasy3G067100 ID=Brasy3G067100.1.v1.1 annot-version=v1.1 MAAAALLRRSQTAARALLSPAPLSSRLVASKPHSSSSPAPPPPSSSTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKIASESKGASTISPLPHMFVVKDLVVDMTNFYNQYKSVEPWLKRKDPPSPDGKEIQQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPSMFMHVQARGYHGVSENRNMRDHKRRLLAAKYELRGKLYKAVCRDPDLPSDMRDKFRYKLSKLPRNSNMTRLRNRCIFTGRSRGVYKKFRMSRIVFRTLANKGEVMGVKKASW* >Brasy3G067100.2.p pacid=40042526 transcript=Brasy3G067100.2 locus=Brasy3G067100 ID=Brasy3G067100.2.v1.1 annot-version=v1.1 MAAAALLRRSQTAARALLSPAPLSSRLVASKPHSSSSPAPPPPSSSTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKIASESKGASTISPLPHMFVVKDLVVDMTNFYNQYKSVEPWLKRKDPPSPDGKEIQQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQYTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLGA* >Brasy3G166800.1.p pacid=40042527 transcript=Brasy3G166800.1 locus=Brasy3G166800 ID=Brasy3G166800.1.v1.1 annot-version=v1.1 SLLRSSVFGFFTLRRPERPGALEEGGAAVSAGGVLPPRTTPVCQHSAACLRLDQYCTSSPRTLRLYAPGAWPQGVRRNAPGFRWCPTGSIRARTFLGTAQETRKPWPGDLFAVLLHLDLV* >Brasy3G166800.4.p pacid=40042528 transcript=Brasy3G166800.4 locus=Brasy3G166800 ID=Brasy3G166800.4.v1.1 annot-version=v1.1 SLLRSSVFGFFTLRRPERPGALEEGGAAVSAGGVLPPRTTPVCQHSAACLRLDQYCTSSPRTLRLYAPGAWPQGVRRNAPGFRWCPTGSIRARTFLGTAQETRKPWPGDLFAVLLHLDLV* >Brasy3G166800.2.p pacid=40042529 transcript=Brasy3G166800.2 locus=Brasy3G166800 ID=Brasy3G166800.2.v1.1 annot-version=v1.1 SLLRSSVFGFFTLRRPERPGALEEGGAAVSAGGVLPPRTTPVCQHSAACLRLDQYCTSSPRTLRLYAPGAWPQGVRRNAPGFRWCPTGSIRARTFLGTAQETRKPWPGDLFAVLLHLDLV* >Brasy3G166800.3.p pacid=40042530 transcript=Brasy3G166800.3 locus=Brasy3G166800 ID=Brasy3G166800.3.v1.1 annot-version=v1.1 SLLRSSVFGFFTLRRPERPGALEEGGAAVSAGGVLPPRTTPVCQHSAACLRLDQYCTSSPRTLRLYAPGAWPQGVRRNAPGFRWCPTGSIRARTFLGTAQETRKPWPGDLFAVLLHLDLV* >Brasy3G116200.1.p pacid=40042531 transcript=Brasy3G116200.1 locus=Brasy3G116200 ID=Brasy3G116200.1.v1.1 annot-version=v1.1 MVAATVSMRLRRSTRTYDAYRYKVRAAGAARSSFHAIVTASPSEARRWVRTTLWQSRHRLRSRKGLIVGMGVQWTPPFRRPSTLQLCCGHRCLVFQIAQAGGGIPNVLRRFLRDYPSVTFVGYNVLADCRTLSEHYGLEVSRATELRVLTGMGNASMERMAERLLGWSVSKCRKVAMSKWNRRRLSEAQVTYACLDAYFSFCLGVHARRTRQAVLTAMAAGRN* >Brasy3G130200.1.p pacid=40042532 transcript=Brasy3G130200.1 locus=Brasy3G130200 ID=Brasy3G130200.1.v1.1 annot-version=v1.1 MDLTLSSVCISLLCISLLCYLWNKATKPPAGAPAPPGPTPYPVIGNIPDLIRGGELHRALARLSATYGPVMSMRLGTATTVVLSSPAAAHEALHKKEGAVSNRWVPDNANVMGHSGISMAWLPSTSPLWKHLRTVASTLLFTSRRLAASRPIQERKARGLVAHFRGASASGRGRPVRVGLPVFSAVLNMMSGVLFSEDVVDLGSSSMKGQEFRDLIADSIAETAKPNISDFFPFLSALDLSRRRRAATANLSKFYQFFDAIIDRRLSSSGAEKHGDLLDSLLELHAKSQLERPVIRALLTDLFIAGSHTTTTTVEWAMAELLCNPTKMAKARAELKEAFGSGRAEEGDLANLPYLQAVVKETLRLHPAGPLLLPHEVSEPGVTLGGFSVPKGARVLINAWAIGRDPAAWGAEPEAFTPERFLGREVDFRGRAFEFIPFGSGRRACPGMPLAVAVVPMVLASLLHEFEWRLPDGMAPGDVDLSDRFGAALELASPLWAVPIPAEGTDELTS* >Brasy3G338300.1.p pacid=40042533 transcript=Brasy3G338300.1 locus=Brasy3G338300 ID=Brasy3G338300.1.v1.1 annot-version=v1.1 MEPRAVAQADDFIQSGLSIWAAKNRQIDQLKRDLKGSEDENIEMETKLASQHLRIQELENQVAEQEEHLKQMRRSLAKKGNNYIWAAAAVIVVAAMVLCVLCHS* >Brasy3G169900.1.p pacid=40042534 transcript=Brasy3G169900.1 locus=Brasy3G169900 ID=Brasy3G169900.1.v1.1 annot-version=v1.1 MGRREYKSKENQHRAEDLCYLIFHDLKSRPLPNSLEKFRPMITHVWKTCFEALVVHPLPKN* >Brasy3G194800.1.p pacid=40042535 transcript=Brasy3G194800.1 locus=Brasy3G194800 ID=Brasy3G194800.1.v1.1 annot-version=v1.1 MARGHHTTRSFFLLILMVTLATVVLVAETAWVEDYPSGVACGVTIPVEQCDPGDAAANSACMDLCHYGGCRRGGRCVSLGLLAGAGRGCNCKC* >Brasy3G043600.1.p pacid=40042536 transcript=Brasy3G043600.1 locus=Brasy3G043600 ID=Brasy3G043600.1.v1.1 annot-version=v1.1 MASSYCFLLLAAVLALASCQAIASDPSPLQDFCVADNSSRVLVNGFVCKDPKDVKADDFFLAAKLDMPRDTKTNKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPEGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPTISDDVLAKAFQVDKKTVDWLQAQFWTDNQN* >Brasy3G239200.1.p pacid=40042537 transcript=Brasy3G239200.1 locus=Brasy3G239200 ID=Brasy3G239200.1.v1.1 annot-version=v1.1 MFDRELQTKPETEQHLFFDCLVAKLLWGAISFLLSKQLGSSLEQLASLWLGNKTNEVINMTTAAVPWSLWKCRNNLFFRSSSWSSMQVLWHLVLRHLRSWKMLCSNANQAALEHVLLQLECKSVETPRLLPR* >Brasy3G181900.1.p pacid=40042538 transcript=Brasy3G181900.1 locus=Brasy3G181900 ID=Brasy3G181900.1.v1.1 annot-version=v1.1 MASPFLAGSSSSSASSPLSYMIPRPPPSSSSLAQGQGFLSCGALAQQGSQGYDSSNGVNVGGEAVGVGAAKQGGTGRHAGLPRPPPRDCPRCSSGNTKFCYYNNYSHKQPRYLCRACRRHWTEGGTLRDVPVGGGRKSRRNNGAGSRKAAAAKASPSPSTTADAAGVDASLVPADVLRQMLFFQPAGFEGGYGIDMGAWQQQQMAAAAPNATKAPQGGGSDGDVGAGVEGTAAADGVNCGAGVQFWSGGWQMQDDMPGFDGTF* >Brasy3G172600.1.p pacid=40042539 transcript=Brasy3G172600.1 locus=Brasy3G172600 ID=Brasy3G172600.1.v1.1 annot-version=v1.1 MPEDRPWKGAAIPCDATDKAIFQSCTEITIGNGKLASFWTDRWLDEEALCVSAPELFKLARRKKITVQQGVEEGKWMVGLQRLHTEDQLISFIKIWENTHALVLPSMEDEIKWKIDTRGKYSAKSAYDFQFWARIQQPHLEKT* >Brasy3G231900.1.p pacid=40042540 transcript=Brasy3G231900.1 locus=Brasy3G231900 ID=Brasy3G231900.1.v1.1 annot-version=v1.1 MTPLLLLFLLLCIPATDAASLAADFSVLLAAKDALSDPASALSPWHTPSSVSPCRWPHILCSSSDDDPTIASLLLSNLSLAGEFPNPLCSLSSLVRLDLSYNSLTGPLPPCLAELPSVTHLNLAGNSFSGEIPRSFGAGFPSLSTLNLAGNDISGEFPAFLANVSALEELLLAYNPFTPSPVPDTIAHGLPRLRVLWLAGCGLVGNIPASIGNLKSLVNLDLSTNNLTGEIPESIGGLESVVQIELYSNKLSGRVPEGLGALNKLRFLDAAMNRLSGEIPPDLFLAPGLESLHLYENELSGRVPSTLGQAPALNDLRLFSNRLVGELPSEFGKNCPLEFIDLSDNRISGRIPEALCAAGKLEQLLILNNELDGPIPAELGECRTLTRLRLPNNRLSGPVPLDMWSLPHLYLLELAGNALSGTVGPGIALAQNLSQLLLSDNQFAGVLPAQLGSLTSLVELSAANNGFSGPLPATLADLSTLGRLDLRNNSISGELPQGVRRWQKLTQLDLAENRLTGSIPPGLGELPVLNSLDLSNNELTGGVPAQLENLKLSLLNLSNNRLSGDLSPVFSGDMYDDSFLGNPALCRGGSCSGGRRGAAAAAAGRRSAESIVTIAGVILVLGVAWFCYKYRSHYSAEGPGGGSKQWVVTSFHKAEFHEDDILSCLHDEHNVIGAGAAGKVYKAFLGRGGDEDVVAVKKLWGAARKELSSSSSSSNKDGFEAEVATLGRVRHKNIVKLWCCLRSGDRRLLVYEYMPNGSLGNLLHGGKGAVLDWPMRYRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDADFGAKVADFGVARAIVGGNNNGRAPDAAVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELVTGKRPVGGPELGDKDLVRWVCGRIERDGVDAVLDPRLAAGASESCRAEMRKVLSVALLCTSSLPINRPSMRSVVKLLLEVLPDSKPALVLELEEKKPLDVV* >Brasy3G225300.1.p pacid=40042541 transcript=Brasy3G225300.1 locus=Brasy3G225300 ID=Brasy3G225300.1.v1.1 annot-version=v1.1 MVVLAASIISKSGKALVSRQYVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSSLDEDGICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESLEEKAHKLMMQSKINDTRDIMKKKASELDKIRMEKGKLDKGTYTSISGPRVMEKNFSDMNISGTGFGSGSGLGGLSTDMDSFASKPKGRPSAAATAPGKGFGMKLGKSQKTNQFLESLKAEGEVILEDAQPSSVQSRSSALPPSDPVTVTIEEKLNVTVKRDGGVDNFDVQGTLALQVLNDADGFIQLQIENHDVSGLSFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLNNVVISIPLPALREAPTVKQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFIVPPADPSTFFPISIGFAASSTFSDLKVTGIHPLKDGNPPKYSQRVRLVATNYQVV* >Brasy3G161500.1.p pacid=40042542 transcript=Brasy3G161500.1 locus=Brasy3G161500 ID=Brasy3G161500.1.v1.1 annot-version=v1.1 MGTPTKVMLLALLALCIESAVADWSKGTATFYGGQDASGTMGGACGYQNLYDQGYGVNNAALSTALFNDGASCGQCYLIMCDASSTGWCRAGYSTVTVTATNLCPPNWALPNNNGGWCNPPRPHFDMSQPAWLQIGIYKAGIIPILYQQVRCWRQGGIRFSIAGFNYYELVLVTNVGGSGSIRAMSVKGSNTGWIPMTRNWGANWQSTSALVGQALSFMVTSTGGQTLYLNNVVPYWWTFGSTTYTSNNQFDY* >Brasy3G243600.1.p pacid=40042543 transcript=Brasy3G243600.1 locus=Brasy3G243600 ID=Brasy3G243600.1.v1.1 annot-version=v1.1 MPRMISTIASLTAFAVLAFTAAAAAPELDYKEALHSSLLYFEAQRSGHLPYNQRVHWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAMEFADDISAAGDGEEWRHVLEAIKWGTDYFIKAHTAPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRGNPGSDLVGETAAALAAASMVFRRTNPHYAQVLLHHARQLFEFGDRYRGKYDSSIAEVESYYASVSGYGDEMLWAALWLHRATGQARYLDYAVENAEEFGGTTWAIDEFSWDVKYAGLQILAAKVLMEGNHRPEHRETLETYKSKAEHYLCACLGKNGEAGNVNRTAGGMLFVRQWNNMQYVTNAAFLSTVYSRYLITADDRNRMMRCPDGPARAEELRALGRAQTDYVLGGNPAGVSYMVGYGRRFPRRVHHRGASIVSHRGDGRFIGCVQGYDGWFRRKGANPNVVVGAIVGGPDGRDRFRDSRDNYMQTEACTYNTAPMVGIFAHLHSQTAGAKRK* >Brasy3G317700.1.p pacid=40042544 transcript=Brasy3G317700.1 locus=Brasy3G317700 ID=Brasy3G317700.1.v1.1 annot-version=v1.1 MSETPLAASPQREGGLGGGLGGLDDDQSTGGARDAASGPSGSGSRPATPARACGLAGLDDDPEHGRAQAWRCAAAARRRRRARGPWSLPLLYFLPPRLPSSALLRRALPSPSMAATLSTSPCAHHTAFPLLLCAAWSPVRVEAPARARLPQDRRNGSPASICAPATSRASPPAPEGPHGRREAAGALAPEFAPLEPPSVVAVPLHAGGGGAGARAPRSSSFPVAVILLDAPAPTPAPPPRAPGSARHRAAPRCRRCCLPTRAPLLLRRRARLAPRAAARLRAACRPCSTDARTWFRVPPLLPAAPASPRAAPAHPCRRSARRRCLVAREERQQGGREGGGELAAVACPEASRSCARWSCPHCCSPETKKREGVIPTAR* >Brasy3G010200.1.p pacid=40042545 transcript=Brasy3G010200.1 locus=Brasy3G010200 ID=Brasy3G010200.1.v1.1 annot-version=v1.1 MGIRSKKRKTRSRTSADDPPSCSRRRKDVGVHHLLPAPGEWRDWAALPHDVVWLILVRIPQADILRGAGLACSSWRQLASHKPLFWRRIDLADDKDEPPERWQATARAAVNRSAGGCESFRGRVDADFLLYLAHSAPLLRSLHVMCRFGRTREEKEKFIAAMRKFHLLERLVLSEGVIELSSLVDLLDHCPRLQLLDAGGCVASGRTRSARATLESRIKDVRLPRRFDCFEGFSFWRFLPGFLPLSP* >Brasy3G160800.1.p pacid=40042546 transcript=Brasy3G160800.1 locus=Brasy3G160800 ID=Brasy3G160800.1.v1.1 annot-version=v1.1 MAQQQEPDARPLGFFERISTCDVALRKRQRQASGSGMASSDRARPPPPLLLLPPPPPEPTPAVLPAPPEPRPIPSSTVVDGVLGLLRSGEAFLRGALFRGSSGHASPPRPPPPHSQQHHSPGDIMKRLQRETFPDVLRLMDRHEQIDRIVSMYRNGMAFHFPDLPVRAKVALEAVGALLLVDGDEFDRARRILGAAGNRTGLSSRFVLESKTRGKDTIAAEFSTRRGTGVTSLEAAEEDDDGGRPLELTRLQYCAHVNDWLSMILVPFGAQCNGFLHGLSLIQNLQSQASLDGPPSFSEQHNCAAALSIRGSKFTASLAELVFGSGAQDGDHGVANRMTTFGQVCYEPAEDVKLSLSGLWQICPLSSRFNNLGTLAVPLGSLKPQRNASTTTHQGSSVVVQGLGIPFPIAPMERTDPAPRGPETQSVQSVAAMVDCELFEAMRAQGWVEIERRSGRGPVRWGCSLSDTPEHELGWGVRMGGTADGEEHRPHVEGFLSFNLGRGGKLQPGLVYVMEGEKRTPALVLRSSWFM* >Brasy3G160800.2.p pacid=40042547 transcript=Brasy3G160800.2 locus=Brasy3G160800 ID=Brasy3G160800.2.v1.1 annot-version=v1.1 MQGDIMKRLQRETFPDVLRLMDRHEQIDRIVSMYRNGMAFHFPDLPVRAKVALEAVGALLLVDGDEFDRARRILGAAGNRTGLSSRFVLESKTRGKDTIAAEFSTRRGTGVTSLEAAEEDDDGGRPLELTRLQYCAHVNDWLSMILVPFGAQCNGFLHGLSLIQNLQSQASLDGPPSFSEQHNCAAALSIRGSKFTASLAELVFGSGAQDGDHGVANRMTTFGQVCYEPAEDVKLSLSGLWQICPLSSRFNNLGTLAVPLGSLKPQRNASTTTHQGSSVVVQGLGIPFPIAPMERTDPAPRGPETQSVQSVAAMVDCELFEAMRAQGWVEIERRSGRGPVRWGCSLSDTPEHELGWGVRMGGTADGEEHRPHVEGFLSFNLGRGGKLQPGLVYVMEGEKRTPALVLRSSWFM* >Brasy3G091200.1.p pacid=40042548 transcript=Brasy3G091200.1 locus=Brasy3G091200 ID=Brasy3G091200.1.v1.1 annot-version=v1.1 MGSRFPSHQLSNGLYVSGRPEQPKEKSPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNASFGGAGSNSGPVSSAVGRSNYSGSISSAVPGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPISSGPLNSSGAQRKVSGPLDSNVSMKMRATSFAHNQAVTNLNADAGFSIKASLPKPILWTAILLFVMGLIAGCFILAAVHNPILLVVVVVIFGFVAALLIWNICWGTRGVTKFVSCYPDADLRIAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTQHRRFTWGLRSVERHAVDFYISDFQSGLRALVKTGYGARVAPYVDESVVIDINPENKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPPSEPFSTGCQWTKCILPTSLDGLVLRCEDASNGDVIPV* >Brasy3G091200.4.p pacid=40042549 transcript=Brasy3G091200.4 locus=Brasy3G091200 ID=Brasy3G091200.4.v1.1 annot-version=v1.1 MGSRFPSHQLSNGLYVSGRPEQPKEKSPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNASFGGAGSNSGPVSSAVGRSNYSGSISSAVPGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPISSGPLNSSGAQRKVSGPLDSNVSMKMRATSFAHNQAVTNLNADAGFSIKASLPKPILWTAILLFVMGLIAGCFILAAVHNPILLVVVVVIFGFVAALLIWNICWGTRGVTKFVSCYPDADLRIAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTQHRRFTWGLRSVERHAVDFYISDFQSGLRALVKTGYGARVAPYVDESVVIDINPENKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPPSEPFSTGCQWTKCILPTSLDGLVLRCEDASNGDVIPV* >Brasy3G091200.2.p pacid=40042550 transcript=Brasy3G091200.2 locus=Brasy3G091200 ID=Brasy3G091200.2.v1.1 annot-version=v1.1 MGSRFPSHQLSNGLYVSGRPEQPKEKSPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNASFGGAGSNSGPVSSAVGRSNYSGSISSAVPGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPISSGPLNSSGAQRKVSGPLDSNVSMKMRATSFAHNQAVTNLNADAGFSIKASLPKPILWTAILLFVMGLIAGCFILAAVHNPILLVVVVVIFGFVAALLIWNICWGTRGVTKFVSCYPDADLRIAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTQHRRFTWGLRSVEIH* >Brasy3G091200.3.p pacid=40042551 transcript=Brasy3G091200.3 locus=Brasy3G091200 ID=Brasy3G091200.3.v1.1 annot-version=v1.1 MGSRFPSHQLSNGLYVSGRPEQPKEKSPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNASFGGAGSNSGPVSSAVGRSNYSGSISSAVPGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPISSGPLNSSGAQRKVSGPLDSNVSMKMRATSFAHNQAVTNLNADAGFSIKASLPKPILWTAILLFVMGLIAGCFILAAVHNPILLVVVVVIFGFVAALLIWNICWGTRGVTKFVSCYPDADLRIAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTQHRRFTWGLRSVEIH* >Brasy3G089400.1.p pacid=40042552 transcript=Brasy3G089400.1 locus=Brasy3G089400 ID=Brasy3G089400.1.v1.1 annot-version=v1.1 MSAAKPGKALVQSDAGAYLAWSGADQPPVAAQGLGCGLLVLKPLGFAMPHYADSNKFGYVLAGRGVAGVLPAPGLHAAASGERVVRLAAGDVIAVRTGDVSWWYNDDDTADLSIVFLGDTASAVSPGDISYFFLAGANSVMAGFDAGVLAGAAAAFTSQPAVLLTKLSQKLHGVWPREHDRKGLVVNAGKVPVDKIGTKTLTAAELSALGGLGISAVLGRLEAGAARAPWVVREGAAQAVYIARGSARVQVSSAAGGGEALLVDEVVPAGSMLVVPRFAVACVAAAGADGVEWVSLVKRARPVVEELMAGEGSVLGALTAQVVQVSLNVAPELVELLGSNPK* >Brasy3G337000.1.p pacid=40042553 transcript=Brasy3G337000.1 locus=Brasy3G337000 ID=Brasy3G337000.1.v1.1 annot-version=v1.1 MDSMRPIQVHPVLKSPSPWSMPSGSRRIPLNLARSEVLAPGRGCASTSRSFSRSRLRLSFTRASQPRSFSAGHRGRRRGPPLAPQPRRRRRYPLPLAVAHAWARSARQAELRDLRAPIFFLDSDPPPPSSFSPPGCIPVTARAKNSSTAGRLSPSCLLPHCSPRSSTRPPPRPTSFTCRTVHLDPFGRRREREEEREEK* >Brasy3G186300.1.p pacid=40042554 transcript=Brasy3G186300.1 locus=Brasy3G186300 ID=Brasy3G186300.1.v1.1 annot-version=v1.1 MDVYISEEYVVRRRAEKRAAARRAAMLADNGGEEAKTGRSRWTAAWAKGTEKEGNANAAERSPKGEDVILAYLSA* >Brasy3G066900.1.p pacid=40042555 transcript=Brasy3G066900.1 locus=Brasy3G066900 ID=Brasy3G066900.1.v1.1 annot-version=v1.1 MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDELEGGNYGRHSFSYNMYDHAQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSTISGMSRAAKDRGFSLVIEGEELGRRDSHESGARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLFHGPHGIGKTSAARIFAATLNCHSPGGNQPCGNCDECVAIFSGISSSVVEIDASKLDCKARVAALLRSACEVPASSNFKVLIVDDCQHMDKEGWYSIYSSLEGIPDSSIFVMITSDVDKLPSNSAGWCQSYRFCKVDDAEIVRRLSKICTKESMEFEAEALDLLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHQSDSSEVRKVIGKNTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLETLDDTAVSSMFTESQTDDGAGVLKDESLETSSHLCYQNKMGCLDMNLGDPDVLETIWMKALENCTSNSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSETFWMPLVGSLQNLLKCNVDIRINLSPISMSNRAGSKNSSVSLVMQSREDEETRNPVATDCRTVASSRRECPSPPLPGQQPREKPSHILGCLHGADSDTGDAESRILSYQKIPAIPEAASTPGNNIATASRAGGHTPKADQDRPRRRRGCFSKIIPQGDPKAPRQPRKGLFSCCFCKIRPDCRTKADAVYRRVFEERQLSK* >Brasy3G066900.2.p pacid=40042556 transcript=Brasy3G066900.2 locus=Brasy3G066900 ID=Brasy3G066900.2.v1.1 annot-version=v1.1 MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDELEGGNYGRHSFSYNMYDHAQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSTISGMSRAAKDRGFSLVIEGEELGRRDSHESGARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLFHGPHGIGKTSAARIFAATLNCHSPGGNQPCGNCDECVAIFSGISSSVVEIDASKLDCKARVAALLRSACEVPASSNFKVLIVDDCQHMDKEGWYSIYSSLEGIPDSSIFVMITSDVDKLPSNSAGWCQSYRFCKVDDAEIVRRLSKICTKESMEFEAEALDLLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHQSDSSEVRKVIGKNTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLETLDDTAVSSMFTESQTDDGAGVLKDESLETSSHLCYQNKMGCLDMNLGDPDVLETIWMKALENCTSNSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSETFWMPLVGSLQNLLKCNVDIRINLSPISMSNRAGSKNSSVSLVMQSREDEETRNPVATDCRTVASSRRECPSPPLPGQQPREKPSHILGCLHGADSDTGDAESRILSYQKIPAIPEAASTPGNNIATASRAGGHTPKADQDRPRRRRGCFSKIIPQGDPKAPRQPRKGLFSCCFCKIRPDCRTKADAVYRRVFEERQLSK* >Brasy3G066900.5.p pacid=40042557 transcript=Brasy3G066900.5 locus=Brasy3G066900 ID=Brasy3G066900.5.v1.1 annot-version=v1.1 MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDELEGGNYGRHSFSYNMYDHAQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSTISGMSRAAKDRGFSLVIEGEELGRRDSHESGARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLFHGPHGIGKTSAARIFAATLNCHSPGGNQPCGNCDECVAIFSGISSSVVEIDASKLDCKARVAALLRSACEVPASSNFKVLIVDDCQHMDKEGWYSIYSSLEGIPDSSIFVMITSDVDKLPSNSAGWCQSYRFCKVDDAEIVRRLSKICTKESMEFEAEALDLLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHQSDSSEVRKVIGKNTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLETLDDTAVSSMFTESQTDDGAGVLKDESLETSSHLCYQNKMGCLDMNLGDPDVLETIWMKALENCTSNSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSETFWMPLVGSLQNLLKCNVDIRINLSPISMSNRAGSKNSSVSLVMQSREDEETRNPVATDCRTVASSRRECPSPPLPGQQPREKPSHILGCLHGADSDTGDAESRILSYQKIPAIPEAASTPGNNIATASRAGGHTPKADQDRPRRRRGCFSKIIPQGDPKAPRQPRKGLFSCCFCKIRPDCRTKADAVYRSETRQVSHG* >Brasy3G066900.3.p pacid=40042558 transcript=Brasy3G066900.3 locus=Brasy3G066900 ID=Brasy3G066900.3.v1.1 annot-version=v1.1 MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDELEGGNYGRHSFSYNMYDHAQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSTISGMSRAAKDRGFSLVIEGEELGRRDSHESGARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLFHGPHGIGKTSAARIFAATLNCHSPGGNQPCGNCDECVAIFSGISSSVVEIDASKLDCKARVAALLRSACEVPASSNFKVLIVDDCQHMDKEGWYSIYSSLEGIPDSSIFVMITSDVDKLPSNSAGWCQSYRFCKVDDAEIVRRLSKICTKESMEFEAEALDLLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHQSDSSEVRKVIGKNTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLETLDDTAVSSMFTESQTDDGAGVLKDESLETSSHLCYQNKMGCLDMNLGDPDVLETIWMKALENCTSNSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSETFWMPLVGSLQNLLKCNVDIRINLSPISMSNRAGSKNSSVSLVMQSREDEETRNPVATDCRTVASSRRECPSPPLPGQQPREKPSHILGCLHGADSDTGDAESRILSYQKIPAIPEAASTPGNNIATASRAGGHTPKADQDRPRRRRGCFSKIIPQGDPKAPRQPRKGLFSCCFCKIRPDCRTKADAVYRSETRQVSHG* >Brasy3G066900.4.p pacid=40042559 transcript=Brasy3G066900.4 locus=Brasy3G066900 ID=Brasy3G066900.4.v1.1 annot-version=v1.1 MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDELEGGNYGRHSFSYNMYDHAQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSTISGMSRAAKDRGFSLVIEGEELGRRDSHESGARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLFHGPHGIGKTSAARIFAATLNCHSPGGNQPCGNCDECVAIFSGISSSVVEIDASKLDCKARVAALLRSACEVPASSNFKVLIVDDCQHMDKEGWYSIYSSLEGIPDSSIFVMITSDVDKLPSNSAGWCQSYRFCKVDDAEIVRRLSKICTKESMEFEAEALDLLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHQSDSSEVRKVIGKNTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLETLDDTAVSSMFTESQTDDGAGVLKDESLETSSHLCYQNKMGCLDMNLGDPDVLETIWMKALENCTSNSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSETFWMPLVGSLQNLLKCNVDIRINLSPISMSNRAGSKNSSVSLVMQSREDEETRNPVATDCRTVASSRRECPSPPLPGQQPREKPSHILGCLHGADSDTGDAESRILSYQKIPAIPEAASTPGNNIATASRAGGHTPKADQDRPRRRRGCFSKIIPQGDPKAPRQPRKGLFSCCFCKIRPDCRTKADAVYRSETRQVSHG* >Brasy3G220500.1.p pacid=40042560 transcript=Brasy3G220500.1 locus=Brasy3G220500 ID=Brasy3G220500.1.v1.1 annot-version=v1.1 MDRSSSSNSNSTSSGGGGHSQHLGVNKLGRNIRKATPPPAPSQQQPQAQPARPPHPQPQVYNISKNQFRDIVQQLTAGTPSPPPPQHQQQFHRPPLQQQQQQSKPASMRLQKIRPPPIATPVARPPPPVHNHHHHQMPNNPSHNPVFQHRPPPPHHMPMPPPGPAWADSPVSAYMRILENSLFSATPPGAAAAAAAAAHAHAAAAAAAAGRGPPPQHPPYPPPPPPVPSPGILPSPTGFLNLLSPTPRSPYPLLSPGFQHPPPLTPSAFPMLSPLPGTGILGPGPMLPPPSPGLWFPQSPSGLLSPSGFLPMLSPRWRDM* >Brasy3G054000.1.p pacid=40042561 transcript=Brasy3G054000.1 locus=Brasy3G054000 ID=Brasy3G054000.1.v1.1 annot-version=v1.1 MSVPTKPLSPRPRRGRCRLCGLCLGSALLAIVVSALVHFLSPPPHHAPSPRFSVVIDGGSTGTRAHVFAAGPDGRPDLTRSTVMRVSPGLSSFAADPARAGESLRPLMEFARDKVGGARGAKATEVRLMATAGMRLLEERVQEAILASCRDALRASGFRFQDAWAKVIPGSDEGVYAWVAANYALGTLGGDPQQTIGIIELGGASAQLTFVSDEVLPPELSTNFTFGETAYTLYSNSFLNFGQNAAQDSFHEIVRSRGSSKNGTLVDPCAPKGFSHKRVVMARPIDVSRSNLENQYVGNGSGNFKECRSSSLMMMQERKEKCQYQQCHLGSTFVPELRGHFLATENFYFTSKFLGLKQSSSLSDFAHAGEQFCNKDLSTLRQEYINQSDEDLSRYCFLSAYIVALLHDSLRVPLEDNRIEFSNQVRDTQVEWALGAFITNMKSTIPGTAAAPVHNHIPLLAVLGAFLACGVFFVSRWRKPKTKIIYDLEKGRYIITRIS* >Brasy3G296900.1.p pacid=40042562 transcript=Brasy3G296900.1 locus=Brasy3G296900 ID=Brasy3G296900.1.v1.1 annot-version=v1.1 MMHGLLEIFEQIKLSLSSQREGIDFMGAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCATGCAEMMENNRERRIALIAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVVFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAQLINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVSHSSNKDMFEATSPRHGYSSLLPPADRSETDGRRPDGDDVELAILEEDPYHYGSNNEEMLLDELKVSRAMSKHFIKVTPNVTVKEATLLMHDKQQGCVLVVDNEDFLEGIVTVGDIRRKGFESSEDANSTGGNSSLLDVNSSLVTSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYESIGRCLREELERWKALYDREFPAANN* >Brasy3G296900.2.p pacid=40042563 transcript=Brasy3G296900.2 locus=Brasy3G296900 ID=Brasy3G296900.2.v1.1 annot-version=v1.1 MLCGVVSVVFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAQLINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVSHSSNKDMFEATSPRHGYSSLLPPADRSETDGRRPDGDDVELAILEEDPYHYGSNNEEMLLDELKVSRAMSKHFIKVTPNVTVKEATLLMHDKQQGCVLVVDNEDFLEGIVTVGDIRRKGFESSEDANSTGGNSSLLDVNSSLVTSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYESIGRCLREELERWKALYDREFPAANN* >Brasy3G276700.1.p pacid=40042564 transcript=Brasy3G276700.1 locus=Brasy3G276700 ID=Brasy3G276700.1.v1.1 annot-version=v1.1 MMLAYMEHAAAATEPDQALQLTLVADAAECAAARDFGGLVSARPAGVAFPASADDVASFVSMAALTAHLTVAARGNGHSVAGQAMAEGGLVLDMRAVARGTQMQLVVSGAGTFADVPGGALWEEVLHWAVSNHGLAPASWTDYLRLTVGGTLSNGGVSGQSHRYGPQVSNVAELEVVTGDGKRHVCSPSSHSDLFFAVLGGLGQFGVITRARIPLSPAPQTVKWTRVVYASFADYAADAEWLVTRPAESALDYVEGFAFVRSDDPVNGWPSVPIPGGAHFEPSLLPAGAGPVLYCLEVALYQHRHRQDDVDERMGAMMRQLKYVRGLEFAAEVGYVEFLSRVNHVEEEARRNGSWAAPHPWLNLFISSRDIARFDATVLKGMLSDGIDGPMLVYPMLKSKWDPNTSVALPEGEIFYLVALLRFCRPYPGGPAVDELVAQNSSIIEACHANGYDFKMYFPHYDAESDWARHFGAKWARFVDRKARYDPLAILAPGQKIFPRTPSSAAAARVIV* >Brasy3G276700.2.p pacid=40042565 transcript=Brasy3G276700.2 locus=Brasy3G276700 ID=Brasy3G276700.2.v1.1 annot-version=v1.1 MMLAYMEHAAAATEPDQALQLTLVADAAECAAARDFGGLVSARPAGVAFPASADDVASFVSMAALTAHLTVAARGNGHSVAGQAMAEGGLVLDMRAVARGTQMQLVVSGAGTFADVPGGALWEEVLHWAVSNHGLAPASWTDYLRLTVGGTLSNGGVSGQSHRYGPQVSNVAELEVVTGDGKRHVCSPSSHSDLFFAVLGGLGQFGVITRARIPLSPAPQTVKWTRVVYASFADYAADAEWLVTRPAESALDYVEGFAFVRSDDPVNGWPSVPIPGGAHFEPSLLPAGAGPVLYCLEVALYQHRHRQDDVDEVGPEHVGGAAGGRDLLPGGAAPVLQALPGRAGGGRAGGAEQQHHRGVPRQRLRLQDVLPSLRRRVRLGAPLRRQVGPLRGPQGPLRPAGDPRPGPEDLPQDPVLCRGSARHRVREGRN* >Brasy3G254300.1.p pacid=40042566 transcript=Brasy3G254300.1 locus=Brasy3G254300 ID=Brasy3G254300.1.v1.1 annot-version=v1.1 MTNYSLLSLPNLLPNCLSLDPAARLAVLDREPSDQDRERGSTPPPPVGRRGEEQPWRRGGRCRRPVWVPPWDVSPRIRSTRAPSPRRRSPRVPVAVVEISRQRLGFQ* >Brasy3G220300.1.p pacid=40042567 transcript=Brasy3G220300.1 locus=Brasy3G220300 ID=Brasy3G220300.1.v1.1 annot-version=v1.1 MWVEIFCGLVAYKLIRTVFFSDDGVDQLADLDSSHSDLCFAVATRLEKLYGGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVALKNLSGFVEVDKDGNWSSEKKRKQEVLQNPVLEVKRAAANLQSYLEQRGAALPDELVNGRVVLPNPNCRPSYTINLQPEVISYDQWKDLKADTKGGLSTWIKGAFHGSKSDISDSLLQNLHSILSTSPMCDRLELKGDKNVLGEFIEFKGRHEDIQLLKKLKRSKVSKFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSDWKEISVKQYTEIVFQPLHSKKVRKFKLSSVASVTLSA* >Brasy3G149600.1.p pacid=40042568 transcript=Brasy3G149600.1 locus=Brasy3G149600 ID=Brasy3G149600.1.v1.1 annot-version=v1.1 MGRRLVSIFGVVPAAITVFDTTETEGAGLFVLCKQQPDGSPLGFSCTNGSVLVQILGFVQVIWTKVVCTCITA* >Brasy3G126300.1.p pacid=40042569 transcript=Brasy3G126300.1 locus=Brasy3G126300 ID=Brasy3G126300.1.v1.1 annot-version=v1.1 MDEAGTSFFSDCATDTLVEILRRLTPASRRRCRLVCRYWRATIDERTEGLLRQSDAKTLLAGDGSAHVLGGDGWSLKRVMASPRSRKMNVVGTRNGLLCLCDDVKPGGAIFLANPTTGEKLALPLLTSADISSSRCWHSAYSFAHHERTGRYGRARPASWRDVATPAINVGSRLGAGVVSLDGAAYWVAQVSGRIVSLDLEDERVTSISGPPIPAGFTSSFELVQVHGRLGVTVFDDGSRPNVSKTKVLVLESVSGEHTWACWYYVELDMYLRREPLLKPHFMHGEYLLARGLHVVYANKPSGKWRSRHGDVLLGRIDQGEDVYIGDICRAFPYVETDEPLRLYKLW* >Brasy3G006100.1.p pacid=40042570 transcript=Brasy3G006100.1 locus=Brasy3G006100 ID=Brasy3G006100.1.v1.1 annot-version=v1.1 MQRHPFHLFVDCPYSSRSWCEVALWPNCRGLATTICGAISIQDFHNRLMQDYSANHRKGLGSLFILMCHSIWHERNSRVFHDKGTDMRQIAIFIKDEAEEWAFAGAKALRKLLKEPP* >Brasy3G304600.1.p pacid=40042571 transcript=Brasy3G304600.1 locus=Brasy3G304600 ID=Brasy3G304600.1.v1.1 annot-version=v1.1 MRKHPRHSQGRDARRRRACPAGGARTRGGCSTPTRAAPTPEPAPACRASPRHSPGRPQHRRRIRRREEQRWRPDGTRPSRRATAFAPSLPPRIAAAAGPIVETPGRGHLDLPPHGGRALQVPRRRPTASWRRSTARGASSPEFVAWGGEQQRGRELRGEGHGEEKGRRQGVFCQKNVHIGT* >Brasy3G235900.1.p pacid=40042572 transcript=Brasy3G235900.1 locus=Brasy3G235900 ID=Brasy3G235900.1.v1.1 annot-version=v1.1 MPKLLRHRTAALSISMASFTLNSSARRNLRSTVSARSSSRTSGTPQMSSSFGSASSSDAVGTKVSSMASTVHPWAPLSWAPRSSPHFRRRPCSPAAAASCRGVFSVGVTLAGSASPCRSTASSRTTTMVSPSLLLAQQLPLTTASLILLQRKNVVFNKQDYLAVFASEHYGFLIMG* >Brasy3G158800.1.p pacid=40042573 transcript=Brasy3G158800.1 locus=Brasy3G158800 ID=Brasy3G158800.1.v1.1 annot-version=v1.1 MMGCFPTGGRRPKSPPPPGAYEEGSTSAGSTSVSVGTGSPPSSASTSSPAFLDEAVEPLYPDMDGDAPELADAAGGSLSTAIATRRLFLAPPGRSNSIVDSSEHAAAMSSGGPCQRATTGRKEEEAEAVRSVSMSTAAPRAEFLKSMLEMAEALGLDPRRGGDRARLHELLLCYVALNDSDSLRDILGAFTELLCLLNNATTGDNGGAAERARHRS* >Brasy3G172300.1.p pacid=40042574 transcript=Brasy3G172300.1 locus=Brasy3G172300 ID=Brasy3G172300.1.v1.1 annot-version=v1.1 MAASEEESKAAPGHPLLRALRREKYTHGLRPAQMEALRAMCGALIPSLPPPPPTEEGGNKDLERFYLASGADSTVPDEVAELAFTRCVWEAGVLVHVALWLLTTRLGTLALCGWLCVVDSSVRRFADMPVERREAALQRWTRARWLIPAKIAFAIVKSLLFNVFYTKVNENSENPCWKAIGYSVPDVEEQRRNKNGTGARTRRPLDKGVVETRELDDAALLRSLAAKGLSVKPATSNGPHHHTVQCDAVIVGSGCGGGVAAAVLAAAGHKVVVLEKGDYFAAEDYSSVEGPSMERHYEQGGIFCTSNVTTVLYSGTTVGGGSAVNWSASIRTPGEILREWSGEHGLPVFASAGYAQAMDAVCARLAVSDACLEEGLQNKVVRRGCEALGLPVDAVPRNSSADHYCGSCNLGCPTGDKRGTDTTWLVDAVEHGAVIITGCKAERFILESNNHTGKGKKCVGLVATCVSNGGISSTKKKLRIEAKVSISACGALMTPPLLRSSGLRNRHIGRNLHLHPVSMAWGYFPEEPKPHQDQEAEEIISGKCYEGGIITSMHRVTERTIIETPALGPGAFASLVPWESGREMKERMRRYARTAHAFALVRDRGAGSVDGEGRVHFVPSRDDAEELRSGLRRALRIMVAAGAAEVGTHRSDGLRLRCEGVRDEDLEAFLDDVAVARGPMQAGTDTWALLCSAHQMGSCRMGSSPREGAVDGSGESWEAEGLYVCDGSLLPTAVGVNPMITIQSLAYCLSKSIAESLARSMKR* >Brasy3G246900.1.p pacid=40042575 transcript=Brasy3G246900.1 locus=Brasy3G246900 ID=Brasy3G246900.1.v1.1 annot-version=v1.1 MAPPPRPAAVLAWGSGEDGQLGMGGCDEKDWAHRVAALDPYAVSAVVAGSRNSLAICDDGHLFTWGWNQRGTLGHPPETKTESSPAPVEALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDITTPQRCALKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLEKVMMIAVGAFHNLALSEDAVLWAWGNNEYGQLGIGDTQPRSQPIRVEGLSGLSLVDIAAGGWHSTALTKDGEVYAWGRGEHGRLGFGDDKSSHMVPLKVQLLDGEDIVQVSCGGTHSVALTSDGRIFSYGRGDHGRLGYGRKVTTGHPMEVPLNLPPPKTSASPDGQWQANFVACGGRHTLAIVTWTDM* >Brasy3G222800.1.p pacid=40042576 transcript=Brasy3G222800.1 locus=Brasy3G222800 ID=Brasy3G222800.1.v1.1 annot-version=v1.1 MTPTVVSRGRAPRALLAGVPPAPPAPAGSVAPGPVCPGSPPQPRALLAAPLAFAVHGASPASCVVPAANAVSGIAPSPCVAPASNAVPGAAAPSSCVAPVFNTVPGAAAPCNVSSPSTVPCVAPRSAMDFWVKAAVDLQVAFDFLTPPSRRSASVLSVGSYEEVFADLCYAGYSEAEAFQSICLQDASRRAVGFSTSSDLALFLLGHPDFLLECSLPRPPPGSPAPGPGPRLQSSILEAGRKFARSTFLRHRQPVHPLSSDVAFLMVVSFGRADLRLNIRSVELALEAVLGARGALLQVVRLAERVFRFSVCSKHVGLDVLKISSVSNKNFLCFFHLWSFGGPNWRREHALWLAESEADWSLVLRSKRCSANALQAMAIGDKLGTHSIVKKRSSPRRPRPARLRFADCIAYEACLGYAKPVASGDPAQLTIGDFLFQISPQRLSSPAPASLVPLRFGEARMLADTVTVGSDVSPGGSPALGSVACAPPEPSPFLPGHDSLSSFDPVRSDMMTLSPLNRPLQTSNTPFLHISSPAASVVLHLSAMANFQFDPSGWVPEGLHWIDGGMEQNPEEVLFISDPPRRHHDEYALAVVDPPDPDLPIHIRQNTLNDE* >Brasy3G220200.1.p pacid=40042577 transcript=Brasy3G220200.1 locus=Brasy3G220200 ID=Brasy3G220200.1.v1.1 annot-version=v1.1 MCPGAPAMSASEKSVCVMDASGPLGHALVHRLLRRGYTVHAATYDDQEQLVRQYAGSEEQEEGRLKLFRADPFDYHSIADAVRGCSGLFCMFNTTPAATCDEVMVEVEVRAAQNVLEACAQTDTMERVVFTSSVTAVVWKDGHKLVDAFDEKNWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTGPGLTNAHPYLKGAPDMYEDGVLVTVDADFLADAHMAVYESPTAYGRYLCFNNAVCRPEDAVEFAQMISPSAPHSAPSDELKVIPQRIQNKKLNKLMVEFASAIYGDLD* >Brasy3G200900.1.p pacid=40042578 transcript=Brasy3G200900.1 locus=Brasy3G200900 ID=Brasy3G200900.1.v1.1 annot-version=v1.1 MGGAGDGEGSGTGRDGGESPPSAGARATLNIRCANGTKFAVQADLGGTVGAFKEAVAAGCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQSPAIAPVAASPRASTTPTSGPAGGLGGLLPGLGGTGTASGRPAGLFGAGLPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGAGEGNTASNPFAALLGNQGSNQPNNPATTAPTTGSESTTGTPVPNTNPLPNPWNTNAGGAQGTTRSGPAGNTRTGAAGGLGGLGSADLSSLLGGLGGNARTGAAGGLGGLGSADLGSMLGGPPDASLLSQMMQNPAMMQMMQNIMSDPQSMNQLLSLNPNTRNLMESNTQIREMFQNPEVLRQLTSPEALQQLLSFQQTLSSQLGQHQPSQDGNQGGNGAGQRGNVGLDTLMGMLSGLGAGGGLGVPNTSNVPPEELYAAQLGQLQEMGFFDTAENIRALVATAGNVNAAVERLLGNFGQ* >Brasy3G200900.2.p pacid=40042579 transcript=Brasy3G200900.2 locus=Brasy3G200900 ID=Brasy3G200900.2.v1.1 annot-version=v1.1 MGGAGDGEGSGTGRDGGESPPSAGARATLNIRCANGTKFAVQADLGGTVGAFKEAVAAGCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQSPAIAPVAASPRASTTPTSGPAGGLGGLLPGLGGTGTASGRPAGLFGAGLPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGAGEGNTASNPFAALLGNQGSNQPNNPATTAPTTGSESTTGTPVPNTNPLPNPWNTNAGGAQGTTRSGPAGNTRTGAAGGLGGLGSADLSSLLGGLGGNARTGAAGGLGGLGSADLGSMLGGPPDASLLSQMMQNPAMMQMMQNIMSDPQSMNQLLSLNPNTRNLMESNTQIREMFQNPEVLRQLTSPEALQQLLSFQQTLSSQLGQHQPSQDGNQGGNGAGQRGNVGLDTLMGMLSGLGAGGGLGVPNTSNVPPEELYAAQLGQLQEMGFFDTAENIRALVATAGCIAREL* >Brasy3G105300.1.p pacid=40042580 transcript=Brasy3G105300.1 locus=Brasy3G105300 ID=Brasy3G105300.1.v1.1 annot-version=v1.1 MYCMSRLSHIYCMQAFRSYTGAAAFGRLACSTREGGEACSIEISIPVLFGLHAKS* >Brasy3G171500.1.p pacid=40042581 transcript=Brasy3G171500.1 locus=Brasy3G171500 ID=Brasy3G171500.1.v1.1 annot-version=v1.1 MVSGHLFHCRKNSWPPEEYVGRSALQLLDLDGAAPPEQAWRRRLNSHANILKEFSVTFMEAMKMMSLGVRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCENSPVMENQFSIFVSRDGGNKKCSSVLAPGHHDGLKKYSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKESSLPTSVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHHSGGHFNEPFIGEDGVSGVLLHHKTAKDNPPVTFAIAACETQNVNVTVLPVFGLSGENHVSAKDMWDIMKKDGHFNRENFNAGCSMPSSPGETLCAAVTASTWVEPHGRCTVAFALSWSSPKVKFQKGCTYNRRYTEFYGTSERSSINLVHDALTKYRLWEEEIEKWQNPILRDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDEKTNPASNQQKHSKKPIKDTKSESVKDNLTRPTAEQVFNGDDLTNGGERSVSKYAEAHGSQMPEQTDGVRVQEPVPYIHSKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFADAVLYEDCRRVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMVFGRDVWSAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLEDRPYAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPIFDEHKIRSALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFITAEGIFLAGWSEEGYGYWFQTPEGWTTDGHYRSLIYMRPLAIWAMQWALSPPKAILEAPKVNLMDRIHVSPQAVRAISEISIRKIAPDNRCISSSTFQCEC* >Brasy3G066500.1.p pacid=40042582 transcript=Brasy3G066500.1 locus=Brasy3G066500 ID=Brasy3G066500.1.v1.1 annot-version=v1.1 MKNTNSTSHGAEETKIPIQEWMRVRTENLEADFSRIGTKIHRFPQGLRWIGGQGDRYIVPSVVALGPYHHGLAHLQKMEEVKPAAAHYFCLKSGHSAEEVYGKILPIAGEARGCYANGDADVARFSDAEFATMMFLDGCFLLQYMSRVLNREESALLLNWMTLSTGPCMRRDIFLLENQLPWLVLEALMTFTYVPIYDFIFSISFDFYASLVSELRLSEDEFQMYRPPHLLGLFRYYQIGAMPPEDQSSVKRKYLALASSAIDLAEIGIKVTASKKRWFADMSIQKGSLTGELSLTPLFLNDHTASWLVNMAAFEACTSTRGLEFDGFVVSSYVSLLAMLMGKEEDVHEL* >Brasy3G099900.1.p pacid=40042583 transcript=Brasy3G099900.1 locus=Brasy3G099900 ID=Brasy3G099900.1.v1.1 annot-version=v1.1 MELTSSSSTWPSVLLLLVITLSLSLLFFLSDRKTKNKGLPPGPPVLLFLARFLVLGRAIFQLGTVLRDLHARYGPVISVRLYGTCLVFVADPKLAHQVLVQGGATFADRPSLSKSVGLFTSGNRDISTSPYGAYWRLVRRNLAAQALQPSSIAQFVAPARRRALDALIRDLLVSSRGGEPVPVTVRPAFRRAMFELLVHMTLGARLCRDAIDEVQELQRRILQSMVSFPIFDVFPALTTRLFRGRWAGFVASRRRQEEIFLPLIQARRRRGERDADHASATADEDPPCYADSLLAVRVADEGGRSLTDAEMVTLCSEFLNGGTDTTVTVLEWIMAELVKHPHIQSKVFDEVKARPQLNVDDLRPMPYLKAVVLEGLRLHTPTHFVIPHGVHRDGAKIGGYEVPKGAEVNFLAADFGRDETTWSAAREFRPERFLDGGEGHGIDLTGSREIKMMPFGAGRRMCPAYTLGMLNLEYFVGSLVKQLEWLPAEDWEEVDMTEKQDFTILMKHPLRARVVPRT* >Brasy3G343100.1.p pacid=40042584 transcript=Brasy3G343100.1 locus=Brasy3G343100 ID=Brasy3G343100.1.v1.1 annot-version=v1.1 MVKMDGSMDAEMIAGYFRGKSVLVTGATGFLGKILVEKILRVQPEVKKIYLLVRAVDAQSAHQRVQDEVTDTEIFCLLKEKHGKGGFELFVEEKIVALAGDVIHENLGLDAPRLEELAKEVDIIVNGAATTNFYERYDVSLDVNVMGVKHLCEFAHKCANLKMFLHVSTAFAAGDRKGLIMERPFKRGETLREGTRLDIDAELRLVADVKAELAALAAAGAGDGDEKAERKAMKELGLRRSRHFGWSNTYVFTKAMGEMLLSQLRAGPAAAVAIMRPSIITSLLRDPLPGWMQGTRTIDTIIIGYAKQNLTCFLADLEGTMDVIPGDMVVNAMMAVMAAHGGHGADAEEEVALYHATSSLRNPALYGVLYESGRRHFYENPRLSKDGKVIPTKEMYFFKTIASFHLYMLIKYKLPLEILHLVNLLLCGIFSQLYEDLNRKYKFVIHLCDVYGPFAFFRGCFDDMNLERLRLTMARTSPEDDLFNFDPKTVDWNHYFYKIHIPGVLKYVLK* >Brasy3G343100.2.p pacid=40042585 transcript=Brasy3G343100.2 locus=Brasy3G343100 ID=Brasy3G343100.2.v1.1 annot-version=v1.1 MVKMDGSMDAEMIAGYFRGKSVLVTGATGFLGKILVEKILRVQPEVKKIYLLVRAVDAQSAHQRVQDEVTDTEIFCLLKEKHGKGGFELFVEEKIVALAGDVIHENLGLDAPRLEELAKEVDIIVNGAATTNFYERYDVSLDVNVMGVKHLCEFAHKCANLKMFLHVSTAFAAGDRKGLIMERPFKRGETLREGTRLDIDAELRLVADVKAELAALAAAGAGDGDEKAERKAMKELGLRRSRHFGWSNTYVFTKAMGEMLLSQLRAGPAAAVAIMRPSIITSLLRDPLPGWMQGTRTIDTIIIGYAKQNLTCFLADLEGTMDVIPGDMVVNAMMAVMAAHGGHGADAEEEVALYHATSSLRNPALYGVLYESGRRHFYENPRLSKDGKVIPTKEMYFFKTIASFHLYMLIKYKLPLEILHLVNLLLCGIFSQLYEDLNRKYKFVIHLCDVYGPFAFFRGCFDDMNLERLRLTMARTSPEDDLFNFDPKTVDWNHYFYKIHIPGVLKYVLK* >Brasy3G081900.1.p pacid=40042586 transcript=Brasy3G081900.1 locus=Brasy3G081900 ID=Brasy3G081900.1.v1.1 annot-version=v1.1 MVKKLGFISLIFSSSSSATSSTIAAGAPSSSSSSSSSMSWQWPSSCKQQPRTLSFRQEQEEEQKAAYKTMNSAYLPSDSAADSCFSASFASVDDDSLSTASDEAGDAVIHALRTGRLFFEPCTSSPATSSILSKAAKLKVEAMNDDDTACSGAIIGDDDDGKTALAAAAFEGATAMTMESSNPYGDFRASMEEMVLSRSRGHGGTICKDDWRWMEEMLGWYLRANGKSTHGLIVGAFVDLLVALNTAPPPSSPTTASSSSSGTDEIKEEEEAYTCN* >Brasy3G173900.1.p pacid=40042587 transcript=Brasy3G173900.1 locus=Brasy3G173900 ID=Brasy3G173900.1.v1.1 annot-version=v1.1 MTHSGAVSCHPCGLWASGSAPLIVISASMMQSSRVRAHAVHLDCPPRTEQKNGGSLVVMRPYQRPRPQDDFAKRNNEGNVVVMRPDRRLWDGLVGDAATGNGRPAVDIEKVVLSLQAKPWKRLGQYSDEGVDRVHLPKDKGMLYLGNLRRYCNNGKLIQACCVIDEMVLHGQIPDSKCCIRLIRGLVKTGKANKARQVLEVMVLSGGIPDTITCNMLIAQLCRGGQLSSAMSVLEDMRYSGSSPSGITFNTLIRCMCNQRMYDKAITFWKEQLRIGWPPYVMTSTLLVDLICKKCGSKRAMEVLDELSLEGCQPDVVTYNALISASCKADRLKDAKIILTRLIAEGLEPNSTTYCILLHSLCNKRRWAEVCDLLAHMNRANCEPDVTAYNIFINYFCKYGHLDQAIDVLEKMVSVKCFPDIVTYNTLLNAISKEGMVEEALVIAHCIRQNGWQLVLITYNTLIDALANKGEVEKAMALFDEMASGGISPDDITYGSLVMGFCKKNMSEEALKLLNRTLALGFQVKTTTFVMVIQALCRDGKVEAAAEILRVMVSETNNTSSSFYLSIVRRIAKSGRIEEAERLHRELVDCKILKKDPFVLLSS* >Brasy3G173900.2.p pacid=40042588 transcript=Brasy3G173900.2 locus=Brasy3G173900 ID=Brasy3G173900.2.v1.1 annot-version=v1.1 MTHSGAVSCHPCGLWASGSAPLIVISASMMQSSRVRAHAVHLDCPPRTEQKNGGSLVVMRPYQRPRPQDDFAKRNNEGNVVVMRPDRRLWDGLVGDAATGNGRPAVDIEKVVLSLQAKPWKRLGQYSDEGVDRVHLPKDKGMLYLGNLRRYCNNGKLIQACCVIDEMVLHGQIPDSKCCIRLIRGLVKTGKANKARQVLEVMVLSGGIPDTITCNMLIAQLCRGGQLSSAMSVLEDMRYSGSSPSGITFNTLIRCMCNQRMYDKAITFWKEQLRIGWPPYVMTSTLLVDLICKKCGSKRAMEVLDELSLEGCQPDVVTYNALISASCKADRLKDAKIILTRLIAEGLEPNSTTYCILLHSLCNKRRWAEVCDLLAHMNRANCEPDVTAYNIFINYFCKYGHLDQAIDVLEKMVSVKCFPDIVTYNTLLNAISKEGMVEEALVIAHCIRQNGWQLVLITYNTLIDALANKGEVEKAMALFDEMASGGISPDDITYGSLVMGFCKKNMSEEALKLLNRTLALGFQVKTTTFVMVIQALCRDGKVEAAAEILRVMVSETNNTSSSFYLSIVRRIAKSGRIEEAERLHRELVDCKILKKDPFVLLSS* >Brasy3G077100.1.p pacid=40042589 transcript=Brasy3G077100.1 locus=Brasy3G077100 ID=Brasy3G077100.1.v1.1 annot-version=v1.1 MAAETDGPTAAAATLEKFRLYETRARFYLIGSSREKRWFRVLKIDRSEKSELHLSEDPVWYSQQEVKSLLQRIAEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHTSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGTKELPYENLFVWNTFLTEPIRSMCKNTLWNVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAIVQMRGSIPLFWSQEAGRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGQPIIILNLIKTVEKRPREMMLRREFFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKRRPIQLSRTSTARDGSIDVRASSGDLLRLSSNADALGSTASQDMRTEDSKQEPLGDAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTAVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDLVSDSYRLSSSPANNASGGSEAALSPRSTLSPVPACKEDFSRMKLTSFDKLIERTCSSIRSVRLHCDADLRPSGGVGTSGMAPDAAEIQLKSPNWLFGQRKHAETVPTAKVIPVESANDGNKDDMNAPLCGELNWLSSEGSCEEDNFRRYLALTTADVENGWYGGELLYDQDENSGAYKHYAELCQGPVMDPFEHDPEKEQHYAEALSVDIEITNEARVEAEMEAALKDYQIIGSDLSIIPSCRSLAEDPSQLTRWIIGDEKLRAVATTAP* >Brasy3G277000.1.p pacid=40042590 transcript=Brasy3G277000.1 locus=Brasy3G277000 ID=Brasy3G277000.1.v1.1 annot-version=v1.1 MAAVNWNDDNTRIITELFADQVHRGNRANSHLNNVAYEEIAQRFKDKTGIELKKKQIKNKWDKLKNEYGIWKKLLLKQTGAGWEGGTINQDAEWWRKAKVDIPGCGRFQNQGIRNEDNLKLIFEDITNDGRDHWCPTSGDLPQPNIVEDVINLDGEDGIDIDELDESLSNVKGKKRGAKVVGDKSKKSTTSQVMQDEVKKIGALAEKTQSSLESFTTKNDTCSVATVMDLVIECGGAYGTNEHFIATELFVKREQREMLLHMPTPDIRFEWLKRKYEAKYAC* >Brasy3G161900.1.p pacid=40042591 transcript=Brasy3G161900.1 locus=Brasy3G161900 ID=Brasy3G161900.1.v1.1 annot-version=v1.1 MEAEKVLLALAVCSLLVVLSKKLQQGLTKPKPNLPPGPWTLPLLGSVHHLVSSQGGMYRAMSVLSAKHGPLMQLWLGEVPTVVASSPEAAREILKTSDLAFATRHLNATTRTATFDASDLVFAPYGDRWRQLRKICVLELLSVARVQALRRVREEEAALLVARIAASGGEAVCLTRSIANLINDTIVRESIGARCKYQDEYLRAFDTLVRQTSSLTAADLFPSSRIMQALGAAPRNARACRDEMERIIEQIIKERLEEKAADNDDDDDGSEKAHGGGDFLDVMLRLQKQNGSITNRDILILLFDMFGAGSETSSTTLNWAMAELVRTPRVMAKAQAELRDAFQGKTGITEEDVAKLSYLKLVLKEALRLHCPLPLLLPRECRESCTVMGYDVPKGTAVLVNAWAICRDPRVWDRPEEFRPERFEAEGAVDFKGTNYEFLPFGSGRRMCPGANLGIANMEVALASLLYHFDWKLPDGDRAEDMDMSEAAGMVASKRAKLYLCPTVRVAPGPGPCSA* >Brasy3G341800.1.p pacid=40042592 transcript=Brasy3G341800.1 locus=Brasy3G341800 ID=Brasy3G341800.1.v1.1 annot-version=v1.1 MLFLFPCSGHINPTPKLGELLHSRGARVTFVNTEHNHERLPVDPQGRAAARRSWPCVVLSGLVSFALGVAEELAVPSFVLWGTSACGFLCTLRLRQLRQRGYVRKITSTRSSC* >Brasy3G304100.1.p pacid=40042593 transcript=Brasy3G304100.1 locus=Brasy3G304100 ID=Brasy3G304100.1.v1.1 annot-version=v1.1 MDHLAIVPSELGDVSDFEVDGIQNLTENDVSDEEIEADELARRMWKDKVKLKRIKERQQKLVLQQAELENAKSKNISDLALRKKIARAHDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEVENSVLFNTMSSGTRNEHSLMDLQDATLGSLLSALMQHCSPQQRKYPLDKGIPPPWWPSGNEEWWIALGLPKGKTPPYKKPHDLKKVWKVGVLTAVIKHMAPNFGKIRNYVRKSKCLQDKMTAKESLIWLSVLQREENYIHSIDSGVSEITHRYELGDKNGNSYSSSDEYDVDCLEKPPHSTTSKDSVGAHQLAVQIREDNVSSRGDREHHDKQSTRALPSDKKTKESRKRKRRTGQFPVDESVVEVIQRNDDLPEVFSNAIPDMNRNQMEVPPMANRLTSVSNVSTSVALPHQGHAQENFISPGPVANNYNCNQTTDATQSSVYIGEQPLACDSSDYRNPWPVDNFQQDLGLVPIGFSSPSLDYHTSAAKQSLPLSMVPTMGTGALVENTSYNHHMAASGNSISVAGDAHQIMSDDFYIDPEGLPLDFIGINSPIPDLDELLDDDDLMQYLGT* >Brasy3G304100.2.p pacid=40042594 transcript=Brasy3G304100.2 locus=Brasy3G304100 ID=Brasy3G304100.2.v1.1 annot-version=v1.1 MDHLAIVPSELGDVSDFEVDGIQNLTENDVSDEEIEADELARRMWKDKVKLKRIKERQQKLVLQQAELENAKSKNISDLALRKKIARAHDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEVENSVLFNTMSSGTRNEHSLMDLQDATLGSLLSALMQHCSPQQRKYPLDKGIPPPWWPSGNEEWWIALGLPKGKTPPYKKPHDLKKVWKVGVLTAVIKHMAPNFGKIRNYVRKSKCLQDKMTAKESLIWLSVLQREENYIHSIDSGVSEITHRYELGDKNGNSYSSSDEYDVDCLEKPPHSTTSKDSVGAHQLAVQIREDNVSSRGDREHHDKQSTRALPSDKKTKESRKRKRRTGQFPVDESVVEVIQRNDDLPEVFSNAIPDMNRNQMEVPPMANRLTSVSNVSTSVALPHQGHAQENFISPGPVANNYNCNQTTDATQSSVYIGEQPLACDSSDYRNPWPVDNFQQDLGLVPIGFSSPSLDYHTSAAKQSLPLSMVPTMGTGALVENTSYNHHMAASGNSISVAGDAHQIMSDDFYIDPEGLPLDFIGINSPIPDLDELLDDDDLMQYLGT* >Brasy3G281800.1.p pacid=40042595 transcript=Brasy3G281800.1 locus=Brasy3G281800 ID=Brasy3G281800.1.v1.1 annot-version=v1.1 MSEFLELEAQDGIRMTWNVIPGTKQDAASCVVPVSALYTPLYPNPAIPVLPYAPLRCRICRSILNSFSVVDFDSKIWQCPFCFQRNHFPQHYSSVSASNLPTELYPQCTTVEYMATAEAGPVSPPVFLFVVDTCMIEEEIGYLKSALAQAVELLPDQSLVGLITFGTYVQVHELGFGLLPKSYVFNGTKEVTKDQILEQMGFFAGKKKPTTGVIAGARDGLSAESIARFLLPASECEFMLNSVIEELQKDPWPVSADQRASRCTGAALSVGAGLLGVCVPGSGARIMAFIGGPSTEGPGSIISKPLSEPIRSHKDLDKGSVPLYNKAVKFYEEIAKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSGDNDLGLCFNGIFEINCSKDVKIQGIIGPCTSLEKKNPISSDTVVGQGNTSAWKMCGVDRKTSLCLVFDIAKKDGPDAIGQSTGNQFYFQFLTYYQHHDGQMRLRSTTVSRRWVAGSGSVQELLTGFDQEAAAAVMARLVSFKMEAEVDFDPVRWLDRALISLCSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGITIAQWRKAGYQDQEGHEVFAQLLQAPQEEADTIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYDSATPPPPGGDVIFTDDVSFQVFMDHLQRLAVQ* >Brasy3G251000.1.p pacid=40042596 transcript=Brasy3G251000.1 locus=Brasy3G251000 ID=Brasy3G251000.1.v1.1 annot-version=v1.1 MAVAKSSNKSDSKHGAPLLGKYELGRLLGRGTFAKVYHARCLAGGESVAVKVLDKPGLAATGMDGARVLGEVAAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPARRLPEHAARRVFLQLASALIYCHARGVSHRDVKPQNVLLDAQGNLKICDFGLAALPESHRDDGRLHTACGTPAFAAPEVLRRKAYDGVKADAWSCGVILFVLLAGHLPFDDSNIVDMCRKAHRRDYAVPGWVSQPARRLVGRLLDPNPATRLTVAELSSHPWFKRSLSLDSQLGSLLGGAPERDLLFQAPPALNAFDIISMSPGLDLSGLFGENRRSREKRFMTTASPERIVERLGQSGAKLGYFMVGKKGVERLPLGGLSGFVAMSMEMSEVAPPLMLVELRLEAGDDDEVQAFGWDELRTELGDVVMAWHGCEEL* >Brasy3G152400.1.p pacid=40042597 transcript=Brasy3G152400.1 locus=Brasy3G152400 ID=Brasy3G152400.1.v1.1 annot-version=v1.1 MANSDHVYISSCSFQTGDDCVSILSGTTDVNVTDTTCGPDHGISVGSLGGANEIALVERITVSNCSFVGTLTGVRIKSWQGGTGKANGFLFENLKMTAVRIPIDIDQFYCPQGNCPPKDGGVSITDARFVNIHGTSTDKQAIQILCSQSVPCRGIYLDDVTLYWDKQSSQAQSKVLNAQGTIVGDVVPEVQLGTQR* >Brasy3G163300.1.p pacid=40042598 transcript=Brasy3G163300.1 locus=Brasy3G163300 ID=Brasy3G163300.1.v1.1 annot-version=v1.1 MRLLPCPLSKTMVAAQGSGSPFSKKYSASMRMTPRILDKVKVEECLAAPLPPSPSPLARLPPRARLDFSTASSDADGQMDDTLANIRKKLKRLKKGKEEDVVAVAGFGAEGEVLAEQEDLQGGIDMGEGVADENSNLEVENLIIGDGLKGSGDLGLEDSLSVLFKKPGRKSRQISKKDAEGVEVADSHAEEALANRSDLASDMAAKGTKRRRRRTKAEMRMAAANYGSSVVNYRLPRKAKAKPTASNSNRRSEVDAHKALGEVASESPPELQQTSDEKEKAADDGLCCVSLGETLIQDVETSRIPDDGSRNSSDGASHRYEVPARASDFSGLKPCSELHAEETSRSAANASPDCISGIQKTCSETLVKDRYADADCSPDRPPASTIRRKTSLKLKEVPKKPVRQKELPSVGADDKPGEIAESDVLLKERCKSTFRFARLTTVVPANSAGCTGGLKHGNTELSEAVPTEENLDRPAAFGIHGSRVTAKDSCPSHNIIASAKEMDIIDVAATSDFEDMENTSKVKRVTRSAKKRKHGDMAYEGDVDWETLMQEQGLFSNPSAGFADPSLKSKDKTKALEVLENGGAAGVAAVSAGLRAEAICPIEKIKFKDVLKRKGGLQEYLECRNMILNCWNKDVKHLLDLADCGFSNVPSEDDSPRQTLTRDVYFFLDQNGYINAGIASAKVIADHGITCSEVAQVSPLNELSEVKPFNVQGKVVVSLQDKGPGYGAFGPLSETSKEDKIPDIQCDAQELLPHLQSKEQAFEEKNLDASLPSTNINCRSYLDDSVGEVEGCSLHQPEAAVTKLSGNNHEVNYRVEAGGYCKKIIIVGAGPAGLTAARHLWRQGFAVTVLEARNRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLKSACPLYDVVTGNKVSDDLDDELESEYNGLLDEMEHLFAQNGESAMGLSLEDGLEYALRKNRTVHSISSVGRDDQLISIPNKGGVDISKSASTEKEISHRGKDDKIDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGPHCMIKGGYGTVLENLAEGLDVRLNQVVTEIMYSSEESDASGNNGKNVKVSTSNGGEFVGDAVLITVPLGCLKAHAIKFSPSLPDWKLSSIDRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSSAHVSNAMVVLRKLFKDVAVPEPVASVVTNWGLDPFSRGAYSYVAVGASGQDYDILGWPVADCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLEYQLVGVETEILGVRSSMSHQGYGCGST* >Brasy3G303200.1.p pacid=40042599 transcript=Brasy3G303200.1 locus=Brasy3G303200 ID=Brasy3G303200.1.v1.1 annot-version=v1.1 MKHKKNMRDWEEEDGRAVGAEAGHQTAGAGREEATGDPSVGRLGSIMAARSAVPARSPVRPSVRPSTADLMHGSLDRFACSVLGISTRKKNRT* >Brasy3G305400.1.p pacid=40042600 transcript=Brasy3G305400.1 locus=Brasy3G305400 ID=Brasy3G305400.1.v1.1 annot-version=v1.1 MNMDTFRFSLAWSRILPNGTISGGVSKTGVAFYNSLIDEVVARGLTPFVTISHFDTPQVLEDKYGGFLSENLVKDYVEYADLCFGLFGDRVKLWNTFNEPTVFCMNGYGTGIMAPGRCSVSSSCASGDSGTEPYVAAHTLLLAHAQAVKLYRAKYQQSQRGQIGITQVSHWFVPYDPSSNADLHAQKRALDFMFGWFMHPIVYGEYPGTMRRLVGARLPEFTTEQKEMLRGSFDFIGLNYYTSNYAKAAPAPNKLEKPSYGTDNRVNQTGFRDGVPIGPPAYTPIFYNYPPGLRELLLYAKKRYNNPAIYITENGTDEANNSTIPIEEALKDETRIMFHYNHLKFVHKAIQEGVNVKGYFTWTFQDCFEFGDGFKDRFGLIYVDRDTLKRYRKRSSYWLEGFLKK* >Brasy3G001900.1.p pacid=40042601 transcript=Brasy3G001900.1 locus=Brasy3G001900 ID=Brasy3G001900.1.v1.1 annot-version=v1.1 MYDILCFVQTEKVFLKQTKVFLCPKKSDKGKRPGKAGNRFWKNVGLGFKTPKEAIEDEFLIARAYIDKKCPFTGTVSIRGRIIAGTCHGAKMNRTIIVRRNYLHFVKKCQRYEKRHSNIPAHISPCFWVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGTGKKAFTAA* >Brasy3G296000.1.p pacid=40042602 transcript=Brasy3G296000.1 locus=Brasy3G296000 ID=Brasy3G296000.1.v1.1 annot-version=v1.1 MAGAARRWRRKRRARDAVLALLLASVLAPLALYSGAPISPFSGPNLSRSRALGRDPSNLIARNEAGKRLNALPQDTLDAVKEPVRTVASDANNMAIAGNPSRPGGGIQQVVMGSSIDRSGESWGGNDGRARNVEEEKESSRHPSKGARVDDIATTLKEGAQLRKQSGLNNVGEGGEHKVRAMHTAGDLNVPFDKEITDDRSSEQITEAISEKSDAMLISSNTSYSTTPDSMILVIKDQLKRAKKYIRFLPSRGNHGFIKDLRRRMRDIQQALGGATIDRQLPKNVRGKIRAMELILRKIRQVHDNCVAAIDKLQTTLHSAENQLEAHKQQANYVAQIAAKALPRRLHCLALLLTNKYYSSSSSNKLFPYEDKLEDPKLQHYALFSDNVLAAAVVVNSTLVHAKKPADNVFHIVTDKLNYAAMRMWFLANPLGKAAVQVQNIEDFTWLNSSYSPVMKQLGSHFMIDYYFSTPQNRPDRNPKFRNPKYLSILNHLRFYLPEIFPRLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAVQTCGEVFHRFDRYLNFSNPLIAKNFDRRACGWAYGMNMFDLSEWRRQNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYKQNVNPKDIERAAVIHYNGNLKPWLEVGLSKYRKYWTKYVNSDQAFIRGCNIHP* >Brasy3G296000.2.p pacid=40042603 transcript=Brasy3G296000.2 locus=Brasy3G296000 ID=Brasy3G296000.2.v1.1 annot-version=v1.1 MAGAARRWRRKRRARDAVLALLLASVLAPLALYSGAPISPFSGPNLSRSRALGRDPSNLIARNEAGKRLNALPQDTLDAVKEPVRTVASDANNMAIAGNPSRPGGGIQQVVMGSSIDRSGESWGGNDGRARNVEEEKESSRHPSKGARVDDIATTLKEGAQLRKQSGLNNVGEGGEHKVRAMHTAGDLNVPFDKDQLKRAKKYIRFLPSRGNHGFIKDLRRRMRDIQQALGGATIDRQLPKNVRGKIRAMELILRKIRQVHDNCVAAIDKLQTTLHSAENQLEAHKQQANYVAQIAAKALPRRLHCLALLLTNKYYSSSSSNKLFPYEDKLEDPKLQHYALFSDNVLAAAVVVNSTLVHAKKPADNVFHIVTDKLNYAAMRMWFLANPLGKAAVQVQNIEDFTWLNSSYSPVMKQLGSHFMIDYYFSTPQNRPDRNPKFRNPKYLSILNHLRFYLPEIFPRLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAVQTCGEVFHRFDRYLNFSNPLIAKNFDRRACGWAYGMNMFDLSEWRRQNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYKQNVNPKDIERAAVIHYNGNLKPWLEVGLSKYRKYWTKYVNSDQAFIRGCNIHP* >Brasy3G296000.3.p pacid=40042604 transcript=Brasy3G296000.3 locus=Brasy3G296000 ID=Brasy3G296000.3.v1.1 annot-version=v1.1 MAIAGNPSRPGGGIQQVVMGSSIDRSGESWGGNDGRARNVEEEKESSRHPSKGARVDDIATTLKEGAQLRKQSGLNNVGEGGEHKVRAMHTAGDLNVPFDKEITDDRSSEQITEAISEKSDAMLISSNTSYSTTPDSMILVIKDQLKRAKKYIRFLPSRGNHGFIKDLRRRMRDIQQALGGATIDRQLPKNVRGKIRAMELILRKIRQVHDNCVAAIDKLQTTLHSAENQLEAHKQQANYVAQIAAKALPRRLHCLALLLTNKYYSSSSSNKLFPYEDKLEDPKLQHYALFSDNVLAAAVVVNSTLVHAKKPADNVFHIVTDKLNYAAMRMWFLANPLGKAAVQVQNIEDFTWLNSSYSPVMKQLGSHFMIDYYFSTPQNRPDRNPKFRNPKYLSILNHLRFYLPEIFPRLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAVQTCGEVFHRFDRYLNFSNPLIAKNFDRRACGWAYGMNMFDLSEWRRQNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYKQNVNPKDIERAAVIHYNGNLKPWLEVGLSKYRKYWTKYVNSDQAFIRGCNIHP* >Brasy3G296000.4.p pacid=40042605 transcript=Brasy3G296000.4 locus=Brasy3G296000 ID=Brasy3G296000.4.v1.1 annot-version=v1.1 MLISSNTSYSTTPDSMILVIKDQLKRAKKYIRFLPSRGNHGFIKDLRRRMRDIQQALGGATIDRQLPKNVRGKIRAMELILRKIRQVHDNCVAAIDKLQTTLHSAENQLEAHKQQANYVAQIAAKALPRRLHCLALLLTNKYYSSSSSNKLFPYEDKLEDPKLQHYALFSDNVLAAAVVVNSTLVHAKKPADNVFHIVTDKLNYAAMRMWFLANPLGKAAVQVQNIEDFTWLNSSYSPVMKQLGSHFMIDYYFSTPQNRPDRNPKFRNPKYLSILNHLRFYLPEIFPRLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAVQTCGEVFHRFDRYLNFSNPLIAKNFDRRACGWAYGMNMFDLSEWRRQNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYKQNVNPKDIERAAVIHYNGNLKPWLEVGLSKYRKYWTKYVNSDQAFIRGCNIHP* >Brasy3G323000.1.p pacid=40042606 transcript=Brasy3G323000.1 locus=Brasy3G323000 ID=Brasy3G323000.1.v1.1 annot-version=v1.1 MVEAGSRVRGFLRNRWLVFVAAMWMQSFAGVGYLFGSLSPVIKSSLGYTQRQLAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTVLCTIILMVLLIVPIVIPVILSFFSDNDESIQAALLPSPRREEASASAPSSEEQHEVILSEVEDEKPKEVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRVAGGYFSEIVVKAFSNRKLRNRGLRISKGDCIGDSSGIHGNWALHLRDGMAGHNVHRHAAHRTRVRRSLGHRASRRLRAVRHEELRSAVQLPHGRQPGGLPGLLGHHRQRHLRPRSREAGSPARQLGIAGHGLRRRSGPQVRWRHLLLPLLDDHVGVLRHRRRPEHDLGPPDEGRVHESVR* >Brasy3G323000.2.p pacid=40042607 transcript=Brasy3G323000.2 locus=Brasy3G323000 ID=Brasy3G323000.2.v1.1 annot-version=v1.1 MVEAGSRVRGFLRNRWLVFVAAMWMQSFAGVGYLFGSLSPVIKSSLGYTQRQLAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTRRVPVPPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTVLCTIILMVLLIVPIVIPVILSFFSDNDESIQAALLPSPRREEASASAPSSEEQHEVILSEVEDEKPKEVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRVAGGYFSEIVVKDYAYPRAIALATAQVFMAIGHFTFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGTKNFGALYNFLTAANPAGSLVFSGIIASGIYDREAEKQAHQHGNSALLAMVSDAAPALKCDGAICFFLSSMIMSAFCVIAAALSTILVHRTKVVYTNLYGKPPT* >Brasy3G323000.3.p pacid=40042608 transcript=Brasy3G323000.3 locus=Brasy3G323000 ID=Brasy3G323000.3.v1.1 annot-version=v1.1 MCILIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTVLCTIILMVLLIVPIVIPVILSFFSDNDESIQAALLPSPRREEASASAPSSEEQHEVILSEVEDEKPKEVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRVAGGYFSEIVVKAFSNRKLRNRGLRISKGDCIGDSSGIHGNWALHLRDGMAGHNVHRHAAHRTRVRRSLGHRASRRLRAVRHEELRSAVQLPHGRQPGGLPGLLGHHRQRHLRPRSREAGSPARQLGIAGHGLRRRSGPQVRWRHLLLPLLDDHVGVLRHRRRPEHDLGPPDEGRVHESVR* >Brasy3G323000.4.p pacid=40042609 transcript=Brasy3G323000.4 locus=Brasy3G323000 ID=Brasy3G323000.4.v1.1 annot-version=v1.1 MCILIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTVLCTIILMVLLIVPIVIPVILSFFSDNDESIQAALLPSPRREEASASAPSSEEQHEVILSEVEDEKPKEVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRVAGGYFSEIVVKDYAYPRAIALATAQVFMAIGHFTFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGTKNFGALYNFLTAANPAGSLVFSGIIASGIYDREAEKQAHQHGNSALLAMVSDAAPALKCDGAICFFLSSMIMSAFCVIAAALSTILVHRTKVVYTNLYGKPPT* >Brasy3G323000.5.p pacid=40042610 transcript=Brasy3G323000.5 locus=Brasy3G323000 ID=Brasy3G323000.5.v1.1 annot-version=v1.1 MCILIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTVLCTIILMVLLIVPIVIPVILSFFSDNDESIQAALLPSPRREEASASAPSSEEQHEVILSEVEDEKPKEVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRVAGGYFSEIVVKDYAYPRAIALATAQVFMAIGHFTFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGTKNFGALYNFLTAANPAGSLVFSGIIASGIYDREAEKQAHQHGNSALLAMVSDAAPALKCDGAICFFLSSMIMSAFCVIAAALSTILVHRTKVVYTNLYGKPPT* >Brasy3G132600.1.p pacid=40042611 transcript=Brasy3G132600.1 locus=Brasy3G132600 ID=Brasy3G132600.1.v1.1 annot-version=v1.1 MPASCATPAAALPVSRRAPPPPPPSAAHVPAARRGASSATACRCTAASSSASASASASAAAAPPSAWDWPRWTRHFAEVEQAESYASVLAFQLEEAVEGEEFAEAAALKRAIHDATAHDAVAHVMAQLKSAIEEQRYQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGSPLFEIFLVRDEDETYTMKVVHLRPTKGTSSASPLSTTTTEGPVKVENDTSSESSALSDGVKEEANTDSQLKGNEDIEEKTQDVGNTKESSVEGLKSVLNFFKSRIPEFKVQVINVDVPDETELVSDSPEELVQDDVKSTSENSLEEPGTEEFEEEDVSDGESDSNEDSKSPGVKLFISGVVHNKEDMAGAKSYVRVPAEISNLEKDSFELYIPGKGSDRDLTETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKMMDPLQINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFNGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKVGKGSRLENRGAYPEEFGVTASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLTLPE* >Brasy3G132600.2.p pacid=40042612 transcript=Brasy3G132600.2 locus=Brasy3G132600 ID=Brasy3G132600.2.v1.1 annot-version=v1.1 MPASCATPAAALPVSRRAPPPPPPSAAHVPAARRGASSATACRCTAASSSASASASASAAAAPPSAWDWPRWTRHFAEVEQAESYASVLAFQLEEAVEGEEFAEAAALKRAIHDATAHDAVAHVMAQLKSAIEEQRYQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGSPLFEIFLVRDEDETYTMKVVHLRPTKGTSSASPLSTTTTEGPVKVENDTSSESSALSDGVKEEANTDSQLKGNEDIEEKTQDVGNTKESSVEGLKSVLNFFKSRIPEFKVQVINVDVPDETELVSDSPEELVQDDVKSTSENSLEEPGTEEFEEEDVSDGESDSNEDSKSPGVKLFISGVVHNKEDMAGAKSYVRVPAEISNLEKDSFELYIPGKGSDRDLTETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFNGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKVGKGSRLENRGAYPEEFGVTASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLTLPE* >Brasy3G338200.1.p pacid=40042613 transcript=Brasy3G338200.1 locus=Brasy3G338200 ID=Brasy3G338200.1.v1.1 annot-version=v1.1 MDPSEDSSALSGTTDPHAPRLRCACGIAAATFTSKTPRNPERRYLRCKHSRAKRQEAHPAFTEGCYLWIWEDLLNQYVEEMIQYHTAIIAMKLHHAQADLEAATELQLLNEDRLARMDLEMMDLKEEREALSDLLLSMS* >Brasy3G190100.1.p pacid=40042614 transcript=Brasy3G190100.1 locus=Brasy3G190100 ID=Brasy3G190100.1.v1.1 annot-version=v1.1 MDLAITSRVKPPSMSRPDDGHRSFFPVGNPFRVILPGGPHLPRKLQALLTNYEGTLASSLRKLKPKDALEVLTLSWMRLAVDCLLELHANIGTLITELELPVSDWDEKWVDIYLNSSVKLLDICIALSSELARLDQGQLLVRYVLHVLDSEGGVPSPEQLKRAEVSLKEWMDKLGTTCPSINSCSTTLQELAGSLCLMKVRNSAKGKVLMRALYGIEAVTVFICSVFVAALSGSPNPLVELRVPQKFGWSEAFNDLHATISGELRRQLSGRSFAAVKELEEVEACAKKLHVLTRISQLKEENDNLVCAVSHTKEVMMSDSTPLNGDHEDNLKLADDTSRECEVIMSTSITEEGTQDGETIIPKSITEEGTQDVVMQDAAISYGNEVIKLETIGQDRIINQANDINTENNTGVPERTIVPEDKGELLYCISSMSKSAEGLRLGLDTLSKRVGDFFQIVLTGRDALLCNLRMSDAASKVTEVRS* >Brasy3G260600.1.p pacid=40042615 transcript=Brasy3G260600.1 locus=Brasy3G260600 ID=Brasy3G260600.1.v1.1 annot-version=v1.1 MASITARAPVAALRPSASLNSGFLGHSSRLGLASSTSRRSLRVEAKGEWLPGLPSPAYLDGKLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLTPELLTKIGLIDAPEWYDAGKSTYFASSSTLFVVEFILFHYVEIRRWQDIKEPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKEIANGRLAMLAYLGFSVQHAVTGKGPLDNLFQHLSDPWHNTIIQTFSS* >Brasy3G260100.1.p pacid=40042616 transcript=Brasy3G260100.1 locus=Brasy3G260100 ID=Brasy3G260100.1.v1.1 annot-version=v1.1 MGAKPNPRGVLNLEAQFAFFRSQHRHPVNAAAHVLLTGPLLFTILLILQFVPLPLPPPLDPALALSLAYAAAYLAVDRRAGALAALLFLTAWAASRALAARLGFALAWKVVLPTQLFGWAWQVLGHGLFEKRGPMVTELPEVFLMEPFLILLQILNKLFGYEPYPGFSKNVDKKMEADLRESIKLNQSKIN* >Brasy3G193400.1.p pacid=40042617 transcript=Brasy3G193400.1 locus=Brasy3G193400 ID=Brasy3G193400.1.v1.1 annot-version=v1.1 MAAMESKMSSDEAARAVAEAEAREERLRRELEAALARVAVAEEAEERLCVQLGELEAEAMAQAVEYQQHVRELSERLAFMDGVLRSSGLHAVAAGMD* >Brasy3G280100.1.p pacid=40042618 transcript=Brasy3G280100.1 locus=Brasy3G280100 ID=Brasy3G280100.1.v1.1 annot-version=v1.1 MVEAAARLEELVIYCPFSTALLPRWLAMRSASLRRLELRVDSAADKAAAAAAGDSGAGSGHLDCIGVVPNLQELRLWGLTMTRAPTWGQLERLRVLEIVGASLVDLAVCAAVAACPNLTDLALLGCECSGSVIFAPPLLERCRLDFVGNGSCTLALAAPRVESLEVQGFNWISLQGGAHLKRLTIAKNSGTLYTVAMERLPVLEELSMRGVQWSWGAVSAVLQCAIEVKYLVMKVEFCGEHDTLQPFPEVDLVEFFNSHPKLRKFEIHGAMFAALCQKNSLKNLDSRFSMSYLEEVLITVRSPLNAEQKLITLESLVRYSLRLRKMVIRISQMKNCHEAADDFFEEICKFAHKNYGRVRIE* >Brasy3G253000.1.p pacid=40042619 transcript=Brasy3G253000.1 locus=Brasy3G253000 ID=Brasy3G253000.1.v1.1 annot-version=v1.1 MDVSEENFVDMGEVPHNLYNEEQPNPFGDAEAQYNEEPGNTYNKEPENQYDEGSGNPYNIEQANLLSEEPENQYNEEPADPYQEENAFNGEVKQQDNLQVEANDKRWPGWPGDSVFRILVPVQKVGAIIGRKGEFIKRMCEESKARIKILDGPPGVPERAVMISAKDEPDEQISPAMDGLLRIHKRIADGSDGEFGQTQRGTGTMGPTRLLVPASQAGSLIGKQGSTIKSIQDSSKAVVRIVENVPPVALNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDHGVLPLFEQQMKMHSMPREQPMPAPQQWGPPQPWGPPPNLPPGGPGYAGNPQFMPPRPQDNYYPPPDVPPMEKQPHYGISAYGREAPSGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGAAVTIQESRGAPGEMNVEIIGSASQVQTAQQLIQNFMAEAAPQGRPPPTSNPPAPPVDPSYGSYPPAPYGASYGSPPTGAGPAPHNGGGYGGGSYHPNYGY* >Brasy3G179800.1.p pacid=40042620 transcript=Brasy3G179800.1 locus=Brasy3G179800 ID=Brasy3G179800.1.v1.1 annot-version=v1.1 MELVPGERLTELAGWCSQSRSTDDETARAAEQKLSSTSPDYTIALLALAASNDHRLVDRVDASIRFKELLHRCWPKPSNAGATGPRLPASERAVIKASLFGIYIKAPHAIQAHLCEAMVAAASSSDLAEWNPQPLPAIISSLCTSVKNMDFAAFNSLLRAAGSLLSRFRQVENNALRLDLKYCINCFAPTLLDVFLFATGQLQNQLQVGHLCSVFESIGLCCQILYSLKSIYLSDLSENYMDKWTVEFLKLLTTSYTPLEEAGGALHALLAAVCDNLRLHMDKYGGNFQGCLTKFVEAVIGLLVVQTSSPLSHSQLVVAAIRFLTAVAVSVHCRLISGSELFDTVVLPNLQLRDEDEKLFHQNWIEYVRRDSHVIDLSTPRQAALSMLCGFAKNSKDEMTAIVVEHINRTVDAYEANPEGKWREMEVATYLIVGCSIEVNMRVLTSVIVPELQPYDFQAMLKATMLCYLKNIAAKIPKATALSLFPTLVTFLTHRSNVVIFYAASCIENLLIFEDTPPLTEEIALARAPFVSSDINPFCLQIVQDLTKAIVFPHSYGNQDLMKCILEVLGVACLADESANDVIAYLLDILEKVCDNPKNPDFNIYLFEALALVIGWATVHKSLLPVFEARVFTVFGRIFSENIVDVWQYALQISAQLVNLREAPLSQIYLHLFDGVVLCSSTWDRPPCIPAVALLLGAYLRKISSQLDQSGKLEKILTEFNSRIWQRPPDYSAFHMINMLVENVDEDIMNRYVVDIWSALVQRVVHTSDVKFPCSVVVWISLVQFKYGLDVFIRINNVIKHGFTWFLQKHWIPNMKWIKGSREVKLTVFASTKVLCKYQLLLDAAPGMWGELLDGVITLLSRMNADEMDYQKAITFTPLQNAGLSEDLLKDVDLEAFVVTSLVELHLQSPDRLGPIIEQHVHSMNKPALLELCASYSTTVIF* >Brasy3G024300.1.p pacid=40042621 transcript=Brasy3G024300.1 locus=Brasy3G024300 ID=Brasy3G024300.1.v1.1 annot-version=v1.1 MEPLTPLLTPYRMGEFNLAHRVVLAPLTRCRSYGNVAQPHNVLYYAQRATEGVLLIAEANAVSETARGYPNVPGLWSQEQVEAWKPVVDAVHAKGAVFFCQIWHTGRVSPTEFQPNGQAPISSTDKQVKPAVSHDGSVLEFAAPRRLETEKIPNIVNDFRINARNAIKAGFDGVEIHAANGYLIDQFIKDGVNDRTDAYGGSLENRCRFAAEVIAAVADEVGPSRVGVRLSPFADYVDCIDSDPEALALHVIRIMNGLGVLYCHVIEPRMCVNENDGRLMIPHRLMPFREAFDGTFMVNGGYDREEGDKAVGNGYADLVAYGGGCSWPTPTYRSGSGRTRL* >Brasy3G144200.1.p pacid=40042622 transcript=Brasy3G144200.1 locus=Brasy3G144200 ID=Brasy3G144200.1.v1.1 annot-version=v1.1 MDDGGRHPLSVLFQGASYGLAFKLDDLGKEIMGIAVPGALALMADPLASLVDTAFIGHIGAVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDVTSSDRQKVETNRESEHDVLRSEMDELISSEDTSATSRKSSLSSLVNIEHNRKSIPSVSTSLLLAGVLGLLQTLLLVFYSKPILDFMGLKPDSGMLNPALQYLVLRSLGAPATLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFKYGVSGAAIAHVISQYFIAAILLWRLRLHVDLLPPSLKHLQIGRFLKNGFLLLARVIAATCCITLSASMAARLGSTPMAAFQICLQIWLASSLLSDGLAFAAQAILAGAFARKDYSKATVTASRVLQLGFILGLLLSVLLGVGLRLGSRLFTEDKDVLHHIYVATPFVALTQPINALAFVSDGVSYGASDFAYAAYSMILVAIASVICIVTLTSYSGFLGIWIALSIYMSLRMFAGFWRIGTARGPWAFLRS* >Brasy3G144200.2.p pacid=40042623 transcript=Brasy3G144200.2 locus=Brasy3G144200 ID=Brasy3G144200.2.v1.1 annot-version=v1.1 MDDGGRHPLSVLFQGASYGLAFKLDDLGKEIMGIAVPGALALMADPLASLVDTAFIGHIEEDVTSSDRQKVETNRESEHDVLRSEMDELISSEDTSATSRKSSLSSLVNIEHNRKSIPSVSTSLLLAGVLGLLQTLLLVFYSKPILDFMGLKPDSGMLNPALQYLVLRSLGAPATLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFKYGVSGAAIAHVISQYFIAAILLWRLRLHVDLLPPSLKHLQIGRFLKNGFLLLARVIAATCCITLSASMAARLGSTPMAAFQICLQIWLASSLLSDGLAFAAQAILAGAFARKDYSKATVTASRVLQLGFILGLLLSVLLGVGLRLGSRLFTEDKDVLHHIYVATPFVALTQPINALAFVSDGVSYGASDFAYAAYSMILVAIASVICIVTLTSYSGFLGIWIALSIYMSLRMFAGFWRIGTARGPWAFLRS* >Brasy3G144200.3.p pacid=40042624 transcript=Brasy3G144200.3 locus=Brasy3G144200 ID=Brasy3G144200.3.v1.1 annot-version=v1.1 MDDGGRHPLSVLFQGASYGLAFKLDDLGKEIMGIAVPGALALMADPLASLVDTAFIGHIGAVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDVTSSDRQKVETNRESEHDVLRSEMDELISSEDTSATSRKSSLSSLVNIEHNRKSIPSVSTSLLLAGVLGLLQTLLLVFYSKPILDFMGLKPDSGMLNPALQYLVLRSLGAPATLLSLAMQGVFRGLKDTKTPLYFIAAILLWRLRLHVDLLPPSLKHLQIGRFLKNGFLLLARVIAATCCITLSASMAARLGSTPMAAFQICLQIWLASSLLSDGLAFAAQAILAGAFARKDYSKATVTASRVLQLGFILGLLLSVLLGVGLRLGSRLFTEDKDVLHHIYVATPFVALTQPINALAFVSDGVSYGASDFAYAAYSMILVAIASVICIVTLTSYSGFLGIWIALSIYMSLRMFAGFWRIGTARGPWAFLRS* >Brasy3G234600.1.p pacid=40042625 transcript=Brasy3G234600.1 locus=Brasy3G234600 ID=Brasy3G234600.1.v1.1 annot-version=v1.1 MGSASLPPPHACGIALARILVPPRAFWSGGRDGPSRGQAVSHCRGRERGLGRLPAPWTGRIRPPSVPPAPDLVAPPQQIGRPCGTAPAVELPPPSRSVLGVRFGWMDSSVDSIFWFFPLN* >Brasy3G266600.1.p pacid=40042626 transcript=Brasy3G266600.1 locus=Brasy3G266600 ID=Brasy3G266600.1.v1.1 annot-version=v1.1 MAKKQKLWQRLFSALLSFLLHGKRPPISTRSSPTALPPPREQHPASLHFHPDPPGMADKLTAGGRTLVLDIDGGLLLSSSSSSSSSSSLFPYFMLVALEAGGILRGALLLLLYPVILWLSACVGGDAAVRVMAMAAFCGLRENRFHAGRAVLPRWLMEDVADCGIELEAMTASGGSKVVCVTRRMPRVMVEGFLREYLGAEAVVGREMKVLWGFYTGLMEEDGRGDEALKKKITVDDAVGFSGSLEFLQHPISRRCKEIYLVTRDQEAPPALPKPRRRKLHHRKLKPVVFHDGRLAFLPTAGATLAMLTWLPFGVALGAARLAISLTVPYRYSTPILAATGMSWRLKGSPPPPPGVGGRHGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSELISPIGRTVRLTRDRERDGNAMARLLDRGDLVVVCPEGTTCREPYLLRFSPLFAELADDVVPVGIAVETAMFYATTAGGLKCLDPLYYMVNPRMCYTVQFMDRVRTAPAKEGKVPSTDVANLVQRKMSEELGYGCTMLTRKDKYLMLAGNDGKCSSTPAPAGRKI* >Brasy3G290600.1.p pacid=40042627 transcript=Brasy3G290600.1 locus=Brasy3G290600 ID=Brasy3G290600.1.v1.1 annot-version=v1.1 MVDMEEIAYNDWMCGPILSDEDDDLFVPQSPDAASDVTVFSSDDDTSGTPASASWPPAEASFINHVNEARKRRVRSKQELDRAARTHRSSRMSDLEQPSRSDMTS* >Brasy3G321300.1.p pacid=40042628 transcript=Brasy3G321300.1 locus=Brasy3G321300 ID=Brasy3G321300.1.v1.1 annot-version=v1.1 MFRCGYFCFLPCGRRPRSVGGRRVSEWLLLTGARIQTPVGYTSLLGFFGSFAPSLPWLAPDSGTMKLCSGKYSQRVVRRLRQELTSSYRLLIKETNVSRFSLCMQSLMGQNHGRLSKILVTTAALTYSVSVGDEAKYIGCRCRARHPEGYASL* >Brasy3G042900.1.p pacid=40042629 transcript=Brasy3G042900.1 locus=Brasy3G042900 ID=Brasy3G042900.1.v1.1 annot-version=v1.1 MLLLRRKLLPWPRSRRPQAQSLSRRLFRASYLSDPDDDDPPFTRIPDHTPQAPPPPPPKPKARAARIVPDEPAHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGAAAAAARVDGDIQDGDGGGSSREDVLGEALSEAEAAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWRRAEAVRIKCLGVATLDMDNICFHLEDKTGGKIIHRSINILILYRGRNYDPKQRPVIPLMLWKPLAPIYPKLVQNVAEGVSFEETKELRNRGLNSPPLMKLTRNGVYVNVVDRVREAFQTVEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGQVDQEESVSSE* >Brasy3G080300.1.p pacid=40042630 transcript=Brasy3G080300.1 locus=Brasy3G080300 ID=Brasy3G080300.1.v1.1 annot-version=v1.1 MHLKRKLQSGGDSAAKPPAHRPASSSKGQWKRRLQTDIDMARRALREALTPLGYLKPQQHDGVDASGAGTGGGDSPASISSGASQCSSSSAALGPYVLTTENISRMLDGWAGGRKVRRGGSAGPRTPGGAESASTGSSDASERHGKRRR* >Brasy3G347200.1.p pacid=40042631 transcript=Brasy3G347200.1 locus=Brasy3G347200 ID=Brasy3G347200.1.v1.1 annot-version=v1.1 MASVTAAAQTTLLSAATAPTSLFQAAPSSARPFHPLRLVSAGRRTLVVADATKKAVAVLKGTSQVEGVVTLTQEDDGPTSVNVRITGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNGLTHGAPGDEVRHAGDLGNIVANAEGIAETTIVDSQIPLSGPNAVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL* >Brasy3G070000.1.p pacid=40042632 transcript=Brasy3G070000.1 locus=Brasy3G070000 ID=Brasy3G070000.1.v1.1 annot-version=v1.1 MKLYQLLTGKKRRISSSRSSSSSPAPATVDVPRGHLAVYVGERRTRFVVPAFVLLLRSVEEEFGFGHRAGGLAFPSCSEKDFASIVAAC* >Brasy3G115200.1.p pacid=40042633 transcript=Brasy3G115200.1 locus=Brasy3G115200 ID=Brasy3G115200.1.v1.1 annot-version=v1.1 MFLVHVCCPRRCLPISKYDVKVIVLLYYLCILVPLSSLWISLIENFVLLFLYICCLFKFVGARFLIAMVNSRYLCLCWSSC >Brasy3G128000.1.p pacid=40042634 transcript=Brasy3G128000.1 locus=Brasy3G128000 ID=Brasy3G128000.1.v1.1 annot-version=v1.1 MAIRITVSYSGYVAQNFAASLGLRCGPSASSAGCRFLQEGAWRPFCIFTSSHQSEPLRHSSGDRHDAEDHNHPKPLALAAAAGGHSLFPSRLYASSKPPPAPPPLAVGLLSVLAKGTGSTAGISGAASLSGSSSISVGLFNPTSLLPFLQTAKWLPCSDLATSSSSAPSSPPPVPVVSPSKKTLIGGSIAGASASASGGIARNIRASAAMSRSNWLSRWVSSCSDDAKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPEILDIVIFRAPTALQALGYSSSDVFIKRVVAKGGDYVEVRDGKLLVNGVVQDEEFVLEAHNYEMEPMLVPEGYVFVLGDNRNNSVDSHIWGALPIRNILGRSVLRYWPPSKITDTIYQPDAAFCTAEMS* >Brasy3G261100.1.p pacid=40042635 transcript=Brasy3G261100.1 locus=Brasy3G261100 ID=Brasy3G261100.1.v1.1 annot-version=v1.1 MTREKAASKESRSTPCLPPLLPPPNAFLLLSGSPRGPLTSIIPPHRSNPMASSSKSSLFSLPLLFLLCSGPFLAFASEPLNAEVVALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMITCSPHNLVIGLGAPSQGLSGTLSGKIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPASLAKIPQLSFLDLSYNNLTGPVPVFPTRTFNIVGNPMICGSHAGAEECAAAVAPVNAPFSVESTPGSSSKTTTGGGGGGGGGGGGRSKAGARLIPIGVGTSLGASSLVLFALSCFLWRRKRRQQQGGGPSSVLGILDRGCDLEGGGEVLGNVRQFGLRELQAATDGFSAKNILGKGGFGDVYRGRLADGTVVAVKRLKDTASASGEAQFRTEVEMISLAVHRHLLRLLGFCAEPASGERLLVYPYMPNGSVASRLRGKPALDWHTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLLELVTGQRALEVGKASGIGLTHKGVMLDWVRKVHQEKMFDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEATNRPATAAPLHDGLGYDHRNDSNGSVFFNEYHDYDSSLSSDEVRSIDMVEEMELSGPR* >Brasy3G144900.1.p pacid=40042636 transcript=Brasy3G144900.1 locus=Brasy3G144900 ID=Brasy3G144900.1.v1.1 annot-version=v1.1 MPERAVDPADPNYYNEPSQLMEDLISQEAPAFEEEVGEKWGDETQEGVSIDTEPLYTDAGTDPGLDASRCQRKGTRKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAYWKRIYDFFHEHHLLPPYSFMSDRGDVSLQKRWGLIQSKCNNGVDDMEAPKWKYVYLATKKSLGNGKKHDCSFIDLEASGHTETATRAVRSRGRTNSKLDAKREASNLAFKETLKKMWSEKEAVKEKMLQKNEEQMKEFIDVQNRKLAIEQANAAATKTAAATAMLAEETRIMTADLSLMDPPT* >Brasy3G308100.1.p pacid=40042637 transcript=Brasy3G308100.1 locus=Brasy3G308100 ID=Brasy3G308100.1.v1.1 annot-version=v1.1 MACHASPRRFGVSCLLVLVLLCCLSAAGVCGASRELRLTPPPPGEQLQVRNYTYASFDRDDARTRDSLSVLKDAAINGGALQLTPDSRNNEAFLVHKSGSVLLATPFTLWRPLTDDGLAVVGQVRPNSPPGARATRVVSFNTSFTMNVFYDKASPGEGLTFLIAPSLSGPPPGSDDGFLGLTNATLETNPSKNRFVAIEFDTWNQTHDPNNNHVGLDIGSVVSTATADLSGLNISIATNNVSAPNHAVWIHYDGVARRVAVYVGVHRKPKPGKPVLEAALDLSEHVNEVSYLGFSASTGDTFELNCILDWTLSIETFPEEPESKAWVIIVAVAVSVAVLAFAVAGFFLARMSRARRAMERSQEQLGQTLSHLPGMPREFAYEKLRKATKNFDERFKLGKGGYGVVYKGLLPAEDGRAEAMEVAVKRFTRDDARCVQDFVKEVEIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGAGVHDQRPAPLPWSGRYSIIADVASGLHYVHHEYGRTVVLHRDIKASNVLLDASFRARLGDFGLARVIDLDRASFTDLGVAGTRGYIAPEYSVGHKATRQTDVFAFGALVLELVTGRYALTGDPGCPMLADFVWRMHGRGALLGAVDQDLDTAEFDHDEATRMLLLGLACSSPNPGDRPTMPEVLQVLAKAAPPPEVPLFKPTFMWPPEGAPRFSLGDIEMTSSTSTSGGAGGYSYDGGYIGVSTRATQDTSYDSFPPPTAPNDGKDYFPALSSGR* >Brasy3G271000.1.p pacid=40042638 transcript=Brasy3G271000.1 locus=Brasy3G271000 ID=Brasy3G271000.1.v1.1 annot-version=v1.1 MPTASHLTSPRYFDFRAARGVPETHAWPGLHDHPVVDGGGAGEDGADRVPVVDMRADPSIAATAVARAAETWGAFLLEGHGVPESLLARVEERVAGMFALPAPEKMRAVRGPGESCGYGSPPISSFFAKSMWSEGYTFSPAHLRRDLRKLWPKAGPDYLLFCEVMEEFHGEMRSLADKLLGLFLRALGLSADEAAAVEATHRIAETMTATTHLNWYPRCPDPKRALGLIPHTDSGYFTFVLQSQVPGLQLFRRGPDRWVAVPAVPGAFVVNVGDLFSILTNGRFHSVFHRAVVNKESHRVSLGYFLGPPAQTRVGPLEEALTPDRPKPMYRPVTWPEYMTVRKKAFATGDAALQMVAVDQDDDDDVQTD* >Brasy3G238800.1.p pacid=40042639 transcript=Brasy3G238800.1 locus=Brasy3G238800 ID=Brasy3G238800.1.v1.1 annot-version=v1.1 MKIQVTRSTSIRGKEAHVETTRVNMIGSRRPDRQTQGSVPRAQYGGAHQEDRPVHKRDGEGAGRAGPSTSTTYKVRFIEVCRTKTEGSGSLRQRQATR* >Brasy3G067900.1.p pacid=40042640 transcript=Brasy3G067900.1 locus=Brasy3G067900 ID=Brasy3G067900.1.v1.1 annot-version=v1.1 MAELASGAVSSLLGLLRNEALLLSRVGTDSEFIKEEMESMHSFLEHLARTAPPGGGGGHDGQVHTWMKQVRDLAHDCSNCIDLYLRRGDPAVYRARAGRWRYLWWASWLVQRMVAQHNAAIRLRELKERARDVGNRRLRYGVEIPRKEASSLPSSSQAAAAATKDEEDDEDDQNQAVVSSDGRALEPLLEEYCAQKLANWLELQAKTNKGKSITSIAIVSPDDTEDAGAVVARDSLTLATANFTCKVWVNLSALHLPWDLPLLPSEILVYILRECEQQQGTEPINPEEVYRYKDEQSDDIWDMVDYGEIFKTIDEIQSKFSQVDKGKIGGVDRKKGEENKCLGILLRALRLLQHVPHKGVPLSSEEAIEDTAFMLKIQMETGESKPKICLDVTQYKDILHKVFPTNKAQQSQKVQEATTSATTMSEDRIKEIIHNHKITLDIILGLLPKPQLLEATSTKEQATDAAASVIKQDKENSKEISGETTNAAAAAVIKETKEKMKEISGEVEVTSFFAAAIKETTEKMSQIPWMIGDQMHIKGIVDKIKLHLENKKTLIILQDDKDYISAKDDEDDEDDEDDEDDESTWEVTRKALDLLGCAPGSTVIVSTKNSQMAKEFCYPQGEPITCSLVGLYHDMVLQTTKPRMSNGDDGYTPKILLKILTKCHPHEFCMKIFMYALYANPNRSNEELRKLHEDLVPHKTFSSKAKKMIKFSYKDLPREHKTCLLYLAIFPQGHKIKRSTLIGRWVTEGLITKQDWATAVQHAEQCFDTLIKRGLILPHDIGAAGKVKSCMVGDQVHGFITKIANKEHILDARMSDLWARHFSIFSGLRLRASDGIDKFVHKLPKYSPQLPLLKVLDLEGTDCFDKSHYLKDICNKILLLKYLSLRGTNVANLPSEINNLHELEVLDIRQTMVPEHATRDVLLLKLRRFLANRVDSSSGLNDKSSRFAVQIPCKIEKMENLEVLSSVKVSGDGRELKEISQLWQLRKLGVVIEDRETHIKKLLRAIGDLKDCLQSLSIAISTNTRTKSTLFSRERQPIDMHYWLRQTPKHLESLSINGITQRGQLLEPLAKGSDELAKVTLTGTSLKQDNLMVLAVLPKLHCIRLRSNAYSESNLTFKEEEFPQLKYFLVEGTNMTETDIKFEDGAAAELEKIVLSCTNIRFLTGIDNLPKLKELELEGNQFLLSFSQDKASPEQSTERSLERNSKTEQNTESRSLDQNTERKAVEGNTRSTASEQNLKRGVPEQNTRSKAPEQNTEGRAPEKNFESRFTFKKGKFQHLKYFRIEDSKMINIAFESEAAPELKKIALSLTNNQSELTGVSGLPRLKEIELKGDKFLLSLFDDAHHIAKVTLCHTQLKQGDIQILAKKRNLRCLELSDKSYEDSHLAFNKDEFPKLDLLIVECSTVRSINFTEGSAPNIEKIVWSFDEMKSLSDIDNLPRLKEIECSGDHVPHQVRKDVAAHIAQPVLTHKKPQKQGQAKERSKEEEDDDTRFPPISLFLKTKNRR* >Brasy3G067900.2.p pacid=40042641 transcript=Brasy3G067900.2 locus=Brasy3G067900 ID=Brasy3G067900.2.v1.1 annot-version=v1.1 MAELASGAVSSLLGLLRNEALLLSRVGTDSEFIKEEMESMHSFLEHLARTAPPGGGGGHDGQVHTWMKQVRDLAHDCSNCIDLYLRRGDPAVYRARAGRWRYLWWASWLVQRMVAQHNAAIRLRELKERARDVGNRRLRYGVEIPRKEASSLPSSSQAAAAATKDEEDDEDDQNQAVVSSDGRALEPLLEEYCAQKLANWLELQAKTNKGKSITSIAIVSPDDTEDAGAVVARDSLTLATANFTCKVWVNLSALHLPWDLPLLPSEILVYILRECEQQQGTEPINPEEVYRYKDEQSDDIWDMVDYGEIFKTIDEIQSKFSQVDKGKIGGVDRKKGEENKCLGILLRALRLLQHVPHKGVPLSSEEAIEDTAFMLKIQMETGESKPKICLDVTQYKDILHKVFPTNKAQQSQKVQEATTSATTMSEDRIKEIIHNHKITLDIILGLLPKPQLLEATSTKEQATDAAASVIKQDKENSKEISGETTNAAAAAVIKETKEKMKEISGEVEVTSFFAAAIKETTEKMSQIPWMIGDQMHIKGIVDKIKLHLENKKTLIILQDDKDYISAKDDEDDEDDEDDEDDESTWEVTRKALDLLGCAPGSTVIVSTKNSQMAKEFCYPQGEPITCSLVGLYHDMVLQTTKPRMSNGDDGYTPKILLKILTKCHPHEFCMKIFMYALYANPNRSNEELRKLHEDLVPHKTFSSKAKKMIKFSYKDLPREHKTCLLYLAIFPQGHKIKRSTLIGRWVTEGLITKQDWATAVQHAEQCFDTLIKRGLILPHDIGAAGKVKSCMVGDQVHGFITKIANKEHILDARMSDLWARHFSIFSGLRLRASDGIDKFVHKLPKYSPQLPLLKVLDLEGTDCFDKSHYLKDICNKILLLKYLSLRGTNVANLPSEINNLHELEVLDIRQTMVPEHATRDVLLLKLRRFLANRVDSSSGLNDKSSRFAVQIPCKIEKMENLEVLSSVKVSGDGRELKEISQLWQLRKLGVVIEDRETHIKKLLRAIGDLKDCLQSLSIAISTNTRTKSTLFSRERQPIDMHYWLRQTPKHLESLSINGITQRG* >Brasy3G046300.1.p pacid=40042642 transcript=Brasy3G046300.1 locus=Brasy3G046300 ID=Brasy3G046300.1.v1.1 annot-version=v1.1 MAMALRPQSPLCPRRSRQQPLVVRPAGGAGLAQPLLVTSRFTRSRIVRCMAASSDSPNRKSRRAISPHVEVISSRGYTPRLTVELSTKKREDEETVGTYNRLFSTDATEWTGSREAGTAEADTSQNASTRSIMGEGDVVDQDIFEVDTPSSVTAGRVDAAGKAGVEEDIFEVDLSATALSSAIIGGLDIMNEDGAVQEQEPFEVVLSGNVSSSPTIGEVDVVDEAGPAQDTFEVTVSGNVSTSATMGEMDAVDEAGSDHDSAETYLSGKGSSSVLMVGVNAVDEVGADYDIFDIDLSGNVSSSATMGEVEAVDEAGTDHGTFGTYLSIAATGEVGVVDEAGVDHDIFETDLSGNVSRSAAIGQVNAVDEAGADQDKFNVNLSGNISISAAHEEVDVVDDARAEEETLEMDLLGSVSGNDEEEAYEQQYPALSTIPMGGNAMDKTPVCLKPELPLVRVHEQGKSNLSVHEQEESTVTFYEQNQPAIPFREQEQTIIAFDKQNLPTAKFPKQDQSIAALSEQKQSIVASYRQDRSILDLPEQHQSIIGSHKHDKSFADASEQIHSIVGSFKQRQSTVAFRKQHQAIVSVPKQKQSIVAFRKQDLSIVGLQKQNVSIIDTSGEGQIGTSGEDQTKQLAVIDRYDALHMKGVEAKDEYYTYQKDGGHALHVKFDVDNLLKKHQTERTEEAVGMTTSKKVNEEHISMTEDQIGAAEEQMIVTEDGLSMNEVGTGIGDKTEHLLSEEELSQAEDEVGLIEDDGQYEVDETSVSVEPDIQELPQDVVDPHELQRMLQELAGKNYSMGNKLFVFPEVVKADSVIDLYLNRDLTALASEPDVVIKGAFNGWKWRLFTERLNKSDLGGVWWSCKLYIPKEAYRLDFVFFNGRSVYENNGNNDFVIEIESTMDEDLFEDFLVKEKQRELERLAIEEAERRTQTEEQRRRKEERAADEAIRAQVKAEVEMNKNKLHSMLSLARTCVDNLWYTEASTDTRGDTVRLYYNRNSRPLMYSTEIWIHGGYNNWTDGLSVVERLVECNDKKCDWWYADVILPEKALVLDWVFADGPPGNARTYDNNGRQDFHAILPNVWTEEGYWVQEEHNIYTRLLQERREREEAIKRKAERSAKMKAEMKAKTMRRFLLSQKHIVYTEPLEICAGTTVEIIYNPSNTVLNGKPEVWFRGSFNLWMHPSGALRPQKMVKVRDGSLLKATVSIPSDAYMMDFVFSESEDGGIYDNRNGMDYHIPVSDSIGTEAHMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVEVILPKYDCLKQSSVKNLHLYTTFSWGGTEIKVWTGLVEDLLVYFLEPQNGMFEVGCVYGRNDDRRFGFFCHSALEFLLQNGSSPHIIHCHDWSSAPVAWLYKEHYVQSRLASARVVFTIHNLEFGAHYISKAMAYCDKATTVSHTYSREVTGHGAIAPHREKFYGILNGIDQDIWDPYTDDFIPVSYTSENVVEGKSAAKRALQQKFGLQQTDVPIVGIITRLTAQKGIHLIKHAIHRTLESNGQVVLLGSAPDPRIQGDFCRLADSLHGVYHGRVKLALTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGSVPIVRKTGGLYDTVFDVDNDRERAGSLGLEPNGFSFNGADSNGVDYALNRAIAAWFDARDWFHSLCKRVMEQDWSWNRPALNYIELYHSARKF* >Brasy3G159700.1.p pacid=40042643 transcript=Brasy3G159700.1 locus=Brasy3G159700 ID=Brasy3G159700.1.v1.1 annot-version=v1.1 MGIDGEACGDGERRGRGPAGRRTRDLIGTSTAHFRAAARHGGSFVHLVAPQHHITSSSPPPDYAEPPESPAPDLRFSSGVRGSRRGRRRGGSRPNHGRRRGGAARRRRERGVVAGAARHGRRAWRGGAADWRRCVGAGQQRACWTEMLVGENERGFRKITRATSTEGVCIFVTYPSSMSSCPSEARGHASMLPYVNLSSSLEQLQP* >Brasy3G182700.1.p pacid=40042644 transcript=Brasy3G182700.1 locus=Brasy3G182700 ID=Brasy3G182700.1.v1.1 annot-version=v1.1 MAVSLLANDVSDLCIGKPAVRRSLPLSAAAGDLAAAVRKGPRAAAAACVAVTGPRGAVVGRAGLADVLCLVCASPDALARPAAALAKPVSALLPKDGAGEVRRVDPRSSVLEALDAVLNGAQVLAVLLRSGGGRKKQLGGVAAGVAGDFCWLTQEDLVRYFLNSISLFYHVAARSVSSLDLVRADYLSVRPDEAALSAVPLIRASIAAETAVAVVSTDGHLVGEISTAHLAACDETAAAAIATLSAADLMAYIDYFGSPPEHILRSIKAGLKAKGLDAMLELMEDETMTSFSFSSSSSSDEDTGRAHLRRPSSGSFGRRSTEEPVVCSPASSLVAVMVQALAHRVSYLWVLDEEDDCRLAGIVTFADVLRVFREQLQ* >Brasy3G182700.2.p pacid=40042645 transcript=Brasy3G182700.2 locus=Brasy3G182700 ID=Brasy3G182700.2.v1.1 annot-version=v1.1 MAVSLLANDVSDLCIGKPAVRRSLPLSDGAGEVRRVDPRSSVLEALDAVLNGAQVLAVLLRSGGGRKKQLGGVAAGVAGDFCWLTQEDLVRYFLNSISLFYHVAARSVSSLDLVRADYLSVRPDEAALSAVPLIRASIAAETAVAVVSTDGHLVGEISTAHLAACDETAAAAIATLSAADLMAYIDYFGSPPEHILRSIKAGLKAKGLDAMLELMEDETMTSFSFSSSSSSDEDTGRAHLRRPSSGSFGRRSTEEPVVCSPASSLVAVMVQALAHRVSYLWVLDEEDDCRLAGIVTFADVLRVFREQLQ* >Brasy3G174300.1.p pacid=40042646 transcript=Brasy3G174300.1 locus=Brasy3G174300 ID=Brasy3G174300.1.v1.1 annot-version=v1.1 MYAALGDVDAARAAFASLHEPDVVAWTAVVSAYANAGELDEALELFESMQASGVRPDVISWNTLVSGFARNGDLGAALHLFDEMRLRGVKPRVSSWNCIISGCVQNSRYDEALDIFREMRESEMPDAVTVASILPACTGLMALGIGKQLHSYVVRCGIKLNVYIGSSLIGMYSECRQFAYARSVFSAIDERNVTVWNELIQSYINDGRMDKAWEAFNLMQEDGLEPDIVTYNSFISGYARTGQKELAYELLSGMANFSLKPTVISMNALISGLHHYGLCADALEAFRYMQLPNRGEAKHWSFLDNNRPIQPNGTTITSVLSLLTDLKLHRLGKEVHCYALRNGLTSNIFVSSKLVDLYGKTGDMVSAANVFQGIRNKNVVTWNSLLAAYKHNRKPEVALKMFYEMLKSDLLPNLVTMHIVLLSSGMTMALRYGRELHGYINKNWPGGYPDTLATALIDMYGKCGKIDDARLVFECTIEKDIAVWNAMMSCYLLHRMPRDVKRLFKYVEHSRIQPDHVTFIILLSACKQEGSMEEARSYLYSMEDLYGIKPTLKHYTCMVDIMGTAGLLEESLELIQKMPLEPDACLWSTVLKACKLHSNLEVADKAAKALFELEPNNTSNYMALSNIYANNGLWDFTDSVRDAMTEQGLHVERQCSLLYLGTNVDSFEAGVMSHPAFENILNAWKDVASRMEQFGYAPQNNEPYCNVEVDPLSCHHTERIAVCYGLISMCAHEPIRVSKNFRMCKECHSSMKFISRDKNRQIFISDGCTYHHFSNGSCSCGDMW* >Brasy3G225200.1.p pacid=40042647 transcript=Brasy3G225200.1 locus=Brasy3G225200 ID=Brasy3G225200.1.v1.1 annot-version=v1.1 MLSKDRATPERTSEILRRGLFADAAARSQRPSTLLLGGRSASRSAAIFHPAYHGIAALPVISSVPVAAPKLCRAVVVPSVRHFKGQPEAKLQRVVVLNAVLPIGKIRPGLRPPHGFRKLGDWENSGSGNWGIGEIRNGTDSYRKHLSFWWKKASAEDVTSRAVEDARTTRCCRWSVDSGRRPLWPASLALSGATGSPAAMLSGSGHPPTAADPATATAVVGILGRFWGSASPKQEDH* >Brasy3G065000.1.p pacid=40042648 transcript=Brasy3G065000.1 locus=Brasy3G065000 ID=Brasy3G065000.1.v1.1 annot-version=v1.1 MGTQAENYTPPKDERTAQEKAIDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVMTLSWIITVYTLWQMVEMHEMIPGRRFDRYHELGQYAFGDKLGLWIVVPQQLVVEVSLNIVYMVTGGNSLKKFHDVICDGRCKDIKLSYFIMIFASVHFVLSQLPNFNSISGISLAAAVMSLSYSTIAWGASLDKGKSANVDYSLRATTTAGQVFGFLGGLGDVAFSYSGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYMPVAMIGYWAFGNSVDDNILITLNKPKWLIAMANMMVVVHLIGSYQVYAMPVFDMMETLLVKKMKFAPSLKLRVIARTVYVAFTMFIGITFPFFGGLIGFFGGLAFAPTTYFLPCIMWLIICKPRRFSLSWFSNWTCIVLGVLLMIVAPIGGMRQIIMSAKTYKFYS* >Brasy3G319600.1.p pacid=40042649 transcript=Brasy3G319600.1 locus=Brasy3G319600 ID=Brasy3G319600.1.v1.1 annot-version=v1.1 MQRMRVSGCMILLMQIMVKSFVLESADCTEVKDVVHDQKNDVPGEVIGVTIKVLNFIPKLFDDSDSEEMGASTIGFRNPKDIGQPSLRNIEAKEYAFSTEVTKEDKGKAQSSDIIHDVAEHINSLSTIEDCLALLLIDQPVEWTCGNCSKVNRTKNGEQMMANTNVNTAVGGDQTEQSDGKTCPSEQSSELNSLSVGYSSPSRQPHNFDAQGQVILSGDSTTEDTSGTICGEEESASKKPASPEGVQEAVPKCLPTEKQTNQLSAQDSQDTSTQNQGCGMQVKLDDHSGKQVEENQTEQKDGNGGATQTILLTNLPPVLIIQLKRFVADISKLRGHVSFKEILDVGPFVHPSCVDKDNTSYRLVGVVEHLGPLLNGGHYIAYVRAGCNQQSSGSSSWVCANDHNITEVSLQEVLRCEAYILFYERMDGGSTSGNSTQQLTSSAMTKEAEE* >Brasy3G184900.1.p pacid=40042650 transcript=Brasy3G184900.1 locus=Brasy3G184900 ID=Brasy3G184900.1.v1.1 annot-version=v1.1 MAASRAHPRLMAKRVDVLATLPLFCFVLFCFVCSSPPSGTSTRSPCGISGASFQSLLPLAQAGHPGLSI* >Brasy3G093900.1.p pacid=40042651 transcript=Brasy3G093900.1 locus=Brasy3G093900 ID=Brasy3G093900.1.v1.1 annot-version=v1.1 MRVVTRSQEKKRKLGAVKHQGMSNENVEGIDFISHLPDDIIGTVISFLPTDDAIRPSTLSLEWYNKWRSAPLNIDDRNISGATPDIQIGIITHILSEHMGPIRRLAISNSNLADFYTTFDGWLQSPKLNKLQELEFNFGNGRQGHKPPLPSTTHRFFPTLRVAIIVGCHIDDENIHLFHLPMLEKLTLERVTISEETIQSMLAGCPALDTLLLSEIAGCRHIQIISGILKIIGVRVGRGRHRLEKIIIEAASLERLLYLDHCERLQVTFGFAPKLEVLGVLPKLSSFGVGHVNKGLLDISMVDTMSSVKVFALITGQLSLEKVIPFQRIFPCLEKLYCESTELWGHKNAWRDTNLDDIGCLDLHLKKIVLTNYVGNVSHVNFAMFFLVNAKVLEYMRLELRNPENINCRNWIARQSRRLQHERASSCARVTFANHKCWSKFATINRACDLSISDPFLYS* >Brasy3G289700.1.p pacid=40042652 transcript=Brasy3G289700.1 locus=Brasy3G289700 ID=Brasy3G289700.1.v1.1 annot-version=v1.1 MSHFGRAGPPDIRDTFSLLVLNISFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVIEEVEKSHDRSRSRSPRPRHKDDRRDRDHKRRSRSRSRERHGRDKERAHRRHSRSPSRSSSPDYKNRRRTRDDDKHRSRSRSRSYHSASPARRSVSPRKSPASRRSPAPHSRSPSPRKRSPAPHSRSPSPCKRSPAPHSRSPSPRKSPASHSRSPAPRKRSPPSHRRSPSPRKRSPTPHSRSPTRPKSPLDGSPKQTNGKGSPPSRSVSPSPRRSSSRSPGSDGKE* >Brasy3G289700.2.p pacid=40042653 transcript=Brasy3G289700.2 locus=Brasy3G289700 ID=Brasy3G289700.2.v1.1 annot-version=v1.1 MSHFGRAGPPDIRDTFSLLVLNISFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVIEEVEKSHDRSRSRSPRPRHKDDRRDRDHKRRSRSRSRERHGRDKERAHRRHSRSPSRSSSPDYKNRRRTRDDDKHRSRSRSRSYHSASPARRSVSPRKSPASRRSPAPHSRSPSPRKRSPAPHSRSPSPCKRSPAPHSRSPSPRKSPASHSRSPAPRKRSPPSHRRSPSPRKRSPTPHSRSPTRPKSPLDGSPKQTNGKGSPPSRSVSPSPRRSSSRSPGSDGKE* >Brasy3G174800.1.p pacid=40042654 transcript=Brasy3G174800.1 locus=Brasy3G174800 ID=Brasy3G174800.1.v1.1 annot-version=v1.1 MAKDPMRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPQAAEALNGVKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLNVQVTDVKNVIIWGNHSSSQYPDVNHATVNTPSGEKPVRELVKDDEWLNAGFIATVQQRGAAIIKARKFSSALSAASSACDHIRDWVLGTPEGTYVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKVLAYSCLE* >Brasy3G109400.1.p pacid=40042655 transcript=Brasy3G109400.1 locus=Brasy3G109400 ID=Brasy3G109400.1.v1.1 annot-version=v1.1 MEMGSSSRTASMEVVEEGEEVQAQVAQEEKEKAPAAPSPALELNLLGGLGSASASAADKGKGKAKEAVAAPSVEQKAAAAAGENNKRRMFKCNYCQRKFYTSQALGGHQNAHKRERSIAKRAAAGRGAGGGFYGSAADPSAMIPHHLRFPNLWPYTAAGRALLGGARGPGAAVGPYYGMHMNHQPSFYAGASLAARGYNQMPGEGYGGYGYGRALFPEAAAAAAPVSGIRWAAAGASGAGSSAGGGVVINEGGVNKKEEIPSSSSSKLDLTLKL* >Brasy3G167300.1.p pacid=40042656 transcript=Brasy3G167300.1 locus=Brasy3G167300 ID=Brasy3G167300.1.v1.1 annot-version=v1.1 MRRFLTHLHRHSHRLLPPPPPSRIPTTKINLPFLLSRRLLSDDASPPASAPPPSPPPSAAPPPPPPPSAVDVPNEELKRRLESYYKVDDELELSSVAEAVLERKLADAHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELTDLYNARQYVEKKMKSDEFYSMDDTKWDDMIKEATDKGHLRNMKECEDILEDMLHWDKLLPDEIKQKVEAKFNELGDMCERGELEPEQAYELFKEFEDKMVSECTELMEAETPTVDEFSQTDNKNVKLNDPPGEGPVLRWESRIVFTPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFDHREENRKDCLRTLYALVEDAMKADQLADNARNAYVKDRLKANSRFMDRLKTKTQELRLAA* >Brasy3G342200.1.p pacid=40042657 transcript=Brasy3G342200.1 locus=Brasy3G342200 ID=Brasy3G342200.1.v1.1 annot-version=v1.1 MRVIGFGVEAPTGSQETGPANGMESTITAILWKIWSEQDIQSMVLISLILQLFMLFAGTRRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.2.p pacid=40042658 transcript=Brasy3G342200.2 locus=Brasy3G342200 ID=Brasy3G342200.2.v1.1 annot-version=v1.1 MHEAAKDSMLGEAAESKLSSTWGDMPAASLQRSIRFPRDRTSQRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.7.p pacid=40042659 transcript=Brasy3G342200.7 locus=Brasy3G342200 ID=Brasy3G342200.7.v1.1 annot-version=v1.1 MHEAAKDSMLGEAAESKLSSTWGDMPAASLQRSIRFPRDRTSQRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.3.p pacid=40042660 transcript=Brasy3G342200.3 locus=Brasy3G342200 ID=Brasy3G342200.3.v1.1 annot-version=v1.1 MESTITAILWKIWSEQDIQSMVLISLILQLFMLFAGTRRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.5.p pacid=40042661 transcript=Brasy3G342200.5 locus=Brasy3G342200 ID=Brasy3G342200.5.v1.1 annot-version=v1.1 MESTITAILWKIWSEQDIQSMVLISLILQLFMLFAGTRRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.6.p pacid=40042662 transcript=Brasy3G342200.6 locus=Brasy3G342200 ID=Brasy3G342200.6.v1.1 annot-version=v1.1 MESTITAILWKIWSEQDIQSMVLISLILQLFMLFAGTRRRGRRIGGLHRLTPCLAYIGADTVAVFAIGLFSRYEEKYKLRSRSGGSHYTLTVPFLWAPFLLLHLGGQDTISAFSIEDNNLWLRHLLNLVIQVIFALYIFCKSIGVLDAQLLSVAVLMYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPHQR* >Brasy3G342200.4.p pacid=40042663 transcript=Brasy3G342200.4 locus=Brasy3G342200 ID=Brasy3G342200.4.v1.1 annot-version=v1.1 MYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G342200.8.p pacid=40042664 transcript=Brasy3G342200.8 locus=Brasy3G342200 ID=Brasy3G342200.8.v1.1 annot-version=v1.1 MYVAGIIKYVERTWALQRGSRDSLGSRHRRRKQERNHDEVALPLPSVEDYALNSVLRGRELLVGRTLFQLGTKIQNEIVDDFAELGRKEGKLKIVMMELGMMYDLLYTKAMVLRCKTGRVLRCVALMSMVLAFALFLQANYNHGGSQHANVAITNTLFVVAIFMEVCSICMAIASPWTRAWSKEGTFFYWLSNSLHNAAATKQGYLSSPSMGGFNLTDYSLSEKCTPKIISKVIAALNLDKHWRNLWYIESVDDSGIFDYMVGFFEDKQSSSRPSGRREGSSQQLELGGRELNYLLTLPFEHALFRLHIFTDLHLSKAAINPQLGLGDDNESIMITKLVGDCRKLSNYMMYLMAVNPSMLPVSSAAQDLEPFFAEWVRDNHGGTMSRTEILEKYAAEKLTNSGGTFASTSPFLQEHPSVASLKEVKEVWARLLIYAAGKCPMEEHARQLGNGLELLTVIGWFMMHHGLGDVGIREHNLLSSGDPNVPIPGSMVSVDRSSLTQRTERPLYAFEFLIPKNHQASVPSLLRPASSQQQLPRSVVRAWSPHNDIVEDELLRPQFAPQNPNAFPSSSEIEEADQSSETLHGLDIHTLSPQYQPNTPETDVASELYFSASEIELANLSSEIHQLEDLPQQFASQPITTVETDVASEIEQKQAEGAVLQIERADMALEISCMMAT* >Brasy3G073200.1.p pacid=40042665 transcript=Brasy3G073200.1 locus=Brasy3G073200 ID=Brasy3G073200.1.v1.1 annot-version=v1.1 MELHRSTAIYKRYRPPQLDPSHLFLAPIPSIDLDPVRSMRGAAMLRCDAAMAAGPGGSVSSSGARHFPAPTTATQRQHQQRRRALSPAAGKKKKSGGGDRSGYLGAVLAAATATLLVLPLLLPPLPPPPPLLLLLPVAILAVLLLLLLLCNTVPSDSGGLAALARSSSSAASYNNFVN* >Brasy3G124800.1.p pacid=40042666 transcript=Brasy3G124800.1 locus=Brasy3G124800 ID=Brasy3G124800.1.v1.1 annot-version=v1.1 MAVPRRGFLLGVCAILMIMAIANAEAASVVVGLAKCADCTRKNMKAEQAFKGVHVAIKCKNSKGEYESKAVAHLDGTGAFSVPLAADLHGADCLAQLHSTANNAPCPGQEPSKIVPLSEGTFGLVAGKTHYPSAVCASATLCEPIKKHIIDHFHKKPVPPKPEPKPEPKPDYHPVPPTPTYGGGGGLPTPIYHPPAQH* >Brasy3G170800.1.p pacid=40042667 transcript=Brasy3G170800.1 locus=Brasy3G170800 ID=Brasy3G170800.1.v1.1 annot-version=v1.1 MAEARKFLMPNQPPDISQMLLDARSRWLRPTEICGILSNYKLFSIAPEPPNMPLSGSLFLFDRKILRYFRKDGHNWRKKKDGKTIKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEIQGGKQSYNRVKDETMQGLNADSPSCSNSITSQNQVAAESPISGQMSEYEDAESDNFRASSRYYPLTEVQQPLDGVVMDKMLYSSASTIGSSQGRHCELLPRTANLDNHSFARYDIDRLFDDDSTGVRGISRTLFDTVPFEEPFSNYPAGFTEPTLHSSFATIEANSLEDNSCLETFISEALYTNNLSQKEADALSFAGMASSEMNNNRYTEGSIKHPLLRQSSLDLFKLECTGLKKHDSFSRWMSKELPEVVDLDIKSNSGAFWSSIETVNVGDGSSMPTNEQLDAYAVNPSLSQDQLFSILDVSPSCAYIDEKTKVSVTGTFLANKEHMEDCKWSCMFGDVEVPAEVLTDGTLRCYAPAHQSGRVPFYVTCSNRVACSEVREFEYRDSNYQYMETSYSQTNGINEMHLHIRLEKLLSLRPDDHQVLVSSSGNEKHEQINAINSLMLDEKWSDQGSLADGKDVFTARDQSSKKFVKDRLHYWLICKVNDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASCGRERSVGILMANGAASGALTDPSSEFPSGRTPADLASANGHKGIAGFLAESALTSHLSQLTIRESKDSGVQEVCGLPVAEHLTETNSSQLALDDSHAESLKGSLSAVRKSTQAAARIFQAFRVESFHRKKVMEYGDDDCGLSDEHTLSLVSLKNVKPGHHDTHLHSAAVRIQNKFRGWKGKREFMIIRQRIVKLQAHVRGHQVRKSYRKVIWSVGIVEKVILRWRRKRRGLRAFQTEKQLEGPSSQIQPSNAEDEYDFLQDGKRQAEARLQRALARVHSMAQYPEAREQYQRLTTCVAEMQQSRVMQDEMLSEAAGTDGSDFMTGLEDLICRDDAQMPAIW* >Brasy3G112100.1.p pacid=40042668 transcript=Brasy3G112100.1 locus=Brasy3G112100 ID=Brasy3G112100.1.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPSPSRCRAAPQPPRSVSRLPPRASASTAGKYMSTTLSAKLSAEGQTLKHSPGNNMLPSSSSSATFSMAYPGSLCSLHHHHPNSEHSYFPISKPNHDNTHKYNESPKIPRRSLALLPASSLLLYASSSFARDANAPSTSAIDTTITDRVFMDFSICPSYFTSDRTLGAKLASCPDSETLGRVVFGLYGRLLPITTANFKATCTAYAYRGALVHKLRQGQFFAAGHQEPRRDKGAVQPPSGLVSNAETVDPKAYQLRHARPGTLSLCLGQNDDDDGIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNEFAQLIGDERAQTARAMWDRPQKTVYISNCGELKVTKPSLSPPSLP* >Brasy3G083300.1.p pacid=40042669 transcript=Brasy3G083300.1 locus=Brasy3G083300 ID=Brasy3G083300.1.v1.1 annot-version=v1.1 MAPAAKRGAKGQKKWTRDPQLGDLVLAKIKGFPAWPAKVSRPEDWDHVPTPRKFFVVFFGTKEIAFVALPDLVPFTEEAKNDLVDRAREKRFPKRHMKSMDEAVVQICKAYDELPKSSGPANGLLPDQTPDLIEKATEHLVKSPDDGDTPKLGQTEGDSSVGNLNTVVHGLGTEGDMKGGHDRKDSFPTASKRKKPAGKDSDYPKKKKSITSKPAINMRLEQERSPTSLRPEGEAEDQQDGKECHPTEALILDPTVDVICALEVPKKYNSQKQLKNSERNELKRVDIAGMSGMTSPKALTDTVPSNSADKESKGFKRSKMVMKQSLTNKSERRDYDKIMLDKPNKRLSGKSPDAFSSDKKPLPGSVQCKPEGSMDMRPAKRPKLMDRANGTVKTGAKNEPKLPVDNEKDKAVKSEKSTSMGAGNSTVPEIVTGDDRKRKSGIVVSPLSRSHSDGLENAPGSATKLSVLGAAKKGSNMREDGLRGRPLAKPRRRACRFDDNEEEEQRTPPHKTVAKTISMHVAPTEKTGIRRIPSSQAGNVSARMSGLARKENPRSVGMSPVKHEPLYSPSQDKVHIRPQMMGRKSDTSSLDSIAALGNKINLADRKSSGQVRMPASSEVKKMPASSEVKKLPASSEVKKPQVSSSKVLHQTSGNSHSQSQSALENDVLLSKPENAKAKPKPSTQVATSVENRLSDSLSGERIGKLDHSNEDRSNFDDRADFAEPNPDSVKSMKHLIAAAQARRNLLASAQGNSDGTSADNAVLASTPYGLRGLSPSPVFNTRSASRIAISESDQFQDSICEPGQRVDMEKPAETDREHGKSPKPKQSSTSGLLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECAKRGIADEIVELLIRKLESEANLHRRVDLLFLLDSITQCSHSQKGVAGSSYVPIIQAALPRILSAAAPPLAGARENRRQCLKVLRLWLQRKIMPEDVLRRYMDDIEVPNDDTSTGVLLRRPSRAERSVDDPIREMEDMLVDEYGSNTTFEFSGILSANIFETHEDLPRVNGSSPLISLQVERAGMPESKEIIAPDSVEEHMMVLESVTSDAVMQDAFVLPSNMQQTDGAVFIEHDLRQEVCSEEALTNQYELPPLPEGPPPLPFDSPPPPPLPEGPPPLPLESPPPPPPLPPSPPPATPPPPPLPSSPPPPPPLPLPSGLPPHPAPPHPHPSIPPPVPPSPSSLGYQPAVSEYFRTPNGNQLTQVVGNSSVQGIGSTANFIPGGPVNAQASVNFVPSMPPDYGSNNGFMAQQASNGNYQFRPGVPFHQGTFSAFPSTQTPPVHPHNHHTHMNPMGQQPVPPPCNSYVVQSFPNSQSQYTSEEQWRMTSGNFSPDDHHNAWLPGGRPLSCSEGSFMQDGYPRSNIERSSMDPMSHQYPVLNHLPSGAPLPGHVVPQMLPARPDIHVLNCWRPSG* >Brasy3G200600.1.p pacid=40042670 transcript=Brasy3G200600.1 locus=Brasy3G200600 ID=Brasy3G200600.1.v1.1 annot-version=v1.1 MKLDDSFNASSMYGLIHPSRQKPRSRATTRRDVRIDQASRTRTSRRCKSRSRLKTLTCKEIAIDIEPTDTVDRIKERVEEKEGIPPVRQRLIFGGKQVTDESYRGPGGAQAGDRQAHPEEPRRRRRAVLIHLRGVHSHAPPLPAAAAGVVVAAGARARLAPADGGGGGAGAPPCRAFR* >Brasy3G133900.1.p pacid=40042671 transcript=Brasy3G133900.1 locus=Brasy3G133900 ID=Brasy3G133900.1.v1.1 annot-version=v1.1 MAKVAIQVDVHGLRVNRCEDCSCSRRRLASGCFP* >Brasy3G067000.1.p pacid=40042672 transcript=Brasy3G067000.1 locus=Brasy3G067000 ID=Brasy3G067000.1.v1.1 annot-version=v1.1 MASPRKDNGGFLTQEQREKLRIAVQNAETLSLASPRSPTGGTTSALLLHYEQQQQQQRAAAAAARAGGGGGGARNVRRSHSGKAVKVKKDGAGGKGTWGRLIDADAATFLDRNDPNYDSDEEPYELVEVPPTTPLEDYKKSLVTIIEEYFSTGDVKLASSDLKELGHDDFHRYFIKKLVSMAMDRHDKEKEMVSVLLSSLYGDVFSSTQIKLGFVMLLQAVDDLTVDIPDAVDVLALFIARAVVDDILPPAFLSKAKGSLSESSKGMQVLQIAAKSYLSAPHHAELLERRWGGSTYITVEEVKRRITDLLKEYIKNGDTTEACRCIRELAVPFFHHEVVKRAVTLGMESPAAETLIIKLLMEASEEGLISSSQMTKGFSRIAESLDDLSLDIPSAKTQFQTLVPKAVSEGWLDSSYVPSGANGHVQDDDHEKLRRYKKEAVSMIHEYFLSDDIPELIRTLKELGFPEYNPIFIKKLITIAMDRKNREKEMASVLLSSLSMELFSTEDVVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEIGSELPPKCSGAETLNMARSLASARHAGERLLRCWGGGTGCAVEDAKDKIAKLLEEYESGGDLGEACNCIREMGMPFFNHEVVKKALVMAMEKKTERTLELLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKNGWLVSSFGVYAPT* >Brasy3G095900.1.p pacid=40042673 transcript=Brasy3G095900.1 locus=Brasy3G095900 ID=Brasy3G095900.1.v1.1 annot-version=v1.1 MDSAAGPMELVAALLRGVTPAELAGGVAAGERAEVRALLATLAAAVLGCALLVLWRRTAAGKKRREADKVAAAAPGMQGKAKGGKEEPKDDGRKRVAVFFGTQTGTAEGFAKALAEEAKARYDKATFKVVDLDDYAAEDEEYEEKIKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNDRGVWLNDLQYGVFGLGNRQYEHFNKVAKVVDELLTEQGGNRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAVPEYRVEFVKPEEASHLDRNFSLANGHAVYDAQHPCRANVAVRRELHSPASDRSCTHLEFDISGTGLTYETGDHVGVYTQNCPEVVEEAERLLGYSPDTYFTIHADKEDGKPLDGGSLPPPFPSPITVRNALARYADLLNSPKKSALVALATYASDPADADRLKFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMASTRIHVTSALVHETTPAGRVHKGVCSTWIKNANPSEESWAPVFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGPSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSREGPNKEYVQHKMAQKASDIWDVISQCGYIYVCGDAKGMARDVHRVLHSIVQEQGSLDSTKAESFVKNLQTEGRYLRDVW* >Brasy3G108100.1.p pacid=40042674 transcript=Brasy3G108100.1 locus=Brasy3G108100 ID=Brasy3G108100.1.v1.1 annot-version=v1.1 MSSSPSPVSRRPSGPLALGLESSANKIGIGVVSISGEILSNPRHTYITPPGHGFLPRETAQHHLVHLLPLLRAALSEAGVSPADLACICYTMGPGMGGPLQVAAASARALSLLWGKPLVAVNHCVAHIEMGRVVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARILELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEAAAIEKLKSNECTPEDLCYSLQETLFAMLVEITERAMAHCDSNDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYTHGVSTPLEESTFTQRFRTDEVHAIWREKEMPVLNNIHSDAMSEVSIDGPSVPTPIAVDS* >Brasy3G108100.2.p pacid=40042675 transcript=Brasy3G108100.2 locus=Brasy3G108100 ID=Brasy3G108100.2.v1.1 annot-version=v1.1 MSSSPSPVSRRPSGPLALGLESSANKIGIGVVSISGEILSNPRHTYITPPGHGFLPRETAQHHLVHLLPLLRAALSEAGVSPADLACICYTMGPGMGGPLQVAAASARALSLLWGKPLVAVNHCVAHIEMGRVVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARILELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEAAAIEKLKSNECTPEDLCYSLQETLFAMLVEITERAMAHCDSNDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYTHGVSTPLEESTFTQRFRTDEVHAIWREKEMPVLNNIHSDAMSEVSIDGPSVPTPIAVDS* >Brasy3G142700.1.p pacid=40042676 transcript=Brasy3G142700.1 locus=Brasy3G142700 ID=Brasy3G142700.1.v1.1 annot-version=v1.1 MAGGETSPTGWRKLLCSLGGEEILEAIKDAIAVAARDHPQELEQGRDSILQMLRLPQSSACRKKGSEISDEASGSASLALPVSDQLVAKIGSVLAGEEENEAVVTESLRALKDVRMSFETLEATKIGRVVAGLRKKDNTSEQVRRLAGDICKGWMALAEEHFRSSRRASSPTSTTSTDGVVQEEPETKTTGLEPTPKPKIAGQVKGLPSTTRQPKQPRPSASCERKIEPTSSIDEELMLVTAKRKLSSGYQRAATAKKQRRVQLIIAPDRMMQPQVHRVVRRRT* >Brasy3G108800.1.p pacid=40042677 transcript=Brasy3G108800.1 locus=Brasy3G108800 ID=Brasy3G108800.1.v1.1 annot-version=v1.1 MLRMKTTVNPAIRTMIHEQSENKTYILEESFLVC* >Brasy3G277600.1.p pacid=40042678 transcript=Brasy3G277600.1 locus=Brasy3G277600 ID=Brasy3G277600.1.v1.1 annot-version=v1.1 AKHCTRANLLRNRKSITQDSRCPRCSCTIEDATHLFFQCDWSAAAWLKLNIDISTASSDQDQLWHTPCPLQQGQQIWTTILSIILWQIWDARNAKVLQNQDFPSRIVINNIINDLTLWSTRFRKPPQKVAADFWQKDLSACNSDS* >Brasy3G228400.1.p pacid=40042679 transcript=Brasy3G228400.1 locus=Brasy3G228400 ID=Brasy3G228400.1.v1.1 annot-version=v1.1 MAAAALWCSLSPRCATTRHRLLGVRVVVFLLLLMSLHHEAESLSFHYDYINTTNKLDFGSLGDDCHINDHRADLTSDSKKNYTNNLGRLVFPSPMQLWDRATGDTASFTTAFSFGIDAVPGMEVGHGMAFFLTGQDAGSASNVDRDSSGGFLALFSPGNATGAGGGERIVAVEFDTVKDPWDPSARHIGVDLNNISSSLGSYVVLPDDSLVGRVMSARIDYNGSTGRLDVVVRNGSGDGGNGTYELSTNVDLRSLLPENVSVGFSAATSEDHVALHYVLSWSFTSSPVGDGKRRRHTASTPVVVGVTVAAVLALVLGTVVGALLWRSRRRRFDEESEDVSTGDDDPWEMEEDLESGSGPRPFQLSKLRAATGNFSEEEKLGQGASGSVYRGRVDEVDVAIKVFSRAGSAQGKREYTAEVTVISRLRHRNLVHLIGWCDGRKKLLLVYELVPNGSLDRHLHHSVSSATSSSALPLLTWPARHRILLGLGAAVLYLHEEWGQCVVHGDIKPSNIMLDESFDAKLGDFGLARLIDHGAGLQTMTAVAGTPGYLDPECIATGRASTESDVYSFGVVLLEVATGRRPMAPPPPGDSRIFRLVEWAWGLYGRGAVLQAADEALLRGEMGFDAREMERVLVVGLWCAHPDAAARPSIREAVEALRSGEAAKLPALPPRMPVAMYVQPYDPAKRYVVDDTTTLTATTSVTADCNYHMSSGDYNTLYSTSSIPAVGSKQSVRLLRGR* >Brasy3G314100.1.p pacid=40042680 transcript=Brasy3G314100.1 locus=Brasy3G314100 ID=Brasy3G314100.1.v1.1 annot-version=v1.1 MALGSSPTPPEIRSPERDRAEEDDASAASASAAPEGAEGEEEFGDAFDIPHKNASHDSLLRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLATASRSFPIELEKLTTLNRDHNSVVLQELGGIKGLSGLLKSNLDRGINPNEDELLQRRDVFGANTYPRKKRKNILRFVFDACKDLTLMILMVAAAISLTLGMATEGVEEGWYEGGSIFLAVFLVILVTATSDYRQSLQFQHLNEEKQNIQVEVVRGGKRSGASIFDLVVGDVVPLNIGDQVPADGVLIAGHSLAIDESSMTGESKTVHKDQKAPFLMSGCKVADGYGSMLVTGVGINTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLSVAGVVLGVLAIRYFTGHTKNPDGTVQFRAGTTGAKHGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFIGTKLDPCDDVRAISPSALALLVEGIAQNTTGTVFVPEDGGAADVTGSPTEKAILSWGLKIGMDFSDVRAQSSVLHVFPFNSEKKRGGVAVQSDTGVHVHWKGAAELVLSSCKSWISLDGSVQTMSAGKRNEYKKSIEDMAKSSLRCVAFAYCPCETEMIPKEDIADWKLPEEDLTLLGIMGIKDPCRPGVRNAVQLCRNAGVKVRMVTGDNIETAKAIALECGILDANGVISEPFVIEGKVFREMSEIARGEIADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLAMGMSGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLMFDFSGTKILRLQNESRDNAEKMKNTFIFNTFVFCQVFNEFNARKPEERNVFKGVTKNHLFMGIIIVTTVFQILIVEFLGKFFKIVRLNWRLWLVSVGIGLVSWPLAYLGKFIPVPVRPLQAYFKPIPCWKSSRRDEEEGGQG* >Brasy3G314100.2.p pacid=40042681 transcript=Brasy3G314100.2 locus=Brasy3G314100 ID=Brasy3G314100.2.v1.1 annot-version=v1.1 MIRRKIRAHAQVIRAAFLFKEAGEKDLREAYTGIKLATASRSFPIELEKLTTLNRDHNSVVLQELGGIKGLSGLLKSNLDRGINPNEDELLQRRDVFGANTYPRKKRKNILRFVFDACKDLTLMILMVAAAISLTLGMATEGVEEGWYEGGSIFLAVFLVILVTATSDYRQSLQFQHLNEEKQNIQVEVVRGGKRSGASIFDLVVGDVVPLNIGDQVPADGVLIAGHSLAIDESSMTGESKTVHKDQKAPFLMSGCKVADGYGSMLVTGVGINTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLSVAGVVLGVLAIRYFTGHTKNPDGTVQFRAGTTGAKHGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFIGTKLDPCDDVRAISPSALALLVEGIAQNTTGTVFVPEDGGAADVTGSPTEKAILSWGLKIGMDFSDVRAQSSVLHVFPFNSEKKRGGVAVQSDTGVHVHWKGAAELVLSSCKSWISLDGSVQTMSAGKRNEYKKSIEDMAKSSLRCVAFAYCPCETEMIPKEDIADWKLPEEDLTLLGIMGIKDPCRPGVRNAVQLCRNAGVKVRMVTGDNIETAKAIALECGILDANGVISEPFVIEGKVFREMSEIARGEIADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLAMGMSGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLMFDFSGTKILRLQNESRDNAEKMKNTFIFNTFVFCQVFNEFNARKPEERNVFKGVTKNHLFMGIIIVTTVFQILIVEFLGKFFKIVRLNWRLWLVSVGIGLVSWPLAYLGKFIPVPVRPLQAYFKPIPCWKSSRRDEEEGGQG* >Brasy3G334700.1.p pacid=40042682 transcript=Brasy3G334700.1 locus=Brasy3G334700 ID=Brasy3G334700.1.v1.1 annot-version=v1.1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHVALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLTIRALLEVVESGGKNIEIAVMTHKDGLRNLEESEIDEYVAEIEAEKAAAEAAKKSGPKDT* >Brasy3G108200.1.p pacid=40042683 transcript=Brasy3G108200.1 locus=Brasy3G108200 ID=Brasy3G108200.1.v1.1 annot-version=v1.1 MLRQPALPCIARKRRAPHRYTNTAPLLRRRYRLPLSLSFPLPPSTDHRQHLSSSADRPAGSLEDRPPALQPPWITQGTP* >Brasy3G099600.1.p pacid=40042684 transcript=Brasy3G099600.1 locus=Brasy3G099600 ID=Brasy3G099600.1.v1.1 annot-version=v1.1 MAAAAAATRPGFFTFLKHGVLLPARHGALFLPLLALTAALAAALLLGNALAVQPLAAAALLDADAISRADPASAAYPALVEALKRDLRWLLLDAGACLLAAVVLGSAIKIGTVFAAVAAFSATTESQRPTVSGVVSGARGHIWGAVLTVAFGYVLELACAATIAALALLAVYLLEYSLLLLFLDALLVLLATLFLVYLSVVCAVAVAASAAEPGVSGAAAVSRAWRLMQGKGAQAAVYVVATCALGAAVSPVYTLALRWWPRGAAGGVAAGVAYVALLGAVEVFSVAAVTAYYFECRESKEEEEMMRLRYAKLPNGDEANI* >Brasy3G117500.1.p pacid=40042685 transcript=Brasy3G117500.1 locus=Brasy3G117500 ID=Brasy3G117500.1.v1.1 annot-version=v1.1 MEADARHSRKPRMSAAADNKGEARVAVATTGAAEDGGGVDLISSLPDNVLGEIICYLSIRDDACTRILAQRWRDLWPSASLNLDCGKLGLSVSELRDLVPCILRCHRGPVRRFRG* >Brasy3G180200.1.p pacid=40042686 transcript=Brasy3G180200.1 locus=Brasy3G180200 ID=Brasy3G180200.1.v1.1 annot-version=v1.1 MAPAGSQEHESPYRTGAVSRLRKFELMEAPLAPWPRDLLAVRIRYDLTICCECRFTGGTFLPASLGEKGEVVDMFDDEDLRSEAACRAAVRRMLRRLPKLAEHYGYRAADGGGDAAFVAPGLVDEILDAAAAIAAATDDDALRSVSVSYFCEVSITVDVTNVYSEARALVLSCSAAGRRDVGGDQLCPICMEELAADDAVGLPGCSHAFHTGCILEWFRSAPTCPSCRRDMMHCLPHDYRGCDKWEPWVSRGAAV* >Brasy3G114300.1.p pacid=40042687 transcript=Brasy3G114300.1 locus=Brasy3G114300 ID=Brasy3G114300.1.v1.1 annot-version=v1.1 MESRFLPICSLLLQTIKEKEESTRIFNTHPHTLPPLPGTPICHPTPSDTRAQHHRQPSADHMEK* >Brasy3G137700.1.p pacid=40042688 transcript=Brasy3G137700.1 locus=Brasy3G137700 ID=Brasy3G137700.1.v1.1 annot-version=v1.1 MADQQDHRSGDSSVIIEMASLAQELTDKLVTLKSSQAQLGDGRVPSGSTRIIVAKVPDSVREADKVEYEHDHVSIGPYNCPRPQSNNLHLAREQDKLSSLDDVLTAAMAVRPGITVEVYLEELARLEPFARSCYAAPVDCLTSREFVRMLLLDGCYILSCFTGIAAPAARNDEPAAAAATENGGVPAPAAGSTAARGGVAMLDDLSVVRDVFFLAENQIPFAVLEKIAELTCVDGNKAAAAVEGIVEYALRLLKAYAVAPAPAPAPTAAPGNLLHLLHMHLKPAVLSPAAMEGASVGRWRTATEYVSAGVTFKKRNMGVNNGDARCILDVKLSGSGTLEVPCLDIDGKTWRLLRNLMQLEQQNRETVGTHVTAYCVFMSQVACTEKDVELLSKAKAGVIGHGQGTDAEVAACFADLCKGIIFDPDDPDGNYLGEIWQVLEKRVKSNPRRWMAWLWREHFRNPCLALGLLAAAVALVCGVVQAVYSVLTYRQGFDLH* >Brasy3G235600.1.p pacid=40042689 transcript=Brasy3G235600.1 locus=Brasy3G235600 ID=Brasy3G235600.1.v1.1 annot-version=v1.1 MRGAELAASARRRTLVLVNLASVLEKADEVLLPAVYREVGAALGASPTALGSLTLCRALVQALSFPLAAYASARHDRARVVAVGAFLWAAATFLVAISGTFLQMAISRGLNGIGLALVIPAISSLVADYTDDHTRGAAFGWLQMTINLGSIVGGSFGVLLAPFTFLGVPGWRLAFHIVGIISVALGVLMWFFAADPRAKSKTSASAKEEAEELLRDARAVIAVPTFQVIVAQGIAGLISWSALNFSTMWLELVGFTHWETSVITGLYLFATALGALFGGFIGDTVSTRFPNGGRIALAQISSASAVPLGAILLLGLPNDPSTGAAHAAVFFTMGFFISWNAASTNNPIFAEIVPERARTTVYALDKCFESVFASFAPLVVGILAERVFGYKPVSSETSVETDRENAAALAKAVYTELAVPMAICSLTYAFLYWTYPRDRDNAKRSLLLASDDRYCQEASDGQSSAVRAREDEESAVSSLNQGLISTRG* >Brasy3G235600.2.p pacid=40042690 transcript=Brasy3G235600.2 locus=Brasy3G235600 ID=Brasy3G235600.2.v1.1 annot-version=v1.1 MQPPPKTKSLDLNSHTPTHMARIISPAPLSSAACSPCRSGQINVPIYKLQMAISRGLNGIGLALVIPAISSLVADYTDDHTRGAAFGWLQMTINLGSIVGGSFGVLLAPFTFLGVPGWRLAFHIVGIISVALGVLMWFFAADPRAKSKTSASAKEEAEELLRDARAVIAVPTFQVIVAQGIAGLISWSALNFSTMWLELVGFTHWETSVITGLYLFATALGALFGGFIGDTVSTRFPNGGRIALAQISSASAVPLGAILLLGLPNDPSTGAAHAAVFFTMGFFISWNAASTNNPIFAEIVPERARTTVYALDKCFESVFASFAPLVVGILAERVFGYKPVSSETSVETDRENAAALAKAVYTELAVPMAICSLTYAFLYWTYPRDRDNAKRSLLLASDDRYCQEASDGQSSAVRAREDEESAVSSLNQGLISTRG* >Brasy3G235600.3.p pacid=40042691 transcript=Brasy3G235600.3 locus=Brasy3G235600 ID=Brasy3G235600.3.v1.1 annot-version=v1.1 MAISRGLNGIGLALVIPAISSLVADYTDDHTRGAAFGWLQMTINLGSIVGGSFGVLLAPFTFLGVPGWRLAFHIVGIISVALGVLMWFFAADPRAKSKTSASAKEEAEELLRDARAVIAVPTFQVIVAQGIAGLISWSALNFSTMWLELVGFTHWETSVITGLYLFATALGALFGGFIGDTVSTRFPNGGRIALAQISSASAVPLGAILLLGLPNDPSTGAAHAAVFFTMGFFISWNAASTNNPIFAEIVPERARTTVYALDKCFESVFASFAPLVVGILAERVFGYKPVSSETSVETDRENAAALAKAVYTELAVPMAICSLTYAFLYWTYPRDRDNAKRSLLLASDDRYCQEASDGQSSAVRAREDEESAVSSLNQGLISTRG* >Brasy3G235600.4.p pacid=40042692 transcript=Brasy3G235600.4 locus=Brasy3G235600 ID=Brasy3G235600.4.v1.1 annot-version=v1.1 MAISRGLNGIGLALVIPAISSLVADYTDDHTRGAAFGWLQMTINLGSIVGGSFGVLLAPFTFLGVPGWRLAFHIVGIISVALGVLMWFFAADPRAKSKTSASAKEEAEELLRDARAVIAVPTFQVIVAQGIAGLISWSALNFSTMWLELVGFTHWETSVITGLYLFATALGALFGGFIGDTVSTRFPNGGRIALAQISSASAVPLGAILLLGLPNDPSTGAAHAAVFFTMGFFISWNAASTNNPIFAEIVPERARTTVYALDKCFESVFASFAPLVVGILAERVFGYKPVSSETSVETDRENAAALAKAVYTELAVPMAICSLTYAFLYWTYPRDRDNAKRSLLLASDDRYCQEASDGQSSAVRAREDEESAVSSLNQGLISTRG* >Brasy3G174700.1.p pacid=40042693 transcript=Brasy3G174700.1 locus=Brasy3G174700 ID=Brasy3G174700.1.v1.1 annot-version=v1.1 MAAATGAVLRLRLLFRMLRVGDLLALAALLSWSSSRTPSAAAATRAAGSLFLLQRRPPAPMAAQASESPAAASEDPVAVVELPAPAMATVFQEEAITKRTVASAARVVMGNNGKSAEASEKMGRTASPEMITMLRRLETDNGRRRTARDVWGKEDADEFRRTVEAFIARQTQFRLEEESMAVVVPGAEMPLLPSLLSTRENHQTPQAIGNLPTPPLALAFRQASTKSAAASSNPLPPPPLESSSSVTVHVAVVARLVFLAWAEPPAGGRPESREGEPSCVTQTLVNRLDDHGSGAWRFVPFQWAAKAQTKPMLETNGTAMRERNGGRNRDDVAS* >Brasy3G024500.1.p pacid=40042694 transcript=Brasy3G024500.1 locus=Brasy3G024500 ID=Brasy3G024500.1.v1.1 annot-version=v1.1 MLQRDCRGFRTRSRRRLRKGCDRRRRRCRSCDRRRRLRRLSHRRGRRTHVIAVASECRSWPSPLRPICIACPTSQPWSCDKEQKPTGLQGFESSNFTNQYHTAAEPIAAGGSRGRPTAASTEGIGHARGGQEGAQRAAASAEGNGRARAGLGRAPDNGDGPADGGPASGGRYSLSFLSRSSLLWKL* >Brasy3G052900.1.p pacid=40042695 transcript=Brasy3G052900.1 locus=Brasy3G052900 ID=Brasy3G052900.1.v1.1 annot-version=v1.1 MAAGNGETVSLRTEVAALRLRVLELERENRRLAQIGSSCSCVCKDNFPSTLVADASLYGCDQEVQNNGKSHESRTSGHDCNVNGEGHDGLPKIQSKRANRAGHQVGIVSHCQKRLVALKIMYFGQRFYGFASEAHTEPTVESEIFKVLERARLLVGSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDPGGNVLDERSEIDYVKVLNRMLPRDIRVIGWFPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAASKFIGEHDFRNFCKMDAANVSNYRRHITDFDISAYDERSNNDELWAMNIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITRTPRKPQYTMASELPLILRSCKFDKVKFMSSSDASQALIEHLKDEHHQYMLQAAIFHEALSCLPSPELNPFESHQKKRNHIPLMSRQTEPSYEERIAKVKVRPTVSS* >Brasy3G052900.2.p pacid=40042696 transcript=Brasy3G052900.2 locus=Brasy3G052900 ID=Brasy3G052900.2.v1.1 annot-version=v1.1 MAAGNGETVSLRTEVAALRLRVLELERENRRLAQIGSSCSCVCKDNFPSTLVADASLYGCDQEVQNNGKSHESRTSGHDCNVNGEGHDGLPKIQSKRANRAGHQVGIVSHCQKRLVALKIMYFGQRFYGFASEAHTEPTVESEIFKVLERARLLVGSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDPGGNVLDERSEIDYVKVLNRMLPRDIRVIGWFPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAASKFIGEHDFRNFCKMDAANVSNYRRHITDFDISAYDERSNNDELWAMNIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITRTPRKPQYTMASELPLILRSCKFDKVKFMSSSDASQALIEHLKDEHHQYMLQAAIFHEALSCLPSPELNPFESHQKKRNHIPLMSRQTEPSYEERIAKVKVRPTVSS* >Brasy3G052900.4.p pacid=40042697 transcript=Brasy3G052900.4 locus=Brasy3G052900 ID=Brasy3G052900.4.v1.1 annot-version=v1.1 MAAGNGETVSLRTEVAALRLRVLELERENRRLAQIGSSCSCVCKDNFPSTLVADASLYGCDQEVQNNGKSHESRTSGHDCNVNGEGHDGLPKIQSKRANRAGHQVGIVSHCQKRLVALKIMYFGQRFYGFASEAHTEPTVESEIFKVLERARLLVGSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDPGGNVLDERSEIDYVKVLNRMLPRDIRVIGWFPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAASKFIGEHDFRNFCKMDAANVSNYRRHITDFDISAYDERSNNDELWAMNIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITRTPRKPQYTMASELPLILRSCKFDKVKFMSSSDASQALIEHLKDEHHQYMLQAAIFHEALSCLPSPGIYS* >Brasy3G052900.3.p pacid=40042698 transcript=Brasy3G052900.3 locus=Brasy3G052900 ID=Brasy3G052900.3.v1.1 annot-version=v1.1 MRVCMAVIKKYRIMENHMKVEHQAMIFYGFASEAHTEPTVESEIFKVLERARLLVGSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDPGGNVLDERSEIDYVKVLNRMLPRDIRVIGWFPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAASKFIGEHDFRNFCKMDAANVSNYRRHITDFDISAYDERSNNDELWAMNIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITRTPRKPQYTMASELPLILRSCKFDKVKFMSSSDASQALIEHLKDEHHQYMLQAAIFHEALSCLPSPELNPFESHQKKRNHIPLMSRQTEPSYEERIAKVKVRPTVSS* >Brasy3G052900.5.p pacid=40042699 transcript=Brasy3G052900.5 locus=Brasy3G052900 ID=Brasy3G052900.5.v1.1 annot-version=v1.1 MNEIPCNVNGEGHDGLPKIQSKRANRAGHQVGIVSHCQKRLVALKIMYFGQRFYGFASEAHTEPTVESEIFKVLERARLLVGSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDPGGNVLDERSEIDYVKVLNRMLPRDIRVIGWFPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAASKFIGEHDFRNFCKMDAANVSNYRRHITDFDISAYDERSNNDELWAMNIKGSAFLWHQVRCMVAVLFLIGQGLESPCVVDSLLDITRTPRKPQYTMASELPLILRSCKFDKVKFMSSSDASQALIEHLKDEHHQYMLQAAIFHEALSCLPSPGIYS* >Brasy3G232000.1.p pacid=40042700 transcript=Brasy3G232000.1 locus=Brasy3G232000 ID=Brasy3G232000.1.v1.1 annot-version=v1.1 MEGEPGRNIEALIQRLRLHQPPPSPYSGDPSTAATPAAGELFRPRRAAVLVCLFQGAAGELRVLLTKRASSLSTHSGEVALPGGKADEGDADDAATALREAKEEIGLDSTLVTVVSSLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVGEVDDIFHVPLEMFLKDEHRTSEEREWMGQEFTLHHFNYEKGNKKYKIWGLTAGILIHAASVVYQRPPDFAERRVQFNLPKYSNEYSSMP* >Brasy3G346900.1.p pacid=40042701 transcript=Brasy3G346900.1 locus=Brasy3G346900 ID=Brasy3G346900.1.v1.1 annot-version=v1.1 MAKQMKNDGILLLSLLLLSCLAIPPLAYGRTIESAGSTKVVGSNGLNINSVRCTQPDGDQWCCNNMHLCFPSEQECMQGCS* >Brasy3G194700.1.p pacid=40042702 transcript=Brasy3G194700.1 locus=Brasy3G194700 ID=Brasy3G194700.1.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.13.p pacid=40042703 transcript=Brasy3G194700.13 locus=Brasy3G194700 ID=Brasy3G194700.13.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.9.p pacid=40042704 transcript=Brasy3G194700.9 locus=Brasy3G194700 ID=Brasy3G194700.9.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.10.p pacid=40042705 transcript=Brasy3G194700.10 locus=Brasy3G194700 ID=Brasy3G194700.10.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.5.p pacid=40042706 transcript=Brasy3G194700.5 locus=Brasy3G194700 ID=Brasy3G194700.5.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.2.p pacid=40042707 transcript=Brasy3G194700.2 locus=Brasy3G194700 ID=Brasy3G194700.2.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.6.p pacid=40042708 transcript=Brasy3G194700.6 locus=Brasy3G194700 ID=Brasy3G194700.6.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.14.p pacid=40042709 transcript=Brasy3G194700.14 locus=Brasy3G194700 ID=Brasy3G194700.14.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.4.p pacid=40042710 transcript=Brasy3G194700.4 locus=Brasy3G194700 ID=Brasy3G194700.4.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.11.p pacid=40042711 transcript=Brasy3G194700.11 locus=Brasy3G194700 ID=Brasy3G194700.11.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.12.p pacid=40042712 transcript=Brasy3G194700.12 locus=Brasy3G194700 ID=Brasy3G194700.12.v1.1 annot-version=v1.1 MEMQKSDNHEQKLTSPRGLIHKVFRRTNSRRSPTAAEQDPSPVFPETSNAKFLKQNNTEDAIKDPEKASTHDNRIEDEKSDLLGYEVCSGKLTLDYKGRSVSAEQSSGNCFDARLTTEALVWGSNMLKLEDIVSVSYNSGLRHFTVHACPLEKRSIGLSCFMKPRRSQKDLRFLSAAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELVPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.7.p pacid=40042713 transcript=Brasy3G194700.7 locus=Brasy3G194700 ID=Brasy3G194700.7.v1.1 annot-version=v1.1 MVDLAKFSTGKWSPYLSLQVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.8.p pacid=40042714 transcript=Brasy3G194700.8 locus=Brasy3G194700 ID=Brasy3G194700.8.v1.1 annot-version=v1.1 MVDLAKFSTGKWSPYLSLQVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G194700.3.p pacid=40042715 transcript=Brasy3G194700.3 locus=Brasy3G194700 ID=Brasy3G194700.3.v1.1 annot-version=v1.1 MVDLAKFSTGKWSPYLSLQVSRWKWLKLHMLVMQNLLFQLSISVPVQMELYVWVAMELLTRDDQNESVSIPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVSDADGPEHKTVEGQEKVNTSDLYDDVVQRSRAECLPRASSLSSIDSVMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVLHPQASTPSWCRSKSKSRTEKAWLGLTTTNDTKSSRGTTTHDKEDTSSTVSDPGPAWDTGPKWDQEPKWDDQHNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKSPVQ* >Brasy3G157700.1.p pacid=40042716 transcript=Brasy3G157700.1 locus=Brasy3G157700 ID=Brasy3G157700.1.v1.1 annot-version=v1.1 MGSPLHCISLPCQQDTLAHLACFTCPGTIRQPWRQRGEDWAGLKAIEVSTNLKQMDAQHCQFCSSDHMKVSSCWSLESSSSWLACSLHIWHAFG* >Brasy3G146700.1.p pacid=40042717 transcript=Brasy3G146700.1 locus=Brasy3G146700 ID=Brasy3G146700.1.v1.1 annot-version=v1.1 MASLLRLQALSLTLNTLRCLPSHLRLAAAAGAPLARRISAAASNGSPEQRASETDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILKRLHEGEAVAVISDAGTPGISDPGMELARLCATEKIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHGRSRKDRLELSAREAATQIFYVPPHGIHQFLVDAASSFGDSRFRPCVIAREITKLHEEFWRGTLGGANEAFATRQPKGEITVLIEGNSISVDETPSEDFLEHELKELMAKGHALSTAVKLVADATSAKKKDVYALALRVFGK* >Brasy3G146700.4.p pacid=40042718 transcript=Brasy3G146700.4 locus=Brasy3G146700 ID=Brasy3G146700.4.v1.1 annot-version=v1.1 MASLLRLQALSLTLNTLRCLPSHLRLAAAAGAPLARRISAAASNGSPEQRASETDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILKRLHEGEAVAVISDAGTPGISDPGMELARLCATEKIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHGRSRKDRLELSAREAATQIFYVPPHGIHQFLVDAASSFGDSRPCVIAREITKLHEEVFWRGTLGGANEAFATRQPKGEITVLIEGNSISVDETPSEDFLEHELKELMAKGHALSTAVKLVADATSAKKKDVYALALRVFGK* >Brasy3G146700.2.p pacid=40042719 transcript=Brasy3G146700.2 locus=Brasy3G146700 ID=Brasy3G146700.2.v1.1 annot-version=v1.1 MASLLRLQALSLTLNTLRCLPSHLRLAAAAGAPLARRISAAASNGSPEQRASETDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILKRLHEGEAVAVISDAGTPGISDPGMELARLCATEKIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHGRSRKDRLELSAREAATQIFYVPPHGIHQFLVDAASSFGDSRPCVIAREITKLHEEFWRGTLGGANEAFATRQPKGEITVLIEGNSISVDETPSEDFLEHELKELMAKGHALSTAVKLVADATSAKKKDVYALALRVFGK* >Brasy3G146700.3.p pacid=40042720 transcript=Brasy3G146700.3 locus=Brasy3G146700 ID=Brasy3G146700.3.v1.1 annot-version=v1.1 MASLLRLQALSLTLNTLRCLPSHLRLAAAAGAPLARRISAAASNGSPEQRASETDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILKRLHEGEAVAVISDAGTPGISDPGMELARLCATEKIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHGRSRKDRLELSAREAATQIFYVPPHGIHQFLVDAASSFGDSSFGEEL* >Brasy3G125100.1.p pacid=40042721 transcript=Brasy3G125100.1 locus=Brasy3G125100 ID=Brasy3G125100.1.v1.1 annot-version=v1.1 MAQPEQQGLQVPSALGAAKTLWYHLTAIVVAGVSLVTKLLGHKLRRKSVYGMALLLMVICSIGSGLSFAHTRKSAMATLCFWLGFGIGGDYPLSATIMLEYGNNSVVS* >Brasy3G198400.1.p pacid=40042722 transcript=Brasy3G198400.1 locus=Brasy3G198400 ID=Brasy3G198400.1.v1.1 annot-version=v1.1 MVVAALELSLSLSLPTPSAAPALSKDDYLAICLAALANTRGHVDGKWCPPRPAPAPAEELRFRCMVCGKAFASYQALGGHKSSHRKSPPPAASAAGDSQSYETSSGGSSGPHQCTICGRGFSTGQALGGHKRCHYWDGTTSVRVSVSANSASGVTTSSAARRNLFDLNLLPVPESVGIKRWSRQEEEEVQSPLPAKKLRV* >Brasy3G250100.1.p pacid=40042723 transcript=Brasy3G250100.1 locus=Brasy3G250100 ID=Brasy3G250100.1.v1.1 annot-version=v1.1 MLGEWWNANVHELQETAFLTGDPAPAGDAYTINGKPGNLYNCSAPNNMRRFEVRRNQTYLVRIINAALNTPLFKLANHSFTMVAADAVQDGCGGGRARPDRQRAYGHPDVPPVAGVYTCDFPDRPMVIFDYTAGAGDNATMQYTTKSTKVRTLRYNKMVEMVLQNTRLVAKENHPMHLHGFNFFVLMGAIAVCLAEVHICRRRRSRPRQASVFLSSRMI* >Brasy3G032500.1.p pacid=40042724 transcript=Brasy3G032500.1 locus=Brasy3G032500 ID=Brasy3G032500.1.v1.1 annot-version=v1.1 MGQIAGSRPRPDVQQLRLRGPGAGGTEKESPGFPGSRTYGPATASSTRVSRAADNPKETNPTRRLFPLPFHAARRGGGAKMHLPPQPSGLCYPGPGRRALVGQGLADEPWSATSSCGAPAVPPARPRAPVPSRVPWPPCAARGRVPPAPLRCARPRAPAPLRCAPACPRPVLFPGCVPSLPMPLLLLPPCARAFPCTHERTGFLLPLWLLSVLPQTKKNSIF* >Brasy3G101500.1.p pacid=40042725 transcript=Brasy3G101500.1 locus=Brasy3G101500 ID=Brasy3G101500.1.v1.1 annot-version=v1.1 MAKAIPQIFPRSLHKLCRWHIMRKHKDSLGKLYKLFPDLKDQLAAVLNHPLMPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCARMTSTQRSESMNHVLKKGFVREQHDLHIFAQQINNCIQTRRESEAAEATASMGVMKPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWKHTGLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVTDPVFNRRDYKMTLQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFNVGMQAFKEVNSRMDEEGIETNAGADHHTEECCPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPPKVAKTKGSRNKNKDEAPAPAPATAAARPEPELDANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATERVKICIRNVLAKQGVGIVDKEQLLDTDEDEDYEDQTDDDDENEDDQGGEGEEEEQCQTEVTNEQTLETTENSKQPPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNCGKIRGHNARTCKKLQLEEQLRAQMELESQKIAQERSPEEQVQPMRATRRSARLQ* >Brasy3G049000.1.p pacid=40042726 transcript=Brasy3G049000.1 locus=Brasy3G049000 ID=Brasy3G049000.1.v1.1 annot-version=v1.1 MSTSPTSQRPPRRAASARASSYDESLVDAELQAYLGNSPSRRIKRLRRLSADERQRETDTEAQIALSLGFPIDELLPAERALLAAPDADAPNDYIVVRNHILASWRADPRAPLPRARVLETVAASYDHLVAAAHGFLSREGHVNFGVSAAFPAAPPPDAPQRPAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTSRLGGDQAAAAVELGGSIITGIHANPLGVLARQLGIPLHKVRDRCPLYHPDGRTVATGLDRSVDLVFNTLLDHATSLRESLKDAAEKISLGEGIETLRRLYHVLRSEEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNSRLVHALCDGVPVLYEKTVERIQHGEDGVSVTVEGGQVFQADMALCTVPLGVLKSGSIEFDPKLPENKLGAIQRLGFGLLNKVAMVFPSVFWDEEIDAFGCLNKESSKRGEFFLFYGYHTVSGGAVLVALVAGEAALEFEKIDPVVTLHRVLGILRGIYGPKGVTVPDPIQSVCTRWGSDPLCCGSYSHIRVGSSGTDYDILAESVSDDRLFFAGEATNRAYPATMHGALLSGLREASRILRASESRVNSDHKKYALQKSIKPPDGILEDLFTEPDLEFGRFSFVSSSMTPDDPESEGLLRITLEKHLLLHPEKPVLEGDQKDQKPAAEKKAAREAFHLYATVSREQANQLQLAGDDDRARLALLCKDLGVKLMGYDITCDVGNSLVLSILSARKARKRLQRPKNSKVAQ* >Brasy3G311000.1.p pacid=40042727 transcript=Brasy3G311000.1 locus=Brasy3G311000 ID=Brasy3G311000.1.v1.1 annot-version=v1.1 MAGPTEEPVVTFDESEEESWDAEQYLLAPRAPRSPNAPFVGPGGWGSAGEGEDEECWVAPRAPPRSPNAAFVGEGARGSGSSAGEDDERDSPSPRRWRPGIAARRNPRKADLFRGLDAGCQLRRIFSTPLPPPPKKMKTAAEEAEEDSAPIWPPRSCWWKRFCDLSHLTAMKYHPIDPAIPALNPDENSLSHPPPEKSQEQVGQEMIPESASEQTVVYENGQGEKDLPDPTQKKKLIIDTYCAQCNKCKKWRIIPTKQKYEEIREKLPSGPFSCENASGWKPGVTCDDSTDASPDDGKFWAIDKQGIPQTPLEWNRTISIRCEGSTRFADVYYYTPTGKMLRSKRDVRRYVEENLQCDAVIEQFSFATPVPLKWDYVKKCPRKSKPLQIEEVPPLACAPPIHVDIMHNNMLAPYEGAQPSSDVPQDQLLG* >Brasy3G186000.1.p pacid=40042728 transcript=Brasy3G186000.1 locus=Brasy3G186000 ID=Brasy3G186000.1.v1.1 annot-version=v1.1 MGSSTSTFVIRWINFLTMVLAILVVGFGFWMSTHNDECRRSLTIPVMALGGVIFLMSLVGFVGAWKNIACLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHAVPGARYKEYRLQDYSSWFIKQLNDTEKWTSLRSCLVKSDDCNSLSKRYKTLKQYRLADLTPIESGCCRPPAECGYPALNASNFDLSYHPVSTNVDCKLYKNDRSIKCYDCNSCKAGVAQYMKKEWQVVAIFNVILFVILSFVYFVGCCARRHAGGSDSKVTF* >Brasy3G320400.1.p pacid=40042729 transcript=Brasy3G320400.1 locus=Brasy3G320400 ID=Brasy3G320400.1.v1.1 annot-version=v1.1 MPQSSSSLDAAAKGRHAHASSLFFSRGRAGTGSKLVSARASSHLLFRATVLATIALVCLFAAHYPSLLSHSFRLSSSPSPRTKTHRSLLGSSSSSSSGPAFGTAAWDRAVRRSATPRRANGMSVLVTGAAGFVGFHCAMALAARGDGVVGVDNFNAYYDVSLKRSRQRLLAESSHGRAGVLVLAGDINDAALLRRLLSGSGAAFTHVLHMAAQAGVRHAMRDPQSYVASNVAGLVTLLESVAAVRNTSRPAVVWASSSSVYGLNGNGGGRPFSEEDRTDRPASLYAATKKAGEAIAHAYSSVHGLSVTGLRFFTVYGPWGRPDMAYFAFARAIVAGDPVTLYAGATRDFTFIDDVVRGCLAALANTNKKEKKKLSRVYNIGNTEPVPVARMVAVLEKLLGKKAKKRVVAMPRNGDVLFTHANVSLAARDLEYRPTTSLDAGLRRFVDWFLRYYKIDNGAAAGGKQPALAKRKKSAMSTAA* >Brasy3G110800.1.p pacid=40042730 transcript=Brasy3G110800.1 locus=Brasy3G110800 ID=Brasy3G110800.1.v1.1 annot-version=v1.1 MGDHEARGDDMEKKAEKKLSGWGLFGSKYEDAADLYDKAANFFKLSKNWNRAASVYTKIANCHMKGDSKHEAASAYVEAANCYKKFSPQEAAQALDQAVNLFLEIGRLNMAARYCKDIGEIYQQEQDLEKASDYMERAADLFDSEGQTSQSNTIKQKVAEIAAQLEQYPKATEIFEAIARQSINNNLLKYSVRGILLNAGICQLCRADPVAIQNSLERYQEIDPTFSGTREYKLLADLAASMDEGDVTKFTDAIKDFDGMTRLDPWKTTLLLRAKNELKKQEEDEDDLT* >Brasy3G297500.1.p pacid=40042731 transcript=Brasy3G297500.1 locus=Brasy3G297500 ID=Brasy3G297500.1.v1.1 annot-version=v1.1 MTSYRRSDNSKTLLKSDSILEYVLETTVYPREHERVRELRLITQQHPLSIMGSSPDQMQFFSVLLKMTGAVKAVEVGVFTGYSLLCTALALPAHGKVVGIDVNREYHELGRPVIEKAGVAHKVDFRQGDGLAVLDDLIAEDSAQGGSFDFAYADADKLQYSGYHERLLRLVRVGGVIAYDNTLWYGSVAMPRDTPGSTAYARGVRDSMVGFNAAVAADDRVEACLLPFADGVTLCRRLK* >Brasy3G177300.1.p pacid=40042732 transcript=Brasy3G177300.1 locus=Brasy3G177300 ID=Brasy3G177300.1.v1.1 annot-version=v1.1 MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETMEMLTALGMADLPGVDRAPEVTSSAPAFGGRQPFNGPRGGNRS* >Brasy3G311100.1.p pacid=40042733 transcript=Brasy3G311100.1 locus=Brasy3G311100 ID=Brasy3G311100.1.v1.1 annot-version=v1.1 MSACRSPRSAAQWPPPETHIPTNQSRKREETKPKPPCRRRRLALAPVRPNRRRSRLTRRSSKGMKKTASAAKKKMATTAQAKNRTAGAPRLSSSAAPLRGNPLFAPGSEVEVRSRFAQPAIVFSGAGAEREVVIYARATVVSASPGTASYLVRYPAAAGTLPSRVARVPASDVREPRRSPTAAVDAAPKRKPTGRGIPMDALLRFFEEHEREQEEAAKKKAREQDEAELPRKPLEKQDAPVFLSVLSS* >Brasy3G013900.1.p pacid=40042734 transcript=Brasy3G013900.1 locus=Brasy3G013900 ID=Brasy3G013900.1.v1.1 annot-version=v1.1 MPQDSRPAGMRLFGVTIAPAPDPPDHRDPSPNPNPPVALREDVMRKCKSMGNLAALASASGDAGGGGAADGYLSDGGLLQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMASDLSPAPNCPILPPTMAKLHEMVAMTKQLENSSLEGVSSSNAANVASQVGRDRPPPVPSFKATNVDTSLVKMNHMECFSRTHFSFRQIPMIAEGTSSTSITPTANVTAPAFQANITAFPIPQSDPSPPYPPKADHPEENKDLELTVAPPSQQTMTNISSQNVVGVIQVV* >Brasy3G013900.2.p pacid=40042735 transcript=Brasy3G013900.2 locus=Brasy3G013900 ID=Brasy3G013900.2.v1.1 annot-version=v1.1 MPQDSRPAGMRLFGVTIAPAPDPPDHRDPSPNPNPPVALREDVMRKCKSMGNLAALASASGDAGGGGAADGYLSDGGLLQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMASDLSPAPNCPILPPTMAKLHEMVAMTKQLENSSLEGVSSSNAANVASQVGRDRPPPVPSFKATNVDTSLVKMNHMNLLR* >Brasy3G272700.1.p pacid=40042736 transcript=Brasy3G272700.1 locus=Brasy3G272700 ID=Brasy3G272700.1.v1.1 annot-version=v1.1 MLPRRQSIFHLGEDVGNGGAPCHRRGVLGGAPSPSSMSGRVRERLVVGLQILVHQQKHGGGGGRHAHAANVVLKQMVRRPTGGFLKACSLCRRELSPNKDVYMYRGDQGFCSEECRGQQILLDEARERDAAGKERRRALQARHQAHHQQQQQHTPIGGRPRKTTTPLAVA* >Brasy3G026400.1.p pacid=40042737 transcript=Brasy3G026400.1 locus=Brasy3G026400 ID=Brasy3G026400.1.v1.1 annot-version=v1.1 MIMEEATAQERSHEEEEEEEEEDLVDVHYQQGEAVGERELEHLFDKVVTPSDVGKLNRLVVPKQHAERHFPPMAAAAGTTELCFEDAGAGAAGRTAAWRFRYSYWGSSQSYVITRGWSRFVKEKRLSAGDTISFSRSGGRYFIGYRHRQPCRRSRNIDFGGIDSDAGPARATSTMICHGEAVTELPPPRSFRLFGVNVECGTGAGEDDEEGGQELG* >Brasy3G021100.1.p pacid=40042738 transcript=Brasy3G021100.1 locus=Brasy3G021100 ID=Brasy3G021100.1.v1.1 annot-version=v1.1 MDPTSAIHVQMPLTQETESTPPQGTTKPSRPIDKTLSGASDLLKLLPTGTVLAFQALAPSFTNHGACSTAANRYLVLALIGACAVSCVLLSFTDSLVGRGDGRLYYGLATFRGFYPFNFAGTAAERGAMFRDVAKFRITALDFVHAVFSAVVFLAVAASDASVQSCLFPDAGAGVRELLVNLPLGAGFLSSVVFIVFPTTRKSIGYTDMTPHTHSH* >Brasy3G223300.1.p pacid=40042739 transcript=Brasy3G223300.1 locus=Brasy3G223300 ID=Brasy3G223300.1.v1.1 annot-version=v1.1 MLHATRRRTPPLSSCLAAAFFAAKPQPPPLSPRLVEAAVSRCPSDALALSFFLWCARRPAYFHPPSSFDCVLPAATRLASRLPTAPELLCELQNLGCPISPHTFLLLLRLYWRGGLYPLVLNLFDQMPLWGFHHNIFARNIALDVLLRTGRVDAALIFMEDNPSPNYLTYAIVLTHLCRAGDWSGVCTCFRAMLQQGLIPSSASLASVFVCCSKAGTMSELLQLFSYALVSGRQITSVMWSCLIARFCSEGRLDEACLILENMARSGSSPTVVTYTPLVRGLFRAGRHDKVSELLGSMASNGCNPDLVLYNVLMDCMMKERRYDEALDIYLNLHRSQIKPDAYTLSTLVHVLQLSRNIDLLPRLILGSDISYDLVACNSVLSALCKSGFPSEALQFYIDKIGSDIRPDSYSYVGLLDSLCQLGRIDHAINVYRSVLASNPDSDAYIHASILRGLVSRGQNNMAFAIFREAVRQNYALDVVCYTIVLHGLFRAHLIEEACDLFDKMKHSGIAPNTCTYNVMLRGLCRTQDMHAVTQLLGEMECANVQMDSISFNVVVVLLVKLQRISSAIALIREMHNLGMKLNSKTCGLLSQSIGHRFILEDMTIAESDGSDSTSDLLVCSAS* >Brasy3G203300.1.p pacid=40042740 transcript=Brasy3G203300.1 locus=Brasy3G203300 ID=Brasy3G203300.1.v1.1 annot-version=v1.1 MKASLASPTDDEDLLAEILLRLPARPSSLPRASLVCKLWRRLVTDPQFLRRFCAHHREPPAVGVFLNFYRGDLSFRSVLDPPDSIPPEKFSAQLTDGIEDGGVWSFRRCRHGRVVFTSGDHLGTGCRQVLVWDPVTGDRRRIGSPPPQLDHDWSTSNVQAEVLCVAGDAGHVHGACHSSPFKVVLVCGGEGVAVARACVYSSEAGTWGEIISTAVPYRYSPLSCVGIGSRSILVGNSLYWIIFGHAIGILELDLDTQRLAVIKVPPDAHVGHHGIYLSKLGGGLGFIVVSDYYVAQLWVRMTDFDGVAGWIPAQTIELGKLLPLRPREWTNLQTVLGVAGEDNVIFVSTNSGVFMVHLESMQLKKIFESNPFADCSTSTIHLFTSFCAARSMLVNI* >Brasy3G305200.1.p pacid=40042741 transcript=Brasy3G305200.1 locus=Brasy3G305200 ID=Brasy3G305200.1.v1.1 annot-version=v1.1 MAPSCDDSAGRNISNNNQLPIRVLSRRLVKASDPSIKPHVSPVSNLDLYPNTEQASIAFLPSLLNRFYPLAGRIVIDPATDLPELHCHNQGAELITGEVDMPLGSLDFSLTENSLKKIMLPYPEEVALSVQLLSFACGSFSVVWGNNNLVNNGHAITMMVRAWSELARTGVIVAKLGEMLVDYDHDRMVNALTAQDSFVERLYYISAADIAKLRDIASTGSGNTRASRVQAVSAYIWKALASAVATSRIPEKRCRMLWWVDARRRVTSPELAKATRDYFGNVTTYVIEDAPVEDVRRRTLSEVAGMSVQELVDFVEEHKGKSRLRFMETAVLGLGSPTVNQTVFASFPLDTDYGFGQATLAMPVWHHGRLASACLAVGVRPGGDGSWFVNANVWPRLAAAFDADPQRVFKPVTAKYLGLVY* >Brasy3G131900.1.p pacid=40042742 transcript=Brasy3G131900.1 locus=Brasy3G131900 ID=Brasy3G131900.1.v1.1 annot-version=v1.1 MKSRRQQSVGGGDATSSGGGGGGCNKMERKDVEKNRRLHMKGLCLKLSSLVPAAHHHHSSSFNSSSPPSSNSKDAATQLDQLDSAAAYIKQLKSRIDELRRRKQSRAGNGGCGGGSSSSSGNGDVKPAVLPVVEVRQQEKAAVDVALVSEAGKPFKLHEVIAVLEEEGAEVVSASFSAVADKIFYTLHSQTLCPRIGLDAARVAHRLRALAAP* >Brasy3G277200.1.p pacid=40042743 transcript=Brasy3G277200.1 locus=Brasy3G277200 ID=Brasy3G277200.1.v1.1 annot-version=v1.1 MGRDSPIVLSSDSEEGEPVEDVKEKVKEVEEDFKEPPKWLPDGWIMEVQHGEDGSFYQYFISPVSGVKFRMKAEVLNYLFSEMEDRFLESKKCAAHNSLLSTHLWLPKGWFIEIRAGGENMDKMFKFYIYPAMGIRLVSKEDVLLYVKDMVVAACECDTSSENNILALVEFNPSVLLPEGWVKELVYRRTKEGIRKDSYFTDPVSHYVFRTLKAAMTYLTTGKVTNRSFIQKTSVHDLYNFDKCADMNEFLRRRLTDNWKIGNTSTKLSKSIRTSASTEKENYNDQTSNRFEDGESSANFGSPKDHQESRNKSRKIKEKEPNSDKIIKRPRKKSSRAVKAKQINGDTNGKDPESEQEERMDKTKKAGCKGEIKGESSRN* >Brasy3G277200.2.p pacid=40042744 transcript=Brasy3G277200.2 locus=Brasy3G277200 ID=Brasy3G277200.2.v1.1 annot-version=v1.1 MGRDSPIVLSSDSEEGEPVEDVKEKVKEVEEDFKEPPKWLPDGWIMEVQHGEDGSFYQYFISPVSGVKFRMKAEVLNYLFSEMEDRFLESKKCAAHNSLLSTHLWLPKGWFIEIRAGGENMDKMFKILALVEFNPSVLLPEGWVKELVYRRTKEGIRKDSYFTDPVSHYVFRTLKAAMTYLTTGKVTNRSFIQKTSVHDLYNFDKCADMNEFLRRRLTDNWKIGNTSTKLSKSIRTSASTEKENYNDQTSNRFEDGESSANFGSPKDHQESRNKSRKIKEKEPNSDKIIKRPRKKSSRAVKAKQINGDTNGKDPESEQEERMDKTKKAGCKGEIKGESSRN* >Brasy3G295800.1.p pacid=40042745 transcript=Brasy3G295800.1 locus=Brasy3G295800 ID=Brasy3G295800.1.v1.1 annot-version=v1.1 MKYHPADPAIPALNPDENSLSHPPPKKSQEMIPESAPELIVVYENGQGEKDLPVPTQKRRLIIDTYSAQCNKCWKWRIIPTKRKYEEIREKLRSGPFSCEHASEWKPGVTCDDPTDASQDDGKFWAIDKPGIAQTPLGWDRTISIRSEGSTRFADVYYITPTGKNLRSKVDVGRYLKENPQCAAAIEQFSFAKPVPLQREYIKKRPRQSKPLQLEEVPPLACAPPIHVDVMHNNMLVPYEGANPSSDMPQDQLLG* >Brasy3G135500.1.p pacid=40042746 transcript=Brasy3G135500.1 locus=Brasy3G135500 ID=Brasy3G135500.1.v1.1 annot-version=v1.1 MCTDIPTSLCSMAGSNHLHQPPPLLPPATAAIAPRWLARLRATVVIGPGLPCLSAPSCGSVRRRPRRHGSGRPRPRRPFHRRRGRRVRADVETKKPPPLWRLGGRRRRRVVGAVAGSGRRTLASSA* >Brasy3G320800.1.p pacid=40042747 transcript=Brasy3G320800.1 locus=Brasy3G320800 ID=Brasy3G320800.1.v1.1 annot-version=v1.1 MIDTIGKDKTSEDMLVKDSEINSSYQDKPQTKIVEGQSATTLEEDLCVSNVSVVSQKDIPSEETESKEESGFITTNSIEPSTDKHVHTDDTGGDDLLELAAGGSHLEEGDVVKTQQQTDSTLVVADQLSNSKQTDLEEGQRYPDADEVIQAVSSAIGPDVDAGVISAGSAADLTKKDPTESQIDLVELSSNPTSHVINVISSKHGVEDAQDNDMSADLTSHERPVEYSVDIEEATSHGANAVCSTGNFEGIKQIEEITAENGLEKISVPQGTISVEEKELIKEVTPDPASVKTDVISSRDVVEERDKGEVYVGTAHEVNMANIPGNVELDKHSEETSKDPTACETNMTSITDNVEEKNRKQEITTDPALHAFNVICSPDFEVKKKNEDMTEGPSSHENALVDGTDNAKEKDEEAMSDPTPYKVDVVSTVDVAEEKKLKEEVVAEPTPHEISVNDVIEKSEEPTVSLKIDAGSNADPILAADTSSHESNTPQVTDSTEEKNQNEDVAADPTCDKIPEAQSTDGVEEKKQKEDAVAGEIDTTGSGKDDQNEEITDKEMTINSDKSHVSLKSLLSEKGMEVKEKKASTKDRVLSFRRKSSKDNPSPAKAGSEQQDWNSPARLPAEKSPKGKKQQWMPFICCHSMN* >Brasy3G322200.1.p pacid=40042748 transcript=Brasy3G322200.1 locus=Brasy3G322200 ID=Brasy3G322200.1.v1.1 annot-version=v1.1 MFKEVLSWQACSRTPVLSMVVTSYGEIWSGTEGGVIKAWPWNAIAKSLSLTPEEKHMASLLVEQAYIDLRNHATVGNMFSLPTTDVKHMLADHCRAKVWSLTSMTFALWDARTRELLKVFGMDGQVESARLEPPVMPEQFIEEEIRVKPTKKEKPQGSFTFFQKSWSALMGAGDAVRRVATKGTFVEDNRRTEAVAQAMDGAIWSGCTDGSIIMWDGYGNWLQEFHHHNSSVQCIKALGERVWVGYVSGTIQVMDVEGNLLAGWTGHSCPVIQMAVGGSYIFTLAHHGGIRGWPLTSPGPLDDILRTELAKRDLSYTRIENIKMLVGTWNVAQEKASPESLWSWLASASSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKILDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGNVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVTRRNADFDHIYRTMNFNKPHGSAVSATSVQLHKAVNANGNQPDEDRPELAEADMVVFLGDFNYRLDGITYDEARDMVSQRSFDWLRDRDQLRAEMKAGNVFQGMREGFIRFPPTYKFQRHQPGLAGYDSGEKKRIPAWCDRILYRDSCSESIGECSLQCPIVAAITSYEACMDITDSDHKPVRCTFSVDIARVDELIRRQEFGKIIASNEKLRCLLQESHCVPDTIISTNNIILDNQETVVLRIANNCGTSKCAFEILCEGQSTSKQDGTEPDFVPRASFGFPQWLEVLPSIGLIEPGETIEVTVHHENHYTQEEFVGGVIQNGWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCAASPAPPPASLLSITAPTGDALSSEAHPERSSNQSQSNHLQRSDFAPFGSSDVHDLYRIQNM* >Brasy3G076300.1.p pacid=40042749 transcript=Brasy3G076300.1 locus=Brasy3G076300 ID=Brasy3G076300.1.v1.1 annot-version=v1.1 MEDLSVEELASNLSTYKDQLREVRKLIKENKDDAGISEYVDMEKELQEVITLTEELLATANQSESAQNDIGLSLPNYSTGEQSEALDDFSQSHEKFAVGTKVQAVYSEDGEWYNATIEGLTPNGYHVSYEGWGNKEEVDPANVRPRDEEAADALGQAAKEAEATKNAIKRKIEQAATSDYQIRSLPAKLKIDPNDPEDVKTAKRKKIHAFKSKARFEQLEFNQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKDGSGDTMDDEE* >Brasy3G038400.1.p pacid=40042750 transcript=Brasy3G038400.1 locus=Brasy3G038400 ID=Brasy3G038400.1.v1.1 annot-version=v1.1 MKRKSYGQQQQLSPEGSPASDNESGLAATMAAGGGYIGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVAPLKAYLGRYREAEGERAASVLGGARHGGDDDANSALVSVGGSSGVLQNQNQGQQEGGDVGLMMGFSAVGTGAMYYGAAGNNDGRRAYGGGGEGFQRFGGGDQEENSAGGGDMGFNGGHLHHGVQWS* >Brasy3G234200.1.p pacid=40042751 transcript=Brasy3G234200.1 locus=Brasy3G234200 ID=Brasy3G234200.1.v1.1 annot-version=v1.1 MYAEQWGPIRLGRPQPLSHVVVVVRVCFVMDRRYRCWSRCGSRVNNLTDCAATAPQSTAAQPRLRLLSRDPVLGLIPAPGDVQRRREPEPC* >Brasy3G234200.2.p pacid=40042752 transcript=Brasy3G234200.2 locus=Brasy3G234200 ID=Brasy3G234200.2.v1.1 annot-version=v1.1 MYAEQWGPIRLGRPQPLSHVVVVVRVCFVMDRRYRCWSRCGSRVNNLTDCAATAPQSTAAQPRLRLLSRDPVLGLIPAPGDVQRRREPEPC* >Brasy3G234200.3.p pacid=40042753 transcript=Brasy3G234200.3 locus=Brasy3G234200 ID=Brasy3G234200.3.v1.1 annot-version=v1.1 MYAEQWGPIRLGRPQPLSHVVVVVRVCFVMDRRYRCWSRCGSRVNNLTDCAATAPQSTAAQPRLRLLSRDPVLGLIPAPGDVQRRREPEPC* >Brasy3G234200.4.p pacid=40042754 transcript=Brasy3G234200.4 locus=Brasy3G234200 ID=Brasy3G234200.4.v1.1 annot-version=v1.1 MYAEQWGPIRLGRPQPLSHVVVVVRVCFVMDRRYRCWSRCGSRVNNLTDCAATAPQSTAAQPRLRLLSRDPVLGLIPAPGDVQRRREPEPC* >Brasy3G265100.1.p pacid=40042755 transcript=Brasy3G265100.1 locus=Brasy3G265100 ID=Brasy3G265100.1.v1.1 annot-version=v1.1 MACHMKAVVFGAAVLAAFLLAAEGRAARKDLGLGLNLGGGGGLGVGTGGGLGVGTGGGVGIGSGIGVGIGGGGSGSGSYSGSGSGSGSGSGSGSYSGSHAESGAGSSAGSGSGGAGSYAGSRAGSYAGSNNGDGGSGAGSYAGSEAGSYAGSGAGPHGGSGAGSYAGSEAGSYAGSGAGPHGGSGAGSYAGSRAGSYAGSGHGK* >Brasy3G252800.1.p pacid=40042756 transcript=Brasy3G252800.1 locus=Brasy3G252800 ID=Brasy3G252800.1.v1.1 annot-version=v1.1 MEEVEEANRVAVESCHRVLALLSQSQDPAQLRSIALGTDEACARFRKVASLLSSEGGGAPAAGGSHPRAKVVSRRQTPGFLSQKGFLDGNTPVVVLDSAHPSTSSAQVYPRNKTLDSQSTHQIGGPPKLVQPLSAHFQFGNVSRYQFQHQHQQQKMQAEMFKRSNSGINLKFDSPSGTGTMSSARSFMSSLSMDGSVASLDAKSSSFHLIGGPAMSDPVNAQQAPRRRCSGRGEDGNGKCAATGRCHCSKRSRKLRVKRTIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGEHNHTRMPTQSAQSAQA* >Brasy3G252800.2.p pacid=40042757 transcript=Brasy3G252800.2 locus=Brasy3G252800 ID=Brasy3G252800.2.v1.1 annot-version=v1.1 MEEVEEANRVAVESCHRVLALLSQSQDPAQLRSIALGTDEACARFRKVASLLSSEGGGAPAAGGSHPRAKVVSRRQTPGFLSQKGFLDGNTPVVVLDSAHPSTSSAQVYPRNKTLDSQSTHQIGGPPKLVQPLSAHFQFGNVSRYQFQHQHQQQKMQAEMFKRSNSGINLKFDSPSGTGTMSSARSFMSSLSMDGSVASLDAKSSSFHLIGGPAMSDPVNAQQAPRRRCSGRGEDGNGKCAATGRCHCSKRRKLRVKRTIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGEHNHTRMPTQSAQSAQA* >Brasy3G252800.3.p pacid=40042758 transcript=Brasy3G252800.3 locus=Brasy3G252800 ID=Brasy3G252800.3.v1.1 annot-version=v1.1 MEEVEEANRVAVESCHRVLALLSQSQDPAQLRSIALGTDEACARFRKVASLLSSEGGGAPAAGGSHPRAKVVSRRQTPGFLSQKGFLDGNTPVVVLDSAHPSTSSAQVYPRNKTLDSQSTHQIGGPPKLVQPLSAHFQFGNVSRYQFQHQHQQQKMQAEMFKRSNSGINLKFDSPSGTGTMSSARSFMSSLSMDGSVASLDAKSSSFHLIGGPAMSDPVNAQQAPRRRCSGRGEDGNGKCAATGRCHCSKRRKLRVKRTIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGEHNHTRMPTQSAQSAQA* >Brasy3G348000.1.p pacid=40042759 transcript=Brasy3G348000.1 locus=Brasy3G348000 ID=Brasy3G348000.1.v1.1 annot-version=v1.1 MFFFQTSSCFSLFSQPFTPFFSNSDLLSGRTAACSRPRRRPAARACPPALPARAAGRSPAPVRAASPRRPARRRRRPPEPARPRPARASPLAASGRLPVPAPARTARPRRTARRSWPPPRARARSHRCAARRTGPCVQAAAAA* >Brasy3G086100.1.p pacid=40042760 transcript=Brasy3G086100.1 locus=Brasy3G086100 ID=Brasy3G086100.1.v1.1 annot-version=v1.1 MPMVDMDIGGLLLLLLVLLAGLVPTCVRSSRHRHGRAAPSPPSVPLLGHLHLIKKPLHRSLAKLAASANGGLVPLLSLRLGSRPTLLVSTHAAAEECFTAHTDAALSGRPRLLVGKYLGYGYTTLTWAPHGARSSTLRRFLAANLFSARSLDERAADRCAEVRYLVETLLANSAAVGIIVLRPRLFRLVLDVMLRAVTAHRHAGDARRVQELVEESFVVVGAPSVGDFFPALRWVDRLRGIDAAHASLQSKRDAFVQGLLDDHRRRRTVAIGDSTTKRKSVIEELLALQEADPEHYTDTIVKGIVLVLLSTGTDTTALTMEWTMALLLKHPETLWKAREEIDAKVGTGRLVEEADIAGLEYLQCVVKESLRLCPVGPLIPAHEAVQDCTVGGFHVRRGTMVLVNSWAINRDAATFGDAPGEFRPERFFGLTAAAPMMPFGLGRRRCPAEGLAMRLVGLTLAALVQCFDWEAGEGGAIDMAEGGGLSMPMATPLAAVCRPREFVKGLLSDSPGTNSDVAGAAPILE* >Brasy3G086100.2.p pacid=40042761 transcript=Brasy3G086100.2 locus=Brasy3G086100 ID=Brasy3G086100.2.v1.1 annot-version=v1.1 MPMVDMDIGGLLLLLLVLLAGLVPTCVRSSRHRHGRAAPSPPSVPLLGHLHLIKKPLHRSLAKLAASANGGLVPLLSLRLGSRPTLLVSTHAAAEECFTAHTDAALSGRPRLLVGKYLGYGYTTLTWAPHGARSSTLRRFLAANLFSARSLDERAADRCAEVRYLVETLLANSAAVGIIVLRPRLFRLVLDVMLRAVTAHRHAGDARRVQELVEESFVVVGAPSVGDFFPALRWVDRLRGIDAAHASLQSKRDAFVQGLLDDHRRRRTVAIGDSTTKRKSVIEELLALQEADPEHYTDTIVKGIVLVLLSTGTDTTALTMEWTMALLLKHPETLWKAREEIDAKVGTGRLVEEADIAGLEYLQCVVKESLRLCPVGPLIPAHEAVQDCTVGGFHVRRGTMVLVNSWAINRDAATFGDAPGEFRPERFFGLTAAAPMMPFGLGRRRCPAEGLAMRLVGLTLAALVQCFDWEAGEGGAIDMAEGGGLSMPMATPLAAVCRPREFVKGLLSDSPGTNSDVAGAAPILE* >Brasy3G111300.1.p pacid=40042762 transcript=Brasy3G111300.1 locus=Brasy3G111300 ID=Brasy3G111300.1.v1.1 annot-version=v1.1 MAMASTSTILSTLLSILFLHSHNSSPVTAFYLPGSYPQRYRPGDTLSAKVNSLTSASSKLPFPYYSLPFCAPQDGVNRAAESLGELLLGDRIETSPYRFSMLRNTTGGAAFLCHTDPLPPAAAALFRSRIDDAYHVNLLLDTLPVVRHVKTSQNGAARSTGFPVGVRADDGEYYVYNHLKLTVLVNKVKNGTTRVEALMATADAAELLSFSSGDGKDKDGGGGYTVVGFEVVPCSVDHGDVSAMKGKKMYEELPNKAATARCDPSVVGMRVKENTPLAFSYEVSFVESGVEWPSRWDAYLETGGGAQVHWFSILNSIVVVAFLAAIVLVILLRTVRRDLAHYEQEDLGDAESGDMASAAGWKLVAGDAFRAPSHPALLCVMAGDGARILGMGVVTILFAALGFMSPASRGALVTGMLCSYLLLGAAAGYTAVRLWNTIHQGANNNNWKSIAWKSSIVFPGIGFAVFTSLNCLLWHNGSTGAVPFLLFLALILLWFFVSVPLTLIGGLIASKVKHIEYPVKTNKISRQVPAAQCSPWLFVAVAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLLLVLGLLVAVCAEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYVLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMAGAVMLATGAVGLAASFWFVYYLFSTVKLE* >Brasy3G309000.1.p pacid=40042763 transcript=Brasy3G309000.1 locus=Brasy3G309000 ID=Brasy3G309000.1.v1.1 annot-version=v1.1 MVVDTQYYDVLGVSPSATESEIKKAYYIKARQVHPDKNPNDPEAAGKFQELGEAYQVLSDPSQRKDYDSKGKAGISTDGIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDNFGEDEHIDTKKLQEKMQAVQKEREEKLAEILKNRLHLYVQGNKQEFVRLAEAEVSKLSDAAYGLVMLNTIGYVYSRQAAKELGKKAIYLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKQLGAEGHTTEEELEMYMQNHKKVMVDSLWKLNVADIEATISHVCQMVLQDGTAKKEDLRLRAKGLKTLGKIFQGVKLNNGEGEASQMRNIDNTDDNDGSSPDSSPRREPSYNPPIPNPPLTQSPYVETPQFGGTYCSFNFPMPTAPPGAQRDPIP* >Brasy3G003200.1.p pacid=40042764 transcript=Brasy3G003200.1 locus=Brasy3G003200 ID=Brasy3G003200.1.v1.1 annot-version=v1.1 MGDAAIPIPDRGRLPSILLARRPRLTDSRNDTTATAESSDGHTMAVSFWMAEPPQLSLFSIDASSGFSSSPHLVGADGPFVLLCAVFPGTGIHEYFLYKAGEPPSLERIPSPYELGDATHHLADVRELGILGHRGGDHYLLAALFDAPSSDDYRLRIYSSETKSWSTRTLRNPCPGVDRVFMPDKVISLGQDGLLGWVDLLHGLLVCDLPLLLQDQVPGVPGAVSFIPLPEQLPGNKYKLKYPIVHYKMEHNPLARDYRSASWFRGLACVNGVLKFVEMENPAPPEDKDNKIYDSDLIMSLKRKAVDVNSRKQLSSFRDAWRAVTWSRKVSSSSNYWRQTCAAHVADMKGQELTFRDLYSAFPILSPEDGDDILYLRSLVEPVHKDGWVAAVDIGNKAIKAIGNYYLPDDFYYRRGYDPEHPFRASTLSRPLDMTPGNQVSACRKQTEAERSANRRSGTSVCVSSCERRAKTRRLLEMAGKTKRAQNSPGSITQNHHISQVRPIEINLAPQASFKNFNGPASFHGYPQQLSAPTSFAYGAHTGYVNHEQQWRQLPPTLELPIIGASWQHPPPPGSGAVSANNS* >Brasy3G221600.1.p pacid=40042765 transcript=Brasy3G221600.1 locus=Brasy3G221600 ID=Brasy3G221600.1.v1.1 annot-version=v1.1 MSEAPATTVGEDPPPETPTATDQQQQRRLPPQSSLEEEDADQLSELRQIFRSFDRNMDGSLTQLELGSLLRSLGLKPSADELDALIQRADLNSNGLVEFSEFVALVAPELLDDRSRYSEDQLRRLFEIFDRDGNGFITAAELAHSMARLGHALTAKELTGMIEEADTDGDGRIDFHEFSRAITAAAFDNVFS* >Brasy3G258900.1.p pacid=40042766 transcript=Brasy3G258900.1 locus=Brasy3G258900 ID=Brasy3G258900.1.v1.1 annot-version=v1.1 MEATTTSRVLLPLRAAPPRAAAAGAVACPRRRAGTRRRIRLVPARASLDSAAVLLDAAVGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGQPMAPNLVAGEILSFFTRNSFTVSDRGEVITFEGTMTPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMVLSDDGNVSEVLVRGDDVQVEQMRKELKFSEKGMIYVKGIFET* >Brasy3G258800.1.p pacid=40042767 transcript=Brasy3G258800.1 locus=Brasy3G258800 ID=Brasy3G258800.1.v1.1 annot-version=v1.1 MADWSMEPQVFIPCNFQQAHWSLESAVWVPGLVPVSCESESTAMTCLEELEGTVVPGSSRGQQMLQNHVKPKPTTTGSQVGEYQKQSSHKLQGKIRLFGKKMYRYPPVIRGLDDQYTVPTVVCIGPYHYDPRGRYGGDLYKAEEAKNQAFRKCIRDSGKTDQEMYNEVALVVNRHRVRSLYDEEAIRGIDKKEFLPMMFLDACLLVQFMIAYSEPDGGMATDDWLSSYFDRNRFDILHDVLLLENQLPWLVVETIMRFIPDIKAHLRGFVSKLQGCLQDRQDLQIRYDVSDAVLSGKLKPPHLLGLFQIYIVGSILDDKDDEEETTEDLKSFSVSANELAEMGITLAPNKTAELSRTDITRSLFRGKLEMAPLSLNHLCASILVNMAALELCLPHHFTVGQLEKYAVCSYLRLLAMLMDRDEDVHELRAKNLLQGGGLTNKEVLNFFSTLQCLRPGSLYYRTLQKIETYSENRRVRVKVHAFLYKNLKTMLVVFSVITGLCGIMGMFISALKKTS* >Brasy3G258800.2.p pacid=40042768 transcript=Brasy3G258800.2 locus=Brasy3G258800 ID=Brasy3G258800.2.v1.1 annot-version=v1.1 MTCLEELEGTVVPGSSRGQQMLQNHVKPKPTTTGSQVGEYQKQSSHKLQGKIRLFGKKMYRYPPVIRGLDDQYTVPTVVCIGPYHYDPRGRYGGDLYKAEEAKNQAFRKCIRDSGKTDQEMYNEVALVVNRHRVRSLYDEEAIRGIDKKEFLPMMFLDACLLVQFMIAYSEPDGGMATDDWLSSYFDRNRFDILHDVLLLENQLPWLVVETIMRFIPDIKAHLRGFVSKLQGCLQDRQDLQIRYDVSDAVLSGKLKPPHLLGLFQIYIVGSILDDKDDEEETTEDLKSFSVSANELAEMGITLAPNKTAELSRTDITRSLFRGKLEMAPLSLNHLCASILVNMAALELCLPHHFTVGQLEKYAVCSYLRLLAMLMDRDEDVHELRAKNLLQGGGLTNKEVLNFFSTLQCLRPGSLYYRTLQKIETYSENRRVRVKVHAFLYKNLKTMLVVFSVITGLCGIMGMFISALKKTS* >Brasy3G204000.1.p pacid=40042769 transcript=Brasy3G204000.1 locus=Brasy3G204000 ID=Brasy3G204000.1.v1.1 annot-version=v1.1 MLPWATAAEAEAALGRAMTPAEALWFRCTAAAPDYYLYCLNILFLLVVFTLAPLPVALLELRAPRAVEPYKLQPRVRLSRAEFLRCYGDVMRIFFLVIGPLQLVSYPAVKMVGIHTGLPLPSLGEMAAQLTVYFLVEDYLNYWIHRLLHGEWGYEKIHRIHHEYTAPIGFAAPYAHWAEVLILGIPSFAGPAIAPGHMITFWLWIILRQMEAIDTHSGFDFPFSLTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDKGYRFHKAYLAKLKDLAPSYSEKEGANGFSYAKLD* >Brasy3G274400.1.p pacid=40042770 transcript=Brasy3G274400.1 locus=Brasy3G274400 ID=Brasy3G274400.1.v1.1 annot-version=v1.1 MWTPSRGSGSGRRSGLRRIVDYLADDHTEASDNESFITAHSDEFLGAPAVPGVGAGSMLPAFLADQSELVEVMLELDEESMVVRSVTPTAGALYGPTALGTSTPGSGRSLSLSRSSSTSSRIRKKFAWLRSPSPAPEEQLQREAAMAARERRRVQAARLNRSRSGAKRALKGLRFISRTTGGSAEATALWATVEARFDALASEDGLLARDDFGECIGMVDSKEFAGGIFDALARRRRQNLERVTKEELHDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIALSASANKLAKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMSYSRPLSSGSGSAAQWSQNIPIGSGTQQQPALQPPPPAGGSRSSSISHGRLWTVLRRAASRARVAAEEKWRRAWVVALWGAAMAALFAWKFAEYRRSVAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRITLTWLRSSWARFFVPFDDSITFHKMIAAAIAMGICLHAGNHLACDFPRLIASSPGEYRLVLAAFFGEEKPSYRRLLSGVVGVTGLVMVLLMAVSFTLAASPLRTSSSTRGRRPLPFPLRHLSGFNAFWYSHHLLVVVYLLLLVHGWFMFLVPNWYQRTTWMYIAVPFVLHVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFSPSVPRRSSFGELGVAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSTNTFSVSTTSSNKKRAYRTSRAHFYWVTREPGSFEWFKGVMDEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEEFTRIASKHPSSTVGVFYCGKPTLAKELKKLSLDMSHRTTTRFHFHKEYF* >Brasy3G089300.1.p pacid=40042771 transcript=Brasy3G089300.1 locus=Brasy3G089300 ID=Brasy3G089300.1.v1.1 annot-version=v1.1 MATEMTMQSGSKKTASRCTTEKAEGTHVFEIVGYSLMKGMGVGKFVRSATFTVGGFDWGILFYPDGRSGTGDCVAADLEFRSSKGMEARACFDMRLVNQDTWLAHSLESILPKAIPTLYNDFSQYCRENARVIEKSHLEEEGSGYIKDDSLTIECVLTVMKQSLVAKITGDSEIQVPPSNLSSHFGKLLLEEKGADLTLSVGGESFAAHKIILAARSPVFEAELYGQMKEREDQCIIVEDMQPGVFKALLHFIYTDSLPCVDDLGDDDYSDMIRHLLVAADRYAMDRMKLMCQNILSENLALETVATTLALADQYNCDRLKDVCIKFIASTDEMDALMATQGYMDLKRTCPFVFADVFEKSKRLRKA* >Brasy3G343400.1.p pacid=40042772 transcript=Brasy3G343400.1 locus=Brasy3G343400 ID=Brasy3G343400.1.v1.1 annot-version=v1.1 MADYNNRYGQAYYAPPSSSSSSPYGSYGNGAGPYQPHPPSAYPPSTGGFGFGSGFGGPAAVVFPAGTHPEVERAFRAADRDGSGGVDERELQAALSDAHHRFSLRTVRLLIFLFSDHRLRPPSSPPNRMGPAEFVSLWNCLGQWRGIFDRYDGDRSGKIDSDELREALRGLGYAVPPSVIELLIANYTNGVSRRGALDFDNFVECGMVVKGLTEKFKEKDSRYTGSAALTYDSFLSMVIPFIVP* >Brasy3G343400.2.p pacid=40042773 transcript=Brasy3G343400.2 locus=Brasy3G343400 ID=Brasy3G343400.2.v1.1 annot-version=v1.1 MADYNNRYGQAYYAPPSSSSSSPYGSYGNGAGPYQPHPPSAYPPSTGGFGFGSGFGGPAAVVFPAGTHPEVERAFRAADRDGSGGVDERELQAALSDAHHRFSLRTVRLLIFLFSDHRLRPPSSPPNRMGPAEFVSLWNCLGQWRGIFDRYDGDRSGKIDSDELREALRGLGYAVPPSVIELLIANYTNGVSRRGALDFDNFVEYIRIWANLRQVIPVGRSRRLSPNFSILLTND* >Brasy3G075800.1.p pacid=40042774 transcript=Brasy3G075800.1 locus=Brasy3G075800 ID=Brasy3G075800.1.v1.1 annot-version=v1.1 MNSRRSRSVKLLSARSKPLDVGVGEEDPRMSSSADNTVYCCIAKGTKVIYCYSSAKDGGGDPQTEATAALCLENAPPYHRHYVHTFGTRSYGYLMADGHTFFAIIDPSVGSVGALQFLDRVRDEFVRNNSRNGLHDALVPAVQRLVASLEKMPRVAIVLEDGNRRGGGSNESSSCTSSKVPLLGKGGGRKDKKKGKDKGASMGDDDEDEHHGTRGVRIDVPPEDVVGMSLERSTSQSRLRRQQSSRSLWMRHVKIIIVVDAVICVILFAAWLAVCKGFQCVSG* >Brasy3G075800.2.p pacid=40042775 transcript=Brasy3G075800.2 locus=Brasy3G075800 ID=Brasy3G075800.2.v1.1 annot-version=v1.1 MSSSADNTVYCCIAKGTKVIYCYSSAKDGGGDPQTEATAALCLENAPPYHRHYVHTFGTRSYGYLMADGHTFFAIIDPSVGSVGALQFLDRVRDEFVRNNSRNGLHDALVPAVQRLVASLEKMPRVAIVLEDGNRRGGGSNESSSCTSSKVPLLGKGGGRKDKKKGKDKGASMGDDDEDEHHGTRGVRIDVPPEDVVGMSLERSTSQSRLRRQQSSRSLWMRHVKIIIVVDAVICVILFAAWLAVCKGFQCVSG* >Brasy3G331400.1.p pacid=40042776 transcript=Brasy3G331400.1 locus=Brasy3G331400 ID=Brasy3G331400.1.v1.1 annot-version=v1.1 MAETLLLPMVRAVAGKAADVLVQTITRMCGLDGDRRKLERQLLAVQCKLADAEAKSESNPYIKRWMKDFRTVAYEADDVLDDVQYEALRREAQIGDSKKKKVISHFNNHNPVLFRLTMSRKLRSVLGKINELVEEMNTFGLLENTVEAPLYPSRQTHAALDVDAEIFGRDDDKEVVVNLLLDQQDERKVQVLPIFGMGGLGKTTLAKMVYNDSRVKQHFDLKMWHCVSENFEAAALMKSIIDQLATSDRGNLPGTIELLRQILQEVIGKQRFLLVLDDVWNEEKRKWDDELKPLLCSVGGPGSVIVVTCQSKQVASIMGTIKPHELACLGEDDSWELFSRKAFGKGVQELAEFVTIGKCIVKKCKGLPLALKTMGGLMSSKQQVQEWEAIKESNIGDNDVGKNEILPILKLSYGHLSSELKQCFAFCAIFPKDYEMEKEVLIQLWMANGFIQEDRTMDLAHKGEFIFQELVWRSFLQDVKLKERQYCFNEVHDFISCKMHDLMHDLAKDVTDECATTEELIEPKSSVEDIRHMQISHRGVKEISGLLKGTRYLHTCLSPENLTDLNLVSLRALQCYSHFIKNSQVVNAKHLRYLDFSGSVIVRLPESICILYNLQLLRLNNCYGLKYLPDGMGAMRKLIHLYLYGCVSLERMPPEVGLLSNLHTLTKFIVGTEAGHGIEELKDLRHLGNRLELHNLRKIKSGQNATEANLYQKQNLSELFMYWGPGTHDMPEDEVVNEELVLESLAPHSELKILGVHGYGGVEIPQWMRDPHMFQCLRKLTVSNWLQCNDLPVIYMSVSLEYLHVENMRRLTTLCKSAPVETGGYNTCLQYFPKLKEVVLRELPNLETWTDSNPWQPNNLPKLPVLEKLSISFCGKLASIPAAPILNNLTINGRRTTLSELGWDGTG* >Brasy3G082900.1.p pacid=40042777 transcript=Brasy3G082900.1 locus=Brasy3G082900 ID=Brasy3G082900.1.v1.1 annot-version=v1.1 MGVKNLWDILDSCKQKLPLNHLQNKKVCVDLSCWLVQFCSANRSPAFVRDKVYLKNLFHRIRALLALNCSLVFVTDGAIPSVKLATYRRRLGSNSEATRDETNSQPLTSLRRNKGSEFSRMIKEAKNLGLALGIPCLDGVEEAEAQCALLNLTSLCEGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKKLGFGRKSLISLALLLGCDYSNGVRGFGPEAACRLVKSVGDDTILDQILSDGVKATRKCKAKKVGINKKKVGDVSTEASSSEAMMSQDSGDQFREAINAFLEPKCHSPDSENVRRVCCQHPFLRSQLQQICEKCFEWTPEKTDEYILPKIAERELRRFSNLRSTSSALGIKPSLSEIPVPCPVLAIIKQRKVQGSEYYEVSWRNMHGLQSSAVSGDLIRSACPEKITEFFEKKDEEKKQKRKARPKKSAQAAVKDVDARLQELMLGIESESAPFPLASNRPDTADVPGMVPGMDVVDLSSPSPPLRACKSQKFIGSSTASMKGFDLQCQSLLTDTMESQSSTQSSDAQNLESQSSTQSSDVLNFTPDDDLIDLCSPLPPGADRHCSLQDLPPYNKTGRRALTDLSNFPERSSLLGASDDSPETGISSGGVLVEASPAIGHVQIDSVAEPEAVTIDLSSPSPVIDRRRRVNAKCDIVSEADSNVMCPDDDDHEKKARELRLFLDSIRNEL* >Brasy3G092600.1.p pacid=40042778 transcript=Brasy3G092600.1 locus=Brasy3G092600 ID=Brasy3G092600.1.v1.1 annot-version=v1.1 MGARMEDGALRNRLPGLSISTSRPRAGVDDSRAQDQEPPEPVSPTARLVNDVYIVASIGLGAPLNLPVFRHGIETQLARYPRFRSIQVKSKDGTPQWVRTEVNVDSHIIIPTLDPAAVAADPDKAVEDYVASLSTLPMDHSRPAWEFHVLDVPTSEAAWTAALRVHHAFGDGVSLITLLIASARSAADPTRLPAMLPPTRKGAVYARPPRAPGGLAFVVWVRWYLLLAWHTVLDLWFFVATIVFLRDPPTLFTRACNSEITGRRRRFVHRSLSLDDVKFLKNAINCTVNDVLVGVTSAALSRYYFRNSGDTRTSNLYVRSILVVNLRPTHSLQTYVNMIESGNSNDVKWGNRFGYIILPFHIAMHNDPLKYVSKAKKTVERKKRSLEVIFTNMVTEFTLKIFGAKAGAFIFNRMFKHISIGFSNVSGPTEEVVLCGHPVTFVAPSVYGPPQALFVHYQSYSSIMKVILAVDEALFPDYHQLLDDFSESLQLIKDAASSLPTTSIKND* >Brasy3G092600.2.p pacid=40042779 transcript=Brasy3G092600.2 locus=Brasy3G092600 ID=Brasy3G092600.2.v1.1 annot-version=v1.1 MGARMEDGALRNRLPGLSISTSRPRAGVDDSRAQDQEPPEPVSPTARLVNDVYIVASIGLGAPLNLPVFRHGIETQLARYPRFRSIQVKSKDGTPQWVRTEVNVDSHIIIPTLDPAAVAADPDKAVEDYVASLSTLPMDHSRPAWEFHVLDVPTSEAAWTAALRVHHAFGDGVSLITLLIASARSAADPTRLPAMLPPTRKGAVYARPPRAPGGLAFVVWVRWYLLLAWHTVLDLWFFVATIVFLRDPPTLFTRACNSEITGRRRRFVHRSLSLDDVKFLKNAINCTVNDVLVGVTSAALSRYYFRNSGDTRTSNLYVRSILVVNLRPTHSLQALFVHYQSYSSIMKVILAVDEALFPDYHQLLDDFSESLQLIKDAASSLPTTSIKND* >Brasy3G265700.1.p pacid=40042780 transcript=Brasy3G265700.1 locus=Brasy3G265700 ID=Brasy3G265700.1.v1.1 annot-version=v1.1 MEHKHRPFWDFFGRTSGRKIETQQAADQDISAAKVDNKDKESNDILRFEQLSRELIELEKRVQMMLKKRYAC* >Brasy3G237300.1.p pacid=40042781 transcript=Brasy3G237300.1 locus=Brasy3G237300 ID=Brasy3G237300.1.v1.1 annot-version=v1.1 MAAAFKAFLNSPVGPKTTHFWGPVSNWGFILAGMADMNKPPEMISGRMTAVMCVYSGLFMRFSWVVVPRNIFLMATHASNESVQLYQLSRYARAQGYLQKKEPEAQQ* >Brasy3G307100.1.p pacid=40042782 transcript=Brasy3G307100.1 locus=Brasy3G307100 ID=Brasy3G307100.1.v1.1 annot-version=v1.1 MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSIQKVVVTGYANRNKILKALRRVGLRAELWSPRNELLLTTYAAGSFAFNNYAFF* >Brasy3G088200.1.p pacid=40042783 transcript=Brasy3G088200.1 locus=Brasy3G088200 ID=Brasy3G088200.1.v1.1 annot-version=v1.1 MKWHQLSPSDANDMKGVPLPRARASPRRRIWIPVAVSFIAITVLWAYLYPPQDYTYPVRDWFPSEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHISPVFVDREIHSDKVGWGMISMVDAERRLLAKALEDIDNQQFVLLSDSCVPLHNFDYVYDFLMGSKHSFLDCFDDPGPHGVFRYSKNMLPEVRETEFRKGSQWFSIKRQHAMVVIADSLYYSKFRRFCKPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSIDVSSHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPEALNNLMNLFSNYTIF* >Brasy3G088200.2.p pacid=40042784 transcript=Brasy3G088200.2 locus=Brasy3G088200 ID=Brasy3G088200.2.v1.1 annot-version=v1.1 MKWHQLSPSDANDMKGVPLPRARASPRRRIWIPVAVSFIAITVLWAYLYPPQDYTYPVRDWFPSEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHISPVFVDREIHSDKVGWGMISMVDAERRLLAKALEDIDNQQFVLLSDSCVPLHNFDYVYDFLMGSKHSFLDCFDDPGPHGVFRYSKNMLPEVRETEFRKGSQWFSIKRQHAMVVIADSLYYSKFRRFCKPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSIDVSSHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPEALNNLMNLFSNYTIF* >Brasy3G084600.1.p pacid=40042785 transcript=Brasy3G084600.1 locus=Brasy3G084600 ID=Brasy3G084600.1.v1.1 annot-version=v1.1 MAAPEILEVRCAGCGETLEVERGLTEFACPGCATPQALPPELMPPPRTAAPPRPRRGSSRTGTAWVPDAVRRLHLRAGRALGPPASRLPGVRHRPRRRRRQRPAGHSPGCYPGRRPGHFAGSRCPHVPSSAGGA* >Brasy3G077400.1.p pacid=40042786 transcript=Brasy3G077400.1 locus=Brasy3G077400 ID=Brasy3G077400.1.v1.1 annot-version=v1.1 MEMEREREKMERGKSELRMAMDELCLLSSGDGEQDQQEQEQQQQQQQIRSSTMDLICVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDLHAREPSKYASLTAIVTGEVEEGTSKKTSSCTRAIIWLARSMNFSIHLLERLMKNPEVSLKEIVEEAYKSTLKPFHGWISSAAYRVALGLIPDREIFMQLLMGDCQDLEDFAGDVMILVSIVHPLLEEINAILVKHQLESLKST* >Brasy3G132000.1.p pacid=40042787 transcript=Brasy3G132000.1 locus=Brasy3G132000 ID=Brasy3G132000.1.v1.1 annot-version=v1.1 MEEVKGNNGKGVKRSRAKSTGGTTAVERKEIEKERRQHMKGLCLKLASLIPKENYSSTDTMTQLGSLDEAASYIKKLKDRVDELQQKKSSAQAIASLRGAGQSSKMGVLSELEVEKAGEGLSASLPVVQVRHHDDSSMDVVLLCSAKRPIKFHEVITILEEEGAEVVNANYSISGDKIFYTIHCRVCTHICIQIGIYKLSLNVNAWS* >Brasy3G132000.2.p pacid=40042788 transcript=Brasy3G132000.2 locus=Brasy3G132000 ID=Brasy3G132000.2.v1.1 annot-version=v1.1 MEEVKGNNGKGVKRSRAKSTGGTTAVERKEIEKERRQHMKGLCLKLASLIPKENYSSTDTMTQLGSLDEAASYIKKLKDRVDELQQKKSSAQAIASLRGAGQSSKMGVLSELEVEKAGEGLSASLPVVQVRHHDDSSMDVVLLCSAKRPIKFHEVITILEEEGAEVVNANYSISGDKIFYTIHCRAFSSRIGIEVSRVFERLRAFV* >Brasy3G087100.1.p pacid=40042789 transcript=Brasy3G087100.1 locus=Brasy3G087100 ID=Brasy3G087100.1.v1.1 annot-version=v1.1 MMIGLLAFENNQGLWNGGYYSQFFGIGGVMVTVAILWLSTGYFGIGAPFAPYFWPYLGQLPKKEQRRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNALFNKYDIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILLTFKHKAVNENAGAEPCGQMKSQLSNFLPTSRRIVQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSAKQLGDFLLVGVHDDQAIRERRGCGPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVAEGSSAGEVDPYALPKSMGIFQTVTSPKTTTSVSVATRIIDNHEAYKKRNLKKKASEDMYYTQKKFVSGD* >Brasy3G087100.3.p pacid=40042790 transcript=Brasy3G087100.3 locus=Brasy3G087100 ID=Brasy3G087100.3.v1.1 annot-version=v1.1 MMIGLLAFENNQGLWNGGYYSQFFGIGGVMVTVAILWLSTGYFGIGAPFAPYFWPYLGQLPKKEQRRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNALFNKYDIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILLTFKHKAVNENAGAEPCGQMKSQLSNFLPTSRRIVQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSAKQLGDFLLVGVHDDQAIRERRGCGPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVAEGSSAGEVDPYALPKSMGIFQTVTSPKTTTSVSVATRIIDNHEAYKKRNLKKKASEDMYYTQKKFVSGD* >Brasy3G087100.2.p pacid=40042791 transcript=Brasy3G087100.2 locus=Brasy3G087100 ID=Brasy3G087100.2.v1.1 annot-version=v1.1 MMIGLLAFENNQGLWNGGYYSQFFGIGGVMVTVAILWLSTGYFGIGAPFAPYFWPYLGQLPKKEQRRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNALFNKYDIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILLTFKHKAVNENAGAEPCGQMKSQLSNFLPTSRRIVQFSNGQILRSAKQLGDFLLVGVHDDQAIRERRGCGPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVAEGSSAGEVDPYALPKSMGIFQTVTSPKTTTSVSVATRIIDNHEAYKKRNLKKKASEDMYYTQKKFVSGD* >Brasy3G327500.1.p pacid=40042792 transcript=Brasy3G327500.1 locus=Brasy3G327500 ID=Brasy3G327500.1.v1.1 annot-version=v1.1 MPRRIVDGLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYASIDTMEFCSENLLACGSDCDYGGSAVVQLWDIESPESYLSFSASDSYITSLEINPAGNTIITGSGDGTIASFDIRACSAIDHLSVGSGSEVTSVSFSKCGTYFSASRQHIQQHFSLGYKTGANKSQQGYFTKQRHAIYIA* >Brasy3G242300.1.p pacid=40042793 transcript=Brasy3G242300.1 locus=Brasy3G242300 ID=Brasy3G242300.1.v1.1 annot-version=v1.1 MAKTKQGKKDVDSYTIRGTTKVVRVGDTVLMRASDSDTMPYVARIEKMETDGRGSVRVRVRWYYRPEEAKGGRRQFHGAKELFLSDHLDTQSAHTIEETCVVHSFKEYTKLNNVGPEDFFCRFDYNAASGAFHPDRVAVYCKCEMPYNPDDLMVQCEACKDWFHPSCLAMTIEQAKKLAHFMCSDCDEENDGNRPSNGHAPNCGPEYLFYLVHCRPNQKGRGGKQL* >Brasy3G242300.2.p pacid=40042794 transcript=Brasy3G242300.2 locus=Brasy3G242300 ID=Brasy3G242300.2.v1.1 annot-version=v1.1 MAKTKQGKKDVDSYTIRGTTKVVRVGDTVLMRASDSDTMPYVARIEKMETDGRGSVRVRVRWYYRPEEAKGGRRQFHGAKELFLSDHLDTQSAHTIEETCVVHSFKEYTKLNNVGPEDFFCRFDYNAASGAFHPDRVAVYCKCEMPYNPDDLMVQCEACKDWFHPSCLAMTIEQAKKLAHFMCSDCDEENDGNRPSNGHAPNCGPEAESKRQRR* >Brasy3G209300.1.p pacid=40042795 transcript=Brasy3G209300.1 locus=Brasy3G209300 ID=Brasy3G209300.1.v1.1 annot-version=v1.1 MAEARTAPSADGKACSGAACLREFEAWGFEFACLTGEAHKIGSTFATLAALALTVSLVLLSFAVPSEAAACSKSSPGTVPAAPSGGGGGKCPVNALKLGVCADVLGGLVSLLVGDSPAAASSGSGKKKPCCELLAGLADMDAAVCLCTAVKARVLGVVDLDLPVQLRLLVNRCGKKIPDGFQCSS* >Brasy3G266400.1.p pacid=40042796 transcript=Brasy3G266400.1 locus=Brasy3G266400 ID=Brasy3G266400.1.v1.1 annot-version=v1.1 MGFGKVGSEVARRAKGLGMHVIAHDPYAPADKARAIGAELVSFEEAVAKADFISLHMPLTPATSKVFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVLSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTSARDPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRIAEERVSHDNAATAESPLESIQVRLSNVQSKFAGAISTGGDIVLTGRVKYGIPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNVSFMSVGRTFRGKQAIMAIGVDEEPDKETLEKIGKIPAIEEFVFLEL* >Brasy3G202800.1.p pacid=40042797 transcript=Brasy3G202800.1 locus=Brasy3G202800 ID=Brasy3G202800.1.v1.1 annot-version=v1.1 MEPATMAWTLAAAGFALVYWFVWVMGAAEVQGKRAVDLQMGSIADDKVGDRYSQYWSFFRSPKETAAAATADKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLEARPGKRLLDVGCGVGGPMRAIAAHSGADVVGITINEYQVNRARAHNRTAGLDAPRCEVVCGNFMAMPFPDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYASYEWVTTPLYRADDPSHVEAIHGIERGDALPGLRRQDEIAAIAREVGFDVLQELDLALPPALPWWTRLKMGRLSYWRNSLVIRALTLLRVAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPESTSGAAAAAGAETK* >Brasy3G029300.1.p pacid=40042798 transcript=Brasy3G029300.1 locus=Brasy3G029300 ID=Brasy3G029300.1.v1.1 annot-version=v1.1 VSFVFHNGQALAPAPSKKSWIPAGFGGSINHGATIDIPLYDPRKREKELLSWEEDLKRRERDIIQRENTMNRAGVTVEVKNWPPFFPIIHHDIANEIPIHAQKLQYSAFASWLGIVVCLSWNVFAVLVESIHAEDIVLFLLAVIYAIFGCPLSYILWYRPLYQAMRTDSVVTFGQFFIFYSVHVGFCVIAAIAPPIIFMGKTLTGILVAIDVLSGDMFVGVLYLIGFVLFTAESLMSIWVLEGVYMYFRGHR* >Brasy3G217900.1.p pacid=40042799 transcript=Brasy3G217900.1 locus=Brasy3G217900 ID=Brasy3G217900.1.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPRNQYLQDLVSQFQDSTDEESKEKIVANLVNFAYDPYNYAFMRQLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G217900.4.p pacid=40042800 transcript=Brasy3G217900.4 locus=Brasy3G217900 ID=Brasy3G217900.4.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPRNQYLQDLVSQFQDSTDEESKEKIVANLVNFAYDPYNYAFMRQLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G217900.7.p pacid=40042801 transcript=Brasy3G217900.7 locus=Brasy3G217900 ID=Brasy3G217900.7.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPRNQYLQDLVSQFQDSTDEESKEKIVANLLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G217900.2.p pacid=40042802 transcript=Brasy3G217900.2 locus=Brasy3G217900 ID=Brasy3G217900.2.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPRNQYLQDLVSQFQDSTDEESKEKIVANLLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G217900.6.p pacid=40042803 transcript=Brasy3G217900.6 locus=Brasy3G217900 ID=Brasy3G217900.6.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPRNQYLQDLVSQFQDSTDEESKEKIVANLVNFAYDPYNYAFMRQLNIIELFLDCITERNERLIEFGIGGVCNSCVGYAISSGACRSSKCFSHYSMWWNPIGCTMLI* >Brasy3G217900.3.p pacid=40042804 transcript=Brasy3G217900.3 locus=Brasy3G217900 ID=Brasy3G217900.3.v1.1 annot-version=v1.1 MKLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G217900.5.p pacid=40042805 transcript=Brasy3G217900.5 locus=Brasy3G217900 ID=Brasy3G217900.5.v1.1 annot-version=v1.1 MKLNIIELFLDCITERNERLIEFGIGGVCNSCVDPANASVITQCGGIPLVVQCLSSPVRNTVNYALGALYYLCNPSTKKEILRPDVLRVIRDYSTAGAVNSSFNNLANAFLDKHINS* >Brasy3G169000.1.p pacid=40042806 transcript=Brasy3G169000.1 locus=Brasy3G169000 ID=Brasy3G169000.1.v1.1 annot-version=v1.1 MAAANLLSRSLLTSLNPNSATRPSRSSPALVSLRRPHGRSNPLCASLSTASPSLRPEAAASGEPPKRCFRRGDDGHLYCEGVRVEDAIAAAERTPFYLYSKPQVVRNFTAYQEALEGLRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYPNDIKLVGVHCHLGSTITKVDIFRDAAVLMVNFVDEIRAQGFELEYLNIGGGLGIDYEHKDAILPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKTNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSSLSPDAELATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEDDGSIGKIRHAETFDDYMKFFDGLSS* >Brasy3G090000.1.p pacid=40042807 transcript=Brasy3G090000.1 locus=Brasy3G090000 ID=Brasy3G090000.1.v1.1 annot-version=v1.1 MAMTPDTDSTNKLAKPSTGDDVEIPSKDYLNPPPTPLFDAGELGKWSLYRAAIAEFTATLLFVYVTVATVIGHKRQQQPDTAGGAGVGLLGIAWAFGGSIAVLVYCTAGISGGHINPAVTFGLLLARKVSFPRAGLYMLAQCLGAICGAGLVRTVNGGDAYMKHGGGANEVAEGYSNAAGFVAEVVGTFVLVYTVFSATDPKRMARDSHISVLAPLLIGFSVFVVHLATIPVTGTSINPARSFGPAVVYNREKAWADLWIFWVGPFSGAAVAMVYHQFVLRNTGSVFFRRSDYAAEV* >Brasy3G005000.1.p pacid=40042808 transcript=Brasy3G005000.1 locus=Brasy3G005000 ID=Brasy3G005000.1.v1.1 annot-version=v1.1 MLSRFMKGLISLGLMWMKPLVHLKVQQETLDDDGGGSTMLHYSQVRRDTQVGVGEDEEEEANQEDGLEDYSTSEDDQVQDQDATEGEAGGGGNGAFEVDNDLGLSH* >Brasy3G005000.2.p pacid=40042809 transcript=Brasy3G005000.2 locus=Brasy3G005000 ID=Brasy3G005000.2.v1.1 annot-version=v1.1 MLSRFMKGLISLGLMWMKPLVHLKVQQETLDDDGGGSTMLHYSQVRRDTQVGVGEDEEEEANQEDGLEDYSTSEDDQVQDQDATEGEAGGGGNGAFEVDNDLGLSH* >Brasy3G176000.1.p pacid=40042810 transcript=Brasy3G176000.1 locus=Brasy3G176000 ID=Brasy3G176000.1.v1.1 annot-version=v1.1 MAGRLIANLIVMGSGIIGRAMLQAYRKALENANKTGVAHEAINNIRRASKTMTEQEARQILGVTEQSTWEEIAQRYDKLFERNAASGSFYLQSKVHRAKECLENVYQKNKPDGNPT* >Brasy3G298200.1.p pacid=40042811 transcript=Brasy3G298200.1 locus=Brasy3G298200 ID=Brasy3G298200.1.v1.1 annot-version=v1.1 MSSQHDRRLAGVYPEEADLAGDSDYEDDEYTQSISKESDDTSAMDAKKGKDIQGIPWESLSFTRDVYRQTRLEQYVNFENIPDSGRTWEKVCTPVKKGQLYYEFQHNTRSVKPSILHFQLRNLVWATTRHDVYLMSYFSVLHWSTLTSEKHELIDLQGRVAPSEKHHGNFYEGFGQTQVSTMAVKDNLLVTGGFKGELICKFLDREGISYCCKSTNDENGITNALEIFEKPSGSLHFLASNNDCGLRDFDVEKFQMCNHFRFDWAVNHTSLSPDGKLVTVVGDNPDGLLIDPNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDARNLSKSVAVLGGNMGAIRTLRYTSDGKFLAMAEPADFIHVFDVQSGYSRKQELDFFGEISGMSFSPDTEVLFVGLHDRNYSSLLQYSRRRFYSYLDSAL* >Brasy3G298200.2.p pacid=40042812 transcript=Brasy3G298200.2 locus=Brasy3G298200 ID=Brasy3G298200.2.v1.1 annot-version=v1.1 MSSQHDRRLAGVYPEEADLAGDSDYEDDEYTQSISKESDDTSAMDAKKGKDIQGIPWESLSFTRDVYRQTRLEQYVNFENIPDSGRTWEKVCTPVKKGQLYYEFQHNTRSVKPSILHFQLRNLVWATTRHDVYLMSYFSVLHWSTLTSEKHELIDLQGRVAPSEKHHGNFYEGFGQTQVSTMAVKDNLLVTGGFKGELICKFLDREGISYCCKSTNDENGITNALEIFEKPSGSLHFLASNNDCGLRDFDVEKFQMCNHFRFDWAVNHTSLSPDGKLVTVVGDNPDGLLIDPNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDARNLSKSVAVLGGNMGAIRTLRYTSDGKFLAMAEPADFIHVFDVQSGYSRKQELDFFGEISGMSFSPDTEVLFVGLHDRNYSSLLQYSRRRFYSYLDSAL* >Brasy3G242200.1.p pacid=40042813 transcript=Brasy3G242200.1 locus=Brasy3G242200 ID=Brasy3G242200.1.v1.1 annot-version=v1.1 MGAPRARRWKLLPFHSKTKSPPPLPVPTALSPSPLGKDQEEDVPREFLCPILGAPMADPVILPSGRTYERACVQACAELSVCPPGEDAPGAGGVGVAIPNDALRAAIRTWRARSGRAPPAAPSAAVAREAVVRAVPLPTPRPQPGRSSSNLSCSSRASAASTSSSSSSRSSSEITTLELEMSRAKEAAKEADQSLRARATAQELEVGRLAVKAVEDGDEWEVEAAMAALRQATRESASRRRALCVPRLLAALRRVLLSARHSPAARADAAAVLANLSLEPENRVSIVRAGAVPALIEAAGSGAAAPEACEHAAGALFGLALHEGNRAAIGVLGAVPPLLAVLVTRDNHCPRARRDAGMALYHLSLAAVNQSKLARAPSAGKSLLSVASDSTEPLPIRRLALMVTCNVAACPEGRTALMDAGAVAKFSAILSDDAHRSELQEWCVAALYDMSRGSPRFRGLARAAGADRPLILIAEQAQAGVHKDMARKALRAMLGLGDINGGGLHDFTNSERNDDDDSCTVASSVPARRRRAASWGAAPATRLPNPHHWRSVCID* >Brasy3G066300.1.p pacid=40042814 transcript=Brasy3G066300.1 locus=Brasy3G066300 ID=Brasy3G066300.1.v1.1 annot-version=v1.1 MELALVSAATGALKPVLGKLATLMGDEYKRFKRVRREIGFLTRELAAMEAFLLKMSMEEDPDDQAKLWMKEVRELSYDIEDKLDDFLVHVGDRSAKPNGFMEKIKNLMDKTKARRQISKAIEDLKKQVNEVSERRARYKADEAISNATNATIDPRALAIFEDVSNLVGIDGPKNELIQLQQQPKLISIVGYGGLGKTTLANRISQELRSQFDCHAFVSVSRNPDVVKILNTILHQVKNDYSSSMGDIPTLITEISNFLANKRYFIVVDDIWNVEIWDVIKLAFPRIGCGSRIITTTRLNVVAQSCSSLFNGHTYSMKPRMVHSRQLFHRRLFNSEECPSHLEEVSGQILKKCAGLPLAIIAKTKDQWRQVETSIGRALERNPCIAGMIKIISLSYFDLPHHLKTCLLSLSIFPEDTIIYMDLLIRMWIAEGFIPSKDGYTLYEAGEMCFNELVNRIHDVILDFVISKAIEENFVTIIGVLGVHYDPQNKVRRLSLQNNGKIPVGLVLSNARSLNVFGADVEIPSLLEFKHLRVLCFEGGGQLEDHHLADIGNLLHLECLSLSDADTVKVLGDVQFGRIKALQLVDSIVINNHPINFVRRIGDLTNLWKLSLHIFNYETATELACSIRKLLKANLRSLDICLDGQCAIEKKENFLKTLNLPAQCSLKELCLDGSPISTVPRWMGSLVNLHKLEVCLINVTQEDVEILGGLLELRYIEVYFRNPPGDHVKAAMESLVAAHPNRPELVWIDPSSL* >Brasy3G031100.1.p pacid=40042815 transcript=Brasy3G031100.1 locus=Brasy3G031100 ID=Brasy3G031100.1.v1.1 annot-version=v1.1 MMKHKRSRKTASGSKGSVDSGSSLVAVEPQIANKPPLPPPKQEVEGSRSDQDRGPTDGAVAGKEEEDGYISSSDLEPPPSANSPVVSQEDGDEEESPDNGNEVDMQPQRMKRSRSNDAPGPNPQPNKKKRDTISDQPEVPALDQEAEDEGPHISKEIRPASPHQPGERGVWFGKEEKVRRIWYNKDEVLILSEIHNFLLKHQRLPELKENDFFESILEQLEDDSCDLRNVKDKMKSLKRRYDAHVVSTTDHERLLDNLSEKIWGPRSSNIAVAGYKDEDKLSLVEAEKNFQEMYPLLAREVKLIADEDPSAKSLCIGLDAETALSIEKRLEKIKGAELKIQRRMEAKVIAPKAMVRKKLVRLGEKVSKKLRRR* >Brasy3G082100.1.p pacid=40042816 transcript=Brasy3G082100.1 locus=Brasy3G082100 ID=Brasy3G082100.1.v1.1 annot-version=v1.1 MSPSGEVVASVSSALAILLVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAGADLSRSALVAPLLDLPLSFLRDYLAEKKHLGGLLILLPTNLSAKNGEENNDEKGQPKSVLAKLEKLLVHEEVPYPVYFAFHDDNFDNLLADIRKIASSGQPASASTGGYKLVVPSAEPRKVSSPTISNIQGWLPGLKGEGDAEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEISRLFSRLYSNPKTRGKFNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDIWMHVSKPPENPYIKQIFEGFSDVSKEMGISVGIKQKKINVSNSRVAWEHEQFSRFRVTALTLSELSTPPEFLESTGGIYDTRESADVESVTKTVRLVSESLARHIYGLKGRNIDVFAENSSLAVNPHYIKSWLDLFSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQTDVLDGMFTFYDATKSTLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRGLDDLINIFRRPPSRKVKGA* >Brasy3G082100.2.p pacid=40042817 transcript=Brasy3G082100.2 locus=Brasy3G082100 ID=Brasy3G082100.2.v1.1 annot-version=v1.1 MSPSGEVVASVSSALAILLVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAGADLSRSALVAPLLDLPLSFLRDYLAEKKHLGGLLILLPTNLSAKNGEENNDEKGQPKSVLAKLEKLLVHEEVPYPVYFAFHDDNFDNLLADIRKIASSGQPASASTGGYKLVVPSAEPRKVSSPTISNIQGWLPGLKGEGDAEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEISRLFSRLYSNPKTRGKFNLLFGLTSGGPYNYNGTSKGFSDVSKEMGISVGIKQKKINVSNSRVAWEHEQFSRFRVTALTLSELSTPPEFLESTGGIYDTRESADVESVTKTVRLVSESLARHIYGLKGRNIDVFAENSSLAVNPHYIKSWLDLFSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQTDVLDGMFTFYDATKSTLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRGLDDLINIFRRPPSRKVKGA* >Brasy3G264800.1.p pacid=40042818 transcript=Brasy3G264800.1 locus=Brasy3G264800 ID=Brasy3G264800.1.v1.1 annot-version=v1.1 MASAITISSVSAQAALISKPRNHGITSYSGLKASSSSFSFETGLSFLGKNASLRAAVTPRIVPKAKSGAQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELRLYDIANVKGVAADLSHCNTPSQVLDFTGPGELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLIEAVADNCPEAFIHIISNPVNSTVPIAAEILKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTYVQSELTELPFFASRVKLGKNGVESIISSDLEGVTEYEAKALEALKPELKGSIEKGIEFVHKQQGATASV* >Brasy3G208800.1.p pacid=40042819 transcript=Brasy3G208800.1 locus=Brasy3G208800 ID=Brasy3G208800.1.v1.1 annot-version=v1.1 MAIASRVTKRALSTFAAAAKLPEAAVSAAAVAAEPVHPAPPPSSSAQQQLQHQVLEFEDTGRLFVGEPSAALVRTLAALQLMSAGPLVDVSLAALRSPAVAASPLVQAAARATAYKHFCAGETAEEAAARVQRLWHGGMGGILDYGIEDAEDGAACDRNTAGFLAAVDVAAALPPGSASVCIKITALCPIALLEKTSDLLRWQHKHPSTTKLPWKAHSFPILADSSPLYLTPSEPAPLTPEEERELQLAHERLLVVGERCAEHGIALLVDAEYATVQPAIDYFTFAGALAFNSSGCGGRPIVHGTVQAYLRDARDRLEAMAGAAERERVRLGVKVVRGAYLTRETRLASAMGVPSPIHGTIQDTHDCYNGCAAFLLDRVWRGTASLMLATHNVESGQLAAARAQELGIGKGDGNLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEHIIPYLIRRAEENRGLLSASSFDRHLLRKELVRRFKNAVMGRE* >Brasy3G069400.1.p pacid=40042820 transcript=Brasy3G069400.1 locus=Brasy3G069400 ID=Brasy3G069400.1.v1.1 annot-version=v1.1 MGGRRSHLLFCRQQHAALPLVKCPCCHSRTVVRLVSGSSLNPGRVFYKCPNHRRWPQGCNFFHWEDGEDNYVDYLASIGVNLGVMVAGGVDSGAVTEAEEEETAGGRTGGESKDSGNDDVLGELLLKMDEIISLCRMVLAVFVLSLAMMMYVVALK* >Brasy3G224400.1.p pacid=40042821 transcript=Brasy3G224400.1 locus=Brasy3G224400 ID=Brasy3G224400.1.v1.1 annot-version=v1.1 MDTESGEAPLLQVSSSNSQYKPFNWKAPAIILAFEFLESIAYSGIALNLVVYLGKVLHGTTASSAANVDTWNGTTFLTPVLGAFLADTYWGKYKTVAISIIFYLTGLLIITASAFIPSLRPASCEGTSCPPATGFQYFVFFASLYLVSVGTGGVKSALLPFGADQYDDSNIEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLIVATIAFLVGTPIYRVQLPTGSPLKSLIVVFVASFKKRKVEVPADTTILFERDDADLSNPGPNKLAHTDGFRCLDKAAVVLGDQEIKDSNSGRPWLLCTVTQVEEVKILLRMLPIWATSVFYAASMCQTATTFVQQGNAMNTKIGSFSVPAASLNSAAVVFMMIWVVFQDSIVIPIARRYTGNAAGLTQLQRMGVGRFLAIPALAVAALLEMSRLRSVRAGHNLSIAWQLPQFVILSCSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLAMSLGYYLNSMIISAIAVLSKSKGGQGWLPADLNDGHLDYYFWLWTGISAVNFVVYTGFAKNYTVKKVAPR* >Brasy3G065100.1.p pacid=40042822 transcript=Brasy3G065100.1 locus=Brasy3G065100 ID=Brasy3G065100.1.v1.1 annot-version=v1.1 MGTQAEENYSSPAKDGRTEQEKKIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLVISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVICDGKCKDIKLTYFIMIFASVHFVLSQLPNLNSISGVSLAAAVMSLSYSTIAWGASVDKGRVANVDYSIRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIVVAICYFPVALIGYWAFGNGVDDNILITLSKPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKNLHFPPGLTLRLIARTLYVALTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWFSNWVCIILGLCLMILSPIGGLRQIIMDSKTYKFYS* >Brasy3G133300.1.p pacid=40042823 transcript=Brasy3G133300.1 locus=Brasy3G133300 ID=Brasy3G133300.1.v1.1 annot-version=v1.1 MARPLQLLLLLVIAAAGCRGSRAAKESTGRNSITHVKGFDGALPFYLETGYVEVDPTHGAELFYYFIESERSPGTDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTGEGFPRLLYFEDSWTKVSNVIFLDAPVGTGFSYAREEQGLNVSLTETGGQLRVFLEKWLERHPEFKSNPLYIGGDSYSGYTVPVTALDIADHPESGLNLKGYLVGNGATEDRYDTGGKVPFMHGMGLISDEMYAAAQGSCAGDFVTEPRNTQCANALQAINLATFAINPVHILEPMCGFALRSPADTVFPRRTAARLLVQDSMLGLPVECRDNGYRLSYTWADDPEVREALGIKEGTIGAWSRCTTLSHFRHDLSSSVPYHKELTRRGYRALVYNGDHDMDMTFVGTQQWIRALGYGVAAPWRPWYANRQVAGFATEYEHNLTFATVKGGGHTAPEYRPKECLEMIDRWTSPAGRL* >Brasy3G182600.1.p pacid=40042824 transcript=Brasy3G182600.1 locus=Brasy3G182600 ID=Brasy3G182600.1.v1.1 annot-version=v1.1 MAASNIGMMDGAYFVGRNEILAWINTTLQLGIAKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGSMSSYNASERRESSKGGKETNRRTSVTSQAPAKSAPATHRAQTSHGAKRANVHAGNAPQRNAKPAPSNSAGPVYDAQMTELKLLVDSAEKERDFYFSKLRDVEILCQSPEVEHLPIVKAIQKILYASEDDPSTVAEAHAEMLAQQNQQQQQPMLSPILEASEVPSSTSREASEEISRQEAAHKRKSISDLEEFEMGSSSRLRLSDVSDVQLCGSPLMSFT* >Brasy3G055400.1.p pacid=40042825 transcript=Brasy3G055400.1 locus=Brasy3G055400 ID=Brasy3G055400.1.v1.1 annot-version=v1.1 MAAADYPTIEPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLSSSLPPLSVPSFLTDSSTNPSTTETTIIHLQRRSLYSEMETSGTVPEQGSKRFTVDLVGPRVLYCADEAVDLLLRSGGSNHVEFKSLDGGTLIYWDGELCPVPESREAIFLLQDTALKCLDKKQFYLQKYYLNAFFKLVESHITATSVSCSDDMEASAGKKISEEDLNLPFIEFLKKQHLPRKMIAVMLYAIAMADYDQDAADSCEKLLTTRDGIKNVTLHFNSTGRFANAQGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTGFLMDKENQHCIGTRLASGQDILCQQLILDPSYKIPSLDLTSDASDSNPPRKVARGICIFRRSVKLGSSNVLVVFPPKSLQEQQVAALRVLQLGSNVAVCPPGMFIVYLSIPCADAFMGKLCIKKAIEVLVNSKASNGLEGHLETTSKDNEDVKQVLIWKCIYVQEITEGTSGTVLSCPMPDESLDYRNILESTKRLFADTYPNEEFLPRNSGPKYADYDSDSAE* >Brasy3G055400.2.p pacid=40042826 transcript=Brasy3G055400.2 locus=Brasy3G055400 ID=Brasy3G055400.2.v1.1 annot-version=v1.1 MAAADYPTIEPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLSSSLPPLSVPSFLTDSSTNPSTTETTIIHLQRRSLYSEMETSGTVPEQGSKRFTVDLVGPRVLYCADEAVDLLLRSGGSNHVEFKSLDGGTLIYWDGELCPVPESREAIFLLQDTALKCLDKKQFYLQKYYLNAFFKLVESHITATSVSCSDDMEASAGKKISEEDLNLPFIEFLKKQHLPRKMIAVMLYAIAMADYDQDAADSCEKLLTTRDGIKNVTLHFNSTGRFANAQGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTGFLMDKENQHCIGTRLASGQDILCQQLILDPSYKIPSLDLTSDASDSNPPRKVARGICIFRRSVKLGSSNVLVVFPPKSLQEQQVAALRVLQLGSNVAVCPPGMFIVYLSIPCADAFMGKLCIKKAIEVLVNSKASNGLEGHLETTSKDNEDVKQVLIWKCIYVQEITEGTSGTVLSCPMPDESLDYRNILESTKRLFADTYPNEEFLPRNSGPKYADYDSDSAE* >Brasy3G055400.3.p pacid=40042827 transcript=Brasy3G055400.3 locus=Brasy3G055400 ID=Brasy3G055400.3.v1.1 annot-version=v1.1 MAAADYPTIEPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLSSSLPPLSVPSFLTDSSTNPSTTETTIIHLQRRSLYSEMETSGTVPEQGSKRFTVDLVGPRVLYCADEAVDLLLRSGGSNHVEFKSLDGGTLIYWDGELCPVPESREAIFLLQDTALKCLDKKQFYLQKYYLNAFFKLVESHITATSVSCSDDMEASAGKKISEEDLNLPFIEFLKKQHLPRKMIAVMLYAIAMADYDQDAADSCEKLLTTRDGIKNVTLHFNSTGRFANAQGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTGFLMDKENQHCIGTRLASGQDILCQQLILDPSYKIPSLDLTSDASDSNPPRKVARGICIFRRSVKLGSSNVLVVFPPKSLQEQQVAALRVLQLGSNVAVCPPGMFIVYLSIPCADAFMGKLCIKKAIEVLVNSKASNGLEGHLETTSKDNEDVKQVLIWKCIYVQEITELFADTYPNEEFLPRNSGPKYADYDSDSAE* >Brasy3G055400.4.p pacid=40042828 transcript=Brasy3G055400.4 locus=Brasy3G055400 ID=Brasy3G055400.4.v1.1 annot-version=v1.1 MAAADYPTIEPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLSSSLPPLSVPSFLTDSSTNPSTTETTIIHLQRRSLYSEMETSGTVPEQGSKRFTVDLVGPRVLYCADEAVDLLLRSGGSNHVEFKSLDGGTLIYWDGELCPVPESREAIFLLQDTALKCLDKKQFYLQKYYLNAFFKLVESHITATSVSCSDDMEASAGKKISEEDLNLPFIEFLKKQHLPRKMIAVMLYAIAMADYDQDAADSCEKLLTTRDGIKNVTLHFNSTGRFANAQGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTGFLMDKENQHCIGTRLASGQDILCQQLILDPSYKIPSLDLTSDASDSNPPRKVARGICIFRRSVKLGSSNVLVVFPPKSLQEQQVAALRVLQLGSNVAVCPPGMFIVYLSIPCADAFMGKLCIKKAIEVLVNSKASNGLEGHLETTSKDNEDVKQVLIWKCIYVQEITELFADTYPNEEFLPRNSGPKYADYDSDSAE* >Brasy3G338400.1.p pacid=40042829 transcript=Brasy3G338400.1 locus=Brasy3G338400 ID=Brasy3G338400.1.v1.1 annot-version=v1.1 MERMRISGRIGTSVLARTGRIRLVRHLLAPTWAVPSIDGSYKIIRGDNRVELKLGWAQFLENNADIRVGDMVLITCHLEPEAIIFIEKIPRSGGPCVKCQVEGTLVVP* >Brasy3G034300.1.p pacid=40042830 transcript=Brasy3G034300.1 locus=Brasy3G034300 ID=Brasy3G034300.1.v1.1 annot-version=v1.1 MGGGDEGAVVASGSKILSSKGGGGWAKVTVEVYWDTTKNNMNVHNGEAKKRSIVANNLMVHKNMVSALEGDNLRLMQIVKDLEMEIKKLKQEKKMIERRHRAEIRGRDRKEVIIALVVAICALGYVLASLLTRGFV* >Brasy3G341300.1.p pacid=40042831 transcript=Brasy3G341300.1 locus=Brasy3G341300 ID=Brasy3G341300.1.v1.1 annot-version=v1.1 MTRDGVISGPTDVSPKQKGVAGRMPKLPRFLSPSPGRRRERDDRMSKRRAVVEHLGETLRSRFPFLARRGDRPASLSPLQNHHTNSSSSASFLLRQQLRGLSAPAMEVLRSIFSSCCSSSSSRRSNRLWSSGASNKNKAMVEQLQRYGVIKSTKVAEVMVTIDRGLFVPPGGSPYFDSPMSIGYNATISAPHMHAACLELMEDHLQPGMRVLDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVASSTENIKKSAAAPQLSDGSLSIHIADGREGWPELAPYDSIHVGAAAPQIPEALIEQLKPGGRMVIPVGTVFQELKVVDKKPDGSVSVRDETSVRYVPLTSKDAQLHSE* >Brasy3G341300.2.p pacid=40042832 transcript=Brasy3G341300.2 locus=Brasy3G341300 ID=Brasy3G341300.2.v1.1 annot-version=v1.1 MTRDGVISGPTDVSPKQKGVAGRMPKLPRFLSPSPGRRRERDDRMSKRRAVVEHLGETLRSRFPFLARRGDRPASLSPLQNHHTNSSSSASFLLRQQLRGLSAPAMENRLWSSGASNKNKAMVEQLQRYGVIKSTKVAEVMVTIDRGLFVPPGGSPYFDSPMSIGYNATISAPHMHAACLELMEDHLQPGMRVLDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVASSTENIKKSAAAPQLSDGSLSIHIADGREGWPELAPYDSIHVGAAAPQIPEALIEQLKPGGRMVIPVGTVFQELKVVDKKPDGSVSVRDETSVRYVPLTSKDAQLHSE* >Brasy3G195500.1.p pacid=40042833 transcript=Brasy3G195500.1 locus=Brasy3G195500 ID=Brasy3G195500.1.v1.1 annot-version=v1.1 MLQRLATIVAIFLAYVSLAFGDRRSNNQDAIKTILIDDGDVFKCIDVNQQPTLGHPLLKNHKIQMKPTSYPSGIHNGSLSVSTNSLAQLPTISCPRGTIPILQDIKGDVNVFIFHAMDDHKYGKGENAVIKTIDNIYGSRVSINVYEPKVKEKTEDLSASWVMILNTQNASNLEAIGAGSVVWPSFHGDNFARFHILWNTTTVYNGPQHQIDVLLFMDPKTKDWWLAVGRTPIGYWPSSIFSHMKDKAAEAFWGGQVGGPTVKSNFPEMGSGHFAREGFGKAAFVKNIKIVDVNNKYATPNAQKSFAGGSRLSCYPVDNFGQDEGGMHVYYGGPGKCNN* >Brasy3G043000.1.p pacid=40042834 transcript=Brasy3G043000.1 locus=Brasy3G043000 ID=Brasy3G043000.1.v1.1 annot-version=v1.1 MKARRRVGSEIQDLKKRIVQVGERNARYKTREACLKTVNSAVDPRALAIFEHASKLVGIDEPKNEIIKLLMEGDGRVPAQQQLTVVSVVGSGGMGKTTLANQVYQELSEEFDCRAFISVSWNPDMMNILRTVLSGVSNQGYASTEAGSIEQVIININKFLAGKRYFIVVDDVWNVETWDVIKCAFPMTTCGSRIITTTRMNNVAHSCCSSFSGHIYNIKPLNMVHSRQLFHGRLFSSDEDCPSHLKDVTDQILEKCDGLPLAIIAISGLLANRESTKDAWDRVKKSIGMMKILSLSYFDLPPHLKTCLLYLSIFPEDYIIKKDDLIKRWIAEGFIPKEGRQTIHELGEMCFNDLINRSLIQPGGTDKYNSVKICRVHDTILDFIISKSIEENFITIVGVPNLTIGTQTKVRRLSIQVGKQGNSVLPTGLVLSHARSLHLFGDPVEIPSLDEFRHLRFLDFRKCYQLGNHNLANIGKLFQLRYLNLKNTAVSDLPEQIGHLCCLEMLDLRKTSVRELPEAIVNLQKSMHLLVDTRVKFPDGIAKIQALEMLEEVNPFQQSFNFLIELGQLKNLRKLHLNFEYGPTVGDMSRFKECKKAIASSLRKLGTHNLHSLNIADDDSFLLKPWCPPLSLRSLMIHWSRVPQVPNWVGSLTNLQQLSLEGEGVGQEDLCILGALPALLILELIGTSKSRDRLRVSGEVGFRSLRMFFYIQCEGMELMFAAGSMPRLEKLRINVDADETEACTTDAFDFGMENLPSPITVECALRGRLRSAFEAAKAAMVGAASKNLNQPSVVFV* >Brasy3G046100.1.p pacid=40042835 transcript=Brasy3G046100.1 locus=Brasy3G046100 ID=Brasy3G046100.1.v1.1 annot-version=v1.1 MDKLSSEIKLRVDTFEKAVEDVFNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDFFLYVKQDLELLQKLANVEELDKAVLDETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYKGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNLSINVKKTADRGELAKAEAEKHIEYAGESSKSSQPKPVRSLLTYMQSSSCEE* >Brasy3G008000.1.p pacid=40042836 transcript=Brasy3G008000.1 locus=Brasy3G008000 ID=Brasy3G008000.1.v1.1 annot-version=v1.1 MNRLSSAVQWWDESQLRVLVLASLGVQYFLTFFAGGRKFSIPSWYRFSIWLSYLGSDALAIYALATLFNRQNKQPHYHSVAHGSRDLEVIWTPILLVHLGGKFFITAYNIEDNELWRRHIVTALSQVTVALYVFCKSWPSSADKMLLTAAILLFIPGILQCFAKPWALQNASFNSLASSDPVHKDANMNREEELENFVEEARIFIMDKDSAPVVDKKNLSIPQILFVDFTYTYSHRLTNLKSFCVLDDKEAYSSLKEGFVKQPRLLLTIVSSIAYPDNSLLACIVEILTIV* >Brasy3G280300.1.p pacid=40042837 transcript=Brasy3G280300.1 locus=Brasy3G280300 ID=Brasy3G280300.1.v1.1 annot-version=v1.1 MAGNNVVWQPQVVKEMLRYYKEKIQAEGRQLVFKETHHEECAKQINAKFSTNFTYRQVYHKFHKLKGQWKVILEAKNLSGANFDDVHKIILYDETEVVRMKNDKDKRAKYINVPISCFDEMEFIFQDKHATGEFSVLQTPFENTCADDNDFIGDKSATNGEADPDTHYDSDCLPEDSNNEGSSSKRATGGKRDKGKRVRRDDVVEDMTRSLRATNGDVAAMLKGRPMPSIQEYVRQWMQQNSSSI* >Brasy3G128100.1.p pacid=40042838 transcript=Brasy3G128100.1 locus=Brasy3G128100 ID=Brasy3G128100.1.v1.1 annot-version=v1.1 MASQSPFENDCREIHGMCDEPCRLSRLLAHRSSSERQQIKVTYRAMFGEDLVGRLQNTLLPDQDNELCNLLYLWMLDPAERDAIMARDAIESGVTGYRALVEIFTRRKQEQLFFTKQAYLGRFKKNLEQDMVTEPSHPYQRLLVALAASHKSHHDEPSWHIAKCDTRRLYDAKNGGTGSVDEATILEMFSKRSIPQVRLAFSSYKHIYGHDYTKALKKNVFGEFEESLSVVVKCIYSPSKYYCKLLQKSMQRPESNKRLVTRAILGSDDVGMDKIKLAFKSNLGDFIHESLPQSDYRDFLWMWQGGQ* >Brasy3G128100.3.p pacid=40042839 transcript=Brasy3G128100.3 locus=Brasy3G128100 ID=Brasy3G128100.3.v1.1 annot-version=v1.1 MASQSPFENDCREIHGMCDEPCRLSRLLAHRSSSERQQIKVTYRAMFGEDLVGRLQNTLLPDQDNELCNLLYLWMLDPAERDAIMARDAIESGVTGYRALVEIFTRRKQEQLFFTKQAYLGRFKKNLEQDMVTEPSHPYQRLLVALAASHKSHHDEPSWHIAKCDTRRLYDAKNGGTGSVDEATILEMFSKRSIPQVRLAFSSYKHIYGHDYTKALKKNVFGEFEESLSVVVKCIYSPSKYYCKLLQKSMQRPESNKRLVTRAILGSDDVGMDKIKLAFKSNLGDFIHESLPQSDYRDFLWMWQGGQ* >Brasy3G128100.2.p pacid=40042840 transcript=Brasy3G128100.2 locus=Brasy3G128100 ID=Brasy3G128100.2.v1.1 annot-version=v1.1 MASQSPFENDCREIHGMCDEPCRLSRLLAHRSSSERQQIKVTYRAMFGEDLVGRLQNTLLPDQDNELCNLLYLWMLDPAERDAIMARDAIESGVTGYRALVEIFTRRKQEQLFFTKQAYLGRFKKNLEQDMVTEPSHPYQRLLVALAASHKSHHDEPSWHIAKCDTRRLYDAKNGGTGSVDEATILEMFSKRSIPQVRLAFSSYKHIYGHDYTKALKKNVFVTAEKYATPRKQ* >Brasy3G184500.1.p pacid=40042841 transcript=Brasy3G184500.1 locus=Brasy3G184500 ID=Brasy3G184500.1.v1.1 annot-version=v1.1 MAGGDYLKLLGAWASPFVARVKIALAFKGLSFEDVEEDLRNKSELLLASNPVQKKVPVLIHNGKPICESLVILQCIDEAFSGTGPSLLPSEPHDRAVARFWATYIDDKLVVPWVQSMRGKTEEEKAEGIKQTLAAVETLEGALRDCSKGEGLFFGGDSVGLIDVSLGSLLSWLKATEVMSGAKIFDPIKTPLLAAWIERFSELDVVKAALPDVDRLVEFAKKMTQAAAAAAAAAASEN* >Brasy3G320600.1.p pacid=40042842 transcript=Brasy3G320600.1 locus=Brasy3G320600 ID=Brasy3G320600.1.v1.1 annot-version=v1.1 MVPNEIIPSIAASRAAAHQWVVKNLPKPSSHSPKIVYLLVGNELLSYPAIKDTWGAIVPAMRNLRHALRKHGMGRVKLGTPLAMDVLSASYPPSSGLFRDDIELKVMRPLLRFLNLTKSYYFVDAYPYFAWAGNQDTISLDYALFQGKSGAFHVDPLTGLKYTNLLDQMLDACVAAMAKLGFGKIKMAIAETGWPNGGGPGASVRNAAIYNRNLAARMATSPGTPLRPGEKMPVFVFSLYNEDKKPGAGTERHWGLFYPNGTAVYQVDLGGQRRSYPPLPAPPPSPSPSPSPSPSTPPPSPLAPLPAPPPSPSPSPSTPPPSPLAPTPPTPTAPPAEQGLWCVLAPGKDEKAVAAALDYACGQGKGTCAAIQPGGACFEPDTLDAHASYAFNSYWQQFRKSGASCSFNGLAVTTTTDPSHGSCKFPSSPSTPTPPTPTAPPAEQGLWCVLLPGKNEKAVAAALDYACGQGKGTCAAIQPGGACFEPDTLDAHASYAFNSYWQQFRKSGASCSFNGLAATTTTDPSHGSCKFPSSPATPPPPPAQQGVWCVLAPGKDEKAVAKALDYACGQGSGTCAAIQPGGACFEPNTLDAHASYAFNSYWQQFRKSGGSCSFNGLATKTTTDPSHGSCKFPSSSN* >Brasy3G340300.1.p pacid=40042843 transcript=Brasy3G340300.1 locus=Brasy3G340300 ID=Brasy3G340300.1.v1.1 annot-version=v1.1 MMESAHDGSDWAGPIDSPEPRVDVEQKPVLAGTKEQQTIARKDEKTLKPTISLDSSVISLPSEGQAQAGTSNIGGEHNAAYPQHMYSPQAQPFYYPGYENPPNEWDVYSPYVGVEGLEVSPTVVYNEDPSVMYHGGYGYDPYAQYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQTVPPGMPYLSSPTPISQGETMMPIDPTQGAFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQSFGGVSGAFGQSNFPMASGMMSPQQKSFYGFGNPSDSYGRGFSHSGSYPHASNYGGPFPSYGMSGRSFIPIDKGRRRGRGNALLCSCDGSLDFLNEQSRGPRATRPKKQPEDASTDVKPSSVVAQVSYNRTDFVTEYRSARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYHEAKEKKEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVRWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHEDDASILDDFDFYEEREKALLENKARLHQQQQISSSSAVEPKKPLTVPTDLVGHIAKSSSVVEPKKPLAVPADLVGPIAKSSSVVEPKKPLAVPTDLVGHITKSFAQAVRLGGEAKSGIPLADKGPAGDSSVAAKPVEVKESS* >Brasy3G340300.2.p pacid=40042844 transcript=Brasy3G340300.2 locus=Brasy3G340300 ID=Brasy3G340300.2.v1.1 annot-version=v1.1 MMESAHDGSDWAGPIDSPEPRVDVEQKPVLAGTKEQQTIARKDEKTLKPTISLDSSVISLPSEGQAQAGTSNIGGEHNAAYPQHMYSPQAQPFYYPGYENPPNEWDVYSPYVGVEGLEVSPTVVYNEDPSVMYHGGYGYDPYAQYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQTVPPGMPYLSSPTPISQEWFRSSEGTGSFPSPAASPQSFGGVSGAFGQSNFPMASGMMSPQQKSFYGFGNPSDSYGRGFSHSGSYPHASNYGGPFPSYGMSGRSFIPIDKGRRRGRGNALLCSCDGSLDFLNEQSRGPRATRPKKQPEDASTDVKPSSVVAQVSYNRTDFVTEYRSARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYHEAKEKKEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVRWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHEDDASILDDFDFYEEREKALLENKARLHQQQQISSSSAVEPKKPLTVPTDLVGHIAKSSSVVEPKKPLAVPADLVGPIAKSSSVVEPKKPLAVPTDLVGHITKSFAQAVRLGGEAKSGIPLADKGPAGDSSVAAKPVEVKESS* >Brasy3G340300.3.p pacid=40042845 transcript=Brasy3G340300.3 locus=Brasy3G340300 ID=Brasy3G340300.3.v1.1 annot-version=v1.1 MYSPQAQPFYYPGYENPPNEWDVYSPYVGVEGLEVSPTVVYNEDPSVMYHGGYGYDPYAQYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQTVPPGMPYLSSPTPISQGETMMPIDPTQGAFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQSFGGVSGAFGQSNFPMASGMMSPQQKSFYGFGNPSDSYGRGFSHSGSYPHASNYGGPFPSYGMSGRSFIPIDKGRRRGRGNALLCSCDGSLDFLNEQSRGPRATRPKKQPEDASTDVKPSSVVAQVSYNRTDFVTEYRSARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYHEAKEKKEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVRWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHEDDASILDDFDFYEEREKALLENKARLHQQQQISSSSAVEPKKPLTVPTDLVGHIAKSSSVVEPKKPLAVPADLVGPIAKSSSVVEPKKPLAVPTDLVGHITKSFAQAVRLGGEAKSGIPLADKGPAGDSSVAAKPVEVKESS* >Brasy3G340300.4.p pacid=40042846 transcript=Brasy3G340300.4 locus=Brasy3G340300 ID=Brasy3G340300.4.v1.1 annot-version=v1.1 MYSPQAQPFYYPGYENPPNEWDVYSPYVGVEGLEVSPTVVYNEDPSVMYHGGYGYDPYAQYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQTVPPGMPYLSSPTPISQEWFRSSEGTGSFPSPAASPQSFGGVSGAFGQSNFPMASGMMSPQQKSFYGFGNPSDSYGRGFSHSGSYPHASNYGGPFPSYGMSGRSFIPIDKGRRRGRGNALLCSCDGSLDFLNEQSRGPRATRPKKQPEDASTDVKPSSVVAQVSYNRTDFVTEYRSARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYHEAKEKKEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVRWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKDHEDDASILDDFDFYEEREKALLENKARLHQQQQISSSSAVEPKKPLTVPTDLVGHIAKSSSVVEPKKPLAVPADLVGPIAKSSSVVEPKKPLAVPTDLVGHITKSFAQAVRLGGEAKSGIPLADKGPAGDSSVAAKPVEVKESS* >Brasy3G341900.1.p pacid=40042847 transcript=Brasy3G341900.1 locus=Brasy3G341900 ID=Brasy3G341900.1.v1.1 annot-version=v1.1 MAAAAAAAAFPNWMMLERFVFRRDDPKSFPDKTTPLAISSASSQGDPFRVALHIAEPPGISRFYVQWPKGLDPTFSPSCDLVTAHDDTIVFKLVCRPVVVEDSPLPHCQMDCFLCRASPSFSPPLLLKRIPMCTQPEVIRVKKNGTQITMPRPFYSEILGLLRRGEDEFAMAQLQVPARELCVLRSRLSNGDHDHKWEVLQNMPIRCGGEGESSDLFYWATDAVIPFGKYLCWVDYSQGGIVFCDVFEEMPEISYLRLPIEDRPPSSNRQPFLDRKRSVCVAEGGLKFMNVSRDDGELLGPMEPGTGFTITSYLLKITERGGNMEWHMDFSITSEELWACNSPERLPRCALMYPVVSMDRPHLLHFLLSEYEDWYSIEKVSRVTIDISTNAVVSVLPYIGQEDQSDEDADMVEMRSRFLQSFIPSEFPKFLNATRKRKNQA* >Brasy3G237800.1.p pacid=40042848 transcript=Brasy3G237800.1 locus=Brasy3G237800 ID=Brasy3G237800.1.v1.1 annot-version=v1.1 MYATKPLSVFKSNPEASSWPPPPEGQNSGYLVVKGAGEDEDDDETCCWGQCGGSRVRDLPFPQNRVLTVQYSQSNGKNTTYYTEKVVFVPVPDQPLSSNRYYAVIASGKHKGLVRACSREEDMATCCFCRCIKDVKPRPFDPADVYQQMEVVRRRRGQFTAKAVAPDGFPSYLYRQKYWTVQATKPKSFDLALGDAPGMNAALRSRVLGNAFVPAGPTAPAPTAVGKWYCPFYLVKEDGVSPSAQMDRAAFYEVVLEQRWEPVRDGSTKLSSKTALIGGNLEARLDVPSRSRPGDGGGWVDEENEAAGTVNGSSVLVERFAVKRTDGSVVVAFDFVHFNKVQGKAEV* >Brasy3G017200.1.p pacid=40042849 transcript=Brasy3G017200.1 locus=Brasy3G017200 ID=Brasy3G017200.1.v1.1 annot-version=v1.1 MAVVNPVMYDDDDDAPISFKRSSASVKNRPAPSKQEGSSGNAASVRSPKAVASNPQRNGMNGASSSPQPPRPQSTSSNPRPPGSSPLKSSAERSQKTNAVDKSKLKRPHVEVGNSDDSDDEKPLVFRKKGDDMKLKKVDTGSKKADDSDDDHKPLSLKINSAKVASNSANKTVLLKTAPKTEQPDDDSEDEKPLASRLPNNAASKSRGNASDDSEDEKPLSARFSRVNAGASASVSNSKDKLLSNNKGPNNNSSAPRNSVKRPSDDSNQTSSTPKKAKPSDNNASASVKRESKTDEVRRSTMGESSKSKPPAKNIVKKSPSSFKMDKKKNKTKKPMKSSQFSKSLRVPPGSGGGKKWSTLEHNGVIFPPPYSPHGVKMLYNGQPVELTPAQEEVATMFAVMKDTEYATKKTFIDNFFGDWRKILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALREEKLKQEEKFMWAVVDGTREKVGNFRVEPPGLFRGRGEHPKMGKLKRRILPSDITINIGSGTPVPECPMEGQSWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKDHINKIRVNYTKDFKSKDTAKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVDNVTCEPPNKLQFDFLGKDSIRYFNTVEVELPVYKAIEEFRAGKKSGDSVFDKLDTSKLNAHLKDLMPGLTAKVFRTYNASITLDAILHQETEEGKTLLEKIAVYQRANKEVAIICNHQRAVSKSHDSQMTKLNEKIDELVAQRDELKEDLVKVKRGKPLGKGADGKPKRNLAPEAIEKKISQIETKIEKMEMDKKTKEDMKTVALGTSKINYLDPRITVAWCKTHEVPIEKIFSKTICAKFGWAMDVEPDFRF* >Brasy3G061300.1.p pacid=40042850 transcript=Brasy3G061300.1 locus=Brasy3G061300 ID=Brasy3G061300.1.v1.1 annot-version=v1.1 MPARNAVSYGAMISGLARAGMLREAEAVFAEMPWRWRDPVGSNALIAAYLRAGELALALRVFEGMAVRDVISWSAVVDGLCKSGSVLEARKVFEAMPERNVVSWTSMIRGYVKVGRCRDGLLLFLNMRREGVQVNTTTLSVALDACAESSLVREGIQIHGLIIAMGFEMDVFLADSLITLYSRSGWMVDARRVFACMNLKDVVSWNSLIAGYVQHNMVEEAHVLFKLMPEKDAVSWTLMVVAFANRGWMTEAIELFEQMPGKDEVAWTAVISSLITNRDYLSAVRWFCRMTQEGCKPNTIAFSCLLSALASLTMLNQGMQAHAYAINMGWIFDSSVHASLVSMYAKCGRLAEAYHVFSSISNPSLIATNSMITAFVQHDFVEDAFKLFTKMQNDGHKPNHVTFLGILTGCARAGLVQQGYNYFGSMRSVYGIEPNPDHYTCMVDLLGRAGLLAEALEMINSMPQNDNSDAWAALLSASSLHSNLTFAKIAAQKLLEMDPYDATAYTVLSNMFSSAGMKDDDEMLKVVQSSNMASKSPGYSLITG* >Brasy3G333700.1.p pacid=40042851 transcript=Brasy3G333700.1 locus=Brasy3G333700 ID=Brasy3G333700.1.v1.1 annot-version=v1.1 MRGHPLRSRHILLFVTKRGLSTGAGAPIVKVYHEKSMILPDVSRVLACLYEKDVQFETVKDSYKDILRLQATRSVPVPFYDGPTFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPLQDEDISDLDREKRKLEEVLEVYEQRLGESQFLAGNKFTLADLVHLPNTHHIVTSDKFAYLYDSRKNVQRWWNAISARESWQQVVRDMQSVEEQYQMEELELEEEQFQQQQWQTEPPQPSGGRNIRIDSRKQTGTDSRTILVPPPRDGTISSSFFTVPQEHQPLPAETTSHGKTSPDQRKEENFFNTTEKTPSPSKQRTSSTQKSSSSAQSTTSNFFTPATPPTTTKMFQRTDSDKSTSKDTSSPNRTSQGSSKEAPDRLHLSDFYQTSNHTEEAAIHTKPTSQEASKTSDRVSQARQTGEAPYKPSPGSAKAPQEIAPDKTTKESFSSVQSTTSTFFTPATQEITPEKTTQKSSRSVQSTTSTFFTPATPPTTTKMPQRTDTDKSTSKDASSPTRPSKGLSKEAPDKLHLSDFDKASSHIDEAPTLTKPSPQEVPKTSDRIYTPRQTSEAVAPDKSSLASAKAPRRIDGPDFYETERKPNSVNPWADNQVPYTKPDDSQTPRSPYTRPAAQRAADTSGLKAGETPSDQRSVVQTPYAQQPSEQAKKAATDQRAAAAKDVQGETAPQARYRGAEDSTKEAREANQKRPASAPTRELSSGSQNTPQQSKAPPTDLKVSDLSPMQGEYEDTQGGNEQFSTKRLRKMLEQSDEALKLQSTDLQVPPEKEETPSVYKKPPHVQDRKGQADNLSVDGRTDGTPSTGTRAPDTPTSAAERRATSPLKGGMAPDGHGATEPRKSPSINEQQPAPPMPSQSPASSVSRASASSNGAAQDDDLAQLSTIDQWRHTSTPATEQGPSGAPSTDKLDKTGGVDRRAQPSPPKKTTTEQSDKSPMDERTPRMTPRQAPPSDTRRTSASTLPSQEPVSSLQQTTKPTSPASYGATGEFADITMADESATPPAPLQTSTSDGRRVWTTQGRMLDARAENEAVKPSATDPRGIPTMPKKQESTPDVQRRTNTTSDKSSDIAPPLPSFADKRNEKTGIAESSQTTTIGPDEKPGGRAPKNSGNNPISSNDIDKQISEAVYDRGSATSREMPPLVQEKSMKQQQLRSDNSSLSPQGNSRQGSEAALPVSGKESEEQTSPDTQQAKNNRKSDGSSKPTQFDGNEGDVPESQSGTNP* >Brasy3G333700.2.p pacid=40042852 transcript=Brasy3G333700.2 locus=Brasy3G333700 ID=Brasy3G333700.2.v1.1 annot-version=v1.1 MRGHPLRSRHILLFVTKRGLSTGAGAPIVKVYHEKSMILPDVSRVLACLYEKDVQFETVKDSYKDILRLQATRSVPVPFYDGPTFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPLQDEDISDLDREKRKLEEVLEVYEQRLGESQFLAGNKFTLADLVHLPNTHHIVTSDKFAYLYDSRKNVQRWWNAISARESWQQVVRDMQSVEEQYQMEELELEEEQFQQQQWQTEPPQPSGGRNIRIDSRKQTGTDSRTILVPPPRDGTISSSFFTVPQEHQPLPAETTSHGKTSPDQRKEENFFNTTEKTPSPSKQRTSSTQKSSSSAQSTTSNFFTPATPPTTTKMFQRTDSDKSTSKDTSSPNRTSQGSSKEAPDRLHLSDFYQTSNHTEEAAIHTKPTSQEASKTSDRVSQARQTGEAPYKPSPGSAKAPQEIAPDKTTKESFSSVQSTTSTFFTPATQEITPEKTTQKSSRSVQSTTSTFFTPATPPTTTKMPQRTDTDKSTSKDASSPTRPSKGLSKEAPDKLHLSDFDKASSHIDEAPTLTKPSPQEVPKTSDRIYTPRQTSEAVAPDKSSLASAKAPRRIDGPDFYETERKPNSVNPWADNQVPYTKPDDSQTPRSPYTRPAAQRAADTSGLKAGETPSDQRSVVQTPYAQQPSEQAKKAATDQRAAAAKDVQGETAPQARYRGAEDSTKEAREANQKRPASAPTRELSSGSQNTPQQSKAPPTDLKVSDLSPMQGEYEDTQGGNEQFSTKRLRKMLEQSDEALKLQSTDLQVPPEKEETPSVYKKPPHVQDRKGQADNLSVDGRTDGTPSTGTRAPDTPTSAAERRATSPLKGGMAPDGHGATEPRKSPSINEQQPAPPMPSQSPASSVSRASASSNGAAQDDDLAQLSTIDQWRHTSTPATEQGPSGAPSTDKLDKTGGVDRRAQPSPPKKTTTEQSDKSPMDERTPRMTPRQAPPSDTRRTSASTLPSQEPVSSLQQTTKPTSPASYGATGEFADITMADESATPPAPLQTSTSDGRRVWTTQGRMLDARAENEAVKPSATDPRGIPTMPKKQESTPDVQRRTNTTSDKSSDIAPPLPSFADKRNEKTGIAESSQTTTIGPDEKPGGRAPKNSGNNPISSNDIDKQISEAVYDRGSATSREMPPLVQEKSMKQQQLRSDNSSLSPQGNSRQGSEAALPVSGKESEEQTSPDTQQAKNNRKSDGSSKPTQFDGNEGDVPESQSGTNP* >Brasy3G333700.5.p pacid=40042853 transcript=Brasy3G333700.5 locus=Brasy3G333700 ID=Brasy3G333700.5.v1.1 annot-version=v1.1 MAGLSTGAGAPIVKVYHEKSMILPDVSRVLACLYEKDVQFETVKDSYKDILRLQATRSVPVPFYDGPTFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPLQDEDISDLDREKRKLEEVLEVYEQRLGESQFLAGNKFTLADLVHLPNTHHIVTSDKFAYLYDSRKNVQRWWNAISARESWQQVVRDMQSVEEQYQMEELELEEEQFQQQQWQTEPPQPSGGRNIRIDSRKQTGTDSRTILVPPPRDGTISSSFFTVPQEHQPLPAETTSHGKTSPDQRKEENFFNTTEKTPSPSKQRTSSTQKSSSSAQSTTSNFFTPATPPTTTKMFQRTDSDKSTSKDTSSPNRTSQGSSKEAPDRLHLSDFYQTSNHTEEAAIHTKPTSQEASKTSDRVSQARQTGEAPYKPSPGSAKAPQEIAPDKTTKESFSSVQSTTSTFFTPATQEITPEKTTQKSSRSVQSTTSTFFTPATPPTTTKMPQRTDTDKSTSKDASSPTRPSKGLSKEAPDKLHLSDFDKASSHIDEAPTLTKPSPQEVPKTSDRIYTPRQTSEAVAPDKSSLASAKAPRRIDGPDFYETERKPNSVNPWADNQVPYTKPDDSQTPRSPYTRPAAQRAADTSGLKAGETPSDQRSVVQTPYAQQPSEQAKKAATDQRAAAAKDVQGETAPQARYRGAEDSTKEAREANQKRPASAPTRELSSGSQNTPQQSKAPPTDLKVSDLSPMQGEYEDTQGGNEQFSTKRLRKMLEQSDEALKLQSTDLQVPPEKEETPSVYKKPPHVQDRKGQADNLSVDGRTDGTPSTGTRAPDTPTSAAERRATSPLKGGMAPDGHGATEPRKSPSINEQQPAPPMPSQSPASSVSRASASSNGAAQDDDLAQLSTIDQWRHTSTPATEQGPSGAPSTDKLDKTGGVDRRAQPSPPKKTTTEQSDKSPMDERTPRMTPRQAPPSDTRRTSASTLPSQEPVSSLQQTTKPTSPASYGATGEFADITMADESATPPAPLQTSTSDGRRVWTTQGRMLDARAENEAVKPSATDPRGIPTMPKKQESTPDVQRRTNTTSDKSSDIAPPLPSFADKRNEKTGIAESSQTTTIGPDEKPGGRAPKNSGNNPISSNDIDKQISEAVYDRGSATSREMPPLVQEKSMKQQQLRSDNSSLSPQGNSRQGSEAALPVSGKESEEQTSPDTQQAKNNRKSDGSSKPTQFDGNEGDVPESQSGTNP* >Brasy3G333700.3.p pacid=40042854 transcript=Brasy3G333700.3 locus=Brasy3G333700 ID=Brasy3G333700.3.v1.1 annot-version=v1.1 MAGLSTGAGAPIVKVYHEKSMILPDVSRVLACLYEKDVQFETVKDSYKDILRLQATRSVPVPFYDGPTFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPLQDEDISDLDREKRKLEEVLEVYEQRLGESQFLAGNKFTLADLVHLPNTHHIVTSDKFAYLYDSRKNVQRWWNAISARESWQQVVRDMQSVEEQYQMEELELEEEQFQQQQWQTEPPQPSGGRNIRIDSRKQTGTDSRTILVPPPRDGTISSSFFTVPQEHQPLPAETTSHGKTSPDQRKEENFFNTTEKTPSPSKQRTSSTQKSSSSAQSTTSNFFTPATPPTTTKMFQRTDSDKSTSKDTSSPNRTSQGSSKEAPDRLHLSDFYQTSNHTEEAAIHTKPTSQEASKTSDRVSQARQTGEAPYKPSPGSAKAPQEIAPDKTTKESFSSVQSTTSTFFTPATQEITPEKTTQKSSRSVQSTTSTFFTPATPPTTTKMPQRTDTDKSTSKDASSPTRPSKGLSKEAPDKLHLSDFDKASSHIDEAPTLTKPSPQEVPKTSDRIYTPRQTSEAVAPDKSSLASAKAPRRIDGPDFYETERKPNSVNPWADNQVPYTKPDDSQTPRSPYTRPAAQRAADTSGLKAGETPSDQRSVVQTPYAQQPSEQAKKAATDQRAAAAKDVQGETAPQARYRGAEDSTKEAREANQKRPASAPTRELSSGSQNTPQQSKAPPTDLKVSDLSPMQGEYEDTQGGNEQFSTKRLRKMLEQSDEALKLQSTDLQVPPEKEETPSVYKKPPHVQDRKGQADNLSVDGRTDGTPSTGTRAPDTPTSAAERRATSPLKGGMAPDGHGATEPRKSPSINEQQPAPPMPSQSPASSVSRASASSNGAAQDDDLAQLSTIDQWRHTSTPATEQGPSGAPSTDKLDKTGGVDRRAQPSPPKKTTTEQSDKSPMDERTPRMTPRQAPPSDTRRTSASTLPSQEPVSSLQQTTKPTSPASYGATGEFADITMADESATPPAPLQTSTSDGRRVWTTQGRMLDARAENEAVKPSATDPRGIPTMPKKQESTPDVQRRTNTTSDKSSDIAPPLPSFADKRNEKTGIAESSQTTTIGPDEKPGGRAPKNSGNNPISSNDIDKQISEAVYDRGSATSREMPPLVQEKSMKQQQLRSDNSSLSPQGNSRQGSEAALPVSGKESEEQTSPDTQQAKNNRKSDGSSKPTQFDGNEGDVPESQSGTNP* >Brasy3G333700.4.p pacid=40042855 transcript=Brasy3G333700.4 locus=Brasy3G333700 ID=Brasy3G333700.4.v1.1 annot-version=v1.1 MAGLSTGAGAPIVKVYHEKSMILPDVSRVLACLYEKDVQFETVKDSYKDILRLQATRSVPVPFYDGPTFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPLQDEDISDLDREKRKLEEVLEVYEQRLGESQFLAGNKFTLADLVHLPNTHHIVTSDKFAYLYDSRKNVQRWWNAISARESWQQVVRDMQSVEEQYQMEELELEEEQFQQQQWQTEPPQPSGGRNIRIDSRKQTGTDSRTILVPPPRDGTISSSFFTVPQEHQPLPAETTSHGKTSPDQRKEENFFNTTEKTPSPSKQRTSSTQKSSSSAQSTTSNFFTPATPPTTTKMFQRTDSDKSTSKDTSSPNRTSQGSSKEAPDRLHLSDFYQTSNHTEEAAIHTKPTSQEASKTSDRVSQARQTGEAPYKPSPGSAKAPQEIAPDKTTKESFSSVQSTTSTFFTPATQEITPEKTTQKSSRSVQSTTSTFFTPATPPTTTKMPQRTDTDKSTSKDASSPTRPSKGLSKEAPDKLHLSDFDKASSHIDEAPTLTKPSPQEVPKTSDRIYTPRQTSEAVAPDKSSLASAKAPRRIDGPDFYETERKPNSVNPWADNQVPYTKPDDSQTPRSPYTRPAAQRAADTSGLKAGETPSDQRSVVQTPYAQQPSEQAKKAATDQRAAAAKDVQGETAPQARYRGAEDSTKEAREANQKRPASAPTRELSSGSQNTPQQSKAPPTDLKVSDLSPMQGEYEDTQGGNEQFSTKRLRKMLEQSDEALKLQSTDLQVPPEKEETPSVYKKPPHVQDRKGQADNLSVDGRTDGTPSTGTRAPDTPTSAAERRATSPLKGGMAPDGHGATEPRKSPSINEQQPAPPMPSQSPASSVSRASASSNGAAQDDDLAQLSTIDQWRHTSTPATEQGPSGAPSTDKLDKTGGVDRRAQPSPPKKTTTEQSDKSPMDERTPRMTPRQAPPSDTRRTSASTLPSQEPVSSLQQTTKPTSPASYGATGEFADITMADESATPPAPLQTSTSDGRRVWTTQGRMLDARAENEAVKPSATDPRGIPTMPKKQESTPDVQRRTNTTSDKSSDIAPPLPSFADKRNEKTGIAESSQTTTIGPDEKPGGRAPKNSGNNPISSNDIDKQISEAVYDRGSATSREMPPLVQEKSMKQQQLRSDNSSLSPQGNSRQGSEAALPVSGKESEEQTSPDTQQAKNNRKSDGSSKPTQFDGNEGDVPESQSGTNP* >Brasy3G134900.1.p pacid=40042856 transcript=Brasy3G134900.1 locus=Brasy3G134900 ID=Brasy3G134900.1.v1.1 annot-version=v1.1 MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIDTTKKYNAGTNKAASSGTSLNTKRLDEDTENLAHERVSSDLKKNLMQARLDKKLTQAQLAQMINEKPQVIQEYESGKAIPNNQIIGKLERALGAKLRSKK* >Brasy3G145800.1.p pacid=40042857 transcript=Brasy3G145800.1 locus=Brasy3G145800 ID=Brasy3G145800.1.v1.1 annot-version=v1.1 MEGPCQVCKKPNGPCRIKDKEKSFFCIYTVDMFHDHVNIPCYIREQFNKLCSNVVTLENGDGNLYTVEVDVRADVTLLCGSKWGQLVADHGIALGDCLIFHFPKEGNHTFVILPTNKKQKNKMIIDKSVSAVDFNYDIDYKLERAVISNSVTLSNQAWANLRAHVVGSGASAGAIFVHRLSQTNIKQGMKIFRTSPYYS* >Brasy3G164200.1.p pacid=40042858 transcript=Brasy3G164200.1 locus=Brasy3G164200 ID=Brasy3G164200.1.v1.1 annot-version=v1.1 MAGATLAAAKFPAAVALLRTRRSPAIAATPLGPSGLRHHRRGVAMAAAATSSSTPSRAPAADALPKGADLFFRSILSNMQKVYLSRNPTAEKILDLVRSYDGDHICFDHFAFRTFGVDGYGINSLAEFFTDFGYVSREELRFPAKKLRALWFSPPANDGYTRTGIYGPLPRIFISELLVDELSAQSQEIIRKYVKTSGRGNKYSVLASTYGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHATVSTHRLESDIRSINNFNKFVEENGFKLNTEGGILKVSPDGLLQQSSTVADSALFTFADGITEAIPRSYIEFAERLPLPQFKDLQEEEVKEHHRRDGFEVGNADKIFESTSKDQLTRRFA* >Brasy3G164200.2.p pacid=40042859 transcript=Brasy3G164200.2 locus=Brasy3G164200 ID=Brasy3G164200.2.v1.1 annot-version=v1.1 MAGATLAAAKFPAAVALLRTRRSPAIAATPLGPSGLRHHRRGVAMAAAATSSSTPSRAPAADALPKGADLFFRSILSNMQKVYLSRNPTAEKILDLVRSYDGDHICFDHFAFRTFGVDGYGINSLAEFFTDFGYVSREELRFPAKKLRALWFSPPANDGYTRTGIYGPLPRIFISELLVDELSAQSQEIIRKYVKTSGRGNKYSVLASTYGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHATVSTHRLESDIRSINNFNKFVEENGFKLNTEGGILKGLLLNEFL* >Brasy3G060500.1.p pacid=40042860 transcript=Brasy3G060500.1 locus=Brasy3G060500 ID=Brasy3G060500.1.v1.1 annot-version=v1.1 MAGEKQKQPRTAAPASPRRQIVLALPTPGQFGRPIRPPAPIQEAAPVVAAAAAAERGSQEEEARQKALAFEQKHAEFRAEAAALAADFGVDVNAFIFLPGGQQAVHDIFPGDVAGAAARQHAAEVREARKGVVDLLRKDVNQMNLEEAKAHDAQLLELRAALERRLQQNRAAGPSASAAADGPPTKKIRKVE* >Brasy3G199300.1.p pacid=40042861 transcript=Brasy3G199300.1 locus=Brasy3G199300 ID=Brasy3G199300.1.v1.1 annot-version=v1.1 MGRMFAVDAAAATSASALNGAVDWWKDVNGSPMWQDRVFHALAVLYGLVSVVALVQLIRIECRVPEYGWTTQKVFHFLNFVVNGVRSIVFVLRRNVQLIQPEILQHVILDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQISLWLVLWWKPVRVMVILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLSHPILNFFYYLMVEIVPSAMVLFILRKLPPKRGITQYHPIH* >Brasy3G322400.1.p pacid=40042862 transcript=Brasy3G322400.1 locus=Brasy3G322400 ID=Brasy3G322400.1.v1.1 annot-version=v1.1 MFDSCFVPFELPTENLAADNAVSSKDVSAGGYLWTISSHYDADDGYLGIRLKLASNARGVIKAIFDVFVMEKNGEPSSSLANRFVHFFSPGGSSGWPRFVKRSDLESLCVRGRRLGHDCLRHHRRGRRGPLSVPPSDIGTHLGRLLDCAADGSDVSVVVVGRTFPAHRAVLAAELFGSMAEASMSHVTLTDIAPATFEVFLRFIYTDTLPEDDELVDDNDDSPIEMYKDLLAVADRYAMDRLKLICAKKLWDDVSVDTVAETLSFAETYSCAELKTKCIAFLVEEENFKDAVLT >Brasy3G161400.1.p pacid=40042863 transcript=Brasy3G161400.1 locus=Brasy3G161400 ID=Brasy3G161400.1.v1.1 annot-version=v1.1 MDMAKSLVLCALLFLSACLSLAAADWLPATATFYGEADASGTMGGACGYSNLYDQGYGVNNAALSTVLFNDGASCGQCFTITCDSKKSGWCKTGNSITVSATNLCPPNWALPNDNGGWCNPPRQHFDMSQPAWETIAIYRAGIVPVLYQRVKCSRQGGVRFTVAGFNYFELVLITNVGGSGSVASVSIKGSNTGWIHMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLVFDNIAPVWWQFGQTFGSYKQFDY* >Brasy3G245900.1.p pacid=40042864 transcript=Brasy3G245900.1 locus=Brasy3G245900 ID=Brasy3G245900.1.v1.1 annot-version=v1.1 MKLSIKTLKGSSFEIEADPTSKVVDVKKLIETTQGQNVYPADQQMLIHQGKVLKNDTTLEENKVLEKNFIVIMLSKKGSTSAASGAAKEPTKQPSVDRAAPVAPATQPPAEQTPVTPESAPVPTAIAVAPPSATAAAAVASTEADPYGQAASSLVAGSNLEGTVQSILEMGGGAWDRDTVVRALRAAFNNPERAVEYLYTGVPEQEAPVSAQEPPALGQQGDPVQAPQSQQAVASSGPNANPLDLFPQVLPNASANAAGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLEQFGAGVPQTVAVTPAENEAIQRLEHMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFDDEAPEPPQ* >Brasy3G090400.1.p pacid=40042865 transcript=Brasy3G090400.1 locus=Brasy3G090400 ID=Brasy3G090400.1.v1.1 annot-version=v1.1 MAYTVREVLYLYSVARAAYERFVSVCGNEEQARNAVALLVWIDQGTVSAIHHIPGISPFAVNEVAAEANRILECLRRQEPILPAIPLISTLCQDGDIDPRFFAFHQDLVVRGVAEFLDGVGKLVFDDRLHLLLRRYETGLVGNPPELMATYGSQPVVAVPEDRRSMGCIEREEIFEYFRRKWGDCVVCVLMEKMAGATAPMYGRIIFKSEAFLGLVLNGERLVKITIGHRQIWLRKYVPRPANT* >Brasy3G201700.1.p pacid=40042866 transcript=Brasy3G201700.1 locus=Brasy3G201700 ID=Brasy3G201700.1.v1.1 annot-version=v1.1 MASLAVVVVCASAALLLAVAQGDVGSIITQEMFDKMLPKRDNEQCGAKGFFTYDAFITAANSFPEFGTSGGSADDMKRELAAFFGQTSHETTGGTPGEPDQFEWGYCFKEERTKATSPPYYGRGPIQLTGPSNYDLAGRAPQVNRDLVADPDLVSRDPVVSFKTAIWFWMTPQGNKPSCHDVALGNWTPADADNAAGRVPGYGVITNIINGAQECRAGPNKDKNADRIGYYERYSGMLGVAPGDNLDCSDQGAFGPA* >Brasy3G071500.1.p pacid=40042867 transcript=Brasy3G071500.1 locus=Brasy3G071500 ID=Brasy3G071500.1.v1.1 annot-version=v1.1 MFEGVVSQVLAGLLGRYVKGIQKEQLKIGIWNEEVLLENVELILEAFDYLQLPFALKTGRIGKLSIRIPWKKLGWDPIIIVIEDVFVCACPREDSEWRSGSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSLLSYMSAKILDNIQVSIRNVHIIYVDSHKDQGNFIFGLEFNSLSIQTDTQKQSFAMSLMARSRQDEVNKKIDISNVGIYCHQLEEQHDLYDIGALTEAQSSFSLGLAHRRDDYLINPFSVSVSVLANNSVKRDGAPQYDMTAELTALVLSIDEIQFQQILDLIDHFTICALRTKYGRYRPPQSFLSKRHKGWRIRWWHYAQDSVIADVRKRLRKTSWRFLGQRLGYRRKYVNLYRTKLELLQKGQLVSKDILQQLEILDKECDIDDIVNYRTIAEQQLQELVKSTKDNFSSPGSPQSDEQSAGAGRGWLNWLSLGMLGAGGTADTSSFAGVVSEDIIKDIYEGTEFHPVSSTENHLTKENYYSLRLSVSQIITTVTSRRFGMKLVDAMFSGLGIEYKIWDDSATILAWLDSLQITNPVNENKVLLAEKCSTGDGLGTPVISVQVDFPKSNENSEASTQVVVQEFSAIYEPEFFFNLLHIYDLFSSFQFQHDRVLSSLNRFDNFGTRLLSKLKYMSVNRKKLLWDLRIHHFIVRLPSQNRGREELTMVFEAADVSMRSKDTVADDSQTQEANSFLDYMSKKTSSYCSDDLLPGLELDDLYKYFEVSLTRFEVKVLMSAKYDIASTLVKVDASIIFGLCVFQDEPMLKQLEIASIVPSLDIYFSQTMYSAIVNLRTYPTASNIVGNNTSDDSMSTGPKKPALNMSASLKLDKLNLRVDLEDNGNECSVITVGVRDIVIRYAIWELSELWIITKMVEITSTGLKNGTNLHVLCSSGSYKTSTACPESSAAEACLKLHYKTHKYNEQVHHVYQLNLNDVDLHVNPSVFGQINKFLRNLDAVSPAGSAVVSKTVDQCSMKPEAANAEFPNLSLSNFCGTESTSFGGVSVDHFPFLHTDIISGHNFACLETQGVQASDITSSKSRQCDEISGLNGYSASELANNVQCKTEHSNCSSTSPSNTNNVNSAIIDLSLVSVRAHFHESCGILATLTIPESIATLSLADATSWDLLLSAKDVMLASPWTPPSIDKLLWGTYSHGNANALNIRVKKDLSALSTEVCIGVQNVCCVLPSKLLAMFIGYFLLDDWNPMVEQHHSVPSNNLECSGESHDSITYKFEICDCAIFFPIENQETFCIKLGVPFFFCEFIPTGISAEFAKRIPKEFFSSECALSSRADVISLSSRNASISLVFLTEQTNFILKLDEDMPTKIQSLVENLDAGIWIQVPCKELSCSEQPSLPTFIMSKISKCDLIAEDLYFMDGMEAVSRITDKLISIGKESKMYKGNAKQFLENRSLNEESSESNDPTNITISIKDLMVLFGHSKDKGLPLEKVATANLEFDVSAVMVGERPERIDTDIVSLALRSSGGNTLVSIISDEPSSPVLIKLVKHHAGRDEILIAVPFIETWLYLVDWDVIINHLHSYIRKEENSLHVGHSAALPRFSDSAMSSFLASDFDSRDGSDLVVTCENIAVVIHVPIWEKEQNQTSNYAAIDRSSSSCLTHDNQSIETRGCKFISLTCESKHFVVMVGDSWVKFRCDLDRVKIILERIQGNKSTSVPFMHTSKIKAGGYIHKSETDLLHLSVDLQAEYLDVGFSHQIFSFWRSMELRYPKSSSSASSFCSVTLKAGLRKGSLLLNDGRWSSHGPVIETLVKNLSLKFSQMGDETEVCTAVDFLVNYNNIDKVMWEPFIEPSSFQLNVLQKCADHALDMSPSTEVSLKSSKQLNLNISEPLIEAIFRLTEMITDSLNPSNGGGLQEDPGILRLSRDDMHTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDSFSVVDENSVPAGYSVPIYVEETLDEFFFQHREARSSEHLIEKWMGAVSHYMVSIEFEGTSWSSKPMSIDLVGIYFFEVNFSSSKKPILGGESLEAFGSNRKSSHHDGLIVPVVLDVSLQNYSKLVRVYSTVILCNATSMPLELRFDIPFGVSSKVIGPVAPNKEIPLPVHLSEAGQIRWHPVGRTYLWSETHSLSTLLSRESRIGFMKSSVCYPSHPSNDPFRCCVSVEEYSVPLSSSAQKGKYCTEYLNAQQILGNPAPKASKQTFTRTHFIRQVRLSTPLLIRSYLPVCISLTIDNGGVAHQVSLNEVGTASIYFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTGAKSNGLKFSLTETLAFYSNVSKCPFNVTLDKATDAHSGARELHLSVPFLLYNCTDLLLTVTESNYERNGSTVVIPCSFELDGHTRHVLGKNGLSLVSEDPSIQRFASKMPQLDFVDGCSSYSNRRDANNSEHVQKECEEEAKAYMFAPAGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLSPASGSTSLTIPQSSTSSAFLVAVTSIPVSTELFGRTKAIAFQPRYVICNACSSDLFYKQKGTKFSKHLSSGQHSFLHWADTARELLVSIRFDGPGWQWSGSFFPDRLGDAQVKMRNSASGVSNMVRVEVQNADIDVHSNKIAGRNNIITGTILILLSDDKTGFVPYRIDNFSLEKLRIYQQRCESIETVVYPYTSCQYAWDEPCYPRRLTVEVPGERSLGTYNLDVLSDDVPVSLPSTPEKAERKFSISVHAEGAIKVLSIVDSNCHNMDKKETSFLGSREPKDADQKHEIELHFTEVIKVHLPFIGISLISSSPQELLFASAKDMTIVAMQSLDQQRIMVEIQSMQIDNQFSASPYPVMLSFEGNHKGKSMNILKSKETKQRSLNESKTSSNTEQPVLHFAAVKWRTRDASFVSYQCINISVEPFRLELEERLVLSMIDFFRSVSSRVHFGQLERSVDSSILYGATDIFGEHEKVSKHLSDKPQSSYTVDADQDIGMLPSVIPIGAPWQQIHLLARKQKKVYIELFELTPVKLTFSFTSTPWLNRNEGGSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIMVENLMASWQSIQDILVRHYSRQLLHELYKVFGSAGVIGNPMGFARNVGFGLKDFMSASRKGKLQSPVELLNGIAQGSKNLIGSTVYAVSSATSHFSKTAYKGLVAFTYDDQAASKMDERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAERHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFSRPVARDRPLFPYSWEEAIGVSFILQADGGRLKDETYVMCKTLKEPGKFLVLSEKLLLLVSSPYLVTLGSPQFVGVPPDPEWAIETEMNLKSIVHLDRAQEVVNIVGSNGETSPRDKRGRARDIAMSSAFTPLFHFSLELPNVEDAEGTLQFLTALIEKGKARRWDKNILHRSNIS* >Brasy3G071500.2.p pacid=40042868 transcript=Brasy3G071500.2 locus=Brasy3G071500 ID=Brasy3G071500.2.v1.1 annot-version=v1.1 MSLNLWRSGSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSLLSYMSAKILDNIQVSIRNVHIIYVDSHKDQGNFIFGLEFNSLSIQTDTQKQSFAMSLMARSRQDEVNKKIDISNVGIYCHQLEEQHDLYDIGALTEAQSSFSLGLAHRRDDYLINPFSVSVSVLANNSVKRDGAPQYDMTAELTALVLSIDEIQFQQILDLIDHFTICALRTKYGRYRPPQSFLSKRHKGWRIRWWHYAQDSVIADVRKRLRKTSWRFLGQRLGYRRKYVNLYRTKLELLQKGQLVSKDILQQLEILDKECDIDDIVNYRTIAEQQLQELVKSTKDNFSSPGSPQSDEQSAGAGRGWLNWLSLGMLGAGGTADTSSFAGVVSEDIIKDIYEGTEFHPVSSTENHLTKENYYSLRLSVSQIITTVTSRRFGMKLVDAMFSGLGIEYKIWDDSATILAWLDSLQITNPVNENKVLLAEKCSTGDGLGTPVISVQVDFPKSNENSEASTQVVVQEFSAIYEPEFFFNLLHIYDLFSSFQFQHDRVLSSLNRFDNFGTRLLSKLKYMSVNRKKLLWDLRIHHFIVRLPSQNRGREELTMVFEAADVSMRSKDTVADDSQTQEANSFLDYMSKKTSSYCSDDLLPGLELDDLYKYFEVSLTRFEVKVLMSAKYDIASTLVKVDASIIFGLCVFQDEPMLKQLEIASIVPSLDIYFSQTMYSAIVNLRTYPTASNIVGNNTSDDSMSTGPKKPALNMSASLKLDKLNLRVDLEDNGNECSVITVGVRDIVIRYAIWELSELWIITKMVEITSTGLKNGTNLHVLCSSGSYKTSTACPESSAAEACLKLHYKTHKYNEQVHHVYQLNLNDVDLHVNPSVFGQINKFLRNLDAVSPAGSAVVSKTVDQCSMKPEAANAEFPNLSLSNFCGTESTSFGGVSVDHFPFLHTDIISGHNFACLETQGVQASDITSSKSRQCDEISGLNGYSASELANNVQCKTEHSNCSSTSPSNTNNVNSAIIDLSLVSVRAHFHESCGILATLTIPESIATLSLADATSWDLLLSAKDVMLASPWTPPSIDKLLWGTYSHGNANALNIRVKKDLSALSTEVCIGVQNVCCVLPSKLLAMFIGYFLLDDWNPMVEQHHSVPSNNLECSGESHDSITYKFEICDCAIFFPIENQETFCIKLGVPFFFCEFIPTGISAEFAKRIPKEFFSSECALSSRADVISLSSRNASISLVFLTEQTNFILKLDEDMPTKIQSLVENLDAGIWIQVPCKELSCSEQPSLPTFIMSKISKCDLIAEDLYFMDGMEAVSRITDKLISIGKESKMYKGNAKQFLENRSLNEESSESNDPTNITISIKDLMVLFGHSKDKGLPLEKVATANLEFDVSAVMVGERPERIDTDIVSLALRSSGGNTLVSIISDEPSSPVLIKLVKHHAGRDEILIAVPFIETWLYLVDWDVIINHLHSYIRKEENSLHVGHSAALPRFSDSAMSSFLASDFDSRDGSDLVVTCENIAVVIHVPIWEKEQNQTSNYAAIDRSSSSCLTHDNQSIETRGCKFISLTCESKHFVVMVGDSWVKFRCDLDRVKIILERIQGNKSTSVPFMHTSKIKAGGYIHKSETDLLHLSVDLQAEYLDVGFSHQIFSFWRSMELRYPKSSSSASSFCSVTLKAGLRKGSLLLNDGRWSSHGPVIETLVKNLSLKFSQMGDETEVCTAVDFLVNYNNIDKVMWEPFIEPSSFQLNVLQKCADHALDMSPSTEVSLKSSKQLNLNISEPLIEAIFRLTEMITDSLNPSNGGGLQEDPGILRLSRDDMHTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDSFSVVDENSVPAGYSVPIYVEETLDEFFFQHREARSSEHLIEKWMGAVSHYMVSIEFEGTSWSSKPMSIDLVGIYFFEVNFSSSKKPILGGESLEAFGSNRKSSHHDGLIVPVVLDVSLQNYSKLVRVYSTVILCNATSMPLELRFDIPFGVSSKVIGPVAPNKEIPLPVHLSEAGQIRWHPVGRTYLWSETHSLSTLLSRESRIGFMKSSVCYPSHPSNDPFRCCVSVEEYSVPLSSSAQKGKYCTEYLNAQQILGNPAPKASKQTFTRTHFIRQVRLSTPLLIRSYLPVCISLTIDNGGVAHQVSLNEVGTASIYFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTGAKSNGLKFSLTETLAFYSNVSKCPFNVTLDKATDAHSGARELHLSVPFLLYNCTDLLLTVTESNYERNGSTVVIPCSFELDGHTRHVLGKNGLSLVSEDPSIQRFASKMPQLDFVDGCSSYSNRRDANNSEHVQKECEEEAKAYMFAPAGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLSPASGSTSLTIPQSSTSSAFLVAVTSIPVSTELFGRTKAIAFQPRYVICNACSSDLFYKQKGTKFSKHLSSGQHSFLHWADTARELLVSIRFDGPGWQWSGSFFPDRLGDAQVKMRNSASGVSNMVRVEVQNADIDVHSNKIAGRNNIITGTILILLSDDKTGFVPYRIDNFSLEKLRIYQQRCESIETVVYPYTSCQYAWDEPCYPRRLTVEVPGERSLGTYNLDVLSDDVPVSLPSTPEKAERKFSISVHAEGAIKVLSIVDSNCHNMDKKETSFLGSREPKDADQKHEIELHFTEVIKVHLPFIGISLISSSPQELLFASAKDMTIVAMQSLDQQRIMVEIQSMQIDNQFSASPYPVMLSFEGNHKGKSMNILKSKETKQRSLNESKTSSNTEQPVLHFAAVKWRTRDASFVSYQCINISVEPFRLELEERLVLSMIDFFRSVSSRVHFGQLERSVDSSILYGATDIFGEHEKVSKHLSDKPQSSYTVDADQDIGMLPSVIPIGAPWQQIHLLARKQKKVYIELFELTPVKLTFSFTSTPWLNRNEGGSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIMVENLMASWQSIQDILVRHYSRQLLHELYKVFGSAGVIGNPMGFARNVGFGLKDFMSASRKGKLQSPVELLNGIAQGSKNLIGSTVYAVSSATSHFSKTAYKGLVAFTYDDQAASKMDERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAERHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFSRPVARDRPLFPYSWEEAIGVSFILQADGGRLKDETYVMCKTLKEPGKFLVLSEKLLLLVSSPYLVTLGSPQFVGVPPDPEWAIETEMNLKSIVHLDRAQEVVNIVGSNGETSPRDKRGRARDIAMSSAFTPLFHFSLELPNVEDAEGTLQFLTALIEKGKARRWDKNILHRSNIS* >Brasy3G328100.1.p pacid=40042869 transcript=Brasy3G328100.1 locus=Brasy3G328100 ID=Brasy3G328100.1.v1.1 annot-version=v1.1 KRNQTDSASHRLARRGSSATSPDGDLPPPNPKQPTSSALPSALPPSLATPPCLAAGFSPQSALPSDPPPAPSPSRPRGAAPSVNGCSTRRALSDNLPSLLALGPRPRRPCSFHPSYLLSFMVVTRACLMLHKYYGVLHCCNRVIEKLKAPFVIALVTACTPLCGGGGGGQWR* >Brasy3G016100.1.p pacid=40042870 transcript=Brasy3G016100.1 locus=Brasy3G016100 ID=Brasy3G016100.1.v1.1 annot-version=v1.1 MDEEASTFAWRRHEYGTWARPSLLKHRTTEVAYWPPHPLTAPALQTKRENSSVLAYVRPCLVRRPSCPARKKPTPRLVSFPPPCTVSSPRRKRKISPSPPASLAAAACCHPPPASASLAAALSLASEPLPTPRCSPVSAPLPLKQGALPRRLHEAAPPQADATPELAPPVSSDLLAGNKVSADSALLARAAPPPLLPHPSPTAEFGSLISAYFGSAGPDRSALALSGEGDDSYSRSSSFSSCDDSTTNSILITRMLTSILLIDMTTSATFPLPKHCSGPHRRKGSRRRRCWNRNC* >Brasy3G329400.1.p pacid=40042871 transcript=Brasy3G329400.1 locus=Brasy3G329400 ID=Brasy3G329400.1.v1.1 annot-version=v1.1 MREWSGSAGFIAHQEHVFFQAQRCKISQQRCYLGHSEVEVTVAWSLLAGDKLAGWYGGALGADSSLPEDDESQGDGPSQDGTAAAARKRTSKIQSATETVRAEIYSYLDLIAERVMKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G329400.2.p pacid=40042872 transcript=Brasy3G329400.2 locus=Brasy3G329400 ID=Brasy3G329400.2.v1.1 annot-version=v1.1 MREWSGSAGFIAHQEHVFFQAQRCKISQQRCYLGHSEVEVTVAWSLLAGDKLAGWYGGALGADSSLPEDDESQGDGPSQDGTAAAARKRTSKIQSATETVRAEIYSYLDLIAERVMKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G329400.3.p pacid=40042873 transcript=Brasy3G329400.3 locus=Brasy3G329400 ID=Brasy3G329400.3.v1.1 annot-version=v1.1 MREWSGSAGFIAHQEHVFFQAQRCKISQQRCYLGHSEVEVTVAWSLLAGDKLAGWYGGALGADSSLPEDDESQGDGPSQDGTAAAARKRTSKIQSATETVRAEIYSYLDLIAERVMKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G329400.4.p pacid=40042874 transcript=Brasy3G329400.4 locus=Brasy3G329400 ID=Brasy3G329400.4.v1.1 annot-version=v1.1 MREWSGSAGFIAHQEHVFFQAQRCKISQQRCYLGHSEVEVTVAWSLLAGDKLAGWYGGALGADSSLPEDDESQGDGPSQDGTAAARKRTSKIQSATETVRAEIYSYLDLIAERVMKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G329400.5.p pacid=40042875 transcript=Brasy3G329400.5 locus=Brasy3G329400 ID=Brasy3G329400.5.v1.1 annot-version=v1.1 MREWSGSAGFIAHQEHVFFQAQRCKISQQRCYLGHSEVEVTVAWSLLAGDKLAGWYGGALGADSSLPEDDESQGDGPSQDGTAAARKRTSKIQSATETVRAEIYSYLDLIAERVMKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G329400.6.p pacid=40042876 transcript=Brasy3G329400.6 locus=Brasy3G329400 ID=Brasy3G329400.6.v1.1 annot-version=v1.1 MKLKPESKLRISMYSSTEIQIHLDDMRSEVTNLLQMMDIVHSMEIQSKEATMEKMGIQIRTEDKGKSAKENFGFDQSILESLELIYTMLLKKQTHSYKEVMQTQDSLMEAKQMGGEAKGRDEVMTEEDCFDQHRRSWVSNWSSLHGSFTETTTISPMHFTHATPGRTPFGAFVAKTLQMCSIKVMEIKDDFGLKWPLEVYGVVAARDTVDRNRNILFSRQRDDCQNLREKDPFLRLTGPSRAIVAEDRVDVEIQLKVKGGRTKNEDRVLISEVWRYNGRICPSSLEGQSCTLVLSAEEIRNSVQATIMSIRVIEGTTWPFKHGGRVVCSSVPRNSVPQNARSTDSKHIAASTSRQVILQDGAIDVDRNGYIGLSRQVVSVELYGILEVTIYGYSKNRQTAARSCVYMKAQSCNVSRHECCLHTCKMEIEVAWSRLVEDKRCI* >Brasy3G093300.1.p pacid=40042877 transcript=Brasy3G093300.1 locus=Brasy3G093300 ID=Brasy3G093300.1.v1.1 annot-version=v1.1 MARALLYPCLLCLALLTGGAAAHSQCLDNPPDLTAGGDEAGTVVDDLAGFKAYVTGAVHSDKAIVLASDFFGFQAPLLRKIADKVGEAGYYVVVPDFFNGQPYTEGANRTEWIQAHSPVKAAEDAKPIFAALKKERKSVVGVGGYCWGGKFAVEVAKTNEVEATVISHPSAVTADDMREIKFPIEILGAQNDTTTPPKLVYQIVHALRQRSQIHYYAKIFPGVAHGFACRYNATNPFAVETAEQALALMLDWFGKYLK* >Brasy3G061500.1.p pacid=40042878 transcript=Brasy3G061500.1 locus=Brasy3G061500 ID=Brasy3G061500.1.v1.1 annot-version=v1.1 MVIMDSSHSLLFLAAARSPRSGEAGEGRKEREERVGAKNCWTLEGDDKGGGHVLRAGGPASEEAKPGYDAAVPNRRLDPTPSLLSSRGPLAAVLLRRRPLPWRLGLLPPLLRFSSWVPPPRLTAIGPARRWLAIKLMADYVEEAGSHLPPGSHDGLSSSISSPTSPHARARAHLPASPSDPTPQRAGRARAREPDPPPPLARWPAAGQAPALAAWPRTDSEIVVVGGGAVGFDSESAKGGRLVVWLVG* >Brasy3G184700.1.p pacid=40042879 transcript=Brasy3G184700.1 locus=Brasy3G184700 ID=Brasy3G184700.1.v1.1 annot-version=v1.1 MASSQGTRMTLLLLVALLLLSNMTSVSHGRRIPDLDAAMKTLGPPPAKGFSSEQASSSSSSAATQHPADGYPRMHSVSKRLVPQGPNPLHN* >Brasy3G252900.1.p pacid=40042880 transcript=Brasy3G252900.1 locus=Brasy3G252900 ID=Brasy3G252900.1.v1.1 annot-version=v1.1 MCIQFQYKYSLPDAPPFHPQKGENENERHTYTVPECPQFLIRCGYLDPPLLRIWEPSPLLTTMHKDGLGRLLLLHVAALSTAAGAVAATAVLRRRRRQARKQERAAMLEMPRLQLAQHGGVEHLEKFSHYVARQLGFEDVNECPQLCKVANNYLRKSNNCLDDIYDLLADVPDAESLYVKLVEELDKCILGYFAFHWDLATTLISQALTVESATRKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGISTAGMNGDDDEAALCTPVMAPVAHKDRSPVLLLMGGGMGAGKSTVLKEILEEPFWTEAGANALVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTVAMARAVHRQRYRMGVGYKVGQDDGAVTENYWEPIPGHEGEQNGVAEGEEGARKPYRIEVVGVVCDAYLAVARGIRRAIITGRAVRVKPQLMSHKRFAANFHKYCHLVDGAKLYSSNSMGSPQSCVQLIAWKGGINGSLLVEPNEIDCLDKVGNLNENATSLHDLYPGGATTCGSRSIWDDMIVPPSRAAIQRELRDAIRSTTTTPTAS* >Brasy3G252900.2.p pacid=40042881 transcript=Brasy3G252900.2 locus=Brasy3G252900 ID=Brasy3G252900.2.v1.1 annot-version=v1.1 MCIQFQYKYSLPDAPPFHPQKGENENERHTYTVPECPQFLIRCGYLDPPLLRIWEPSPLLTTMHKDGLGRLLLLHVAALSTAAGAVAATAVLRRRRRQARKQERAAMLEMPRLQLAQHGGVEHLEKFSHYVARQLGFEDVNECPQLCKVANNYLRKSNNCLDDIYDLLADVPDAESLYVKLVEELDKCILGYFAFHWDLATTLISQALTVESATRKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGISTAGMNGDDDEAALCTPVMAPVAHKDRSPVLLLMGGGMGAGKSTVLKEILEEPFWTEAGANALVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTVAMARAVHRQRYRMGVGYKVGQDDGAVTENYWEPIPGHEGEQNGVAEGEEGARKPYRIEVVGVVCDAYLAVARGIRRAIITGRAVRVKPQLMSHKRFAANFHKYCHLVDGAKLYSSNSMGSPQLIAWKGGINGSLLVEPNEIDCLDKVGNLNENATSLHDLYPGGATTCGSRSIWDDMIVPPSRAAIQRELRDAIRSTTTTPTAS* >Brasy3G246100.1.p pacid=40042882 transcript=Brasy3G246100.1 locus=Brasy3G246100 ID=Brasy3G246100.1.v1.1 annot-version=v1.1 MRQTVDPDFPIILTTYWMALSDDQCLPNYKWKYVVVDDGRELKKSEFEFLDKLKGLPMGHKLLLIRFYFSGKEGEQQQHEEEKRAFLSKLNAILRLFLPRQTEEDIENWVPQKKDIENRVPQNKDTENRVPQNKGATCYRTKVVLNSDAISVTCSKDHSAIEGSKDHAGTEGARTGIESCQEVRAQVAFKNYGEGTPNVQSRQYGVEGNKVKRPRTNDAPRPTSPHEECNRLFQAPSKPVVPVQVPKSPSSELIFKSLKEIPELARSDILRAYSVLIHDDCKFESLMALPMDTRKDWLLMDTGNK* >Brasy3G270600.1.p pacid=40042883 transcript=Brasy3G270600.1 locus=Brasy3G270600 ID=Brasy3G270600.1.v1.1 annot-version=v1.1 MEDTSTPSSALLRCSGSDELGNSMRVYSAAAGKVPFEWEDEPGKPKSPPRLDVLPPLCPSPAMQSARLTDRRRRSLKRPVEPDAFEGCLPVKLHLGRAMRRWDIVCFFRGE* >Brasy3G232700.1.p pacid=40042884 transcript=Brasy3G232700.1 locus=Brasy3G232700 ID=Brasy3G232700.1.v1.1 annot-version=v1.1 MELVLPPFPSLLPKSHHHPPQSLSRARHGRLQEPIMALAQAPPLPLSLQEARSVHVPHRARPVEEPARAHPSSSIAREEPRFVSETKLIAFHSSAGRLDDARKVFDGMSHKDLLAWSSMIGAYATRGMYDEVLVLAVKMVREGVLPDRFLVTRILQACAYAEDLELGTVLHSMAIRRGFMGREKDVPVSNSVLVMYVKCGELGLARVVFEKMGRRDLGTWNSMIFGCCRSCKWEEARRLLDDMRKEGIEPGVVTWNTLISSYARSGDLNVAVELLEQMEESGVEPDVVTWTSLVSGFVHSDRGVEALQCFIRMRLAGVEPNGMTIACAISACASLKLLSQGSMLHCHAIKVGSVKNVLSGNSLVDMYAKCGETVAASRIFNQIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMENYGIRRNAITWNTMISGYIRNGDDERAFELFQTMESYGVKRDTASWNILIAGSVHNGYFDRALRIFRQMQAVLIKPDYITVLSIIPAFANLVAAWKVREIHACIFHHNLEIDGKIANALINAYSKSGDLAGARAVFDRHSSRNIISWNCIIVAHLLHGSPTEVLNHFYKMKQQGVLPDHTTLTAVIKAYGMEGMVSEGREIFLNMEKDYSVTPDLDHYAAMVDLLGRSGRLQEAYELLDEMPLTPNLTVWEALLTSAIMHGNVRLAHLAATEMSDIEPTDLRIQMVVSGLQDLAGKSFDVPKLTVHNKGRMLDGIECCSTEIRNIVYLFSPGDNVASEHIVAELELIMMQIGLSTLDISSGTLDVEEEKEEVAGIHCEKLAIALGISNSPQFRSIRIIKTTRMCNHCHTFAKLVSEKYGRQILIKDPKCLHKFENGKCSCEDYW* >Brasy3G212000.1.p pacid=40042885 transcript=Brasy3G212000.1 locus=Brasy3G212000 ID=Brasy3G212000.1.v1.1 annot-version=v1.1 MNNQCVPSWDLDDTAGLTPRSASGPSAHQPRGLAAPVVAVPMPEPDQYDEVAELTWEKGNIFWQGLLSRPPPKYPPPSAAAAPMHAGGAAGTDLREQTLEAVVGEAAARSSSHSHHHLAPNWLGVGADQVAAGVVPCAAGDGADEADEEARMRNNKRARRLQACASQGSAAAPGGARDNSATLLTLEPCGGGADHDVCGFTATTTNNSTSLDLDHGSPEETTENTSFGGGASDSRYFSRRSSQRDGLCDEAETVVIKEEPPVRMRSSISTKRSRAAAIHNESERKRRDRINQKMQTLQKLVPNSSKTDKASMLDEVIEHLKQLQAQVQMMSRMGSMMMPMGMAMAPPLQMSVMANMAQMAQMAQMGLGMMNMAAQPAAYASPMNMMQPPNPFVPIQPWDAAGDRQKQAAGIAAVPAYSAFLACQAAAQQQQQAQPNGMEAYNRMVAMYQKLSQQQSQPGNSKQ* >Brasy3G212000.2.p pacid=40042886 transcript=Brasy3G212000.2 locus=Brasy3G212000 ID=Brasy3G212000.2.v1.1 annot-version=v1.1 MRNNKRARRLQACASQGSAAAPGGARDNSATLLTLEPCGGGADHDVCGFTATTTNNSTSLDLDHGSPEETTENTSFGGGASDSRYFSRRSSQRDGLCDEAETVVIKEEPPVRMRSSISTKRSRAAAIHNESERKRRDRINQKMQTLQKLVPNSSKTDKASMLDEVIEHLKQLQAQVQMMSRMGSMMMPMGMAMAPPLQMSVMANMAQMAQMAQMGLGMMNMAAQPAAYASPMNMMQPPNPFVPIQPWDAAGDRQKQAAGIAAVPAYSAFLACQAAAQQQQQAQPNGMEAYNRMVAMYQKLSQQQSQPGNSKQ* >Brasy3G212000.3.p pacid=40042887 transcript=Brasy3G212000.3 locus=Brasy3G212000 ID=Brasy3G212000.3.v1.1 annot-version=v1.1 MRNNKRARRLQACASQGSAAAPGGARDNSATLLTLEPCGGGADHDVCGFTATTTNNSTSLDLDHGSPEETTENTSFGGGASDSRYFSRRSSQRDGLCDEAETVVIKEEPPVRMRSSISTKRSRAAAIHNESERKRRDRINQKMQTLQKLVPNSSKTDKASMLDEVIEHLKQLQAQVQMMSRMGSMMMPMGMAMAPPLQMSVMANMAQMAQMAQMGLGMMNMAAQPAAYASPMNMMQPPNPFVPIQPWDAAGDRQKQAAGIAAVPAYSAFLACQAAAQQQQAQPNGMEAYNRMVAMYQKLSQQQSQPGNSKQ* >Brasy3G212000.4.p pacid=40042888 transcript=Brasy3G212000.4 locus=Brasy3G212000 ID=Brasy3G212000.4.v1.1 annot-version=v1.1 MDLLGGPWAKKTKGQDQPEDADPAEARPQLKQDGQGVHAGRGDRAPEAAAGAGADDEPDGQHDDANGHGHGAAAANVRHGQHGPNGPDGSDGPGHDEHGRPARRLCVAHEYDAAAQPFRPHPTLGRRRRPAEAGRRNRRRARLLRVPRLPGGGAAAAASATERHGGVQQDGRHVPET* >Brasy3G212000.5.p pacid=40042889 transcript=Brasy3G212000.5 locus=Brasy3G212000 ID=Brasy3G212000.5.v1.1 annot-version=v1.1 MDLLGGPWAKKTKGQDQPEDADPAEARPQLKQDGQGVHAGRGDRAPEAAAGAGADDEPDGQHDDANGHGHGAAAANVRHGQHGPNGPDGSDGPGHDEHGRPARRLCVAHEYDAAAQPFRPHPTLGRRRRPAEAGRRNRRRARLLRVPRLPGGGAAAAASATERHGGVQQDGRHVPET* >Brasy3G002600.1.p pacid=40042890 transcript=Brasy3G002600.1 locus=Brasy3G002600 ID=Brasy3G002600.1.v1.1 annot-version=v1.1 MDQHSQPRTEADATNDTPVAYVAAGTVSGAAPVGVVFPAGTVFHVGPHGGPVYAATPKEDQQQQQLQAFWTDRLDEIEHTSDFKTHSLPLARIKKIMKASGENVQMVAGEATGVLAKACEIFIQELTLRSWLQTREKNRRTLQKNDIAAAVSRNEAFDFLVDVMQDNGVGLPTGTMQTMVPGMGNFGMYYGYQQPVPLAWSQLEQQPPSYIGEEQQPPSGGGQDE* >Brasy3G237500.1.p pacid=40042891 transcript=Brasy3G237500.1 locus=Brasy3G237500 ID=Brasy3G237500.1.v1.1 annot-version=v1.1 MDKKKGVDDTEPGPAPSRPVDRFGFIKTEHSNSPEGILKSRSTHGHEREERRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSSSELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHSPMEGLYQAGLPLVQQYLCQFEKLVTEHMPKLGQHFQDEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVNLPFEQLLHSLRNFPEEATDPDTLLPLAFSFKVSSRLVELDKEYRKKLEGPSASSSSKRFEPLKSRVMSRASSHISSSPNVSKK* >Brasy3G237500.2.p pacid=40042892 transcript=Brasy3G237500.2 locus=Brasy3G237500 ID=Brasy3G237500.2.v1.1 annot-version=v1.1 MDKKKGVDDTEPGPAPSRPVDRFGFIKTEHSNSPEGILKSRSTHGHEREERRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSSSELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHSPMEGLYQAGLPLVQQYLCQFEKLVTEHMPKLGQHFQDEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVNLPFEQLLHSLRNFPEEATDPDTLLPLAFSFKVSSRLVELDKEYRKKLEGPSASSSSKRFEPLKSRVMSRASSHISSSPNVSKK* >Brasy3G012200.1.p pacid=40042893 transcript=Brasy3G012200.1 locus=Brasy3G012200 ID=Brasy3G012200.1.v1.1 annot-version=v1.1 MLLRVRSRLPAAALSRAALHPRASPAPVPVPPQHGPVGTVNQDSQLEPRSGWIGRARGHATMARTNPVTLLPASLAGHYKVGTQDRRFSSTGLPPHMVIGMPALSPTMNQGNLAKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILVPEGSKDVQVGEPIFVTVEESEDIKNIPADTSFGGEQKEEQSSGSAAQSVEVDAAETSSVTSRISPAAKILIKEHGLDASLLKASGPRGTLLKGDVLAALKSGTASSAKEKTAPVAPSPKPTRDTQAQSPVTSQKSDTFEDITNTQIRKVIAKRLLESKQTTPHLYLSKDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWDTAKQEAQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGSFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKVVEPVMDSDGTEKAAVLTKMSLTLSADHRIFDGQVGGKFFTELASNFSDIRRLLL* >Brasy3G108500.1.p pacid=40042894 transcript=Brasy3G108500.1 locus=Brasy3G108500 ID=Brasy3G108500.1.v1.1 annot-version=v1.1 MPAESSSMHHRRSRDQRKCMVAISLTLWCRPGWRTRTPSPKNSALDIPEPLRSGIDTELLPDGFSEFSEDMPDAIPPPPLPVLSHPLLLHLDSISVLREGADGTDTDGGDKDSYRFNWARGSVDGTADVPDIPYLLVVR* >Brasy3G322700.1.p pacid=40042895 transcript=Brasy3G322700.1 locus=Brasy3G322700 ID=Brasy3G322700.1.v1.1 annot-version=v1.1 MLGSVVQLKVDYEEAKQLPIGKAVYSDVVSVGGHLWRIDCYPRFFFSQITIVCAIMVTDDSSIPVPPSDIGTHLGGLLDRTDGTDVAFIVDGQTFHAHRAVLAARSPVFRAELFGSMAEAAMPSITLHEIVPATFEIMLRFIYTDALPGDNELSDSSIEMFQNLLAAADRYALDRLKFICAQKLWDKVSVDTVSTILACAETYDCPELKNRCIDFFVAEENFKKVVFTEGYCSLGLKFPSITAELRKRVWP* >Brasy3G148600.1.p pacid=40042896 transcript=Brasy3G148600.1 locus=Brasy3G148600 ID=Brasy3G148600.1.v1.1 annot-version=v1.1 MPRLLPVGIGLSSISSMHNTRSTSRLLRASRPELNPGVREVKCESSVSFDNSKYEMDSMKRKKLSRVLELNGEHPKKEVDIVPDIEDFRYDKIKAEASISTDGVPAPSIRLEKKVKVSSVLKVVAPENWEAVLGGIKSMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRTDETTLANLIKPVGFYQRKAQFIKEASKVCLKRFGGDIPDSLTELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVYGEGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCVNCGINTLCPSAFKEPSSPNPKQKKRGLGRT* >Brasy3G032100.1.p pacid=40042897 transcript=Brasy3G032100.1 locus=Brasy3G032100 ID=Brasy3G032100.1.v1.1 annot-version=v1.1 MYSSTHGRHDGAAAAAEHGRQVYGRSNEQGRRGYAGRGSEGHGHGYGTGLGHPRARRADKSGWWRWAMAVVFTVLAILVLLAAIAILLVVLVLQPRVPYLAVQSATLTSLLYDQQGVLDYAELGLAVAAANGNHARGATAVFSDLRLQLTFHGTVAAVLTADPFEVAPRGSLTLPYVVRTAKVPLDGAGRAAMARALENGVVPFGIAGQARTRWRIGGFVAIKYWTRLSCEIRFFWPNGSALHFTCNSKAKSRY* >Brasy3G324600.1.p pacid=40042898 transcript=Brasy3G324600.1 locus=Brasy3G324600 ID=Brasy3G324600.1.v1.1 annot-version=v1.1 MDTPELQQFLEQEKQKMMVSEMVGKLTNVCWDKCITSTPGSKFSSGETTCLTNCAQRYLDMSVIIAKRFQMQ* >Brasy3G058600.1.p pacid=40042899 transcript=Brasy3G058600.1 locus=Brasy3G058600 ID=Brasy3G058600.1.v1.1 annot-version=v1.1 MAITKALFLIMVTAASLLGTALGASYTVGAPAGSWDLKTNYTQWASARRFFPGDSLHFRYPTKEHNVLEVTKAGYDTCNTSVSSSGNSSAAAVSTVIATYQTGNDIIPLAASGVTTRYFVCGVAGHCAAGMKLKVDVGAQPPVQCRGRGIRRRCTRPPPAAPAASSAVGGVDRSSIWLAAVVGAGSLLLCF* >Brasy3G266500.1.p pacid=40042900 transcript=Brasy3G266500.1 locus=Brasy3G266500 ID=Brasy3G266500.1.v1.1 annot-version=v1.1 MTIREAKPEVEELGNILCFAVERQLPLPGTGSPSPACTCGCPEDLVLQEQQTADRPMRVGQGVEGIRCRRWPDLRRRT* >Brasy3G197300.1.p pacid=40042901 transcript=Brasy3G197300.1 locus=Brasy3G197300 ID=Brasy3G197300.1.v1.1 annot-version=v1.1 MCEGLIDRPLLSCRCNAKDGVGVGVAVAPANDVVTVVVVSKPAAAVPVLADRPSSSLTKATASKEAASILGLSLPMIMTGLILYVRPMISMLFLGRLGDLALAGGSLAMGFANITGYSVLSGLAAGMEPVCGQAVGANNLPLVGATARRMVLLLLAASLPVGFLWAQMAPLLLLCGQDASVSAVAQRYVLFALPDLFFQCFLHPLRLYLRAQSINLPLTISATLAVAVHLPINYLFVTVLGLGVEGVALAAALTNLNLVLFLLAYVYVSGVHHATGGLFGFSASGFFEDVAGWARLARLAVESCASVCLEWWWYEIMVLLCGLLANPEATVASMGVLIQTTSLLYIFPSSLGYGVSTRVSNELGANRPRSARAAARAGLALAALQGVVSCLFAVAVRGVWARMFTSDAAILALTASVLPVLGMCELGNCPQTVGCGVLRGSARPSAGARINLGAFYGVGMPVALGLAFWAGMGFGGLWLGLLAAQAACVAVMLVVVGRTDWDRQAQLAQVLAGVAADEDVERGGRCVKGNVDGGKEVNVAAPHGDEDSSLFVTVVGLTGDMP* >Brasy3G238100.1.p pacid=40042902 transcript=Brasy3G238100.1 locus=Brasy3G238100 ID=Brasy3G238100.1.v1.1 annot-version=v1.1 SLSPSLASKAQTLISSSGLRRERERGAFGASSPMNNLVVADRAVGLASHFFIWLLGACLLAIIIGRRAGGEDSPMATAGREVGLVAFILCGLLCILHMVLLTLGLVVEPRKKMMVDQPTTTSCLFSKSNPGRSLV* >Brasy3G225500.1.p pacid=40042903 transcript=Brasy3G225500.1 locus=Brasy3G225500 ID=Brasy3G225500.1.v1.1 annot-version=v1.1 MGIEELKQRAATRINKHTTNETPMAAAQQQGVLSGEGEEEEVQPWADGGLLEPAVLVEQVGQAEVAVGRGWSCGVAGHGRRWKAAGSGGGAVSSSRRRSTVGGRRRGEQLQVACPPRPPLDPMAAGARHRAAGEGNGGRGEGSGGERREEARGEAGGGGEWASGGQTGGERAEMAAARREWRALRLGKSGAVATGMGGGATNAPVGETASGGGRRGKWREEKK* >Brasy3G256000.1.p pacid=40042904 transcript=Brasy3G256000.1 locus=Brasy3G256000 ID=Brasy3G256000.1.v1.1 annot-version=v1.1 MEEGLPPGFRFHPTDEELITYYLSRKVSDFAFTTRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFHCGRLAGMKKTLVFYGGRAPKGEKTSWVMHEYRIQNKFPYKPNKEEWVVCRVFKKSQIVKMRHPQDSPEMDSPCHDTNVSLGELGELDVSSMLGSFAPSAAAAHASGAENFGHRVDMGAYMGWLAAAAANQGAAAMLPWAPGLLGTVFAANPGVQKALPFAGCSQPRDVVGAGDALFGSVMPKADMECEQQHHHSQLEINESTWRTF* >Brasy3G256000.2.p pacid=40042905 transcript=Brasy3G256000.2 locus=Brasy3G256000 ID=Brasy3G256000.2.v1.1 annot-version=v1.1 MEEGLPPGFRFHPTDEELITYYLSRKVSDFAFTTRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFHCGRLAGMKKTLVFYGGRAPKGEKTSWVMHEYRIQNKFPYKPNKEWVVCRVFKKSQIVKMRHPQDSPEMDSPCHDTNVSLGELGELDVSSMLGSFAPSAAAAHASGAENFGHRVDMGAYMGWLAAAAANQGAAAMLPWAPGLLGTVFAANPGVQKALPFAGCSQPRDVVGAGDALFGSVMPKADMECEQQHHHSQLEINESTWRTF* >Brasy3G282900.1.p pacid=40042906 transcript=Brasy3G282900.1 locus=Brasy3G282900 ID=Brasy3G282900.1.v1.1 annot-version=v1.1 MDLGDGGGPERKGAAPPMPLARQGSVYSLTFDEFQSTLGGASGGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAPAGELQRQGSLTLPRTLSIKTVDEVWRDFVRDAPPGAAGGGEPLPKRQPTLGEMTLEDFLVRAGVVRENPAASAAVDAAVPPPLAARPIQVVNNGSMFFENFGGANGASGASAMGFAPVGIGDPTMGNGMMPVAGTVVGAVTVGQLDSVGKVNGEPSLPVEPVPYPFEGVIRGRRSGGHVEKVVERRQRRMIKNRESAARSRARKQSCPCHVENDLKTMDIGSLQLEPVQQTCQTYVLNSCICTHAAVLWLQEAALKDNILNLINRHVGFALAMLITMDIAQGIHYGVGS* >Brasy3G282900.2.p pacid=40042907 transcript=Brasy3G282900.2 locus=Brasy3G282900 ID=Brasy3G282900.2.v1.1 annot-version=v1.1 MDLGDGGGPERKGAAPPMPLARQGSVYSLTFDEFQSTLGGASGGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAPAGELQRQGSLTLPRTLSIKTVDEVWRDFVRDAPPGAAGGGEPLPKRQPTLGEMTLEDFLVRAGVVRENPAASAAVDAAVPPPLAARPIQVVNNGSMFFENFGGANGASGASAMGFAPVGIGDPTMGNGMMPVAGTVVGAVTVGQLDSVGKVNGEPSLPVEPVPYPFEGVIRGRRSGGHVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNEELQKKQEEMLEMQKNQALEVINNPYGQKKRCLRRSLTGPW* >Brasy3G282900.3.p pacid=40042908 transcript=Brasy3G282900.3 locus=Brasy3G282900 ID=Brasy3G282900.3.v1.1 annot-version=v1.1 MDLGDGGGPERKGAAPPMPLARQGSVYSLTFDEFQSTLGGASGGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAPAGELQRQGSLTLPRTLSIKTVDEVWRDFVRDAPPGAAGGGEPLPKRQPTLGEMTLEDFLVRAGVVRENPAASAAVDAAVPPPLAARPIQVVNNGSMFFENFGGANGASGASAMGFAPVGIGDPTMGNGMMPVAGTVVGAVTVGQLDSVGKVNGEPSLPVEPVPYPFEGVIRGRRSGGHVEKVVERRQRRMIKNRESAARSRARKQEEMLEMQKNQALEVINNPYGQKKRCLRRSLTGPW* >Brasy3G108000.1.p pacid=40042909 transcript=Brasy3G108000.1 locus=Brasy3G108000 ID=Brasy3G108000.1.v1.1 annot-version=v1.1 MEAAQTVVLASVPASTAGVPQHKILDLKVMSADPAVQAPARKTKKKLSAGGGGYVLEDVPHLKDYLPHLPSYPNPLQNHPAYSVVKQYFVNPGDTVSKRIVVHESSARGTHFRRAGPRQRVYFQPGEVVAAIVTCGGLCPGLNTVIRELVCGLHDMYGVASVVGIEGGYKGFYARNTMELTPRAVNGIHKRGGTVLRASRGGQDTAKVVDSIQDRGINQVYIIGGDGTQKGAAQVHEEVQRRGLRCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEAESAENGIGVVKLMGRNSGFITMHATLASRDVDCCLIPESPFYLEGKDGLLEFCEKRLRDNGHMVIVVAEGAGQDLIAKGMNRADTHDASGNRHLLDVGLWVSQKIKEHFKKKPSFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSAVHGAMAGYTGFVVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEKARQDEEEPHVLLVEGENSLVRASPMSMCNGHGYF* >Brasy3G281100.1.p pacid=40042910 transcript=Brasy3G281100.1 locus=Brasy3G281100 ID=Brasy3G281100.1.v1.1 annot-version=v1.1 MFATLAPARALGRNPSGASALAAAVHGAVFLIPPRRPHVRRAHRPAPQCPAATAPPPGTHGSARGRARLPQAPRASAAAPVPAPPTPRAAAARRRACLCCRPRAAASSSAAASARERTAPFAAARASAPTPPAPRAAPAAPCAAARASAPTPPSPHAAACCPARRRCLAAGEERRQGGREGGEELAPAACPAIAGRRLRRFGVVPALDHAAVRRRQRRDLNPNGANAGSGPVNLTCGPGRSETRLFASIDKISAMCNNFTESRWFCAKISKTGSFRYNCLKCGGSACQLMALLLMAAANLQLLNLWQKDENRRTW* >Brasy3G027200.1.p pacid=40042911 transcript=Brasy3G027200.1 locus=Brasy3G027200 ID=Brasy3G027200.1.v1.1 annot-version=v1.1 MFPALKLLLLPHLLLLASTACSQALPFSNGTDLNALLAFKAGINRHSDALASWNTNIDLCKWRGVICSHWHKQRVSALNLSSAGLVGYISPSVGNLTYLTSLDLSYNLLHGEIPQTIGQLTLMSYLDLSNNSLQGEMPWTIGRLSQLTYLYLSNNSLHGEITHGLRNCTRLVSIKLDLNNLSREIPDWLGGLSRIETISLGKNSFTGSMPSSLGNLSSLLRLYLNENQLSGPIPESLGRLGNLESLALEVNHLSGNIPRTLFNISSLTHIGLQMNELQGTLPSNMGNGLRKIRYLILARNHFTGRIPASIANATTIKSMDLSGNNLTGIVPPEIGTLCPNFLMLHGNQLQANTVQDWGFITLLTNCTSLRWITLRNNRFSGELPSSIANLSRELLALDIRYNEISGKIPVGIGSFPKLFKLGLSSNQFTGPIPDSIGRLKMLQFLTLENNLISGMMPSSLGNLTELQHLSVDNNMLEGPIPPNIGNLQRLVSATFSNNALSGPLPGEIFSLSSLSYILDLSRNHFSSSLPSQVSGLTKLTYLYIHGNNLSGVLPAGLSNCQSLMELRLDGNYFNGVIPSSMSKMRGLVLLNLTKNRLTGAIPQELGLMTGLQELYLARNNLSAQIPETFENMKSLYRLEVSFNQLDGQVPEHGVFTNLTGFIFYGNDNLCGGIQELHLPPCPTKTMGHTQRMTQLIRKAVIPTAIVVFVCFIMALGFFSLKNFKNKLTLTSIRTALVTPSLMGDMYPRVSYSRLYHATNGFTTNNLVGTGRYGCVYKGIMMLKKSVSTVAVKVFDLEQSGSSESFVAECEVLGKVHHRNLIGVITCCSCSDFNQNDFKAIVLDFMPYGGLDKWLHPEIYGSNPVQILTLVQRLSIASDIAAALDYLHNNCQPAIVHCDFKPSNILLGEDMVAHVGDFGLAKILTDPEGKQLINSKSSIAGTIGYVAAEYSEGGQISPSGDVYSFGIVLLQMFTGKAPTDGMFTDGLTLLEYAKKAFPAQLMEIIDPLLLSVEKIQGDLNSIMYSVTRLALACSRKRPTERLSMRDVVAEMHRIMARYAAEETSESSSE* >Brasy3G253500.1.p pacid=40042912 transcript=Brasy3G253500.1 locus=Brasy3G253500 ID=Brasy3G253500.1.v1.1 annot-version=v1.1 MVYGYGKYKISDPSGKRNGTDTQYDSWEEHLVMVLILYALFYVLLVRLHFFDSFKQNKNLLNQN* >Brasy3G092500.1.p pacid=40042913 transcript=Brasy3G092500.1 locus=Brasy3G092500 ID=Brasy3G092500.1.v1.1 annot-version=v1.1 STVSECSETKSTVSECSETKSTLSPVSNLINEEKTSDDDDKQTVSADDDKQPDVPQHTNDGTYDYLPQDYTLTELDQCAHLVIEDSSEKEILVKIDQVYVKQCDLMCLLDGAKWQNDDVISAYIYCIKEVHEQNKNDHKVYFKNTFLAGLLKRDGKIGIHEATFMTKIVGNYLKHDMIHLPININHSHWYLACVNVEKSEIQVLDSLCWEHNRVDLINTLQGLQYHLDILKTQKNLSNHNWKDLDVTKWTIIEQLHNPIQEDSSSCGLFMLKFMEYWTGHTLTHPITQENIINFRYKLAAILLCWKTNTAQSTEVIEESDYSEGDPDDVMMLEGLDDENQPKPLNSLSIEKRYQSLISVVSNMSVHELEGGLCNYIKSINSAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFRMITDFGRHPNYRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSHREFILFVLAKDTRTVYILDPTPIDPIYQRNPLAKYVPRLLWIAEHLPKAMSKACPGSTWNENIFLWRQQIINNIPIHNRE* >Brasy3G237200.1.p pacid=40042914 transcript=Brasy3G237200.1 locus=Brasy3G237200 ID=Brasy3G237200.1.v1.1 annot-version=v1.1 MDPPTSEDEPMPLINDDGDGDDMMEPIPDFAIYTILTHLPPTSATRSKLVCKSWSSMISSPSFARDLHAARPTLLPSPSPSILLFDGAARFPAAVVDERGAARLALRRWRAEAREGYSVQNCCGALTCLRSGQGSAELLNPATGGCLQLGGGRAQDRDTRTAAEQLPWYCLGRCGEHYKVVRLDVRVPYNGRPHVTCEVCALDGDALRRRQWRWQEVAVLHVDYCPTGRGVHIGGVVYYLVDSILYSSVAFFDLSTHVFGHMDHPGTVDGMATSLSELDGRLCASMVPAGACGDGGTTTNMDVWVLTGDDQNGEKRWTHKYTFELDGTARHVPRPLFVTGGGMLVMKCADGSLCHYDVGANSGAGGGMDDGEVLVFQHKSSGRRMTGTTADVFVESLLPLRTILKGN* >Brasy3G292600.1.p pacid=40042915 transcript=Brasy3G292600.1 locus=Brasy3G292600 ID=Brasy3G292600.1.v1.1 annot-version=v1.1 MWTAASFSPLRSLAHFSPTAANRCDPPFPLLPMPRIHSSGRHSSLRSRPEFVPPPPCPEDTDPGSSSRSPAGCHASPPPAALNPTCSRSESPPPPRRTRSSASHLLRHQQQQPRVLQAQRPRGRGGGAGMEAAGTRGGSQSLLRQRHLGRRRGDGAGTRGVGNSGRGGHGGGRNLSRGVAVAGTRGGAGNSRRCGRHLGQRELGASERQPELGVAARTRGGAARRSAVVSGLGGGGSTRKPPLQVGDSGVRKRRGCCTWSSSSSDMATRADMGPKPDDETRGDAAAELAQERQRARTGRERTVCNVLSHYCFIHLHSVYLMYTGFLQFLARISRKAWTAQISSSFATRCRKSAPVF* >Brasy3G084700.1.p pacid=40042916 transcript=Brasy3G084700.1 locus=Brasy3G084700 ID=Brasy3G084700.1.v1.1 annot-version=v1.1 MAAPEILEVQCAGCGETLEVERGLTEFACPGCATPQALPPELMPPTPPPRPRRALPLHHGRGGAGAGAGGPPPMPCAGCGSVLALPWGLRRLECPVCATDLAVDGDSLRAIHHAVQVISRAAVAPMSLRRPEVQEEHISQAICVGQVQAGRYNKPIHFEQTLGPLPARLVHREESTNSPTSNTITGVYMGHAKDANQLFHGEESHINSLNRTVPRLSATPGSICAERVRVEHPSKVSNVPPRSQGEPLNYSVHTEEVQGQCQSNTIGDHGNQRASNASLSSTVEQETVKSSSQTASAEQVQVELHGNTTSWHEKRKRSSRTTGAKRKKKHSSNRELHLKCNKQSTTQTESTRNNNTIQEPVLSPEQNQIDPADIDRITVDLYPSPLSQKQAPQVGSDELDNADVSLTPVSIGHGISRVGRVLQYPAEAICAVPNRSFNSAQVYQMPQQTSGRIHPRDKVGAQVWQNPSSTVMHQQQIQDDSHPEHVPLLAAIPLPSNTLPTVLTRLSTHRPQLHCQPPETIHSQDAHAAGIEYKMRRPRGPAKLVEPRREADRPVLTPYNVDTWDIDPPCPKVSSTITALLKRWHPGSTCIPVSQRTNEVHQGQLVIHFHQYHADKKAIIMDEFLQRYKWAPGQEAECLKLFDRKTVRQFSVILCDEKRRARLELAASKRALDAPESNRQTNLDEEGARGKVKQPLRDPSSVGRDDDDPLQWKPFPPDWMLPKWWNMLCEHWASEEILQVSSQKRKNRNTGGSAQHTAGSRSIAMHRKLMMMENGGKPVSEIELFNKTHRHDGGKGEFVTEKARRTLENFQRRLEEAADTDQLDPHLLWVEVAGGHKRGRYYGLPGVIDKARIRTLSKSSGRKRPRQMFTQDQVQEMINHATQQLNETWENRFQSLEQSMRGLGSSDVPQSTSVSADEATYQSAEDESGEHIGD* >Brasy3G221200.1.p pacid=40042917 transcript=Brasy3G221200.1 locus=Brasy3G221200 ID=Brasy3G221200.1.v1.1 annot-version=v1.1 MAAAAEPSSADTSRRYVVGYALAPKKQQSFIQPSLLSRASSRDIDLVPVDEARPLAEQGPFDLIIHKLYGHDWRAQLQAFSALHPSVPVVDPPHAIDRLHNRISMLQVVSELDVPLLNDGSGDHDTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSKEILEDTANEGTVSFSQVSNLPTQRTAQEYYDDVRLEDAVMPPTDFVNEIAGGLRRALGLQLFNFDMIRDTRAGHRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDVALKEKKEEESNHATVK* >Brasy3G072000.1.p pacid=40042918 transcript=Brasy3G072000.1 locus=Brasy3G072000 ID=Brasy3G072000.1.v1.1 annot-version=v1.1 MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVARIGMRKTLVFYKGRAPHGLKSDWIMHEYRLLDAEDSSSAATAAMVTASSVAASEAAGQQGPEDGWVVCRVFKKKHHHKDSTNSGSGSGNKKAAALRRSSSSPLYSSGDDAALDQILHYMGRSSAACKQEHEPAPAQAQAQARPASRYLRPIETALAGGHGFMKLPPLESPSSAQAAQPTTTTPVPETTMDWAMMDRLVASHLNGQLHDDHASTTGDVDSHLCSAFDVAGAGEDNDDGGLAFYSAAATRLLGAAAGAVDDDLWSFARSAERLSHHNASQ* >Brasy3G049200.1.p pacid=40042919 transcript=Brasy3G049200.1 locus=Brasy3G049200 ID=Brasy3G049200.1.v1.1 annot-version=v1.1 MGRRDIVVALRGTCTVLEWADNVRAALVPAHHKEPSSSSSPAPGKVECGFWSLYNTPADASPETSLSSTVVSEIRKLLKKYEGEEISITVTGHSLGAALSVLIADELTSAVCPGGPPVAVFSFGGPRVGDGEFAARVEAQGARVLRVVNAHDVVPRCFFPGAGGRWYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRANAKRSILRLLQNQGGNVKQLYISKAMENMRHIHGLDGGAGAGDDGAPAGSPLGRRLVECVQ* >Brasy3G218300.1.p pacid=40042920 transcript=Brasy3G218300.1 locus=Brasy3G218300 ID=Brasy3G218300.1.v1.1 annot-version=v1.1 MATEPPAPAPASPTGARTLSAAFAEDRRREARRRAAHLLPGSRAQVMLMLHRWGASCLPKSNAALRARAATPTQIPQPRPSSYAPTPPAAATRTGDASVAATARRPLREREEADAVGPALTPTESRDEPVAAGDGSNWRSDTATTVGRGANIWVRVSRKPSPQVESSPRQQVGLPPAAEDKYVWADMYRPSVLGEFICNKAVADELHRLVTTRQCNHFIFEGMQAVGKRSMVLALLRDAFGPQDLKIEERPKRIELKGEIARHIDIKIKSSDHHVEVNLSDLHGYEKQVITTLLNESIPPPDLICDHTNCRVIVVHDADRISSDLQHYIGWFLGRYVGCSKIIFCCSNSSNLEAVKHLCKVITLLPPSFDEIIRVLEFIATQEGIYLPREIASRIATSASTNLRQAIRSFEATWKANYPFVEDQPILTGWEEEISDVAKKIMEEPSPKQLYLIRGKIRKMIEHNVSPYYIFCHLVTELKRDRDEDFQNSIDELASELNQKKQCKDYKSRDSTWNRRDINIEGFAVESPDQGEAIQCFIKIEEFTVRFMSFYRSLIVAKNSSRGDVV* >Brasy3G312900.1.p pacid=40042921 transcript=Brasy3G312900.1 locus=Brasy3G312900 ID=Brasy3G312900.1.v1.1 annot-version=v1.1 MDFFKIKKFVKGRKGGKGEEEIVECEEDVRSGNVASEGDVSEENPEAAAAGAGAGVANGGLEGGGEEEDDEDDDFITNEVKRRLKEIRKNNFMVLIPEEENGEGEEDGEGEEEEEEGSSSREWMESDVGQGFPLSGFDSLYDKYCQRMVAFDKMLTQVLKDAGSFNISKKSPRSASKLASTFRSLSFKKRDELQEDSEHLQQQQSEDDPYQILETAYVAQVSLSWEALHCTYMHLSLILAAQPENPATYSCAAQAFQEFQVLLQRFIENEPFEQGSRVEIYARSRGSFSKLLQVPTFQVADKKDNPEDQMEPSIFAPDLIKLLEESILTFRLFLKKDKKKSSVLMSVHGHTGSSIQQVLSSLDKKEMKVKELFKKKKGWKNKTWPTTMEEVQLLFALTDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC* >Brasy3G312900.2.p pacid=40042922 transcript=Brasy3G312900.2 locus=Brasy3G312900 ID=Brasy3G312900.2.v1.1 annot-version=v1.1 MDFFKIKKFVKGRKGGKGEEEIVECEEDVRSGNVASEGDVSEENPEAAAAGAGAGVANGGLEGGGEEEDDEDDDFITNEVKRRLKEIRKNNFMVLIPEEENGEGEEDGEGEEEEEEGSSSREWMESDVGQGFPLSGFDSLYDKYCQRMVAFDKMLTQVLKDAGSFNISKKSPRSASKLASTFRSLSFKKRDELQEDSEHLQQQQSEDDPYQILETAYVAQVSLSWEALHCTYMHLSLILAAQPENPATYSCAAQAFQEFQVLLQRFIENEPFEQGSRVEIYARSRGSFSKLLQVPTFQEGDEGERAIQEEKGMEEQDLANHNGGSAAAVCLDRHQSCVEGPENGQAQQGAAAVVRGEDEQAGSL* >Brasy3G236000.1.p pacid=40042923 transcript=Brasy3G236000.1 locus=Brasy3G236000 ID=Brasy3G236000.1.v1.1 annot-version=v1.1 MYPESHHSSDPTDATPGRRRKRRASRRGPVPSRFPAPAVELAVEVDIRSSSSSATDLSVGGEEACGMCTRSVGDEEQPEILHCGHGFHRRCIRRWLRVNLACPVCNSTQIVLSGEVDGEASRPRHWHLRSSSDVELPAASADDSVIATARWSFQA* >Brasy3G245200.1.p pacid=40042924 transcript=Brasy3G245200.1 locus=Brasy3G245200 ID=Brasy3G245200.1.v1.1 annot-version=v1.1 MGVVEFSVLGAVQKFRSLITGSAPAAEDEARRASAPPLPSTPLPSGSVSPVDSPPPAARSGGRRAIALRRQISSPQFLRCHAVRRADDDEDGEPGVQFFTPGNDYLHDFSDTEVVSVSTPNELNRSVTLSPFESPTCMVWQNDGTQTSRRNGRFSLDSLDHGTKLNGRIDGRSGEGDMTVHPVDFDANIWCPPLPEDEGDDTESRLFGFDDEDDELEDSSNLLAIGCFSTDKIAGVDAVTGIAHKEGVRNAVLGHFRALVAQLLNGEGISVGDDDGCISWLEIVSSLSWQAASYVRPNTTKGGSMDPTDYVKVKCLASGDPIDSNLVRGVVCSKNVKHKRMISEHRNAKLLILGGSLEYQKVANKLASIDTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSYAQELLAKGISLVLNVKRPLLERISRCTGAQIASSVENIASARLGQCEMFKVQKVLEFPSGRQTHRRSTKTLMFFQGCPKRLGCTVLLRGSCREELKKIKRAVQLAVFAAYHLSLETSFFADEGATLPKVPSRPVIVVPDMQSGRSHFSGSAGIIVPHKLKEVQGDDSRTTTVNSTLEEISVSPSSLSLNEEGEGVLFEHRESDSPVDHMDSHDHYLTHAIDSCNGRKISPCFLDHDSGSSGNKCQEVDHWNRKPHYGCHSGDLKDQIDFSGEYFPTTENSQSILVALSSTCIPKSLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYIHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKNGVPPATRRVILSDAAWGLSFGKFLELSFSNHATANRFASCGHSLQRDCLRFYGYANMVAFFRYSPVDILSVNLPPSLLCFNYRNQQDWTKTVAVEVFGKMKSLHWEVSDFLHRTEKSILIEDEPIKTGIQRQIIELKDLLNMERNEYEILLLPVISDSNHYMQASIDILELNRLRRGLILDAYLWDRRLCYIDSLLETNGHVSKTNPSEILSDIRLKERKADSLQVDTNIGKPTGLLHSPGSPRKSLLSREVCLNDNEYNMAEEELQIDLVDHPACEIEDLDKVFSRFIEEEEQLTTKAAIGIEPIERLPSLASIFSDKIDLAWTGSSELQYDLPQGFTKLDENRSCSLLDNSSYRNAPVRIHSFDSTLRSRQRERTGLAPTSLHLPSSRSAEYFGGSTSISKDPMQNIRRACSQRSPGAIEKLNVIFTRTPMHISSASHMVDDGARLLLPPIGSEDAVVAVYDDEPTSIVAYAMTSQEYVQQVSRKLNSTLSFSHVPNATEASHGLEGAFPSQEDNLDSKGTHFKFSFDDDTPLSPDKAKFSVICYFAKHFAMLRKKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFARTLDERFIIKQVTKTELDSFVEFAPQYFQYLMESLTSGSPTCLAKIIGLYQVSVKGLKGGREVKMDLMVMENLFFERKIPRVYDLKGSLRSRYTTGDSKVLLDSNLIEALHTKPIFLGSHAKRRLERAVWNDTSFLASADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNEAPTIISPMQYKKRFRKAMSKYFLTVPDKWSS* >Brasy3G245200.2.p pacid=40042925 transcript=Brasy3G245200.2 locus=Brasy3G245200 ID=Brasy3G245200.2.v1.1 annot-version=v1.1 MGVVEFSVLGAVQKFRSLITGSAPAAEDEARRASAPPLPSTPLPSGSVSPVDSPPPAARSGGRRAIALRRQISSPQFLRCHAVRRADDDEDGEPGVQFFTPGNDYLHDFSDTEVVSVSTPNELNRSVTLSPFESPTCMVWQNDGTQTSRRNGRFSLDSLDHGTKLNGRIDGRSGEGDMTVHPVDFDANIWCPPLPEDEGDDTESRLFGFDDEDDELEDSSNLLAIGCFSTDKIAGVDAVTGIAHKEGVRNAVLGHFRALVAQLLNGEGISVGDDDGCISWLEIVSSLSWQAASYVRPNTTKGGSMDPTDYVKVKCLASGDPIDSNLVRGVVCSKNVKHKRMISEHRNAKLLILGGSLEYQKVANKLASIDTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSYAQELLAKGISLVLNVKRPLLERISRCTGAQIASSVENIASARLGQCEMFKVQKVLEFPSGRQTHRRSTKTLMFFQGCPKRLGCTVLLRGSCREELKKIKRAVQLAVFAAYHLSLETSFFADEGATLPKVPSRPVIVVPDMQSGRSHFSGSAGIIVPHKLKEVQGDDSRTTTVNSTLEEISVSPSSLSLNEEGEGVLFEHRESDSPVDHMDSHDHYLTHAIDSCNGRKISPCFLDHDSGSSGNKCQEVDHWNRKPHYGCHSGDLKDQIDFSGEYFPTTENSQSILVALSSTCIPKSLAYCCPSCKEPSESHVRCYIHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKNGVPPATRRVILSDAAWGLSFGKFLELSFSNHATANRFASCGHSLQRDCLRFYGYANMVAFFRYSPVDILSVNLPPSLLCFNYRNQQDWTKTVAVEVFGKMKSLHWEVSDFLHRTEKSILIEDEPIKTGIQRQIIELKDLLNMERNEYEILLLPVISDSNHYMQASIDILELNRLRRGLILDAYLWDRRLCYIDSLLETNGHVSKTNPSEILSDIRLKERKADSLQVDTNIGKPTGLLHSPGSPRKSLLSREVCLNDNEYNMAEEELQIDLVDHPACEIEDLDKVFSRFIEEEEQLTTKAAIGIEPIERLPSLASIFSDKIDLAWTGSSELQYDLPQGFTKLDENRSCSLLDNSSYRNAPVRIHSFDSTLRSRQRERTGLAPTSLHLPSSRSAEYFGGSTSISKDPMQNIRRACSQRSPGAIEKLNVIFTRTPMHISSASHMVDDGARLLLPPIGSEDAVVAVYDDEPTSIVAYAMTSQEYVQQVSRKLNSTLSFSHVPNATEASHGLEGAFPSQEDNLDSKGTHFKFSFDDDTPLSPDKAKFSVICYFAKHFAMLRKKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFARTLDERFIIKQVTKTELDSFVEFAPQYFQYLMESLTSGSPTCLAKIIGLYQVSVKGLKGGREVKMDLMVMENLFFERKIPRVYDLKGSLRSRYTTGDSKVLLDSNLIEALHTKPIFLGSHAKRRLERAVWNDTSFLASADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNEAPTIISPMQYKKRFRKAMSKYFLTVPDKWSS* >Brasy3G272400.1.p pacid=40042926 transcript=Brasy3G272400.1 locus=Brasy3G272400 ID=Brasy3G272400.1.v1.1 annot-version=v1.1 MGFRRQRPTLQVLASLYCLLFSIYVLPTGAWSLSFSLDFADPSGNPAPGSSIRRFGDAVITPSTLELTANSLDKPITYSVGRATYLQRVPLWNAATGETASFTTTFSFRITPYNKDDPQRVGDGMAFFLGHFPSEIPPNTHRGGTLGLLPVSTNGTGNGRFVAVEFDTFLNLENADVNGNHIGIDVNSVNSTAVTNTTKWQGKNLTSDGVNKTATVRYHNETKLLSVDLHIGDALYQVNATVDLRRYLPEEVAVGFSASTGWASGLHQILSWSFSSTLQPKAIPPPPAEPPLPPQSSNNHKKLVAILLSSVLPAVFLLACATVGFFVRRRRQKMLKGSDSESEDEHCTDLDRGVAAGPRRYTYHELAAATSNFADDEKLGQGGFGSVYRGHLLLAAGDQARRPVAVKVLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVTEGSLDRHLHGNGGTWLTWPQRHKIIIGLGSALRYLHGEWEQCVVHGDVKPSNIMLDLTHDAKLGDFGLARLVDHGTGLLQTTKAVLGTVGYIDPEFVNTRRPSTESDVYSFGVVLLEIVSGRRPVVETSEKSFTLLRWVWSLHGRDAILDAADERLRGDEADERWMERVLVVGLWCAHPDRSERPTVAQAMHVLQSEESRLPALPLHMYRQQTVPDLAASGRFGALSVDGFGGGVAASARTGNTALSLHSSSTALLRD* >Brasy3G067200.1.p pacid=40042927 transcript=Brasy3G067200.1 locus=Brasy3G067200 ID=Brasy3G067200.1.v1.1 annot-version=v1.1 MATIATMAMLKPAKITARSTPAPPSPPSSSPSISLRKKGAAKKQGAAAALAVSPASAAMAGAFFSSLATSDPAMAAQRVADMAAVAPADDNRGLLLLIVVSPALAWVLYNILQPALNQLNKMRSEKALVAGLGLGAAMAAAPERASAAVGEIAAMAAAAPADDNRGLLLLFVVAPALGWVLFNILQPALNQLEKMRSN* >Brasy3G067200.2.p pacid=40042928 transcript=Brasy3G067200.2 locus=Brasy3G067200 ID=Brasy3G067200.2.v1.1 annot-version=v1.1 MATIATMAMLKPAKITARSTPAPPSPPSSSPSISLRKKGAAKKQGAAAALAVSPASAAMAGAFFSSLATSDPAMAAQRVADMAAVAPADDNRGLLLLIVVSPALAWVLYNILQPALNQLNKMRSEKALVAGLGLGAAMAAAPERASAAVGEIAAMAAAAPADDNRGLLLLFVVAPALGWVLFNILQPALNQLEKMRSN* >Brasy3G067200.3.p pacid=40042929 transcript=Brasy3G067200.3 locus=Brasy3G067200 ID=Brasy3G067200.3.v1.1 annot-version=v1.1 MATIATMAMLKPAKITARSTPAPPSPPSSSPSISLRKKGARVADMAAVAPADDNRGLLLLIVVSPALAWVLYNILQPALNQLNKMRSEKALVAGLGLGAAMAAAPERASAAVGEIAAMAAAAPADDNRGLLLLFVVAPALGWVLFNILQPALNQLEKMRSN* >Brasy3G195000.1.p pacid=40042930 transcript=Brasy3G195000.1 locus=Brasy3G195000 ID=Brasy3G195000.1.v1.1 annot-version=v1.1 MALPAAKAAPFASQPHGRAGNLLRRPPPSAPAPAAALRLGPLFWPWEKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQECFNLALKNGVNLFDTADSYGTGKLNGQSERLLGKFIREFEGPIKSPDDVVIATKFAAYPWRLTSGQFVNACKSSLERLQIDRLGIGQLHWSTANYAPLQERVLWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHDYLASRGVPLSSAQVQFSLLSMGDEQMELNAVCDSLGVRVIAYSPLGLGMLTGKYDASNLPNGPRSVLFRQILPGLESLLSCLGSIAERKGKTMSQVAINWCICKGAVPIPGVKTVKHVEDNLGALGWRLSPAEISELEAAAMDSPKKMLQNIFQTA* >Brasy3G195000.2.p pacid=40042931 transcript=Brasy3G195000.2 locus=Brasy3G195000 ID=Brasy3G195000.2.v1.1 annot-version=v1.1 MGFGTWAWGNQLLWGYQESMDSELQECFNLALKNGVNLFDTADSYGTGKLNGQSERLLGKFIREFEGPIKSPDDVVIATKFAAYPWRLTSGQFVNACKSSLERLQIDRLGIGQLHWSTANYAPLQERVLWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHDYLASRGVPLSSAQVQFSLLSMGDEQMELNAVCDSLGVRVIAYSPLGLGMLTGKYDASNLPNGPRSVLFRQILPGLESLLSCLGSIAERKGKTMSQVAINWCICKGAVPIPGVKTVKHVEDNLGALGWRLSPAEISELEAAAMDSPKKMLQNIFQTA* >Brasy3G220000.1.p pacid=40042932 transcript=Brasy3G220000.1 locus=Brasy3G220000 ID=Brasy3G220000.1.v1.1 annot-version=v1.1 MSDNLMDKVNALGERLKISGAEVSRKMSTGVTTMSFKMKEFFQGQNMADKIVDEATLETMDGPDWATNLEICDMANTGKVNSVELIRAIKRRIMLKTPRVQYLALVLLETVVKNCEKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPAAEPYSDVAQDGYQEIPDESFAPVRVVPPPVQVNEAFEVTRNSVELLSTVLSSSPQNEALEDDLTTTLVQQCQQCQYTIQRIVETAGDDESQLFEALSIHDELQKVLSKYEGLKEPVVAEPEPEPAMIPVTVEPEESPRAVSRDTPARKSAGSGGRSSGDDLLQDLDDMIFGKKGGTSSQQDRTPRKEERKDDFIGL* >Brasy3G239000.1.p pacid=40042933 transcript=Brasy3G239000.1 locus=Brasy3G239000 ID=Brasy3G239000.1.v1.1 annot-version=v1.1 MQKLRFLLADCGRRGARLWVLMNAELVASELRFVLGSVATAMDVLPADVAAASVEAEELARLVSEQAWCAARVRPDADDARAAWSVRSMLAQFKGGATPDAEDARMVLGRVGITSLWHCAEEAAFLESELMERLEDGREDDNDLVLISGLMAFLVYCRVVLFDRVDSKNAPSASQDAPASASCGATWTGNQDALLCPISLELMSDPVTVSTGQTYDRASIKRWVKSGCRTCPVTGERLRSAEFVPNLAARGIIEHILLSRNALHEPPSNKHRNAVDKTVAAFGPAAAGGVRLAAAFLVTRLSHGTTAEEQRKATQEVRKLAKRNVFHRACLVDAGAVPWLLHLLSSPDASVQENAVASLLNLSKHPAGRSALVEAGGLGLVVDAVNVAAKAEARQNAAAVLFYLSSNGSENYCQEISRIPEAIPTLVHLMREGAYRGRKNALVSLYGVLQNQRSCASVGKAVSAGAVGVLAGLVLSGDREDLASDAVALLARIAEQPAGANAVLGSPELVQGLVEFLGACASRSGKDHCVALLASLCKHGGDAVVAMMGKMPALMPALYALVAEGSGIGAKRARWLVNEIHRVYDQRQLPAVGPPAGDRGIRVQHTNFVA* >Brasy3G320200.1.p pacid=40042934 transcript=Brasy3G320200.1 locus=Brasy3G320200 ID=Brasy3G320200.1.v1.1 annot-version=v1.1 MAKQQQKNGAHVPKFGNWDNDGNVPYTLYFDNARKGKAPGAKPMNPNDPLENPDAFSSSYAAADAAAPPPPRPPAPATHHERRPSSEVPAPAPPLYPGSPFHRGEPPRRVSGGGGRTSGGGGGAYSVEQSPSRSPLHPYSRAADYSEASGFGLVANSVDRPRPRRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQTQAKTPGFGKDGAAARGPGQHDDGYVSSSRWCFGWCK* >Brasy3G017600.1.p pacid=40042935 transcript=Brasy3G017600.1 locus=Brasy3G017600 ID=Brasy3G017600.1.v1.1 annot-version=v1.1 MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG* >Brasy3G040200.1.p pacid=40042936 transcript=Brasy3G040200.1 locus=Brasy3G040200 ID=Brasy3G040200.1.v1.1 annot-version=v1.1 MAAGPRAAGSNRGAAGPRAAGSTGVEVRETGSACVASGKGRIRRYGGPGSLPAPRVACRAAAACRSGLRACEGEGAGSPRAREGEQGWRREGTRELRDGKEKGNARETRCREGEGRRGGTRVRGRREGRWLGK* >Brasy3G101700.1.p pacid=40042937 transcript=Brasy3G101700.1 locus=Brasy3G101700 ID=Brasy3G101700.1.v1.1 annot-version=v1.1 HVAVKIIVWGAYTVSFTLVSYTMGLMQSYHYVRSSNLFAHDNDNWKRIYIQQLVQFFWVGWIFATSTLTESFQAASKSYNLPSCTKWVADYMRSEHELENTLVPNPIHMHGYRYIVTGEHADRICQPPDYLFRFKPDETKLITYRESSRLKDICLSMALSKMLKRRFAGFDLAESKLQKTHDFVFEGLLYSDNTYERAFRVIEVELGFVHDFFYTKYYVLFASHDGRSFAIILPVLSLPFCLWLAHMIFQRFRAPQDEITVGRSSPRNYDALVTMIIVLGIAMLQLLQLYFYIASDWFKVHLISKYVTMPTWQSNEKIEKAIAFIVSWKSFRHWENKVGQYSLLHTFSCTQRKWNALCRWTAGLVEETRKGRAKKTIDNLPNEVKQAVMDSLVKSSGRLTNGATSLRVNRAEKFLWACTGLPTFATTLCHEALGAGVGLGDQLPKERGGGGEMRSHASVASTLSKYCAYLVAFAPKLLPDHSFDSTVIFDALVDEASVLLAGTVSMQQRYDTLMSLDAGPEDKRLIVMGARLGRQLMEGIGDPMLRWKILSEFWAEMMLYITPSSDATAHLETLTRGEENDSSGTP* >Brasy3G005500.1.p pacid=40042938 transcript=Brasy3G005500.1 locus=Brasy3G005500 ID=Brasy3G005500.1.v1.1 annot-version=v1.1 MDLSPPPSALAAAVQGRRVDVFDCKHGRVLLGIIGDSANLLNLVLWDPLTGHEDSIPAPFSAHNYGTPALIWAEGHLGVVLAYSNMPRAPPTASASVTWGYLTVASLYNPVTREWGALAIMGRGCSFDIKPSTAVRNAAVYWMTGGDGTLLDACSLQTIWTPVPLGLGDNLGFAVVPARGGDARLGLVFVLGVSILMLYYREEEGGNYVIMRPEMYTVVDDEEQDNNPMMPRAVIGFAEEANAVFVQTGRSVFMLDLETKQHWRVFGMGGFSHIYPFISTYTAAGKAVLDDAQQDQVNTDTQSSLQLDQHVHSFAK* >Brasy3G119000.1.p pacid=40042939 transcript=Brasy3G119000.1 locus=Brasy3G119000 ID=Brasy3G119000.1.v1.1 annot-version=v1.1 MATLSVSTSPSPPPAQRQHPAAGNLRLPATTSRPASASSSSSPQPGALAALSSLLSSGSPASSRPSVFPGAIRAAADLRLPGLGLQLHALLAKSGLLDHTFSASALLHLYATLGPLPRARLLFDRLPKSDSPVPWNSMILRYAQDGFLNESFELMAAMEEHGVPVGASTWNAVIAGCVRAGDAELAVQLLGEMVSAPGIAPNAATFNTLLHVIAALLRVDVVRELHSFVLRNAEVVGFGPVDLDRLWESLAAGYMRSCCAAYAGHVFRDVRVSTCHLGNLMVSGFLDSGQHSQAFDAFREMAFSCGPQAQHLPMVSLTLVLPEVHPATKRGLEIHAYAYRHGFECDTSVCNALMAMYAKRDDIDSADRIFQALQDKDTVSWNTMISSHAVIHDFDRSFKLFREMQHNYIKPDEYTFTSVLNACSFACYLRQAMALHGQMLKMGLCHSYLDDMNSLMDAYGKCGSIEDAQKVFDETDRKDTISWNVIISCYGYSASPQQAIQRFHQMQDQGYRPNRVTFIALLAACSHAGLLDEALHYFQQMDRAYNIAPDEAHHACIVDCFGRAGQLEKAYGFIMGMPIVPNACVWGALLSSCRIHGNIDLAEICAEKLIELDPQHSGYWILLKNIYAKAMRWNDVTQLQAAMKDRGIKKCPGYSWIEVGDNELHRFLTGDQLHKQCDHIYEVLGGLTEQMIDEGYEPGS* >Brasy3G273700.1.p pacid=40042940 transcript=Brasy3G273700.1 locus=Brasy3G273700 ID=Brasy3G273700.1.v1.1 annot-version=v1.1 MAGHGEKSGGGLYAVLGVASDCSASELRSAYRKLAMKWHPDKCADAGTSGGGKEAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDGDDDGAGEILGDILEAMNQTVPHENGEGDSLEDLQAQFEELFLRPDAYARPSKPSSSSFSSGQDASKRVAGSRK* >Brasy3G234100.1.p pacid=40042941 transcript=Brasy3G234100.1 locus=Brasy3G234100 ID=Brasy3G234100.1.v1.1 annot-version=v1.1 MLFKLTILVLFVGVTIPCSYWRRQLAIAEVARRVDILCHCIYVSYRLLEGSSRFKELHDIIEDAKGKLESEVGPLDGMSAKMARGRVSRLCGGSDVQKLCTLANKYVVLYCHYSLPAACRFRFVDITTSSSLVIILKETTLALSDTSIV* >Brasy3G275000.1.p pacid=40042942 transcript=Brasy3G275000.1 locus=Brasy3G275000 ID=Brasy3G275000.1.v1.1 annot-version=v1.1 MDGNALEELIRRLLDGKKNKGPGKKVQISEAEIRHLCVTAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFDYGGLPPSANYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIQRPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVAEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPLRGKAQAEG* >Brasy3G275000.2.p pacid=40042943 transcript=Brasy3G275000.2 locus=Brasy3G275000 ID=Brasy3G275000.2.v1.1 annot-version=v1.1 MDGNALEELIRRLLDGKKNKGPGKKVQISEAEIRHLCVTAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFDYGGLPPSANYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIQRPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVAEFLNKHDLDLICRAHQLLAWSRLWRTGTSSSRTGSW* >Brasy3G207500.1.p pacid=40042944 transcript=Brasy3G207500.1 locus=Brasy3G207500 ID=Brasy3G207500.1.v1.1 annot-version=v1.1 MEAAAAAASARSTSTTGNGVTAASGEALEEEEEEQSVMKVFVALPEKYKSGRSTLAWALRHLAAGPAAVVVVVAHVHSPAQMIPILGSKFQASKLRPEEVAAYRHYERGKVNKHLDEYIRQCSKMKIKGEKLVIEHEDVAEGIVELVSKHNVGKLVMGATADKYFSRKMEAPRSKKALAVMQNADPSCKIWFVCKENLIYTRVVGDTRKIPTPTASASARYSVQPSRYAKMAVAGCMKMQRSMSEKALPLRPSSSSSSRPAAARRALSVLCLEEDLSVGSWDSVPRESLPMSCRGEASSSDDDDGSSSFEVPLDEAFAAILPISTAPGHDQQVAKFFEDLCSDEAARKTKVGVEEEAALAKAKEEARVLKGEMEVLKRDRDAAVGELCEQKAELEQRVAELEDAAASEAIVEEEEGVDDLAWRAAEFSLVELRLATGNFGDAAKIGEGVYRGVLRGATAAIKLLPCRSSQGRPQFQREVCALSRVRHPNVVTPIGYCPKLPALVYEFLPNGTLEDRLATSPPLTWQARTRIIGEVCAALVRLHSAQPRPVIHGDLNPSNVLLTADLDTTCQLADAGGLVSSRLLLTATPSTAAEYADPELQGGEPTASSDVYAFGVLVLRLVTGAPPLGVAGKVEEALERGEMEEAVDRTAGEWPFAQAEKLMLLGLQCAEASARRRPDRMSQVWRVVEPLVKAAAAAMPVPAPAAESPTGCLFGETHTPLYFTCPISQEVMRNPHVAADGFTYEAEAIKGWLDSGHDTSPMTKLALPHRHVTPNYALRSAIEDYMQQHTPASQSVRSN* >Brasy3G156800.1.p pacid=40042945 transcript=Brasy3G156800.1 locus=Brasy3G156800 ID=Brasy3G156800.1.v1.1 annot-version=v1.1 MYVRETFFGLKTTSSRAPSCLPKLRRSRNPHPDAPNSAAGSGLPKPTIPTESALLAPSPSSSPSISRSALLFPCQRRRGPDVETRASKWAGTGGGRWRPDPPAAGPCRPDPPVEGQGRGAGGGGGRIRRLRGRVGRIRRLRGAVAAGSAGCGAVSAGSAGGGAGGDSGGGGAGPSSTSRGPSAVGRRG* >Brasy3G019500.1.p pacid=40042946 transcript=Brasy3G019500.1 locus=Brasy3G019500 ID=Brasy3G019500.1.v1.1 annot-version=v1.1 MSVPEAGQSSGRDERERDDYEKQQSRVLMALMQGFCAARYRKADNTPCLIDQGLYLGSVGAALNKEALKSLNITHILIVARSLNPAFSEEFTYKKIEVLDSPDTDLVKHFGECFNFIDEGVSTGGNVLVHCFAGRSRSVTVVLAYLMKKHQVSLESALSLVRSKRPQASPNEGFMAQLVNFEKSLQVEQGRRIMQSN* >Brasy3G019500.4.p pacid=40042947 transcript=Brasy3G019500.4 locus=Brasy3G019500 ID=Brasy3G019500.4.v1.1 annot-version=v1.1 MSVPEAGQSSGRDERERDDYEKQQSRVLMALMQGFCAARYRKADNTPCLIDQGLYLGSVGAALNKEALKSLNITHILIVARSLNPAFSEEFTYKKIEVLDSPDTDLVKHFGECFNFIDEGVSTGGNVLVHCFAGRSRSVTVVLAYLMKKHQVSLESALSLVRSKRPQASPNEGFMAQLVNFEKSLQGKIQIALV* >Brasy3G019500.3.p pacid=40042948 transcript=Brasy3G019500.3 locus=Brasy3G019500 ID=Brasy3G019500.3.v1.1 annot-version=v1.1 MSVPEAGQSSGRDERERDDYEKQQSRVLMALMQGFCAARYRKADNTPCLIDQGLYLGSVGAALNKEALKSLNITHILIVARSLNPAFSEEFTYKKIEVLDSPDTDLVKHFGECFNFIDEGVSTGGNVLVHCFAGRSRSVTVVLAYLMKKHQVSLESALSLVRSKRPQASPNEGFMAQLVNFEKSLQGKIQIALV* >Brasy3G019500.2.p pacid=40042949 transcript=Brasy3G019500.2 locus=Brasy3G019500 ID=Brasy3G019500.2.v1.1 annot-version=v1.1 MSVPEAGQSSGRDERERDDYEKQQSRVLMALMQGFCAARYRKADNTPCLIDQGLYLGSVGAALNKEALKSLNITHILIVARSLNPAFSEEFTYKKIEDEGVSTGGNVLVHCFAGRSRSVTVVLAYLMKKHQVSLESALSLVRSKRPQASPNEGFMAQLVNFEKSLQVEQGRRIMQSN* >Brasy3G214000.1.p pacid=40042950 transcript=Brasy3G214000.1 locus=Brasy3G214000 ID=Brasy3G214000.1.v1.1 annot-version=v1.1 MSPWSSRLVTGQLRLVSNATVRSDLPVVAGGEMDLPASRNNRWRGRRSARSHVPLLVAVLLLLLPATLLLSSAYSSLLRSLLPFSPSGHSGGTGRCGRSAELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVALGSCPKFRVSDPVDLRAAVWDHAMQLLRERRYVSMGDIIDLSPVKAMVRMIDFRVFVSSWCGVDMRSACYSRLCCSVSDGGSLPSDYDRCRSLLSGLAGSESSGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEELKRKKKISYVRRRRDIYKALGPGSNAKEATLLAFGTLFSGPYKGSESYFDIHESPKDHKIHSLLEKIEFLPFVPEIMAAGKEFAESKIKKPFLCAQLRLLDGQFKNHWKSTFSALKEKLKALELETKRNKNSGPVHIFLMTDLPPANWTKTYLADIAKDGRYKLYTLKESDVLQSAEKLMAAEHGMRSGFLPKTLENTNKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIARSIETMRKNNFCKL* >Brasy3G309500.1.p pacid=40042951 transcript=Brasy3G309500.1 locus=Brasy3G309500 ID=Brasy3G309500.1.v1.1 annot-version=v1.1 MDSRSQSAGKRARTDGSRRDDDWVCPSCKNVNFAFRTTCNMRNCDQSRPADHTNAMQTPPHYSVQGRFMGPGTPPSMYLTGAPPQYGSSPYNGHAMPRYGMPPFPAGSGYPYGYGGRVPMGSPYGPPMHMTGPPPYSGGSMIGSGGIYSISMPMDRYGLGLPAGPGAMGTRAGSYSDEGLQNKSTGARRDKDWECPNCNNMNFSFRTVCNMRKCNTPRPDNQGSHPDSSRGPKPKTPEGSWKCEKCNNINYPFRTKCNRPSCGEEKPPQTDNPDDLVTDQDNQFLSCNIVKLLSKMQLSHDFEDNDDVTEMDLPGHAAGPPLPTSSHTLRMAALNELQNGLMT* >Brasy3G309500.2.p pacid=40042952 transcript=Brasy3G309500.2 locus=Brasy3G309500 ID=Brasy3G309500.2.v1.1 annot-version=v1.1 MDSRSQSAGKRARTDGSRRDDDWVCPSCKNVNFAFRTTCNMRNCDQSRPADHTNAMQTPPHYSVQGRFMGPGTPPSMYLTGAPPQYGSSPYNGHAMPRYGMPPFPAGSGYPYGYGGRVPMGSPYGPPMHMTGPPPYSGGSMIGSGGIYSISMPMDRYGLGLPAGPGAMGTRAGSYSDEGLQNKSTGARRDKDWECPNCNNMNFSFRTVCNMRKCNTPRPDNQGSHPDSSRGPKPKTPEGSWKCEKCNNINYPFRTKCNRPSCGEEKPPQTDNPDDLVTDQDNQCLQV* >Brasy3G309500.3.p pacid=40042953 transcript=Brasy3G309500.3 locus=Brasy3G309500 ID=Brasy3G309500.3.v1.1 annot-version=v1.1 MDSRSQSAGKRARTDGSRRDDDWVCPSCKNVNFAFRTTCNMRNCDQSRPADHTNAMQTPPHYSVQGRFMGPGTPPSMYLTGAPPQYGSSPYNGHAMPRYGMPPFPAGSGYPYGYGGRVPMGSPYGPPMHMTGPPPYSGGSMIGSGGIYSISMPMDRYGLGLPAGPGAMGTRAGSYSDEGLQNKSTGARRDKDWECPNCNNMNFSFRTVCNMRKCNTPRPDNQGSHPDSSRGPKPKTPEGSWKCEKCNNINYPFRTKCNRPSCGEEKPPQTDNPDDLVTDQDNQ* >Brasy3G044100.1.p pacid=40042954 transcript=Brasy3G044100.1 locus=Brasy3G044100 ID=Brasy3G044100.1.v1.1 annot-version=v1.1 MMPQPQPGVAPPHPQQPPAGAPPQWGTIPPPMPPQQHYAPPPPQQHHAPPPPQMWGQVPPPQQAPAYGQAPPPPQHAAYYGAPAAPAQAPAGPNEVRTLWIGDLQYWMDENYVYGCFAHTGEVQSVKLIRDKQTGQLQGYGFVEFTTRAGAERVLQTYNGATMPNVEMQYRLNWASAGEKRDDGPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFSDPTEQTRAMTEMNGMVCSSRPMRIGPAANKQKVSGAQEKVPSAQGVQSDSDPSNTTIFVGGLDPNVTEDMLKQVFAPYGEVVHVKIPVGKRCGFVQYASRSSSEEALLMLQGTVIGGQNVRLSWGRSPSNKQVQTPQDSNQWGGATANAGYYGYGQGYEAYGYAAQPQDPNMYGYGAYAGYPNYPQQQAAQQPQQQQ* >Brasy3G331800.1.p pacid=40042955 transcript=Brasy3G331800.1 locus=Brasy3G331800 ID=Brasy3G331800.1.v1.1 annot-version=v1.1 MADLLLLPVVRTVAGKAADAVVRRMTGMWGIDDDRLKLERQLLAVQCKLADAEIKSETNQYIRRWMKDFRTVAYEANDVLDGFQYEALRREARIGESKTRKVLNQFTSRSPLLFRLTMSRDLNNVLEKINNLVEEMNKFGLVEHAEPPQLICRQTHSGLDDSADIFGRDDDKGVVLKLLLGQHDQHKVQVLPIFGMGGLGKTTLAKMVYNNHRVQQHFQLTMWHCVSENFEAVAVVKSIIELATKGRCELPDTVELLRVRLQEVIGQKRYMLVLDDVWNEEVRKWEDELKPLLCSVGGPGSVILVTCRSRQVASIMGTVGLHELPCLSEDDSWELFSKKAFSQGVEEQAELVTIGKRIVEKCRGLPLALKVMGGLMSSKQQVQEWEAIAESNIGDNVGGKYEILPILKLSYRHLSAEMKQCFAFCAVFAKDYEMEKDILIQLWMANGFIQEEGTMDLAQKGEYIFYDLVWRSFLQDVKVNLRHFTATSYESIGCKMHDLMHDLAKDVAHGCVTIEELIQQKASIQDVRHMWIDAQYELKPNSRVFKGMTSLHTLLAPSKSHKDLMEVKGMPLRALQCYSSSIIHSPVRHAKHLRYLDLSWSDVFTLPDSISLLYNLQTLRLDGCSKLQHLPDGISTMRKLIHLYLFGCDSLERMPPNISLLNNLHTLTTFVVDTEAGYGIEELKDLCQLGNRLELYNLRKIKSGQNAKKASLHQKHNLSELLLCWGRRKSYEPGEEVCNEEVLVSLTPHSKLKVLEVYGYGGLEISHLMGDPQMFRCLRKFYISNCPRCKTLPMVWISMSLEYLSVANMGNLTTLCKSIKAEAEGYSTLQFFPKLKEIVLDELPILERWAENCAGEPNSLVMFPLLEKLTIIKCPKLASVPGSPVLKDLFIKESCSLPISSLAHLRTLIYLAYDGTGLVSTSMPLGYWPSLVNLEVTSLATMMMVPLEDQRNQSQIPLEALRSLTLNGPNCFAKTPVLSKLHHVLWECFAFVEELKIFGCGELVRWPVEELQSLAHLRYLAISLCDNLKGKGSSAEETLPLPQLERLRIEGCVSLLEIPKLLPSLEQLAISSCMNLEALPSNLGDLAKLRELSLCSCEGLKVLPDGMGGLTSLERLTIGYCPWIEKLPEGLLQQLPALKYLCILGCPNLGKRCGEGGEYFHLVSSIPDKVIRLEAYSVTSTQKEPNTKKFLRRLLPSCGADYNN* >Brasy3G118700.1.p pacid=40042956 transcript=Brasy3G118700.1 locus=Brasy3G118700 ID=Brasy3G118700.1.v1.1 annot-version=v1.1 MSRGRRGLFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSELIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVISLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLILEREGLQAVGALQDVRSDLTKLRGALFYKILEELHCHLYNNGEYSLVTLNMVDSEEVSTSSASGRLVNSMQPLSRRTRSIKGDNHFGGPAIADGIPKTSSVDGGSSFDGPDDDSSLDMRGSDGGRGQKNSKSVSREIPIFLSFATPDEFRESMIKADAPLNVKYLRTLVQCLFMLRKIAAAGAVICQRVRPTIHDVITSKIKAYAGEASKSNIDKVVNRTTSDVSNSHGTVARYQPKQKTKNTASMMATQLVASPISLAMAPTGDAQRAASQLLGSIFECLVDILENHITVGELLEQKSSNGVDNLNTPHIANGDVSWNPDSEFSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRVTDAAMSAQNEGQGWRRNSTVPQEGYGTAGVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGNEGLLTFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVNATSVYSSLVDNGRPVLQGLLAVDIIAKEVLGWVQLMPNYASELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRSDVESLMRLDPANASLQNSLGQLDNNIPEAEGVEVEIELSDVLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTTSQSNNHVHQGRHTRTTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYIFGGISSVAANASIKALAQMKSINLLGVQQICRNSVALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPVESLLGFIAEQEYLFSAKEYLSLLKVNVPGREMPVDAERRISQILGR* >Brasy3G016600.1.p pacid=40042957 transcript=Brasy3G016600.1 locus=Brasy3G016600 ID=Brasy3G016600.1.v1.1 annot-version=v1.1 MASKPEALDYVLVPLGLALMAGYHLWLLLRIRRRPATTVIGINAINRRIWVRHIMEDASGKHAVLAVQTMRNAIMASSVLATVAITLSSLVAALMASGAAHGLFSRRDDAGDGNGNGNGIVLGATGEAALSAKFLAILVCFLVAFLLNVQSIRYYSHTGTLINVPLRAHRRPGLAVDYVTGTLNRGSYFWSLGVRAFYFSCPVFLWLFGPVPMFAACAAMVCTLYFLDVYKEWEEEEESDGCGVGDEEDSADTATAAAV* >Brasy3G106100.1.p pacid=40042958 transcript=Brasy3G106100.1 locus=Brasy3G106100 ID=Brasy3G106100.1.v1.1 annot-version=v1.1 MWSCHQCRQRKRDHPVTCKTVGKRCPMKYCERCLLTRYGEIAAEVGEKENWKCPKCVGDCNCSNCMGKRGEPPTGKLYRAAKASGCSSVRELLNKGKEAVADALKLIGTEKGNPKRALRTDDHDGELPVEIVLPRGTLLTRIAGVELQPEDVGRAIQFLEFCRSFGEIFQIRKEQAEQILNDITGDFEDRVVPSLVANLHINLFYVIQEHKEKKPLIYSEDGDKWIIDIGNYFSESTLNSAELPLGCLKQGLLAYINLSPSSKLDVLNTLCDETLSSVNLRNLIVEQVERADERKCEARKKIFTATKQEKELKKFKSGMDKEMVLDGGESATNEESNNIISKINEAKEIKQAGMNELNELQDVPRTKLIMVDKAVAYWKLDGYCDNNTSIMCQELDDKNAMMNKGKWFMFTEDEQKVVEDYVTTRLQRKRKNRTQV* >Brasy3G045900.1.p pacid=40042959 transcript=Brasy3G045900.1 locus=Brasy3G045900 ID=Brasy3G045900.1.v1.1 annot-version=v1.1 MHEISSSKAHACMWRTAGGVCRPHTRPAYKYSRPGRSNSYTHAHRLIGNMSSSVGMLPFTASSASACLLPLTSSKPSSSWSSKHGRRRLSCKAMAGAGDDDDQVRIDRREVLLGLTAATTGSTIGQASAALAKQPMPITSEVLKCVSEGEFVCPAGSTDYDDATVVNFSDLPPPTGPPRVRRPAHLLSEQEVEKLGLALRRMKELPDDDPRSFKNQAAIHEAYCDGRYNVIARSPGEPDATKFDVHFSSIFAPWHRMYIYFFEGILGELVGDPAFGLPYWNWDAPAGMMLPAAFADPDSPLYDENRKSENVRGAFIDLSLSPKVKSDPNKFKDDLLGLIDSNLCAMYRQMNVKEPADFHGGYPSRTTKPPVGSLETGAHTAAHIWVGKHMGNLKTAARDPVFYSNHSNVDRMWHLWSTKLGNHEDLANDQWLDTNFVFYDETKRPVRIAVRDVLDAEKLGYTYEEKKSLEWMERRIKPAAIKIDDRARDAKDNSSLPLVLKKGRMEYLAVERPKKDGTRTDEVLVVDVTLDPCEQVKFDVLVNVPRGEEEKVGPQNSQFAGSFSTVPHGGTMTGSVTTKPVVSCRFKLQELIQDLNCNRSKMLNITLVPVEGDKTIVDNLRVELS* >Brasy3G018400.1.p pacid=40042960 transcript=Brasy3G018400.1 locus=Brasy3G018400 ID=Brasy3G018400.1.v1.1 annot-version=v1.1 MEAVSTILKVAQQIAKAAETARRNRARCRDLAARVRSIGETLRDSSSSAASAAASRGSALGRLKAALDDALELVESCRRTRQTGTGWLISLLTSGRTAARFQSVEKRITDCATDLGLEKILLLAAAAADRDRRPRPSGKEKGKKKDGGHNKQSKNGTNDRSNKGKEKKKDVAKNGNKNGNGGASNNKNKGGGGQQKGRGIDAGAKLAAARAPPGPSGYVVHSMEDDPNSCAVM* >Brasy3G160300.1.p pacid=40042961 transcript=Brasy3G160300.1 locus=Brasy3G160300 ID=Brasy3G160300.1.v1.1 annot-version=v1.1 MDAPAGRAYEDFVPPHNMVTEPATRTFSVDLTAAGYRKEHIRVQLVSSQALVVVRGERPVAGNRWSRFKLEFRVPDGCDSKGIQARFEGGVVRVTMAGLKTGPSAMVVGVASAGNGKEEPPAAANTDANGGQKEEESARKKLLEEEEHAREGVKDGGRLDQGAGAAVVAAAAPITGRGYSYLLPERRKLVTSVVSTVLVLFCLGVYVRYSFGP* >Brasy3G247900.1.p pacid=40042962 transcript=Brasy3G247900.1 locus=Brasy3G247900 ID=Brasy3G247900.1.v1.1 annot-version=v1.1 METTADEETIITRRSASSSSWAPWGEMETDCLVHVFRRLSLEDLAAAAPLVCRGWRRAASDPSLWRELDLRCTQDAARFMPWGALATAFARRYGVARFAFGGFLALCLARAGAGGGSGSGGVSRLALPPLLSSPGDLDRVSLRCPNLRRLALPNNLSPADEARLPELVPRWRKLQRLELESKPSSFPAVARQLALHCPEFSGLKIASGSIKPEDAEAMAASLPGLRSLCLDSCYLPKQQLLAILAGCRQLQEFSARDCVGFDEKDDEAMARGAGIQRFDIGGSRFVDDLDEEMMLGDELCGGSSYVDVM* >Brasy3G031700.1.p pacid=40042963 transcript=Brasy3G031700.1 locus=Brasy3G031700 ID=Brasy3G031700.1.v1.1 annot-version=v1.1 MESADRLRALKAFDDTKAGVKGLVDAGVTAIPSIFHHPPESLLPPSTTARSPAAIPIIDLAAAASRADLVSQVKEAAATVGFFQVLNHGVPESAMSAMLAGIKRFNEEPHEAKRAYYTRDFSRRVRFQSNFDLFQSPAAGWRDTLFFEMAPDPPSPEEYSRWVQSLGRTLFQLVSEALGSGGGHDSPLDLEEMEGMGVASHYYPPCPEPHLTLGTTRHSDPSFLTVLLQDAMGGLQVLIDDAWVEVPAVAGALVVNVGDYLQLVSNGRFRSVEHRVVANGVGPRVSVACFFRPYGAAAATTVLRPIVSGDGEEPRYRSTTVEELTRHYWAKGLDGTSALDHFRL* >Brasy3G201300.1.p pacid=40042964 transcript=Brasy3G201300.1 locus=Brasy3G201300 ID=Brasy3G201300.1.v1.1 annot-version=v1.1 MAVGFRRTMNALTSSSPKAVTPSFLLDCARPAKKKLSYARVRSISLPVRLHPLVSSLHDAARALMSWADAPAQTGPAWVADGAGRAGRVLAGLAGLLRHPQARDALRRPWTEQLLDDLLLLADLHGCFRESLVALRQLLAETHAALRRRDGVRLAAALRAQRRAAREVSRLASSARDLSHRAAPDDDLDEVTLADAFALATASVAAASAAVFSGVSSASAESAASAAPSPRTPTPYSPAGPSRSPASPMWLVADLLRRRRTASFSFEDYCNEEEEERKAAMGRVRGLEECVAAAETSGDVLFRALVNARVSLLNLLTPTF* >Brasy3G104900.1.p pacid=40042965 transcript=Brasy3G104900.1 locus=Brasy3G104900 ID=Brasy3G104900.1.v1.1 annot-version=v1.1 MGGEATSSGGGGFRARIEHVLYSGEKKHVVAGIAIFAAIFGVPYYLMTRGAKHESHQDYMERANKARSERLSSGQPSSLKE* >Brasy3G104900.2.p pacid=40042966 transcript=Brasy3G104900.2 locus=Brasy3G104900 ID=Brasy3G104900.2.v1.1 annot-version=v1.1 MGGEATSSGGGGFRARIEHVLYSGEKKHVVAGIAIFAAIFGVPYYLMTRGAKHESHQDYMERANKARSERLSSGQPSSLKE* >Brasy3G162500.1.p pacid=40042967 transcript=Brasy3G162500.1 locus=Brasy3G162500 ID=Brasy3G162500.1.v1.1 annot-version=v1.1 MARVTLKMDVHSDRSKKKIEKGLKSYAWIGWWRRWTQGWWWSGARPTRRRSGGVLECLMKKPVSIVHEAEESQATAAQSSSDPPTGAPSQLTAGLPLQFYPQPMWPPPQFYGQLPPPPQFYGQQPPPPQYSQPPPSDHPQYATPPLPEGGSHRYHNNNPRPARPRRDEHPYACSIQ* >Brasy3G287100.1.p pacid=40042968 transcript=Brasy3G287100.1 locus=Brasy3G287100 ID=Brasy3G287100.1.v1.1 annot-version=v1.1 MARLHLVAVAMAVLFAAAAAQAPGASPTPAPRAQPTPPPPPPPPPAPAPVSPPARPPTMPPPTSAPTPAPAPKAAAPAPESVISSPPAPAPGSIAQSPTDAPATSPPPPNAAPGLAPAAAWAFAATVAAVAIFY* >Brasy3G162000.1.p pacid=40042969 transcript=Brasy3G162000.1 locus=Brasy3G162000 ID=Brasy3G162000.1.v1.1 annot-version=v1.1 MATTPFCRPVQSHCASLPLHRRLSCLLALARSNPTARPSLSTLGSPALSFCRSISVRRRLAAASKDTASDKGQEQEPSTAAGEALKSGVDETASGEKTPEEVAAELKEVMRARKEAEVAAGSTGAGAGWWDGVVQEMSEIEWPAPGKVLGTTGVVLGIIAGSTAALLSVNAILAELSDRVFAGRGLQDFF* >Brasy3G277100.1.p pacid=40042970 transcript=Brasy3G277100.1 locus=Brasy3G277100 ID=Brasy3G277100.1.v1.1 annot-version=v1.1 MLTCIACSKQLDGGGPPLPEPPEDDDVVVVGGARGPATPSTREAIKALTAQIKDLALKASGAYRHCKPCGGSPAAASRRHHPYSHRGAYADSEVGSGSERFHYSYRRAGSSAASTPRPLSGGAVFSSDATPSVSARTDFFAGDEEGMEEAAKEWVAQVEPGVLITFLSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNIQRFKQQTVPVPGTPRSEDESSKEDSPETPPLNNERQPRIFQRPLKSSRALGYSSSDSLEHQSKHLGNIQHGHHEHQCYDSVDLASTPKLSSISGAKTDTSSIDASMRTSSSPEEVDRSGELSVSVSNASDQEREWVEEDEPGVYLTIRALTGGIKELRRVRFSRERFGEMHARLWWEENRARVHEQYL* >Brasy3G277100.2.p pacid=40042971 transcript=Brasy3G277100.2 locus=Brasy3G277100 ID=Brasy3G277100.2.v1.1 annot-version=v1.1 MLTCIACSKQLDGGGPPLPEPPEDDDVVVVGGARGPATPSTREAIKALTAQIKDLALKASGAYRHCKPCGGSPAAASRRHHPYSHRGAYADSEVGSGSERFHYSYRRAGSSAASTPRPLSGGAVFSSDATPSVSARTDFFAGDEEGMEEAAKEWVAQVEPGVLITFLSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNIQRFKQQTVPVPGTPRSEDESSKEDSPETPPLNNERQPRIFQRPLKSSRALGYSSSDSLEHQSKHLGNIQHGHHEHQCYDSVDLASTPKLSSISGAKTDTSSIDASMRTSSSPEEVDRSGELSVSVSNASDQEREWVEEDEPGVYLTIRALTGGIKELRRVRFSSGEGQSSLLK* >Brasy3G347800.1.p pacid=40042972 transcript=Brasy3G347800.1 locus=Brasy3G347800 ID=Brasy3G347800.1.v1.1 annot-version=v1.1 MFLGGDDAGAGASPYFQQQQVFDHGAIAGPDTDGFGGDEQCQALLYNLSVLREKVQLLHPLVGLAVHGRGGVPMAADFGAIIQEIVAAASSMMYAFQHLCATSAEPLQAPPPLDNSVAAGPAAGTATAEAGCSGHQLQAMEDHHGAAMQWQHGGFYDDGVTRGSKPPSAAAQEEAPAPGTKTRIIELEAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDQYKSKAALSAVVSSSGASSSSPMASKYSCPQEGCRWNVRHARFTPLKSVICAKNHYRRSHCPKMYACSRCGRKQFSVLSDLRTHEKHCGDRRWLCSCGTTFSRKDKLAGHVSLFALAGHHPVVVGGNVRSIGPRRGTPTSCSGTAPPAPAASPLHDRSDILPPSGNK* >Brasy3G043700.1.p pacid=40042973 transcript=Brasy3G043700.1 locus=Brasy3G043700 ID=Brasy3G043700.1.v1.1 annot-version=v1.1 MASSSSFFLLAALLALVSWQAIASDPSPLQDFCVADKNSPVLVNGFVCKNPMYVNADDFFKAAELDKPRVTNKVGSNVTLINVMQLAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQENRFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWEKNGQN* >Brasy3G038500.1.p pacid=40042974 transcript=Brasy3G038500.1 locus=Brasy3G038500 ID=Brasy3G038500.1.v1.1 annot-version=v1.1 MYSQYTRFPGDPSERAWLNPHGIRSTRLPPLLFRSRSWPLPSTCLTPAFKIGTERGYSVLAYPLPLGVCSCMPPVNCRCQVLLLLALPGSINRRTDMGHACAVAI* >Brasy3G325600.1.p pacid=40042975 transcript=Brasy3G325600.1 locus=Brasy3G325600 ID=Brasy3G325600.1.v1.1 annot-version=v1.1 MTGRTTMVELESQQESSSTMKVASPRHVAATASLRAGASAASLAAAVLVVTNRQERWGIPVNFTMFDVWVAFVVVNFLSCGYALLTAITVKKLVGKSWLHHADQLAVNLLAAATAGAGAIGSVAMWGNKTSGWFAVCRLYRRYCDIGAVALALSFAAVLSLGAASALSRYPRTIARQLNS* >Brasy3G258400.1.p pacid=40042976 transcript=Brasy3G258400.1 locus=Brasy3G258400 ID=Brasy3G258400.1.v1.1 annot-version=v1.1 MGRLQEHFSANALGSHDAADAPLSGGSSPSPLLPPAPPGGKRSSSWSRHVMVRLICSPFAAVFRTTCSPRARSIHDDDQASGAGSDEQEGQAARRRPRLEELLKMESASSAPEELIKKPTELVVVPDVDDSWKERAIVVFGFDDDDTSRTTGEEEEEEEEEDDQLEYTGVPEQRQMAIVPAVGLGVRQPAAGGGAALMNVKRLVLLLEAMRARSMALKVKGSSSSYWRLAGPGPGGRAADKADQLFYDRPIPLGRRCRVQHLQESSPYQ* >Brasy3G120100.1.p pacid=40042977 transcript=Brasy3G120100.1 locus=Brasy3G120100 ID=Brasy3G120100.1.v1.1 annot-version=v1.1 MTGMKRTIVMHNHDPLSKILMGLGLEELSPPPRPVVWASWLTLSRGQDRLPWRLLLLPRRRRRARTLRRLDVARWDRRSSKTTARRRPRSSRRGSHRRQFNGEQRLDRGGRTPLHRRQTQTARRFPSAGSHTAPAAGTPRRRTRKPAACYLMRSI* >Brasy3G188500.1.p pacid=40042978 transcript=Brasy3G188500.1 locus=Brasy3G188500 ID=Brasy3G188500.1.v1.1 annot-version=v1.1 MVFLILNKEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIFSLIVSLFRVLRYSE* >Brasy3G040500.1.p pacid=40042979 transcript=Brasy3G040500.1 locus=Brasy3G040500 ID=Brasy3G040500.1.v1.1 annot-version=v1.1 MDSFLPVHTILFLLLCLSFCVFPFCALSGSRLLPDKPLSASTTLISDDGTFALGFFSPSSSTTTKRYYVGIWYKDIPKDNVVWVANRATPITNPSSATLALINGSNLAVSDTNGQLLWMTNTSTASNSSSEATLGNDGNFVLRSSQGTILWQSFDYPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDLFLQRFIWNGSRPYRRSPVWNNYLVVGHYFESIKSTVYFTLHHIDDEVYISFGVPTPSVSSLVLLNMGYSGKTTIRSWNRNMSTWTDMQSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTGKLIGHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVWVCNNGGKQRTKKILKLIPGALSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKAIVQGALEDGTEIAVKRLIKGSGQGVQEFMNEVILIAKLQHRNLVRLLGFCIHGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFNIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGTEQQGNTNRIVGTYGYMSPEYALEGVFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040500.2.p pacid=40042980 transcript=Brasy3G040500.2 locus=Brasy3G040500 ID=Brasy3G040500.2.v1.1 annot-version=v1.1 MDSFLPVHTILFLLLCLSFCVFPFCALSGSRLLPDKPLSASTTLISDDGTFALGFFSPSSSTTTKRYYVGIWYKDIPKDNVVWVANRATPITNPSSATLALINGSNLAVSDTNGQLLWMTNTSTASNSSSEATLGNDGNFVLRSSQGTILWQSFDYPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDLFLQRFIWNGSRPYRRSPVWNNYLVVGHYFESIKSTVYFTLHHIDDEVYISFGVPTPSVSSLVLLNMGYSGKTTIRSWNRNMSTWTDMQSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTGKLIGHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVWVCNNGGKQRTKKILKLIPGALSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLIKGSGQGVQEFMNEVILIAKLQHRNLVRLLGFCIHGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFNIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGTEQQGNTNRIVGTYGYMSPEYALEGVFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040500.3.p pacid=40042981 transcript=Brasy3G040500.3 locus=Brasy3G040500 ID=Brasy3G040500.3.v1.1 annot-version=v1.1 MDSFLPVHTILFLLLCLSFCVFPFCALSGSRLLPDKPLSASTTLISDDGTFALGFFSPSSSTTTKRYYVGIWYKDIPKDNVVWVANRATPITNPSSATLALINGSNLAVSDTNGQLLWMTNTSTASNSSSEATLGNDGNFVLRSSQGTILWQSFDYPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDLFLQRFIWNGSRPYRRSPVWNNYLVVGHYFESIKSTVYFTLHHIDDEVYISFGVPTPSVSSLVLLNMGYSGKTTIRSWNRNMSTWTDMQSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTGKLIGHGENLYIRVNGLSGKQRTKKILKLIPGALSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLIKGSGQGVQEFMNEVILIAKLQHRNLVRLLGFCIHGDEKLLIYEYLPNKSLDTLLFNATRKSMLDWPMRFNIIKGIARGLLYLHQDSRLKIIHRDLKTSNILLDAEMSPKISDFGMARIFGGTEQQGNTNRIVGTYGYMSPEYALEGVFSVKSDVYSFGVLLLEIVSSSKISSTHLQADFPNIIAYAWSSWKDGSTKDFVDSSIVGSCSLNETFRCIHIGLLCVQSSPIARPLMSSIVSFLDNEDISLPPPKQPIYYAEKNYGTHRTAEDTVESANNMSITVLKGR* >Brasy3G040500.4.p pacid=40042982 transcript=Brasy3G040500.4 locus=Brasy3G040500 ID=Brasy3G040500.4.v1.1 annot-version=v1.1 MDSFLPVHTILFLLLCLSFCVFPFCALSGSRLLPDKPLSASTTLISDDGTFALGFFSPSSSTTTKRYYVGIWYKDIPKDNVVWVANRATPITNPSSATLALINGSNLAVSDTNGQLLWMTNTSTASNSSSEATLGNDGNFVLRSSQGTILWQSFDYPTDTLLPGMNLRITHNTHALQRLISWKNPQDPSPGNFSYGADPDLFLQRFIWNGSRPYRRSPVWNNYLVVGHYFESIKSTVYFTLHHIDDEVYISFGVPTPSVSSLVLLNMGYSGKTTIRSWNRNMSTWTDMQSEPNQECNIYGYCGPSGYCENTESVVTCKCLDGFQPNNKEDWAVGRFSQGCHRKEALRCGQGDSFLTLSTMKVPDKFLYVKNRSFDECTAECTSNCSCTAYAYANMSTKVIYGDETRCLLWMGDLIDTGKLIGHGENLYIRVNGLSDKKWRGHVLKITLPLVSSLLILICVCLVWVCNNGGKQRTKKILKLIPGALSTSVGLLDGSLKYPSISFRDILHATNNFSNSNMLGQGGFGNVYKGALEDGTEIAVKRLIKGSGQGVQEFMNEVILIAKLQHRNLMPQGSQCLIGQ* >Brasy3G153800.1.p pacid=40042983 transcript=Brasy3G153800.1 locus=Brasy3G153800 ID=Brasy3G153800.1.v1.1 annot-version=v1.1 MGSPRHLLLLLIGLLVATPLMVAEPCDSGHWAYLVGKFCISTDDGGKLACCGVLQSIINISLTKDPNCLCSLTEEQAFIITGFFIGELVEKYIDCEGDHGKEAEAVSEKCEEELEDGPVQNKTIFTEQDTPPLAPAPLP* >Brasy3G122800.1.p pacid=40042984 transcript=Brasy3G122800.1 locus=Brasy3G122800 ID=Brasy3G122800.1.v1.1 annot-version=v1.1 MEAANGFSNGGSTQGKPIKCKAAVAWGPGEPLMMEEVEVAPPAPMEVRVKVLFTSICHTDLSFWKGENERQRKFPRILGHEAAGVVESVGEGVKDLAPGDHVVPIFTGECGTCTYCESSKTNLCGTYRVNPFKSTMVSDNGTRFSVVDRSSGAPQPVYHFLNTSTFVEYTVLDSACAVKINPQAPLEKMCLLSCGISTGVGAAWNTANVSKESTVAVFGLGAVGLAVAEGSRIRGAARIIGVDINPDKFAKGKEMGVTDFIESNKACGKPVHEVIRDMTGGGVDYSFECTGIVDVLREAFVSTHDGWGLTVALGIHPTPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPLLVDQCINGEVKINFDGFITHEMPFSEINEAFRLLEEGKSLRCVLRL* >Brasy3G230700.1.p pacid=40042985 transcript=Brasy3G230700.1 locus=Brasy3G230700 ID=Brasy3G230700.1.v1.1 annot-version=v1.1 MAGIIEGQGSATAESVADGQRRGKGQEMEESSKRRVEELIAAVEPRPDPARLNFRLEVDEPEVEIDFEDLEQRMGGRYIMLGMYLTTEVFTTADLFRALRRIWQLKGGMFHHDLADNRFMFELDQEGDYRHILNGGPWTHKGYPVLVTPYDGRARASEIPLNIMPIWLVGRVLMVQADKRGRIWGNFIRVRVEHDVNQPVKRQIKITAKKLTDEERAQGKKQKEDEIWHAASSSNQQLAGTTNTNKAEVAAPKKGQTNAADKEGQTEQSPGLNVVVATVSKLKMAEETDKTNIQGKTAGKVTDKTAETLVSQAVNKNAGRNSWRRHMKMGAKDRRGTRGDRSFLGVPPLSQCLQEGGSVLRELKEEEAKQMAKSFNKAHLNILGKRAEIEDTMMAEAGQEKNAEHMGRCKRTKATAGGGTAEVEKEGTSEATSTGAAGKLTGPQEAPRQE* >Brasy3G122400.1.p pacid=40042986 transcript=Brasy3G122400.1 locus=Brasy3G122400 ID=Brasy3G122400.1.v1.1 annot-version=v1.1 MAKHIDDDLAAIPIEVSGRRPAPAMTGLGWPPPPQGPGPWSPFLGSGAAIHGDAASMRSGLENAGRREGWSIDEGEGAGGAPWRGCATSAGTVASPRLALVLHSSGAEPRPPHPPAAPPRPPRPSHLRLHHRCRIRTPSGRRRHALPPLLPVMPAGTARRMRSTEHGPRRSHQWHEVTGFP* >Brasy3G057100.1.p pacid=40042987 transcript=Brasy3G057100.1 locus=Brasy3G057100 ID=Brasy3G057100.1.v1.1 annot-version=v1.1 MAGSRTSATRGGRIPGREGRIHGGGADGLWIRGGGEVSRTWDGEQWRRRPSMADRQQGKAGRRHRPSMADRRRGTTGGSAPPWRWLGGDRARGLRRGGRSAGRPRLASGPSRHGVGRRLQRGGGRRLEVLRRGEAVSGAVGRPARGGVVGTWERER* >Brasy3G289800.1.p pacid=40042988 transcript=Brasy3G289800.1 locus=Brasy3G289800 ID=Brasy3G289800.1.v1.1 annot-version=v1.1 MGRSPCCAEEGGSGGALKKGPWTPEEDEKLLRYVQGHGHGSWRNLPRLAGLNRCGKSCRLRWTNYLRPGIKRGKFSQDEENTILHLHSILGNKWSAMATQLPGRTDNEIKNYWNTHLKKRLIQNGFDPMTHRPRTDFFAFDALPQLLALAALHHHQQLLDPAAAASSPSAPMQGPVGNADVAVLQLQQAAKLQYLQCLLQSITSTTTSSAAAMPADAAPASLGAAPTVSEAPVAVTVSEEAAHGHQEGLLLSSDLELEMNYSCSHGGSLSQSLPPLVDLSDGAADDGRCSATASSGGGSSPLAWPEFFFPDDDDPFAVADFL* >Brasy3G110200.1.p pacid=40042989 transcript=Brasy3G110200.1 locus=Brasy3G110200 ID=Brasy3G110200.1.v1.1 annot-version=v1.1 MAPPSQQLVFAASSTDAGVAAWDLRTGAEAIRHRPCASRPRALAAVANRFLAAAQAPAGNSAPIYYYHWDKPQVAVKSFPTEPIRALIADPEGSYLIGGGVSGDIFFWEVATGELLVRWHAHYRDVRCLALYDLLLVSGSEDGSIKVWDLITMLDELARSEAKTPYLYSFNQHALPVTDIACFHGAIAVSSSEDRTCKIWNLSEGRMLRSISFPAIIDSIELDPRSHIFYAGGRDGKIYVTAMGVDVTSQGSGDSSILGALDDHSKGVTSLASSTDGLLLVSGSEDGSVRVWDTRTQQVTRKFKHSQGPVTNVLIVTPKRVNLPPLQPLHKVCAANGEVEPQAVILPDPENDVHIAEYRSSNLLERCLDSLQQHGNSSLFDSGASTLNGIPNQLGTEWRSKYLELQDLFVHQVLGQTPSSMDM* >Brasy3G110200.2.p pacid=40042990 transcript=Brasy3G110200.2 locus=Brasy3G110200 ID=Brasy3G110200.2.v1.1 annot-version=v1.1 MAPPSQQLVFAASSTDAGVAAWDLRTGAEAIRHRPCASRPRALAAVANRFLAAAQAPAGNSAPIYYYHWDKPQVAVKSFPTEPIRALIADPEGSYLIGGGVSGDIFFWEVATGELLVRWHAHYRDVRCLALYDLLLVSGSEDGSIKVWDLITMLDELARSEAKTPYLYSFNQHALPVTDIACFHGAIAVSSSEDRTCKIWNLSEGRMLRSISFPAIIDSIELDPRSHIFYAGGRDGKIYVTAMGVDVTSQGSGDSSILGALDDHSKGVTSLASSTDGLLLVSGSEDGSVRVWDTRTQQVTRKFKHSQGPVTNVLIVTPKRVNLPPLQPLHKVCAANGEVEPQAVILPDPENDVHIAEYRSSNLLERCLDSLQHGNSSLFDSGASTLNGIPNQLGTEWRSKYLELQDLFVHQVLGQTPSSMDM* >Brasy3G083700.1.p pacid=40042991 transcript=Brasy3G083700.1 locus=Brasy3G083700 ID=Brasy3G083700.1.v1.1 annot-version=v1.1 MAAAAAPAPAPTEMALSSAADGSADEDEDQCRICRFPAEPDRPLRRPCACSGSIRFVHDDCLLRWLATRRQSRCEVCQRDIALSPLYAPGAPARLPVSEFMLGLANKIMGWTAILLCLLFAVFVWEFLMPFTTLWTWRLALTRSFAQLRHLLSVRLSATSILADGVYRFRFMPSVDTILACVSLRRTFLRELHNVRQLNGLARVVADAVAPFALWVARLEARLQNRFGGLDTLQVLALHTVEASLMVVIVDIAVACIFGFVPFSLGRIILWCISCFSFGNVDEVNSYTSTASMLLIGYGFIFSLGVTFTGMHTFHQYLRGEPLLIAIFFNALTNWICWLLSPFRRLPDMHAMVPRTFSLCHKLFRGIIISITVANISLNLIITLIISPLLFGWLLDICTSEMFGATVYQRFKLLWASSFFSIALHWLIGFSFLKLHSMLSRLLHLTLRPGVSIPFAHLAEVKPAMCEPFYKFSFKKLPGLLVGIIYVGMVVLVPVQIAGRLAPKLFPLEITSFDPPTKGTSFWQAPRNYAELLSGALILRFLICNTLKYLEPGTLMEKIVRYWFLITGQALGLLDLLIVHSGRACGHEVRNNAAPKDQYGSIYEAKAKRRFVAVRVLLLVFLAWLTVVIFNSAVLIFPVSLGRALLFAIPQMPVAGGLKFNDLFAFAVGFCIISTIFAASRNSFVYMTSGRTRLLASVICKWGITALKSSPLLFIWIVIIPILIGLLVDFLLISPFMFLVDFLLMSPFIVPTDDIPVLDSFSIWFLGLLLLKFWTKLAHWTRDTPFLAHFIDGRWEWKLTQAKEDGFAGLRAMWVLQDVLMPITTKLVSALGVPYVLARCFFPRFGYSVAVNTTVYRFAWLGGLALCGLYYLAKVFCRVLVKLHDSIRDDRYLIGQRLRDYPDDV* >Brasy3G083700.2.p pacid=40042992 transcript=Brasy3G083700.2 locus=Brasy3G083700 ID=Brasy3G083700.2.v1.1 annot-version=v1.1 MAAAAAPAPAPTEMALSSAADGSADEDEDQCRICRFPAEPDRPLRRPCACSGSIRFVHDDCLLRWLATRRQSRCEVCQRDIALSPLYAPGAPARLPVSEFMLGLANKIMGWTAILLCLLFAVFVWEFLMPFTTLWTWRLALTRSFAQLRHLLSVRLSATSILADGVYRFRFMPSVDTILACVSLRRTFLRELHNVRQLNGLARVVADAVAPFALWVARLEARLQNRFGGLDTLQVLALHTVEASLMVVIVDIAVACIFGFVPFSLGRIILWCISCFSFGNVDEVNSYTSTASMLLIGYGFIFSLGVTFTGMHTFHQYLRGEPLLIAIFFNALTNWICWLLSPFRRLPDMHAMVPRTFSLCHKLFRGIIISITVANISLNLIITLIISPLLFGWLLDICTSEMFGATVYQRFKLLWASSFFSIALHWLIGFSFLKLHSMLSRLLHLTLRPGVSIPFAHLAEVKPAMCEPFYKFSFKKLPGLLVGIIYVGMVVLVPVQIAGRLAPKLFPLEITSFDPPTKGTSFWQAPRNYAELLSGALILRFLICNTLKYLEPGTLMEKIVRYWFLITGQALGLLDLLIVHSGRACGHEVRNNAAPKDQYGSIYEAKAKRRFVAVRVLLLVFLAWLTVVIFNSAVLIFPVSLGRALLFAIPQMPVAGGLKFNGSLDKGHTIPGAFH* >Brasy3G083700.3.p pacid=40042993 transcript=Brasy3G083700.3 locus=Brasy3G083700 ID=Brasy3G083700.3.v1.1 annot-version=v1.1 MAAAAAPAPAPTEMALSSAADGSADEDEDQCRICRFPAEPDRPLRRPCACSGSIRFVHDDCLLRWLATRRQSRCEVCQRDIALSPLYAPGAPARLPVSEFMLGLANKIMGWTAILLCLLFAVFVWEFLMPFTTLWTWRLALTRSFAQLRHLLSVRLSATSILADGVYRFRFMPSVDTILACVSLRRTFLRELHNVRQLNGLARVVADAVAPFALWVARLEARLQNRFGGLDTLQVLALHTVEASLMVVIVDIAVACIFGFVPFSLGRIILWCISCFSFGNVDEVNSYTSTASMLLIGYGFIFSLGVTFTGMHTFHQYLRGEPLLIAIFFNALTNWICWLLSPFRRLPDMHAMVPRTFSLCHKLFRGIIISITVANISLNLIITLIISPLLFGWLLDICTSEMFGATVYQRFKLLWASSFFSIALHWLIGFSFLKLHSMLSRLLHLTLRPGVSIPFAHLAEVKPAMCEPFYKFSFKKLPGLLVGIIYVGMVVLVPVQIAGRLAPKLFPLEITAHHFGKRHETMPSYFLVLLF* >Brasy3G183600.1.p pacid=40042994 transcript=Brasy3G183600.1 locus=Brasy3G183600 ID=Brasy3G183600.1.v1.1 annot-version=v1.1 MVSSSLFAAGRRLLRLGIGRLPRGHAHSHVPNPLVVHLRAASSSRSNSAESRPARPPSLQSTLWPLGHPSTLLVPEIERWADKPGNRLRPVELERIVKELRKRRRHRQALEVSEWMSAKGLVKFLPKDHAVHLDLIGQVHGVGAAEAYFNKLADKDKTEKPYGALLNCYTRELLVDKSLAHFQKMKELGFVFSSLPYNNLMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGARADFFGMENTLEEMECEPQIVVDWNTYAVLASNYIKGNLRDKAISALQKAEAKIDIKDSDTYNHLISLYGQLGDKSEVKRLWALQMSNCKRHINKDYTTMLAMLLKLDEIEEAEALLKEWESSKNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPTSTSWAIVAIGYAEKGDAAKAYELTKNALCVYAPNSGWIPRPAMIEMILKYLGDECDLKDVETFVHLLQAAVPMNSDMTDALSRARMREEKKVEDAGKESLGSSKASG* >Brasy3G080100.1.p pacid=40042995 transcript=Brasy3G080100.1 locus=Brasy3G080100 ID=Brasy3G080100.1.v1.1 annot-version=v1.1 MAESLASSFVLWTVGNGLSTTKMRSGSESRHWILRLYQLVLAPLVKEGGGSWPALDVLLRRTGDRRKGDPAATTRTPWRLRVACCNSQLFRVFSVNLWDVLCSFSI* >Brasy3G273100.1.p pacid=40042996 transcript=Brasy3G273100.1 locus=Brasy3G273100 ID=Brasy3G273100.1.v1.1 annot-version=v1.1 MSSPALPPIQSPRLTQASTAKVRRKIQLARALNATSDRVSCSSGNESPPSSPCPKHEAQEQDPKRQRQAAV* >Brasy3G119800.1.p pacid=40042997 transcript=Brasy3G119800.1 locus=Brasy3G119800 ID=Brasy3G119800.1.v1.1 annot-version=v1.1 MDALRQVEAKLTVYVHPSNAADVRRAVARQLSTLLFSYEDRFDGVLLAHDILFESKKAKIMDGLVPYFGVPVRADMLLFSPQPGMMLEGKVEMLCKESIHVVVLGVFSAAIMAEDIPQSFRFKRRGHGGKFISQLDNQHVIKKGSMIRFSVKGVDTEMNCHINGSLMPPHTGSMLWLSVHDAEYASEINSGKRKSRGIKIEQNVQDDTTVNNEDSVVNSERPRKSRKWTVAE* >Brasy3G307900.1.p pacid=40042998 transcript=Brasy3G307900.1 locus=Brasy3G307900 ID=Brasy3G307900.1.v1.1 annot-version=v1.1 MQREVGPQVASPLYLHHQIQPLPPHAAAAPKKRGNPWPASAEGAAAAAGSAGAGNWNPAMWDWDSRAFSARPSSDALRLGAGAQNHHHQHHQQQRQPTMAAEAQQRQGAGGLSLQLATREEASMAMDVSPTATMSSSPSLPAAPAHEQAARPSKKVRSESPGTGSGGGGNGGGSYPMCQVDDCRADLTSAKDYHRRHKVCEIHSKTTKAVVGHQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPENQENAGNRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINTANALGKSPPSEVIDLNASHGQQQDAVQKATNVIDKQAVPSTMDLLTVLSGGNGASTPETNTSQSQGSSDSSGNNKSKSHSTEPAYVVNSHEKSIRAFPAAGVIRSNSPHDSPPEMYKQPDRDARPFLSLQLFGSTYDDIPAKMDTTNKYLSSESSNPMDERSPSSSPPVTHTFFPIRSANDGITHPRAADYGEDAATVENSTTRAWCAPPLELFKDSERPTENGSPPNLTYQSCYASTSGSDHSPSTSNSDGQDRTGRIIFKLFGKEPGSIPGNLRDEVVNWLKHSPSEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLHQVNTLIQGSDSDFWRNGRFLVRSDNQLVSYKDGTTRLSKSWRTWNTPELTLVTPIAVVGGRKSSLILKGRNLTIPGTQIHCTTEGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPNLILGRCFIEVENRFRGNSFPVIFANSSICQELRNLEAELEDSRFPEVSSEDQVDDTRRLKPRDQVLHFLNELGWLFQKAAACILSTKSDVSGSELIQFSTARFRYLLLFSNERDWCSLTKTLLDILSKRSLVSDELSQETLEMLSEIHLLNRAVKRKSRRMVHLLVQFVVICPDNSKLYPFLPNYPGPGGLTPLHLAASLDDAEGVVDALTDDPQQIGLNCWHSVLDDDGQSPEAYAKFRNNDSYNELLAQKLVDKKNSQVTIVLNKGEICMDQPGNGGENNASGIQAMGIKSCSQCAILESGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTS* >Brasy3G218100.1.p pacid=40042999 transcript=Brasy3G218100.1 locus=Brasy3G218100 ID=Brasy3G218100.1.v1.1 annot-version=v1.1 MCCLCYTLVLERLNIVFKLLSGNFLLRSSLARGVSNSWLRLSVTNLYTELVMLVEVTFYYCPVCLNVSSCHACLLYRSQKTEYWLFRCCYGMQMEVMLYCSQMLADRYEDIQSSNIQTKVNYCLLIFEIKPTLVFCIYRCLSKNSLVYIETEPMFRFYIVFTRK* >Brasy3G118800.1.p pacid=40043000 transcript=Brasy3G118800.1 locus=Brasy3G118800 ID=Brasy3G118800.1.v1.1 annot-version=v1.1 MMAAQVQAAAAVAAPAMEGGASPVAGAAAAAAGAAAPAFPATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRMSLGYAYVNFSSPADAARAMEMLNFTPVNGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALFDTFSAFGTILSCKVATEISGESKGYGFVQYEQDESAQNAINELNGMLLNDKKVYVGPFVRKQERENVFGSPKFNNVYVKNLSESTTEDNLKEMFGKFGPITSVIVVRADDGKSRCFGFVNFENPDDAARAVEDLNGKKLDDKELYVGRAQKKSEREMQLKEKFEKSNKETADKNQGTNLYLKNLDGSVDDDEKLKELFAEFGTITSCKVMRDSNGVNKGSGFVAFKSSEDASRALVAMNGKMVGSKPLYVALAQRKEERRARLQVQFSQMRPVVMPPPVAPRMPMYPPGVPGMGQQMFYGQPPPPFVNPQPGFGFQQHMIPGMRPGVAPMPNFVMPMVQQGQQPQRPSGRRAGAGGMQQPMPMGHQQMLPRGGRGGYRYASGRGMPDSPFRGVGGMVPSPYEMGRMTLNDNGAPQQVPSGTLASALANSPPEQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKSKVAEAMDVLRSAQQQTSAPADQLAALSLSDGFVAS* >Brasy3G041300.1.p pacid=40043001 transcript=Brasy3G041300.1 locus=Brasy3G041300 ID=Brasy3G041300.1.v1.1 annot-version=v1.1 MGDGGVACAVPPQRAVEGFRADALVRRGGGEAMPDKGDKGHGHGHHQHQQHQHKQHSTSAPDLEEGEVLLNGEAENKGVSERTVPPKKWRKLLPASHAAEVEPGEIVGAQPAPARKARRNGELEKGEIVPVRQWKDKPEKIVRKSNTVEPGEVAPLEKKRDRDHNRKLSSSSQVREDGKKASSRDSDEEPGEIKPESSSSAGARKSRAVEPESNHRKHQAETCDQSGSKSRRKGEAKSTSAGRHLSGRNHEISTPIRDRHDRLERSPGILGRFPHDRVRHEKYERSPSRLERSPRDRGRHYDNRDRSPYISPRHKVRQPHHRDSTPSRIDNSPRGRTQHEDIRDRTPLRHDRSPSERGRTTDSHEASKKSRCAKLESKNLENAQHKSKSMKQSCKNKSGSNGKSEERISKEKATESIQYTELPPPPPLPPPPPPPPPPPPPLPPSMPPPLPPPPVPEPPNDVVEDVSMEEDMDICDTPPHTSEAPKPSVEPSTVMGKWFYLDQFGVEQGPSKLADLKKLVDDGYLLSDHLIKHADCNRWVTVENAATPLVPSDISLVYSDGTTQLVFPPEAPGNLLDEAREEVSALASGADNEQMEEASEEPKEDLYIDNRVGALMYGSVLVEGHELKILGDALATHFNRVDLERWDQPEDFPRFQAQPAREDVINGGIEFADNSATDIYGVGPIERDTFYHNVESSEWFSGRWSCKGGDWKRNDEFSQDKPYRKKLVLNEGYALCQMPKGSHEDPRWHCKDDLYYHVPAKKLDLPLWAFSSTEESTDTVDDTSKGGIMPGRSGQVRQSTKGVKGMTLPVVRINARVVKDQSSVEPCIKPRGADRSLSRSSRSHSIGADRSSAHEGLSYSKKHHEHDSQSFHKSKSVLNIPEDHVCTVEELSVKFGDWYYLDGTAHEHGPFSYSELQKLVRRGTILERSSVFRKIDNTWLPVAKEMKFDSASRNGGSGSSNSTSALVHSDQSNVVVNHGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWIAAKQPKKEIETYVANNSATRNLLPEDAGSAKRARFLPDRSDEDIDMYEDILTSHKDDCCFEDLFHEAALTNSIAESESWDLLNGHVLARIFHFLRADMKSLISSAATCRRWNTAAKCYRNTCRFVDLSSVGSRCTDSVFRGIMAGYEKQNIKTLVLVGCSSLSPLALEKVLVQLPHISYVHIQGCSQLEDMKSRFQHIKWITSSLNPEESLQKIKSLKQIDDGSGHPSKVARNMTSQLGGSDELDGYFADISNRENANLSFGQGFYKRSKWLDARKSSAVLSKDAQLRRLMQRNAENSYRKMEEFVISRLREIMKSSRFDFFDPKVEKIEARLKSGYYVRHGFSSLKDDIRSMCRDALRSIGRSEDMKQIVVSFIQLAKRLGNPRLISERNGAVIQKDNSDMVQYTSDTKLKKKQNKTTGERRGANWTAATAGADTSSRAFDREIKRSLSKLKKRDVDSGSETSDDDDGYSEGDETESETTVSDTESDLDLNSVAWDLKGNGMKLFESGDSVTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVQRKMRVALPDDYSEKLLSQKNGTENLEIPEVKDYQRRKVPGDEILEQEVYGIDPFTHNLLRDIMPADLGWSAADQHTFIEELLLNTLNKQVKDFTGSGNTPMVYHLKPVIEEIQKSAEESGDRRTVKMCLGMLKAMRSRPGPDHKHYVAYRKGLGVVCNKKGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGVYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPNEIFKHNVDEKRQFFTDINMDSERNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCVFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVEDLLQSMEPHVDEDVLADLKDKIRDHDPSDSEDIEGDIRNSLLWLRDELRSLSCTYKCRHDAAADLIHMYAYTKCFFRARDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYRKTYPENYCLAQLIYWYSQNAEPGSRLTRARKGCMSLPDVSSFYVTSVKPTQERVYGTRTVRFMLTRMEKQAQRQWPKDRIWVFKNDPRFFGTPMMDAVLNNSSLDKEMVHWLKTRSNVFLG* >Brasy3G041300.2.p pacid=40043002 transcript=Brasy3G041300.2 locus=Brasy3G041300 ID=Brasy3G041300.2.v1.1 annot-version=v1.1 MGDGGVACAVPPQRAVEGFRADALVRRGGGEAMPDKGDKGHGHGHHQHQQHQHKQHSTSAPDLEEGEVLLNGEAENKGVSERTVPPKKWRKLLPASHAAEVEPGEIVGAQPAPARKARRNGELEKGEIVPVRQWKDKPEKIVRKSNTVEPGEVAPLEKKRDRDHNRKLSSSSQVREDGKKASSRDSDEEPGEIKPESSSSAGARKSRAVEPESNHRKHQAETCDQSGSKSRRKGEAKSTSAGRHLSGRNHEISTPIRDRHDRLERSPGILGRFPHDRVRHEKYERSPSRLERSPRDRGRHYDNRDRSPYISPRHKVRQPHHRDSTPSRIDNSPRGRTQHEDIRDRTPLRHDRSPSERGRTTDSHEASKKSRCAKLESKNLENAQHKSKSMKQSCKNKSGSNGKSEERISKEKATESIQYTELPPPPPLPPPPPPPPPPPPPLPPSMPPPLPPPPVPEPPNDVVEDVSMEEDMDICDTPPHTSEAPKPSVEPSTVMGKWFYLDQFGVEQGPSKLADLKKLVDDGYLLSDHLIKHADCNRWVTVENAATPLVPSDISLVYSDGTTQLVFPPEAPGNLLDEAREEVSALASGADNEQMEEASEEPKEDLYIDNRVGALMYGSVLVEGHELKILGDALATHFNRVDLERWDQPEDFPRFQAQPAREDVINGGIEFADNSATDIYGVGPIERDTFYHNVESSEWFSGRWSCKGGDWKRNDEFSQDKPYRKKLVLNEGYALCQMPKGSHEDPRWHCKDDLYYHVPAKKLDLPLWAFSSTEESTDTVDDTSKGGIMPGRSGQVRQSTKGVKGMTLPVVRINARVVKDQSSVEPCIKPRGADRSLSRSSRSHSIGADRSSAHEGLSYSKKHHEHDSQSFHKSKSVLNIPEDHVCTVEELSVKFGDWYYLDGTAHEHGPFSYSELQKLVRRGTILERSSVFRKIDNTWLPVAKEMKFDSASRNGGSGSSNSTSALVHSDQSNVVVNHGSGSFHELHPQFVGYTREDAGSAKRARFLPDRSDEDIDMYEDILTSHKDDCCFEDLFHEAALTNSIAESESWDLLNGHVLARIFHFLRADMKSLISSAATCRRWNTAAKCYRNTCRFVDLSSVGSRCTDSVFRGIMAGYEKQNIKTLVLVGCSSLSPLALEKVLVQLPHISYVHIQGCSQLEDMKSRFQHIKWITSSLNPEESLQKIKSLKQIDDGSGHPSKVARNMTSQLGGSDELDGYFADISNRENANLSFGQGFYKRSKWLDARKSSAVLSKDAQLRRLMQRNAENSYRKMEEFVISRLREIMKSSRFDFFDPKVEKIEARLKSGYYVRHGFSSLKDDIRSMCRDALRSIGRSEDMKQIVVSFIQLAKRLGNPRLISERNGAVIQKDNSDMVQYTSDTKLKKKQNKTTGERRGANWTAATAGADTSSRAFDREIKRSLSKLKKRDVDSGSETSDDDDGYSEGDETESETTVSDTESDLDLNSVAWDLKGNGMKLFESGDSVTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVQRKMRVALPDDYSEKLLSQKNGTENLEIPEVKDYQRRKVPGDEILEQEVYGIDPFTHNLLRDIMPADLGWSAADQHTFIEELLLNTLNKQVKDFTGSGNTPMVYHLKPVIEEIQKSAEESGDRRTVKMCLGMLKAMRSRPGPDHKHYVAYRKGLGVVCNKKGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGVYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPNEIFKHNVDEKRQFFTDINMDSERNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCVFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVEDLLQSMEPHVDEDVLADLKDKIRDHDPSDSEDIEGDIRNSLLWLRDELRSLSCTYKCRHDAAADLIHMYAYTKCFFRARDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYRKTYPENYCLAQLIYWYSQNAEPGSRLTRARKGCMSLPDVSSFYVTSVKPTQERVYGTRTVRFMLTRMEKQAQRQWPKDRIWVFKNDPRFFGTPMMDAVLNNSSLDKEMVHWLKTRSNVFLG* >Brasy3G124700.1.p pacid=40043003 transcript=Brasy3G124700.1 locus=Brasy3G124700 ID=Brasy3G124700.1.v1.1 annot-version=v1.1 MAVPQRGFLLGVCAVLIMAIANAEAGSVVVGLAKCAGCTRKNMKAEQAFKGLHVAIKCKNSKGEYESKAVGHLDGTGAFSVPLAADLHGGNCLAQLHSAANDAPCPGQEPSKIVPLSEGAFGVVGGKTHYPSAECASATLCEPIKKYIVDHFHKKPVPPKPEPKPEPKPQPQPDYHPAPPTPTYGGAHPMPFKKHIIDHFHKKPVPPKPEPKPQPQPDYHPAPPTPTYGGEHLMPFKKHIIDHFHKKPVPPKPEPKPEPQPQPQPDYQPAPPTPTYGGGHPMPFKKHIIDHFHKKPVLSKPEPKPEPKPDYHPAPPTPTPTYGGEHPTPIYHPPAQH* >Brasy3G165800.1.p pacid=40043004 transcript=Brasy3G165800.1 locus=Brasy3G165800 ID=Brasy3G165800.1.v1.1 annot-version=v1.1 MPGLDSFCFLISLAFLSAFPLGREFRSRSLKFSNNPNSKIPPAYGIRTYTSTVLPIFMDGKLSCAFAIQQRASRAP* >Brasy3G350100.1.p pacid=40043005 transcript=Brasy3G350100.1 locus=Brasy3G350100 ID=Brasy3G350100.1.v1.1 annot-version=v1.1 MPILIIPLFVVSGSGDVDLVLYKLKPALQGTAAAPNAELATWNASTPLCLWRGLRWSTPAGVPLRCDTPAARAKLISPRDAADSLLLVSIRLPAAALAGHLPPELGAFSALDSIYLAANSISGPIPLELGNAPALSHLDLAANALSGPIPRSIWNLCDRLADLRLHGNALAGPIPPPAGPNTTCDRLRLLDLGANRFTGDFPSFLADFHGLRQLDLGANRLSGPVPAAMAGVPMLNLSYNNLSGLLAPGFSSAEAFLGNSPALCGAPLPQPCVSSSSGLSSGGVAGMVIGAMAGAVVLASVSIGWAQGRWRRKKESEEEEAEEEDEEGNGGGKLMVFEGGSHLTLEEVLNATGQVVEKASYCTVYKAKLPDGGGTIQLRLLREGSCVEPSSACVAAVRRIGRARHENLVPLRAFYHGRRGEKLLVYDYSPHRTLHDLLHGGQETRPALTWPRRHKIALGAARALAYLHHGGEAAVVHGNVRASNVAVDEALVARLAEHGVVGRLLTPAAAEAVLAAAKADGYRAPELQGKKKTCSARTDVYAFGIMLLELLTGRRPAGDLPALVKVAVLEETTMELFHPELAARAAEGGLVQALKLAMGCCAPVPAARPTMAEVVRQLEENRPKPNSACRSALYSPAADTTSGAGTPANAA* >Brasy3G025700.1.p pacid=40043006 transcript=Brasy3G025700.1 locus=Brasy3G025700 ID=Brasy3G025700.1.v1.1 annot-version=v1.1 MAEGAHGSAWCGGRRGGAGVRRGEARWLRRWAWQRARVEGQFAAARVARGRAGRRCRSRRRGSRAAARGGDEAAAARAAWIGATAAAMGEAEGAGGGEGRGGEGRVRQRGAAERGRRQRRGEAGRGRVAGAAMDNVGNGRGSCCRASSQGGRWSPGSAAVRMRTGLWVGGLQMRTDTRRCWWWTGCRRSACWTSVVAPTARLASVPVPSAQRKPACDKKSWWR* >Brasy3G029400.1.p pacid=40043007 transcript=Brasy3G029400.1 locus=Brasy3G029400 ID=Brasy3G029400.1.v1.1 annot-version=v1.1 MKQAEENSSAAGTGAAAQEPPTPVSAPAPTPTVWYDPMSPTCSPTAPRPPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEVTNWYKGKTVMTEEERYESLRHCKWVDEVIPDAPWVIDKEFLDKHRIDYVAHDALPYADASGAANDVYDFVKSIGKFKETKRTDGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLKEKVKEHQEKIHSAAKIAGSNPVEWMENADRCIVGFLEKFEEGCHMMETAIKDRIQEGLKRRAKSESELNLSGSDSDS* >Brasy3G279000.1.p pacid=40043008 transcript=Brasy3G279000.1 locus=Brasy3G279000 ID=Brasy3G279000.1.v1.1 annot-version=v1.1 METAQESELQERQQAWWWPLDNMACSSGSLDMSSNGSCFLLEWDSQLRYLGLGVVGVATAASNPDDHRRTVEHELGLFFPKCMESPASSSEAVQDATVMPEDQLDELLQSFWDAEEEEEQQLTGFSPSSILKENGTFVLDDDDLLASLSSVSPVEPALPEGTEARPQPEQQPPSSSSSSHCNVDPPASDAGGDQPQTARTNCSSKRSATREDTDAWSGKRSRKAAASSSWAGPTVARPFTVVKPGPSGMDGVATLADINERILTRPARPVPHPVGEFACVPRVSGGRDRPAPSGKAVAGFTRLHTGAGKGTITIIRTSS* >Brasy3G011100.1.p pacid=40043009 transcript=Brasy3G011100.1 locus=Brasy3G011100 ID=Brasy3G011100.1.v1.1 annot-version=v1.1 MGIRSRKRKTMFRRTSSDPPSCRRRRRRASSSAGRVEGLGALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVSATSQATWETAEALAGAPWSRKRGNDDWYRWLGKAG* >Brasy3G113500.1.p pacid=40043010 transcript=Brasy3G113500.1 locus=Brasy3G113500 ID=Brasy3G113500.1.v1.1 annot-version=v1.1 MGSRPPLAVAHVPAQRRGVGDDAGEGIGVCLLAGGGVSFCRLPLISSGFSVAWVCESKTAEAGGGFGPRSSAVRSNRPSGRSVLSGAFCSPACQKPQAFGGDLCCLKGTSFSRGFMMPKICIFCLLYNTIVLQNRAGSANFEGGFEGAFCQWGASIMYIYGYLL* >Brasy3G042200.1.p pacid=40043011 transcript=Brasy3G042200.1 locus=Brasy3G042200 ID=Brasy3G042200.1.v1.1 annot-version=v1.1 MEMLPAPIEQPRSASPSSSPSILRDSSCCTQHLAMAYKMKGVFKGLRVISQIFVVKEQEIEIGYPTDVKHVTHIGWDSPTSSGASPSWMNDMKGSQDFSSLNNFAPSTGTSWASQDFDQPRDISPYGILPENGSPENTPYPDIPKPPRKSRRKKSSKNSSPTASSRSSRSSRSSRSKGSFSSTPDTVDANNIQHEIRIV* >Brasy3G300600.1.p pacid=40043012 transcript=Brasy3G300600.1 locus=Brasy3G300600 ID=Brasy3G300600.1.v1.1 annot-version=v1.1 MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLTLIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTGEPLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDDEEKKDDEEGKIEEIDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKANNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKSIELFFEIAENKEDYNKFYEAFSKNLKLGVHEDSTNRTKLSELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLEDSEDEKKRKEELKEKFEGLCKVIKEVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDEAADADDTDMPALEDDAGESKMEEVD* >Brasy3G318500.1.p pacid=40043013 transcript=Brasy3G318500.1 locus=Brasy3G318500 ID=Brasy3G318500.1.v1.1 annot-version=v1.1 MSLASGRGEARWWRRRERRFRKSGRRSPGRQGDPACRLRCRRRRRTRACRHCCRGSRAWRCGRGGGGGGSRRRRRRTSAWRRTWSGPRSPAAASG* >Brasy3G002200.1.p pacid=40043014 transcript=Brasy3G002200.1 locus=Brasy3G002200 ID=Brasy3G002200.1.v1.1 annot-version=v1.1 MYSGGRTRSGLVRRNGIMDSSNNSCSRTRSGLVRVNNVMDPNEESCSKTRSGLVRVNNIVDSSDSSSRTRSGLIRVNNVMDSSEASYSKTRSGLVRGNIVMNSNDGLCSKTRSGLVRENIVMDFNEDSSSRTRTGLVRGHTAVDSNKDSCSRTRSGHVRRSLSVKVENKVGPVIKGLPDGCGEPASPVNYGSDHRSDLVQIKCDPVIKGSDECWKEDTPPLMNLVNHKSDQGQSKDEPVMKGPDGWWKEDISRKNTSSHKSDLVKNKDEPIKKQLPDGWWREDRPRRNGSTNKTDPYYIDPVNGYEFRSMKDVHRYIKSGDIKHCSFRPKKRTIQDVCITDNQAHTSTSSGYTRPGTADKAIQCELLTSEGIMLPWGGLLSPHTENMMLPESDGMNPVERHANKVDPLEHKSARPVSAKHAEGEKKFIKRKEPNTEGKPKRRKTRREIKIATPPQASPRLAALKVNPEGNTAPEDELTSVNFVNEVQPIQEKIVYQSLSRADTVIQSQNNQESTADKLQLTQGVSLVPMQTNHEGTVNQLQLSRADTVIPMQTNHECTVNQLQLNRGDTVIQIQTNQLQASQAQSANHLQEYTTNYSQMSEADNVNKKQTNQEISANQLQSSQEKPFIQMQTVHNKKQTNQENSANQLQSGQEKPFIQMQTIQNKKQTNQENSANQLQTSQGKPFIKMQSIQEYIANYSQLHQSHADTVNELQTYQENAANQLQSSHSDTVIQLHSTQEYFANHSALSRADAVKHMQINQENTAVQLQLRQADSVNQMETLKENSTNRLQNFQGLTVNQVETTQDYITNHSLPSPGDALNHMKINQGNTANQRQLSQADSVNQMQTMQENTASRSELIQGLTVNQIHAIRENNTRYLQPRCTENPIRWSGFSSSPERGHVEPIPCFRRNVENQKSSVPVQIDGTPIATSSANVQCQDAPVPEPVLPTPPAVPGTAGPSGFTLPLFGNSWSDPCIEFALKTLTGDIPVVDASPAVADYFPLQHDLNKIAPPDYSSPFSDDTRNHTQVDHANHRPAPRPSDRFCNGGWFPPQ* >Brasy3G002200.2.p pacid=40043015 transcript=Brasy3G002200.2 locus=Brasy3G002200 ID=Brasy3G002200.2.v1.1 annot-version=v1.1 MYSGGRTRSGLVRRNGIMDSSNNSCSRTRSGLVRVNNVMDPNEESCSKTRSGLVRVNNIVDSSDSSSRTRSGLIRVNNVMDSSEASYSKTRSGLVRGNIVMNSNDGLCSKTRSGLVRENIVMDFNEDSSSRTRTGLVRGHTAVDSNKDSCSRTRSGHVRRSLSVKVENKVGPVIKGLPDGCGEPASPVNYGSDHRSDLVQIKCDPVIKGSDECWKEDTPPLMNLVNHKSDQGQSKDEPVMKGPDGWWKEDISRKNTSSHKSDLVKNKDEPIKKQLPDGWWREDRPRRNGSTNKTDPTSTSSGYTRPGTADKAIQCELLTSEGIMLPWGGLLSPHTENMMLPESDGMNPVERHANKVDPLEHKSARPVSAKHAEGEKKFIKRKEPNTEGKPKRRKTRREIKIATPPQASPRLAALKVNPEGNTAPEDELTSVNFVNEVQPIQEKIVYQSLSRADTVIQSQNNQESTADKLQLTQGVSLVPMQTNHEGTVNQLQLSRADTVIPMQTNHECTVNQLQLNRGDTVIQIQTNQLQASQAQSANHLQEYTTNYSQMSEADNVNKKQTNQEISANQLQSSQEKPFIQMQTVHNKKQTNQENSANQLQSGQEKPFIQMQTIQNKKQTNQENSANQLQTSQGKPFIKMQSIQEYIANYSQLHQSHADTVNELQTYQENAANQLQSSHSDTVIQLHSTQEYFANHSALSRADAVKHMQINQENTAVQLQLRQADSVNQMETLKENSTNRLQNFQGLTVNQVETTQDYITNHSLPSPGDALNHMKINQGNTANQRQLSQADSVNQMQTMQENTASRSELIQGLTVNQIHAIRENNTRYLQPRCTENPIRWSGFSSSPERGHVEPIPCFRRNVENQKSSVPVQIDGTPIATSSANVQCQDAPVPEPVLPTPPAVPGTAGPSGFTLPLFGNSWSDPCIEFALKTLTGDIPVVDASPAVADYFPLQHDLNKIAPPDYSSPFSDDTRNHTQVDHANHRPAPRPSDRFCNGGWFPPQ* >Brasy3G087000.1.p pacid=40043016 transcript=Brasy3G087000.1 locus=Brasy3G087000 ID=Brasy3G087000.1.v1.1 annot-version=v1.1 MAAATVSSASGLLAMLQEPAAELKLHALSNLNSLVHVFWPEISTSVPAIESLYEDEEFDQRHLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYTNTLLARALDEYASIRSKTSKATEEEMMDPRLEVIVERMLDKCILDGKYQQAMGMAVECRRLDKLEDAISRCDNLHGALSYCINLSHQYVSHREYRCEILRCLVKIYKTLSNPDYLSICQCLMFLGESETVADILHKLLSGSEDDALLAYQIAFDLVENENQAFLLNVRNHLDTLSIRTSALQSGQTDNAATEPAGDIQMGDDVATSNGSAQVVDPNEAAVTDKLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQGGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRTTSSEVVQHGACLGLGLASLGTADEEIFEDIKNILYTDSAVASEAAGIGLGLLMVGTATEKAGEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYAIALAYRGTANNKAIHQLLHFAVSDVNDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDPRVGTFRRQLEKIILDKHEDTMSKMGAILASGIIDAGGRNVTIKLKSKSKHDKLTAVVGLAVFSQFWYWYPLTYFISLAFSPTAIIGVNSDLRMPKLEFLSNAKPSLFDYPKPTSQQTATAAVELPTAILSTYAKAKSRAAKKDAESKAQEKAEAVQEKAETAEGKAEAPTSEDASTSMQVDGAAPEKKAPEPEPTFQVLTNPARVLPAQEKFIKFLEGSRYEPVKMAPSGFILLRDLKPTEAEELVLADTPSTAAAATNAGSQQSAMAVDEEPQPPEPFEYTS* >Brasy3G336400.1.p pacid=40043017 transcript=Brasy3G336400.1 locus=Brasy3G336400 ID=Brasy3G336400.1.v1.1 annot-version=v1.1 MAYHLRSASAPASPRSNETGVEQQLQSLSTATSSSSATIDTMRDGLRTLGDVFGCIEKMVCTPSNQASLCQTMQVRKAVEEELGRSLVLLDLCNAMRESFMELKMSVQENLLVLRRGEDASAQVKTYIRLIKKTQKQFKKITRKTVSDNKECRVVMLLAEAREVAVSLLESTSGLLSKQIEMPKWSLVSSRTFQKSKVVCEEEQLQALERGIGDLESGVELLYRRLIQNRVSLLNALSS* >Brasy3G241700.1.p pacid=40043018 transcript=Brasy3G241700.1 locus=Brasy3G241700 ID=Brasy3G241700.1.v1.1 annot-version=v1.1 MAYHVDQWRCRSTIDQCQGKLTWPELLGKKGKEAKYVIGKERPDIDGAIYVPQDAIVTDDYCCNRVRIYVDCNSECDYGNARVIEVPRVG* >Brasy3G325700.1.p pacid=40043019 transcript=Brasy3G325700.1 locus=Brasy3G325700 ID=Brasy3G325700.1.v1.1 annot-version=v1.1 MKDGGGRQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAACAARPAAARVLASGPEFLCSACDDDGACEGGARVPVEGFSGCPAASELAASWGLDLLLHPLPADGCGGGGGGGGLGRGDQDEEDALFFSSLDYSMLVDPEMRDLYVPCDPPDSGGGGRPLKGEALCQQLAEMARRETQSQPPQQQPQQQYTPDLRPRTPRRSSAGRLLPAEKPPPPPAPQEPPPPPAPQEPPFPYTSLLMNMMPPDNLAGGNNDRLRDDEAAGQLQWEFTAPSSVPPAQIWDFNLGRSRNHNENSALEVEFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSGYCAAAEDIMSTNICQLSSKNVSTASNKRKVSSCTSTIDGPTTSGNYVPTSGPLGSSSQDRGAALTREISFGEQTIVPTGADRPTARIDSETLAQNRDSAMQRYREKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSNEALNASGNGG* >Brasy3G251800.1.p pacid=40043020 transcript=Brasy3G251800.1 locus=Brasy3G251800 ID=Brasy3G251800.1.v1.1 annot-version=v1.1 MPRRGGRRKPTATASSHDIRWVMLDRLVHLDKAELDEFVAAADGTQSGICNTCTGTPLIVSVRVAMPPAVSRLYLCWPDGLRPEMSRVNPPSIIAAHGHSILFQARVPHIEHHRPEYYPIDYFVYTACRSPSLTRLPPCFKGGRVDQETDQFFLPYRLQQQRRMHRSNIGLLCRGDEEFTVAELSSRGELCLLHHPPGEGDEAKDWDIETLQMPYGEGVPEFFGPSWKTDVVIPFGGSYLCWVDYYLGLLFVSVHGEVTKKSYYIPMPASLDSRRLYIDAGAPDLARRVCVTDSGTIKLICISDQAGRSLHKPCHLNFKITSWNLVKKKWIGDSTMQASKFWAVLDVDRRLPHLRPEFPTISLVDPDVVCFVLNEDYRIYWLIEVNMKNMVLGQVTLYISDDEEGCSVEISHSHGNINEEEEEEGCSVNMTRRTYLEGSFIPSNFTRYLHKDLIRRSNKYNLSFGLKLMPILSNMIWI* >Brasy3G219700.1.p pacid=40043021 transcript=Brasy3G219700.1 locus=Brasy3G219700 ID=Brasy3G219700.1.v1.1 annot-version=v1.1 MVGPDARIMAMSTIAAATCSFSLPANPGRHSTRAPRLLRRPACRRSLLAASPLPASRLRLRPRTSVSASTAATEDDYEFTDGNGEVELRLDIGKLGIESSRDVFVDVDDMSLLIRAKSDGTLRTLMNVTTLFDRVKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATQKSIDAWADTEGADSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHSGFTVWLSVSDAGDEAAAKEEARRSVSAGSVAYAKSDVVVKLGGWDPVYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPQSDAPPTNI* >Brasy3G165200.1.p pacid=40043022 transcript=Brasy3G165200.1 locus=Brasy3G165200 ID=Brasy3G165200.1.v1.1 annot-version=v1.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGEYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCAEEFCLRKVKNDQLKAEAKARGEVISTKRQPLGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY* >Brasy3G256900.1.p pacid=40043023 transcript=Brasy3G256900.1 locus=Brasy3G256900 ID=Brasy3G256900.1.v1.1 annot-version=v1.1 MCCERCKRRDERDYCSLDDREKHFLMFMEDGCAHEMIVPNEFVRRFRGEIPGEIKLETRNGQTYTVGVSKYPDKLVLTAGWGAFVKTYDLQMGDSVVFRYNGDSQFNVIVFDRFGREKASSVVVDDDPLSPHEQEKNRGSTESLSRSHSHLQRMEVQSPTENVNRSQGHPQPKQMPSPTENMDLSQEHPQPMQMPPPTESMDRSQRHPQPMHMQSPTGNVDGFVGLSRPMEVQSPTENVNSFVGHSEPTQMQPHTDTMNHCSYHPQTVQMQLSCSHTERQSKLQNDYSNQGNKTATSPSGDSLPPEDDIEVREKPTYMLGKKTRLNSAQEKEVDEMVQHIHHENPILVAVMSKCNVTGPFLLTVSKQYVKRYMGNKVRCICLQRRGKNWEVHFGGRPGEKRIVAGWRKFVKDNDLEIGDICIFELLKNYMMCTIEVHIIHIKDSDSSSQIGCNKVKRSSKIVPTETMKHSMVRPQSTQTQSSPIKRKMPLQRVKTLGRERDSLFSEDSKDSNGDVDTLTDYIAVHKKRLTPIQKREVKKMVQSIDSEIPIFVVVMHKTNVTGRFTLSISKKYAEKYLGGEVQRIWLERLGERCQVNLGRRPQDTRVVGGWAKFVRDHEVEVGDICLFELLKNRVSCTMKVHIIRAKNVS* >Brasy3G085400.1.p pacid=40043024 transcript=Brasy3G085400.1 locus=Brasy3G085400 ID=Brasy3G085400.1.v1.1 annot-version=v1.1 MMDGAKFPGIIGAVGGHDGGVNFCDMAYYQKLGEGSTMSIDSMNSMQTSMHGGSIMSVDNSSVGSTDSRTGMLNHPGLKGPVAVASYSVGNSIFRPGRVSHALSDDALAQALMDTRFPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYRGTYNGMDVAIKLLERPEAAPVQAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRNFLTRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGTLPFAKMTAVQAAFAVVNKGVRPTIPHDCLPALGEIMTRCWDANPDVRPPFTDVVRMLEHVEMEVLTSVRRARFRCCISQPMTLD* >Brasy3G131600.1.p pacid=40043025 transcript=Brasy3G131600.1 locus=Brasy3G131600 ID=Brasy3G131600.1.v1.1 annot-version=v1.1 MASGGAWGASEGDAMASTARELILWEILVRVPAKELLRCRAVCRSWRRHTSGGAFLLAHHRRQPSLPLVSSNAGDPARPRPSVYAFDLRRSPAARRPVLGFTGHNAVRGFSIHASCDGLLILSVADNRVFVCNPATRQWCALPALAGSDAASLYPAGAEYRVLYWKLADNKRNFVYSILTLSSSSSSQEHRCIGQPVVVSPTMKNVDLLLAHALAHTPVLLHGCLHWYIGFQGIVVLVFDTVVESFRMGDGTLGIGYVHPATMTARVWVLQDYETEEWSLKYRIELPVAEMRKHVTEHRSFSAYIVSGNGDVLVTGRDPRHLFHCDSKGKLLEKWPGVTLGKCLPSNNAFSSRGAFFQRNDGSREKVPCFFRGL* >Brasy3G314800.1.p pacid=40043026 transcript=Brasy3G314800.1 locus=Brasy3G314800 ID=Brasy3G314800.1.v1.1 annot-version=v1.1 MADSSFTELKLDYPEFKNHGLGNPISEHISAGHYIWSINCHYTKDDEGDCIDLHLSLSTELKNFDGIFEAFLVCKNGAPSSRAKRSVLNVDGRYDKGGSAYWFGEILVKPGFLESRYVANGVITLVCGVVSLPSEMGNQFGSLLDSPDGSDVSFSVGGETFRAHRAVLAARSPVFRAMLYGSMAEAKTPCITLSLDDIEPETFRAVLWFMYTDRPPIEEDESCLKTTQLFQRVLAAADRYGLGRLKLIYGRPCRSVETVATTLGCAEMHGCPELKSRCLDFFMEREIFKKAIKERVEALFVF* >Brasy3G143200.1.p pacid=40043027 transcript=Brasy3G143200.1 locus=Brasy3G143200 ID=Brasy3G143200.1.v1.1 annot-version=v1.1 MWSCEHRPLVLVNSSLRNTLLSTITVMQQHLMRSELHIIPFFSHWLTKGWGPSVLFPANHGVSLCFFILELISTLLLAVHTDLYILQVAHIAIFKGVLYL* >Brasy3G179200.1.p pacid=40043028 transcript=Brasy3G179200.1 locus=Brasy3G179200 ID=Brasy3G179200.1.v1.1 annot-version=v1.1 MSRPHLPKDHAFPRANGFSSDGGVRRLCEIEEADAAVAGDQSWSGSPSQSTSPSSASSQPMSSCGQYILHRVGKFDTLAGVAIKYGVEVADVKRLNGLSTDLQMFAHKTLRIPLPGRHPPSPFQQNGSYDCDDRECSPRRLHDDLLDTVLRTPRHKVSPAMSLLQGYYGLTPPPKRDPTHEGTEMAVYGKGKSVSLDDEPWSAGPPNPNKFLFEHRKTRSPAIGSHVNGEAEENGDSERPIRRRQKADGELLLREENGSALLSRAGKGLALRPKSGNRPDMNKSHQNLIAMLEPSFDDGLQTVKKSSSTPEFQEPESNSSSSIWSASKWSLKPDAFTLPLFDSIPKPIAAWKNKAARD* >Brasy3G129300.1.p pacid=40043029 transcript=Brasy3G129300.1 locus=Brasy3G129300 ID=Brasy3G129300.1.v1.1 annot-version=v1.1 MSKTWALITHLHAIAGPSLTLIYPLYASICAMETASKLDDGQWLAYWILYSFIALFEMAAEQFLYWIPLWYEVKLLFVAWLVLPQFRGASFIYEKFVREQIRKHGVMLHGHGHGHDAGHRMHILKAEHGVH* >Brasy3G040900.1.p pacid=40043030 transcript=Brasy3G040900.1 locus=Brasy3G040900 ID=Brasy3G040900.1.v1.1 annot-version=v1.1 MHSLPAYAILFFLSWPFSSVLICASDHYLVPGKPLSPGSILVSEDGVFALGFFSPSNSTKKHYYVGIWYNGIPEFTVVWVSNRAAPITDLSSAMFAVTNNSDLVLSDNNGRVLWTTNNNISTNSSLAEAMLDNTGNFILRSLVDSAILWQSFDHPTDTLLPGMNLRLSHKMHPLQHLVSWKGLEDPSPGDFSYGADPDNLMQRFVWHGSMPHRRSPVWTNYLLRVNYMDGISKPTVSMVLHHAGGEVYMSFGMPTGSFVVLVRMEIDYSGKVNILSWESNMSVWKALYTEPVYECNMYGYCGPYGYCDNTEILPVCKCPEGFEPRDDKGWTAGRFVQGCRRKEVLRCTHGDAFLSFPGMKVPDKFLEVRSRSFDECMEECRSNCSCVAYAYSSMSNRDIDGDDTRCLVWMGDLINMENFTQGGENLYVRTNRSRGKQGSKIVWKRLTLGNTGTSNELADRNIEFPALSFRAIATATNNFSESCILGQGGFGNVYKARIRCGKEIAVKRLRVGSVQGVVEFKNEIALIAKLQHRNLVKLLGCCIHEDEKLLIYEFLPNGSLDTFIFNDRRKSLLNWPTRFKIITGVARGLLYLHQDSRLMMIHRDLKASNILLDAEMSPKISDFGTARIFGVNEQQEHTNRVVGTFGYMSPEYAMEGIISVKSDVYSFGVLLLEIVSGLKIGTTGPTTRSHNLIDYAWTLWKNGTVLNLVLDAILPLLSLDSSIVESCSHDEALRCIHIGLLSVQDNPSARPLMSWVVSSLDNGDIELPQPKESVCFHRMHGTDGAGESHVNNMSLGNLKGR* >Brasy3G095200.1.p pacid=40043031 transcript=Brasy3G095200.1 locus=Brasy3G095200 ID=Brasy3G095200.1.v1.1 annot-version=v1.1 MPHTGSAFFSPVRPSRPFPNLLSSSPRLGFEDPAAPSPAQGHDAGITTAAALLFRGGSRPLLYSSARAPLPTVLHRPCSSGSTRRPLLQFSSDGHLFGPALRPLTCDALPSICYMDQHSDHGHKELVLFKIRKRRTMCVLV* >Brasy3G293300.1.p pacid=40043032 transcript=Brasy3G293300.1 locus=Brasy3G293300 ID=Brasy3G293300.1.v1.1 annot-version=v1.1 MRLTLRVIGARNLRAMDFNGFSDPYVKLQVGKQRFKTKVVKMNLNPEWDQEFSFVVSDVREVLKFYVYDEDMIGIDDFLGQVKVPLEDLLAAENFSLGTRWYQLLPKSKSDKAVDCGEICLAISLETAGATRSWSDDLATELTGIHSDYSLSSSQSTGTSLALAYQENEASKEDNINEYSDGSEIPEEDNCSGGREQTTAGAKPNGISCGAETSKAEKLDKTSLVDRVCQMFVRKNDDAAPTTSLAKTEASEEVQEAPAGFEASVSQSDDMCSEVPFDDLLRSFESMHEGVEMPVNLQGILINQSYFTSPNDLNNLLFSPDSDFRRTLVQLQGCTDFTTEPWIIGNGGETLKRVITYTTAPSKLVKAVRATEEQSYLKADGKEYSVLLSASTPDVPCGTYFRTEVLFRIMPGPELDSEQQTSHLVISWRMNFLQSTMMKGIIENGARQGLEQNYAQFLDLLSEKVKPIDVEDAGSDKEQVLSSLQGGQESDWKIAFLYFCNFGVLSSLFVSLYIVVHVSLVNSGVVQGLEFPGLDLPDSLSEIVMGGLLFLQVQNMYKKLVCFFQAREQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSRQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMPLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLHTQGHLFLSPRIIGFYSSMFGRKTKFFFLWEDIEDIQAIPPSLSSWSPSLAITLHKGRGMDAKHGAKSVEHGKLKFSLQSFASFSVANRTIMALWKARSLSSETKVQIAEEQSHNNTLQSEDSGIFAGVEDSKSLQMSEVFSSVISANMASLMEVFGGGSLEMKVMEKVGCLKYSATQWEPDKPDEYQRQIHYKFSRKLSPVGGEVTGTQLKSPMPNNKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQKACSVQVYLGIEWSKTTRHQKRIEKNVLSSSSARLKEMFNLASKQLSHAR* >Brasy3G146200.1.p pacid=40043033 transcript=Brasy3G146200.1 locus=Brasy3G146200 ID=Brasy3G146200.1.v1.1 annot-version=v1.1 MVTWCSSLVIASLWQWNGEKHTSYRLLARSIFGPWGYWYVLFFQQVASVGNNIAIQIAAGSSLKAVYKHYYAGDGGAMKLQHFILVFGAFELFLSQLPDIHSLRWVNATCTASTIGFAGTAIGVTLYDGYQVDRKEVGYGVQGSTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVTRNMYKGISAAYMLIVMSYWTLAFSGYWAFGSGVQPYILSSLTVPTWAIIMANLFAVIQITGCFQIYCRPTYAHFEERLQGRKNTTRCKAWLWRFMYTSAYMGVITLISAAMPFFGDFVSICGAVGFTPLDFVLPALAFLKAGTLPQNLGPRCALKALASTVAVLFSVVGALACIGAIIAIALNVRTYKFFHDM* >Brasy3G082200.1.p pacid=40043034 transcript=Brasy3G082200.1 locus=Brasy3G082200 ID=Brasy3G082200.1.v1.1 annot-version=v1.1 MADEEEPPVLLDRASRTTRGKRITKLVEEEVEQDEVFWGQDALKEDEEDDNYQEEQDAGDEFDSDFGEDEPEPDDDPEKEAREERLPIKKRLIFPGKTMKKMKASKKQKKKKKKKKVVIKLEDEDVIDNASGKATASKRSDAPGEWESEKTVRKSTRTAVVVRQAEREAIRAEKQATAKPVKKRKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVQKAVYGGPTVRFYSRDGESRLEFVNGASFGSELCTTSTPYPEKSVCAITGLPAKYRDPKTGLPYATMAAFKAIRERYLNEEPDKKRPNMSNMGELFESVSSENSTPKKRRIEARSPLSGDLRHGGRFRRIPALDMMDED* >Brasy3G015300.1.p pacid=40043035 transcript=Brasy3G015300.1 locus=Brasy3G015300 ID=Brasy3G015300.1.v1.1 annot-version=v1.1 MEGETAQAAARVAEQARELQDAAAALMSRAWAEEEALRRRAAALAQELARLRKAAAAAAAGHTDRDKVREDLDRAACLMSDGDIASIVPSKAHGTFLKVLLGPVNLRARKEVQLKVKEEYNSYRDRTAIVFLGFPMILLFLRTWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWVSHHYCAMLMALVSLTWEIKGQPDCARKQRGVELFLCWAVMQGFAMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPVLFLLQVFEGYVGFLLLRTAHRGIIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSKSKRDLAACTSPTGSSLTNSAAGA* >Brasy3G015300.2.p pacid=40043036 transcript=Brasy3G015300.2 locus=Brasy3G015300 ID=Brasy3G015300.2.v1.1 annot-version=v1.1 MCMPRTIPCLLLADVAVSCLVCLAQVEEDLDRAACLMSDGDIASIVPSKAHGTFLKVLLGPVNLRARKEVQLKVKEEYNSYRDRTAIVFLGFPMILLFLRTWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWVSHHYCAMLMALVSLTWEIKGQPDCARKQRGVELFLCWAVMQGFAMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPVLFLLQVFEGYVGFLLLRTAHRGIIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSKSKRDLAACTSPTGSSLTNSAAGA* >Brasy3G285500.1.p pacid=40043037 transcript=Brasy3G285500.1 locus=Brasy3G285500 ID=Brasy3G285500.1.v1.1 annot-version=v1.1 MEPLPRKRKGAPPECSSPAAAARSLQDAAPRKRACRDPVPNRRCADAPGVVMTAPPASNGTAAAGCGGRGVKRKVGCIDSATRMGRRKRLESEYDLGEEIGQGKFGSVRLCRAKNGGGEEFACKALPKNGGETAHREVEIMQHLSGHPGVVTLRAVFEDADRFYLVMELCRGGRLLDEVAREGKLSERRAANVIRELMAVVKYCHEMGVVHRDIKPENVLLTKAGRLKLADFGLAVRVTDGQKLSGVAGSPAYVAPEILLGNYSEKVDIWAAGVLLHVLLLASLPFQGGSVQAVFEAIKTAEIDFHSCQWESVSVHARDLISRMLNRDVSSRLDADEVLRHPWILLHSECQLKAEFSSLWHDNKTKMPRIHHERIRSDCQHLSSESSIDDCDEADECGIVDALAAAITQISISEPKRSRICTSAIPTQQEAPSSLKGNPCTAF* >Brasy3G130100.1.p pacid=40043038 transcript=Brasy3G130100.1 locus=Brasy3G130100 ID=Brasy3G130100.1.v1.1 annot-version=v1.1 MPTGAHMDLEAGPCGGGPAATPAPPAGRETDVGNVRKNLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALSADDHGEGGTFAMYSLLCRHADIGILPSKRVYSEEDPLLHDQSTVARKPSKLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPVVDVLSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGIYSIFHYYPGIFKAISPHYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNLNDFVDGFYKFVPRPVYWPMFVIATLASIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQKKEGEVYSPEINYILMVLCVGVILGFGGGKEIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPHALVWLYFVPFVIMEGSYVSAVFTKIPEGGWLPFAVSMILALIMFVWYYGRQRKTEYEMANKVTLERLSELLEMPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHTVTIFVTLRYLLVSKVDQQERIRIKRLGPNGVYQCTVQYGYADNLSLQGGDDLVAQVTRCLQRHIETSTDRQSPASIVEEIANVEAARSAGVVHVRGKMRFYVGSDAGCFDKVMLRFYEFLHGICRSALPALGMPLQQRVEIGMLYKV* >Brasy3G072500.1.p pacid=40043039 transcript=Brasy3G072500.1 locus=Brasy3G072500 ID=Brasy3G072500.1.v1.1 annot-version=v1.1 MSDRQPSEEPEEQVDLEGDDDVMDDEEGYRRRRHRGGGEDSDEPEEEEPVEGDGDGDGEGDGPGEDGPGDDAGMEVAKEEAAAGDEMDKDGTDGPKDDDEKRKWDELLALPQQGCEVFIGGLPRDTTEEDLRELCEPLGEIHEVRLMKDKDTKENKGFAFVTFTAKDVAQRAIEELHDKDHKGRTLRCSLSQAKHRLFVGNVPKGLSEEELTSIIKGKGPGVVNIEMFKDLHDPTRNRGFLFVEYYNHACADYSRQKLSSPDFKVDGSQLTVSWAEPKGSSSSSSDSSSSAAQVKTIYVKNLPENVSKEKVKDLFEVHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLSDKKPDHSARPGGPPNYPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDRRDGGGRSGEGSHGRRYRPY* >Brasy3G072500.2.p pacid=40043040 transcript=Brasy3G072500.2 locus=Brasy3G072500 ID=Brasy3G072500.2.v1.1 annot-version=v1.1 MSDRQPSEEPEEQVDLEGDDDVMDDEEGYRRRRHRGGGEDSDEPEEEEPVEGDGDGDGEGDGPGEDGPGDDAGMEVAKEEAAAGDEMDKDGTDGPKDDDEKRKWDELLALPQQGCEVFIGGLPRDTTEEDLRELCEPLGEIHEVRLMKDKDTKENKGFAFVTFTAKDVAQRAIEELHDKDHKGRTLRCSLSQAKHRLFVGNVPKGLSEEELTSIIKGKGPGVVNIEMFKDLHDPTRNRGFLFVEYYNHACADYSRQKLSSPDFKVDGSQLTVSWAEPKGSSSSSSDSSSSAAQVKTIYVKNLPENVSKEKVKDLFEVHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLSDKKPDHSARPGGPPNYPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDRRDGGGRSGEGSHGRRYRPY* >Brasy3G329500.1.p pacid=40043041 transcript=Brasy3G329500.1 locus=Brasy3G329500 ID=Brasy3G329500.1.v1.1 annot-version=v1.1 MVAAAWIGGGVPPPSGSAAPRAPVAGRRSPRLRMVVSLGFVLAADFWLRGYRALAFVVTGFGDLGALPGGRVFVGSGSKRWFVSVVQFVRPVPLWLRQLKPLRCCNPNAARVTACSSPLLPSPRSPDHCGL* >Brasy3G326900.1.p pacid=40043042 transcript=Brasy3G326900.1 locus=Brasy3G326900 ID=Brasy3G326900.1.v1.1 annot-version=v1.1 MKMAVFAVLLISLLSAIPSEALNVRGHLLKSKTFRSPAFSLAPGSVSNKFYHDIDFPRGHIALKSFDAEVVDEDGVPIPLHETYLHHWVAEPYYALKNIGEADEKKAPAMLARNDGVCKTTLGQYFGLGSETRHTATWVPDPYGIESGNPEKVPEGYEEKWVLNIHAIDTRGVVDKPACTECKCDFYNVTVDEYGRTISKNYTGGLYCCYDQTQCQLKKGFNGEVRKLFLQYTVTWLDWTDAVLPVKIYIFDVTDTALLDGNADHSCKVEYQVEECSPEERANNVCTHVKTAKAVLPRGGDIVFGVAHQHSGGSGASLHGQDGRLLCESLPTYGTGKEAGNEANYIVGMSTCYPKPGSVKVSDGEVLTQVSNYSSDRQHTGVMGLFYILVAEPQQQTAPEPALCFSFPVPWCLPAWMSSNM* >Brasy3G022600.1.p pacid=40043043 transcript=Brasy3G022600.1 locus=Brasy3G022600 ID=Brasy3G022600.1.v1.1 annot-version=v1.1 MLSDNTMKGNKQLRCLVAVAVLVVVLSAGRLDIAAAQAAGLKKGFYKKSCPQAEDIAQKVVWNRVAGNRELAAKFLRMFFHDCFVRGCDASVLLDSPTRTAEKDSAPNLSLAGFEVIDEVKAALERACPGVVSCADIVALAARDSVSFQYGKKLWEVETGRRDGSISSDQQALDEIPAPSSTFDVLVSNFSSKGLGVQDLVVLSGGHTIGIGNCNLVSSRIFNFTGRNNPSDIDPSLNPPYAKFLQGQCRRNLADPNDNTTVVPMDPGSSTSFDSHYFVNLKAGQGLFTSDATLVTNARAASLVEKLQDNGVFLDHFKNSIKRMGQIGVLTGANGQIRNRCNVVNS* >Brasy3G251700.1.p pacid=40043044 transcript=Brasy3G251700.1 locus=Brasy3G251700 ID=Brasy3G251700.1.v1.1 annot-version=v1.1 MQPAPASPERNPPPPLEGAAPTEEPANDNADAATVKEAPAAAEDEEVKEQEEDGDERREEEEPRRAGRGRKRGRRGGGGGAARGVVMVRRELLARCMTCPLCNRLLRDATTISECLHTFCRRCIYQKFNDEEVESCPVCKIDLGCTPVEKLRADHSLHDVRSKIFPFKRKKIKAEDVEAPISLPSKRKERSISSLVVPTPKLAPTGLMGRRTRAVTRKAAAALRGLGPNIGNPVKKENDISDKHAHSSSLPANLGKVPKKRRQISSNAEASNHCSNKDAEDNSDMADNAELWRPLNCLVEATNRTKSFRSSLNTPVIKREQLNVSPNSTYGSKTKSREHLQKPKIEDNKKDAPVSPVIVKRKPGTGRRRRALHIPANGTPDGEVTPNEKRFNSIWFSLVASFEQKGDPPLPQIPSHYLRIKDANVPASSIQKYLMQKLSLPSESEVEINCCGQLVNPTQPLRNLVELWVRG* >Brasy3G020200.1.p pacid=40043045 transcript=Brasy3G020200.1 locus=Brasy3G020200 ID=Brasy3G020200.1.v1.1 annot-version=v1.1 MKLITCASSPTLMIRFFFHSLQRLVPSFLLSLGGGARIWPVL* >Brasy3G041100.1.p pacid=40043046 transcript=Brasy3G041100.1 locus=Brasy3G041100 ID=Brasy3G041100.1.v1.1 annot-version=v1.1 MGGGVMRTAAKVGMAGGAAAAASGRFRSVAPAFATAAPAAESSAAPLVSAAGEVPAMAAAGPQWASSSWEVDDWEFADWRDVAVSEAEETAVGRPRLVFAPPSQEEAQEATTELRDAIDRVYFNKTPIEIVKEQDKELNKLGVDAIIPAMPGHVVQAFTLLKSSSEAQSVVASLASDKNVWDAVLKNERVMEFYKNHQQTLVHTFPEDSGTVESPEKSEGASQEYASRGELPTASPLSDFVDNAKKTVMEIVDNITHFFQDVFRNPAEAGDGPSSSTGKGSPPSFAEMAAGGSFMALAIAVILVVLFKRA* >Brasy3G041100.2.p pacid=40043047 transcript=Brasy3G041100.2 locus=Brasy3G041100 ID=Brasy3G041100.2.v1.1 annot-version=v1.1 MGGGVMRTAAKVGMAGGAASSAAPLVSAAGEVPAMAAAGPQWASSSWEVDDWEFADWRDVAVSEAEETAVGRPRLVFAPPSQEEAQEATTELRDAIDRVYFNKTPIEIVKEQDKELNKLGVDAIIPAMPGHVVQAFTLLKSSSEAQSVVASLASDKNVWDAVLKNERVMEFYKNHQQTLVHTFPEDSGTVESPEKSEGASQEYASRGELPTASPLSDFVDNAKKTVMEIVDNITHFFQDVFRNPAEAGDGPSSSTGKGSPPSFAEMAAGGSFMALAIAVILVVLFKRA* >Brasy3G314500.1.p pacid=40043048 transcript=Brasy3G314500.1 locus=Brasy3G314500 ID=Brasy3G314500.1.v1.1 annot-version=v1.1 MAALELSKLVKEKKFWVASFLVAWAAALQGHMMWLQRQDAFKDKFGDPNKVVTETNQPPPPPKNEQVTADAEFR* >Brasy3G079600.1.p pacid=40043049 transcript=Brasy3G079600.1 locus=Brasy3G079600 ID=Brasy3G079600.1.v1.1 annot-version=v1.1 MASDGRRSAMEVPGYGKTVEREKKMEHGRAQARLSDDDMLRTGYVGETPLEGGKIADSDPVDLFNAQPQQRSEGEEQEDEKEPSAPRGVQRAKQGMHGGRQ* >Brasy3G258600.1.p pacid=40043050 transcript=Brasy3G258600.1 locus=Brasy3G258600 ID=Brasy3G258600.1.v1.1 annot-version=v1.1 MISGGDFHPLLLGDKQLFEGGGKAKATKERERRTRSTIARLTTFDNRANDVSQGRRRRSIFCSLPHSTEAALRLGLSATAGKSQARRRRQRLLLPPLASRLATSHPSSVHRPATLYAPPSPLLPPLDRRRRAAGGHREPAAAAPPKAVAPLWERLCPIAKRYPSD* >Brasy3G276900.1.p pacid=40043051 transcript=Brasy3G276900.1 locus=Brasy3G276900 ID=Brasy3G276900.1.v1.1 annot-version=v1.1 MECFHATILESSLVNASNDGLVVVPIPVAPPATWNENPRAGMQHDKGTIVEQHERDDMLDEILRYLHLPMVEAAKELKMTPKALKHLSRRHGIKRWPTQTIKAANNKIIKLLDAGTHRDNIMDPEKKKQEIHEKIATRSAEI* >Brasy3G138700.1.p pacid=40043052 transcript=Brasy3G138700.1 locus=Brasy3G138700 ID=Brasy3G138700.1.v1.1 annot-version=v1.1 MEYGPDDSSGTGTDDDLPPTYHNRGMRGGGRISGNGRDIVSAGPYSRPQPLIDMETEIHQLEQDAYCSVLRAFKAQSDAISWEMESLITELRKELRVSDKEHRELLNRVNNDDIIRRIREWRESKGGLQTNLVNNNQRSHDPLPSPTTSSRKRQKTSQSIPSASVPAPPPAHSQPLTAPMQPPSSVAKKATPLGIKGKKTKPGQKIPGAPAVKPMPSSASSSGRGPIMNRNSSGGIPSETVQLDPLIGRKVMTRWPDDNSFYKAVITDYDPQKGRYALVYDINTPNATWEWVDFTEIAPEDIRWEGEDPGINERGRGALHANKKSGSRSGPASGAGRGRRPQKNTFRKDFSPSQNGVGKRSSGYIEILHTETLIKEVERVFGANNPDPLEMDKAKKVLKEHEQSLIDAIARLAEASDGEIDERAQSLEHNQGWRNKQGGNYADMAIDGHMVGDADVV* >Brasy3G144000.1.p pacid=40043053 transcript=Brasy3G144000.1 locus=Brasy3G144000 ID=Brasy3G144000.1.v1.1 annot-version=v1.1 MEDIQKQLGDLATTMTTICGQISSLQATVTTVAAKTEEILPIVTELQAWRPSADGATAQLGADLGDLRSQIRLISRNPVLLIKPSELPGVMPPPAHAVPIPQGLGSVVVVAGQKESGPGPSGHSEFQLHWGRAPGDLTSP* >Brasy3G298600.1.p pacid=40043054 transcript=Brasy3G298600.1 locus=Brasy3G298600 ID=Brasy3G298600.1.v1.1 annot-version=v1.1 MAGAPRGSCCLALLFWAVLLLTVSPGNAFYLPGSYMHTYSEGEVIWAKVNSLTSIETEMPFSYYSLPYCRPPGGLKKSAENLGELLMGDQIDNSPYRFRVNVNESMYLCTTKGLNENDAKLLKQRSRDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYTPAGSTDDYIINHLKFKVLVHEYEGTNVEIIGTGEEGSAVVSEVDKKGMSGYQIVGFEVVPCSVKRDTEAFSKLNMHDKIEPVSCPVELRKSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGANVHWFSIMNSVMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCAKLLCIMIGDGVQILGMAIVTIVFSTLGFMSPASRGMLLTGMIILYLFLGIVAGYVSVRLWRTIKGTSEGWRSLSWSTACFFPGIMFMVLTILNFVLWGSNSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAEQIEFPVRTNQIPREIPARKYPSWFLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVMVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFLMAFAIMLATGTIGFLTSFSFVHYLFASVKID* >Brasy3G189500.1.p pacid=40043055 transcript=Brasy3G189500.1 locus=Brasy3G189500 ID=Brasy3G189500.1.v1.1 annot-version=v1.1 MVRPGSRRTEREAEKRGDDAFNTASACPLVLVERQGSEHSDGDLGGNAYVSSAAAPTYIMVMAISAAMRTSPPQLLPLHGDVLVNMRVMSSRRHGRGAAVKPAAPTVLATRTPADTRTTPLSSPDKKRPTTTPDADGALTINKKPKRASHDTASGTAIGATSSHESPEVTDLKVFLERYRWLCSGASPFSTKAAPSCGTPLSLILPEGKNALERCAQKFLPSDLGDIQEALRAEPLDKQHTIEMIEWLYDICYAPAAAGVGFVLLVGGAIGFCFMRRAN* >Brasy3G139200.1.p pacid=40043056 transcript=Brasy3G139200.1 locus=Brasy3G139200 ID=Brasy3G139200.1.v1.1 annot-version=v1.1 MQRVDEPRRRWCGDGCRGWTVDAPRAINLQAEVNRVSEMGSGSAASGAGAGPPGRCLRCVACQRKETSRCELLMWTKACAPLWLKTKAASLGRFQRLQAQGETKPAGRRRRRCSDGRRRLRRRRRAHLLVSVQVLQVAVACLAWPASRRRPGGV* >Brasy3G187500.1.p pacid=40043057 transcript=Brasy3G187500.1 locus=Brasy3G187500 ID=Brasy3G187500.1.v1.1 annot-version=v1.1 MAAQQKVVLRVPTMTDDKVKQKAIEAVADIYGVDSIVADLKENKMIIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKKEKKEEKKEEKKEEKKEEKKEEKK* >Brasy3G187500.2.p pacid=40043058 transcript=Brasy3G187500.2 locus=Brasy3G187500 ID=Brasy3G187500.2.v1.1 annot-version=v1.1 MAAQKVVLRVPTMTDDKVKQKAIEAVADIYGVDSIVADLKENKMIIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKKEKKEEKKEEKKEEKKEEKKEEKK* >Brasy3G221900.1.p pacid=40043059 transcript=Brasy3G221900.1 locus=Brasy3G221900 ID=Brasy3G221900.1.v1.1 annot-version=v1.1 MFGDCQVLSSMAAMAGGSSSADALFASPLMQPNPGGFNMGMAPYHHHHFSTTLIPKEEGVMGMGKDDDMDLTEMDMAELSGGSGSAHLDGLLAFDDDDHKPHHNETNMASTAAANNNGNAGNGSKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKTDNFRLQAALRNVVCPTCGHPGAVLADVPYEEQTLRVENARLKDELDRLASMATRYGGGRQQQPGGGMSSLLGCMSAMSAPMLMPPQQLDLDMSVYSRHFADHHQQHGGGVMADHHLMSSSVPQLQMADHGQQHYMAPVVVQEQDRQLVLDLAATAADHLARMCRAGDPLWARRVAGASGEVMDAAEHARAFTWPVVDAGKQQHDDLAAASAALRTEGTRDGAVVIMNSITLVDAFLDANKWMELFPSIVYKARTIQVLHNGAASGHLGSGSLILMQAEVQFLSPLVPAREVVFFRYCVHNADEGSWSIVDFPADGFQEDLLQQQQQTSAVVRCRRRPSGCIIQDAPNGYSRVVWVEHMEVVGDEKPLQPVFKDHVAGGAAFGATRWVAVLQRQCERLASELARNIADQGVIRTPEARTNMMRLSQRMITAFCANISASGSQSWTALSDSTEDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEIMSNGGSLQEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQETSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPSPSGTRANTGDGESTPGNADEPANGCLLTVGMQVLASAVPSAKLNLSSVTAINSHICNTIHQITTALKGAGASRSEPVSAGSDQ* >Brasy3G221900.2.p pacid=40043060 transcript=Brasy3G221900.2 locus=Brasy3G221900 ID=Brasy3G221900.2.v1.1 annot-version=v1.1 MFGDCQVLSSMAAMAGGSSSADALFASPLMQPNPGGFNMGMAPYHHHHFSTTLIPKEEGVMGMGKDDDMDLTEMDMAELSGGSGSAHLDGLLAFDDDDHKPHHNETNMASTAAANNNGNAGNGSKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKTDNFRLQAALRNVVCPTCGHPGAVLADVPYEEQTLRVENARLKDELDRLASMATRYGGGRQQQPGGGMSSLLGCMSAMSAPMLMPPQQLDLDMSVYSRHFADHHQQHGGGVMADHHLMSSSVPQLQMADHGQQHYMAPVVVQEQDRQLVLDLAATAADHLARMCRAGDPLWARRVAGASGEVMDAAEHARAFTWPVVDAGKQQHDDLAAASAALRTEGTRDGAVVIMNSITLVDAFLDANKWMELFPSIVYKARTIQVLHNGAASGHLGSGSLILMQAEVQFLSPLVPAREVVFFRYCVHNADEGSWSIVDFPADGFQEDLLQQQQQTSAVVRCRRRPSGCIIQDAPNGYSRVVWVEHMEVVGDEKPLQPVFKDHVAGGAAFGATRWVAVLQRQCERLASELARNIADQGVIRTPEARTNMMRLSQRMITAFCANISASGSQSWTALSDSTEDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEIMSNGGSLQEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQETSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPSPSGTRANTGDGESTPGNADEPANGCLLTVGMQVLASAVPSAKLNLSSVTAINSHICNTIHQITTALKGAGASRSEPVSAGSDQ* >Brasy3G186900.1.p pacid=40043061 transcript=Brasy3G186900.1 locus=Brasy3G186900 ID=Brasy3G186900.1.v1.1 annot-version=v1.1 MDKKKSHVNIVVIGHVNSGKSTTTGHLIYKLGGIDKRLIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITINIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTHGFEAGISKNGQTHGCDSKARYDEIVKEVSSYIKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQITEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHQALQEALPGDIVGFNVKNVAVKDLKRGYVASNSKDDPAKQAASFTSQVIIMNHPGQISNGYAPVLDCHSSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAMVTKAAAQQK* >Brasy3G284600.1.p pacid=40043062 transcript=Brasy3G284600.1 locus=Brasy3G284600 ID=Brasy3G284600.1.v1.1 annot-version=v1.1 MEPHKWRALHNVLTRTRKDCGDYLDALRSMEQQARACYEDQAAWMTSEEFIVCLMLDGTFVLELFHGVTEGFEQKLGYSRHDPVFTMGSRMLTMGSDLLLLENQIPLFVLHSLLEIQEGHALQMGTVASLAMCFFEPLTLTDRLLHPWDSVRLENTVMSVKQNPKLHCLDVFRRSLLRAKMESSPAERPRFKEWPHLRQYQFVHPLSELREAGIRCRRSNTDKFWDISFEKGVLHIPRILINAWTERLFLNLIAFEQFHMDIATPSRNDITSYAIFMDNLINSDEDVKYLHDNGIIDHKLGSDDKVALLFNRLCLNVAFDLGDSYLSGVSDEVNKYYNHNRRWRRWLVSLRNTHCNNPWVSITQTFCSVYGYSQPPK* >Brasy3G227200.1.p pacid=40043063 transcript=Brasy3G227200.1 locus=Brasy3G227200 ID=Brasy3G227200.1.v1.1 annot-version=v1.1 MAGGGAMVSAATGALGSVLEKLAGMLGGACVRLMGVRGEVLFLRAELEHMSAFLVKLSRVEDPDEQARCWMAEVRELSYDIEDSVDEFTLCVDDDDRSAHPLPGFRGFIDRSINLLTKIKTRRRVAREIQCLKDRVREVGERRARYKIDESVCVQANDVAVDPRVCSLYNDVSQLVGIDGPKKELIKLLEEGDGASAHKLKVVSIVGFGGMGKATLANQIYHTFGKKFKYRAFISVSRNPDIIKVLRGILSQLSNQGYSSTEAGDERQLIGNILSFLADKRYFIVIDDVWSVEAWEIIRCAIPENNFGSRIITTTRIKGVAKTCSSPHANHVYELRPLSVVHSKGLFLKRIFNSEKQCPRHLSRTADDILRRCGGLPLAIITISGLLANKDQTVDQWDEVQSSISYALQRYPSVERMMKILSLSYFDLHHDLKTCLLYLSLFPEDYPIEKSRLIRRWIAEGFIQGKSGHSMYELGERCFNELINRSLIQPWGVNLFGEVTGCLVHDTVHEFILCKSIEENFVTLLGIPNLTIKTQSKVRRLSLLHKSNREVILQPNLNFSHVRSLSLFGRSMEIPSLVKSRFLRVLDLEDCRLLEGHHLDNIWNLFELKYLSLRNTSICELPEQIGGLQKLETLNLEFTSITALP* >Brasy3G227200.5.p pacid=40043064 transcript=Brasy3G227200.5 locus=Brasy3G227200 ID=Brasy3G227200.5.v1.1 annot-version=v1.1 MAGGGAMVSAATGALGSVLEKLAGMLGGACVRLMGVRGEVLFLRAELEHMSAFLVKLSRVEDPDEQARCWMAEVRELSYDIEDSVDEFTLCVDDDDRSAHPLPGFRGFIDRSINLLTKIKTRRRVAREIQCLKDRVREVGERRARYKIDESVCVQANDVAVDPRVCSLYNDVSQLVGIDGPKKELIKLLEEGDGASAHKLKVVSIVGFGGMGKATLANQIYHTFGKKFKYRAFISVSRNPDIIKVLRGILSQLSNQGYSSTEAGDERQLIGNILSFLADKRYFIVIDDVWSVEAWEIIRCAIPENNFGSRIITTTRIKGVAKTCSSPHANHVYELRPLSVVHSKGLFLKRIFNSEKQCPRHLSRTADDILRRCGGLPLAIITISGLLANKDQTVDQWDEVQSSISYALQRYPSVERMMKILSLSYFDLHHDLKTCLLYLSLFPEDYPIEKSRLIRRWIAEGFIQGKSGHSMYELGERCFNELINRSLIQPWGVNLFGEVTGCLVHDTVHEFILCKSIEENFVTLLGIPNLTIKTQSKVRRLSLLHKSNREVILQPNLNFSHVRSLSLFGRSMEIPSLVKSRFLRVLDLEDCRLLEGHHLDNIWNLFELKYLSLRNTSICELPEQIGGLQKLETLNLEFTSITALP* >Brasy3G227200.3.p pacid=40043065 transcript=Brasy3G227200.3 locus=Brasy3G227200 ID=Brasy3G227200.3.v1.1 annot-version=v1.1 MAGGGAMVSAATGALGSVLEKLAGMLGGACVRLMGVRGEVLFLRAELEHMSAFLVKLSRVEDPDEQARCWMAEVRELSYDIEDSVDEFTLCVDDDDRSAHPLPGFRGFIDRSINLLTKIKTRRRVAREIQCLKDRVREVGERRARYKIDESVCVQANDVAVDPRVCSLYNDVSQLVGIDGPKKELIKLLEEGDGASAHKLKVVSIVGFGGMGKATLANQIYHTFGKKFKYRAFISVSRNPDIIKVLRGILSQLSNQGYSSTEAGDERQLIGNILSFLADKRYFIVIDDVWSVEAWEIIRCAIPENNFGSRIITTTRIKGVAKTCSSPHANHVYELRPLSVVHSKGLFLKRIFNSEKQCPRHLSRTADDILRRCGGLPLAIITISGLLANKDQTVDQWDEVQSSISYALQRYPSVERMMKILSLSYFDLHHDLKTCLLYLSLFPEDYPIEKSRLIRRWIAEGFIQGKSGHSMYELGERCFNELINRSLIQPWGVNLFGEVTGCLVHDTVHEFILCKSIEENFVTLLGIPNLTIKTQSKVRRLSLLHKSNREVILQPNLNFSHVRSLSLFGRSMEIPSLVKSRFLRVLDLEDCRLLEGHHLDNIWNLFELKYLSLRNTSICELPEQIGGLQKLETLNLEFTSITALP* >Brasy3G227200.4.p pacid=40043066 transcript=Brasy3G227200.4 locus=Brasy3G227200 ID=Brasy3G227200.4.v1.1 annot-version=v1.1 MAGGGAMVSAATGALGSVLEKLAGMLGGACVRLMGVRGEVLFLRAELEHMSAFLVKLSRVEDPDEQARCWMAEVRELSYDIEDSVDEFTLCVDDDDRSAHPLPGFRGFIDRSINLLTKIKTRRRVAREIQCLKDRVREVGERRARYKIDESVCVQANDVAVDPRVCSLYNDVSQLVGIDGPKKELIKLLEEGDGASAHKLKVVSIVGFGGMGKATLANQIYHTFGKKFKYRAFISVSRNPDIIKVLRGILSQLSNQGYSSTEAGDERQLIGNILSFLADKRYFIVIDDVWSVEAWEIIRCAIPENNFGSRIITTTRIKGVAKTCSSPHANHVYELRPLSVVHSKGLFLKRIFNSEKQCPRHLSRTADDILRRCGGLPLAIITISGLLANKDQTVDQWDEVQSSISYALQRYPSVERMMKILSLSYFDLHHDLKTCLLYLSLFPEDYPIEKSRLIRRWIAEGFIQGKSGHSMYELGERCFNELINRSLIQPWGVNLFGEVTGCLVHDTVHEFILCKSIEENFVTLLGIPNLTIKTQSKVRRLSLLHKSNREVILQPNLNFSHVRSLSLFGRSMEIPSLVKSRFLRVLDLEDCRLLEGHHLDNIWNLFELKYLSLRNTSICELPEQIGGLQKLETLNLEFTSITALP* >Brasy3G227200.2.p pacid=40043067 transcript=Brasy3G227200.2 locus=Brasy3G227200 ID=Brasy3G227200.2.v1.1 annot-version=v1.1 MAGGGAMVSAATGALGSVLEKLAGMLGGACVRLMGVRGEVLFLRAELEHMSAFLVKLSRVEDPDEQARCWMAEVRELSYDIEDSVDEFTLCVDDDDRSAHPLPGFRGFIDRSINLLTKIKTRRRVAREIQCLKDRVREVGERRARYKIDESVCVQANDVAVDPRVCSLYNDVSQLVGIDGPKKELIKLLEEGDGASAHKLKVVSIVGFGGMGKATLANQIYHTFGKKFKYRAFISVSRNPDIIKVLRGILSQLSNQGYSSTEAGDERQLIGNILSFLADKRYFIVIDDVWSVEAWEIIRCAIPENNFGSRIITTTRIKGVAKTCSSPHANHVYELRPLSVVHSKGLFLKRIFNSEKQCPRHLSRTADDILRRCGGLPLAIITISGLLANKDQTVDQWDEVQSSISYALQRYPSVERMMKILSLSYFDLHHDLKTCLLYLSLFPEDYPIEKSRLIRRWIAEGFIQGKSGHSMYELGERCFNELINRSLIQPWGVNLFGEVTGCLVHDTVHEFILCKSIEENFVTLLGIPNLTIKTQSKVRRLSLLHKSNREVILQPNLNFSHVRSLSLFGRSMEIPSLVKSRFLRVLDLEDCRLLEGHHLDNIWNLFELKYLSLRNTSICELPEQIGGLQKLETLNLEFTSITALP* >Brasy3G078800.1.p pacid=40043068 transcript=Brasy3G078800.1 locus=Brasy3G078800 ID=Brasy3G078800.1.v1.1 annot-version=v1.1 MSMAEQDDDFSFPTPPPQLLAAACSSSTYGYGHRRNHSRASPPPSWSPIRRSFSAADTGAAPASPWRANFCSPAGGEDEEEEMMDSLWEDLNDDGREDLADDDLSLDMSRRRSVQWRSPRRPAAAAATKEDERELAARRSGSSRRRAPGLVTMMRALRKMFVAHKSRSRVVHKVDEQRTSSASASSSSRNATAKK* >Brasy3G085700.1.p pacid=40043069 transcript=Brasy3G085700.1 locus=Brasy3G085700 ID=Brasy3G085700.1.v1.1 annot-version=v1.1 MQEQEEEEDGGARSAAAARTGSRRSCPPAALRRRAPSVAEGESGQAASRTRSTPPHPAPEAAALACPALSAARRCCLALLACHGAAAHCGTCPAGQSRVTHRERWRESARRRARHLLPRAPRPAAAAPRPDAAVHSRRSASPRRPSPSRSASRHRSPRLAAAPRPTAALVPRRGHAPAVGKKKGERRPGREEEWRERGGVGGRRERRWREVRGGRKKGEALERGALEVAGGGLG* >Brasy3G205700.1.p pacid=40043070 transcript=Brasy3G205700.1 locus=Brasy3G205700 ID=Brasy3G205700.1.v1.1 annot-version=v1.1 MRRVDGEARPAEEELRAGELGAAGDEAECARGILETSRCSCWSRPGARGRERVDWCGRRSAGLGEEDPRGRGLGARVASMPCGRGDGSSQRGWGGACRAGEQQAEAGALAVENGSTTVLG* >Brasy3G348600.1.p pacid=40043071 transcript=Brasy3G348600.1 locus=Brasy3G348600 ID=Brasy3G348600.1.v1.1 annot-version=v1.1 MANRWWDEGRDLGPPAPSAGEPSSLSPPPPLGAKNEDGTESPRSNNGADAGAGPGAVVTGNRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIADAIAAFARRRQRGVCVLSGAGTVADVALRQPAAGSVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLDDVDAGAEEDGHGGHGNGMPPPHSEAMASMMAPVQGVDPSMFGMPAMAGGGGLQLGHDLAWAQAQHQHARPPPPY* >Brasy3G348600.2.p pacid=40043072 transcript=Brasy3G348600.2 locus=Brasy3G348600 ID=Brasy3G348600.2.v1.1 annot-version=v1.1 MANRWWDEGRDLGPPAPSAGEPSSLSPPPPLGAKNEDGTESPRSNNGADAGAGPGAVVTGNRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIADAIAAFARRRQRGVCVLSGAGTVADVALRQPAAGSVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLDDVDAGAEEDGHGGHGNGMPPPHSEAMASMMAPVQGVDPSMFGMPAMAGGGGLQLGHDLAWAQAQHQHARPPPPY* >Brasy3G180900.1.p pacid=40043073 transcript=Brasy3G180900.1 locus=Brasy3G180900 ID=Brasy3G180900.1.v1.1 annot-version=v1.1 MTALMGPSGSGKSTLLDALAGRLAANAFLSGTVLLNGRKANLSFGAAAYVTQDDNLMGTLTVRETISYSANLRLPDKMPMEEKRDLVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFQLFDRLYLLSGGKTVYFGQASEACEFFAQAGFPCPALRNPSDHFLRCINADFDKVKATLKGSMKMRFERSDDPLERITTCEAIRKLFSHYQHSQDYLATRQKVDEMARVKGTVLDAGGSQASFGMQTCTLTKRSFVNMTRDFGYYWLRLVIYVVVTVCIGSIYLNVGTKYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVLGNTASAAPFLLLITVCSGTLCYFMVGLHPGLSHYVFFLLCLYASVTVVESLMMAIASVVPNFLMGIITGAGIQGVFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLVGLMFDNQSELLPKIPGEYVLENVFQIDVGRSKWLDLSVLFAMIVVYRLLFFAMIKVSEDVTPWLRRYIARRRVQRRRGRGRDAAAELQVGRSPSLRGYVVEDDLPADHP* >Brasy3G188400.1.p pacid=40043074 transcript=Brasy3G188400.1 locus=Brasy3G188400 ID=Brasy3G188400.1.v1.1 annot-version=v1.1 MLCSSQLRLVVSCFSPRLPSRAPLHAALRRPAPPRTPPPVRALRGLQTPARAMCSRSSLSHAAHCAELLLSLLHRGLLASVRAVASRIGLAHTDPALSDALVACHSHLGDVASALTCFDHLIESRCVPSPASSAALLRAMCAASMYSEAVDLFVLWEGAPAPLPVSKFPVLIHGLCSKGAVDKARFLFDVMLGSGLAPPVSVYKSLVFTYCKARRSLEADEMCWLMVKNGMYLDRVLGTALVKGLCQEGRLELAMDVFNRMRVNEGAQLDAYAYTTMIGGLFEHGYVDHGWELYQEMKDRGMEPTPVTYNVMMWWYCKNKWVGAAMELYNAMVRGGVSPDLRCYTMLMTSLCKEGKLVEAEQMFTKMLERGVFPDHVMFISIARFFPKGWEVVFVRKALKAVAKLDCSGELLELSSLATGCSNMSLQQEAERLLDEMMRSNLLPIDAILNMMIIAMCSEGRLDVSYYLLDKLVAYGYEPSVLTYNIVIKYLCRQKRMDDARTLINLMQSRGVRPDMSTNSIMVTAYCKIGDIESALSLFDQMAKDGLEPSIAVYDSIIACLCRMGHFKEAESTLRQMIEAGLVPDEVIYTSLLNGYSIMGHTKAACRVFDEMLERGLQPGSHAYGALINGLIKHNKIRKALHYLERMLEEGFATQTVIYTMLINQFFRKGEEWLGLDLVDLMMKNHVEPDLITYGALVTGICRNIDRRDMRPSLAAKLDEARYMLFRLLPQISVGTRKGKQKKKRMSSEEKIDLAQNIIQDLVESGMMPDLHIYNGMLNGLCRAQKMDDAYNLLSLMEQSGVLPNHVTYTILMNNDIRLGDSNRAIQLFNSLNSDGHVFDDVVYNNFIKGLSLARRTKEALSFFLMMQKKGFVPSKAAYDKIMEQLLTENSTDLALNIFDDMFCHGYIPRYSNYSSLLLVLAKDNQWREVDRVFMMMLKKGRSLDTETKKLLEELCYKQGELDLAFELEGTMPLYAVG* >Brasy3G188400.3.p pacid=40043075 transcript=Brasy3G188400.3 locus=Brasy3G188400 ID=Brasy3G188400.3.v1.1 annot-version=v1.1 MCAASMYSEAVDLFVLWEGAPAPLPVSKFPVLIHGLCSKGAVDKARFLFDVMLGSGLAPPVSVYKSLVFTYCKARRSLEADEMCWLMVKNGMYLDRVLGTALVKGLCQEGRLELAMDVFNRMRVNEGAQLDAYAYTTMIGGLFEHGYVDHGWELYQEMKDRGMEPTPVTYNVMMWWYCKNKWVGAAMELYNAMVRGGVSPDLRCYTMLMTSLCKEGKLVEAEQMFTKMLERGVFPDHVMFISIARFFPKGWEVVFVRKALKAVAKLDCSGELLELSSLATGCSNMSLQQEAERLLDEMMRSNLLPIDAILNMMIIAMCSEGRLDVSYYLLDKLVAYGYEPSVLTYNIVIKYLCRQKRMDDARTLINLMQSRGVRPDMSTNSIMVTAYCKIGDIESALSLFDQMAKDGLEPSIAVYDSIIACLCRMGHFKEAESTLRQMIEAGLVPDEVIYTSLLNGYSIMGHTKAACRVFDEMLERGLQPGSHAYGALINGLIKHNKIRKALHYLERMLEEGFATQTVIYTMLINQFFRKGEEWLGLDLVDLMMKNHVEPDLITYGALVTGICRNIDRRDMRPSLAAKLDEARYMLFRLLPQISVGTRKGKQKKKRMSSEEKIDLAQNIIQDLVESGMMPDLHIYNGMLNGLCRAQKMDDAYNLLSLMEQSGVLPNHVTYTILMNNDIRLGDSNRAIQLFNSLNSDGHVFDDVVYNNFIKGLSLARRTKEALSFFLMMQKKGFVPSKAAYDKIMEQLLTENSTDLALNIFDDMFCHGYIPRYSNYSSLLLVLAKDNQWREVDRVFMMMLKKGRSLDTETKKLLEELCYKQGELDLAFELEGTMPLYAVG* >Brasy3G188400.4.p pacid=40043076 transcript=Brasy3G188400.4 locus=Brasy3G188400 ID=Brasy3G188400.4.v1.1 annot-version=v1.1 MLGSGLAPPVSVYKSLVFTYCKARRSLEADEMCWLMVKNGMYLDRVLGTALVKGLCQEGRLELAMDVFNRMRVNEGAQLDAYAYTTMIGGLFEHGYVDHGWELYQEMKDRGMEPTPVTYNVMMWWYCKNKWVGAAMELYNAMVRGGVSPDLRCYTMLMTSLCKEGKLVEAEQMFTKMLERGVFPDHVMFISIARFFPKGWEVVFVRKALKAVAKLDCSGELLELSSLATGCSNMSLQQEAERLLDEMMRSNLLPIDAILNMMIIAMCSEGRLDVSYYLLDKLVAYGYEPSVLTYNIVIKYLCRQKRMDDARTLINLMQSRGVRPDMSTNSIMVTAYCKIGDIESALSLFDQMAKDGLEPSIAVYDSIIACLCRMGHFKEAESTLRQMIEAGLVPDEVIYTSLLNGYSIMGHTKAACRVFDEMLERGLQPGSHAYGALINGLIKHNKIRKALHYLERMLEEGFATQTVIYTMLINQFFRKGEEWLGLDLVDLMMKNHVEPDLITYGALVTGICRNIDRRDMRPSLAAKLDEARYMLFRLLPQISVGTRKGKQKKKRMSSEEKIDLAQNIIQDLVESGMMPDLHIYNGMLNGLCRAQKMDDAYNLLSLMEQSGVLPNHVTYTILMNNDIRLGDSNRAIQLFNSLNSDGHVFDDVVYNNFIKGLSLARRTKEALSFFLMMQKKGFVPSKAAYDKIMEQLLTENSTDLALNIFDDMFCHGYIPRYSNYSSLLLVLAKDNQWREVDRVFMMMLKKGRSLDTETKKLLEELCYKQGELDLAFELEGTMPLYAVG* >Brasy3G188400.2.p pacid=40043077 transcript=Brasy3G188400.2 locus=Brasy3G188400 ID=Brasy3G188400.2.v1.1 annot-version=v1.1 MLGSGLAPPVSVYKSLVFTYCKARRSLEADEMCWLMVKNGMYLDRVLGTALVKGLCQEGRLELAMDVFNRMRVNEGAQLDAYAYTTMIGGLFEHGYVDHGWELYQEMKDRGMEPTPVTYNVMMWWYCKNKWVGAAMELYNAMVRGGVSPDLRCYTMLMTSLCKEGKLVEAEQMFTKMLERGVFPDHVMFISIARFFPKGWEVVFVRKALKAVAKLDCSGELLELSSLATGCSNMSLQQEAERLLDEMMRSNLLPIDAILNMMIIAMCSEGRLDVSYYLLDKLVAYGYEPSVLTYNIVIKYLCRQKRMDDARTLINLMQSRGVRPDMSTNSIMVTAYCKIGDIESALSLFDQMAKDGLEPSIAVYDSIIACLCRMGHFKEAESTLRQMIEAGLVPDEVIYTSLLNGYSIMGHTKAACRVFDEMLERGLQPGSHAYGALINGLIKHNKIRKALHYLERMLEEGFATQTVIYTMLINQFFRKGEEWLGLDLVDLMMKNHVEPDLITYGALVTGICRNIDRRDMRPSLAAKLDEARYMLFRLLPQISVGTRKGKQKKKRMSSEEKIDLAQNIIQDLVESGMMPDLHIYNGMLNGLCRAQKMDDAYNLLSLMEQSGVLPNHVTYTILMNNDIRLGDSNRAIQLFNSLNSDGHVFDDVVYNNFIKGLSLARRTKEALSFFLMMQKKGFVPSKAAYDKIMEQLLTENSTDLALNIFDDMFCHGYIPRYSNYSSLLLVLAKDNQWREVDRVFMMMLKKGRSLDTETKKLLEELCYKQGELDLAFELEGTMPLYAVG* >Brasy3G147500.1.p pacid=40043078 transcript=Brasy3G147500.1 locus=Brasy3G147500 ID=Brasy3G147500.1.v1.1 annot-version=v1.1 MLSVVRVHLPSEIPIVGCEITPYVLLRLPNGVISTDDVPEAAPVDGHFMRYRWYRIQSDRKVTICSVHPMEQATIQCLGCLKSKIPAAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSGSSGILSSGSGSMSNLGQSPGVNNGPVPLYPSGTDKNSGETWFEVGRSQTYTPTADDIGHVLRFECAAVDTEKKVPAGSPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHSDIDSQTSSFGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPEFDKHGYQALYKKRTTEVYAGVPNAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEAIIPASQKRVALSRLIKDNIALIAVLEAKFGNQGTETPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIANSADIPMLVCGDFNSIPGSTPHGLLAVGKVDQLHPDLAIDPLSILRPVSKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPGTNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR* >Brasy3G192100.1.p pacid=40043079 transcript=Brasy3G192100.1 locus=Brasy3G192100 ID=Brasy3G192100.1.v1.1 annot-version=v1.1 MNQIPHLRQRVAGRNKPREDRSSWRVKIGEKAWLVSLHPLFCCCADRPLSPASSPKNQGPQVVRSHPRQPCLRLRFHGFISLSLDPKNGECFVPCQRSYVY* >Brasy3G202300.1.p pacid=40043080 transcript=Brasy3G202300.1 locus=Brasy3G202300 ID=Brasy3G202300.1.v1.1 annot-version=v1.1 MAPAPVLQVFGQPASTDVARVMACLFERDLHFELVRTDAGADCFLDTKCSPGIGHVTLKHGDVYTYLTDSRDICRYVCTELPRGRATREAQALYGAGSLERASIEKWLRAASTRRSRSWRPITNTTTTSQWRMRAVMGVYDGALARTRYLAGDEFSLADLCHLPGAPRTASSPWPARGRRLLGSLEHVSRWYHDVSARPAGDLGAMHEDPNCLFDCETSSSLSE* >Brasy3G126600.1.p pacid=40043081 transcript=Brasy3G126600.1 locus=Brasy3G126600 ID=Brasy3G126600.1.v1.1 annot-version=v1.1 MGGEVDLFSCAEFAGDATGAVAGGQFTAREASELLAAEWATAAGDGDVGVGGEENGACPHEPVLGEADSAGVVPGVGAAVAGAPSAHAEEACSAGIEEPESPRYKKRKCRKPGHNAAGARGRGTIQQRVLLNFRIEQACG* >Brasy3G256700.1.p pacid=40043082 transcript=Brasy3G256700.1 locus=Brasy3G256700 ID=Brasy3G256700.1.v1.1 annot-version=v1.1 MRKPCEGSKEKGVGGDAEERTSRFFKVMIGDFRESITIPDAFVRKYNGKFATNIKLESPNGCTFDVQVKISFDELVIQSGWNAFVSAHDLKMGDFLTFKYDGISEMKVLIFDPSGCEKALPCLPMKNATHGQEPIDIASHFHDIPMNSPQIEISNQGNNTVDISSSSSPSRSSGYVSSSEDDLESLSPQRLILCGRTRPSGSQKKRLKEKIQVIHSEIPIYGCVIRKSSIQGTPCTMEICRKYADLYLPFEDGTVKLRRGGKRWYVRCCISGSYGAKRLLKGWREFVHDNNLQLGDICLFKLLKKKKYTMDVHIIRK* >Brasy3G256700.2.p pacid=40043083 transcript=Brasy3G256700.2 locus=Brasy3G256700 ID=Brasy3G256700.2.v1.1 annot-version=v1.1 MRKPCEGSKEKGVGGDAEERTSRFFKVMIGDFRESITIPDAFVRKYNGKFATNIKLESPNGCTFDVQVKISFDELVIQSGWNAFVSAHDLKMGDFLTFKYDGISEMKVLIFDPSGCEKALPCLPMKNATHGQEPIDIASHFHDIPMNSPQIEISNQGNNTVDISSSSSPSRSSGYVSSSEDDLESLSPQRLILCGRTRPSGSQKKRLKEKIQVIHSEIPIYGCVIRKSSIQGTPCTMKIC* >Brasy3G195600.1.p pacid=40043084 transcript=Brasy3G195600.1 locus=Brasy3G195600 ID=Brasy3G195600.1.v1.1 annot-version=v1.1 MEQPGPTDGGEDVPNGAGGRAPPAPVEVVVEEEAGEDEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLGEIKEDNEAADGSGGGAVGSVLPEGDGTGSADVEGSSSSQESTQQFQSHHDVAMDLINSVTGVDEEGRSRQRILSFAGKRYVNAIERNPDDPDAYYNWALVLQESADNADPSSGSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEDLWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSVIVPAREKQTIIKTAISKFRVAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGRPDASPNELYSQSAIYVAAAHSLKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPVDNAIAPHKDWERSQFVLNHEGLLQSDASDQPPSQSRKPFWIAVADIVSVSASADLTLPPGAGLCIDTVQGPRFLVADSWDALDGWLDALCLVYTIFARGKSDVLAGIVTG* >Brasy3G078500.1.p pacid=40043085 transcript=Brasy3G078500.1 locus=Brasy3G078500 ID=Brasy3G078500.1.v1.1 annot-version=v1.1 MAVKSLLLLGAVLMASLQLLLPQDVTAATMHLDLHSGTMQAAPILEVRTAVGTKETA* >Brasy3G264400.1.p pacid=40043086 transcript=Brasy3G264400.1 locus=Brasy3G264400 ID=Brasy3G264400.1.v1.1 annot-version=v1.1 MVSQSSCGSAAKHLHDVIWEHISAPKKIIIEGTGFGDCVRISAVTIPNELTDWIVMNIDHKLREFRVCNKSIVFTSDVVKKVFNVPSGVRPVELLKRGHSKLRKIYSVAGRAPIARAIEILKSAPDQDDITVSRTFVLLALATVLCPSTRDTLILEYLESLTNMADVQEFAWDEHILETVMDKVGKFQEKRRLKSQQDMPSDNFWIGSCFPMLAIIYMDHLDFPPPHPGDYNINYSLPRACFVSTPDFDFVVSVDTNMLVLNKKVFGKRPFRPLSATPYASAHGEVVVQPPVPIEAPSATGQGDVAAVVAAPTPFHIAGVGSCQAVAHDVGQEPVQQAQHHASQVTSKNETNQDDVLQMPTSLDDLLRQSFPSSQDLEVPSHLMPLYEKHKNMFAKEVDGALEGLGQQLKTIYSKRIATLLFDVHGDSSHKVYTNEPSDITLPSPTTPTIVTSAERASNEVALPKHSHLPVAGKCCGLQDVVKLVTNNEQGDGIEKKDEKGGGIEKMCAMTATVDEHSPRSPPQYFGCPRSVAAGIWDDFPSYDLFEKGISEHEWFHGQTISHQPSPTHGDPIRDVAPSTTIALQSPDIVLCDTIEPMEDLSRSPLTHEKKNMHKRHAKDNVSTPKTKKIRIDKNIHATYHKYIAKKHKINKPKDGEDR* >Brasy3G302500.1.p pacid=40043087 transcript=Brasy3G302500.1 locus=Brasy3G302500 ID=Brasy3G302500.1.v1.1 annot-version=v1.1 MRGRRVRVGGGGGGGEAAAFLAAVLCGCGLLLLLLAGAGADAQGIRRPSSYKTLTGDVPLVIAKGGFSGVFPDSSQDAFAFALLASAPDTSLWCDVQLTKDGVGICLPDINMQNCTDIAQAYHARKSKHVVDGAPKTGWFPVDFNFSEFQGVILTQRIWSRTDKFDHIQYGILAVTDLQSIATPAPSVWLNVQHDIFYREHGLNMRSYILSILKRISVKYISSPELGFLQSISRRVGGKTKLVFRFPETPLTDPSTNKTYRSMLSNLALIKATASGIMVPKSYIWPVTNDNYLLPPTSIVRDAHNAGLEIYASDFANDRIIPYNYSYDPLQEYLSFISDGDFSVDGVLTEYPITASEAIGCFTTLNASNADHGKPLIISHNGASGDYPDCTDLAYQYAIKDGADMIDCTLQVTSDGVLICMSSINLLDTTNVQMTPFGSASSVVPEIQGTPGIFTFNLTWNNINNSDLKPKISSPLSGYYLVRNPKYINQGKFVRLSDFLEYGKDKDLSGIMLIIENAAFMAKSLGFDVIGSVTTALNDAGYNNQTTKEVMIQSKDSAVLVKLKQQGTKYKLVYTLPSNIGDASASSLADVKKFANAVVIDKNSVFALSAHFIIRQTGLVKDLQSAGLAVYVQVLRNEFVSQPWDFFSDETVEINAYVKSVDVDGLITDFPKTVRRYKRNSCTGLGKDMPSYMQTVNVGDLANLIKKYAGPSAQPPALAPMPELNASSVVQAPFPPVTPKNAPSVASTPPGSSPSDAHANAVSTYILLVTTCAALLV* >Brasy3G178300.1.p pacid=40043088 transcript=Brasy3G178300.1 locus=Brasy3G178300 ID=Brasy3G178300.1.v1.1 annot-version=v1.1 MQKRGLSARIPGTLSLLRCRRVADRRSTPRVSPYLHSPPRGSAAGRPPAGRSAARLRRSRRPPLLPFAAPPPAVVCCSAARRSLLLDLHHSSSSVPPLLSPSHRSNPTAAPPFLRHPRLFAQSTSAARSSSSVPPARRPLFLIPGSSRLQPVDAVCRCGLHALSLHPSF* >Brasy3G040400.1.p pacid=40043089 transcript=Brasy3G040400.1 locus=Brasy3G040400 ID=Brasy3G040400.1.v1.1 annot-version=v1.1 MAASGGGDGGKGGKGACELCGAAARVYCCADDATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCERCVGHGRGRDGEEEEDEEMTVEEEEEEEEEEEGEGENQVVPWAEDAEATPPPVASSTSSSSREAAPNAGDRCAKEDLPCSTSQPSSCRHAPPARRGGQSDEATSARNGGRHFFASGHRKRSTSDFLSSGSAQSGSGPPGRNRSAAVISRNDFS* >Brasy3G047600.1.p pacid=40043090 transcript=Brasy3G047600.1 locus=Brasy3G047600 ID=Brasy3G047600.1.v1.1 annot-version=v1.1 MGRGGDGPLSIAQSKMPSVHLTRRRRRRPTHDSINAILLVPHPPIPRSRLKPPQTPPPEPHPRSAARCLARARHRSSRRAACRAPTAARSRDPTRPRAAVSHLEAASPFIIGLFATLAPPPPDHAPAAVRRRPAPSNHTP* >Brasy3G208100.1.p pacid=40043091 transcript=Brasy3G208100.1 locus=Brasy3G208100 ID=Brasy3G208100.1.v1.1 annot-version=v1.1 MADSSISSPSLSASDESSELDAACYSTTAPPSLPDTAYFSRSASDASSFSDHSGPFGAAAVSKLITGRGSPAGAGASLRRLSMKPRADVLDRRSAKADVDELELVKERFSKLLLGEDMSGGGKGVCAAVAISNAITNLYATVFGSCCHRLEPLPEGKKAMWRREMDCLLSVCDHIVEFYPSSQALPDGTRVEVMATRPRSDIYINLPALEKLDAMLIEIMDGFEKAEFWYADDGGARSFGSTATTTTSSSASPSPASSFRRSSAALHRKNEDKWWVPVPCVPDGGLSAAARKELRRRRDCASQIHKAAVAINSDVLGDMEVPESFMALLPKSGKASVGDAVYRAMMGGGGGKFSPDHLLDCVDVSSEHEALALADRVEAAMYVWRRKASASLAHGGGGRWVQWSKVKELAADDGGDGGKNMALASRAESLLLCIKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFSIVSWIDDVLFADKSVRKQ* >Brasy3G208100.2.p pacid=40043092 transcript=Brasy3G208100.2 locus=Brasy3G208100 ID=Brasy3G208100.2.v1.1 annot-version=v1.1 MADSSISSPSLSASDESSELDAACYSTTAPPSLPDTAYFSRSASDASSFSDHSGPFGAAAVSKLITGRGSPAGAGASLRRLSMKPRADVLDRRSAKADVDELELVKERFSKLLLGEDMSGGGKGVCAAVAISNAITNLYATVFGSCCHRLEPLPEGKKAMWRREMDCLLSVCDHIVEFYPSSQALPDGTRVEVMATRPRSDIYINLPALEKLDAMLIEIMDGFEKAEFWYADDGGARSFGSTATTTTSSSASPSPASSFRRSSAALHRKNEDKWWVPVPCVPDGGLSAAARKELRRRRDCASQIHKAAVAINSDVLGDMEVPESFMALLPKSGKASVGDAVYRAMMGGGGGKFSPDHLLDCVDVSSEHEALALADRVEAAMYVWRRKASASLAHGGGGRWVQWSKVKELAADDGGDGGKNMALASRAESLLLCIKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFSIVSWIDDVLFADKSVRKQ* >Brasy3G060600.1.p pacid=40043093 transcript=Brasy3G060600.1 locus=Brasy3G060600 ID=Brasy3G060600.1.v1.1 annot-version=v1.1 MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSTSYPDPLNPNPSPDDPAASQPAAPAPGAAAAAPTLAAPAPPTQPQPQPALDLAEQPKAMSHALVLAAKKFDALVAALPLSSEDDQMKRIEELQAENEVLGLELQKQLEAAELELRRVETLFNEATDNCINLKKPD* >Brasy3G317000.1.p pacid=40043094 transcript=Brasy3G317000.1 locus=Brasy3G317000 ID=Brasy3G317000.1.v1.1 annot-version=v1.1 MDWDLKMPAAGAAWDLAELEQGGGGGPAADGIAAAAAAGGGGGGGRAECSVDLKLGGLGESGGGQAQDSSVRGGKAPVAASGKRPRAAAASSSSSGGGGGQGQQCPSCAVDGCRADLSRCRDYHRRHKVCEAHSKTPVVAVAGRDMRFCQQCSRFHLLTEFDETKRSCRKRLDGHNRRRRKPQPDTMNPASFITSQQGTRFSPFPNPRPEQNWAGIIKTEESPYYTHQIPTIGISNRQHFGGSTSTYAKEGRRFPFLQEGEINFATGVALEPSVCQPHLKTAAPTDNSGSSKMFSDGLTPVLDSDCALSLLSAPANSSGIDVSRMVQVQQTEHIPIAQPLVSSLQFSSSSWFARSQASTGGVPPTGFSCPVGENEQLNTVLSSDNNDMNYSGIFHVGEGSSDGAPPSLPFSWQ* >Brasy3G016000.1.p pacid=40043095 transcript=Brasy3G016000.1 locus=Brasy3G016000 ID=Brasy3G016000.1.v1.1 annot-version=v1.1 MGTMTIGAKYKTTLKDPGTTGVLRMNEDKLAFIPNDPRSLMKLNVDFRTIKGHKFNKVDGSKPTPPLLNLSKDSDKGGGYMFEFDNVGNRDLCRDFVARVLGKHQGIVPPRPNAPPEKSVTSAGPEQLSSAEMERRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEANTASKQKPGFRSVMLADVRPSADGQTNKVTFSLTNEIIHQIFAEKPAVHRAFLDYVPKKLSEKDFWTKYCRAEYLLRTKNTLAAKAEAADDEELAIFLRNDDIVAKEAKLKIKRVDPTLDMEADAGDDYIHLPDHGILRDGSRETTDTDSELARRTLSQDLNRHAAVVLEGRKTDVEIADAKTVAEALARSKTETPSSSIADDASHERLLKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANPLRPLGGDGRKARSCSLSTDDAFHHLMDQISSVKLNKLSCPVVQSNVALKVLNELNEGISRSRRLNLKNPQDSLLGRLPHRTRDELMDHWTAIQELLRHFWSSYPITTTVLYNKIQRVKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKTGNKPNGF* >Brasy3G016000.2.p pacid=40043096 transcript=Brasy3G016000.2 locus=Brasy3G016000 ID=Brasy3G016000.2.v1.1 annot-version=v1.1 MGTMTIGAKYKTTLKDPGTTGVLRMNEDKLAFIPNDPRSLMKLNVDFRTIKGHKFNKVDGSKPTPPLLNLSKDSDKGGGYMFEFDNVGNRDLCRDFVARVLGKHQGIVPPRPNAPPEKSVTSAGPEQLSSAEMERRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEANTASKQKPGFRSVMLADVRPSADGQTNKVTFSLTNEIIHQIFAEKPAVHRAFLDYVPKKLSEKDFWTKYCRAEYLLRTKNTLAAKAEAADDEELAIFLRNDDIVAKEAKLKIKRVDPTLDMEADAGDDYIHLPDHGILRDGSRETTDTDSELARRTLSQDLNRHAAVVLEGRKTDVEIADAKTVAEALARSKTETPSSSIADDASHERLLKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANPLRPLGGDGRKARSCSLSTDDAFHHLMDQISSVKLNKLSCPVVQSNVALKVLNELNEGISRSRRLNLKNPQDSLLGRLPHRTRDELMDIQRVKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKTGNKPNGF* >Brasy3G289200.1.p pacid=40043097 transcript=Brasy3G289200.1 locus=Brasy3G289200 ID=Brasy3G289200.1.v1.1 annot-version=v1.1 MVSMATELVPVVDLRVLSQSDLDALAVASAHAVAPGSSPDAEPLPPLKIDRAVFNESAGSRKQTFTRLRLANAAGSSSSSPTAIHTPPPSTAPSAGNDPGNNLIAYHLRRLFAREDPSSPPPPPETLALALLGTSHSPPRSPSPDPDRQTTNSKGISVDLVRLAGLDGLYDEELGKRTAGLMSEAELMGFIKGLGGKWVSQRKKRKFVDASFFGDHLPSGWKLQLGLKRKARQAWVHCLSYVSPTGREFASCKEVSAYLMSLLGYPEVRRVPIQHNSTGQRNLCDGDGDDDAAGFQHQVDSVVDDQNVLPVTSVAFSSHSGNSHDKVEEDINPANIYKCQECNLSLHDQSAYAQHHMLFHEKGAKRRRKSSKFGQPVAGKDGKFECPLCHKTFEEQSQYFGHVGAHARHHGLTPEAFLDKISSGEVADDSLTGMQFTFQELTESREQNAKTAGHSGSQHLNYPKDNGGDRSKAKELFSTNYMDNFNRPVEACSRPREISPVTDTRSACKYGNNSVDYTDVTVPKIANQLDGRIGGLPEVADFHDQAGSYNDFRPTILANANHYKDQITDRGLAASKHGGVNNTVKARDVNLNSRLATISFPIASANNETSTSLGDANQSSIAGKCFSGSFNNNDGASTTSSCSGSNNKVSGLVGVSNGSSNASRCVSASHGDDAVANTFGNKNNTMVYQSSLSTHPTSPVATGVDCFPSRSAHSKDSDKECAYGTRMDAMQNRTSNAAGFSAEAYNSGIYTADVTGRNCAQFNSSFSHLKPNTPSRCSQPESNTLTANNFTKGIDVNCMNGSFVHRSDANNMKGSFVNRPISNNEPNGYVHSVMGKASNAMQSHYNGAAPSCAPLSAANTSQNVNGLMSMQANFGSMSTLVHSVGDVPRSSTTKDQCDLQLGFGGQKQHISARYGDLSPAASGSPQLGGMARNNNLPAGSSQFGSMARPNSLPAGFSQFGSMARPNSLPAGSSQFGSMARPNSLPVGFSQFGSLASSNYLQTGSSQFGRMAGPNSIGHADSSQFGRMAGPNSRPPVESSQFGSMARPNSRPPAESSQFGSMANQNFVRTSEPTLQMGSGPRAQSGWDLNLSRMVNGGGMTLEVCMWCNGQYNHFGPVEQTQALICPSCRDKIALQGLVPNDGSWQP* >Brasy3G289200.2.p pacid=40043098 transcript=Brasy3G289200.2 locus=Brasy3G289200 ID=Brasy3G289200.2.v1.1 annot-version=v1.1 MVSMATELVPVVDLRVLSQSDLDALAVASAHAVAPGSSPDAEPLPPLKIDRAVFNESAGSRKQTFTRLRLANAAGSSSSSPTAIHTPPPSTAPSAGNDPGNNLIAYHLRRLFAREDPSSPPPPPETLALALLGTSHSPPRSPSPDPDRQTTNSKGISVDLVRLAGLDGLYDEELGKRTAGLMSEAELMGFIKGLGGKWVSQRKKRKFVDASFFGDHLPSGWKLQLGLKRKARQAWVHCLSYVSPTGREFASCKEVSAYLMSLLGYPEVRRVPIQHNSTGQRNLCDGDGDDDAAGFQHQVDSVVDDQNVLPVTSVAFSSHSGNSHDKGAKRRRKSSKFGQPVAGKDGKFECPLCHKTFEEQSQYFGHVGAHARHHGLTPEAFLDKISSGEVADDSLTGMQFTFQELTESREQNAKTAGHSGSQHLNYPKDNGGDRSKAKELFSTNYMDNFNRPVEACSRPREISPVTDTRSACKYGNNSVDYTDVTVPKIANQLDGRIGGLPEVADFHDQAGSYNDFRPTILANANHYKDQITDRGLAASKHGGVNNTVKARDVNLNSRLATISFPIASANNETSTSLGDANQSSIAGKCFSGSFNNNDGASTTSSCSGSNNKVSGLVGVSNGSSNASRCVSASHGDDAVANTFGNKNNTMVYQSSLSTHPTSPVATGVDCFPSRSAHSKDSDKECAYGTRMDAMQNRTSNAAGFSAEAYNSGIYTADVTGRNCAQFNSSFSHLKPNTPSRCSQPESNTLTANNFTKGIDVNCMNGSFVHRSDANNMKGSFVNRPISNNEPNGYVHSVMGKASNAMQSHYNGAAPSCAPLSAANTSQNVNGLMSMQANFGSMSTLVHSVGDVPRSSTTKDQCDLQLGFGGQKQHISARYGDLSPAASGSPQLGGMARNNNLPAGSSQFGSMARPNSLPAGFSQFGSMARPNSLPAGSSQFGSMARPNSLPVGFSQFGSLASSNYLQTGSSQFGRMAGPNSIGHADSSQFGRMAGPNSRPPVESSQFGSMARPNSRPPAESSQFGSMANQNFVRTSEPTLQMGSGPRAQSGWDLNLSRMVNGGGMTLEVCMWCNGQYNHFGPVEQTQALICPSCRDKIALQGLVPNDGSWQP* >Brasy3G082600.1.p pacid=40043099 transcript=Brasy3G082600.1 locus=Brasy3G082600 ID=Brasy3G082600.1.v1.1 annot-version=v1.1 MGARPWRLLCCCCRRESDRNGVADDLRLKPDAADGGVEEAAAGDWCHDLPPFQEFSFQQLRLATAGFAAENIVSEHGDKAPNVVYKGKLDAQRKIAVKRFNRAAWPDPRQFLEEAKSVGQLRSKRFANLLGCCCEADERLLVAEYMPNNTLAKHLFHWESQAMVGPMRLRVVLHLAEALDYCISKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLSDVLSGKHIPPSHALDLIRDRNFSMLIDSCLAGQISNEEGTELLRLASRCLHYEPRERPNARSLVLALASLQKDVETPSYDLMDKPRGGAFTLQSMHLSPLAEACSRKDLTAIHEILEKAGYKDDEGTANELSFQMWTNQMQVTMDSKKKGDNAFRQKDFTMAIDCYSQFIDVGTMSSPTIYARRCLSYLMNDMPQQALNDAMQALVIYPTWPTAFYLQAAALFSLGKENEAREALKDGSAVETRSKDR* >Brasy3G082600.2.p pacid=40043100 transcript=Brasy3G082600.2 locus=Brasy3G082600 ID=Brasy3G082600.2.v1.1 annot-version=v1.1 MGARPWRLLCCCCRRESDRNGVADDLRLKPDAADGGVEEAAAGDWCHDLPPFQEFSFQQLRLATAGFAAENIVSEHGDKAPNVVYKGKLDAQRKIAVKRFNRAAWPDPRQFLEEAKSVGQLRSKRFANLLGCCCEADERLLVAEYMPNNTLAKHLFHWESQAMVGPMRLRVVLHLAEALDYCISKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLSDVLSGKHIPPSHALDLIRDRNFSMLIDSCLAGQISNEEGTELLRLASRCLHYEPRERPNARSLVLALASLQKDVETPSYDLMDKPRGGAFTLQSMHLSPLAEACSRKDLTAIHEILEKAGYKDDEGTANELSFQMWTNQMQVTMDSKKKGDNAFRQKDFTMAIDCYSQFIDVGTMSSPTIYARRCLSYLMNDMPQQALNDAMQALVIYPTWPTAFYLQAAALFSLGKENEAREALKDGSAVETRSKDR* >Brasy3G194200.1.p pacid=40043101 transcript=Brasy3G194200.1 locus=Brasy3G194200 ID=Brasy3G194200.1.v1.1 annot-version=v1.1 MSVLSDHSAATASGDASTDPTAADGAAEVGDDVHDSKEVVLRRYFLQEWELVSEILRRIVASGGVAKPADVHRIRSIMDKYQEEGQLLEPYLEGIISPLMSLVRLKIMELGADTDELLNIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILILIPFDISSVDTSIAAVDHMGGSEVVPLVTRILDICKDYLSNSGPMRRMSGLLLARLLTRPDMPKAFSSFMEWAHKILLFVTDDFVDQFRSIGIVEALASIFKIGNRKMLHDAVSGIWNDCSVLMKTNIAIRSPLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSSSTGESSSGSSQQVNIDQQETFSLEEDMDVPETVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVVPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKMVLERLASHLLTVACYDREVNCRRAASAAFQENVGRQGTYPHGIDIVNTTDYFALASRSNSYLSVAVSVAQYKEYLYPFAEELLCNKITHWEKSLRELAAQALALLVQYDTDYFAGHALEKLIPCTLSSDLCTRHGATLAAGEVALKLHQLGFTFTADMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAAISLSEKTKKSLLETLNENLRHPNSRIQCAAVDALKHFIPTYLLSAGEKIGNDIISKYMALLDYPNVAARRGGALALGILPYEFLLLKWMFVMSKLCSSCTIEDKADDPDAEARVNSVRGLISVCETLTSNVDQSSNTGESIYAYIKVTVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTLVLCKRDSVAVRTAPVAEHKSEWSDTDANAIGTIPQLFDSAIGQDLIAGIAKQAVEKIDKIREIAVKTLQKILYNQEQFIPFIPYRELLEEIIPKDADLEWAVPTVSYPRLVKILQASCYSKPVLSGLVISTGGLQESLRKASTSALVGYLQDSNVKQNDAGKSREHLLSRDILWVLQRYRKCDRVITPTLKTIETLLSKKVFLNKEGQDDFYSELVNLLGSELKGSKDFTKLCAGLSILGYISSQLDITGTKAFSQLLVFLGHRYPKIRKTAADQVYLVLLQNDHLILAENMDKAQELLAETCWEGDVDEARRKRSELNEIAGFGVTTSQKPENRQETRTANIRNAISTDENTSYSSLVEFSGY* >Brasy3G194200.2.p pacid=40043102 transcript=Brasy3G194200.2 locus=Brasy3G194200 ID=Brasy3G194200.2.v1.1 annot-version=v1.1 MDKYQEEGQLLEPYLEGIISPLMSLVRLKIMELGADTDELLNIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILILIPFDISSVDTSIAAVDHMGGSEVVPLVTRILDICKDYLSNSGPMRRMSGLLLARLLTRPDMPKAFSSFMEWAHKILLFVTDDFVDQFRSIGIVEALASIFKIGNRKMLHDAVSGIWNDCSVLMKTNIAIRSPLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSSSTGESSSGSSQQVNIDQQETFSLEEDMDVPETVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVVPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKMVLERLASHLLTVACYDREVNCRRAASAAFQENVGRQGTYPHGIDIVNTTDYFALASRSNSYLSVAVSVAQYKEYLYPFAEELLCNKITHWEKSLRELAAQALALLVQYDTDYFAGHALEKLIPCTLSSDLCTRHGATLAAGEVALKLHQLGFTFTADMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAAISLSEKTKKSLLETLNENLRHPNSRIQCAAVDALKHFIPTYLLSAGEKIGNDIISKYMALLDYPNVAARRGGALALGILPYEFLLLKWMFVMSKLCSSCTIEDKADDPDAEARVNSVRGLISVCETLTSNVDQSSNTGESIYAYIKVTVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTLVLCKRDSVAVRTAPVAEHKSEWSDTDANAIGTIPQLFDSAIGQDLIAGIAKQAVEKIDKIREIAVKTLQKILYNQEQFIPFIPYRELLEEIIPKDADLEWAVPTVSYPRLVKILQASCYSKPVLSGLVISTGGLQESLRKASTSALVGYLQDSNVKQNDAGKSREHLLSRDILWVLQRYRKCDRVITPTLKTIETLLSKKVFLNKEGQDDFYSELVNLLGSELKGSKDFTKLCAGLSILGYISSQLDITGTKAFSQLLVFLGHRYPKIRKTAADQVYLVLLQNDHLILAENMDKAQELLAETCWEGDVDEARRKRSELNEIAGFGVTTSQKPENRQETRTANIRNAISTDENTSYSSLVEFSGY* >Brasy3G036000.1.p pacid=40043103 transcript=Brasy3G036000.1 locus=Brasy3G036000 ID=Brasy3G036000.1.v1.1 annot-version=v1.1 MANSPTLWSMLHRIAHGCAGYLAGALPKPADASPIAEEEFRVVQVQSRSMAVQRNRRLEQGVGGRGGIKG* >Brasy3G091000.1.p pacid=40043104 transcript=Brasy3G091000.1 locus=Brasy3G091000 ID=Brasy3G091000.1.v1.1 annot-version=v1.1 MAAAATARTTLGIKYYRFNLSPFFVIPSPPNPEWTSTAVFGAGGYEWRAHVVRNRSYNAAAEGSTGFYLQLVTKNARATASLEICLIDNTGRLLPRKVLCMTHTEFDSTDAAAGTGRNHTLGAELSDSHLDMSLIGYMDLSRDRLLVEITVTVFSDKPPAPSISGAAMPPSDLMEQLGELFATRDEGGDVVFALEGEPVRAHRAILAMRSPVFKAMLYGPMKEISNTSLQSQPTLLPPPPPQPQQSIEIQGMTRDVFEALLAYIYTDSLRAGAGEEDDGGGGGGVMVWELLVAADRYGVDRLRAICERLLCGKLDVQNVARFLGVADQYHLHALKDACIEFMTTSARMDEIVETQEYAELRANRLHLLAEVLEKSTKFRSAMFRAKRKHDS* >Brasy3G212600.1.p pacid=40043105 transcript=Brasy3G212600.1 locus=Brasy3G212600 ID=Brasy3G212600.1.v1.1 annot-version=v1.1 MACLYHIVLLSLSILNHQQGNCSKAKMAEALDKLKVEFIGREESVQAVAAACHDSGEVPERYIRPKMDAEPLIADAEGYALPTIDMSRLLNPESSEEESAKLGAACEHWGFFQLVNHGVDGELLRRTKADVAAFFGLSPEEKSAVAMPPGGMQGFGHHFVFSEEQKLDWADLLFLVTRPVEERSLGFWPANPSTFRDTLDKYTLELANVAEQLFRFMAKDLGVDHEALLGTFKGLPQCIRVNYYPPCRQADRVIGLSPHTDGVGVTLLLHVNDVQGLQIRKGGEWYPVVALPGALIVNIGDVLEILTNGKYKSIEHRAVVNPNTERITIAAFHSAHLPCTIGPLPELGNAGYRAIDGIEFTKGYFAAKLEGRKYLESLKL* >Brasy3G212600.2.p pacid=40043106 transcript=Brasy3G212600.2 locus=Brasy3G212600 ID=Brasy3G212600.2.v1.1 annot-version=v1.1 MACLYHIVLLSLSILNHQQGNCSKAKMAEALDKLKVEFIGREESVQAVAAACHDSGEVPERYIRPKMDAEPLIADAEGYALPTIDMSRLLNPESSEEESAKLGAACEHWGFFQLVNHGVDGELLRRTKADVAAFFGLSPEEKSAVAMPPGGMQGFGHHFVFSEEQKLDWADLLFLVTRPVEERSLGFWPANPSTFRDTLDKYTLELANVAEQLFRFMAKDLGVDHEALLGTFKGLPQCIRVNYYPPCRQADRVIGLSPHTDGVGVTLLLHVNDVQGLQIRKGGEWYPVVALPGALIVNIGDVLETLFDGRNMAFAAGRTEQEFTHIGNSGKKKY* >Brasy3G150100.1.p pacid=40043107 transcript=Brasy3G150100.1 locus=Brasy3G150100 ID=Brasy3G150100.1.v1.1 annot-version=v1.1 MDLLRNPFKGVIADVKGRASWYKDDWVAGLRTGFRILAPTMYIFFASALPVIAFGEQLSRETNGMLSTVETLASTAICGVIHSILGGQPLMIVGVAEPTIIMYAYLYNFAKKEAGLGERLYLAWAGWVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIVSEFNSPNAAQIVDRSSPIYDFQWIYVNGLLGVIFSIGLVYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTAFSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAFHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLEIYGKMQEVFIQMDSEKNIDSVDKELKSLKDAVLREGGDEGKFAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIKLLFIGSTRRYKVLEGPHASFVESVPPKTICAFTIFQLVYLLICFGTTWIPIAGILFPVPFFLVILIRQHLLPKFFELNDLRELDAAEYEELEGVQHEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQVHSNAIQPSV* >Brasy3G150100.2.p pacid=40043108 transcript=Brasy3G150100.2 locus=Brasy3G150100 ID=Brasy3G150100.2.v1.1 annot-version=v1.1 MSKGEHLGTRTTGLLGSVPASDGMLSTVETLASTAICGVIHSILGGQPLMIVGVAEPTIIMYAYLYNFAKKEAGLGERLYLAWAGWVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIVSEFNSPNAAQIVDRSSPIYDFQWIYVNGLLGVIFSIGLVYTALKSRRARSWLYGIGCLRSFIADYGVPLMVIVWTAFSYTLPSKVPSGVPRRLFSPLPWEASSLGHWTVAKDLFSVPPAFIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAFHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQLLRNKMLQTAKEGMMNRASSLEIYGKMQEVFIQMDSEKNIDSVDKELKSLKDAVLREGGDEGKFAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIKLLFIGSTRRYKVLEGPHASFVESVPPKTICAFTIFQLVYLLICFGTTWIPIAGILFPVPFFLVILIRQHLLPKFFELNDLRELDAAEYEELEGVQHEHTMEEDGSISGSCDSRNDAEILDELTTNRGELKHRSVSHREERHLQVHSNAIQPSV* >Brasy3G179500.1.p pacid=40043109 transcript=Brasy3G179500.1 locus=Brasy3G179500 ID=Brasy3G179500.1.v1.1 annot-version=v1.1 MAPVLRQPDPALPRTTATGSATAERRTTGSGRAEEGPTGPEAVRSGELEADGDRIRRWAAAAAAPLDGGGPRADGQPSGAIKSQVAVVAGRSRISSRQGTELEAWSGRERWRLESSVREISES* >Brasy3G233100.1.p pacid=40043110 transcript=Brasy3G233100.1 locus=Brasy3G233100 ID=Brasy3G233100.1.v1.1 annot-version=v1.1 MAFSKPLVVIVLLLVALMVVDAHQPLDDLSVNTALPNSTISMYCPWACQARCANNRKDKLCNRICNICCGKCNCVPPGTGEDTRYLCPCYDEITNSKGSKLKCP* >Brasy3G057600.1.p pacid=40043111 transcript=Brasy3G057600.1 locus=Brasy3G057600 ID=Brasy3G057600.1.v1.1 annot-version=v1.1 MVWYYTESKNPSDPSETAMAHGYLATDAFAFVLQAACLAAGFVSLTPWRRSSSDQRSPFWMFLLSAALLLAVALAYGYLDDFCAVAAVAWPGGGGARVAAGRSSELSARTRRADEHDVGAEQSHRRCSPASRP* >Brasy3G207600.1.p pacid=40043112 transcript=Brasy3G207600.1 locus=Brasy3G207600 ID=Brasy3G207600.1.v1.1 annot-version=v1.1 MGSLSYVLAGVVLAALVAGGACIPKVPPGPNVTANYNGLWLNAMATWYGKPTGAGPKDNGGACGIKDVNLPPYSAMTACGNIPIFKDGRGCGSCYEVKCNVPPNLCSNKPITVFITDMNYEPIAPYHLDLSGTAFGLMAQPGKEQLLRNCGELQLQFRRVRCRLPPGTKITFHIEKGSNPNYLAVLVKFASDDGDIVQMELQDKISPEWKPMKQSWGAVWRMDSVKPLKGPYSIRVTSESGKKLIAKDIIPLNWKPDTTLKSNIQF* >Brasy3G098800.1.p pacid=40043113 transcript=Brasy3G098800.1 locus=Brasy3G098800 ID=Brasy3G098800.1.v1.1 annot-version=v1.1 MAKRRRQKGKADLLCLPIWRVHACSSIYANLWIDGVCDFWCIRKCVLALTFRLELGQNIKSSTGSSPCHKELLLILKRKEVRSVLSRIVRLLVLTFHFGDRSTIRGG* >Brasy3G017800.1.p pacid=40043114 transcript=Brasy3G017800.1 locus=Brasy3G017800 ID=Brasy3G017800.1.v1.1 annot-version=v1.1 MSDTLAATPLPAPAPATAAGLSLSQAMSKVMDKGKTAFIPYITAGDPDLGTTAAALRLLDSLGAAVIELGMPSADPSADGPTIRASAQRALAAGATEDAVMAMLAEVTPGLSCPVVVFSYIGPIARRGAAEFAAAVKQSGAKGLIVPDLPYDEMGAFREEAIKNGLELVLLTTPSTPAERMKEITEASGGFVYLVSVDGVTGARANVNPQVKDLLKEIKKVTDKAVAVGFGISTPDHVRQIAEWGADGVIIGSAMVKQLGEAASPSEGLKRLEVYARSLKDALP* >Brasy3G244600.1.p pacid=40043115 transcript=Brasy3G244600.1 locus=Brasy3G244600 ID=Brasy3G244600.1.v1.1 annot-version=v1.1 MQADMAQTQQQPLLILFSPQVLLTLFLCSLFLLLLRYYNKQQPDQGRRRVPPSPPSRYPIIGHLHLVNPETPHVSLAELSRKHAGPDGLLLLDLGQARNLVVSSPRAAEAVLRAHDHAFASRPPSAVADVLFNGVSDVALAPYGEYWRQARRLVTTHLLSASKVRALAGARDEEVALVLAKVRRSVGAQAVDLSELLGAYANDVACRAVSGKFFREEGRNELFRELIAGNAAVFAGFNLEDYFPGLAKVRLLRRLVLARTIELKKRWDEVLDKIIDDHEAKSCSSRKQHDRHDDEQQEDQERDFVDVLLSLQHEYNLTRDNIKAILIDMFAAGTDTSYIVMEFAMAELMRKPRVMAKLQAEVRSKTPKGQQTVKEEDLSGMAYLKAVVKETLRLHPPVPLLLPRISMSKCDDVNGYAVPAGTRVLVNAWALGRDARSWGEDAEEFSPERFMVDDDGAAATPDFKGRDFQFLPFGAGRRICPGVSFGVSSVEMMLANLVYCFDWELPSGMREEDVDMTEVFGLSMHRKEKLLLVPTTPRDHA* >Brasy3G025000.1.p pacid=40043116 transcript=Brasy3G025000.1 locus=Brasy3G025000 ID=Brasy3G025000.1.v1.1 annot-version=v1.1 MASPGPAPAGELLRIDPIELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQTQREAPPDMQCKDKFLVQSVVAPSGINVKDVTGEMFAKESGNMIEEVKLRVTYVAPPQPPSPVPEESEEGSPSRGESENGDGPAGGFTRALRERIEPQEKSLEAGALISKLTEEKNSAIQQNQKIRQELDMMRREVSKRRGGGFSFVIVLIVALIGIFLGYMMKS* >Brasy3G241800.1.p pacid=40043117 transcript=Brasy3G241800.1 locus=Brasy3G241800 ID=Brasy3G241800.1.v1.1 annot-version=v1.1 MGCMPTVAMLLVQIGFAGMNLLSKMALDNGMSPYVLVTYRSLIAAVFLSPFAVYFESMTLSEVLFFTGFRWTSATVAVAIGNIVPALTFVIAAALKMETVGLTTAAGQAKVMGTAVCVGGSMIMPFYKGPLLKVWASPVHWRYAEHAAASAAVPTPASAGLGDALVILSCVAWAAWLVMTNKTSESFSAPYTSSTIMSLIVGVESAGVSAAVDRSLSAWKLGLGIRLYSVLYMGIVGWGVTFAVMTWCVQARGPLFVSMFSPVVLVVVAVLGWAFLDEQLHLGSVIGAVLIVVGLYMVLWGKRKEIDPKLDVNGGDPGTTDERP* >Brasy3G167000.1.p pacid=40043118 transcript=Brasy3G167000.1 locus=Brasy3G167000 ID=Brasy3G167000.1.v1.1 annot-version=v1.1 MLYIDLMSSQASKAGLELTIQTPAFGYNQKQLESSNQPVIAHNIRTNLSHSLGFSMSQTFNQTGSREQKEQPISMIGHGHSSQQGVFALEPSFYRGADKHTIIMGHDHIAHIKKLMADDSLGDRKSCQEPDCNEVVDGRVVYCNIHSAGRSYQQHSYLQSAHKSSDLYMPPVKGSHCTEPGSSTVTCTEQDVHVKYDVDDQCKLKDSSRNTQGDTGQVIFHGADICKYENCRKQAQVNTVYCKIHSGGTKGCMARGCIKAAHGGTPLCIGHGGGKRCIVAGCPNAACGQGRSDHCVRHGGGKRCKFEGCGKGAQGNTDFCIRHGGGRRCKSQGCTKSAQGRTDFCIKHGGGSRCKFVGCNTSAKWGTDFCSVHRKSLSSENNDTPEALPLPSGKRRRAKKPKKAGKPSVVSQGTVTTGVSVAGSSTQAMGIPVATMVSNRELSHRIVMAAGQAAMAPSKVLPLSIKPPTAAGTVVSAEREAATSSMMPGL* >Brasy3G167000.3.p pacid=40043119 transcript=Brasy3G167000.3 locus=Brasy3G167000 ID=Brasy3G167000.3.v1.1 annot-version=v1.1 MSSQASKAGLELTIQTPAFGYNQKQLESSNQPVIAHNIRTNLSHSLGFSMSQTFNQTGSREQKEQPISMIGHGHSSQQGVFALEPSFYRGADKHTIIMGHDHIAHIKKLMADDSLGDRKSCQEPDCNEVVDGRVVYCNIHSAGRSYQQHSYLQSAHKSSDLYMPPVKGSHCTEPGSSTVTCTEQDVHVKYDVDDQCKLKDSSRNTQGDTGQVIFHGADICKYENCRKQAQVNTVYCKIHSGGTKGCMARGCIKAAHGGTPLCIGHGGGKRCIVAGCPNAACGQGRSDHCVRHGGGKRCKFEGCGKGAQGNTDFCIRHGGGRRCKSQGCTKSAQGRTDFCIKHGGGSRCKFVGCNTSAKWGTDFCSVHRKSLSSENNDTPEALPLPSGKRRRAKKPKKAGKPSVVSQGTVTTGVSVAGSSTQAMGIPVATMVSNRELSHRIVMAAGQAAMAPSKVLPLSIKPPTAAGTVVSAEREAATSSMMPGL* >Brasy3G167000.4.p pacid=40043120 transcript=Brasy3G167000.4 locus=Brasy3G167000 ID=Brasy3G167000.4.v1.1 annot-version=v1.1 MIGHGHSSQQGVFALEPSFYRGADKHTIIMGHDHIAHIKKLMADDSLGDRKSCQEPDCNEVVDGRVVYCNIHSAGRSYQQHSYLQSAHKSSDLYMPPVKGSHCTEPGSSTVTCTEQDVHVKYDVDDQCKLKDSSRNTQGDTGQVIFHGADICKYENCRKQAQVNTVYCKIHSGGTKGCMARGCIKAAHGGTPLCIGHGGGKRCIVAGCPNAACGQGRSDHCVRHGGGKRCKFEGCGKGAQGNTDFCIRHGGGRRCKSQGCTKSAQGRTDFCIKHGGGSRCKFVGCNTSAKWGTDFCSVHRKSLSSENNDTPEALPLPSGKRRRAKKPKKAGKPSVVSQGTVTTGVSVAGSSTQAMGIPVATMVSNRELSHRIVMAAGQAAMAPSKVLPLSIKPPTAAGTVVSAEREAATSSMMPGL* >Brasy3G167000.2.p pacid=40043121 transcript=Brasy3G167000.2 locus=Brasy3G167000 ID=Brasy3G167000.2.v1.1 annot-version=v1.1 MGHDHIAHIKKLMADDSLGDRKSCQEPDCNEVVDGRVVYCNIHSAGRSYQQHSYLQSAHKSSDLYMPPVKGSHCTEPGSSTVTCTEQDVHVKYDVDDQCKLKDSSRNTQGDTGQVIFHGADICKYENCRKQAQVNTVYCKIHSGGTKGCMARGCIKAAHGGTPLCIGHGGGKRCIVAGCPNAACGQGRSDHCVRHGGGKRCKFEGCGKGAQGNTDFCIRHGGGRRCKSQGCTKSAQGRTDFCIKHGGGSRCKFVGCNTSAKWGTDFCSVHRKSLSSENNDTPEALPLPSGKRRRAKKPKKAGKPSVVSQGTVTTGVSVAGSSTQAMGIPVATMVSNRELSHRIVMAAGQAAMAPSKVLPLSIKPPTAAGTVVSAEREAATSSMMPGL* >Brasy3G111900.1.p pacid=40043122 transcript=Brasy3G111900.1 locus=Brasy3G111900 ID=Brasy3G111900.1.v1.1 annot-version=v1.1 MPVRCHGPTHTVVTSTLKGNRVHHAAEFFMMIEQGTVACLFVLLPTLPDPLPQPSPPSFVRTSGQCCPTTTGPGPLAGAAPAPPPLARAGLLPLPDAAAIYPILSAASLPPAACAVTLRRRACSPAPAANGASRPRVPLRMLPCPRCSSSSPVSLSRNCQFYSMGIGSCRCLYHWSSSSRIWDRARRRRIVEEADPAADSSS* >Brasy3G236700.1.p pacid=40043123 transcript=Brasy3G236700.1 locus=Brasy3G236700 ID=Brasy3G236700.1.v1.1 annot-version=v1.1 MARDLSKDSISSSSFVLRPTPLVFTVCNLAQISGFKSSFLQRDRLVRLSHKCWYKFPTQRVSTKLEQPLFI* >Brasy3G197700.1.p pacid=40043124 transcript=Brasy3G197700.1 locus=Brasy3G197700 ID=Brasy3G197700.1.v1.1 annot-version=v1.1 MAGSSLWLVQLASLLVIGFVLGSVEASLGDTDPQYRTCVEECQRTSSIGRNIISHCQFPENNSTSAESSWYAQEPLYMQWKQLNCMTDCRYYCMVQREEERQSRGLRPVKYHGKWPFIRVSVFQEPLSAALSAINLLIHFTGWLSFFLQVNYRLPLRPQTKRTYYEYTGLWHIYAILSMNAWFFSSIFHTRDIDLTEKLDYSSAVALLGYSLILALIRTFNVKDEATRVMFAAPILAFVTTHILYLNFYDLDYGWNMKVCVVMAVVQLLAWAVWAGVTCHPSRFKLWFVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLVKKAK* >Brasy3G026100.1.p pacid=40043125 transcript=Brasy3G026100.1 locus=Brasy3G026100 ID=Brasy3G026100.1.v1.1 annot-version=v1.1 MGSTAADMAATADEEACMFALQLASSSILPMTLKNAIELGLLDTLVQASGKSLTPAEVAAKLPSSSNPAAPDMVDRMLRLLASYGVVSCAVEEGENGRLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNHSIIITKKLLDLYPGFEGLGTLVDVGGGVGATVGAIVARHPTIKGINFDLPHVISEGIPFPGVTHVGGDMFQKVPSGDAILMKWILHDWSDAHCATLLKNCYDALPAHGKVVIVECILPVNPEATPKAQGVFHVDMIMLAHNPGGKERYEREFEELARGAGFTGVKATYIYANAWAIEFTK* >Brasy3G224900.1.p pacid=40043126 transcript=Brasy3G224900.1 locus=Brasy3G224900 ID=Brasy3G224900.1.v1.1 annot-version=v1.1 MAVARLIWGKMRAATISVSRAEERCEDGGAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPRAGRGRPTLGRGCRRGLGVGWRRRALRQGSGAGRRRRELGEIGAAGWCRAVIGRPAALCGLRLGRRCFGEERRRCSIFFY* >Brasy3G099800.1.p pacid=40043127 transcript=Brasy3G099800.1 locus=Brasy3G099800 ID=Brasy3G099800.1.v1.1 annot-version=v1.1 MLVGTVGALANGMSQPLMTVIFGDLVDAFGGVTIENVLERVNKLSRQLVYAEVSCWTITGERQATRIRSLYLKSVLRQDISFFDVEMTTGKVASRMSGDAVLVQDAIGEKVGKFLQLVATFIGGFVVAFFKGWLLSVVMLACIPPVVLSVQAVSKVLTKISSKGQTSYCDAANIVEQTIGGIKTVVSFNGEKQAIAEYNKLIYRAYKTSVMEGFINGLGIGSVFFIIFCTYGLAIWYGGKLILSKGYTGGKVINIIIAIMTGAQSLGNATPNITTFVQGQSAAHRLFTTIKRKPKIDPDDKTGKQIENFRGEVELKDVYFSYPARLEQLIFDGFSLHVSSGTTMALVGESGSGKSTVIRRFYDPQAGEVLIDGINIKSLQLNSIRGKIGLVSQEPLLFMTTIKNNITYGKEDATIEDIKRAAELANAGNFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDKTTLVVAHRLTTVRNSDCISVLQQGKIVEQGPHDELILNPEGAYSQLIRFQESSEEKELKVDCQMHDARPNSTSLSFKQSISKDSTGNSSEHSLTTPFQQHGADDFSEGRLALLNKPEVPILLLGSMAAGVHGVLFPMFGVMISSAIRSFYEPPDKLSKDCSFLGLMCVVLGIITIISVPAEFFLFGIAGGKLIERIRALSFRSIVHQEVGWFDDPKNSSGALGARLSVDALNVRRLVGDNLGLTVQIISTLIAGFVIAIIANWKLSLIILCVIPLVGLQGYAQVKFLKGFSQDAKSTREGRRNWASSTTIRGVRTGIVGAAGFGFSFLMMYLTYGLCSYVGAQFVRHGKSSFDDVFRVFFALVMATIGVSQTSAKATDLSKAKDSAVSIFALLDRTSEIDSSSNEGLTLDEVKGNLDFQHVSFKYPTRPDIQILREFTLHIPSSKTVALVGESGSGKSTVITLLQRFYNPSSGTISLDGVEIKMFNINWLRDQIGLVSQEPVLFNDTIHANICYGKDREVTEEEVIASARASNAHEFISSLPQGYDTIVGERGIQLSGGQKQRVAIARAVLKDPKILLLDEATSALDVESERIVQDALNNVMVGMTTVIVAHRLSTIKAADIIAVLKDGAIVEKGRQALMNIKKGTYASLVEFRSASF* >Brasy3G240600.1.p pacid=40043128 transcript=Brasy3G240600.1 locus=Brasy3G240600 ID=Brasy3G240600.1.v1.1 annot-version=v1.1 MPGRDLMLALASARRFLTSVEALSYLSLAGTWFLCMSSLATMTIGFVAGPDNAWFLACSLVVYAAVLVSSCALALLGIVTLTGWRGMAGTGIRFYSSKTNQGAGQAITTRSYRQFLRDPSVLAIIGQGILCLLILVGCVVMQLSPVKGSQSQRERVFAVLFVSIFGVMSIVCFINIPVTAVRVRKTITTMKQGIEELEATINSNFYSDFHSEDLEGN* >Brasy3G337200.1.p pacid=40043129 transcript=Brasy3G337200.1 locus=Brasy3G337200 ID=Brasy3G337200.1.v1.1 annot-version=v1.1 MAYHLRSASAPSSPRSNKPDVEQQLQSLSATVSSPSTTIDMMRDGLRTLGDIFSCIEKMMCTPSNQVSLCKTLQRKAVEEELGRSLVLLDLCNAMQESFMGLKMTVQELLLTLKRGDDASSLVKAYIRLAKKAQKQFKKISKKTVSDKDSRVVMLLAEAREVTVSLLESTSGLLSKQIEMPKWFLVSTTSQKSKVVCEEERLRALECSIGDLESGVELLYRRLIQNRVSLLNVLSS* >Brasy3G019300.1.p pacid=40043130 transcript=Brasy3G019300.1 locus=Brasy3G019300 ID=Brasy3G019300.1.v1.1 annot-version=v1.1 MQLILDRPRESHGLGIVFTMEKSNVEAENEEEQPLEPKPLLSLAPMFPTPSGYDVATQSAEPPLVHVTQFTPAGSSSTRPAAASFDRPFTRSPISVALSTPRHEVESSAEYLKPFLKQKKPTAAKRVRPTKESNAANINRRSIKKSLNEDLVSIAWPSSLDNPRESVEELMIMFDSLRRRTAQLDEKEDTSRRADMKAGTLMMSNNLRINHVKTIGHVPGVKIGDIFFFRIEMCIVGLHAPAMGGIDYMPIKDVGKHETLAVCILSAGGYENDDQDADILVYTGQGGNSRKKEKHDQKLERGNLALMNSKTKKSQIRVVRSTRDPFHHSERIYIYDGLYRIEDSWIEKAKNGFKVFKYKLRREPGQPDGISVWKMAQKWKANPATRENVIRMDLSSKVENLPVCLVSEVSDVKRPIHFNYATGLKYLIPLNRETPIQNCKCRSVCLPGDKNCSCARQNGGDLPYSSSGLLVRHIPMLYECSSNCQCSQHCRNRVTQKGIRLSFEVFWTGDRGWGLRSWDPIHAGAFICEYTGEVTDKVKMNTDDKEDDYIFHTACLNDKVLRWNLGAELLEETSRDIATESPKQLPMVISAKDSGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGIRGAPPGFKNKFPKACKLKACLCGSINCRGFL* >Brasy3G019300.2.p pacid=40043131 transcript=Brasy3G019300.2 locus=Brasy3G019300 ID=Brasy3G019300.2.v1.1 annot-version=v1.1 MEKSNVEAENEEEQPLEPKPLLSLAPMFPTPSGYDVATQSAEPPLVHVTQFTPAGSSSTRPAAASFDRPFTRSPISVALSTPRHEVESSAEYLKPFLKQKKPTAAKRVRPTKESNAANINRRSIKKSLNEDLVSIAWPSSLDNPRESVEELMIMFDSLRRRTAQLDEKEDTSRRADMKAGTLMMSNNLRINHVKTIGHVPGVKIGDIFFFRIEMCIVGLHAPAMGGIDYMPIKDVGKHETLAVCILSAGGYENDDQDADILVYTGQGGNSRKKEKHDQKLERGNLALMNSKTKKSQIRVVRSTRDPFHHSERIYIYDGLYRIEDSWIEKAKNGFKVFKYKLRREPGQPDGISVWKMAQKWKANPATRENVIRMDLSSKVENLPVCLVSEVSDVKRPIHFNYATGLKYLIPLNRETPIQNCKCRSVCLPGDKNCSCARQNGGDLPYSSSGLLVRHIPMLYECSSNCQCSQHCRNRVTQKGIRLSFEVFWTGDRGWGLRSWDPIHAGAFICEYTGEVTDKVKMNTDDKEDDYIFHTACLNDKVLRWNLGAELLEETSRDIATESPKQLPMVISAKDSGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGIRGAPPGFKNKFPKACKLKACLCGSINCRGFL* >Brasy3G059000.1.p pacid=40043132 transcript=Brasy3G059000.1 locus=Brasy3G059000 ID=Brasy3G059000.1.v1.1 annot-version=v1.1 MERHGRRKRGGKLVVFSNQAVSPSGNSPLCRRHSPSRSPPLPSLSPSTRLCSLSRSRAPAASKLRRQPPSRRSCAICELPQSKRRPSADSQTLAAAMAIQTSKTERKGERSRGPRRPEL* >Brasy3G143700.1.p pacid=40043133 transcript=Brasy3G143700.1 locus=Brasy3G143700 ID=Brasy3G143700.1.v1.1 annot-version=v1.1 MKLNVAAKFMDLKRNFLAVGQLYAYTPLPPCAPLPHLGTERPSPLSPWIARAPPPIPLPSGQPPSSSSSSRERLHHRKMINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLNKDISELNLPKNTSISFPNGKDDLMSFEIVIRPDEGYYMSGSFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKMFEANVRRAMAGGYVGQHYFPRCA* >Brasy3G018500.1.p pacid=40043134 transcript=Brasy3G018500.1 locus=Brasy3G018500 ID=Brasy3G018500.1.v1.1 annot-version=v1.1 MNLFMLQDVAISSSVVVQVLTMSTWSFSPCGCSRLGKRSNCRALCYDEQDMINNLGDDDAMSNIVDGGTWRSVLYRVSLQDTSTWVAVKKLQNKSGPVDASLDNRRQSEVNLLGRIGHDNIISLADWIRRDDFILIVYDHKENGSLHQWLHHDPAERVLDWPTRRAIADAVAGGLCYLHHGRKSPIVHHNINSTNILLDTGLKPKIAGFDFAQVNLAGPDQPVSIWELTTGNMFGYTAPEYATMVTTAKVDVYSLGVLLLELVTGRVANAAVADGHLATWAGKHCNHLMENTGDFRDAVDMAIPDRVRYLKEMAAMFRLGVDCTTAKPHERPAMHKVHCRLRNRGR* >Brasy3G018500.2.p pacid=40043135 transcript=Brasy3G018500.2 locus=Brasy3G018500 ID=Brasy3G018500.2.v1.1 annot-version=v1.1 MSTWSFSPCGCSRLGKRSNCRALCYDEQDMINNLGDDDAMSNIVDGGTWRSVLYRVSLQDTSTWVAVKKLQNKSGPVDASLDNRRQSEVNLLGRIGHDNIISLADWIRRDDFILIVYDHKENGSLHQWLHHDPAERVLDWPTRRAIADAVAGGLCYLHHGRKSPIVHHNINSTNILLDTGLKPKIAGFDFAQVNLAGPDQPVSIWELTTGNMFGYTAPEYATMVTTAKVDVYSLGVLLLELVTGRVANAAVADGHLATWAGKHCNHLMENTGDFRDAVDMAIPDRVRYLKEMAAMFRLGVDCTTAKPHERPAMHKVHCRLRNRGR* >Brasy3G018500.3.p pacid=40043136 transcript=Brasy3G018500.3 locus=Brasy3G018500 ID=Brasy3G018500.3.v1.1 annot-version=v1.1 MSTWSFSPCGCSRLGKRSNCRALCYDEQDMINNLGDDDAMSNIVDGGTWRSVLYRVSLQDTSTWVAVKKLQNKSGPVDASLDNRRQSEVNLLGRIGHDNIISLADWIRRDDFILIVYDHKENGSLHQWLHHDPAERVLDWPTRRAIADAVAGGLCYLHHGRKSPIVHHNINSTNILLDTGLKPKIAGFDFAQVNLAGPDQPVSIWELTTGNMFGYTAPEYATMVTTAKVDVYSLGVLLLELVTGRVANAAVADGHLATWAGKHCNHLMENTGDFRDAVDMAIPDRVRYLKEMAAMFRLGVDCTTAKPHERPAMHKVHCRLRNRGR* >Brasy3G018500.4.p pacid=40043137 transcript=Brasy3G018500.4 locus=Brasy3G018500 ID=Brasy3G018500.4.v1.1 annot-version=v1.1 MSTWSFSPCGCSRLGKRSNCRALCYDEQDMINNLGDDDAMSNIVDGGTWRSVLYRVSLQDTSTWVAVKKLQNKSGPVDASLDNRRQSEVNLLGRIGHDNIISLADWIRRDDFILIVYDHKENGSLHQWLHHDPAERVLDWPTRRAIADAVAGGLCYLHHGRKSPIVHHNINSTNILLDTGLKPKIAGFDFAQVNLAGPDQPVSIWELTTGNMFGYTAPEYATMVTTAKVDVYSLGVLLLELVTGRVANAAVADGHLATWAGKHCNHLMENTGDFRDAVDMAIPDRVRYLKEMAAMFRLGVDCTTAKPHERPAMHKVHCRLRNRGR* >Brasy3G289000.1.p pacid=40043138 transcript=Brasy3G289000.1 locus=Brasy3G289000 ID=Brasy3G289000.1.v1.1 annot-version=v1.1 MGWKGILGFDYGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDHVRELIRRTRSLTERPFGAAIVLPFPHEENLRVVLEEKLAVLQVYWGEFPKERVDEAHRAGVKVLHQVGTLEEAAKAKEAGVDGIIVQGHEAGGHVIGQEGLLPLLPRVVDLFLDSPILVIAAGGIVDGRGYAAALALGAHGVCLGTRFVATEESFAHPQYKKRLTEMSCTDYTNVFGRARWPGAPQRVLKTPFYVEWKNLPDHETEENQPIIGHSIIHGVHKDVRRFAGTVPNATTTGDINSMAMYAGQGVGLITEIVPAGEVVKRLVAEAQHVIGEKLSVFP* >Brasy3G051600.1.p pacid=40043139 transcript=Brasy3G051600.1 locus=Brasy3G051600 ID=Brasy3G051600.1.v1.1 annot-version=v1.1 MGAEGEGSKQPPPESTAGQPAAAAMAPPITAAQFLSWKQRKDAEEAAQKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY* >Brasy3G340500.1.p pacid=40043140 transcript=Brasy3G340500.1 locus=Brasy3G340500 ID=Brasy3G340500.1.v1.1 annot-version=v1.1 MARKNGWQLPAHTFQIVAITVFFLLVIAFYAFFAPFLGKQILEYVAVGIYTPVAFAVFILYIRCTSINPADPGIMSKFEEGFCNATESNTGLQGMNLRVKADTATGTNSPASICRSSVDGRSNHRGLAAGDTNLNSRAAPPGRSSGFCFLRGLICALFVKEDCRKFDDHEVDVEDALFCTLCNAEVRQFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYFTFIALMITSLLWLAIELGVGIAVLVLCFVNKNAEIIIQDKLGNGLTRPPFVTIVGIFTLLSLVACVPLGELFFFHMLLIRKGITTYEYVVAMRAMSEAPQDDEEEQGVNIINSPTNSATTGFSAGSSLGLHYKGAWCTPPRVFIDQDEVIPHLERGNVPSTVDPDAGGHAERASKAKKQVKISAWKLAKLDGNEAMKAAARARASSSVLRPIDAHGGGHDADRSSSGNVSVRSSTSIDYSATREQKGGPKPPSPRSLASQEDDCETGMQNDSSMSSPVHLHKLAPHTRTNVPPCPPLSPPERPAPAIPRPSVLPTMQATNPMFRSAAIPHVRENRRASVVWDQDAGRYVSVAPAPSRPVGAAADQQARTPRFLANTGGEAGRNLAPMNASSSAMPSGQPSERLTYSGQSIFFGGPVLGAAAESRTSEANARARPDELNADQGEIRGRAAESLPVFAPGTSHKNPPSNR* >Brasy3G340500.3.p pacid=40043141 transcript=Brasy3G340500.3 locus=Brasy3G340500 ID=Brasy3G340500.3.v1.1 annot-version=v1.1 MARKNGWQLPAHTFQIVAITVFFLLVIAFYAFFAPFLGKQILEYVAVGIYTPVAFAVFILYIRCTSINPADPGIMSKFEEGFCNATESNTGLQGMNLRVKADTATGTNSPASICRSSVDGRSNHRGLAAGDTNLNSRAAPPGRSSGFCFLRGLICALFVKEDCRKFDDHEVDVEDALFCTLCNAEVRQFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYFTFIALMITSLLWLAIELGVGIAVLVLCFVNKNAEIIIQDKLGNGLTRPPFVTIVGIFTLLSLVACVPLGELFFFHMLLIRKGITTYEYVVAMRAMSEAPQDDEEEQGVNIINSPTNSATTGFSAGSSLGLHYKGAWCTPPRVFIDQDEVIPHLERGNVPSTVDPDAGGHAERASKAKKQVKISAWKLAKLDGNEAMKAAARARASSSVLRPIDAHGGGHDADRSSSGNVSVRSSTSIDYSATREQKGGPKPPSPRSLASQEDDCETGMQNDSSMSSPVHLHKLAPHTRTNVPPCPPLSPPERPAPAIPRPSVLPTMQATNPMFRSAAIPHVRENRRASVVWDQDAGRYVSVAPAPSRPVGAAADQQARTPRFLANTGGEAGRNLAPMNASSSAMPSGQPSERLTYSGQSIFFGGPVLGAAAESRTSEANARARPDELNADQGEIRGRAAESLPVFAPGTSHKNPPSNR* >Brasy3G340500.2.p pacid=40043142 transcript=Brasy3G340500.2 locus=Brasy3G340500 ID=Brasy3G340500.2.v1.1 annot-version=v1.1 MSKFEEGFCNATESNTGLQGMNLRVKADTATGTNSPASICRSSVDGRSNHRGLAAGDTNLNSRAAPPGRSSGFCFLRGLICALFVKEDCRKFDDHEVDVEDALFCTLCNAEVRQFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYFTFIALMITSLLWLAIELGVGIAVLVLCFVNKNAEIIIQDKLGNGLTRPPFVTIVGIFTLLSLVACVPLGELFFFHMLLIRKGITTYEYVVAMRAMSEAPQDDEEEQGVNIINSPTNSATTGFSAGSSLGLHYKGAWCTPPRVFIDQDEVIPHLERGNVPSTVDPDAGGHAERASKAKKQVKISAWKLAKLDGNEAMKAAARARASSSVLRPIDAHGGGHDADRSSSGNVSVRSSTSIDYSATREQKGGPKPPSPRSLASQEDDCETGMQNDSSMSSPVHLHKLAPHTRTNVPPCPPLSPPERPAPAIPRPSVLPTMQATNPMFRSAAIPHVRENRRASVVWDQDAGRYVSVAPAPSRPVGAAADQQARTPRFLANTGGEAGRNLAPMNASSSAMPSGQPSERLTYSGQSIFFGGPVLGAAAESRTSEANARARPDELNADQGEIRGRAAESLPVFAPGTSHKNPPSNR* >Brasy3G242700.1.p pacid=40043143 transcript=Brasy3G242700.1 locus=Brasy3G242700 ID=Brasy3G242700.1.v1.1 annot-version=v1.1 MLGRFAARRLRTSSNTAPAVTAAAYHSSAAVRAHGGGSFSSSSSVLPDALDRSSDAYARNAAAVGGLLSDLRSRVSQVLGGGGAEAVRRNTARGKLLPRERIDRLLDPGCSFLELSQLAGSDVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIAAECKLPCIYLVDSGGANLPKQAEVFPDRDNFGRIFYNQAKMSADGIPQIALVLGSCTAGGAYIPAMADESIIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGIALGRNIVKNLHLAAKVTNVQNSACDYEEPLYDVQELRSIAPADMKQSFDIRSVIACIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGAHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGLEWTKDEEEAFRAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM* >Brasy3G325300.1.p pacid=40043144 transcript=Brasy3G325300.1 locus=Brasy3G325300 ID=Brasy3G325300.1.v1.1 annot-version=v1.1 MLGAARRSGCVLGQLMQTLRPAVAGPAARSYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPIKDEVLDSSFSVPIGKAKIEREGKDVTITAYSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRAGTMAAAA* >Brasy3G290100.1.p pacid=40043145 transcript=Brasy3G290100.1 locus=Brasy3G290100 ID=Brasy3G290100.1.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRCNDRAKFCQEEHSEKNM* >Brasy3G290100.2.p pacid=40043146 transcript=Brasy3G290100.2 locus=Brasy3G290100 ID=Brasy3G290100.2.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRCNDRAKFCQEEHSEKNM* >Brasy3G290100.5.p pacid=40043147 transcript=Brasy3G290100.5 locus=Brasy3G290100 ID=Brasy3G290100.5.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRCNDRAKFCQEEHSEKNM* >Brasy3G290100.7.p pacid=40043148 transcript=Brasy3G290100.7 locus=Brasy3G290100 ID=Brasy3G290100.7.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRCNDRAKFCQEEHSEKNM* >Brasy3G290100.8.p pacid=40043149 transcript=Brasy3G290100.8 locus=Brasy3G290100 ID=Brasy3G290100.8.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRSSKILPRGAQ* >Brasy3G290100.6.p pacid=40043150 transcript=Brasy3G290100.6 locus=Brasy3G290100 ID=Brasy3G290100.6.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRSSKILPRGAQ* >Brasy3G290100.3.p pacid=40043151 transcript=Brasy3G290100.3 locus=Brasy3G290100 ID=Brasy3G290100.3.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRSSKILPRGAQ* >Brasy3G290100.4.p pacid=40043152 transcript=Brasy3G290100.4 locus=Brasy3G290100 ID=Brasy3G290100.4.v1.1 annot-version=v1.1 MSSSRQVRAADDPLPKASPAVVCTTPSERHPVKEETGAGAVVAQESGPRHPWEDEATILEHEEKVKKYHSILAAYLKDKYQFLSTKAVDKGDSSCIREAKNLEHEEKINKYEAILAARLKDRYFSRKSLRKAFDKGDVLEEITIQSETIQISRSSKILPRGAQ* >Brasy3G265200.1.p pacid=40043153 transcript=Brasy3G265200.1 locus=Brasy3G265200 ID=Brasy3G265200.1.v1.1 annot-version=v1.1 MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYTSYIGHYPMLAYFAIAENESIGRERYDFMQKMLLPCGLPPERDED* >Brasy3G099200.1.p pacid=40043154 transcript=Brasy3G099200.1 locus=Brasy3G099200 ID=Brasy3G099200.1.v1.1 annot-version=v1.1 MAPPSTYESSWWGGSTEDLGTPVVVKMDNPYSLVEIDGPGMAMAGHDKARGKNAKQLTWVLLLRAHRAVGCVAWLGAGFWSLMGAVNRRVRRSRDADAEPDAEASGRGRHMLRFLRAFLVLSLAMLAFETVAYLKGWHLPRLPEKYMHIDLPKHLQHLRHQLPENIRMPEKREIQGWVHAAYVAWLDFRIDYIAWAIQKLSGFCIVLFMVQSVDRIVLCLGCFWIKLRGIKPRLPQAKNADGDIEDGDGDELGAYFPMVLLQMPMCNEKEVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMSCEYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWTFVNTDENLLTRLQNINLCFHFEVEQQVNSVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLDGWKFIYLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIFKSKIPLWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPMLMSLLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSESDIFALAEEADNSRGGPGSKLVRGVSEGGLEAWAKMHEHDPKDLQAAAMAAPAETPKTLAKKSGKAKAPNRIFKKELALASLLLIAATRSLLSAQGLHFYFLLFQGVTFLVVGLDLIGEQVS* >Brasy3G236900.1.p pacid=40043155 transcript=Brasy3G236900.1 locus=Brasy3G236900 ID=Brasy3G236900.1.v1.1 annot-version=v1.1 MAGESRGNIAFFATYRPPVPLDIFSSPVPPSSPRDEVHLTDGVSYNYDCRPIPASALKALLRRPKLAADGGATEADVDAGRVSGLVFVSEREGGLETLRIALRFNEEKKTPKVFSLSDVFGSGDFSGTRLEDSGCFGGGYKVGSRTVDHSLIYVSTKEPVQERRSPWTVVYKTNLRTGKTERLTPKGAYDLSPAVSPSGKKVAVASFRAEGWQGEIENLKTDIFVMNVEKPPLGRKLLVKDGGWPTWGSESVVFFHRGSDKTLPSGMIETSWAVFRLDVATGETVRVTPEGLDAFTPAAISETKVAVATIRKKSKFSDVRVEAQYRHIEIFDVAAGATPAQQITQKTRPKGDHYNPFVLDGGARVGYHRVRSDLLKPGDDVPRNFHKLESPLKDVGLFRVSGVFPTISKDGSKLAFVDNEFKAVWVADAQGLRIVYETKGPDSIFSTMWNQNPDKDVLYVCMGPSFNAGKPLEICAIPNVSSGARQRRLLTKGKFNNAFPSSSPDGTRFVFRSTRDHAKSERKHKNLYIMEDQEVGEYGEGGKVTRLTDGEWTDTHCQWSPSGDWIVFSSTRDKPPTAAPLDHGLDPGYFAVFMVKASDPAVVIRVVGSGDDLSGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFAVDIDDILRLGDDVEKLKKIKDLKKKFHRITHSRYEYSTPEWTMFATDDPNAQWNVLVKKDAAYTPACPYAYPDGGESWHMTGHLCIPKRCC* >Brasy3G236900.3.p pacid=40043156 transcript=Brasy3G236900.3 locus=Brasy3G236900 ID=Brasy3G236900.3.v1.1 annot-version=v1.1 MNVEKPPLGRKLLVKDGGWPTWGSESVVFFHRGSDKTLPSGMIETSWAVFRLDVATGETVRVTPEGLDAFTPAAISETKVAVATIRKKSKFSDVRVEAQYRHIEIFDVAAGATPAQQITQKTRPKGDHYNPFVLDGGARVGYHRVRSDLLKPGDDVPRNFHKLESPLKDVGLFRVSGVFPTISKDGSKLAFVDNEFKAVWVADAQGLRIVYETKGPDSIFSTMWNQNPDKDVLYVCMGPSFNAGKPLEICAIPNVSSGARQRRLLTKGKFNNAFPSSSPDGTRFVFRSTRDHAKSERKHKNLYIMEDQEVGEYGEGGKVTRLTDGEWTDTHCQWSPSGDWIVFSSTRDKPPTAAPLDHGLDPGYFAVFMVKASDPAVVIRVVGSGDDLSGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFAVDIDDILRLGDDVEKLKKIKDLKKKFHRITHSRYEYSTPEWTMFATDDPNAQWNVLVKKDAAYTPACPYAYPDGGESWHMTGHLCIPKRCC* >Brasy3G236900.2.p pacid=40043157 transcript=Brasy3G236900.2 locus=Brasy3G236900 ID=Brasy3G236900.2.v1.1 annot-version=v1.1 MNVEKPPLGRKLLVKDGGWPTWGSESVVFFHRGSDKTLPSGMIETSWAVFRLDVATGETVRVTPEGLDAFTPAAISETKVAVATIRKKSKFSDVRVEAQYRHIEIFDVAAGATPAQQITQKTRPKGDHYNPFVLDGGARVGYHRVRSDLLKPGDDVPRNFHKLESPLKDVGLFRVSGVFPTISKDGSKLAFVDNEFKAVWVADAQGLRIVYETKGPDSIFSTMWNQNPDKDVLYVCMGPSFNAGKPLEICAIPNVSSGARQRRLLTKGKFNNAFPSSSPDGTRFVFRSTRDHAKSERKHKNLYIMEDQEVGEYGEGGKVTRLTDGEWTDTHCQWSPSGDWIVFSSTRDKPPTAAPLDHGLDPGYFAVFMVKASDPAVVIRVVGSGDDLSGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFAVDIDDILRLGDDVEKLKKIKDLKKKFHRITHSRYEYSTPEWTMFATDDPNAQWNVLVKKDAAYTPACPYAYPDGGESWHMTGHLCIPKRCC* >Brasy3G308800.1.p pacid=40043158 transcript=Brasy3G308800.1 locus=Brasy3G308800 ID=Brasy3G308800.1.v1.1 annot-version=v1.1 MSNTSKGKVCVTGASGFVASWLVKRLLESGYHVLGTVRDPGNHKKVAHLWNLAGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPIITKSDSKEEMLNSAVNGTLNVLRSCKKNPLLKRVVLTSSSSTVRLRDESEFPPNVSLDETSWSSVEFCESIQVWYGVAKILAEKSAWEFAKENNIDLVAVLPTFIIGPNLSPELGPTTKDVLGLFKGETEKFTMFGRMGYVHIDDVASCHILVYENAGARGRYICNSAVLGNNELVALLARRYPSFPIPKSLPNIYGDQSYGYNTSKIRELGLEFKGVEEMFDASVESLKAHGHLLESTP* >Brasy3G112300.1.p pacid=40043159 transcript=Brasy3G112300.1 locus=Brasy3G112300 ID=Brasy3G112300.1.v1.1 annot-version=v1.1 MPTIPEEKKKKDEEEKKKKEKEAKEKRKPAEDDEAYKRRRVFGDARLFGPEFDELVIAWHIATDVFLLCMLGSEELPNYRKIKTMSDYMMFLVAERPEMLPGLRLHSNYENTRFALKSIWKDDNSSLSSYKQKEKKLARDLCHLQHVHADDLHKRNTILSEAIEYAKVLRLLCLPKNSSERSKNWSELRKEVPSMKEESEKRLLFLVPDLLEFAIEEYSELGWWTLEHVLNHFILKSWVRLIIFASTRCNRDSHAKQISRGAAGHDAPGPARLAMSTACSSATLVRPHDRNKGSGLN* >Brasy3G240500.1.p pacid=40043160 transcript=Brasy3G240500.1 locus=Brasy3G240500 ID=Brasy3G240500.1.v1.1 annot-version=v1.1 MAVALAPSPPPHALAAERAVVAVLALLRFAFAPMLAFGRVFSVAVEALPYLGFGSSSVVFLVALNYAALKFCFGIILIFLALGAVLLCGLGLVCLVAIVSGSSSTIDFEFKKSFGAIRRKSTWELFHRTVVLGFIVCVSFTLLTVAGYLIVMMSSPVEGSQGGTIGSWTVDVGIFGSHGTVCFFIPALALKAWRHTKKRRCPGD* >Brasy3G132100.1.p pacid=40043161 transcript=Brasy3G132100.1 locus=Brasy3G132100 ID=Brasy3G132100.1.v1.1 annot-version=v1.1 MLSRVIPKPAPRRPGFTHSIVMASRAIEQEVFSLRSRGVLVKAVTPLHAASPILVGKEFERVLGIPSHALRVTKHHPEDFFIHFDNPSHRDRAVSLGRIDIDGTTFLLQPWRESNHGTLQTYPLHVRLCIEKLPLQLWSVEGVQSVLGKTVIVDRLDSRTFARDNTKLFSCWVWCWSLDRIPSAHTFTVFSDGAGRVEEMNGFSPPRREVAPAPEGTHFNALIHIDLVEDWTVVEARTPSSRQSGLPSSSSEDAAPLPAVQPFFWYFGVQDGEESRSGARRRLLDPCRLAPPSARRDDAGDDNDRDRRQHRDLAPTRGLTGKSVALAPTGLGGSRRRSRTPVGHRRRDAVAPAPSAPLASCEILPPPPPLPVHGPLPQRLDLAAVPSTLLPTPPTATVLPPTSPAKSTSESSEDPLAELMALEQLDDICWPLRNTDPMVYEVDAFCDKMTASPLTFPAPESGKPGLGGTFCPSPLGLSSPARGEHAPPSAAVTNDAAAPQHPPAFDDLVRSLFSAPTASILGVSPPTATPVVASPTPRRSARQASKVSSTPVAQRATIRLAKELAVINQDEKGAAAAATALVQRFREPLSDVDIDGLAVLTRLDRDAIHRAAAKASAPSAAATAT* >Brasy3G309700.1.p pacid=40043162 transcript=Brasy3G309700.1 locus=Brasy3G309700 ID=Brasy3G309700.1.v1.1 annot-version=v1.1 MNRVGREDFEEHMRRLGRLSHRNLLPLVAYYYRKEEKLLMHDYVPKRSLAHLLHGEGRGVKKAVVHWTARLKIVKGVARALGYMYDELPMLTVPHGHLKSSNILLDEEFEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGKSSKKSDVWCLGLLILEIVTGKPPSYDTKPAATTGDSVDNQQQPQKQKSSAGGSNASAVDLAGLVASTAEEEWLRTVVDGDMKYDEEEEAEEVVKLIRIGMACCEGNVESRWELKNAVERIEELKGKGHRGGNEDNSFYSSVSGDGVADRDEDFGNVGIH* >Brasy3G114700.1.p pacid=40043163 transcript=Brasy3G114700.1 locus=Brasy3G114700 ID=Brasy3G114700.1.v1.1 annot-version=v1.1 MGAREKEVGWRPDLREERDGRGRKGERDGREGRDGAAGSEREMGAREKEVGWRPDLRGEGDGRGRKGEREMDAREEMGRPDLRGRWARGRRRWGGGRKGERDGREGGDGAAGSEREMGAREEMGRPALRGRWARGRRRASCVRGPVSNIGELRHRWRTWRRVTGKLRQRACHRGALVLKA* >Brasy3G087300.1.p pacid=40043164 transcript=Brasy3G087300.1 locus=Brasy3G087300 ID=Brasy3G087300.1.v1.1 annot-version=v1.1 METALAAVSVSRSACPRAAALPSRAPLLPSRVAVAAPSAASTRGRRLAVSRRAAAADDTAAPATEAIPIEKQYAAFPTVMDINQIREILPHRFPFLFVDRVIEYKAGETAVAIKNVTINDSFFPGHFPERPIMPGVLMVEALAQVGGIVMLQPEVCGAQDKFFFAGIDKVRFRKPVIAGDTLVMRMTLTKYQKRFGLVKMDGKAYVDGELVCEGEFLLVSANE* >Brasy3G096700.1.p pacid=40043165 transcript=Brasy3G096700.1 locus=Brasy3G096700 ID=Brasy3G096700.1.v1.1 annot-version=v1.1 PSRGPGMSGPPNHERQRTHGQRSRARQQRSTDAAPPLDVGLARPHLGCWVPRPWPPPPRLHSVSPPPLASVRDSPSARPAVGDRRRCLSSPTRTKTATSCLWETCNGIYSSLRARTKDNERVEAK* >Brasy3G293200.1.p pacid=40043166 transcript=Brasy3G293200.1 locus=Brasy3G293200 ID=Brasy3G293200.1.v1.1 annot-version=v1.1 MARPWRRKRTVPRALAPFVEARQEEQDDGLDLRLCLRGTTGDTSPWGWTPPCCARAAPSSPPWRRTPPLARCQCKLIEVYWVENVAAFRDAVELMFNAEVACQGRRVTRHCCAQVLSKSR* >Brasy3G005600.1.p pacid=40043167 transcript=Brasy3G005600.1 locus=Brasy3G005600 ID=Brasy3G005600.1.v1.1 annot-version=v1.1 MCQLIDPCELHADHPIPPPPLSFSLVSSPPLVSFSTLSPPHVRCPSSSGGLPPSPAASCCRPVNLSLPLQRLTAWPILSLCSRRRTAARARGCGQRLRAQDAAASSGCERRPARSLHQLQKARGGLPALSLSYRRRAAACPLSPSATEGARRRRAAGGQLRRLPARAATAPASSGSARRGEEHGAAAAAQSRRPGSSGGVGQGGEAAEASERRAASAGAAGQATASSSSSTAGQATPFRGTRGAAGRGGAQQAKTAGGSRTRRRRGVKQQPDFFVFFNSPISLPAAFYGLRQRHWLAVFLRMRR* >Brasy3G108700.1.p pacid=40043168 transcript=Brasy3G108700.1 locus=Brasy3G108700 ID=Brasy3G108700.1.v1.1 annot-version=v1.1 MILLIRRKDVIDDKHFVSFVVGPLDNGYGLVYSGLRGSRFFSPSNLTCLGVFQFYLIHPLHVTHPPTHSPPLLILLRI* >Brasy3G265900.1.p pacid=40043169 transcript=Brasy3G265900.1 locus=Brasy3G265900 ID=Brasy3G265900.1.v1.1 annot-version=v1.1 MFFSSPGHELRRAPITALAHPRALRRTKQIQRTTPRPPLQFLAAACAPRSLTPLVFPKSDGSAARFDLRNHGLHRPSAFTESTAASPHVLRCACPSLPRPASPPRRTPRRPPPARSRRPSAATDPRRPRRHPAPRDGAHVPSPLERYLDHRKPLYDAYFSESPSLLLVASARWRRPHLPPYGEKEREMGTEIGEATTSSPTATAARQRGHSAGTAAQARRRRRRAQRGLERHE* >Brasy3G003900.1.p pacid=40043170 transcript=Brasy3G003900.1 locus=Brasy3G003900 ID=Brasy3G003900.1.v1.1 annot-version=v1.1 MPIQEKVTMMTERLKADFSKNETKIHRFPQGLRIGCQADRYIAPSVVALGPYHHGQPQLQQMEEVKHAAAHYLCNKSGHPIEEVYSKILSFVGEARSCYTDDAVAKFSDDDFAVMMFLDGCFLLYYISTDVEKECTLLANRVCLSTGPCLLRDIFLLENQLPWLVLDVLMTFFTYVTPLVPRFVEDMASYFDITSHSKKTRPPVSLEGQNKPPHLLGLLHHYEVGSPEPGKVEENFGDEYPSPTSAIELAEIGIKLTGASNNAWLMNMSHRRRIFGQLSLRPLFLNDITACWLVNMAALETCMSTSYPKDGFVVCSYLCILGMLVDKEDDVHELRAKHIVRSLFSNKELLVFLKGLAHHLRPGHHYFVILSKIGVYKRQRSVRILVYKFFYNNYKTIVALISLVSVLVGIFQTLASLKQPAQPN* >Brasy3G239300.1.p pacid=40043171 transcript=Brasy3G239300.1 locus=Brasy3G239300 ID=Brasy3G239300.1.v1.1 annot-version=v1.1 MDPGRVVFDSGFARMAAPGGGRAQMLLFGGANNTGGFFRGVPGMDDERVVSNKRPFFTTHEELMEEEYYDEQAQEKKRRLTAEQVQMLERSFGEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKNKQLEQDFDRLKAAYDALAADHHGLLSDNDRLRAQVISLTDKLQGNETSPSATATTAQEVDQPNDHTVGATAVVLAQQLKEDILSSGTNDSSSPVVINIAEGTNHSSESGYVAGDYGYTRQYGGGALSSEEEDGGAVSDEGCSFHLPDAMLGAGLLHHVTEEEVQLGNWTSWFWNE* >Brasy3G039600.1.p pacid=40043172 transcript=Brasy3G039600.1 locus=Brasy3G039600 ID=Brasy3G039600.1.v1.1 annot-version=v1.1 MASRLKDRGGGSGGKAAAAPAPAPRALTPRSSSASRRTPTPTAAAAGKENSASKPSKPTSAVRWSTSSIPRASRNPSSVDSSKLVSTLRASALLPGRASVGTDAGLRRSVGGGIRAASSERGRRSVASGAPAAHEISGRREGLDARGPRAKRVDETSRKREGFVDAKPKETDLAGRKRVSLDPKANQQISGKRESFGVNSAKQCEEVRGRRGANVNKQSDEICGKSEGTDVKAMPGDGFSRKKEGLDMELVKEINPNKAVAGLTGSVEASTKSVPFSATQNDGEAVDNSVIPVFTVQVVDSNDVRFGVREHQKIDECKKQEEKVKLADRIRVFEKAAATGEARSAKTVCSVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKVDNKIQLGTEDSIECEISQTKQAASEKSAVVKPGDLKQAGKGMNTDELEARFFPHHKLLRDRRTSTSTHQETCMALLKGCSEKKELATVETRDDENSIAMEFLASLDGEETDFFKDRRAKNLNNLMICETADARSKTSGQGSSKNLDGSTHEEEIELLATETVEGFDEQENKSSMVIQEEVEEPSDDQLSGIGNKSATGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSVVSHNTWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYSREVKAFHVEETSHPSSVPLSRTVLGPLHNVGSSRSSSAISTAERQQWWYRPCGPLLLSTASKQKMVTAYDIRDGDVVMKWEVSNPVQGMEYSSPLQWRSKGKAVIAGTESIGLWDVNSLNPQPLLSVASAGKRVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFTTQESVNVFDFRVPSGIGLKMARHGGTANSVFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLKKGKLVATYELPEFNAHIHHSSITQVWGNSNVVLAACGMGLFAFDTFNEEDIQQTYSFDRGNTIGVREVIGSDDLYCPTFDYSSSRVLLVSKDRPAHWRYLS* >Brasy3G325400.1.p pacid=40043173 transcript=Brasy3G325400.1 locus=Brasy3G325400 ID=Brasy3G325400.1.v1.1 annot-version=v1.1 MAASQPPKATPNREEEEEGDKGETPGWWERAAKVVREREEREKERERIRKHNEKHEMERVRRAKMFAEAAERERRDKLQKSQKDAHERRIREARWQKQWEAAEKKFAKEDEEKKKAFNEKVLREARRQREEEKEDERKRKGKGPAPTQ* >Brasy3G286700.1.p pacid=40043174 transcript=Brasy3G286700.1 locus=Brasy3G286700 ID=Brasy3G286700.1.v1.1 annot-version=v1.1 MLLMAPAAPCGGERQQHHQKRGRRGRPLSADLLQNCDLPPPAKLFGPFPTLQRLESAAATDQKGGGGNESLLRALRLSQSRAREAEEKLAAAGASNGGLAALLVRDSVALSAHRRWVMMLEAENSALRACGADPAEEEHGGAGTAAAWWVALAVCFGIAGVGLALSNLLL* >Brasy3G100000.1.p pacid=40043175 transcript=Brasy3G100000.1 locus=Brasy3G100000 ID=Brasy3G100000.1.v1.1 annot-version=v1.1 MANAQDSTAASSLPRRASSRPRRSRQSPLATCSRPRSSRRRLLPYVRAAAAANSSLRFDWSRKGSPSC* >Brasy3G168000.1.p pacid=40043176 transcript=Brasy3G168000.1 locus=Brasy3G168000 ID=Brasy3G168000.1.v1.1 annot-version=v1.1 MLCLPLFPLPKLSSLPLPLLSVPSLSRYLPASSPSFFSAQPPPQQPSSGCSPRPALLPKRTAVSPRTQERERLDPHAPTPPARVLHRATELAAPVPAPSRASPPCQEDPRRGHEASSAVLLKPGEPSHSREGVPHRRRELRLLRDTPPPPPAHSTRAARSSSRPRSGAAAAVDSEPRSPPAPPSSDQKS* >Brasy3G023000.1.p pacid=40043177 transcript=Brasy3G023000.1 locus=Brasy3G023000 ID=Brasy3G023000.1.v1.1 annot-version=v1.1 MECNREEASKAREIALKKLENKDYTGAKRIALKAQRIFPELENLSKLLTVCEVHCAAEAKMNDLLDYYGILQVEVTADETTIKKQYRKLAFSLHPDKNNFPGAQAAFVLVAEAHSTLSDQIKRPAYDIKWRVASRIATKQATEPKQGMPKQGTKPKQAAIPKQAAVPKQAAIPKQAAVPKQAAVPKQATEPKQTTEPTKKTDASRSSVAGCGPSIPSTTAGQAIWTICIYCRTKYQYYPDVVNHRIRCQNCSKYFVAFKLKEQDVPSVFTSNATNGVGEQSGVHSQQDFSTKFSSGLNSKLKPWAHGAQNDEHMKSANAGGEEKVNHAEAGGKGGVELSTGNLSQSSKPCANDKAGGRMASDTADPDLSDRQNPCSRGVDTSTELGTAGNPNGQKSARRKASHDANIRDSPRQKRRTIKDCFSNADSSCKKMFDGNVPPADVKTSEPHVCSTAHHQEKGSTANVGKTSEPHVSSKAHNQEKESTANVGKTSEPHVSSKAHHQEKGSIANVGNQENIKNAAAAKKPCNSVELSYPDPEFFDFDKCRDVNLFAVDQIWALYDDRDGMPRYYARIRRLDATNFKVQFTWLEHNAMNEEEDKWTDEELPVACGKFILGKTEVSTDVQIFSHIVPWVKGKKRSTYEIYPRKGEAWALYKGWSMQWSSDADNHKTYEYDLVEILSDLTMEAGVSVAPLVKIKGFVSLFAEGKPSFVIPSSELLRFSHNIPFYRTKGNEKVGVAGGFLELDTVSLPSNLDTAFPSVTLGTCTPIDNTMSSGFINTSGKKENKKSGGKRIDNSLERTPKQQQNACNITVHGSSSQQFCTSPGVYVTYPDSEFCNFEELRSYNKFERGQIWALYSDLDKFPKYYGWVTKVDVKPFKLHLTWLEVCPQLEQEKMWLQNDIAVSCGTFQLCNWRIKYDTNCAFSHLVETSQVNSKHFEIHPRVGEIWAIYNNWAPDWVPSSNDACEYAIGEITERTEASTKFSFLTQVDGFRVVFRPDNGRGILEIPPNENLRFSHHIPSFRLTEEKGGRLRGFYELDPASVPDAFLFRGTR* >Brasy3G122100.1.p pacid=40043178 transcript=Brasy3G122100.1 locus=Brasy3G122100 ID=Brasy3G122100.1.v1.1 annot-version=v1.1 MPLLIFFVLLLLLPAAPEATSSALLGINYGRVGNNLPPTSSALQLLGTLGVGRVRLYDADPATLRAFANTGIELIVGVPDECLAAVSTPSGASSWVRSVIQPALPATKIALLTVGNEILTGANSSSLSRYLLPAMGCVHDALAGLGLDKQIAVTTAHNLGVLAVSYPPSAAVFRKELLPILCPILDFHARTGSPFLVNAYPYFAYAGDPKGVELEYALLEPGHGGVPDPASGLRYPNMLVAQVDAAYHAVASANGAAARAGVEVRVSETGWPSAGDGNETGATPQNAARYNGNVMRLVSEGKGTPLRPSGPLRVYMFALFNENMKPGPSSERNYGLFKPDGTPAYELSYRLPKDNTSSGSGGTGGGISGGGGGYNGHGDGSSDSGYYSISASGKATVGWWGWTPQAAVAACVAVLVMAL* >Brasy3G195800.1.p pacid=40043179 transcript=Brasy3G195800.1 locus=Brasy3G195800 ID=Brasy3G195800.1.v1.1 annot-version=v1.1 MGRNGSVKRPAAAAAAAAAPAFTVMPGDYRLMEEVGYGAHAVVYRAVFVPRNETVAVKCLDLDQLNNNIDEVQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKIAYPDGFEEPVIASILKETLKALEYLHRQGQIHRDVKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKSAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWHFDIEDLKAQASLIYDDEAPEIKEDDDTARIIEVEKDSYSRNSLGKSSSANQNNCSENLDGEGLIPNEANDVPVSNADCKRMPNGYENSRAQNDSLPSTSTSKQDPESKYWRSNVGQKQQNSGGPVESGVNNTPTTERSHNSERDGTSPIASDKHGSDTRRAANLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVHIKGRFSVTSENVDLAKVQEIPLSSISRKSPHGIQLRKSASVGDWVVNAKPMSNSQHVKELCNSSVSSSILIPHLENLVQQTTLQQDLIVNLLSSMQQNENVDGAQSGIASQVRIVESEAVVGTANTEKERSLLVKISELQSRMITLTDELITAKLKHGQLQQELNTLYCREEIEDTRDVDSEET* >Brasy3G243000.1.p pacid=40043180 transcript=Brasy3G243000.1 locus=Brasy3G243000 ID=Brasy3G243000.1.v1.1 annot-version=v1.1 MAASVPRPRRRLPVPILLLALLHALALCPPRCGAAGLSWTPFRAAGGLLPRGLAWPLASTIHSAIDLLPTFVAFAAPGGRAAAWRGACFEENEAVLSLTPGPAGRNGTGLGGAVLRLKTASAQSWTCMDLYVFATPYRIGWDYYTRAHQHTFEIKSWEEAGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRSQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAVVPWDEWWGVALKDDSNPQVAFLPLHPDVRARFNESAAWEFARSMYGKPYGYHNMIFSWIDTMSENYPPPLDANLKLDLHGIISETEKRGMSFNQLLTIPEQDEWEYSDGKSTTCVAFILSMYKAAGVFAPFTESIQVTEFTIRDAYMLRIFEDNATRLPGWCNGEADGLPFCQILGEYRMELPEYNTIEPYANMNENCPSAPPTYKRPLRC* >Brasy3G319800.1.p pacid=40043181 transcript=Brasy3G319800.1 locus=Brasy3G319800 ID=Brasy3G319800.1.v1.1 annot-version=v1.1 MEGGRVLRRSVTLADQLALAVGPPPSSAAGAGGGGSCNLRDLLKLRDDDDAARAAAGRRAAAVTLASAMQADRLASSSSPSSSAAAAAAARTLLDIIRDDQLPAPPGGAGGDPSVRRAVSLPAPATSPPAAAEVAPPPLTPMIPEASSPGHPPTDRDQEEGEGEERVSLMALLEQTERQWSSAVPDEPEPEPAEEPSQPPREEDDDAEPAAGGRGAGCCCVCMARAKGAAFIPCGHTFCRACARELLAGRGRCPLCNAAILDVLHIF* >Brasy3G212300.1.p pacid=40043182 transcript=Brasy3G212300.1 locus=Brasy3G212300 ID=Brasy3G212300.1.v1.1 annot-version=v1.1 MASEWEIAMGVELGMGMGAYNNASSAGAGPPMGHHAGGGYHFYGMQPMGAADPSMRVDELLDLSSAAGGAHDFFPAAAADNGHYHYHHLGPGVGEPSAATTPSATSSDHQTSMLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAKAAAAASNSPAGHQGSCITAAAPPGRGARSKRSRASAAAAAAWHSLMPRPPSQSSPSSSSCSSSDIPASSNKPARPNNSSNGSRGKKQGPAVADQSVGLVEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFLLTQHSNSHRKVMELRRQKEIVLIRGSHPSVAAGPAGAATVKPELLFRDYGIC* >Brasy3G014800.1.p pacid=40043183 transcript=Brasy3G014800.1 locus=Brasy3G014800 ID=Brasy3G014800.1.v1.1 annot-version=v1.1 MEPFQYSMFCLLVHMCFGGGGGVIGDIEAMQRDLLGNFLSFQVFAFLPPLTKLVFRRRWTKLVSLRRRQEELFVPLIRARRENAAAGAGDCDCYVDSLVRLVIPEDGGRGLTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDRLRAEVSAAVGDGDGDGEVREEDLQQGMPYLKAVVLEGLRRHPPGHYVLPHAAAEETTLDGYRVPAGAPVNFAVGDIGLDEEVWEKPEEFRPDRFMPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFLANLVREFEWREVAGEEVDLTEKLEFTVVMRRPLKARAVPLRCRRSVTATATGSSG* >Brasy3G076700.1.p pacid=40043184 transcript=Brasy3G076700.1 locus=Brasy3G076700 ID=Brasy3G076700.1.v1.1 annot-version=v1.1 MRRSSSAAPSLKQEQVDASKLQEGVLNSLELRLGISSDNGLSGGGGGGASTGPWLGVGVHPWSLAARQDKAALEQAQQRPNECPPAQREDRPQLVGWPPVRTFRKNLCTPRSASSDDLSKVEPCSEQEEEDHGNTGVSGGHERPAMFVKVNLEGYAVGRKINLAAHPGYASLSAALQSMFHGFLSDGYGRIATREDEEDQLGLMIKNYILLYEDNEGDRMLVGDVPWEMFIASVKRLYIAHDPRADHRNQQQQQ* >Brasy3G296300.1.p pacid=40043185 transcript=Brasy3G296300.1 locus=Brasy3G296300 ID=Brasy3G296300.1.v1.1 annot-version=v1.1 MAKDGSHVVEIPVTGDGAEPAASAFLGKAAATGAHHPLGEIAGSGGHLLLLKLWQREESRQGRRACALEARMDAARRDAFYLCAAFLALHGLSLALLFAASSVSAAAGDGHVACRRWWAPSSLSAAASLALAAAVQLRVRAYWRAARRLRRERGDARALARCVQELRTKGAAFDLSKEPQWGVGARANCCASVEGAGAWGPLRWCYRNVVTACLLAVAAATLPSGKLILCA* >Brasy3G154300.1.p pacid=40043186 transcript=Brasy3G154300.1 locus=Brasy3G154300 ID=Brasy3G154300.1.v1.1 annot-version=v1.1 MRAATTDPSPAPARSMLKRLFDRQLLRVSPADRLPLTAGEKDDLEPSSVCLDGMVRSFLEEDGGGAGPEKAGHGGRYCNCFHGGDNSDEEGDDDAAAAASDAAETIKGLVHCATLRERNLLADVCAHLERHRATGARRRELLLLVAASLRAAGHDAAVCVSRWEKSASHPAGEHAYLDVLLPPASDRGARERVVVDVDFRAAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAAAADAARASLKKRGLHLPPWRKLEYMRAKWLSAYDRETPASPETADEVPVGEETAAKPGWKFSL* >Brasy3G044800.1.p pacid=40043187 transcript=Brasy3G044800.1 locus=Brasy3G044800 ID=Brasy3G044800.1.v1.1 annot-version=v1.1 MDAQGQRCVAETAQDDENESLITEILTIPLPKQVDTSVPCLISWAGDNIERGDYEPGLVPIGPFHRQDPSQQSADWMEQQKKQVLRGLLVSREEDEAGRRAELRSYLEAMERVEPDARRCYNRTFSWMTGKEFARMLLLDGFFLYSRFVVAGAGDDDIAVDRDVVFLLENQIPFFVLEEIHRLLTTRRRPEVLPAVVVLDKVAGRVEQLLSRNGYSAATSSSSSPCHLLHLLYMHFSPTTCAPKRTELPEVHNNGEYRIRVPVPQVRWRTATQYATAGVRLVKRNFDGKKARSILDVELSAGEDTLQIPCLTVDSNTFRMLRNMVALEQKSLQQQQRTSHVTNYCLFMSQLASTEEDVALLVSNGIIVHLLHSNDDVAAGLAGLCDGVVIDAYDPDGNYLRPKYEALERLISGGGGGRRKYSMARLRRHGKRSNCLMALMVMAAVFLFLWTVQQSVFAALRAGKGRC* >Brasy3G095700.1.p pacid=40043188 transcript=Brasy3G095700.1 locus=Brasy3G095700 ID=Brasy3G095700.1.v1.1 annot-version=v1.1 MHHRLLRCRAMPLPPPPPRMPGVSGGRLFASLPPPPPLQSRREVHVWYLCPDELNDHSQLKMYAEILSPAERKYALSMSGTTLQKDAMLSRALLRTTLSRYTDCKIDPRAFEFKKNKFGKPEIVWPPDDSIVEQPLHFNISHTSSLIACGIAMHAHIGIDIEEKKRKTAKSILALARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIMLETSQRIQVSKASACNDSDHLSENWQFALAELNSSHYMAVCIEDDPRNQGSENGAVPVGLKVWKTIPFVEDTLVTGTEAVKLIA* >Brasy3G095700.3.p pacid=40043189 transcript=Brasy3G095700.3 locus=Brasy3G095700 ID=Brasy3G095700.3.v1.1 annot-version=v1.1 MHHRLLRCRAMPLPPPPPRMPGVSGGRLFASLPPPPPLQSRREVHVWYLCPDELNDHSQLKMYAEILSPAERKYALSMSGTTLQKDAMLSRALLRTTLSRYCKIDPRAFEFKKNKFGKPEIVWPPDDSIVEQPLHFNISHTSSLIACGIAMHAHIGIDIEEKKRKTAKSILALARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIMLETSQRIQVSKASACNDSDHLSENWQFALAELNSSHYMAVCIEDDPRNQGSENGAVPVGLKVWKTIPFVEDTLVTGTEAVKLIA* >Brasy3G095700.4.p pacid=40043190 transcript=Brasy3G095700.4 locus=Brasy3G095700 ID=Brasy3G095700.4.v1.1 annot-version=v1.1 MYAEILSPAERKYALSMSGTTLQKDAMLSRALLRTTLSRYTDCKIDPRAFEFKKNKFGKPEIVWPPDDSIVEQPLHFNISHTSSLIACGIAMHAHIGIDIEEKKRKTAKSILALARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIMLETSQRIQVSKASACNDSDHLSENWQFALAELNSSHYMAVCIEDDPRNQGSENGAVPVGLKVWKTIPFVEDTLVTGTEAVKLIA* >Brasy3G095700.2.p pacid=40043191 transcript=Brasy3G095700.2 locus=Brasy3G095700 ID=Brasy3G095700.2.v1.1 annot-version=v1.1 MYAEILSPAERKYALSMSGTTLQKDAMLSRALLRTTLSRYTDCKIDPRAFEFKKNKFGKPEIVWPPDDSIVEQPLHFNISHTSSLIACGIAMHAHIGIDIEEKKRKTAKSILALARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIMLETSQRIQVSKASACNDSDHLSENWQFALAELNSSHYMAVCIEDDPRNQGSENGAVPVGLKVWKTIPFVEDTLVTGTEAVKLIA* >Brasy3G349700.1.p pacid=40043192 transcript=Brasy3G349700.1 locus=Brasy3G349700 ID=Brasy3G349700.1.v1.1 annot-version=v1.1 MVSDASKKKAAQKKAAAAAKRGSKASAASSSSAAASAVDKAANGVSALNLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSDLELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSREIEASDMSALQAVICCDEERIKLEKEAEILSAQDDGGGEALDLVYERLEAMDASTAEKRAAEILFGLGFDKQMQAKQTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEKLKNFERILVVISHSQDFLNGVCTNIIHMQNKILKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVVNDKILVFRFTDVGKLPPPVLQFADVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLSPLDGMVRRHNHLRIAQFHQHLTEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMKNLSDGQKARVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKQHLKKRAGL* >Brasy3G340000.1.p pacid=40043193 transcript=Brasy3G340000.1 locus=Brasy3G340000 ID=Brasy3G340000.1.v1.1 annot-version=v1.1 MAATTMALSTPAMKLAGAASVLGGGRITMRKSVAKKASSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK* >Brasy3G058900.1.p pacid=40043194 transcript=Brasy3G058900.1 locus=Brasy3G058900 ID=Brasy3G058900.1.v1.1 annot-version=v1.1 MGDATSDGNTVPVHGARRRGVGRGRHARVQVFWTTRCTTVVLRAAAYCFQQPSWSVRGAVLSSFVSRLIPPRHSLSPAAPELRRRPCASCELPSALLEPSPATISSPPAPIYSHTRQPLPLSTSPASSCYNPGPSTPVREGAVPRPAAEAGSPSEAATATTCSSSASSDQIGGSGHGL* >Brasy3G157600.1.p pacid=40043195 transcript=Brasy3G157600.1 locus=Brasy3G157600 ID=Brasy3G157600.1.v1.1 annot-version=v1.1 MLVLLVRALPYVIWGVVADKYGKKSVLVISLFSVIIFNTLFGLSSSYWMALATRGLLGLFSGMLGPIKDNLEAKVSCCSSTEKH* >Brasy3G165700.1.p pacid=40043196 transcript=Brasy3G165700.1 locus=Brasy3G165700 ID=Brasy3G165700.1.v1.1 annot-version=v1.1 MICAISGEVPEEPVVSKSSGLLFERRLIERYIEDHGKCPVTKQDLSMDDIVLVKTNKVVKPRPLQAASIPGLLGIFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRALLALAERQIPASMAGDVPAAVVSNGKRAMEDEIGPDGKKIRPGINPVMIDELTECNTMLSAQRKKRQVPPSLAQIDALERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILSTLSGHSKKITTLKFVPRDELVVTGSADKTVRIWQGSEEGNYNCIHTLKDHTAEIEAVTVHATQKYFVTAARDNTWCFYDISTGYCLSQVGEASGQEGYTSASFHPDGLILGTGTTEAAVKIWDVKTQSNVAKFEGHAGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTFSPYDSDTPTNSVEFDFSGSYLAVAGSDIRVYQVANVKTEWNLIKTLPDLSGTGKVTSAKFGADSKYIAVGSMDRNLRIFGLPGEDQMDDDAKPSAE* >Brasy3G087700.1.p pacid=40043197 transcript=Brasy3G087700.1 locus=Brasy3G087700 ID=Brasy3G087700.1.v1.1 annot-version=v1.1 MANQLQVLSSEELARGGGGGGGRRRASTVWEHFHRNAGAATATCKSCGTTLQASTRTCGTSHLRRHARSAACAKRAALGRWKPPSGSRSFCSMIASSTSIVSPPSCSMSAGTSATYTSRRRSSFHFDEHPQASSAAEPDEEIKAASEIDIFSGLPSILAPGWEIDSAPSSINSPCPPGNCTTCRGMITTTCSTPAVPQDYLFFLGLTK* >Brasy3G174100.1.p pacid=40043198 transcript=Brasy3G174100.1 locus=Brasy3G174100 ID=Brasy3G174100.1.v1.1 annot-version=v1.1 MQILPTKSDTEQAPRRPRRDRKHTNPARARASKHAPHPPKIHALRSRSEHRTAPRLPLPRKTKHSAGPRTPRLDRTAASMSAAIRRPRERGRRKRGWGEATHRRGLADPGAVPPRALGRLRSQGKGGGGEPAEVLDLRGDRAEQENTEREREVARVNNKGPREVIG* >Brasy3G241100.1.p pacid=40043199 transcript=Brasy3G241100.1 locus=Brasy3G241100 ID=Brasy3G241100.1.v1.1 annot-version=v1.1 MAIADAVMPSPPRIVVAALVLMRLVFVAAATLGYLILASAWVGWAACAVQAVGSEVGRRAWCEAGSLVASASLKVLFFAVGLLGTLALALLLAVCGMVRMGFLKFSFSSDVKKSFGPTKELIWELRHDTRAQWVLASLTSYLIFTVTHLVIDWLLPVMGSEGGKIGSVIVTVAFLGALAMNCFVMIPIATLVIWRAMREVKQEIEEVEAELMLMYSPSTSALKQQGLEDIEATV* >Brasy3G064500.1.p pacid=40043200 transcript=Brasy3G064500.1 locus=Brasy3G064500 ID=Brasy3G064500.1.v1.1 annot-version=v1.1 MSCFAVSMGAASSSWPSPSPSWMRSRKHAWRRWCAGLAAAARSRLRRVRWAAAPAGHRARRAPRPRRDRRSFAPVYVDELYSHQQQQPMGLRLTVLGQEEQPSTSKPTTTPPAGGGGNNNKARAAPGAASSGVGMKMKGLMMMMSPGRERGGMGEVDVRAEMFIRKFREEMRLQSQRSAEEFQAMLARGT* >Brasy3G168200.1.p pacid=40043201 transcript=Brasy3G168200.1 locus=Brasy3G168200 ID=Brasy3G168200.1.v1.1 annot-version=v1.1 MNGIVEHNYGADGDAHDHGCEPFFFPFGTGCSMSLRRKIMPKRTTQVADAVAKLRPLKMKRPREGEGADEEASGQLTPPTANNAGIFLGAPPHSPPAPPKKPRLVLGCSLDGFKVLSVMDLRCFLR* >Brasy3G194100.1.p pacid=40043202 transcript=Brasy3G194100.1 locus=Brasy3G194100 ID=Brasy3G194100.1.v1.1 annot-version=v1.1 MLCGNTLSRSGNVFRVSVFRSMRRVYERTRVCRSGRRLLYRPLWDSLLSFAASPVVVVVPMQQRRGRPSGTDGSDFSYRMIVDSRYQRVADGRSRLARLILVQALHLVAGGALLLLSLSNGAEVHKFAVLSVAAGLLAILLGELGRRRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFVKIMKQNTGAITSYELFDVVRVVLGVLLQLVVIATTTRLLQNMSPPKRAS* >Brasy3G083500.1.p pacid=40043203 transcript=Brasy3G083500.1 locus=Brasy3G083500 ID=Brasy3G083500.1.v1.1 annot-version=v1.1 MMAGVKLDQSSPARRQPPPKPTMAQPLPPKFSMFGAKPGFVIPKNKIAGSMVIRKVEAPATPKEEHTKPPPLQRNTKWGPDLASDPAVRKAKALAYQARVEQINKELKSVALETGGIEGSLFTVKGSSSDGAKENKEKIELLELEKREIIGEILQLNPGYKAPDDYKPLLKETKIPLPTKAHPGQNIIGVLLGPERNTQKRLQEETGAKVRVYGTKKSNGEKGEVRQSDIHEAQAAYEDLYIHVSADSYDKVDAAVALIELLLTPVSVNSTDTSETAIVSPAVNSVGVNPAVVQQVQSAASQPDSLNYQSHNAHWLSMSQTTVQSVPSSGPIPSPLPNNSLQLQPPVGSFSMPPYTGQPPHTNFMPRNPLPVPGSQPSIPNSQQPPHQFQANPSIRPPYGRPPGNAYNLQPVPSSTVTLPVRPLQTPHASGGWLTFPPAMAQSQRPSQGAPTFMPMRPPISVSPLGAAPSVPSQSNMSTSYGTQHPPRANFTPSRPPGAPQSFPSVSSQGPSSVQVPASPAGASPHPPYPVSMQMRPPMSTPQMRGAPLPFPQAGPAPGNAQVSPSSHPPASMPGLSFSGSANTGYSQTSIAALRPARPATGDFTFRPHAPPLPNPPASAGQMGVQANSQFGLPQMPLLRPANQSPISPVQGFQRPDGSHMGQAHMHAPPPQHFHGGFPSDSPMGFRPFPPANPSNRMPFSFMPPQQNPFPNANRQGGNPGGPNPIYDPFAPTAVSKKAEGDP* >Brasy3G324700.1.p pacid=40043204 transcript=Brasy3G324700.1 locus=Brasy3G324700 ID=Brasy3G324700.1.v1.1 annot-version=v1.1 MDHTEALPDDLLADILGRRLSPRDLAVSLCVRKAWRAVIDGRRLLLPRLLPHSVAGIFINYNNHDRPQFFARPSTAHPWVDYADLRFVPGYSENMDCIMDHSNGLLLYQDWDWYFVVNPATKRFDRPPCNPGDQHGYFSYLVFDPAMSPHYELFFIPSKYLGLFVAIRFQLKELPPEYLTKENFTITSKYLPNASGSGHEDPHQNSTEWPTPLCTMEVFSSATGEWRERSFVREGMPTRRAVNVRCSYPLVDDSRWHHSAYWHGVLYVLHYGGAFFTRLSLSDGKYQVRETPVGNEECKARDPYLGKLEKGVYFATLCRYSLRGWTLSESGGQMDWILKHHVDLEPLSRTPSIYPKGKHRTWMLDDGKDRNIDFDWNSDDENVLTIEEGKQSNRRFSFLGFHPYKEVVFLKFEDLDHGFAYHLNSSKVQYLGNLHPKNYRDQWPHFPLESFVYTPTMIGELSEHAVDYSMSSKE* >Brasy3G034000.1.p pacid=40043205 transcript=Brasy3G034000.1 locus=Brasy3G034000 ID=Brasy3G034000.1.v1.1 annot-version=v1.1 MAAATANGVCAGVQHQQEIKSTFHPCLWDEFFNTFQPPLQAQQPQMLERAEVLKEQVRTMLKDSKEIPKTLDLIMSIERLGLDYHYKKEISQQLDVVFNSDHDDDNLHVVSLRFYLLRKHRYTVSPDVFRKFQDKEGNFIDSDTASLLSLYNAAHLRIHNEEILDEAISFTRKRLQGALEQLESPFAEEVSAALVTPVFKRVGIIEARSYITYYGKEATRNETLLELAKVNFNLQQLTFCKELKEVTLWWKELYGRSNLSFVRDRIVETYFWMNGGTYKPQYSYARMLATKITGFITIIDDIFDTYGTTEESMQLKAAIDRWDESATDLLPEYMKDFYLYLLELYNSVEEHLGPEKSYRVFYLKEAMKQLVHMYSEEIKWRDNGNYVPTMSEHLKVSLISIGNVMVACAFFVGMDEIPTVDTYKWVLTDTTLMNSFGIFIRLTNDLVSTKREQTADHIASTVQCYMKEHGTTKEVACEKLKELAEYSWKDTLQLALAPTDLPVAVPQMVLDLAKASENIYRYNDAFTTSETVRDTIRIIFTEPIEL* >Brasy3G092000.1.p pacid=40043206 transcript=Brasy3G092000.1 locus=Brasy3G092000 ID=Brasy3G092000.1.v1.1 annot-version=v1.1 MAAKRAQLAVLAILLAVLAVAASAEYNFDDQFDVIGERDHIRYKAEGENQQFALELDKVSGSGFKSKAKYLFGEFSVQMRLVDGNSAGTVTSFYLTSGEGSTHDEIDIEFMGNKSGDPYVMNTNVWASGDGKKEHQFYLWFDPSADFHTYKITWNPKNIIFEVDNVPVRTFKKYDDLPYPTSRPMTVHATLWDGSYWATRHGDVKIHWRGDDPFVVSYRQYHADGCVAHPKAPPPSSNSTKVAAPPTKCPAGSDAWMDRELDDEDLKTVAWAERNCLSYNYCADGWRFPKGFPGECGRD* >Brasy3G225700.1.p pacid=40043207 transcript=Brasy3G225700.1 locus=Brasy3G225700 ID=Brasy3G225700.1.v1.1 annot-version=v1.1 MSIELNQSNGVIRGVGEGFGLGGGRKAYLAASAASVGGFGRHGPPDSGVAPSACERAGRKGRKRQQRAGGRRCPVLSRSCRACVSGWAPGRRGCCAVDESFARGIRIWICFTEPHTICPPFISWLN* >Brasy3G019000.1.p pacid=40043208 transcript=Brasy3G019000.1 locus=Brasy3G019000 ID=Brasy3G019000.1.v1.1 annot-version=v1.1 MMSSSESAAPGKKKQVVCVTGASGYVASWIIKLLLARGYTVRATVRDTDDAEKTAHLRAMDGAEDRLHLLKADLLREGSFDAAVHGCGCVFHTASPVLQNFTDPQAQLIDPALKGTLNVLGSCSKAEAMKRVIVTSSMAAVRLNGKPRTPDVVVDETWFSVPQICEKAQRWYALSKTLAEEAAWKFSKDYGIEVITINPGWVIGPLLQHKLNIGAEAILKLINGAPTYPNLCNEWVNVKDVAMAHVLAYEVPTANGRYCLAERAVHHSELVRIIHDMYPSILLPDSCADDKPFVPSFQVSMGKIRSLGMEQLIPLETSIKETIDSLKEKGFVSF* >Brasy3G296500.1.p pacid=40043209 transcript=Brasy3G296500.1 locus=Brasy3G296500 ID=Brasy3G296500.1.v1.1 annot-version=v1.1 MEKRPRAGDGDGGGGDGGEASASVGGGLVDTLPEALLVEVVGRVGLDGACSAAASCRALRSAAGAALSSVTSLDLSEFPPTNAIVNRILAGNGTLRSLKVNCSLLDDSAVAAIAKGSLHELSLLKCSSFSAFLFVAIGERCTNLRSITLEMAISEGSESFAICRNSIAHIFTGCDYLENVSLKFPLLAPGVVDFDSLVPVIPSTIKALLLQSVTNWQAKILFPISSSLKTPFSDSLESLSLVLDIITDELITFITTSLSNLLELCLEDNPGSETDLHNDLSNIGLQAIGVCKNLTHLSLTRGKQNCSSTFRRVNDFGILMLAEGCKKLQTIRLGGFSKVRDAGYAALLHSCKDLKKFEVSTASCLSDLTCLDLDETATKITEVRLLCCGLVTSETARSLSSCTNLEVLDFSGCRSIADSGLFSICQLSKLTLLDLAGSDITDAGLSAIGHGSCPISSLCLRGCRRITNNGIGSLLCGSGTINKTLVTLDIGNVPRISGRAVSLIAKHCEQIYSLCLRNNLLFTDQCLEILGSVQHKSPLRMLDLSYCSRLSRSFLRQFDLPLFRGLRWLGVGKNVLERRGNTPTIAEILERRPGLTICANNCEMGCRNQCHRDVRAQAQ* >Brasy3G269400.1.p pacid=40043210 transcript=Brasy3G269400.1 locus=Brasy3G269400 ID=Brasy3G269400.1.v1.1 annot-version=v1.1 MDHPGNVTHRDHTIDIPRNDLTLPSTSHPDNHNDLDELNRTRGPSSEVPHVPESSSSTTGTLNFRSASFTSRDQGNRQQNPLNSGLWISIELIVNVSQIIAAIAVLSVSRNEHPRAPLFEWVVGYIIGCVATIPHLYWRYLHRNCQNIEQEPTTQGSSQRNISESDSFAAISSARASEVGNEDNSTGVSRNNFPIASPRVYALIACLKLALDCFFAVWFVVGNVWIFGGRSSVHDAPNLYRLCIVFLTFGFIGYALPFILCTMICCCLPCIISMVGFHEDLDLNKGATTEVIDALVAYKYKSMRIRDGDVGEDNGGVLGAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCAHVFHMECIDKWLKINALCPLCKSELGGSTAAPADTTTGGHQQNDNRVGDDVESQQ* >Brasy3G257500.1.p pacid=40043211 transcript=Brasy3G257500.1 locus=Brasy3G257500 ID=Brasy3G257500.1.v1.1 annot-version=v1.1 MERKESVEKTKFHFFRGVNRHFTCGLCRPSISAIRWACCAANRVLMFISRPASTTKEI* >Brasy3G097600.1.p pacid=40043212 transcript=Brasy3G097600.1 locus=Brasy3G097600 ID=Brasy3G097600.1.v1.1 annot-version=v1.1 MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHSILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSGSS* >Brasy3G256400.1.p pacid=40043213 transcript=Brasy3G256400.1 locus=Brasy3G256400 ID=Brasy3G256400.1.v1.1 annot-version=v1.1 MSWRGAASRSLLSAVRGRTASTAPRLSAGPLPSAPRRRIPAFSSPFATARPLAAMMGSPAAVVASLTGHCAASVRACCELSQGTPFCRTCQDR* >Brasy3G035100.1.p pacid=40043214 transcript=Brasy3G035100.1 locus=Brasy3G035100 ID=Brasy3G035100.1.v1.1 annot-version=v1.1 LRITTEIQTSLIAKPASSLVTSILSSLDQQASNVRSSGSPCSERKKPAAAAAAWGVQGEGAEEEAPMEHCSQARQEGLPGGRPLRARAS* >Brasy3G304500.1.p pacid=40043215 transcript=Brasy3G304500.1 locus=Brasy3G304500 ID=Brasy3G304500.1.v1.1 annot-version=v1.1 MYGSPVPKDLNQPPPPPPMNSSGLLRYRSAPSTLLGEVCEEFLPRAASPDAGADNVFSRFLADHQIRDSKPPAPPPPVPVPAGGHFPDEAAMASQQQQQQMMFHSQQQQQQQQQMGAVGGVEGLYRTVSSGGMDSAAVAATAGASSLIRQSSSPAGFLNHLNMDNGYESMLRAGMGMGFRNGTTAAVDSSGSGGSRLKGQLSFSSRQGSLMSQISEMGSEDLGGSSPEAAGGGGRGYIPGYPMSSGWEESTLMSENMSGMKRPRDSSEPAQNGLAHQFSLPKTSSEMTAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKELQEQVKVINESRANCTCSASKH* >Brasy3G304500.2.p pacid=40043216 transcript=Brasy3G304500.2 locus=Brasy3G304500 ID=Brasy3G304500.2.v1.1 annot-version=v1.1 MYGSPVPKDLNQPPPPPPMNSSGLLRYRSAPSTLLGEVCEEFLPRAASPDAGADNVFSRFLADHQIRDSKPPAPPPPVPVPAGGHFPDEAAMASQQQQQQMMFHSQQQQQQQQQMGAVGGVEGLYRTVSSGGMDSAAVAATAGASSLIRQSSSPAGFLNHLNMDNGYESMLRAGMGMGFRNGTTAAVDSSGSGGSRLKGQLSFSSRQGSLMSQISEMGSEDLGGSSPEAAGGGGRGYIPGYPMSSGWEESTLMSENMSGMKRPRDSSEPAQNGLAHQFSLPKTSSEMTAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKELQEQVKVINESRANCTCSASKH* >Brasy3G032200.1.p pacid=40043217 transcript=Brasy3G032200.1 locus=Brasy3G032200 ID=Brasy3G032200.1.v1.1 annot-version=v1.1 MVLDLLSLVGAYAAGTCRDNVSTVYSAVLVAAVFAYIVVLKLWAWLFPSDSRSVDTIPVTNTPAIPPPVANADPGTVAKGEKEGERLSKVLMLLATFTVSITYIAGLSTPGGFWDTAGGSHRPGDAILKDHHSLRLTVFSLCNTTAFVASLLITILLIINKHAERSRELYACIVVVLVSLVVAYAAGCCRKTDTTFYVASLVGAVLAFILLLHGFFCTSVYKWLCSWYAKRKEDHHTDDNAREVLDKARSEALDKARSLVLLLATLAATITYTAGLDPPGGLWQENGDGHMAGDPILLTTNARRYYYCNSVAFVASLVAIILVQNKSLVRHHVLEVAMILDLFGLIGAYAAGSCRDVNASIYAMALAGAVLVYVVIHVVFFTLDDKDDKDDSKKDDEELLQKKRKRLLLFAILAATITYQAGLTPPGGFLVQDDELGHHAGDPVLLYNFPCRYKAFFYCNSVSFMLSIALIILLVNHNLYRPAIRSNALSVCTAVGLFCLMGAYAAGSTQHIKTSIYIFVLVAVVLFVAAGLLLAFFMREREKTEREKTEREERERKRTRNVEAVPSTEQELAQEEPKNEKEVNKHARRKYLMLLCILVASVTYQAGLEPPGGTWQSSSDGHEAGNSVMHDNRRPRYLTFFYSNSTSFVASIIVIIMLLPQWLPSEKGKKWEEWSLRVMNTTIILDLVAFLGAYAAGSSRGWKTSVYVVTLIVAVLGYFAIHMTLSSYRRCRERQRSAAV* >Brasy3G029500.1.p pacid=40043218 transcript=Brasy3G029500.1 locus=Brasy3G029500 ID=Brasy3G029500.1.v1.1 annot-version=v1.1 MGLDYYNVLKVNRGATEDDLKKSYRRLAMKWHPDKNPGDNKGEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASADGGGSSSSSMNGTANHRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNDSKYRSYNDSAGTSSSQPRKPPAVETKLPCSLQELYSGSTRKMKISRNVMKPNGQLGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLIFVIDEKPHDQYTREGNDLLVYQKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIRFDVDFPKRLSSEQRQSIRKVLGGQS* >Brasy3G140600.1.p pacid=40043219 transcript=Brasy3G140600.1 locus=Brasy3G140600 ID=Brasy3G140600.1.v1.1 annot-version=v1.1 MVLGLHFCADIADDGVPRLDAAAGEELIRTERAAAVSLGSRAPEPPGTLFITTKRVIWVSDAQRSKGYAVDFVAISLHAVSRDPEAYPSPCIYMQIETEDGSDEESDESDSEADGEIDVSKVTEMRIIPSDPGQLDGLFEAFSHCAELNPDPNAESDEEDGWVHGDGSDDDMTDGSEEFSDVNPIGQIDEHHITRSVVELEINDQRFEDAEEKERERSHRNGR* >Brasy3G231700.1.p pacid=40043220 transcript=Brasy3G231700.1 locus=Brasy3G231700 ID=Brasy3G231700.1.v1.1 annot-version=v1.1 MHDTAALDAIHHAVVAAVAAAFVALAAALLVLLWRRKKRMADRGTGAHGLASPDEAPAAQALPVVPLADIERATDGFHSSRVIGQGPHFTVYALAASPGAGNQEDQLAAKRMRAHLILGDPGGRRFPPAVRSLPVLPRHPNLAALVGLSEGPGERVLLVQRAPAGSFALHALLHDDDKHPPLTWRRRAAVAAGAARGLAHLHAHGLPHGRVRPCNVLVAGARAVVCDYGLAGFLLDKGEGRLNVRAEEKDDVYMFGALLLEVMTGRPWDGGRLAGWALPLIRRGGVGVISAERQVLLDARAGKADLQPRLLVRMARVALACVGNSDARSRPGMAEVSAILDDVDAAYGRCRDDGVQPEEDGGEERHSGCLLGPSRSAHKAEMLLRNPV* >Brasy3G285200.1.p pacid=40043221 transcript=Brasy3G285200.1 locus=Brasy3G285200 ID=Brasy3G285200.1.v1.1 annot-version=v1.1 MDFDEHDDGDGDEEMAPMPVSSSYEAPPGAPQLLHPGLAHGVPVPKPGDSGGGRFRTPGTGVRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALSCAACGCHRNFHRKESEESPTAAAAAAAGAITPYGAMPPLPGHHGQFSPYYRTPAGYLHHPQHHHHHQMAAAMAAGHVQRPLALPSTSHSGGRDEAGATDVDMSAMMLSPVVMGSMSMAGLSFGSGGSAGGPSGSGGSAGKKRFRTKFSQEQKERMQAFADRLGWRIQKHDEAAVQQFCEEVGVKRHVLKVWMHNNKHTLGKKPLP* >Brasy3G247000.1.p pacid=40043222 transcript=Brasy3G247000.1 locus=Brasy3G247000 ID=Brasy3G247000.1.v1.1 annot-version=v1.1 MHYVVRQMSNARLGRLQDSNAVLTHFNEYSEQCFAEVSSDFASKTRLLKSMKDDLDHIFMKLRNMKSRLVATYPDAFPDGAMAKTMDQRPDLERPLE* >Brasy3G080700.1.p pacid=40043223 transcript=Brasy3G080700.1 locus=Brasy3G080700 ID=Brasy3G080700.1.v1.1 annot-version=v1.1 MSGGSGGSLSGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSVYVRLFPEPGRVAKEQPPLARFLLRVSWAGPPRRSSVSPVIEQLLRSSDDFVWQVDAMSHGRFTIDVEFLDLRIATNNGTESSSSIWPNECMVQKIASKSTLGSLSRMLTESIHADVTINTTDGVLKAHKSVLAACSPVFESMFLHDLKEKESSTIDINDMTVESCSALLGFVYGTIEQEQFWKHRVSLLGAANKYGIAEVKACCEESLLEDICSANVLERLHVAWLYQLEKLKKGCLTYLFVFGKIYDVRDEIDGFFHHADRELMLEMFQEVLSVWKPI* >Brasy3G189900.1.p pacid=40043224 transcript=Brasy3G189900.1 locus=Brasy3G189900 ID=Brasy3G189900.1.v1.1 annot-version=v1.1 MPYLSPRIPRLLLVCSLSSTHLPDHHFLLHVAALAKELSNQPPPLPPPTRPRSPHPYDYNLLMSAHAAFGSGDGAGAGADRALQLLDEMRSLLQRRPEAACFTTVAAALSSASRPGAALAVLEAMAADGVAPDTVACTVLVGVYACRLQQFDAAFEVVRWMTDNGVAPDVVTYSTLICGLCRAQRVAEALGVLDLMLEEGCHPNAHTYTPIMHAYCTTGMIHEAKKLLDSMIAAGCAPSTATYNVLIEALCKVGAFEEVDVLLEESTSKGWIPDTITYSTYMDGLCRSGRLDKSFALVDRMLSNGLHPNEVTLNILLNGVCRSLTAWAAKCLLECSAELGWDASVINYNTVMRRLCDERRWLAVVKLFTDMSKKGVAPNSWTFNIVVHSLCKLGKLHNTLCLMGSEEFVANAITYNTLIRHLSLSERRNFRWHLAVSTDHVRMVSLRLQFQVLYAVSLTVVSLVNCII* >Brasy3G291700.1.p pacid=40043225 transcript=Brasy3G291700.1 locus=Brasy3G291700 ID=Brasy3G291700.1.v1.1 annot-version=v1.1 MGEHAAAELGRRPAQQQFAGVDLRRPKGYVAAAAAPAKTPAAPAKTPAAPAKTPAAAPAAAAAAAEGEACPRCESRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRCVPVGGGTRKRASSSTASAAAAAVKRQKPSKKRRVAPPEPAASSADDVPAPDADAAAKTPTEATTTPPTEAASEITTDLVVPAAAAAEDSFTDLLQQQGGDDAVALDLGFSDYASAVGKAAGLGDPYSFEWPPAFDLGACWSGAGFADPDPTGVFLNLP* >Brasy3G161100.1.p pacid=40043226 transcript=Brasy3G161100.1 locus=Brasy3G161100 ID=Brasy3G161100.1.v1.1 annot-version=v1.1 MRRTAALLLRHSDGGGGGGISQALADVLVCPLTKKPLRYCEASGSLVSDAVGISFPIVDGIPSLLPKDGKLLEDHQEKSGHESSPRDSSH* >Brasy3G094900.1.p pacid=40043227 transcript=Brasy3G094900.1 locus=Brasy3G094900 ID=Brasy3G094900.1.v1.1 annot-version=v1.1 MRPRPGRLGACACGGGEAREGLPGGGCGRVQRRRRARASRATAFGARRGDGGGARGRPERRRQERSEAAAAGACRGDGARGPPERRLWARAEATAAARAGARSGGGKSARRWRLRAPAIGIARTDEERRKLIGSDSDGYDVAEKPAARDLEEGRSGFRTNRTAPRNFEQRRRGFGNRRLGIRRSD* >Brasy3G157100.1.p pacid=40043228 transcript=Brasy3G157100.1 locus=Brasy3G157100 ID=Brasy3G157100.1.v1.1 annot-version=v1.1 MFWDQHSWIPLYVPPLLLPLPHRHVWLASTAISSVVAGKRGKKKGSPPPSQPPPLTTQARLRPPLPSLKCPCCEIKTAIRLVCQSEMNLGRVFNK* >Brasy3G214700.1.p pacid=40043229 transcript=Brasy3G214700.1 locus=Brasy3G214700 ID=Brasy3G214700.1.v1.1 annot-version=v1.1 MELQGEAAEAGTKKAVVVFRLFGVEVHGEADEDEDGMSVELRKSSSMPNLNLASSSAADPPPPAGDEDKGYASDDDGVPASTPQLKRRRRKANERKKGIPWTEEEHRKFLDGLKQLGKGDWRGISRSFVPTRTATQVASHAQKHFLRQTNPGKKKRRASLFDVVAVNGHDDELPSPQSYTAATKPAPAEEILHTDRGDVPVKSHIPSYPGEVVRGNNMQVDQPADHMNKKRSKESMSLAAMAASRLELELALASSSASVLELSIAPPRRAIANGIKAL* >Brasy3G214700.2.p pacid=40043230 transcript=Brasy3G214700.2 locus=Brasy3G214700 ID=Brasy3G214700.2.v1.1 annot-version=v1.1 MELQGEAAEAGTKKAVVVFRLFGVEVHGEADEDEDGMSVELRKSSSMPNLNLASSSAADPPPPAGDEDKGYASDDDGVPASTPQLKRRRRKANERKKGIPWTEEEHRKFLDGLKQLGKGDWRGISRSFVPTRTATQVASHAQKHFLRQTNPGKKKRRASLFDVVAVNGHDDELPSPQSYTAATKPAPAEEILHTDRGDVPIPSYPGEVVRGNNMQVDQPADHMNKKRSKESMSLAAMAASRLELELALASSSASVLELSIAPPRRAIANGIKAL* >Brasy3G330100.1.p pacid=40043231 transcript=Brasy3G330100.1 locus=Brasy3G330100 ID=Brasy3G330100.1.v1.1 annot-version=v1.1 MMHGLLIALALLCLTINTRGISACIVSERDALSAFNASIDDPEGRLHSWMGGNCCNWDGVSCSKKTGHVIKLDLGGYSLKGQINPSLAGLTRLVQLNMSHGDFGGVPIPEFICTFKMLRYLDLSHAGFGGTVPHQLGNLSRLSYLDLGSFGGPAIIVDSFHWISKLTSLRYLDLSWLYLAASVDWLQAVNMLPLLEVLRLNDASLPATDLNSLSQVNFTALKLLHLESNNLNSSLPNWIWRLSALSELDVTSCGLSGMIPDELGKLASLKLLRLGDNKLEGVIPRSASRLCNLVQIDLSGNILSGDIAGAAKNVFPCMKRLQILDLAGNKLTGKLSGWLEGMTGLRVLDLSGNSLSGIVPTSIGNLSNLTHLDFSFNKFNGTLSELHFANLSRLDTLNLASNSFKIAFKQSWVPPFQLKNLGMHACLVGPQFPTWLQSQTRIEMIDIGSAGIRGALPAWIWNFSSSITSLDVSTNNITGRLPASLEQLKMLTTLNMRSNQLEGNIPDLPISIRVLDLSDNNLSGSFRQSFGNKELRYLLLSRNFISGVIPTDLCNMISVELIDLSYNNLSGELPDCWNDNSKLHVIDFSSNNFWGEIPSTMGSLNSLMSLHLSRNRMSGMLPSSLQSCNMLMFLDLAQNNLSGNLPKWIGGLQSLIFLSLGSNQFSGEIPEELSKLRALQYLDLHNNKLSGPVPNFLGNLTALHSDHPVFDTSPFLEFMVYGVGGAYFSVYTDVLQTIYKGSRIISLKFFLLTSIDLSANLLTGEIPTEIGFLSALHFLNLSGNHIGGSIPDELGSITYLESLDLSWNDISGSIPHSLTALSYLGMLNLSYNDLSGKIPLENQFLTFANGSFFGNENLCGLPLSRICVPKSNKRRHRILQLRFDTLTYLFTLLGFAFGISTVSTTMICSTAVRKAYFQFTDRVFDNLCAAVQIKLSINRMSAGRDPSMATRSQDSITCYELEQSPTAIY* >Brasy3G028300.1.p pacid=40043232 transcript=Brasy3G028300.1 locus=Brasy3G028300 ID=Brasy3G028300.1.v1.1 annot-version=v1.1 MSMDTEAVSADGGAGSGITGGGHRSMSSRSINKRAAAAGQDSKPQLIAEMLKVITTPAKLVDPSVACLISWAGDGDDRGDYYVPRTVHIGPFHWQAPSDGNNRREEKMAAANSLLLAEVSERESRKEELGNHLEAMERLVPGARRCYGSAFSWMPREVFAEMLLFFFIRSIDRVPLSKR* >Brasy3G117700.1.p pacid=40043233 transcript=Brasy3G117700.1 locus=Brasy3G117700 ID=Brasy3G117700.1.v1.1 annot-version=v1.1 MMDPSSSTAPAAAVPAHAPAAASIGAGDGGGPAAAVSAAVATASRRFQHLLDRSTPHVGRRWLGFAGVVLVYALRAWFVGGYYIVTYALGIYILNLLIAFLSPQVDPEVAEVLGEGPALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVMTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMVKYRYVPFTFGKQRYSGKRAASADDLTLPKD* >Brasy3G267700.1.p pacid=40043234 transcript=Brasy3G267700.1 locus=Brasy3G267700 ID=Brasy3G267700.1.v1.1 annot-version=v1.1 MSLAATRGTGGGWRRKLWWPGRRRRRPRTRKPSWRCLSRRGEQSAPPAVEEGRGGASPVVEEGGGGAGRCRGEASRAPRWLGRASSGRTRRGDKRRKRPIECGGGARGHTARPEHRRSLDPGGRRLRGGWSAAHLSGPPGSRGGGWSRGRVEGGAPSTALNRRARGEEARQWGGGKK* >Brasy3G076800.1.p pacid=40043235 transcript=Brasy3G076800.1 locus=Brasy3G076800 ID=Brasy3G076800.1.v1.1 annot-version=v1.1 MASSTAAASCLYTPPVTAERSIPRGRLLLRGSAAGPRPLRLNSARRRGLSPAPRAAKAVEASAKAGPLNVMIAGAPASGKGTQCELIKAKYGLVHISAGDLLRAEIAAGSENGRQAKEFMEEGQLVPDEIVVNMVKERLLQSDAQEKGWLLDGYPRSNSQAMALETLGIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPDNEEIASRLTQRFDDTEEKVKLRLQTHYQNIESLESIYEDVIVKVKGDTTVDDVFAEIDKLLASSFDKKTEVVAST* >Brasy3G147800.1.p pacid=40043236 transcript=Brasy3G147800.1 locus=Brasy3G147800 ID=Brasy3G147800.1.v1.1 annot-version=v1.1 MAPTVMSSAATAVAPFQGLKSTAGLPVSRPSASAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVESLLKQIEYLIRSKWVPCLEFSKIPRNLNGPDHPGTYPRPQLEISRRFRLP* >Brasy3G199800.1.p pacid=40043237 transcript=Brasy3G199800.1 locus=Brasy3G199800 ID=Brasy3G199800.1.v1.1 annot-version=v1.1 MAGSRGGELSDYLDRPDAIHRRTASLAIARSGDDGPRIMDGAGREGRRARSSRLSLSSWLGGAAPRSRTPPPAPAASEDCSRSAAEGTSSASAWSSWKKPVRALSRIGKRRAGCLFSVEVAAVRGLPASMASLRLAVSVRKADSRDAMQTMPARVSRDGSAEFDETLFVRCNLYFTGGNGTGKPLKLEPRPFVVSVLPVEAADVRLGTYAVDVSALVLDSLAKINGSEGRRVRRFDRAFRLAGKAAGGELVLTLGFQLMDDAGLRLYNTQQAAAAGRWSADVSSSPSPARARTHSKKSFSIVASTTPKLSLSAASGDGAISPSMRAYRQLLDRLNVEDKRSTGDDDELSASDVDYQQYEVIDKGVEKVKEVVHFQEQRDALRELDSIAEQIEAIEALMTSGGNHSKSPRGVGQQLNLDADEEMVTVEFLRKLELVADDDKNGSRKLKQPMTPRSEKKAAALAGTPPSPVVPDLGPSLGPAVRTRDGGFLVSMNPFDVPLASRDVSPKLAMQVSRPFVLPSAMAAATGFDVLQKMAAAGGLDAVRDKVASLGSMESLTGKTPEQVGFEGIAEAVIAGRRTEVDGGASASSSAARSVRLVRRLAAAVSEGRRERVATGFWSAGGSDPETVEEVLAFSLQKLEAMAVDALMVQAEMADEGAPFEVAAGETAADVFGSLVPADEWWSESGGGGSDGRVTVVAAVQLRDPSRRYEAVGAPMVAVVQSARMLGAAGVSGGRLKVRSLHVGGVQARCSTERGSASWRAEREKLTAMQWALAHGPGRAGSRRATTPQARARQQQQQRRSDVVWSLSSRVLAGMWLKTVRNPDVKIGTAAAGST* >Brasy3G155900.1.p pacid=40043238 transcript=Brasy3G155900.1 locus=Brasy3G155900 ID=Brasy3G155900.1.v1.1 annot-version=v1.1 MEWSAMGKAGRWLRSLLAGKKDGGRKGEKRGQQYCDDATPLPELLLPAAAAPRDKKRWSFRRPAPAPGKATAAAASLSSTPEPSVSGAGGLSVSVSERELEQSKHAVAVAVATAAAADHAAAAVIRLTAAEEKEEDLWASPVEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGHLVRKQASATLRRMQALLMAQTRLRAQRMRMLDYDHAPAPAPERRSPQHPRRRRSYEMDRSGEEHAKIVEMDSGEPPRRGRSSCSVAASDHRRRAEYYAGGQCSPAPSSSAAFTEFTTSPPRAAYFENFEPATARVSSPYVVGDEEESSASELFFPNYMANTQSSRARAKARSQSAPRQRSDESPSRLVAPLERQPSRRRGGAAPVPRSAKMMQRSSSHVGVPASSSSSAGYAQYYQQQYYNYPWSLKLDRSSASLKDSECGSTSSVLTAATTVGYCRSLVGFEAHRSQY* >Brasy3G155900.3.p pacid=40043239 transcript=Brasy3G155900.3 locus=Brasy3G155900 ID=Brasy3G155900.3.v1.1 annot-version=v1.1 MGKAGRWLRSLLAGKKDGGRKGEKRGQQYCDDATPLPELLLPAAAAPRDKKRWSFRRPAPAPGKATAAAASLSSTPEPSVSGAGGLSVSVSERELEQSKHAVAVAVATAAAADHAAAAVIRLTAAEEKEEDLWASPVEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGHLVRKQASATLRRMQALLMAQTRLRAQRMRMLDYDHAPAPAPERRSPQHPRRRRSYEMDRSGEEHAKIVEMDSGEPPRRGRSSCSVAASDHRRRAEYYAGGQCSPAPSSSAAFTEFTTSPPRAAYFENFEPATARVSSPYVVGDEEESSASELFFPNYMANTQSSRARAKARSQSAPRQRSDESPSRLVAPLERQPSRRRGGAAPVPRSAKMMQRSSSHVGVPASSSSSAGYAQYYQQQYYNYPWSLKLDRSSASLKDSECGSTSSVLTAATTVGYCRSLVGFEAHRSQY* >Brasy3G155900.2.p pacid=40043240 transcript=Brasy3G155900.2 locus=Brasy3G155900 ID=Brasy3G155900.2.v1.1 annot-version=v1.1 MEWSAMGKAGRWLRSLLAGKKDGGRKGEKRGQQYCDDATPLPELLLPAAAAPRDKKRWSFRRPAPAPGKATAAAASLSSTPEPSVSGAGGLSVSVSERELEQSKHAVAVAVATAAAADHAAAAVIRLTAAEEKEEDLWASPVEEAAAARIQATFRGYLEMDRSGEEHAKIVEMDSGEPPRRGRSSCSVAASDHRRRAEYYAGGQCSPAPSSSAAFTEFTTSPPRAAYFENFEPATARVSSPYVVGDEEESSASELFFPNYMANTQSSRARAKARSQSAPRQRSDESPSRLVAPLERQPSRRRGGAAPVPRSAKMMQRSSSHVGVPASSSSSAGYAQYYQQQYYNYPWSLKLDRSSASLKDSECGSTSSVLTAATTVGYCRSLVGFEAHRSQY* >Brasy3G155900.4.p pacid=40043241 transcript=Brasy3G155900.4 locus=Brasy3G155900 ID=Brasy3G155900.4.v1.1 annot-version=v1.1 MGKAGRWLRSLLAGKKDGGRKGEKRGQQYCDDATPLPELLLPAAAAPRDKKRWSFRRPAPAPGKATAAAASLSSTPEPSVSGAGGLSVSVSERELEQSKHAVAVAVATAAAADHAAAAVIRLTAAEEKEEDLWASPVEEAAAARIQATFRGYLEMDRSGEEHAKIVEMDSGEPPRRGRSSCSVAASDHRRRAEYYAGGQCSPAPSSSAAFTEFTTSPPRAAYFENFEPATARVSSPYVVGDEEESSASELFFPNYMANTQSSRARAKARSQSAPRQRSDESPSRLVAPLERQPSRRRGGAAPVPRSAKMMQRSSSHVGVPASSSSSAGYAQYYQQQYYNYPWSLKLDRSSASLKDSECGSTSSVLTAATTVGYCRSLVGFEAHRSQY* >Brasy3G316100.1.p pacid=40043242 transcript=Brasy3G316100.1 locus=Brasy3G316100 ID=Brasy3G316100.1.v1.1 annot-version=v1.1 MAKRKRPKMAESVPLTAMAGSGFLKFKVDYEKNKDLPPGDGIPSDIVSAVGHIWRIECYPRGIGSLCVGDLSVFLKHMSKSREVQGIFEAFLLDKNGVPSTTATRRTDVFRLAACDNYGWCSFVTRTVLEKDYVVDGHITFVCAILVVTDSTIPVPPSDISAACWIAARGQMCHSPSMVRHSSRIVRCSLFARRSSERSSSVPWPRPKWHPSGCTTSRLLHLKACFGSCIRMPCPEKMKLGTRQLRCFRICLLWLIGMHLTG* >Brasy3G273300.1.p pacid=40043243 transcript=Brasy3G273300.1 locus=Brasy3G273300 ID=Brasy3G273300.1.v1.1 annot-version=v1.1 MADQAAAAGQPQPLGYLCGICRELVPELHRGGSCPHAFCRACLTGHVRAKIETGGGGATVRCLYCDGKLEAELCRAVLPGDLFERWCAALCESMFLGARRIYCPFPNCSEMMVADDDEEEDGCKKDGGQRVTKSECQVCRRLFCAQCCVPWHDGVDCDAYMKLGKGDSTRKEDMVLLEMAERRKWRRCPKCQFFVSKIDGCFHIICRCDYEFCYGCGIEWGSSCTCEDDIDNDDEDDSENEDSDDNGL* >Brasy3G254400.1.p pacid=40043244 transcript=Brasy3G254400.1 locus=Brasy3G254400 ID=Brasy3G254400.1.v1.1 annot-version=v1.1 MMMVKRSPSSSRDDMPTPDKSVVGEKMKTCKSWEESKLLWHIAFPAILTAVFQFSIGFVTVGFAGHIGEAELAAVTVVENVIEGFSYGVLLGMGSALETLCGQAVGAGQADMLGVYIQRSWIICGATALVLSPTYIFTASVLRALRQPAAISAVAGSYTRWVLPQLFAYAANFPLQKFFQAQSKVWAMTFISGAALALHVALNYVFVTVLGHGLFGAAMVGNATWCAIIAAQLGYLVSGCFPEAWKGFSVLAFRNLAAFVRLSLASAVMLCLELWYYTAVLILVGLLKNAQLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVVMAVSTSAAIGAVFLAVFLIWRTELPRFFSDNNEVVSEAAKLGFLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVIFGFKLKLGALGIWVGMSIGTLLQTAVLLIICFRTKWEKQAMLAEERIREWGGRSDTLPTATTVA* >Brasy3G254400.2.p pacid=40043245 transcript=Brasy3G254400.2 locus=Brasy3G254400 ID=Brasy3G254400.2.v1.1 annot-version=v1.1 MGSALETLCGQAVGAGQADMLGVYIQRSWIICGATALVLSPTYIFTASVLRALRQPAAISAVAGSYTRWVLPQLFAYAANFPLQKFFQAQSKVWAMTFISGAALALHVALNYVFVTVLGHGLFGAAMVGNATWCAIIAAQLGYLVSGCFPEAWKGFSVLAFRNLAAFVRLSLASAVMLCLELWYYTAVLILVGLLKNAQLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVVMAVSTSAAIGAVFLAVFLIWRTELPRFFSDNNEVVSEAAKLGFLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVIFGFKLKLGALGIWVGMSIGTLLQTAVLLIICFRTKWEKQAMLAEERIREWGGRSDTLPTATTVA* >Brasy3G053100.1.p pacid=40043246 transcript=Brasy3G053100.1 locus=Brasy3G053100 ID=Brasy3G053100.1.v1.1 annot-version=v1.1 MEEPLMTPELVLAETARRLLDTSGFLLSSSPARPRRLAVETCGQEEDEEGECAICLAVDDQGKKKAVLPCGHRFHRGCVGKWLRVHGTCPTCRHRLPPMSPAARNDLRYTWFLAVEDLRKKAALLGLV* >Brasy3G050600.1.p pacid=40043247 transcript=Brasy3G050600.1 locus=Brasy3G050600 ID=Brasy3G050600.1.v1.1 annot-version=v1.1 MFYRTAPVDPSPLHSPPQPESNRTRFWRKNLTGQSFSLTSSRANAGLQCAWGIWFRVLAPFSLVWVLFSG* >Brasy3G290000.1.p pacid=40043248 transcript=Brasy3G290000.1 locus=Brasy3G290000 ID=Brasy3G290000.1.v1.1 annot-version=v1.1 MLLFPYIPSENIEKKYGVDREKLGDILSFLVYIKQLTSLLVCFFSKREKNLRPLHQSMHTAMIVGLFMAINNPCLSNGQIIVSTLSRTCAAIAALMLHEWHG* >Brasy3G218200.1.p pacid=40043249 transcript=Brasy3G218200.1 locus=Brasy3G218200 ID=Brasy3G218200.1.v1.1 annot-version=v1.1 MERENHSLDMTPEHVLGQSGGRPLRQPPQPLAGPAADEDILQNKALRQVRDQWHCMYGDRCTFAHGSAELRRPSLASHGAFELHGFAQGPQIRNPGEMRSEIPLARAAPGRAFPSVPAPLVRDLPRPTPEEQEGSAKVSNLERLSRKKTSGIYGDWPEQS* >Brasy3G271700.1.p pacid=40043250 transcript=Brasy3G271700.1 locus=Brasy3G271700 ID=Brasy3G271700.1.v1.1 annot-version=v1.1 MKSSSRSQSPKTKRTPCPWPRAILSVSDANVVAAEHAPSSVSEPPPPPPWLPAIDGRDGDTCASELDAFALAVACAKSSSSSRPDLQLAAVLSHHAAKWHLTDVASSARHVAPDHRSPTATWLRKRLRVETLVAALPPDPDPNPSGVPEPDDGITCDFLLRLLRAGRAAGADRALLAALEARAARRLGQATLPALMMPVTACGHSPTLLDVPLVLRLARGFYEEARGGRTTGGAKGSARVARLVDAYLAEAALEAGLTHGDLEELAQAVPAHARAADDALYRAVNTYLKAHPSTGKEARKSLWGLIDARKLSAEAAAHAVRNDRLPVRSALQVLFSDHGKLNRLADLGSAAAMLDLPGGGGGRRPSKPDRLPRHQDARRLREDVASLKLQCSALQDQVERMRSERRRCCGGLRWSTFWCRRRR* >Brasy3G150800.1.p pacid=40043251 transcript=Brasy3G150800.1 locus=Brasy3G150800 ID=Brasy3G150800.1.v1.1 annot-version=v1.1 MIPCISGRKISGHFLNGARVLKEVGNICGEECAMRDWMLCSHERERHFDGGKSLRWGVEPVLHLVSVVVAALSMPLPFFTKEVKRNCALETLMLATYTYRHYGTSCL* >Brasy3G288900.1.p pacid=40043252 transcript=Brasy3G288900.1 locus=Brasy3G288900 ID=Brasy3G288900.1.v1.1 annot-version=v1.1 MAHQHQHSLFLLLLAVSLAGAGAATPRQLFLVTQPPVTLTNHHGQLLTGNYSANLLWYGRFTPAQRATVADFVLSLSSSSPTAPRSVASWWATTARYHPGAARLALGRQVLDPSLSLGKRLSESHLASLAARLSPHRGSIAVVLTAPDVLVDGFCLSHCGLHSSASSSSPARGHQIGGAHGRGRFAYVWVGDAAEQCAGECAWPFHEPLYGPRGAAPLVAPNADVGMDGVVINLATLLAGAVTNPYGGGYFQGPAEAPLEAVTACTGVFGAGAYPGYPGQLAVDATTGASYNAVGVAGRRFLLPAMWDPETSQCSTLV* >Brasy3G024600.1.p pacid=40043253 transcript=Brasy3G024600.1 locus=Brasy3G024600 ID=Brasy3G024600.1.v1.1 annot-version=v1.1 MSSPPRALPSILLFSSLPRVNPNRRWVEFGAASSAMKRGRKGAAPAPSTELPPCVLPLVTCPCCRVQRVVRLVSKSEQNPSRVFYKCPNHRSGTGGCNFFHWEDGEDSYVDYLSSNGVMIQSTYWGGEIEEEEQKEELNEGQKVEQKMQKQLQKMENSEIKLLVEKMDALVVICRMTLCAFVVFVALLLYVVAQK* >Brasy3G115700.1.p pacid=40043254 transcript=Brasy3G115700.1 locus=Brasy3G115700 ID=Brasy3G115700.1.v1.1 annot-version=v1.1 MEVALTKALVAFFLLQLAAAAEATAVNKSCVTGAGGASVSIGYGGARASAGAGVSLGGDAYRSVCPLAEEIVREAVEKAVAADPRMAASLLRLHFHDCFVNGCDGSVLLEDKPFFVGEKTAGPNANSLRGFEVIDAIKAQLEQACPETVSCADVLAIAARDSVVASGGPSWQVELGRKDSRTASLQAANSNLPAPTSGVATLVQKFANVGLSAKDMVALSGAHTIGKARCTTFSVRIGGGVGVGITAKDAGFVQSLQQLCAGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALAAAPAGDDDGDQEDDAALVAGLVADYAFDAALFFDDFAASMLRMGRLAPAGGRAAGEVRRNCRVVN* >Brasy3G203800.1.p pacid=40043255 transcript=Brasy3G203800.1 locus=Brasy3G203800 ID=Brasy3G203800.1.v1.1 annot-version=v1.1 MGRRSNSGKKLPFFGSASGSTSSKRNRSARRIPSLPKQQSPHPPSTTSQLPAPPQTTASPLPALAPGVGGGGGGAATPTAVSGKVGKKKAGARLWMRLDRWGSSEVVELDKASIIRRAGVPPRDLRILGPVFSHSSSILAREKAMVINLEFIRAIVTADEVLLLDPLSHEVIPFVDQLRQHLPLRSLVGGDGEHGTEGHGEKQESSPGDQVPCLNEATGAEHELPFEFQVLEVGLEAVCSTLDLSVADLERRATPVLDELTKNVSTRNLERVRSLKSDLTRLLARVQKVRDEIEHLLDDNEDMAHLYLTRKQGQNQQVEAIMTSAAPNSIVPVGASLPKLNSSFRRSASIATSIYLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIISFGVAINTYIAGAFAMNIPCSLYDITDGSFFWPFVGGTSSGCFMISVVLLGYAWWKKLLGP* >Brasy3G142100.1.p pacid=40043256 transcript=Brasy3G142100.1 locus=Brasy3G142100 ID=Brasy3G142100.1.v1.1 annot-version=v1.1 MPWHVVGDFNEILYHHEKEGGNPRPTRMLDAFGDCLVDCGLDDLGFIGDRFTWWRGDIRERLDRDVANVAWMEKFPCVGVVNEGHYRSDHRPVVVNTDQFDVSLIKARSGGRKFEARWLSEECVEEIVRTSWAKAISSGSAPDFASRTAVVHKDLHQWDRKVLKGPKNRIDKLKRELESLRRKSPSPDNLSRQKEVQLLIENLKEQEEITWCQRGRANWLLKGDRNTSYFHNSATARKKRNQIKKLLDDTGVWKEGTA* >Brasy3G218800.1.p pacid=40043257 transcript=Brasy3G218800.1 locus=Brasy3G218800 ID=Brasy3G218800.1.v1.1 annot-version=v1.1 MGRTVHDNSSLGSNHAMLFGPYRGAARARGTRGGGVRSRKAKKHHFLASASGCGAVRRVASIVSSFFLLPPLPSPRLHLSPRSSIRPGRALDRLVAMATDAAAADKSSVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGLTDGGKIEAKYTSRAADLYRQLLTKEVAKSSTEDGNNSWLSSPMAASQTSTQAAPFPDLKLAEASKEVVNEKNESEVNRSPKAPTHSFKKPIGAKKPGSKTGGLGARKLTSKPSESLYEQKPEEPAPALPLVTESTTARSKSHTSRFEYVENVPSAGSSSEGNKAFGHVAPPKSSNFFGEFGMDSGYHKKSTSSSSKVQVEESSEARQKFSNAKSISSSQFFGDQANLEKEGQLSLQKFSGSSAISSADLFGQQPNNSNLDLNASDLINRISFQATQDLTSLKSMAGETGKKLTSMASNIMSDLQDRIL* >Brasy3G030500.1.p pacid=40043258 transcript=Brasy3G030500.1 locus=Brasy3G030500 ID=Brasy3G030500.1.v1.1 annot-version=v1.1 MPRACAIYITLTLRPQARLSHSISIHPNGTHHLNLPLPPHHRQPSPHPITMAATLPSSPAKHCSVVRAQRSPPPSILSSSSARKTAFHGVALVDRRWAAGSVGSGRRRLVQVNARTAGAAKNIEVEVDKPLGLTLGQKSGGGVVITGVESGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGGDIDVKRLPKRPAPPRFGRKLTDSQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFVQYDAETGKPIGGTLPPLTVIVSLVIGIVGIGALLVYGLQ* >Brasy3G255600.1.p pacid=40043259 transcript=Brasy3G255600.1 locus=Brasy3G255600 ID=Brasy3G255600.1.v1.1 annot-version=v1.1 MASDDECYDYDYDYDEAEEEEGDGIEDDDDLLEEDTPVPDRPADCWAITRERLSAAQQQELSVVTSLLNIKQHNARALLIHHRWTGRDRMLREAGIVLQEDNNGRASPSITATCIVCFDEFSVSDVSSMECGHCFCNECWTEYFYASLDTGKKQIRCMAEKCWAICDEAMVQHLLGRKYPDAAQRFLLESYLENNETVKWCPSVPHCGHAIRVGAGERYCEVECPCGVSLCFNCGEQPHSPCPCAMWKLWEVKCNGESENVNWILANTKNCPRCFKPIEKNGGCNHVKCRCGQHLCWLCGGATGSQHTYTSTEGHSCNHFVGEEKKKVDNAKRQLHRYTHCYDRFKIHGDSFKAEQETLGPAIEERVKQLESDHDRVLLRDADWLTEAHRSLLRSRQLLPRSYVFAYYMFGNGGDGKAARTRPSEKAKLSMAQVLFEDHQEQLERHVERLSKALAEDVPAMPEEDILRAKQEAVNLARIVETHCGEVYKCIQDELLPLLVEPVSIATYRPRGPDKAKEFSPAS* >Brasy3G255600.2.p pacid=40043260 transcript=Brasy3G255600.2 locus=Brasy3G255600 ID=Brasy3G255600.2.v1.1 annot-version=v1.1 MLREAGIVLQEDNNGRASPSITATCIVCFDEFSVSDVSSMECGHCFCNECWTEYFYASLDTGKKQIRCMAEKCWAICDEAMVQHLLGRKYPDAAQRFLLESYLENNETVKWCPSVPHCGHAIRVGAGERYCEVECPCGVSLCFNCGEQPHSPCPCAMWKLWEVKCNGESENVNWILANTKNCPRCFKPIEKNGGCNHVKCRCGQHLCWLCGGATGSQHTYTSTEGHSCNHFVGEEKKKVDNAKRQLHRYTHCYDRFKIHGDSFKAEQETLGPAIEERVKQLESDHDRVLLRDADWLTEAHRSLLRSRQLLPRSYVFAYYMFGNGGDGKAARTRPSEKAKLSMAQVLFEDHQEQLERHVERLSKALAEDVPAMPEEDILRAKQEAVNLARIVETHCGEVYKCIQDELLPLLVEPVSIATYRPRGPDKAKEFSPAS* >Brasy3G279400.1.p pacid=40043261 transcript=Brasy3G279400.1 locus=Brasy3G279400 ID=Brasy3G279400.1.v1.1 annot-version=v1.1 MLAHGLLLRSDDLAPGASARLGRVPFDLADFRARSSGSPGLSAFVRAYFCFLDTRSLFAAQELDAEADDEDARLDRLWKRQHLLDLLMQIRPYGDGMEKQSLVLEAMDCVVIEIFEVYSQVCTGIARFLVAVLGSAPTTPRPRPGEDAAAARRRRGAQGMRVLRKAAEQSKQLSAYFELCRGLGVLNAAEFPAVERVPDDDIRDLEKLIMSHAEDGGKAEDNEAKALACVEDDARVATSKTVVTREWVVFDDGDVDGAGARGRQGHFGDYVNPFLGAPWVSVNLLV* >Brasy3G290700.1.p pacid=40043262 transcript=Brasy3G290700.1 locus=Brasy3G290700 ID=Brasy3G290700.1.v1.1 annot-version=v1.1 MMDGANGDGVGVERYVSSGHGVLPMAWQSYTASSLAAPARRDMESFAWASVSRSTGDSAAARGGNVFPPEDGLHAPQPAAVYEHFQADSKKKRRRSDEVLGADQAKTSNGAEETERGKDANGEEEAGPAAATGRSKGKGAKEDGERRKEGYVHVRARSGQATNSHSIAEKLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLGVDIESLLAKDILPFPWASSTAPMGLSFSQEMMPKPSQPGMLQGDVHGMANPDTLRALMQSLSQEPAPQMHLGRPVGRSFDGAVQMAYPPLVTGSEDMSIRTDQDGFHM* >Brasy3G194500.1.p pacid=40043263 transcript=Brasy3G194500.1 locus=Brasy3G194500 ID=Brasy3G194500.1.v1.1 annot-version=v1.1 MEKGASEPSPLDCARCGKPASLQCPKCAQLKLPREASAFCSQDCFKAAWVSHKSVHTKLGALTSQLSQEGWKYCLKKGRTRTLELPRFDWTGPLRPFPISKMRLVPDEIEKPDWAFDGIPKIEPDSDLQKRVEINSPEQIERIRETCRIAREVLDAGARVIKPGITTDEIDRVIHEETIARGAYPSPLNYHFFPKSCCTSINEVICHGIPDARKLEDGDIINIDVTVYYKGVHGDLNDTYFVGNVDEASKQLVRCTYECLEKAIAIVKPGVRFREVGEIISRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGIMKAGQVFTIEPMINAGVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVYPWLKPSVPTSK* >Brasy3G087800.1.p pacid=40043264 transcript=Brasy3G087800.1 locus=Brasy3G087800 ID=Brasy3G087800.1.v1.1 annot-version=v1.1 MDPAPLLPELLFYRTTAQLFQSRTEPQSILLPSPPCGPAGLRPSAESADSSPSQSHQAGPPRPLHVSFSLREGDRRRPTAMARRRAAALSLMLHSSLLPRFRDRP* >Brasy3G151300.1.p pacid=40043265 transcript=Brasy3G151300.1 locus=Brasy3G151300 ID=Brasy3G151300.1.v1.1 annot-version=v1.1 MDRERNPAWETIPEEVIVVQPGGRIPPSDGIVLVGSPQHQPQPQQWLLADDEPPPEERFYKTRICRKFEVSGRCKFGAECTYAHGSAELRPTLQEACFHFRNTGTCRYGENCAFSHASAAHAGLGIRNLGEPTVLEARRSETSSARIREVPAAPGRAFFPPVPAPAVHEHPRQMPGEQETGARRISNLERLSQKKTRGIYGDWPF* >Brasy3G280500.1.p pacid=40043266 transcript=Brasy3G280500.1 locus=Brasy3G280500 ID=Brasy3G280500.1.v1.1 annot-version=v1.1 MSRPYPTTTVSPPPPTPPSRVSLHSPSPAVVTCLTSPSPAATSGSLPSRPALAPEIPWKEDVPGAALGMSSSSTAEGEFTEVVVVRHGETSWNASRIIQGHLDAELNDIGRQQANAVAHRLSKEAKPVAIYSSDLKRAAETARIIAKICNVPNVVFDPALRERHIGDVQGLKLQDAVKEKPEAYKAFMSHKRNKEIPGGGESLDQLSERCVSCLYNIVEKHKGERVILVSHGGTIRELYRHASPTRPLHTKIHNTSVSVILVSGDTGRCIVKMCGDISHLQGTGVLENAFGGDKSSA* >Brasy3G105800.1.p pacid=40043267 transcript=Brasy3G105800.1 locus=Brasy3G105800 ID=Brasy3G105800.1.v1.1 annot-version=v1.1 KGSLGPLIFVDVDGEGNYMAAEYPLTGGPFPGIEQLIGATCVALCRPCPFGDPPPCMERAGISQVEMAIRQCLYWPDGTFKKRSKSLGIQKSLERIRQLVNAVVDQYSEDHNRFGYNIFSEKYVQYYHFNFTRKTKRADHFDCSMDKLFFGEVKCRLLAGPEELVVSCFRIIDPIDDGSTNLNLSVRYNFIWVINCYGCPNDMKHRNKADAYAGGHVHLDDLFDRRVIEWSDSDEDDQRPCKRSRYATGRMHWGGSRKKA* >Brasy3G285000.1.p pacid=40043268 transcript=Brasy3G285000.1 locus=Brasy3G285000 ID=Brasy3G285000.1.v1.1 annot-version=v1.1 MQEECGTLALCDICCSCFLFPRVRDVWEEAFGSGGAIYRWPGEGGGRWRGRKEERWLRGGPARGPTGEGRFAAGWGWVRRKEETWPPSLRLGLTRIETALWPRRLAGSSGYSVRAADAVRVWHPGRVREADLGRALRSRNLGWMDGIGCWWFLGGTDRL* >Brasy3G303800.1.p pacid=40043269 transcript=Brasy3G303800.1 locus=Brasy3G303800 ID=Brasy3G303800.1.v1.1 annot-version=v1.1 MASSSPAPLIPATPLLLLLLLLLTLATLGAFTISAAAAAKCSDSDRDALLSIRAALSDSNNLGVFSTWNHTTNCCSTYYGVSCDPATGRVTSLILRGEAPLDAVMAPSGVPASGVMSGYISDRVCRLTGLSTLVIADWKQITGPIPACLGAQSLPELRILELPGNRLSGDIPTALGTLSRLAVLNLADNLLSGDIPSSITNLGSLKHLDLANNELTGSIPGNLGSLKMLSRALLSRNRLTGPIPSSVGSLTRLADLDLAENRLSGPIPDTLGGDSSSVLTSLYLGGNGGISGRIPAGLLRTKGLGIVNLSRNAAEGPIPDVFTAESYFILLDLSRNRLTGGVPRSLSSAAYVGHLDLSRNRLCGEIPKGPPFDRLDAESFAGNSCLCGGPLGKCA* >Brasy3G165300.1.p pacid=40043270 transcript=Brasy3G165300.1 locus=Brasy3G165300 ID=Brasy3G165300.1.v1.1 annot-version=v1.1 MPLLRYSPPPHSLAPSAAPRRRGPLLRSPGASSIPATPPRGPSTAVLAANRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQISGGGNAGNALTAAARLGLNTRLISKVANDEIGGTVLSELKEAGIDVSHVIISNGGNTTFVYVIIDKTTKTRTCIITSGYPQMVPSDLSMSNLSAALQDVNLLYLDGYSHEMALAVAKQADLMKIPILVDAEPERTKTELESLLRVASYIVCSGKFPEKWTSISSIPSALLEILLQYPHARFVIATLGEKGCMMLEQIEDGDDPGVGAADIENVAESLQVKAHKDDSLPTCVSSKFMRLSSRGIGTIFGRVLIGTAERIPASELVDTTGCGDAFIGAVLHGLSKEMPPEKMLPFACQVAGIKCRAIGARTGLPWQSDTRLAKFFCQ* >Brasy3G165300.2.p pacid=40043271 transcript=Brasy3G165300.2 locus=Brasy3G165300 ID=Brasy3G165300.2.v1.1 annot-version=v1.1 MPLLRYSPPPHSLAPSAAPRRRGPLLRSPGASSIPATPPRGPSTAVLAANRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQISGGGNAGNALTAAARLGLNTRLISKVANDEIGGTVLSELKEAGIDVSHVIISNGGNTTFVYVIIDKTTKTRTCIITSGYPQMVPSDLSMSNLSAALQDVNLLYLDGYSHEMALAVAKQADLMKIPILVDAEPERTKTELESLLRVASYIVCSGKFPEKWTSISSIPSALLEILLQYPHARFVIATLGEKGCMMLEQIEDGDDPGVGAADIENVAESLQVKAHKDDSLPTCVSSKFMRLSSRGIGTIFGRVLIGTAERIPASELVDTTGCGDAFIGAVLHGLSKEMPPEKMLPFACQVASNAER* >Brasy3G165300.3.p pacid=40043272 transcript=Brasy3G165300.3 locus=Brasy3G165300 ID=Brasy3G165300.3.v1.1 annot-version=v1.1 MPLLRYSPPPHSLAPSAAPRRRGPLLRSPGASSIPATPPRGPSTAVLAANRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQISGGGNAGNALTAAARLGLNTRLISKVANDEIGGTVLSELKEAGIDVSHVIISNGGNTTFVYVIIDKTTKTRTCIITSGYPQMVPSDLSMSNLSAALQDVNLLYLDGYSHEMALAVAKQADLMKIPILVDAEPERTKTELESLLRVASYIVCSGKFPEKWTSISSIPSALLEILLQYPHARFVIATLGEKGCMMLEQIEDGDDPGVGAADIENVAESLQVKAHKDDSLPTCVSSKDCPKRCLLKRCCLSLVKWQASNAER* >Brasy3G251900.1.p pacid=40043273 transcript=Brasy3G251900.1 locus=Brasy3G251900 ID=Brasy3G251900.1.v1.1 annot-version=v1.1 MVLESTMICIDNSEWMRNGDYASSRFQEQADAVNLICGAKTQSARPVVLMAASFANAVYERLPLEDDDLLTAQRANGRWKRVSEPLPRLLPNLLGNMQMPPEAAGYEWTPGAAGGRPLPL* >Brasy3G019900.1.p pacid=40043274 transcript=Brasy3G019900.1 locus=Brasy3G019900 ID=Brasy3G019900.1.v1.1 annot-version=v1.1 MGRTAYTDEGAPSMVHWLMDSIDGSIKSVDKLGYPRMTHGQHYMDIQDPDYLFEHVRADEDVEHLMGSGYDSSVVIRKMRAVMEAKINDENRKNNKLLDEMMAMRTTLEAQIAEGKIHMEELKNELEERKKKDVEVRDFAVVSCSVALTLAAVLFSLCAKRS* >Brasy3G041000.1.p pacid=40043275 transcript=Brasy3G041000.1 locus=Brasy3G041000 ID=Brasy3G041000.1.v1.1 annot-version=v1.1 MADHQEMIRAAAVPSASMYNNGAGVQASRRGSGDWWSMAESPGSNSHAAGSSITFQEPAAAAAYPASMAAGWNQNSFMDDGSGFHGYMSSRPSDQAHINPPSLLLSPSSSNNTSSNMMLQLQAADQHDPNYQFLSNLGFDLLSSPASTPYAAGGGSRPPSSLLRSLTEPSASAKTNYQPVTSQPAAAASVATTPFWNPTTGFDAAAYRESLAAAGPPPAQSRPASLAAKNTLEGVGDSSSCIVTKKTSSGPAAKKARTGTPPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGAHSAPYLKNRQQQVPHSKSSSTDKKDNNGGEEAAARDLTGRGLCLVPISSTFAVASETPVVDYWNPFGAAFR* >Brasy3G140500.1.p pacid=40043276 transcript=Brasy3G140500.1 locus=Brasy3G140500 ID=Brasy3G140500.1.v1.1 annot-version=v1.1 MPVGPSCFQPHCQTDKAPLCRVVSLDARSLVPPASPDFASRLALASLPAAARVGGCERARGMASRGGGAVTSAPPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLICIPGIAGTADVYYKQIMALSMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGSLSLPDLFITIMDTNDYCAVPQQLKDQVNERYASARRAVLRTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRDGTSGNSNDHKDGSSFDDRPRDNGDRGGSGRDDRETQHSESESHESDEPIPTSTLLANTVLGMVSSTLHASLHMLMVHHYYTSAALYTTLSRTFHG* >Brasy3G140500.2.p pacid=40043277 transcript=Brasy3G140500.2 locus=Brasy3G140500 ID=Brasy3G140500.2.v1.1 annot-version=v1.1 MPVGPSCFQPHCQTDKAPLCRVVSLDARSLVPPASPDFASRLALASLPAAARVGGCERARGMASRGGGAVTSAPPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLICIPGIAGTADVYYKQIMALSMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGSLSLPDLFITIMDTNDYCAVPQQLKDQVNERYASARRAVLRTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRDGTSGNSNDHKDGSSFDDRPRDNGDRGGSGRDDRETQHSESESHESDEPIPTSTLLANTVLEVVL* >Brasy3G140500.3.p pacid=40043278 transcript=Brasy3G140500.3 locus=Brasy3G140500 ID=Brasy3G140500.3.v1.1 annot-version=v1.1 MPVGPSCFQPHCQTDKAPLCRVVSLDARSLVPPASPDFASRLALASLPAAARVGGCERARGMASRGGGAVTSAPPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLICIPGIAGTADVYYKQIMALSMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGSLSLPDLFITIMDTNDYCAVPQQLKDQVNERYASARRAVLRTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRDGTSGNSNDHKDGSSFDDRPRDNGDRGGSGRDDRETQHSESESHESDEPIPTSTLLANTVLE* >Brasy3G333000.1.p pacid=40043279 transcript=Brasy3G333000.1 locus=Brasy3G333000 ID=Brasy3G333000.1.v1.1 annot-version=v1.1 MAGFPLGSGGGGGHRGARDQPPVTTTNPGSEPGSSSAAAAFLYASAGAAPRGGFQLWQHPPHQHQFYGGGAGASSIIRFADDPAVAGSSSSRGRGGSGSGTISCQDCGNQAKKDCPHMRCRTCCKSRGFDCATHVKSTWVPAARRRDRHQQPHPSAAGAPEPFKRPRDAIAAAGQPSSTTPTTTSSGGEQQMERFPREVSSEAVFRCVRLGGVDSEGADAEVAYQTTVSIGGHVFKGILHDLGPDTSLAQHHRAEGSSPPSTAASGGGAVSSSAVVMDPYPTPGPYGSYGGGGAPFFHGHHTR* >Brasy3G102900.1.p pacid=40043280 transcript=Brasy3G102900.1 locus=Brasy3G102900 ID=Brasy3G102900.1.v1.1 annot-version=v1.1 MFYSHSILARKSPLGTVWIAAHLERKVKKTQIDGINIPSYAECIMAPEVPIALRLSGHLLLGLVRIYSWQVNYLFQDCNRMLSAVRTAFASVEVDLPFDADRAPFEVITMPETFNLDDLNLDDAIRQMETPDNHRRTSDQITLSEEEYVMISLDEDGRVEPSPAGRSLDFETEPPEQEMFPPFPDDAMSLDPVQDNLPPNPTNGEQDSPERPREAPESPLSFRGVIDGNDPMERPREAPESPLSFKGVIDGTDPMEEDLSPFIYKVTTPPAKDPSLSPGRGSLLGASIHNVPRSIRHDPIEDEEPGDTGISVPEFRLEPSPPQVQGNKRKRIPKVQAPKFDDEIVFSNAYMKKQIDGDDLCKLVCKRKKLPHTAVDMWKFNRIRRKDSFLCEPLVHGMCLDLHKTYEREFSRLSDSDSGPASENRATGEANVGDQNAPPECQLTPKSLGNADTQSEQLSPKSSGHIDAHLEPQLTPMSPGNADATPSDYAPELPRFSPQNTPQTNLSPVREDPDTPFKTPGRTPQSGFGGTGASEIPPTYGTYASPGQRTYESDPNGSPFPFNDELDEDLPEIPGLINTPSTKSSAGTGATGLGSMSARTRAVAQYFKDKMSSATSNDQPGKFSLNSILEGRRRKQAARMFFETLALKSYDYIDVQQEEAYSDITISVRPSLSADKL* >Brasy3G343000.1.p pacid=40043281 transcript=Brasy3G343000.1 locus=Brasy3G343000 ID=Brasy3G343000.1.v1.1 annot-version=v1.1 MAASSPVHQLYGLITSIFSDGLLDEQFQILQSLQDSSAPDFVRETITLFCDDGERIIGELAKLLDKVSVDYNRVDSFVHQLKGSSSSVGARRVKDTCIQFLEFCREKNRAGCLWTLDSLKNEFCDVRAKFQTMFQLVQLVQAK* >Brasy3G153900.1.p pacid=40043282 transcript=Brasy3G153900.1 locus=Brasy3G153900 ID=Brasy3G153900.1.v1.1 annot-version=v1.1 MQRLPADVLADILGGLPPRSLAAARSVCRAWQAAIDDRGLLDRLRDLLPLSLAGIFINFDALEFTDFFFRPSTNPAIAADFHSIMLPTDDIAVEDVCNGLVLLYSNFVVNPATRRWASLPPLPPLPRGVAGMGFQDFYEHKYILFDPMVSPHCYQVLRFLLAPRSSHFDVPTEQQEWPPSTFPMHVFSSETTTWEEKSFIRQGDHAHTLADMLLGYDAAHQQHAVYWHGHLYLHYSDFVIIRLSLSACTYQVFKLPVHVDAKRYTQGLLGRSEKGVYFAALGRDQCRLQVWILNEFNEWRLKHDSNLHHNIPRRHQNHDIVPVHRPWILADVNHNFDQHTNSPRSSRQDKLEEWYSDNEDVSEDRIGKHTNEHIFILGFHPYKEIVFFSEYSNTGFAYHLDQSKLEDLGSMRPKDFDYHYVHDIGQSFPYTPCWLGQFLDNK* >Brasy3G235300.1.p pacid=40043283 transcript=Brasy3G235300.1 locus=Brasy3G235300 ID=Brasy3G235300.1.v1.1 annot-version=v1.1 MTFFSHHGDYYTQHLMLLGSFHALPRSSSVARRNEPLSVALDDGLYVLEGCLREDMADGLRGVGSVEALVRDDPRKRWQYSKLGPYGIPNHDKDEARQICAYAAVGESSVWVSAAEGGRGDTYALGTASGAWSKVGDWLLPFEGRAKYAPEHGLWFSISAAPWEARRLCAWVLLGAGGDGGAPPVARHEWEAPAPQRCVFREQVAHLGCCRFCLARFVQEMPRPPRGCCKFCYDSDPLEVEEDEG* >Brasy3G222000.1.p pacid=40043284 transcript=Brasy3G222000.1 locus=Brasy3G222000 ID=Brasy3G222000.1.v1.1 annot-version=v1.1 MSSRSMSPAAMEGSASRRREHRSRGGRFRAEIEMDRGVSLPRSPELGFAAAVREPLVRLQRPKYDFEMWDWEYFSWPHDRLDANLEMRDSDPRATFEADRKVIDGFLSRSTMQIEASGGLRSRSTLKLESSDDFRSRSTLQPESGDEFRSRSTLQLESSEGFRSQSTPKLEIDDGMPFGGVALRRWGCAESNPFLLGRGEALLQGPCAY* >Brasy3G022400.1.p pacid=40043285 transcript=Brasy3G022400.1 locus=Brasy3G022400 ID=Brasy3G022400.1.v1.1 annot-version=v1.1 MAVVASGTGPAGGDAGRRRSGTVAAAQGSSGGSGGGAGNCIGGEGGGYGGCASGCCRQRETLPLQGRVARLRASRGKRGGGVHRGGGGGRRLLITAAAR* >Brasy3G156400.1.p pacid=40043286 transcript=Brasy3G156400.1 locus=Brasy3G156400 ID=Brasy3G156400.1.v1.1 annot-version=v1.1 MNDDGWTCLHTAVWYGYADFVKFILTTPQLRSDFIDRAKTLNLNKVVGLMLKADQRGAGSINNLLRDMKRLATDASRKDAQSLTQTYASNTSLVAILVTTVNFAAAFTLPGGYRSATGSEGHPIMARKAAFQAFVISDTLAMCSSFVVAFICIIVRWKDYEFLLYYRSFTKKLMWFAYIATTTAFSTGLYTVLAPHLQWLAIAICVLVASLPIITWLLGTWPVLKLKLRLGKTFNYDLLHMV* >Brasy3G070900.1.p pacid=40043287 transcript=Brasy3G070900.1 locus=Brasy3G070900 ID=Brasy3G070900.1.v1.1 annot-version=v1.1 MASASFHALVLHLPNPIHSRHPLSPRASRRLRLALSSAPGPDDAARFGSGRRACATPVAFGSEAAEAPRWSSAQARLDEGNDDEEEEEQEWAGGNGAAAHGEEEEHDGEGVEGDSSGWVQRSPRPRELFVCNLPRRCGVDDLLRLFQPYGTVLSVEVSRDPETGISRGCGFVTMRSLAAARTAMNALDGFDLDGREMFVKLASHVVSNRRNPSLSHTAPMKDHIFESPYKIYVGNLAWSVQPQHLRELFTQCGNIVSTRLLTDRKGARNRVYGFLSFSSPEELDAALQLNNTNFHGRDIIVREAHVKSPDTLS* >Brasy3G269600.1.p pacid=40043288 transcript=Brasy3G269600.1 locus=Brasy3G269600 ID=Brasy3G269600.1.v1.1 annot-version=v1.1 MSSQPPAVASPGSELTKSKKKKSKSKDAAADPAAGDDTPSLAEAEAKTDGYMIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLQEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCIARFHAAVPDTARVARALESLTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDSMWALDNHKDESYIRRVVMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPTEKTPAEWLRNVVLPTGGDAMIASIAAAPEPEKVKVEQADVKEKNKDEEDKADGGRKRKVDEDDVSASTPAKKIKSEEVTEAVEGEKKKKKKKDKGEPGSAVSEAVKEEKGDLTDQEKGGSEKKKKKKKNKEETDLVKPESAPDGAVAEGEKSDKKKEKKKKKNRDTEEA* >Brasy3G001500.1.p pacid=40043289 transcript=Brasy3G001500.1 locus=Brasy3G001500 ID=Brasy3G001500.1.v1.1 annot-version=v1.1 MEVVRLVDCVLKKVENVRNMIKEDKKLGVDLINGLQSVKCDMSLMSAKVKISSQKAEKPEEWILQLQDLAYDIEDFIELRGRDRQKSAPVRLLHVAMVTDPRPEHIARIDRFKERIKTIQGSWPKDESPPTTPPTTTSTITQQQQAAGGSSLHGQQQHTVAASTSGETSGSSTFACATPGEDEHLGTGKSEILELLGVGGDDGHQKKLKVVSILGCSGSGKTNSHGRYLVIIDGVQAPQDWSDIEAAFPNNEEGSRIVVSTNIQSVANACSRGSYLYKMRGLGRKDSEDLFWAKLGRKDRPPVLEDGLETLFGKCEGLPEALVRTAEFLSQLTGAALTDGKCRDMGVALGTHLAGDHPAFRELKRALVRRWKAEGLLVGDVHHSEHDVALGCLDRLTDLGVIEPVLLGDNCKFIMHRPVSWNFITLIHDGRQEIRTMELSRIRSLTICHSNEMNFGTCKLLRVLDLEGCAWLHDAHLHTICGLLYLKYLSIRSTHVSRLPKEMKDLHCLETLDARDSTRVELLPMEVLIMLPQLLHLFGEFELPVELADAKRQDKIKAFFSEKSRLQTLAGFKVVKNRGFQQIIRHCGALTKVKIWCKANKRIPSLEESLVSSLQARFTRLDSLSVDFGGLCKDFLGSLLKAPSTLSSIKVRGEMESLPDSSTLEMLRNLRELHLSQTGLSCQVLSGLQHLDCLVCLKLAEDRDEGLWDGTFLVKPDKFRSLQRICFHGPKLPHVEIESGGMRSVISLQLICPEPENQLGVTGITHLQHLNEVTLHPDASEEKLRAWKRAANEHENSPYVKKQPIGDL* >Brasy3G193600.1.p pacid=40043290 transcript=Brasy3G193600.1 locus=Brasy3G193600 ID=Brasy3G193600.1.v1.1 annot-version=v1.1 MEVLSKSVIAEPGNVIFMSTILNTEGPIPSHKCDKRCQNEHIFGNMYRCNLTGMTHICDKNCNQRILYDNHSSLCRVSGQLFALSPLELQAVRGIRRKHEVDSHEGCSSFKRRRGAQLHPSPFERSYSVASPIPSQAGDGMDLS* >Brasy3G246500.1.p pacid=40043291 transcript=Brasy3G246500.1 locus=Brasy3G246500 ID=Brasy3G246500.1.v1.1 annot-version=v1.1 MPPPPITTTGSFANKPLHARLLRSGALFADPSAAAPLAASAANSSLPYAISLLQAHPTTFSYNTTIRSLAHGPRPHLAVALYRSMLLNPLSNPNNYTYPPLLAACARLLAAHPPKEAAAGTAVHASLFRRGLESRDRFISASLLSFYAAAGDLPAAREVFDRSPPNQRDLALWNSLLHAYLSQGLYTHVLRLFRQMVATDEVTLLAVVSTCSHLGALDTGRWVHAYHARTCRNTTRNLGTALLNMYMRCGDIESAWSVFHEMLDKDVRTWSVMIAGLAVNGLPRDALNLFAEMKNIGVDPDSITMTAVLSACAHAGMVDEGKRFLRCMPIEYHLQPTIEHYGCVVDLLGRAGQLEEALALIKTVPLKADVALWGALLVACRAHKNADMGQMAAMEILKLDPQHAGACVFLSNAYAAAGKWDLVQEVRSSMKEHRIYKPPGSSIVEIDGVVYEFLSGDHSHPQSDRIYAMLDEVCKTLSLKGHRPSTKEVAFDIDEEDKEVCISQHSEKLALALGLISTRRGAVIRIVKNLRICEDCHSVIKIVSEVYDRVIVVRDRNRFHHFKNGSCSCLDYW* >Brasy3G126400.1.p pacid=40043292 transcript=Brasy3G126400.1 locus=Brasy3G126400 ID=Brasy3G126400.1.v1.1 annot-version=v1.1 MPPKKGDDPKVDSRDAPATRTRSRGATQATQGQGGRESSQARLQQQPQPLQQRQAGERQLQLPPPPPRSQSNERLGKAPVLDTGAGASRTGDVPVGRLEHREQSRVDDYQRRSHRSQSASTPQDHGSFGQPPNHGSGQAGGTHLTPPPPVRTRAEALAAARAMVRYEPPQGTPAHEAWRAELYAFMEFASRRLEGLRVEPGSHASASRERDPTRNPEPEPGEQPRFPPRQDLPGGGNRGAGGADDSVGSSATVLVEDARGVLNGRRGEDLRERLARRRQRELERQLERERQLRQEQQHGEPEGQGGAPSVMTTAASRLLVSSVG* >Brasy3G202600.1.p pacid=40043293 transcript=Brasy3G202600.1 locus=Brasy3G202600 ID=Brasy3G202600.1.v1.1 annot-version=v1.1 MASEELPPPPPAKKRKSPPPATTTISSLGDDLLREVFLRLPSLPSLVRAAITCRGFLRIIRSSPAFRRRFREIHPPPLLGLFLDIYDSDTPAFRPLRRRSDPDLAAAGRGDFLLTRLPDDDEGVAPEWAIRDCHDGYVVLVSYNTRHMAVYNPLTRAHQLHLISERATRIY* >Brasy3G207100.1.p pacid=40043294 transcript=Brasy3G207100.1 locus=Brasy3G207100 ID=Brasy3G207100.1.v1.1 annot-version=v1.1 MWWFYRKGPSGFSGASTAEEVTAGVDCRRLVAVVTGASSGIGREAARVLALRGARVVMAVRDVPAGLRAKEAIQAEIRGAEVDVLELDLASMASVRSFAAEFASLDLPLNILINNAGVMAKDCTRSCDGLELHFATNHIGHFLLTNLLLENMKSASLDSGVEGRIVNVSSSGHIMTFPEGICFDKVHEPSGFNSLVAYGQSKLANILHSNELSRVLKEEGVNISANAVHPGVIATSLFRNRTIFSVLINTVGRTISRSVQQGAATTCYVAMHPQVQGVTGRYFGNCNIANPSSQATDAELGKKLWQFSLQIVSS* >Brasy3G038900.1.p pacid=40043295 transcript=Brasy3G038900.1 locus=Brasy3G038900 ID=Brasy3G038900.1.v1.1 annot-version=v1.1 MAAAAGPGPWPAHLRPRCPCSSPPWLSPNLSRRRWPVPPSFPGPRRRDYSNSSGMGVKERGDTILGFLSNLNMQSNEQEWVKESRRIFYLRRTNNVRNNVYNGATDLRAGNSNRQPLEDHRSSDCPYLYDIRETLPSKSIVNRHADTGWAKSSMTNQSAHAVSTVMSVVNADIKTLCIPSSSKGDISLHDCSSMEAPLPTISNTEATLGFDDKANVRDENEDRLVAEKVAPSIPANIFLTNESKSARKALAVIYDKVLVVDSIESAKNVVQLLTTKYKNFVHACDTEVANIDVKEETPVDHGEVICFSIYSENSGSQADFGNGRTCIWVDVLDGERNVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVDGFHADTMHLARLWDSNRKTDGGYSLEGLSNDHRVMTDVPKDLSEIGKASKKTIFGKKNIRKDGAEGKIVNVELVEKLQREDRNMWICYSSRDSISTLKLYESLKSKLEAKEWIFDGCPRGTMYDFYEEYLRPFGALLVKMEREGMLVDRGYLSEIEKIAVAERKLAADKFRKWASKYCPDAKYMNIKSDIQIRQLFFAGIENKHAPGETLPQSKTFRVPNDQSVAPEGKRTSKYRTIKLCGIVENLDGWPSVSLETLKTWSGKIPTDQIYTTDDDQEHDEYSNGSELPDQEVEEASLYGTAYEAFGGGMKGKEACHAIAALCEMRSIDKLISSFIVPLQEDHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLTNCKSMLDAFKTGGDFHSRTAMNMYEHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTVKGLSKDWKVTVDEARDTLKLWYRDRKEVSAWQKRQKGLVREKCEVYTLLGRSRHFPNMTHADSGKKGVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAETACAIVVECMSKPFYGTNILKVDLAVDAKCVKSWYAAK* >Brasy3G038900.2.p pacid=40043296 transcript=Brasy3G038900.2 locus=Brasy3G038900 ID=Brasy3G038900.2.v1.1 annot-version=v1.1 MGVKERGDTILGFLSNLNMQSNEQEWVKESRRIFYLRRTNNVRNNVYNGATDLRAGNSNRQPLEDHRSSDCPYLYDIRETLPSKSIVNRHADTGWAKSSMTNQSAHAVSTVMSVVNADIKTLCIPSSSKGDISLHDCSSMEAPLPTISNTEATLGFDDKANVRDENEDRLVAEKVAPSIPANIFLTNESKSARKALAVIYDKVLVVDSIESAKNVVQLLTTKYKNFVHACDTEVANIDVKEETPVDHGEVICFSIYSENSGSQADFGNGRTCIWVDVLDGERNVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVDGFHADTMHLARLWDSNRKTDGGYSLEGLSNDHRVMTDVPKDLSEIGKASKKTIFGKKNIRKDGAEGKIVNVELVEKLQREDRNMWICYSSRDSISTLKLYESLKSKLEAKEWIFDGCPRGTMYDFYEEYLRPFGALLVKMEREGMLVDRGYLSEIEKIAVAERKLAADKFRKWASKYCPDAKYMNIKSDIQIRQLFFAGIENKHAPGETLPQSKTFRVPNDQSVAPEGKRTSKYRTIKLCGIVENLDGWPSVSLETLKTWSGKIPTDQIYTTDDDQEHDEYSNGSELPDQEVEEASLYGTAYEAFGGGMKGKEACHAIAALCEMRSIDKLISSFIVPLQEDHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLTNCKSMLDAFKTGGDFHSRTAMNMYEHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTVKGLSKDWKVTVDEARDTLKLWYRDRKEVSAWQKRQKGLVREKCEVYTLLGRSRHFPNMTHADSGKKGVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAETACAIVVECMSKPFYGTNILKVDLAVDAKCVKSWYAAK* >Brasy3G038900.3.p pacid=40043297 transcript=Brasy3G038900.3 locus=Brasy3G038900 ID=Brasy3G038900.3.v1.1 annot-version=v1.1 MAAAAGPGPWPAHLRPRCPCSSPPWLSPNLSRRRWPVPPSFPGPRRRDYSNSSGMGVKERGDTILGFLSNLNMQSNEQEWVKESRRIFYLRRTNNVRNNVYNGATDLRAGNSNRQPLEDHRSSDCPYLYDIRETLPSKSIVNRHADTGWAKSSMTNQSAHAVSTVMSVVNADIKTLCIPSSSKGDISLHDCSSMEAPLPTISNTEATLGFDDKANVRDENEDRLVAEKVAPSIPANIFLTNESKSARKALAVIYDKVLVVDSIESAKNVVQLLTTKYKNFVHACDTEVANIDVKEETPVDHGEVICFSIYSENSGSQADFGNGRTCIWVDVLDGERNVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVDGFHADTMHLARLWDSNRKTDGGYSLEGLSNDHRVMTDVPKDLSEIGKASKKTIFGKKNIRKDGAEGKIVNVELVEKLQREDRNMWICYSSRDSISTLKLYESLKSKLEAKEWIFDGCPRGTMYDFYEEYLRPFGALLVKMEREGMLVDRGYLSEIEKIAVAERKLAADKFRKWASKYCPDAKYMNIKSDIQIRQLFFAGIENKHAPGETLPQSKTFRVPNDQSVAPEGKRTSKYRTIKLCGIVENLDGWPSVSLETLKTWSGKIPTDQIYTTDDDQEHDEYSNGSELPDQEVEEASLYGTAYEAFGGGMKGKEACHAIAALCEMRSIDKLISSFIVPLQEDHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLTNCKSMLDAFKTGGDFHSRTAMNMYEHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTVKGLSKDWKL* >Brasy3G038900.4.p pacid=40043298 transcript=Brasy3G038900.4 locus=Brasy3G038900 ID=Brasy3G038900.4.v1.1 annot-version=v1.1 MGVKERGDTILGFLSNLNMQSNEQEWVKESRRIFYLRRTNNVRNNVYNGATDLRAGNSNRQPLEDHRSSDCPYLYDIRETLPSKSIVNRHADTGWAKSSMTNQSAHAVSTVMSVVNADIKTLCIPSSSKGDISLHDCSSMEAPLPTISNTEATLGFDDKANVRDENEDRLVAEKVAPSIPANIFLTNESKSARKALAVIYDKVLVVDSIESAKNVVQLLTTKYKNFVHACDTEVANIDVKEETPVDHGEVICFSIYSENSGSQADFGNGRTCIWVDVLDGERNVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVDGFHADTMHLARLWDSNRKTDGGYSLEGLSNDHRVMTDVPKDLSEIGKASKKTIFGKKNIRKDGAEGKIVNVELVEKLQREDRNMWICYSSRDSISTLKLYESLKSKLEAKEWIFDGCPRGTMYDFYEEYLRPFGALLVKMEREGMLVDRGYLSEIEKIAVAERKLAADKFRKWASKYCPDAKYMNIKSDIQIRQLFFAGIENKHAPGETLPQSKTFRVPNDQSVAPEGKRTSKYRTIKLCGIVENLDGWPSVSLETLKTWSGKIPTDQIYTTDDDQEHDEYSNGSELPDQEVEEASLYGTAYEAFGGGMKGKEACHAIAALCEMRSIDKLISSFIVPLQEDHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLTNCKSMLDAFKTGGDFHSRTAMNMYEHIRDAVHEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTVKGLSKDWKL* >Brasy3G209900.1.p pacid=40043299 transcript=Brasy3G209900.1 locus=Brasy3G209900 ID=Brasy3G209900.1.v1.1 annot-version=v1.1 MAGELPLIACPDCKWRQVHVFLSSTAAHPDMLFYKCMNHNPKVKGGCDFWHWKKSYCKYLLKMGYLESNEVEQMRTEKSPEMRTVCREEIKFGGSEARVLVVLGKELLSTLKLAVLLLAGIFVLCLVLVMKM* >Brasy3G198900.1.p pacid=40043300 transcript=Brasy3G198900.1 locus=Brasy3G198900 ID=Brasy3G198900.1.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEEIFIRTTKTICKMIKDGALHLCNKSIDLLRLPDNITLSKSGGVAGSSCEGGERKRETREEWKEEDGIRKYCQENEGVIQTSMSC* >Brasy3G198900.4.p pacid=40043301 transcript=Brasy3G198900.4 locus=Brasy3G198900 ID=Brasy3G198900.4.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEEIFIRTTKTICKMIKDGALHLCNKSIDLLRLPDNITLSKSGGVAGSSCEGGERKRETREEWKEEDGIRKYCQENEGVIQTSMSC* >Brasy3G198900.2.p pacid=40043302 transcript=Brasy3G198900.2 locus=Brasy3G198900 ID=Brasy3G198900.2.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEEIFIRTTKTICKMIKDGALHLCNKSIDLLRLPDNITLSKSGGVAGSSCEGGERKRETREEWKEEDGIRKYCQENEGVIQTSMSC* >Brasy3G198900.6.p pacid=40043303 transcript=Brasy3G198900.6 locus=Brasy3G198900 ID=Brasy3G198900.6.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEEIFIRTTKTICKMIKDGALHLCNKSIDLLRLPDNITLSKSGGVAGSSCEGVVSNLLKYGCIYV* >Brasy3G198900.5.p pacid=40043304 transcript=Brasy3G198900.5 locus=Brasy3G198900 ID=Brasy3G198900.5.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEESIDLLRLPDNITLSKSGGVAGSSCEGGERKRETREEWKEEDGIRKYCQENEGVIQTSMSC* >Brasy3G198900.3.p pacid=40043305 transcript=Brasy3G198900.3 locus=Brasy3G198900 ID=Brasy3G198900.3.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEESIDLLRLPDNITLSKSGGVAGSSCEGGERKRETREEWKEEDGIRKYCQENEGVIQTSMSC* >Brasy3G198900.7.p pacid=40043306 transcript=Brasy3G198900.7 locus=Brasy3G198900 ID=Brasy3G198900.7.v1.1 annot-version=v1.1 MPYAHSIQYSIIGDQGVGKTCLQLQFTDNTFQTVYEATNDIEYGTRTITVDNKRIKLQIWDTAGKGVYKPLIRQYYAGVGCIILVYDITRRDTFNHIAKWLEEARTFSRADAVLVLIGNKCDMSNARAVSYEEGKNFAEEHNLVFMEASAKTAENVEESIDLLRLPDNITLSKSGGVAGSSCEGVVSNLLKYGCIYV* >Brasy3G061100.1.p pacid=40043307 transcript=Brasy3G061100.1 locus=Brasy3G061100 ID=Brasy3G061100.1.v1.1 annot-version=v1.1 MDSSESSPSDRKEEEPPAGSLPLPAAFLEFLGDNGLDPKLYSASDAIPRYIRLKPGVESRMVAEIESELKCELRKVPWLPDFYAIPPQVQIAGSKAYQQGKIFGIDAASGAAILALDVQPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLAACRTMLQKYSLGDRSRLFVADGTLFSILPANSSLGSMEESTGIKDNGSIFSEWTSKRSWKERQKSKKAKAAGSPHPPSTSEPELIYYGKDSGLVGLRKCDVLCPSADVDACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWETMDRRVLDAQRIDNLLHLQLRLLINGFRLLKTGGSLVYSTCSLTVAQNEDVVRQFLLKYPSAELQSVDGADSWPCRSGSIQKTLRFDPATSQTSGLFVAKLIKLPS* >Brasy3G317100.1.p pacid=40043308 transcript=Brasy3G317100.1 locus=Brasy3G317100 ID=Brasy3G317100.1.v1.1 annot-version=v1.1 MFMTERKQENESGITRGEAHSRPPIPAPRAQAAPAMAAAAAHPTTTDLFSRLSFRRAVSPSPFRLPSPPPSPAPRLHAATATIVALHKRNPKRLKYDSQRQFSRGDGGMLRVKVEPSGEDFWKLDPVVDLINRGAVGVIPTDTVYSIVCDLSNNDSIERLRRIKGIVNSKPLSILCRSLRDIDTYTTGFPLGTNQGQANIFRAVKRVLPGPYTFILPATKELPKQCIKHGSSTRYAKRRQVGVRIPDDSICQAILQNLEEPLICTSVKYLSEDEWILDPVTIADIYEPQGLDFIVDGGVRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVAEDQDAQSSYSYKAA* >Brasy3G284200.1.p pacid=40043309 transcript=Brasy3G284200.1 locus=Brasy3G284200 ID=Brasy3G284200.1.v1.1 annot-version=v1.1 MNGIGGIWNPGIVGIVFGIVIGMDGIVIGIEGIGGMVAGKVGMAGMVVGITGTGRDGTVPAAIGGMATFGIGMDGTGGTVNFGTAGMEGIGGSVVGTA* >Brasy3G097900.1.p pacid=40043310 transcript=Brasy3G097900.1 locus=Brasy3G097900 ID=Brasy3G097900.1.v1.1 annot-version=v1.1 MLCSVCFLLLSLRPLAVKSGAGRHEWSGLSLASIVIMPKIHTTWSCLWSADHWPIAAMGHLALHSGFLVHGGVVLAAKFSIATEE* >Brasy3G228100.1.p pacid=40043311 transcript=Brasy3G228100.1 locus=Brasy3G228100 ID=Brasy3G228100.1.v1.1 annot-version=v1.1 MSASGRRCSAAATRRPWTRRRAGARTRWWRSRRTAVRTSSSSRAARGSRPPPPPADPGWWSTPPRSRRRSKASCGRQFLLV* >Brasy3G022000.1.p pacid=40043312 transcript=Brasy3G022000.1 locus=Brasy3G022000 ID=Brasy3G022000.1.v1.1 annot-version=v1.1 MRNEEPSGALFLQQQQQRMEGEAAAKRSRLDHQRGLSDGSSFHMEDLPEFIHQGLPSEMLDFFSSIKYLEIQPLVLSPLSLKEVARTSLVSRNWRKLWTRYPNLCIDDSKDGSTDTDSVKIERAKFVETVNSIIQHHSGKGLNKFSVRFGLGMDSSDILNRWICFATASKAKIIDMNLCPKGNYVEPSKQVHHFPLEALGAQDGPFIQCLFLTNVSIKPHSDICGFTKLRSLHLHCIQIIGDLSGLLLNCSSLEDLEVIACSGVTDLDIPQQLDKLRHLLISNMRIQMVEFHVPNLSHFGYKGSVIPLVLHGCSKLQKATLTYHRTWFEEDNNKVLGHVFHGIPSVSAVKVLHVHANTYTDQPLWSSQVHPCTTRPASMFLNLRHLTYEIIISTKAPNRHSGILQLAQYLSFVPQLETLELHQMLYDVRVGRCWRGDGVSCHMRHHDRLKTVYMSGFRCYRAQVELLCGILEMGAVLEDVTIEPMVTIPWGFASMNFVGIPRDEICDWAHFTSQRFGKAITVAKRPQPRDECW* >Brasy3G320500.1.p pacid=40043313 transcript=Brasy3G320500.1 locus=Brasy3G320500 ID=Brasy3G320500.1.v1.1 annot-version=v1.1 MSRCFPFPPPGYEAKPRSEHKDLLKEEKHKAKKQKKEKKDGRERERKEKDRGHRKDKHSKKHKRENRKDRRKKKERDKEKRETLEQEARKNDDLGNRRPQEMVQNETVKDSEPTNELASQIISQGSHAKHTGSDISKLLPGNIECIGVAGEKGKRKTRVQNGTSLQVGSADKHSTTSRMHSGNGAGLEQESSKGVLATSAVTHQNGRVTPSPTALQRTKQVDQHLDGSSHSAYRNIDSMNTKRMMEKKNGRANNLHTMDRQLVQGKNGAAEGNARIKEVKANHRFGVEDGDRGQDVNHKGLKERDRDHSVNKRKAKDGNEGKYMKRRGVVNEHKHRELDGHQASMNYVHDLMYSTLLNGNKFTSDDVKKSKGLKAKSSLHEHSMQLTKVPRTSAADHPQGTAPYSSTLPLSKNSCEANRLQDSKECCNNGITVSHHQEEHKASVSSSSYDSVEVSLTPPHPDTKYLSQVYSVPAVGDRSEHIDQDWLFSGDRVHRKPTMFEEAAESPQVWAEAKPIDYADVVALPYVVPL* >Brasy3G119300.1.p pacid=40043314 transcript=Brasy3G119300.1 locus=Brasy3G119300 ID=Brasy3G119300.1.v1.1 annot-version=v1.1 MASPASVTNLGSKGRPGPLPTAAVRRGHLVSRISFTGFDGVRRWPCAPGRLCRCMVITNLIEEKGAQFSSRASVSVKADDDKDLLSKPPQRPVRPNGPPESMNTATTSAPARKPAVATVQEREKVRESLDEVLEKAEKLEASSSGNGNGENHVLSQDDVSKPNSPAPMGVEDGVNSKRTKTLKSIWRKGNPVSSVHKVVRDRPRTDSRDQSISAAKPSVSSPSKPVPQLLTRPSVAPPPRRPVKADTSQEKKGPILIDKFASKRPTVDPAVAEALVDPVKPVRGPPAKVKDNRRKKIIAPAAPRRRMPNDDRRSVDDDAPVRKGRRWNKAKRRAARLEALEAEEPVRVEILEVGTEGMDIDELAYQLAVGESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYEVEVLESDPVRVEEMAKKNEFLDVEDLDKLVARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACVFLDTPGHEAFGAMRARGAKVTDICIIVVAADDGVRPQTNEAIAHAKAAGVPIIIAINKVDKEGANPERVMQELSQIGLMPEMWGGDTPMIQISALKGENVDELLETVMLVAELQELKANPDRNAKGTVIEACLDKAKGPLATLVVQNGTLNKGDILVCGEAFGKIRAMYDDRGSLVDQAGPSNAVQIIGLNNVPLAGDEFESVDDLDVARERANTRADAMRIERINAKAGEGKVTLSSIAASVSSGNQAGIDTHGLNVILKVDFQGSIEAIRQAIEALPQENVSLRFLLQAPGDVSVSDVDLAVVSEGIVFGFNVKAPGSVKKYAKQKSVEIRLYKVIYDLIDDLRNAMEGLLDLAEEEVPLGSAKVRAVFSSGSGKAAGCMVTTGKVVEDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKARTLEEASASVTAALKGAGVQV* >Brasy3G065800.1.p pacid=40043315 transcript=Brasy3G065800.1 locus=Brasy3G065800 ID=Brasy3G065800.1.v1.1 annot-version=v1.1 MPTAAAARAFFLSKSPAPPPPPLHHAGSRTTAASASFPRRSPPTVARSLSLSAPAGPASPWAAAANPKYHNAKADAGDEDVDPGELLRRFTREVARAGVLREAWRRQRHEDARDKRKRKSRDAAWRFRRRRFKGPYPFDEEQETKERMADDDGHDNWELPGGELPSYR* >Brasy3G229700.1.p pacid=40043316 transcript=Brasy3G229700.1 locus=Brasy3G229700 ID=Brasy3G229700.1.v1.1 annot-version=v1.1 MDPMDIVGKSKDDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLLSSESNDVCSREEKKTIAPEHVIRALQDLGFKEYIEEVYAAYEQHKLDTLDSPKASKFTGVEMSEEEAVAEQQRMFAEARARMNNGAAKPKESELEPQKQPQLQLHPPAHQPSQVYAQPQQPLHAQVQLHPQPQQPLHAQVQLHPQAQPQQPLHAQVQLHPQPQQPLQPQLQLQPQAQPPQTFHPQPQLQLQPQAEPQQTVQPQLQFHPQPQQTIPPLLQPQPQQPPPQPELQLHPQSEQPTQAQLQPQAQEPQPQLQPQSQTEHGLGSS* >Brasy3G285600.1.p pacid=40043317 transcript=Brasy3G285600.1 locus=Brasy3G285600 ID=Brasy3G285600.1.v1.1 annot-version=v1.1 MRIFRPETFFSFSFSSPNPPTSKVRTPPPPSLPLQPSPPPPPAARLYPASPAPTPPPPPSLPLRPPPPPPPPPPRGPAANAARPRSRPCDWSPPTLASSQPRRCSPPPLSSRARLYQPRLCLPALPRLASITVSLLPLRAASSLPLHERRCLRSPRSTDGSPLHRSRWRSPTSPCHKKHPAGRCPRHQSPPPPPTSSPIGVPLYRALLWQRRRSRRKRTAEFGVCLGAGSAAARSLPVDE* >Brasy3G053800.1.p pacid=40043318 transcript=Brasy3G053800.1 locus=Brasy3G053800 ID=Brasy3G053800.1.v1.1 annot-version=v1.1 MGRPPCCDKDGVKKGPWTPEEDIILVSYVQDHGPGNWRAVPPNTGLMRCSKSCRLRWTNYLRPGIRRGNFSEQEEKHIVQLQALLGNRWAAIASYFPDRTDNDIKNYWNTHLKKKLLLLQRTTTPAQAPATTTKKDHSNSKGQWERRLQTDIHLARQALRDALSLDTAATPAPAYALSGSAQNVSRMLDDWAPAPRAAADSASSEVTECSGGSTASNGTLWSSLLRRESSTGAAAAREDDPAALSAIESWLLLDDGTDRQQQPPEQESGQLLP* >Brasy3G164800.1.p pacid=40043319 transcript=Brasy3G164800.1 locus=Brasy3G164800 ID=Brasy3G164800.1.v1.1 annot-version=v1.1 MEGSISSTCGICNGDMGRAGVSGFTAECSHQFHSRCVSGSLRQVCPLCSARWRELPSFRSSRPKCTPKPPPAPTAQPFFRPMEPRMFDDDDPVLRPPPPPPPGDPRHGIPSSGSSAEAVELTTHCQYSALARDAAHDDFAVLLHARAPGTGARARAPLDLVTVLDVSGSMVGIKLALLKQAMGFVVDSLGPADRLCVVSFSSGAARLMQLARMSDGGGKALAKRAVESLAAGGGTNIGAALRKAAKVLDDRLHRNAVASVILLSDGQDTYTVPRRGRDDGVNYEELVPPSLFMDSSTGDRSSSAPVHTFGFGTDHDAAAMHTVAEATGGTFSFIEDEADVQDAFAQCIGGLLSVAVQDLRVDVSCARADAGVRVRSVNSGRYRSRVDADGRAASIDVGELYADEERRFLLLLDVPRARCATPDDVITHLMKVTCAYRDVATGRALTNVPGIDAAVLRPALASRAVSGGGGRSEEVERERVRLEATAGMAAARAAAERGAHAEAAEMLRGTQRAVARSDDATLAALSGELREMRARVADRRRYELSGRAYVLAGLSSHAQQRATSRQVRSCDGERETVARRGGTAMSTASSSYVTPAMSTASFSYVTPAMVDMLNRSRRSREMTARVQQQRSKQRPKSANY* >Brasy3G167100.1.p pacid=40043320 transcript=Brasy3G167100.1 locus=Brasy3G167100 ID=Brasy3G167100.1.v1.1 annot-version=v1.1 MYHRCEGSVLTVGYHLSMQHCQFLLEDNSTVQGIHVVVLVPIYRLYHRLLRFYGTYINSAHLVTECDGITLCFRCMKINIPHDFAIVIYFISACIIDA* >Brasy3G304400.1.p pacid=40043321 transcript=Brasy3G304400.1 locus=Brasy3G304400 ID=Brasy3G304400.1.v1.1 annot-version=v1.1 MAAAEAASASSSSSSHPPAPAAFPATRQEIQAAIAKATELRALHAALLQGGANAAFASAGRSPSIIRLPPAASPAVPRAAAVAEDYPVFAPAYSEEPLGGMNYIRQDNRSLSENWSGIGLDHEGPEDEVAFSDLDNHNTFSSSNSERHFSSSNEHMRSRIARRNHPSSFLHPALSADSFLKSASRRTDLAESKAVTTCNTCKPATISRAADTDSNALKNLSSEAPLSNYNPSVSSRTRQKGTHMLAWLLPKSKRKTKSDMSPNTTECENMSQLLKEWGVFSLESLKKELTEANEHRDAALQEAGEMRSSLGELTSKLLGLEAYCSELKKALKKATSTKSMHSHSKRSARSLGVSRDDSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVDDADNGLSDKLNVLLQPYQLALTDKPSKLILYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQDLQENFASFVALRNLSWNEVLKKGTKYYCEDLSRFCDQKMSCIVSTLSWSWPWAEQLLQCFFVAAKCIWLLHLLAFSFDPPLVILRVEEDRAFDPLYMEEIQVERQRSRNPSRVKITAMPGFYVQDRVLKCRVICRYS* >Brasy3G304400.3.p pacid=40043322 transcript=Brasy3G304400.3 locus=Brasy3G304400 ID=Brasy3G304400.3.v1.1 annot-version=v1.1 MAAAEAASASSSSSSHPPAPAAFPATRQEIQAAIAKATELRALHAALLQGGANAAFASAGRSPSIIRLPPAASPAVPRAAAVAEDYPVFAPAYSEEPLGGMNYIRQDNRSLSENWSGIGLDHEGPEDEVAFSDLDNHNTFSSSNSERHFSSSNEHMRSRIARRNHPSSFLHPALSADSFLKSASRRTDLAESKAVTTCNTCKPATISRAADTDSNALKNLSSEAPLSNYNPSVSSRTRQKGTHMLAWLLPKSKRKTKSDMSPNTTECENMSQLLKEWGVFSLESLKKELTEANEHRDAALQEAGEMRSSLGELTSKLLGLEAYCSELKKALKKATSTKSMHSHSKRSARSLGVSRDDSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVDDADNGLSDKLNVLLQPYQLALTDKPSKLILYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQDLQENFASFVALRNLSWNEVLKKGTKYYCEDLSRFCDQKMSCIVSTLSWSWPWAEQLLQCFFVAAKCIWLLHLLAFSFDPPLVILRVEEDRAFDPLYMEEIQVERQRSRNPSRVKITAMPGFYVQDRVLKCRVICRYS* >Brasy3G304400.2.p pacid=40043323 transcript=Brasy3G304400.2 locus=Brasy3G304400 ID=Brasy3G304400.2.v1.1 annot-version=v1.1 MAAAEAASASSSSSSHPPAPAAFPATRQEIQAAIAKATELRALHAALLQGGANAAFASAGRSPSIIRLPPAASPAVPRAAAVAEDYPVFAPAYSEEPLGGMNYIRQDNRSLSENWSGIGLDHEGPEDEVAFSDLDNHNTFSSSNSERHFSSSNEHMRSRIARRNHPSSFLHPALSADSFLKSASRRTDLAESKAVTTCNTCKPATISRAADTDSNALKNLSSEAPLSNYNPSVSSRTRQKGTHMLAWLLPKSKRKTKSDMSPNTTECENMSQLLKEWGVFSLESLKKELTEANEHRDAALQEAGEMRSSLGELTSKLLGLEAYCSELKKALKKATSTKSMHSHSKRSARSLGVSRDDSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVDDADNGLSDKLNVLLQPYQLALTDKPSKLILYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQDLQENFASFVALRNLSWNEVLKKGTKYYCEDLSRFCDQKMSCIVSTLSWSWPWAEQLLQCFFVAAKCIWLLHLLAFSFDPPLVILRVEEDRAFDPLYMEEIQVERQRSRNPSRVKITAMPGFYVQDRVLKCRVICRYS* >Brasy3G059300.1.p pacid=40043324 transcript=Brasy3G059300.1 locus=Brasy3G059300 ID=Brasy3G059300.1.v1.1 annot-version=v1.1 MVVRPVRDPFPHIKNECTLKTDVKGRFLNRVTKRTPLIIWNSFLCEDVTGWDFLQSKEPTKKAAFLWGPDFPANLNVRLTNKAMKLRKISGFSGVLALGREFPDLFLRMEISNSRGAISMGRVPCSHASDQKRAVSPSRMEMEVQAEKSSRSDSSKGRSMSAQGLSGHVQRNHSQRSRRALLNLAMSRTPRPPKHLGRQTKSQRTRQLPPPH* >Brasy3G134100.1.p pacid=40043325 transcript=Brasy3G134100.1 locus=Brasy3G134100 ID=Brasy3G134100.1.v1.1 annot-version=v1.1 MAATTATASFSLTAAALRFRHRQLCARVSRPAQPYPLLKLNSRSYAVSASAPAAASSLSPLWEGLGIRAESDGPGGGASGDVMGLLLRERIIFLGNEIEDFLADAVVSQLLLLDAMDSESDIRLCVNSPGGSLSATMAIYDVMQLIRADVSTIGMGIAGSTASIILGGGTKGKRFAMPNTRIMMHQPVGGASGQALDVEVQAKEILTSKRNVIRLISGFTGRTLEQVEKDIDRDRYMGPHEAVDYGLIDGVIDGDRIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY* >Brasy3G043900.1.p pacid=40043326 transcript=Brasy3G043900.1 locus=Brasy3G043900 ID=Brasy3G043900.1.v1.1 annot-version=v1.1 MASSSSFLLVAALLALVSWQAIASDPSPLQDFCVADKNSPVLVNGFVCKNPKDVNADDFFKAAQLDKPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPVGLIHFQFNPNPHVPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY* >Brasy3G045300.1.p pacid=40043327 transcript=Brasy3G045300.1 locus=Brasy3G045300 ID=Brasy3G045300.1.v1.1 annot-version=v1.1 MQRRARSGELAVFGATRYFAVREERSDRGSTHELVEARTRSFRKKNQLPASTSRRHTHDEPPINASSSSTTSRGSISDVVPTADDACGGVGVHDLIWAWWWALRGVRVVNGDVEERWVVRCGAWEEESRLFLHDCKIFDDDAASSSSYCHQVGGGHGRGSDTDSSSDLF* >Brasy3G219300.1.p pacid=40043328 transcript=Brasy3G219300.1 locus=Brasy3G219300 ID=Brasy3G219300.1.v1.1 annot-version=v1.1 METPLTTRRITRSLAAAAAASAHKSAAAADLSSCRSKNGDQQQPPRAALHDITNDSPIVGLAVGGLGHDGTPRATTAGKTRARPRPTPGSGEALLRGQVKTLLQKVDEEVIRPVRIHALLGVSRSPAQLLAPTPANTPQLGVESAAAAARGDSLLPDGIKMAMPSVLEEEEPLPKLQVIDAPPNRALVFDDSPEKSDPSTEESVASSSLTFHGSSIDRSPDDDSSSVWSIQVNVSSDKDEPEFGHEATMGELEEEYYTEEEYYTEEEENWQEEDDDDDECFDELCEGMSKMTVFDGEEEKKNKVGLPAFEGRHTRFVYNSDDEIVERKDVENAAAEHGALLRGLPVPEGKHLRFQDDDEEEQ* >Brasy3G346300.1.p pacid=40043329 transcript=Brasy3G346300.1 locus=Brasy3G346300 ID=Brasy3G346300.1.v1.1 annot-version=v1.1 MMMRRVAPPASEETAATAAAAAGVPGWLEALLGTRFFLACGAHPGSPRNECNMFCIDCRASAAFCYYCRSHRHSSHRVIQIRRSSYHDVVRVTEVEDVLDIGGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKRQYGHEAAANNVAAAGGGGNEADAGGSKQPRPQGRRRKGTPHRAPFGS* >Brasy3G201600.1.p pacid=40043330 transcript=Brasy3G201600.1 locus=Brasy3G201600 ID=Brasy3G201600.1.v1.1 annot-version=v1.1 MAARFALVAVCCAALLLGVAQGDVGSVITRSVYDSMLPNRDNSLCPARGFYTYDAFIAAAKTFPPFGTTGSAEDVKRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQLAGNAIGKDLVGNPDLVATDAVVSFRTAMWFWMTAQGNKPSSHDVALGRWTPSAADTAAGRVPGYGVITNIINGGLECGMGRNDANVDRIGYYTRYCGMLGVSTGANLDCYTQRNFAS* >Brasy3G259200.1.p pacid=40043331 transcript=Brasy3G259200.1 locus=Brasy3G259200 ID=Brasy3G259200.1.v1.1 annot-version=v1.1 MEAAVPSRIALSATRIPNHHVVAAGGRSSIYRGRYPNIAIPIVVTAAGKGGGVLDRPAEKISPGRQSEFDVKKSRKMSPPYRVVLHNDNENRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLAVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC* >Brasy3G259200.2.p pacid=40043332 transcript=Brasy3G259200.2 locus=Brasy3G259200 ID=Brasy3G259200.2.v1.1 annot-version=v1.1 MEAAVPSRIALSATRIPNHHVVAGGRSSIYRGRYPNIAIPIVVTAAGKGGGVLDRPAEKISPGRQSEFDVKKSRKMSPPYRVVLHNDNENRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLAVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC* >Brasy3G332800.1.p pacid=40043333 transcript=Brasy3G332800.1 locus=Brasy3G332800 ID=Brasy3G332800.1.v1.1 annot-version=v1.1 MVGEAQNGPAAPRTPPRVNTSDPTGSGTTNHGSGHASHGSSATAPTAASRMPPLAPPRPGGLPRASAPRARKKAKKPPRRHLPRTRPTSPARPPAAAMRGREEGGGTAGG* >Brasy3G100500.1.p pacid=40043334 transcript=Brasy3G100500.1 locus=Brasy3G100500 ID=Brasy3G100500.1.v1.1 annot-version=v1.1 MQKCPGLLLPALRNNMLLSYTLKEILPKMCCSACCHGFQKDNIEQQILKHPYAAIIPAQYMSAEVNYHAYPIHISKEHACQKSQNRYLLTRVLQRGDHLTVHWRDQRSVLYYCAPG* >Brasy3G089700.1.p pacid=40043335 transcript=Brasy3G089700.1 locus=Brasy3G089700 ID=Brasy3G089700.1.v1.1 annot-version=v1.1 MESMLEAKSQSQFMLELRSENVEVRAQFFFRLNAPQNSSWSCVEVRKVSSSEGEGKNIAGCKKFIGKNILEASEYLKSDRIVIECHITIVKDPVVFQTVMNSPKIMVPPPSDQLSLDFAKLMMSKEGADVTFSVQGEEFPAHRAVLAARSPVFKAQLYGSMMEKEESCITVEDMLPDVFKSLLYFIYTEKLPPLEAFGDDDWKQIFFHLLKAADRYAVERLKLICESNICKNLDVENVTSSFVLADQHQCNNLRDTCLKFMASQETIGKVVASQDYQILKRKSPDLAVNVLECVCN* >Brasy3G274100.1.p pacid=40043336 transcript=Brasy3G274100.1 locus=Brasy3G274100 ID=Brasy3G274100.1.v1.1 annot-version=v1.1 MGAPPRLLSPTGEVQRQPPSCKSINTTGRRLMTYPPTPHVGSAPLPPARGLCAAAASAQGPQRARSLRGAAEASGCRHGKGSTGDRLRVGKVGAGGRRRPSLDSSGRCADGDSDGGWGIGSVLT* >Brasy3G004300.1.p pacid=40043337 transcript=Brasy3G004300.1 locus=Brasy3G004300 ID=Brasy3G004300.1.v1.1 annot-version=v1.1 MSYQQGYPQPGTAAYPPPGQQQAYVAAPPPAGYPQAQDQQQYPAGAGAETTSRGHHHHNGGGFWRGCCAALCCCCLLDACF* >Brasy3G062300.1.p pacid=40043338 transcript=Brasy3G062300.1 locus=Brasy3G062300 ID=Brasy3G062300.1.v1.1 annot-version=v1.1 MGGYENGDSPAAGGAEGGVILGVDGGTTSTVCVCLPAAMPPPESPGAVPVLSRAIAGCSNRNSVGENSALETLEQVMMQALTMAGKDHSDVIAVCLSVSGVNHPSDQQRMLDWIRKLFPVHAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTNLTREILRKLEIASPDELIGWTYADSSWARIAALVPVVVSSAEDGDEVANKILHDAVQELAGSVVAVVRRLTLCGEDGADQFPLVLVGGVLEGNKKWDISGEVIKCISEVFPGVHPIRPEVEPAIGAALLAWSHHHKGLKLENGS* >Brasy3G062300.2.p pacid=40043339 transcript=Brasy3G062300.2 locus=Brasy3G062300 ID=Brasy3G062300.2.v1.1 annot-version=v1.1 MMQALTMAGKDHSDVIAVCLSVSGVNHPSDQQRMLDWIRKLFPVHAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTNLTREILRKLEIASPDELIGWTYADSSWARIAALVPVVVSSAEDGDEVANKILHDAVQELAGSVVAVVRRLTLCGEDGADQFPLVLVGGVLEGNKKWDISGEVIKCISEVFPGVHPIRPEVEPAIGAALLAWSHHHKGLKLENGS* >Brasy3G130300.1.p pacid=40043340 transcript=Brasy3G130300.1 locus=Brasy3G130300 ID=Brasy3G130300.1.v1.1 annot-version=v1.1 MEASTTLWLLYITAASCVLYKVFVSSQNSPKTCSSNARRPPGPAPIPLLGNIFHLQGEEPHHALARLAGVYGPVMSLKLGTAAAIVASSAAAARDVLQKHDHLLAARSITDAGRALGNHERSIIWLPCTSPLWKRLRAVCASHLFSARGLDATRAVRERKVRELVRCLGAHARAGEAVDVGRVVFSGVLNLVSNVLFSEDVADVSSDRAQELETLIKGMVEEVTKPNLSDLFPVLSALDLQGRRRRTAGRLRRFSDFFDRIIGRRMAGGGRKDDFLDVLLQLHSEDQLSLQTINSFLSDLFVSGAETNSITVEWTMAELLRQPVVMSKVRAELREALGSKQRPDESDIGRLPYLRAVVMETMRLHPASPLLMPHEAMADGAEVGGFAVPKGTKVIVNLWAIMRDPASWPRPDEFVPERFLGVAATDVDFRCKDHPSGFMPFGAGRRACPGTPMATRVVTLLLASLLHAFEWRLPEGMKPCDVDVRDRFGTSLKMVTPLKAVPVCRCSGDAPCA* >Brasy3G079800.1.p pacid=40043341 transcript=Brasy3G079800.1 locus=Brasy3G079800 ID=Brasy3G079800.1.v1.1 annot-version=v1.1 MGSVDKFRFCIDRGGTFTDIYAEAPGRTEGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGEKIPRSSKIPTGMIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVLKPSNLYEEVVEVDERVELVLDGERDGSSVEGISGELVRVGKPLDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLIEKLSLEMGFKHVSLSSSLTPMVRAVSRGLTASVDAYLTPVIKEYLSAFMSRFEEGNEQVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFELETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPKENLPLDYEATRKAFEELAVEINSHRKSQDPLVSSMTIEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAIARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRRVALLVKQVKEKLVEQGFGEESIRTDSYLNLRYEGTDTAIMVKQPDTESRCDYADEFVKLFEQEYGFKLLHRKILICDVRVQGVGATNILQPRELTPLSTKPVQESSCKIYFSYGWQETPLYKLENLGYGHVLEGPVVIMNGNSTVIVEKDCKAVITKYGNIKIKIGASLSTVEISEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGSDGGLVANAPHVPVHLGAMSSTVCWQLSYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNDGKLIFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKAFKLVERGVFQEEGIVRLLQSPCSDELTDHKIPGTRKIEDNLSDLRAQVAANQRGITLIKELINQYGLITVQSYMSHVQKNAEVAVREMLKVVASRVEKETGSCVIEDEDYMDDGSVLHLKLTLDSRQGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIIIPKGSFLSPSDKAAVVGGNVLTSQRVTDIVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGGGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRESSGGSGFHKGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGENGARGANYLVKKDGRRVYLGGKNTVTVNAGEILQVFTPGGGGFGSP* >Brasy3G090600.1.p pacid=40043342 transcript=Brasy3G090600.1 locus=Brasy3G090600 ID=Brasy3G090600.1.v1.1 annot-version=v1.1 SQKPPIARTASTCVAETARGMHAFKIAGYKLHKGLGAGNFVRSATFAVGGYRWCVRYYPDGSHRRDQGYVSIGVELQSPRSEVRALYDLWLANPAKGGGGGGRRRTFKSSQNFRGSYQFMKTDLLEASPYLQDDCLVIHCDLTVLKGIRVVPPSDSRPDSFATLVVEEKKRGDVVAYMRSRARFFSELRKNAHTRGGQERSESEDR* >Brasy3G125900.1.p pacid=40043343 transcript=Brasy3G125900.1 locus=Brasy3G125900 ID=Brasy3G125900.1.v1.1 annot-version=v1.1 MEVEIAAQQDRAQGQQSKPSKASAWQLAWSAATNPLLVVNFVLLAAGTACGPLLLRAYFVHGGTRKWLSSLLQTAGWPLLLVPLCASFFSRRRRHRQDHGSGGSGGCELFFMTPRLLAASAAIGVMTGVDNFFYAYGQAYLPVSTSSILLSTQLVFTAAFALLLVRQRFPAATVNAVVLLTVGAAMLGMNAGGDRPEGVSAPQYRAGFGMVLGAAALYGLLLPAMELSQARHAARRPVTYTLVVEIQLVIGLSASAFCAVGMIVNNDFQGIPAEAREFELGEAGYYLLLVGTASVFQCICLGTIGAIFYGSALLAGVVLAVFIPVNGVLAVVFFHEPFSATKGIALGLSLWGLLSYFYGEVRTKQALQFGKQQDAEHLMV* >Brasy3G125900.2.p pacid=40043344 transcript=Brasy3G125900.2 locus=Brasy3G125900 ID=Brasy3G125900.2.v1.1 annot-version=v1.1 MEVEIAAQQDRAQGQQSKPSKASAWQLAWSAATNPLLVVNFVLLAAGTACGPLLLRAYFVHGGTRKWLSSLLQTAGWPLLLVPLCASFFSRRRRHRQDHGSGGSGGCELFFMTPRLLAASAAIGVMTGVDNFFYAYGQAYLPVSTSSILLSTQLVFTAAFALLLVRQRFPAATVNAVVLLTVGAAMLGMNAGGDRPEGVSAPQYRAGFGMVLGAAALYGLLLPAMELSQARHAARRPVTYTLVVEIQLVIGLSASAFCAVGMIVNNDFQGISGEARESELGEAGYYLLLAGTAAVYQCFCLGTIGAIFYGSALLAGVIITVFIPVSEVLAVVFFHEPFSPTKGIALGLSLWGLVSYFYGEVRTKQALQSSKHLHTEHPRV* >Brasy3G173200.1.p pacid=40043345 transcript=Brasy3G173200.1 locus=Brasy3G173200 ID=Brasy3G173200.1.v1.1 annot-version=v1.1 MASSVEEEEAFEHTLLVVREVSVFKIPPRSTSGGYKCGEWLQTDKIWTGRLRVVSCGDRCEIRLEDPGSGDLFAACFVLPGQREGAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQDKEADGEEADEGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGATEKPKASMLLAPPPGATGKPRSPLPPPPNDAASARLNSGHNAGTRAPKEPTKKNSDPFSDISAIERSLPSSTELGQTKSTGAGWAAF* >Brasy3G272600.1.p pacid=40043346 transcript=Brasy3G272600.1 locus=Brasy3G272600 ID=Brasy3G272600.1.v1.1 annot-version=v1.1 MDPNQRHVGLDSPNHQPRNAANMQYVPNYPQPQHHTNSPQSSYGQGISPSNFLQNFHPFASSHNYQQYAQPPASYQGLQHQGRMGYSPHGVFSHAAAGSSPLLQPVSLFGGPGNTSSYGSQVGTPQSGREEPAHVEDLSDSSEEDGRKVVRTNWTDEENTRLGSSWIKHSVDSIDGNGKKAEYYWRQVAEEFNSNRPVNGTKRSTTREWYKGENNAKPFTMEVLWDILKEQPKGENLDHIYEEGRHAQPIRGLAHGPIHGFTAVLEKNKEIRERSTHRRLKEDLVEHIWQRFNPDE* >Brasy3G226700.1.p pacid=40043347 transcript=Brasy3G226700.1 locus=Brasy3G226700 ID=Brasy3G226700.1.v1.1 annot-version=v1.1 MEPRAVRVSAATGAMEPVLGKLAALLADEHGVFKGFRGDAESLRAELEATHASLRNVSEAEDPDERAKRWMNAVREFSYDVEDGLDEFMLSADAGSANKADEFVEKIKNWARETMAHRRMGEEINDNITKASNVAEDPRASFLCREMPELVGIDGPAGELTKMLNGGGEDASAQQLKIVSIVGPGGLGKTALARHVYGTFGQQFKYRAFVSVSRKPDVVTILRAILSQVGCDQTIPGDAQLLVDKVANFLQDKRYFIIVDDLWDVQTWDIIKCAFSKSSCGSRIITTTRIHEVAKSCCASYGGRVYELSPLSIVDSERLFLKVVFNSEEQCASHLKTVSDKILQKCGGLPLAIIAISGLLTADAHEGQWEQVCSSIRHGPGSNPVVERIMRILFLSYIDLTPCLKSCLLYLSIFPEGYAIAKERLIMRWIAEGFILEEHGHTLYESGERCFNELINRNLIQPGDTSKFGKVETCRLHSMILDFIISMSKEQNFVTLLGVPGVIPESQNKVRRLSLQDTDSSEIPTDLVLCNARSLTIFGHCVKVPSVLEFRHLRILDFEGSMELEDHHLADVDNLFYLKYLRLKNSKITKLPQHIAELQYLESIDISGNKTTIGLPSTINRLRQLAHLVVNDEAILPDEIGGIQTLQVLEGINVNSQSTNFVRQLGQLTNLRKLSISFINYYAGDNWKENQEEMVSSICRLGQANLHVLHITINEGADEIFEESWCPAPLSLRELVIEGIVSTVPRWVGSLVNLQNLLILMWEVGQDDVMILGGLPDLRYICLTALAAGSKEGRLRVTQRHGFPSLSSLQIGGEECGLGLIFEDGSMPKLQNLELEFDAEETNSLTNGDFDFGIEHLSCLTSTRVRCAYDESICPTLEAAMERAINGHPNHPTLVWAK* >Brasy3G226700.2.p pacid=40043348 transcript=Brasy3G226700.2 locus=Brasy3G226700 ID=Brasy3G226700.2.v1.1 annot-version=v1.1 MEPRAVRVSAATGAMEPVLGKLAALLADEHGVFKGFRGDAESLRAELEATHASLRNVSEAEDPDERAKRWMNAVREFSYDVEDGLDEFMLSADAGSANKADEFVEKIKNWARETMAHRRMGEEINDNITKASNVAEDPRASFLCREMPELVGIDGPAGELTKMLNGGGEDASAQQLKIVSIVGPGGLGKTALARHVYGTFGQQFKYRAFVSVSRKPDVVTILRAILSQVGCDQTIPGDAQLLVDKVANFLQDKRYFIIVDDLWDVQTWDIIKCAFSKSSCGSRIITTTRIHEVAKSCCASYGGRVYELSPLSIVDSERLFLKVVFNSEEQCASHLKTVSDKILQKCGGLPLAIIAISGLLTADAHEGQWEQVCSSIRHGPGSNPVVERIMRILFLSYIDLTPCLKSCLLYLSIFPEGYAIAKERLIMRWIAEGFILEEHGHTLYESGERCFNELINRNLIQPGDTSKFGVPGVIPESQNKVRRLSLQDTDSSEIPTDLVLCNARSLTIFGHCVKVPSVLEFRHLRILDFEGSMELEDHHLADVDNLFYLKYLRLKNSKITKLPQHIAELQYLESIDISGNKTTIGLPSTINRLRQLAHLVVNDEAILPDEIGGIQTLQVLEGINVNSQSTNFVRQLGQLTNLRKLSISFINYYAGDNWKENQEEMVSSICRLGQANLHVLHITINEGADEIFEESWCPAPLSLRELVIEGIVSTVPRWVGSLVNLQNLLILMWEVGQDDVMILGGLPDLRYICLTALAAGSKEGRLRVTQRHGFPSLSSLQIGGEECGLGLIFEDGSMPKLQNLELEFDAEETNSLTNGDFDFGIEHLSCLTSTRVRCAYDESICPTLEAAMERAINGHPNHPTLVWAK* >Brasy3G226700.3.p pacid=40043349 transcript=Brasy3G226700.3 locus=Brasy3G226700 ID=Brasy3G226700.3.v1.1 annot-version=v1.1 MEPRAVRVSAATGAMEPVLGKLAALLADEHGVFKGFRGDAESLRAELEATHASLRNVSEAEDPDERAKRWMNAVREFSYDVEDGLDEFMLSADAGSANKADEFVEKIKNWARETMAHRRMGEEINDNITKASNVAEDPRASFLCREMPELVGIDGPAGELTKMLNGGGEDASAQQLKIVSIVGPGGLGKTALARHVYGTFGQQFKYRAFVSVSRKPDVVTILRAILSQVGCDQTIPGDAQLLVDKVANFLQDKRYFIIVDDLWDVQTWDIIKCAFSKSSCGSRIITTTRIHEVAKSCCASYGGRVYELSPLSIVDSERLFLKVVFNSEEQCASHLKTVSDKILQKCGGLPLAIIAISGLLTADAHEGQWEQVCSSIRHGPGSNPVVERIMRILFLSYIDLTPCLKSCLLYLSIFPEGYAIAKERLIMRWIAEGFILEEHGHTLYESGERCFNELINRNLIQPGDTSKFGVPGVIPESQNKVRRLSLQDTDSSEIPTDLVLCNARSLTIFGHCVKVPSVLEFRHLRILDFEGSMELEDHHLADVDNLFYLKYLRLKNSKITKLPQHIAELQYLESIDISGNKTTIGLPSTINRLRQLAHLVVNDEAILPDEIGGIQTLQVLEGINVNSQSTNFVRQLGQLTNLRKLSISFINYYAGDNWKENQEEMVSSICRLGQANLHVLHITINEGADEIFEESWCPAPLSLRELVIEGIVSTVPRWVGSLVNLQNLLILMWEVGQDDVMILGGLPDLRYICLTALAAGSKEGRLRVTQRHGFPSLSSLQIGGEECGLGLIFEDGSMPKLQNLELEFDAEETNSLTNGDFDFGIEHLSCLTSTRVRCAYDESICPTLEAAMERAINGHPNHPTLVWAK* >Brasy3G301800.1.p pacid=40043350 transcript=Brasy3G301800.1 locus=Brasy3G301800 ID=Brasy3G301800.1.v1.1 annot-version=v1.1 MDELSPFSELGSHGCLHDFALRKNRDDDGDEDEEITGTVSPAGHGEEEAVACCGGQRHWRPPPPYSCPSMNRTK* >Brasy3G302100.1.p pacid=40043351 transcript=Brasy3G302100.1 locus=Brasy3G302100 ID=Brasy3G302100.1.v1.1 annot-version=v1.1 MGRSPYLPRRTGVGPMPVGHHGSYIAFIHPILLSFARTIFRHTHTQQLLLLADENSKMDYVYGPGRNHLFVPGPVNIPDPVIRAMSRQNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFSLGQFSLLWIDQQQRLNFQVDVIESDWGHGADLGALETKLRQDGAHTIKAVCIVHNETATGVTNDLHAVRKLLDAYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPRALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLIFEEGLDNVIKRHTRLGTATRMAVEAWGLKNCTQKEENFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNATPLIPSRI* >Brasy3G189100.1.p pacid=40043352 transcript=Brasy3G189100.1 locus=Brasy3G189100 ID=Brasy3G189100.1.v1.1 annot-version=v1.1 MWISMPLWPNGWVSCVPLQIGRCTFLTMTGPALHRAVLEPRRTRQVYGDVPWCARPGHVDTGGPVA* >Brasy3G275900.1.p pacid=40043353 transcript=Brasy3G275900.1 locus=Brasy3G275900 ID=Brasy3G275900.1.v1.1 annot-version=v1.1 MRPAPHQRHDRRSLPKTRRRTWRSKRGRSGRGEFQARADSVLWWRRGAPAHVVETQEAAGRQFGTGGAFAGREEDGRGAAARGAQGQQWGRDGAAKRGVQAAAANGERRAANREPEAVARSRRVAMARNDEDRPCEFVGLAGGAARGVRAEPELRRRRRRAEKRKGRGGGLRGGRMVAITSKNERVRGRRERSDHVVGSTGCIYIIAKE* >Brasy3G099400.1.p pacid=40043354 transcript=Brasy3G099400.1 locus=Brasy3G099400 ID=Brasy3G099400.1.v1.1 annot-version=v1.1 MCHFIYTISGYKRDPRERNAPSKTLSSIRAASANVLVLLRRSFAASARLEPTVGTQIAREDHPQSQGSVAAVDGGVGGRHAGTQRHASRLPLHPAPPPRPAASLPFVLRLPPPSLSHGWRGRLPPPAWSPSLSHPACPPSWRCKSERGEDLGDCPRCPGLQMGLQHELSADESRVAGDRPATARPGRLRQECFLLISWDPDPLSAPFFFVSGGPPDRICLCCAWSCQSG* >Brasy3G220600.1.p pacid=40043355 transcript=Brasy3G220600.1 locus=Brasy3G220600 ID=Brasy3G220600.1.v1.1 annot-version=v1.1 MSGATATPGLVLAATDPIRSFLSSAAASAELAADLRDLASALASEPSVPYRSIRAIWCADSSPDRPPLRQLLRGAQFVLTSPKPREKSDELKARLEKLRELQERKEYAELVRDVAPKEDNPEPFSSYKDQLGFGLHVVVIMFTGYLVGFAAFRALFSNSPVMNAAGGILGVVGGMLMETVLFIIRSSSKELSPTSAPRSKKLQ* >Brasy3G284000.1.p pacid=40043356 transcript=Brasy3G284000.1 locus=Brasy3G284000 ID=Brasy3G284000.1.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPSRDAAMEGSEQRRTRPIKIMQSLGLIAPSGSPFGKNGLVATTHQ* >Brasy3G284000.5.p pacid=40043357 transcript=Brasy3G284000.5 locus=Brasy3G284000 ID=Brasy3G284000.5.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPSRDAAMEGSEQRRTRPIKIMQSLGLIAPSGSPFGKNGLVATTHQ* >Brasy3G284000.2.p pacid=40043358 transcript=Brasy3G284000.2 locus=Brasy3G284000 ID=Brasy3G284000.2.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPSRDAAMEGSEQRRTRPIKIMQSLGLIAPSGSPFGKNGLVATTHQ* >Brasy3G284000.7.p pacid=40043359 transcript=Brasy3G284000.7 locus=Brasy3G284000 ID=Brasy3G284000.7.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPSRDAAMEGSEQRRTRPIKIMQSLGLIAPSGSPFGKNGLVATTHQ* >Brasy3G284000.4.p pacid=40043360 transcript=Brasy3G284000.4 locus=Brasy3G284000 ID=Brasy3G284000.4.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPGCSDGRVGAT* >Brasy3G284000.6.p pacid=40043361 transcript=Brasy3G284000.6 locus=Brasy3G284000 ID=Brasy3G284000.6.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPGCSDGRVGAT* >Brasy3G284000.3.p pacid=40043362 transcript=Brasy3G284000.3 locus=Brasy3G284000 ID=Brasy3G284000.3.v1.1 annot-version=v1.1 MVKKTTQKGYGIAGCPSSSELPENKTRQNFRLGDITWVKHGNSSWWPAQVIDEASVSSKPKKKTGHDALVRLYGTCEYVYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSGFKSPSDYDEEAAANSKAKTASRKVRKQEGLKRSGHGSPKELAGSEPEQEVGSNATTRVAVRGGRKPKGGRQSSPLDKDQGIDKASSEVCAEGLRDKTQKQVSVVRDNKGRMETPGTSVVEGSKRSGRTNAKKYFDTAENSTSQLHHTSASENAAEVGDITSENQSEHNNGSMADATHREIKAMVRDILLGDIIARQHAAEMAYVDEVIYGICDATEQNAVDGTAADIEGGRGVKRTGSQLEADSSNVTQRTRKGKAKKQGNGSNSSPGCSDGRVGAT* >Brasy3G261800.1.p pacid=40043363 transcript=Brasy3G261800.1 locus=Brasy3G261800 ID=Brasy3G261800.1.v1.1 annot-version=v1.1 MSECQTIPWHFFFFFSTTMAAPSVYAYLLLLCSTTTLLLLCSPFACAAGLSLDSVREFLTREEDTIVFSLIERAKHPLNTPAYYDDDPAACFGTAGRHRNVSFAEIFVRESEAVQAKAGRYESQQEISFFPSGVPFTLAPPYNFTSDLHPAVASVNANDVIWGIYFNELLPLLVKEGDDGEYAVTAASDLACLQALSRRINYGRYVAEVKFRGEQQRYTALIRSKDKDALTKLLTFEAQEDVVKRRVEKKATVFGQDVTLDGPSTETGDNNNSQSSFKVAPSVVYKLYDQWVIPLTKQVEIEYLLHRLD* >Brasy3G116400.1.p pacid=40043364 transcript=Brasy3G116400.1 locus=Brasy3G116400 ID=Brasy3G116400.1.v1.1 annot-version=v1.1 MAAAGAVSARLRRSTRTQDDYEVLVAGRTVLATVTASPAVARRWIYTTLWRGRQRLNSCKGLTVGMGVQWTPPFLGSSSSDESQSSDEESEPEPRPGTLQLCSGQRCLVFQIAQAAKYAYDGATPAVLRRFLDDPRVAFVGYSIGSDCRKLSAHHGLEVRCARELRAVTGMGNSSMERMAERLLGWGGVKKARRVGVSRWDARELSEEQVKYACLDAYVSYCLGAHLGVAPDPDAESGSESDS* >Brasy3G323700.1.p pacid=40043365 transcript=Brasy3G323700.1 locus=Brasy3G323700 ID=Brasy3G323700.1.v1.1 annot-version=v1.1 MEVLSWRMACLVVLCVLPFLRPAAGIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGGQVRDSRDKTSDKFDFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSKRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV* >Brasy3G048700.1.p pacid=40043366 transcript=Brasy3G048700.1 locus=Brasy3G048700 ID=Brasy3G048700.1.v1.1 annot-version=v1.1 MLKSIPFLAIALPLLAAAGVNGFVHGHGAVPSMTARRACSAVCGTRHMRRLCLRTFLPHGHGPTSAAPVTRDAAAAARAAMDAYAAAKHAMFRGHGRTALLPVAERKAYAGCVRGYESARLAMGRLAGDLAALEIVGSNATCEEDARKKKGEVRQDYRGGLRGMDACWRSLMKLGIELGAAAYPAEAEVWERNLGDRNRTLLAALLCSLVVA* >Brasy3G045600.1.p pacid=40043367 transcript=Brasy3G045600.1 locus=Brasy3G045600 ID=Brasy3G045600.1.v1.1 annot-version=v1.1 MPLPTSVRAPTTRRRIRRLLKTPIAPPASAAPSTPKLRWSIRENEEDARDHKAASVRRLAAAVWRLRPPEQDPAAAERGASSNPVGLEHIPRHLQVQLLRKDHLGRRHGLKIETSSPNSVLERNSGEIHELHLASAVMPITGIENATKWESESGKGVELDSTYVIAGQLNLMDKPQVESHTDSLQMELQRAQDRVGELEAQRLSAKKQLERLFDKLREEKAAWRKREHKKVQSILEDMKADLDHEKKNRRQLETINMKLVDELKEVKMAANNLLQEYDNERKTREITEEVCNKLVREVEEHKSEIEAMKQDSLKLREEVDEDRKLLQMAEVWREERVQMKLVDARLTLEAKFDQLSKLQQDVEAFVATFGRANADSTIVRDAENILREIGSVREQEVEFKYEPPAASEDILAIFEELRPSEEPENKESEPCFKQHSSSVHESEIQGANPMADIFLENPTKLYSSRSRCDDSETEDGSSWETTSHEDLQGSSFSRNGNGSEPSVNKICDRISWTSGNDSEDGQIDLSNLYLSDMKQLPKKKQSAISKLWRSSPLKNREIHEEDGVEPINSRPSDTKLSNGGMYYPTEGAERGGGLSSPWSSPDSMNNQLNRGFRGCMELVQRQSLKAKLLEARMESQKIQLRHVLNQGRT* >Brasy3G266300.1.p pacid=40043368 transcript=Brasy3G266300.1 locus=Brasy3G266300 ID=Brasy3G266300.1.v1.1 annot-version=v1.1 MGWAVCVSMAAQAIRWSYRGDDDGHHGVLFGAASRGDCDRSWPPRPFVDRFQDRSQRGRHRDGESAVRACSVIEE* >Brasy3G024800.1.p pacid=40043369 transcript=Brasy3G024800.1 locus=Brasy3G024800 ID=Brasy3G024800.1.v1.1 annot-version=v1.1 MPPSLQLSRRLYAPPGTPGSAAPPPSLHPRRRSAPPPSSLTSRPTSLRATGLDPHRPATPPPRDPAPPALLLPPRDPPPPR* >Brasy3G326600.1.p pacid=40043370 transcript=Brasy3G326600.1 locus=Brasy3G326600 ID=Brasy3G326600.1.v1.1 annot-version=v1.1 MEPQRSHPSVGPRRPLSSVPAATMLNPRTTSRPGTSPRCSSSTVASSSSSSSRARTAPPKRSSTPLGPCRPTSSSSRTSSPSSSSSASMASPLAASSPSTSPSRPHRN* >Brasy3G143800.1.p pacid=40043371 transcript=Brasy3G143800.1 locus=Brasy3G143800 ID=Brasy3G143800.1.v1.1 annot-version=v1.1 MAQPASTNIPPRLSVLETALVAPSPTGPGPDPPAECSLPLTFFDVFWLNSPPVERVFLYRLAPDANTTLSLSNLKSSLSQAIRAFYPLAGRLRASATPGGRYELHYQPGDGVSFTVAEYDLGLDELSTDDPREVSNIAPLVPSLPKGNGTDGTPVLAVQATLLRGGAGLAIGVALHHAACDGASSTRFLHTWAAAARADNNAPRPAPPVVVDRKTLMPDPRGLYDIFSKALPTADEMEHVKMRPDQLLATFTLSGADTQRVKDVVAGEAARRGVSSPPRCSSLVAAFSFIWSCYQRAKEEASDAAAADHQTTPTYLLFPVDHRARMKPPLPEEYFGNCVGAALHGAPVGELGEAGAAGLYTACAAVAAAIDDALSGIGTQEMDSWMEQIREVGTSRGGVLSVAGSPRFRVYEMDFGFGRPAKVEIVSVARTGAMAVAESRRCAAGGMEVGISLPPAAMHRFRNCFHDAIAWLHDH* >Brasy3G259800.1.p pacid=40043372 transcript=Brasy3G259800.1 locus=Brasy3G259800 ID=Brasy3G259800.1.v1.1 annot-version=v1.1 MHVLVFNQMEGEWQMECLPPGFRFFPTDEELVTFYLANKAMDASFSSSAIRDVDLYKSEPWDLPSTGKAAMTAAGSGGDECYYFFCKRSTRYPSGARARRSTAGGYWKSTGKDKDVHCSRESGRLVGTKKTLVFHRGRAPRGEKTNWVMHEYMLLGQRSGNACSRPDQGEWVICRVFMKKPPRDHRTLERDLEQGETLHGQTSPSNLLPMDSDGCDGEEVAGRAASQVLSDDQWDTNNRAMNSHEDDGLVHHVDPSLIVDRPSCGSPFWPLYDDDQLGPHCSTSTLHILQQRSSLISAADDLPELLEYGGYDIPKRGASPGSELTSSSEAEISSISVVPLHLDESYWNFDS* >Brasy3G005300.1.p pacid=40043373 transcript=Brasy3G005300.1 locus=Brasy3G005300 ID=Brasy3G005300.1.v1.1 annot-version=v1.1 MLHSILPLLGSFHDFLAFAATCPTWRSAFLAYLYKSTLLTLVPPLLIQPNISVDAPHLPSNNGHPNLQRFKGIDPANRSATLSCQVGEEILKMHFVGSWYGAQVSPLRVPFRNDNWISEFICFSVITALLASPNSLTRSSLFDWSVGSHSWSELPYSGTRIVQVVQFNGQFIAMDLCLQEITTKLIQGLPIASWIVVCSDDMLLMVGHCCDHIPDRMGLTMAHTVHHLDMSTKPAKWFVVEKLDNWAVFAGSDRWNMLIPCMSPERWGGRSSCWYHAGNSPPWIVHGVQSLWVYPSMLYSDGRGSSRATTA* >Brasy3G263400.1.p pacid=40043374 transcript=Brasy3G263400.1 locus=Brasy3G263400 ID=Brasy3G263400.1.v1.1 annot-version=v1.1 MLDAAENPAVIATVCRWSKMGGTRGPQISCEPVCALQSVLHGNLNIFGELGPPWKTTRQYCILVEYRLRIIPPRTRGVQVKDFRTQKNVSVTRHKQAEQLILYCHVCLGFWFSFWCF* >Brasy3G065400.1.p pacid=40043375 transcript=Brasy3G065400.1 locus=Brasy3G065400 ID=Brasy3G065400.1.v1.1 annot-version=v1.1 MAKTTTPATCALFIFFFVCCLSPTAVPLSFDYPSFGSDDQKAMKIEGDASFSVGHIDISANKVDIRRTKGRVSYNAQPMLLWDEHTGEVASFTTRFSFIIRTLNASNKGTGMAFFLAGYPSSLPPGPSGYYNLGLTNQTNGGVAAGDSRFVAVEFDTFNETEVSDPDATLDHVGIDVNSLKSVNTSSLPSFSLTGNMTAVVQYDNISSILSLTLWLGDGRGLNYSLSSKVDLKSALPEQVAVGFSASTSKATELHQLLSWQFNSSLEGKTALVVAAKPSSRTSSSGSITGAAVGASLFLVLLVVVSGLLIRRRRNRCRNMKKLEVEEDADSDGELVMEIGLGTGPRRFPYQQLVNATRNFAAEEKLGQGGFGAVYKGFLRELGLTVAIKRFSKEASMQGRKEFQSEINVISRLCHRNLVQLIGWCHRGDELLLVYELMPNRSLDIHLHGKGTFLTWPMRMKIALELGSALLYLHEEWEQCVVHRDIKPSNVMLDGSFGAKLGDFGLARLIDHATGIQTMTVVSGTPGYVDPQCLITGKASAESDVYSFGVVLLEVACGTRPVSTPADKKGKVFRLAECVWDLYGQGAILDAADERLDGLYDDEEVRRVLVVGLWCVHPDPTARPSVRTAMSTLRSKDGKQLPLLPAKMPVATYAAPVASWDGLSSPSTGMSASTGSGSSTITTTTRSGYMRPMPIVTPRA* >Brasy3G103300.1.p pacid=40043376 transcript=Brasy3G103300.1 locus=Brasy3G103300 ID=Brasy3G103300.1.v1.1 annot-version=v1.1 MASASSSSSSGRQVEEEAPVAERDDLVSPPPDMLDNLPPLPLDMLDIIFSRLPFEQLVLTSRLSRRWRHRWESFLDLDIWFSPGISPRTDVGTLERCAAPVRSFTARVGTPHYHRIAGWLHALARKRLEKLVLRFDGQLQRAVLGPGLFSCRALARLELHGFCDMPRAPPQGFGGFPNLATLVLIDLVLPFVGGGAQLERLISTAPQLRVLTLDNVMVTASHTDADAVEETWTIRAPNLRVLKFSVDIDNGCRFTEELPLLEEAFITIDDPIEFGTQDLIIDSLRRIASVKKLSFDTDAVQLNDNVLEGILFPNLRVADLRINFGKLPSIMSIFFLMRRAPHIETLDIKAEKITIRKYEVDEDFVSKPRGSPKSDDEIDRDVLNAEISDDLFANLKHVSLNDMKSCSNDIWFMKFLLSKAGLLESFSVTFGYQNKTISFEKICRELVTCRKASPQVKLSLRVRS* >Brasy3G191400.1.p pacid=40043377 transcript=Brasy3G191400.1 locus=Brasy3G191400 ID=Brasy3G191400.1.v1.1 annot-version=v1.1 MESRARFVFLLCASFLLVLVRSVEPLGLRHRQLSSGGEPLDVAGRYLTREERWTNQRLDHFSPTDHRQFKQRYFEFLDYHRAGGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFERLTTENLRFLSSKQALFDLAVFRQYYQDTLNSRYNRSSGFDNPWFVFGVSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGKSAGPECKAALQETTKLVEQQLQSDSHSVKALFGAQTLKNDGDFLFLLADAAATSFQYGNPDAVCSPLTKAKKNGKNLLESYAQFVRDFYIKKLGTTVSSYDQEYLKNTTPDDSSSRLWWFQVCSEVAYFQVAPKSDSVRSAEVNTRYNLDLCKNVFGEGVYPDVFMTNLYYGGTSIAASRIVFTNGSQDPWRHASKQKSSEDMPSYLIKCSNCGHGTDLRGCPQLPFRIEGNSSDCTSPEAVNNVRKQIAKHIDLWLSQCHNPTSGL* >Brasy3G311600.1.p pacid=40043378 transcript=Brasy3G311600.1 locus=Brasy3G311600 ID=Brasy3G311600.1.v1.1 annot-version=v1.1 MGSLLPPRPLPSTARPPLLLPGDHHHSRLPFPPSSSPAARRLLLLHRRRCAVARPNRRPGGAGDMAGAQSIWAQLLLSSAAPSLAAAAPRLLPAYARLGRPDEVLLAARELSARLPSSAARDLYSLSVSSLGAAGELALMESAVREMASRFPGGLDSATGNAFVQHYAAFGTVPQMEAAVGRLRRSGTLISAAAIRAVASAYISQRKYYKLGEFVSGAGMLRRRDAGNLLWNLYLLSFAANFKMKSLQRGFLEMLAAGFRPDLTTFNVRAAAFSKMCMFWDLHLAADHMRRDGVAPDLVTHGCFVDAYLERRLARNIPFAFRRLGTGAGDEPAVATDGIVFEAFGKGGFHASSEAVMEAAGAAGGKRRRWTYYSLLDVYLRKQHRKNQIFWNY* >Brasy3G299300.1.p pacid=40043379 transcript=Brasy3G299300.1 locus=Brasy3G299300 ID=Brasy3G299300.1.v1.1 annot-version=v1.1 MTVFNLFLSPNRHVRRPCKPNDTERVIKLKGYKTRKHQASKPYNHHRRRAAAAVTVLPPPTLCSAVLPRSHWPPMGSCLSTQPGDGAAWPQRWRKRRRDEREGAAAAGGGFGFFSSGGGGGGGKKLPGGGEMTEEELARVAGRTCANGASAVACLHTQQGRKGTNQDAMVVWENFNSSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLTQWKTSGNGGTCPQLNGSISGSLNSEETASAVDDEWGESVDVDGSDMLPEMFLPLKQSYLKAFKLMDKELKLHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAIMATRDASNHLTAVQLTVDLKPNLPKEAARIQQCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAAVCLFLDHGNSPDSIEENEAKNGEEPVLEASIPDASVYKNTADVNVHSSSQKQIPEPTLEHSSTLRNVDEIMPVDEPPVSKEPERCASARSLADCISTNEEEEWSALEGVTRVNSLLNLPRKLSGDKRSTSWRKRR* >Brasy3G299300.2.p pacid=40043380 transcript=Brasy3G299300.2 locus=Brasy3G299300 ID=Brasy3G299300.2.v1.1 annot-version=v1.1 MTVFNLFLSPNRHVRRPCKPNDTERVIKLKGYKTRKHQASKPYNHHRRRAAAAVTVLPPPTLCSAVLPRSHWPPMGSCLSTQPGDGAAWPQRWRKRRRDEREGAAAAGGGFGFFSSGGGGGGGKKLPGGGEMTEEELARVAGRTCANGASAVACLHTQQGRKGTNQDAMVVWENFNSSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLTQWKTSGNGGTCPQLNGSISGSLNSEETASAVDDEWGESVDVDGSDMLPEMFLPLKQSYLKAFKLMDKELKLHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAIMATRDASNHLTAVQLTVDLKPNLPKEAARIQQCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGGLNRSLWVDGGFS* >Brasy3G299300.3.p pacid=40043381 transcript=Brasy3G299300.3 locus=Brasy3G299300 ID=Brasy3G299300.3.v1.1 annot-version=v1.1 MTVFNLFLSPNRHVRRPCKPNDTERVIKLKGYKTRKHQASKPYNHHRRRAAAAVTVLPPPTLCSAVLPRSHWPPMGSCLSTQPGDGAAWPQRWRKRRRDEREGAAAAGGGFGFFSSGGGGGGGKKLPGGGEMTEEELARVAGRTCANGASAVACLHTQQGRKGTNQDAMVVWENFNSSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLTQWKTSGNGGTCPQLNGSISGSLNSEETASAVDDEWGESVDVDGSDMLPEMFLPLKQSYLKAFKLMDKELKLHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAIMATRDASNHLTAVQLTVDLKPNLPKEAARIQQCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGGLNRSLWVDGGFS* >Brasy3G286800.1.p pacid=40043382 transcript=Brasy3G286800.1 locus=Brasy3G286800 ID=Brasy3G286800.1.v1.1 annot-version=v1.1 MASSSVLATLLVVLAGCAAAASAATFTVGDTQGWTTGADYTAWTSGKTFAVGDKLVFNYASQAHTLAEVSKSEYEACSTTAAVVPNNGGSATVTLSTAGDHYYICTVGAHCASGGMKLAVTVADSGSGSGSPPASGGSTPPATTTPPSAASAAGPAVLAAAAAAVIVKLALF* >Brasy3G029100.1.p pacid=40043383 transcript=Brasy3G029100.1 locus=Brasy3G029100 ID=Brasy3G029100.1.v1.1 annot-version=v1.1 MLSGCSVSSLAARFAFFPPDPPTYAVRKDEATGRLVASGGVPRDSAMDVLLVDTARGTKVVAFYLRNPCARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQENVILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMESMTTKTRLKKIRQSLQPAKTVYPAHTATTTTFTTNCCCRIRVRKPTCPSCNFSCGCCHGLRNCFTFRPFKCSTCLSCNCRSCFKCCC* >Brasy3G029100.2.p pacid=40043384 transcript=Brasy3G029100.2 locus=Brasy3G029100 ID=Brasy3G029100.2.v1.1 annot-version=v1.1 MTERRRCCRGARCPVSRRGSPSSRRTRPPTPSARTRPRAASSPPAASRGTAPWTCCSSTPPGAPRSSPSTSGTPAPASPCSTRTATPPTSASSTTSSCSSRYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQENVILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMESMTTKTRLKKIRQSLQPAKTVYPAHTATTTTFTTNCCCRIRVRKPTCPSCNFSCGCCHGLRNCFTFRPFKCSTCLSCNCRSCFKCCC* >Brasy3G071400.1.p pacid=40043385 transcript=Brasy3G071400.1 locus=Brasy3G071400 ID=Brasy3G071400.1.v1.1 annot-version=v1.1 MISRGSHQQQQHLLCLLVHLLLGIQQLSYSLATTSNETTKPPAAVPCRPDQSSALLRLRRSFSTTDSTCTLASWRAGTDCCRWESVACAAADGRVTTLDLAECLVQSAGLHPALFELTSLRYLDLSSNSFNESELPAVGFERLTELTYLNLSYTDFIGKIPHGIRRLSKLVSLDFTNWIYLVEGDNDYFLPLGKGRWPIVEPNIGSFVANLSNLKELYLGNVDLSGNGAAWCSAFANSTPQLQVLSLPNTHIDAPICESLSSIRSLTKINLNYNKVYGQIPESFADLHSLSVLKLAYNRLEGRFPMRIFQNINLTVVDVSYNSKVSGLLPNFSSHSIMKELLFSNTNFSGPIPSSISNLKSLKKLGIAAADFRQEQLPTSIGELRSLTSLQVSGAGIVGEIPSWVANLTSLEILQFSNCGLSGQVPSFIGNLKNLIKLKLYACNFSGQIPPHLFNLTQLGIVNLHSNSFSGTIQLNSFFKMPNLVILNLSNNKLSVVDGEYNSSWASIQNFDTLCLASCNISKLPNTLKHMNSVQVLDLSNNHIHGPLPQWAWDNWINSLILMNISHNQFSSGIGYGPVISANMFVIDISYNLFEGPIPIPGPQNQLFDCSNNQFSSMPFNFGSHLSSISLLMAPGNKLSGEIPRSICEATSLMLLDLSNNDLIGSIPSCLMEDMSRLNVLNLKGNQLHGRLPNSLKQDCAFEALDFSDNHIEGQLPRSLAACKDLEVFDIGKNLINDTFPCWMGMLPKLQVLILKSNRFIGDVGPSISEDQNSCEFGKLRIIDLASNNFSGLLQNKLFTSMGSMMTKGVNETLVMENQYDLLGQTYQFTTAITYKGSDITFSKILRTIVIIDVSNNAFYGSIPESIGDLVLLGGLNMSHNALIGPIPSQLGMLHQLESLDLSSNELSGEIPWELASLDFLSMLNLSYNQLQGRIPESSHFLTFSDLSFLGNIGLCGFQVSKACNNMTPDMVLHQSKKVSIDIVLFLFAGSGFGIGFAVAIISVRCNTCNSMSCTYRNTV* >Brasy3G175900.1.p pacid=40043386 transcript=Brasy3G175900.1 locus=Brasy3G175900 ID=Brasy3G175900.1.v1.1 annot-version=v1.1 MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARGVDLVYGGGSIGLMGMVSQAVYDGGRHVIGVIPKTLMTPEISGQTVGEVRAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVLAPTAEELMEKLEEYVPYHDRVASKLNWEIAEIGHLGTY* >Brasy3G146500.1.p pacid=40043387 transcript=Brasy3G146500.1 locus=Brasy3G146500 ID=Brasy3G146500.1.v1.1 annot-version=v1.1 MATFFSAPGRHKDIAGGGGDMPFHHYPGSSTAGLAPLPATAAQQGDAQNELAFMCAAEEGQIETQQQMMMMQSSQAMQRRRGLLSLILATQVPASLYHHNYGPAMAPTGVAVASRGSSRYLKAARELLDELVSVQDAGATPTRKPDKNGSHSSGDAADGNDDNRQQDPGVDSSPAGEEPSPSPSERQELENKAAALQGLLDQVEQRYRGYEQEMRAVASWLDAAAGRGAARAYTAVALRTISRHFRSLRDAIAARLRSARRSLGEPPPDVHGGIHRLRHIDQRMRRQQLGFGCVVEPQQQQHAAWRPQRGLPEPAVSVLRAWLFEHFLHPYPKEPEKLMLARQANLTRGQVSNWFINARVRLWKPMIEEMYREEFGEEIMEAANNSSSEIKGKDEPETEPACRSLEDLQSPSSTMQGVSPFKSTGLDDNAAAVYSSIPSLRLHQRQHEPGSYDTLLHDGAGQRFLDLGGSGLTLGLHGRHDGVTLVGLGSAQQAGMDAGAFEYVDGPDDRQRFGSSSQLLHDFVT* >Brasy3G026700.1.p pacid=40043388 transcript=Brasy3G026700.1 locus=Brasy3G026700 ID=Brasy3G026700.1.v1.1 annot-version=v1.1 MHRHPVGTLLLACLLLLPLHETPGSVAADAATISDSFSGVPAPAPATQSDLSSCLVANSVANFSLPSSLSYTPLLNSSIFNLRFAPPYVAGPVAVVFPGSKDELRGAVVCARRSVLAIRVRSGGHSYEGQSYTTENHVPFVMVDLANLNRVRVDPGSATAWAESGATVGELYYSVGQSSPTLAFPAGSESTTGLGGQVSGGGFGLLSRKYTLAADNVLDVMLLDARGRIHDRSSMGNDVFWAIRGGGGGSWGVVYAWKLRLVPVPLNVTMLTVGRTGPIELIAGLVYKWQYVGPTLPDEFYLSVYLPTGSSNGNVSVTFQGQVLEPKERTLSVLNQSFPELGLTESDMSEMSWIETTASFAGLGMVADLANRRLQPKQYSKAKSDYVQTSISRPNMIEIVRYLSTGPTGSIQLDPYGGAMARVSSDKTPFPHRAGNLYSIQYGVNWDMSQIDRAGEFIGWLRSFYEYMTPCVSKNPRAAYVNYLDLDLGINNWTSVAGGSSPAVVAHARASFGRAYFGNNFGRLVRAKTAIDPRNVFNNAQSIPPLELA* >Brasy3G230900.1.p pacid=40043389 transcript=Brasy3G230900.1 locus=Brasy3G230900 ID=Brasy3G230900.1.v1.1 annot-version=v1.1 MAAAASFLLAPAAPSLPLRFRLPTAASTLSLSIRGSPAPNGTSCSFRSIRSRRPTVRRNAAETYVPGSGKYVAPDYLVKKVTAKELEELVRGVRKVPLIVDFYATWCGPCVLMAQDIEMLAVEYEDNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIEMMRNIIDNEL* >Brasy3G084000.1.p pacid=40043390 transcript=Brasy3G084000.1 locus=Brasy3G084000 ID=Brasy3G084000.1.v1.1 annot-version=v1.1 MLDINLFRTGRSGKKEDGNPDAVRESQRRRFASVDIVDEVICLDQLWRSRQFDLESIRKELNATSRNTGKVKMVMRQVEQKLNAKKKLQKDVEKKLAANAESKLEGVHEEQIKLSITLNELNESIEEYCGIMKNNQLIVKELMESTNQVKERLAATEAEVRRIKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTCGERRMERNLKNHVDLCRKLDIVAFEEGVGVAGGRGYFLKDYGVLLNQALINFGLAFLQNPQFDEELYKVTGDGEEKYLIATSEQPMCAYHLGDRIHPDELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMFEEMIKNSEDFYKELGLAYQVVSIVSGALNDAASKKYDLEACFPASNTYRELVSCSNCTDYQARRLGIAYGQKLDEQSNNKFVHMLNSTLTATERTLCCILENYQKEGGVEVPKVLRAYMGGIEFLPFKQPMDGKQGADKLKSGSKAPEI* >Brasy3G235100.1.p pacid=40043391 transcript=Brasy3G235100.1 locus=Brasy3G235100 ID=Brasy3G235100.1.v1.1 annot-version=v1.1 MGRGVAAMGGDDQKTSWPEVVGWNVIAAGEKVVADRPDVHLEVHLVGYNAPPGYDGERVRLIIITDPGTTIAQTRVAETPVIG* >Brasy3G328400.1.p pacid=40043392 transcript=Brasy3G328400.1 locus=Brasy3G328400 ID=Brasy3G328400.1.v1.1 annot-version=v1.1 MASGGDPSGFSDESKTKKPEGTSNGRQGVPPAPAAGFPGAFDFSSMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQSAGEQGTPPLDPHQYIETMQKVMENPQFMTMAERLGSALMQDPAMSSMLENLTSPTHKEELEERMSRIKEDPSLKPILDELENGGPAAMIKYWNDPETLQKIGQAMGTTMPFGPVSSAEPSGTEETEEDGDEDESIVHHTSSVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGEFKCAQILLEAGAAVDAVDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDVFL* >Brasy3G077800.1.p pacid=40043393 transcript=Brasy3G077800.1 locus=Brasy3G077800 ID=Brasy3G077800.1.v1.1 annot-version=v1.1 MAIMAAAATQLVLFPFIFFVFLLAHPSTAMASSHHGSHGGSHTTKRSPPPRPSPISPPPSVVPIPIMAPPAAAAALVRATCNSTTYPSLCVSALAADPSSATADLRGLSAIAVAAAASNASASATALGVGANGTTGTAQGGDAQAAVVLRTCAGKYGEARDALAAARGSIEQQDYDYAAVHVSAAADYPRVCRALFFRAQRQRSSSSPAPAVFPAELAAREAALGQLCSVALDIIALLNTANN* >Brasy3G205600.1.p pacid=40043394 transcript=Brasy3G205600.1 locus=Brasy3G205600 ID=Brasy3G205600.1.v1.1 annot-version=v1.1 MGHGASRARSAPMGRATTKANAPWSARPQVPGRLRRGRRRRACRRPAPGRARRHRRSTRAADAEDPSRGRSIAPPPPTPRGLCPAARAGDGEGGVGWRRARVSWARAVAAFSDRDDERVASGAAGQQGGSAGQLGCRCGGGGGCGPGLGWDAPTMGGAGEQNARGSNLPAATKTAGLYGGGSEGGGIVGKKEKLTTNS* >Brasy3G300500.1.p pacid=40043395 transcript=Brasy3G300500.1 locus=Brasy3G300500 ID=Brasy3G300500.1.v1.1 annot-version=v1.1 MPIPQRLAVVVQTPPPRPERQRRPPWSCRGGPTGRRPLADPGARVVRRRGRGAPRVDVPPLGPRGGHARRAHLPPPSRYHTHLALGFFHQQHERVRAVFPSRRTTTAQPIFVPTASASRLLLGPGPGPPGLFDHARPRGDGLTLSVWNPMTGDVSVLPALSGHDCPGQYYACALLTSDDLAEPPAPAGFFFRVLLVIYNGRRRSGFTALRAFSSDTGMWGPEGRKPGPKMNAARLRRLGPAVVVRGVAYWPTHDAAFGVRLDKGASSYTMDVSFVPYSISSQDYVPDYRVLGVSPDGSLSFVYATLNGLSIFVETLDPQTGHHGTTAVKLRWFNEKSGAVLFTLRQHDGDDATSGAFVLNIATRSLEKLAGGVECHGWRNVCGYEMDRAALLASTARF* >Brasy3G243700.1.p pacid=40043396 transcript=Brasy3G243700.1 locus=Brasy3G243700 ID=Brasy3G243700.1.v1.1 annot-version=v1.1 MGESPNFAQVIRPRFVASNFLCHQLVSEFAGLGEDALQESRNGTVNYRVFTSTWNVGGVAPPDDLDLEDWLDTKANSYDIYVLGFQEIVPLNARNVVGPKNSSVSRKWNQLIGDALNKNNTGRIRREEEAEAHEQQQQKQSSSFRCVASKQMVGIFVSVWARSGLRRHVRSPGVSCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGSKGGAVLLRNADAADILSRTSFPRPRATATAEDLPLPEKILDHDRVVLLGDLNYRIAMDDAEARLLVGASKWGMLLENDELLLELSEGRSFEGWSEGLVTFSPTYKYHRNSDKFYWCLDGGSATGTAGRQKQQRAPAWCDRILWRGKGLRQTEYERCGGYRLSDHRPVRAAFDAVCEVAGGAVVADSFLEGHCALR* >Brasy3G313000.1.p pacid=40043397 transcript=Brasy3G313000.1 locus=Brasy3G313000 ID=Brasy3G313000.1.v1.1 annot-version=v1.1 MGISRLVAVAVLVIAAVVAGAAVVEDSVAAGEIAAAGAKEAAALRAELAQLREKISDLESGIAGRSQELKSKDDAITKLEKAIAEKSQKISTLQSEITSLQKKGSVAAEEQAGKAIARAVELEKQVDKLNKEIEAQSSQRVALEARANKEEKKVQDLNSKLESLQKASGEQKRTIQKTERALKVAEEELMRLQLEATTKSHQLTAVHGAWLPPWLVAHSARYVDVVSGHWNEHGKPAMESFLQKASEKSAHAKKWAEPHIETAKMKLVPVKEKLAVLKKSAEPYVEKVSTKSFEVYEASRDTVTPHVVKFKAFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHAYGTFLESATTYHRQAQATISDHLHQHEVTKSLATKELVWFLASALLALPVFVIYRLLVETFCTKKQKKSSRDGNGNHGNRRHKRRHAEK* >Brasy3G313000.2.p pacid=40043398 transcript=Brasy3G313000.2 locus=Brasy3G313000 ID=Brasy3G313000.2.v1.1 annot-version=v1.1 MGISRLVAVAVLVIAAVVAGAAVVEDSVAAGEIAAAGAKEAAALRAELAQLREKISDLESGIAGRSQELKSKDDAITKLEKAIAEKSQKISTLQSEITSLQKKGSVAAEEQAGKAIARAVELEKQVDKLNKEIEAQSSQRVALEARANKEEKKVQDLNSKLESLQKASGEQKRTIQKTERALKVAEVHGAWLPPWLVAHSARYVDVVSGHWNEHGKPAMESFLQKASEKSAHAKKWAEPHIETAKMKLVPVKEKLAVLKKSAEPYVEKVSTKSFEVYEASRDTVTPHVVKFKAFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHAYGTFLESATTYHRQAQATISDHLHQHEVTKSLATKELVWFLASALLALPVFVIYRLLVETFCTKKQKKSSRDGNGNHGNRRHKRRHAEK* >Brasy3G186600.1.p pacid=40043399 transcript=Brasy3G186600.1 locus=Brasy3G186600 ID=Brasy3G186600.1.v1.1 annot-version=v1.1 MARAAAICMVLAMVVVGSVPSELPPECKVAKLELCKPAFNGGAAPSESCCATLRAQERCLCIYEDRGYLESPNTWKTVKACGLAIPVCQL* >Brasy3G237600.1.p pacid=40043400 transcript=Brasy3G237600.1 locus=Brasy3G237600 ID=Brasy3G237600.1.v1.1 annot-version=v1.1 MYATRPLSLYKSHPEAASRPPPDGRNSGYLVVKDADEDDDGETCCWGQCGGTRVRDLPFPQNRILTLRYTEHHGESSTTYTDAVVFVPVPDQPVASNRYYAVVATGKRKGLVRACSRAEDMTPCCFWRCVNDVKPRPFDPSDAYQQIEVVQRRRGRFTARAVAPDGFPGFLYRKKYWRVYASKPKRFDLNLGEALGLNAALRSRQLVDASLHGALPTTMATTAVGKWYCPFYLVREDGVSPSEQMDRGTFYEVGLEQRWEPVPAASPQGGSSKMASMRVLVGGSVEARQEVSRHGDDGYVWFRAAATGQSVGVCASVWERMRWEEYRGGWVDDEEEEAGGTGLGGSVLVERIVVKRMDGSVAVAFDFVHLNKVRATKQV* >Brasy3G160900.1.p pacid=40043401 transcript=Brasy3G160900.1 locus=Brasy3G160900 ID=Brasy3G160900.1.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMSRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.2.p pacid=40043402 transcript=Brasy3G160900.2 locus=Brasy3G160900 ID=Brasy3G160900.2.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMSRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.3.p pacid=40043403 transcript=Brasy3G160900.3 locus=Brasy3G160900 ID=Brasy3G160900.3.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMSRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.6.p pacid=40043404 transcript=Brasy3G160900.6 locus=Brasy3G160900 ID=Brasy3G160900.6.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMSRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.4.p pacid=40043405 transcript=Brasy3G160900.4 locus=Brasy3G160900 ID=Brasy3G160900.4.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.7.p pacid=40043406 transcript=Brasy3G160900.7 locus=Brasy3G160900 ID=Brasy3G160900.7.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.8.p pacid=40043407 transcript=Brasy3G160900.8 locus=Brasy3G160900 ID=Brasy3G160900.8.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G160900.5.p pacid=40043408 transcript=Brasy3G160900.5 locus=Brasy3G160900 ID=Brasy3G160900.5.v1.1 annot-version=v1.1 MGSGSVSLKQKKRAKHAKNKYLKPGALAQISYSRSTSRDIGKKRILLNGEKDELEILPHGEAVFESTTPIMSPARLSIEPFSGITGQMLPRTPRTPQPTECDGYSRLESLPLDLLIKIVCYLHHDQLKAVFHVSKRIRKAVEQARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFMRIDGKDVRVATPRTPKAPRHGPRLSRFKLIDVKPITAVLFPDSLPTKRLRRSMPPGLPRPVPKAAAAPRVLLYEEELCEAVAQNKLL* >Brasy3G186700.1.p pacid=40043409 transcript=Brasy3G186700.1 locus=Brasy3G186700 ID=Brasy3G186700.1.v1.1 annot-version=v1.1 MTRKNKSRRPAAGKHGGGHPASPPSFPPPPPPESSCLEIANWLGHGGVILVLFETPSGFALLKDNGVYLFRSGALKIVRLLDFQIFEDKCVAINHITGLSNKLAKMIRSHYSSGQKLAVGKSDYKTIIEAQLKIHCLFDENVMELMWGLKHIMKSLAPAETCELTTEGRCHMSKGMQLILSNHGFEMEPEMVDKDLITIATALYESDYRVNRFAEFLHHGREYLKEVSGIDCQNWDLQKLAAALKLLSDPKNEIETGTSNEMLSEDMKSTLVDQAHKYELIFHKGTCLNIYKEILFARDVRNSALVSLKAKATQKSAV* >Brasy3G016400.1.p pacid=40043410 transcript=Brasy3G016400.1 locus=Brasy3G016400 ID=Brasy3G016400.1.v1.1 annot-version=v1.1 MLTGVEEEGEPAVGRWPEGEEWRGGGGGGGGGKGGGRGEGGEGGGRRPGWGRGGERGARGEAEAAGGRGEAEEGGGGEEGGGGGGEGRGARRRRRGRGARGEAEAAGARGEAEEGGGGEEGGGGGRGRGGEEGGGWEEGAGGGGEAEAAGARGEAEEGGGGEEGGGGGRGRGGEEGGGWEEGAGGGGEAGRREEAGRLGGGGGGGRGRGGEEGGGGEERGGGSGGGGEEGGGGEERGGAAGAVGRREVAAGRAGGGGEAGGGGGEPGAEVGAGKRERERGGRKVLGFYFFLSKICRRPKK* >Brasy3G253300.1.p pacid=40043411 transcript=Brasy3G253300.1 locus=Brasy3G253300 ID=Brasy3G253300.1.v1.1 annot-version=v1.1 MLRIASQSGLFGSRRCYSAATKAGLSISGVNDIIAVASGKGGVGKSTTAVNIAVALAKEFQLKVGLLDADIYGPSIPTMMHLHEKPEVSEDMKMIPAENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEESYIFGEGGAQRTAEDMDMKFLGEIPLEIDIRTGSDEGKPIVISSPKSASAQAYLRVAEKVTQRLKELAKERLMGPEISL* >Brasy3G253300.2.p pacid=40043412 transcript=Brasy3G253300.2 locus=Brasy3G253300 ID=Brasy3G253300.2.v1.1 annot-version=v1.1 MLRIASQSGLFGSRRCYSAATKAGLSISGVNDIIAVASGKGGVGKSTTAVNIAVALAKEFQLKVGLLDADIYGPSIPTMMHLHEKPEVSEDMKMIPAENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEESYIFGEGGAQRTAEDMDMKFLGELALLNISFPELLNRYLLKSTSEQVRTKANQL* >Brasy3G253300.3.p pacid=40043413 transcript=Brasy3G253300.3 locus=Brasy3G253300 ID=Brasy3G253300.3.v1.1 annot-version=v1.1 MLRIASQSGLFGSRRCYSAATKAGLSISGVNDIIAVASGKGGVGKSTTAVNIAVALAKEFQLKVGLLDADIYGPSIPTMMHLHEKPEVSEDMKMIPAENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFHSRVSREHELLQVPKVW* >Brasy3G013500.1.p pacid=40043414 transcript=Brasy3G013500.1 locus=Brasy3G013500 ID=Brasy3G013500.1.v1.1 annot-version=v1.1 MEPSPPSVDTPPAAAAAAAAEAPSSSAAAEGLAGAVAGMSLDERFDLLMGIAEECIMPEELKRLLQNKPVPICYDGFEPSGRMHIAQGVVKTINVNKMIKAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIGRKNNIKRITRCCTIMGRSDNEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNLKIKQAFCPPNIVEGNPCLEYIKYIVFPWFDKFEVVRKENNGGNKTFANMDELTADYVSGALHPADVKPALAKAINEILKPVRDHFNTNSEAKVLLNTVKKYRVTN* >Brasy3G091500.1.p pacid=40043415 transcript=Brasy3G091500.1 locus=Brasy3G091500 ID=Brasy3G091500.1.v1.1 annot-version=v1.1 MASLILRSKSNACYARSTKAMPRLHSATPMAILRLRYFSSTPPSSPRRLRSAFASATERVRSGTLTPDDAHHLFDKLLRQTAQVPESVLNGFLSALARAPASAALRDGPALAVALFNRMSTGTGPRVAPPTVYTYSILIDCCCRARRLDLAFAFFGCLLRQGMKVDVIIASSLLRGLCDAKRTDEAVDVLLHRMPELGCVPDAISYSTVLKSVCDDGRSQWALDILRMAVKGGGGCPCNVVVYSTVVHGLFKEGKVGEACDLFHEMTQQGVPPNVVTYNSVIHALCKARAVDKAQGILRQMVDNSVQPDNVTYNTLIHGYSILDQRKQAVRMFKEMTSRGVIPNTVTCNTFVAFLCKHGRIEEAREFFDSMLAKGHKLDIISYSTLLHGYATAGCLVDMSDLFNLMVGDGIVPNQHVFNILVNGYAKCGMVHEAMFIFEDMQKRGLNPDVLTYLAVIHAFCRMGSMDDAMDKFNHMIDKGVEPNFAVYQCLIQGFCTHGDLVKAEELVYEIRNKGLGPCMPSFASLINNLCKEGRVFEAQPIFDMLIRTGEKAGVNIFTSLIDGYCLIGLTMG* >Brasy3G211700.1.p pacid=40043416 transcript=Brasy3G211700.1 locus=Brasy3G211700 ID=Brasy3G211700.1.v1.1 annot-version=v1.1 MAGFPGNGVALVALVTALLTYGSCASGSSGWQAAKATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMISCGNEPLFKDGSGCGACYEIRCTKVNNPACSGKAKKIMITDMNYYPVAKYHFDLSGTAFGAMANPGQNDQLRHAGIIDMQFRRVPCKNRGLNVNFHVQHGSNPNYLAVLVEYANVDGTVVQMDLRESRRGHPSGPWRPMKRSWGSIWRMDTYRPLQGPFSLRIRSESGQTLVAKQVIPANWKLDTDYRSRVQFR* >Brasy3G232400.1.p pacid=40043417 transcript=Brasy3G232400.1 locus=Brasy3G232400 ID=Brasy3G232400.1.v1.1 annot-version=v1.1 MAVEKTVPVAAGKTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIHRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATIDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSSTAKAERDLNWKAKYGIDEMCRDQWNWASKNPNGYGSADSIKQNGHRGYGSADPCKQNGHHGYGSTDSAKQNGNGHLH* >Brasy3G232400.2.p pacid=40043418 transcript=Brasy3G232400.2 locus=Brasy3G232400 ID=Brasy3G232400.2.v1.1 annot-version=v1.1 MAVEKTVPVAAGKTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIHRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATIDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSSTAKAERDLNWKAKYGIDEMCRDQWNWASKNPNGYGSADSIKQNGHRGYGSADPCKQNGHHGYGSTDSAKQNGNGHLH* >Brasy3G347100.1.p pacid=40043419 transcript=Brasy3G347100.1 locus=Brasy3G347100 ID=Brasy3G347100.1.v1.1 annot-version=v1.1 MIISKKNRREICKYLFQEGVLYAKKDYNLAKHPQVDASNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEFLRTFLNLPSEIVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFAGEKGGAPAEFQPSFRGGSRPAFGRGGGGGAFGAGASSME* >Brasy3G143100.1.p pacid=40043420 transcript=Brasy3G143100.1 locus=Brasy3G143100 ID=Brasy3G143100.1.v1.1 annot-version=v1.1 MDAAGSLQGEREFHNEIAIASHLRATAAASSSSSPDPDAAARPGDKARDSILLPFAYSMPTRSEGRARRMMLVYELMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVLKPPVVHGDVKPSNILLDTDLRARLADFGLAHVNSDPDPDCKLESGAIAEGGDANGNADAGCDDDVSVMAESIVTTTVDGEAIVAPKSPEDDEGFTLASPTEAASTSGFDQTSVDSGMNSRGCNGVGSRTGGATSSGTGSDWWWRQDNGGSSNGVKDYVMEWIRSEIKKERPKNDWIAGSASTNPGAERKKQKRRAREWWREEYTDELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDGKGQSKWNMVKSWSRRSNGSSGNGNGSINWWVNGARSSRDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVSASPMSEFEKASLISWAKHLARASRLIDLVDPALKDVNHDEALLCITVALLCIQRSPARRPSSEELLRLLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVRSLGEKGQS* >Brasy3G317900.1.p pacid=40043421 transcript=Brasy3G317900.1 locus=Brasy3G317900 ID=Brasy3G317900.1.v1.1 annot-version=v1.1 MPGLTAPSGYAEEPPRHPALRINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPKVDDISRYSVSINGLVSNHIQLFMADIRMLPKYNVTATLQCAGNKRTAMSKVRKVRGVGWDVSALGNATWGGAKLADVLELAGIPKLSSVTSLGGKHVEFVSVDKCKEEKGGPYKASIPLKQATDPDADVLLAYEMNGETINRDHGYPLRVVVPGVIGARSVKWLDSINLIKEECQGFFMQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDVSVDGGKTWIEAHRYQKSNVPYVSDGAQSDKWAWVLFEATLDIPANAEIVAKAVDSAANIQPEKVEDIWNLRGILNTSWHRIKIQNSLSIARSNM* >Brasy3G176100.1.p pacid=40043422 transcript=Brasy3G176100.1 locus=Brasy3G176100 ID=Brasy3G176100.1.v1.1 annot-version=v1.1 MSSEGGGSQMGVTGALGLSVTSSVAIVICNKYLISNLGFLFATTLTSWHLLVTFCTLHVAQRLRFFEAKPIDAQTVISFGFLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTILLETIFLSKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVCQILTNQIQKRLKVSSTQLLYQSSLYQSAVLLITGPFVDKLLTKNDVFAFDYNFKVVVFIVLSCTIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYILLGDPFTFRNVAGILVAIFGMGLYSYFSVSESRKKNELGASIPVTTQMSEKDSAPLLGAKTSPWQESTGFESFDDVPRTAKSAFSRQLNA* >Brasy3G282200.1.p pacid=40043423 transcript=Brasy3G282200.1 locus=Brasy3G282200 ID=Brasy3G282200.1.v1.1 annot-version=v1.1 MTFNISAATMGANQEYMIRFDGHFEDPSPSSAIAEPPPPPPPFGSRMFSPEQEHAAMVAALLHVISGYTTPPPELFPARAEVCLVCGMDGCLGCEFFGSGGDAADQAIALDNNNTVAHKAATAATTGGPQRRRRNKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAIEFRGPRAKLNFPFPEQHDVANANGGDGSSIAAKSSDTYSPPSPSSGDLVEARVPQGWQQQQQHGGEETGEQLWDGLQDLMKLDEGELSWFPRSSNSWN* >Brasy3G342100.1.p pacid=40043424 transcript=Brasy3G342100.1 locus=Brasy3G342100 ID=Brasy3G342100.1.v1.1 annot-version=v1.1 MFEKWAACLDRLDPRDQFLNPPALRTRFSAAAAAAGAEAEEVMAAEGSAAAAAAKPFAVLFVCLGNICRSPAAEAVFRSLVSKRGLESKFHIDSAGTIGYHEGNKADSRMTSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILSSFERWQHKEPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGAKGFEKVLDLLEDACESLLDDILTENANISA* >Brasy3G166300.1.p pacid=40043425 transcript=Brasy3G166300.1 locus=Brasy3G166300 ID=Brasy3G166300.1.v1.1 annot-version=v1.1 MKSSNRNLSRGDGRRLGNAALIAFMLGSLLLLSLLRARFSPIGKTGEAIKAEKQQGMRKGSVKIEETVDEAAASTAEEEEEEIQPKAADTSGSVSVSGSGSGSSVSTTTAAVAIANHDQPPKPVCYETSRRSDTCEASGDVRVQGRTQTVHIRPLDKEWKVKPYCRKHDAFAQSHVKEWTLRPLPSGSNSPPQCTVNSSGSGVTAFVLSTGGFTGNLFHDYTDVLIPAFITSRRFHGEVQFLVSSHKPWWTSRYLQIFQQLSRHEVLDIDGDGEVRCYGNVVVGPTFHKELGVDASRTPSPGYSMADFRAMLRQAFGLERAAAEPSGDRWDIRRRPRLLIISRKRTRAFLNERAMADMAMSLGYDVRVGEPDVSTDVGKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQIVPYGGLEWLARGTFKEPSPDMEIHYLEYMIQLDETTLSEQYAKDDPVLTDPASIHKQGWDRLKTVYLDKQNVRPHLGRLKITFMEALKLLPHGRGQTN* >Brasy3G023600.1.p pacid=40043426 transcript=Brasy3G023600.1 locus=Brasy3G023600 ID=Brasy3G023600.1.v1.1 annot-version=v1.1 MDDLLLPEVDAELAAQPIDLVDDVGYIRTVQVTNEWTNFRNQLADGVLLNVAIQARWGWLKQADPDRSWAEFNLQIPTPARELCQAAISVVLGNGRRVNFWKDKWLHGLALCDIAPNLMLRVHGRGKKMSVAEALVDRNWVRMVKPDLSLVAIEEFLSIWDLVDGVVLSEEEDMFHWGWEGNGVYSAASSYAALFGGRTNWPGAAEVWSSRAPSKCKFFLWLALMRRCWTADRLRRRGLPHPPVVLCVIRRTRTSIIFFSSAWSPGRCGTRCVVATGLGSWPK* >Brasy3G259100.1.p pacid=40043427 transcript=Brasy3G259100.1 locus=Brasy3G259100 ID=Brasy3G259100.1.v1.1 annot-version=v1.1 MSAAAGSLGAQGVLGGDGFLCRGLVTKEWVEQEAVLQHPAVGTNLRHSGAAGGTRSAAYGVPMLAWPTLGDQRVNATVVLFEPNAMSEHPLAKASKANY* >Brasy3G263700.1.p pacid=40043428 transcript=Brasy3G263700.1 locus=Brasy3G263700 ID=Brasy3G263700.1.v1.1 annot-version=v1.1 MGRPPCCDQAGVKKGPWTPEEDLMLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLKKMSAAAGEEDGAAATGGGGEAKSRATAPKGQWERRLQTDIHTARQALRDALSLDATPTAPPKPAPMEPSSKGAVYASSAENIARLLEGWMRPGEAGKASSGSGSRSSASVVSAEGAAPTPEGSTVTSKTKDDAPAADPPAFSMLENWLFDDGHNGIGDVGLDDVPLGDPSEFF* >Brasy3G288800.1.p pacid=40043429 transcript=Brasy3G288800.1 locus=Brasy3G288800 ID=Brasy3G288800.1.v1.1 annot-version=v1.1 MLPHHTPPPPLRAFPRGGHLLLPCTPRPPPRRRLSPTGPAPIPRWPPPPRATAPGESGASTLDALLSAAELLCLAPPAVCSAVYAARLVLAPGNPAKPLASGRLLVVQYVLLVAAVAIGALVRRRQWKRLSRGGAHGVGASATGGVDLVGRFEKVEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPISETAALAQKNSEATQILAARESLFEKDIGSIQKVLYAMQEQQEKQLELILAIGEASRILDDKQDMLGGETARSSKSAPEKADKQANIKAETLAGGNSKP* >Brasy3G051200.1.p pacid=40043430 transcript=Brasy3G051200.1 locus=Brasy3G051200 ID=Brasy3G051200.1.v1.1 annot-version=v1.1 MSAHFWSPPGASAAVLEMNRALAVAVAPSFSPTTPDLSSFIADALAGGCSSLCISGPVLGPKLSSWEKPPGVLPSSVIRLKLHELLARFAPPEEATAPVPVTDEAA* >Brasy3G333900.1.p pacid=40043431 transcript=Brasy3G333900.1 locus=Brasy3G333900 ID=Brasy3G333900.1.v1.1 annot-version=v1.1 MAANGATIEDYTYESSLQESLLSSKPDHPDLEDEIQTVRSFLRQAADENRRMWQLAGPSIFTSLAQYSLGAVTQVAAGRRLTTLDLDAVSTANSVIAGLAFGIMLGMGSALETLCGQFHGARQDRLLGLYLQRSWLLLTAMAAVFLLPLYLFASPILRLFRQDPAIADLAGTFALYMVPQLFAYAVNFPVQKFLQAQGKVGAMAAVSGAALAFHVALTWLLVGPFGMGLAGLAVALNVSWWAVVLGQVAYIVSGGCPGAWNGFEMECLVFSELKSFARLSIGSAIMLCLEFWLYMFLIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFLGFNAAISVRVSNELGAGRPNAARFSILVVLVSSVALGLASFVAVLLLRDVYGAPFTESPEVVRAVASLAVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYVNLGCYYGVGIPVGYILAFPMHQGIRGMWAGMLTGVALQTVILVVITMRTDWNKEAREASSRIQQWSGGSAKKEVTNVI* >Brasy3G293600.1.p pacid=40043432 transcript=Brasy3G293600.1 locus=Brasy3G293600 ID=Brasy3G293600.1.v1.1 annot-version=v1.1 MCSFRTGRYYRQHGRYYRWRSARVEPNPDHHSPPGATAPASSPPTWRPHAIPADQRLLRGSRAATEQPARWSPSSNHEPPRALKHRGASQAASLRSGREAPDPPPPHSAAPQPAPTQGAGEEGGRFSARSRRSGFPRRRSSRRRPAPRARRETPALPSAVGPAAAAARPPAAAARGGQVRRRLDARPDSAPGVAWVRHGRLEGSQNASCSISLLISSI* >Brasy3G235400.1.p pacid=40043433 transcript=Brasy3G235400.1 locus=Brasy3G235400 ID=Brasy3G235400.1.v1.1 annot-version=v1.1 MTKQSVVVPELAMAMPPNSAALYPYPPPRAMPGVAVRKMYLQAQMELGSGLINGWVESMRASSPTHAKAAAALAAGAVDDDHTAWMVKHPSALSKFDQIVAASKGKRIVMFLDYDGTLSPIVDDPDAAFMSDTMRLAVRSVARQFPTAIVSGRCRDKVFDFVKLAELYYAGSHGMDIKGPAKASRHTKAKAKGVLFQPASEFLPMIEQVHESLIEKTKCIAGSKVENNKFCVSVHFRCVDEKDWSPLADIVKAVLKDYPKLKLTQGRKVLEIRPTIKWDKGKALEFLLKSLGFADSSNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKHAKDTNASYSLQEPAEVMEFLLRLVEWDRLSKSRPRW* >Brasy3G074300.1.p pacid=40043434 transcript=Brasy3G074300.1 locus=Brasy3G074300 ID=Brasy3G074300.1.v1.1 annot-version=v1.1 MSSTSAMYNNKDKERTEEKIQRAMAEMNKCLQIVPLAYFSTYICQRKPRHRID* >Brasy3G127900.1.p pacid=40043435 transcript=Brasy3G127900.1 locus=Brasy3G127900 ID=Brasy3G127900.1.v1.1 annot-version=v1.1 MRANNKRTRNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPVGSDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKIVEACSLYKATEKTKRSFVLLHCWSLLRFNQKWLAQIDRSSQSNKKQKSSSNASPSMSTPGTETIHIDESDATSPAKADHMRRPIGKKAEKERQRRGKNVTSTDDSTVVMALDLVFSKRTAVEEAREMERQAREEARERARQAREEAREAGKKERYVGVLAIEREKFELEKRSQEMEIMNKDLSSMDVD* >Brasy3G176200.1.p pacid=40043436 transcript=Brasy3G176200.1 locus=Brasy3G176200 ID=Brasy3G176200.1.v1.1 annot-version=v1.1 MFSCPFSFLALPFPSSGDLLHTHHNEKEPENQSATRAPGSRQQTAHPLKNKTPRVHLRAGPTRPETPPVLPTPAYNSIPLQRTNKTGQKRKGESPEPASHTPTNGAKPRSQGVLAVQTLAPRRDLGPIGRDSAGERAEAGMGGAAEAVVVVEEGDAHAPSAGGGEGRMEGWLYLIRSNRLGLQTSRKRYFVLEDAALQCFKAAPAPSSDADSSKREDPVRSAMIDSCTRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRASCMHSIDWTRLSSAHNDPMTSDVIAPSPWTIFGCTNGLRLFTEAKDGDSHEKYWHDHPAIMAVGVVDANSEDIFQTLMSLGQSRSEWDFCLREGRVVEHLDGHSDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHNKCRPEKGYIRACLKSGGYVISPVSQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACLEFSSGELMRGMGLPQGENEQIKIEMHSANESKRLEGSTEGSQGGSNRHLSSAGSFVQLNDATDEFFDVPDESEYDQREAMWSSDESTHAADQRHTKLSSAAVFVRRLHDLAVQKRGYIDLQGATDADNGPCCYGHTLPKDSSCTMPSSWAMTDPTTFLIRGESYLLDRQKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQKYAAQGGNKFFFIVNIQVPGSTTCSLALYYAMDTPLEKVPLLERFVNGDDTFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGTNYLELGVDIGSSTVARGVVSLVLGYLSNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSLDEC* >Brasy3G245500.1.p pacid=40043437 transcript=Brasy3G245500.1 locus=Brasy3G245500 ID=Brasy3G245500.1.v1.1 annot-version=v1.1 MDSIAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSNESIFSRKYGLLGKEEAHENAKRIEDMCFASADEHFKTEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEAPIIDAPTEHADAVFDISGGKRAFIEADEAKELLSPLTKPGNLYKRICFSNRSFGIGAANVAGPILESIKRQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFKELLESQGNLEELYVMNDGISEEAAKALSELIPSTEKLKVLYFHNNMTGDEGAMSIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTHLKKLDIRDNLFGVEAGVALSKTLPKLHDLVELYLSDLNLENEGTLAIVNVLKQSAPQLEILEMAGNEITAEATQDLAECLNAMQSLKKLTLAENELKDAGAVVIAQSLEGGHPDLKELDVSANMFQRAGARCFAQAITNKPGFMQLNINGNYISDEGIDEVKAILKGGKNSLEVLGPLDENEPDGEQEYDDEEEDDNDQVENGEDDGGDGLDTKLQHLKVEQDD* >Brasy3G245500.2.p pacid=40043438 transcript=Brasy3G245500.2 locus=Brasy3G245500 ID=Brasy3G245500.2.v1.1 annot-version=v1.1 MDSIAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSNESIFSRKYGLLGKEEAHENAKRIEDMCFASADEHFKTEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEAPIIDAPTEHADAVFDISGGKRAFIEADEAKELLSPLTKPGNLYKRICFSNRSFGIGAANVAGPILESIKRQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFKELLESQGNLEELYVMNDGISEEAAKALSELIPSTEKLKVLYFHNNMTGDEGAMSIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTHLKKLDIRDNLFGVEAGVALSKTLPKLHDLVELYLSDLNLENEGTLAIVNVLKQSAPQLEILEMAGNEITAEATQDLAECLNAMQSLKKLTLAENELKDAGAVVIAQSLEGGHPDLKELDVSANMFQRAGARCFAQAITNKPGFMQLNINGNYISDEGIDEVKAILKGGKNSLEVLGPLDENEPDGEQEYDDEEEDDNDQVENGEDDGGDGLDTKLQHLKVEQDD* >Brasy3G195300.1.p pacid=40043439 transcript=Brasy3G195300.1 locus=Brasy3G195300 ID=Brasy3G195300.1.v1.1 annot-version=v1.1 MWAWGLAERAAAGLLGPAGVNGGRWSTAVAVGVTAAAGIALVAIVVSSRRGGIKSPWRRRRRKPALASKEWRSLFTLEGKFHDGGVKLLKRVRNGGIEPSIRAEVWPFLLGVYSLDSSEAEREAVKAQNRKGYLLLRKHCLRKNNEESKRSSETDGANHEELLCSGEVKESVTTVGPDEPEKPSVEEHIMREEGTSCVILNQEMQDETAQAILENQTDKNLCSSISRDDDESEKSDVTDAEASRNDVASVHQSSAEDEQESMPRYSNTGGNMELENELSKADRPVKSARTIEDFDTWQRIIRLDAVRANDEWVSYSPSQAEVSREKAIESAQAVCLKDYEHLESHRIHHASRLVAILEAYATYDPEIGYCQGMSDLLAPLLAVLEDDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVSRIIKSKDFRLYRHLEMLEAADCFFVYRMVVVMFRRELTFDQTLSLWEVMWADQAASRAGIATSSWGKLRLAAPPTDDLLLYAIAASVLEKRKLIIESYSSMDEIIRDCNSMAGQLDIWKLLDDAHDLVVTLHDRIE* >Brasy3G060200.1.p pacid=40043440 transcript=Brasy3G060200.1 locus=Brasy3G060200 ID=Brasy3G060200.1.v1.1 annot-version=v1.1 MAGKKQPMPTSPAATAGLRQAAAAAPVAAAGEAVEAARQKAAAFKQKHAEFRAEAAALAADFGVDVNAIVFLPDGVGGALRAVNDFFPGDAEAAAAREHAAEVREARMGVMDLLRKDVNQMNLEEAKAHDKRLLELRAALERRLQENRAAAASGASAADGPKKKMRREE* >Brasy3G058100.1.p pacid=40043441 transcript=Brasy3G058100.1 locus=Brasy3G058100 ID=Brasy3G058100.1.v1.1 annot-version=v1.1 MRLLSFVPCGCRAGPIDDAPPASSDHQHHVSTMSPAARRIRRRRARSLGGSPQWRPALGDIYEESFSAAAGSGAGGAPGTGKPGGGGGGRVVPSGAGGRVLPRSHSREYRHMETATSMPAFAPTAFLF* >Brasy3G149000.1.p pacid=40043442 transcript=Brasy3G149000.1 locus=Brasy3G149000 ID=Brasy3G149000.1.v1.1 annot-version=v1.1 MASALVSASTGSMDSLLGKLSTMLEREYAKNRRIEKDLFFLRNELSSMKAVMQKYAMQNDPDLQVKAWMKEVRELAYDIEDTIDDFMVQDEENPDEPTGIKAFVINNIRKLKELFSTCNIAEEIAELKSQVVEVSDRRKRYKLDESISMASDVAVDPRLPAIYAEVGGLVGIDGKRDKIIKLLIEAEPDGGSWQQLKVVSIVGFGGLGKTTLTYQVYQKIKGQFDCAAFVFVSQRPNVKRILLDILSELGTPGNMWDHERQLINMIREFLHDKRYLIVIDDIWSISAWEILKCVLPDNNSCSRIITTTRVVDVAVTCCSSFGVEGHIYRIKPLCEDDSRRLFLKRIFHTEHSCPSHLEEVSNAILRKCGGLPLAILNIAGLLATKPSTKDEWELVLNSIGSALDNSNTLQGMREILLLSFYDLPHHLKTCLLYLSIYPEDYKIKTKDLKRRWISEGFIAEERGKRLDQIAQSYLNDLINRSMILPVSMGYDGSVQYCQVHDMVLNILISMSTEANFVTIIDGQKPCSFPKRIRRLSLQCNNSEDAVTQTALTKQSSLRSVSIFGFTKEVPNIVNFHALRVLDFSYCDWLKNNHIECIGSILQLRYLVLYSRFITELPERIGKLEQLEIVDVRRCPIRALPEATIRLQKLVCLNVSVVTKLPEMIGNMQCLEELSHVVIPSYSIRLVQELSCLAKLRELVITVEEPVEMGSYGGQFREALVCSLCELGRQNLQDLSLGYKGNESFILDSLMVSCSALQHLRKFAITKPVSIVPKWMSTFASLKHLELYISRMAEIDIDILKELSTLLYLRLVFTGHAPNGKIVIGSQGFQSLKEFSLICFISGMWLVFAPGAMQKLQTYHLTFKLPEAWSDGADFYFGLGHLSSLQHVNAIIVPVGSTNEDTMTAEAAIKSESELHPNKPTTETGIWS* >Brasy3G083400.1.p pacid=40043443 transcript=Brasy3G083400.1 locus=Brasy3G083400 ID=Brasy3G083400.1.v1.1 annot-version=v1.1 MFLAHGTSDGAATRKISRHRNRLFMSTEKKNPTNVQFERQVARLESRQQQSRRVFFTALVPLEFLCDLEPSLHAENGNNHPLSEPTSPEDSLGPASSAQSSPSIIFIHVAAHEDAVRNCSDNPLRRLLQERSTASNSMPDSDFLDTTCANTSVDAKHTTRRKSKKKSKKHRQRLRKPTDGYEIKCAESNSCSHSVDMVDSCEGSTLSPKHVGDIPFDDAFSPSSSVKEASEKAPDSENDNEYTGCSVASISSASCSDETELSRQTTSCLEVFGQCNGSNRRSWDNTSSSKLMDSSQEPGYASRSGKCSDKTMTSNACGHDPCEITELHSFSDGVDDYWLQKSDCDRGFCSQNGVGVCNGAQAVHFCSNGNRSGDFSVVVSRKRARKEKRLSRWKSFSGEHASADAHSPNEKCTGSSSRQVVKELYTKGCSHRQAHVGSIQPQNGVVLKCPIKNFIHEPSNRIPLKHSESGTSQIHFTSPKENSNMKSNGDFDKEQNIGLNKRLPDAVHCRESSSCEMRSNSASEPTTPESAKGNCTSESGKSTSHVVEDFPMNTRVLQGAPQVNYAAGTGSGPPSPDPKSTQTALVVGCGVIPSFEGKHSFRKSCSSEMNLNEMIKVVNDAYEVQIAADVHLSVGYPVTDLETFIYSATPVIGHVPCVKSSNCSQGQLVENPVYQQYKSGISLRNVWEWYEEPGCYGLEVSDLNDHSSKTSHFNDSEFCAYFVPYLSAIQLFGRSKESTDNDFSVQEGDLLEASNTTSLLSSHAVHAKLRKPFAQRNTFFSESAFSAQDHGRLIFEYFETEQPSFRPPLFDKIKELSGLNVSGHPTLGDPEMLQNMKLCDLHPASWYCVAWYPVYRVPHGSFRAAFLTYHSLGKLVPQNFSPDLTGGYTSIVSPVVGLQSYNDKGEQWFELRSPGSMKRSGAEVVKARLTTLKRGAMAMARAVIHRGSAESSVNHHPDYEFFLSRST* >Brasy3G282300.1.p pacid=40043444 transcript=Brasy3G282300.1 locus=Brasy3G282300 ID=Brasy3G282300.1.v1.1 annot-version=v1.1 MAFFFLAAVVLTAVCILASLAVLLFAKRWDAAGESRGKKLPLPPGSMGLPYFGETLHLYSQSPSTFFAAKQTRYGEIFKTYLLGCPCVMLASAEAARFVLVTQERLFKPTYPPSKERMIGPSALFFHRGDYHLRLRRLVQGSLGPDALRALVPDVEAAVASTLAAWDGHVSSTFHAMKRLSFEVGIVTIFGGRLDERHKEGLRKNYAVVEKGYNCFPNRIPGSLYYRALQARKRLRAILGEIVADRRRARGAGAGEDDPAAGGRVDLLGGLMQSAMALTDDQIADNVIGVLFAAQDTTASALTWILKYLRDCPKLLEAVKAEQMATYEANEGGKRPLTWAQTRNDMTLTHVVIMESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPEYFEDPQKFDPSRFKASPRPNTFLPFGSGVHACPGNELAKLEMLVLLHRLVTAYRWEVVGSSEAVEYSPFPVPRRGLRARLWKLDDGNNRVAQAASSA* >Brasy3G327300.1.p pacid=40043445 transcript=Brasy3G327300.1 locus=Brasy3G327300 ID=Brasy3G327300.1.v1.1 annot-version=v1.1 MDKKNQTPQGQIAQVVVEFLEVAVSCIVFLKGFYPARAFERRRYMNVVVQKAVHPQLAGYIHSVTTGLLPFIQKGLVERVVVIFYDKEHVPVEKFVFKLAVNQSFGSKLEESSLEFALRAFLIKLTVSEPLTTPLPSDSSWEITAYFRSLPGVSGKDTEDTVQLWIPTDTKLWMQPPQITPIKSMDCDPLKMQLYLEQPSTMEPKDHSAP* >Brasy3G327300.2.p pacid=40043446 transcript=Brasy3G327300.2 locus=Brasy3G327300 ID=Brasy3G327300.2.v1.1 annot-version=v1.1 MDKKNQTPQGQIAQVVVEFLEVAVSCIVFLKGFYPARAFERRRYMNVVVQKAVHPQLAGYIHSVTTGLLPFIQKGLVERVVVIFYDKEHVPVEKFVFKLAVNQSFGSKLEESSLEFALRAFLIKLTVSEPLTTPLPSDSSWEITAYFRSLPGVSGKDTEDTVQLWIPTDTKLWMQPPQITPIKSMDCDPLKMQLYLEQPSTMEPKDHSAP* >Brasy3G051000.1.p pacid=40043447 transcript=Brasy3G051000.1 locus=Brasy3G051000 ID=Brasy3G051000.1.v1.1 annot-version=v1.1 MLDWIQIRRTSPKKSGESTGSTTIEPQYRLLDHHIFLATGTAAEYSKHQRHDEQQISPSRTAGHRLLDDATKDFAVVKMIYSTRRDLHQINATKRNHDSTIMNTMEEKQWSHVAVGLHRTGATTSGLQASPMQTVAATRCLGIRCSRRSSSGGRRTAQEQRRRRGSRRAGAGAAPAEGKEQGRQRGRKWGGADGTEDRGPAGRGEERREELGNSWMNLMAQMETAQRVGAGERRSGRPA* >Brasy3G242600.1.p pacid=40043448 transcript=Brasy3G242600.1 locus=Brasy3G242600 ID=Brasy3G242600.1.v1.1 annot-version=v1.1 MRPIFIGSSTVKFLAPNAGVEGYGKERRTQRLSYGERSSEGVNDTAGSQSFLLHNAEARADKRPGNTGTHTRRLENRNIDKIQS* >Brasy3G146800.1.p pacid=40043449 transcript=Brasy3G146800.1 locus=Brasy3G146800 ID=Brasy3G146800.1.v1.1 annot-version=v1.1 MEMRMGAAQTGGHFPVQHKSLSLDIKGNKTEIVISKYEDNFLVIVTQIGCMGTILAAKKDESVFSDPTYNVSVLFGKRDEPFLLACARQLIEHISSSGSARPLVISLGLKDHSQGTLKDVISAIIENRLW* >Brasy3G227800.1.p pacid=40043450 transcript=Brasy3G227800.1 locus=Brasy3G227800 ID=Brasy3G227800.1.v1.1 annot-version=v1.1 MLSTAAAAASTSLAAFPTRARRRLLPVAAAADGTERFAASSSIADYLRYRRPGTGTGDVSGGGGGGGGGELQTAVVRYEKRLPWSILHPFLRIDLVSTVHIADKEYFDKLQQALEDYDCVLYEMVTSRDNLNNQKDPMLAKKLKSSRRGFSILGFIQKQMARILSLDYQLDCLDYGDAKWQHADLDYETFKQLQTERGESFFSFALDMTLKSTKALVQPSLPDGLDFWRSKLLLASRVLPMPLVGLFVITGLCLPVDNQDGYPELEALSKLDLGAALKIFLAKQLTSDFTAMTAPAEDKSVIIGERNRVATEKIKDAINRGYKRIAVLYGGGHMPDLDRRLQEELNMVPSDVQWVTAWSIRSRELDRKSLPFLKTMAEISGWPLNRYETLALLIFSSVLAVDLWFWELLVETGVNWASLAGSWIDQFSGAL* >Brasy3G109300.1.p pacid=40043451 transcript=Brasy3G109300.1 locus=Brasy3G109300 ID=Brasy3G109300.1.v1.1 annot-version=v1.1 MGPDPRATRSYALISERMPRSYALISDHTVRETAWPSPKTPQAAAVSDMPRAAHHAMLPALRPRLLLPLARLCRHLSSSSSGVGGPPTAPTDAGAAAAAKAQEAAKARMEAYKQVQDFDWSSGADWKTAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEAEAEAKRKKNEEVEKQKRLEADSVKEDADLKLATVLVRLDTLEGVVKEIADDKMRSSSLDLSTKEKALKKGESSSPDKASDSKRSASDSQLPSVKSNDIKGVTNAPSNATHQDSGN* >Brasy3G211500.1.p pacid=40043452 transcript=Brasy3G211500.1 locus=Brasy3G211500 ID=Brasy3G211500.1.v1.1 annot-version=v1.1 MAGVSTDAVALVALLSAVLVSTGHSQQVNYDTSAARSYNSGWLPAKATWYGAPTGAGPDDNGGACGYKDTNQYPYSSMISCGNEPLFMGGAGCGTCYQIRCNYANNPACSGQPRTVTITDMNYYPVAKYHFDLSGTAFGAMANNGQNDQLRHAGIIDMQFRRVPCNYPGMNVNFHVERGSNPNYLAVLVQHANRDGNVVLMEIMESRYGRPTGQWTAMTRSWGAIWRRDTNRPMQGPFSLRVRSESGSTLVANQAIPADWKPNARYWSNIQYR* >Brasy3G283600.1.p pacid=40043453 transcript=Brasy3G283600.1 locus=Brasy3G283600 ID=Brasy3G283600.1.v1.1 annot-version=v1.1 MADDEAKTTTTKYPLNRESYRLLCKIGSGVSAVVYKAACLPLGSSPVAIKAIDLERSRANLEDVWREAKAMALLSHANVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGVPEPCVAVALRDTLHALAYLHGQGRIHRDIKAGNILVDSDGTVKLGDFGVSASIYETSAATSFCHVPRGGRGRSGKAAVNISGSSSSYFSDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLLRVTSSSRVRLELEPEHDANNRNKKKKLSRAFRDMVASCLCHDPSKRPSAEKLLRHPFFKGCRSKEYLVRNVLVVMPSIEERCNEDDTEDILCCGCASAGEARCVSPCHRQQTATTNIVKNRRISGWNFNADAPLKGDEQRFLGPFDDDEDEDMDGRGACKCAGDEEEEDKEMIIQQQVGDDQEKEGLGLKGLMVPHLMTILGSLEMGKRMLTQELDQGGCGYRLDGCETTAREEMLLAYVCQLERRVEVLALEVEEEITRNAHLEEELLQERAHVHQNQIASSQASDSS* >Brasy3G177100.1.p pacid=40043454 transcript=Brasy3G177100.1 locus=Brasy3G177100 ID=Brasy3G177100.1.v1.1 annot-version=v1.1 MATYRVADASEYLAITGWGIDDVKLAKKAWVYLGQRCKKFSISPVNYEFQVHAMSAEKLPFILPAVFTIGPKITSAPISSSTPSSSRRCAGGSSTARPACSPRKSELTMEEIFKGAKTFKEEVFNKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQQDAASQAKVDVAEAPMKGEVGAKERDGLTRQNAAKVDAETKVLSARQQAQGLKEEAKVKAEVKVFENGREAEVAAAMADLAMKKAAWEKLAKVAEMELERKNALRQIEKLKAEQLSEAIVQYDTQVQVSNAQLYSRQKAAEAALFEQTRAAEARKAQADAQFFEQKMSEDAKLYAKQKEAESLALVGKAKTDYVASMLHELGDNYHALRDYLMIDGDIYSEMARINAGAVNSMKPKISIWSNGNGNGGEEAAAGRAMKEVAGVYKMLPPLLSTVHEQTGMLPPAWMNRDAN* >Brasy3G145500.1.p pacid=40043455 transcript=Brasy3G145500.1 locus=Brasy3G145500 ID=Brasy3G145500.1.v1.1 annot-version=v1.1 MVAVSAVRGRPPAREAGSCASFLSYVVFCWLPCTGSIRCCRRLCVLAAGCGAADRTALWLLPMAPLLLCAGDWTLDTLSSRSMWLAGWCLMRASLALCHLCLMMILLFKINSMTYALDQLHAHVLSY* >Brasy3G025600.1.p pacid=40043456 transcript=Brasy3G025600.1 locus=Brasy3G025600 ID=Brasy3G025600.1.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSVGEDDDDDWDNVDPVEDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELLGSPDDTSLGFLRSDNARRYVRSLPQYPKQQFRSRFPNMSSGAMDLLERMLVFDPNKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSFTEEDIKELIWRESVKFNPEPIH* >Brasy3G025600.2.p pacid=40043457 transcript=Brasy3G025600.2 locus=Brasy3G025600 ID=Brasy3G025600.2.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSVGEDDDDDWDNVDPVEDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELLGSPDDTSLGFLRSDNARRYVRSLPQYPKQQFRSRFPNMSSGAMDLLERMLVFDPNKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSFTEEDIKELIWRESVKFNPEPIH* >Brasy3G025600.6.p pacid=40043458 transcript=Brasy3G025600.6 locus=Brasy3G025600 ID=Brasy3G025600.6.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELLGSPDDTSLGFLRSDNARRYVRSLPQYPKQQFRSRFPNMSSGAMDLLERMLVFDPNKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSFTEEDIKELIWRESVKFNPEPIH* >Brasy3G025600.3.p pacid=40043459 transcript=Brasy3G025600.3 locus=Brasy3G025600 ID=Brasy3G025600.3.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELLGSPDDTSLGFLRSDNARRYVRSLPQYPKQQFRSRFPNMSSGAMDLLERMLVFDPNKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSFTEEDIKELIWRESVKFNPEPIH* >Brasy3G025600.7.p pacid=40043460 transcript=Brasy3G025600.7 locus=Brasy3G025600 ID=Brasy3G025600.7.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSVGEDDDDDWDNVDPVEDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELTRLCAIPTWHPFMR* >Brasy3G025600.4.p pacid=40043461 transcript=Brasy3G025600.4 locus=Brasy3G025600 ID=Brasy3G025600.4.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSVGEDDDDDWDNVDPVEDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELTRLCAIPTWHPFMR* >Brasy3G025600.5.p pacid=40043462 transcript=Brasy3G025600.5 locus=Brasy3G025600 ID=Brasy3G025600.5.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELTRLCAIPTWHPFMR* >Brasy3G025600.8.p pacid=40043463 transcript=Brasy3G025600.8 locus=Brasy3G025600 ID=Brasy3G025600.8.v1.1 annot-version=v1.1 MVSHRKATPGRGLKFKVKLRGSRRAGSGGDDDREPSPPPPFFYPDPTTLSTSAPPLQPPRGSSGDDDREPSPPPPFFYPDPTTLSTSAVPPQPRRVSSGDDDREPSPPPPFFYPDPSAVSTSPLPPQPRRPPLPLSIKFSDEVLDDEDWKKEKPTGSSDEKFYLTLFMSIQKILPRDIVRQDSVLLNKDVYFSLWKNWDRLLDGKRTYLRPPKDHRATSGVFRCPDARPRTLGCPEPLLDLVKEYPKKYRIREMVKILSQRYVLFRRTRRDGNCFYRAFLFSYLENLGQMQDSQAEATRLMERVAMYRENFCRLKWDMAYFLNPEEYFSSVVSEFNDLVNSVANGGSSDMLYERSLQEIMSLRILSLLRLLTETEIRTREEDYRSLIPAGVNVFRYCWTNVRPMDVEVLTLPMRALTYALGIPLRVEAVGGGKTDGIIEVKRLDFFPRSESGKGLLHLVESYWSSMTAPEPLEMGSGNLFSSDGTPLLTLLCSAAINVQTREEVAIKKISNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVCELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTGAIDMWSVGCILGEIATREPLFPGKDYVHQLRLITELTRLCAIPTWHPFMR* >Brasy3G052400.1.p pacid=40043464 transcript=Brasy3G052400.1 locus=Brasy3G052400 ID=Brasy3G052400.1.v1.1 annot-version=v1.1 MQIGSEIPSTDRRSALRIPVAAQTPPLRANRRPCRLEVKPPDRSPRPPSAAPLPLRTCRNRHMPEDPAAVMPGQHHVQAGGLASRAADPTQGGAVAPPPPATTKLRWAAPFGGGGEGGGRGGGGLAAGTRVPSPSPHGGDARETTTNGLRHKLISLQKSSFMMVIKLS* >Brasy3G314300.1.p pacid=40043465 transcript=Brasy3G314300.1 locus=Brasy3G314300 ID=Brasy3G314300.1.v1.1 annot-version=v1.1 MSTAVAPGMARGSHGFRRGAGAEEKGKQRADSEERDRGGGGDGDGFVLREEDEEGEEEARSESSSIGAASSESSSIGEDSSSEKEEDGEEEVESEARAPVEEVLGMGLGSLDSLEDALPSKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLATAYLPPLLSLDHTVVEGDEHEEEEDEDEKAAAPPSRFPPPRLSGGLHSASQKGGGAMGMGRSGMSPRGGSSFRSPRSFSLSDLQNASYD* >Brasy3G249300.1.p pacid=40043466 transcript=Brasy3G249300.1 locus=Brasy3G249300 ID=Brasy3G249300.1.v1.1 annot-version=v1.1 MPKQFGGLGIPNLSLLNAALRRWLERVDETNPWRELTFRSSELVDVIFEAATSSRLGDGRSSLFWTDRWLDGRRIRDCFPSLAAAVHPRISRTRSVRDGVLGAWISDVGPDLGVEAIGEFLTLWERLLRFQLLDTNICKPLWKFCLS* >Brasy3G084100.1.p pacid=40043467 transcript=Brasy3G084100.1 locus=Brasy3G084100 ID=Brasy3G084100.1.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPEEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTFSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLIVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKTATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEYTYPEHFDIGTYPRLRRLNTELLKMFRKIGAQVDEHTEMKSECEHFGHCSGPMNEYYHTSVKEAQDALNVFIGLARGLLAEIHAIGGQHHIPDQLKDKIKLERYAPFGALAYLPGANYYHAEEMVKILITEMLRNKMGWGGVRLHSAGQILCRRSFV* >Brasy3G084100.4.p pacid=40043468 transcript=Brasy3G084100.4 locus=Brasy3G084100 ID=Brasy3G084100.4.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPEEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTFSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLIVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKTATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEYTYPEHFDIGTYPRLRRLNTELLKMFRKIGAQVDEHTEMKSECEHFGHCSGPMNEYYHTSVKAEIHAIGGQHHIPDQLKDKIKLERYAPFGALAYLPGANYYHAEEMVKILITEMLRNKMGWGGVRLHSAGQILCRRSFV* >Brasy3G084100.2.p pacid=40043469 transcript=Brasy3G084100.2 locus=Brasy3G084100 ID=Brasy3G084100.2.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPEEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTFSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLIVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKTATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEYTYPEHFDIGTYPRLRRLNTELLKMFRKIGAQVDEHTEMKSECEHFGHCSGPMNEYYHTSVKEAQDALNVFIGLARGLLAEIHAIGGQHHIPDQLKDKIKLERYAPFGALAYLPGANYYHAEEMFSRRANPVIVTSV* >Brasy3G084100.3.p pacid=40043470 transcript=Brasy3G084100.3 locus=Brasy3G084100 ID=Brasy3G084100.3.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPEEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTFSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLIVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKTATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEYTYPEHFDIGTYPRLRRLNTELLKMFRKIGAQVDEHTEMKSECEHFGHCSGPMNEYYHTSVKAEIHAIGGQHHIPDQLKDKIKLERYAPFGALAYLPGANYYHAEEMFSRRANPVIVTSV* >Brasy3G084100.5.p pacid=40043471 transcript=Brasy3G084100.5 locus=Brasy3G084100 ID=Brasy3G084100.5.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPEEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTFSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLIVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKTATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEPRTYETSHPLIERMLARCIAEYEVLDVLLNMRLIVSSVSTCDASTSSGGMLSSRWRSLMTKTNDHELV* >Brasy3G252000.1.p pacid=40043472 transcript=Brasy3G252000.1 locus=Brasy3G252000 ID=Brasy3G252000.1.v1.1 annot-version=v1.1 MSQAIPLRHQDCESNWIGHVDGCCSALRRRGVGAVARFAAFVRGTHSRACWSYPRETLNHSPTFFSRSRDSVQWRRRPVTLGWLMRRHWPAPRTLSLLQQSPPTIDVDLPLAGFLQSPGTTMGQSTPPETSPSTRRCSRCHPPQGRRDLPPHRQHHHTLQPRCRLLCWLSLLLGSGLTVDGCVGKGFFQVIWPLLFACLCGMWLHAMFTGDFCSCSCRLSSWRRRFLLCCCYFGPPLQETKFWDDKKKLGTEAIYGPAFNIRSDFNAHILSSYLAWSVLVHPA* >Brasy3G239600.1.p pacid=40043473 transcript=Brasy3G239600.1 locus=Brasy3G239600 ID=Brasy3G239600.1.v1.1 annot-version=v1.1 MAAVAPPPDIPDPPPSTPPTANTTPPATATSTPPNPTTPNPPNPNPTMPSPNPNPVATPPVVLPPPPPAPVPFAPSFRPLGAPPPPQVQPYGAIRNPGYPMGQPMQPPGVHHIMRPPTMYAPQPVPYMTQPGAAVPPGMHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G239600.3.p pacid=40043474 transcript=Brasy3G239600.3 locus=Brasy3G239600 ID=Brasy3G239600.3.v1.1 annot-version=v1.1 MAAVAPPPDIPDPPPSTPPTANTTPPATATSTPPNPTTPNPPNPNPTMPSPNPNPVATPPVVLPPPPPAPVPFAPSFRPLGAPPPPQVQPYGAIRNPGYPMGQPMQPPGVHHIMRPPTMYAPQPVPYMTQPGAAVPPGMHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G239600.4.p pacid=40043475 transcript=Brasy3G239600.4 locus=Brasy3G239600 ID=Brasy3G239600.4.v1.1 annot-version=v1.1 MHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G239600.6.p pacid=40043476 transcript=Brasy3G239600.6 locus=Brasy3G239600 ID=Brasy3G239600.6.v1.1 annot-version=v1.1 MHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G239600.5.p pacid=40043477 transcript=Brasy3G239600.5 locus=Brasy3G239600 ID=Brasy3G239600.5.v1.1 annot-version=v1.1 MHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G239600.2.p pacid=40043478 transcript=Brasy3G239600.2 locus=Brasy3G239600 ID=Brasy3G239600.2.v1.1 annot-version=v1.1 MHRYPGPYTMMRPGFPPRPMPPVGVVPIQRPAIPPGIRGAPPMVAPPVRPPAPAVTLADKPPTAVYVGKIAPTVDNDFLRSLLQLCGPVKSWKRTQNPSNGKPVGFGFCEFESAEGILRATRLLNKLNIDGQELVVNINDATKEYLKRHVEQKKKMLENTKQKEDGGGDGTSVADNESSKLVPDKTEETEDAGDKDNEESSKKFGIVTDDDSEADKEAAEKINSMIEEWLKTRPPPPPPPPPPVLPPTDISSKHKDGESGVDMTKTDSEDKNGDDIDKRAVNETEKTEAGSPDRRKDREHDKEKREKDKELQRYERERERERVRRDRDKEYKYREVERQYKDHLKDWETREREKESQRQYEKEREKEKERERRREILKQEDDSDEEDTRKRRRRGSSTLEERKRRRQREKQEDLLDKLREEEEIADARRRALELQRQADEAAAAAAAVESATLMEVDGDEEKERNEQKKPIVSDDDNIVIFANGVDAGEGTHKDNNGDDATMAQGQIPDPKQNSNGPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNKDKRIRPLVPIDYSTEELQAVEADSSAGQPNIVAAAEFAKRILVSNPKEEKPETEKDRSRRSTDRSSQRDKIRNDEDGARISDDRREKMHDRDRDRHDKPKSENKKILDAKQLIDMIPRTKEELFSYDINWAIYEKHELHERMRPWISKKIIEYLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA* >Brasy3G245300.1.p pacid=40043479 transcript=Brasy3G245300.1 locus=Brasy3G245300 ID=Brasy3G245300.1.v1.1 annot-version=v1.1 MGNAVGGMKRRKTARVMTVDGTTYKYRSPAAACAALREHPGHQLLESEDVRRLGVRARPLEADAPLKPGKLYFLVALPRLARARAPQRTWSGALLGCSGSGAGERLERLMLSSSSRRSASDVAAAVASPMWRRSASDVAAAVASPLSASVEAAADGAVRLRMRLPKAEVARLLEESKDAGEAAERIMRLCVDRDQYCCRSAPATPLLPLPMPMPVPMPMPVPALASSDKSKKHGADAGNKIESLFDSECHSPCIIHFGVA* >Brasy3G245300.4.p pacid=40043480 transcript=Brasy3G245300.4 locus=Brasy3G245300 ID=Brasy3G245300.4.v1.1 annot-version=v1.1 MGNAVGGMKRRKTARVMTVDGTTYKYRSPAAACAALREHPGHQLLESEDVRRLGVRARPLEADAPLKPGKLYFLVALPRLARARAPQRTWSGALLGCSGSGAGERLERLMLSSSSRRSASDVAAAVASPMWRRSASDVAAAVASPLSASVEAAADGAVRLRMRLPKAEVARLLEESKDAGEAAERIMRLCVDRDQYCCRSAPATPLLPLPMPMPVPMPMPVPALASSDKSKKHGADAGNKIESLFDSECHSPCIIHFGVA* >Brasy3G245300.3.p pacid=40043481 transcript=Brasy3G245300.3 locus=Brasy3G245300 ID=Brasy3G245300.3.v1.1 annot-version=v1.1 MGNAVGGMKRRKTARVMTVDGTTYKYRSPAAACAALREHPGHQLLESEDVRRLGVRARPLEADAPLKPGKLYFLVALPRLARARAPQRTWSGALLGCSGSGAGERLERLMLSSSSRRSASDVAAAVASPMWRRSASDVAAAVASPLSASVEAAADGAVRLRMRLPKAEVARLLEESKDAGEAAERIMRLCVDRDQYCCRSAPATPLLPLPMPMPVPMPMPVPALASSDKSKKHGADAGNKIEKRARFEAVPDEIIWF* >Brasy3G245300.2.p pacid=40043482 transcript=Brasy3G245300.2 locus=Brasy3G245300 ID=Brasy3G245300.2.v1.1 annot-version=v1.1 MGNAVGGMKRRKTARVMTVDGTTYKYRSPAAACAALREHPGHQLLESEDVRRLGVRARPLEADAPLKPGKLYFLVALPRLARARAPQRTWSGALLGCSGSGAGERLERLMLSSSSRRSASDVAAAVASPMWRRSASDVAAAVASPLSASVEAAADGAVRLRMRLPKAEVARLLEESKDAGEAAERIMRLCVDRDQYCCRSAPATPLLPLPMPMPVPMPMPVPALASSDKSKKHGADAGNKIEKRARFEAVPDEIIWF* >Brasy3G102000.1.p pacid=40043483 transcript=Brasy3G102000.1 locus=Brasy3G102000 ID=Brasy3G102000.1.v1.1 annot-version=v1.1 MRSVVRSLRQLRRFTQHHAEGHSSINKLIRQQNALILCSSTSRSLGTIRHHHNEGFVSPGVDLLRSMFSTATADSIKDVPGGGPMVEYEKRIASGDLVDGDSFQVDTIQQLQRLYEDLVENEEACQLDRYQSSEKSGRSRWLWTRLITQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRNLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEEGFYFVGKQCSTVLKQKFQSLIGVEEPTPQTVEVVMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKRFHTLAIDGVPKFGYHNRTAAYRFVTLVDVMYENKARLLCTAEAAPIQIFENIVTVAEAQKRSPRSSRSQRSDDPDLCVDNELGFAKDRTISRLTELNSREYLEDFEEKWRQPLQGVDNGADVVLA* >Brasy3G197100.1.p pacid=40043484 transcript=Brasy3G197100.1 locus=Brasy3G197100 ID=Brasy3G197100.1.v1.1 annot-version=v1.1 MLVVELGIWVIPLTLVLVPCRRIVLLLSRLQELQRSMMRPRVVITSREEDMWSRLARLNSMAFML* >Brasy3G274800.1.p pacid=40043485 transcript=Brasy3G274800.1 locus=Brasy3G274800 ID=Brasy3G274800.1.v1.1 annot-version=v1.1 MLQFNCRHHLRFDHVYIFALMNPVFEACFSFVSLWTVLGGYLGVECLCTEDKRAVLEGFVAMYLHRREQVCTPKLNFVSGAKDISLFLCYFWAPKVVQFQ* >Brasy3G135400.1.p pacid=40043486 transcript=Brasy3G135400.1 locus=Brasy3G135400 ID=Brasy3G135400.1.v1.1 annot-version=v1.1 MSAAACVALCPSASSRHGGSSAVVGTPPAAMAAEKEAEKHRLFKGNPEINAAKRDEDGDAAAAGIDDVEHYCDPVVMKPRAVVQKFTCQRKPFVDGFALRRSIGRPELESLDPFLSLDEFEFSPPAGFSDHPHRGFENVTYMLEGGLSYHDFSGHKGTINKGDVQWMTAGRGVVHAEMPGGQGVQRGINLWLNLSSKDKMVAPRYQDLASGEIPTAEKDGVTVKVIAGEALGARSPLQTRTPAMFLDVAMRPGARLRQPVPPGWSACAYVIQGEASFGPQPGTDAASAHECVVFGGEGDGVDVRSGEGGGRLLLLAARPHGEAVVRDGPFVMNTKEEVERAREDYLNRRNGFEMAAGWTSDHAPTAVPR* >Brasy3G237100.1.p pacid=40043487 transcript=Brasy3G237100.1 locus=Brasy3G237100 ID=Brasy3G237100.1.v1.1 annot-version=v1.1 MSAKASAAALFLFALPLLAAASEGACPKTPATAAILRQTSGSCPAADSPGLRGHNVGVVEGGDGVLQRAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESHIKPRMLSRYGVRAFPTLFLVNSTVRVRYHGSRAMNSLVMFYKDVTGINPVSLDAISLERMQDIVNIVENEKKTEQEDSLFLWARSPDRLLHQDTCLAFASTFVILRLFFFLLPKLNACVKQAWRMRLHELKRLFPSLS* >Brasy3G287300.1.p pacid=40043488 transcript=Brasy3G287300.1 locus=Brasy3G287300 ID=Brasy3G287300.1.v1.1 annot-version=v1.1 MASPTAPPSSSSSSSSSCLRGKLFPRLNNINSQSMTSLLRCDTSVLPTAASNSLRMTSSIHWKNNHRTKKFHVCHAGDGPSEHVFINGQANPSKTVQADAAAFGTIAADMAPVVDGFSADDDELDLDLPTEGFWSIPEAIDDIRQGKYVIVVDDEDRENEGDLIMAASMVKPEDMAFIVRHGTGIVCVSMKEDDLERLQLPLMVVAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALASSNSKPDDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQQFAERENLKIISIADLIRYRRKRERLVECVCVTPLQLQWGSFKSYCYRSLIDGMEHIAMVKGDVGDGKDILVRVHSECLTGDIFGSARCDCGNQLSLAMTMIENAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPMKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGANPNGHTSGMKEDQAQEDSGSEQERNPEA* >Brasy3G221400.1.p pacid=40043489 transcript=Brasy3G221400.1 locus=Brasy3G221400 ID=Brasy3G221400.1.v1.1 annot-version=v1.1 MSSPARSTVSAASAGGGTATSAADDVADSIDALYRKDEAMAELRSEVIEALRKEVKSLDDDSWMFAAPRTRINLVSRPGAYLPKQQGKIVELDQASKKTRNC* >Brasy3G115800.1.p pacid=40043490 transcript=Brasy3G115800.1 locus=Brasy3G115800 ID=Brasy3G115800.1.v1.1 annot-version=v1.1 MDSSRVPKRKAPCGTVWLRLLRAKTYNYHGWTVAKRGPPCQQGDNLEVAKDTMPVIELDEFPEDILHHIHSLLPLRDAACVSHRFLSSWRCFPNLTFNWKTIGFNLDEDTYETAKKFKEYMSRIYHIFENHSGTGVKTLELNLRPWGNDFTASHLDIWLQTAVKSGILELVVDLPDHSPKYNFPCLLLSCAASSIQSLSLASCVFRPTVMIGYLRNLKSLYLRLVTMRN* >Brasy3G230500.1.p pacid=40043491 transcript=Brasy3G230500.1 locus=Brasy3G230500 ID=Brasy3G230500.1.v1.1 annot-version=v1.1 MRDLSRRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFASRPSSVAIDIVGCRGQGIGFAPYGDRWRQMKKICVTELLSAKQVRRVESIRADEVGRLLRSVSAAAAGGSSVNLSKRASALANDIVAMAMFGGKCADRKAEFVLAYDQVSELVAGFFPLDFFPSSRLVRRLSTVERRLLRSYGRIQRIIASIVESRRHAAASNGDQEDLLGVLLRLQEEDSLAFPLTTEIIGAIMFDIFGGATTTLGSTVEWAMSELLKKPEAMAKAQLEVRNVLGASRGVITNTDLGGLNYLQMVIKEVLRLHPPNPMLVPRTKVHINAFAISRDARYWDNPEAFNPERFENSNADYKGTHFEFTPFGAGRRQCPAILFGTSAVEIALANLLYHFDWVLADGSNPDLLDMSETFGMGVRKKLELHLRAIPYKHSSVT* >Brasy3G208000.1.p pacid=40043492 transcript=Brasy3G208000.1 locus=Brasy3G208000 ID=Brasy3G208000.1.v1.1 annot-version=v1.1 MSNGQDLAPDADGAAMSYVSTTACSSFLNNFIYAAHHTQERASGYYGLVATMDVYGHNLNVDQLTMGAIWIINSNGHVFNINAITVGWLVWPSHFNDSRTYLFTEWINDSNGQRKGCINGDCNPGFQFVSGSPIFPGDVLDPVSQPNNARQNLTIKVFKEKSSGYWWVHCGFNGDPVPVGFFRGTLFDSLSRKAAKILVGGYTIKYKEDVPSPPMGSGAFEFSDTRKAALVRDIQFIDEDGNSTPIGDDDMLATIADDRLYFASPIAGGQFSYGGPGGYA* >Brasy3G035700.1.p pacid=40043493 transcript=Brasy3G035700.1 locus=Brasy3G035700 ID=Brasy3G035700.1.v1.1 annot-version=v1.1 MATKLPTRSRSPATTVLFVPVAVLIIFVLLRRPSMDPYAPGTPRSSVSSRRAELYGRMAGDLDEHGAAFLKGGETSQSLTLSDLFDVRDGSVVPKLKAADPPVRANVLYLDPEFAAVLCKAVKEVFLPHFDQVIWFQNSSMYHFSMFHASHHLEPILATEDEIEAEVDAVKRVTEAVCPLKIVLDRVVLTSTGVLLGLWQVESGTDPADIRSKLREALPRAPQKQLYDPVLLHTSFARVLGPPKLPQEENTSSFDHVKFFHDLVAQVNKKIRSFQAKVSELWYVEEHDVLALALNGKMKVRRLHLGCNGAQDN* >Brasy3G003100.1.p pacid=40043494 transcript=Brasy3G003100.1 locus=Brasy3G003100 ID=Brasy3G003100.1.v1.1 annot-version=v1.1 MGTYRRLGGRERPDPMGRGRGLPDPALSVIGGRHGRRGPASEGWGRERRRRERGSGARGWRRRERGSGARGWRRRWALGSRWLGEIGWKRGREKRDREGWGSQIGRDGDGERRKYREAGRDMGPWIRFLCCSANSVTAHGSVLLSTPENLENISGGSNCKARH* >Brasy3G121400.1.p pacid=40043495 transcript=Brasy3G121400.1 locus=Brasy3G121400 ID=Brasy3G121400.1.v1.1 annot-version=v1.1 MRPDLPVEIVSDEEMAIIEAALAAAASATARPLLSAASSATARPLLSAAGRGAATISYAAYTTAGDIEDSPPSQRRSLLSRFRERRALAVTDITATEWCDKQMQFVLEHGKPERTEAMKAGSDRHAQLEEEVIERVDVAIRSAEESWAVKFVNFIVGTNQLLCNGMTRELPVIGVVEGSWMVGIIDEIQMPVDDVSSHPILVDTKTRFKPTIPSEAQKRNGRLQLMCYKYLWDSSVGEKFPAENFFSYFDLDPSYLLSDDVKLYISSLGFNAKNFGDVLKYYKIACHTLSRSQEQLTLRYELQADHSLLEEYKFSYDDRWFKDQIQEALSFWLGAREPKFVTEEEWWKCKFCKFAPNCPKIDSISRC* >Brasy3G121400.2.p pacid=40043496 transcript=Brasy3G121400.2 locus=Brasy3G121400 ID=Brasy3G121400.2.v1.1 annot-version=v1.1 MRPDLPVEIVSDEEMAIIEAALAAAASATARPLLSAASSATARPLLSAAGRGAATISYAAYTTAGDIEDSPPSQRRSLLSRFRERRALAVTDITATEWCDKQMQFVLEHGKPERTEAMKAGSDRHAQLEEEVIERVDVAIRSAEESWAVKFVNFIVGTNQLLCNGMTRELPVIGVVEGSWMVGIIDEIQMPVDDVSSHPILVDTKTRFKPTIPSEAQKRNGSSVGEKFPAENFFSYFDLDPSYLLSDDVKLYISSLGFNAKNFGDVLKYYKIACHTLSRSQEQLTLRYELQADHSLLEEYKFSYDDRWFKDQIQEALSFWLGAREPKFVTEEEWWKCKFCKFAPNCPKIDSISRC* >Brasy3G039100.1.p pacid=40043497 transcript=Brasy3G039100.1 locus=Brasy3G039100 ID=Brasy3G039100.1.v1.1 annot-version=v1.1 MEAAIVTVSTGVLKPLLSKLFKLLQEEHAKLKRVHRDARFIGDEMRSMKAALEVLADEEQLEPEMRVWRDDVRELSYDMEDCLDDFVARVDYDPDGSTALKKLFDMLKKRKPRHQIANKIEKLKARATEASERHKRYNIVRPRPDLRSYAVDPRLPALYVEVDKLVGIEGPKDDIIEWFQMEGFSTQLQVLSIVGCGGLGKTTLANQVYHAIATQFSCAAFVSVSRKPNMRKILKDIARGVGVTDNAPDDDVQQLVDKLRQHLQDKRYFVVIDDVWSTEEWETIRLALWNNNSGSRIMTTTRNSRVASCCSSQGGRVYEMEPLGFADSKRLFFKRAFDSEDLCYPHLKKVSDEILRKCSGLPLAIITVSSLLADQQAEDEWKRVLAAIGSALAKDPGADKMTKILSLSYFDLPHHLRTCLLYLSIFPEDHTICKQHLISRWTAEGFIHEKQGQSRYEAGEDYFNELINRSLIQPIDTKYGQVDSCRVHDIILDFITCKAAEENFVTSFNDVEHGHSSDYRVRRLIVENSNEEQATISTSLILSQVRSLTVYAHYARVSLVSFPALRVLDLGKCWWLEDNHITKIGKLFLLKYLRLANVELLPKEIGELQYLETLDITDTRILELPSAITSLQRLASLYVHSHTRFPDGMIGKMQSLEDLGTFGVYSYEQGKPLQELSQLTKLWRLQVLLGRFELWEGTAQIEGLHSYLGTLISSCSLRRLQIRKFEYLSETTYFPLSLESWCPTTPCSLQELHITYCYIDKVPDWMRSLQNLRELELYIVTVAPEDVRILGSIPTLLFLTLKTFCGTDGRILIRGFSSLKYFCLELLYCGTSLEFEAGSMPRLEHLNLEFRVHQMECLNGSSSFGIQHLSALLKVDVCIFCNFGNSDNPMAGLDNCFGKYIGSLIETAIKTLPNSSTLLLPYGNVAYGNVDCEHYTKIIETDYEEEDGGGGAGASHWHV* >Brasy3G235500.1.p pacid=40043498 transcript=Brasy3G235500.1 locus=Brasy3G235500 ID=Brasy3G235500.1.v1.1 annot-version=v1.1 MESGSSGSLQSSSCGGGGGEEEFDSRCADSSPLSALLRQSAAGFGGSFYGLRHELETPSSSTPLPPLPQAVHHWSPALPVPAGNNDGASPSSSSSHGVPPAAPAQASSSAAAAGAGRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGVPSPPFAPTATTMSSRFDHLFHSSLRSSPNNPAASSSFPPYLLRPFARQKHQQPSSLFPSSSFASPPPPSQPSIGGSAPLVTAACVSSSSSYQYQHHLLSPDPASAAALLGSSRDHHYLSSFQSPLAVAAGATHTMFDTLSAPTLRPQEQDPAAASSSFLGQRTHQYHGILGTEGTHSHLHPRVVADHGHGRRDQDELSGLVGSGVCGRTTTYDSSSVPGAAALAAPRLLDRNVDSTTSLAGATTTTTTTTAAAAAMRTQGVDAWICTSE* >Brasy3G286500.1.p pacid=40043499 transcript=Brasy3G286500.1 locus=Brasy3G286500 ID=Brasy3G286500.1.v1.1 annot-version=v1.1 MATLTMQPIDPAPAAHEGSSRENKLSEDGGDLGDIDSGWVFLGKSDIVPADLAAAAAAHGGLRSSSAIPTWALRVLGGMVYTVVPFYKRVRNFEDETVGCVETAVEDVEHAAEVTEKLAADVADQLPENGSLQKALEKVEYIADVVDKDAEKFEAIVEKIDKYSDKIDAEVEPVIEELEKELNQV* >Brasy3G286500.2.p pacid=40043500 transcript=Brasy3G286500.2 locus=Brasy3G286500 ID=Brasy3G286500.2.v1.1 annot-version=v1.1 MATLTMQPIDPAPAAHEGSRENKLSEDGGDLGDIDSGWVFLGKSDIVPADLAAAAAAHGGLRSSSAIPTWALRVLGGMVYTVVPFYKRVRNFEDETVGCVETAVEDVEHAAEVTEKLAADVADQLPENGSLQKALEKVEYIADVVDKDAEKFEAIVEKIDKYSDKIDAEVEPVIEELEKELNQV* >Brasy3G155100.1.p pacid=40043501 transcript=Brasy3G155100.1 locus=Brasy3G155100 ID=Brasy3G155100.1.v1.1 annot-version=v1.1 MEQNQMARVKLGTQGLEVSKIGYGCMGLTGVYNSPVPEDAGVAVIRRAFDAGVTFFDTADAYGPHTNEVLLGKALKQVPRESVQVATKCGIAGFDISGMLVKGTPEYVRACCEASLARLDVDYIDLYFQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPLCSHFMLASWNLQITYFSCGSLSSFRELGIGIVPYSPLGRGFFAGRAAVESIPSESLLSKHPRYTGENLEKNKVLYTRLEKLSIKYGCTPAQLALAWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSNEDLKEISAAIPAGEVAGSRVIGILEPYSWRVANTPSPK* >Brasy3G155100.2.p pacid=40043502 transcript=Brasy3G155100.2 locus=Brasy3G155100 ID=Brasy3G155100.2.v1.1 annot-version=v1.1 MEQNQMARVKLGTQGLEVSKIGYGCMGLTGVYNSPVPEDAGVAVIRRAFDAGVTFFDTADAYGPHTNEVLLGKALKQVPRESVQVATKCGIAGFDISGMLVKGTPEYVRACCEASLARLDVDYIDLYFQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPLCRELGIGIVPYSPLGRGFFAGRAAVESIPSESLLSKHPRYTGENLEKNKVLYTRLEKLSIKYGCTPAQLALAWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSNEDLKEISAAIPAGEVAGSRVIGILEPYSWRVANTPSPK* >Brasy3G112500.1.p pacid=40043503 transcript=Brasy3G112500.1 locus=Brasy3G112500 ID=Brasy3G112500.1.v1.1 annot-version=v1.1 MRGALLLKEPRCSAADNDRFLDIVDRYSARSDDSRRLQERPQLHYQVGVTPPKMAVHVEWVLAHRTAFLRS* >Brasy3G102500.1.p pacid=40043504 transcript=Brasy3G102500.1 locus=Brasy3G102500 ID=Brasy3G102500.1.v1.1 annot-version=v1.1 MDGLLRADHLEDTSSIASEVSELAVLGTQHAAQAAPLVDLEDEIEIAAPDDAAGEESCKDLTAQDEVEEAPTAKKSAEAKASAMVNAWNPTANKELMDKLSSEIKLRVDTFEKAVEDVFNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDFFLYVKQDLELLQKLANAKELDKAVLAETIEKVLTRWEKLLSTHISEGMEKMTEALKELRALLAGPDATLPPPDADGVSAYKGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKREALATTKKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNLSINVKKTADRGELAKAEAEKHIEYAGESSKSSQPKPVRSLLTYMQSSSCEE* >Brasy3G140400.1.p pacid=40043505 transcript=Brasy3G140400.1 locus=Brasy3G140400 ID=Brasy3G140400.1.v1.1 annot-version=v1.1 MASSSRKLAAAVAVLAVCAALAATPATAYPPESCATQSKYFINCLRRGFGPRCCAMVENPKCFCVVEQEAEIRCVPGRSCPSRGVAKVVKVAELHLSCMKDLKCKRA* >Brasy3G038100.1.p pacid=40043506 transcript=Brasy3G038100.1 locus=Brasy3G038100 ID=Brasy3G038100.1.v1.1 annot-version=v1.1 MPTVNVLEVARVAPPATPPGDGSEGSPLLGLSALEVPWIALPLIQRLLIFVDNNSDAKLPPFASMVASLRASLAATLARFPPLAGRIVFLPATADAAIDCSCRASDGVRFVVAEIEDADAARLVGDADHDTDAFRELVPELETGALPAEVLAVQVTRLKGGVAVGVAMHHAVVDGRSVWRILEAWAAACRGGDAVAPAFERAVVAVPGGEELVRSTLRKYSPDLPLATMIIPEDGPTLSRRTFTVTVPHIHRLKQRITDDLTSSPTHYSSFVALAALTWASFVRSKHPSAISPDDDVYLFFFVDCRGRRGIEPPVPETHFGTCISGALAKATARDLLAEDGLAFAAAAVQAEVRRAAEDPLALWDWMELLHWMPLDRLVNVSGSTRFPAYEAADFGWGPPGRTELVTMNAGGQLVLVAAKGGAGAVQASVCMEAEHMDAFNSHFLGLVD* >Brasy3G252400.1.p pacid=40043507 transcript=Brasy3G252400.1 locus=Brasy3G252400 ID=Brasy3G252400.1.v1.1 annot-version=v1.1 MRPARTEPPPLTSLSLGSVALGFLSRTATLPRRSRIISMALAVTPLFYSGRSEIPSLGRGSTGLFLRKRHPRAARRPASALPAVDYAGRLKPSTTDLECRLPWRPPYLGKSMKDYLAVILERKKSPSRLVVEEATNDRLRAAPGHHGRGSSSSTATLSCSWMLICGRFATFFWNFCFLMTAR* >Brasy3G245000.1.p pacid=40043508 transcript=Brasy3G245000.1 locus=Brasy3G245000 ID=Brasy3G245000.1.v1.1 annot-version=v1.1 MERDFLGAIGRKEEEQEESETTACRTESGRDYPAARWQFQAKAGAAPAFMSFRAGTGAREDSKEAAFDQFSFSGFRQPPPAVPAGDSFDGIRKHHASSTVTMPHHQRQFGFDGQASSNPRQYVAMDPYAVPGHHLQGGSRSFNHPMSFNPGNQMVRVQSLPSAAGSGVPFRNQYFTNNNAMASSKVGVYSGARDLRNPKAPQMTIFYNGSVNVFDVPVDKARQLMVLASRASIPSPPTASQRSDLPVSANVKFMVPEVSPARIIVQRPETSVPLVSGISSPITVVSQAVILPRSTSIPNNDSSGPASAAVTSAVPPVAQASSSQPMPQANAAAEAIAPRAVPQARKASLARFLEKRKERVSIVAPYPSSKSPLESNDTLGSSSTPSKSSCTDIAPSSNNGEESTSLGLPRNISFGSEKFPSTKLQI* >Brasy3G245000.2.p pacid=40043509 transcript=Brasy3G245000.2 locus=Brasy3G245000 ID=Brasy3G245000.2.v1.1 annot-version=v1.1 MERDFLGAIGRKEEEQEESETTACRTESDYPAARWQFQAKAGAAPAFMSFRAGTGAREDSKEAAFDQFSFSGFRQPPPAVPAGDSFDGIRKHHASSTVTMPHHQRQFGFDGQASSNPRQYVAMDPYAVPGHHLQGGSRSFNHPMSFNPGNQMVRVQSLPSAAGSGVPFRNQYFTNNNAMASSKVGVYSGARDLRNPKAPQMTIFYNGSVNVFDVPVDKARQLMVLASRASIPSPPTASQRSDLPVSANVKFMVPEVSPARIIVQRPETSVPLVSGISSPITVVSQAVILPRSTSIPNNDSSGPASAAVTSAVPPVAQASSSQPMPQANAAAEAIAPRAVPQARKASLARFLEKRKERVSIVAPYPSSKSPLESNDTLGSSSTPSKSSCTDIAPSSNNGEESTSLGLPRNISFGSEKFPSTKLQI* >Brasy3G245000.3.p pacid=40043510 transcript=Brasy3G245000.3 locus=Brasy3G245000 ID=Brasy3G245000.3.v1.1 annot-version=v1.1 MSFRAGTGAREDSKEAAFDQFSFSGFRQPPPAVPAGDSFDGIRKHHASSTVTMPHHQRQFGFDGQASSNPRQYVAMDPYAVPGHHLQGGSRSFNHPMSFNPGNQMVRVQSLPSAAGSGVPFRNQYFTNNNAMASSKVGVYSGARDLRNPKAPQMTIFYNGSVNVFDVPVDKARQLMVLASRASIPSPPTASQRSDLPVSANVKFMVPEVSPARIIVQRPETSVPLVSGISSPITVVSQAVILPRSTSIPNNDSSGPASAAVTSAVPPVAQASSSQPMPQANAAAEAIAPRAVPQARKASLARFLEKRKERVSIVAPYPSSKSPLESNDTLGSSSTPSKSSCTDIAPSSNNGEESTSLGLPRNISFGSEKFPSTKLQI* >Brasy3G142200.1.p pacid=40043511 transcript=Brasy3G142200.1 locus=Brasy3G142200 ID=Brasy3G142200.1.v1.1 annot-version=v1.1 MEASASSTPQALTLLTSSPRFLSLHHSARRRGRGSGGGLLLRPPPRRRLELGYRANRPLGCSVSGSTAVSVDVLGGSVAAAAALLAALQLLWLRWRGARDGESPEVLCTQDKSIVNKELRTTKQSVYDSNYAPCATHENGFSELSVSGGMTPEVDFPGIPCVDTVNKTTQAVSAITPGTSLQTLFDVSGTEEASCSTSAEKTSSTENIMNVLAMPHSLSQGQHTKYISNRMGWQGGLSHHFLSLSERKEHAQIDKGPSDSKTDNENAHLLQCQQSDQEENLDLTSLSSFKRTVECPLNFVPQARTGNLFKSRKTIEFTDPYEGGSYLTPGKLVPVACLREGPASKQKKAVKDHDAAKTIGWTISDILSKENLENFIPAKTLGLNGTADTSDYIRRYNSSLMDGRLKDCVDLLENMEQKGLLDMKKIHHASFLIACKKQRAVMEAVRFCRLIANPKMSTFNMLLSVCAHSQDFNGALQVMMLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYGALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEPSESKGWKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVIPDEMFLSALVDVAGHARRADAAFEIIKDVRAKGFHVGIMAYSSFMGACCNAKDWKKALQLFEEIKISRLTPTVPMMNALITSLCDGDQVQKSIEVLNELKEFGVRPNEITYSVLCVACERNGEAQLGIDLFEQLKVDGIGLNPTIVGCVTGLCLQKFSNDLSFGNIIVRFNLGKPQIDNKWTTSAIMVFRQAITAGLLPSSDVLSQVLGCLRFPHDSSLKSTFIDNMGISCDMPHHPNTYSLLEGFGEYDVRAFSILEEAALLGAVASASTKHSQIVIDARKSKMYTAEVSLLMTLKSLKHRLAAGARLPNVTILLPTEKKQVDLDEREKTLKLAGRVGQAVGSLLRRLGIKYQGEESHGRMRINGLTLRRWFNPKFTSTPSTGTPAELLPLPSRLAKGIADQQRDIRSLSL* >Brasy3G051800.1.p pacid=40043512 transcript=Brasy3G051800.1 locus=Brasy3G051800 ID=Brasy3G051800.1.v1.1 annot-version=v1.1 MTLLKTLFRSLLNDSFFEETATAVSYREIRRRDLSFSLPDFVADIEEAIKPVLIAYSKGDTETLKKYCSNEVIERCEGERQAYASHNMFFRNKVLHISEPHVIEAKMLGSTPLIILGFQTQQIHCIHNRDGQITDGGQDTIKTVYYKWAMQLMDSDELPEAESYYPVWRLREMQQVDVKALI* >Brasy3G275200.1.p pacid=40043513 transcript=Brasy3G275200.1 locus=Brasy3G275200 ID=Brasy3G275200.1.v1.1 annot-version=v1.1 MASCEMTASEVAALLDLKPHPEGGYYAETFRDSSVSLTTAQLPPQYKVDRAVSTAIYFLLPAGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHIDAGQRPQYTVPPNVWFGSFPTLDVESFASDGSFLLKSRKRDPEQHYSLVGCTCAPGFQYEDFEMATFDDVKSIAPKSEPFLNYLIPSDK* >Brasy3G013000.1.p pacid=40043514 transcript=Brasy3G013000.1 locus=Brasy3G013000 ID=Brasy3G013000.1.v1.1 annot-version=v1.1 MMSDVAVVPVPVVPSRDWSELPLDVLCFLFSDKLGAVDVLMGAGLVCRSWLQAAKAPDVWRSVEMEGRNDMTDRHALRAMAKAAVDRSAGRLEVFAGTRSPALKTLRLTGFYCLDRVFIQVMKKSSLVELECLEIEESGITGKELTAILDGCPRLERSSSCSAAK* >Brasy3G012800.1.p pacid=40043515 transcript=Brasy3G012800.1 locus=Brasy3G012800 ID=Brasy3G012800.1.v1.1 annot-version=v1.1 MGERRELNPRMVDSQSTALIHLATSAPYPATGFFSFFHSSLFYLF* >Brasy3G030800.1.p pacid=40043516 transcript=Brasy3G030800.1 locus=Brasy3G030800 ID=Brasy3G030800.1.v1.1 annot-version=v1.1 MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKARAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGMQSNVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDFMPIARGEKRSVEVVKVTDEMKAFKAYGKLRVERMNQRQVGARMKKAAEAEKDEKK* >Brasy3G234900.1.p pacid=40043517 transcript=Brasy3G234900.1 locus=Brasy3G234900 ID=Brasy3G234900.1.v1.1 annot-version=v1.1 MGRGMAAMGGDDQKTSWPEVVGWDVIAAAEKVTGDRPDVHLEVHRVGYNAPPGYDAERVRLIIVADPGTTIAQVPVVG* >Brasy3G038700.1.p pacid=40043518 transcript=Brasy3G038700.1 locus=Brasy3G038700 ID=Brasy3G038700.1.v1.1 annot-version=v1.1 MLLLPTLLRRAHPHHPPRRHLSRLLDRYGFAPPASLTPNPREAPHAAADKKRRAKKPPYRPPSSLDRGGRPASHSDLPFDFRFSYTESTPDAKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTIRDVHAEDPAPAAEKDLQEARRRERERVLGEPLTPAERTFFVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRHGGQLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVVETKAMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIIWRGKDYDESMQNNLQGSFPSVLESESASVKNANDEQEEASSESASVKNENGEQGETSSDWSFDECSENSSSDEVPDDK* >Brasy3G038700.4.p pacid=40043519 transcript=Brasy3G038700.4 locus=Brasy3G038700 ID=Brasy3G038700.4.v1.1 annot-version=v1.1 MLLLPTLLRRAHPHHPPRRHLSRLLDRYGFAPPASLTPNPREAPHAAADKKRRAKKPPYRPPSSLDRGGRPASHSDLPFDFRFSYTESTPDAKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTIRDVHAEDPAPAAEKDLQEARRRERERVLGEPLTPAERTFFVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRHGGQLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVVETKAMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIIWRGKDYDESMQNNLQGSFPSVLESESASVKNANDEQEEASSESASVKNENGEQGETSSDWSFDECSENSSSDEVPDDK* >Brasy3G038700.2.p pacid=40043520 transcript=Brasy3G038700.2 locus=Brasy3G038700 ID=Brasy3G038700.2.v1.1 annot-version=v1.1 MLLLPTLLRRAHPHHPPRRHLSRLLDRYGFAPPASLTPNPREAPHAAADKKRRAKKPPYRPPSSLDRGGRPASHSDLPFDFRFSYTESTPDAKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTIRDVHAEDPAPAAEKDLQEARRRERERVLGEPLTPAERTFFVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRHGGQLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVVETKAMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIIWRGKDYDESMQNNLQGSFPSVLESESASVKNANDEQEEASSESASVKNENGEQGETSSDWSFDECSENSSSDEVPDDK* >Brasy3G038700.3.p pacid=40043521 transcript=Brasy3G038700.3 locus=Brasy3G038700 ID=Brasy3G038700.3.v1.1 annot-version=v1.1 MLLLPTLLRRAHPHHPPRRHLSRLLDRYGFAPPASLTPNPREAPHAAADKKRRAKKPPYRPPSSLDRGGRPASHSDLPFDFRFSYTESTPDAKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTIRDVHAEDPAPAAEKDLQEARRRERERVLGEPLTPAERTFFVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRHGGQLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVVETKAMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDFVPCTMYRCNCHMELLIGLQLTQLPSSITR* >Brasy3G148900.1.p pacid=40043522 transcript=Brasy3G148900.1 locus=Brasy3G148900 ID=Brasy3G148900.1.v1.1 annot-version=v1.1 MDSQASPASVLQLSVFTTVKIQSVPRPAKYSNFPVWVTLEAPGAGQTARAPIDIVAAVDVSRSIHGNERLEQEKASVSLVIDLLLPGDRLAVVPFDDDVAKRAEELVDMSDQGKEKARSKVKSLPTGDGTRLSKALERAEQILMERRDVERASFIILLSDGGGTDRSIMNHKEWERTNTSVLKDPKYPVHTFGFTGHNAETMEFIATRTKGTYNAIDGAGNDIYHKFSGVVSGLLSKATSRLFSAVGLEAQLAAVHPGVSLVGIDSREHRTNISDDGRSGSVNIGAMKAGETTGFTVYLDVPEGDAGMEAMEVLSIGGVYTQGWDGKRVGYRRGGVACLSYQKQ* >Brasy3G266800.1.p pacid=40043523 transcript=Brasy3G266800.1 locus=Brasy3G266800 ID=Brasy3G266800.1.v1.1 annot-version=v1.1 MAAAASSSNPFPFPSRRPPDDSLFYAVYPLPLPTGLPPPALLASLQSLHLSLLSHLAPFLSSHLFHRDPFSLSLPADPSSPCALCASPPLPHLHGALRFGDSLPDEWLAVSLLFALSRAFPDLVARAWDSDGDFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPETPSLEAALAAVYDDSVDTRASDAVQAAIQRRIAGMPEKASENLHTARVVVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMDKFLKGPGGEGVEMVRTSVRMTRAMYAQLMQQSFQAPRGYPMPRREEGPDRWMEAELGMKIACGFEMMYQERLREGEEGKGSTWEVYRKSLEATGCFEGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVHRIDEILSMPYSAEDFQGISLPPSDDDSWLCNGEDELNAELHERQKEMEEYEAVKKNRKSQKQNVPGSSSSQPSEFNLGDITDSMQEFVKKMSSFEGAEVPSNRKDMESVDLDVDQFFKAMESVLGRDSQEEAGNDGEFDTKSFSSDMDFDDSDYENDSAEEAGDKDMDDSFMESYSDALNKELSKTTIEETFSRAQRPSTNKEGPSNGDDSDGDMAPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKK* >Brasy3G175300.1.p pacid=40043524 transcript=Brasy3G175300.1 locus=Brasy3G175300 ID=Brasy3G175300.1.v1.1 annot-version=v1.1 MGASSSRGRSNQGQGHKSDGKGAELALLSPAAQATFKWRIDGFSSLLDKDEGWTYSRVFEIMGLSWYLKLNPRDRNNGGMKEYVSLMLELSRTSVRSDAVVEASFRFLIYDQSYGKHHENQVSHSFQTASTSSGTSCIVPLRTMKKRSSGFLVNDSCVFGVEFIKVVTAKVNFKSETLFIQKTNNIFSDPVVYTWDIEDFFTLKNPSYSPAFEIGGHKCFIGIYPSGLDNGRNYLCLYLKITRMDTLDQNSADLVEVNLSIKDQETGKHRKLTGRCQFSKKSTCWGWSKFMSLEDFKDTSKGYLVKTKCCIEAQVAIVGSSKMD* >Brasy3G009300.1.p pacid=40043525 transcript=Brasy3G009300.1 locus=Brasy3G009300 ID=Brasy3G009300.1.v1.1 annot-version=v1.1 MDRIDETTPAPARKPKQRRSRWSPDEHNRFLDAISMFGRDWKMIEAHVGTRTAIQLRSHAQKYFEKAQKLGVTGLPPPRPKRKRKNSMPVPPQQQPQGSSSAGQTQCTPPLPPPGDNSFMGTPSFFSEMSMALEWAGSSTGSTSLASTAVAPPPSSLPEVETMLPGDEAAMDPWDIIMQEIKDIGLAIDMDMDMTIFPSIIPAGGSECGSPPPHHGVPGDWCDTDLPSPYV* >Brasy3G309200.1.p pacid=40043526 transcript=Brasy3G309200.1 locus=Brasy3G309200 ID=Brasy3G309200.1.v1.1 annot-version=v1.1 MELTNIPHLASSSNFFSSYSRCSCRGRRTEKAVIAVSVAGIRCGADSACSEPTEQQNLDSLPGSKNSRCYRRRDFAAVALIPFLLPRVDMASAAESYDASIIQNGVRNVLSKVKAAGVLRLVFHDAGTFDVAEKSGGMNGSIIYEVDRPENAGLSKSLKILRKAKEGIDQFQIVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSIADPVGKLPEETLDAVALKTSFRNKGFSTQEMVVLSGAHTIGGKGFGNPNIFDNSYFKVLLEKPRPTSSDMPIGLPTDWALTEDDECLRWVNIYAEDQAKFFADFRDAYSKLVNSGASWRTA* >Brasy3G043200.1.p pacid=40043527 transcript=Brasy3G043200.1 locus=Brasy3G043200 ID=Brasy3G043200.1.v1.1 annot-version=v1.1 MATSSYFLLAAVLALFSWQAIASDPSPLQDFCVADNTSRVLVNGFVCKDPKDVKAEDFFLAAKLDVPRDTKANKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENMFLSKVLNKGDVFVFPQGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWEDNHN* >Brasy3G281600.1.p pacid=40043528 transcript=Brasy3G281600.1 locus=Brasy3G281600 ID=Brasy3G281600.1.v1.1 annot-version=v1.1 MAGSIASALLLLLNMAGALLAPRAPQAQSPPASAGDGDDVDFFFFPFLVLYKSGRVERFMGTDTVPASVDPATGVASKDVAIYKSKRQRHGEAAAGRVLPWRRVRYRVGLLANVPAVPQRARVQGRRSGCIGTPT* >Brasy3G252500.1.p pacid=40043529 transcript=Brasy3G252500.1 locus=Brasy3G252500 ID=Brasy3G252500.1.v1.1 annot-version=v1.1 MGAPTAFDGNDDHISELPEALISDILSRLGTAEAARTVVLSTRFRDAWLATPLRLDDLELPAPARGTGFSKEPWAVRADAVTRVLASRPGPVAPPARIPRVSAGEAWLRDLAAQRAREVYLFFPPEWCHDALADPLLGCPTLETLALGKCSLSDAGASAAGLTELTLSETNLSEAAPQSVLSGCPALRSVMLKHVHGFHRIRVRSCRSLVLLGVWHYKNLEEITVEDAPCLERVLCSVRLTAAITVVGAPKLTALGYAVVGIPYLFDGETAPQEVGKGLRAPLHSVKILAISVKFSYEEEMEKVISLLELFPCLETLHVKSSDNDEECGAVEDDTIGSIYYPKCDPIRCFVSHLKSMRLECNCNHTNNSMLEFASFLLARAHVLQFMRIRSKLSGLLEWVTKQQNLLSQSHPLSLETEVMFVGIKRRDGFKLLKSHFLNGQDCHSEDT >Brasy3G197800.1.p pacid=40043530 transcript=Brasy3G197800.1 locus=Brasy3G197800 ID=Brasy3G197800.1.v1.1 annot-version=v1.1 MATSPANEAPPPSMAMPLPKEDNVHDHDDLHRINKSRRRRCRCICLLATLGALLLLGVTLLVLFLTVLRVRDPSTQLLSARFVGLQPSLTQLNFTVVLTVSVNNPNPASFSYDSGTTGIWYRGAHVGDAQVDPGHIPSKGDGILQLELTVLTSSFMTDLAQLLKDLEAGALPLDSSARIPGKVALLGVFKLKVVAYSDCHIVIGFPDMRIRSQECHDHAKL* >Brasy3G114200.1.p pacid=40043531 transcript=Brasy3G114200.1 locus=Brasy3G114200 ID=Brasy3G114200.1.v1.1 annot-version=v1.1 MTLGTVAPQFTGVSILDSDSSGITMELEMQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVSELPCFGAVCVSLREKSKVDFTLKVVGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLSAKTKKSKTINNDLNPIWNEHYEFVVEDSVTQHLTVKIYDDEGLQPSEIIGCARVDLADLQPGKVKDVWLELVKDLEIQRDKKPRGQAHLELLYYPFGKQEGVSNPFASQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEELPAMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRAILEGEFQDTYALQGAKSGKLNLHFKWMPQPIYRDRDRDQ* >Brasy3G149800.1.p pacid=40043532 transcript=Brasy3G149800.1 locus=Brasy3G149800 ID=Brasy3G149800.1.v1.1 annot-version=v1.1 MYTTVAQYPISHLAGVAVQLLASPPSLPPCHAAMTFANGEESGHARAHFVLVPMMAQGHTIPMTDMARLLAQHGAQVSIITTPVNASRLAGFIADVDAAGLAVQLVQLRFPAVEFGLPEGCENLDLVRSSDLLVNFLEACGALREPLAAHLREQQHPPPSCIISDVMHWWTGDIARELGIPRLAFLGYCGFSSLARYIIFHHKVFEDVTDENELITIPGFPTPLELTKAKSPGGIVIPGIERIRDKILEEELRCDGEVMNSFQELETLYNESFEQMTGKKVWTVGPMCLCNQDSNTMAARGNTASMDEAQCLQWLDSMKPGSVIFVSFGSLACTAPQQLIELGLGLEASKKPFIWVIKAGDKFPEVEEWLADGFEKCVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLLVDVLKTGVEVGVKEVTQWGQEHKEVMVTRNAVEKAVCTVMDEGEAAEELRMRAKDYAIKAKRAFSEEGSSYNNVRLLIQEMGNRTNAWGVNIK* >Brasy3G149800.2.p pacid=40043533 transcript=Brasy3G149800.2 locus=Brasy3G149800 ID=Brasy3G149800.2.v1.1 annot-version=v1.1 MYTTVAQYPISHLAGVAVQLLASPPSLPPCHAAMTFANGEESGHARAHFVLVPMMAQGHTIPMTDMARLLAQHGAQLVQLRFPAVEFGLPEGCENLDLVRSSDLLVNFLEACGALREPLAAHLREQQHPPPSCIISDVMHWWTGDIARELGIPRLAFLGYCGFSSLARYIIFHHKVFEDVTDENELITIPGFPTPLELTKAKSPGGIVIPGIERIRDKILEEELRCDGEVMNSFQELETLYNESFEQMTGKKVWTVGPMCLCNQDSNTMAARGNTASMDEAQCLQWLDSMKPGSVIFVSFGSLACTAPQQLIELGLGLEASKKPFIWVIKAGDKFPEVEEWLADGFEKCVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLLVDVLKTGVEVGVKEVTQWGQEHKEVMVTRNAVEKAVCTVMDEGEAAEELRMRAKDYAIKAKRAFSEEGSSYNNVRLLIQEMGNRTNAWGVNIK* >Brasy3G190300.1.p pacid=40043534 transcript=Brasy3G190300.1 locus=Brasy3G190300 ID=Brasy3G190300.1.v1.1 annot-version=v1.1 MSSRPSSSSSSRRSSSPFSAGNRRPPTSSSSSSSYMAGRLIPRSSPSSVSSQFYGGGGSSRSTTPGRRGGGSAPPPPPAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSDREIQRGDEISWYPDGDRLVRCDFVQPAAYAYDRVFGPTTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSDRGDEYDGAMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRLVDEKSLIKKYQKEISSLKQELDQFRRGMIGGASQEEIMILRQQLEEGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSTKSNIPSLTDLSSHQRQNSVSEEDKLTTSQDSSMVVQNDSTVKDSVSLASSDRLDEINQLRSASGDHSSVTGSAPDSTQVGITASDHMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLEREIQQKRRHMRALEQKLMESGEASVANASMVDMQQTITKLTTQCNEKAFELELKSADNRVLQEQLQQKSVEICDLQEKVQRLEGQFLTKNSASPEQCTPHEIVDLKSKLQCKEVESEKLKYEHLEMIEENRDLINQNQKLSEEAAYAKELACSAAVELKNLAEEVTKLSIQNAKQAKELLIAQEKAHSRVPIRKGRPAGRGRDEVGTLSLDLEDMKMELLARKQRETALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGAFNISELNVDERSINLADITNDTKENKGDKNVALVEKQLSDDTLKSLTAEDYRSPEFEPLLVRLKAKIQEMKEKETDPLSDKDGNSHVCKVCFESATAAVLLPCRHFCLCKPCALACSECPLCRTRIVDRIITFT* >Brasy3G190300.2.p pacid=40043535 transcript=Brasy3G190300.2 locus=Brasy3G190300 ID=Brasy3G190300.2.v1.1 annot-version=v1.1 MSSRPSSSSSSRRSSSPFSAGNRRPPTSSSSSSSYMAGRLIPRSSPSSVSSQFYGGGGSSRSTTPGRRGGGSAPPPPPAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSDREIQRGDEISWYPDGDRLVRCDFVQPAAYAYDRVFGPTTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSDRGDEYDGAMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRLVDEKSLIKKYQKEISSLKQELDQFRRGMIGGASQEEIMILRQQLEEGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSTKSNIPSLTDLSSHQRQNSVSEEDKLTTSQDSSMVVQNDSTVKDSVSLASSDRLDEINQLRSASGDHSSVTGSAPDSTQVGITASDHMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLEREIQQKRRHMRALEQKLMESGEASVANASMVDMQQTITKLTTQCNEKAFELELKSADNRVLQEQLQQKSVEICDLQEKVQRLEGQFLTKNSASPEQCTPHEIVDLKSKLQCKEVESEKLKYEHLEMIEENRDLINQNQKLSEEAAYAKELACSAAVELKNLAEEVTKLSIQNAKQAKELLIAQEKAHSRVPIRKGRPAGRGRDEVGTLSLDLEDMKMELLARKQRETALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGAFNISELNVDERSINLADITNDTKENKGDKNVALVEKQLSDDTLKSLTAEDYRSPEFEPLLVRLKAKIQEMKEKETDPLSDKDGNSHVCKCASLAHLHVRNALCVAHEL* >Brasy3G206700.1.p pacid=40043536 transcript=Brasy3G206700.1 locus=Brasy3G206700 ID=Brasy3G206700.1.v1.1 annot-version=v1.1 MATASSHARRLSSRNEVTTATPAVGTNPTTSGRAAQFDLSSGAATAVVFLSIVLCFILLCTYCRCARQRARAGRAAAGFPSAAFLLRPADAASLPVVPYASATAKGHERDCPVCLEAFGDDDGVKVVPACGHVFHAGCIDRWLGVRNSCPVCRCAVVCYCAAAGDGRDAAAVAAGDGDDDQEVVLERVVAMIEAIREEQAAARRAPASAAAGGR* >Brasy3G206700.2.p pacid=40043537 transcript=Brasy3G206700.2 locus=Brasy3G206700 ID=Brasy3G206700.2.v1.1 annot-version=v1.1 MATASSHARRLSSRNEVTTATPAVGTNPTTSGRAAQFDLSSGAATAVVFLSIVLCFILLCTYCRCARQRARAGRAAAGFPSAAFLLRPADAASLPVVPYASATAKGHERDCPVCLEAFGDDDGVKVVPACGHVFHAGCIDRWLGVRNSCPVCRCAVVCYCAAAGDGRDAAAVAAGDGDDDQEVVLERVVAMIEAIREEQAAARRAPASAAAGGR* >Brasy3G206700.3.p pacid=40043538 transcript=Brasy3G206700.3 locus=Brasy3G206700 ID=Brasy3G206700.3.v1.1 annot-version=v1.1 MATASSHARRLSSRNEVTTATPAVGTNPTTSGRAAQFDLSSGAATAVVFLSIVLCFILLCTYCRCARQRARAGRAAAGFPSAAFLLRPADAASLPVVPYASATAKGHERDCPVCLEAFGDDDGVKVVPACGHVFHAGCIDRWLGVRNSCPVCRCAVVCYCAAAGDGRDAAAVAAGDGDDDQEVVLERVVAMIEAIREEQAAARRAPASAAAGGR* >Brasy3G331600.1.p pacid=40043539 transcript=Brasy3G331600.1 locus=Brasy3G331600 ID=Brasy3G331600.1.v1.1 annot-version=v1.1 MAQDEVPESSSSSSPSSPAAAAGRLNAAAPEFTPRSAAQHQANNNPNRRGGHHHHHHHQNHHQHQHRHHGHHGEEEADAVAVVERDAQLVIHEELARRVVKQVEFYFSDINLTTTDHLMKFITKDPDGFVPMSVVASFRKIRELITRPLLPAALRTSTELVVSDDGKMVRRRVPFTDSDAEEVQSRIVVAEKLPDDHRHQNLMRIFSVVGSVKSIRTCYPQVVDVSGPAAGKASRIEMLFANRLHAFVEYETVEAAERAVAEFNGGRNWRDGPRVRSLLGVLKHGMGQGKKGGDEEAAEEDDPDTTGHPQEYETEDAAQAEDGFYDKAGMRIGRGRGRGGRGRGRGQYHGQSRDGGHPIGTPPSNHSAEHSVVPKPPPGPRMPDGTKGFTMGRGKPPQIVPSNDV* >Brasy3G060900.1.p pacid=40043540 transcript=Brasy3G060900.1 locus=Brasy3G060900 ID=Brasy3G060900.1.v1.1 annot-version=v1.1 MAQASAAARLLRQRPCDPTAAGMLSSRVLYRFLSSTSSSPMFRAPHRSKQPAASVASTACKSSSSSWSLCAWFGSHPRRQLNAASAATTRTLHHALSSAATVSSEATTPASQSQLLGFIKSTFGTLEGQNHCWLNAANGIWRTFDQEGIYLVLLYQSCGTLDSQNKRSAAFERLKCLQQRYSHLNVFALQFGSGVSSLAAQSQAVRTIMKEYITFPILLSDKEFTNMTNGACYLLFEGSKDHILSVKLDEEPELMMNAVLHAGMEGFSALSAEPSENVLESKVSWQKEEVIKEPYVGFLRNLLLYHPGCVSVDEDGDRFFISDSNHHRIIISNSDGIIIDFIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFAKRFLETVYPVFNKKSSGIWSWITDKLGLTKDVALNIQDFDADSVTFPWHLLQISEDDLLVADRNFETSWILNMSTGEKQDIAKGRAEAMELCQQTINERRALLKDMLMNGSSGDKEHSNLEKISCNELLSSISRFQKYVVFCDTDGQRVLKHDLDTRDTSSIHFTNLGVLGLPYWFVCSLERVSTWGHSAGQFQEHTRKVNVLPGRCNIKVSVDIPVDTELAAPLVESCIWRQVRGSGAEISESDGQDTTPEKVGIAQQWYDEIDNLAFSEAAEEPAAHEGDDDKPADENYQDQKTVHFTCAINVSPGTCELVASAALYLKIDRTKTELEDQKAVIKRILQCQRPEEHAGVELLTGSGGGDARSLVLMKPVHLRLRLDCADHPAGATNKETINTESSLEISISLD* >Brasy3G060900.2.p pacid=40043541 transcript=Brasy3G060900.2 locus=Brasy3G060900 ID=Brasy3G060900.2.v1.1 annot-version=v1.1 MAQASAAARLLRQRPCDPTAAGMLSSRVLYRFLSSTSSSPMFRAPHRSKQPAASVASTACKSSSSSWSLCAWFGSHPRRQLNAASAATTRTLHHALSSAATVSSEATTPASQSQLLGFIKSTFGTLEGQNHCWLNAANGIWRTFDQEGIYLVLLYQSCGTLDSQNKRSAAFERLKCLQQRYSHLNVFALQFGSGVSSLAAQSQAVRTIMKEYITFPILLSDKEFTNMTNGACYLLFEGSKDHILSVKLDEEPELMMNGMEGFSALSAEPSENVLESKVSWQKEEVIKEPYVGFLRNLLLYHPGCVSVDEDGDRFFISDSNHHRIIISNSDGIIIDFIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFAKRFLETVYPVFNKKSSGIWSWITDKLGLTKDVALNIQDFDADSVTFPWHLLQISEDDLLVADRNFETSWILNMSTGEKQDIAKGRAEAMELCQQTINERRALLKDMLMNGSSGDKEHSNLEKISCNELLSSISRFQKYVVFCDTDGQRVLKHDLDTRDTSSIHFTNLGVLGLPYWFVCSLERVSTWGHSAGQFQEHTRKVNVLPGRCNIKVSVDIPVDTELAAPLVESCIWRQVRGSGAEISESDGQDTTPEKVGIAQQWYDEIDNLAFSEAAEEPAAHEGDDDKPADENYQDQKTVHFTCAINVSPGTCELVASAALYLKIDRTKTELEDQKAVIKRILQCQRPEEHAGVELLTGSGGGDARSLVLMKPVHLRLRLDCADHPAGATNKETINTESSLEISISLD* >Brasy3G060900.3.p pacid=40043542 transcript=Brasy3G060900.3 locus=Brasy3G060900 ID=Brasy3G060900.3.v1.1 annot-version=v1.1 MKEYITFPILLSDKEFTNMTNGACYLLFEGSKDHILSVKLDEEPELMMNGMEGFSALSAEPSENVLESKVSWQKEEVIKEPYVGFLRNLLLYHPGCVSVDEDGDRFFISDSNHHRIIISNSDGIIIDFIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFAKRFLETVYPVFNKKSSGIWSWITDKLGLTKDVALNIQDFDADSVTFPWHLLQISEDDLLVADRNFETSWILNMSTGEKQDIAKGRAEAMELCQQTINERRALLKDMLMNGSSGDKEHSNLEKISCNELLSSISRFQKYVVFCDTDGQRVLKHDLDTRDTSSIHFTNLGVLGLPYWFVCSLERVSTWGHSAGQFQEHTRKVNVLPGRCNIKVSVDIPVDTELAAPLVESCIWRQVRGSGAEISESDGQDTTPEKVGIAQQWYDEIDNLAFSEAAEEPAAHEGDDDKPADENYQDQKTVHFTCAINVSPGTCELVASAALYLKIDRTKTELEDQKAVIKRILQCQRPEEHAGVELLTGSGGGDARSLVLMKPVHLRLRLDCADHPAGATNKETINTESSLEISISLD* >Brasy3G060900.4.p pacid=40043543 transcript=Brasy3G060900.4 locus=Brasy3G060900 ID=Brasy3G060900.4.v1.1 annot-version=v1.1 MKEYITFPILLSDKEFTNMTNGACYLLFEGSKDHILSVKLDEEPELMMNGMEGFSALSAEPSENVLESKVSWQKEEVIKEPYVGFLRNLLLYHPGCVSVDEDGDRFFISDSNHHRIIISNSDGIIIDFIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFAKRFLETVYPVFNKKSSGIWSWITDKLGLTKDVALNIQDFDADSVTFPWHLLQISEDDLLVADRNFETSWILNMSTGEKQDIAKGRAEAMELCQQTINERRALLKDMLMNGSSGDKEHSNLEKISCNELLSSISRFQKYVVFCDTDGQRVLKHDLDTRDTSSIHFTNLGVLGLPYWFVCSLERVSTWGHSAGQFQEHTRKVNVLPGRCNIKVSVDIPVDTELAAPLVESCIWRQVRGSGAEISESDGQDTTPEKVGIAQQWYDEIDNLAFSEAAEEPAAHEGDDDKPADENYQDQKTVHFTCAINVSPGTCELVASAALYLKIDRTKTELEDQKAVIKRILQCQRPEEHAGVELLTGSGGGDARSLVLMKPVHLRLRLDCADHPAGATNKETINTESSLEISISLD* >Brasy3G209200.1.p pacid=40043544 transcript=Brasy3G209200.1 locus=Brasy3G209200 ID=Brasy3G209200.1.v1.1 annot-version=v1.1 MAGRLTAMGSRVLGGHGAAARAAASALRHRAGMGLPAGRHIVPDKPLPTNDELVWDNGTPFPEPCVDRLAPHIGKYEALAWLCGGLSFFAALGLAAVANDKASKIPFTPKIYPYDNLRVELGDRQ* >Brasy3G030400.1.p pacid=40043545 transcript=Brasy3G030400.1 locus=Brasy3G030400 ID=Brasy3G030400.1.v1.1 annot-version=v1.1 MAAAAGRYGNLETSFKLAARPLLTAFSREDVNKAFSSFTDAQKEHLYQMFIYAIKSLHENIEEEFQKFCEETDIATALEKVDQFVEEQSLDVLSSDNTSIEDIKERTSKAKKDEIEHLKGLLEKAEERNSAMKARIEHLKGGEDFNDTRNVSNKLKQWNSACQSYNDS* >Brasy3G124400.1.p pacid=40043546 transcript=Brasy3G124400.1 locus=Brasy3G124400 ID=Brasy3G124400.1.v1.1 annot-version=v1.1 MASSKSNNPRVFLDIAVGTTRAGRVEIELYADKVPRTAENFRLLCTGERRSRSSGRALSYKGSAFHRVVPGFMCQGGDITAGNGTGGEAAAPGADGQRYFPDEGFEVRHDAPGVVSMANAGPNTNGSQFFVALDRAPWLDGRHVAFGRVLDSSMPVVRAVEKAGSWSGKTLKPVVITDCGQLF* >Brasy3G155000.1.p pacid=40043547 transcript=Brasy3G155000.1 locus=Brasy3G155000 ID=Brasy3G155000.1.v1.1 annot-version=v1.1 MTTNGDPAAQTAAQAQQQQAAQLQQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQPTQGTLPYTAACGRSRACHRTRQTLFVFSQSPFRSSSCPVAEFGSGMVRDDARVA* >Brasy3G015200.1.p pacid=40043548 transcript=Brasy3G015200.1 locus=Brasy3G015200 ID=Brasy3G015200.1.v1.1 annot-version=v1.1 MGSRSFVRSPVSCSAVNEFFTCSSRRSRNYHQCSNTIKSQRAQVVQTLLPRKLRKSTRWQTALFTHRRIVSNCCSDLSTTYTEEVPNYLALNVLQDQSNTGLDNIRKVLVILNPNSGFRSSREVFYKKVQSTLMLSGFMMKIVETAYAGHAKVLVSTVDLSTCPDGIICVGGDGVVNEVLNGLLGRDDLKEALQLPIGIVPAGSDNSLVWTVLGIRDPVSAATALAKGGFTPIDVFAVKWIQAGVTHFGLTASFCGFVADVLQLSENFRLQLGPFRYVIAGLLKFLSLPQYRFEVDYLPSPGRNPELKSPTQNCCDKLSDGSKVKRGIQMDGSTEDNWVTRKGEFLGILVCNHFCKPAQGLLSPVMAPKAQHDDGSLDLILIHGSGRLRLFCFFVAYQFCWHLLLPFVEYVKVKQVKIRPVGGTHNGCGVDGELLQAEGQVEWQCSLLPAQGRLLGHHPRT* >Brasy3G227100.1.p pacid=40043549 transcript=Brasy3G227100.1 locus=Brasy3G227100 ID=Brasy3G227100.1.v1.1 annot-version=v1.1 MPLGQIRSRDRSNGRNEADGQSTDKEKETAAVPCVRTYTYVGLPPVPERTPCTRTVSHPSPHFLDPNVPPLSLRLTRCCAAVGSKARRSRASIGRAATPAAASVLSIVNRPPPPSSQYTASAVLPRGRRAPWPRTPPSVVSASTPPCSTAEDGAIGRSRSVEGLPVLQGCGVEDLQSRDAWAPPPAALVRREPDCRSPRSTASPRVARRRALAKVASSFPSTGVSSSPCSEAVLGASSWRGPPPHKHPLPPAHPS* >Brasy3G201800.1.p pacid=40043550 transcript=Brasy3G201800.1 locus=Brasy3G201800 ID=Brasy3G201800.1.v1.1 annot-version=v1.1 MSGAGGNGWGRSSRVRAGGAARQEQTRGVAAAGYAACRLDPGRLGGAGAGGWRLGRRRPPSPSPPQRKASSASPLPRSRDPPRQTCPSLAFFSLSRRRSCVSWRRRCCSCCSRRLAPR* >Brasy3G302300.1.p pacid=40043551 transcript=Brasy3G302300.1 locus=Brasy3G302300 ID=Brasy3G302300.1.v1.1 annot-version=v1.1 MESSTLARLLPPPSSPIRLRRNGASILPGDHGGRSRPGCSPSSSRTCSSRSAAARRRGRGRLSVKALFGDDGGDGFRAVMRIVKLNSAIQNRSIKELLELIAEECQYFFSNLPPVSVSEMSKNMMLLIHEMMLRHQVSFVLKPTADQGFDLGIKWSLECKGVKLPWDVDCNVSTTHVYRGLLLISQVNKICVPLLQRILQIIHQNLDAVILIVTNKILPEGALNENESSTIIACAIIGLVVMVLFYAMFKSM* >Brasy3G346700.1.p pacid=40043552 transcript=Brasy3G346700.1 locus=Brasy3G346700 ID=Brasy3G346700.1.v1.1 annot-version=v1.1 MEGRARRRGTAAAATGASPGRNKVWVEPPGKSHHHQPPRRSPPPPPAAGNKVAVVYYLCRSRHLEHPHFIEVPLAAPDAGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDISEDDLVLPAQGNEYILKGSELLDRSPPDRPQDGVGTAKVESLKHAQGESPQSRGSQEGCSSSSSPSAVIKEASSPRPAQQPQQLAQSAFVPSSSASTNHEDEQCRTTHSGSSGNLSPEPAGTNAPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDVRGKHARTARVCTEDGASDAEIQECHDERSTQVSPKGPGIVRESPQVFSSDASPGDRVETLESLIRAEATRRSNYRVLEEEQFYGPMGVKLKPANLLMQLITCGSISVKDHRGFGLIPTYRPRFTQVEFPSPMFSTPMALRQLDIIPSSSRTIGVRDPESEYFSGRLVEMNQQEESGKGDIPTLKRSSSYDEDRVYRMTDSRRDTESLAEPGSFRCLPQTIKMISCKQSRGGTTFSPNSDVRNSSSRQECSTRSSPLGSSRGASNRMTDPLGKLSSSRAESFHEEKDKVIKIEERLASGARVIIQSSPLCEESDDSTESL* >Brasy3G346700.2.p pacid=40043553 transcript=Brasy3G346700.2 locus=Brasy3G346700 ID=Brasy3G346700.2.v1.1 annot-version=v1.1 MEGRARRRGTAAAATGASPGRNKVWVEPPGKSHHHQPPRRSPPPPPAAGNKVAVVYYLCRSRHLEHPHFIEVPLAAPDAGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDISEDDLVLPAQGNEYILKGSELLDRSPPDRPQDGVGTAKVESLKHAQGESPQSRGSQEGCSSSSSPSAVIKEASSPRPAQQPQQLAQSAFVPSSSASTNHEDEQCRTTHSGSSGNLSPEPAGTNAPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDVRGKHARTARVCTEDGASDAEIQECHDERSTQVSPKGPGIVRESPQVFSSDASPGDRVETLESLIRAEATRRSNYRVLEEEQFYGPMGVKLKPANLLMQLITCGSISVKDHRGFGLIPTYRPRFTQVEFPSPMFSTPMALRQLDIIPSSSRTIGVRDPESEYFSGRLVEMNQQEESGKGDIPTLKRSSSYDEDRVYRMTDSRRDTESLAEPGSFRCLPQTIKMISCKQSRGGTTFSPNSDVRNSSSRQECSTRSSPLGSSRGASNRMTDPLGKLSSSRAESFHEEKDKVIKIEERS* >Brasy3G202500.1.p pacid=40043554 transcript=Brasy3G202500.1 locus=Brasy3G202500 ID=Brasy3G202500.1.v1.1 annot-version=v1.1 MKELAAAELGHLLVFAFLFCVGAFMAAPVITDVTMAALCPGQDQCSLAIYLTGLQQAVTALGALVVTPVVGNLSDRYGRKALLALPATVSIVPLAILAFNQTKAYFYAYYVAKTLTSMVSEGTMMCLSLAYVADKVPEAGRAAAFGVFSGVCTAGFVAGTIAARFLSVSSTFQVATLAAVAAAVYMRAFVRETVGGASLLRDEEASRRLLCAPSSSADEASPRLPPLRKAPSLPEMAALLTSSSTFKRAAVVTFFHGLGETGLQTALLYFLKAQFHYTKNQYANLLLIIGVTGSFSQLTVMPLLAPKLGEQRLLIVALLGSCVHGFLYSIAWSFWVPYLAASCVILSILVGPCIRSIVSKKVGPSEQGMVQGCITGISSTASVISPLVFTPLTAWFLSETAPFNFKGFSLACAGFATLVALTMSINMRPAEVQPDTK* >Brasy3G037100.1.p pacid=40043555 transcript=Brasy3G037100.1 locus=Brasy3G037100 ID=Brasy3G037100.1.v1.1 annot-version=v1.1 MNSTSSDSPASSARSPASPATSARHPRHGHTRAGHRRTTGGPPIQRASDSPPKPSNCSSSSAAMATPEAAAAAGAMKLARGESERGNNPRAGPHCCRIPFYGK* >Brasy3G349200.1.p pacid=40043556 transcript=Brasy3G349200.1 locus=Brasy3G349200 ID=Brasy3G349200.1.v1.1 annot-version=v1.1 MGPAANPNPVEYYYEHSYSFSFSSSDSDHPHASIDHDEQDQQLLMESASSSTSRSSSSAHSPATPAAASKMGLDPSSSSAPAPALIGVRKRPWGKYAAEIRDSTRNGARVWLGTFDTPQAAALAYDQAAFAVRGTAAVLNFPVARVQDSLRALGIGIGTGTGIGAGEGDSPALALKRRHCIRKRSPKQRTAGAACGRDRTAPAVKRRRKQQEAESSAACVLELEDLGTEYLEELLTLSDL* >Brasy3G334400.1.p pacid=40043557 transcript=Brasy3G334400.1 locus=Brasy3G334400 ID=Brasy3G334400.1.v1.1 annot-version=v1.1 MSLLRLLLFFFLAAGGGGGGNGGVGRCEAYGLTKEGTAITFDRRSLMVDGRRDLFFSGSIHYPRSPPHMWPDLIARAKEGGLNVIESYVFWNGHEPEMGVYNFEGRYDMIKFFKLVQEHEMFAMVRIGPFVQAEWNHGGLPYWLREVPDIIFRTNNEPFKKHMQKFVTMIVNKLKDAKLFASQGGPIILAQIENEYQHLEAAFKENGTTYIHWAAKMASDLNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPTDKNKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGTMVNYYMYHGGTNFGRTGASFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRLCKKAILWGNPSNQPLGKLYEARLFEIPEQKVCVAFLSNHNTKEDGTVTFRGQQYFVPRRSVSILADCKTVVFSTQHVNSQHNQRTFHFSDQTVQGNVWEMYTESDKVPTYKFTNIRTQKPLEAYNLTKDKTDYVWYTTSFKLEAEDLPFRKDIWPVLEVSSHGHAMVAFVNGKYVGAGHGTKINKAFTMEKPIEVRAGINHVSVLSTTLGMQDSGAYLEHRQAGVDGVTIQGLNTGTLDLTSNGWGHLVGLEGERRNAHTEKGGDGVRWVPAVFDRPLTWYRRHFDMPTGDDPVVIDMSPMGKGVLYVNGEGLGRYWSSYKHALGRPSQYLYHVPRCYLKPTGNVMTIFEEEGGGQPDEIMILTVKRDNICSFISEKNPAHVKSWERKDTHLTAVSDADLKPKAVLSCPEKKLIQQVVFASYGNPLGICGNYTVGNCHAPKAKEIVEKACVGKKSCVLQVSHEVYGADLNCPGSTGTLAVQAKCSKRQKAADQ* >Brasy3G142800.1.p pacid=40043558 transcript=Brasy3G142800.1 locus=Brasy3G142800 ID=Brasy3G142800.1.v1.1 annot-version=v1.1 MAVSGKALFPLRTKLYVSASGRLSLSLPQAKSIQVILSLPVKKLVPVVISPPAKPTLPPLHPGPRRLRTARPSRGPPTPAARPLTSLSRCPTADRRSSSSLHRLFDELHDRLPSSTVKDLAQFRWEQRAVEFLLTKLGHQEAVG* >Brasy3G108900.1.p pacid=40043559 transcript=Brasy3G108900.1 locus=Brasy3G108900 ID=Brasy3G108900.1.v1.1 annot-version=v1.1 MGVSNVDGVNTASCGAGSSTGHGRTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDAAKNAHLTALEGAAERLTLFRADLLDQASLAAAFRGCEGVFHTACPVTEDPEKLIEPAVSGTRNVLNAAADMGGVRRVVMTSSIGAVYMNPSNRAAEADETCWSDLQYCKDTKNWYCYAKTVAEQTAWSLAAARRLDLVVINPSLVLGPLLQPAVNASATHVAKYLDGSVRTYADAAQAYAHVRDVADAHARAYETPAASGRYLCAGETVHRAEVCRILGKLFPEYPVPTRCKGGEGELKKGCRFSGRRLKELGVGVTPTSQCLYETVTSLQDKGLLPKPQAHAW* >Brasy3G102700.1.p pacid=40043560 transcript=Brasy3G102700.1 locus=Brasy3G102700 ID=Brasy3G102700.1.v1.1 annot-version=v1.1 MADSPSFRRHPLPFSIDLVRWLPSSTSSGRLLASAVHDLSSSHLHLLPLTDPASPLASLPLPSRATSLRCSPSVLAAATSSGSLHLLPSSFDAGSAISVPSGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVSGGGDGRVVAKRVWDGKGMSGYEAARWASSAEFATGGAGCGVQWWDMRKGHAVVAQCKGIWGRGIATGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWQQQPIPLSGVGLDGTAQSVCESEVWEVLFDNYTQSSDIISSASTRMLPVMMCSEDGILAIVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDAMAEE* >Brasy3G102700.2.p pacid=40043561 transcript=Brasy3G102700.2 locus=Brasy3G102700 ID=Brasy3G102700.2.v1.1 annot-version=v1.1 MADSPSFRRHPLPFSIDLVRWLPSSTSSGRLLASAVHDLSSSHLHLLPLTDPASPLASLPLPSRATSLRCSPSVLAAATSSGSLHLLPSSFDAGSAISVPSGAGFHVGPVRGLDCGGEEWVTAGEDGRVHVVSGGGDGRVVAKRVWDGKGMSGYEAARWASSAEFATGGAGCGVQWWDMRKGHAVVAQCKGIWGRGIATGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWQQQPIPLSGVGLDGTAQSVCESEVWEVLFDNYTQSSDIISSASTRMLPVMMCSEDGILAIVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDAMAEE* >Brasy3G232200.1.p pacid=40043562 transcript=Brasy3G232200.1 locus=Brasy3G232200 ID=Brasy3G232200.1.v1.1 annot-version=v1.1 MVSQIKAVVPLLFCVLHRSAKWEARSSFSFGSCYIADCSRPPPPVGMGSRTRRGLLPLRSGSGKPCSPFFL* >Brasy3G290500.1.p pacid=40043563 transcript=Brasy3G290500.1 locus=Brasy3G290500 ID=Brasy3G290500.1.v1.1 annot-version=v1.1 MAPGRHLSITLLALAALPPLLLMAAAAVDTHHLHLYMHDSTTGPNTSAMAVLNGTGPAAQGSRGAGRFGRTVVMDDPLTEGPGPRGFYVAATMGLGDGGPAMMLSMNLVLTAGEYNGSTLAVMGRNAVLAPVRELSVVGGAGRFRMATGYVLVKTASWAAAGDDAVLELDVFVYA* >Brasy3G260400.1.p pacid=40043564 transcript=Brasy3G260400.1 locus=Brasy3G260400 ID=Brasy3G260400.1.v1.1 annot-version=v1.1 MTCSSVAPPWLLRLAAEQAAAAAASSSSSSTKGGGRVLTATMDDTGATAGAAGGGYNHNNGNNGQQESCSSGQSSRQQLQLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMGAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDSSSSSVLPSTAATGAGAGHVDFQQQHLDYTAGAGAGADPYGYFSFRHYCLPPFHGGSGAAIAAASVEEPSFCLFPVPNAASLHDGRQLSSWGGGDHGMGVRYGDAPPFLLPAVHGGWIAGGGHHEMAGGAPAAFDAGAARDHHQGAHFDFDHAAASPTFIDFLGVGAT* >Brasy3G329900.1.p pacid=40043565 transcript=Brasy3G329900.1 locus=Brasy3G329900 ID=Brasy3G329900.1.v1.1 annot-version=v1.1 MVAAAWIGGGVPPPSGSAAPRAPVAGRRSPRLRMVVSLGFVLAADFWLRGYRALAFVVTGFGDLGALPGGRVFVGSGSKRWFVSVVQFVRPVPLWLRQLKPLRCCNPNAARVTACSSPLLPSPRSPDHCGL* >Brasy3G254700.1.p pacid=40043566 transcript=Brasy3G254700.1 locus=Brasy3G254700 ID=Brasy3G254700.1.v1.1 annot-version=v1.1 MGNCRSFSLPKWRLRELNNKGMVPIDEDGSGTHEGIKTIRIQKTCEFTTSSVLCVCIITWNMNGKLSVEDVGKLVSSNRKFDLLVVGLQEVPKCGVTQALQEAMADTHILLCQTAMQSLQMFLFGAKSSESYIREMKVDKHAVGGCGGVIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSECQHITHSLFSKNDIHYAKSADITVWLGDLNYRLQGISSIPARMMIEENRQSKLRDKDQLLQEAEKGEVFDGYCEGTLSFKPTYKYNVGSSNYDTSYKIRVPSWTDRILFKVDRSSGLDAILSSYEALDCVRSSDHKPVKAHLCLKVNGGDA* >Brasy3G257200.1.p pacid=40043567 transcript=Brasy3G257200.1 locus=Brasy3G257200 ID=Brasy3G257200.1.v1.1 annot-version=v1.1 MAKIERTRARALIGLGATGDELRTAAGGALPDSRVATSSTDPAATGGGSKSRGGGPGGVGEEEGADGGEGEDAGDELLDPARCEAGRREEKTGEDAGDEALGTSGTGGGGSGGDGDAGGCGEDGRVARRGLMGEDARRRPG* >Brasy3G239700.1.p pacid=40043568 transcript=Brasy3G239700.1 locus=Brasy3G239700 ID=Brasy3G239700.1.v1.1 annot-version=v1.1 MVMMGQLGRFVDGIKSKLRAGGGGKSRKAAAAAAAYDKMGKTDSMRVEIKSRQAQKLIAKNLVAADSIGRRSRNKRFFLAF* >Brasy3G239700.2.p pacid=40043569 transcript=Brasy3G239700.2 locus=Brasy3G239700 ID=Brasy3G239700.2.v1.1 annot-version=v1.1 MVMMGQLGRFVDGIKSKLRAGGGGKSRKAAAAAAAYDKMGKTDSMRVEIKSRQAQKLIAKNLVAADSIGRRSRNKRFFLAF* >Brasy3G140000.1.p pacid=40043570 transcript=Brasy3G140000.1 locus=Brasy3G140000 ID=Brasy3G140000.1.v1.1 annot-version=v1.1 MSWFLLCLSWLVVSLVSVYLLDLLAHARRRLPPGPLPLPLIGSLHLLGSQPHRSLALLAKTHGPLMSLRLGAGVTTVVVSSPAVARDVLQKQDPVFATRSVNDAVRGHAARNSVPFLPHASPRWRALRKIMAAELFAPHRLDALQGLRSDKVAELAAHVGLLARQGTAVDVGRVAFATSLNLLSRTIFSVDLTSLDDHGGSKGFQVLVAEIMETAGSPNVSDFFPALAGVDLQGLRRRLERMFARLHLVFDAQVDKRLRLRREDGDGTGKKKKDGDDDDFLDVLLDIAARDGDGKDGLDRDTLRSLFTKSEAPKARPDRARLGPGRSGRAAHAQDLFSAGSDTSSSTVEWAMAELLRSPSSMAKAHAELARAIGSSTTAISESDIDRLPYLQAVVKETFRLHPPVPLLLPRQAQATVSVAGHTVPRGARVLVNVWAMGRDGAVWHEPERFAPERFLGRAVDFRGGDFELIPFGAGRRICPGLPLAIRMVHLVLGTLLHRFRWRLPVDVLTSGIDMGEKFGVTLTKAVPLSAIATPI* >Brasy3G140000.2.p pacid=40043571 transcript=Brasy3G140000.2 locus=Brasy3G140000 ID=Brasy3G140000.2.v1.1 annot-version=v1.1 MSWFLLCLSWLVVSLVSVYLLDLLAHARRRLPPGPLPLPLIGSLHLLGSQPHRSLALLAKTHGPLMSLRLGAGVTTVVVSSPAVARDVLQKQDPVFATRSVNDAVRGHAARNSVPFLPHASPRWRALRKIMAAELFAPHRLDALQGLRSDKVAELAAHVGLLARQGTAVDVGRVAFATSLNLLSRTIFSVDLTSLDDHGGSKGFQVLVAEIMETAGSPNVSDFFPALAGVDLQGLRRRLERMFARLHLVFDAQVDKRLRLRREDGDGTGKKKKDGDDDDFLDVLLDIAARDGDGKDGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMAELLRSPSSMAKAHAELARAIGSSTTAISESDIDRLPYLQAVVKETFRLHPPVPLLLPRQAQATVSVAGHTVPRGARVLVNVWAMGRDGAVWHEPERFAPERFLGRAVDFRGGDFELIPFGAGRRICPGLPLAIRMVHLVLGTLLHRFRWRLPVDVLTSGIDMGEKFGVTLTKAVPLSAIATPI* >Brasy3G081600.1.p pacid=40043572 transcript=Brasy3G081600.1 locus=Brasy3G081600 ID=Brasy3G081600.1.v1.1 annot-version=v1.1 MEHSGSGGSSSSSSGKSGGRETMQGPRPAPLRVHKDSHRIRKPPQPVIIYTVSPKVIHANPSDFMSVVQRLTGASSSSSSHPPTPASSSMPFPFYGAMPPPPAQAQQLPFPFHLQAAAAAAPWPQAQLSPAARLAAFEQASTSASVHRGDLPPLPSILSPVPGSLPPMTMPPPVPGFDFSPPSGINLFGELVSPSPAFLAGRHGAAGSATVSPPTSTAATMLQYFRAAGTGTGTAAAPSPSTPYYWDRLFNNNHPN* >Brasy3G147000.1.p pacid=40043573 transcript=Brasy3G147000.1 locus=Brasy3G147000 ID=Brasy3G147000.1.v1.1 annot-version=v1.1 MTPDRQKLRNATPHLLIPRRRTPWTPPSPATRPLPTASSTSSHPHYCFPSPLPLLPATSSSRETSSSRPLPPTHHLMLPRIRAARPARAPRPPRRAPRRRQEAPRPRCGGSGAAAGAATTAPATAAATRTLLRHKAPPRSHCSRGVFHSISVAAVCHARHPEVPKPRSAEQQPAARHHQSAPMMVHVRLPPRQHGWDELSNRPGDEEKEDELFRGSAVMMPSLIGSVSGFANWSEIPLRDFLAQGAGISSGGGGVVVRGHALDC* >Brasy3G259000.1.p pacid=40043574 transcript=Brasy3G259000.1 locus=Brasy3G259000 ID=Brasy3G259000.1.v1.1 annot-version=v1.1 MPPAASSLDEQFFFPAEMYHHQQQHQETLEAVLRQQVTAAPPAAIPEPGINGAAGRKRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDLLGFDKASNTVDWLLTQSKPAIDRLSLSDSTPQQGVPAVAAAAITGKEKGEAAAATASSSTSTGCFRDERATERMESIGGRDGGELDWCAAEATAMEQPMEGLDYYYQYYQLEEMMRAATTQDQY* >Brasy3G313200.1.p pacid=40043575 transcript=Brasy3G313200.1 locus=Brasy3G313200 ID=Brasy3G313200.1.v1.1 annot-version=v1.1 MSNYLRAAALRAARLGCAHRIGLVSDHGEPSSRVFPPLWRAAGSWRVRESPAAASGWFPAVGHGIPLRPYSAAPRRRRKKTLVNDDDDDVEEEEEEDEELRGVKQMQRRRDVRAAQRTLMEYLHVTRGMCFSDAEHISKRSPVFVSKLLEKVKDAAKEPAEGGDEVVFKSIVKKRDMKDERVSKALVRLFNYNPINEFEPFLESIGLSQSECSSFLPRDLMFLTDDELLFENYRVLCNYGIVRSKIGKIYRDATEVFGFGDGVLASKLNDIEELGFSKTSVIKLVTTTPVILVRDPNVELKILQWLDGIGIQWDWISQFLSARKSYNWTKMNQVPQFFSDLGFTKEGIGKLVRQHPDFLLDGSGKMLFTLVLIMLKAGSGKKELFDLFLNFPNVPVENFTKNLRKGMLFLAEVGLSNEDIKNIVLSDGQMLGSAPIKKPNSILTHLNTGKKRLRKIILENPKLLASYRLGSKLSQLPRIDPFEQSFKGKIKFLKSIGFVEGSEEMKKALKVFRGKGDELQDRYDFLVNAGFDPKDVVHMIKMAPQILNQKIDVVESKISFLLNDTGYPLSELVCFPAYLSFTVERTKVRLFMYNWLLERGAVPQLALSTVLACSDKCFMRYYVKKHPMGPEVWENYKREVAEAKNMPCTSYH* >Brasy3G313900.1.p pacid=40043576 transcript=Brasy3G313900.1 locus=Brasy3G313900 ID=Brasy3G313900.1.v1.1 annot-version=v1.1 MEALAGAAATASSSLVPTFQAHQPPSRVALRARPCAPLRAAASPGGGKDKDDSIATPNGTPVIKLKSDPSQNGALGPIVTDKSRTTLSANTTPDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRAGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPAEHQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYETIQPDKIAVDGGEQSLKELNAIFSKPLREFMSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPYKVETLEEAKRALHKIIKTSSK* >Brasy3G255100.1.p pacid=40043577 transcript=Brasy3G255100.1 locus=Brasy3G255100 ID=Brasy3G255100.1.v1.1 annot-version=v1.1 MASRIASRLLLRRSTAALSFLQSCRHARHFSTQLLEGVPRFSKPTRARYFLPNASPYQLWSRSFASENGDLIEAVVPFMGESVTDGTLANFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVIEKFIASEGDTVTPGTKVAVISKSAAPSETHVSPSEETSQKETPPPPPPEKPKVEEKSSKVESVKPKASKLSSPSEPQLPPKERERRVAMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVEKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTEGMNFADIEKGINKLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGSILARPMMYLALTYDHRLIDGREAVLFLRRIKDVVEDPRRMLLDI* >Brasy3G136900.1.p pacid=40043578 transcript=Brasy3G136900.1 locus=Brasy3G136900 ID=Brasy3G136900.1.v1.1 annot-version=v1.1 MKVGSQVKQMGKLNKALKEQRAKLYIIRRCVVMLLRWSD* >Brasy3G103700.1.p pacid=40043579 transcript=Brasy3G103700.1 locus=Brasy3G103700 ID=Brasy3G103700.1.v1.1 annot-version=v1.1 MATDPDIDMADLASLDVLASSSSSAAATATAPSTRFSLKGKGKGKPKPKPKPKPEPERVREPESKPQDEPRGAEAAPPEDGVDAMETDGVAASVGTDGMNHDEDFVVREIDVYFTPKPFDDDTMLYIMQYPLRPCWRPYELNEICKEVRVKPRCSKVEVDLDIDTESGNYDSDVSSSSKLTKQTLSSSEAADVSDYAVGVLSGNLIHLSHIDAAMQLRPSMSHLNSVQSHTKQPVQQRETNGTPTVTSIKSNGLSDGSKDCIEESEPWISLAYEPAGSDAASKYLDEMISNEGSPIGFNMSTSDYWMSLCPAAPTGRKIINKCQAIREMVVLPLEDRLKKWFTEVSQVSRFDALMHLAPTYSEEDILKILPVYADLVRGLWVCKSSLLYDDELGCKRDKIVYEFTKKESIPMKYIDRLIRDDRTRNMILNPLCKKREKLEDYKFIAKADSSFIKRYSHIVNEQEIAWSVRGTTMSDLQETCSTTEQRKTKNSTRSNITAKGRDQIIGKAKDVQGSENPVKTVLDIVFSTNKVRSFPAVVRDLRHLAAKYASDRKDGARFQTLSNAAKTCVSLSPKELDASIRLVAVLVHDVYVQKTEDMATLRNVLIKLLRDRGPNGTINKQEILDYAGKVLKKEISEKEYHQAVSEICVSTEEGQLVLKNGDT* >Brasy3G103700.2.p pacid=40043580 transcript=Brasy3G103700.2 locus=Brasy3G103700 ID=Brasy3G103700.2.v1.1 annot-version=v1.1 MSLMKYVRVKPRCSKVEVDLDIDTESGNYDSDVSSSSKLTKQTLSSSEAADVSDYAVGVLSGNLIHLSHIDAAMQLRPSMSHLNSVQSHTKQPVQQRETNGTPTVTSIKSNGLSDGSKDCIEESEPWISLAYEPAGSDAASKYLDEMISNEGSPIGFNMSTSDYWMSLCPAAPTGRKIINKCQAIREMVVLPLEDRLKKWFTEVSQVSRFDALMHLAPTYSEEDILKILPVYADLVRGLWVCKSSLLYDDELGCKRDKIVYEFTKKESIPMKYIDRLIRDDRTRNMILNPLCKKREKLEDYKFIAKADSSFIKRYSHIVNEQEIAWSVRGTTMSDLQETCSTTEQRKTKNSTRSNITAKGRDQIIGKAKDVQGSENPVKTVLDIVFSTNKVRSFPAVVRDLRHLAAKYASDRKDGARFQTLSNAAKTCVSLSPKELDASIRLVAVLVHDVYVQKTEDMATLRNVLIKLLRDRGPNGTINKQEILDYAGKVLKKEISEKEYHQAVSEICVSTEEGQLVLKNGDT* >Brasy3G031000.1.p pacid=40043581 transcript=Brasy3G031000.1 locus=Brasy3G031000 ID=Brasy3G031000.1.v1.1 annot-version=v1.1 MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKLGPTTPLPDLVTIHPPKEEDELRPPTLVEV* >Brasy3G294800.1.p pacid=40043582 transcript=Brasy3G294800.1 locus=Brasy3G294800 ID=Brasy3G294800.1.v1.1 annot-version=v1.1 MSPATGELAGARGEVVAAERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVTTLPGHKAAVNCTLWLPTKKDVLQVRGKETHYLLSGSSDGAIMAWKIGSGKGEWSHALQLPTMHKKGVTCLAGRMVSDMVSIFASTSSDGLVVIWEMTVEPTAGGSCNVSCLHSLSVGLKPMVSLSLAVLTEQGGRLILAMGGLDHKVHIYCGDLSGKFIKACELKGHSDWIRSLDFSLPVMTGSGKHSLFLVSSSQDKTIRIWKMTSDAVSSGSTLQSRKENIGMASYIEGPLFVVSDTSYQVSLESLLVGHEDWVYSVEWQPPTLLLGDEAHQPMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWEPDGKSILAHGYGGFFHMWRDVGLGSENWLPQIVPSGHFAPVSDLTWSRSGEYLLTVSHDQTARIFAPWRSHVNPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVCGADEKVSRVFEATLSFLRTLQEATLLKPDNENFDDVQVLGANMSALGLSQKPIYTHGGKESPSSGSNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDQAGKLVASSCKAQSAPVAEIWLWEVGTWKAFGRLQSHNLTVTQMEFSSDNAFLLCVSRDRHLSVFSIKRTDEGVQHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTLKIWRVQDASSVKLLRTLPQFRDSVTSLAWTGRDRARNAGIIAVGMDNGLIELWSISGGRSAADSSSDPSPLSVACMLRFDPVLCHVSTVHRLRWQKHDSSDEKSALELASCGADHCVRVFAVRDG* >Brasy3G294800.2.p pacid=40043583 transcript=Brasy3G294800.2 locus=Brasy3G294800 ID=Brasy3G294800.2.v1.1 annot-version=v1.1 MSPATGELAGARGEVVAAERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVTTLPGHKAAVNCTLWLPTKKDVLQVRGKETHYLLSGSSDGAIMAWKIGSGKGEWSHALQLPTMHKKGVTCLAGRMVSDMVSIFASTSSDGLVVIWEMTVEPTAGSCNVSCLHSLSVGLKPMVSLSLAVLTEQGGRLILAMGGLDHKVHIYCGDLSGKFIKACELKGHSDWIRSLDFSLPVMTGSGKHSLFLVSSSQDKTIRIWKMTSDAVSSGSTLQSRKENIGMASYIEGPLFVVSDTSYQVSLESLLVGHEDWVYSVEWQPPTLLLGDEAHQPMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWEPDGKSILAHGYGGFFHMWRDVGLGSENWLPQIVPSGHFAPVSDLTWSRSGEYLLTVSHDQTARIFAPWRSHVNPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVCGADEKVSRVFEATLSFLRTLQEATLLKPDNENFDDVQVLGANMSALGLSQKPIYTHGGKESPSSGSNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDQAGKLVASSCKAQSAPVAEIWLWEVGTWKAFGRLQSHNLTVTQMEFSSDNAFLLCVSRDRHLSVFSIKRTDEGVQHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTLKIWRVQDASSVKLLRTLPQFRDSVTSLAWTGRDRARNAGIIAVGMDNGLIELWSISGGRSAADSSSDPSPLSVACMLRFDPVLCHVSTVHRLRWQKHDSSDEKSALELASCGADHCVRVFAVRDG* >Brasy3G166200.1.p pacid=40043584 transcript=Brasy3G166200.1 locus=Brasy3G166200 ID=Brasy3G166200.1.v1.1 annot-version=v1.1 MKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVVSKPDALLFNLNGRLSVDQAPRSLLIRQRVDATDPQRSAAQDPKVLDDDADQGTSVDEKRELTGEPEQGEKERQEATASELVGGGGREVNKGQEEHQKYHKVTLPTVSNYTIHDAEDSDNVKEGDSKTEAQTKLEASVDNSDGRSRQPAWDNAEWERKPLCDFSNFRANVCEMRGNIKIHPNASSVMYMEPASSKRDEQWKIKPYPRKGDELCLSHITELTVKSSKVAPECTKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYHVVFEKLSKYPLIDFNKDEQVHCFNHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRQTYSLPRDAVSALGEIPKTKPRLLIISRQRTRMFLNLQEVVAMAEELGFEVVVEEANVSSDLSHFSKVVNSVDVMMGVHGAGLTNCVFLPHNATLIQIVPWGGLEGVCRIDFGNPSEQMGLRYKQYSIGVQESSLTDQYPLDHEIFKNPLAFHKGFEFIRQTFMDKQNVRLDCNRFRPILLETLDQLNQ* >Brasy3G301600.1.p pacid=40043585 transcript=Brasy3G301600.1 locus=Brasy3G301600 ID=Brasy3G301600.1.v1.1 annot-version=v1.1 MHVAGIAALLHLLQPLATMLVIVSANIVSPDCTRRCGNISIPYPFGISAGCHHEGFKLICNETYHPPKLFTENSRVQVLEISARDSTLYIDSGILSLREGAEFIDGNIHMNWSVPLDDSLYKVLSSRNKLIVLGCGIFLTVQWHYPGVGEPAGETCFSGCIPGHPAIATDGTCSGIGCCSTNSVEFDSNTFTIKYSVVEKHNLPSSFAVVQREWWRMESNAKALQNAVSSDTRHGFYGGLLHSIPGVPIRTAVSWVLSNVTCTEARNSSDFGCLSDNSECLDYLKLDGSTRGYRCQCRQGYIGNPYIQNGCQDIDECTSPFLYPCFGQCMNLVGSYTCTCPDGTTGDPQKQNGCSSTKSAKTKLSGFATAIGTGSGVGALLITLSVLFVRRKLVIWKAKKSREFFFKKNRGLLLQQLVDKDIAERMMISLEELEKATNKFDKARKLGGGGHGTVYKGILSDQRVVAIKKSKVVIQRETDDFINEVAILSQVNHRNVVKLLRCCLETEVPLLVYEFISNGTLSDHLHVSTPLSLAWKERLRIALETSRCLAYLHSAASVSIVHRDIKSANILLDDRLAAKVSDFGASRGIPIDQTGVTTAVQGTFGYLDPEYYHTQRLTEKSDVYSFGVMLVELLTRKKPCVHMSSSGASLTAEFIFLVKQDKLFEMLDQQVIEEGGEEAKEIAAVAVMCLSLKGEDRPTMRQVETRLEAVQTVARTTQTEQNNANADDDNCNRRYSMEEEYMSSMTLPR* >Brasy3G330200.1.p pacid=40043586 transcript=Brasy3G330200.1 locus=Brasy3G330200 ID=Brasy3G330200.1.v1.1 annot-version=v1.1 MESKSPVPDGGGGGGNGLPPKPSRRDGAAAPESDMSHMPESPRRAVGHRRSHSETIGGLPDDLDLGVPGGGGEGRASLSDENEEELFSMFLDAEKLNASEEAESSSCAPAGVGARPRHHQHSHSMDASSSFDAEQLLGAAAAAEGMSNAEAKKAMSNAKLAELALVDPKKAKRIWANRQSAARSKERKMRYISELERKVQTLHAEATTLSTQLALLHRDTAGLSTENSELKMRLQNVEQQVHLQDALNDALKSELQRLRMATGQMGSNVGGMMNFMGPPPPQPFGGNQPMFHIQSQTAMQPLHHMQIHPHHQQQQQQALLHPLQLQAQQQLLGQQHAAAPPNPKMKRTISAPNQWVGGWSESSSN* >Brasy3G242900.1.p pacid=40043587 transcript=Brasy3G242900.1 locus=Brasy3G242900 ID=Brasy3G242900.1.v1.1 annot-version=v1.1 MAPRVDSSGRTQAMARRPNTRCHTPNYLPPPSLPHVYRHRSYGMFILLAKLYMPLGCDATYCHLCSLISDGSMMLLSVISPSD* >Brasy3G294900.1.p pacid=40043588 transcript=Brasy3G294900.1 locus=Brasy3G294900 ID=Brasy3G294900.1.v1.1 annot-version=v1.1 MGTPYHLQSPRTILNKIISMKQQQPEAALLPGPGPGQAASLSSSKIILKPRHRTTPAMWCAAIVCFAFSVLLIITGMVILIVYLSLKPRTPSFDAANAALSSIVCIGGPPGPGPAYFNGDMMLVANVSNPNQKIDVVIQSAAVELFFRSRLVAAQALPAFRQRRGQFTVINVHMVSSQVTLPPEVAIELANQMKSNKVMYTIRGSFKVREKFWSWHYTYWVTAICELELTAPPNGILLARTCRTSK* >Brasy3G257800.1.p pacid=40043589 transcript=Brasy3G257800.1 locus=Brasy3G257800 ID=Brasy3G257800.1.v1.1 annot-version=v1.1 MGLAMRCVLLLFAVSVVFLLFNFEILEGALRQAGHHDDGVDVTAATTAHEIVGFGAFSRFRMLIGLNRHRSRHRRHRQNSAAPAPSPAPPPLEARSSPAPAPLFHPHRKRTPSAHRRSHIAPARIAAHRFGDASHTRPAKVAIVALAVVGACLLVLGLAMAAVLLGRSKKFQKGCSKPFKLFCHGSRAQSPSATRKVSSHPSPDPLYLSSVIQCHENHQRLKQSSESKSLSIISTSPNSTELIIGDHTLRIRTASYSDEVESFHSIPCSPSSTGSFIELPLQTRDKTATDPFPSSPHTDNSPSNCSYRSCSPDHTSHLHPKSLILNASGQFGVCNTSQSLPEESYAEKAEVTHQVTVKPNIVSTPMEHHEAPKEELTDSQPRNPPSETNSPSYHMDISGSRRNIASTLSNTNESTSSPTDGAKLQMPSAMTVPKSPPPPPPPKKSPPCLKWPNSRQPPLPPALPLQIHVGQNGSPLPRLKPLHWDKVRAAPDRSMVWNDIRSSSFEFEFDEQTIKSLFAYNFQGVMKEEETTGRTLPTTKHVIEHHRLQNTTILLKTLNASTEQVYNSIAQGTGLSVQQLEALVKMKPTKEEEEKLLNYDSDIDMLDPAEKFVKVLLTIPLAFPRMEVMLYKGTFDDEVVHIKMSFATIEGACTELRSSKLLLRLLEAVLKTGNRMNIGTLRGGASAFRLDALLKLADIRGADGKTTLLHFVVQEMARSKGSKAAEKHNETTRSCNATSTEREEYCATGTEFVSELSNELGNVKKVASIDLDTLINSISNLSCGLAQLKNLIEKDLPSNDKNKDFLECMGSFINYAENTMQELENGKAQVVHHVRELTEYYHGEVGKDESNLLHIFVIIKDFLGLLHRVCREMRGSKHNQPLNLVLPLR* >Brasy3G253400.1.p pacid=40043590 transcript=Brasy3G253400.1 locus=Brasy3G253400 ID=Brasy3G253400.1.v1.1 annot-version=v1.1 MEHFGIMDPGFFRKTLWFFMDPLMHYVRYQGKAILASKGTLFLKKKWKWYLVNFCQYSFSFWTQPRRIHLNQLANSCFDFLGYLSSVPKSTLLVRNQMLENSFLIDTRMIKFDTIVPATLLIGSLSKAQFCTGSGELILK* >Brasy3G123500.1.p pacid=40043591 transcript=Brasy3G123500.1 locus=Brasy3G123500 ID=Brasy3G123500.1.v1.1 annot-version=v1.1 MSRFLYRALLYLLLFHVLLLLPPATSVTPPANATASASTDILLSFLASLPEASQRVLLPSWQLQSQTNTTALAPAPHCAFRGVTCSAAAGAAVLALNLSGDDLSGALAASAPLLCALHPLSVLELSGNSFSGPVPAALGASCSGLATLLLGRNNLTGALPPEILSSRQLRKVDLSSNALTGEIPDFLGAGGNSVLEYLDLSDNSLSGAIPTSVLAALPEIRHLNLSTNQLSGTMPEFPAHWKLAILAVDGNKVSGESPRSLSNCGHLTALFLSFNQIGGAVPDLFVSLPRLQHLIGELASLETLVVSTNGFTGPVPEAIGKCQSLVQLYLDGNRFSGSVPRFVGNLSRLQKFSMVDNGIAGTIPPEIGKCQELVELQLQNNSLSGPIPPEFSELSHPKKLAFGCKLALFKNKLHGPLPAAFWRMPDMEELLLYNNSLTGEVPEEITQVTILRELIVAYNNFTGEIPKALGLNTTHGLVRVDLTGNSFHGAIPPGLCTGGIPSEIAKCESLWRVRLNNNLFSGRLPADLGTNTGWSFVDFSGNQFEGRIPSVLELSGTIPDSFTATQGLLELQLSGNSLEGAIPHSLGNLRYISQNLNLSNNILSSQIPSSLSNLRNLEMLDLSKNLLFGPIPSQLSNMVSLFVVNVAFNGLSGQLPAGNWAKLAENSPEGFIGNPQLCVQSDNAPCSKNQSGKNRRRNTQIIVALLLSAFTVMVVGLCSIHYIVKRSKRLSAKNGSVRNWDSTEELPEDLTYEDILRATDNWSEKYVIGKGRHGTVYRTQLVVGKQWAVKTVDLSQCKFPIEIKILNIVKHRNIVKMAGYCIRRNVGLILYEYMPEGTLFELLHERTPQVALDWTVRHQIALGAAEGLSYLHHDCMPMIVHRDVKSSNILMDADLVPKITDFGMGKIIGAEDSDATVSVIVGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLTRRMPVDPAFGDGVDVVTWMRSNLKQTDHGNIMSCLDEEIMYWPEYEQANALALLDLAISCTQIDSQSRPSMREVVNILMRIQKNIVPEHHK* >Brasy3G182200.1.p pacid=40043592 transcript=Brasy3G182200.1 locus=Brasy3G182200 ID=Brasy3G182200.1.v1.1 annot-version=v1.1 MATAEQRIEHSHLAVRGLNLHVAQSGTGELGTVIFLHGFPEIWYSWRHQMLAAAAAGYRAVALDWRGYGLSDQPPEKETASRDDLVEDLLALLDALAVHKAFLVAKDFGAMPVYDFALHHPSRTSGVMCLGIPYLHGGSPFTTLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYILFSRSEIPIANEDQEIMDLADLSTPLPEWFTEMDLDVYASLYEKSGFGYPLQMPYRSLHKTQPVEDPKFQVPVFVVMGEKDYVFKFPGVEAVLKDGVMEKFAPDLKITYVPEGSHFVQEQFPDMVNELLLGFLKDHPVA* >Brasy3G021900.1.p pacid=40043593 transcript=Brasy3G021900.1 locus=Brasy3G021900 ID=Brasy3G021900.1.v1.1 annot-version=v1.1 MSYHLPLRFLLAVFLFPTSLHSQPTSPPPPPPPRCPLNFTALRPFLGPQQLPSDDASRCALALQSVRLLLSLHLAATGSFLVPAPANASSSCLPPLRAALPFQLPSPDACGLAGLDALLSTPGCSNVSTLADFDRLVPASARRDINASCDRELGPVPVCTACTTSLSKAAAAYLLPGSPDGGNNVTGCVQYPFIYAGAAASPRGPDDPNTAFCLYLLRASSGPVAGSGAPGWLYGVVFGCVGFVLVVAAAAGSWFLLRRHRRRAAAAALAAARADSRSKRSQAMESISASTTLVKFTYDEIKTATGGFTRESLIGRGGFGNVYQGALPDGTEVAVKRFKNCSAAGDSAFAHEVEVVASVRHVNLVTLRGYCIATTQREGHQRMIVCDLMHNGSLHDHLFGSGECLMAWPVRQKVAIGMARGLAYLHRGTQPAIIHRDIKASNILLDDDFEAKVADFGLAKFAPEGMTHVSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVLLELMSGKRAFISLSEGQSFVLADWAWSLVRSGKTLDVIQEGMVEPGPAKVMEKYVLVAALCTHPQLHARPTMEQVVKILEADSAPGPLIIPDRPLPVVANLADIERSVSSSGSGSSLPSR* >Brasy3G302800.1.p pacid=40043594 transcript=Brasy3G302800.1 locus=Brasy3G302800 ID=Brasy3G302800.1.v1.1 annot-version=v1.1 MAGRIPHYHSHNHNSQRFRRLVPCVLFVVFAVHAVSFALYLLLQSHHPSPHPAEPEDRVHEEEEQPSSKKPWPRLPSFLPWAAHPAPPAHSCEAYFGNSFSRLVDVLRPEGRGGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPIGQVMGRAEGEELPRYEPGALEVEGVAAGRTGPLVEPGFLDTYVPTNGIGTHTMRALLDSARVVPLGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSSYVSSRVTNLPKRPNVVFVDGHCKAPLEQTWEALFSNVTYVKNFAGPVCFRHAVLSPLGYETALFKGLSESFSCEGASAQSLREKPDHEKTARLSEFGEMIVASFGLLGDGVVSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEQEVFEAVESWAKGLECKVNVVNGLFAHMNMTEQLRAILEASVVMGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISRWKALEYHAINLPGSHARITDVISELASILKDLGC* >Brasy3G302800.2.p pacid=40043595 transcript=Brasy3G302800.2 locus=Brasy3G302800 ID=Brasy3G302800.2.v1.1 annot-version=v1.1 MAGRIPHYHSHNHNSQRFRRLVPCVLFVVFAVHAVSFALYLLLQSHHPSPHPAEPEDRVHEEEEQPSSKKPWPRLPSFLPWAAHPAPPAHSCEAYFGNSFSRLVDVLRPEGRGGGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPIGQVMGRAEGEELPRYEPGALEVEGVAAGRTGPLVEPGFLDTYVPTNGIGTHTMRALLDSARVVPLGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSSYVSSRVTNLPKRPNVVFVDGHCKAPLEQTWEALFSNVTYVKNFAGPVCFRHAVLSPLGYETALFKGLSESFSCEGASAQSLREKPDHEKTARLSEFGEMIVASFGLLGDGVVSSKRSNGLNVLFVRREDYLAHPRHSGKVESRLSNEQEVFEAVESWAKGLECKVNVVNGLFAHMNMTEQLRAILEASVVMGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISRWKALEYHAINLPGSHARITDVISELASILKDLGC* >Brasy3G253200.1.p pacid=40043596 transcript=Brasy3G253200.1 locus=Brasy3G253200 ID=Brasy3G253200.1.v1.1 annot-version=v1.1 MGHVEQHLGNATLMHQLRDLWRSPRGTVLRIEALALVAIAASFFLATFGSCRRWSSRWILQKGFLAANVLFLSLGTYSIGLMQSSSLKSEMYPIWAVSLLALLCCVDSVTTYSLDSSSQFWKTIYQLCLYVGYVLLMSISTISSDLGNIAVGVLSAITFMKGFHRTLALVLPGRMRSMIRAVPDCWGQEIGVEIAESVTLGLLVVHFSLHNVEPRSTEEDATMKWDRGGITLREIYSRLEDNDLVVLDVDALKDVCLSLSLSHLLQRRFLGFNRVLEIKLHDNLLVSSWILLKRGDGSIDYDRAFKVVELELAFLYDVLFTSNAFLQYYEAKTANFWAVTSVAGICFVGVVAAIPWVRTSAHTPGGTIVTTTTGDLAVTGVILVSLALLQILQLLNCWTSNWARVAFACDRVRNQKKKGPSWQMRLRLSLSKINWFRKYLWQNRLGQYSLVELISTRECNLSRKFKGCLYQAYSRFSGPLGLHYFEQMLQELLGNSTGDAIGLHADVKASIAEYVSRIRSHHVSLLDARRCLDVAYDLEPQEGGGTANKYARHLLTWHIATCYCELAQQHEEGKVGFLKEQCTDSERATLERNHRVATALSKYCAYLVVSVPRLLPGPYMEYKYVHDEVAGKAGEVLRGVKDKLGSMHRAVKMGLLGRAKYHLCEITRLILECRETLRSAMDDIAASESELRDKVWKRWNTTTGREVQFWQLLKDELGAMENKNGSGVMGMEDGMEALLQVKAQVERAAIERERGRGEVSSWVFDCLRPGAGAALLQVQVKLAALIEENERITQALRSAIDKLDAVEKEMERGGVHRYNPDAGLGSRIFYSGLYLGYRLWSQPAPERWKKLADLWVRALVFAAPSDNVEEHMQRLLQGGEFITHLWALFYHHGVLRWHEEEIEYDYVFWPTQGEEGATRIYAGGDGSREPYEYY* >Brasy3G135800.1.p pacid=40043597 transcript=Brasy3G135800.1 locus=Brasy3G135800 ID=Brasy3G135800.1.v1.1 annot-version=v1.1 MEKSLALALLLLSTLLITNHQPVSGQAFCRSQISLANEACSLRNFPGPRPPVPHQQQLNETSAASTAAVGELRSRDGDDGEEEGEEDEGRQHRRRHRHSSSSAGSERDPYDTACCRRLMALENACVCQAAARLPPFLSSVWHVIRLTPVDGCNVSFECPGSYSPLG* >Brasy3G242400.1.p pacid=40043598 transcript=Brasy3G242400.1 locus=Brasy3G242400 ID=Brasy3G242400.1.v1.1 annot-version=v1.1 MPLPLLLPLPTAQLPCARHGGRLVAPRAGCGRRIVRVRASGEAGAPPPSRTQMIMDKISGGDEVGGAGGAYSYGALKRLDQICSSICQSQVDPKVPEIVTRVQGPSVDYDLGGGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMEIVEVGILSEGDVEQIISSDFNPNRCGFENKGEIWVEGILNLGISPAKLVEIMKERFISSGGAIFEGKSLSSISVHDDLAVLKLSDGDCLPCRLVVDAMGNFSPIVRQIRSGRKPDGLCLVVGACARGFERNTTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPADRTTYMFTYVDPQFGFPKLEELLEIYWDLMPEYQDVTLETLDIRRVIFGIFPTHRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDFLDAYSLRLLNPYMPNLSASWLFQRAMSTRPQINVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLSRPQILPSIFKQVGLGVILDWSGHFVMLGYYTFLSSFIDPAVRPWVESLPPRNKYQWKRYLEAWKYGAGLDYRQEE* >Brasy3G242400.2.p pacid=40043599 transcript=Brasy3G242400.2 locus=Brasy3G242400 ID=Brasy3G242400.2.v1.1 annot-version=v1.1 MIMDKISGGDEVGGAGGAYSYGALKRLDQICSSICQSQVDPKVPEIVTRVQGPSVDYDLGGGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMEIVEVGILSEGDVEQIISSDFNPNRCGFENKGEIWVEGILNLGISPAKLVEIMKERFISSGGAIFEGKSLSSISVHDDLAVLKLSDGDCLPCRLVVDAMGNFSPIVRQIRSGRKPDGLCLVVGACARGFERNTTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPADRTTYMFTYVDPQFGFPKLEELLEIYWDLMPEYQDVTLETLDIRRVIFGIFPTHRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDFLDAYSLRLLNPYMPNLSASWLFQRAMSTRPQINVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLSRPQILPSIFKQVGLGVILDWSGHFVMLGYYTFLSSFIDPAVRPWVESLPPRNKYQWKRYLEAWKYGAGLDYRQEE* >Brasy3G242400.3.p pacid=40043600 transcript=Brasy3G242400.3 locus=Brasy3G242400 ID=Brasy3G242400.3.v1.1 annot-version=v1.1 MIMDKISGGDEVGGAGGAYSYGALKRLDQICSSICQSQVDPKVPEIVTRVQGPSVDYDLGGGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMEIVEVGILSEGDVEQIISSDFNPNRCGFENKGEIWVEGILNLGISPAKLVEIMKERFISSGGAIFEGKSLSSISVHDDLAVLKLSDGDCLPCRLVVDAMGNFSPIVRQIRSGRKPDGLCLVVGACARGFERNTTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPADRTTYMFTYVDPQFGFPKLEELLEIYWDLMPEYQDVTLETLDIRRVIFGIFPTHRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDFLDAYSLRLLNPYMPNLSASWLFQRAMSTRPQINVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLSRPQILPSIFKQVGLGVILDWSGHFVMLGYYTFLSSFIDPAVRPWVESLPPRNKYQWKRYLEAWKYGAGLDYRQEE* >Brasy3G242400.4.p pacid=40043601 transcript=Brasy3G242400.4 locus=Brasy3G242400 ID=Brasy3G242400.4.v1.1 annot-version=v1.1 MEIVEVGILSEGDVEQIISSDFNPNRCGFENKGEIWVEGILNLGISPAKLVEIMKERFISSGGAIFEGKSLSSISVHDDLAVLKLSDGDCLPCRLVVDAMGNFSPIVRQIRSGRKPDGLCLVVGACARGFERNTTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPADRTTYMFTYVDPQFGFPKLEELLEIYWDLMPEYQDVTLETLDIRRVIFGIFPTHRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDFLDAYSLRLLNPYMPNLSASWLFQRAMSTRPQINVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLSRPQILPSIFKQVGLGVILDWSGHFVMLGYYTFLSSFIDPAVRPWVESLPPRNKYQWKRYLEAWKYGAGLDYRQEE* >Brasy3G233600.1.p pacid=40043602 transcript=Brasy3G233600.1 locus=Brasy3G233600 ID=Brasy3G233600.1.v1.1 annot-version=v1.1 MAATSPSSTGAATARDTMSTYSTELVKGTHHFSISGYSLEKRIGVGNLVRSGAFEVGGYNWAIRCYPTGEVNQSEGYLSLFLELLSTVVVGKVTAKLSFQIIGPAGKHSSAILWHDFIPGHTSWGLKKLMKVESLESAYLRDDCLTISCTVEVQKESRTGATRSRQFIAVPPSRVSQDLADLLGSKQGSDVTFRIGENYAYDAHKLVVAMRSPVFRAQFFGPLANDNATVGRSGVVTIPDMKPASFEAMLHFIYTDSLPPVAEDDDELGNDLRLACGADRYDLERMRLMCESLLSETIDSENAAATLQLADRHHCPQLKAFCVDYITSPGVLKAVLATEGYKELRENCPSVLADVLERLEGAGSLA* >Brasy3G017500.1.p pacid=40043603 transcript=Brasy3G017500.1 locus=Brasy3G017500 ID=Brasy3G017500.1.v1.1 annot-version=v1.1 MGDSVQQMVLDHGSVSFGRFAAGQKEQQQVLPL* >Brasy3G099500.1.p pacid=40043604 transcript=Brasy3G099500.1 locus=Brasy3G099500 ID=Brasy3G099500.1.v1.1 annot-version=v1.1 MPSLTSPSLLSSPLPSRATLVSNKPSLRPSPLAVSAARWGVRLVAAAAAAPASAPPVPAQRAQPSAAEVARTVAELAPSGTLSVVGADGWPLGVGARFVADAAGAPALCLAAAGVVGPDARSSFHVEFRQSGARTPQCTFLGVLTKPSDEYELKKLSTRWERKFGEEIDEDRLYLISVDRILHMEDFTEDRVWVVPSEYSDAEPDPLRNFAESIVEEMNTEHAEDVHRIYNIYTESDFQAMDVKMIWVDRLGFDLHVRSEEGIFAVRIPFSRQVSDEKAVKSSFNMMSHHAWEVEKSYAAPEFEKVHFLKKVR* >Brasy3G222600.1.p pacid=40043605 transcript=Brasy3G222600.1 locus=Brasy3G222600 ID=Brasy3G222600.1.v1.1 annot-version=v1.1 MLGSPIPNPNSTPPVPIPARASPPASSTAPSACLPVQSRLHQRASPRAAASTSRPPRASSPPPAGLPTRAAASPRAAAPPAGLPARAAASTIPPPRAPPPPPPIRRLLALLQSRMSVPSSPPPSRSGKMEIDFGGFG* >Brasy3G310500.1.p pacid=40043606 transcript=Brasy3G310500.1 locus=Brasy3G310500 ID=Brasy3G310500.1.v1.1 annot-version=v1.1 MPGHLLFLRRVRGLMPAPAGWPPPFLRVAGEKCSLAGSAPSAGGCDRARVVHLSRRHSPLKL* >Brasy3G255200.1.p pacid=40043607 transcript=Brasy3G255200.1 locus=Brasy3G255200 ID=Brasy3G255200.1.v1.1 annot-version=v1.1 MEDKTEKGKGTDGSASRTRSTRKGGLKFTPKARAKKVSERIPKMEPQEETDVQTIDKILMSKLKTAKIPDSLKSATAEEKEASVQVTFGQVNPSIPRSFRTPRISSSVKNEKDVHLLSKFMLSEITASVPKLPKQPAEPQDFTHPSYNYPPITLPLRRPYSGDILDEDEFGEFSSSRAQDGELTAAKELGLMDTEDMMSKPQLLFFQFPASLPLQTQVEPVVGANMDTSEDAEAEVTKSTVNYKKSRLESTQGCKLKDLPDGLMGKVLVYKSGKVKMRLRDALFDVSAGINCAFPQEAVVINTNKKHCCSLGEFSKRAVVTPDIDYLLDHIKVGEE* >Brasy3G307700.1.p pacid=40043608 transcript=Brasy3G307700.1 locus=Brasy3G307700 ID=Brasy3G307700.1.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQEKSETGVVILLMPPDQETWLLLCLCLARTCHVYVWSGILLLVVLHKMYTG* >Brasy3G307700.3.p pacid=40043609 transcript=Brasy3G307700.3 locus=Brasy3G307700 ID=Brasy3G307700.3.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQTGEIRNWSCNSVNASRSGNVAAAMSLFS* >Brasy3G307700.2.p pacid=40043610 transcript=Brasy3G307700.2 locus=Brasy3G307700 ID=Brasy3G307700.2.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQDTGLIYGKKKDDPTKSDN* >Brasy3G307700.4.p pacid=40043611 transcript=Brasy3G307700.4 locus=Brasy3G307700 ID=Brasy3G307700.4.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQDTGLIYGKKKDDPTKSDN* >Brasy3G307700.5.p pacid=40043612 transcript=Brasy3G307700.5 locus=Brasy3G307700 ID=Brasy3G307700.5.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQDTGLIYGKKKDDPTKSDN* >Brasy3G307700.6.p pacid=40043613 transcript=Brasy3G307700.6 locus=Brasy3G307700 ID=Brasy3G307700.6.v1.1 annot-version=v1.1 MVPGRGWSRTAGNARSFVGNALGGVRGWSNLASWAVAGTLAYYLWVRPARQLQKEQQERAALAAASDPYRYVEKRKPVPDPQDTGLIYGKKKDDPTKSDN* >Brasy3G279100.1.p pacid=40043614 transcript=Brasy3G279100.1 locus=Brasy3G279100 ID=Brasy3G279100.1.v1.1 annot-version=v1.1 METNEPLQDIMCEFRAGKMTLEGTRVVPDTRKGLVRIGRGEEGLVHFQWLDRGQNRVEDDQIIFPDEAVFEKVTASSGRVYILKFMHDSRKFFLWMQEANADGDSQICRQVNDLINRSLDGEAVSIEAEMSQEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLADLQRILSAIQPSDVTADPDAGLGLGDILKPDLILPLIETLPIEQLASHLPEGSWTAGDILELLQSPPLRQQLDAFTHVLRTGEIDLAQFGVDPSKYKFTVASFLDALEETVAKARTEGDKDSEPQKGGGNDPMDES* >Brasy3G279100.2.p pacid=40043615 transcript=Brasy3G279100.2 locus=Brasy3G279100 ID=Brasy3G279100.2.v1.1 annot-version=v1.1 METNEPLQDIMCEFRAGKMTLEGTRVVPDTRKGLVRIGRGEEGLVHFQWLDRGQNRVEDDQIIFPDEAVFEKVTASSGRVYILKFMHDSRKFFLWMQEANADGDSQICRQVNDLINRSLDGEAVSIEAEMSQEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLADLQRILSAIQPSDVTADPDAGLGLGDILKPDLILPLIETLPIEQLASHLPEGSWTAGDILELLQSPPLRQQLDAFTHVLRTGEIDLAQFGVDPSKYKFTVASFLDALEETVAKARTEGDKDSEPQKGGGNDPMDES* >Brasy3G279100.3.p pacid=40043616 transcript=Brasy3G279100.3 locus=Brasy3G279100 ID=Brasy3G279100.3.v1.1 annot-version=v1.1 MHDSRKFFLWMQEANADGDSQICRQVNDLINRSLDGEAVSIEAEMSQEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLADLQRILSAIQPSDVTADPDAGLGLGDILKPDLILPLIETLPIEQLASHLPEGSWTAGDILELLQSPPLRQQLDAFTHVLRTGEIDLAQFGVDPSKYKFTVASFLDALEETVAKARTEGDKDSEPQKGGGNDPMDES* >Brasy3G143300.1.p pacid=40043617 transcript=Brasy3G143300.1 locus=Brasy3G143300 ID=Brasy3G143300.1.v1.1 annot-version=v1.1 MGSVDLVLKPACEGCGSPSDLYGTGCKHTTLCSSCGKSMALSRARCLVCSAPITNLIREYNVRANASTDKAFSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQLTDKMQEKYNRKPWILEDETGQYQFQGHMEGSQSATATYYLLMMQGKEFHAFPAGSWYNFSKVAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNDGEKESARPKKGKDNEEGNNSDKGEENEEDEAARKDRLGLSKRGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRASGQNESDADEDDEDDDQDDESSPVLAPKQNDQPKDEPVDNSPAKPTPSSGHARSTPPASKSKQKRKSGGDDAKTSGSAASKKAKVEPDTKTSAIKEEAPSSLKHTSKASASSRNANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDKK* >Brasy3G143300.2.p pacid=40043618 transcript=Brasy3G143300.2 locus=Brasy3G143300 ID=Brasy3G143300.2.v1.1 annot-version=v1.1 MGSVDLVLKPACEGCGSPSDLYGTGCKHTTLCSSCGKSMALSRARCLVCSAPITNLIREYNVRANASTDKAFSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQLTDKMQEKYNRKPWILEDETGQYQFQGHMEGSQSATATYYLLMMQGKEFHAFPAGSWYNFSKVAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNDGEKESARPKKGKDNEEGNNSDKGEENEEDEAARKDRLGLSKRGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRASGQNESDADEDDEDDDDDESSPVLAPKQNDQPKDEPVDNSPAKPTPSSGHARSTPPASKSKQKRKSGGDDAKTSGSAASKKAKVEPDTKTSAIKEEAPSSLKHTSKASASSRNANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDKK* >Brasy3G067400.1.p pacid=40043619 transcript=Brasy3G067400.1 locus=Brasy3G067400 ID=Brasy3G067400.1.v1.1 annot-version=v1.1 MRADAKPRRAFLLLLLFAAPFFLFLLYSYSSSSSSTPSAATLLPTVPLSPYIRMRRGASAYRTYDDYLKHQLAKTLSPRLRRIWSTRDWHRKVSAFAALFSRLQSSGLLSNTSRALCVGARLGQEVAALRLVGVADSVGIDLAPAPPLVLRGDFHRQPFPDGRFDFEFSNVFDHALYPARFAAEIERTLRPGGVAVLHVAVHRRGDRYSANDLMDAKGLIGLFGDCEVVDVSKVDAFGLDTEVILRKKEKKKTTMENKISSSALP* >Brasy3G120000.1.p pacid=40043620 transcript=Brasy3G120000.1 locus=Brasy3G120000 ID=Brasy3G120000.1.v1.1 annot-version=v1.1 MGSASAASLPTSAGAGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLSSLAGLRPRAVVLSGGPHSVHAPGAPTFPEGFLEFTADAGAHVLGVCYGMQLLVQSLGGAVESGERQEYGDMEVEVTAPSSALYGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAIAAIEHRDKRYYGLQYHPEVTHSPQGMETLCRFLFDVCGIKADWKMQDVLDEEIKTIRSMVGPDEHVICALSGGVDSTVAATLVHNAIGDRLHCIFVDNGLLRYKEQERVMSTFDSDLHLPVTCIDASEQFLSKLKGIKDPEMKRKIIGREFIAVFDDFAHMLEKKLGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVTLRAITSEDGMTADWYYFERQFLVDVVKRICNNVRGVNRVCQDITSKPPATVEWE* >Brasy3G000800.1.p pacid=40043621 transcript=Brasy3G000800.1 locus=Brasy3G000800 ID=Brasy3G000800.1.v1.1 annot-version=v1.1 MLTNRPRLFVFFHQSPPFAQKKKKRNQSPPQPLSPTTSRAACLYKLRTSRSANRSSDSRAFQGLGCGGRCTHGGGGGGGGREQQDGQLLPIADIDRIMRKAIPPDGDIDKDAEEAVQASVSEFIASVTRAANGKCREGEQEEAVIGDHLVSAMALPGFRDYIEPLKLYLHKYREVLPRTMEAESNSLPAPEVRDWSELPVDALSAIFRKLGTIEILMGAGLVCHSWLEAAKLPELWRFVDMTRHKVVFSKAGDVMCKMAKVAIDRSDGRMESFWAQKFVSGELLDYIASRGNSLKSIRIIASGYFRDDRVARLAAKCPMLEEIECSHQKHPAYFLKQLGAARPELKRLRIHVPWFNSEAMTPEMTMEQYYATLPEMSMEQQQSPEWYHDEDDDNGDAAAAADDEVGPFFPGFPFGAWEARHNRVAFAIAANLHELQLLQLAADSLTKGGVYAILDGCPRLECLDLTACRHLQVDDELLARCAKLRHVWLPGRWPRVHCPDLRDIGFEQDDLREMEARVLRNEAAVEYVGEYPDNYFWEYSSGSSSQMSDFSDVAWVD* >Brasy3G150600.1.p pacid=40043622 transcript=Brasy3G150600.1 locus=Brasy3G150600 ID=Brasy3G150600.1.v1.1 annot-version=v1.1 MMGWWWIAAVAACAAAYMAVKLLEVLWWRPRRVEAHFARQGIRGPPYRFFIGCVREMVALMVAASAKPMPPPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREIFISRAQHFDRYESHPMVRQLEGEGLVSLRGEKWAHHRKVLQPTFHMENLKMLLPFIGKTVVDMVEKWQGMADAESGEVEIDVSEWFQIVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLISRRQEATDDERLEGCAKDLLGLMINAGSNGDRRTQPVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQELARQEVLDVCGAQDIPCREQLAKLKTLGMILYETLRLYPPAVATVRRAKSDVVLGGYHIPRDTELLIPIMAVHHDARLWGPDATEFNPARFAEGVSRAAKHPTAFIPFGLGARMCIGQNLALLEAKLTVAIILRRFEFRLSPKYIHAPTVLMLLHPQYGAPVIFRSRSSQTSDHMTTSVKR* >Brasy3G091700.1.p pacid=40043623 transcript=Brasy3G091700.1 locus=Brasy3G091700 ID=Brasy3G091700.1.v1.1 annot-version=v1.1 MVLVPNAPAAAERRPCRPRRRRALPRSPPLRRPALLQSPIPASAARRLYGAPRCPGRRRAPPAIFACSDVPHRHLYGAPRCPGRRRCEPPRARLLLRVERLHLLRRARLLTVAAVPAASAARRHSPLRGGRALGRDPRAAATNPYGEIEEEDAMSTARGDMTIVAENCSGWLDARPRRSVVSASRGSLVVLSAKEVVEMAHMSASTRGWRSFLWVMRPDGCGMLAIHFYMPCRCLAPSLPPRSPRPPPPHRRALSLRQLAPSAPPLAAAARRRARTRRRTCLLPLQALATRSRSSHRGPSRQLAIARRQDSYRAVAAISLTRSVALAA* >Brasy3G349800.1.p pacid=40043624 transcript=Brasy3G349800.1 locus=Brasy3G349800 ID=Brasy3G349800.1.v1.1 annot-version=v1.1 MSSDPDEIKARVVLVDAGAADEWARPELQAFHLPSTSSSHSPPHLFHPQPDAEQSTPASSPPPVPRSPPRSPLETEQQHQAPPSPSNAAKASGSGDSSSKPPPPLPAAALRDLFRFADGLDRVLMAVGTLGALVHGCSLPVFLRFFADLVDSFGSHAGDPDTMVRLVSKYALYFLVVGAAIWASSWAEIACWMWTGERQSARMRVRYLAAALAQDVSFFDADGARTSDVIYAINADAVVVQDAISEKLGSLIHYMATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLTAATMGKLSSRAQDALSEASNIAEQALAQVRAVQSFVGEERVARAYSAALAVAQRIGYRNGFAKGLGLGGTYFTVFCCYALLLWYGGRLVRGGHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKLYRIIDHKPATATTSSQGGVELEAVTGRLELEKVEFAYPSRPEVAVLRGLSLTVPAGKTVALVGSSGSGKSTVVSLIERFYEPSAGRVTLDGVELKELNLRWLRAQIGLVSQEPALFATTIRENLLLGREGEASQEEMEEAARVANAHSFIIKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLGSGVVSESGAHDDLISRGDSGAYANLIRMQEQAHDAAVLAAARRSSARPSSARNSVSSPIAMMQRNSSYGRSPYSRRLSDFSASDFGLSSSSVVVMQPHDGMMKKLAFRAQASSFWRLAKMNSPELGYALAGSVGSMVCGSMSAVFAYILSAVMSVYYSPDPAHMSREIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTRRVRDAMLGAVLRNEMAWFDAEANASSRVAARLALDAHNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFMKGFSGDLEGAHARATQIAGEAVANVRTVAAFNSEGKITRLFEANLQVPLRRCFWKGQIAGVGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSSTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMQSVFETIDRETEIEPDDPDAAPVPEKMRGEVELKHVDLAYPSRPDIQVFRDLNLRARAGKTLALVGPSGCGKSTVLSLILRFYDPSSGRVIVDGKDIRKYNLKALRRAVALVPQEPFLFAGTIHDNIAYGKEGATEAEVVEAAAQANAHKFVSALPDGYKTKVGERGVQLSGGQRQRIAIARALVKQAAIMLLDEATSALDAESERCVQEALGRASSGRTTVVVAHRLATVRAAHTIAVIDDGKVAEQGSHAHLLNHYPDGCYARMLQLQRLTPAAGPSSSSTSSSSIAAATASLS* >Brasy3G074700.1.p pacid=40043625 transcript=Brasy3G074700.1 locus=Brasy3G074700 ID=Brasy3G074700.1.v1.1 annot-version=v1.1 TFTNPSRRPCFPPRSHPKSSRLSRGPWRDCQSELRQGQSAVGFPEPTACPASRRETLSWSRPAVRRPVRGDQTMMPSFVSRGWPRRRRPVVSPSRFASANYVFLNLRSTGSFGII* >Brasy3G074700.2.p pacid=40043626 transcript=Brasy3G074700.2 locus=Brasy3G074700 ID=Brasy3G074700.2.v1.1 annot-version=v1.1 TFTNPSRRPCFPPRSHPKSSRLSRGPWRDCQSELRQGQSAVGFPEPTACPASRRETLSWSRPAVRRPVRGDQTMMPSFVSRGWPRRRRPVVSPSRFASANYVFLNLRSTGSFGII* >Brasy3G098300.1.p pacid=40043627 transcript=Brasy3G098300.1 locus=Brasy3G098300 ID=Brasy3G098300.1.v1.1 annot-version=v1.1 MTNAKCTSKKHSEWPSRNTIANIKDLTPPTMTMVTMQCLPDDDDVPEIPPNEGDDHAPRNST* >Brasy3G055900.1.p pacid=40043628 transcript=Brasy3G055900.1 locus=Brasy3G055900 ID=Brasy3G055900.1.v1.1 annot-version=v1.1 MKTIVLLVLLLLASPSMIAGGSSKPRCPGVQSLTPAAACKAATGTALMFFLCMDTLREFTAAEEVTVYALLAAQRAVKTMESTEARTRELIGGGKLPGDHTLAYASCLGDYADAEQSMGRAARELLPRCELAGLGEEIRRGIDLGLEKCRDRLVRIGAPEPLSGLVLSDRNRAALAYFLSKLLHR* >Brasy3G262400.1.p pacid=40043629 transcript=Brasy3G262400.1 locus=Brasy3G262400 ID=Brasy3G262400.1.v1.1 annot-version=v1.1 MAASVLALAVAILLSASSRVDMGDAATPVAGEGLEVTYGSTVKLMHEKTKVRLHSHDVAYGSGSGQQSVTGFPEIDDSNSYWIVKPSLDSSAKQGDAIETGSIIRLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGSGKVWKRDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVRDKRAENIWSTAEGVYLPVNVSK* >Brasy3G288200.1.p pacid=40043630 transcript=Brasy3G288200.1 locus=Brasy3G288200 ID=Brasy3G288200.1.v1.1 annot-version=v1.1 MAAEAAAGTREEMVYMAKLAEQAERYEEMVEFMEKVVAAAGTGELSVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHASAARAYRARVEAELSNICAGILRLLDERLVPAAVAVDAKVFYLKMKGDYHRYLAEFKAAAERKDAADSTLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDSLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDAGDEMRDASKPEDDEH* >Brasy3G008900.1.p pacid=40043631 transcript=Brasy3G008900.1 locus=Brasy3G008900 ID=Brasy3G008900.1.v1.1 annot-version=v1.1 MVAKLFFLSRRSVTRSYILLRICQRSSSLPQRSERERERERESDLARQREMTTSSGAALPVVDLAPFFTGDEGGMAGATEAMREACRTLGFFRAVNHGVPPELMARALELSAAFFALPDEEKAQVRPVDGSQAPLAAGYGRHPEHLVDRYEYVRTCHPRQGLNLYYPAEPAGFREAMVECYAKLTELGQLIQDILNDCMGLPPGFLKGYNNDRGLDVMVALRYFSSSTEEEQEIGLSQHEDGSCISFVFQDDVGGLEVLDQDGHWIPAEPLRGSIVVNIGDVLRVLSNNKLKSATHRVVRKPAHRHSFGFFWSIHGDKWVEPLPEFTAKIGEAPQYQGFRYNDYMQRFMKSRTDPQCRPEDAFDVTHYAII* >Brasy3G051500.1.p pacid=40043632 transcript=Brasy3G051500.1 locus=Brasy3G051500 ID=Brasy3G051500.1.v1.1 annot-version=v1.1 MALSGAATTLLLLFALAAAASAATPAPAPAVDCQEAFLGLSDCLDYVQPGSSTARPSKTCCGEVKTAVSNPGIVDCLCAAIASKQVQLPVNMTRVLALPAACGGSSAVFSKCHVLPGGSPIEAPSPSAGGPSSSGGATGSRPKAAATRSPVTVTVLVATVAAPLLAFYYL* >Brasy3G045800.1.p pacid=40043633 transcript=Brasy3G045800.1 locus=Brasy3G045800 ID=Brasy3G045800.1.v1.1 annot-version=v1.1 MYKAASSARLLLRSLSSSSSVSSSCSPASRLVASRCLALSRPCGAWPGRGRVLAVAASSSAGGSGSSVRAQIRAVAAPPVGNFRRRRMATSATEHAFKNILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDDFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSHNALQSNMELEFIRNKERFGFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGNLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANNMFVDYNEPQLERVYSSYLALDLDEVEPCISGPKRPHDRVTLKEMKSDWHSCLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLKSGLQEYFNKQGFHLVGYGCTTCIGNSGDLDESVSAAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFRDIWPTTEEIAQVVQSSVLPDMFKSTYEAITKGNPMWNQLPVPEATLYSWDPNSTYIHEPPYFKDMTMSPPGPHPVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKFLGGEVGPKTIHVPSGEKLSVFDAATRYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCYKAGEDADSLGLTGHERFTVNLPTEVSKIRPGQDVTITTDNGKSFSCTLRFDTEVELAYYNHGGILPYVIRSLAGQQN* >Brasy3G082400.1.p pacid=40043634 transcript=Brasy3G082400.1 locus=Brasy3G082400 ID=Brasy3G082400.1.v1.1 annot-version=v1.1 MSSTSEATVIHMDGAAGKAPATAVPPPAPTAPVQPQQRKAGGVPFLLRSGAEGFRRCMALLDLLLRVAAMGPTLAAAISTGTSDETLSVFTHYFQFRARFDDFSAFTFFMVANAVAAGYLLLSLPFSALGVVRPKATGVRLLLLICDTIMVVLVTAAASAAAAIVYVAHEGNRRANWVPICMQFHGFCQRTSGAVVASFLAVLIFILLVFLGACAIRRRHHAATKH* >Brasy3G030200.1.p pacid=40043635 transcript=Brasy3G030200.1 locus=Brasy3G030200 ID=Brasy3G030200.1.v1.1 annot-version=v1.1 MAGEEPVEGSQSRSRMDLNLAQLPRPLGRLGRAMDCPEPAQLPEMPIAEVPRMGEAAGLQAPEETPLQPAVYSSSNALSMPELPTPELSLIDPMNIEWIDNLTTNGGEGLDLGEPAPMPANDGNVSSPVTPPPLPTLAGSEEICLEWVERLLHPLVVPHAVPVEMVSTTQGHGGGAIEEMTSELHLQRLSHVSELVSPGPVTRNQRATSPEADRLVQAIKESHSSLVASRGPIKCRNCGCNSSFGCNICLEAAKEPVVTPCGHMFCWPCLYQWLHGCSVHPVCPVCKGVVLEVNVTPIYGSSGDERGTSKNHIPPRPRANRTGSLRQHLETQESRGIANMVRQFIENQEIVRANSVAPADGGEVTVLPAAQPRGGRVRRQERQDNLNSAFLVLLYAGNTNSPTLQQGSNPAPRGGIQVTMPSSSADNDALAIPQQSSSMEQASTSSTVAVIVGQTAQSRRSRPSESTTTTRRTRRRRQQQ* >Brasy3G282100.1.p pacid=40043636 transcript=Brasy3G282100.1 locus=Brasy3G282100 ID=Brasy3G282100.1.v1.1 annot-version=v1.1 MYNPDQQPQQKAQLMAPRMSFSCDFALDPPPPRASTSGPPRASTAGDADFEFSPGGGAMMAADQLFSKGRILPLRDAAQPVTLRDELRGHAGGDRRRRADRPASSAGSRWKELLGLRKKKSAAEAVPEDSHVDLGDHGK* >Brasy3G081000.1.p pacid=40043637 transcript=Brasy3G081000.1 locus=Brasy3G081000 ID=Brasy3G081000.1.v1.1 annot-version=v1.1 MAATAAAPEREGKKSTSQATSQPCTPSSPPPLLLLLISSREGSGIGGHGNEMPSPAAAASSIAVGLLPRCDRQTDRQR* >Brasy3G122600.1.p pacid=40043638 transcript=Brasy3G122600.1 locus=Brasy3G122600 ID=Brasy3G122600.1.v1.1 annot-version=v1.1 MTIPSAEGFLPAASCLPCTAEEERAAVDSLTRQAEANVKAGDLRYLVSQSWWNNWQSYVGLPRHGDNDTDMPSQPTSRPGEIDNSKLVLEETISGSEEPELQRTLREGEDYALVPEEVWRKLHGWYKGGPVISRKVICEDPNSKRYIVDVYPLCLKLIDERDSSERIIKISRKAKVHELYQLVCSLISVEQSKLHIWDHFQKMKDKKLTNFNETLEEAQLMMDQEILLEVTADDTWSSDLSRRSFNNELAIVPLEPSTSSFSIAGGPTFSNGYSSGIGSSFSQDNSFNPLQWDAEDGYSSFSNRIKDDMHGLSGLHNLGNTCFMNSAIQALVHTPPLVQYFLKDYSQEINTENPLGLQGELAVAFGDLLQKLWSAGRTSVPPRAFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEANDADGRPEEEFAEECWNNHKARNDSIIVDKFQGQYKSTLVCPECNKISVTFDPFMYLSLPLPSTVTRMMTVTVFSGTGDSLPMPYTVTVQKNGVCRDLCKALSDACCLKDSETLLLAEVYDNRMYRYFSPLEHLHIIKDGDKLVAYKLPVGHEKLLRVEILHRKVDRFTPELQFNITRKLIGSPLVTCIPNDSTSKADIYAAVTAVLAPFVRAKVHTPDESAVKLNGNGPSLDGIVLTDNSACSEKGLSTSNLDEETADAELSPSWLSLSDEKGHVRNPIQEDSNHVVGLPMRLSMDWSDREHEIYNLKYMDDLPDVFKTGFMSKKTRQEAVNLFSCLDAFLKDEPLGPDDMWYCPSCKEHRQARKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVTFPIHDLDMSKYAKHTSGPPIYELYAVINHYGGLGGGHYSAYAKLVEEDSWYHFDDSHVSSINEEETRTSAAYLLFYRRIDGNACAMSEDVPVDTDMVDSPET* >Brasy3G122600.2.p pacid=40043639 transcript=Brasy3G122600.2 locus=Brasy3G122600 ID=Brasy3G122600.2.v1.1 annot-version=v1.1 MTIPSAEGFLPAASCLPCTAEEERAAVDSLTRQAEANVKAGDLRYLVSQSWWNNWQSYVGLPRHGDNDTDMPSQPTSRPGEIDNSKLVLEETISGSEEPELQRTLREGEDYALVPEEVWRKLHGWYKGGPVISRKVICEDPNSKRYIVDVYPLCLKLIDERDSSERIIKISRKLHIWDHFQKMKDKKLTNFNETLEEAQLMMDQEILLEVTADDTWSSDLSRRSFNNELAIVPLEPSTSSFSIAGGPTFSNGYSSGIGSSFSQDNSFNPLQWDAEDGYSSFSNRIKDDMHGLSGLHNLGNTCFMNSAIQALVHTPPLVQYFLKDYSQEINTENPLGLQGELAVAFGDLLQKLWSAGRTSVPPRAFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEANDADGRPEEEFAEECWNNHKARNDSIIVDKFQGQYKSTLVCPECNKISVTFDPFMYLSLPLPSTVTRMMTVTVFSGTGDSLPMPYTVTVQKNGVCRDLCKALSDACCLKDSETLLLAEVYDNRMYRYFSPLEHLHIIKDGDKLVAYKLPVGHEKLLRVEILHRKVDRFTPELQFNITRKLIGSPLVTCIPNDSTSKADIYAAVTAVLAPFVRAKVHTPDESAVKLNGNGPSLDGIVLTDNSACSEKGLSTSNLDEETADAELSPSWLSLSDEKGHVRNPIQEDSNHVVGLPMRLSMDWSDREHEIYNLKYMDDLPDVFKTGFMSKKTRQEAVNLFSCLDAFLKDEPLGPDDMWYCPSCKEHRQARKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVTFPIHDLDMSKYAKHTSGPPIYELYAVINHYGGLGGGHYSAYAKLVEEDSWYHFDDSHVSSINEEETRTSAAYLLFYRRIDGNACAMSEDVPVDTDMVDSPET* >Brasy3G139900.1.p pacid=40043640 transcript=Brasy3G139900.1 locus=Brasy3G139900 ID=Brasy3G139900.1.v1.1 annot-version=v1.1 MAVTIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIAPALIGKDPTTQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKHLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGATSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEPVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY* >Brasy3G078700.1.p pacid=40043641 transcript=Brasy3G078700.1 locus=Brasy3G078700 ID=Brasy3G078700.1.v1.1 annot-version=v1.1 MEGVRIVSRRMIRPPELEPETVIHLTPWDLQMITVEHIQKGILLPKHPQAVQRLASSFALALGRFYPFAGRLAVEELEQDGGIAMSLRCSGEGAEFVHAVAPGVTAADIAAPMYVPRVVWSLFPLDGVLGLDAAVASLPVLAVQATELDDGVFLAMSLNHGVADGTAFWHFFNTWSEISRNHDDPAMGFDIASPLPVLQRWFPDGCPIPIPLPFGKPEDIAGCQRGKYPPVQECFFRFSAESVKELKAKANAGTAAAISSLQALLAHTWRAVCRARRLARGQEVTYIILVGCRGRLEGTPPAYMGNAVSLIRARSTAGEILDKELGWAGRLLNRAVASFDMASATAEAASWPRNPSFVRPLLVSVSGAAEPKLSTGSSPRFDIYGNDFGWGGPVTVRSGSANKVDGKMTVYEGGDGAGSMALEVCLAPEALARLVADQEFMAVVSSTKA* >Brasy3G293500.1.p pacid=40043642 transcript=Brasy3G293500.1 locus=Brasy3G293500 ID=Brasy3G293500.1.v1.1 annot-version=v1.1 MGISSMPAPKDSLFVFLLYNTAVSIAVLSNLLRGAMAFLGIPVPGEDGDEHIFAMVGSSASTPAAAAGPSLADRFRSSFRPALFGRRAQHGAADCRVCLASFEPESVVNRLPCGHLFHRECLEKWLGYDNATCPLCRLRLLPAADDPSPPVAPALIRY* >Brasy3G051400.1.p pacid=40043643 transcript=Brasy3G051400.1 locus=Brasy3G051400 ID=Brasy3G051400.1.v1.1 annot-version=v1.1 MAHDLQDDLELAGDYDFEFADAGSDGFQSSGGAPNMDMQMDDTSAVEYREGKDMQGIPWERLNYTRDQYRQMRLKQYKNYESLNRPRDGLHKECQRVERKETFYDFHLNTRHVKPTVVHFQLRNLLWATSKHDVYVMQNYSVMHWSSLLRRGKEVLNVAGQVAPTQDVRVSRPLSRVQISTMVVKDNLVAAGGFHGELICKHVDQPGVAFCTNLTGNKNSITNAVDIYRSPNGATRVMAANNDCVVRTFDTEKFSLLTQFPFPWSVNNTSVSPDGKVLAVLGDSSDCLIADSQSGKEIATLRGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRSLAVLQGRIGSVRGLEFSPDGGRFLAAAEPADFVHVYDASAGYARAQEIGIFGEIAGVSFSPDDGTQALFVGVADRTYGGLLEFRRRRTHGYLDCCL* >Brasy3G051400.4.p pacid=40043644 transcript=Brasy3G051400.4 locus=Brasy3G051400 ID=Brasy3G051400.4.v1.1 annot-version=v1.1 MAHDLQDDLELAGDYDFEFADAGSDGFQSSGGAPNMMDDTSAVEYREGKDMQGIPWERLNYTRDQYRQMRLKQYKNYESLNRPRDGLHKECQRVERKETFYDFHLNTRHVKPTVVHFQLRNLLWATSKHDVYVMQNYSVMHWSSLLRRGKEVLNVAGQVAPTQDVRVSRPLSRVQISTMVVKDNLVAAGGFHGELICKHVDQPGVAFCTNLTGNKNSITNAVDIYRSPNGATRVMAANNDCVVRTFDTEKFSLLTQFPFPWSVNNTSVSPDGKVLAVLGDSSDCLIADSQSGKEIATLRGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRSLAVLQGRIGSVRGLEFSPDGGRFLAAAEPADFVHVYDASAGYARAQEIGIFGEIAGVSFSPDDGTQALFVGVADRTYGGLLEFRRRRTHGYLDCCL* >Brasy3G051400.2.p pacid=40043645 transcript=Brasy3G051400.2 locus=Brasy3G051400 ID=Brasy3G051400.2.v1.1 annot-version=v1.1 MLRNLLWATSKHDVYVMQNYSVMHWSSLLRRGKEVLNVAGQVAPTQDVRVSRPLSRVQISTMVVKDNLVAAGGFHGELICKHVDQPGVAFCTNLTGNKNSITNAVDIYRSPNGATRVMAANNDCVVRTFDTEKFSLLTQFPFPWSVNNTSVSPDGKVLAVLGDSSDCLIADSQSGKEIATLRGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRSLAVLQGRIGSVRGLEFSPDGGRFLAAAEPADFVHVYDASAGYARAQEIGIFGEIAGVSFSPDDGTQALFVGVADRTYGGLLEFRRRRTHGYLDCCL* >Brasy3G051400.3.p pacid=40043646 transcript=Brasy3G051400.3 locus=Brasy3G051400 ID=Brasy3G051400.3.v1.1 annot-version=v1.1 MLRNLLWATSKHDVYVMQNYSVMHWSSLLRRGKEVLNVAGQVAPTQDVRVSRPLSRVQISTMVVKDNLVAAGGFHGELICKHVDQPGVAFCTNLTGNKNSITNAVDIYRSPNGATRVMAANNDCVVRTFDTEKFSLLTQFPFPWSVNNTSVSPDGKVLAVLGDSSDCLIADSQSGKEIATLRGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNTSRSLAVLQGRIGSVRGLEFSPDGGRFLAAAEPADFVHVYDASAGYARAQEIGIFGEIAGVSFSPDDGTQALFVGVADRTYGGLLEFRRRRTHGYLDCCL* >Brasy3G250300.1.p pacid=40043647 transcript=Brasy3G250300.1 locus=Brasy3G250300 ID=Brasy3G250300.1.v1.1 annot-version=v1.1 MAGGAAVAGAASKREYPGRLTLFVLMACLVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVYRKQQQADDGSSNSNQYCKFDSQVLTMFTSSLYLAALVASVCAASVTRVAGRKWSMFVGGVTFLAGCALNGAAQDVAMLILGRVLLGVGVGFANQSVPVYLSEMAPARMRGMLNNGFQLMITLGILAANLINYGTDKIADGWGWRLSLALAAVPAAIITVGSLFLPDTPNSLLERGKADDAREMLRRVRGTDDVAEEYGDLSAASEASRAVKSPWRDILRRQYRPQLAMAVAIPLLQQLTGINVIMFYAPVLFKTLGFGGSASLMSAVITGVVNLAATLVSVFTVDRVGRRALFLQGGAQMFASLVAVGALIGAKLGWSGVAEIPPGYAAAVVAVMCVYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAVNMFMTFAVAQAFLPMLCRLKFVLFFFFAAWVAAMTLFVALFVPETKGVPIEDMANVWKSHWYWSRFVTDDDAQHADIEMGSRC* >Brasy3G222500.1.p pacid=40043648 transcript=Brasy3G222500.1 locus=Brasy3G222500 ID=Brasy3G222500.1.v1.1 annot-version=v1.1 MARQFVNMIVQDLGSTLYSLCRIDLSKHLFHPSTAAAQEAAAKAKTKINKRWLSPDLCRNSLPCRPALNYQIQPRDCQGLAMLFFSLLRGIGESCILSSDIMGHATVHDVDTSSNIAVPRANFRKNIDSITLSTTHRAYDACLHEVDVYRLYVLGDTVDGFFEAFNYSKTAACVEPTPAGRHYNTDPPDVNWYWDPLPQLEPLNGNCYGKGDGYGNGTGYGIYGNKRALSAAAVIDDRTICVSSMEGATYSFDIENCEWRQLAGSWVLPFHGAAEYVPELGLWFGIDATNHRLCALHLSCSSSSCSPVVQHAWDYLHYVPGDEWSLSYKNLVNLGSGRFCIATAWARRDGKGPHMIDDEITVLTGVEVVRGHGDDGQLQMIRHKSKRYSIPNVELQCVL* >Brasy3G058400.1.p pacid=40043649 transcript=Brasy3G058400.1 locus=Brasy3G058400 ID=Brasy3G058400.1.v1.1 annot-version=v1.1 MESSGFQPDQYMWNRVLGMYLACGMLAEAREVFEGMPARSRVTWGVMMGGLVDGRQPRGALALFSELWGEMGVGAGPRVLVVAVRAATALGSVRAGQELHCCVTKMGVCEDQYLPCALVDMYSKCGQLDEARRVFDGMPWRSVVAWNTMLAAYSLHGCAEEAVDLYHDMCECGVGLDQFTFSTMLGVFSRLGLLEHAKQIHASLIQSGLHMDIVGNTALVDLYCKWGRMEDARHVFERMPSRNLISWNALISGYGYHGMGANAIEMFERLMAEGIAPNHVTFLGVLNACRFSGFIDKGKRIFQLMAQNPKTKPRAMHYACVIELFGQQGLLDEAYSMIRKSPFTPTANMWGALLTACRIHKNIQLARLAAEQLLAMEPQKVNNYIVLLNLYISSGRQDEASKVVNTLNSAGLCMSNACSWITVKKKDHRFFFKDSLHPKSSEIYRRLHTLMEVIKELGYVAEEDELLPDILPDEQKTSKVYHSERLAVAFGIISTSPSTPLTINQSHRLCRDCHKVIKLIAQVTKREIVVRDGSRFHHFKLGTCSCGDYW* >Brasy3G138500.1.p pacid=40043650 transcript=Brasy3G138500.1 locus=Brasy3G138500 ID=Brasy3G138500.1.v1.1 annot-version=v1.1 MADGVALRLRRCPTRCRRRRCHPSPQPPCSRDGLATARCDGHHRGWRCPHPTAGRDGGQLLDLVCLAAVVGEVGLKPRRPDGEDHDDLAAAWYCPRRRRP* >Brasy3G261200.1.p pacid=40043651 transcript=Brasy3G261200.1 locus=Brasy3G261200 ID=Brasy3G261200.1.v1.1 annot-version=v1.1 MKPVVWIVVSNKMQKSVVVVVAVDRLFHNKVYNRYVKRTSKFMAHDETQDCNIGDRVRLDPSRP* >Brasy3G168300.1.p pacid=40043652 transcript=Brasy3G168300.1 locus=Brasy3G168300 ID=Brasy3G168300.1.v1.1 annot-version=v1.1 MAFPVAIPLPRPILRLHFTRIATTTRAAAARGRTPSPQPIPDELQLAADIRSPHNHIRVADVSPRAAGHPFAGARLLLLDGPGNIHSVSFPRRPYSPLTSTYLDVFAALPPLLPRPSLAVLGFGAGSAARAILHFYPHVSVHGWELDPSVIAVARDFFGLAELEEKHADRLVIHVGDALEADADAVPGGGGFGGVLVDLFANGSVLRELQEAATWRRIGGMVAPGGRVMVNCGGGCVEAEEEGRDGEAVKDATLRAMAAAFGEGMLAVMDVDGSCVAMTRPVVEEAAAWKARLPPELRQYVEAWRPYNGYGDK* >Brasy3G081700.1.p pacid=40043653 transcript=Brasy3G081700.1 locus=Brasy3G081700 ID=Brasy3G081700.1.v1.1 annot-version=v1.1 MSIKHCDKHDCERQRLYRRFCAGLLALILLVLLIILIVWLILRPSKPRFYLNGLQVLCLNATASSYSSSYPGSGSGAAGAYLTVTLQATLAARNPNERVGIYYDRADAYAEYKGAQLTVPTALPVVYQGHLDTSVWSPFLSGADVPLPPYLAVALQQDKAAGYVLLTVRVEGWIRWKAGAFITGHYRLRARCPALLTVVNGAGQGDDGYGSGVSSTGGAGFNFQRAAPCVVDV* >Brasy3G109600.1.p pacid=40043654 transcript=Brasy3G109600.1 locus=Brasy3G109600 ID=Brasy3G109600.1.v1.1 annot-version=v1.1 MAAASFALALLLYLGLDLPEASPAQSYAADPDNVVEISYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDTSAKQGDAITHGTTIRLQHMRTRKWLHSHLHASPITGNMEVSCFGGEVESDTGDYWMLEIEGSVKTWRQNQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYVPVSQRK* >Brasy3G322100.1.p pacid=40043655 transcript=Brasy3G322100.1 locus=Brasy3G322100 ID=Brasy3G322100.1.v1.1 annot-version=v1.1 MAVGCDEPIISSPTLLHCPHRKFPPPPSPPFPASCALPDLGFARPSSFLGRALPAPHRPELDHRAPLRPAGPPPSPPPPARLLLPRRPTPPPTTDARSRRRLRLHGPAPRHLPFDFSNQQGLWVPSDNLSQDG* >Brasy3G275300.1.p pacid=40043656 transcript=Brasy3G275300.1 locus=Brasy3G275300 ID=Brasy3G275300.1.v1.1 annot-version=v1.1 MGEAPRPKSPPKYPDLCGRRRLQLEVQSLNREVGFLEQELQGLERMQPVSRCCKDLNEYVDAKTDPLIPINKRKHRSCRLYRWIRSKLCTCFSCLCCWSRCLPKRPSCFSSSCCSCGDTSCCAPSCSCLNKTPSCCKPQCPDCCSLPSCCDCKPHCTGCCGDCHCQPHCCSASDCCCKPGCSCSLPGCCCSLSSGSCCGCAENCSCTPCSGCLGVFFKRCLSCRSSCCKGQQPSCCKCQLSCCEGDHQPSCCGKGACGSCKSCLGAPSCPECSCGCVCSCPRCKGGCRCPSCGNPCGAGGCLC* >Brasy3G211400.1.p pacid=40043657 transcript=Brasy3G211400.1 locus=Brasy3G211400 ID=Brasy3G211400.1.v1.1 annot-version=v1.1 MGRVSTNAIALVALLSAVLVTSGHSQSYDAARSYNSGWLPAKATWYGAPTGAGPDDNGGACGYKFTNLYPFSSMTSCGNEPLFMDGAGCGTCYQIKCTSANNPACSGQTKTVMITDMNYYPVARYHFDLSGTAFGAMASYGQNDQLRHAGIIDMQFRRVPCNYPGINVIFHVEAGSNPMYLAVLILFANRDGNVVQMDVMESRYGGPTGYWMPMRRSWGAIWRLDSGHPLQGPFSLRIRSESGATLVAHQALPADWKPDTNYWSNIQYY* >Brasy3G015500.1.p pacid=40043658 transcript=Brasy3G015500.1 locus=Brasy3G015500 ID=Brasy3G015500.1.v1.1 annot-version=v1.1 MLGSMPNCLRVSLYSSVKVVLEFPPVQGVAMLYIILIYDKALVCICVASTGILLLSDFST* >Brasy3G340400.1.p pacid=40043659 transcript=Brasy3G340400.1 locus=Brasy3G340400 ID=Brasy3G340400.1.v1.1 annot-version=v1.1 MASPAAAAAAQFPPKSSSSTNQPLPLPAPAIDRASHGGGQGGGGGGDSDGDGQARRRQAGPAGDAVPRVPRREAGGEESGPEVRRRCRRLDRPRRRGELRRHLRHRQLHRHLQDSQGCRRRPAAQSSGVRGSVDRRLHAAQVPSDICRSSEGREASCRGARRPRLLGGGDTEVQESMSRTLHKRSLSNAPSASLS* >Brasy3G164700.1.p pacid=40043660 transcript=Brasy3G164700.1 locus=Brasy3G164700 ID=Brasy3G164700.1.v1.1 annot-version=v1.1 MADTSGDVCAICLGGLLRGQANFTAECSHAFHLSCISASVAHGNHDCPLCKAHWTVLPAVNNPRPATQPAPFPFRHQTPPARRTAYNDDDETSVPAQAAAADLNTNGGLVVLKTHCERSALARGAPREGFAVLVHAKAPSDAAAGESARAPLDLVAVLDTSGSMTGRKLELLKQAMGFVVDNMGSADRLSVVSFSSDAARLIRLARMSDAGKAAAKRAVGSLVAGGGTNIGAGLGVAADVLACRRHRNAVAGIMLLSDGQDTYTAPRYGNRGARGRSNNYMGLVPPSLTYTGAGDRPAAVHTFGFGADHDAAAMHTIAEATGGTFSFVENQAVVQDSFAQCIGGLLTVAVQDARIQMACLHPGVRVREVKSGRYGSRVDEDGRAASIDVGELYADEERRFLVFVYVPRAEDTDDVTELLKVSCTYRDAATGQAATVAGEEAAVRRPVEVSDAEVTSVEVQRERVRVSATEDMAAAREAADRGSHAEAAQILRSRLRATEALESEEYGELEAELHDFIGRVEDEREYEQSGRASLLSGIGSHAQQRASTRQVRTIRGGRVLQSARKGTAYATPAMEKMVKMSREQRQAAPPPKRKSMGGSRSEKGKRSKED* >Brasy3G344400.1.p pacid=40043661 transcript=Brasy3G344400.1 locus=Brasy3G344400 ID=Brasy3G344400.1.v1.1 annot-version=v1.1 MENFPRKRNLEDLWWSAFPVGTEWENIDMIREFNWNFKNLEKTLEEGRELYGKTVYLFGSTEPQQLDVNGELKIVVVPVVVAVDCPFPPSDKIAINFVRTGKEEIVPMKKMKMSWMPYVPLPDRFGRIESLKTKIFTLCCTRRRSALKRMKTESANKFYYYTPYVPLNPPEDEDGTVVRVIYPLEPPIVCDFDLELDDSEVLARKLVKDEGLPEDEREKIKEFLKVEVKQKKIEMEQAEEARKKAIEDMDPKQREAFENMRFYKFYPVKTPDTPDVKKVKSRYINRYYGCAHYLM* >Brasy3G339000.1.p pacid=40043662 transcript=Brasy3G339000.1 locus=Brasy3G339000 ID=Brasy3G339000.1.v1.1 annot-version=v1.1 MACHQRSISLPSRPHTKVEEELQSLEACISSPSMTIETISDGLRRLGDIYGTIEEIMCLPSNQVCSPQQRKMLDTEMGCSLELLDLCNAMHEDFTELKAIIQDLQVATRKGGDAAVQVKIQSYSRLVKKAKKSFKKAEKKAASSKEDCRMVRLLSEAREITTSLLESTLNLLSKQIATPKRSLVSNAFQKKTSVVCKEEQLQVLECSVGDLEAGAGILFRRLVQSRVTLLNILSS* >Brasy3G139400.1.p pacid=40043663 transcript=Brasy3G139400.1 locus=Brasy3G139400 ID=Brasy3G139400.1.v1.1 annot-version=v1.1 MVAAARLLGRISRQGIASAAARRRPEAAALLRASVLAAEPCAAASCSSIKVLPLLNQPMRYSTSTFQRFGFSSSAPQQDDKEANKHTDDGDTEELDLSKEDLVKLVLEKEELLKSKDEEIKDMKDKVLRSYAEMENVIARTKRESENSKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSSDSSEAVPLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNENFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPTEEVTEEKSSKSD* >Brasy3G158400.1.p pacid=40043664 transcript=Brasy3G158400.1 locus=Brasy3G158400 ID=Brasy3G158400.1.v1.1 annot-version=v1.1 MKIPFVTKWSHRSSEPAGPSNSAAAQQREHQPPPSPSGSSAPVPAAANSPSLPVAATGAGGDDFILQEEEYQMQLALALSASASASGGEGAGDPDGEQIRKAKLMSLGTGDTVAVSDHGGGDTAESLSRRYRDYNFLDYNEKVIDGFYDIFGLSTELSGQGKIPSLAELQMSIGDLGYEVIVVDHKFDNALQEMKEVAECCSLGCPDITVLVRRIAEVVAEHMGGPVIDANEMITRWLSKSIEQRTSHQTSLLHIGSIEIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIIKMDTKREFLVDVMAAPGTLIPADVFNPKGTSFNFSQTLGQNQVAESASNIEDDPVALQSEHKRNQGWIDNQSGYGNTMTAGSSASELGTLPPQMQLDQTSTFAGATSRQKKNLQLVPDSHETQESKKLFAEFDPFNATESGKSSLAFKRLNNRNNEFQRRRENIAPVSGRSQQPLVMKNWSACNDISNNKRYNVAEGSVSRSNASDNAASSSQLALSTARHYNSNVRELNDGMYAAPSRNYDNRMVGTSAMTAASTGEHLDRSRVPPVLYYDKMPGTSSVNAASTSEIGKVAEKSPRNDLEKGPIYPRFDGQISNRAQGFSLEGEEHKENCGRNDNKRLHADPRKSPLDRFMGTPRQYPECVSPSQVGSSTVDMVLDEVSECEILWEDLVIDERIGIGSYGEVYHADWNGTEVAVKKFLDQEFYGDALDEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYKIIHRPNCQIDEKRRIRMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDSWTVKVCDFGLSRLKHSTFLSSRSTAGTPEWMAPEVLRNEQSNEKCDIYSFGVILWELATLRKPWQGMNQMQVVGAVGFQDRRLDIPKEVDPIVASIIRDCWQKDPNLRPSFSQLTSYLKTLQRLVIPTHQETANNHVPYEISLYR* >Brasy3G097400.1.p pacid=40043665 transcript=Brasy3G097400.1 locus=Brasy3G097400 ID=Brasy3G097400.1.v1.1 annot-version=v1.1 MAAARATLPHPHLPAPSWRTQLKPFPPHPRRAVAASAAPSPALTASLRLGDVIEAQQFDRQALNEIFEVAREMEAVERGSHGSRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSVAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAVTANIPVINAGDGPGQHPTQALLDVYTIKREIGRLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDHYEAARGKYIVDRKVLGVLPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR* >Brasy3G249400.1.p pacid=40043666 transcript=Brasy3G249400.1 locus=Brasy3G249400 ID=Brasy3G249400.1.v1.1 annot-version=v1.1 MDGGHPRARLAGAGGDLRPPEPPLDPLEFLSRSWSASAVDVPRARPGGAPPLPALAGPIAEDAACELDDGGAATAGSSFSFASAATSQFIMERILAQSEVAPLTSGRLSHSSGPLTGGGSLTDSPPVSPEIDDSQYCRAAGTPKPQAYRGGSKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVVSSAVNVRTPGDIVTVTAAAATALRGAATLRARALKEVWNIAAVIPVEKGTMGGGGHHHKQSVHKQHHKLESNDSSVSDLSLDEENNFLGICSQEFLVRGTELLKRTRKGALHWKVVSVYINRLGLVALKMKSRHVAGTITKKKKNVVIDVCKDVAAWPGRHLLEDGEHRRYFGLKTADHRVIEFECTSQRDYELWTKGVARLLSIAGERKRLL* >Brasy3G207700.1.p pacid=40043667 transcript=Brasy3G207700.1 locus=Brasy3G207700 ID=Brasy3G207700.1.v1.1 annot-version=v1.1 MATAPHLAFHLPFPFLSTSRPPPRFLAPPRRGPLRLAAAARRFRPPTADDEPPEAAEDSSHGLNRYDQLARHVEHARRRQQSEQPEVTADHPLFSSPPSATTSGRYDPDDEFFDEIDRAIAEKREEFTRRGLIKPTPPPPPPELDGPADELSPEEAIDLDEIRRLQGLSVASAADEDDEEAEGVEEEDGDEGLPLHDDDAEGFDVADELGLDGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQSDASLVAAGDLFVCVGENGLAGLTEADKRGAVAVVADQAVDIEGTLACRALVIVDDIAVALRVLPACLYRRPSKDMAVIGITGTDGVTTTSHLVKAMYEAMGVRTGMVGVLGAYAFGSNKLDAQPDASGDSIAVQKLMATMLHNGAEAAVLETTIDGMPPSGVDSEIDYDIAMLTNVRHADWEAGMTYEEYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIIDHARTPEALSRLLDCVKELGPRRIVTVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPASEDPLDILDDMLSGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQLEGDKKEFFDDREECREALQYVDQLHRSGIDTSEFPWRLPESH* >Brasy3G207700.3.p pacid=40043668 transcript=Brasy3G207700.3 locus=Brasy3G207700 ID=Brasy3G207700.3.v1.1 annot-version=v1.1 MATAPHLAFHLPFPFLSTSRPPPRFLAPPRRGPLRLAAAARRFRPPTADDEPPEAAEDSSHGLNRYDQLARHVEHARRRQQSEQPEVTADHPLFSSPPSATTSGRYDPDDEFFDEIDRAIAEKREEFTRRGLIKPTPPPPPPELDGPADELSPEEAIDLDEIRRLQGLSVASAADEDDEEAEGVEEEDGDEGLPLHDDDAEGFDVADELGLDGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQSDASLVAAGDLFVCVGENGLAGLTEADKRGAVAVVADQAVDIEGTLACRALVIVDDIAVALRVLPACLYRRPSKDMAVIGITGTDGVTTTSHLVKAMYEAMGVRTGMVGVLGAYAFGSNKLDAQPDASGDSIAVQKLMATMLHNGAEAAVLETTIDGMPPSGVDSEIDYDIAMLTNVRHADWEAGMTYEEYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIIDHARTPEALSRLLDCVKELGPRRIVTVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPASEDPLDILDDMLSGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQLEGDKKEFFDDREECREALQYVDQLHRSGIDTSEFPWRLPESH* >Brasy3G207700.2.p pacid=40043669 transcript=Brasy3G207700.2 locus=Brasy3G207700 ID=Brasy3G207700.2.v1.1 annot-version=v1.1 MATAPHLAFHLPFPFLSTSRPPPRFLAPPRRGPLRLAAAARRFRPPTADDEPPEAAEDSSHGLNRYDQLARHVEHARRRQQSEQPEVTADHPLFSSPPSATTSGRYDPDDEFFDEIDRAIAEKREEFTRRGLIKPTPPPPPPELDGPADELSPEEAIDLDEIRRLQGLSVASAADEDDEEAEGVEEEDGDEGLPLHDDDAEGFDVADELGLDGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQSDASLVAAGDLFVCVGENGLAGLTEADKRGAVAVVADQAVDIEGTLACRALVIVDDIAVALRVLPACLYRRPSKDMAVIGITGTDGVTTTSHLVKAMYEAMGVRTGMVGVLGAYAFGSNKLDAQPDASGDSIAVQKLMATMLHNGAEAAVLETTIDGMPPSGVDSEIDYDIAMLTNVRHADWEAGMTYEEYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIIDHARTPEALSRLLDCVKELGPRRIVTVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPASEDPLDILDDMLSGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQLEGDKKEFFDDREECREALQYVDQLHRSGIDTSEFPWRLPESH* >Brasy3G271600.1.p pacid=40043670 transcript=Brasy3G271600.1 locus=Brasy3G271600 ID=Brasy3G271600.1.v1.1 annot-version=v1.1 MAAATAFTEEERAVDESLGYPKAYAKLCRGGGGGLLGLPYAHGPPHAFLPYVLQPHEALRAKDLNEMFPVVDADALPAANPRGFASLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDVHHWFPCARGGKTVPSNLRVVQAQVCRKKQNKLEFLVPWWDLQLGISVSQFLSIFASKNSDFRNRAFAFLFADGASEELSALQAVEAHAFPQHFSEMTKKVGLAPAAIVTARGSDSSVLKSLDANRPLRSNYPLIAAKKFSGEKDENLAAHGHGNNSAKENNNPDVDGYISNPHLSIAMARDSLRQREESKKKQAELAELENELTEMQQKNEQERVAIQDLEAHLIKRRRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQHRDDLERQVKPFIDQARKRYRVDDEMPEEIRCGSVKYLSERRLRSSPLKQELRVFLEEDHRASDAYISLEEEEIGQGTSTMGHAKNESPKVINFPRRSLSTEENTGYTERGRVSSVREKLEQSAIQQRHRSSRRERKETMAARGIGTPMRTKTDTKGKAAMLESETEKSHASFPRTSSPSPPYRATGVYGMPRYPAEQSLPLEKNEVLHPRRAVRSEENEDMNHTGKGNVYKWLHMLMEDQQEEPAAHCSSEDHNAAEENAWDEQQMQSGIAGDESCRNEITECSEEIVEVGGESAVDHGTPRCSNSFDIKEEREERKIWFPRSESGRGFRSLPSSPSKILGMRGRKPKATVEDDCRSGYDDPVSTSSSKFLSKCRQAIKKAVHK* >Brasy3G240700.1.p pacid=40043671 transcript=Brasy3G240700.1 locus=Brasy3G240700 ID=Brasy3G240700.1.v1.1 annot-version=v1.1 MAIFIACVSSLVLLLFLSRYVFQLLGSSSSARGRLPPGPLPLPAIGNLLDVALTGRLLPPTAP* >Brasy3G085100.1.p pacid=40043672 transcript=Brasy3G085100.1 locus=Brasy3G085100 ID=Brasy3G085100.1.v1.1 annot-version=v1.1 MPSLARIAERRARGFFSPVPFLPRPPSRNPRETSREIHRRRRPGCTTAWASPRRGVPAPAAACSPTSSSSGPAPPLPPRPATTPPLPSSRLAAAAAAASGRRWRSTSVSGLWSRGCWICARRSRSRATTRQRSPRASPPRHASRPPNLPPRRRRRPVTAAAAGPRGRLSRVPLHQPPSRGFGRPWKKDAVDQNSERKSRDLPRRFADL* >Brasy3G153700.1.p pacid=40043673 transcript=Brasy3G153700.1 locus=Brasy3G153700 ID=Brasy3G153700.1.v1.1 annot-version=v1.1 MVFLNSLYSKHTCPHSHLYLPTGCRRSKPPSPLVLAAPAVASAANPCPISPSLPCPATELPHPRAASLRSPLHGHLRWQRRKRSPPQPPLPPPTPHPVPSPELPQSPAPSILSLFPPSTLHSSASSAVGPSAK* >Brasy3G141500.1.p pacid=40043674 transcript=Brasy3G141500.1 locus=Brasy3G141500 ID=Brasy3G141500.1.v1.1 annot-version=v1.1 MAMDESDEHSNGGGHNGHGLEWRLPAALSANTTGVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLAGDPACDAGPEVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNKAAAKAAAGLVGLVSTALAVYIGTVFYTFTH* >Brasy3G345800.1.p pacid=40043675 transcript=Brasy3G345800.1 locus=Brasy3G345800 ID=Brasy3G345800.1.v1.1 annot-version=v1.1 MADEEHDAEEEDFHFLLFGNDDGDGGTGTGSSSEEEEDEDNDAGDDFGFLLGVQDGRPPIHHQEGRDTCVFHAITFAAEMEMRRRRVPTTDITFNADAFAADYEREIGMNLRDQSAAPVGTFPSYHREATGLRLSRRDGVLARSAAWEGERRLRISSFRVHRNVNGVSFARVAELISEGRPVNGVMRGNERFRRGRSIYDYYLPDKAGPGGEDDEMYTHAVAFVGYGVRQGREYLILANRYGVGFGEDGLARVYFDHSVYDDRFYTLTVSPPAADQDRPSSSAAVPPRLLRIGSSRSSGSGAAHNLGFFFFFFNTVVSVSSSSTAPSSSGSGSGAAHDLGFFINTVGSSSLSDDGAGQRFFEVGSTSSAAATPTAFLDDGSAFSPLISSPSNIQSFFFNDDSAFSLSPYATHNSFDGGSSTNSPVLSSPTTTHSSFVAPVAFFDDESTAN* >Brasy3G045000.1.p pacid=40043676 transcript=Brasy3G045000.1 locus=Brasy3G045000 ID=Brasy3G045000.1.v1.1 annot-version=v1.1 MLELEPSSWSSSGAAIVGISKQAQIRRKPAPAMAAFIGVRARPWGRFAAEIRDSTRNGARVWLGTFASAEAAAMAYDQAALSSRGAATPLNFPLARVQDSLRVLALGAGGSPVLALKQRHSKRTRRKKADILSRSAANNASGSGRRKKKKSCNSNNNMVAAAGKNGEQKRFIVELEDLGTEYLEELLRISEQLMCSTSSASS* >Brasy3G093000.1.p pacid=40043677 transcript=Brasy3G093000.1 locus=Brasy3G093000 ID=Brasy3G093000.1.v1.1 annot-version=v1.1 MARALLYPCLLFLASTATASLHLQCLENPPDLTAGGNEVGQVVYNLAGFTAYVTGAVHSNRAIVLASDIFGFEAPLLRQIADKVGGAGYYVVVPDFFNGQPYTGDPSINITQWIDDHSPVKAAQDAKPIFATLKKKQKSIIAVGGYCWGGKFAVEIAKMNEVEAIVISHPSSVIVDDMREVKCPIEILGAQNDTTTPQKFIYQFVHALRKRSDKIPYYGKIFPGVAHGFACRYNSTDPSAVKTAEEAFALMLDWFGKYLK* >Brasy3G221100.1.p pacid=40043678 transcript=Brasy3G221100.1 locus=Brasy3G221100 ID=Brasy3G221100.1.v1.1 annot-version=v1.1 MFRHRKTSSVRRGLALASWPPTRARRRLPHVSPHPHVLSPLSLPSPSRTGEACTSRVSDAVNLAIPRRLLPLVRLAIKQSHPPARSTQRVARDTKQAGSNGRRHLVPRALPLPRPAPTPPLSPQHARPLPRAPRAPAPPRQIRRPRPPRIHGRHRRRSDILRRLRPPPPTDHRRHGPPLRRRGQRQRQQIRRRRGRRRRRERPERRHGGALPARAEPGPVQPAAAAQLRQVPPRRGARPARGAGLLRARHARLPRRRRPAQPLRPRALGGRPGGQGQQQGPRRGILPARRPGRARRLPRARLLRQLPLGRRGGRRRRGGPGGAPAGSRVIENTDYGGGCNLELRKETTDDPKGSGSVNWGGIGHSNDDTNRLHRMGMQLDQEKEIGMRAVKHAGMLLTH* >Brasy3G266700.1.p pacid=40043679 transcript=Brasy3G266700.1 locus=Brasy3G266700 ID=Brasy3G266700.1.v1.1 annot-version=v1.1 MPRQPSFQATLPFLSDRVPKRARSSPEPPAKLATKCYRHCTANRPPPRLSRVISTAAPSCPPPSQSPQALGLLLAGHPHPAVAEFPRAGFSHLAASPLLARAIHGLVLRRALPLSAFHGNTLLAFYFRQGRSSADAALHLFDAMPDDHRTPSSWYTAISGCVRCGRVSTAFSLLRDMRERGVPLSGFALASLVTACERWDEGRTCGAAIHALTQKAGLMVNVYIGTALLHLYGSQKRVLDAQRLFWEMPERNVVSWTALMVALSSNGYLEEALGSYRRMRRERIACNANAFATVVSLCGSPENEVAGLQVFSHVIVSGLQRQVSVANSLISMLGNLGRVHDAEKIFYRMEEHDTVSWNALVSMYSHEGLCSISFRVFSDMRRGGLLRHDATTLCSLISVCASSDYVSYGSGVHSLCVRTGVHSYIPVINALVNMYSAAGKLVDAESLFWNMSRRDLISWNTMISSYVQNGNNMDALKTLGQLLQTNEGPDRMTFSSALGACSSPGALMDGRMVHAMVLQLSLHHNLLVGNSLITMYGKCNSIEDAERVFQLMPNHDVVSCNILIGSYAALEDGTKAMQIFFWMRRAEVKLNYITIVNILGSFTSSYDLRNYGMPLHAYTVHAGFLSDDYVSNSLITMYAKCGDLESSTNVFHRIINRSVVSWNAMIAANVQHGHGEESLKLFMDMRHAGNGLDHICLAECLSSSASLASLEEGMQLHGLGVKCGLDNDSHVVNAAMDMYGKCGKMDEMLKMLPDPAIRPQQCWNTLISGYARYGYFKEAEETFKHMISMGRMPDYVTFVTLLSACSHAGLVDKGIDYYNSMSSVFGVSPGIKHCVCIVDVLGRLGRFAEAEKFIEDMPVLPNDLIWRSLLSSSRTHKNLDIGRKAAKKLLELDPFDDSAYVLLSNLYATSARWSDVDRVRSHMKTINLNKIPACSWLKQKKEVSTFGIGDYSHKHAEKIYMKLDEILLKIREVGYVADTSSALHDTDEEQKEQNLWNHSEKLALSYGLITVPEGCTVRIFKNLRVCADCHLVFKLVSMVFDREIVLRDPYRFHHFKGGSCSCSDFW* >Brasy3G041900.1.p pacid=40043680 transcript=Brasy3G041900.1 locus=Brasy3G041900 ID=Brasy3G041900.1.v1.1 annot-version=v1.1 MFAANMNNDNDNVIDLISDSDDDSDFNFDSDDPSDGENGEVQPVRFQGEDWSRSTPSSSSTPTKNSDAQYRTLPFANGIDSEKARHALGSGDGVHAHSSSYVGSSHDSARATLSSNRIGSVVKELNGSAVNPDDNNKRIVPSSFSNGSTPKSTHPNVASDSRIPPSRFPNGNSQRLGKSMMESNDANRIGQPSSSRFTSRSSSLSNSQMAITVEDDDDVFVHGGTSSRRMLPSSFGGNNSAKNNQVVKGNDMQAYPNLENRFLDSDERAVYHEAIQNISQHKKEDDLPEGTLSVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQKAQQSKFMSADSDALKSEALNLDEDDDAVTVVDKGEQTLNYEPKKDLDTHLSSTSASTSGVKPSVSQIDSVPVRTTESKVERKKKSKTGTSAASLTMRSMMRPAAGTLVVCPASVLKQWANELVDKVSESAKLSVLVYHGGARTKDPSELAQYDVVLTTYTIVANEVPKQNADDDPDRKNGGESSGNSKKPPNKSKKRKKKLKDSDFDLDSGPVARVRWFRVVLDEAQTIKNFRTRVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARDAVHGYKKLQTVLRIVLLRRTKETMINGEPIINLPPKTINLEKVDFRKEERAFYMTMEERSRQQFKEYAAAGTVKQNYANILLLLLRLRQACDHPLLVKGHQTVFKGDGSIEMAKQLSKERVIDLLARLEVSSLCAICRDTPDDAVVAICGHIFCYQCIHERITNDENMCPAPNCRTSLSTESVFSSGTLKICISGKTGTYATTSTSTDDGFSSISQSSYISSKIQATIDILNSIIIEDAVTDSDTTESNPSRVAPAKAIVFTQWTGMLDLLELSLNSNLIQYRRLDGTMSLNQRDRAVRDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVIVSRMTIKDSVEDRILALQEEKRAMVNSAFGQDKSGGHATRLNVEDLRYLFRM* >Brasy3G041900.2.p pacid=40043681 transcript=Brasy3G041900.2 locus=Brasy3G041900 ID=Brasy3G041900.2.v1.1 annot-version=v1.1 MFAANMNNDNDNVIDLISDSDDDSDFNFDSDDPSDGENGEVQPVRFQGEDWSRSTPSSSSTPTKNSDAQYRTLPFANGIDSEKARHALGSGDGVHAHSSSYVGSSHDSARATLSSNRIGSVVKELNGSAVNPDDNNKRIVPSSFSNGSTPKSTHPNVASDSRIPPSRFPNGNSQRLGKSMMESNDANRIGQPSSSRFTSRSSSLSNSQMAITVEDDDDVFVHGGTSSRRMLPSSFGGNNSAKNNQVVKGNDMQAYPNLENRFLDSDERAVYHEAIQNISQHKKEDDLPEGTLSVSLLKHQGLGKTVSTIALIQKQKAQQSKFMSADSDALKSEALNLDEDDDAVTVVDKGEQTLNYEPKKDLDTHLSSTSASTSGVKPSVSQIDSVPVRTTESKVERKKKSKTGTSAASLTMRSMMRPAAGTLVVCPASVLKQWANELVDKVSESAKLSVLVYHGGARTKDPSELAQYDVVLTTYTIVANEVPKQNADDDPDRKNGGESSGNSKKPPNKSKKRKKKLKDSDFDLDSGPVARVRWFRVVLDEAQTIKNFRTRVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARDAVHGYKKLQTVLRIVLLRRTKETMINGEPIINLPPKTINLEKVDFRKEERAFYMTMEERSRQQFKEYAAAGTVKQNYANILLLLLRLRQACDHPLLVKGHQTVFKGDGSIEMAKQLSKERVIDLLARLEVSSLCAICRDTPDDAVVAICGHIFCYQCIHERITNDENMCPAPNCRTSLSTESVFSSGTLKICISGKTGTYATTSTSTDDGFSSISQSSYISSKIQATIDILNSIIIEDAVTDSDTTESNPSRVAPAKAIVFTQWTGMLDLLELSLNSNLIQYRRLDGTMSLNQRDRAVRDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVIVSRMTIKDSVEDRILALQEEKRAMVNSAFGQDKSGGHATRLNVEDLRYLFRM* >Brasy3G041900.3.p pacid=40043682 transcript=Brasy3G041900.3 locus=Brasy3G041900 ID=Brasy3G041900.3.v1.1 annot-version=v1.1 MMLPDDVFVHGGTSSRRMLPSSFGGNNSAKNNQVVKGNDMQAYPNLENRFLDSDERAVYHEAIQNISQHKKEDDLPEGTLSVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQKAQQSKFMSADSDALKSEALNLDEDDDAVTVVDKGEQTLNYEPKKDLDTHLSSTSASTSGVKPSVSQIDSVPVRTTESKVERKKKSKTGTSAASLTMRSMMRPAAGTLVVCPASVLKQWANELVDKVSESAKLSVLVYHGGARTKDPSELAQYDVVLTTYTIVANEVPKQNADDDPDRKNGGESSGNSKKPPNKSKKRKKKLKDSDFDLDSGPVARVRWFRVVLDEAQTIKNFRTRVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARDAVHGYKKLQTVLRIVLLRRTKETMINGEPIINLPPKTINLEKVDFRKEERAFYMTMEERSRQQFKEYAAAGTVKQNYANILLLLLRLRQACDHPLLVKGHQTVFKGDGSIEMAKQLSKERVIDLLARLEVSSLCAICRDTPDDAVVAICGHIFCYQCIHERITNDENMCPAPNCRTSLSTESVFSSGTLKICISGKTGTYATTSTSTDDGFSSISQSSYISSKIQATIDILNSIIIEDAVTDSDTTESNPSRVAPAKAIVFTQWTGMLDLLELSLNSNLIQYRRLDGTMSLNQRDRAVRDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVIVSRMTIKDSVEDRILALQEEKRAMVNSAFGQDKSGGHATRLNVEDLRYLFRM* >Brasy3G129100.1.p pacid=40043683 transcript=Brasy3G129100.1 locus=Brasy3G129100 ID=Brasy3G129100.1.v1.1 annot-version=v1.1 MRPAFLAALLLLFAAAASPAAALYSAGSPVLQLNPNNFKKVLNANGVVLVEFFAPWCGHCKKLTPTWEKAAGVLKGVATIAALDADAHKELAQQYGIQGFPTIKVFIPGKPPVDYEGARDVKPIVNFALQQVKSLLKDRLDGKTSGGSSGKTSGGSSEKKTDTNESIELNSSNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDSDKSLMSKYKVEGFPTILVFGADKESPFPYQGARAASAIESFALEQLEANSAPPEVSELTSSDVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWTGAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQHDEIIEFVKEAGRGGKGNLPLDGAPTVVQSEPWDGKDGEVIEEDEFSLEELMGDNSPPNDEL* >Brasy3G204400.1.p pacid=40043684 transcript=Brasy3G204400.1 locus=Brasy3G204400 ID=Brasy3G204400.1.v1.1 annot-version=v1.1 MARSVSYVSAAKLVSMTRGNHRLAVIDVRDEERSYQAHIAGSHHFASGSFAARLPELVRATSGKDTLVFHCALSQVRGPSCARMFSDYLSESKEDSGIKNIMVLERGFNGWEISGQPVCNCKDAPCKGTCS* >Brasy3G011800.1.p pacid=40043685 transcript=Brasy3G011800.1 locus=Brasy3G011800 ID=Brasy3G011800.1.v1.1 annot-version=v1.1 MASSSFFLLAALVVSVSWQATASDPSPLQDFCVADKNSPVLVNGFVCKNPMYVNADDFFKAAELDKPRMTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDVVLAKAFQVEKGTIGWLQAQFWENNHY* >Brasy3G249100.1.p pacid=40043686 transcript=Brasy3G249100.1 locus=Brasy3G249100 ID=Brasy3G249100.1.v1.1 annot-version=v1.1 MGKAGRWLRSFLPGKKDKGKEHGRDNNKAGPEEKPQFVPRAAAAGQALTPGAKEKRRWSFRRPASSAPAQLAFLEPRAVDPDQHAIAVAIATAAAAEAAVAAKQAAAAVVRYAASAPGSKRTVIGIEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRSQASNTLRCMQALVAAQNRARTARLRLLDDEKPPIRTPRMTPTRRSPHHPRFRQQQETEENVKIVEVDNGVGLGDAHCTPRTTSRRSSCYATPLCRTPSKAELYQKVSPTPSALTDASGRSYSGRYEDFSFSTARTSPYHHYYYQASDASCKPQQSSHGAGVASDHPLLFPSYMANTQSSRAKARSQSAPRQRASVSSAPDAPPSSWERQASVSVSSRRRASLEGPTRGLAASPKGGGVRVQRCQSQAASACPWGVRLDMSSASLHDSECGSTSTMRTAATSMYSSWSVAANNAGVA* >Brasy3G271500.1.p pacid=40043687 transcript=Brasy3G271500.1 locus=Brasy3G271500 ID=Brasy3G271500.1.v1.1 annot-version=v1.1 MEAAEGEVAVAAAMAMAGRRGCIRSTRGPWTVRRQGRGGAVKTSLRHPTPRERENNRQRERRRRQVATRIYAGLRARAGYALPKHADQNDVLRALCAEAGYLVDDDGNVSRLAHQHSSGVAGPSGSSDHLQPSSTSGATEAVAPQQPELDQKHKISLELTLSFTYM* >Brasy3G242800.1.p pacid=40043688 transcript=Brasy3G242800.1 locus=Brasy3G242800 ID=Brasy3G242800.1.v1.1 annot-version=v1.1 MGSLAIPQRQLFIDGEWRAPALGRRLPVINPSTEAAIGEIPAGTAEDVDAAVAAARAALKRNRGRDWSRASGAVRAKYLRAIAAKIIERKSDLARLESLDCGKPLDEAAWDMDDVAGCFEFFAGHAEALDKRQNAQVSLPENFKCHLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPEAGAPLSSHPDVDKVSFTGSYETGKKIMIAAAPTVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHSKIAKEFVERMVAWSKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVANAKSEGATILTGGVRPKHLEKGFYIEPTIITDVSTSMEIWREEVFGPVLCVKEFSSDDEAIELANDTHYGLAGAVISGDRERCQRVSEEVNAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSIKQITEYTSDEPWGWYKSPA* >Brasy3G242800.2.p pacid=40043689 transcript=Brasy3G242800.2 locus=Brasy3G242800 ID=Brasy3G242800.2.v1.1 annot-version=v1.1 MGSLAIPQRQLFIDGEWRAPALGRRLPVINPSTEAAIAALKRNRGRDWSRASGAVRAKYLRAIAAKIIERKSDLARLESLDCGKPLDEAAWDMDDVAGCFEFFAGHAEALDKRQNAQVSLPENFKCHLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPEAGAPLSSHPDVDKVSFTGSYETGKKIMIAAAPTVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHSKIAKEFVERMVAWSKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVANAKSEGATILTGGVRPKHLEKGFYIEPTIITDVSTSMEIWREEVFGPVLCVKEFSSDDEAIELANDTHYGLAGAVISGDRERCQRVSEEVNAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSIKQITEYTSDEPWGWYKSPA* >Brasy3G249200.1.p pacid=40043690 transcript=Brasy3G249200.1 locus=Brasy3G249200 ID=Brasy3G249200.1.v1.1 annot-version=v1.1 MRSEKSGSKPPRHLPPPSPPPDRAAGQSPCGGGGGGALVLVHGGSRGGAISRRRHGLGPVGCCRSGYDPWQQRRRPWPRLGSGAWPRRQRWPSKGRPWPRRRFVAWISSATFGPHAHAPLSVIPLLRRRRPPTTALDAPGRGGLGAPNLLRACEIGGRPDPTVSAPLGRLGICCRHGWSRKDVHVWIVLMIINLPARAPWIGLDFPDPLFMACLVPGLALVAVHLRPPLVPLAASPLAAAKPCRCASHPHLRRRPPLCSEALAGRARRRASPSPLPPHPTPSPPVCSESLASRDASPLYSASTRHPPAMARSPTVFLRI* >Brasy3G014600.1.p pacid=40043691 transcript=Brasy3G014600.1 locus=Brasy3G014600 ID=Brasy3G014600.1.v1.1 annot-version=v1.1 MIPISISRVNQFDAARLDVEMSDMLKEQLVKVFSLMKPGFLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKDVRTGLEGPGLSVSQKILYCISTVGGQYLLSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRAVSFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEANCPICISSPSIPFVALPCQHRYCYYCIRTRCAVTSSYRCARCNEAVVAIQRQGSS* >Brasy3G014600.2.p pacid=40043692 transcript=Brasy3G014600.2 locus=Brasy3G014600 ID=Brasy3G014600.2.v1.1 annot-version=v1.1 MIPISISRVNQFDAARLDVEMSDMLKEQLVKVFSLMKPGFLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKDVRTGLEGPGLSVSQKILYCISTVGGQYLLSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRAVSFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEANCPICISSPSIPFVALPCQHRYCYYCIRTRCAVTSSYRCARCNEAVVAIQRQGSS* >Brasy3G113800.1.p pacid=40043693 transcript=Brasy3G113800.1 locus=Brasy3G113800 ID=Brasy3G113800.1.v1.1 annot-version=v1.1 MEVEIKLRLPDAAAHRRLSSFLAPRLLRTHAQRNLFFDAAARSLAAATAALRIRLYGLDDAAPSRAVLALKRRPSIEAGVSRVEEIEEPLDPALALACAADPVRLGGVDSSIIRMVANEYGVGGAAAPFVCLGGFRNTRGVYELEEGEGLGLVLELDETHFDFGTNYELECETAEPDRAKEVLERLLTMAGVPYEYSRSNKFGCFMAGKLLP* >Brasy3G249800.1.p pacid=40043694 transcript=Brasy3G249800.1 locus=Brasy3G249800 ID=Brasy3G249800.1.v1.1 annot-version=v1.1 MLRKLQKALPDLLKEYDMPTGLFPRDTTNYEFNRETKNLAVYIPSACDVGYKDSSVVRFFTCVTGYLEKGKISDIEGMKTKVLVWTKVTSIKTEGSNLHFTAGMKKARSRDAYKVIRDGIVIDKF* >Brasy3G109000.1.p pacid=40043695 transcript=Brasy3G109000.1 locus=Brasy3G109000 ID=Brasy3G109000.1.v1.1 annot-version=v1.1 MPSHKTFRIKQKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF* >Brasy3G003000.1.p pacid=40043696 transcript=Brasy3G003000.1 locus=Brasy3G003000 ID=Brasy3G003000.1.v1.1 annot-version=v1.1 MAVARQAQKWPATPTATPQRRPSKQPRQPPCCLPPAPAKPTGRQPPRHGPGLLAPPPPQNGARPRLHAPATTRIHARLRTAHVRASSRRRHGLLAPPPPQNGATASSRQAPRPPRAAPATERRTSAPPRAGDLVQSRHHLAAPDPAATSPDPAALPGSGLAGRGSRAAGHHGAGRPPWSGEAPGAGREKSVNEGPAAAVPARALPGGGEGAEEGGRGRRWRRWVRRPCRPRGAMRGLRFG* >Brasy3G165000.1.p pacid=40043697 transcript=Brasy3G165000.1 locus=Brasy3G165000 ID=Brasy3G165000.1.v1.1 annot-version=v1.1 MHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASLDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQAREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSLLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy3G128900.1.p pacid=40043698 transcript=Brasy3G128900.1 locus=Brasy3G128900 ID=Brasy3G128900.1.v1.1 annot-version=v1.1 MFFKMTKISKNKKFSSRRFRSPHYLRPSSHCSFKRVASQESTLPATEKCAWTDAICPVCIECPHNAVLLLCSSHDNGCRPYVCGTNFYHSNCLDQLIQSRTSPGSSNDPSSIELTCPLCRGEVKGYTLVEPAREQLNRNRRSCMQDGCSYVGSYSELCKHTRQKHPSAKPRELDPLQTYRWRRVLFRSTLQDMISAANSSRVQRLLSAMLLFEELMSSGWRGHDEHIGAINDSSVETAGMDMACL* >Brasy3G128900.2.p pacid=40043699 transcript=Brasy3G128900.2 locus=Brasy3G128900 ID=Brasy3G128900.2.v1.1 annot-version=v1.1 MTKISKNKKFSSRRFRSPHYLRPSSHCSFKRVASQESTLPATEKCAWTDAICPVCIECPHNAVLLLCSSHDNGCRPYVCGTNFYHSNCLDQLIQSRTSPGSSNDPSSIELTCPLCRGEVKGYTLVEPAREQLNRNRRSCMQDGCSYVGSYSELCKHTRQKHPSAKPRELDPLQTYRWRRVLFRSTLQDMISAANSSRVQRLLSAMLLFEELMSSGWRGHDEHIGAINDSSVETAGMDMACL* >Brasy3G041800.1.p pacid=40043700 transcript=Brasy3G041800.1 locus=Brasy3G041800 ID=Brasy3G041800.1.v1.1 annot-version=v1.1 MFRCCPTFPSGPLCPKGPLLLEKNRCSLVNWADELSWPIRGRTSSSSKVRSDTSPPVRRCPTSLPRAIDGRLLSHRSPPPPPPGVASVPRPTAQPPPPP* >Brasy3G054300.1.p pacid=40043701 transcript=Brasy3G054300.1 locus=Brasy3G054300 ID=Brasy3G054300.1.v1.1 annot-version=v1.1 MKELYEKNSPTVQVLSEPNPRNFRIKFLLAIIGLYVAPMCGLSVNKKLF* >Brasy3G313300.1.p pacid=40043702 transcript=Brasy3G313300.1 locus=Brasy3G313300 ID=Brasy3G313300.1.v1.1 annot-version=v1.1 MDRSEYNLVSAKIRIQSQVWNRVKSTLGFKSPVQGLVMFAKSQHNLISLSREQQKHHEYDKRKNQHLMCVPERYLDKGAWPLMCYKHSSS* >Brasy3G250800.1.p pacid=40043703 transcript=Brasy3G250800.1 locus=Brasy3G250800 ID=Brasy3G250800.1.v1.1 annot-version=v1.1 MSATRLSITHHSHPQEIPEPNTQDMYPSQSTSGSRQHAAQLTQDLEAEVTAYERSLSSAPLLLEREPHQSWVRRLKAKIRSVYAAITCTHTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHHPRPRLAEQSTPRPPPPDQAGGSSWQHPQSSFDYWQEQSPFQAGGSSRQQQSPAMNFDFRPQTQPQGMYM* >Brasy3G187400.1.p pacid=40043704 transcript=Brasy3G187400.1 locus=Brasy3G187400 ID=Brasy3G187400.1.v1.1 annot-version=v1.1 MDAPAMFKTKHVPEDAGLRNIAAEEEGGQQQLHRDEKQHKPVLKKVKDKVKKIKNTIAGHGHEHGHEPDTGGSSSSEEDEEDAAQREREVEGGGYQEDVEDKPLAPMESSPELHGAPMYDSERVPAAMDHTKKSSGGAHGVRLGDISGDVVEDPAAPRSTTPAPRGGEDIGTTDVVRDFESMTVSDDQPKQVGAGKKMDVDIDKDREATAMPVSAGASGEEEWKDAPTETTEYTGSYTDRLKNTAAGSTEYGKKLASTVYEKVAGVGTAVASKAQQVTPGFGSGAGNAQEKTDSAGKRDVDVSHLPREGTPPGAGTEELKSAATDATTGGAPGATYTDKIKSVAAGTTEYGKKLAGTVYEKVAGATQSAGTATPGVGAQRERGYDSTTATPGAGGPGNGQDKGVSGVTAYIAEKLRPGDEERSLSEAITGAVQQRKEGVGSTVTRAREVPAQAATRAREAVTSLTAGGGGGTRVSETVQPTTEGNVVGEGVSAAEVPTLRGEDIGAVKKDNTHMM* >Brasy3G217200.1.p pacid=40043705 transcript=Brasy3G217200.1 locus=Brasy3G217200 ID=Brasy3G217200.1.v1.1 annot-version=v1.1 MRKGKTPRISRAFMTQGSGSESNDTKQTHRSLPSSSLQPPYPPSPLPSPTAAPPPSPKRRRSTMVVATEEMAVYCFDTLVAYYDGAQPSPPAFEEGVHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALVSALRDRRFPPIQSKELPHLECTVSILTEYEPALNHLDWEVGKHGLIIEFTDPDYNVRRSATYLPEVAAHEGWTQLEAIDSLMRKAGYNGTITESLRNKIRVTRYQSSLYTMQYGEYTAYVKKNRDEINGAPVVNGFKPGH* >Brasy3G240200.1.p pacid=40043706 transcript=Brasy3G240200.1 locus=Brasy3G240200 ID=Brasy3G240200.1.v1.1 annot-version=v1.1 MTIADAMAPAAALRLVASAVAGALIYLWLAMMWVASASAVFVVIGRRVLAEGSPVLLAASTFPLHVVALFVLLTPVAVMLMALRCWIDSKSNVTKDNADEAKEPAEERPRQKLGVGVIIRKMLQDPIILGVLIALPFKCLMLAGFEVMETSPEKGSQRERIGSMLKDLGMLGISAIICFITLPAMALKVWRMKQGGDVIRLFCTC* >Brasy3G012000.1.p pacid=40043707 transcript=Brasy3G012000.1 locus=Brasy3G012000 ID=Brasy3G012000.1.v1.1 annot-version=v1.1 MGRRPETRRRSEANRSQANRRPAFSRRRESPPSATTLGSKDSTIKVEDIVCIIARRRSGHITTWCKNEEIDRT* >Brasy3G209400.1.p pacid=40043708 transcript=Brasy3G209400.1 locus=Brasy3G209400 ID=Brasy3G209400.1.v1.1 annot-version=v1.1 MAPRGFLALFLVVNLLSSSALAHYWHWHWHHGQHHHGCREWESCEPPSTATHLTPPPPPGTPTTPPPPPVTPTTPPPPPTGIVPPPPAPGTPTTPPPPPPTGVVPPPPPPTSGGGGSSNGTCPVNTLRLAVCASVLNLLRLNVGVPPEDELCCPRLGALVDLDAAVCLCLAIRASILGVVVNVNADISRLLTFCGKDDSAAAGFVCAAT* >Brasy3G318900.1.p pacid=40043709 transcript=Brasy3G318900.1 locus=Brasy3G318900 ID=Brasy3G318900.1.v1.1 annot-version=v1.1 MYQVIKSTAGAVATAAAGSKGGDGEVKAGFAKLQGQDFEYYMQKYSIMLGRNSKESTVDVDLSSIGGGMNISRHHARIFYDFERRRFALDVLGRNGCLVEGVLHFPGSPPVKLDSMDLLQIGDKKFYFLLPVRSIFASFAAAARQAPAIPPQITPPSVMRPIEGGSERGARGKMRKRSKNSAGWLDRYGAQPINVEVIGTLGGSGSSDLRLRGDKDMDNQHTLEMEEKEVMSSVGTVLSHLCGPGEWMPMRKLHTELMEQFGNHSRVRKYLTAEAQGRPWYGLLGLLRKYPEHFVVNTDCKGGDTSETEFVSLVSLLG* >Brasy3G274000.1.p pacid=40043710 transcript=Brasy3G274000.1 locus=Brasy3G274000 ID=Brasy3G274000.1.v1.1 annot-version=v1.1 MATSECLTDPFSRTTHSPHWLLLIGKSHRDRSMLLCWSIINRVQADLGRDEGKRQGCPG* >Brasy3G285300.1.p pacid=40043711 transcript=Brasy3G285300.1 locus=Brasy3G285300 ID=Brasy3G285300.1.v1.1 annot-version=v1.1 MDPHAPLTALPRQRIQQTKHGRGRPDGTGLRLAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALSAVDRGLVDRAILPVESTMEGTALRNYDLLLRHELVVVQEINLFVHYCLLAMPGVRAAQVRRVISHPMALARCGRALARLGVDREPVEDTAGAVEMLRSNMMLDLLPW* >Brasy3G275600.1.p pacid=40043712 transcript=Brasy3G275600.1 locus=Brasy3G275600 ID=Brasy3G275600.1.v1.1 annot-version=v1.1 MSVPTMAVYTSPPGGLYASSELETSSRGSAPCATAAPPSPASSHRHSSFSGGLSCLFSSPSAAPRSSALDELGALWHDRSDEPAVAGRGGGYSCPQPSSSLKWRDHLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVTSPALGVTAAAGVDAAELAFELDENLSGAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDHIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPFVKQQRFAKETMEIFVPLANRLGIASWKEQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHNVSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVETEKDCYRALDIVHKLWPGVSGRFKDYILHPKVNGYRSLHTVILCEGVHPFEVQIRTKEMHLQAEFGFAAHWRYKEGGCRHSFVLQMVEWARWVLTWQCEAMSKERPSGLGSSDSVKSSCPFPLHSEECPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTIVNLMERVSASSPRWSPYSFPLKEELRPRVNHQPISDPNRTLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRGAPAS* >Brasy3G012500.1.p pacid=40043713 transcript=Brasy3G012500.1 locus=Brasy3G012500 ID=Brasy3G012500.1.v1.1 annot-version=v1.1 MENFSPRTLLNSISHLSLLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDICKSPEEQPNEVLRDLDTAVNEASELIGNWQQRTSKIYFIWKIESVISDIQGFSLQLCQLANSLLPSLTGCACICIEKLQDINYEHMFDLVKEVAIELTMDTQSPKNLLKLSSSLSLSTNLELYMEALSLENLKARAIRSENREELDLVEQMIPMVNYMHDRLLRETKLLSTYPVSVPGDFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRINHSNLIPNYTVKAFIANWCQLNDIKLPDPVKSLKLNFPSAASTTQDLGATGNSPLHPSVARANNIPGSPETDLYMRSLDRAPPPHSVVHQNFDASVNRPGHETSTNQSSEYTNGSALDIARLSLAISDSRDNLGERHAGSSNVQTSEQSTEDTFQASDVNRDSQDHVGSSSVYGSVPNSGQLGGGCDIEKGLMRVLSDRTNYSSNASGEVADGGPSASSAPQREKIILPRLGDVRMRGQFVRRQSSDRGFPRIVSSPSIDARSDLSAIESQVRRLIDDLRSDSIEVQRSATSEIRLLAKHNMENRIVIANCGAINILVGLLHSPDAKIQENAVTALLNLSINDNNKIAIANADAVEPLIHVLETGNPEAKENSAATLFSLTFIEGNKLRIGRSGAVKPLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQADAVKHLVDLMDPAAGMVDKAVAVLSNLATIPEGRTAIGQARGIPSLVEVVELGSARGKENAAAALYQLCTNSNRFCNIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR* >Brasy3G147200.1.p pacid=40043714 transcript=Brasy3G147200.1 locus=Brasy3G147200 ID=Brasy3G147200.1.v1.1 annot-version=v1.1 MMESVVAAAAAGIEPFPAVDKCNASARGGHSVAANLDGTLLRSRSAFPYYALVAFEAGGWPRLLLLLLLSPLAALLELAFASEAWATRVLVFAATAGVRVSAVESAARAVLPRFYAADVHPGALRVFSACSGRRVVLTSTPRVMAEPFLRDCLGADDVAGTELATWRGRATGLVDTTRRGGVLIGRRKAEALREIFGGDEGDAPDVGLGDRRSDYPFMCLCKEAYIVPSAPVEAVSMEQLPRPVIFHDGRLALRPTPLAALLVVLWFPAGLVLACLRIAAGALLPMHWVYYAFWALGVRVVVRGSPPPRAQSASGRKGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEMLSPIRTVRLSRDRATDAAMISTLLEEGDLAICPEGTTSREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPVYTVNFLSKLPAELTCGGGGKPSHEVANYIQRLIAATLSYQCTSLTRKDKYRALAGNDGVVAVKKAR* >Brasy3G335600.1.p pacid=40043715 transcript=Brasy3G335600.1 locus=Brasy3G335600 ID=Brasy3G335600.1.v1.1 annot-version=v1.1 MLENATKLNYHSDMNKQTRTKWSESLTDLFYQGLQQFGSDFAMIQQLFPDKTRDQVRQKFKTEEKKHRMQVHDAILHCSRGLQCPWHLMFSIL* >Brasy3G017100.1.p pacid=40043716 transcript=Brasy3G017100.1 locus=Brasy3G017100 ID=Brasy3G017100.1.v1.1 annot-version=v1.1 MAPKRPQSPDPTAMAPSGHQADLSHSAPDLARSPARVPRPTRKKQGKGDAPMRVPRRVPSALGRGLRGGARRRRSARTDLDAARPPAKQRRRRRELGLGQGLGGAAYLSPPSPPLPRLARISIARSLARSRLPALVFSPLLSLSRQRDGSGQDRARREKAANGVRLQRDR* >Brasy3G111500.1.p pacid=40043717 transcript=Brasy3G111500.1 locus=Brasy3G111500 ID=Brasy3G111500.1.v1.1 annot-version=v1.1 MAEYDEEGVDVAAAAQILLSLRNRKLRRWPEWEEAEFDLPPVPEGWPKGRRSALLVRASGAAWLKSLKDPFAQLGSGASSSGEDRAWSPVPVREKAKAARRAEMLPCHGAAAGSGPSTSGGERVRSRPRPRASEKAKVAAVVKEAAAMAASSPETPFDYGVAAGSGASSSGDERLRSSSPTKRKARRRSGSGGPSSGDEGCSSPAKRTRPDLNAGDGVTQPAAVKVEETPKIDEENCRDEKGHLLFDLNEDINVSWEG* >Brasy3G216200.1.p pacid=40043718 transcript=Brasy3G216200.1 locus=Brasy3G216200 ID=Brasy3G216200.1.v1.1 annot-version=v1.1 MEDDDDCPPLAVELPPQTASPPATAPSSASAPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINDGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQSKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRRQIDEHTNSSSFPEAFHQIAFADVVILNKIDLVKDDLEDLEKKIHDVNALVTVVRSVRCQVDLNTIFDRQAYGTKNSSQLQELLEYSKSVPPNSRHDNSISTLCISEQDPVHLAKVESWLEDLLWEKKSTMDIYRCKGILHIHNSNQLHTLQVFTSYKAVREVYEVVPAREWSETQSRMNKIVFIGRNLDIGVLQDSFGRCKH* >Brasy3G216200.2.p pacid=40043719 transcript=Brasy3G216200.2 locus=Brasy3G216200 ID=Brasy3G216200.2.v1.1 annot-version=v1.1 MEDDDDCPPLAVELPPQTASPPATAPSSASAPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINDGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQSKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRRQIDEHTNSSSFPEAFHQIAFADVVILNKIDLVKDDLEDLEKKIHDVNALVTVVRSVRCQVDLNTIFDRQAYGTKNSSQLQELLEYSKSVPPNSRHDNSISTLCISEQDPVHLAKVESWLEDLLWEKKSTMDIYRCKGILHIHNSNQLHTLQAVREVYEVVPAREWSETQSRMNKIVFIGRNLDIGVLQDSFGRCKH* >Brasy3G284400.1.p pacid=40043720 transcript=Brasy3G284400.1 locus=Brasy3G284400 ID=Brasy3G284400.1.v1.1 annot-version=v1.1 MSGSGKKVADLAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNNQLQTKFSQEPKPIDWEYYRKGIGAKVVDMYKEAYDSIEIPKYVDTVTPEYKPKFDALLVELKEAEQTSLKESERIEKEIAELREMKKKISTMTADEYFAKHPELKQKFDDEIRNDNWGY* >Brasy3G039900.1.p pacid=40043721 transcript=Brasy3G039900.1 locus=Brasy3G039900 ID=Brasy3G039900.1.v1.1 annot-version=v1.1 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFEREQDDPLKLSAKTRQLGLIVCRGTAVMLVSPTEGTEEIRNPFQDADGAQT* >Brasy3G298800.1.p pacid=40043722 transcript=Brasy3G298800.1 locus=Brasy3G298800 ID=Brasy3G298800.1.v1.1 annot-version=v1.1 MASRALVKLAALVLIILFLLPAALAGVAGGHTNNSARRKRRNKTQALHRKLGVQKSKPSSQNLEINVARGDGQQDDTQAFQKAWAAACSSSKPTTLLKTYLVKQTTFSGKCKSSVTFKLDGKLVAPNSRSSWPKENIRKWIVFSNVDRLTVTGDGTMDGNGETWWKSSCRVDKNLKCTEGSPTALLLSKCNHLKVENIRLLNSQQMHISIEDCQDVILKHITITSPGDSPMTDGIHIARIKDIQILDCDIKTGDDCMSIETGTENLYASRITCGPGHGISVGSLGDKNSEARVSNITIYKAHLSGTTNGARIKSWQGGRGYAKDITFEDNDITMEDVKNPIIIDQNYCTRADPSQPKACKKQASAVELSNVRFKNIRGTSATEEAIRLDCSDTVPCHDILLLEDVKLTFSGTGKGGAATTGICNNAKLKKSANVIPETC* >Brasy3G210800.1.p pacid=40043723 transcript=Brasy3G210800.1 locus=Brasy3G210800 ID=Brasy3G210800.1.v1.1 annot-version=v1.1 MIFFFSASVNSPLANSVPKNTAAPPPSKQPLPFRERPPLDDGSGSLGDLSSFPMKPSFLCTEVDGLLSSFSLLSAPACPLHARPPRGPLRRLRVYSRPPSWSPPLVAPLRPSPPMPTRRPSPPARRQPRGLRNDRRGGEGSVDEKVAAPTGGAKEKIRASRSRRRAQGSARVKVDLGRWRHPWRAEVAASQARKAEAVFVLLIFTLPRHRERGERAREATYGRWGFARAGADETYGSRDNHHQLQFNI* >Brasy3G178900.1.p pacid=40043724 transcript=Brasy3G178900.1 locus=Brasy3G178900 ID=Brasy3G178900.1.v1.1 annot-version=v1.1 MATPAVVSSDEDNSPTEEPPRPESAAPVAEASGPGASAAAAAAAAVEGTAAGDAPSAPAPPSTSTSSAALPPPPASPASHAAPGPPRPPFAGSAAYVTPPSSSSPAAFSYNILPRAPPPRQVSGGVPNQHLSSSPASPMPPAALQPPAPQQYFGNRPSFSYNVVSHANASLPTGQQFQLDTGTNRAVQVPMFAPPASLQPPAPGQLPRPSAPFAGAMTPNLPGSIRLPFPVPPRTSNILYGSNPQQGNLDASASKLDAPSASEASPRTMQSLPAGPEVFGAVGGFVPGQRSSNSSTPPSLLGRPVAPSASSLPQISPSGAAPGAVPQTTQQQFYPSYPVAPGIVPPQPLWGYPPHPTSFQQAPFQSYPPGPLGPLGRPMVGTSAVTTSVSNIQPPGVTTIGGDPKELPPANPGSEQPLHTSAVPHPTGHGNQVNDQLEDKRSTGIQDSDAWSAHKTEAGVLYYYNALTGESTYQRPPGYMGELEKVAAQPVPASWDKIVGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAELNKNADSGSLKGSSTSLQDAGTVGNKGETGVEISTPAIETGGRDSLPLRQAVASASPSALDLIKKKLQDAGASSLSSPLATPSSTASELNGSKPADGAPKGQQGSINGEKSKDNNGNGNMSDSSSDSDDEEHGPSKEDCICEFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSTRRTIFDHFVRTRADEERKEKRAAQKAAVEAYKQLLEEASEDIDPKTGYQEFKRKRGTDPRFEGLDRKEREALFKEKVRAIEEKVQSVRNAFITDFKSMLRECKDIISTSRWTKVKENIRSDPRYKAVKHEERENVFNEYIAELKSAEREVEQAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKDAVSSYQALLVEIIKDPKASWTESKPKLDKDPQGRALNPDLGQGDAEKLFRDHVKDLYERCVRDFRALLSEVITQEIAARTTDEGKTAISSWSEAKGLLRSDPKYNKVSSKDRESIWRRYADDMARKLKQSDTEKLDTDGRQQRRSSDPPRRR* >Brasy3G178900.2.p pacid=40043725 transcript=Brasy3G178900.2 locus=Brasy3G178900 ID=Brasy3G178900.2.v1.1 annot-version=v1.1 MATPAVVSSDEDNSPTEEPPRPESAAPVAEASGPGASAAAAAAAAVEGTAAGDAPSAPAPPSTSTSSAALPPPPASPASHAAPGPPRPPFAGSAAYVTPPSSSSPAAFSYNILPRAPPPRQASPMPPAALQPPAPQQYFGNRPSFSYNVVSHANASLPTGQQFQLDTGTNRAVQVPMFAPPASLQPPAPGQLPRPSAPFAGAMTPNLPGSIRLPFPVPPRTSNILYGSNPQQGNLDASASKLDAPSASEASPRTMQSLPAGPEVFGAVGGFVPGQRSSNSSTPPSLLGRPVAPSASSLPQISPSGAAPGAVPQTTQQQFYPSYPVAPGIVPPQPLWGYPPHPTSFQQAPFQSYPPGPLGPLGRPMVGTSAVTTSVSNIQPPGVTTIGGDPKELPPANPGSEQPLHTSAVPHPTGHGNQVNDQLEDKRSTGIQDSDAWSAHKTEAGVLYYYNALTGESTYQRPPGYMGELEKVAAQPVPASWDKIVGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAELNKNADSGSLKGSSTSLQDAGTVGNKGETGVEISTPAIETGGRDSLPLRQAVASASPSALDLIKKKLQDAGASSLSSPLATPSSTASELNGSKPADGAPKGQQGSINGEKSKDNNGNGNMSDSSSDSDDEEHGPSKEDCICEFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSTRRTIFDHFVRTRADEERKEKRAAQKAAVEAYKQLLEEASEDIDPKTGYQEFKRKRGTDPRFEGLDRKEREALFKEKVRAIEEKVQSVRNAFITDFKSMLRECKDIISTSRWTKVKENIRSDPRYKAVKHEERENVFNEYIAELKSAEREVEQAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKDAVSSYQALLVEIIKDPKASWTESKPKLDKDPQGRALNPDLGQGDAEKLFRDHVKDLYERCVRDFRALLSEVITQEIAARTTDEGKTAISSWSEAKGLLRSDPKYNKVSSKDRESIWRRYADDMARKLKQSDTEKLDTDGRQQRRSSDPPRRR* >Brasy3G178900.3.p pacid=40043726 transcript=Brasy3G178900.3 locus=Brasy3G178900 ID=Brasy3G178900.3.v1.1 annot-version=v1.1 MPMLACLLGTNRAVQVPMFAPPASLQPPAPGQLPRPSAPFAGAMTPNLPGSIRLPFPVPPRTSNILYGSNPQQGNLDASASKLDAPSASEASPRTMQSLPAGPEVFGAVGGFVPGQRSSNSSTPPSLLGRPVAPSASSLPQISPSGAAPGAVPQTTQQQFYPSYPVAPGIVPPQPLWGYPPHPTSFQQAPFQSYPPGPLGPLGRPMVGTSAVTTSVSNIQPPGVTTIGGDPKELPPANPGSEQPLHTSAVPHPTGHGNQVNDQLEDKRSTGIQDSDAWSAHKTEAGVLYYYNALTGESTYQRPPGYMGELEKVAAQPVPASWDKIVGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAELNKNADSGSLKGSSTSLQDAGTVGNKGETGVEISTPAIETGGRDSLPLRQAVASASPSALDLIKKKLQDAGASSLSSPLATPSSTASELNGSKPADGAPKGQQGSINGEKSKDNNGNGNMSDSSSDSDDEEHGPSKEDCICEFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSTRRTIFDHFVRTRADEERKEKRAAQKAAVEAYKQLLEEASEDIDPKTGYQEFKRKRGTDPRFEGLDRKEREALFKEKVRAIEEKVQSVRNAFITDFKSMLRECKDIISTSRWTKVKENIRSDPRYKAVKHEERENVFNEYIAELKSAEREVEQAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKDAVSSYQALLVEIIKDPKASWTESKPKLDKDPQGRALNPDLGQGDAEKLFRDHVKDLYERCVRDFRALLSEVITQEIAARTTDEGKTAISSWSEAKGLLRSDPKYNKVSSKDRESIWRRYADDMARKLKQSDTEKLDTDGRQQRRSSDPPRRR* >Brasy3G139000.1.p pacid=40043727 transcript=Brasy3G139000.1 locus=Brasy3G139000 ID=Brasy3G139000.1.v1.1 annot-version=v1.1 MATSRLCAVTGGRGFMARHLVSALLRSGEWRVRITDLAPAPALEPAEKEGLLGAALRDGRASYASADVCDPAQLTEAFEGVETVFHTAAPDPANNNFQLQYKVNVQGTKNVIDACNSCKVKSLIYTSSYESIPYPDKFPDAYTQTKAEAEKLVKMANDINKLLTCCIRPSSIFGPGGILVPYLATYGRMMFIVGDGMNCDDFVYVENVVHGHICAERTLSTKLGAARSGGKAYFITNMEPMNMWGFLYMVLAELGYKRQIKIKIPTYLLKPIISVLDWSYSNLFSHYGMRPPLMLTSARIKYITLHRTFNCNNAMEQLGYHPIVSLKEGVKIAIESYNDLKA* >Brasy3G028500.1.p pacid=40043728 transcript=Brasy3G028500.1 locus=Brasy3G028500 ID=Brasy3G028500.1.v1.1 annot-version=v1.1 MCHGEGSRGGRFTVDLPSHAVDGGVCSLSPGNVAISNRYWGCMKGVPF* >Brasy3G068300.1.p pacid=40043729 transcript=Brasy3G068300.1 locus=Brasy3G068300 ID=Brasy3G068300.1.v1.1 annot-version=v1.1 MAATRRSRKVVQPLLVLLLAAAVAAAVLTRPALAASGGAMGGRVSSSRSKPTRSSSSSASRSHSKSYHRSYHYSTSHVSVDTPPAPPSGAGEEGKAAADDEGSCFCWVVAAAIVIILLGVTAWCCYCYFTRPRMTIVKLQVALLGYAKPFQRELNDIAETVDSSDQRWYKYILTETICSLSRHRDCCVSSSVSVDLMYGEDAWEEHFDIISIEERSKFDTETLYNMEGIKRKKDCYKKKNGSRNEYIVVTILVAADGALEFPEITRPADLEEVARKLFFIPEGDIRGIHVSWTPQEENDVLTEEKFLADYPHLMPCYD* >Brasy3G270300.1.p pacid=40043730 transcript=Brasy3G270300.1 locus=Brasy3G270300 ID=Brasy3G270300.1.v1.1 annot-version=v1.1 MYNPPASQDMSYYGHVQKRHEDKGCIYACLFSLCCCFCCYETCECCLECLCCCA* >Brasy3G326200.1.p pacid=40043731 transcript=Brasy3G326200.1 locus=Brasy3G326200 ID=Brasy3G326200.1.v1.1 annot-version=v1.1 MSAAAAAAWWAGHRLRVLLPALFFAPVLFFVLASPRSSPSFFAPPVSREHSPLGSRVIWAQRRAVEWRPCGWWQRKAMPAPATRNGYIRIDCFGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFVLQTRGYVEVVKDMPEEIALKEPFKVDCRKRKGHFDYVESVLPALLEHRYISLTPAVSQRRDRNPSYAKASYCQGCYNALRLNKKVEAKAIELLQAIPKPFLSLHLRFEPDMVAYTRCAYSGLSSKSLGAIEAARGEDRKVLIGDDARLWRNRGKCPLTPGETAFILQALGIPTETNIYLAAGDGLMELEGFTSVYKNMYTKSSLLAHEDFERMHGNTKAALDYYVSVNSDAYVATFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGDQLSKAMWDAHRADYVMGRGSALPEHCFCEFKL* >Brasy3G036300.1.p pacid=40043732 transcript=Brasy3G036300.1 locus=Brasy3G036300 ID=Brasy3G036300.1.v1.1 annot-version=v1.1 MWDAARAELVRSSAEPGSARRQPSRGELGTARVHPEADLEAVAFPSPPFFSPRAGSRACRLCFARRRGRIRVARVLLRPDPSALLLRAAPSDATEDGMDLLPEDVLAHVLHGVPPRSLAACRSVCKHWRAAVDSRGLLLASAHLLPRPPRGVFFNHAYKYDHSFFSRGGGGVPVDAALRFLPERWGCQTSRVLDHRNGLLIYRNGAAIYVCNPATRRWAALPPPPSAQQQSFYPRAHRLCLLFDPTVSLHHDVLYFPEAPARPKPSSSSPSPSSSHSWLTLSYSAPLADLPPSVRQSYERELSTVGATEWPPESYGVEAFSSRTGAWEERRFTRSQDSSAGVVAVSDVWKDQARPSSSAVCWRGKIYVHNSGGFVMRLSLPEGEYLAIKAPRLETIVRPNPHSAYGYGEHIVAYGYLGKSEHGVYYAALQGYQLRVWILREPAAGQTAPEYWELKHHADLRPCFGNGYYQGTDADSWLLDPVSEDARPPNSEWDSDDDAVDAGQAGAAGNNTGFANCYSGVDLLGYHPHKEILFLGRRFQGFAYYLGSSRMQYLGSIHPTGLRHLPSRAPTHGSSFVYTPCVDDMLHA* >Brasy3G341400.1.p pacid=40043733 transcript=Brasy3G341400.1 locus=Brasy3G341400 ID=Brasy3G341400.1.v1.1 annot-version=v1.1 MATSAMSLAMAAATDLHQAFPPHHKLAPPASASFPLLFSRAPLLRSARARLPLAPLVASSDAAEASLDWTESADAEDEEPVVEEEEAEPVAEAAAEYAAPEVPPPEEAKVYVGNLPYDVDSERLAQLFDQAGVVEVAEVIYNRESGQSRGFGFVTMSTIEEADKAIEMFNRYDISGRLLNVNRAAQRGSRVERPPRQFASAFRAYVGNLPWQAEDSRLVQLFSEHGEVLNATVVYDRETGRSRGFGFVTMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF* >Brasy3G089200.1.p pacid=40043734 transcript=Brasy3G089200.1 locus=Brasy3G089200 ID=Brasy3G089200.1.v1.1 annot-version=v1.1 MVALELTAVNTVIGCINNFVSLLKEHRKLSGEFAGSLYSIKNELEMIQPDIEEHEDLKLQEVSHDIVNFIHGLWTPGPCGLFILSSAGLDPRTEDIARIKSFIDCIKRIRESLEKSFSHQSKQIEKITAATASAPGSSSPAESPPSYAPEAHLVGISGPKREIVEELLPPGDGKLRTISIVGCIGSGKTALARALYDDALVTGNFRYKAWVVASEYNHARDVLDHILQQVILQVEIKNNPNPNFEGESTYQALQRFFQEERYLVFIDDVRPRELQWKDIQDAFPTNDRGNRIILTTCVRSVATAYSSGVYIYTMPCLDEASSKDLFWRKVCGCSTAPAPAPSLADGSKSLLDKCDGLPLALVSAANYLSGKGLEGSHLRKADCEDVGKELGKFMASDESAFQGIKNTLGQCYNSLPEYDHKMCFLFASLFPKGHPINIKRLARRLRAEGLVFRNGCECLAKLIDMSMIEPASICSNLDVVKRCQLHSIMREFSIHKSLSRNLVTLIQKDIPFHNIACPVRRLSVQSSRSTIVEEFELSALTSLTIFGSELFYFKEHKLIQVLVLEDCKVLGRKAVDDICKLVFLKYLSLRNTSIDRLPTKVRKLACLETLHIQDKVVLKLPVEVIMLPQLAYLSGRFELLPLAGRSGSTETKRLEEFLKRKSKLHTLAGIVVREAQAGLFEIIILHAKKLKKVKVSCIAAVDASAPSCLPANASASIPSLTPATGDVSASNPSGAPDTANVTRTSSIILAPPRKAARNTKKIGCFTACIGFPGKPAVQGSASDATPGASVTKSFPADRSTSAQLLLLANSNRKETAGTTLATTLTFCIEKRFMDLESLSIDFNGVPNDFLASLKLEFTISSIKVRRGLGHLPGLTELREVRNLIKLQLISTGLSCQQLEALQYLECLEYLKLVEDRHGSWVGDFSVGLGGFASLRMLRFEATKHPRVRIVRGGMPCLISLQLLCPNSPHTPLGPGVDVTVALATAVIESLPRDDGSTRATAEIEIESPPRDNGTVAAAEIESPLGVDGIKHLEHLNEVILHHSVDDAKVEAWKKELKRHKNRPCVKRQPISI* >Brasy3G289100.1.p pacid=40043735 transcript=Brasy3G289100.1 locus=Brasy3G289100 ID=Brasy3G289100.1.v1.1 annot-version=v1.1 MSSPAAMLVILLLVAASLSPLLLEPASAARPPAPRYSSSPTGGAGEKEDEEQKVRLGSSPPSCRNKCYQCSPCGAVQVPSLAAPAGPATTAQDAPPVVPLSNNYKPLWWKCQCRDRLYDP* >Brasy3G289100.2.p pacid=40043736 transcript=Brasy3G289100.2 locus=Brasy3G289100 ID=Brasy3G289100.2.v1.1 annot-version=v1.1 MSSPAAMLVILLLVAASLSPLLLEPASAARPPAPRYSSSPTGGAGEKEDEEQKVRLGSSPPSCRNKCYQCSPCGAVQVPSLAAPAGPATTAQDAPPVVPLSNNYKPLWWKCQCRDRLYDP* >Brasy3G329700.1.p pacid=40043737 transcript=Brasy3G329700.1 locus=Brasy3G329700 ID=Brasy3G329700.1.v1.1 annot-version=v1.1 MVAAAWIGGGVPPPSGSAAPRAPVAGRRSPRLRMVVSLGFVLAADFWLRGYRALAFVVTGFGDLGALPGGRVFVGSGSKRWFVSVVQFVRPVPLWLRQLKPLRCCNPNAARVTACSSPLLPSPRSPDHCGL* >Brasy3G315400.1.p pacid=40043738 transcript=Brasy3G315400.1 locus=Brasy3G315400 ID=Brasy3G315400.1.v1.1 annot-version=v1.1 MLDSLFSEFKLDLAGTKGLAAGHLLSEEIVTGDYTWTVKCWPRGVMPGNEDYVGLSQELPSRPRITRAVFEAFVMGVDEEASARTSMEVSQGTNNVAVAACVAVWTRFARRSNLVWACAGTASVTIVCGILLTLDDAMAVPASDMAGHIGRMLDRDGNNGSDVSFSRPGRRCSRPSSWAPWRRPAWRASRCTASSWKPSGSSSGRFVCTDELPPMDDDVDDEQGLEPTELVWQVLAAADRYALDRLKLLCARRLYDSLSVETVAITLGYAEMHNCTELKNKCIDFFVADNNFRKAMATEGYVQLEKHFPSVVEDVVRARAGS* >Brasy3G038300.1.p pacid=40043739 transcript=Brasy3G038300.1 locus=Brasy3G038300 ID=Brasy3G038300.1.v1.1 annot-version=v1.1 MPPSTKIIDVTRVVVPPAAGESSPTPTPLIKLSALGAQWLVVPLIQRVLIFVDKADGGGVQLPPFASMVASLRASLAATLARFPPLAGRIVFLPSTGDAAIDCCSDRGGVRFVVAESEGADAARLAGDADHDVDAFKLLVPELETGALPAEVLAVQVTRLRGGVAVGVAMHHAVVDGRSVWRFLEAWAAACRGDTADARLPVFDRSAVALPGGEELARSTLRKYTPDLPLVAQFPFTPNLPRRTFLITAQQIRDLKQRIVTKLTASSLSAQSQIAAAPPLSSFAAVAALAWASFVRSKHPAAISPNDDVYLFVYVDCRARRGIEPPVSEDYFGVCITGCLVRAMAGDILAEDGVAFAAAAVREEVRRAAEDPLALWDWMDLAFTLPLDRLVSMNGSTRFPAYEATDFGWGAPVRTELVTMNHGGQVVLVAAKGGGGGVHASVCMLPEHMDAFSSQFLNF* >Brasy3G038300.3.p pacid=40043740 transcript=Brasy3G038300.3 locus=Brasy3G038300 ID=Brasy3G038300.3.v1.1 annot-version=v1.1 MPPSTKIIDVTRVVVPPAAGESSPTPTPLIKLSALGAQWLVVPLIQRVLIFVDKADGGGVQLPPFASMVASLRASLAATLARFPPLAGRIVFLPSTGDAAIDCCSDRGGVRFVVAESEGADAARLAGDADHDVDAFKLLVPELETGALPAEVLAVQVTRLRGGVAVGVAMHHAVVDGRSVWRFLEAWAAACRGDTADARLPVFDRSAVALPGGEELARSTLRKYTPDLPLVAQFPFTPNLPRRTFLITAQQIRDLKQRIVTKLTASSLSAQSQIAAAPPLSSFAAVAALAWASFVRSKHPAAISPNDDVYLFVYVDCRARRGIEPPVSEDYFGVCITGCLVRAMAGDILAEDGVAFAAAAVREEVRRAAEDPLALWDWMDLAFTLPLDRLVSMNGSTRFPAYEATDFGWGAPVRTELVTMNHGGQVVLVAAKGGGGGVHASVCMLPEHMDAFSSQFLNF* >Brasy3G038300.2.p pacid=40043741 transcript=Brasy3G038300.2 locus=Brasy3G038300 ID=Brasy3G038300.2.v1.1 annot-version=v1.1 MPPSTKIIDVTRVVVPPAAGESSPTPTPLIKLSALGAQWLVVPLIQRVLIFVDKADGGGVQLPPFASMVASLRASLAATLARFPPLAGRIVFLPSTGDAAIDCCSDRGGVRFVVAESEGADAARLAGDADHDVDAFKLLVPELETGALPAEVLAVQVTRLRGGVAVGVAMHHAVVDGRSVWRFLEAWAAACRGDTADARLPVFDRSAVALPGGEELARSTLRKYTPDLPLVAQFPFTPNLPRRTFLITAQQIRDLKQRIVTKLTASSLSAQSQIAAAPPLSSFAAVAALAWASFVRSKHPAAISPNDDVYLFVYVDCRARRGIEPPVSEDYFGVCITGCLVRAMAGDILAEDGVAFAAAAVREEVRRAAEDPLALWDWMDLAFTLPLDRLVSMNGSTRFPAYEATDFGWGAPVRTELVTMNHGGQVVLVAAKGGGGGVHASVCMLPEHMDAFSSQFLNF* >Brasy3G208700.1.p pacid=40043742 transcript=Brasy3G208700.1 locus=Brasy3G208700 ID=Brasy3G208700.1.v1.1 annot-version=v1.1 MAATESPEPRKTAASGRLPGVTRGCGFVYKVCGDEASSRAFSRAFSLGSEKRWRRPSTGSSGAGGGAPWQGHLEQPGRRERAAANSQERCGPSCAGIESGEPRPQRIGSGSVGGIEAGLREDEQRRPAVPRSGHPPRLRARRPGAAGQQEAVRREQEDDGQGEVAGAGGHHLAQGPAKPRAKVIKFAADRKLGFGFGIVDRTVLQNRREGEERRRAEKEERGMGKRKE* >Brasy3G299700.1.p pacid=40043743 transcript=Brasy3G299700.1 locus=Brasy3G299700 ID=Brasy3G299700.1.v1.1 annot-version=v1.1 MSNITVTAALALGTGSLLGSSPGTRPRGGRRPEGQSGPEEVAEGSKAGEGASSSSCRGLPHTASGEGCSAAARLGM* >Brasy3G217600.1.p pacid=40043744 transcript=Brasy3G217600.1 locus=Brasy3G217600 ID=Brasy3G217600.1.v1.1 annot-version=v1.1 MPLTKSRSSHPNRPTRHGCSFSNLSGWRLHRRCEQMGDIAKDLTAGTVGGVANLVVGHPFDTIKVKLQSQPSPSPGQLPKYAGALDAVKQTIAAEGPRGLYKGMGAPLATVAAFNALLFAVRGQMESLLRSEPGAPLTVKQQVVAGAGAGFAVSFLACPTELIKCRLQAQSSLAEAAAASGVALPKGPLDVAKHVMKDAGLKGLFKGLVPTMGREIPGNAIMFGVYEAVKQYMAGGQDTSSLGQGSLILAGGLAGGALWLTVYPTDVVKSVIQVDDYKKPRFSGSIDALKKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSAMG* >Brasy3G144100.1.p pacid=40043745 transcript=Brasy3G144100.1 locus=Brasy3G144100 ID=Brasy3G144100.1.v1.1 annot-version=v1.1 MLDDLDAKICAPLLILFRRVLDDLDAKAGILDYSSSLRCWPTWMLRLVFWLILPCCISVCIHLIYC* >Brasy3G229800.1.p pacid=40043746 transcript=Brasy3G229800.1 locus=Brasy3G229800 ID=Brasy3G229800.1.v1.1 annot-version=v1.1 MAAALASTRCCCRPSLLPTGRGRRSVARCALSGEKGNSFSWKECALSVALSIGLITGPPAFGWSAHASPLKPVLPDISVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNARQASRALTNGRSLILKGLAESKRANGEELLDKLAVGLEELQRIVEDRNRNAVAPKQKELLNYVGTVEEDMVDGFPYEVPEEYSAMPLLKGRATVDMKVKIKDNPNVEDCVFRIVLDGYNAPVTSGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKSRTIPLEVMVDGDKAPTYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKIVG* >Brasy3G163500.1.p pacid=40043747 transcript=Brasy3G163500.1 locus=Brasy3G163500 ID=Brasy3G163500.1.v1.1 annot-version=v1.1 MTCRGRRGRGGGGRVEAGADEAGSRQWRRRPGRGGGEGPPAAAMASSVWGSFGPAAASDGDGDGGGGGREGYRRRAAAGWLGLPEEATAGWLGLPHLGIGSRGEAAAGNEMDREIEGRGEKTWGHAKRFHLAVANPL* >Brasy3G208400.1.p pacid=40043748 transcript=Brasy3G208400.1 locus=Brasy3G208400 ID=Brasy3G208400.1.v1.1 annot-version=v1.1 MGNRSRPSVAKEAMEPIDEETESPSRETLTSRYHQLKCPDGKLGDMRLDRIPNFHCKSLPSRRLEANSEDSMMHSRGSMYQSSSDISRLRKLQEARRKLDSAYERDAFMSFGVVDSSSQPSTSGAYVAPQRSRSGRSRSSMNRNHEVNQDDREFINLSSLKVPDEKSKLGRPRMDCNLLKGDVRDSLLELSLEEDTTKSPCKNVAPHLLEGSGKKDTSSICQHPIGVYPDGSNSGERDLMSNLPKSFSAKVGVFDATYPLESNHGVDGKKKARSSTFRKIMDPFMKSKSLRNPSLLEKGDPKSSNAPARGKNSVLNKPLLSDISRTEQTLKPKCQTSVEARPMTVTSSPTHLHAVLKLDPDNCAFGFEFCTKGPEESIYANTWKSGNELNWIYTFHSVGKRSSTVGRTSKDRHGCLPPIVGQMQVSSYLYSEVGEDGILNNSATSEFVLYDIAHARRSSAVERIQCTDFIQPTSRNVVRNSISRESLERNNMMERQNTARNNSDGSAFCLWSQEDLHPHLEVAAVVIQVPFHKTRSKELKAGSSSGTIKVATAGGAHGLPRDDETSPSPLLNRLKSGGRCDCGGWDMSCPIVVLDNAYDSYWVDSVINESKHPMELFVQGNQEVLPAFFMKADGNGQFSVDFHARLSALQAFSVCISLLHCSEASSAIGIDKFKHKLYSSSLKMLLKEEVKQLVESVTGKEKKKVKRTKRKTTPPVIDGPPFSPMGRV* >Brasy3G208400.2.p pacid=40043749 transcript=Brasy3G208400.2 locus=Brasy3G208400 ID=Brasy3G208400.2.v1.1 annot-version=v1.1 MGNRSRPSVAKEAMEPIDEETESPSRETLTSRYHQLKCPDGKLGDMRLDRIPNFHCKSLPSRRLEANSEDSMMHSRGSMYQSSSDISRLRKLQEARRKLDSAYERDAFMSFGVVDSSSQPSTSGAYVAPQRSRSGRSRSSMNRNHEVNQDDREFINLSSLKVPDEKSKLGRPRMDCNLLKGDVRDSLLELSLEEDTTKSPCKNVAPHLLEGSGKKDTSSICQHPIGVYPDGSNSGERDLMSNLPKSFSAKVGVFDATYPLESNHGVDGKKKARSSTFRKIMDPFMKSKSLRNPSLLEKGDPKSSNAPARGKNSVLNKPLLSDISRTEQTLKPKCQTSVEARPMTVTSSPTHLHAVLKLDPDNCAFGFEFCTKGPEESIYANTWKSGNELNWIYTFHSVGKRSSTVGRTSKDRHGCLPPIVGQMQVSSYLYSEVGEDGILNNSATSEFVLYDIAHARRSSAVERIQCTDFIQPTSRNVVRNSISRESLERNNMMERQNTARNNSDGSAFCLWSQEDLHPHLEVAAVVIQVPFHKTRSKELKAGSSSGTIKVATAGGAHGLPRDDETSPSPLLNRLKSGGRCDCGGWDMSCPIVVLDNAYDSYWVDSVINESKHPMELFVQVNLSSFYVNTKKNPNKYGYCELMWRRFFSKNMIYWSQILNFPANELQYLLFPN* >Brasy3G170900.1.p pacid=40043750 transcript=Brasy3G170900.1 locus=Brasy3G170900 ID=Brasy3G170900.1.v1.1 annot-version=v1.1 MAPLPSQSQEAPPPPPPTAKRKRPCLSFTVARDRCLRRRFHSAGLRPFSIRLPSSAGSAGTTVSLWAPPQPTRRAVLLLHGFGASATWQWAPYLRRLIAAGFDPIVPDLLFFGDSASPAPDRSETFQARAVKAAMDSIGVRRFAVVGVSYGGFVAHRMAAMYPEAVERAVLVCAGVCLEESDLSAGLFPVAGVEEAAELLIPRRPSDVRRLVRLTFVRPPPIMPSCFLKDYINVMGSDHIEEKTELLRALINDRQLSDLPKISQPTLIIWGEQDKVFPLELAHRLNRHLDGNSRLVVIKRAGHAVNLEKDKEVCANIIEHLREPVYCDDSSGEKEVEIH* >Brasy3G170900.2.p pacid=40043751 transcript=Brasy3G170900.2 locus=Brasy3G170900 ID=Brasy3G170900.2.v1.1 annot-version=v1.1 MAPLPSQSQEAPPPPPPTAKRKRPCLSFTVARDRCLRRRFHSAGLRPFSIRLPSSAGSAGTTVSLWAPPQPTRRAVLLLHGFGASATWQWAPYLRRLIAAGFDPIVPDLLFFGDSASPAPDRSETFQARAVKAAMDSIGVRRFAVVGVSYGGFVAHRMAAMYPEAVERAVLVCAGVCLEESDLSAGLFPVAGVEEAAELLIPRRPSDVRRLVRLTFVRPPPIMPSCFLKDYINVMGSDHIEEKTELLRALINDRQLSDLPKISQPTLIIWGEQDKVFPLELAHRLNRHCNLVQASRRKFSASSHKARGACGQSRERQGGVR* >Brasy3G265800.1.p pacid=40043752 transcript=Brasy3G265800.1 locus=Brasy3G265800 ID=Brasy3G265800.1.v1.1 annot-version=v1.1 MRPSLSNSGDEGSPYPAEARRIVVAHRLPLLAVPNPDAPHGFDFSLDPNALPLQLSHGLRRPVLFVGALPSSATAASIAASDDLAADLLARFSCYPVFLPAKLHADFYDSFCKHYLWPMLHYLLPFSPSYGSGGGLPFKPELYRAFLTTNTKFADRIFEVLNPGEDLVFIHDYHLWALPTFLRHKSPRARIGFFLHSPFPSSELFRAMPIREDFLRALLNADLVGFHTFDYARHFLSSCSRVLGIANHSSHGYIGIEYNGRTVVVKILSVGVDMGQLRAVLPLPETAAKAKEIANKYKGRQLMLSVDDIDLFKGIGLKLLAMEKLLESRPDLRGHFVLVQINNPARSLGRDIDEVRAEVLAIRDRINARFGWPGYEPVVVIDGAIPMYEKVAFYTSADVCVVNAVRDGLNRTPYFYTVCRQEGPVVNSMACKPRESSIIVSEFVGCLPSLSGAIRVNPWNVEDVAEAMNSALRMNEQERQLRQEKHYKYVSTHDVAYWARSFDQELQRACKDHSTMMILNVGLAMSFRIVALGPSFQKLLPEHINPAYRKTGNRLILLDYDGTIMPQGLINKPPSQEVIRTLNELSSDPKNIVFVVSGRGKDELAEWFAPCERLGISAEHGYFTRWSRDSPWESCKLIMDFDWKNIGVPVMAHYTDATDGSSIEVKETSLVWHYEEADPDFGPCQAKELQDHLQNVLANEPVYVKSGHQIVEVNPQGVGKGVVVKNLISTMGNRGDFPDFILCVGDDRSDEDMFGATTTAVRNSVLQETTEIFSCTVGNKPSLAKYYLDDPVDVLKMLQGLTKSPVQHPEASGSQVSFED* >Brasy3G173600.1.p pacid=40043753 transcript=Brasy3G173600.1 locus=Brasy3G173600 ID=Brasy3G173600.1.v1.1 annot-version=v1.1 MEVSGRLFHSPQPQPYHGLAELRIEADQSPVAFSDPFAAGRKRRCLFPSTFSPRKKMLLELPPFSSSALAPSTHATEGRAAAAVSSSALSPSSGGNGSFAFRAFPGQPAAGPNCGRALAFLTSPEQPLTPMGSTASGGFGVLASRRSLSAGPGRSNGTGALAFLPSPTPALTGSSGKDCGELAFLASLNPAFGCTGSSVSAKELPPAGPGISGGGGLVVSPPPSFPVRNSEGCTLWSRRHGNKRPWEEQLQIALPLKKVAKTEASATGGDSTRRASLHVSPCCAFVNSPAKEASKQETNNNIVAVKDASRSSSPFKSPAGTCCTFVTSPMRPSAVEQAIGQAQVSSAGGDGHSSPATCPGAEMVVRVTCSCGAHKEFCFDHRH* >Brasy3G266900.1.p pacid=40043754 transcript=Brasy3G266900.1 locus=Brasy3G266900 ID=Brasy3G266900.1.v1.1 annot-version=v1.1 MNCFPFRHRRCTVQSLSSFLASCSPEASPSSSAPRSLSPHLPPEATTTAASRSPSRRPPVPLPRRMIAAGTVSMGRSTTPTPGAQSRWPRAVAQLRLALSSPAAASSGGGGGHWMSCFRPAPVPATSSSAVTMAVNETRGKRPEVEMEPARGGGEDVWSSQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRKGVKKISIVAHSLGGLVARYAIGRLYGRNSRLKSYAESSRNEGQRLDGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDSDDGRRPLLLQMVDDCDDIKFRSGLRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDRGITDNNETKVSANLYDPEEEMIRGLTQVPWERVDVSFQKSGQRLVAHNTIQVKSYWLNSDGVDVINHMMDNFIV* >Brasy3G266900.2.p pacid=40043755 transcript=Brasy3G266900.2 locus=Brasy3G266900 ID=Brasy3G266900.2.v1.1 annot-version=v1.1 MNCFPFRHRRCTVQSLSSFLASCSPEASPSSSAPRSLSPHLPPEATTTAASRSPSRRPPVPLPRRMIAAGTVSMGRSTTPTPGAQSRWPRAVAQLRLALSSPAAASSGGGGGHWMSCFRPAPVPATSSSAVTMAVNETRGKRPEVEMEPARGGGEDVWSSQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRKGVKKISIVAHSLGGLVARYAIGRLYGRNSRLKSYAESSRNEGQRLDGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDSDDGRRPLLLQMVDDCDDIKFRSGLRSFKRRVAYANANFDPEKIWWGGEHHQSGVNTSCQNIDFLFVMRSIHILSMLIEELRTIMKPKSVLICMTQKRR* >Brasy3G292000.1.p pacid=40043756 transcript=Brasy3G292000.1 locus=Brasy3G292000 ID=Brasy3G292000.1.v1.1 annot-version=v1.1 MRRVDLAAAAAVLVLLLPIAVSAKTSAAAAPAKAPVAAPPAPPNITAAMEKGGCKAFSALLSASPDASSTFQSAIDGGVTAFCPSDGAVKSFLPRYKNLTAAGKASLLLSHAVPVYYTRRALKSNNGVMSTLATDGGAGNFNLTVQNVGDQVSVKTGAAKGKGKSAARVESTVYDKEPVAVYAVDAVLEPVELFKPAEAEAPAPAPAPAPAAHKKARHRDDDDVADAPSPDADDEDDDAPPADQMKSSKNGAARPGGQCLRWLAVVGVGVAAVLA* >Brasy3G019800.1.p pacid=40043757 transcript=Brasy3G019800.1 locus=Brasy3G019800 ID=Brasy3G019800.1.v1.1 annot-version=v1.1 MVWLEAIRRDQSKPDKHLLWRFISPYVFVQLVPVAMARVWKLFTERLNGRQVIFDDEPFDRINEAELNQVRQLQGAPWDEEPAHERYVLRDDEDGIEEIAEEIFDLLTLGIWQPLQNGYVNCPFCNRRVYPGFMAVFQHSRYYEPADPDAGNKKAKHVGLCWYLKANAPAEYADHVGAM* >Brasy3G068100.1.p pacid=40043758 transcript=Brasy3G068100.1 locus=Brasy3G068100 ID=Brasy3G068100.1.v1.1 annot-version=v1.1 MRKIKKNNQTPPEYKSTNNQQNSSVAGPPSRGVYAPPWRWIRADSSKGGRRRTAAREREVEGTREKQREDRRERRWGGAAYLVVGRIHVGRAKGGRIRWQLAPGRPDPGRQGRAGGGRRRPSAAGSGGGWPQGGWIQVGRAGQAGAGRRGRGGGAGGGRPSGTRRRGRRGPAVGDEEAGAGGRGAGRRRPEARGAGKRRPEAPERW* >Brasy3G278000.1.p pacid=40043759 transcript=Brasy3G278000.1 locus=Brasy3G278000 ID=Brasy3G278000.1.v1.1 annot-version=v1.1 MAKFGEGDARWIVQDRADGANVHNWHWAERDCLEWSRARLSALLAGLPVLSGEGGLTLRTTTLDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEATSESGVVKVTGAAEVPYLADENADEDPELRITVRGDEGPLATRAKDAFIARGKPLVLEKIREYVSIMSKGGPAKDELDAKKTPTKAATATCVTATAPAPVAKEAPAPAAKEKKAKGKEKEGFKTIEMTEKLNCRAEHIYEILMDENRWKGFTQSNARISKEEGGQFSLFDGSITGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTIIKLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRAVFGFGI* >Brasy3G164600.1.p pacid=40043760 transcript=Brasy3G164600.1 locus=Brasy3G164600 ID=Brasy3G164600.1.v1.1 annot-version=v1.1 MALAWERAWRSLATRLCFRSPTRNAPGGHATPTAVTDEETEEEEDCESPVSTWRLSRSGSRSSTNVCAICLGGMRAGKAVFTAECCHKFHFHCISSNVEQGSHVCPICRAVWTELPLQAHGTTNINPLGWPQERRLISRVNRQYGTLPVFHESQPELGTGRTRAKDAAVGYVEIMVYTEVPAIQKSVTRETFDILIHLKAPSWPTAATPASWPRAAIDLVAVLDVSGGTAGTKLVLLKRAMSFVIQSLGPNDRLCVLTSRHSACRLFPFRKMTASGQQQSVQDIAGCLSTADGGSDIGEALRKAGRATEDRQARNPACGIILLSGSQDNHGGSWRHRDYYIDSVLRSVRPGSGHHVRIHAFGFGVDHGPKAMAMRAVAEASGGTFSFIGDAGSITDEFARCIGDLSGVVARETRLSIGCVQQGVLLSSVRSGGCASTVDDERRCGSVDVGDLYAGEERDFLVTVHVPAVLGGQDDSALIMPSCTYRRAAPAAVTMDTELVRVESNPAAVRRPTHLVSSSSVASLEVERERQRLYAVKDIAAARDAAEQGRFARALSVLEGRRRKLESRAVLWADARTLAFVAELREMEDRVVTRRRYEETGRAYILAGLSAHSWQRQRGADSTDGLTHSYQAPCMLDMLSRSQRLLPEAVQEMNHSPESPGQQIQPICRPMTS* >Brasy3G041700.1.p pacid=40043761 transcript=Brasy3G041700.1 locus=Brasy3G041700 ID=Brasy3G041700.1.v1.1 annot-version=v1.1 MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGNIRLLKHDRSIIAEDNLDHRWQEATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQQIAADQGLVPEFEITLEATHHGPLTNTPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGLEEGNTVGTWTGNGEKVLLGIGGGHYAPRHMDIVIKNGAWVGHLLSGYSLPMEAPPEGNSKSSDVGGMWKHSIKASFQATKAAFPGGEVIAHLDQKSFKGWQKSAITSYLQEENIRIGKPNDFL* >Brasy3G041700.2.p pacid=40043762 transcript=Brasy3G041700.2 locus=Brasy3G041700 ID=Brasy3G041700.2.v1.1 annot-version=v1.1 MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGNIRLLKHDRSIIAEDNLDHRWQEATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQQIAADQGLVPEFEVLWKGLGLEEGNTVGTWTGNGEKVLLGIGGGHYAPRHMDIVIKNGAWVGHLLSGYSLPMEAPPEGNSKSSDVGGMWKHSIKASFQATKAAFPGGEVIAHLDQKSFKGWQKSAITSYLQEENIRIGKPNDFL* >Brasy3G188100.1.p pacid=40043763 transcript=Brasy3G188100.1 locus=Brasy3G188100 ID=Brasy3G188100.1.v1.1 annot-version=v1.1 MLQKFALAFKTKTIEFFAEEEEDEYAAAGEGGVLAGQRVVVLKPDPQNPSPVVGGEGDGEGVLASSEDAAVEAALATASSFQAAYLHLQAAHSPFLPDAAAAADALAVSHLRRLSELKRLASGAPVEGDGPLTAHLEDQVRENQALLRSFDAVVNRLQAALDAKDTAAAALRWEHAALADGNARLAGRLDRALAPQPGAGGGDALGAMLSASVFDSVLRDALRVAHRFTRALSELLRCAGWDLTNAAAAAYPGIAYSKHGHCRYALLSRVCLSMFDGFDSYQFGAASDDAALEGIELAIRRNESLQQFIEHSDTDPIELMHSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSGCGALPVLAAAGPLYELFITMASSIWTLHRLAWAYDPAVGIFQVSRGTEYSSVYMESIVRPNTFSASKEVGKTVRPKVGFTVVPGFRLGGTVLQCRVYLEP* >Brasy3G342300.1.p pacid=40043764 transcript=Brasy3G342300.1 locus=Brasy3G342300 ID=Brasy3G342300.1.v1.1 annot-version=v1.1 MAGRGDARGAPARGGALPPTGVGGRGVALPAIGGVFPQNPAGRGGAAAAFTPLQFSSFSSAAGPGSVAAGAFSFGAGPQGPALAGAPSQASLASEAPPLVRSDQAGEAITASVGAQGLAAIARPPAAPAPGKGKRTKIYRYKCKSKDHFATECTAELYCVICDKHDHNSDRCPILKAPKPSAQLCGYGGPNMGFHYLPDELAIDPKTGSSPTALVSVSGGSLSSADNWNWEAIRHGDGEFLVVFPSAEALARVVDVEMHLKAHGVTLGFTEWKDEVSDPAFELESVWVHALGVLPCLRHYLGLWAVGTLVGATREVDMVSLRRRGICRIRVGALNTRSFPVETDLTVGMAGYELTIVLEDESNAVDDSSRPIPLDDDSGGNDKGASGPGEGSHSDKAPAPPEPGSTGSNNSKSSTPAPTPPASGSVGGSVRHAVVQAALSPAPSVPPFVRNSSASSSPTPCSPSSSPPAPSSSRPPVSRTRPSSSGSTPRHMSAPTPGWVATLDAGEVAALSAAIANSPPQSVAAPTPPPTMRPVPAATAGSKGAVVPPSVMPRRSLRHAPDANGVAPTDEDSMVHAAARNLDPSQGNPPCKFFSAFVFLLHRL* >Brasy3G149900.1.p pacid=40043765 transcript=Brasy3G149900.1 locus=Brasy3G149900 ID=Brasy3G149900.1.v1.1 annot-version=v1.1 MAAPTELHFLFVPLVAQGHMIPMIDLARVVAARGPRVTVVTTPVNAARNRAAVDGAVRAGLRLDLLELPFPGPRFGLPDGLENVDQISAVQRELYYPFFKSIWAMAGPLDAYIRGLPRRPDCLVADACNPWTAPVCDAAGVPRLVMHCPSAYYMLAVHRLTSHGVYDRVGDDQTAEFEVPDFPVRAVGNKATFRGFFQWPGVEKEHRDVLQAEATADGVVFNTLRAVEARFVDAYAAALGRRTWAVGPACVAASMDDDADAKSGRGNRADVDANEIMAWLDARPVASVLYVSFGSISNLSTKQLTELACGLEASGRPFVWAVKEAKSDAAVKAFLDGPGGFEERVSERGLLVRGWAPQVTILSHVSVGGFLTHCGWNATLEAMAHGVPALTWPTIVDQFAGEQLLVDVLRVGVRSGVKIPAMFLPAEAQGVQVTSAGVEKAVEELMGPGPEAVARRARAKELAMDARAAMEEGGSSYNDLTDMIQYVSELSRKRGLERETSSTAAAVASPVAELGGNRNGEKIEAGASLSVQS* >Brasy3G172400.1.p pacid=40043766 transcript=Brasy3G172400.1 locus=Brasy3G172400 ID=Brasy3G172400.1.v1.1 annot-version=v1.1 MKLLGDVDAAAVDRRRCTAAVVANKTCCRTEAGPPPWAASATTLPRWSSSLVHDGGRRGLARARRRRIAGGDRSDGWRGRGGAEEGRGVEEVTAAAVWRGRGGPQWKGRRRRRWNRSGEEKTKEKNGSGWLKDSSGVEFQCQQAVFFRPAGVQPGRCHEQGRAAKACSSLRCTALKGIPQLYHSIPLSLSCTALHCGAGRRVKIQFIRGPCRAMAPPADGARACRKERAQRQARETVGGRGGQSHGGSTDECNELIMDGCMVDLWMDAVHG* >Brasy3G098200.1.p pacid=40043767 transcript=Brasy3G098200.1 locus=Brasy3G098200 ID=Brasy3G098200.1.v1.1 annot-version=v1.1 MAGAGIHPFHQQWPPAAAASVPPAVPPPPPVPGVPDAAARPGSDEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSYAHHANAAKAALQDLVFDAETKSALHIEMAKKNLFIKRGADANAMDQSKRLRTGGDYTHSPYAPPFHPPPPAVAMWGTAGYMAAPPPYNPYAAYPVPPVPMASPSPLPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRGLFSVQPGFKQMKVLRQDRNTVCFIEFDDVSAASAVHHTLQGAVIPSSGRGGMRIQFSKNPFGRRKDLVGGMAGTLNGAPSN* >Brasy3G228700.1.p pacid=40043768 transcript=Brasy3G228700.1 locus=Brasy3G228700 ID=Brasy3G228700.1.v1.1 annot-version=v1.1 MEIFVIISLILLLALGAFFVIPRSQKKGKAKETDSGANEMTSRSYTKDEVSKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGDDSTEGFFGPQHGTRVFDIIEDFCIGLLKASS* >Brasy3G228700.2.p pacid=40043769 transcript=Brasy3G228700.2 locus=Brasy3G228700 ID=Brasy3G228700.2.v1.1 annot-version=v1.1 MEIFVIISLILLLALGAFFVIPRSQKKGKAKETDSGANEMTSRSYTKDEVSKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGDDSTEGFFGPQHGTRVFDIIEDFCIGLLKASS* >Brasy3G313400.1.p pacid=40043770 transcript=Brasy3G313400.1 locus=Brasy3G313400 ID=Brasy3G313400.1.v1.1 annot-version=v1.1 MAEEERRRFSSLRSVRWRVDLGILPASPEASVEEVRRAAADSRRRYVSLRRRLLVDPHLPKEEARSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPACQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDVDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWNSGADNENDSESASKVNTLDELDLDTKEIILLSDPYGAEGELGIVLSERFMEHDAYAMIDGLMDGGGGVVRMAEFFSPSSVGSSSSLPPAIEASSALYHLLSVVEPSLHSHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYSFRILCSARGAFIAAMAVSMLLHVRSSLLATEVDVSCLQRLLNYPTNVDVQKLIEKAKSLQSIAIDANTSSPSFLLRRDICEYDRVNSNLAISTPPRTQVHPLTESYWEEKWRNVHSDGTTPKEIEKGHSFSREIKKSLRQKLGLSRTESDPSPVKAVGVKSDAQNSVRRCLLNTLSDSAGSSSEVAGKMEQDEFPVVSIHKETPVSSAETLQLKATGETVTVSPPCLAKVSPLENSPTVPADDNATQRIQRATEACSSGETSPVFYAAVAANGIENSQDNDSSRSSVTSDSCAGDNDRDETLQDESSSCNCDGKTVQDSGATASDKTADPDGSSERSAVSNERKPFISKFQWLLKLGRLSGEGNVEKGSGETSDGKDGVGSSGSLPSDGNSNNSNGSTKLATGDKKVTGTFKNLGQSMLENIQVIESAFQQDRGQPGPMENFSNNILGGKGQATAMSALTELRKISNLLSEM* >Brasy3G313400.2.p pacid=40043771 transcript=Brasy3G313400.2 locus=Brasy3G313400 ID=Brasy3G313400.2.v1.1 annot-version=v1.1 MLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDVDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWNSGADNENDSESASKVNTLDELDLDTKEIILLSDPYGAEGELGIVLSERFMEHDAYAMIDGLMDGGGGVVRMAEFFSPSSVGSSSSLPPAIEASSALYHLLSVVEPSLHSHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYSFRILCSARGAFIAAMAVSMLLHVRSSLLATEVDVSCLQRLLNYPTNVDVQKLIEKAKSLQSIAIDANTSSPSFLLRRDICEYDRVNSNLAISTPPRTQVHPLTESYWEEKWRNVHSDGTTPKEIEKGHSFSREIKKSLRQKLGLSRTESDPSPVKAVGVKSDAQNSVRRCLLNTLSDSAGSSSEVAGKMEQDEFPVVSIHKETPVSSAETLQLKATGETVTVSPPCLAKVSPLENSPTVPADDNATQRIQRATEACSSGETSPVFYAAVAANGIENSQDNDSSRSSVTSDSCAGDNDRDETLQDESSSCNCDGKTVQDSGATASDKTADPDGSSERSAVSNERKPFISKFQWLLKLGRLSGEGNVEKGSGETSDGKDGVGSSGSLPSDGNSNNSNGSTKLATGDKKVTGTFKNLGQSMLENIQVIESAFQQDRGQPGPMENFSNNILGGKGQATAMSALTELRKISNLLSEM* >Brasy3G200200.1.p pacid=40043772 transcript=Brasy3G200200.1 locus=Brasy3G200200 ID=Brasy3G200200.1.v1.1 annot-version=v1.1 MSGGVEPETPPGSAGRGGGSSSGSPMARKPPRHQLTSIRHCSSSARIAAASTEFDLDSGALSLISHADIRPGFLPVFRSGSCANIGPKSYMEDEHVCIDSLNEHLGMRTPGIPAPGAFYGVFDGHGGTDAVCFVRKNILKFIIEDGHFPNSMEKAIKSAFVKADHAIADSHSLDSNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCKSEKLRIENLGGIVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVSMVRKELMAHNDPERCSRELVQEALRRDTCDNLTAVVVCFSADPPPQIEVPRFRVRRSISMEGLHMLKGALDGNA* >Brasy3G033600.1.p pacid=40043773 transcript=Brasy3G033600.1 locus=Brasy3G033600 ID=Brasy3G033600.1.v1.1 annot-version=v1.1 MVLARAGAAASLLLLLLLFAAAAKAAEAAPTSMEAYFSAEELVRIAGYGEEQVSTVLVSGQLLCVLCLRPGSDLLAFQLPGSKVAVTCKSEGPNTNTMAANSAFATTDESGNFTIELPSRLHATPNLEDACSVMVLTLPPDSACHAGHSPGSSYRLQQSSSEEDGVRTYSTGSIWLQHNNTPPDKCVQEKNRSDQR* >Brasy3G083800.1.p pacid=40043774 transcript=Brasy3G083800.1 locus=Brasy3G083800 ID=Brasy3G083800.1.v1.1 annot-version=v1.1 MDPKIAVALTLSLVGGLSTSLGALLAILNHAPNNRTLGILQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSAIADVFPEPECNPVDENDKQTTGNTARKELMMRHRRRVIFSVIVTAIVAGVSLQNFPVGTAAFLGTAKGFRVGLNLVIAIALHYIPEGIAVALPAYFATCSKWQAFKLATLSGFAEPIGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAIEYAGRKDAVKSVFVGMAFMSMSLYFLEVSLPKEMAA* >Brasy3G083800.2.p pacid=40043775 transcript=Brasy3G083800.2 locus=Brasy3G083800 ID=Brasy3G083800.2.v1.1 annot-version=v1.1 MDPKIAVALTLSLVGGLSTSLGALLAILNHAPNNRTLGILQGFATGLMLSMSFFDLAYDAINAIGFLKGNLWFFAGALLFSAIADVFPEPECNPVDENDKQTTGNTARKELMMRHRRRVIFSVIVTAIVAGVSLQNFPVGTAAFLGTAKGFRVGLNLVIAIALHYIPEGIAVALPAYFATCSKWQAFKLATLSGFAEPIGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAIEYAGRKDAVKSVFVGMAFMSMSLYFLEVSLPKEMAA* >Brasy3G298000.1.p pacid=40043776 transcript=Brasy3G298000.1 locus=Brasy3G298000 ID=Brasy3G298000.1.v1.1 annot-version=v1.1 MTTGGVANVHSDIDSTNKTLLKSDALYKYVLDTTVLPREHQCMRDLRLVTDQHKWGFMQSSADEAQLLGMLIKMSGAKNTIEVGVFTGYSLLATALALPEGGKVVAIDPDRECYEVGKPFIEKAGVAHKVDFREGKGLDRLDELLADPANEGGFDFAFVDADKPNYVKYHEQLLKLVKVGGTIIYDNTLWGGTVALPAGTPMSDLDARFSVAIKDLNTRLAADERIDICQLAVADGVTICRRLV* >Brasy3G048400.1.p pacid=40043777 transcript=Brasy3G048400.1 locus=Brasy3G048400 ID=Brasy3G048400.1.v1.1 annot-version=v1.1 MVSFLSWLLLVMAVGEGLHANLVSGKPMIVSNSAIVTAYWKTMLPNTPMPSAILELVTPPGGNDDVIFKHVTANIQSAATGQKDVIMARKIRMHSIEQAGTKMGKFQGQGMKFDNNKNVPFYWWGPSTFWDQGMKIDNNNNVPFYWWGPSTTPDQEMKIDKNKNVPFYWWGPSTSRDQGKEADNNKNTPFYWWDPSTSRDQGKETDNNKNTPFYRWGPSTSRDQRKETDDNKNTPFYRWGPARKADDQKSASNVQAEDDEHVVGGEQLHKHVTSWPDMVLLEEALTLGSMITPYVPASSSTSGAPLLRRDAADSIPLSTENLADILTMLGPAAAAASSHAMAEDIRSTLRTCEHPRRIKGEKKACAASLESMVEFAASVLIARGTTTSGGAGHLRALSSPGVPAEGMTSGRRYEVTAVRRATAASSELTMTCHGMSFPYAVFMCHAVNPTRVYKVALESEEDGHKVEALAVCHLDTSEFDPRKMPGNVKPGDAPVCHFISRDSVLWAPAA* >Brasy3G048400.2.p pacid=40043778 transcript=Brasy3G048400.2 locus=Brasy3G048400 ID=Brasy3G048400.2.v1.1 annot-version=v1.1 MVSFLSWLLLVMAVGEGLHANLVSGKPMIVSNSAIVTAYWKTMLPNTPMPSAILELVTPPGGNDDVIFKHVTANIQSAATGQKDVIMARKIRMHSIEQAGTKMGKFQGQGMKFDNNKNVPFYWWGPSTFWDQGMKIDNNNNVPFYWWGPSTTPDQEMKIDKNKNVPFYWWGPSTSRDQGKEADNNKNTPFYWWDPSTSRDQGKETDNNKNTPFYRWGPSTSRDQRKETDDNKNTPFYRWGPARKADDQKSASNVQEDDEHVVGGEQLHKHVTSWPDMVLLEEALTLGSMITPYVPASSSTSGAPLLRRDAADSIPLSTENLADILTMLGPAAAAASSHAMAEDIRSTLRTCEHPRRIKGEKKACAASLESMVEFAASVLIARGTTTSGGAGHLRALSSPGVPAEGMTSGRRYEVTAVRRATAASSELTMTCHGMSFPYAVFMCHAVNPTRVYKVALESEEDGHKVEALAVCHLDTSEFDPRKMPGNVKPGDAPVCHFISRDSVLWAPAA* >Brasy3G048400.3.p pacid=40043779 transcript=Brasy3G048400.3 locus=Brasy3G048400 ID=Brasy3G048400.3.v1.1 annot-version=v1.1 MARKIRMHSIEQAGTKMGKFQGQGMKFDNNKNVPFYWWGPSTFWDQGMKIDNNNNVPFYWWGPSTTPDQEMKIDKNKNVPFYWWGPSTSRDQGKEADNNKNTPFYWWDPSTSRDQGKETDNNKNTPFYRWGPSTSRDQRKETDDNKNTPFYRWGPARKADDQKSASNVQAEDDEHVVGGEQLHKHVTSWPDMVLLEEALTLGSMITPYVPASSSTSGAPLLRRDAADSIPLSTENLADILTMLGPAAAAASSHAMAEDIRSTLRTCEHPRRIKGEKKACAASLESMVEFAASVLIARGTTTSGGAGHLRALSSPGVPAEGMTSGRRYEVTAVRRATAASSELTMTCHGMSFPYAVFMCHAVNPTRVYKVALESEEDGHKVEALAVCHLDTSEFDPRKMPGNVKPGDAPVCHFISRDSVLWAPAA* >Brasy3G048400.4.p pacid=40043780 transcript=Brasy3G048400.4 locus=Brasy3G048400 ID=Brasy3G048400.4.v1.1 annot-version=v1.1 MARKIRMHSIEQAGTKMGKFQGQGMKFDNNKNVPFYWWGPSTFWDQGMKIDNNNNVPFYWWGPSTTPDQEMKIDKNKNVPFYWWGPSTSRDQGKEADNNKNTPFYWWDPSTSRDQGKETDNNKNTPFYRWGPSTSRDQRKETDDNKNTPFYRWGPARKADDQKSASNVQEDDEHVVGGEQLHKHVTSWPDMVLLEEALTLGSMITPYVPASSSTSGAPLLRRDAADSIPLSTENLADILTMLGPAAAAASSHAMAEDIRSTLRTCEHPRRIKGEKKACAASLESMVEFAASVLIARGTTTSGGAGHLRALSSPGVPAEGMTSGRRYEVTAVRRATAASSELTMTCHGMSFPYAVFMCHAVNPTRVYKVALESEEDGHKVEALAVCHLDTSEFDPRKMPGNVKPGDAPVCHFISRDSVLWAPAA* >Brasy3G170600.1.p pacid=40043781 transcript=Brasy3G170600.1 locus=Brasy3G170600 ID=Brasy3G170600.1.v1.1 annot-version=v1.1 MESAVITTGATLAISAARRILVVPPPRVFSSIGGGGIRFFGGGSGGGEGGDSGAAAAAALGEEAATADTDVILLHVGGMSCGGCAANVKRILESQPEVASATVDFENAAAVVRTTPEAKATEDSHKLLGEKLANHLSSRGFQSHLHDEAEGEPS* >Brasy3G025200.1.p pacid=40043782 transcript=Brasy3G025200.1 locus=Brasy3G025200 ID=Brasy3G025200.1.v1.1 annot-version=v1.1 MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTTKA* >Brasy3G215800.1.p pacid=40043783 transcript=Brasy3G215800.1 locus=Brasy3G215800 ID=Brasy3G215800.1.v1.1 annot-version=v1.1 MDFTESYKQTGPCCFSPDARFLASAVDYRLVVRDVVSLKVVQLFSCVDKINFVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGSAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHVSRGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLAGVEWSPDDSAIVAWDSILEYKVLIYSPDGRCLFKYSAYESGLGVKTVGWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHSASIRSPCNAAIFKEVDDPWQLDMSDLCLSEGFSRNMLDNGAENGTEGGSRVKYAVMDIPITLPSQKPASDKPNPKQGIGMLSWSSDSHYFFTRNDNIPTALWIWDICRLELAAVVVQKDPIRAAAWDPTCPRLVLCTESPHLYIWTPSGACCVNIPLPNFRIVDLKWNSAGSCLLLKDRDSFCCAAIVSALPEEELDDQSDDTSEDE* >Brasy3G280900.1.p pacid=40043784 transcript=Brasy3G280900.1 locus=Brasy3G280900 ID=Brasy3G280900.1.v1.1 annot-version=v1.1 MAWIGRVRFDREIQSRNPKVPKLIKEYIEEVSTQLKMVCNSDSDELPLEEKLAFMHETRHAFGRSALLLSGGASFGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCAIVATRSWPELESFFEEWHSLKFFDQMGGIFPVFKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDITGRVLVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGVEERADAATRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKGIGREACTLSHSGIHES* >Brasy3G069500.1.p pacid=40043785 transcript=Brasy3G069500.1 locus=Brasy3G069500 ID=Brasy3G069500.1.v1.1 annot-version=v1.1 MAGLLRLASAARSLARGAAAPLQRRRLAAAAVAEDYWTEWEEEEEEEARRRQRQAPAAESCPAGGGPRGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPTSSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYYKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKKRYGGDICSHCGQLFDVSNPASMERNFWHAQAQPAAIMGLENARMEKMRSYAKQIRSRVQTDGRPGSPPRNKISSTENKKRAHETLVSLVSPEPSPRNAKQTKPGTRARAKPPLSPPAAAAAMAMESVETLVAHVQALSGSGEDLAQLHSLLRKAEGDVLRGAHTAGLFPFLSQLSPAAHSLGYLYLLDAFVSSPANVRGLAAGWDLLVTIADFLTSCSASQIRLAPDKFLNVCRVLKNEVMLLNAPIRGIAPLRAAVRKVQASSEQLTPLHPEYLLLCLLAKQYKAGLSVLEDDIFQVDQPRDLFLYCYYGGMIYIGLKKFHKALELLHNAVTAPMSSLNAITVEAYKKYVLVSLIQNGQVPSFPKYTSVTVQRNLKNHTQIYVDLSTCYGNGNYSELETFIQSNADKFQSDNNFGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFNEDPEQYKSSEMVEHIDSSIQRLMALSKKLTSIDENISCDHAYLVKTGKERGRFDYDDLDSVPHKYF* >Brasy3G006700.1.p pacid=40043786 transcript=Brasy3G006700.1 locus=Brasy3G006700 ID=Brasy3G006700.1.v1.1 annot-version=v1.1 MERVDGSAVGGMMWPQGVQSSSAAAMLGLGTGTQQQQQQQPVAHHPQYSLLQQLQAGGFQHPQPQPMPVPAPEPEPEGEHEEEPMPGAGAGNDDGVPGPGFAWSRVKWTDAMVRLLIMVVYNAGPAEDASAAAEAGKEKASSSSSSSSSQVQQQGKKGKWRSASQAMMDRGFAVSPQQCEDKFNDLNKRYKRVVDLLGKDMARAVLQNPAALLDAAIDKLSPAAKELARRLLGPKQLFGFREMCLYHNASQLAPKPVNPVAPKKPAKPAVAAAAALPTITEVEEDEEDEQRNPKRKRGDQRRSSKAKEVAEEEEEETEEDDPEEDSDALFPAMAVQRLQSELGAMGPGGDDPQLQEARRQWLRRRAKEVVMKLKACDRRDKEIREHRLKWERFREAKEREMDLEELRNIRARRQLLLLKHKELDRKIAERQRKQKQKEKQKQVGPGPFGDASSGGRPSEPGGAAPNPCKP* >Brasy3G171800.1.p pacid=40043787 transcript=Brasy3G171800.1 locus=Brasy3G171800 ID=Brasy3G171800.1.v1.1 annot-version=v1.1 MAAARGSRSGVSGAALALLAALLVGCLAWGSSAAEIRRQKNVQVALRAKWAGTPLLLEANELLSKEGKDLFWGFVDHWKELDKGSECLTAKCCVQKIVEDVHSFIGEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSVPVEDDALEQTSGHGPVEGTCCWIDTGSALLFNSADLHKWLEGSGKRTMDSTGQPELFDFEHLYPRSNVTAPVAILYGAVGTKCFKELHVHLAEASKKGKVRYALRPVLPSGCQATSSFCASIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNDEVMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSVVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALVNIEDLDLYLLMDMVREELSLADQFIRLKLPKSAAHKILSAAPPAESNSFRVDFRASHVHYLNNLEEDDLYKRWRSNLNELLMPVYPGQMRYIRKNLFHAVYVLDPASACGAETIDTILSLYQDSVPVRFGIIMYSSRFINVIEENDGTHQVNDGSKSEDDTSTLIIRLFLYIKETYSTQLAFEFLSNIHKLRNGGDDYTEEPVEAHHVEEAFVDSLLSGAKSHPQDVLLKLQKENLYKQEAEENSRFVHKLGLYKLHCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTEKKKFVSLFASYHQEDSVLHDINYLHSHGTTDDVKPVTHLLAVDLSSKIGTKLLHEAIRYLMDGSNRARVGLLLYARSDSASTVLLMKDIIDRTISSFSDKEKVLGFLHGLCKFYESQHMPASTAVGDRISAMMEEVYSLAAEMALPVDDYKSWLASFSADTVLKNIDKLTDFLFGQLGLEFGSNAVITNGRIFVVGEGDSFLTDDLGLLESMEYELRTKYIHEIVEEVEWEGVDPDYLTSKFYSDITMLVSSSMSVRERPSERAHFEILHAEHSAIKLNYMNSSIHIDAVIDPLSPAGQKLTPLLRILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSNTDYSIHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLIHIEVQKKKGKEHEDLLNADDENHFQEKMDNKGWNSNLLKWASSFISGDASLKKKSEKVNDLKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFGYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVVYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDFDSEARQFTARILGENVESAEATSPPSDAPKPDDKDPSQDVKDEL* >Brasy3G171800.2.p pacid=40043788 transcript=Brasy3G171800.2 locus=Brasy3G171800 ID=Brasy3G171800.2.v1.1 annot-version=v1.1 MAAARGSRSGVSGAALALLAALLVGCLAWGSSAAEIRRQKNVQVALRAKWAGTPLLLEANELLSKEGKDLFWGFVDHWKELDKGSECLTAKCCVQKIVEDVHSFIGEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSVPVEDDALEQTSGHGPVEGTCCWIDTGSALLFNSADLHKWLEGSGKRTMDSTGQPELFDFEHLYPRSNVTAPVAILYGAVGTKCFKELHVHLAEASKKGKVRYALRPVLPSGCQATSSFCASIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNDEVMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSVVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALVNIEDLDLYLLMDMVREELSLADQFIRLKLPKSAAHKILSAAPPAESNSFRVDFRASHVHYLNNLEEDDLYKRWRSNLNELLMPVYPGQMRYIRKNLFHAVYVLDPASACGAETIDTILSLYQDSVPVRFGIIMYSSRFINVIEENDGTHQVNDGSKSEDDTSTLIIRLFLYIKETYSTQLAFEFLSNIHKLRNGGDDYTEEPVEAHHVEEAFVDSLLSGAKSHPQDVLLKLQKENLYKQEAEENSRFVHKLGLYKLHCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTEKKKFVSLFASYHQEDSVLHDINYLHSHGTTDDVKPVTHLLAVDLSSKIGTKLLHEAIRYLMDGSNRARVGLLLYARSDSASTVLLMKDIIDRTISSFSDKEKVLGFLHGLCKFYESQHMPASTAVGDRISAMMEEVYSLAAEMALPVDDYKSWLASFSADTVLKNIDKLTDFLFGQLGLEFGSNAVITNGRIFVVGEGDSFLTDDLGLLESMEYELRTKYIHEIVEEVEWEGVDPDYLTSDITMLVSSSMSVRERPSERAHFEILHAEHSAIKLNYMNSSIHIDAVIDPLSPAGQKLTPLLRILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSNTDYSIHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLIHIEVQKKKGKEHEDLLNADDENHFQEKMDNKGWNSNLLKWASSFISGDASLKKKSEKVNDLKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFGYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVVYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDFDSEARQFTARILGENVESAEATSPPSDAPKPDDKDPSQDVKDEL* >Brasy3G171800.3.p pacid=40043789 transcript=Brasy3G171800.3 locus=Brasy3G171800 ID=Brasy3G171800.3.v1.1 annot-version=v1.1 MAAARGSRSGVSGAALALLAALLVGCLAWGSSAAEIRRQKNVQVALRAKWAGTPLLLEANELLSKEGKDLFWGFVDHWKELDKGSECLTAKCCVQKIVEDVHSFIGEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSVPVEDDALEQTSGHGPVEGTCCWIDTGSALLFNSADLHKWLEGSGKRTMDSTGQPELFDFEHLYPRSNVTAPVAILYGAVGTKCFKELHVHLAEASKKGKVRYALRPVLPSGCQATSSFCASIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNDEVMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSVVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALVNIEDLDLYLLMDMVREELSLADQFIRLKLPKSAAHKILSAAPPAESNSFRVDFRASHVHYLNNLEEDDLYKRWRSNLNELLMPVYPGQMRYIRKNLFHAVYVLDPASACGAETIDTILSLYQDSVPVRFGIIMYSSRFINVIEENDGTHQVNDGSKSEDDTSTLIIRLFLYIKETYSTQLAFEFLSNIHKLRNGGDDYTEEPVEAHHVEEAFVDSLLSGAKSHPQDVLLKLQKENLYKQEAEENSRFVHKLGLYKLHCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTEKKKFVSLFASYHQEDSVLHDINYLHSHGTTDDVKPVTHLLAVDLSSKIGTKLLHEAIRYLMDGSNRARVGLLLYARSDSASTVLLMKDIIDRTISSFSDKEKVLGFLHGLCKFYESQHMPASTAVGDRISAMMEEVYSLAAEMALPVDDYKSWLASFSADTVLKNIDKLTDFLFGQLGLEFGSNAVITNGRIFVVGEGDSFLTDDLGLLESMEYELRTKYIHEIVEEVEWEGVDPDYLTSKFYSDITMLVSSSMSVRERPSERAHFEILHAEHSAIKLNYMNSSIHIDAVIDPLSPAGQKLTPLLRILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSNTDYSIHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLIHIEVQKKKGKEHEDLLNADDENHFQEKMDLKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFGYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVVYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDFDSEARQFTARILGENVESAEATSPPSDAPKPDDKDPSQDVKDEL* >Brasy3G171800.4.p pacid=40043790 transcript=Brasy3G171800.4 locus=Brasy3G171800 ID=Brasy3G171800.4.v1.1 annot-version=v1.1 MAAARGSRSGVSGAALALLAALLVGCLAWGSSAAEIRRQKNVQVALRAKWAGTPLLLEANELLSKEGKDLFWGFVDHWKELDKGSECLTAKCCVQKIVEDVHSFIGEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSVPVEDDALEQTSGHGPVEGTCCWIDTGSALLFNSADLHKWLEGSGKRTMDSTGQPELFDFEHLYPRSNVTAPVAILYGAVGTKCFKELHVHLAEASKKGKVRYALRPVLPSGCQATSSFCASIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNDEVMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSVVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALVNIEDLDLYLLMDMVREELSLADQFIRLKLPKSAAHKILSAAPPAESNSFRVDFRASHVHYLNNLEEDDLYKRWRSNLNELLMPVYPGQMRYIRKNLFHAVYVLDPASACGAETIDTILSLYQDSVPVRFGIIMYSSRFINVIEENDGTHQVNDGSKSEDDTSTLIIRLFLYIKETYSTQLAFEFLSNIHKLRNGGDDYTEEPVEAHHVEEAFVDSLLSGAKSHPQDVLLKLQKENLYKQEAEENSRFVHKLGLYKLHCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTEKKKFVSLFASYHQEDSVLHDINYLHSHGTTDDVKPVTHLLAVDLSSKIGTKLLHEAIRYLMDGSNRARVGLLLYARSDSASTVLLMKDIIDRTISSFSDKEKVLGFLHGLCKFYESQHMPASTAVGDRISAMMEEVYSLAAEMALPVDDYKSWLASFSADTVLKNIDKLTDFLFGQLGLEFGSNAVITNGRIFVVGEGDSFLTDDLGLLESMEYELRTKYIHEIVEEVEWEGVDPDYLTSDITMLVSSSMSVRERPSERAHFEILHAEHSAIKLNYMNSSIHIDAVIDPLSPAGQKLTPLLRILWRQIQPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSNTDYSIHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLIHIEVQKKKGKEHEDLLNADDENHFQEKMDLKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFGYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVVYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDFDSEARQFTARILGENVESAEATSPPSDAPKPDDKDPSQDVKDEL* >Brasy3G192500.1.p pacid=40043791 transcript=Brasy3G192500.1 locus=Brasy3G192500 ID=Brasy3G192500.1.v1.1 annot-version=v1.1 MSSAEKRKTSASRACPCGPQHPVLAHLDISVRALWAWPASGAHDEGLARAESVLAALGELLAEPRAAAALRSHGDDRILDLFLELADVYGSFERALLALKQSITELRAGVQRRRGDGARSAEKELLRLAAAMRRVSRHAAVLSESDSVTGIVADAAAATAAASEAIFRRCAAMSPDVSSVAQSVPWLARLRVVPAAAAAATKKVVPEMAAALQSLEERIGELESGSDKVFRSLLRTRVSLLNIHSSL* >Brasy3G283300.1.p pacid=40043792 transcript=Brasy3G283300.1 locus=Brasy3G283300 ID=Brasy3G283300.1.v1.1 annot-version=v1.1 MLLVPSVRHVRKQVSNARVIMRTCRSTARSGSRGDPAIELVGDTTTMQACKTIASGPMVVHYSINVVLLQVKVKADDGSGTEPISLAAKHN* >Brasy3G236800.1.p pacid=40043793 transcript=Brasy3G236800.1 locus=Brasy3G236800 ID=Brasy3G236800.1.v1.1 annot-version=v1.1 MSFSDDEPIVAPPNAGPRPTPIVAGRVQLVSKNNREAPLEENTQKAMLELTGGDSTADRSGLDLVAVLDVSGSMQGEKIDKMKTAMQFVVKKLSSIDRLSIVTFSDAASRLCPLRQISDASKAELQVLVSGLKPGGNTNITDGLKTGLKVLADRKLSGGRVVGVMLMSDGQQNRGGDAAQVAITGNVPVYTFGFGSDYDPTVLNAVAKNSMGGTFSVVDDVGALSMAFSQCLAGLLTVVVQDLKLTVTRVEEESEIQKVTAGNYPQAEDKDAGSVTVSFGDLYSKEVRKVIVDLLLPAIDSDRGADILEVTYSYKTNGKLFDAPPATLTVRRTGAVPGDSPADDPPVVQTEEARLKTVTMITQARAMADGDKLGDARDKLVEAQNGLEDVLEQSNPVVDMLRTELQQLLRLFRTQEVYNKQGRPYAMSSETSHARQRFAARGDIESMRMFATPRMDKYLEQAKKFDEDPGAPLPSADEDVKEEIAANPLAPIAGPIAFYIQAAIQALQAIEKIITNGSKPV* >Brasy3G252100.1.p pacid=40043794 transcript=Brasy3G252100.1 locus=Brasy3G252100 ID=Brasy3G252100.1.v1.1 annot-version=v1.1 MISTVFGTVSLSGGDLFLRVFQDPLVCESRLGDAFLNDDDTAKLEAGRGTLKELLGSDGDVNEELIREVLIPRPLSGPCSMRCWCWTSSRGL* >Brasy3G228200.1.p pacid=40043795 transcript=Brasy3G228200.1 locus=Brasy3G228200 ID=Brasy3G228200.1.v1.1 annot-version=v1.1 MREHRNNSKIYLKGNEKLKKKTCLRLQPRSLFHYSLLPDLPTSPNPFPVSPPNLPSDPTGQQEVAPAEMRSSIATYRESLSRLAGEVDDAAEDELLAPSPSRGGDHAPTPPSSGRRRRYSRPDPAEPDEISKLKEDIQKLQSSEAEIKALSFNYAAMLKEKEEQLGKLREENGSLRKSMEISNVKAVPGSSNGASQRSPSRVQRNTVQENSLNVLKQNGYVGGASQGIQQNGLHSLAGQHKGGVLEEERSYVAAKQASLENEIKQLNQQLSNYSNKEDEMKRRLEDENKRNTFLQQQLNELKVSRDSIATSTEELQKELSEKKAELRRLQDELSTRDNEHASDGSLQSLRSMVIALQKENSDLKIGKGRLEADLASMQSTSQKGDDATSAVNNISDMEKVKEEMASLKKALQDTSHERDKALQNLSRLKQHLLDKDLEDQEKMDEDSKVIEELRAFCEQQRAHIVQLERALKVEMAKQEDSKKIINEEHLRSNEQLEDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELTKLSESLKVANQTIEISRREKDEIAAKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHNKEVLDLMVRMLGFSEEDKERIGVAQSNAGRGVVRGVLGLPGRLVGGIVGGNSAGKSANPSQDNQSFADLWVDFLLKETEEREKQKASEAAARLSQEENQTPSTSNTSSFQQPEHPANLAPGPSTKPHQFGRPDSDFATVPLAPSTYNSAQTPFSRPPLR* >Brasy3G315300.1.p pacid=40043796 transcript=Brasy3G315300.1 locus=Brasy3G315300 ID=Brasy3G315300.1.v1.1 annot-version=v1.1 MFDPRAKLLSSTFIELKLDYERVQKFAVGDYFHQVIRLREHFFFVYCYPRGRAHDLGADLQGQGVDLPLGLLFLTRSRNISPIFEAFVLGKEEDGSTSKSHAKTTTKMLTSTLDGARYTSLPTFLKQSDLESLCAANGGPVTIACGVILPNDGNPITVPASEIFNNLGHMLDCADGSDVSFSVGAETFHAHCAWLAARSPVFRAELFGSMADARQPCIAVHDIEPSIFKALLHFAYKDTLPADPDLWDSPIDACQHLLAAADRYAFDRLKLICASKLWEIVSVDTVALILTCAETYSCQQLKDNCMDFLVAEKNYMKATLTEGYRQLVLKFPSIMAELRERAGI* >Brasy3G192600.1.p pacid=40043797 transcript=Brasy3G192600.1 locus=Brasy3G192600 ID=Brasy3G192600.1.v1.1 annot-version=v1.1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSIIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRTEYEESGPAIVHRKCF* >Brasy3G180300.1.p pacid=40043798 transcript=Brasy3G180300.1 locus=Brasy3G180300 ID=Brasy3G180300.1.v1.1 annot-version=v1.1 MSYKRKGTGDDDSLRTSPPPARRRAAATGDPEPSLDGESNWAVVAVATHPDLREEVDPLAKLLMRVLMKRKMLGTARAKDFASGFLAGWECRIAASPSDSEEEEQETGPGARVEEEEWRWRKMVDTIAQAAECPSNEAHGKHPVLGLSPSLLLELDL* >Brasy3G232300.1.p pacid=40043799 transcript=Brasy3G232300.1 locus=Brasy3G232300 ID=Brasy3G232300.1.v1.1 annot-version=v1.1 MADRVHPAPLLPLSSPPPDSHDDAKAETAPLRRPTTGVSYIVQVPKDQVLRVPPPDRARMYKKLAARPARGRALRRACCGACCALLALLVLAAAFVGGVYLAFRPRAPSFSVASLSVRGIPTAQVRIDAAVRADNGANRKVGVDYRGGGEVSVFYSGVLLATGRWPAFYQAPGNVTLVSMPLEGEGVALTEEQRRGLAAAGGVPLAVEARVPVRVRFGKTLRTWTVDVWARCEVTVDMMAAGEAALTGDRGCRVKVKPLWWWW* >Brasy3G328000.1.p pacid=40043800 transcript=Brasy3G328000.1 locus=Brasy3G328000 ID=Brasy3G328000.1.v1.1 annot-version=v1.1 MASPLGKMVVDLESSPPPSPAQWTVGMGNSGSGASSSSSSEVAAALAAAEAAAPCSICLEPVQGAVGRATAKLHCGHEFHLDCIGSAFNVKGAMQCPNCRKIENGRWLYKDGHGPAAPYYDTNWIDGTTNDLVPDLPAGFDSWLDASDIEGYTNAFLASMFGGTPEADQPSQPLSNHMSGNHSGAGSSSQAYPQLSVRDLRLPAHVPSSSASSGAEGASLQHNPTGLEGHAAPAALGNAQALHASESRNLDGEHQYSGLSASSFMNRAMASFASRAPQGLIPTSSQQRSRPYIVHHYPVFYRFTHQNGSNLGLGAPLGSAPTAMAETRLSGHGHGASAQLYQQAMMHYHLQSNPSPPATTTSRRVRPRATALESFLAAAPSGTSSGTGRAHEFAALPAATMNTAALNMSLSSSNGGGPTFRPPVAAPSHGWGREAGVAGYPVAAAAGETTPQWWTTFMSSFQNQSPNVSQNLNLNRAPPGRLAPRSAAAGAGEPMPPQGSQENGRPPSSSRRRSPSQ* >Brasy3G143900.1.p pacid=40043801 transcript=Brasy3G143900.1 locus=Brasy3G143900 ID=Brasy3G143900.1.v1.1 annot-version=v1.1 MSVCINYEFWIMMVALGFSEAVSVRVSNELGAGRPKSAKFAVAVAATTSAFIGAIFMAVFFIWRSSLPRVFSENEEVIEGAARLGYLLAVTVFFVSIGPVLSGVAVGAGWQLLVAFVNIGCYYLVGIPAGVLFGFKFKLGALGIWMGMLTGTLLQMTILLFIIKRTEWEKQATLAAARILELGGKNEDQPLMTTTCTEDDPMDTANDEICIQTSKKNIELVCKEQV* >Brasy3G347500.1.p pacid=40043802 transcript=Brasy3G347500.1 locus=Brasy3G347500 ID=Brasy3G347500.1.v1.1 annot-version=v1.1 MDLSSSSSAMARPQAALRGGSPPAGPLAAHAARRRSAQLLLRPRPRPAVRCSVDAAKQQAVQAAVTAEAATGKECFGVFCTTYDLKADEKTRSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSLQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDADWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNLQAKNFHALTRLDENRAKCQLALKAGVFYDKISNMTIWGNHSTTQVPDFLNAKINGKPVKEVIKDTKWLEEDFTVTVQKRGGVLIQKWGRSSAASTAVSIVDAMRSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVVMDDFLWGRIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM* >Brasy3G347500.2.p pacid=40043803 transcript=Brasy3G347500.2 locus=Brasy3G347500 ID=Brasy3G347500.2.v1.1 annot-version=v1.1 MLTLQSKEAPFIHDPSSASSSAYSSSQAGRWGHAISCRRVIGNAVLDEKTRSWKKLVNVAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSLQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDADWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNLQAKNFHALTRLDENRAKCQLALKAGVFYDKISNMTIWGNHSTTQVPDFLNAKINGKPVKEVIKDTKWLEEDFTVTVQKRGGVLIQKWGRSSAASTAVSIVDAMRSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVVMDDFLWGRIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM* >Brasy3G295900.1.p pacid=40043804 transcript=Brasy3G295900.1 locus=Brasy3G295900 ID=Brasy3G295900.1.v1.1 annot-version=v1.1 MDQAADSSAPAAEAAVVEAPAPAPEVKAEAAAEEPAPWAAAAAMVLEIPPGVFEFPWLECRGGLGVPSGGNAATVAELRDVFFRSLVDGGTPAVGVQWDRLFAPPRKGEFFEHVEAWLATAAARRELQHDPVWRAVLLVDRPNKSGPKPAAAA* >Brasy3G001300.1.p pacid=40043805 transcript=Brasy3G001300.1 locus=Brasy3G001300 ID=Brasy3G001300.1.v1.1 annot-version=v1.1 MAPTAAEQGGHPQHTKKAVGLAARDASGHLSPLAITRRSTGDDDVAIKILYCGICHSDLHSIKNEWANATYPMIPGHEIAGEVTEVGKNVTKFKAGDRVGVGCMVNSCQSCESCDLGFENQCPGMIFTYNSTDRDGTRTYGGYSSAVVVHERFVVRFPDAMPLDKGAPLLCAGITVYSPMKYHGLNVPGLHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKEEALGLLGADAFVVSKDPEEMKAAMGTMDGIINTVSANVPMAPLFGLLKPNGKMIMVGLPDKPIEVPAFTLVATNKTLAGSCIGGMADTQEMLDVAAKHGVTAEIEVVGADYVNTALERLVKADVRYRFVIDIANTLDMVAGAAAE* >Brasy3G013200.1.p pacid=40043806 transcript=Brasy3G013200.1 locus=Brasy3G013200 ID=Brasy3G013200.1.v1.1 annot-version=v1.1 EKEAPLGAEQGGEKRSRRGEGAVPALWCWTERTWKQRRRRGTRGEKKRQQPLDSDEIHQIRDKSSRCTSKVLDVTTCMGGSSTLSDRHHTSHNINECLI* >Brasy3G248500.1.p pacid=40043807 transcript=Brasy3G248500.1 locus=Brasy3G248500 ID=Brasy3G248500.1.v1.1 annot-version=v1.1 MAKMSPLPQPRPSLQHVLILLSLLLLPCLPQPLPSPSPSPAPAPPSLPLSPFNERLEAAYVAFQAWKHAIVEDPKNLTADWCGPFVCNYTGVFCAPAPDDPCVLTVAGVDLNHGRLAGSLTDHLGLLADVAVLHLNSNRFHGTLPASMRHMRLLYELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNGFDGQLPDAVFGRELSLDALFANDNRFNVSLASGSLTNSTASVIVLANTKLAGCLPPSIGDMADTLVELILLNTSVSSCIPPEIGKLKKLKVLDLSRNDLAGELPESIGDMESLEVINVGYNSLSGQVPESICLLPRLKNLTLVGNYFCEEPVSCLHIPRRDDRMNCIPDWPHQRPHEECAAFDHRPPVHCAADGCILPTL* >Brasy3G106700.1.p pacid=40043808 transcript=Brasy3G106700.1 locus=Brasy3G106700 ID=Brasy3G106700.1.v1.1 annot-version=v1.1 MSLHRRQQTEIGGGYASSVVESLSCKHDPYDADFSSDFANCINGVVSSLLMGGCYISSDENMFDSDDASTGFYLDLFGHTIKANTCNVRGAGPCNLIGWGAGKNQLTSEEREFSEAILAKCCGLPKVIAAIGEYASEKIASRYKTMTSISKEINNDFIGKLETDSRFHSLRGLFCWMQSYFDACSDSLKPCIFYLSIFQNIRRRRMLRRWIAEGYSTDTSASGTAEDNGEMLFAQLVESSIIQEQQTPSSNSNNNSSKMVYQVNGFFLEYIKSRPMEDNLVFALEGHCSPNTERTGQHLAILSSWDRDHIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDITSGVTDDVLEQIGKLLPRLKFLSVRGCKDITCLPDSLGRLRQLQTLDVRHTSIVELSCAIIKLVKLQYLRAGTTSEGHDDNGVPVADEDDCSSIYSQTPATCEDDDSDDASTSQTAASGDGDGASTSQTAASGDGDGANTSQTAASGDGDGASTSQTAASGDGDGANTSQTQTATDSDGGVARKGQTAAAEASTSRKAAADGDDRSTSRPAGAADSDVASTSQQAATDKDVASNKSQPAADKKERRTPNITVAPWRRSARNLVVSFSKRLHRQPRHDDGSVKVVPAAVEGIGKLAALHTLGVVHVSSGAGGKTFLEELKKLTQLRKLGVSGINRENWHALCIAISGHLHHLESLSVRLDKEETPAAAASFFSCSDHIFSDLPKTLKSLKLFVADGNKVHVSPVLLRRLGNLTKFNFELTVSTQLDIDSLAELPCHHIFGHLYVKPIQDGQLCYAPWRPRSWQVLRNARVLEIDCGSHRSEIFLGDWVPTYVELLVVHCSSITAEPSLDLSWLNINNVWKLKEVWLKGSYSEAVKQHLQQKIDDRPSWMTRPVLKLDDDK* >Brasy3G106700.3.p pacid=40043809 transcript=Brasy3G106700.3 locus=Brasy3G106700 ID=Brasy3G106700.3.v1.1 annot-version=v1.1 MSLHRRQQTEIGGGYASSVVESLSCKHDPYDADFSSDFANCINGVVSSLLMGGCYISSDENMFDSDDASTGFYLDLFGHTIKANTCNVRGAGPCNLIGWGAGKNQLTSEEREFSEAILAKCCGLPKVIAAIGEYASEKIASRYKTMTSISKEINNDFIGKLETDSRFHSLRGLFCWMQSYFDACSDSLKPCIFYLSIFQNIRRRRMLRRWIAEGYSTDTSASGTAEDNGEMLFAQLVESSIIQEQQTPSSNSNNNSSKMVYQVNGFFLEYIKSRPMEDNLVFALEGHCSPNTERTGQHLAILSSWDRDHIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDITSGVTDDVLEQIGKLLPRLKFLSVRGCKDITCLPDSLGRLRQLQTLDVRHTSIVELSCAIIKLVKLQYLRAGTTSEGHDDNGVPVADEDDCSSIYSQTPATCEDDDSDDASTSQTAASGDGDGASTSQTAASGDGDGANTSQTAASGDGDGASTSQTAASGDGDGANTSQTQTATDSDGGVARKGQTAAAEASTSRKAAADGDDRSTSRPAGAADSDVASTSQQAATDKDVASNKSQPAADKKERRTPNITVAPWRRSARNLVVSFSKRLHRQPRHDDGSVKVVPAAVEGIGKLAALHTLGVVHVSSGAGGKTFLEELKKLTQLRKLGVSGINRENWHALCIAISGHLHHLESLSVRLDKEETPAAAASFFSCSDHIFSDLPKTLKSLKLFVADGNKVHVSPVLLRRLGNLTKFNFELTVSTQLDIDSLAELPCHHIFGHLYVKPIQDGQLCYAPWRPRSWQVLRNARVLEIDCGSHRSEIFLGDWVPTYVELLVVHCSSITAEPSLDLSWLNINNVWKLKEVWLKGSYSEAVKQHLQQKIDDRPSWMTRPVLKLDDDK* >Brasy3G106700.2.p pacid=40043810 transcript=Brasy3G106700.2 locus=Brasy3G106700 ID=Brasy3G106700.2.v1.1 annot-version=v1.1 MFTFPKKDESFFIIHCRSYKGDIRPRLDQSVSHEVFNANVDYKLQYVFYTKGISLSDDEKEKLCNHIADSGFGAAGFLVHRLSKTNLKKCLIGWGAGKNQLTSEEREFSEAILAKCCGLPKVIAAIGEYASEKIASRYKTMTSISKEINNDFIGKLETDSRFHSLRGLFCWMQSYFDACSDSLKPCIFYLSIFQNIRRRRMLRRWIAEGYSTDTSASGTAEDNGEMLFAQLVESSIIQEQQTPSSNSNNNSSKMVYQVNGFFLEYIKSRPMEDNLVFALEGHCSPNTERTGQHLAILSSWDRDHIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDITSGVTDDVLEQIGKLLPRLKFLSVRGCKDITCLPDSLGRLRQLQTLDVRHTSIVELSCAIIKLVKLQYLRAGTTSEGHDDNGVPVADEDDCSSIYSQTPATCEDDDSDDASTSQTAASGDGDGASTSQTAASGDGDGANTSQTAASGDGDGASTSQTAASGDGDGANTSQTQTATDSDGGVARKGQTAAAEASTSRKAAADGDDRSTSRPAGAADSDVASTSQQAATDKDVASNKSQPAADKKERRTPNITVAPWRRSARNLVVSFSKRLHRQPRHDDGSVKVVPAAVEGIGKLAALHTLGVVHVSSGAGGKTFLEELKKLTQLRKLGVSGINRENWHALCIAISGHLHHLESLSVRLDKEETPAAAASFFSCSDHIFSDLPKTLKSLKLFVADGNKVHVSPVLLRRLGNLTKFNFELTVSTQLDIDSLAELPCHHIFGHLYVKPIQDGQLCYAPWRPRSWQVLRNARVLEIDCGSHRSEIFLGDWVPTYVELLVVHCSSITAEPSLDLSWLNINNVWKLKEVWLKGSYSEAVKQHLQQKIDDRPSWMTRPVLKLDDDK* >Brasy3G106700.4.p pacid=40043811 transcript=Brasy3G106700.4 locus=Brasy3G106700 ID=Brasy3G106700.4.v1.1 annot-version=v1.1 MSLHRRQQIGWGAGKNQLTSEEREFSEAILAKCCGLPKVIAAIGEYASEKIASRYKTMTSISKEINNDFIGKLETDSRFHSLRGLFCWMQSYFDACSDSLKPCIFYLSIFQNIRRRRMLRRWIAEGYSTDTSASGTAEDNGEMLFAQLVESSIIQEQQTPSSNSNNNSSKMVYQVNGFFLEYIKSRPMEDNLVFALEGHCSPNTERTGQHLAILSSWDRDHIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDITSGVTDDVLEQIGKLLPRLKFLSVRGCKDITCLPDSLGRLRQLQTLDVRHTSIVELSCAIIKLVKLQYLRAGTTSEGHDDNGVPVADEDDCSSIYSQTPATCEDDDSDDASTSQTAASGDGDGASTSQTAASGDGDGANTSQTAASGDGDGASTSQTAASGDGDGANTSQTQTATDSDGGVARKGQTAAAEASTSRKAAADGDDRSTSRPAGAADSDVASTSQQAATDKDVASNKSQPAADKKERRTPNITVAPWRRSARNLVVSFSKRLHRQPRHDDGSVKVVPAAVEGIGKLAALHTLGVVHVSSGAGGKTFLEELKKLTQLRKLGVSGINRENWHALCIAISGHLHHLESLSVRLDKEETPAAAASFFSCSDHIFSDLPKTLKSLKLFVADGNKVHVSPVLLRRLGNLTKFNFELTVSTQLDIDSLAELPCHHIFGHLYVKPIQDGQLCYAPWRPRSWQVLRNARVLEIDCGSHRSEIFLGDWVPTYVELLVVHCSSITAEPSLDLSWLNINNVWKLKEVWLKGSYSEAVKQHLQQKIDDRPSWMTRPVLKLDDDK* >Brasy3G341600.1.p pacid=40043812 transcript=Brasy3G341600.1 locus=Brasy3G341600 ID=Brasy3G341600.1.v1.1 annot-version=v1.1 MYDEYPIFALPEDKKVAVPFVAYEKYTDKDGDHEDDAKPVGVFLRIKTVAAKPPCQSKYTGLMELAGENYQLTYDEVPTEAGKKIARGILKGIWKNEKIGMCFEDFDMINIFISREGKVKFKGVKRHLAKSRVELQVKTRENYLKGHSVIRDLFLKAGKGKKIPVDIDHLLNMILNEPGKAQMYHIHVSLLPAATMWSAFVKMYDHLTNKLENPERERILLSLPYYDDNWSSLLIYNWLLMKVYDFKGGDLYILTEAKVEANYNKKPFHNWSSLTDEEKARQMTIARAQKFVDFLRNRKSHRMEHVSPDENYTAEESDLSTHVRYPLLMARLQEELFNAQVMEELLLEQFF* >Brasy3G341600.2.p pacid=40043813 transcript=Brasy3G341600.2 locus=Brasy3G341600 ID=Brasy3G341600.2.v1.1 annot-version=v1.1 MYDEYPIFALPEDKKVAVPFVAYEKYTDKDGDHEDDAKPVGVFLRIKTVAAKPPCQSKYTGLMELAGENYQLTYDEVPTEAGKKIARGILKGIWKNEKIGMCFEDFDMINIFISREGKVKFKGVKRHLAKSRVELQVKTRENYLKGHSVIRDLFLKAGKGKKIPVDIDHLLNMILNEPGKAQMYHIHVSLLPAATMWSAFVKMYDHLTNKLENPERERILLSLPYYDDNWSSLLIYNWLLMKVYDFKGGDLYILTEAKVEANYNKKPFHNWSSLTDEEKARQMTIARAQKFVDFLRNRKSHRMEHVSPDENYTAEESDLSTHVRYPLLMARLQEELFNAQVMEELLLEQFF* >Brasy3G269300.1.p pacid=40043814 transcript=Brasy3G269300.1 locus=Brasy3G269300 ID=Brasy3G269300.1.v1.1 annot-version=v1.1 MAAANKSVERLAQRLVLPAEPTPSGPLRLSWLDRYPTQMALIESLHVFKPAPAHNADAGSPARTIERALAQALVRYYPLAGRLAFTDDGGQSHVDCGGPGSGVWFTEAEAACGLEDVDYLEHPMMIPKDELLPPTPAAEEGHKRNLVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMAAVGDLARGAESLSVEPQWGRDAVPDPAGAVVGALPDPAGAKRLEYLAMDISADYIDHFKAQYNSNNNGGARCSAFEVLVAKAWQSRTRAAGFDPSATVHLCFAMNARPLLHASLPSAGAGFYGNCYYIMRVSAPAGKVSGSSVPEVVKIIKDGKRRMPAEFARWASGEAGAGGEDPYRITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN* >Brasy3G213200.1.p pacid=40043815 transcript=Brasy3G213200.1 locus=Brasy3G213200 ID=Brasy3G213200.1.v1.1 annot-version=v1.1 MAMARSGWKPGGALRVEMDEEEDAGIPPPQTPLEPMEYLSRSWSVSASEISKILVAGAGAKRTSFAAAANRPLPLPEMAIPENDESNLTAIVPSSHRHHADARRSSISIGGGHHESISKWFYHRDASRRGKQSGKKEKLRAERAHVHAMVSVVRVAAAVAAVAAASATTNASSDDAASRMAAAMAPAAELLATHCVEVARRAGASHELVACAVRSAVGASSPGDLTTLTAAAATALRGAAAMKQRVQREARSSASVLPYEKGHSWSPDVWCKEGELLKRTRKGDLHRTKVSIYISKTSQVMLKLKSKHIGGALSKNNKSVVYGVYSELPTWVIEPGKALMDERRCFGLSTAQGLVEFECVDGASKKSWVDDVQNLLRQAAAAGAGDKPE* >Brasy3G073100.1.p pacid=40043816 transcript=Brasy3G073100.1 locus=Brasy3G073100 ID=Brasy3G073100.1.v1.1 annot-version=v1.1 MLRRSASSLLSACRLLRQPLTPAVRRHPDPRRLCPPPAASVLGARGYVVRRMARRIPPARPDGYSTSDGEADGGYGDDHEDLEPPAEEGAAEDSDEWEGYELDFGALSRGDEDEGEEEEEEGEKK* >Brasy3G245600.1.p pacid=40043817 transcript=Brasy3G245600.1 locus=Brasy3G245600 ID=Brasy3G245600.1.v1.1 annot-version=v1.1 MASPAILAIAVLAALPLLLILAKATWVTISCYYLTPARIRRILARQGVQGPTPRPLVGNLREVSALVAESTAHDMPSLSHDIVGRLLPHYLLWSRTFGSPFVYFYGSEPRVCVTDAAMVRELLSPKHARVTGKSWMQRQGAKHFIGGGLLMANGAVWSRQRHVVAPAFMADRLRGRVGHMAECARKAVRALREAGDYEVEIGAHMARLAGDVIARTEFGTSYETGKRIFVLIEELQRLTARASRYLWVPGSQYFPSKYRREIKRLNGELEQVLKESIQRSREIADEGRAPTSDAACGGRGLLGMLLAETEKTKNAAAYYDVQTMIDECKTFFFAGHETSALLLTWAIMLLSTHPEWQDKARAEVAHVCGAGPPTADHLPKLTVLQMVINETLRLYPPATLLPRMAFEDITLGDGLRVPKGASVWIPVLAIHHDEAVWGADAHEFRPDRFAASGSRPGPGRFLPFAAGPRNCVGQAYAMVEAKVVLATLLAGFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLPLRE* >Brasy3G181300.1.p pacid=40043818 transcript=Brasy3G181300.1 locus=Brasy3G181300 ID=Brasy3G181300.1.v1.1 annot-version=v1.1 MAANVMLAIHEKKATSVDLYRPLRLYIASAYSEREAAAADDDLCAVRDLRAAAVEAGPSLPDPSSLEQRRGALLAYARALALVEPRFPISPDRAHVNSLTFTWHDAFKTNKKASLPSLHLEKAAVLFNLGAVYSQIALAADRVTDVGIRTACGAFQSAAGAFAWLRESGVAAKAAAAGATTVDVTPDCAGMLEKLMLAQAQECFFEKVIAGGKPPSLSSKVARQVGIFYEEAYAALCAPPLSQHFDKTWVSHVQLKAAQFYADACYRSSLDLHEKEEIGQEIARIKIGMSALTDAKKAAKGVAAPLLDSVNKLENNMKTSLERAIKENNSVYLMRVPDAGSLGALPAASLVKSTSLAEALDASKERLFSSIVPDGSMKALSKYTEMVDDIIRTQAEKLQQASELTRVRLKEMDLPDSIHSLEGHISIPSDLRDDVEAVQVSGGPAGLEAEMQQLRDLRRVSQELLVQTEEMLQKEASEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKTAGDSDSKIEHDVKEHYPLMSVLDRRPIESALPSISRPIMSLDGNEDAIVGTLKQSLRQLESLGKERAGLEDMLKEMKRKDDILPKLMAGVGSPDDLFKKEIAKYDPICAEIADNIVAQEHLLLQIQEQNEKFSRVFNLEDYKVARERCYQQISAAVTKYRDIKKNMNDGLNFYVKIQEAIGNIKQQCGDFIMTRNIQCREMIEDVQRKLAGFNFSSSSQATMPRSSSGPPDQHSPSPPPPPRAQSPYAAAPVNDSRPGYSQPELRAPYSQPYPSYGAPPQQPPYSAPSQQPPYGAPHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNAQQPHPQQPGPYPQPPYNATGAYPPHHQSNYYRPQ* >Brasy3G151600.1.p pacid=40043819 transcript=Brasy3G151600.1 locus=Brasy3G151600 ID=Brasy3G151600.1.v1.1 annot-version=v1.1 MARPKCRNWPYLLTPCAPSVTYTQAQQAHPRGQENSLRRIADALEMLRLPHPHERRGTEGESHCYWTQSGRNQHIREGRGSMGSGGAVPVVLAAACLALALPCTLASSRKFDLNIAQPKLLNTTVGSFVSSSHIDFDPSKSKRLTWHPRVFLYEGFLSGMECDHLVSVAHLNIESSLLVNAGARNVTQNSTDASFKFHLADSKDLVVSKIEDRISLWTFIPKEHGEIMQILKYGANQSVHNKDGTQSSSGGDRLMTILMYLSDVKQGGGTVFPRSELKDTQAKEAALSECAGYAVKPVKGDAILLLNLRPDGVTDSDSHYEDCSVLEGEKWLAIKHIHISKIDKSRSSLASEDLCSDEDDKCVSWAAAGECYSNPVFMIGSPDYYGTCRKSCHAC* >Brasy3G077000.1.p pacid=40043820 transcript=Brasy3G077000.1 locus=Brasy3G077000 ID=Brasy3G077000.1.v1.1 annot-version=v1.1 MEKRLHLYVLMVLVISAWPSSIFVAGGLCRDSCGDIPVRYPLGIDDGCGSPYYRNMLTCADNTTLRLRTPSGTYPVSGADYADAHLVVTDPSMWTCKRPFTSIHAAPFSLDTSTRFSLSPRNDHLFFNCDEDRVIVEPRPAVCERDPERCDSACDSAGYLCRNLPGCRGALEENNMTCCVYRPRAAESLRVMLRHCEAYTSVYWRAVGDKFPPYDTVPDYGVRVDFEIPVTTRCLKCQDRRRGANGTCGFDPVTRDFICICDDGRNSTTDCADGRVSGHRASAGVVAGTVVFSISAAIGIGGLVWYIRKMKSSKVVTCGVQSNENRFF* >Brasy3G044600.1.p pacid=40043821 transcript=Brasy3G044600.1 locus=Brasy3G044600 ID=Brasy3G044600.1.v1.1 annot-version=v1.1 MEDAEKKRRDHGSRSRRSNEQQQITHRNTLTLGSAKPGQPPFMQDHVPSSIRQNYTTGASGPSFGGIVGHPCSLQDHPTNIGPTRQNNTTGVNAPSWGGVGDHPRMPQAHPPNVRSTQENNTMIPRDPRIQLQDHPSNAAGSAHENYAAHDADILGPDEYAP* >Brasy3G044600.4.p pacid=40043822 transcript=Brasy3G044600.4 locus=Brasy3G044600 ID=Brasy3G044600.4.v1.1 annot-version=v1.1 MEDAEKKRRDHGSRSRRSNEQQQITHRNTLTLGSAKPGQPPFMQDHVPSSIRQNYTTGASGPSFGGIVGHPCSLQDHPTNIGPTRQNNTTGVNAPSWGGVGDHPRMPQAHPPNVRSTQENNTMIRISYLSIGIKLFS* >Brasy3G044600.5.p pacid=40043823 transcript=Brasy3G044600.5 locus=Brasy3G044600 ID=Brasy3G044600.5.v1.1 annot-version=v1.1 MEDAEKKRRDHGSRSRRSNEQQQITHRNTLTLGSAKPGQPPFMQDHVPSSIRQNYTTGASGPSFGGIVGHPCSLQDHPTNIGPTRQNNTTGVNAPSWGGVGDHPRMPQAHPPNVRSTQENNTMIRISYLSIGIKLFS* >Brasy3G044600.2.p pacid=40043824 transcript=Brasy3G044600.2 locus=Brasy3G044600 ID=Brasy3G044600.2.v1.1 annot-version=v1.1 MEDAEKKRRDHGSRSRRSNEQQQITHRNTLTLGSAKPGQPPFMQDHVPSSIRQNYTTGASGPSFGGIVGHPCSLQDHPTNIGPTRQNNTTGVNAPSWGGVGDHPRMPQAHPPNVRSTQENNTMIRK* >Brasy3G044600.3.p pacid=40043825 transcript=Brasy3G044600.3 locus=Brasy3G044600 ID=Brasy3G044600.3.v1.1 annot-version=v1.1 MEDAEKKRRDHGSRSRRSNEQQQITHRNTLTLGSAKPGQPPFMQDHVPSSIRQNYTTGASGPSFGGIVGHPCSLQDHPTNIGPTRQNNTTGVNAPSWGGVGDHPRMPQAHPPNVRSTQENNTMIRK* >Brasy3G093500.1.p pacid=40043826 transcript=Brasy3G093500.1 locus=Brasy3G093500 ID=Brasy3G093500.1.v1.1 annot-version=v1.1 MDHAVEHLDPAAPASAPASVAEVNAWLASLATEGGGLGGRGGGGSAAASELSLGPDPTPRGVSYLRALAAASQARSRAAGIAASGLRAQAAEYRAEAARLREALERAGLARDALPSPAASAARAVAAVANLLAIRDTEMSSFVVASADLWLRRAEVEEKRDRVYKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQVEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL* >Brasy3G265500.1.p pacid=40043827 transcript=Brasy3G265500.1 locus=Brasy3G265500 ID=Brasy3G265500.1.v1.1 annot-version=v1.1 MTRKSSMVKSGCRRYRGGMVSLRRRRPFQLMVLRRLRELKKIVPAGARRRDADVDALLRRTAEYICVLELKVAVLRRVSAIYGA* >Brasy3G324300.1.p pacid=40043828 transcript=Brasy3G324300.1 locus=Brasy3G324300 ID=Brasy3G324300.1.v1.1 annot-version=v1.1 MAAGFLSMAHPAITLSGIAGNAISFLVFLAPVTTFVNVVRKKTTGGYSAVPYVVALFSSTLWILYALLKGNSRPLLTINGFGCGVELAYVVAYLLYAPRKARLRALAYFLALDVAAFAIVAAVARLAVAPEHRVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPISLSFCLVLSAVAWFCYGYFTKDPYVMYPNVGGFFFSCVQMGLYFYYRRPSNAAVLPTTADGGAALQAQVIELPPHAVAILSVSNIPILGMHKIEVMAAPAPPPELQDAKAADIVDKAAPALEAVEIDGTV* >Brasy3G121200.1.p pacid=40043829 transcript=Brasy3G121200.1 locus=Brasy3G121200 ID=Brasy3G121200.1.v1.1 annot-version=v1.1 MKPSIFSALAALIVLFVIAQHASFADGRGPRVIIIGAGMSGISAGKRLADAGVRDVVILEATGRVGGRMHKHNFGGINVEIGANWVEGVEGKKVNPIWPLVNATLNLRNFLSDFDSVVSNVYKENGGLYDEEYVQKRMDRADEVEELGGKLASQMDPSGRDDISILAMQRLFNHQPNGPTTPVDMVLDYFRYDYEFAEPPRATSLQNTEPLATSADFGEDNHFVADQRGFEAIIYHIARQYLSSDRKSGNIVDPRLKLNKVVREISYNRRGVVVRTEDNSAYSADYVIVSTSLGVLQSDLIQFKPQLPAWKIIAIYRFDMAVYTKIFLKFPTKFWPVGEGKQFFVYASSRRGYYGMWQSFEKEYPGANVLMVTVTDQESRRIEQQSDNQTKAEAVAVLRKMFPDRHVPDATDIYVPRWWSDRFFKGSYSNWPIGVNRYEYDQLRAPVGRVFFTGEHTSEHYNGYVHGAYLAGMDSADILMNSIFNNVEFKVRPKDHDQVIAEVV* >Brasy3G252700.1.p pacid=40043830 transcript=Brasy3G252700.1 locus=Brasy3G252700 ID=Brasy3G252700.1.v1.1 annot-version=v1.1 MQQGDGTEAQVTWDDQQNINRFGRLNNRLHELADEIRLAKEANENLEDAGNELILSDEDVVRFQIGEVFAHMPMDDVETRLEQMKEEASKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED* >Brasy3G336200.1.p pacid=40043831 transcript=Brasy3G336200.1 locus=Brasy3G336200 ID=Brasy3G336200.1.v1.1 annot-version=v1.1 MRASRRPLGVVLGWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHAIGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDSATLVATLFVIYMIRFKLRSTYMLDKDNFALYYVVVPCAVLAVLVHPSTSHIMINRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVVGGQLVLRLPSGVV* >Brasy3G065600.1.p pacid=40043832 transcript=Brasy3G065600.1 locus=Brasy3G065600 ID=Brasy3G065600.1.v1.1 annot-version=v1.1 MIKQILGRLPKKPSKSGERDFAGAGSSLSSPTSDARTTTDLTMSSRIGNPNNYAGAGVNPGQSYGTKNAGVGAGGINGFFAPPAYEALPSFRDVPAPEKPGLFLRKLAMCCVVFDFTDPTKDVKEKEIKRQTLLELVDYVTSATGKFPEPAVQEVVSMVSINLFRGPNPAPRENKVIESFDLEEEEPVMDPAWPHLQIVYELFLRFVQSPETDAKMAKRYVDQGFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHYGIAELLEILGSIINGFALPLKEEHKSFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALFLWNNDHIESLIKQNSKVLLPIILPALERNTKGHWNQAVQSLSLNVRKIFLDHDPALFSECLKKFEDDEAQEAAVRSKREATWKRLEEIALSNLQAASPEAMDYQQSSST* >Brasy3G088100.1.p pacid=40043833 transcript=Brasy3G088100.1 locus=Brasy3G088100 ID=Brasy3G088100.1.v1.1 annot-version=v1.1 MAPRRGWAPCESQAAGLEKSPAGERSWRQLWGAAGESDGGAADVRRRRRARELVAALEGQGRGRGAGETGWREDCGQDRRGGGVGRGRRTSGDEKSGKRVGRKGRQARPTYDRNTRDDLRRRPDGVERRGGREGEKADGGGSRRGDGSGSHGREGGRRGVSLAGIGGVVAWGREGETAGVAAWIRLGLTRAPRRTAQARLGATPDGGVSIAGIGSWRGDGGSRGDLQVREAGARREGHKF* >Brasy3G276600.1.p pacid=40043834 transcript=Brasy3G276600.1 locus=Brasy3G276600 ID=Brasy3G276600.1.v1.1 annot-version=v1.1 MICLHPRGTHTYRILSAREIFIKPAGWRNNRHTLHAAVGRPACKRIQVALSLRGESDALAMKRLHLFPFFCIFHVEGEKRHGVVS* >Brasy3G239800.1.p pacid=40043835 transcript=Brasy3G239800.1 locus=Brasy3G239800 ID=Brasy3G239800.1.v1.1 annot-version=v1.1 MVMMGQLGRFVDGIKSKLRTTGGGKNGKKAAAAAAYDKMGKTDSMRVEIRSRQAQKLIAKNLVAADSIGRRSRNKRFFLAF* >Brasy3G006000.1.p pacid=40043836 transcript=Brasy3G006000.1 locus=Brasy3G006000 ID=Brasy3G006000.1.v1.1 annot-version=v1.1 MEGKTTLYLLLLLVLVANCARAAEECKKTRNLDTTCVPRSVRQYREKDNKGKLVKDAFCGGSFPNVFRYCFVCG* >Brasy3G000400.1.p pacid=40043837 transcript=Brasy3G000400.1 locus=Brasy3G000400 ID=Brasy3G000400.1.v1.1 annot-version=v1.1 MPPEAYRAARPEAAAAAGAEKARPVPFFAAGVSSVIHPNNPFAPTLHFNYRYFETETLQDVPGAPRQWWFGGGTDLTPSYIIEEDIQHFHSVQKQACDKFDPRFYPRFKRWCDDYFHIKHRGERRGVGGIFFDDLNDHDQEMLLDFATGCADSVIPAYIPIIERRKDAQFTEDHRAWQQIRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPPTARWEYDHK* >Brasy3G247600.1.p pacid=40043838 transcript=Brasy3G247600.1 locus=Brasy3G247600 ID=Brasy3G247600.1.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.7.p pacid=40043839 transcript=Brasy3G247600.7 locus=Brasy3G247600 ID=Brasy3G247600.7.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.5.p pacid=40043840 transcript=Brasy3G247600.5 locus=Brasy3G247600 ID=Brasy3G247600.5.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.2.p pacid=40043841 transcript=Brasy3G247600.2 locus=Brasy3G247600 ID=Brasy3G247600.2.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.6.p pacid=40043842 transcript=Brasy3G247600.6 locus=Brasy3G247600 ID=Brasy3G247600.6.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.3.p pacid=40043843 transcript=Brasy3G247600.3 locus=Brasy3G247600 ID=Brasy3G247600.3.v1.1 annot-version=v1.1 MGDASRPLGVCSVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.8.p pacid=40043844 transcript=Brasy3G247600.8 locus=Brasy3G247600 ID=Brasy3G247600.8.v1.1 annot-version=v1.1 MGDASRPLGVDDDLMELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G247600.4.p pacid=40043845 transcript=Brasy3G247600.4 locus=Brasy3G247600 ID=Brasy3G247600.4.v1.1 annot-version=v1.1 MELLWCNGHVVMQSQTHRKLPPRPEKAAAAAAVMQEDEAGLWFPFSHADSLDKDIFSDLFCEPVPEVGIKPEYCRDGNNSKASDAHAPSELMPPPKSTMADGGELSDLVQARTTGKAAAAAMEQEGASASSFCGSSNQVQVQHAGRAQPAGTAAHGSSARLQSAVGSGINANARGGGGSGREATVASSSGRSNGFFGTTTTSTDQPTSASLRSSKRKRLDSRTEDYSESPSEDAESESLALERKPPLKLATARRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMHQYLPPRMPSFMAPHPQPAAAAAAQSLPPDHYAHFLGVNHHLQPPSHHHQHYAAQGMGYYPLGAKAVQQSPALPIHHVPTPAPAAATNNNTPGNAMHPNRR* >Brasy3G056200.1.p pacid=40043846 transcript=Brasy3G056200.1 locus=Brasy3G056200 ID=Brasy3G056200.1.v1.1 annot-version=v1.1 MGSLDSTPATAFAAIVDGKAEPFQPLNTDDVRAYLHKAVDFITDYYTNVESFPVLPNVKPGYLQDMLSSSPPSHSAPFDVAMKELRTSVVPGMTHWQSPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALKRTGSNGVAGITRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPAKLLEVMQADVDAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHIDGVERVDSISMSPHKWLMTCLDCTCLYVRDVHRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADDRFEVVVPRNFALVCFRIKANGAMTEEDTDGVTRELMERLNKTGKAYLAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTAEIIEEEAEH* >Brasy3G348300.1.p pacid=40043847 transcript=Brasy3G348300.1 locus=Brasy3G348300 ID=Brasy3G348300.1.v1.1 annot-version=v1.1 MQEHRSTSTCRSKTKTRHGGALQGSLLVRAGTGRRIVAAPLRIRRWRRPATVREAADGGASPREQLRLRLVQARAAPARRRRREASARGAGAEEGQGRGRRLRRKWRRRREGELGGEERATLYGELGQGRRPELVRGAVGGAVESRGGGRCLCG* >Brasy3G265400.1.p pacid=40043848 transcript=Brasy3G265400.1 locus=Brasy3G265400 ID=Brasy3G265400.1.v1.1 annot-version=v1.1 MSHRTMHSAAAVSHAALSPLPLPLPYLPPPPPPPLLPPPAPLLRLLPPPSPAALDAGFQSRISPSILLIILILAVIFFVSGLLHLLVRFLFRPAPRDPGDAESGGSGDATAFQGQLQQLFHLHDAGVDQTFIDALPVFLYGAVVGGGKEPFDCAVCLCEFADDDRLRLLPKCSHAFHVECIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGGGGSEPGSVLSDRLDGAASSAHLSLVMEQRDEGHCCDLNQDHHQKQLADVGSAKEEEKKDEVVVPVKLGKFRSSQAGAGSSGGQDVRRCYSMGTYEYVMDQSSLLRVSVKPAAAKPKRPATRMPLSGRRVAMSECDCHSKREGFDVPQPGPGGKLSKPAAMGKKESFSVSKIWMRGAPRRKDDAPSGSSSRRASSSSFRLPSALLLQRAASDVGAGASAGAPKRRADVVSPVTESEYNVSAWDKSSVADWDVESAAARLTSRADEAPSFARRTIAWIRGHL* >Brasy3G265400.2.p pacid=40043849 transcript=Brasy3G265400.2 locus=Brasy3G265400 ID=Brasy3G265400.2.v1.1 annot-version=v1.1 MSHRTMHSAAAVSHAALSPLPLPLPYLPPPPPPPLLPPPAPLLRLLPPPSPAALDAGFQSRISPSILLIILILAVIFFVSGLLHLLVRFLFRPAPRDPGDAESGGSGDATAFQGQLQQLFHLHDAGVDQTFIDALPVFLYGAVVGGGKEPFDCAVCLCEFADDDRLRLLPKCSHAFHVECIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGGGGSEPGSVLSDRLDGAASSAHLSLVMEQRDEGHCCDLNQDHHQKQLADVGSAKEEEKKDEVVVPVKLGKFRSSQAGAGSSGGQDVRRCYSMGTYEYVMDQSSLLRVSVKPAAAKPKRPATRMPLSGRRVAMSECDCHSKREGFDVPQPGPGGKLSKPAAMGKKESFSVSKIWMRGAPRRKDDAPSGSSSRRASSSSFRLPSALLLQRAASDVGAGASAGAPKRRADVVSPVTESEYNVSAWDKSSVADWDVESAAARLTSRADEAPSFARRTIAWIRGHL* >Brasy3G156000.1.p pacid=40043850 transcript=Brasy3G156000.1 locus=Brasy3G156000 ID=Brasy3G156000.1.v1.1 annot-version=v1.1 MSSFSTVRSSVVAVPPCIILAEAAKHIGASTPSYPSEWSNGYYFVCTVETIVPLRCQDGCCAMLRVIGRPSGSPHRGPPPSSFVVFFARFLGDGSRRNKPKESLFGLLVFLLTKTGF* >Brasy3G307500.1.p pacid=40043851 transcript=Brasy3G307500.1 locus=Brasy3G307500 ID=Brasy3G307500.1.v1.1 annot-version=v1.1 MATLQHQKQGAGAAAPMTTTGGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRGHRQNHEKIPAHTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELITTQALFPGDSEVQQLLHIFKLLGTPNEVVWPGVGQLPNWHEYPQWNVSKLASVIPGLDTDGLDLLEKMLQYEPAKRISAKKAMEHPYFNHVNKELY* >Brasy3G071000.1.p pacid=40043852 transcript=Brasy3G071000.1 locus=Brasy3G071000 ID=Brasy3G071000.1.v1.1 annot-version=v1.1 MSNVRVCVRFRPLSHKERKGNGDKVCFKKLDAESFVFKDERDEDVIFSFDKVFYDDAEQSDVYNFLAVPIVTDAVNGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVQRVVDDLFECLRTSASMATWTVNLSMVEIYLEKVRDLLDLSKDNLQIKESKSQGIYISGATEISIMNSSDALERLSEGIANRAVGETQMNLASSRSHCLYIFSVQHTSTPDERVRAGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKSNHVPYRDSKLTRILQDSLGGSSRASLLCCCSPSASNSTESLSTLRFGTRTKLIKTVPKPIPVEAESGKKPTPQNSHDQDDPSDGAQSKPVSSQSDVSDPSLDSRDQDDVRDRILTKLRSRLSEEDVDLLEELFVQEGIIFNADDALDPGSVACQDAAGQQILSLLQAVEELSETARELAEENEKLRHELEVAQDIAARAAAAAAAAAGWGGGLLLGFLPAAVLRPFGFVPD* >Brasy3G159200.1.p pacid=40043853 transcript=Brasy3G159200.1 locus=Brasy3G159200 ID=Brasy3G159200.1.v1.1 annot-version=v1.1 MSRIVVAAVAFCLAPCLVAAADTVSARQPLRGNDTVVSAQGKFEAGLFSPGSSGRFYLGIWYKNIPVHTVIWVGNRASPLSNATSAELRVSAADGNLELVGFATDGSAAPGVVVWSSNLSLSSPGSSSNNTAVIRDNGNLVLLDGGNSSNVLWQSFDHPTDTLVPEAWLGEDKLTGVYQRMTSWRNAEDPAPGLFSNTIDTNGTSEFFYLWNGSRLYWRSGVWTGRVFALLPEAVNNVLFNQTYVETPAHRRLSWALYDNATITRQVMDNTGQAKQYIWVPASQSWQFFWAAPTVQCDVYAVCGALGVCDQRSQPSCRCLPGLEPAAENGWRLSDWTGGCRRSSPLVCARNGSSTDGFLALTNVKLPDDPLALDHAKSKAECESACLRNCSCQAYAFSGGGGCAVWHGEFRNLQQLYADSTASGSELHLRLSESGLRALSRGSKKKRGAVWPVVLGIVLACVAALVASAVLAWVLVSRRRRRLASMANEKGSSLAVYSYGDLRAATKNFSERLGGGGFGSVYRGVLKDGEGNNTEVAVKKLEGLRQGDKQFRAEVNTLGRIQHVNLVRLLGFCSSGDDKLLLVYEYMPNGSLEGYLFKAAAGGSCPSWRDRYGIMLGVARGLAYLHDGCRERIIHCDVKPENILLDKGLCAKIADFGMAKLVGRDFSRALTTMRGTVGYLAPEWISGLPISAKADVYSFGMVLFELVSGRRNADFQGGGGQSISSSGGGGGGGEEEEDSNGGSDADAGRRVPMFFPVWAAGKVAEGEVGAVADPRLRGDVSEEQLERACRTACWCIQDQEEHRPTMAQVVQALEGVIHVHMPPMPRALQNLA* >Brasy3G319000.1.p pacid=40043854 transcript=Brasy3G319000.1 locus=Brasy3G319000 ID=Brasy3G319000.1.v1.1 annot-version=v1.1 MGVLGDGSGWCFCSGGGGAKMERIKSSVLAAKGAAVAAVSFPRGGEGGGEGGCGGGAGKCGGGFLIHRGLLLTTHGTVPSAAAAGAAEVRLSHGRLPARLLPQRFFITSPILDLTIVGLDIVDDDSNSHGQQPHFLKTCLNPSLDLGSAVLLLGHTNKRDLAIGEGKVVIATDNLIKFSADEASWHPGSAGFDMHGNLAFMVCDPMKLAPSTPSGYASASSTALLASKMDVPTQFGIPIPAVCEWLKQHWSGSLEDVSKPMMPPARLISAGQRSERSFLGHLHHIKTMEREGGPVLSSSQIPPRPTRQHGSCSSASAKISYGENDSVNSHSFHQQRDPTSQMFRPKNEQPGSLTDVSFPPGHPRSIRLPLPLKQMMSDENKIKPDCSVSHESRLANARINCDTLHNVAYQENCWSEVQSSSSPLAISEGDKREGFSSGEETMYSAETMESRNIPSPKEKRPQIVGRSQSFANHSKWDSPKSVESSKGLPSRSHTFIPLRKPHLQAAAISQKSQVYFSPTVSSNMKKRNLSRVPMKPRQSAQVTSKWIT* >Brasy3G108400.1.p pacid=40043855 transcript=Brasy3G108400.1 locus=Brasy3G108400 ID=Brasy3G108400.1.v1.1 annot-version=v1.1 MSLPGTNALATASQPQSLISAIHPVSVNLNNNVPNSTMLLANGNFQRPPARQCKETQWRYKTSQPDNRDQHG* >Brasy3G190400.1.p pacid=40043856 transcript=Brasy3G190400.1 locus=Brasy3G190400 ID=Brasy3G190400.1.v1.1 annot-version=v1.1 MDAIRKQATKLREQVARQQQAVLKQFGGGYGADSVFADEGEAQQHTKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGVENTCTSGSTLSRAALSFGKARSLMEKERGNLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGTLGKEAVAAMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPSVIESSMPPPPSYEEVNGIFMRTPTVAELVETVEHFLAEAIQSYRAESETELNLSTGDYIVVRKVSNNGWAEGECRGKAGWFPSEYIEKRDRVLASKVAQVF* >Brasy3G181800.1.p pacid=40043857 transcript=Brasy3G181800.1 locus=Brasy3G181800 ID=Brasy3G181800.1.v1.1 annot-version=v1.1 MAGSNAVLAAILLADVVAFALAIAAEQSRPSASLETDARKEWTYCVYRPDAATWLGGAALVLLLVGQAAAATASRCFCCGAALRPSGTRGCALMLFLSSWLTFIIAEACLLAGLVQSAYHTGYRTVIFENPPDCETVRRGTFGAGAAFALVTCVLTGTYYRCFSKARVSYQRPEAAIDMSRYS* >Brasy3G332100.1.p pacid=40043858 transcript=Brasy3G332100.1 locus=Brasy3G332100 ID=Brasy3G332100.1.v1.1 annot-version=v1.1 MAAASSSAETAAGQRGAAAPTPFLAKTYQLVDDPAVDDVISWGEGGATFVVWRPAEFARDILPSCFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDHFRRGEKRLLCEIHRRKVTPPTSAVTVSAAAAIPMALPVPAAAAAQGCSSAGEEQVLSSSSSSEREQPPPSCSGSASGVGGDPADENQRLRRENARLARELGHMKKLCNNIFALMSKYASVQQPQLDAAAGGNCSGESPLPPPPPPPPTPPPPSLELLLSSSSPSPPEARAVDTEADEEKEMSAMLFGVCIGRKRMRDDVADGGAAEVKPEPMESEPQGWPVYRPRPVYQQHLLRACCSDGHAGSHSK* >Brasy3G346200.1.p pacid=40043859 transcript=Brasy3G346200.1 locus=Brasy3G346200 ID=Brasy3G346200.1.v1.1 annot-version=v1.1 MAIVGVLSASGVSVELEDHGGKLIRACIEEEAEVDYCLKGVRHLSDAGITKLPAPYILPPSDRPSSSSASIFSNSGAATNNTSVLHLPVVDLAHLRGAAGPSARAAALRTLDSACREHGFFHLTNHGVSVEAMLDVSRRFFFDLPFSERAKLMSADVRAPVRYGTSFNQARDAVLCWRDFLKLDCRSSSSSWPAAPADLRAVAMRYAAACQGVFAELMAAAMEALLGGAASAKGDGPILSEEGSQMLTVNCYPECPEPEKTLGMPPHSDYGLLTLVLQDDVAGLQVMRQISDGGGEWLTVDPLPGSFVVNVGDHFEIYSNGRYKSVLHRVCVNSARPRISVASFHSVAADRAVGPAPELLAGGEPPRYMGTDFATFLAYIASAEGKHKTFLESRRLDV* >Brasy3G322500.1.p pacid=40043860 transcript=Brasy3G322500.1 locus=Brasy3G322500 ID=Brasy3G322500.1.v1.1 annot-version=v1.1 MSKGDKTIALPEARATVVSSAAVQFSVDYQQARQLPIGQAVYSDVVSAGAHLWRIECSPHGGKGKFFSVSITHMSKTTGVVTANLEVSLMVMDRDGTPSRMDTQRKLESFRNSGGCWRCSCSLGQKKKKDYVLEGHITFLCSIMVVHDSSSVAVPPSDIGIHLGRLLDQKEGTDVAFVVDGETFPAHRAVLAARSPVFKAELFGPMAEATMSSIKRYDITPATFRVMLQFIYTDAFPGDAELGCSPVEMLQDLLAAADRYALDRLKLMCAQKLLEHLSIDTVCTTLGCAKTYNCLKLKNQCFDFMISGLSASAEARLR* >Brasy3G322500.2.p pacid=40043861 transcript=Brasy3G322500.2 locus=Brasy3G322500 ID=Brasy3G322500.2.v1.1 annot-version=v1.1 MSKGDKTIALPEARATVVSSAAVQFSVDYQQARQLPIGQAVYSDVVSAGAHLWRIECSPHGGKGKFFSVSITHMSKTTGVVTANLEVSLMVMDRDGTPSRMDTQRKLESFRNSGGCWRCSCSLGQKKKKDYVLEGHITFLCSIMVVHDSSSVAVPPSDIGIHLGRLLDQKEGTDVAFVVDGETFPAHRAVLAARSPVFKAELFGPMAEATMSSIKRYDITPATFRVMLQFIYTDAFPGDAELGCSPVEMLQDLLAAADRLR* >Brasy3G034100.1.p pacid=40043862 transcript=Brasy3G034100.1 locus=Brasy3G034100 ID=Brasy3G034100.1.v1.1 annot-version=v1.1 MAQQRTSEIHIGKRCRTNRAHGGTTNSVAAARRAPYGSDSSGDVRGAIAAPHAAPFSFLLANAGQVFDEMARLASTGRKMHAGEEEVRSAPADHTLYKVYTHVLPLPHHVAKM* >Brasy3G053000.1.p pacid=40043863 transcript=Brasy3G053000.1 locus=Brasy3G053000 ID=Brasy3G053000.1.v1.1 annot-version=v1.1 MAMSRKTMLLWLVMVWWVGGGGAPAEAMRKQGKGTLGYYELRRGEFSMVVTNWGATILSVRLPDKNGRIDDVVLGYKKIGGYVNDTTYFGALVGRVANRIAGGRFTVKNHPYHTYRNDGNNTLHGGHRGFNQVFWSVRERVTGAFPYITFAYTSYDGEQGFPGALDVLVTYKIDGDCSYSVTMYARPVDGDKPTPVNLAQHTYWNLRGHGRGTILDHSVQIYASAVTPVGADLIPTGAVTPVAGTPFDFRSPAAPGARIAEVPGGYDINYVLDGAGLDGQGVRKVATVSEAESGRVMELWGDQPGVQFYTGNFLKGDEGKGGAVYQKHGGLCLETQDFPDAVHNPRFPTEIYRKGQVYKHYMLYKFSLAKN* >Brasy3G027900.1.p pacid=40043864 transcript=Brasy3G027900.1 locus=Brasy3G027900 ID=Brasy3G027900.1.v1.1 annot-version=v1.1 MDYSNAIHIIPDAAGPEAWANSVAPPGGDSGIWATEEDYSQWNADPGLTGGGYGGDRNSSQPQSRSGSEQPPPGKKPRGGPSGGGGGDAGSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSSSVAPSESVSGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGSYNSAAAAANGPTILKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDVDGRDIASTPDSKQAVLSAKAPAETTAASTAAPPHADVYHLGIQSQRSTMTSQRSGQLPRPIQKWKGPDKISRIYGDWIDETD* >Brasy3G258100.1.p pacid=40043865 transcript=Brasy3G258100.1 locus=Brasy3G258100 ID=Brasy3G258100.1.v1.1 annot-version=v1.1 MSIPIIGGIASRLPAVPVPNPIGIIGGNGANSSTDPPAPITGTIATRWRELHGETSWKNLLDPLDPHLRATLISYGELAQATYDGFNSERRSPNAGSCLHGSDDLLTTSSVTNPGHYKVTKFIYATSSLPLPDSFLCLPLPSLPDAWSRDSNWIGYVAVATDEGVEALGRRDIVVAWRGTVKNLEWVNDLDFTPVSAAPVLGPAAGANRLAVVHRGFLSVYTSSNKSSKFTKTSARDQVLEEVRRLVELHKDEETSITVTGHSLGASLATLNAVDLVSSGANKPSSSSSSAAADDKRPFPVTAIVFASPHVGDRFFRSAFGSFPDLKALHVQNVGDIVPLYPPLGYVDVATELTIRTIRSPYLSVPGTPATLHNLECYLHGVAGEQGSRGGFRLEVARDVALVNKGVDALTDEHPVPAGWWTPQHRCMVRGDDGRWTLQDFKHI* >Brasy3G128300.1.p pacid=40043866 transcript=Brasy3G128300.1 locus=Brasy3G128300 ID=Brasy3G128300.1.v1.1 annot-version=v1.1 MENLAMLWGVIGPGVAGALFGAGWWFWVDAVVCSAVQVSFIHYLPGIFASLAALMFNCVNKDDIGNDYYSSYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVFVLISGLTYWTCHSEE* >Brasy3G170000.1.p pacid=40043867 transcript=Brasy3G170000.1 locus=Brasy3G170000 ID=Brasy3G170000.1.v1.1 annot-version=v1.1 MARFSGSVAVLLVASVIVSATSAENLIPKCCQDFHSWGENDECSPPFDPLCNSWCQNRCSGGFCKIKGGKHYCHCKCHDEA* >Brasy3G048000.1.p pacid=40043868 transcript=Brasy3G048000.1 locus=Brasy3G048000 ID=Brasy3G048000.1.v1.1 annot-version=v1.1 MGKSSKKSAAPAAVAAEAVVPKGKAGKKREAEDEIEKAVSAKKQKAAPAKAVPPPAKAAKKQPPPKQAESSSEEDSSESEEEVKVQAKKPAKPASSSDDSSDESSDEEPAKKPAAKPAAPVANNGSKKGKQKSSSSEDESEESSDEDEKPAAPVKKPSAKESDSSESDSEDESDEDVPAKPKAPAAVTKKEDSSDSSESESEESEDEDKTKKAPPAAAKRKEESSDSSESDESDEEPPQKKQKDAVKVATKAVKKESSSDEESDEESSDDEPKQTQQKKQAAKAADSSDSDDSEEDSDDEDDKSTKTPKKEAPTATKAQKEEPKTPASNQSQGGTGSKTLFMGNLPFSAEFEQVKEFFAEAGEVVDVRLATHEDGHPKGYAHVEFATAEGAKKGLELNGQELMGRPVRLDLALERGATPRTRDGGSFQKPSGGSSLSVFVKGFDSSQQEDKIRSSLEEHFSKCGEITRVSVPMDYESGESKGIAYMDFTDESSFSKALELSGSDLGGYNLYVAEAKPKGQFGAGGGRSGGRDGGRSGGRGFGSRSGGRGFGGRSGGRDGGGRFGRSGGRDGGRRGGGRGFQSRQSAGTASAGKKTTFGDD* >Brasy3G138300.1.p pacid=40043869 transcript=Brasy3G138300.1 locus=Brasy3G138300 ID=Brasy3G138300.1.v1.1 annot-version=v1.1 MSFRGTKKNHGRAPGPPEIHTAHKPNPNPIGGGGRSRGQRIRRLAASRVPDPGRRGRGSPGPAAGRVVGDGSVGVRDVPATVGAGAAGSGPGMAGSIDFHARSIGFGSGGWCGPVRAAAGERASGSSLQQRARRGGTVAVVTVGGAGIELGATITRGGGQGVAGAGRWGERASGREEMRKEDREGIGKGGDREGGDIGKGG* >Brasy3G326700.1.p pacid=40043870 transcript=Brasy3G326700.1 locus=Brasy3G326700 ID=Brasy3G326700.1.v1.1 annot-version=v1.1 MEPPFLSRRVFVVLLLPLLLLLLTAAAAAAGDGCSVGTGCDLALGSYLISQDENVTYIAQLFGIADFRSLIPYNPGSRNLDFVPAGGRVNVTFSCRCQSLPANPAATFLASSVPYPVATGDTYLGIANRYNNLTTEAWLQATNSYPANNIPDSGTVNVTVNCSCGDQDVSGAYGLFLTYPLGANETLASVAPKYDFASPDKIALLRKYNPGMDDITGRGLVYIPVPDPDGSYRPLKSSGKWIFHWSNSRRCCGWCSCTSPRYLVFLVL* >Brasy3G178700.1.p pacid=40043871 transcript=Brasy3G178700.1 locus=Brasy3G178700 ID=Brasy3G178700.1.v1.1 annot-version=v1.1 MSGLGLGDIGRSGNGKAKLLALGKGLPEQVLPQDKLVETYLQDTSCDDPATRAKLERLCKTTTVKTRYTVMSKELLDEHPELKTEGTPTLTPRLDICNAAVLELGAAAAHAALGEWGRPAVDITHLVYISSSELRLPGGDLFLATRLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVIAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGASPITAEENPFLELEFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRILMDKVGIKEFNDVFWAVHPGGPAILNRLEICLQLDPDKLKISRKALMNYGNVSSNTVFYVLEYLRDGLKKGVIREEWGLILAFGPGITFEGMLVRGIN* >Brasy3G203600.1.p pacid=40043872 transcript=Brasy3G203600.1 locus=Brasy3G203600 ID=Brasy3G203600.1.v1.1 annot-version=v1.1 MDTWAMASPSAGPSPPAPEPEPERRQWGGCGSVACRVACAVATCVLAAVGSAAGAVAGAAIGLATESGVVRGAGVGAISGAVFSIEVAESSRQLWRTTGSPAWTVLYVVDIIFSLLSGRLVREKVGPAVQNAVQSQISAISAPFAEQSDLFETGSGARGGLPASALRRLPEMRVDEGTAVDAGGEALCCSVCLQDLQVGEPARRLPVCRHVFHAPCIDRWIARHASCPLCRRDI* >Brasy3G287200.1.p pacid=40043873 transcript=Brasy3G287200.1 locus=Brasy3G287200 ID=Brasy3G287200.1.v1.1 annot-version=v1.1 MATAVASQPRHLPLGAGGDPRATMCAASTSKIYTLEKVYGFRLVCRSVVDPRSQKLHPRIYKRKCYLRSSPSECEKIVYSARWLEFRRQRIPFQRSRRPVHNIPLASQDDGNGVSVNGAPQVDPASQMEEMRVKLDKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSNNSWFPKTWLGVDNNAWIKSLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLDGVIQNELAKREPTLYQWYSSNQNPLVVRTFVNTFENDPRFNSATAICCEGKSANTSESDLSLLILGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDIGLQREFLCNFGPRAAVPKFTNDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQVYLSSKRITDSNDSINGVVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWFPFYYEDVPTPTTDTEGREEMPKAEVLSRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDRMNELDVAKNNMPKDRSLPEPEELVSGTELASFDKSLESVEEALVKLENLLQELHLSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASGRLLSPAGEEGRGKTSSKGTETSTPQKSVNRMENKNRPFWDFFGRTSGRKMEPAQQAADQDISAAKLDNRDKESNDILRFEQLRRELIELEKRVQKSADDAKKEEFQETINGSVPSPLLSVPSGPASKKDNVITKSVEKVKESTTIVLQGTQLLAIDTGAAMDLLRRSLIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYGPERLDLLRQLEKVKEMEVAEGSSEVMSEVVSSRGDRVK* >Brasy3G287200.2.p pacid=40043874 transcript=Brasy3G287200.2 locus=Brasy3G287200 ID=Brasy3G287200.2.v1.1 annot-version=v1.1 MATAVASQPRHLPLGAGGDPRATMCAASTSKIYTLEKVYGFRLVCRSVVDPRSQKLHPRIYKRKCYLRSSPSECEKIVYSARWLEFRRQRIPFQRSRRPVHNIPLASQDDGNGVSVNGAPQVDPASQMEEMRVKLDKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSNNSWFPKTWLGVDNNAWIKSLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLDGVIQNELAKREPTLYQWYSSNQNPLVVRTFVNTFENDPRFNSATAICCEGKSANTSESDLSLLILGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDIGLQREFLCNFGPRAAVPKFTNDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQVYLSSKRITDSNDSINGVVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWFPFYYEDVPTPTTDTEGREEMPKAEVLSRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDRMNELDVAKNNMPKDRSLPEPEELVSGTELASFDKSLESVEEALVKLENLLQELHLSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASGRLLSPAGEEGRGKTSSKGTETSTPQKSVNRTSGRKMEPAQQAADQDISAAKLDNRDKESNDILRFEQLRRELIELEKRVQKSADDAKKEEFQETINGSVPSPLLSVPSGPASKKDNVITKSVEKVKESTTIVLQGTQLLAIDTGAAMDLLRRSLIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYGPERLDLLRQLEKVKEMEVAEGSSEVMSEVVSSRGDRVK* >Brasy3G287200.3.p pacid=40043875 transcript=Brasy3G287200.3 locus=Brasy3G287200 ID=Brasy3G287200.3.v1.1 annot-version=v1.1 MATAVASQPRHLPLGAGGDPRATMCAASTSKIYTLEKVYGFRLVCRSVVDPRSQKLHPRIYKRKCYLRSSPSECEKIVYSARWLEFRRQRIPFQRSRRPVHNIPLASQDDGNGVSVNGAPQVDPASQMEEMRVKLDKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSNNSWFPKTWLGVDNNAWIKSLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLDGVIQNELAKREPTLYQWYSSNQNPLVVRTFVNTFENDPRFNSATAICCEGKSANTSESDLSLLILGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDIGLQREFLCNFGPRAAVPKFTNDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQVYLSSKRITDSNDSINGVVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWFPFYYEDVPTPTTDTEGREEMPKAEVLSRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDRMNELDVAKNNMPKDRSLPEPEELVSGTELASFDKADASGRLLSPAGEEGRGKTSSKGTETSTPQKSVNRMENKNRPFWDFFGRTSGRKMEPAQQAADQDISAAKLDNRDKESNDILRFEQLRRELIELEKRVQKSADDAKKEEFQETINGSVPSPLLSVPSGPASKKDNVITKSVEKVKESTTIVLQGTQLLAIDTGAAMDLLRRSLIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYGPERLDLLRQLEKVKEMEVAEGSSEVMSEVVSSRGDRVK* >Brasy3G287200.4.p pacid=40043876 transcript=Brasy3G287200.4 locus=Brasy3G287200 ID=Brasy3G287200.4.v1.1 annot-version=v1.1 MATAVASQPRHLPLGAGGDPRATMCAASTSKIYTLEKVYGFRLVCRSVVDPRSQKLHPRIYKRKCYLRSSPSECEKIVYSARWLEFRRQRIPFQRSRRPVHNIPLASQDDGNGVSVNGAPQVDPASQMEEMRVKLDKALQNEDISTGLVQSIHDAARSIELAFLDHSKSSNNSWFPKTWLGVDNNAWIKSLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLDGVIQNELAKREPTLYQWYSSNQNPLVVRTFVNTFENDPRFNSATAICCEGKSANTSESDLSLLILGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDIGLQREFLCNFGPRAAVPKFTNDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQVYLSSKRITDSNDSINGVVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWFPFYYEDVPTPTTDTEGREEMPKAEVLSRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDRMNELDVAKNNMPKDRSLPEPEELVSGTELASFDKADASGRLLSPAGEEGRGKTSSKGTETSTPQKSVNRTSGRKMEPAQQAADQDISAAKLDNRDKESNDILRFEQLRRELIELEKRVQKSADDAKKEEFQETINGSVPSPLLSVPSGPASKKDNVITKSVEKVKESTTIVLQGTQLLAIDTGAAMDLLRRSLIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYGPERLDLLRQLEKVKEMEVAEGSSEVMSEVVSSRGDRVK* >Brasy3G237000.1.p pacid=40043877 transcript=Brasy3G237000.1 locus=Brasy3G237000 ID=Brasy3G237000.1.v1.1 annot-version=v1.1 MPHLESVLQPLLLSVKEETTQFEHNACEHEGELAAVVHLMKEAGERARVTDKMEGTPDDEEDSETRRVAWSVSSDSVPVAAARRPRTKPLLPAAGLAAEPRPPSRCHEPPTPTRPPRTPSLRPRHAPPAFQVAALTPLPRGARAAALRPSCRVPSAKKERLKSTREPRETGTTFPNRNEAAIASVSDLLSSPSVPPIDPPRLPLAAADADSPEPLPLHPRPGARSARRLTSSGPARSVR* >Brasy3G187900.1.p pacid=40043878 transcript=Brasy3G187900.1 locus=Brasy3G187900 ID=Brasy3G187900.1.v1.1 annot-version=v1.1 MARRGFLCSPRLLVLLLLLVLGDAAAMAAARQLPAGAPAAEGPAGDVARPRRHEDRSIAGAEVILAGFAAAVVAAIFCYIRVTRKKSSSSHGATLGTAPEKA* >Brasy3G319100.1.p pacid=40043879 transcript=Brasy3G319100.1 locus=Brasy3G319100 ID=Brasy3G319100.1.v1.1 annot-version=v1.1 QGYSARAASASRGCDDSDQRIGSTLSGSSSPFRPRPAQVHPTACSSARRRRSRSGSRAGAIRERPGGMRQQHRGGGGGGGRQEPGMMTRAVDKVFRFVRLAEFEILFVLFFLIAFIFFKDLMSRPQYNDIFVKKPDLDGGWP* >Brasy3G319100.2.p pacid=40043880 transcript=Brasy3G319100.2 locus=Brasy3G319100 ID=Brasy3G319100.2.v1.1 annot-version=v1.1 QGYSARAASASRGCDDSDQRIGSTLSGSSSPFRPRPAQVHPTACSSARRRRSRSGSRAGAIRERPGGMRQQHRGGGGGGGRQEPGMMTRAVDKVFRFVRLAEFEILFVLFFLIAFIFFKDLMSRPQYNDIFVKKPDLDGGWP* >Brasy3G244700.1.p pacid=40043881 transcript=Brasy3G244700.1 locus=Brasy3G244700 ID=Brasy3G244700.1.v1.1 annot-version=v1.1 MAQPQHQPLHIIFSPQALLTLFLCFLFLLLLRYYNKQPGQGRRLPPSPPGRFPVIGHLHLVSPESPHVSLTELSKKHAGPDGLLLLDLGQARTLVVSSPRAAEAVLRAHDHAFASRPPSAVADVLFSGATDVAFAPYGEYWRQARRLVATHLLSTGKVRALAGARGKEVALVLAKVRQSVARAVDLSELLRAYANDAVCRAVTGKSFREERRNELFWEVIAGNAAVLAGFGIEDFFPGLAKLRLLRRLLMAKTIGLKKRWHELLDKIIDDHAAKLSSSSTQKQHDRHHDEEQQEDQERDFVDVLLSLQHEYNLTRDNIKAILIDMFAAGTHPSYVVMEFAMAELMRKPRVMAKLQAEVRSKTPKGQPAVKEDDLSGMAYLKAVVKETLRLHPPVPLLLPRISMSGCDDVNGYAVPARTHVVVNAWALGRDARSWGENAEEFSPERFMVDDGGAAAAADFKGRDFQFLPFGAGRRICPGLSFGVASVEMMLANLVYCFDWGLPGGMREDDVDMTEVFGLSRHRKEKLLLVPTTPREHASTLHARVWRLLMLVR* >Brasy3G244700.2.p pacid=40043882 transcript=Brasy3G244700.2 locus=Brasy3G244700 ID=Brasy3G244700.2.v1.1 annot-version=v1.1 MAQPQHQPLHIIFSPQALLTLFLCFLFLLLLRYYNKQPGQGRRLPPSPPGRFPVIGHLHLVSPESPHVSLTELSKKHAGPDGLLLLDLGQARTLVVSSPRAAEAVLRAHDHAFASRPPSAVADVLFSGATDVAFAPYGEYWRQARRLVATHLLSTGKVRALAGARGKEVALVLAKVRQSVARAVDLSELLRAYANDAVCRAVTGKSFREERRNELFWEVIAGNAAVLAGFGIEDFFPGLAKLRLLRRLLMAKTIGLKKRWHELLDKIIDDHAAKLSSSSTQKQHDRHHDEEQQEDQERDFVDVLLSLQHEYNLTRDNIKAILIDMFAAGTHPSYVVMEFAMAELMRKPRVMAKLQAEVRSKTPKGQPAVKEDDLSGMAYLKAVVKETLRLHPPVPLLLPRISMSGCDDVNGYAVPARTHVVVNAWALGRDARSWGENAEEFSPERFMVDDGGAAAAADFKGRDFQFLPFGAGRRICPGLSFGVASVEMMLANLVYCFDWGLPGGMREDDVDMTEVFGLSRHRKEKLLLVPTTPREHASTLHARVWRLLMLVR* >Brasy3G307400.1.p pacid=40043883 transcript=Brasy3G307400.1 locus=Brasy3G307400 ID=Brasy3G307400.1.v1.1 annot-version=v1.1 MAAVTTASSSLCPGLSSDPRRGHAKPRRSTAWACHCRRSPARCERPAAAVVGTAEEGLRRRDALLGVVLSSGAAAATLLASPAGALAEAAAEVQEGFSEYQDEANKFSIVVPQGWQMGAGEGSGFKNVTAFFPDKTADSSVSVVITGIGPDFTSLKSFGDVDAFAENLVTGLDRSWQRPAGVAAKLIDSRASNGMYYIEYTLQNPGDKRRHIVSAIGMAFNGWYNRLYTVTGQYIEDDEESVKFKPQIEKSVKSFKFT* >Brasy3G269900.1.p pacid=40043884 transcript=Brasy3G269900.1 locus=Brasy3G269900 ID=Brasy3G269900.1.v1.1 annot-version=v1.1 MEAAFLLAGVLLFLAGGCGIAYAADGFSVELIHRDSIKSPFHDPKLTRDDRFLAAARRSRARAAKLLLARPGSDVSSDLFYGDFEYLAAVNVGTPPVRFLAVADTGSDLVWLKCNTTQNNNGIVSSGSGNNNNSPPPPPPEAVVYFNPFDSSTYSRVGCDGPSCLALATNATCNADSHACDFRYSYGDGSSATGLLAADTFTFGGSINNNTTSTASIDFGCATGTAGREFQADGVVGLGAGPLSLASQLGRKFSFCLTSYDIDDAFSTLNFGARAVVSDPGAATTPLVASDSDAAAYYAISVDSLKVAGQPVAGTTSVSKVIVDTGTVLTFLDRAALLAPLSESVARVMDGKGLPRAPPPDETLELCYDVSRVKDVDDVIPDVTLVLAGGGEVRLTGEGTFVLVKEGVLCLAVVATSPELQPLSVLGNVALQDLHVGIDLDARTATFATANCDTSSRPR* >Brasy3G318100.1.p pacid=40043885 transcript=Brasy3G318100.1 locus=Brasy3G318100 ID=Brasy3G318100.1.v1.1 annot-version=v1.1 MVKYSRDPSNPTKSAKACGKDLRVHFKNTRETAFALRKMPLVKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQAKNRQSNGQGRWPAKSAKFVLDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPDNVVAPRKAM* >Brasy3G216800.1.p pacid=40043886 transcript=Brasy3G216800.1 locus=Brasy3G216800 ID=Brasy3G216800.1.v1.1 annot-version=v1.1 MGGDKEEGAKGMRPRREGELRRPRSSVRRERLGVRGRWGKAHAGWVTGWSQADGLRGAAELCKGGEARCGLQAAVKTLRGEMGRSGGDGLLPAGRSSGGGGSSTAGRGGGGGALVRACMSPGGRGRRQGEEDGWYLTCSTGDLPPLASSLRALVLSVLLSFFSGA* >Brasy3G213400.1.p pacid=40043887 transcript=Brasy3G213400.1 locus=Brasy3G213400 ID=Brasy3G213400.1.v1.1 annot-version=v1.1 MAGSSSHPSRYVRLTKKNTRSGPGDDILPGELNQPVSIPQLEPKKCGECGQVLPESHRAPADEPWTTGIFGCADDPESCWTGLFCPCVLFGLNVQALREDIPWTTPCTCHAVCVEGGMALAILTAIFHAVDPGASVLIGEGLMFSWWLCSTYNGIFRQQLQKKYHLKNSPCDPCLVHCCLHLCANCQEHRERRGRLADSSVVPMTIVSPPAVQEMSTAENQASTSEHQEPKTEHDDVEVVPL* >Brasy3G228300.1.p pacid=40043888 transcript=Brasy3G228300.1 locus=Brasy3G228300 ID=Brasy3G228300.1.v1.1 annot-version=v1.1 MAAALPCSLRCWTITGHRLLRVVVFLLYLSHQAESLSFHYDYINTTNKLDFGSLGDDCTINDHRAELTNPSAENLGRLVFPAPMQLWDPATGETASFTTAFSFGIEAVPGMEVGHGMAFFLTGAQVLTASNVPANSYGGFLALFGPDILTSRGNATGAGGGDQIVAVEFDTVKDDWDPSARHIGIDLNNISNSLGDYMVLPDDGLVGRAMSARIDYNGSTGRLDVVLRNGSSSDDGNVTYAHSTIADLRSFLPPQVVVGFSAATSKDRVALHYVLSWSFTTTSPVGNGTSAQPQPQQRRKHTGSTPVLVGVTVAAVLALLLGAFVGALLWRSRRRRSDDESESDEVSSGEESWAMEEDLESGAGPRPFQLRKLRAATGNFSEEEKLGQGASGSVYRGRVDDLDVAIKVFSRAGSAQGKREYTAEVTAISRLRHRNLVHLIGWCHGRKKLLLVYELVPYGSLDRHLHHSVGGATSSSVLLTWPARHRILLGLGAAVLYLHEEWGQCVVHGDIKPSNIMLDESFDAKLGDFGLARLIDHGAGLQTMTAVAGTPGYLDPECIATGRASTESDVYSFGVVLLEVATGRRPMAPPPPGDSRIFRLVEWAWGLYGRGAVLQAADEALLRGEMGFDAREMERVLVVGLWCAHPDAAARPSIREAVEALRSGEAAKLPALPPRMPVAMYVQPYDPAKRYVVDDTTTLTATTSVTADCNYHMSSGDYNTLYSTSSIPAVGSKQSVHLLRGSNKTSQYKQADLVMGQTIST* >Brasy3G302600.1.p pacid=40043889 transcript=Brasy3G302600.1 locus=Brasy3G302600 ID=Brasy3G302600.1.v1.1 annot-version=v1.1 MARSTGPSNIFPLVLNGIVYGSTPVTARTYTVLILARPQDTVEQVLCGLGRQGGAVLHVVHADRHLPPGATIGDLRLPRYASLLVTPYPDAWCLVYKIAMAATGFHHQSLLWPEQPLGAPPVSPEQLSWDTVRLVHEFLDKVAVCPPGRMVGEFLDVFVRSGLARLLVRLYLLSTWPVHHYRAKAAMECFLESSAVVRMPLHVEAWTAPLCLSFCQAMVSDYPGDNGREDPLYKDIRGRLAAVIALHGQLLPKEWVIDRLTPFAVETANEVIANYNAGFLPETDALLEFKTFFSALCREMAHVWQQADNLSLLPSRHRQPQPLRQTIALTLTAIELLILVDHLLSRLPPLPSSERAELPAWARDEDMVDTLWVLLAAVDSWSDVRSDRILLAGLRETIRARAARLNALVLGTGAARTRQEDVVRLSPRLKRVLRFDARRHLAASMLPMPLSGPGHQPQPKFEVLVDRTRLLEDSLACVSRASRHELLNCKLTVRFLHEEASHSQAGVQREWLALVCRALFDPQLALFYPCPHNRRRFFLHAANHPLNLQCLNFAGRIIGLALTYSVQVGVLFHRTIFMQLAMDDMAEDPLLALDDIADADPRLHASCRQILQTDPAVADSGVLGLTPTRQVSPGGRNVAVNSLNRNHFVDRLITNIFVHSTKEQLVSFAEGFGSMLVDPGMRGAFFRSLYLEDLDKMLGGSMDAIDVEEWKGNVEYRGYNEEDEQIKWFWEAVESMTAEEQRRLLFFWTSVEYLPFDGFHGLGFRLIIARAFNDTPDYLPSSNTCSYLLSLPSYNSFAMTLSRLKIIAQEHV* >Brasy3G009100.1.p pacid=40043890 transcript=Brasy3G009100.1 locus=Brasy3G009100 ID=Brasy3G009100.1.v1.1 annot-version=v1.1 MPLLTPPPPPACAPGRGRRRERRLRRLRSRWDWAGLPLDAMLLPELWRRVDTRGLSRLLWSAASADRVARLAVPFAAGECESFFGEGHFDDDLLLFLAQHAPSLKSLHLIECYDVHTDAFAEAILRFPLLEELELSQCGSIRATWVVDLVATACPRLKHFSYAKGRVSPLHFGRTPYPADNTEAFAIARMHELRSLQLFCNFLDSKGLAAILDNCPHLECLNIRCCYNVFMDSSDLRAKCARIKTKKLYPYFWTDGWEHFHPSSHNDFYSDIDDERDSQFLYAESADDSGRSFYLSGVEETDLEEHDRILGKGARRYPRI* >Brasy3G325200.1.p pacid=40043891 transcript=Brasy3G325200.1 locus=Brasy3G325200 ID=Brasy3G325200.1.v1.1 annot-version=v1.1 MESSPVVLVRQQQGQGQGEEQQAEVLRALPPGFRFRPTDEELVLQYLRRKALGFPLPAAVVPDLPRLHSLDPWDILAPGESEGEKYFFALRPSAARRTAAASGCWKPEGRERAVVAVCRSGRSHLVGVKRALAFVPRARRGKKGSSSSPAPALTTGWAMHEYRLALPPHHKNVCYLADQSGSEEWVVCRVFRRDTRPSSSRRHTPAGHATMPMPASPSASSSSSSTSSCVTSGGSGSSSSDDDLQEEASS* >Brasy3G325200.2.p pacid=40043892 transcript=Brasy3G325200.2 locus=Brasy3G325200 ID=Brasy3G325200.2.v1.1 annot-version=v1.1 MESSPVVLVRQQQGQGQGEEQQAEVLRALPPGFRFRPTDEELVLQYLRRKALGFPLPAAVVPDLPRLHSLDPWDILAPGESEGEKYFFALRPSAARRTAAASGCWKPEGRERAVVAVCRSGRSHLVGVKRALAFVPRARRGKKGSSSSPAPALTTGWAMHEYRLALPPHHKNVCYLADQSGSEEWVVCRVFRRDTRPSSSRRHTPAGHATMPMPASPSASSSSSSTSSCVTSGGSGSSSSDDDLQEEASS* >Brasy3G003400.1.p pacid=40043893 transcript=Brasy3G003400.1 locus=Brasy3G003400 ID=Brasy3G003400.1.v1.1 annot-version=v1.1 MRFAVTKVCTGGAKARAGMLQIGSSSVETPALLLSTRKGLPAFMSCDLLSSLPLPDSLLLNVCPTHFIEGPPSKTISNIGGLHRMLGLPDHILVAAAGDSIGSLPSSEASNKFGASFETPAGRKLVKPSDYMELISCMRPNLWASLADEVPAWVNEKRNKTSVERTIRWLDACLALDAAAGENTLGVVVGGSSIEERKLCATEVSKRNVSGFWIGGFGLGESVEERCNLLNAVTDCLPSDKPRLVSRLGLPEEVLEGIASGIDLFDSTYIHQLTMGGFALTFPVDVVEREMQNGVFKDSGGDLTKINLRTTTYRKDTSRLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLHFFRSIREAIKVGEFDIFWKQFVENRRSQIAAAVM* >Brasy3G021600.1.p pacid=40043894 transcript=Brasy3G021600.1 locus=Brasy3G021600 ID=Brasy3G021600.1.v1.1 annot-version=v1.1 MAWRAGGRAGRCLLPLLLLTASLLDWSLISLVNMIIFFAIRFVAPRRGFHTWRLYLLYWCTIIYSAIAILAQVTFHIIWGIEGKGWIVAHSWWAKLVGFAREQPWESPFVIYFLVVQLSAAVLALVEVFGSRIHQDSCWLSFYFGIEQIGHHLRVACCLLLPAVQLVVSISHPSWISFPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFNILLLYIYQLRVKFPYVVVAFADFIGLFKVSSKSEWPELSSGISLLVYYFMLSSAKRDIQEMDSLMSLESDSLTEDLLPSRNTFLVRQSRSGRRHANVLLGGSVFRTFSINFFTYGFPVLLLTLSLWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFTFFNKRFQKDMMIWETVGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFHYLTSEEGPSSSDDHLIDEKEDTMVLIVATLAWGLRKLSRTITLMLLFLLVMKPGFIHAVYMCFFLVFLVNHSISKRLRQFLVLFCEVHFSILYILQLDLVSNALERSGSLMMEVLSQLGLSNNAKTKDLMEIGAIVCFCAVHSHGFKMLFALSAVLRHTPCPPFGFSILKAGLNKSVLLSVYSSQNSRDGQVCRNSHEKKIASYLSKIGQKFLSAYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLLWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSISPFFEHLVSKLVKLYPDLGFDPEASLLANVWQSLAVLIVMQLYSYERRQNSDKNFIVSDASISGLLGFLRRLLIWHSEKILSISVFYACLSSISLSGLAYLLGLIVFSTLPKVSRIPSKVYLVYTGFLAASEYLFQMLCKPSQMCPGQQLHGLSVFLGLKHYDSGFWGVENGLRGKVLVIVACTIQYNVFHWLDLMPTSLVHEGKWEEPCQLFISSDPSANPMDGREENHSSNRLALLFSKLQGLMGSSSSSSLSSGNTYQTLESVENVTKGSDEDKRYSFAKMWGVSKESHKWDKKRIISLKRERFETQKTTFKSYMTFWIENLFKLRGLEINMIVLLLASFTLLNIVSIFYILCLVVCILMNRDLIQKLWPIFVALFATVLILEYFALWKDGMLLLHSTNDIEVHCRECWKNSRIFIDYCSKCWLGLIVDDPRMLVSYYVVFIFSSFKLRSDHFSGFSDSDTYRQMRSQRKNAFVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDFLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRQRNLQVERMKSEMYNLQSQLNRMNSFTPIENASHNDGLRRRRTTRLYSDTDAPLLDNEIGSPAKDKIGSTESSQSFEFSVAGTKNMGDLLFQSSSDTLRSPIRGRSDEFMLADNARNSLGSTSEIIELEESDIKLHPNLHKTEKERRQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEESLPDEHPSEGIIYDVVESQRETQDGQLPRMHSGSLVTAEKSSASMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWSFSLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIIIQHCGLNIHLTLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNIEEKHYISYHWKDRLKSLHLPVINLVRMIGRGLSRYWMSLTQGAESPPYFVQITMEVNHWPEDGIQPERIESAINKVLVTAHEERCQSNLPSSCHSSSRVRIQSIERSKENTNMALAVLEVVYAAPIECQSAGWYKSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADMAVFFLVAMFYQSILKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGFVLRSIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDTLLNRANHRHGEKQTRMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFQTTACEKIPWKYLKAYNDVDPLDYLGGYNVEDIQLICCQPDASTMWLVPPPVQTGFIRSLEETEMIFGKMELILNWDFLRARPKGKELVKYESPVEQCPSVDDVKQVLNGSANSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGQNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRHGVCFLHFSVLNMSIKNWFCYVDLNKWVVKTILILQEGKIDHFVYFML* >Brasy3G021600.2.p pacid=40043895 transcript=Brasy3G021600.2 locus=Brasy3G021600 ID=Brasy3G021600.2.v1.1 annot-version=v1.1 MAWRAGGRAGRCLLPLLLLTASLLDWSLISLVNMIIFFAIRFVAPRRGFHTWRLYLLYWCTIIYSAIAILAQVTFHIIWGIEGKGWIVAHSWWAKLVGFAREQPWESPFVIYFLVVQLSAAVLALVEVFGSRIHQDSCWLSFYFGIEQIGHHLRVACCLLLPAVQLVVSISHPSWISFPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFNILLLYIYQLRVKFPYVVVAFADFIGLFKVSSKSEWPELSSGISLLVYYFMLSSAKRDIQEMDSLMSLESDSLTEDLLPSRNTFLVRQSRSGRRHANVLLGGSVFRTFSINFFTYGFPVLLLTLSLWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFTFFNKRFQKDMMIWETVGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFHYLTSEEGPSSSDDHLIDEKEDTMVLIVATLAWGLRKLSRTITLMLLFLLVMKPGFIHAVYMCFFLVFLVNHSISKRLRQFLVLFCEVHFSILYILQLDLVSNALERSGSLMMEVLSQLGLSNNAKTKDLMEIGAIVCFCAVHSHGFKMLFALSAVLRHTPCPPFGFSILKAGLNKSVLLSVYSSQNSRDGQVCRNSHEKKIASYLSKIGQKFLSAYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLLWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSISPFFEHLVSKLVKLYPDLGFDPEASLLANVWQSLAVLIVMQLYSYERRQNSDKNFIVSDASISGLLGFLRRLLIWHSEKILSISVFYACLSSISLSGLAYLLGLIVFSTLPKVSRIPSKVYLVYTGFLAASEYLFQMLCKPSQMCPGQQLHGLSVFLGLKHYDSGFWGVENGLRGKVLVIVACTIQYNVFHWLDLMPTSLVHEGKWEEPCQLFISSDPSANPMDGREENHSSNRLALLFSKLQGLMGSSSSSSLSSGNTYQTLESVENVTKGSDEDKRYSFAKMWGVSKESHKWDKKRIISLKRERFETQKTTFKSYMTFWIENLFKLRGLEINMIVLLLASFTLLNIVSIFYILCLVVCILMNRDLIQKLWPIFVALFATVLILEYFALWKDGMLLLHSTNDIEVHCRECWKNSRIFIDYCSKCWLGLIVDDPRMLVSYYVVFIFSSFKLRSDHFSGFSDSDTYRQMRSQRKNAFVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDFLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRQRNLQVERMKSEMYNLQSQLNRMNSFTPIENASHNDGLRRRRTTRLYSDTDAPLLDNEIGSPAKDKIGSTESSQSFEFSVAGTKNMGDLLFQSSSDTLRSPIRGRSDEFMLADNARNSLGSTSEIIELEESDIKLHPNLHKTEKERRQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEESLPDEHPSEGIIYDVVESQRETQDGQLPRMHSGSLVTAEKSSASMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWSFSLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIIIQHCGLNIHLTLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNIEEKHYISYHWKDRLKSLHLPVINLVRMIGRGLSRYWMSLTQGAESPPYFVQITMEVNHWPEDGIQPERIESAINKVLVTAHEERCQSNLPSSCHSSSRVRIQSIERSKENTNMALAVLEVVYAAPIECQSAGWYKSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADMAVFFLVAMFYQSILKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGFVLRSIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDTLLNRANHRHGEKQTRMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFQTTACEKIPWKYLKAYNDVDPLDYLGGYNVEDIQLICCQPDASTMWLVPPPVQTGFIRSLEETEMIFGKMELILNWDFLRARPKGKELVKYESPVEQCPSVDDVKQVLNGSANSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGQNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNVYRSPHMLLEYTKPD* >Brasy3G021600.3.p pacid=40043896 transcript=Brasy3G021600.3 locus=Brasy3G021600 ID=Brasy3G021600.3.v1.1 annot-version=v1.1 MLSSAKRDIQEMDSLMSLESDSLTEDLLPSRNTFLVRQSRSGRRHANVLLGGSVFRTFSINFFTYGFPVLLLTLSLWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFTFFNKRFQKDMMIWETVGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFHYLTSEEGPSSSDDHLIDEKEDTMVLIVATLAWGLRKLSRTITLMLLFLLVMKPGFIHAVYMCFFLVFLVNHSISKRLRQFLVLFCEVHFSILYILQLDLVSNALERSGSLMMEVLSQLGLSNNAKTKDLMEIGAIVCFCAVHSHGFKMLFALSAVLRHTPCPPFGFSILKAGLNKSVLLSVYSSQNSRDGQVCRNSHEKKIASYLSKIGQKFLSAYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLLWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSISPFFEHLVSKLVKLYPDLGFDPEASLLANVWQSLAVLIVMQLYSYERRQNSDKNFIVSDASISGLLGFLRRLLIWHSEKILSISVFYACLSSISLSGLAYLLGLIVFSTLPKVSRIPSKVYLVYTGFLAASEYLFQMLCKPSQMCPGQQLHGLSVFLGLKHYDSGFWGVENGLRGKVLVIVACTIQYNVFHWLDLMPTSLVHEGKWEEPCQLFISSDPSANPMDGREENHSSNRLALLFSKLQGLMGSSSSSSLSSGNTYQTLESVENVTKGSDEDKRYSFAKMWGVSKESHKWDKKRIISLKRERFETQKTTFKSYMTFWIENLFKLRGLEINMIVLLLASFTLLNIVSIFYILCLVVCILMNRDLIQKLWPIFVALFATVLILEYFALWKDGMLLLHSTNDIEVHCRECWKNSRIFIDYCSKCWLGLIVDDPRMLVSYYVVFIFSSFKLRSDHFSGFSDSDTYRQMRSQRKNAFVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDFLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRQRNLQVERMKSEMYNLQSQLNRMNSFTPIENASHNDGLRRRRTTRLYSDTDAPLLDNEIGSPAKDKIGSTESSQSFEFSVAGTKNMGDLLFQSSSDTLRSPIRGRSDEFMLADNARNSLGSTSEIIELEESDIKLHPNLHKTEKERRQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEESLPDEHPSEGIIYDVVESQRETQDGQLPRMHSGSLVTAEKSSASMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWSFSLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIIIQHCGLNIHLTLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNIEEKHYISYHWKDRLKSLHLPVINLVRMIGRGLSRYWMSLTQGAESPPYFVQITMEVNHWPEDGIQPERIESAINKVLVTAHEERCQSNLPSSCHSSSRVRIQSIERSKENTNMALAVLEVVYAAPIECQSAGWYKSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADMAVFFLVAMFYQSILKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGFVLRSIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDTLLNRANHRHGEKQTRMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFQTTACEKIPWKYLKAYNDVDPLDYLGGYNVEDIQLICCQPDASTMWLVPPPVQTGFIRSLEETEMIFGKMELILNWDFLRARPKGKELVKYESPVEQCPSVDDVKQVLNGSANSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGQNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNVYRSPHMLLEYTKPD* >Brasy3G293900.1.p pacid=40043897 transcript=Brasy3G293900.1 locus=Brasy3G293900 ID=Brasy3G293900.1.v1.1 annot-version=v1.1 MDQRSTASAMVRAVSRQTVKPPARPRERLPLTSWDVAMLSANYIQKGLLFAPPAAPLSTADLVQRLAAALVVTLVDYYPVAGRFVTDKHLDDHGAVVGCSVSVDCDGQGAEIVHAVADGVSVADVPSVAQSLFPLDGAVNYDGHDLPLFAAQVTELADGAVFLGFACNHALSDGTTFWNFLNAWAEAARSTSSNVLSRGRPVFERWSPDGGPAAAAVLPCADVEAEFIDRSQPPPLRDLMVHFSADSLAELKEHARRELLAAGDAAGAAGLTRFQALTSLLWRCITRARGLAPEEQTYFGNAIDAVGTEPVFVYELLADGGGRRPPWGARWRRRRTRPSGRVRRRGKARPVVYTMRLFDPCGTLMGSSPRFDMYGCDFGWGKPLALRSGRANKVDGKTSLYPGREGGGSMDAEISLTADHMAALQLDQEFWAAVAAGGEGFSDRRPLDQRTYLACYWLVRYCCLLACLLYNVSSLLAQ* >Brasy3G322300.1.p pacid=40043898 transcript=Brasy3G322300.1 locus=Brasy3G322300 ID=Brasy3G322300.1.v1.1 annot-version=v1.1 MDEWVRQAEAWAGEAERWIRQQPPEQIYVAVAVIAVTIVVLLAASCLKSSKSNTIVLSGLSGSGKSILFYQLRDGSSHQGTVTSMEHNNDTFVLHSELERKGKIKPVHVVDVPGHARLKPKLDEFLPQAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKRIPVLIFCNKTDKVTAHSKEFIKKQLEKEVNKLRESRNAISAADITDEVQLGVPGEAFNFSQCLNKVTVAEGAGLTGNVSEVEQFIREYVKA* >Brasy3G287600.1.p pacid=40043899 transcript=Brasy3G287600.1 locus=Brasy3G287600 ID=Brasy3G287600.1.v1.1 annot-version=v1.1 QELGFLKLEKRGPPCQQGDNSEVPKDTTPVVELDKIPEDILHHIYSLLSLRDAASAACVSRTFLSSWRCFPELIFDRRTLRLNMNESTPYDIAKKQVDRICHILENHSGIGVKKLRLPLCTCGNVVTANHLDSWLQTAVKSGILEELDVILPHDHSTEYNFPCLLLSRVASSIQSLYLSSLAFRPTLIIGCLRNLKTLCLQLVLVTEEELGCFFSCAISLEELKVKRCNEITFLKIPSHLQRLCILEVYRCQRLEAIEIYAPKVTRFIFSRPPMKLSITDSSQLEMVTMNGSPDKFLQLRHLKIYCDGWRFQSFDFLSLVPFVEVCLETFFLSVSMGGPRYPARQELALEGSDADSWHIRRTPGFRHDNLKKVSITGIWSSKSLIELACQVLENCSSLRCLVLDTTNGYDDGAKSICESVDREDVMDALKGVKAIKRHVRG* >Brasy3G085900.1.p pacid=40043900 transcript=Brasy3G085900.1 locus=Brasy3G085900 ID=Brasy3G085900.1.v1.1 annot-version=v1.1 MASSGGDPSGFVDCTIPLQPEIVVDNNLSKSVNTKEQIISITADKTTATNVRGSTSLKSPKGAQERANFLGKGGEQPYIYQANVYAPQPQALYSGGYMNPSGQWEEYPYYVNMEGLHSASPSFMLSPGYANNSHMMYGAYSPVSTDGQSYSPMQFPFSSPYYQPPASPSMGYSNSGTGMPQGDPMLQQEYFLPDGLLYSPTAAYHQPFGSYNRAPTQPSNAPGMFGQGNAPLASGMQHGSMYGSGSYKARQQGSKFGGTTPSWSSAGRRYGNFDYSSGQQKGSMQFGIQNGSLEFLNEQNRGPRAAKPKKQDTENSSVDGKSEKAVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRAAKEKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHITLENNDNKPVTNSRDTQEVKLEYGLQMLTIFKSHEAETTIVEDFDFYEQREKALKENRRQQQPGSTEPLKPTVSKAVGDSIDHISDTFSRTVQLKETETSGNQLRAEGAISADDVQTATVKAEEHKVDMKASPVEGSN* >Brasy3G085900.2.p pacid=40043901 transcript=Brasy3G085900.2 locus=Brasy3G085900 ID=Brasy3G085900.2.v1.1 annot-version=v1.1 MASSGGDPSGFVDCTIPLQPEIVVDNNLSKSVNTKEQIISITADKTTATNVRGSTSLKSPKGAQERANFLGKGGEQPYIYQANVYAPQPQALYSGGYMNPSGQWEEYPYYVNMEGLHSASPSFMLSPGYANNSHMMYGAYSPVSTDGQSYSPMQFPFSSPYYQPPASPSMGYSNSGTGMPQGDPMLQQEYFLPDGLLYSPTAAYHQPFGSYNRAPTQPSNAPGMFGQGNAPLASGMHGSMYGSGSYKARQQGSKFGGTTPSWSSAGRRYGNFDYSSGQQKGSMQFGIQNGSLEFLNEQNRGPRAAKPKKQDTENSSVDGKSEKAVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRAAKEKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHITLENNDNKPVTNSRDTQEVKLEYGLQMLTIFKSHEAETTIVEDFDFYEQREKALKENRRQQQPGSTEPLKPTVSKAVGDSIDHISDTFSRTVQLKETETSGNQLRAEGAISADDVQTATVKAEEHKVDMKASPVEGSN* >Brasy3G292300.1.p pacid=40043902 transcript=Brasy3G292300.1 locus=Brasy3G292300 ID=Brasy3G292300.1.v1.1 annot-version=v1.1 MEAADEERPLLHVQPYPQDVGSEYTSDGSVDINKQPALKRNTGNWRACFMILGVEFCECIAFFAIGRNLVSYLTTVLHESKVTAARNVSAWVGACFLTPLIGAFLADTYLGRYWTMVAALPVYFVGMLVLTVSASIPTSYYTGDVHSTIIYLGIYIAALGSGGIKPCASAFGADQFDSADPMELAKKASFFNWYYFLINLSSLLSSTVLVWLQDNVGWGISFAIPTVLMALGLTVFVGGSRMYRFRKLRVSPFTSLCQVVVAAVRKWHVQLPDDISLLHELASSSSNPEASYKIQHTNHFRFLDKAAIVLPPSVKTCMAPPMCSWRLCTVTQVEELKILLRMFPVWASFVIFYAVSGQTASTFIEQGMVMDNRVGPFAIPPASLSVIGVFSVLVWVPVYETVLVPLARRYTGKEKGFSQAQRLGIGFALSTLTMVYSAMLEMKRLAMAQTSGLANENVPVPMSILWQAPSYVMHGASEVFAAIGMTEFFYDQAPSSMKSLCAALAQLAVASGSYFNTIVFAVVAVATTQGGAPGWIPDNLNEGHLDYFFWMMAALSLLNLAQFVHNSLRFRVKTTS* >Brasy3G292300.2.p pacid=40043903 transcript=Brasy3G292300.2 locus=Brasy3G292300 ID=Brasy3G292300.2.v1.1 annot-version=v1.1 MEAADEERPLLHVQPYPQDVGSEYTSDGSVDINKQPALKRNTGNWRACFMILGVEFCECIAFFAIGRNLVSYLTTVLHESKVTAARNVSAWVGACFLTPLIGAFLADTYLGRYWTMVAALPVYFVGMLVLTVSASIPTSYYTGDVHSTIIYLGIYIAALGSGGIKPCASAFGADQFDSADPMELAKKASFFNWYYFLINLSSLLSSTVLVWLQDNVGWGISFAIPTVLMALGLTVFVGGSRMYRFRKLRVSPFTSLCQVVVAAVRKWHVQLPDDISLLHELASSSSNPEASYKIQHTNHFRFLDKAAIVLPPSVKTCMAPPMCSWRLCTVTQVEELKILLRMFPVWASFVIFYAVSGQTASTFIEQGMVMDNRVGPFAIPPASLSVIGVFSVLVWVPVYETVLVPLARRYTGKEKGFSQAQRLGIGFALSTLTMVYSAMLEMKRLAMAQTSGLANENVPVPMSILWQAPSYVMHGASEVFAAIGMTEFFYDQAPSSMKSLCAALAQLAVASGSYFNTIVFAVVAVATTQGGAPGWIPDNLNEGHLDYFFWMMAALSLLNLAQFVHNSLRFRVKTTS* >Brasy3G150700.1.p pacid=40043904 transcript=Brasy3G150700.1 locus=Brasy3G150700 ID=Brasy3G150700.1.v1.1 annot-version=v1.1 MATCCWNGLAWLALDSSACGGSSEEYLGQARQAGCSWDLQIDSNLVLDLDHAKYSSDSFHGAQKTSLQLTCLPVFRSGSIKKRMLPYNHSCPCVQGNVFHSRNSLRKL* >Brasy3G336700.1.p pacid=40043905 transcript=Brasy3G336700.1 locus=Brasy3G336700 ID=Brasy3G336700.1.v1.1 annot-version=v1.1 MAKPQQQEVYFVFMNFDPVYERLRADRSKQGSATLDAYLSDKHDKLLAKLLGPPDTYRKKSSLAIVDGFAAEITDAQASVLRSAKEVRVVEKNQELA* >Brasy3G200100.1.p pacid=40043906 transcript=Brasy3G200100.1 locus=Brasy3G200100 ID=Brasy3G200100.1.v1.1 annot-version=v1.1 MGSGEGGEGTRALDQTPTWAVAAVCAVIVAASILLEGILHHLGQWFSKRKKKALFDALEKVKSELMTLGFISLLLTVTARYISRICIPEGAADTMLPCPKISQHGEPKGHGRRHLSEEPNEFSCPKGMVSLVSADGLHQLHIFVFFLALFHVAFSAITMSLGRAKTRIWKEWEKDTCSLTYEFSSDPSKFRLTHQTSFVRQHASCWSKSTIMLYFVSFFRQFFRSVRRTDYMTLRHGFISAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIARMAVEITERHTVIQGMPVVKLSDEHFWFGKPHMVLHLIHFALFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGAIVQFVCSYITLPLHALVSQMGSQMKRTIFDEQTAKALKKWHKTVVKKKQQQQKESSHSHEPSESHSTGASEASHQFAEAAPVPVHRHLHRYKTIAHVGATTRALSDSDYSDTDAEATQTRYLIPPTKQRSLDSEVRVDVEDAATATEHHGSFSFQRLPPAQKSPDK* >Brasy3G200100.2.p pacid=40043907 transcript=Brasy3G200100.2 locus=Brasy3G200100 ID=Brasy3G200100.2.v1.1 annot-version=v1.1 MTLGFISLLLTVTARYISRICIPEGAADTMLPCPKISQHGEPKGHGRRHLSEEPNEFSCPKGMVSLVSADGLHQLHIFVFFLALFHVAFSAITMSLGRAKTRIWKEWEKDTCSLTYEFSSDPSKFRLTHQTSFVRQHASCWSKSTIMLYFVSFFRQFFRSVRRTDYMTLRHGFISAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIARMAVEITERHTVIQGMPVVKLSDEHFWFGKPHMVLHLIHFALFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGAIVQFVCSYITLPLHALVSQMGSQMKRTIFDEQTAKALKKWHKTVVKKKQQQQKESSHSHEPSESHSTGASEASHQFAEAAPVPVHRHLHRYKTIAHVGATTRALSDSDYSDTDAEATQTRYLIPPTKQRSLDSEVRVDVEDAATATEHHGSFSFQRLPPAQKSPDK* >Brasy3G276100.1.p pacid=40043908 transcript=Brasy3G276100.1 locus=Brasy3G276100 ID=Brasy3G276100.1.v1.1 annot-version=v1.1 MAVSAHQLLASALLALLLSAASAADTKNNPADELVALLNSNRTAAKASSLADNQGLGCIALQYIKAYEGQCDQVGGNKKPVESSFIDTFAPNCSVQATTLAKLTGRLLACQSTYPPPAQALDMLISNSKSLQVLHSKNHTEVGAAVTGTSGGGPYFWCVLFSNGKPNSSFTVEGGVPKTAHPGCFSGNNDACSSAISSGVSTWRLVSALLFSVATACAF* >Brasy3G283700.1.p pacid=40043909 transcript=Brasy3G283700.1 locus=Brasy3G283700 ID=Brasy3G283700.1.v1.1 annot-version=v1.1 MASTSSAEGAALISSDKVKLVTVLSIDGGGVRGIIPATVLAFLEEELKKLDGPDSRIADYFDVVAGTSTGGLLTTMLTAPKNGRPLFDAKDLAQFYIDESPKIFPQKNGFFSKIGTALKMVGAPKYDGKYLHSLLRKYLGETRLDGTLTNVVIPTFDISYLQPTIFSSFELKHQPAKNALLSDITISTAAAPTFFPAHYFETKDGKGETRAFNLVDGGLAANNPTLCAMSQVSQNIILGNGDFFPQKPIDYGKFMVISLGCGLNPKEKYSAKDAAKWGILNWIIKDGTVPIVDMFNAASADMVDIHLSVLFGALRSSHHYLRIQYDQLSGSAGSIDDCSKENMDRLVEIGEELLRKNVSRVDLETGRNVEMPGQGTNAQQLTKFAKQLSDERRRRKE* >Brasy3G022200.1.p pacid=40043910 transcript=Brasy3G022200.1 locus=Brasy3G022200 ID=Brasy3G022200.1.v1.1 annot-version=v1.1 MRLGRPPCCCITQLQPAAARRRLRVLPARAADTSSKAASRRSAVLGQVDEELRKGNDEAALSLVRGSLGEAGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPVDRTLGSIERNFQIAAVLGGLSVSAVFELSQFQVLFLFVGLLFVWSVDLIYFNGGVRNLVLDTIRHNLSQKYHNRVIQHEAGHFLIAYLLGVLPKEYTITSLDTLMKQGSLNVQAGTAFVDFEFVEEINTGKLSAMMLNKFSCIALAGVATEYLLYGYAEGGLADVNKLDGLFKSLGFTQNKADSQVRWAVLNTVLILRRHEDARSKLAEAMSSGRSVGSCIEVIEENVNPEDI* >Brasy3G022200.2.p pacid=40043911 transcript=Brasy3G022200.2 locus=Brasy3G022200 ID=Brasy3G022200.2.v1.1 annot-version=v1.1 MRLGRPPCCCITQLQPAAARRRLRVLPARAADTSSKAASRRSAVLGQVDEELRKGNDEAALSLVRGSLGEAGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPVDRTLGSIERNFQIAAVLGGLSVSAVFELSQFQVLFLFVGLLFVWSVDLHEAGHFLIAYLLGVLPKEYTITSLDTLMKQGSLNVQAGTAFVDFEFVEEINTGKLSAMMLNKFSCIALAGVATEYLLYGYAEGGLADVNKLDGLFKSLGFTQNKADSQVRWAVLNTVLILRRHEDARSKLAEAMSSGRSVGSCIEVIEENVNPEDI* >Brasy3G022200.3.p pacid=40043912 transcript=Brasy3G022200.3 locus=Brasy3G022200 ID=Brasy3G022200.3.v1.1 annot-version=v1.1 MRLGRPPCCCITQLQPAAARRRLRVLPARAADTSSKAASRRSAVLGQVDEELRKGNDEAALSLVRGSLGEAGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPVDRTLGSIERNFQIAAVLGGLSVSAVFELSQFQVLFLFVGLLFVWSVDLIYFNGGVRNLVLDTIRHNLSQKYHNRVIQHEAGHFLIAYLLGVLPKEYTITSLDTLMKQGSLNVQAGTAFVDFEFVEEINTGKLSAMVRISIKSCFLQRMYNLL* >Brasy3G022200.4.p pacid=40043913 transcript=Brasy3G022200.4 locus=Brasy3G022200 ID=Brasy3G022200.4.v1.1 annot-version=v1.1 MRLGRPPCCCITQLQPAAARRRLRVLPARAADTSSKAASRRSAVLGQVDEELRKGNDEAALSLVRGSLGEAGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPVDRTLGSIERNFQIAAVLGGLSVSAVFELSQFQVLFLFVGLLFVWSVDLHEAGHFLIAYLLGVLPKEYTITSLDTLMKQGSLNVQAGTAFVDFEFVEEINTGKLSAMVRISIKSCFLQRMYNLL* >Brasy3G109900.1.p pacid=40043914 transcript=Brasy3G109900.1 locus=Brasy3G109900 ID=Brasy3G109900.1.v1.1 annot-version=v1.1 MARTKITARNSTGGKAPTKQLRAFYAAARKTAPTIGGVKKPHRYRPGTVALREIRKYQKGTELLIRKLPFQRLVREIAQVSKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDVHLARRIRGEKM* >Brasy3G042300.1.p pacid=40043915 transcript=Brasy3G042300.1 locus=Brasy3G042300 ID=Brasy3G042300.1.v1.1 annot-version=v1.1 MTPARRLPPMIGRNGVAYGSPSALSLSQADLLESHHLQQALIQQQLYEQIPATMSTPGAAVDHMHNLHGMPAPDELESKSCSDNGPDADADGAGGGSGGEEGEDEEQDPNQRPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHEKQENSQLRAENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRVDNARLRDEIDRISAIAAKHVAATGKPMPFPMPGISASSASFHGLSPAVAARSPLDLVGAYGGAGDMFGGAGAAGDLLRGHGDVDKPLIVELAVAAMDELLQMARVDEPLWSSTTTGEAALEEEEYGRVYGARGLGPRQYGLKPEASGSAAVVIMTHASLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYDGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGSWAVVDVSLDSLRPSPAVKCRRRPSGCLIQELPNGYSKVTWVEHVEVDDTSVHDIYKPLVNSGLAFGAKRWVGTLGRQCERLASAMASSIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVSPNTVFDFLRDETSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDESGSSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPAPLSGIHEEGGVAAGKGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRGGSSNPQ* >Brasy3G042300.2.p pacid=40043916 transcript=Brasy3G042300.2 locus=Brasy3G042300 ID=Brasy3G042300.2.v1.1 annot-version=v1.1 MSTPGAAVDHMHNLHGMPAPDELESKSCSDNGPDADADGAGGGSGGEEGEDEEQDPNQRPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHEKQENSQLRAENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRVDNARLRDEIDRISAIAAKHVAATGKPMPFPMPGISASSASFHGLSPAVAARSPLDLVGAYGGAGDMFGGAGAAGDLLRGHGDVDKPLIVELAVAAMDELLQMARVDEPLWSSTTTGEAALEEEEYGRVYGARGLGPRQYGLKPEASGSAAVVIMTHASLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYDGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGSWAVVDVSLDSLRPSPAVKCRRRPSGCLIQELPNGYSKVTWVEHVEVDDTSVHDIYKPLVNSGLAFGAKRWVGTLGRQCERLASAMASSIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVSPNTVFDFLRDETSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDESGSSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPAPLSGIHEEGGVAAGKGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRGGSSNPQ* >Brasy3G042300.3.p pacid=40043917 transcript=Brasy3G042300.3 locus=Brasy3G042300 ID=Brasy3G042300.3.v1.1 annot-version=v1.1 MSTPGAAVDHMHNLHGMPAPDELESKSCSDNGPDADADGAGGGSGGEEGEDEEQDPNQRPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHEKQENSQLRAENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRVDNARLRDEIDRISAIAAKHVAATGKPMPFPMPGISASSASFHGLSPAVAARSPLDLVGAYGGAGDMFGGAGAAGDLLRGHGDVDKPLIVELAVAAMDELLQMARVDEPLWSSTTTGEAALEEEEYGRVYGARGLGPRQYGLKPEASGSAAVVIMTHASLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYDGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGSWAVVDVSLDSLRPSPAVKCRRRPSGCLIQELPNGYSKVTWVEHVEVDDTSVHDIYKPLVNSGLAFGAKRWVGTLGRQCERLASAMASSIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVSPNTVFDFLRDETSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDESGSSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPAPLSGIHEEGGVAAGKGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRGGSSNPQ* >Brasy3G042300.4.p pacid=40043918 transcript=Brasy3G042300.4 locus=Brasy3G042300 ID=Brasy3G042300.4.v1.1 annot-version=v1.1 MSTPGAAVDHMHNLHGMPAPDELESKSCSDNGPDADADGAGGGSGGEEGEDEEQDPNQRPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHEKQENSQLRAENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRVDNARLRDEIDRISAIAAKHVAATGKPMPFPMPGISASSASFHGLSPAVAARSPLDLVGAYGGAGDMFGGAGAAGDLLRGHGDVDKPLIVELAVAAMDELLQMARVDEPLWSSTTTGEAALEEEEYGRVYGARGLGPRQYGLKPEASGSAAVVIMTHASLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYDGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGSWAVVDVSLDSLRPSPAVKCRRRPSGCLIQELPNGYSKVTWVEHVEVDDTSVHDIYKPLVNSGLAFGAKRWVGTLGRQCERLASAMASSIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVSPNTVFDFLRDETSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDESGSSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPAPLSGIHEEGGVAAGKGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRGGSSNPQ* >Brasy3G028100.1.p pacid=40043919 transcript=Brasy3G028100.1 locus=Brasy3G028100 ID=Brasy3G028100.1.v1.1 annot-version=v1.1 MLTKGRKVAGRAQDMSAHYAFGPHEDDAIIKHRLLTRITTTRGEPPLKKLQKKFISFTTEIEKDADNSSDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFDGLQLEIQRQILQAQTDIEDLKKLLEQSKIERQHKEECEAIRKLICLQPPRSETEKLIADLEKEIANLGAETAACTRTLEFRKKQFALLLHVVEELQMSIEDEQRSIADELRVANEEPKMSIEEGSGGASDAMAVD* >Brasy3G089800.1.p pacid=40043920 transcript=Brasy3G089800.1 locus=Brasy3G089800 ID=Brasy3G089800.1.v1.1 annot-version=v1.1 MNMIESFFACLGLDHHNRLSSPSPPAPWRTTSTSTVEVTRGTHRFEITGYSRHRGIGSGATAFIRSGTFEVGGFDWSMRFYPDGYGHAAVSGNYVTLFLELTTKGGKAHASCDIRVVVDQAATGGASASVHRTGPRMFEHGDVSALAPQSTTVVQRGQVEAFVVGDRLLLECEVAVHKDPKVSKTRSVPRVHVPPPDLSGCLGKLLESNDELADVTFVVGGKPFPAHRVILAARSPVFKAEFYGPMKEKDMGRVTIDDMEPVVFEAMLYFLYTDSLPAGSLDEPESSEIIHHLLVAADRYAIDRLKLICEDVLCDCLDADTVLTTLALANQCRCDGLKDACVEFLVSSEGVCAPARTC* >Brasy3G120900.1.p pacid=40043921 transcript=Brasy3G120900.1 locus=Brasy3G120900 ID=Brasy3G120900.1.v1.1 annot-version=v1.1 MALATARLIHPCLVVSKNPRTPSLLPTHKPLTTALLTLSSPSQHSSLHSVDVSKEDKPLDTPPPPPPETTTPEQEDAAAPLPEELEASDEGPKLDPRRFEERFAVLNTGVYECRSCGYLYDQAKGDPSYPVPPGLPFAKLPDDWLCPTCGAAQSFFDSKSVEIAGFAQNQQFGLGGNSLTGGQKTLLIYGSLLVGFAFFLSGYFLQ* >Brasy3G168400.1.p pacid=40043922 transcript=Brasy3G168400.1 locus=Brasy3G168400 ID=Brasy3G168400.1.v1.1 annot-version=v1.1 MRTHRRLRPPAASIRASRAVRRRHPQPPPRPPSLSCTSILVRGRRSFSCTSSLTLLYSLRASQVSTAAAEQAGELQDAAAASFPALDSSSLAPPAALAAATAAVRAAGNAISAEGPCNRPPLLSYDFWAEMEAVLQAGDAEDWVYKGEGVANLILSYTGPSPSMVRARVLRIC* >Brasy3G221700.1.p pacid=40043923 transcript=Brasy3G221700.1 locus=Brasy3G221700 ID=Brasy3G221700.1.v1.1 annot-version=v1.1 MEAAAATSDETLAAVFVQLRPHTVALLDVLRSRGPASNTAAAASSLRAMAAFLRSAPAPALQLCFDYTAFPLFMLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G221700.2.p pacid=40043924 transcript=Brasy3G221700.2 locus=Brasy3G221700 ID=Brasy3G221700.2.v1.1 annot-version=v1.1 MEAAAATSDETLAAVFVQLRPHTVALLDVLRSRGPASNTAAAASSLRAMAAFLRSAPAPALQLCFDYTAFPLFMLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G221700.3.p pacid=40043925 transcript=Brasy3G221700.3 locus=Brasy3G221700 ID=Brasy3G221700.3.v1.1 annot-version=v1.1 MEAAAATSDETLAAVFVQLRPHTVALLDVLRSRGPASNTAAAASSLRAMAAFLRSAPAPALQLCFDYTAFPLFMLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G221700.4.p pacid=40043926 transcript=Brasy3G221700.4 locus=Brasy3G221700 ID=Brasy3G221700.4.v1.1 annot-version=v1.1 MEAAAATSDETLAAVFVQLRPHTVALLDVLRSRGPASNTAAAASSLRAMAAFLRSAPAPALQLCFDYTAFPLFMLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G221700.5.p pacid=40043927 transcript=Brasy3G221700.5 locus=Brasy3G221700 ID=Brasy3G221700.5.v1.1 annot-version=v1.1 MLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G221700.6.p pacid=40043928 transcript=Brasy3G221700.6 locus=Brasy3G221700 ID=Brasy3G221700.6.v1.1 annot-version=v1.1 MLLDAAVQCRKEGKAAGQVVGELDISDAIAEGGLTCLEVLLSKCRLTSVNQMVALLKKLTSGAMLSPSEASEEFRLGIIRCFRAMLLQLQSCSDKSCLCKQATVLPVTPASVSLEVGSVVHPKHSAQPECLLAFLRSQNASAAVGHWLSLLLQSSELEASRGHRGSTDVRKESLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSASSIEQAILGLTEALMIVFSDKENSSILDMPRDNNSAQYSGSNGSTDHVLQKLRQLPTKKLSKQIGNGETTEDNISNVTNNSADRRALHVKRTRQWLEETAANIDKLFSATFPHLSIHPSEKVRRSVVHGVRVLLSSCSYTLMRSKILLLECLCVLACDDAATVSEAAQDSLDYLFMQGQGFLSENEVSDMFTRLLEKLPQVLLGSEEISALSHARRLLALTFYAGPQFLINYLHCSPVAAARFFDHLGLCVSHSSQFSGSMDKLIASKPLSVGYLYSIAELKSGVYLKDTTYSSQYAASSSIAPKISVIKNDDFPNAIHGTVEYELPHVPPWFVHSSSQKLYFSLAGMVRLVGLSTVSGQETSASLSVFVDILLNQFRRLSTELRTKDTHKYGVQRWYMKSDSGQILRQASSAVCMLNELIYGLSDQSLSICLQLFNKSSTQVVRLHSGVTNTREVWKISEQMGTKDHIIHCIGSILHEYMSPEVWDLPTEQSSELCLAELNPPLHLFRDTTALQQVMLNGIGVFGIVLGQDFARSGFMHSSLYLLLRKLISSSAQIRITSDAILRTLAATGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEISKACRRESASLPDEVQSFCVKVRSDGQAIQNLIDKRRETSVMPETIDADAQPDFWRLEYWEDLLCKLSDMRRYRRIVGSLVGSCLSSSTPLLSSTKEAVCLVALDIVEDAVVSIAKVEEAYKFENQGKDVIQEALQFLSLDEPLDDMDAAEDADENRLLPAMNKLWPYLVICLKNKISVPIVRRCTEVLGRTIQICGGDFFVRRFYKDGYIIWRLLALSPFRRKTLSLTDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMIADISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAVRALTGLACMDSDLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFMQYGGEGVKSDIDPSSVHEVFKRMEDTVLK* >Brasy3G088300.1.p pacid=40043929 transcript=Brasy3G088300.1 locus=Brasy3G088300 ID=Brasy3G088300.1.v1.1 annot-version=v1.1 MAANWQRHWQALHGVGSPPRSPPTLIPFIPPAPRMGSELTVTASRCTTGKAMGSHEFEIDGYSLKKGMGVGNFVRSAPFTVGGYNWAIRFYPDGFTEDAKDHVAICLEFMSSNAKARAFHDMGLVKHATGLMGAGFVHSDTMVFSSTAAIDKTTIPRVKLEGSKYIQDDRLIIKCVLTVLKESQVFQTKGSSEIEVPPSNIGEDLGKLLGAKEGADVTFSVGGETFEAHKIVLAMRSPVFRAEFYGLMMETRMQCVTIQDMQPAVFKALLHFIYTDSLPNLDDLVEDDDANCEMMKHLLVAADRYAIDRLKLICQNVLAKNLDVENVSTTLALADQYNCDRLKDVCIEFIVSSNEKEAVVATNGYANLKRTCPSVLVDLFEKTSRLRKA* >Brasy3G095800.1.p pacid=40043930 transcript=Brasy3G095800.1 locus=Brasy3G095800 ID=Brasy3G095800.1.v1.1 annot-version=v1.1 MGSGGRRRRWTSKRRHGWSQPRRGSGGRQPRRASEQRLGMEQRGSLTLFPMAAAVGALSRGGGGRRGSPLFPSARQSVSSSFPSTLESLLAVRFKRAVDSGAHGTPCPVSGRALDLSPESIFSTRHNVYEFDSQLPVGFSPTRKHDHALPPYTDTRAQPRRQHSHADQTYHQYTGSTHPAALPDPFALPAVVGELTTALGEPTPRAPYIDPPPSSPHPPQVTTSSCLCRVARLLPSTTNPPLSHLPTEERSTQPQQPPAVTRATFPPSSASASASAAAPARVD* >Brasy3G331500.1.p pacid=40043931 transcript=Brasy3G331500.1 locus=Brasy3G331500 ID=Brasy3G331500.1.v1.1 annot-version=v1.1 MERQTSFHLGALEKLKSFRGIDNFRRSKDSPGKRGDTALHLAARSGSVAHVQKILAEFDRELVGELAARPNQDGETPLYVAAEKGHTEVVREILKVSDVQTAGIKASNSFDAFHVAAKQGHLEVLKELLQAFPALAMTTNSVNATALETAAIQGHIDIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRKDKKGQTALHMASKGTNAEIVVELLKPDVSVSHLEDNKGNRPLHVASRKGNIVIVQILLSIEGIEVNAVNRSGETALAIAEKINNEELVNILRDAGGETAKEQVHPANPAKQLKKTVSDIRHDVQSQIKQTRQTKMQVQKIKNRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDMSQAGPDMSLGQAYVASNPAFIAFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISAAFIALTYVVVGNSDWWLAWCTMAIGAVIMLTTLGSMCYCIVAHRMEEKSMRKIRKASGSQSHSWSMPVDSDPEMMNTEYKKMYAL* >Brasy3G331500.2.p pacid=40043932 transcript=Brasy3G331500.2 locus=Brasy3G331500 ID=Brasy3G331500.2.v1.1 annot-version=v1.1 MERQTSFHLGALEKLKSFRGIDNFRRSKDSPGKRGDTALHLAARSGSVAHVQKILAEFDRELVGELAARPNQDGETPLYVAAEKGHTEVVREILKVSDVQTAGIKASNSFDAFHVAAKQGHLEVLKELLQAFPALAMTTNSVNATALETAAIQGHIDIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRKDKKGQTALHMASKGTNAEIVVELLKPDVSVSHLEDNKGNRPLHVASRKGNIVIVQILLSIEGIEVNAVNRSGETALAIAEKINNEELVNILRDAGGETAKEQVHPANPAKQLKKTVSDIRHDVQSQIKQTRQTKMQVQKIKNRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDMSQAGPDMSLGQAYVASNPAFIAFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISAAFIALTYVVVGNSDWWLAWCTMAIGAVIMLTTLGSMCYCIVAHRMEEKSMRKIRKASGSQSHSWSMPVDSDPEMMNTEYKKMYAL* >Brasy3G331500.3.p pacid=40043933 transcript=Brasy3G331500.3 locus=Brasy3G331500 ID=Brasy3G331500.3.v1.1 annot-version=v1.1 MERQTSFHLGALEKLKSFRGIDNFRRSKDSPGKRGDTALHLAARSGSVAHVQKILAEFDRELVGELAARPNQDGETPLYVAAEKGHTEVVREILKVSDVQTAGIKASNSFDAFHVAAKQGHLEVLKELLQAFPALAMTTNSVNATALETAAIQGHIDIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRKDKKGQTALHMASKGTNAEIVVELLKPDVSVSHLEDNKGNRPLHVASRKGNIVIVQILLSIEGIEVNAVNRSGETALAIAEKINNEELVNILRDAGGETAKEQVHPANPAKQLKKTVSDIRHDVQSQIKQTRQTKMQVQKIKNRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDMSQAGPDMSLGQAYVASNPAFIAFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISAAFIALTYVVVGNSDWWLAWCTMAIGAVIMLTTLGSMCYCIVAHRMEEKSMRKIRKASGSQSHSWSMPVDSDPEMMNTEYKKMYAL* >Brasy3G169700.1.p pacid=40043934 transcript=Brasy3G169700.1 locus=Brasy3G169700 ID=Brasy3G169700.1.v1.1 annot-version=v1.1 MPPRDPLLHKANVTPPCPINLREGTPTAQQCYTHAPDLPENLIPVIGQHFRTLDEAFEFYNAYAKHTGFGLKRSQRNTYRSYIRCTREGKYTTSVRDGERQRDRASKKIGCKAHMGLKVNGDGGCIIKSIHFEHNHQLTLSPSMLVFLHSHKRVNPTLQDYIKDLQLSNVKHVNIMSLLTRLSGGRDKLGCHNRDVLNMKAKNARKESADDVQKLFKFFDDMTAENENFYYDVNVDEDNRLNNIFWANASCRAAYADFGDCITFDTTYKSNKYHLPLAVFVGVNNCIQTRRESEAAEATASMGVMKPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWKHTGLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFNVGMRAFKEVNSRMDEEGIETNAGADHHTEECCPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPP* >Brasy3G277500.1.p pacid=40043935 transcript=Brasy3G277500.1 locus=Brasy3G277500 ID=Brasy3G277500.1.v1.1 annot-version=v1.1 MEQRPHKKPRASAAPAESSWADLPPDIAGDVLRLLPCYADRICFGAACRSWRASARQHRVAPHLPCLAFADGAFRGFPADARPFRLPAAAGNHSSCGEWLVFECHGGAYALADPFSNAAMPRLPGLSRVHVRAERLVAAPERALPDRRHPWREQESEPEAAASLSLLKLVVCSPRLVAAVVGQGRHGKLALCRPGAPSWSVSGGDQWRRLKGMAFYQGKLYVVDHNEDLFAVTVKDEGEPALSRLDRVVKGRPPLADTLRRVTLLYLAESGGALMMVRREIFRARMRPGRLARTDPMDEHFTVFRAGFRSSRWKKVRTIGGDRALFLGRWCSRAVRLPEEHRPEWGDRIFFLWHRQRVATKSLSYSLSVYHMQPPPSFDRLEPLLPANDWPATWLFPRQEKLLLPPF* >Brasy3G169500.1.p pacid=40043936 transcript=Brasy3G169500.1 locus=Brasy3G169500 ID=Brasy3G169500.1.v1.1 annot-version=v1.1 MDITEVTVVHHAALVLAALWAAAAAGWTHPALFLVAIVYIFAVNERYTMRLRKRLQYEERKSANQRRLLSDAETVRWLNYAVEKIWPVCLERVASQQFLLPIFPWFINKFKPWTARKAEIQNLYLGRNPPMFTDIRAVSQSTDDDHLVLEIGMNFLAADDMDATMAVQLRKRLGFGITANMHITGMHVEGRVLVGVRFLRQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDMEKFASESKSTDNWFTVDEKPPIAHAKVEILEGADMKPSDPNGLSDPYVKGHLGPYRFQTKIHKKTLNPKWLEQFKIPITSWESLNLLSLQVRDKDHIFDDALGDCSISINKLRGGQRHDIWMALKHIKTGRIHVAITVLEDEDEKVCNDKDEQCGTLEGKASTPRSSFSSRTNTESASSAEYRKMSDEYEPVDIEGLDKAGVWVHRPGSDVSATWEPRRGRARFQDSEILRENDVSDSPRSSMSESQTSSSSTEEPASGKSHRHLRKVKKGLVKLAGVMRHKSSNNGSDDESSPCVTPHPNIRPVGESRVLVKYVVDEDVGDKKMKQRADDQHSSPDRGEVESPTKRQLRKKAAHMVKHAGKTAHNLKSMFSRKGLDKGKEEDECRNDEEDDLDEMKVDSPVPRSDVVDAQEAVADGKDKAL* >Brasy3G041600.1.p pacid=40043937 transcript=Brasy3G041600.1 locus=Brasy3G041600 ID=Brasy3G041600.1.v1.1 annot-version=v1.1 MTGDEGAMSIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGIALSKTLPKLPDLVELYLSDLNLENKGTIAIANVLKQSAPRLEVLEMAGNEITVKATQALAECLTVMQSLKKLTWAENELEDRGAVVIAKTLENSHPDLKELDVSTNMLRRAGARCFAQAIADKPGFVQLNINGNFFSDEGIDEVKKILKSGKNSLSVLGSLDENDPEGEPGDGDEEGDDGEDDEGGLDSKLQNLNVEQD* >Brasy3G277900.1.p pacid=40043938 transcript=Brasy3G277900.1 locus=Brasy3G277900 ID=Brasy3G277900.1.v1.1 annot-version=v1.1 MRPPSSVWPAHLFVRQLSSEQSFTCESWNHKVLSEAIIFSAAAFGPIPLMSWMFLKGLCAGNHEIDSAASLMSSTLLRQHRL* >Brasy3G185800.1.p pacid=40043939 transcript=Brasy3G185800.1 locus=Brasy3G185800 ID=Brasy3G185800.1.v1.1 annot-version=v1.1 MWRHAAARRSAQIRRLLSSAAPPAVAGSAVRGPCIVHKRGTDILHDPWYNKDTAFPLTERDRLGLRGLLPPRVMSFEQQYERFINSYRSLEHNTKGEPESVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNEKLLEDKLYLGLRQPRLEGEEYLAVVDELMEAIHARWPKAIVQFEDFQMKWAFETLQRYRSRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLTDFTKQKIVVVGAGSAGIGVLNMAKHAMLRMPGIHKIGELGEGHNQFWVLDKDGLITKARKGLDPAAARFARGFGPEEVQDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKDSDSPCPAIFAMSNPTTKAECTPEDVFKYVGENAVFASGSPFSNVPLSNGRKGYANQANNMYLFPGIGLGALLSGARHISDGMLHAAAECLASYITDDAIRKGILFPSISSIRHITARVGAAVARAAVDEDLAEGCCDMDPKELRSMSESDTVDYVARKMWYPVYSPLVNDK* >Brasy3G191700.1.p pacid=40043940 transcript=Brasy3G191700.1 locus=Brasy3G191700 ID=Brasy3G191700.1.v1.1 annot-version=v1.1 MSNVPTSLRDSSLTVFRLAISSSDPWPFSL* >Brasy3G345300.1.p pacid=40043941 transcript=Brasy3G345300.1 locus=Brasy3G345300 ID=Brasy3G345300.1.v1.1 annot-version=v1.1 MPAAAVFGAGPFQVEVKQVDQSRIPELPKPVMVVAPTSSEAGRASSSFPVVVFLHGCNMVNSWYEQLLMHPRRLPWLHRRRTTGIQQLYGMALNLNDLKDIDATKRIINWIADHQKGLEYVLRDIFKLQHVNADLTKLALAGHSRGGDTAFAVALGLGDGNQTKLGLKLSALIGVDPVAGVSKAAQVEPKVLTFKPQSLGVGMPVLVIGTGLGPKHIGGPPCAPAGVNHVEFYEECKPPCYHLVVKDYGHLDMLDDHVPYVINCMCMRNQHDTKELARRTMGGAMVAFMRATLEQEGKDLQAILGNPKLAPVLVLDPIDQNAAAVVSLASPPPPPPQDGPEEEEHELETICAVEKKSDYLSKNCYHINFLATTSSSTKLKSRVLFFAQLWEENRESDDDEWRAVFGDDGFGFGCRYIDREWEVSFCCPLPHYGTADPFPGRCAICEEEDGSKIVHPPCGYHVGALYDLFGRNSCSLGSATSSSHISSSRAATADGCIMDSEFMESASVPYGA* >Brasy3G028200.1.p pacid=40043942 transcript=Brasy3G028200.1 locus=Brasy3G028200 ID=Brasy3G028200.1.v1.1 annot-version=v1.1 MLLLDGCFLYSRFVPVPPRSAELLCEDIREDRDMMFLLENQIPFSVLEEIHMKLIRNGKILYANNHVVLDHVATRVRDVLQRSKYIAAGAVNHGPPAPDPCHILHLLYMYFTPTSPAAAAAAAGTGCCIDLTKLQGRRAADADADREPVPQIRWRTATQYYAAKVGFVKRELNDGARSILDVDLIGHKLHIPTLTIDTNTFRMLRNMVALEQRGLRQQRTYHVTAYCLFLSQVAGTEEDVALLVSKGIIVHLLHSNDDVAQGLAGLCDRVVIDAYATDTNYLRPKHEALEKLCHSRCRRSLAWLCHDKCSNYCKLVAVLAASVVTIAAVLQLLLAGLSYCQDKSSLKGKKC* >Brasy3G039200.1.p pacid=40043943 transcript=Brasy3G039200.1 locus=Brasy3G039200 ID=Brasy3G039200.1.v1.1 annot-version=v1.1 MPDKETGIVGDEGELCSEHLVQPRMMGQVNSKVQARTREPVSPESLTEFHGDHQHWLNAPWLDCFGTAEEVARGYDTEANKLNSVAAQTNFKQPVATAANDSGTLLPSISYDCTSDDPLLALLNDFPEQPALSDFLEQPAVLNDFLEQPGFNLVLDSIIPVVQLDDLRINLSPAGCQLVEDFLNDVDLPDVVA* >Brasy3G234000.1.p pacid=40043944 transcript=Brasy3G234000.1 locus=Brasy3G234000 ID=Brasy3G234000.1.v1.1 annot-version=v1.1 MPVRLSPRPVSPPRATASSPHLFPTEPPPPPFSPVLPRATPSVPHRALPSSSAADRLSPASVLGRRARLGRPSSAMARRIRPCSASERRIWQSSASTQRMRPSRPPPTGRRRGRPCLPLLLEKGADPAPVTGVEGLRGCGTGERFGSDRVNGPLCGGERERPQADLQPRGRHQGRREQGEAEQGRLPGHRDLRVHARRDPLLAQLLRRHAGEGGEAPGGGFRTREPPPRAPSGPSGRHMDCPEDGTMFRHFNADRVSVELRQVNQVITDRMMDVILVAGLHTNSVVVLDAQQSKHHGDGGSPLRPLPQIQVTNSSYVLACTLSML* >Brasy3G139600.1.p pacid=40043945 transcript=Brasy3G139600.1 locus=Brasy3G139600 ID=Brasy3G139600.1.v1.1 annot-version=v1.1 MKRARDGTPLVPPSDHPVQVQPPTRMDLKPAATDAFAYIAAVKDELQGEPDKYQELINTLLDFRANRIDKNSMLSRVKVLLAGCPELILGFNEFLSTSRALNIQEDKRQRQEDKKMI* >Brasy3G076900.1.p pacid=40043946 transcript=Brasy3G076900.1 locus=Brasy3G076900 ID=Brasy3G076900.1.v1.1 annot-version=v1.1 MSIYAASRRALRRIGGELLRRPFSSEPGYHVSGGPSFMRAAVFWEPGRPLTMEEFRMPRPKAGEVLVKTKACGVCHSDLHVMKGELPFSSPCVVGHEITGEVVDHGAHTPAEIVNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPSSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQVARAFGASEIIAVDVLDEKLQNAKTLGATHTVNAAKEDAVERIKEITGGRGVDVAVEALGKALTFAQCTQSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLENAISRKCKFEEANSAYDDLNQGKIIGRAVVEIM* >Brasy3G152900.1.p pacid=40043947 transcript=Brasy3G152900.1 locus=Brasy3G152900 ID=Brasy3G152900.1.v1.1 annot-version=v1.1 MYLMVVARPPDGAAAVDLTIPSHRQVVDSVEGPVVDGYLYGDGRLAGPLKPDFAQQECPSSRDDQDRRAAVAASCLPCLQERLSMIVNKGTKT* >Brasy3G065700.1.p pacid=40043948 transcript=Brasy3G065700.1 locus=Brasy3G065700 ID=Brasy3G065700.1.v1.1 annot-version=v1.1 MAMSAKERKLSRLGSGKGASGARGGQLRQPPPAGSRRRLFAAFFVFLCAGVVVFGGAHLIGASFRPVLLTAWPSATLNAISSERGAQQAGGGGAVLPSVQVRHAVALPDHVLLILRDDGSLLRASRQFECLYSAANSTQLRQLPLSVASLPGGPSLVHCPAGPAGAAVSLSLSEWPPVVPLEWDRLAYTALLDSRDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDLSKPKFVVTSPVVSAAQETFRCVTPARIRRYLRMTSDDYGASNCGDRPMLVSVRTKGRGSSTLPSIAHPEPLPRYNRRRRNRHRQRKAHSMCVCTMLRNQARFLREWIMYHSHVGVQRWFIYDNNSDDDIEQVLNTMDPARYNVTRYLWPWMKSQEAGFAHCALRVRESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSSRPQIGELRTSCHSFGPSGRTKIPRKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGIKYANIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPSRFCEVYDTGLKNFVHKEFTDPQTGNLPW* >Brasy3G065700.2.p pacid=40043949 transcript=Brasy3G065700.2 locus=Brasy3G065700 ID=Brasy3G065700.2.v1.1 annot-version=v1.1 MAMSAKERKLSRLGSGKGASGARGGQLRQPPPAGSRRRLFAAFFVFLCAGVVVFGGAHLIGASFRPVLLTAWPSATLNAISSERGAQQAGGGGAVLPSVQVRHAVALPDHVLLILRDDGSLLRASRQFECLYSAANSTQLRQLPLSVASLPGGPSLVHCPAGPAGAAVSLSLSEWPPVVPLEWDRLAYTALLDSRDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDLSKPKFVVTSPVVSAAQETFRTKGRGSSTLPSIAHPEPLPRYNRRRRNRHRQRKAHSMCVCTMLRNQARFLREWIMYHSHVGVQRWFIYDNNSDDDIEQVLNTMDPARYNVTRYLWPWMKSQEAGFAHCALRVRESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSSRPQIGELRTSCHSFGPSGRTKIPRKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGIKYANIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPSRFCEVYDTGLKNFVHKEFTDPQTGNLPW* >Brasy3G223900.1.p pacid=40043950 transcript=Brasy3G223900.1 locus=Brasy3G223900 ID=Brasy3G223900.1.v1.1 annot-version=v1.1 MATLEDLGVSAFINIVGAFVFLLLFAFLRIQPINDRVYFPKLYLARKRTHDQRGLRGVINLNLCTYLRFLSWVPGALRMNQTELIHHAGLDSAVYLRIYTLGLKIFLPIMVVALLVLIPVNVAGGTLLNIRKEVVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIIRNIPRVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKRKERLQNWLDYYQLKFERHPEKRPTGRTGCFGFCGRELDQIDYYRARISELDKRMASERQRVLNDPKAVMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFVRPVIEVKVVKSFLQGFLPGLALKLFLYILPTVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYSFFHDPPSQIPRTIGVAVPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLGENLPSLQLYFLLGLVYAVVTPILLPFIIVFFGFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISQVTLFGLLSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDRLEHASEPSLNLKTYLANAYLHPIFHMFEQEDQKEETTVEVRIDKAQQQQQHHVRSSHTQYHEEESSITETHYSHQEERSSQVQYQYHYQHHHEENMGSQQSPPHFVYHHGIEP* >Brasy3G204700.1.p pacid=40043951 transcript=Brasy3G204700.1 locus=Brasy3G204700 ID=Brasy3G204700.1.v1.1 annot-version=v1.1 MATEMEAGMKREPTGEIDTEAGRKREPSSWMETEGAERGRAARANIKAKMTESAWLKERMRKSNNILEDSMLMGAVRRRNEENPDAEQIVLEALTKEQRAALLLEERAFLTRTVKEYSKKMAERIQASIERMKTAPRVKEDPDEDWSEKLYSRFREQWESAWAKEFGTFETTTCISSMYYTDKPLPDETFRRNTLQIFSVKVARTTGALKWPLDVFGMIAVRDDLDHNRNIIFNRTRDNCQTLIEQDPYLVLTGPTRAPVCGSGPVYFDAELKVKGSTESEDQDLCLVATRYYNSGALNSVVIREDYTSKHNTLEVTFGVVLSSIEATIAMRIVRGSLPDGFQGRFTAYSASMDQELVLLLDSRNEKVHVTDNGMIELSRCVLSVGSCEELMVSAEAWEGGHRVVIEKSFKPRDSGRSWGELDLGFCMIEVTVAWSLFAHSFPSEDFPQH* >Brasy3G192000.1.p pacid=40043952 transcript=Brasy3G192000.1 locus=Brasy3G192000 ID=Brasy3G192000.1.v1.1 annot-version=v1.1 MLFVHAFTWRGISSSQVAYALTKCSGAMPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G192000.6.p pacid=40043953 transcript=Brasy3G192000.6 locus=Brasy3G192000 ID=Brasy3G192000.6.v1.1 annot-version=v1.1 MPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G192000.4.p pacid=40043954 transcript=Brasy3G192000.4 locus=Brasy3G192000 ID=Brasy3G192000.4.v1.1 annot-version=v1.1 MPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G192000.3.p pacid=40043955 transcript=Brasy3G192000.3 locus=Brasy3G192000 ID=Brasy3G192000.3.v1.1 annot-version=v1.1 MPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G192000.2.p pacid=40043956 transcript=Brasy3G192000.2 locus=Brasy3G192000 ID=Brasy3G192000.2.v1.1 annot-version=v1.1 MPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G192000.5.p pacid=40043957 transcript=Brasy3G192000.5 locus=Brasy3G192000 ID=Brasy3G192000.5.v1.1 annot-version=v1.1 MPKDYPASPKAQHLQESKKQRLTYILVVSSLCIAFYVLGAWQNTTMPKPVGNSAIARVDCDPAAQRDSSVPSFGSASETVLDFDAHHQLNLTDTEAAVQQFPACSLNFSEYTPCEDRKRGRRFERDMLAYRERHCPGKDEEIQCLVPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQVEGNKFRFPGGGTMFPHGADAYIDDIGKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGTQRLPYPSRAFDMAHCSRCLIPWGSYDGLYLAEVDRILRPGGYWILSGPPINWKTHQQGWQRTKEDLKQEQDKIENVARSLCWSKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKKMEACVTPMPEVSNQGSIAGGEVERWPERAFTVPPRVKRGTIPGIDVKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS* >Brasy3G200800.1.p pacid=40043958 transcript=Brasy3G200800.1 locus=Brasy3G200800 ID=Brasy3G200800.1.v1.1 annot-version=v1.1 MSEVDLSAAVDWVHALSMLPDLINVDLDSCGLRNSTMLHPVHPNLTSLETLDLSFNPFNTSIGANNFVLALTSLQELSLLSCGIHGPVPDALGNLFSLRKLSLQENVFVGRVPSTFKKLKKLQVFELSNNFIKMDVVELLHLLPPDELLKLRFDNSKLTGSLPAWIGQFSSLTIIKLNHNELSGEIPVGIREQTNLRDLWLNSNNLHGTINEDHFTNLTTLQVLLISDNSLTVKVSQTWNIPFSLYSASFSSCMLGPQFPAWLIQPTIKTLDISNTSIHDNIPIEFWTASYHATYLDLSRNRLVGMLPTVFQFAGLDVLDISSNQFSGPIPILPQNISYLDLSENNLSGPLHSGIGASMLEVLLLFSNSISGTIPCSLLQLPRLIFLDLSKNQLNGTFPNCPQGSKTSKITMLNLNSNNLSGAFPLFLRRCTKLQFLDLGYNKFSGNLPTWIGSKLPQLALLRSGDIPGQLTRMEWLQYLDIACNNISGSIPQSLGNLMAMTLTPNNTGGLSQIVNFVWPSLDMYFHAYTDSFVVDTKGQQLEYTTGITYMVFIDFSCNNLTGQIPQEIGMLVALKNLNLSWNGLSDMMPQSVGTIPSGNQLRTLEDQASIYIGNPGLCGPPLTKSCSGIDIIPFSQEEHEGFTGRKNLSRLRLHWSFYWYLWYEYSIDTSLCV* >Brasy3G278800.1.p pacid=40043959 transcript=Brasy3G278800.1 locus=Brasy3G278800 ID=Brasy3G278800.1.v1.1 annot-version=v1.1 MAAPSAAMRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLKSSILDGDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFQYDPIKKVFYEHTGRLAIHGEASDKAALYRDRYQVLLQRLARDKYFSRPAFETVMSENNSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLENKALNDMFVILSDVWLDNADTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVRFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNFDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES* >Brasy3G278800.3.p pacid=40043960 transcript=Brasy3G278800.3 locus=Brasy3G278800 ID=Brasy3G278800.3.v1.1 annot-version=v1.1 MAAPSAAMRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLKSSILDGDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFQYDPIKKVFYEHTGRLAIHGEASDKAALYRDRYQVLLQRLARDKYFSRPAFETVMSENNSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVRFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNFDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES* >Brasy3G278800.4.p pacid=40043961 transcript=Brasy3G278800.4 locus=Brasy3G278800 ID=Brasy3G278800.4.v1.1 annot-version=v1.1 MSENNSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLENKALNDMFVILSDVWLDNADTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVRFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNFDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES* >Brasy3G278800.2.p pacid=40043962 transcript=Brasy3G278800.2 locus=Brasy3G278800 ID=Brasy3G278800.2.v1.1 annot-version=v1.1 MSENNSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLSNAKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLENKALNDMFVILSDVWLDNADTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVRFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNFDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES* >Brasy3G296600.1.p pacid=40043963 transcript=Brasy3G296600.1 locus=Brasy3G296600 ID=Brasy3G296600.1.v1.1 annot-version=v1.1 MGLLGHSSSVVTRTIGSHEADISKVLTKLLLGAWKRKASIWIDGSKAAFCLDWPQMEHVTRESFVQAA* >Brasy3G113400.1.p pacid=40043964 transcript=Brasy3G113400.1 locus=Brasy3G113400 ID=Brasy3G113400.1.v1.1 annot-version=v1.1 MGKPTTAKKPPPPEGDGDEEVFLELSRELKEEGTRLFNRKDYEGAAFKYDKAAQLVPGGHVEAAHLRTSVARCYMRMVPAEYHRAIHECNLALEVAPRYSRALLRRAGCFQALDRPDLAWGDVEKVLGWEPGNRAAREISESVKAALKEKGVVVLDREVEPVREEANGECKRKKSHNHSDPVVNGQKVNHAAHNMEINDGQEMEEECAEGPLVDHVKYEQATQTMEKKLRNKHNKQENHLEDNQVKQCKQGKHAEHKVANGNGNHQKHMGDKETNGFEKEQKDAGGKRGKRIAGKKIQHGEGKEQKHSDVKPVDHCQENHHKYTMESNISVKAEVMRDLKLVFGEDIRCAQMPANGSLSQLREIVQSKFPSLKALLVKYRDKEDDLVTITSSEELRLANKLADPEMPIRLYVAEVDPIQELGVDVVSGQHSFATLEKNHNSMSDNGSARRDDEQNCHVDDWILQFARLFKTHVGFDSDAYLDLHDLGMRLYCEAMEDTVASEEAQEIFQVAEQKFQEMAALALFNWGNINMSRARKRPLLSEDGSFELILEQVKSAYEWACSEYAKAGSKYEEAVKTKPDFFEGLIALGQQQFEQAKLSWYYAIACKIDMGTEVLGLFNHAEDNMEKGMELWEGMENMRLRGLSKPNNENSMLEKMGLEGYTKDLSADEASEQASSIRSHINILWGTILYERSVVEFSLGLPSWEESLTVAMEKFKTGGASLADINVMVKNHCANETTQEGLSFKVEEIVQAWNEMYDAKNWRTGAPSFRLEPIFRRRAPKLHHILEHIHYT* >Brasy3G017900.1.p pacid=40043965 transcript=Brasy3G017900.1 locus=Brasy3G017900 ID=Brasy3G017900.1.v1.1 annot-version=v1.1 MNLFGFFRVSKKSNSHSLHYNDQDITNNLGDDNAMSNINGGTWNSALYRVPLPGTSSVVVVKKLQNKSGSPVDASLDNRCQSEVNLLGSIRHDNIISLADCFRKSNFMVLIYNHKENGSLHRWLHPDLPQLAAQGLVLDWPTRRNIAIGAIEGLCYLHHGRNHPIVHHNINSTSILLDTSLNPKIAGFDFARINLAGPDQPVPISELTAANMFGYTAPEYVTMVTIKVDVYSIGVVLLELVTMRLPNEPVVDGHLATWAQKSCERLMENAEDFSNVADMVIPDRARYVKEMAGMFRLGVDCTVLKPQERPAMREVLCRLRNLCR* >Brasy3G017900.2.p pacid=40043966 transcript=Brasy3G017900.2 locus=Brasy3G017900 ID=Brasy3G017900.2.v1.1 annot-version=v1.1 MNLFGFFRVSKKSNSHSLHYNDQDITNNLGDDNAMSNINGGTWNSALYRVPLPGTSSVVVVKKLQNKSGSPVDASLDNRCQSEVNLLGSIRHDNIISLADCFRKSNFMVLIYNHKENGSLHRWLHPDLPQLAAQGLVLDWPTRRNIAIGAIEGLCYLHHGRNHPIVHHNINSTSILLDTSLNPKIAGFDFARINLAGPDQPVPISELTAANMFGYTAPEYVTMVTIKVDVYSIGVVLLELVTMRLPNEPVVDGHLATWAQKSCERLMENAEDFSNVADMVIPDRARYVKEMAGMFRLGVDCTVLKPQERPAMREVLCRLRNLCR* >Brasy3G141200.1.p pacid=40043967 transcript=Brasy3G141200.1 locus=Brasy3G141200 ID=Brasy3G141200.1.v1.1 annot-version=v1.1 MRVELCGDHHCLPRSKPPPASSARRASAPARPAHAPRRASWAGSAGSHAKQAPAANSFCQLKGAKGREAETDLAVPSTGQLVDAVRA* >Brasy3G215000.1.p pacid=40043968 transcript=Brasy3G215000.1 locus=Brasy3G215000 ID=Brasy3G215000.1.v1.1 annot-version=v1.1 MATTRIAILNEDRCKPKKCRQECKKSCPVVKTGKHCIEVNPASKSAFISEELCIGCGICVKKCPFDAIQIINLPKDLDKDTTHRYGANTFKLHGHTLISLSKVVIYSTAIKVLQGKIKPNLGRFKLCISVLLQNPPDWQEILTYFRGSELQNYFTRMLEDNLKAIIKRQDVERIPEKVQGNVGKLLDRLDQRGMIPQLCIDLELNELLDRNIQDLSGGELQRFAIAGTASQSAEIYMFDEPSVYLDVKQRLKAAQVIRSLLRTNSYVIVVEHDLGVLDYMSDFICCLYGKPGAYGVVTLPFSVREGINVFLAGFVPTENLRFRNESLTFKIAEVQENTEEIETYQRYKYPTMTKTYDNFKLTAMEGEFTNSQIVVMLGENGTGKTTFIKMLAGLEKPDTVEGTDAEIPKFYVSHKPQKLKPKFQGTVRQLLHLKIRDSYTHPQFTSDVMKPLQIEQLMDQEIINLSGGERQRVALCLCLGKPADIYLIDEPSASLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIIADKVVVFEGRPSVDCTANAPQSLQSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKSEGSYYYL* >Brasy3G255000.1.p pacid=40043969 transcript=Brasy3G255000.1 locus=Brasy3G255000 ID=Brasy3G255000.1.v1.1 annot-version=v1.1 MGIPTEEDTKERKNNKDSLDEMEMKVAKFSRGKAANLGALRDKKLKGQLAGKERLIGQSAKAAAQAEKWFLPIEGGYLEPEGLEKTYRYQQQSIVQEVDLLSSRKPFDMILPALGPYTLEYTSNGRYMIVGGRKGHIAMMDMLNMDLIKEFQVRETVRDVAFLHNEQLFAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLDKHFLLVSINSFGQLHYQDMSTGEMIANYRTGLGRTDVMRVNPYNAVIGVGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDKGGHLMATAGVDRKIKIWDLRKYEVVHSYAARAQSLDFSQKGLLAGSNGSLVEIYKDSGGQDYKVYMKHRMIKGYQVDKVLFRPYEDICGIGHSMGLSSILVPGSGEANFDTFVENPVETGKQRREKEVHALLNKLPPETVMLDPNMIATVRKPKKKEKKTKKEIEEEIEDVVEAAKNTKVKKKTKGRSKPSKRAKKKEEEVLKAKRPLLDQYKETNGQPEKKQRIGDQSELPKALQRFAKNRQP* >Brasy3G218000.1.p pacid=40043970 transcript=Brasy3G218000.1 locus=Brasy3G218000 ID=Brasy3G218000.1.v1.1 annot-version=v1.1 MGLDRGELRRALAPIWPLTRLTGRGRCLQHASSPSRALLLLALSFLRRAPSLRLVPGKLYRHFPLIPPAYSLGPASASTSPTPKIWLGLRASPGEPRNHSLSSNIGSLPKKTLQQSPYLPPETEGAPKSPSRRPKLRCRRGAPGSNNLQRPAPIRARFFLLPSLLSLDLVFWPMGRPASVLGGMGRKRRWRARWGGRRRCWAGCGGSGGGGRDGEAGVGAGRDGEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAVWGAASRAWWWGSGDGRGLLPAPAEAGSGSGVGGREGKAGGGGGREGRSRALAARAGQNGGEEGRPGACGQNREEGR* >Brasy3G096300.1.p pacid=40043971 transcript=Brasy3G096300.1 locus=Brasy3G096300 ID=Brasy3G096300.1.v1.1 annot-version=v1.1 MPNAEMTNYSAIGDYPFPRAPVNTFSTQHHPTRKRRISDLSSEVNGDASTDPRQKKLKNEPIYFGFDEPMEKEKNPRKESDCHFNLAVASKKLKESEEVANDEVANDETQNESECFVDAMCNHFDCKQKGV* >Brasy3G119100.1.p pacid=40043972 transcript=Brasy3G119100.1 locus=Brasy3G119100 ID=Brasy3G119100.1.v1.1 annot-version=v1.1 MSAVVCGKRASFIFEELPNGAGSPPAAKRARFFGSASGPLPAWPRAAADPALVADLSARFPAMSIQLIEKALEESGNDLDSAIKSLLNLQLDPVENIGDHACERPNQIIAEVQASVQGLSDGDRVTAPSESAPSSANLMSDGSGWVEFFTNQMATAGNIDEARVRAARALEAFQKDVIARSNAQAPHEIQKENIALKVQLESLIKENTILRKLFTKQHERQKDYDEKNQELQQMKQHIAQYQERIRTLEVNNYALSMHLRQAQQSSSIPGRHHPDVY* >Brasy3G246200.1.p pacid=40043973 transcript=Brasy3G246200.1 locus=Brasy3G246200 ID=Brasy3G246200.1.v1.1 annot-version=v1.1 MPRASSTPSPFLHTSASSTQGAAAAASTTGSGDPNPGRHRIRPGAASPPPAAPAAIYSRAVRSGRRGSGRRIRPPRVRSPPDPASAGPGAAAMGTRRSRETTAAVLAPTHWSLPHSLSHTRWWLTRGGRWWRGLVRAAAPAPPGCSSCFD* >Brasy3G309800.1.p pacid=40043974 transcript=Brasy3G309800.1 locus=Brasy3G309800 ID=Brasy3G309800.1.v1.1 annot-version=v1.1 MVAWRDSYLDLVLIPVGLLFPILYHVWLYRAVRSCPLRSTAGISAAARRLWVLGMMRDNEKKAVLVVQSLRNVIMGSTLVATTSVLFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMVALKYAALLSAFLLSFLCHSLAICSLNQAAFLVNALSSQFFVSGAGGGGLPVVDKEYVVEVLERGFVLSLVGNRLFFGGVPLLLWIFGPVLACLASMLMIPVLYSIDIVYVEKRKGGGEVAEMATTDADDDDDSDDNDGNMMPQQV* >Brasy3G062600.1.p pacid=40043975 transcript=Brasy3G062600.1 locus=Brasy3G062600 ID=Brasy3G062600.1.v1.1 annot-version=v1.1 MPMAMATGERAEPEGRSLLCTSRPSKTCPVVGKPLPSGAVPRHALAFDGQGRYLDAPWDLSPSPSPEPEKTGFTWHHVELPRLLPGSGAGGAAAKPLHHAQALIELLCPPLTLQEILTLVGTGPHCSGGSGANSDSGGGGGALVLRVSAPGPVGSAFAIRLAARITASSVVTVSVGAVPRLAFGTSRSSLLSEVPLGVAGPSGGDGGGGRAVEGGVVIDERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMEIDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKERCASKSWFASGDIAALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIVFLPLSIVTGVFGMNVGGVPWTEQNKNPANRDGFVNVMLICAVILLLLLLCFLFPSLYSQVSAWRTRRELTRSSSQNKRHLKPFKGHREGYMRL* >Brasy3G094600.1.p pacid=40043976 transcript=Brasy3G094600.1 locus=Brasy3G094600 ID=Brasy3G094600.1.v1.1 annot-version=v1.1 MNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSAGAEEHVVAIFVGTLPKNVEAARGLSGSAACRWYINEDIPDINSFKSSLGDTVVPVAVYTPPALNLGAARAHATPKVMTVKELNGLNPFEDPGLRYVCDVTITRLVPNQRWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFADVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRIEHTYDQQVHSSLLGSPTASSSRSAELLGSPLTPISALPSPVAVPGTVASYDQSIVLSSPQVLTAAAAASVASPGSSTAPASKTVCPPRGTKRSLFGAGHGSKKAGKTKTTADVKDVPACVDGSSDTLDPADSAKGDKAPVNNKRGGVDLTRPDRGRPTQYGWRAPVALRYIKEVGAGAHSRRLTAPPYTPPNNPTDLGFLRRQRREAPPLLQSPPSLPAAVTHCRRSPTTPWQRRRARHPREKVTLFSSLSLSPSLFPIPISNHRKDHYPIPIYT* >Brasy3G243500.1.p pacid=40043977 transcript=Brasy3G243500.1 locus=Brasy3G243500 ID=Brasy3G243500.1.v1.1 annot-version=v1.1 MECCHCRSPIPDAMASSRRAVLLHPDGARRRLLIPAPAFWSSETRGRLRSLGAPPQPRCHKMYVPGFGEGSPEKKAAISLLNFFNYLAVRIVLAQLESYNREAYGELKEFVSRNSVNDADSFCKNLIRESPRHKALAMRILEVRSAYMKNDFEWDNLRKLSFKMVDDANTKLMRDYVLETSHIEDDK* >Brasy3G243500.2.p pacid=40043978 transcript=Brasy3G243500.2 locus=Brasy3G243500 ID=Brasy3G243500.2.v1.1 annot-version=v1.1 MPWRLLAAPFFSTPTELVAGFSYPRPRSGARRRGVGSGASGRRLSLGATRCFGEGSPEKKAAISLLNFFNYLAVRIVLAQLESYNREAYGELKEFVSRNSVNDADSFCKNLIRESPRHKALAMRILEVRSAYMKNDFEWDNLRKLSFKMVDDANTKLMRDYVLETSHIEDDK* >Brasy3G206000.1.p pacid=40043979 transcript=Brasy3G206000.1 locus=Brasy3G206000 ID=Brasy3G206000.1.v1.1 annot-version=v1.1 MNRRFLHLVINNVIGNPCTHSLHSINATSFFYTARQNRRTATMEDGLLPPPVMSFHRPCSPPDYYGSMDFMSLGRNRKDIYFPISITAGNGLYVMNSRLGPPEQHTFEALTYGPMPSSPFQDWFWHSLPLPPYVYDSGYDDDEPGYGYEVEQPYQITAYAVVADSNIWISTAGVGTYSFDTASRIWSKPGKWSLPLVGSLEYAPEHNLWFGFSSEENQFCSCNLSKGLPMLENVWELNLRPANNWIPMDSYIVPLGSGKFCIVKLFETLEEVVSGAGYKYDKNERFAVITAKTAYASKVFSLKKMECLSMGPGSRHYWLGWFWMCLMVFSCGHLSLLACSYIWFVLLSSFLNSCRGFNSNLYLYTC* >Brasy3G046700.1.p pacid=40043980 transcript=Brasy3G046700.1 locus=Brasy3G046700 ID=Brasy3G046700.1.v1.1 annot-version=v1.1 MVANGNSARMSYMMQGKNQQGVMNTQVKLVAINLVGCSMRFAHRSGLPILQNHGVGHASNAGITGARSGGGSFFSGNSVNGPQVPQGRNISHVGARGGPVSCSFTV* >Brasy3G178200.1.p pacid=40043981 transcript=Brasy3G178200.1 locus=Brasy3G178200 ID=Brasy3G178200.1.v1.1 annot-version=v1.1 MSLRHLLLQARRCASQPPPKLTEFLPVCRSLSILPSRALAAPSHCSAPQIQLPQTLPYSDGNPLGSGFHIDVVDSDLWPASFSFSSDNARGFEEHEEEVHDSDDEIDDMRHRKKLFYKLDRGSKEFEENNLPLRRRWKRDKGEAKNPSESKKVEPEKSAPSKVLKVKAKLAVRKDDVVEVKRERVPTFNQMTDPYHHPFCLDIHVSKGSVRACFVHRVTSRVVSVAHSISKDMKFDLGSRKGIKACVAVGALLAKRAMEDDIHNAVYTPRKGDKIEGKIEIVLRGIIENGVDVKVKLKQRKPTKNRPVVQDDQSR* >Brasy3G116600.1.p pacid=40043982 transcript=Brasy3G116600.1 locus=Brasy3G116600 ID=Brasy3G116600.1.v1.1 annot-version=v1.1 MEEMAKKMMEGRKKAAADRKAYLDLYGHPNLEVSARTFEEKTFGSTDVASAAWLAPYIVSIALFDGRAEFSNDGFLGLYDDDIAIVTCFGFLHGCGVDLNSQGKLLSDFDSVVALGRAFKSGTLMSIQAGVGGPVMDKGGNFLGLIINCGTNTKSTVILPWETLHYRLPRFEKNITNINICRFFASGLWCRVKYLVSKGYPPPPPLMLEDRGTGEVVFSKLPRQVVTKICKRVVSLSSYKGDELCFSCTGYLISWHKGGTPVILTSASLIRSPDNEDQIDENLKIDVFLPQNQYSGGILELYHSDYNIAVISLKECLPDICPEDIFLTGNSCLKKVVAMGREVVDGVLMGTIGKLAEKPTKNPSDLGCENIRLSTCKIEKAGIGGPLLNFVDGSYAGMNFYSDTDKTPYLPKSIIKKALEITDLPSKGWPVPKPYWYHAMFDRRYQYIYSARVWWKATPVNVMVLLCLSLSIVGLHVVARI* >Brasy3G075500.1.p pacid=40043983 transcript=Brasy3G075500.1 locus=Brasy3G075500 ID=Brasy3G075500.1.v1.1 annot-version=v1.1 MASPEESSASASTSHAAEGPSPDSLQNTPSNIARLEDAIENCAARRKYLARTKSASDGEDVRWYFCKLPLADRVLSASVPRTEIVGKGDYFRFSMRDCLALEAAFLEREESLLGYWWREYAECSEGPTGSLAKTDSSDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLVFETGPKLGSNTIKLRRGFSSSGSANPTQDELRQQKEEETDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLADRYLTPYQRSTQRVLYIPCQWRKSLKLGGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSSQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVSSVEGHQAPNTFTVHDSGVKEHDTSSTSGRSCADNVNGVDEDGTGNDRSLADNIIPSCVPESVPNNDDTLAPPISVDGLQTEVENQAENHQMAYTEEGATSGVSTNDADQCISRSAEEQHEAVLDKDKSISSLEEEVRRLKAKLAELEQQKDLVTQNISSVGSHQDKDVNCTVSLASGKLDVGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVGRGQDYWQDKNIVEEMPCCRQMFNVFHPFDPVAYRIEPLVCEDYVNKRPVIIPYHRGGKRIHVGLQEFTEDVAARSQSIARQFKSLKVKAVAALLSLSKNDTEDDGEKTEEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFIIKHLYRDIPEEPPTDAAGGMPIRLFYVRDPIAEDTPLTFSDNSSVKEFSRKMKTYSRETLDDANCGAS* >Brasy3G075500.2.p pacid=40043984 transcript=Brasy3G075500.2 locus=Brasy3G075500 ID=Brasy3G075500.2.v1.1 annot-version=v1.1 MASPEESSASASTSHAAEGPSPDSLQNTPSNIARLEDAIENCAARRKYLARTKSASDGEDVRWYFCKLPLADRVLSASVPRTEIVGKGDYFRFSMRDCLALEAAFLEREESLLGYWWREYAECSEGPTGSLAKTDSSDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLVFETGPKLGSNTIKLRRGFSSSGSANPTQDELRQQKEEETDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLADRYLTPYQRSTQRVLYIPCQWRKSLKLGGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSSQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVSSVEGHQAPNTFTVHDSGVKEHDTSSTSGRSCADNVNGVDEDGTGNDRSLADNIIPSCVPESVPNNDDTLAPPISVDGLQTEVENQAENHQMAYTEEGATSGVSTNDADQCISRSAEEQHEAVLDKDKSISSLEEEVRRLKAKLAELEQQKDLVTQNISSVGSHQDKDVNCTVSLASGKLDVGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVGRGQDYWQDKNIVEEMPCCRQMFNVFHPFDPVAYRIEPLVCEDYVNKRPVIIPYHRGGKRIHVGLQEFTEDVAARSQSIARQFKSLKVKAVAALLSLSKNDTEDDGEKTEEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFIIKHLYRDIPEEPPTDAAGGMPIRLFYVRDPIAEDTPLTFSDNSSVKEFSRKMKTYSRETLDDANCGAS* >Brasy3G075500.3.p pacid=40043985 transcript=Brasy3G075500.3 locus=Brasy3G075500 ID=Brasy3G075500.3.v1.1 annot-version=v1.1 MASPEESSASASTSHAAEGPSPDSLQNTPSNIARLEDAIENCAARRKYLARTKSASDGEDVRWYFCKLPLADRVLSASVPRTEIVGKGDYFRFSMRDCLALEAAFLEREESLLGYWWREYAECSEGPTGSLAKTDSSDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLVFETGPKLGSNTIKLRRGFSSSGSANPTQDELRQQKEEETDDYCSQWRKSLKLGGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSSQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVSSVEGHQAPNTFTVHDSGVKEHDTSSTSGRSCADNVNGVDEDGTGNDRSLADNIIPSCVPESVPNNDDTLAPPISVDGLQTEVENQAENHQMAYTEEGATSGVSTNDADQCISRSAEEQHEAVLDKDKSISSLEEEVRRLKAKLAELEQQKDLVTQNISSVGSHQDKDVNCTVSLASGKLDVGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVGRGQDYWQDKNIVEEMPCCRQMFNVFHPFDPVAYRIEPLVCEDYVNKRPVIIPYHRGGKRIHVGLQEFTEDVAARSQSIARQFKSLKVKAVAALLSLSKNDTEDDGEKTEEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFIIKHLYRDIPEEPPTDAAGGMPIRLFYVRDPIAEDTPLTFSDNSSVKEFSRKMKTYSRETLDDANCGAS* >Brasy3G075500.4.p pacid=40043986 transcript=Brasy3G075500.4 locus=Brasy3G075500 ID=Brasy3G075500.4.v1.1 annot-version=v1.1 MASPEESSASASTSHAAEGPSPDSLQNTPSNIARLEDAIENCAARRKYLARTKSASDGEDVRWYFCKLPLADRVLSASVPRTEIVGKGDYFRFSMRDCLALEAAFLEREESLLGYWWREYAECSEGPTGSLAKTDSSDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLVFETGPKLGSNTIKLRRGFSSSGSANPTQDELRQQKEEETDDYCSQWRKSLKLGGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSSQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVSSVEGHQAPNTFTVHDSGVKEHDTSSTSGRSCADNVNGVDEDGTGNDRSLADNIIPSCVPESVPNNDDTLAPPISVDGLQTEVENQAENHQMAYTEEGATSGVSTNDADQCISRSAEEQHEAVLDKDKSISSLEEEVRRLKAKLAELEQQKDLVTQNISSVGSHQDKDVNCTVSLASGKLDVGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVGRGQDYWQDKNIVEEMPCCRQMFNVFHPFDPVAYRIEPLVCEDYVNKRPVIIPYHRGGKRIHVGLQEFTEDVAARSQSIARQFKSLKVKAVAALLSLSKNDTEDDGEKTEEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFIIKHLYRDIPEEPPTDAAGGMPIRLFYVRDPIAEDTPLTFSDNSSVKEFSRKMKTYSRETLDDANCGAS* >Brasy3G030900.1.p pacid=40043987 transcript=Brasy3G030900.1 locus=Brasy3G030900 ID=Brasy3G030900.1.v1.1 annot-version=v1.1 MKLRSTAITRANSACLPMEDRVGFKYNGVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWISGVIDNALYPVLFLDYVKSSIPALGGGLPRTFAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLSNVNWSLYLNTLFWNLNYWDSISTLAGEVENPKRTLPKALSYALVLVVGGYLYPLITCTAALPVVRESWTDGYFSDVAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRHGTPLVGILFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRMTHPNTSRPYRIPLGTVGAVLMIIPPAILIIVVMSLASFKVMAVSILAVLIGFALQPSLVYVEKKRWLRFSVSEDLPELPDSSPVATEDDTVPLVI* >Brasy3G030900.2.p pacid=40043988 transcript=Brasy3G030900.2 locus=Brasy3G030900 ID=Brasy3G030900.2.v1.1 annot-version=v1.1 MEDRVGFKYNGVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWISGVIDNALYPVLFLDYVKSSIPALGGGLPRTFAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLSNVNWSLYLNTLFWNLNYWDSISTLAGEVENPKRTLPKALSYALVLVVGGYLYPLITCTAALPVVRESWTDGYFSDVAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRHGTPLVGILFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRMTHPNTSRPYRIPLGTVGAVLMIIPPAILIIVVMSLASFKVMAVSILAVLIGFALQPSLVYVEKKRWLRFSVSEDLPELPDSSPVATEDDTVPLVI* >Brasy3G019200.1.p pacid=40043989 transcript=Brasy3G019200.1 locus=Brasy3G019200 ID=Brasy3G019200.1.v1.1 annot-version=v1.1 MATPSSPYFPSPSTSAVSVLPPLCPSRFRSKSRPPPATAVSLLPVGRSCSGLRCRGAAGPLPPSSEPPPPSPQDWQDRLSRLQDTVRIFFAVLFWMSLFFWGSAWGGSNNSGGKKRQRFRNKSK* >Brasy3G221800.1.p pacid=40043990 transcript=Brasy3G221800.1 locus=Brasy3G221800 ID=Brasy3G221800.1.v1.1 annot-version=v1.1 MAPLFASTHASLLLLSPGTASPAARLRAGGLRIRPAPRRRIIRAAASGKPDPTVDDDEWGRENPASEPRPVVADEWGEPGVSEPEQPSGADAPTNDDEWGGEPTPTPPTPAPATAAEGEDKDEGREDLKRCLVDTVYDSGLGLKASSEVRGEVVELVAQLEAANPTAAPVQAPDLDGNWILLYTAYSELLPILLAGATPFSKVEKISQEIDSRSMTIVNASTISTPFASFSFSATASFEVQSSSRIEVQFKEGSFQPPEISSSVKLPAEIAIFGQKISLGPVQQLLNPLQQAFASIAGSISGQPPLKVPIPGNNRAKSWLLTTYLDKDLRISRGDGGGLFVLAKEGSPLLD* >Brasy3G079700.1.p pacid=40043991 transcript=Brasy3G079700.1 locus=Brasy3G079700 ID=Brasy3G079700.1.v1.1 annot-version=v1.1 MVRAGGGILFPLWLCAVCILVAQPGACNVVLMANNKTLSFNDVEASFTPAVEGSGVNGVIYTVEPRDACGPLINRPVEGPVSPFALIIRGGCQFDDKVRNAQDAGFKAAIVYDNKDNGVLVSMAGSSSGINIYAVFVSKASGEVLKKYSGQSDAQLWIISTQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGNNPCRCRSNSPAMSTSRSNVDLANMSSSPWSHTWHLASGHSLSGCHLSPPIDIRYTSLHNLPNFSGPCIGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.8.p pacid=40043992 transcript=Brasy3G079700.8 locus=Brasy3G079700 ID=Brasy3G079700.8.v1.1 annot-version=v1.1 MVRAGGGILFPLWLCAVCILVAQPGACNVVLMANNKTLSFNDVEASFTPAVEGSGVNGVIYTVEPRDACGPLINRPVEGPVSPFALIIRGGCQFDDKVRNAQDAGFKAAIVYDNKDNGVLVSMAGSSSGINIYAVFVSKASGEVLKKYSGQSDAQLWIISTQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGNNPCRCRSNSPAMSTSRSNVDLANMSSSPWSHTWHLASGHSLSGCHLSPPIDIRYTSLHNLPNFSGPCIGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.4.p pacid=40043993 transcript=Brasy3G079700.4 locus=Brasy3G079700 ID=Brasy3G079700.4.v1.1 annot-version=v1.1 MVRAGGGILFPLWLCAVCILVAQPGACNVVLMANNKTLSFNDVEASFTPAVEGSGVNGVIYTVEPRDACGPLINRPVEGPVSPFALIIRGGCQFDDKVRNAQDAGFKAAIVYDNKDNGVLVSMAGSSSGINIYAVFVSKASGEVLKKYSGQSDAQLWIISTQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.5.p pacid=40043994 transcript=Brasy3G079700.5 locus=Brasy3G079700 ID=Brasy3G079700.5.v1.1 annot-version=v1.1 MVRAGGGILFPLWLCAVCILVAQPGACNVVLMANNKTLSFNDVEASFTPAVEGSGVNGVIYTVEPRDACGPLINRPVEGPVSPFALIIRGGCQFDDKVRNAQDAGFKAAIVYDNKDNGVLVSMAGSSSGINIYAVFVSKASGEVLKKYSGQSDAQLWIISTQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.6.p pacid=40043995 transcript=Brasy3G079700.6 locus=Brasy3G079700 ID=Brasy3G079700.6.v1.1 annot-version=v1.1 MITKTTVCLFQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGNNPCRCRSNSPAMSTSRSNVDLANMSSSPWSHTWHLASGHSLSGCHLSPPIDIRYTSLHNLPNFSGPCIGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.7.p pacid=40043996 transcript=Brasy3G079700.7 locus=Brasy3G079700 ID=Brasy3G079700.7.v1.1 annot-version=v1.1 MITKTTVCLFQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGNNPCRCRSNSPAMSTSRSNVDLANMSSSPWSHTWHLASGHSLSGCHLSPPIDIRYTSLHNLPNFSGPCIGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.2.p pacid=40043997 transcript=Brasy3G079700.2 locus=Brasy3G079700 ID=Brasy3G079700.2.v1.1 annot-version=v1.1 MITKTTVCLFQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G079700.3.p pacid=40043998 transcript=Brasy3G079700.3 locus=Brasy3G079700 ID=Brasy3G079700.3.v1.1 annot-version=v1.1 MITKTTVCLFQDNAAWSIMAISFTALLAMSAVLATCFFVRRHQIRRDRALIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGAPNLPASETTPLLSSAIHLPAESTALSSFRSMVSASPPRPISRHPSLQSMSRTYSNSGIPRGSPHVSRSGYGSPSYHHLGSSGQQYPYLRRRTESGPSLFTMVPQSPQQTQLGHGGESGTSLPASASTHSLRQAYLRHCPDSDASLSAATSAQSLPGC* >Brasy3G308700.1.p pacid=40043999 transcript=Brasy3G308700.1 locus=Brasy3G308700 ID=Brasy3G308700.1.v1.1 annot-version=v1.1 MSHTPTIPFARSLPAEDESDEVAAAIPGGHRDGARPSRRGGDSLSGEASTTILAGTTATLAPCRRGAGGHPTGAATTPYPRGRRCGTLPRQARRRHPTPVGADAALSHARRGDGVLPRRARQRRPSPAGAAAATPRYITMAMLLC* >Brasy3G264900.1.p pacid=40044000 transcript=Brasy3G264900.1 locus=Brasy3G264900 ID=Brasy3G264900.1.v1.1 annot-version=v1.1 MFDARMPMMLLAAAVLQAVLLCDAASSTGLPNCPTSCGGVTVPYPFGIGAGCYRSAGFNLTCDGRSDPPRLLLGQDGSFQVRDISLPNATVRAARTGAINITFDASLSDGRGAWGGLGGDGGPYALSDDGNELLIINGCDVLALLTAGAGNSNVTISGCASFCPGTDAGSRTTLSVSSSDRRCTGVGCCQMPISIGRASYDVRFRRLNSSQPPLNPQAPDINGPPLVLIAEQGWLAQAAASTRGAPLPVNLDETPVPVLLGWAIGSAPLADQAPINNSTCALDAAHGACRSRRSSCRNVATAVRSGYVCDCQEGYQGNPYLTDGCQDVNECERAEDYGCFGECTNLPGTFQCRCPQGTHGNYTQRHGCVRSSSNSTSTGLSIGIGASAGAILVLLLLTAVFIVRKHKHLRAKKQRQKFFQQNRGQLLQQLLAQRADIAERMIINLEELEKATNNFDKERELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYDHLHVIGPTSLSWEDRLRIAVEIAKAIAYLHSAISIPIIHRDIKSANVLLDDMLTSKVADFGASRHIPIDRTGITTKVQGTIGYLDPMYYYTRRLTEKSDVYSFGVILVELLTRKKPFSYVSSEDEGLVAHFVALLTKGNLVDILDPQVTEEGGKDVEEVATLAASCIKLQGEDRPTMRQVEMALERIQPSKGHVSNIVTTYKLEENNVGSHLTSSQEARNIAGGTRRYSLEEEFLMSARYPR* >Brasy3G340700.1.p pacid=40044001 transcript=Brasy3G340700.1 locus=Brasy3G340700 ID=Brasy3G340700.1.v1.1 annot-version=v1.1 MEASGSWDAIDWNQIEDPRSRRSSQGMEEFLLEDEEVHAQGHGVVLLNTDEAGTVSVTNFRLLFVSQATKSVVELGTIPLTTIEKLNDDVKLQSLPRQYDKKQPRELLQVIGKDMRVIVFAFRPRTKQKNEVFDALRRYTKPAHLWDLYAFSCDPSTVDKKSDPKLRLLKEYHRLFRKWFSHSSSSGFEVEKDSLRNDWWRLTTVNSNYSLCSTYPSQLIVPRSISDEDLWQASTFRAGKRLPIISWCDPESGAVLARSSQPMVGLMMNFRNNSDEKLVSALCTQTDATGSPRKLYIVDARPRANALANGAKGGGSESSSNYPKSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGSSSAVSLVGDRRNRGSTWGGGSLNSMTQFSSMLGEWLNHIQNILVGASWIAAQIAQESASVLIHCSDGWDRTTQLIALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGIPTVTENGGSQYELLRQPSLGNLSSSPSRSTLGPPGSSSNTSVQSQTSNNSSPILLQWLDCIAQLLRLYPSAFEFSSKFLVDFMDCVFSCRFGNFLCNSEREREQSGVTSSCHCMWTYLADLRASGGNFHEHFNPFYDPVKYNVPLVPPAAALAPTLWPQFYLRWTCPPESQGGGLESQWHSMSKKYADSIKAKEIAESRSREIKMKMESMLADLQRERRASSSALAMAQRARRENGAIKRAIRTIGCNVNFSMNENQVDKTEGLSYSFRREADAGAQQDDNADLSVSISAIEDSLVSETPSNQVCESLCPFRTREGCRWPHAGCAQLGSQFVGLKANFDAFDRLSIEDCYFGPE* >Brasy3G340700.2.p pacid=40044002 transcript=Brasy3G340700.2 locus=Brasy3G340700 ID=Brasy3G340700.2.v1.1 annot-version=v1.1 MEASGSWDAIDWNQIEDPRSRRSSQGMEEFLLEDEEVHAQGHGVVLLNTDEAGTVSVTNFRLLFVSQATKSVVELGTIPLTTIEKLNDDVKLQSLPRQYDKKQPRELLQVIGKDMRVIVFAFRPRTKQLIVPRSISDEDLWQASTFRAGKRLPIISWCDPESGAVLARSSQPMVGLMMNFRNNSDEKLVSALCTQTDATGSPRKLYIVDARPRANALANGAKGGGSESSSNYPKSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGSSSAVSLVGDRRNRGSTWGGGSLNSMTQFSSMLGEWLNHIQNILVGASWIAAQIAQESASVLIHCSDGWDRTTQLIALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGIPTVTENGGSQYELLRQPSLGNLSSSPSRSTLGPPGSSSNTSVQSQTSNNSSPILLQWLDCIAQLLRLYPSAFEFSSKFLVDFMDCVFSCRFGNFLCNSEREREQSGVTSSCHCMWTYLADLRASGGNFHEHFNPFYDPVKYNVPLVPPAAALAPTLWPQFYLRWTCPPESQGGGLESQWHSMSKKYADSIKAKEIAESRSREIKMKMESMLADLQRERRASSSALAMAQRARRENGAIKRAIRTIGCNVNFSMNENQVDKTEGLSYSFRREADAGAQQDDNADLSVSISAIEDSLVSETPSNQVCESLCPFRTREGCRWPHAGCAQLGSQFVGLKANFDAFDRLSIEDCYFGPE* >Brasy3G290400.1.p pacid=40044003 transcript=Brasy3G290400.1 locus=Brasy3G290400 ID=Brasy3G290400.1.v1.1 annot-version=v1.1 MASSYLLLRLAADEIFRTLEPSSSSTSSSSCYDAFVPVFRPDPSAASPASAAAADRVRSQFLSVEPDLFHDALVAPRNDRLGFPEVDDDEEEASIRWDCFQFDDEESDLPLEAAAPADEFDWEEVASASGPSVEPPEPEWEVLADMAPPAPHADADEGFVYTSDREAYEVLVAGGDELYLTNKPPAARSAIESLPWAIVASGEDGEGEECAVCKDGVAAGERVKRLPCSHRYHDECIVPWLEVRNSCPLCRFELRTDDRKYEAWKAGRSVAA* >Brasy3G045700.1.p pacid=40044004 transcript=Brasy3G045700.1 locus=Brasy3G045700 ID=Brasy3G045700.1.v1.1 annot-version=v1.1 MVCTKCEKKLGKVIVPDKWKEGASNTFEGGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV* >Brasy3G137100.1.p pacid=40044005 transcript=Brasy3G137100.1 locus=Brasy3G137100 ID=Brasy3G137100.1.v1.1 annot-version=v1.1 MSLSGGTLSSETLSGSSHGTQSYGSEGNLELQARMDLKRKRRKESNRESAKRSRLRKQQHLEELTTQVNQLRTEKQQLVTTLNQTVQSYAAAETQNSVLRSQAMELDSRLRALREIIYYMNSASMQFGIPTAAAQTTAAAYYPTTSLMASASASYDVAGTNAWGSGMQMLQQQQPIELMYHRC* >Brasy3G286300.1.p pacid=40044006 transcript=Brasy3G286300.1 locus=Brasy3G286300 ID=Brasy3G286300.1.v1.1 annot-version=v1.1 MRLPCTGVLVFCSLCVFQLSHSSSDDSFTKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVSLQKYVSAYSGGGGNVTVDRAASSSWETFKLWRVSDSEFQFRCFNGQFLTASNGDMISATADSPGGPETFYIERNNTLLHIKLLNGNYLQVTDTNQLTSNYHSQPGWGDDTATFEMTIVANNLHGDYQLANGYGPAQAKVVLTEHRKSFVTGKDFYFLSRNSINAVRIPVGWWIAYDPDPPAPFIGGSLDTLDRAFYWAQVYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSAANIKKTLEVINFLAQRYADNPSLLGIELLNEPSADGVPLGTLLSYYKAGYKIVRSYSETAYVIFCQRIGNADPVELYQADLGQTNTVVDLHYYNLFDPYFEKLNATENIRFIYKNRLPQVQALSGANGPLVFIGEWVNEWNVVNASQTQYQLFGKAQLEVFGEASFGWSYWTVRCNSVHWDYEWNIRNRYLNGGSPLESPNYMMLVAGCLMYLLSILT* >Brasy3G286300.2.p pacid=40044007 transcript=Brasy3G286300.2 locus=Brasy3G286300 ID=Brasy3G286300.2.v1.1 annot-version=v1.1 MRLPCTGVLVFCSLCVFQLSHSSSDDSFTKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVSLQKYVSAYSGGGGNVTVDRAASSSWETFKLWRVSDSEFQFRCFNGQFLTASNGDMISATADSPGGPETFYIERNNTLLHIKLLNGNYLQVTDTNQLTSNYHSQPGWGDDTATFEMTIVANNLHGDYQLANGYGPAQAKVVLTEHRKSFVTGKDFYFLSRNSINAVRIPVGWWIAYDPDPPAPFIGGSLDTLDRAFYWAQVYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSAANIKKTLEVINFLAQRYADNPSLLGIELLNEPSADGVPLGEWVNEWNVVNASQTQYQLFGKAQLEVFGEASFGWSYWTVRCNSVHWDYEWNIRNRYLNGGSPLESPNYMMLVAGCLMYLLSILT* >Brasy3G237700.1.p pacid=40044008 transcript=Brasy3G237700.1 locus=Brasy3G237700 ID=Brasy3G237700.1.v1.1 annot-version=v1.1 MYSTKPLSVFKSNPEASSWPPPPQGQNSGYLVVQGAGEDENDDATCCWRWGQCGESRVRDLPFPQNRVLTVQYSQSTGESTAYYDKRTGKSTAYHSESTEYYTENVVFVPVPDQPLSSNRYYAVIASGKHKGLVRACSREEDMSACCFCRCIKDVKPRPFDAADVYQQMEIVQRRRGEFTAKAVAPDGIPSYLYRQKYWTVPQASKPKSFDLGDASGMNAALRSRVLGNAFVPAAAMAPTAVGRWYCPFYLVKEDGVSPSAQMDRATFYEVALEQRWEPVRDGSTKLSSKTALIGGNLEARLDVPSRSRQGDGYVWARAAAGQRVGVCVSVWERMRWEEYRGGWVDEENEAAGMVTGGSVLVERFAVKRTDGSVVVVFDFVHLLTRSG* >Brasy3G060100.1.p pacid=40044009 transcript=Brasy3G060100.1 locus=Brasy3G060100 ID=Brasy3G060100.1.v1.1 annot-version=v1.1 MTGVARRGRSKWDTQEISPDIVEISEDESPPMNKDDQSKDGDSLPSQDRTNDNGKQLGESSNLKPDAFMHRGSAGQEQEHTHGLNKDIKERQSKASSERSQPSRMADDVHNNNGWGKLGLEKATGNQGMSRYADDRRRGDGWGTAVGRGYPSRMSSGPDAWRQRSRSPSPRGAWNRSRRIRSRSRSRSRSRERGRGRSRSPYFSDRGSDWRVDRGRTSGGPSLLCRDFTAGRCRRGLHCRFPHEDGGRREFDELYAADPRERYVHENKDFMDPREPNDYLRSRPSRGHYEEGTRERSEPRRDYRSADQCNDFVRGRCSRGANCRYAHDDSASHVGWRDDVREIAHGRGGPDSSFGNRTEHRRENKKPCKFFAEGRCRRGQSCPYLHEETPQSQMGLGPPDEPSKYSDARTTGGNYSNWGEQTNATHASSHILSRDDRENPGSQGTGRVDTGYDYKNRQLKEAGRSQYQIIPQEDFGSQAQNKHEMTASKQPQFLSPIQTSVDSMNHDKVAGTDGPSGSGTTGNLSMQTAMHAANLLQAQNLSQIAQSQDAIPPAPTLPVTSQLQNATSILPFNSQLQQSDFSLHPNRQDQFLASQAAAPYMGHNQHGYTPGLHALPDLSILNGQNFSVAGQVPENRPTPAHAGQRQATMDMPNPSQDSGTQSRQDTNNFQPVAPNMQTQNQTLQGLSGQDSGIQSIHNTHNFLPVSQYVQSQTQNLQGLSVLPNSSSADMVGAPVPRNAATSGEDFRRAVTSLAPFLMQTGTTGLQSSQPNLNPSLMVTSSAATPAVQPNMWPWAQQQAGMVQPTHPIPSEQQAPQTFQVSTAAGTSNGNPVLLTHSVVPTAHAATSVVNATTMPSENKKGEPRDTDGEAPEDGDNKKSKESKALKLFKLALADFVKEALKPTWKEGQMTREVHKTIVKKVVDKVTSTVENTPQTKEKIEVYMAYSKEKLSKLVQAYVGKYVKA* >Brasy3G097100.1.p pacid=40044010 transcript=Brasy3G097100.1 locus=Brasy3G097100 ID=Brasy3G097100.1.v1.1 annot-version=v1.1 MNSAAHLDSFVMLWTQISQIHLTADFDKIEWVVNSTGIYSANSAYNVQFIGRTPAPHLNAIWHIKAEGKENASVFTIAGYIVWNLWKERNRRIFQKESLSVKGVIVLIREEICFFREAFQVS* >Brasy3G183900.1.p pacid=40044011 transcript=Brasy3G183900.1 locus=Brasy3G183900 ID=Brasy3G183900.1.v1.1 annot-version=v1.1 MAAALRRAVAQRFAAAPQSYGMRRFLQERPGFRPAVPPDVGGFMPPLADRIRDHLGVSLPRINLDGLVPPAAAPAPPASARAEGPVASLTVEEARKVLRATQMEAARVRVRASREGTVPYAEFLRLCCDAAGADAGPSVARALDESGSVIVLGKTVYLRPEMVVKAIEKVIPIPRAQTVAENDPAREELKAMEAQKVDIDRIATLQVRRELWGGLAALALQTAGFMRLTFWELSWDVMEPICFFVTSTYFMAGYAFFLRTKREPSFEGFFQSRFAVKQKRLMQARDFDFRRYSELRRACGLPALQPRSPCEFSQESHCHCH* >Brasy3G114600.1.p pacid=40044012 transcript=Brasy3G114600.1 locus=Brasy3G114600 ID=Brasy3G114600.1.v1.1 annot-version=v1.1 MQPQIAPSGNLVRFTQRFSFSVSIRDRPQHRQGDNDVWLDAREVLLHGTRSSRPPPEPDSGRNLGNHATLPYISVPLLPLWPVAGFFSSARGNREVPPPIFLESKITPFVLCHLHRFGHPRDG* >Brasy3G151100.1.p pacid=40044013 transcript=Brasy3G151100.1 locus=Brasy3G151100 ID=Brasy3G151100.1.v1.1 annot-version=v1.1 MTYSSQVSEDSNANMASSTTRVASDLDTHQDFQTTTKGSDLSLHGIVAQDIKENPVLIYMKGFPDAPRCGFSALAVKVLQQYGVSISARDILSNMKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILNMHQKGELKDLLGDVAQKGE* >Brasy3G325100.1.p pacid=40044014 transcript=Brasy3G325100.1 locus=Brasy3G325100 ID=Brasy3G325100.1.v1.1 annot-version=v1.1 MTTNGDPAAQADAQAQQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQSTLPFWLWEMASHGGNAEDVLFFI* >Brasy3G073900.1.p pacid=40044015 transcript=Brasy3G073900.1 locus=Brasy3G073900 ID=Brasy3G073900.1.v1.1 annot-version=v1.1 MSVGPITRARAKQIQHQVNLFLLENPSNIHENVILHDISTLCVLRFEEMDEGKELEYVLEGAGHEGQASGHATTSLKMEDKSQDMSPMCSHKSET* >Brasy3G039400.1.p pacid=40044016 transcript=Brasy3G039400.1 locus=Brasy3G039400 ID=Brasy3G039400.1.v1.1 annot-version=v1.1 MELYPGYLEDRFSFHKHRGGGGAPVVVVGGGRYMPTVAESSSSSMGIIYERRRRHPLHGPWAWYMPSPLSGPGPGVHEAAAAAVRAMDLADPKFEPPSQPVEQQQQEEEEEEHAALPLSPDSSDHDPARPRDKIRKTRRLAQNREAARKSRLRKKAYIQNLETSRMKLARMEQELTMARQQHALCFGRAGTSSNNSSPVRLPLRAPFNPGVAAFEIEYARWVEEQGRQTSELRAALQAHALPEPEQPRLRLLAEAALAHYDRLFEAKSAAARRDVFFVMSGAWRSPAERFFLWISGFRPSDLLAVLSPHLQTDLHDADHSPPQPLALALTEAQAEEVVRLRRTSRQAEDALSHGLDTLQQSLAESLLLAPPAMAATAETQQEVSFDSGYGGGDGEMGGAMGRLEELAGFVEQADHLRQQTLRNMYRILTPRQAARGLLALGQYFHRLRSLSELWVKRPREPA* >Brasy3G039400.2.p pacid=40044017 transcript=Brasy3G039400.2 locus=Brasy3G039400 ID=Brasy3G039400.2.v1.1 annot-version=v1.1 MPTVAESSSSSMGIIYERRRRHPLHGPWAWYMPSPLSGPGPGVHEAAAAAVRAMDLADPKFEPPSQPVEQQQQEEEEEEHAALPLSPDSSDHDPARPRDKIRKTRRLAQNREAARKSRLRKKAYIQNLETSRMKLARMEQELTMARQQHALCFGRAGTSSNNSSPVRLPLRAPFNPGVAAFEIEYARWVEEQGRQTSELRAALQAHALPEPEQPRLRLLAEAALAHYDRLFEAKSAAARRDVFFVMSGAWRSPAERFFLWISGFRPSDLLAVLSPHLQTDLHDADHSPPQPLALALTEAQAEEVVRLRRTSRQAEDALSHGLDTLQQSLAESLLLAPPAMAATAETQQEVSFDSGYGGGDGEMGGAMGRLEELAGFVEQADHLRQQTLRNMYRILTPRQAARGLLALGQYFHRLRSLSELWVKRPREPA* >Brasy3G039400.3.p pacid=40044018 transcript=Brasy3G039400.3 locus=Brasy3G039400 ID=Brasy3G039400.3.v1.1 annot-version=v1.1 MPTVAESSSSSMGIIYERRRRHPLHGPWAWYMPSPLSGPGPGVHEAAAAAVRAMDLADPKFEPPSQPVEQQQQEEEEEEHAALPLSPDSSDHDPARPRDKIRKTRRLAQNREAARKSRLRKKAYIQNLETSRMKLARMEQELTMARQQHALCFGRAGTSSNNSSPVRLPLRAPFNPGVAAFEIEYARWVEEQGRQTSELRAALQAHALPEPEQPRLRLLAEAALAHYDRLFEAKSAAARRDVFFVMSGAWRSPAERFFLWISGFRPSDLLAVLSPHLQTDLHDADHSPPQPLALALTEAQAEEVVRLRRTSRQAEDALSHGLDTLQQSLAESLLLAPPAMAATAETQQEVSFDSGYGGGDGEMGGAMGRLEELAGFVEQADHLRQQTLRNMYRILTPRQAARGLLALGQYFHRLRSLSELWVKRPREPA* >Brasy3G039400.4.p pacid=40044019 transcript=Brasy3G039400.4 locus=Brasy3G039400 ID=Brasy3G039400.4.v1.1 annot-version=v1.1 MKLARMEQELTMARQQHALCFGRAGTSSNNSSPVRLPLRAPFNPGVAAFEIEYARWVEEQGRQTSELRAALQAHALPEPEQPRLRLLAEAALAHYDRLFEAKSAAARRDVFFVMSGAWRSPAERFFLWISGFRPSDLLAVLSPHLQTDLHDADHSPPQPLALALTEAQAEEVVRLRRTSRQAEDALSHGLDTLQQSLAESLLLAPPAMAATAETQQEVSFDSGYGGGDGEMGGAMGRLEELAGFVEQADHLRQQTLRNMYRILTPRQAARGLLALGQYFHRLRSLSELWVKRPREPA* >Brasy3G204800.1.p pacid=40044020 transcript=Brasy3G204800.1 locus=Brasy3G204800 ID=Brasy3G204800.1.v1.1 annot-version=v1.1 MLPHAPFQYSLEDIPVIELDVCDQTDYDRVMSRKKQREYRISPAIFHETPITPRKNPHTGASHHIIRLISAERPGDFVDLLIRDTDLYLIAFRRSLDGTMGRWIRFAKEDMPSFITECEVLKADSGHNGKITIGGGPFTLIDIYENLVSPDKDEDTQVFALLRSCALFSEASRLTSVSQEMLLRMGNSSFQAKPLGLLMEDIHAWSRISFYLLSCRERGVQHAYSQKLLKQLNYGCKVFSFDQALARVSLIHQRVDYEVLLDQPMLYPEDFEDNFDEELPNLPADPPADPEED* >Brasy3G091600.1.p pacid=40044021 transcript=Brasy3G091600.1 locus=Brasy3G091600 ID=Brasy3G091600.1.v1.1 annot-version=v1.1 MAVDLMGRGGYSAARGEQEQQRAFQDAATAGLRSLELLVSSLSPRAAATAAPLGEIAGQTVSRFRRVINMLDRTGHARFRRGPVVSSPPPAPTPSNPPPVSSSPTPAAAAAAPAPPKTLTLDFTKPTKAASVTSTSFFSSVTAAGGGGEGSVSKGQMVISSGKPPLAGGTKRKQLQHQQQQPCASGAHSDAAAPCHCASSKKRKSRASRRAVRVPATSARAADIPGDEFSWRKYGQKPIKGSPYPRGYYKCSTVKGCPARKHVERATDDPAMLVVTYEGEHRHGGDHPAPAAN* >Brasy3G092800.1.p pacid=40044022 transcript=Brasy3G092800.1 locus=Brasy3G092800 ID=Brasy3G092800.1.v1.1 annot-version=v1.1 MARALLYPCLLFLASTATASLHLQCLENPPDLTAGGNEVGQVVYNLAGFTAYVTGAVHSNRAIVLASDIFGFEAPLLRQIADKVGGAGYYVVVPDFFNGQPYTGDPSINITQWIDDHSPVKAAQDAKPIFATLKKKQKSIIAVGGYCWGGKFAVEIAKMNEVEAIVISHPSSVIVDDMREVKCPIEILGAQNDTTTPQKFIYQFVHALRKRSDKIPYYGKIFPGVAHGFACRYNSTDPSAVKTAEEAFALMLDWFGKYLK* >Brasy3G014400.1.p pacid=40044023 transcript=Brasy3G014400.1 locus=Brasy3G014400 ID=Brasy3G014400.1.v1.1 annot-version=v1.1 MTAAAWPVCTICYEDLRPLSDQHLHCIPSCGHVFHALCLEQWLEYCPSVGGGGGKKKKGGTCPICKSACGGAHPPTRLFFQSTGACLTQAAPPASPSQEADPEALAAEVARLEQKAASLGRTLDEQRDGIQKLNAEVRRWKEEAAAAEAMGEKARKEKEFLQQLLNAKTDEVSRKTAECGRLQERSLGLAKELAALKLSTDMNLEEEEILKLASLGNHGNLENAVDVLKRSLALRNKSYKELMVQCNQLGRSETRAQQRIEKAKEVISKLKTKVHDLQKELEEKENTVLRDLRSSKKFKADMNQTNPGDTTTNNAFPSAGYGQKVKPDEVMQDPHTNRFIPEANNDPNLKDNLDYKISDVIDLDADDDPMIRCSARPFGNCGDTAHTRNQSSHYERDNKEPATFGCESSSYVAEESSFMKHTLATGKSTFQGNLKTKLQNFQELPVLRSTSVTTSTWKKDTLTIGGISKQATRLASGTGPQQIHNLNSLSDDDFQAPRTNGLEAARKGVSKWCKGMAAPGSLSANANKGNLIAVGPDGRGGKVKVLRDHGRFPQDSKTPALWPKAQQKAGGRGGQSQIEHFFGKR* >Brasy3G015000.1.p pacid=40044024 transcript=Brasy3G015000.1 locus=Brasy3G015000 ID=Brasy3G015000.1.v1.1 annot-version=v1.1 MKTFLILALFALVMMTTASARLPQEDKPCQHQLAPHYPTSQPEGPSYPSSKPQGPSYPSSQPQGPQYPTSQPQGPQYPSSQPQGPAYPIPQPQGPRYPSSQPQGPHYPSSQPQAPQYPSSQPQGPAYPTSQPQRPAYPTSRPQGPAYPTSQPQRPAYPTSRPQAPQYPSSQPQGPAYPTSQPQGPAYPTSRPQAPQYPVPQPQAPQYPVPRPQGPSYPTSQPQAPQYPIPQPQAPQYPVPRPQGPSYPTSQPQGPQYPSSQPQGPFLQHQLDQCREFFLQQCSQAAMAPFVQPSHMMQQSSCQVMQRQCCQQLSQIDAQSRCQAIRNVVDAVIKQQQQQQQQQQGQAQSTSRRLGFQSHEEQECGQGFFRPQQLAQTLPRMCNVYVPSYCTTVAAEPFGTGYNY* >Brasy3G250700.1.p pacid=40044025 transcript=Brasy3G250700.1 locus=Brasy3G250700 ID=Brasy3G250700.1.v1.1 annot-version=v1.1 MLDKLWDDVVAGPQPETGLDQLRRAAATKPLAINKDLGGLAAGEASKSIPTTPTTPVTPSSSTPPRGSNVWRSVFHPGSNLATKSIGANLFDRPQPNSPTVYDWLYSDETRTRSNHR* >Brasy3G133100.1.p pacid=40044026 transcript=Brasy3G133100.1 locus=Brasy3G133100 ID=Brasy3G133100.1.v1.1 annot-version=v1.1 MAAQSPSSSPQDGSGGSGSSDDLVVQAPQILREDYVQNAVKFLAHPKVKGSPVSYRYSFLEKKGLTKEEIDEAFRRVPDPQPNSTDAAAVGSQQASNPNQSAGVQPYSSVQSPQAASGSVTTGHMVPHTQMQFSWFNTLLGAGIFLGFGASSVIIIKKFFLPRLKSWTRRVASERDENADSELKSKLYDEIKEAMEASSSAFTSIAKTNQELLASKDEDKKVLIKLTQALDSQAEVLKSLSETLLQTRENRFSQYNLLEEHVQPAPWNGPTNNSWRASQQTNMYTTSPNGDFDSGRQQFMPLSPEPTSGSFPRSYVEQRVPRPGYGYQPQMGSDRSNPGIREGYYGSPHYHSGGSNTVDAPAPVPAPVPEESPFQRRWVPPQPPGVVMPEAAAAIRQPRSLPRQEPQPAAGTADAPTPSDSAANVQMDGGASGVADGESPSNGAAASTLASTVNGESGEGAATA* >Brasy3G133100.2.p pacid=40044027 transcript=Brasy3G133100.2 locus=Brasy3G133100 ID=Brasy3G133100.2.v1.1 annot-version=v1.1 MAAQSPSSSPQDGSGGSGSSDDLVVQAPQILREDYVQNAVKFLAHPKVKGSPVSYRYSFLEKKGLTKEEIDEAFRRVPDPQPNSTDAAAVGSQQASNPNQSAGVQPYSSVQSPQAASGSVTTGHMVPHTQMQFSWFNTLLGAGIFLGFGASSVIIIKKFFLPRLKSWTRRVASERDENADSELKSKLYDEIKEAMEASSSAFTSIAKTNQELLASKDEDKKVLIKLTQALDSQAEVLKSLSETLLQTRENRFSQYNLLEEHVQPAPWNGPTNNSWRASQQTNMYTTSPNGDFDSGRQQFMPLSPEPTSGSFPRSYVERVPRPGYGYQPQMGSDRSNPGIREGYYGSPHYHSGGSNTVDAPAPVPAPVPEESPFQRRWVPPQPPGVVMPEAAAAIRQPRSLPRQEPQPAAGTADAPTPSDSAANVQMDGGASGVADGESPSNGAAASTLASTVNGESGEGAATA* >Brasy3G294200.1.p pacid=40044028 transcript=Brasy3G294200.1 locus=Brasy3G294200 ID=Brasy3G294200.1.v1.1 annot-version=v1.1 MPPPRLRGAIATWHLLLLLACVLPFVRCANEQGEALLRWKRSLSTNGSSGALGSWSSSDASPCRWLGVGCDASGKVVSLSLTSVDLGGAVPASMFRPLAASLQTLVLSNVNLTGTIPAELGERFAALSTLDLSGNSLTGAIPASLCRLTKLRSLALHTNSLSGAIPADIGDLTALTHLTLYDNELGGTIPASIGRLKKLQVLRAGGNPALKGPLPAEIGRCADLTMLGLAETGMSGSLPDTIGQLGKLQTLAIYTAMLSGPIPATIGNCTELTSLYLYQNALTGGIPPELGKLTKLQNVLLWQNNLVGPIPPEIGNCKELMLIDLSLNALTGPIPSTFGALPKLQQLQLSTNKLTGAIPAELSNCTALTDVEVDNNELSGDIGAVDFPRLRNLTLFYAWQNRFTGRVPPGLAQCEGLQSLDLSYNNLTGPVPRELFALQNLTKLLLLSNELSGIIPPEIGNCTNLYRLRLNGNRLSGTIPPEIGKLKSLNFLDLGSNRLEGPVPSAIAGCDNLEFVDLHSNALSGAMPDELPRRLQFVDVSDNRLAGVLGPGIGRLPELTKLSLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGTLPFLEISLNLSCNRLTGEIPSQFRSLDKLASLDVSYNQLSGALAPLAALENLVTLNVSFNAFSGELPDTPFFQKLPLRNIAGNDHLVVVGGGDGESQSASSRRAAAMSALKLGMTILVAVSAFLLVAATYVLARSRRRSFEEEGGAHGGEPWEVTLYQKLDFSVDEVARSLTPANVIGSGSSGVVYRVELPNGDPLAVKKMWSSSSDGAFANEISALGSIRHRNIVRLLGWAANRSTKLLFYAYLPNGSLSGFLHRGAAVVKGGGVGGAADWDARYEVALGVAHAVAYLHHDCLPPILHGDIKAMNVLLGANNEPYLADFGLARVLSGAVLPGASSKLDTSKHRIAGSYGYIAPEYASMQRITEKSDVYSYGVVVLEMLTGRHPLDPTLPGGAHLVQWVRDHAQAKRELLDPRLRGKPEAELQEMLQVFAVAMLCVGHRADDRPAMKDVVAMLKEVRRPPDGAAGDEGKGQGCGGAAATAPDAVPGERRLRSPARSVLPMGGSSNCSFAMSDYST* >Brasy3G342000.1.p pacid=40044029 transcript=Brasy3G342000.1 locus=Brasy3G342000 ID=Brasy3G342000.1.v1.1 annot-version=v1.1 MLAAAVASSSGPSAASSSSFSSFAPNPTARDPLRSRRTPAPLQLGPAATNRNRQVRGRTATSLRPRDQFVNPPALRTRFSAAAAAAAAAGAEAQAGQEMAAEASAAAAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFHIDSAGTIGYHEGNKADSRMTSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILSSFERWQHKEPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGAKGFEKVLDLLEDACESLLDDILAGNANISA* >Brasy3G175500.1.p pacid=40044030 transcript=Brasy3G175500.1 locus=Brasy3G175500 ID=Brasy3G175500.1.v1.1 annot-version=v1.1 MGASSSRGRLNEGRSQKSKGTGLLPPPAQTTFKWRIDGFSSLLDKDEGWTYSRVFEIMGLNWYLKLNPRDRKSGDQNEYVSLKLELARASVRSSTVVEASFKFLIYDQSYGKHQEHQVRHNFQTASTCSGTSCMIPLTTLKKHSTGFLVGNSCVFGVEFIKVDTAKANDTSETLFVQKANNIFSDPDVYTWNIENFFALKSTDNSPEFEIGGHKWSIIIYPSGSGNNGN* >Brasy3G062200.1.p pacid=40044031 transcript=Brasy3G062200.1 locus=Brasy3G062200 ID=Brasy3G062200.1.v1.1 annot-version=v1.1 MAGGHIAHCLGGILSGRRASSTVAVSSEGALLNGEELVDGVRRLAAGLSDRGVRSGDVVAVVGFNSIEYVELLLAIPYAGAIVAPLNYRWSFEEAAQALELVRPSVFIFDGGYGSWARRLMDSGSFSYVGLYLTMGDPVSTSHAENFASVGHIKRSPRGTVVMEPLSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAVVGYGEDDVYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDAKSAFKAIQEHKVTCFITVPAIMADLLSYARKEKISGCGTVTKILNGGGGLSDELITGASHLFLHAAIFSAYGMTEACSSLTFMSINKSEPQETKSLLSSHPGGVCVGKPAPHVEILIGKEDINNSSSPIGKILTRGLHTMVGYWANNTVGTSESVRNGWLDTGDTGWIDRTGNLWLMGRQKGRIKSGGENVYPEEVELVLSQHPGVAKVVVLGVPDSRLGEKVVACVSIRDDWNWVDATDEHQGEGKEVSARTLQEHCRINKLSRFKVPRLYHQWRRPFPVTTTGKIKREELKAEILASLQPRSNL* >Brasy3G062200.2.p pacid=40044032 transcript=Brasy3G062200.2 locus=Brasy3G062200 ID=Brasy3G062200.2.v1.1 annot-version=v1.1 MAGGHIAHCLGGILSGRRASSTVAVSSEGALLNGEELVDGVRRLAAGLSDRGVRSGDVVAVVGFNSIEYVELLLAIPYAGAIVAPLNYRWSFEEAAQALELVRPSVFIFDGGYGSWARRLMDSGSFSYVGLYLTMGDPVSTSHAENFASVGHIKRSPRGTVVMEPLSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAVVGYGEDDVYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDAKSAFKAIQEHKVTCFITVPAIMADLLSYARKEKISGCGTVTKILNGGGGLSDELITGASHLFLHAAIFSAYGMTEACSSLTFMSINKSEPQETKSLLSSHPGGVCVGKPAPHVEILIGYWANNTVGTSESVRNGWLDTGDTGWIDRTGNLWLMGRQKGRIKSGGENVYPEEVELVLSQHPGVAKVVVLGVPDSRLGEKVVACVSIRDDWNWVDATDEHQGEGKEVSARTLQEHCRINKLSRFKVPRLYHQWRRPFPVTTTGKIKREELKAEILASLQPRSNL* >Brasy3G195400.1.p pacid=40044033 transcript=Brasy3G195400.1 locus=Brasy3G195400 ID=Brasy3G195400.1.v1.1 annot-version=v1.1 MAGEKKVFGFEEVAKHNVAKDCWVVIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATSDFEDIGHSESAREMMEKYHIGEIDASTIPAKRTFVPPQQAPHGQAKDNDLLIKILQFLVPILILGLAFGIRHYTKSE* >Brasy3G075100.1.p pacid=40044034 transcript=Brasy3G075100.1 locus=Brasy3G075100 ID=Brasy3G075100.1.v1.1 annot-version=v1.1 MEAAADTGSIRIVSRRMVRPSSSSDSLPPPPGDIHLTPWDLRLLTIDYIQFGILLPKPPTDGDRLVDALASSLARALERYYHFAGRLAVKEHDEDATVTISLRCTNEGAELVHASAPGVAVIDIVGSVYIPSSVVWAFFPLNGVLGVDAAIKPLPVLAAQVTELSDGVFVGMSLNHGVADGTAFWEFFNTWSEISRGDKGIDETMISRPARVHQRWFVGTNPVPIPLPLSKLQHATQRFEPPLVQEAFFTFSAASIKKLKARANEEMAGRAAATISSLQALLAHLWRAVCRARRLLPEQETSYTLIFGCRGRVDGIPPGYVGNAVLPRKARCVAGDILDKGLGWTAWQLNRVVASFDEAAIREFLDRWPREPTFAFVGNVSAGGTGLTTGGSPRFDMFGNDLGWGKPVAVRSGGGFKTDGNADVFEGPEKGGSMSLELCLVPDVLERLVADDEFMDAVSVPKVIIYSVVN* >Brasy3G227600.1.p pacid=40044035 transcript=Brasy3G227600.1 locus=Brasy3G227600 ID=Brasy3G227600.1.v1.1 annot-version=v1.1 MAQNGSHYRCSQRCEEREDLVETKKTWATRHRSYQGQVGLWLAIGPSYKIQWFEEEEEPLIMCGGEQSGVSILCRARSLPGFSLSLSLSLSLSLCN* >Brasy3G121300.1.p pacid=40044036 transcript=Brasy3G121300.1 locus=Brasy3G121300 ID=Brasy3G121300.1.v1.1 annot-version=v1.1 MDGTLVVPGAGMRGKDTGNCGRAINWSGSGGMHISCDKYQTVLKATGRNKH* >Brasy3G307600.1.p pacid=40044037 transcript=Brasy3G307600.1 locus=Brasy3G307600 ID=Brasy3G307600.1.v1.1 annot-version=v1.1 MEVRQRRVAPPGAAAAGRRQAAQAGGGSGPTRALQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVLGLTEILAVFGLVASLIYLLSFFGIAFVQSIVSSTDDDEDFLVGSRAGAPVPAQCGLLGSADAVTEKMPEEDEEIVASIVAGKTPSYVLETKLGDCRRAAGLRREAVRRITGRQIEGLPLDGFDYASILGQCCELPVGYIQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLRDAMTRAPVARFPTARRAAELKAFLEDPANFDTLSVVFNRSSRFGRLQGVQCAMAGRNIYMRFSCSTGDAMGMNMISKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEIVRKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSRDMSKAASLSS* >Brasy3G284500.1.p pacid=40044038 transcript=Brasy3G284500.1 locus=Brasy3G284500 ID=Brasy3G284500.1.v1.1 annot-version=v1.1 MAEVPGSSTAAAVLAVVMLFVVPAMALLVRRRLQSAAARREEVRRLARLAAEESELAERESVRAYYAELFPGVVHAAEVPEAPMWTPQPVAPAHEEPEVVAETQQQPQAPVGVCAVCFKPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNARPDDAAEISVAKEGKAEQGGASEETEINMPVAVGPETSGANHTLRSLNDKRKDMPFKEVPATTEVPENGHSDSVVKLRQSTPQVASLDSTKTESNIKPTNFVENGSYKDLDEVLIYKSQASALKMSGHSSYINEDLSVHSKEHGKAQDADVSVDRSQASRARKPADVNNSQTAATVAMAPKSSRASLRVEVEESKIKVTRTDNIESSKCVPSVLAANKVSSIRDVRSVTPNPSKRTDNPSERAGSTANSLASSLKKIVRQQTASKVVRHYPSELTLFPYELFIKLYDKVELRPFGLHNLGNSCYANAVLQCLMFTRPLTAYFLGGLHSKNCSNKEWCFMCEFEKLVVEGKHGKTALSPTGILSHLHEIGSSFGPGKQEDAHEFLRHSIDAMQSVCMKEARKSGAHRLAEETTLVQLIFGGYLRSKIKCTRCHGSSEQSERMLDLTVEIDGDISSLEEALQRFTSTEVLDGNNRYQCTRCKSYERAKKKLTISEAPNVLTIALKRYQSGKFGKISKAIRFPETLNLSSYMSTTDDNSPVYSLYGVVVHHDVMNAAFSGHYVCYVKDTHGKWYKIDDSQVKPVSLENVMSKCAYMLLYARCSPRAPSSVRHAIMVQDPARAKKTRHKQGPIPDHITDNLTGTFDEFGESPYPPAESPSPSESSSLFSNSDAGSHSTVSTDSSESTRNSTSTDEYEQYLFGTPDQIYQGGPTAAHDETDYTIYSRSRSTLGTSSSGREADHAGSAERFVEQGIRGGWFEGGESSSYLYTDRSKQQSSSKLTEQYRQLGTEHDPGEVRGSVLSRRSARERTAQTFY* >Brasy3G081100.1.p pacid=40044039 transcript=Brasy3G081100.1 locus=Brasy3G081100 ID=Brasy3G081100.1.v1.1 annot-version=v1.1 MSFRSIVRDVRESFGSLSRRGFDVTISGLPGLSGHHRGKSVGSLSELRDKPLVVDQSEWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRAWREICKDIVQSPEFCGKLTFPVSLKQPGPRDGLIQCFIKRDKSTLTYYLYLCLSPAVLSENGKFLLAAKRNRRTAYTEYVISVDSKIISRSSNGYVGKMRSNFLGTKFIVYDTQAPYNAGSLVSCQRASRRMSSRRVSPKVPTGSYPIAQVNYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPKQLLPSPFEESFRSTNTSSRFSVTDFSSSRFSEISGAVQQDEEDGEAKEKPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLTSAPQPAVASSESSQPPQQQQTQPSSSSSSTSDHEKVILQFGKVTKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE* >Brasy3G081100.2.p pacid=40044040 transcript=Brasy3G081100.2 locus=Brasy3G081100 ID=Brasy3G081100.2.v1.1 annot-version=v1.1 MSFRSIVRDVRESFGSLSRRGFDVTISGLPGLSGHHRGKSVGSLSELRDKPLVVDQSEWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCRAWREICKDIVQSPEFCGKLTFPVSLKQPGPRDGLIQCFIKRDKSTLTYYLYLCLSPAVLSENGKFLLAAKRNRRTAYTEYVISVDSKIISRSSNGYVGKMRSNFLGTKFIVYDTQAPYNAGSLVSCQRASRRMSSRRVSPKVPTGSYPIAQVNYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPKQLLPSPFEESFRSTNTSSRFSVTDFSSSRFSEISGAVQQDEEDGEAKEKPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLTSAPQPAVASSESSQPPQQQQTQPSSSSSSTSDHEKVILQFGKVTKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE* >Brasy3G047700.1.p pacid=40044041 transcript=Brasy3G047700.1 locus=Brasy3G047700 ID=Brasy3G047700.1.v1.1 annot-version=v1.1 MELQWSSLLLSFITFVLLLRYRRHQKKNGLLLPPGPPALVMMAKLLALWRKTLDLGTLLSDLQACHGPVISVGIFDARVVVADRKVAHAVLVQGGAAFADRPPRGSSAPAPAGSATLPTAPTGASSAATSRPRPRRREHPGRRRGGGGPLTTRSSAASFSQAVRPTRSSR* >Brasy3G311900.1.p pacid=40044042 transcript=Brasy3G311900.1 locus=Brasy3G311900 ID=Brasy3G311900.1.v1.1 annot-version=v1.1 MCPVPVSGSGGDSRDSVLAKLRASRAAVASVLETAGDAEAAIDAAGDRIGELLSNASRSSASGLQSKAVAARALAARIDRAVAPSAPLLAALRRVSSLARDTADDNADAGAGGAAFVERVDRLRDAIEDAVARGDEAVRKVEEAVGFLGRTGSSKAAGKGRVRRLTEAASALRAVYEAEAEQMRFEGPLDDALLRLQDLFEALLLKLKHAVPNEEEEGLMEIEEEYELGTEEEVDALARMARTLAANDCLDICVDIFVKARYRRAAKAMMRLDPAYLKAYTAEAIDGMEWEALESAMALWSPHFHVAVASVLSAERRLCARVMGGGGGDGVVIPPAVWPECFAKIAARIAAAFFRFADGVAAAAREPQRLFKLLDMADAVAREGERLDALFSSEPETATLAAIRERASEVGSALARAAAAVFYEFGLRVETHNSVVSGTGAEVPKIVRYAVNYLKCLASDDYRALMDAALRAGAGDEDRPALAEAAASVLEALHRHVEAARRALMAEEDPVAGHVMAMNAYWYIYMRARGTDLARLVGEDAMKRRYKSSAEETAWEYQDAAWTPLVRILSGGSSEAREKAAAFAAGLEERARRHGKQYKIPDADLRAQIRVAVTKAVRGAYAAFVKANEKVEVLLAVDVIERKVGKVFDEMGDGAVGRARSGGGGRGRRESRGSGNLEGFDRD* >Brasy3G214200.1.p pacid=40044043 transcript=Brasy3G214200.1 locus=Brasy3G214200 ID=Brasy3G214200.1.v1.1 annot-version=v1.1 MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSAIRKSASMGNLSLLAAGSSSGGGASPAHDAHSHADGYASDDFVQGSSSANRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQANMSRRKRRSSLFDLVPDESDLPPLPGNHEPEAQLLNQPPLPPPMEEEEVESMESDTSAIAESSSASAIMPENMQSSYPVLVPAYFSPFLQFSVPFWQSQSDVDDLRQGTHEIVKPVPIHSKNPINVDELVGLSKLSIGDPKQETVSTSLSLKLVGGQNRQSAFQANPPTRAQA* >Brasy3G270000.1.p pacid=40044044 transcript=Brasy3G270000.1 locus=Brasy3G270000 ID=Brasy3G270000.1.v1.1 annot-version=v1.1 METTTTLLLVGVLLLAAPLLGSSAAAGAEEDGFSVEFIHRDSVKSPFHDPALTPHGRALAAARRSAARAAELHHLLARSSGAPSPGTGAGVVAEVVSRNFEYLMAIEVGTPPVRVLAIADTGSDLVWVKCKAKDNDNNANSTFFSDDGDDAPPPSDDDTPPPSDDAPPPSSDDTPAPPTVYFVPSASSTYGRVGCDTKACRALSSAASCSPDGGNSCEYLYSYGDGSRASGRLSTETFTFSTIADSSKTTGNNSSSSRRGQVKIPKLDFGCSTTTTGTFRADGLVGLGGGPVSLASQLGATTSLGRKFSYCLAPYADANASSALNFGSRAVVSEPGAASTPLITGEVETYYTIALDSIKVSGSSSDDKPTPPAQAQPKYKSKAHRSSSSNSIIVDSGTTLTYLDSALLTPLVQSLTGRIKLPRAQSPEKILDLCYDISGVRDADALDIPDVTLVLGGGGEVTLKPDNTFVVVQEGVLCLALVATSERQSVSILGNIAQQNLHVGYDLEQGTVTFAAADCAKSHPYGGADV* >Brasy3G317800.1.p pacid=40044045 transcript=Brasy3G317800.1 locus=Brasy3G317800 ID=Brasy3G317800.1.v1.1 annot-version=v1.1 MEERRPAAAAGAALWGHGHLPLLARARSKDSVEYVLQALWRTRRTGLDAADRAVVRDILQIPSDSDLDPLLVCLRILIRRCVHDDVAKDDIPKLFPEEVLPELQRLLTLLLQKFQPEWREDTAKDQAPAPNSETTKCHPSQNQEASEQPAITELHCSTSSVKDSSKSGEKEVKLRLAKDSLDKMLKDLYPNKEQVSNAADVNGHEEAAGGT* >Brasy3G317800.2.p pacid=40044046 transcript=Brasy3G317800.2 locus=Brasy3G317800 ID=Brasy3G317800.2.v1.1 annot-version=v1.1 MEERRPAAAAGAALWGHGHLPLLARARSKDSVEYVLQALWRTRRTGLDAADRAVLLVCLRILIRRCVHDDVAKDDIPKLFPEEVLPELQRLLTLLLQKFQPEWREDTAKDQAPAPNSETTKCHPSQNQEASEQPAITELHCSTSSVKDSSKSGEKEVKLRLAKDSLDKMLKDLYPNKEQVSNAADVNGHEEAAGGT* >Brasy3G157500.1.p pacid=40044047 transcript=Brasy3G157500.1 locus=Brasy3G157500 ID=Brasy3G157500.1.v1.1 annot-version=v1.1 MNMSSGSAGGNPCAAAPFIFLVLIICLCSSSCTGTPTKCPGSSVAIPYPFNIPSNSSKSNSPGFDISCESTGPMLLLGHKSYTVLNISLDQGYVRVTGDTVYSQCHNNGTVSAKFIDLEGTPFTFSNTQNKFTVVGCDTMATLRSPTGSYIGGCVSFCASMDSSIISGACSGVGCCQASVPEKLTALDLELTSIRNQLPPFSRSPSATPTLPHQAPPCSKAFIVDQGSYVFSRHDLERNLTNMPMVLDWSIKGASCEEARRAPRTFKCRENTECYDVTNKTGYRCNCSQGFAGNPYLQGLDGCQDTDECKDEKNYPCTHKCVNTDGGFHCICPMGMTGDGKKGTGCKRDSMLLIAVGGGLPLLLVLLMLGFWTHWLVKKRKLAKIRQRYFLQNGGMLLKQQMFSQIAPLRIFTSAELEKATNSFNDDNIAGRGGFGTVYKGILSDQMVVAIKKSQRVDQSQVEQFINELVILSQVNHRNVVQLAGCCLESEVPLLVYEFITNGPLFHHLHNSSVPMPWEDRLRIALETATALAYLHLAPKMPIIHRDVKSSNILLDKSFTAKVSDFGASRPMPYNQTHVTTLVQGTLGYMDPEYFQTSQLTDKSDVYSFGVVLIELLTREKPVFGGKMDEVRSLVLHFSTLFHQNRWLEIVDHQVANEAGVRHVKTVAQLALRCLRCKGEERPRMIEVAVELEALRRLMKQHSVISLEE* >Brasy3G157500.2.p pacid=40044048 transcript=Brasy3G157500.2 locus=Brasy3G157500 ID=Brasy3G157500.2.v1.1 annot-version=v1.1 MNMSSGSAGGFDISCESTGPMLLLGHKSYTVLNISLDQGYVRVTGDTVYSQCHNNGTVSAKFIDLEGTPFTFSNTQNKFTVVGCDTMATLRSPTGSYIGGCVSFCASMDSSIISGACSGVGCCQASVPEKLTALDLELTSIRNQLPPFSRSPSATPTLPHQAPPCSKAFIVDQGSYVFSRHDLERNLTNMPMVLDWSIKGASCEEARRAPRTFKCRENTECYDVTNKTGYRCNCSQGFAGNPYLQGLDGCQDTDECKDEKNYPCTHKCVNTDGGFHCICPMGMTGDGKKGTGCKRDSMLLIAVGGGLPLLLVLLMLGFWTHWLVKKRKLAKIRQRYFLQNGGMLLKQQMFSQIAPLRIFTSAELEKATNSFNDDNIAGRGGFGTVYKGILSDQMVVAIKKSQRVDQSQVEQFINELVILSQVNHRNVVQLAGCCLESEVPLLVYEFITNGPLFHHLHNSSVPMPWEDRLRIALETATALAYLHLAPKMPIIHRDVKSSNILLDKSFTAKVSDFGASRPMPYNQTHVTTLVQGTLGYMDPEYFQTSQLTDKSDVYSFGVVLIELLTREKPVFGGKMDEVRSLVLHFSTLFHQNRWLEIVDHQVANEAGVRHVKTVAQLALRCLRCKGEERPRMIEVAVELEALRRLMKQHSVISLEE* >Brasy3G157500.3.p pacid=40044049 transcript=Brasy3G157500.3 locus=Brasy3G157500 ID=Brasy3G157500.3.v1.1 annot-version=v1.1 MLLLGHKSYTVLNISLDQGYVRVTGDTVYSQCHNNGTVSAKFIDLEGTPFTFSNTQNKFTVVGCDTMATLRSPTGSYIGGCVSFCASMDSSIISGACSGVGCCQASVPEKLTALDLELTSIRNQLPPFSRSPSATPTLPHQAPPCSKAFIVDQGSYVFSRHDLERNLTNMPMVLDWSIKGASCEEARRAPRTFKCRENTECYDVTNKTGYRCNCSQGFAGNPYLQGLDGCQDTDECKDEKNYPCTHKCVNTDGGFHCICPMGMTGDGKKGTGCKRDSMLLIAVGGGLPLLLVLLMLGFWTHWLVKKRKLAKIRQRYFLQNGGMLLKQQMFSQIAPLRIFTSAELEKATNSFNDDNIAGRGGFGTVYKGILSDQMVVAIKKSQRVDQSQVEQFINELVILSQVNHRNVVQLAGCCLESEVPLLVYEFITNGPLFHHLHNSSVPMPWEDRLRIALETATALAYLHLAPKMPIIHRDVKSSNILLDKSFTAKVSDFGASRPMPYNQTHVTTLVQGTLGYMDPEYFQTSQLTDKSDVYSFGVVLIELLTREKPVFGGKMDEVRSLVLHFSTLFHQNRWLEIVDHQVANEAGVRHVKTVAQLALRCLRCKGEERPRMIEVAVELEALRRLMKQHSVISLEE* >Brasy3G151400.1.p pacid=40044050 transcript=Brasy3G151400.1 locus=Brasy3G151400 ID=Brasy3G151400.1.v1.1 annot-version=v1.1 MERENRRWDSTPEDMIVLPTGGGPPRPQPPSAGIVPQQQCTDLPPPPQKLYYKTKLCDKYETTGFCMYAEGCTFAHGHADLRRPTHAYADLRRPPPAAHGAAAVGGGRVCHNFRDKGVCHYGEKCVFPHTSAPSGREIRNPGGEPKRVEGARRSEAPPAARASATTPRYGSAPGRAFPPVPAPAVPRQTPEQQQQGAPKVSVLERLSQRKTRGIYGDWPDHL* >Brasy3G276500.1.p pacid=40044051 transcript=Brasy3G276500.1 locus=Brasy3G276500 ID=Brasy3G276500.1.v1.1 annot-version=v1.1 MKPASTVPSTTKRSSDAAGCVCSGTTPPTAMSSRAPEMPSPLTPGNWPTKAGVTAALMRFVVLPAAARPW* >Brasy3G205100.1.p pacid=40044052 transcript=Brasy3G205100.1 locus=Brasy3G205100 ID=Brasy3G205100.1.v1.1 annot-version=v1.1 MKLASSAVRLAAKRAHIKVHGLSPPLTSSVLTEDRTCPGHEASGLRREERSTRRLRMAPGRFGSGSGHTRPGPGHPPRDPDPASVPEEVAAPLPRPRPAPPSGLGERAMALTLATAREAAGRREDEEPEPDPPAAIFLEVGGTPRRYPSRPDPEGGIGTGGREMVEGLASGDGKGSASGSGWNPRGGARPAPVTGLPSEWTYPHLRARRSRSRWRRNRRRPWQAVGGRGVVAGRGAGGGVVGTGDWEKASSVRGDWGVRVFGLHLGVLGRICVGLGRKILLQICTDIWGP* >Brasy3G148800.1.p pacid=40044053 transcript=Brasy3G148800.1 locus=Brasy3G148800 ID=Brasy3G148800.1.v1.1 annot-version=v1.1 MANASLQSFLLQHHQSFHSNSTHEGPPSVILKLTSSTSISFKLFSSTSSSVSTASTANTSAPTPVAAAAATTSPPTPSLELLGQQLADGDYRQADETTRALLIHLAGEPARRRGYVFFSEVQFISVEDLRAIDMLWLEHSNGKFGYSVQRRLWEKSLRDYTRFFIKVGWMKKLDTEVEQFNYRAFPDEFIWELNDDMPEGHLPLTNALRGTRLLGNIFTHPAFECEEEAAEEENETATTSKDDIKGRGRPKIITDFKPDYSF* >Brasy3G236300.1.p pacid=40044054 transcript=Brasy3G236300.1 locus=Brasy3G236300 ID=Brasy3G236300.1.v1.1 annot-version=v1.1 MAATRSAAISAAAIVALRGFRGRRRPPCLAAPARDASGGAATSTSAKMLPRSALRASASQAFTAGVPDEAVAEPLVEAEPVAELSKLACPICYYPLVSSIDQSAPSKSDSSLECSTCKKVYSDEDGYWDLTVAVGSTEYSESMPAATELFRTQLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLKPTTGGIIVDASCGSGLFSRLFVTSQIYSLVVALDFSENMLKQCKEFIKQENISDERLALVRADISRLPFVSGSIDAVHAGAALHCWPSPACAVAEISRVLRPGGIFVASTFVADVLPPVVPLLRIGRSVISIPGHPRIMLFLAMYIGQLTGNNTFLSEAELEDLCRACGLVDFTFVRNGFYIMFSATKTS* >Brasy3G107700.1.p pacid=40044055 transcript=Brasy3G107700.1 locus=Brasy3G107700 ID=Brasy3G107700.1.v1.1 annot-version=v1.1 MQDGWIRDISGSFNVQGIMEFSHLANVIESRELMPEAKGQSSRKFSGSGQYSARSAYSALFAGQIADSFDSWSLTVADRADVGKVKGARSLITLTLWCIWRLRNARVFEGDRPHVQSLVDAILSKAELCMGAGAKALHSLPLHSRPLN* >Brasy3G319500.1.p pacid=40044056 transcript=Brasy3G319500.1 locus=Brasy3G319500 ID=Brasy3G319500.1.v1.1 annot-version=v1.1 MGEKRKITTGEEAPERPQKAPRLDVLAEAGAESGAAAPLELSESPSAGEVEVTTSHSTSDIEPCEHLLLDSEDLGMLVFDIKTGERLWTCQDSVCRGSAAGGKVLKMVCLVCYSSFCTQHSQLHAKLSHHWVALLYDTPYVGYCYLCERVLKLGEDNWKEDEWEMATSKDTDEQEMVASNTKDERRIVAGDDVCSYIIRGMPNLGFTCYMNAVLQCLLALGKLRTMILRPDARLGTIGQYLQQLFVETSSANDAARLLDPDMLLDCMRMFNQEFRGKRMHDSHDFLTSLRYALDEEVMELNRLHTMQGGAVFPTIGQSIFKVQLCQTISCKSCSNNSVQHVEFDDLQAAVPQPSKDPPARSVAPPPRITSLRSPRKPHKKLFQHSDKNDGEKIQTIPESGDSQIPGSELRDVAMEKNPKPLQVDFAEVKDVVHGHFKTQKNDVPGEVIEVPIKVLDFIPKLFDDSDSKEIGESTVGFRNPKDIGQPPLGNIEAKEYAFPVEVSKEDKGKPCKKLFQQSDKNDGEKIHTIPESSDSQVPGSELGDVAMDKNPKRLQVGKSICSSL* >Brasy3G144400.1.p pacid=40044057 transcript=Brasy3G144400.1 locus=Brasy3G144400 ID=Brasy3G144400.1.v1.1 annot-version=v1.1 MDCRLTSDLDFDRERLFIPSSSIAEARLNNSASALQLERQQQHLLHLFQVHYVPPMHELLQDLLASTRILLATFLPSQWQPEPSLQRVSVVSGGRQVSYKLGFH* >Brasy3G214300.1.p pacid=40044058 transcript=Brasy3G214300.1 locus=Brasy3G214300 ID=Brasy3G214300.1.v1.1 annot-version=v1.1 MEMTVVNGRDHLGLSLGIAGTTSSVAAPQRALTGAAPTPGQKIRTSSACHEMPLFLRGIDVNRAPAAGHEDQEPGASSPDSTLSTLSGKRGRRAAGAGGREQERACSDDEDSGFGSGAGGSRKKLRLSKDQSAVLEDSFNQHSTLNPKQKAALARQLGLRPRQVEVWFQNRRARTKLKQTEVDCEALRRRCDALTEENRRLLREVQAHKLPMPHPQLYMRAPPLTMCPSCERVASSAKPAVVAESRAVLGSGPWGPGPVRPVFVDRPAQRA* >Brasy3G169800.1.p pacid=40044059 transcript=Brasy3G169800.1 locus=Brasy3G169800 ID=Brasy3G169800.1.v1.1 annot-version=v1.1 MMPSHPRGPRSGGELPQYRIAEAVPPAERSGAESRRAAGLLEPRRRRRLRLGRLPRRRRRPGARARRSSSGPPPPPPPPLRRPRRCVRRRGASGCSWNCGERLVPGSGSSREAREGEAVQARGRERRGGGWSWKKTSWRLRSTQTPAHAVVGQIGDLGVESAAQPSPASSAGSSHCCGMPRASSSCGISVRKLLYIIRKENYRSLIASAVARSPAPEKKVRSPATGGSSPVPSRAPSHRPPPQLARPRRRRRSARPCREELAGSVAGSLASASAAARSPAPEKKDTPSQSVDGTEEVTQAWNAGEQLERYEKGHAARVAKYAEEVRQYYRKFPKRKRDAPSVPVDHTENAPVSAASDDDFEARAPPIVKNKVRVAVAKRKISSVLSQESPGVVNVRRSPRIATSPGSVAAHPARYGEKVSGSKRRRTVDKSYVPDCEDDAADAGGEIAAAKWKGRNGIAYSYNLPVEELQIEPSPELNADDGPVPIDSSCHDANAPGVSYRRAAAPECSGSTSANAIAEIDQLLAKAVELSRLVPTTEDRLSKLSGLFPIGHGPSPDHVKQATEREASVIDCFKTALTYLRKGFADMAANQDLMCAGFEREAVIIEKQIREEATERVQASTEHVLGQDAAAAEEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSAYIESPPEDYSEETHERSTGDGDGVDSTQVDAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHHDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGAPAADVDKQSSDIPDGDGGILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGKSFTPKSIDHFTMEYVRVPKQGTAHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQVYTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLTANLVN* >Brasy3G181500.1.p pacid=40044060 transcript=Brasy3G181500.1 locus=Brasy3G181500 ID=Brasy3G181500.1.v1.1 annot-version=v1.1 MGALVWLRPATRALASAPHIPTGPSSAHEVVGGGMKGRPRRVSVALRCPDPRVLQAGRWRWTVARSDVTAGGAAAAAGPEDSSQALPDLQLVSRIRGVFFYIVTAVAAIFLFIAMVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.5.p pacid=40044061 transcript=Brasy3G181500.5 locus=Brasy3G181500 ID=Brasy3G181500.5.v1.1 annot-version=v1.1 MGALVWLRPATRALASAPHIPTGPSSAHEVVGGGMKGRPRRVSVALRCPDPRVLQAGRWRWTVARSDVTAGGAAAAAGPEDSSQALPDLQLVSRIRGVFFYIVTAVAAIFLFIAMVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.2.p pacid=40044062 transcript=Brasy3G181500.2 locus=Brasy3G181500 ID=Brasy3G181500.2.v1.1 annot-version=v1.1 MGALVWLRPATRALASAPHIPTGPSSAHEVVGGGMKGRPRRVSVALRCPDPRVLQAGRWRWTVARSDVTAGGAAAAAGPEDSSQALPDLQLVSRIRGVFFYIVTAVAAIFLFIAMVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.8.p pacid=40044063 transcript=Brasy3G181500.8 locus=Brasy3G181500 ID=Brasy3G181500.8.v1.1 annot-version=v1.1 MIFDLQLVSRIRGVFFYIVTAVAAIFLFIAMVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.6.p pacid=40044064 transcript=Brasy3G181500.6 locus=Brasy3G181500 ID=Brasy3G181500.6.v1.1 annot-version=v1.1 MIFDLQLVSRIRGVFFYIVTAVAAIFLFIAMVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.3.p pacid=40044065 transcript=Brasy3G181500.3 locus=Brasy3G181500 ID=Brasy3G181500.3.v1.1 annot-version=v1.1 MVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.4.p pacid=40044066 transcript=Brasy3G181500.4 locus=Brasy3G181500 ID=Brasy3G181500.4.v1.1 annot-version=v1.1 MVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G181500.7.p pacid=40044067 transcript=Brasy3G181500.7 locus=Brasy3G181500 ID=Brasy3G181500.7.v1.1 annot-version=v1.1 MVVVHPPVLLFDRHHRRAQHYIAKIWATLTISMFYKLEVQGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIVGWAMYLLGVIPLRRMDSRSQLECLKRCVDLVKKGASVFFFPEGTRSKDGKLGVFKRGAFSVATKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGNDAEKLCSEARNVIADTLLQHGYGVQ* >Brasy3G006600.1.p pacid=40044068 transcript=Brasy3G006600.1 locus=Brasy3G006600 ID=Brasy3G006600.1.v1.1 annot-version=v1.1 MRWPMPKRDWAEDLPLDALLCILRKLGTVELLTGGAGGAGALAPDRHARPLRRSALPPSPQDRLRGAGSPAAQRRPVRGFLWLRPPQRTPPLPRGSNFKDLMLHRLQNSSLPNLADSDGVALMKPGDT* >Brasy3G006600.3.p pacid=40044069 transcript=Brasy3G006600.3 locus=Brasy3G006600 ID=Brasy3G006600.3.v1.1 annot-version=v1.1 MRWPMPKRDWAEDLPLDALLCILRKLGTVELLTGGAGGAGALAPDRHARPLRRSALPPSPQDRLRGAGSPAAQRRPVRGFLWLRPPQRTPPLPRGSNSSLPNLADSDGVALMKPGDT* >Brasy3G006600.2.p pacid=40044070 transcript=Brasy3G006600.2 locus=Brasy3G006600 ID=Brasy3G006600.2.v1.1 annot-version=v1.1 MRWPMPKRDWAEDLPLDALLCILRKLGTVELLTGGAGGAGALAPDRHARPLRRSALPPSPQDRLRGAGSPAAQRRPVRGFLWLRPPQRTPPLPRGSGPFTQKPSSRQVLQCT* >Brasy3G243300.1.p pacid=40044071 transcript=Brasy3G243300.1 locus=Brasy3G243300 ID=Brasy3G243300.1.v1.1 annot-version=v1.1 MAPRPRRRSASGDHGRISAPEGSDSAWTPPEQGRMLRFGRPRFKPPSSRPPRRRRKAEAAPPGEGAAEAGGARWSGRRRSVERATATGNPARKRGRPRKARPLDVQTPEVAEAGMTAAKVAEGSSLSLPAAVCDLPAAAAWEAEEGTTSAGSSYEFRGDPASWSVRWRRRKEGDSPDAKARDAIEGDELDVQPADQGEAMAAADDVMWEKVAEGSGSSSLPMATPEVAEAGMTTKVAEGSNSSLLVVVCAFPAAGERENMLGKLHGRPREALPVQDGDMTLERIPLGPSAGGVVKITRVTRRSTQMAKEAEAKALGARKRGRPRKALPAQDRGMTLEGIPPGPSAGGVVKRVTRRSAQMAKEAEAKALDAIEEDELDTQPAEGEAMAAADDVMGENGSNFANVHEAAARRSPLALVMENDEDSGDGGRETAMERERSLTSIFKEKGKATKPPAGKKATAPAGKKATAPAGKKATAPAGKKATAPAGKKTASGGMKTTATARSNPSIVLIDTPGQRRRPPPPHATM* >Brasy3G251500.1.p pacid=40044072 transcript=Brasy3G251500.1 locus=Brasy3G251500 ID=Brasy3G251500.1.v1.1 annot-version=v1.1 MASLPTKPPPPPRPKTRGSYNCGRCGLPKKGHVCNLPSPADGAAPTPSPSSSGAASGENRLRRALSFDDAATPTSPEKKPRAAGDEEEADEADGQMAEDDERMELGGRAWPRELVADVLRRLGPRGVMAAAAVSRGWRDCAGRVWRATEELRLRVVGVGLLGALLPRCTALSRLVLRMESDVDATMLACLAFSCSSLETLEITMADKAVNRMTGEELSRFVSEKRSLSVLKIGGCSSLGFLDLSSSSLSVLWLSDLCSLSKSVINCSNMSELSLCFTQQSNDCTDLVALMDGLGRMCPNLRNMHISSIQLSNEAVFALENANLRGLCMLSLILGSKITDAAVASIVRSCASLDLLDLSGSSISDSGVGMICKAFPHTLSRLLLALCPNITTCGIQVATAQLPLLQLMDCGMSLRSNSQNEKQGAYFGEINGRIRLCPKLPTLKKQPMRQKLIIKHDNLKKLSLWGCSAIDALYVKCLELNDLNLNSCTNLHPERLLLQCPNLKNVHAFGCQDMLIGAIRKQVLNEFAAAEPHLPCKRLADGSKRVQLPQLPEKQSPEDKKCIGFRGAQCAVLLDS* >Brasy3G251500.3.p pacid=40044073 transcript=Brasy3G251500.3 locus=Brasy3G251500 ID=Brasy3G251500.3.v1.1 annot-version=v1.1 MASLPTKPPPPPRPKTRGSYNCGRCGLPKKGHVCNLPSPADGAAPTPSPSSSGAASGENRLRRALSFDDAATPTSPEKKPRAAGDEEEADEADGQMAEDDERMELGGRAWPRELVADVLRRLGPRGVMAAAAVSRGWRDCAGRVWRATEELRLRVVGVGLLGALLPRCTALSRLVLRMESDVDATMLACLAFSCSSLETLEITMADKAVNRMTGEELSRFVSEKRSLSVLKIGGCSSLGFLDLSSSSLSVLWLSDLCSLSKSVINCSNMSELSLCFTQQSNDCTDLVALMDGLGRMCPNLRNMHISSIQLSNEAVFALENANLRGLCMLSLILGSKITDAAVASIVRSCASLDLLDLSGSSISDSGVGMICKAFPHTLSRLLLALCPNITTCGIQVATAQLPLLQLMDCGMSLRSNSQNEKQGAYFGEINGRIRLCPKLPTLKKQPMRQKLIIKHDNLKKLSLWGCSAIDALYVKCLELNDLNLNSCTNLHPERLLLQCPNLKNVHAFGCQDMLIGAIRKQKLINRKNLMKQCVSTGV* >Brasy3G251500.2.p pacid=40044074 transcript=Brasy3G251500.2 locus=Brasy3G251500 ID=Brasy3G251500.2.v1.1 annot-version=v1.1 MASLPTKPPPPPRPKTRGSYNCGRCGLPKKGHVCNLPSPADGAAPTPSPSSSGAASGENRLRRALSFDDAATPTSPEKKPRAAGDEEEADEADGQMAEDDERMELGGRAWPRELVADVLRRLGPRGVMAAAAVSRGWRDCAGRVWRATEELRLRVVGVGLLGALLPRCTALSRLVLRMESDVDATMLACLAFSCSSLETLEITMADKAVNRMTGEELSRFVSEKRSLSVLKIGGCSSLGFLDLSSSSLSVLWLSDLCSLSKSVINCSNMSELSLCFTQQSNDCTDLVALMDGLGRMCPNLRNMHISSIQLSNEAVFALENANLRGLCMLSLILGSKITDAAVASIVRSCASLDLLDLSGSSISDSGVGMICKAFPHTLSRLLLALCPNITTCGIQVATAQLPLLQLMDCGMSLRSNSQNEKQGAYFGEINGRIRLCPKLPTLKKQPMRQKLIIKHDNLKKLSLWGCSAIDALYVKCLELNDLNLNSCTNLHPERLLLQCPNLKNVHAFGCQDMLIGAIRKQLNHIFHASG* >Brasy3G251500.4.p pacid=40044075 transcript=Brasy3G251500.4 locus=Brasy3G251500 ID=Brasy3G251500.4.v1.1 annot-version=v1.1 MASLPTKPPPPPRPKTRGSYNCGRCGLPKKGHVCNLPSPADGAAPTPSPSSSGAASGENRLRRALSFDDAATPTSPEKKPRAAGDEEEADEADGQMAEDDERMELGGRAWPRELVADVLRRLGPRGVMAAAAVSRGWRDCAGRVWRATEELRLRVVGVGLLGALLPRCTALSRLVLRMESDVDATMLACLAFSCSSLETLEITMADKAVNRMTGEELSRFVSEKRSLSVLKIGGCSSLGFLDLSSSSLSVLWLSDLCSLSKSVINCSNMSELSLCFTQQSNDCTDLVALMDGLGRMCPNLRNMHISSIQLSNEAVFALENANLRGLCMLSLILGSKITDAAVASIVRSCASLDLLDLSGSSISDSGVGMICKAFPHTLSRLLLALCPNITTCGIQVATAQLPLLQLMDCGMSLRSNSQNEKQGAYFGEINGRIRLCPKLPTLKKQPMRQKLIIKHDNLKKLSLWGCSAIDALYVKCLELNDLNLNSCTNLHPERLLLQCPNLKNVHAFGCQDMLIGAIRKQVYRPKQHIT* >Brasy3G031400.1.p pacid=40044076 transcript=Brasy3G031400.1 locus=Brasy3G031400 ID=Brasy3G031400.1.v1.1 annot-version=v1.1 MAAQELHNVGEHQHKESTPPSTCTTTTNSSNPSGAVHPTTSSPPSATAGSGASRLRPAASNSFPLVLKFEDVVYKVKVGQRGSGEGWCSRLSSAIGGVGRSGNKKKPSSAPAPAPAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRQTTGHLSGKITYNGHPFSGPVKRRTGFVTQHDVLYPHLTVSETLWYTALLRLPRTLSAAEKRAQAESVAHELGLGPKVAHSMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRVAHGGGGGGGRTVVVTIHQPSSRLYHMFDKVILLSADGRPIYYGRAADALGYFAGVGFASPLSLNPADLMLDLANGIAPATSDDDSGMAAAALHGSNESEQKEVRSKLSAAYERHIAPAVNLDICSSADPGAGAATGGPAARRRHGGGEWTAGWWSQFTVLLRRGLKERRHESFNKLRIFQVLSVASLAGLLWWRTPASHLQDRTALIFFFSVFWGFFPLYNAVFVFPLERPFLLKERSSGMYRLSSYFAARTAADLPMELGLPTAFVLILYWMGGLDPRPASFLLSLLVVLYSVLVAQSLGLAVGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLLGIQFPDGGGYYECVARGAVCPVAEFPAIKAVGLDNHWVDVCVMALLLVGYRVVAYLALDRLQPR* >Brasy3G204600.1.p pacid=40044077 transcript=Brasy3G204600.1 locus=Brasy3G204600 ID=Brasy3G204600.1.v1.1 annot-version=v1.1 MFTLSNWCYVFHNMVKLNPKEKENDTSAPAPSPPPLLVAVQCSCEMAAAVGLEDAFGASVFGGAAMPEGHPTPHPVLFRAHARSAAALRVVATDCRSLAWDRSLSVTDLEDLRDDVGIGGSWSDFLDYLKSSLSSGEVKLLFAADQLHNSPGVDGAKIVARKSKGLPRITIALNRVTGAAVSDVVAEFSLALYASYRTTQEHASRDQQRISQLMRSLSSEREKNEIMQKQLEALSFLDRKKATKPKLVADLTPTVCGVTVVPDQVIAPVQQQTPVASPSKPPPVKATKRVAPTSRRARTRGALLQDNEDEDDRN* >Brasy3G303000.1.p pacid=40044078 transcript=Brasy3G303000.1 locus=Brasy3G303000 ID=Brasy3G303000.1.v1.1 annot-version=v1.1 MTILASLLSPSPPLTTTTPSPAQPAAVRLPAPSARPPFPATLAATAAAGLLLLSPLTPPPSHAADPDPSSFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPGAWKERLVSKVEKGTNGTDSEFYNPRKRAEREYLTFLSGFRALAPIGAVLDNLALSDVGLQDQISTADEVRSTEERKEDGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNPEWGRDEEVLRRLHQSFKTVDFSGTS* >Brasy3G345100.1.p pacid=40044079 transcript=Brasy3G345100.1 locus=Brasy3G345100 ID=Brasy3G345100.1.v1.1 annot-version=v1.1 MAAMPAGAAVFKAGLFQVEVRHVKQRRDHELPKPVMVLAPSEAGSYPVLLFLHGFLVLGSCYQKLLTHVASHGFIAVAPQLYGIMFDVNDMKDIESTSQIINWIAGGGLAHVLKDIFRLKDVKPDLSKVALAGHSRGGDTAFSVAPGLGDAKTKLALKLSALIGIEPVAGASKDHQMEPKVLTFKPQSLDVGMPVMVLGTGKTCPCAPDHVNHAEFYDECKPPRYHLVVKDYGHLDMVDDHVLMIFHNLACQANSDDTNGLVRRTMRGAMVAFMRATMDHKDENLNAILADKQLAPATLEPVEHNP* >Brasy3G224600.1.p pacid=40044080 transcript=Brasy3G224600.1 locus=Brasy3G224600 ID=Brasy3G224600.1.v1.1 annot-version=v1.1 MAPTTTLSLPPPELLLVISKLLILCPFIFSAAGARAAPWITTKAVPRLPGYIGGGALPFSLETGYVGLDDGVRLFYYFIQSERAPEEDPVLLWLTGGPGCSALSGLVYEVGPLSFDFDGYTGGLPTLLRKTEAWTKVSNIIFVDSPAGTGFSYDTTQGTIPSDTTVVHQLRIFLETWFDEHQQFLANPLYITGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDIRLDDNGRLPFLHGMGIIPDELYELARKSCGGEYRSPSNAACANSLQAIKDCIRDLNGVHVLEPSCREYPDLSIVQKKQTMLPDNGTKRSMLESAALSSVCRNSTYFLSEVWTNDEAVRETLGIHKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKVSYVGTQAWIRQLNLSIKDDWRPWYVDSQVAGFTRAYSNNFTYATVKGAGHTAPEYMPRECLAMIDRWLSGHPL* >Brasy3G224600.2.p pacid=40044081 transcript=Brasy3G224600.2 locus=Brasy3G224600 ID=Brasy3G224600.2.v1.1 annot-version=v1.1 MAPTTTLSLPPPELLLVISKLLILCPFIFSAAGARAAPWITTKAVPRLPGYIGGGALPFSLETGYVGLDDGVRLFYYFIQSERAPEEDPVLLWLTGGPGCSALSGLVYEVGPLSFDFDGYTGGLPTLLRKTEAWTKVSNIIFVDSPAGTGFSYDTTQGTIPSDTTVVHQLRIFLETWFDEHQQFLANPLYITGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDIRLDDNGRLPFLHGMGIIPDELYELARKSCGGEYRSPSNAACANSLQAIKDCIRDLNGVHVLEPSCREYPDLSIVQKKQTMLPDNGTKRSMLESAALSSVCRNSTYFLSEVWTNDEAVRETLGIHKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKVSYVGTQAWIRQLNLSIKDDWRPWYVDSQVAGVLATRLRSTCPGSVLL* >Brasy3G224600.3.p pacid=40044082 transcript=Brasy3G224600.3 locus=Brasy3G224600 ID=Brasy3G224600.3.v1.1 annot-version=v1.1 MRQVETWEKVSNIIFVDSPAGTGFSYDTTQGTIPSDTTVVHQLRIFLETWFDEHQQFLANPLYITGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDIRLDDNGRLPFLHGMGIIPDELYELARKSCGGEYRSPSNAACANSLQAIKDCIRDLNGVHVLEPSCREYPDLSIVQKKQTMLPDNGTKRSMLESAALSSVCRNSTYFLSEVWTNDEAVRETLGIHKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKVSYVGTQAWIRQLNLSIKDDWRPWYVDSQVAGFTRAYSNNFTYATVKGAGHTAPEYMPRECLAMIDRWLSGHPL* >Brasy3G224600.4.p pacid=40044083 transcript=Brasy3G224600.4 locus=Brasy3G224600 ID=Brasy3G224600.4.v1.1 annot-version=v1.1 MRQVETWEKVSNIIFVDSPAGTGFSYDTTQGTIPSDTTVVHQLRIFLETWFDEHQQFLANPLYITGDSYSGIIIPSLAMEIAKGIESGDERLINLKGVIAGNPVTDIRLDDNGRLPFLHGMGIIPDELYELARKSCGGEYRSPSNAACANSLQAIKDCIRDLNGVHVLEPSCREYPDLSIVQKKQTMLPDNGTKRSMLESAALSSVCRNSTYFLSEVWTNDEAVRETLGIHKGTVPLWQRCDFHLPYTKEISSTVGEHLALITRGYRSMVYSGDHDSKVSYVGTQAWIRQLNLSIKDDWRPWYVDSQVAGVLATRLRSTCPGSVLL* >Brasy3G145600.1.p pacid=40044084 transcript=Brasy3G145600.1 locus=Brasy3G145600 ID=Brasy3G145600.1.v1.1 annot-version=v1.1 MVVRREAEGWGVRWRFCTSPAVWGRGRFCSPAARRTVRRRGRAWRVGPQGDRRHRVRLRLETVAVGALVFSDLQALAGNLSTSLDEEERSNCPHSILLTSSAATISGRGVSAVELFSSPAKSTRARPPVIETRGEH* >Brasy3G063100.1.p pacid=40044085 transcript=Brasy3G063100.1 locus=Brasy3G063100 ID=Brasy3G063100.1.v1.1 annot-version=v1.1 MIPVNRRATQPRAGMEYFDARRKPHNVGKVMVALVVIVLCIFVLKQSPGFGGNNVFSRHEPGVAHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNKGAVKVLQELFPEPGRLQFIYADLGDQKSVNKIFAQNAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFSKRTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVISGLKVKGTDYSTADGTCVRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKQATGVDIKVEYLSRRPGDYAEVFSDPSKINKELNWTAQHTDLKESLSVAWRWQKSHPHGYGTN* >Brasy3G289600.1.p pacid=40044086 transcript=Brasy3G289600.1 locus=Brasy3G289600 ID=Brasy3G289600.1.v1.1 annot-version=v1.1 MDPATPLLALSKAIASRSRPFPSSSPHHILLRRGRLPLAPFARAGPVVAASAQRRIAVPGGLLLISLARLALRGGALRAEPRRWFASVSASSLASNGPPGGGGGRGNGDGGSGGGGDGGGWKRPRASQGTAGAEEAAGLEADTIILDVGGMSCGGCAASVKRILESEPQVQSATVNLATETAVVWAVPEDRAVQDWKLQLGEKLASQLTTCGYKSTHRDSSKVSSQKVFERKMDEKLQHLKQSGRDLAVSWALCAVCLLGHVSHLFGVNAPFMHLFHSTGFHLSLSIFTFLGPGRRLILDGLKSLSKGSPNMNTLVGLGALSSFAVSSVAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKAASDMTGLLNILPSKARLMVDSDAEQSSFTEVPCGTLAVGDYIMVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGGINLNGKLTVEVRRPGGETVMSDILHLVEEAQTREAPVQRLADKVAGSFTYGVMALSAATYMFWSIFGSQLVPAAIQHGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFSEVGAVVFDKTGTLTIGKPVVTKVIASHTEGDVNTKDCWNNEWTEGDVLSFAAGVESNTNHPLGKAIVEAAQAANCLNMKAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVARDPFPEAENFGQSVAYVAVDGTLAGLICFEDKIREDSHEVIDALTKQGISVYMLSGDKESAAMNVASIVGIQADKVISEVKPHEKKKFISELQKEHKLVAMVGDGINDAAALALADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPATGTILTPSIAGALMGFSSVGVMANSLLLRARMSSRQQSIHHFQTRQRLHTVSDAVSDRADEAVKSYPSKWRST* >Brasy3G289600.2.p pacid=40044087 transcript=Brasy3G289600.2 locus=Brasy3G289600 ID=Brasy3G289600.2.v1.1 annot-version=v1.1 MDPATPLLALSKAIASRSRPFPSSSPHHILLRRGRLPLAPFARAGPVVAASAQRRIAVPGGLLLISLARLALRGGALRAEPRRWFASVSASSLASNGPPGGGGGRGNGDGGSGGGGDGGGWKRPRASQGTAGAEEAAGLEADTIILDVGGMSCGGCAASVKRILESEPQVQSATVNLATETAVVWAVPEDRAVQDWKLQLGEKLASQLTTCGYKSTHRDSSKVSSQKVFERKMDEKLQHLKQSGRDLAVSWALCAVCLLGHVSHLFGVNAPFMHLFHSTGFHLSLSIFTFLGPGRRLILDGLKSLSKGSPNMNTLVGLGALSSFAVSSVAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKAASDMTGLLNILPSKARLMVDSDAEQSSFTEVPCGTLAVGDYIMVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGGINLNGKLTVEVRRPGGETVMSDILHLVEEAQTREAPVQRLADKVAGSFTYGVMALSAATYMFWSIFGSQLVPAAIQHGSAMSLALQLSCSVLVGTSLGATRGLLLRGGDVLEKFSEVGAVVFDKTGTLTIGKPVVTKVIASHTEGDVNTKDCWNNEWTEGDVLSFAAGVESNTNHPLGKAIVEAAQAANCLNMKAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVARDPFPEAENFGQSVAYVAVDGTLAGLICFEDKIREDSHEVIDALTKQGISVYMLSGDKESAAMNVASIVGIQADKVISEVKPHEKKKFISELQKEHKLVAMVGDGINDAAALALADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPATGTILTPSIAGALMGFSSVGVMANSLLLRARMSSRQQSIHHFQTRQRLHTVSDAVSDRADEAVKSYPSKWRST* >Brasy3G201900.1.p pacid=40044088 transcript=Brasy3G201900.1 locus=Brasy3G201900 ID=Brasy3G201900.1.v1.1 annot-version=v1.1 MATGPGFFTKAVLLVLAPVLISVVLYSPKGFSPAAMPPEYSFGADDVSVPASRHDARALDASERVGEGLLPGPEDLAYDAAGGWLYTGCADGWVRRVSVPGGAVEDWAYTGGRPLGVVLAGDGGLIVADADKGLLKVSPDREVELLTNAAEGLRFALTDGVDVAADGTVYFTDASYKHSLAEFMLDILEARPHGRLLKFDPSTRHTTVLARGFYFANGVALAPDQSSLIFCETVMRRCSRYHIRGDKAGTVERFVDRLPGFPDNVRYDGDGRYWIALSAGRTLQWDVLMGSPLLRKLVYLVDKYVVMVPKSLEHAGAISVALDGTPVSMYSDPGLALATGWLKVGDYLYYGSLHSSYISRIDLTKSSIEA* >Brasy3G288100.1.p pacid=40044089 transcript=Brasy3G288100.1 locus=Brasy3G288100 ID=Brasy3G288100.1.v1.1 annot-version=v1.1 MFSFKSSVKSSSSGNGVSSSRFNEASDMQEQLNKLQEELVKEKEEKMRALYEIEEIKKKSNKKNKLKGDGVDDQLDLARRLEQLGGELEAARDSEKKMLVSLEAQTKQLEQTKVSLEEAKLEIASLQDNNKSLEAFAALSSDPSRQQAKNFRRRGIMSFSFADPGEVETFSLQRELKLAVQAEEKCKKAMDDLATALKEQTAEAREAKTELSLVQAELRNARAEVENSKASLESMEEKLRLALEEAGRLTFESDELAAASKEKERGLIDCIKIFEGELNKAKEENDKLFESQRVIRDENSRLREMLKHAVNEANVARESLEIARVENSQLQEDMSEKESTLKSIIQQYESLKVSEAAAHSSVKELKDMIDAMFSSESTRTSAEASPRDAKGGERKGRYVAADDVHSDIERSPRSKDIRSPARQKKRTILRKFGDIMKKRNPQSAASLSSN* >Brasy3G243400.1.p pacid=40044090 transcript=Brasy3G243400.1 locus=Brasy3G243400 ID=Brasy3G243400.1.v1.1 annot-version=v1.1 MEGGGVLLGVARSEAPGGDGAGARDGSEPSQMQVDGPVMLNRSAELKSSVAMDIDDGPARGSNQTVPATGSPATLTETIVQVQKQLKRKRASNGPVIAAAEKDALVAGCRQELAGLFEYYKEVSGHRLRLDGGNLSSNAMIGCLLEESNLGLSKLVDETYDKLKESEAISVASVRSSVLLIGQRMMYGQSSPDADVLEDESELSLWCWEVRDLKLMPVRIRSFLTARRTARKKIHERITAIYSTLSVLESPGAEAQVNELRKMSIKLSKALNLEGIRSMVEKVSQKYCTQRDAKDVELTANQSMQEVGKNDENIVTVETVNGSELPHGNTPTNEKVIQKMQKQVEKEIKRQEKEEHQMMKQQKKAQEQALKEQKRCEKEEAEAKKRQRRQEEESLKEQKRREKEEAEMRKQQKRQQEEAEKEQKRLEKEAAQLKMQLTIQKQASLMERFLRSKKDGEKLDKSGEKDSGARNVDPCTTSIETVSAATSIIDSSFSLKENWTLEYLQRLQISSWQKLSRYNRSSRWGIRCKPKKEAFKELKLQKTSDDILDEINSTPNEDTCHNSSQENESDKIGNDVDMVPTSEMQCHVTGNANSVPARLIKRKLLQFAKSNRPAYYGTWRKKSAVVRPKCPLKMDPDLDYEIDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDDEEEDSFVVPDGYLSDNEGIQIESLLDDKDDDASSLPPSQCPEVEEFRTLLRQQKVLNNLTEQALRKSQPLVISNLTHEKAGLLTAGDLKGTSKVEQLCLQVLSMRICPGGSVVDAPVNDSSFATAEETNQLNVKSSPASGSAIPDTDLPEIVGVIRSNRDGINKLVELLHQKFPSFSKIQLNRKVREISDFVDNHWQVKKEVLAYLGLNSSPVNLPGKMKRIANSTPKTKGISRYFSKRCLPPEEAINALASSPELRLKSKTAQGGNGGTGVPQVDLFPSSQ* >Brasy3G093600.1.p pacid=40044091 transcript=Brasy3G093600.1 locus=Brasy3G093600 ID=Brasy3G093600.1.v1.1 annot-version=v1.1 MSVSMKDLDPAFRGAGQKEGLEIWRIEDFKPVPIPSSSHGKFFMGDSYIILKTTALKNGSLRHDIHYWVGRDTSQDEAGTAAILTIELDAALGGRAVQYREVQGNETEKFLSYFRPCVMPQPGGVASGFKHVEVNEQEHTTRLYVCSGKRVVHVKEVPFARSSLHHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRMMADAEAGEFWGFFGGFAPLPRRASVDNNEKDEETSLKLLCFNQGKLDPINYESLAHELLETSKCYLLDCGAEMFVWMGRTTTLQERKGASEAAEKLLSDANRTKTHVIKVIEGFETITFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQPYIDCTGSLQVWRVNDKDKTLLSSSDQSKFYSGDCYIFQYMYPGDDKDECLIGTWFGNKSIEEDRVTALALARKMVESMKFQAAQAHLYEGREPIQFFVIFQSFQVYKGGLSSGYKKFIAENGNEDDTYSEDGLALFRIQGSGPENMQAIQVDSVASSLNSSYSYILHDGNTVFTWIGNLTTALDQGLIERQLDMIKPNLQSRSQKEGSETDQFWSLLGGKFEYSSQKIGRENESDPHLFSCILSKGNLKIKEIYHFTQDDLLTEDVFILDCHSDIFVWVGQQVDVKVRLQALDLGKKFLELDFLMENLSHETPIFIVTEGSEPPFFTRFFKWDSAKSLMHGNSYERKLAIVKGGGTPALDKPKRRTPAVSGRSTGQESQRSRSMSSSPDRSRVRGRSPAFTALASTFESANTRNLSTPPPVAKKLYPKSVTPDSSNTSSTPTGSSNRHTQAPPSKSVKDGSELEKPKQEEAAKEGTDTMTSKLESLTITEDAKENEPEGDEGLPIYPYDLLKTTAAEPVTEIDVTRRETYLSSAEFKEKFGMAKEAFSKLPKWKQNRMKTALHLF* >Brasy3G093600.3.p pacid=40044092 transcript=Brasy3G093600.3 locus=Brasy3G093600 ID=Brasy3G093600.3.v1.1 annot-version=v1.1 MSVSMKDLDPAFRGAGQKEGLEIWRIEDFKPVPIPSSSHGKFFMGDSYIILKTTALKNGSLRHDIHYWVGRDTSQDEAGTAAILTIELDAALGGRAVQYREVQGNETEKFLSYFRPCVMPQPGGVASGFKHVEVNEQEHTTRLYVCSGKRVVHVKEVPFARSSLHHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRMMADAEAGEFWGFFGGFAPLPRRASVDNNEKDEETSLKLLCFNQGKLDPINYESLAHELLETSKCYLLDCGAEMFVWMGRTTTLQERKGASEAAEKLLSDANRTKTHVIKVIEGFETITFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQPYIDCTGSLQVWRVNDKDKTLLSSSDQSKFYSGDCYIFQYMYPGDDKDECLIGTWFGNKSIEEDRVTALALARKMVESMKFQAAQAHLYEGREPIQFFVIFQSFQVYKGGLSSGYKKFIAENGNEDDTYSEDGLALFRIQGSGPENMQAIQVDSVASSLNSSYSYILHDGNTVFTWIGNLTTALDQGLIERQLDMIKPNLQSRSQKEGSETDQFWSLLGGKFEYSSQKIGRENESDPHLFSCILSKGNLKIKEIYHFTQDDLLTEDVFILDCHSDIFVWVGQQVDVKVRLQALDLGKKFLELDFLMENLSHETPIFIVTEGSEPPFFTRFFKWDSAKSLMHGNSYERKLAIVKGGGTPALDKPKRRTPAVSGRSTGQESQRSRSMSSSPDRSRVRGRSPAFTALASTFESANTRNLSTPPPVAKKLYPKSVTPDSSNTSSTPTGSSNRHTQAPPSKSVKDGSELEKPKQEEAAKEGTDTMTSKLESLTITEDAKENEPEGDEGLPIYPYDLLKTTAAEPVTEIDVTRRETYLSSAEFKEKFGMAKEAFSKLPKWKQNRMKTALHLF* >Brasy3G093600.2.p pacid=40044093 transcript=Brasy3G093600.2 locus=Brasy3G093600 ID=Brasy3G093600.2.v1.1 annot-version=v1.1 MSVSMKDLDPAFRGAGQKEGLEIWRIEDFKPVPIPSSSHGKFFMGDSYIILKTTALKNGSLRHDIHYWVGRDTSQDEAGTAAILTIELDAALGGRAVQYREVQGNETEKFLSYFRPCVMPQPGGVASGFKHVEVNEQEHTTRLYVCSGKRVVHVKEVPFARSSLHHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRMMADAEAGEFWGFFGGFAPLPRRASVDNNEKDEETSLKLLCFNQGKLDPINYESLAHELLETSKCYLLDCGAEMFVWMGRTTTLQERKGASEAAEKLLSDANRTKTHVIKVIEGFETITFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQPYIDCTGSLQVWRVNDKDKTLLSSSDQSKFYSGDCYIFQYMYPGDDKDECLIGTWFGNKSIEEDRVTALALARKMVESMKFQAAQAHLYEGREPIQFFVIFQSFQVYKGGLSSGYKKFIAENGNEDDTYSEDGLALFRIQGSGPENMQAIQVDSVASSLNSSYSYILHDGNTVFTWIGNLTTALDQGLIERQLDMIKPNLQSRSQKEGSETDQFWSLLGGKFEYSSQKIGRENESDPHLFSCILSKGNLKIKEIYHFTQDDLLTEDVFILDCHSDIFVWVGQQVDVKVRLQALDLGKKFLELDFLMENLSHETPIFIVTEGSEPPFFTRFFKWDSAKSLMHGNSYERKLAIVKGGGTPALDTYLSSAEFKEKFGMAKEAFSKLPKWKQNRMKTALHLF* >Brasy3G288500.1.p pacid=40044094 transcript=Brasy3G288500.1 locus=Brasy3G288500 ID=Brasy3G288500.1.v1.1 annot-version=v1.1 MEEAAAAVEADSGGGIRARRGGTRMGGGGGRFGFSGFRSCAIGRGRAVVVRITWNAVVVVVGGAGGRARPPTGPVRRYATSEAPRGPLPTCSALLRWERLS* >Brasy3G067700.1.p pacid=40044095 transcript=Brasy3G067700.1 locus=Brasy3G067700 ID=Brasy3G067700.1.v1.1 annot-version=v1.1 MAAGRKLPLLLIALLLAVAAAAVPALAASGGAMGGRVSSSRSKPTPPSSYSRPHWEPHHSYHYSTFHMPVGTPPPAPRSGPGDEKASAAAAGSGEGSWLRWEVLVFGIVLLGIAVCCSYYFAPAPRARMTIVKLQVALVGYAKPFQRELNDIAENVDSSERRWYKYILTETICSLRRHRDCCVSSSVSVDFKYGGEAWEGHFDIISIEERSRFDAETLYNMEGIERKKAYYRKQSWSRNEYVVVTILVAADGALEFPKITRPADLEAVVRKLLSIPEGDIRGIHVLWTPQEENDVLTEEKFLTYYPHLKPCYD* >Brasy3G345700.1.p pacid=40044096 transcript=Brasy3G345700.1 locus=Brasy3G345700 ID=Brasy3G345700.1.v1.1 annot-version=v1.1 MHLLRLAEAVRDGVRDAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGNSYDIVSAFQAYGEFVSGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLRPRDIITDKSLRNAMVIIMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHLQILYGNLAPNGSVAKITGKEGLFFSGPALVFEGEESMITAISENPSNFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDTITIDVVKKVIDVDLTQDQLEERRRQWSPPPHKVTGGALWKYIKLVSPASSGCVTDE* >Brasy3G044200.1.p pacid=40044097 transcript=Brasy3G044200.1 locus=Brasy3G044200 ID=Brasy3G044200.1.v1.1 annot-version=v1.1 MDAGGGAAGLMAHEAATHVEDLPAESVLARVISLTDSPRDACRCAAVSRAFRAAADSDAVWDRFLPPDHREILLQQTRAPPPPLSSSSPAAATKKDAFRRLSAGVVLGDGTAVWLSPRRGAAKCVALPARRLSLPWDEGEFTWRWTPHPQSRFGEVAQLASCTDLDIYGRLPATSLTPATDYAAYLVYGVANDGHLGLSFPDQETTVAVGGRAASCHAVCLRPDDDEARKFWGVVPAGGVRRPTQRDDGWSEMEMGRLRVDESMVAAAEEVVVSFEVLGWYYKSGLVVEAVEFRPV* >Brasy3G298300.1.p pacid=40044098 transcript=Brasy3G298300.1 locus=Brasy3G298300 ID=Brasy3G298300.1.v1.1 annot-version=v1.1 MVSSSASPMEEKSGGLGRPPRREERRMCNPAASSEPGSMAMTSQDLCSSRSCEEDGGGTAITHGKWNPYESHRPEIDDAPVFTPTEEEFEDVIGYITSICPQAEKYGICRIVPPPSWRPPCPLKEKSFWHCTEFNTRVQEVDKLQNREPTKKRTQPRVQKKRKRRKRLRFGMSRRRPSANASESADSGEKFGFQSGSDFTLEEFQKYADEFKLQYFGMKGSDEISLSEIKNRKKIWRPSVEEIEGEYWRIVVCPDDEVEVDYGADLDTATFSSGFTKLSLSDANKQDPYCLSCWNLNNLRRQHGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEQKVWYGVRGDDAVKLEEAMKRNLPRLFEEQPDLLHELVTQLSPSVLKSEGIPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTAQRALRQLWINLGNCRCGQTEYVWLDTCGKNGMLTSAVKTRVKMEGAAREMNAGLQCKKMDQDYDSTDRECFSCFYDLHLSAVSCKCSPDRFACLNHANLLCSCEIGRIFLLYRYSMEELNALVAALEGDSAALYQWIQFDQDFVSQSGSMQQNNMDFSKSKELCESATDLHIGCGFDDCHDLDKIAGQQKEKKVQNKCADVNMENKSSPRIKNELARSSDTSNAACFSSSSCTASEKHDKDKMAIDSESMVTTINPPVSNSQFSQSSKCLSELSCPKGNSTPSSKTTKKLFGVDIECNMAKNSDAQVSQLVKPSTSQPDEVSRATILWSTVEPLEYGTVMVGKNWCHHQAIFPKGFRSRVTFHSVLDPTKTCVYISEVLDAGLFGPLFKVTVEDLPEISFTHTSPMECWNSVRDRVNEEIEKQNRVGKSGGRAILSTNSVNGLEMFGFSLPPIIQAIEALDPNHRCLEYWLSKHMPPVKELPSGSVMAAAVNGMNNSPIRLPGVDITSNESEHSSFRQKSCAEEVKLDRLLKKAKLPEEPELIDTNKVFSSREHSSSNWSGSRHSAG* >Brasy3G194400.1.p pacid=40044099 transcript=Brasy3G194400.1 locus=Brasy3G194400 ID=Brasy3G194400.1.v1.1 annot-version=v1.1 MASEPQPGPSSHGTKIQAPPPASVNAPIYRATIQKCVVLLDWWLVRGQDDKIRVAGYTERTRAARVFTSDFITMRHADGTLETADHKIVLTRGPLNIKQMHRNGFPYEVSKHFQLGFPAQWEKYANSNMEQSKQQAQSPSKSTDNIWKFLSSMKSVVYNFKETDFNSSRGSSGNTDGTPIQGLSNLSNGTPRFQDSSGPGPGETCSSAQGDNQHQDMHLDAVHLGTEDAGQVPAKGMSPEFGVVQGSEDSTVRRLRSGKVYGMSTSSSASLKRGRSKRKALQEEDNSARHTQ* >Brasy3G198700.1.p pacid=40044100 transcript=Brasy3G198700.1 locus=Brasy3G198700 ID=Brasy3G198700.1.v1.1 annot-version=v1.1 MAMDWMVCCYCITQYRLYRVFYTIAARNVLNLLIYSLLLKLLACVSNFMCRSHGASNASAMCLWSSQLVASRDACS* >Brasy3G087900.1.p pacid=40044101 transcript=Brasy3G087900.1 locus=Brasy3G087900 ID=Brasy3G087900.1.v1.1 annot-version=v1.1 MRILCLNCRDCGQPEAVQELRILAEQYCPEVIFLSETKMTAEKSQNLKFSLGFENAIGVSCVGLSGGLVLMWRKGTIVNCKTLNPSHIDVWVSNEDFGETMWRFTGFYGQPSRQGRKNSWHLLRFLRCTSTLPWLCAGDFNEVLTEEEHLRSRERGEWRMAGEAVDFCGFSDLGFSGLPYTWDNKQEGARNVKVRLDRGLADEKFLDQFPFTQVSHIQTTESDHCALLIEVANQEQSLRTKSRRIFRYENMWARDPSYGDLIKNSWVCDNRNLEGVLSSLSKMRGSLQQWSRDVFGSVRVRLNKLRKQLEDVRRTSWHEGFTRMEKELLEKNSELLAREEIMMRQRSRVQWFVEGDRNTAFFHARCRDRARQNRITTLKREDGTICRTQNEIETEAISFYVKLFTAQENLDPNEVVCYVQQKVTEEMNQNLCRPYT* >Brasy3G069000.1.p pacid=40044102 transcript=Brasy3G069000.1 locus=Brasy3G069000 ID=Brasy3G069000.1.v1.1 annot-version=v1.1 MRHQKRKEKNPRERGRRGAVWMQGTGDFGAVKIRGPAGREEGAHRWPRRGGGGRAARRGRRGGGGDGAGGWHRRLASWADHNWTLPTEEERKFVGGGGARVAPLPELLCGGAPRVRHFHPRASAEEEAAVADATGESAGIGPGVPAARCMHDARCPQPGRQCWSGAASTGIQYFPETPTRPAPPAAVLPIWNQRRRPTNVKPQEPATLSPPNRI* >Brasy3G077700.1.p pacid=40044103 transcript=Brasy3G077700.1 locus=Brasy3G077700 ID=Brasy3G077700.1.v1.1 annot-version=v1.1 MRGGTVQINWHDLQPVLTLDFHPASRRLATGGGDHDIKIWAVASDDSEQKLPSASYVSSLSAHSSAVNVLRFSPSGENLASGADGGGITLWKLHTTDDGEAWKVHRSLLFHRKDVLDLQWSSDGSFLVSASVDNSCIIWDANKGAVQQMLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNVEKMNFVCQHTLVKAEHQNHDESKPPVKTHMFRDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYIISRRDLSRPAIQLPGASKAIVAVRFCPILFSLRGSQSDGLFKLPYRAVFAVATLNSLYVYDTESAAPILIHAGLHYAAITDISWSSDAKYLALSSRDGYCTIIEFENEELGHPHILPEKKEVPEGNATCKNTKPLTVDSMEIDVGTSKVKTAACPVDIVTPPPVLAKNSTLRTKEVTEGNVTCEQANSSKVDSMEVDVGAGNAKMEASPVSVEVTPPPASTKNSTSSKPNKKRITPIAIK* >Brasy3G200000.1.p pacid=40044104 transcript=Brasy3G200000.1 locus=Brasy3G200000 ID=Brasy3G200000.1.v1.1 annot-version=v1.1 MGLPAFLDDPPRLRRLLSSCATLRTLTRLHALLIVSSSDHHILSSVLATAYVRAGDLAAAESTVAAAPASPSSITAWNALLAAHNRGGSHDAALRVFRALPPAARPDSTTFTLAFSACARLGDLAAGEVVRERAYGAGYRRDIFVCSSLLNLYAKWGAMGDAVKVFDQMPRRDCVTWSTMVAGFVNAGQPVEAIEMFRRMREDGLEGDEVVMVGILQACTATGDVRMGGSVHGYLLRHCMRMDVVISTSLVDMYAKNGLFDVAFRVFQLMAYRNDVSWATLISQFAQNGHADEALGLFREMQVSGVRPNSGAVVSALLACSHIGFLKLGKSMHGFILRRLELDCIVGTAVIDMYSKCGSLASAQTLFNRISSRDLILWNAMIACCGIHGRGRDALALFKEMDENKVTPDHATFASLLSALSHSGLVEEGKFWFDCMVKEYGIEPAEKHLVCIVDLLARSGLVEEANDLLTSMHTEPTIAILVALLSGCLNNKKLELGESTAEKILELQPNDVGVLALVSNLYAAAKNWDKVREVRKLMKDHGSKKVPGCSSIQIYGALHKFVMEDQSHPQHKQILQMVSKLDSEMRKRGYVPKTQFVYHDLEEGIKEQLLSRHSERLAIAFGLLNTSPGTRLVVIKNLRVCGDCHDAIKLISEIADREIVVRDAKRFHHFKDGACSCGDYW* >Brasy3G258200.1.p pacid=40044105 transcript=Brasy3G258200.1 locus=Brasy3G258200 ID=Brasy3G258200.1.v1.1 annot-version=v1.1 MPMCSRRRQMKVQLRIQSLYYHIKFNALSTPFNPVFQFSRIITCTLMHYQGRIQGTKRYAASVCLARSFGEATSNPTSTRTNGVLQCLGFAFGGSKLEAATPLLAENLLDDGHANDFTASYAVAASSLLSPNAKPERLRLKMKKFYYESSCSCIRVL* >Brasy3G258200.2.p pacid=40044106 transcript=Brasy3G258200.2 locus=Brasy3G258200 ID=Brasy3G258200.2.v1.1 annot-version=v1.1 MPMCSRRRQMKVQLRIQSLYYHIKFNALSTPFNPVFQFSRIITCTLMHYQGRIQGTKRYAASVCLARSFGEATSNPTSTRTNGVLQCLDLCFCPSSSRVRCDERSRCAVELQVH* >Brasy3G330500.1.p pacid=40044107 transcript=Brasy3G330500.1 locus=Brasy3G330500 ID=Brasy3G330500.1.v1.1 annot-version=v1.1 MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVMTSSSNFLGTKKAQPSINGSKDAGTLSLEKEQSKLEKIKASVKSNGTESKKPQLTKTNSSLSKQALASLFDKKEVVSSKVKSSSGRSTPSSPTSVYSLPASFERFSNDMKLRTKAKGADKSSPSKLSLLEKAASVLKVTTAGRKSSAGNSLSSALLSIEPGPKALRRSWEGKADAKGKDNSTPKAVKVDRKSENRSTSIPRRRPPADEKPSHKDDTKIQTPPRKSTASAPSDDSDRMVNKHLSPIRRTSGVLSNPNITNLVKVAANSKKLTDAGTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQLAVEQFLALHAAMSRATAVADSLSKAAAAAAATVPDGSAAGEAAADEESLAVAAERRRRVASWVGAGLATDLSAFSLYNLRPPPAGAASPLAVVLVDESTKPAAAAAAAKASPPGKSRMSPAKVGKGRTTGLTAASAAAPPPDWERGGGAEERGELARRLGEEARGWFLAFVERFLDADAASAAAPWDRDRAARMLPQLKRVNDWLSEIGKPPPPPPETDLGADEAAAPAVSAASSGGVPEERIERLRKKIYEYLLTNVDSAAAVLGEASPAATGRKG* >Brasy3G125400.1.p pacid=40044108 transcript=Brasy3G125400.1 locus=Brasy3G125400 ID=Brasy3G125400.1.v1.1 annot-version=v1.1 MGALPRGLLVLGVCAVLVVSVLADKSPASSMVVGLAKCADCTRKNMKAEAAFKGLKVAVKCKNAAGEYETKTVGEVDKSGAFSVPLGAELLHEDGELKQECFAQLHSAPGHPCPGQEPSQIVRPSSTDAADKKTFVAVAGKMHYSSKECASAFLCDPFHKKDLFHKKPVPIPHFHKKPIVIPHFHKKPKPMPVPVYTHPTPVPEYKPPTTPVYTHPTPIYHPPAADQKTAAGQDPETDPQLFKKLLPFVKNPFFNKFPKFPPVKEETKP* >Brasy3G331700.1.p pacid=40044109 transcript=Brasy3G331700.1 locus=Brasy3G331700 ID=Brasy3G331700.1.v1.1 annot-version=v1.1 MNRMRRFAGMGKTKVPSGVQKDKDESIVFFRELYKHQEDTDVNLLEPMYSVEFDAIQGGHMTKLPPAVKRDFLIPINEKHDYDWLKTPPAASLFPPLEMKANSSEMVLEKELPIPRPVKPSASRFASKPEVTKTSAKPSSPPSGSSSKNVFRGAPSISNEKNHPHAIDKRSTYYSALTGRQQKPGATTAKATTSASSNTTKKHSDMCHGSQGSSPGAAKTMIPAEEPYKAPKNLLTTGSIFSRRAPSMTTTAKTRPGGPALGVDAKGENGRARRLPLATTRGFKELHVDGKKNALPAKGKAVAATATEPAVYNGAAGESASVKGMRRADGKNERRPRFTTM* >Brasy3G284300.1.p pacid=40044110 transcript=Brasy3G284300.1 locus=Brasy3G284300 ID=Brasy3G284300.1.v1.1 annot-version=v1.1 MAAVRLVAPRLRSIPLLLPGPIHHSCTCRSSAASSVRDMSSSSASSSPSPYTTLVGRVRCEREIKRSKFIAIAGTVHDERAAMSFLDEVKDPRATHNCWAYKVGEQFRYNDDGEPSSTAGKPIYTAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHFEAEDIKQDYETGKDGTVAVMFKVEYEKIESLGSAVNSACSRKIELLL* >Brasy3G155600.1.p pacid=40044111 transcript=Brasy3G155600.1 locus=Brasy3G155600 ID=Brasy3G155600.1.v1.1 annot-version=v1.1 MVASARRKVLAHNFFLLLLSMASAGDVFEHGRHGTSLFHVQEARSCGGPPADGRAKDPPKPLLIAAPCEAGEYPVVLFLHGYLCNNYFYSQLLQHVASHGFIVIGPQLYTVSGPDTTGEINSAAAVINWLADGLSSTALPPNVRPNLTAVSISGHSRGGKVAFALALGHAKTSLPLAALIAVDPVDGMAVGKQTPPPILTNKPSSLRVPAPAMVIGSGLGSEPRNALFSPCAPLGVSHTAFYDECAGAAPAACHLVARDYGHTDMMDDVTRGAKGLATRAVCKSGGARAPMRRFVGGAMVAFLNKWVEGRPEWLDGIRERPEVAPVFLSVVEFQDEGYSS* >Brasy3G284900.1.p pacid=40044112 transcript=Brasy3G284900.1 locus=Brasy3G284900 ID=Brasy3G284900.1.v1.1 annot-version=v1.1 MGMKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESAAAVPQAAALRPALAFQAGGVHTVTLPHVHDVAPPPVRKPGPIAIGTQILRAEGAAGLFSGVSATVLRQTLYSTTRMGLYDILKKRWSQENGGVLPLHRKIAAGLIAGGIGAAVGNPADLAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSALTVNRAMIVTASQLATYDQAKEAILARRGPAADGLATHVAASFAAGIVAAAASNPVDVVKTRVMNMKVAPGAPPPYAGAMDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFKDVEF* >Brasy3G148300.1.p pacid=40044113 transcript=Brasy3G148300.1 locus=Brasy3G148300 ID=Brasy3G148300.1.v1.1 annot-version=v1.1 MARSSADDMELKRACEAGILSKEKALEQVVMCLRVAKGRGTWGKAGKLNSRHMAKPRVLAVTTKMKGQKTKAFLRVLKYSNGGVLEPAKVYKIKHLHKVEVVANDPSGCTFLLGFHNLRSQSVSPPQWTMRNKDDRNRLLMCILNMCKEILGGIPKVAGMDIVEMAIWAKENTTTKVTQVGTNDGPIESVVLEADSQVAVEKDLVSQAEEEDIEALLGTYVMAIGEAEAFSERMKRELVGLESANVYALMETESVVEEVLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSESNVALIDELDKLLVLLQIPPEYEELLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNMDPCYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDFMLNDKGNFSQKGQLQRPDHADMRFKCRTYARLLQYIKSLDKSCLTPLRKAYCHSLNLLIRREVWFFIYFLR* >Brasy3G335700.1.p pacid=40044114 transcript=Brasy3G335700.1 locus=Brasy3G335700 ID=Brasy3G335700.1.v1.1 annot-version=v1.1 MHIQDSRLLACWCYVCSTTILLGFSGELIWLPMVFWLIIGYSNARFVGRLRLQMLPQEESTPFWKLVLKLSDDLLVKILIASAVVSFLLARLNGKTGLTTCLEPSVIFMILAANTAVGVITETNVEKALERPGRSPFLEKIPAIQLQSHSTDFSPVLFLGGTFSLVYATKLPIWRPERF* >Brasy3G131300.1.p pacid=40044115 transcript=Brasy3G131300.1 locus=Brasy3G131300 ID=Brasy3G131300.1.v1.1 annot-version=v1.1 MAKIKPKTLLAQSKQKKAPTQFGLTKIITYVILGALVVSSVYYAYQYWQSKGLAGAETVVGN* >Brasy3G192800.1.p pacid=40044116 transcript=Brasy3G192800.1 locus=Brasy3G192800 ID=Brasy3G192800.1.v1.1 annot-version=v1.1 MKLFNLLCVFLAVLAALFASSPVGVLGRRPVRAPPPPKPSTARISGAPLPVYHAEPPPPPASRVEPLCSPPLPIYRRRGARPLQSPPCH* >Brasy3G263200.1.p pacid=40044117 transcript=Brasy3G263200.1 locus=Brasy3G263200 ID=Brasy3G263200.1.v1.1 annot-version=v1.1 MAVALKTISSFCNTQQQCLHSTRDQVLYGYSHSNAKECKSKNAKRPSPLCVKATSTKVELDFSDPSWKQKFQEDWDERFNLPRITDIYDDLKPRPTTFSLKKKRTPRSDEDSTPMDMWNGYVDNDDRALLKVIKYSSPTSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQVVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHDECRKRKLKVSVVAVPKTIDNDIPLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDICLIPEVPFTLDGEYGVLQHLEHLLENKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKGIGVHADVKYIDPTYMVRACRANASDAILCTVLGQNAVHAAFAGFSGITSCICNTHYVYLPITEVTTAPKRVNPNSRMWHRCLTSTGQPDFR* >Brasy3G032900.1.p pacid=40044118 transcript=Brasy3G032900.1 locus=Brasy3G032900 ID=Brasy3G032900.1.v1.1 annot-version=v1.1 MRSSDGDVKSFFRQQKAHSGATAAIKPTGGVSKKAAGHHQKTPAAALLHLTPDHCDDADARKEAEEEHRERAACEFDMDMRYGPCLGLTRAQRWRRAAALGLAPPPAALCPEAQPCLWEGRV* >Brasy3G131700.1.p pacid=40044119 transcript=Brasy3G131700.1 locus=Brasy3G131700 ID=Brasy3G131700.1.v1.1 annot-version=v1.1 MTTLSTGVLRKLVDGMRSGASKPVGEHRTAVLQVTDILPAGMDEKDLFPRSPDSSNSIYATLPLAQDGLGQFVHVDRLDPGSPVPVIVGARLLPGRHPLGAGTPEPPARGKAGAPRRGSWGPEDHAVAGAASPKVAVKNFDERTPVPARSSTALASVRKSSSVLPRITSRSRSRSFAADRGDPPPKIPKSPFPAEKSSMSCTAASRPMSKRVREADDDTARSAKKRRTSAARVPVPGKINALGKEAMEQREQAQKVALEAQRNASATDNVARIYKQQPDVPAACFESFLGFHQETVQAMADIESVQAATSMATSSAMGTSPPAEEAHVLQEIAQNRTTAMRRRGDLLGVSKSVSFAPGTLLDPSSRHNGGRSSSASRKCLAVGKTVSEGEEKRSSVLGSSLRLAKQMLAEAGSWFMEFLEEEQAIIVFVFGNGEAERRRLLLSASLMLRVINWVEMEQSGSSRKAGHPRAAAIARKLRIKAKSQFL* >Brasy3G308600.1.p pacid=40044120 transcript=Brasy3G308600.1 locus=Brasy3G308600 ID=Brasy3G308600.1.v1.1 annot-version=v1.1 MAGVGSGDAKSLTQWLREKGFDEETIGRMSRRCRNLPNLDAGEASGVWEYLLYYVNIERRKLRHLVTKCPKVLTMSLNDKLIPTIQCLNTLQAKPGEVAQAIVKFPPILFHSVEEKLCPLLAFFETLAISEKQLAKLLMVNPRLISYSIEAKFSQTVDFFVGLGIDKEGMIGKILAKEPYIMGYSVDKRLRPTAEFLKSAVGLQGSNLQRVVMNFPGILSRDVDKTLRPNLAFLQSSGFSKDQIMELVAGYPPVLIKSIKHCLEPRVKFLVEEMGRDKGEVVDYPQFFRHGLKRSLEYRHKILKQMNSRCSLSEMLDCNQKKFAMKFDLVAAAV* >Brasy3G308600.2.p pacid=40044121 transcript=Brasy3G308600.2 locus=Brasy3G308600 ID=Brasy3G308600.2.v1.1 annot-version=v1.1 MAGVGSGDAKSLTQWLREKGFDEETIGRMSRRCRNLPNLDAGEASGVWEYLLYYVNIERRKLRHLVTKCPKVLTMSLNDKLIPTIQCLNTLQAKPGEVAQAIVKFPPILFHSVEEKLCPLLAFFETLAISEKQLAKLLMVNPRLISYSIEAKFSQTVDFFVGLGIDKEGMIGKILAKEPYIMGYSVDKRLRPTAEFLKSAVGLQGSNLQRVVMNFPGILSRDVDKTLRPNLAFLQSSGFSKDQIMELVAGYPPVLIKSIKHCLEPRVKFLVEEMGRDKGEVVDYPQFFRHGLKRSLEYRHKILKQMNSRCSLSEMLDCNQKKFAMKFDLVAAAV* >Brasy3G219600.1.p pacid=40044122 transcript=Brasy3G219600.1 locus=Brasy3G219600 ID=Brasy3G219600.1.v1.1 annot-version=v1.1 MVEGRNCLPAEVRNGLETLKKRRLERMRLTAQNEAGDNPAVAARSGGDGLRSPANCGVRLHANNAAGGLPSTSSVHDHFAKRKVDKFHMSDLEWIDKIPECPVYCPTKEEFEDPIAYIQKISPEAVKYGICKIVAPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAEWAEDDTVTFFLSGRKYTFRDYERMANKVFSKKYSSASCLPAKYVEEEFWREISSGKMDYVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLHTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILTGDGEDAAFDVLLGKTTMFPPNILLDHSVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPFLAHEELLCRSAVLLSHSEHPYTQYCVKSCFVRLMRMQRRTLDLLAKMGSQICYKPKLHSNLSCSMCRRDCYITHVSCGCIFDPICFHHEQELRSCSCKSNRTVYLREDILELEALSRKFEQDIRLDKENSANGSYKQSEISDIDSDHGPSFGTYNSESNLLDNVVLEANAADAGKCSPATSSLTSFAHHEHLPAESRVHATQTNQVYSTAKQAMNTSSANGNDPLDDNSSRMADACNEISSCNASRMEYSGNSDSDSEIFRVKRRSSTLGRSAPDTKTATSSEQQVLRRLKKACPEAQEVNKRPEDGEHCLVPTVRMSHKKTTSASSSDEEREDMVPISWRIKRRQLETQQDSASYAAKPKVYPSTSSCSRQDFAEASRDAASELPSRRVKIRLHPSANRLVEQQGSSGQRFARDDKPPGFWRTN* >Brasy3G348800.1.p pacid=40044123 transcript=Brasy3G348800.1 locus=Brasy3G348800 ID=Brasy3G348800.1.v1.1 annot-version=v1.1 MTGLSSPCGACKFLRRKCVNGCVFAPYFSHEQGAAHFAAIHKVFGASNASKILTHLPASDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVNLQAQLESFRTQAIQGYGNGSSISTPQKDDCGNMFARYLQDEQQLLFHPTMPSSSSIKSEDQLYSANDHFTSTSTQSAQHYEPDLCMPDYSNTNPYCTMEGYHDMEGLQSVAFAYLNKA* >Brasy3G259300.1.p pacid=40044124 transcript=Brasy3G259300.1 locus=Brasy3G259300 ID=Brasy3G259300.1.v1.1 annot-version=v1.1 MWEGAAGAVHGSHEALLLQATGGDRSIDHGQYPSGLLPWLGPSSGAGPSYMIPPGPLDPEMPESGGSFGVDGFGGLQLQGQQHQYGGLFGLEPPTELLQGPSSSRMMVSGLLGSLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAIMDVSAASGEDADAAPAVQLLLLPTEADELAVEVDAAAGEDGRLVVRASLCCEDRPGLIPDMARALAALRLRARRAEIATLGGRVRNVLLITAADDDEEEEGDGGREEEDEDERAAAASHRRHELVASIQEALRGVMDRKGAGASSDTNTTSSSGGGGGGSSIKRQRVNGAREQGSF* >Brasy3G068200.1.p pacid=40044125 transcript=Brasy3G068200.1 locus=Brasy3G068200 ID=Brasy3G068200.1.v1.1 annot-version=v1.1 MIDLFSSSSLTTASAIRPPPVADRLPALSRPASSSRLPASPRCCPSAAARLVGAPPGRGSARMHDREHLRRVVNRTIDHIYVPLRTCEMAATYLNEGKLVPEDIIFGLLTKRLEEGYYKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKKRYGGDICSHCGQLFDVSNPASMERNFWHAQAQPAAIMGLENARMEKMRSYAKQAKQLEDYYKKQRKLMELKTSARPGETWQGLVAALHLQHLDPSPTPHKLTV* >Brasy3G146900.1.p pacid=40044126 transcript=Brasy3G146900.1 locus=Brasy3G146900 ID=Brasy3G146900.1.v1.1 annot-version=v1.1 MEDNGRTQHGFPRGYRFVPEELEIIRLLDDKLAGRPLPYPLHNIFHDIRIRDFHPAELYEAYKEHEEDGFIYFFSIREFPTARGSKQRPARGAKHGAWKASGGGKAVSTMTRGGVDVGQKLTLVFYDKVTPTADDKSPKNTGKTDWGMHEFTRIIGPGNKVADLALYRLYKMNKGKKKKMQADLRAATSSREAKSPNRPTAASSSSSSWCHPAGETSQFMANQLLDGVASSSRAHDYADEYSFGVATSSAPGPSSSAVSMDGAGQRTSLLPMTRAQENYQMMTPQQQAAHHFGATSPQRHLGCPGPVVASWSEQQLVNMAPAPPAPALDDEFDAFCKGKPLSTNWLPMLSDLSAILKDPPMLKQDEDSEYYKLNFDCGSMGPMDDEALLSTIGD* >Brasy3G112700.1.p pacid=40044127 transcript=Brasy3G112700.1 locus=Brasy3G112700 ID=Brasy3G112700.1.v1.1 annot-version=v1.1 MSHALFLDKTSLIGSWEVDKVGLGGEAEAWRKKVTVRGLANRLTVGGRAMWSFSEVRFGGPVMTGRADPDSGGRVGDAGATSSGIRPARCLQSVGKSMEVTAGSFVVSFYLFMMEANCLQFFFLFLSTCSTSLSGIS* >Brasy3G207200.1.p pacid=40044128 transcript=Brasy3G207200.1 locus=Brasy3G207200 ID=Brasy3G207200.1.v1.1 annot-version=v1.1 MSGGVGPTAGGGIVLPSMGQPPPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPPSDIAFAVLLLPYLVLLATLAFPQRPGKPNPAEPVYPGPARFLLHAHTAAGFLVGGALPALYILDGLRSGDTAGVAAASPHAFLLAAQVFTEGITAAWPWRFSLPVRAAVPVMYSVRRMIAAGEWLRQEMEEKRGGVGADVVESRRLVAGKVLAAANMAFWGANLFAFLVPFYLPKALHRYYCGGDYDAGDRGSSVDKGGEDKKDS* >Brasy3G302700.1.p pacid=40044129 transcript=Brasy3G302700.1 locus=Brasy3G302700 ID=Brasy3G302700.1.v1.1 annot-version=v1.1 MAMDKQHGSSPDDDVEAPLLSLLPADSSRRPASSSSRLQALFAHRYPAILSGPAACAAVCLLVDLDLGVHGAAPRSMLGALAWVFLWWVTGAVPLAVSSMAPLFLFPALGVASSDAVAASYMDDVIALVLGSFILALAVQRYHIHRRLALNIMVRFCGDPVRPARLLLGVAGTTAFVSMWIHNTACTVMMMPVATGILQRFPTPRGGNGEELAEVRRFSKAVVLGVVYASAVGGMATLTGTGVNVILVGMWSAYFPEQRPITFSSWMAFALPLALVVFLALWVTLCFMYCSKDTGKALSGYLDRSHLRTELGLLGPMAFAEKMVLAVFGGLIVLWMTRTLTDDIPGWGVLFHNKVGDGTVTIMMATLLFIIPNGKNEGEKLMDWDKCKDLQWSIILLLGAGFAIADGFRTSGLTDILSSGLGFLEGAPALVVVPVACAFSGVITEFTSDDSTATLVLPLFAELAKSIRVHPALLVVSGAVGAQLSYLLPTGSPSNVVGFSTGYVTIKDLVATGLPLKVVGVAALTVLLPTLGSVIFGMDNKS* >Brasy3G229200.1.p pacid=40044130 transcript=Brasy3G229200.1 locus=Brasy3G229200 ID=Brasy3G229200.1.v1.1 annot-version=v1.1 MATGEAAFGVASLRMRGSYRDHGGGDVFSRAASSRAESEGDEEALMWAALERLPTHSRVRKGIVGDDGAGKGGEVVDVAGLGFHERTRLLERLVRVAEEDHERFLLKLRQRIDKVGLDFPTIEVRYEHLNIEALAHVGNRGLPTFLNTITNYLESLANLLHIIPNKKIPLNILHDVHGVIKPKRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGHGMNEFIAQRSAAYISQHDLHIAEMTVRETLAFSARCQGIGSRYDMLTELSRREKAANIKPDPDLDVYMKAVSVGGQDTNIITDYVLKILGLDICADTMIGDDMLRGISGGQRKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWARNHQRYRYVPVQEFSHAFKEFHVGRSLSTELARPFDRSQCHPASLTSSTYGASKLELLRACIAREWLLMKRNMFVYRFRAFQLLVITLIVVTLFLRTNLHNNTVNDGIVCMGALFFSLVAHMFNGFSELAMTTIKLPVFFKQRDYLFFPAWAYAIPNWILKIPISCVEVAITVFLSYYVIGFDPDVGRLFKQYLLLLLVNQMSAAMFRFLAALGRSMVVANTLASFALLVLLVLSGFILSHDDVKAWWIWGYWMNPLQYAMSAIAANEYLGKKWQHIVQGSNRSLGIEVLKSRGMFTEAKWYWIGFGAVLGYVIVFNILFTIALSYLKPLGKSQQILSEDALKEKHASITGEVPNESNSSTSAGRLNNSRRNAASGAAAGDSRRGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDQDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFVEEVMELVELNSLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCQLIEYFEGIDRVSKIKPGYNPATWMLEVSSQAQEDILGISFTEVYKNSDLYQRNQAVIKDISRAPEGSKDLHFPTQYSQSSWTQCMACLWKQHLSYWRNPQYTVVRFFFSVVVALIFGTIFWQLGGKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSAMPYAFGQVVVELPYVLVQSVVYGVIVYAMMGFQWDVKKFAWYLYFTYFTLLYFTYYGMLCVGVTPSYNIASIISSFFYGVWNLFSGFVISRPTMPVWWRWYSWACPVAWTLYGLVASQFGDITEPLQDTGVPVDAFLKSYFGFEHDFLGVVAVAVAGFAVLFAVSFGLAIKALNFQRR* >Brasy3G229200.2.p pacid=40044131 transcript=Brasy3G229200.2 locus=Brasy3G229200 ID=Brasy3G229200.2.v1.1 annot-version=v1.1 MESSTYRTSHFMKARMVLLILLLTAFILQAVSVGGQDTNIITDYVLKILGLDICADTMIGDDMLRGISGGQRKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWARNHQRYRYVPVQEFSHAFKEFHVGRSLSTELARPFDRSQCHPASLTSSTYGASKLELLRACIAREWLLMKRNMFVYRFRAFQLLVITLIVVTLFLRTNLHNNTVNDGIVCMGALFFSLVAHMFNGFSELAMTTIKLPVFFKQRDYLFFPAWAYAIPNWILKIPISCVEVAITVFLSYYVIGFDPDVGRLFKQYLLLLLVNQMSAAMFRFLAALGRSMVVANTLASFALLVLLVLSGFILSHDDVKAWWIWGYWMNPLQYAMSAIAANEYLGKKWQHIVQGSNRSLGIEVLKSRGMFTEAKWYWIGFGAVLGYVIVFNILFTIALSYLKPLGKSQQILSEDALKEKHASITGEVPNESNSSTSAGRLNNSRRNAASGAAAGDSRRGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDQDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFVEEVMELVELNSLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCQLIEYFEGIDRVSKIKPGYNPATWMLEVSSQAQEDILGISFTEVYKNSDLYQRNQAVIKDISRAPEGSKDLHFPTQYSQSSWTQCMACLWKQHLSYWRNPQYTVVRFFFSVVVALIFGTIFWQLGGKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSAMPYAFGQVVVELPYVLVQSVVYGVIVYAMMGFQWDVKKFAWYLYFTYFTLLYFTYYGMLCVGVTPSYNIASIISSFFYGVWNLFSGFVISRPTMPVWWRWYSWACPVAWTLYGLVASQFGDITEPLQDTGVPVDAFLKSYFGFEHDFLGVVAVAVAGFAVLFAVSFGLAIKALNFQRR* >Brasy3G148500.1.p pacid=40044132 transcript=Brasy3G148500.1 locus=Brasy3G148500 ID=Brasy3G148500.1.v1.1 annot-version=v1.1 MEKILLKPPALGSPGAAGLGGSWRSRSTRRPPSVVRAIPAAASFRPIRISAASLAGHRRDIHTHPVTLTTPLGPGGPWAHGPSSSSRGGGRRRFVTTRAMFEGFTEKAIKVIILAQEETRRLGHNTVGSEQILLGLIGEGTGIAARALKSAGLNLKDARVEVEKALGRGTGLIPVEIPFTASAKKVIEFSAEESRQLGHNYIGSEHLLLGLIREDDGAALIILKKFQADPSNISNEVMRMISEISEGQTVGAGVGGGSTGSKMPTLEEYGTNLTKLAEEGKLDPVVGRQKQIERVLQILGRRTKNNPCLIGEPGVGKTAVAEGLAQRIVTGDVPETVEGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEIKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDEAIGILKGLRERYEIHHKVRYTDEALVAAALLSHQYISDRFLPDKAIDLMDEAGSLVRLRHAKLPEEAKVLDKKLKEVIKQKDDAIRCQQFEMAGELRSEEVELKSQITSLVAKNKEMNKAEVESGASAGPVVTEADIQRIVSTWTSVPVEKVSVDESDRLLRMEETLHRRVIGQDEAVKAISRAIRRARVGLRDPSRPIASFIFAGPTGVGKSELAKSLAACYYGSEEAMVRLDMSEFMERHTVAKLIGSPPGYVGYSEGGQLTEAVRRRPYTVVLLDEIEKAHPDVFNLMLQIMEDGRLTDSKGRTVDFKNTLIIMTSNVGSSVIEKGGRQLGFDNGDGGVQDGEISSSGSYGRIKSLVDEEMKQYFRPEFLNRLDEMIVFRQLNKFEVKEIADIMLAEVTGRMKEKGIELLVTESFKELVVDEGFDPSYGARPLRRAIMRLLEDTLADKILAEEVKEGDSVILDADSAGDVAVLSRHGDSPEHQPGQLLALPS* >Brasy3G200400.1.p pacid=40044133 transcript=Brasy3G200400.1 locus=Brasy3G200400 ID=Brasy3G200400.1.v1.1 annot-version=v1.1 MKVLTLPCLLLVSVSLAFCCQAAARPGTASLDAATMVVQELDRVMSLPGAPSCSSAFKQYSGYVTTDEHLGKALFYWFFEATDKPDEKPLVLWLNGGPGCSSVGFGQAQELGPFRVKKDVPELEFNQYAWNKAANLLFLDSPAGVGFSYTNTSFEQDPPGDNSTAHGSYTFLVKWFQRFPQHKMKEFYIAGESYAGHYIPQLANLIVEENKKTSEENYINFKGILIGNAYMDGDTDLQGIVDSAWHHAIISDTLYSTFLKSCNFSMEILSADCEAALGEFDSLYKLVDIYSLYTPYCDLGYPAFNASSSSSAQTRRANGRSDFLKMTMGYDPCTQTYATEYLNREDVQRALHANTTGVPYPYALCRNSISSIWKDSDMTVVPIVMKLAQEGLRIWIFSGDTDARIPTTSTRYTLKKLGLPIKEDWAPWFSHKQVGGWTVVYDGLTFVTVRGAGHMVPSSQPKQALQLFKHFLADKNLPSKPF* >Brasy3G306300.1.p pacid=40044134 transcript=Brasy3G306300.1 locus=Brasy3G306300 ID=Brasy3G306300.1.v1.1 annot-version=v1.1 MHQQHPAAAAAMGAETLWDLLSEDMAAAAAAAAEHGLPPGFRFHPTDEELVTFYLAPKAFNNNNDNNINDNNFSAVDFIAEVDLNRCEPWELPESARMGGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDKEVVCAATGALIGMKKTLVFYEGRAPRGHKSKWVLHEYRLDGDFAADRRSCKEEWVVCRIFHKAVDQYSSKMMEMRMMSPYGHHCYHPMSHHQHPSYVFQDAPPVPFPNPSSQLPVPFLHHHHHDLIPNPQQPSPLITQNHQQQAAIKNSSSNNGGFPVPAAAACIQDHQPNNTAPYYPFPSFDSAVTVAAKAGPLPGVNAAGPQELPLAWPMDNFLQHGTYLYETGPPAAPRDA* >Brasy3G060400.1.p pacid=40044135 transcript=Brasy3G060400.1 locus=Brasy3G060400 ID=Brasy3G060400.1.v1.1 annot-version=v1.1 MSASSCASAAMSCWWSAAAPAPGAKTMASMLTPNFAARPATFAWNSVIALCLATSAASPAAATGAGAACLRAAAGEVGIGRFSPNRRGQGEGDWPGRRRVVVEVKLGRQRWRSQAAGLRAQISGEDGRSERIQAAEAPCGGSSIVEATSRGGGGGSTIRQVFPALSRRFPACSLPFPPLPW* >Brasy3G334100.1.p pacid=40044136 transcript=Brasy3G334100.1 locus=Brasy3G334100 ID=Brasy3G334100.1.v1.1 annot-version=v1.1 MEAPSESSPVDRDAPVMRCACRYAAATRTSKTPRNPDRHYLRCKNAPDGCFLWIWEDLLNQYVEEIVELRTAKLMRELISVQEDLVAAKELQTLTENRLARLELEFAECKDERIALQKKLHEEELHSRKFKAIALILLVAILVAKLIH* >Brasy3G155400.1.p pacid=40044137 transcript=Brasy3G155400.1 locus=Brasy3G155400 ID=Brasy3G155400.1.v1.1 annot-version=v1.1 MEEERSDEPPAVAVSLLTDDLIMEILCLLPVKSLHRFKCVSRSWRDLIAHPAYRQKLPQTLAGFFYEISYYGGVRRNHFASISGSAATVDLDPSLAFLQPMVYTDIKLVDTCNGLLLCACYNKENSSTEHKLRFVVCNPATQRWVMLPPQPQQHYVTWLAFDPAVSSHFHVLNFEESEQGNYYITGVNIYSSQTGVWTHRDSGLVEEIGLLSTGGSAFLGGMLYLLGQLNTQSINIDLSSTTVLLSVDMEGKAWNWINVPQGCFHYVGTIGLSQGCLHYATTITPVSNNDNEDTILPLEEIALWCLENHDSKEWVLKDSLSIHKLFIDNPLSIDDPESDYHTIGIHPDCDTLFLVPKRDAS* >Brasy3G151200.1.p pacid=40044138 transcript=Brasy3G151200.1 locus=Brasy3G151200 ID=Brasy3G151200.1.v1.1 annot-version=v1.1 MEREKRETTPKDHVTVVEPGERPPQRPPPPERSSEGIVLAGGTQHFFPEQLPPPANVFYKTKMCVNYDTGGSCMFGADCAFAHGMAELRRPSETSSSSAPGRAFPPVPAPAVREEQEAGARGVSNLERLSRKNMRGIYGDWGPS* >Brasy3G136300.1.p pacid=40044139 transcript=Brasy3G136300.1 locus=Brasy3G136300 ID=Brasy3G136300.1.v1.1 annot-version=v1.1 MASLPQRFKLLATRCAAGAPSPSRSPAPSYSSASPGYRLRRRRGPSSRRRGGGRLRRFLCRRVGGGPEPTEEDKKPLVGRGGRTLRDLFVASPEAGRRRRGGCGCDDDDGEGEGEEEGAIGSVRAGIAHGHGGGAGGGRRFGSGGLRSLLMRRSWRPVLVAIPESDGKIELGAIEE* >Brasy3G059700.1.p pacid=40044140 transcript=Brasy3G059700.1 locus=Brasy3G059700 ID=Brasy3G059700.1.v1.1 annot-version=v1.1 MARLVPMQGLGCEAAVGSLTPSRQREYKLCSKHAEGKRPLYAIGFNFIDARYYDVFATVGGNRVTTYRGLPDGNLALLQAYVDEDKEESFYTLSWASDLDGSPLLVAAGSNGIIRVINCGTEKLLKSFFGHGDSINEIRTQPLKPSLIISASKDESVRLWNVHTGICILIFAGGGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKYVQFPLMIAVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKDQTPGEVGSIDVLQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWDVQASPPVLITRLSNPQCKSPIRQTAVSFDGSTILACCEDGSIYRWDEVDHPAMKS* >Brasy3G059700.2.p pacid=40044141 transcript=Brasy3G059700.2 locus=Brasy3G059700 ID=Brasy3G059700.2.v1.1 annot-version=v1.1 MARLVPMQGLGCEAAVGSLTPSRQREYKLCSKHAEGKRPLYAIGFNFIDARYYDVFATVGGNRVTTYRGLPDGNLALLQAYVDEDKEESFYTLSWASDLDGSPLLVAAGSNGIIRVINCGTEKLLKSFFGHGDSINEIRTQPLKPSLIISASKDESVRLWNVHTGICILIFAGGGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKYVQFPLMIAVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKDQTPGEVGSIDVLQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWDVQASPPVLITRLSNPQCKSPIRQTAVSFDGSTILACCEDGSIYRWDEVDHPAMKS* >Brasy3G059700.3.p pacid=40044142 transcript=Brasy3G059700.3 locus=Brasy3G059700 ID=Brasy3G059700.3.v1.1 annot-version=v1.1 MARLVPMQGLGCEAAVGSLTPSRQREYKLCSKHAEGKRPLYAIGFNFIDARYYDVFATVGGNRVTTYRGLPDGNLALLQAYVDEDKEESFYTLSWASDLDGSPLLVAAGSNGIIRVINCGTEKLLKSFFGHGDSINEIRTQPLKPSLIISASKDESVRLWNVHTGICILIFAGGGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKYVQFPLMIAVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKDQTPGEGSIDVLQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWDVQASPPVLITRLSNPQCKSPIRQTAVSFDGSTILACCEDGSIYRWDEVDHPAMKS* >Brasy3G053500.1.p pacid=40044143 transcript=Brasy3G053500.1 locus=Brasy3G053500 ID=Brasy3G053500.1.v1.1 annot-version=v1.1 MQMADQRRGHRVQPQGLGDIEYGRATAEPRQETGWCGSGMMARSSAAENQNRTVALVVVIIGLCCFFYLLGAWQAKRFGRGDCIAERVNAQCVVLRGLHVETHLVLGNKPTWR* >Brasy3G078900.1.p pacid=40044144 transcript=Brasy3G078900.1 locus=Brasy3G078900 ID=Brasy3G078900.1.v1.1 annot-version=v1.1 MAGGELILALPDDVLALISVHLRPRDLLALSAADRRLHAALSAADKPWLAQCRRLLPPSSPPSHLLAWRAAAGGSLSVCRFLHSAAPLRGLWAQQNPELGNLVAAIPGFLSLVAVRAIPQELSPRLRWAPVFELLADARGRPALLFLHGAGSLFPGQLSSLHPNTNTLLLEALAPPSSSSSFARLSFGNRRRLLDTLVASCRVKLPPDLAAAPLFARSDEDLPLLAARREAMLRLHSESSSGGGTVSRPELEALLLELEAKKMPLPTNGDAAGDKVPLRRSLSAMAGYVKKGLRQMVTRSASANSTAQFADSKHLALDEFLRAGESVGLSLRGARMRLSTYRAWPSMHDNRFALYKLTTQVPMPGQEYAGLWGGTFGWPPGRPEDDRRPRKALFFLLLSYEEDSERKLTLIATKVLEGTHYVVHPNGSAMFIARMGEPSTEAFPWQADEDSRRLYIARSFAGEGIAAGYGFRYPGSKPGSLFALQDGRLAFVWRDNKSVLTLQRLDLEELLRKGKRVPSLPPIPNFAYLTKSHTNVFSVTRNSSNCSSSPR* >Brasy3G022300.1.p pacid=40044145 transcript=Brasy3G022300.1 locus=Brasy3G022300 ID=Brasy3G022300.1.v1.1 annot-version=v1.1 METTSSSGGDETQGSGLVEVQVATAALRRSEVFHIVKELLGFVLYMHHQIPSVLQNLENEFTDLKEEMTEMAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSKKVVPKHMSIKCNSSCYQTNNEHVASILDASCCNSESSPSDVIWFQCKHTIRGLPCKASLEG* >Brasy3G022300.2.p pacid=40044146 transcript=Brasy3G022300.2 locus=Brasy3G022300 ID=Brasy3G022300.2.v1.1 annot-version=v1.1 METTSSSGGDETQGSGLVEVQVATAALRRSEVFHIVKELLGFVLYMHHQIPSVLQNLENEFTDLKEEMTEMAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSKKVPVQAHDKRLTMQGVIRRMIWLASV* >Brasy3G022300.3.p pacid=40044147 transcript=Brasy3G022300.3 locus=Brasy3G022300 ID=Brasy3G022300.3.v1.1 annot-version=v1.1 METTSSSGGDETQGSGLVEVQVATAALRRSEVFHIVKELLGFVLYMHHQIPSVLQNLENEFTDLKEEMTEMAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSCTQAYVHKMQFIMLSDE* >Brasy3G022300.4.p pacid=40044148 transcript=Brasy3G022300.4 locus=Brasy3G022300 ID=Brasy3G022300.4.v1.1 annot-version=v1.1 METTSSSGGDETQGSGLVEVQVATAALRRSEVFHIVKELLGFVLYMHHQIPSVLQNLENEFTDLKEEMTEMAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSSSASTR* >Brasy3G022300.5.p pacid=40044149 transcript=Brasy3G022300.5 locus=Brasy3G022300 ID=Brasy3G022300.5.v1.1 annot-version=v1.1 MAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSKKVVPKHMSIKCNSSCYQTNNEHVASILDASCCNSESSPSDVIWFQCKHTIRGLPCKASLEG* >Brasy3G022300.6.p pacid=40044150 transcript=Brasy3G022300.6 locus=Brasy3G022300 ID=Brasy3G022300.6.v1.1 annot-version=v1.1 MAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSKKVPVQAHDKRLTMQGVIRRMIWLASV* >Brasy3G022300.7.p pacid=40044151 transcript=Brasy3G022300.7 locus=Brasy3G022300 ID=Brasy3G022300.7.v1.1 annot-version=v1.1 MAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSCTQAYVHKMQFIMLSDE* >Brasy3G022300.8.p pacid=40044152 transcript=Brasy3G022300.8 locus=Brasy3G022300 ID=Brasy3G022300.8.v1.1 annot-version=v1.1 MAIPPAELKPSDQRKYNTRKREVRCRIKKREKLMNGISTLLSALQQALDEVSRIEGIALILGGSLVRPLFVYDITVSHGRFDSGGTKEHATTKLAQSVSRKAIRALISGGAGSLSYTGPTKLFLLVRCPCTLNLPLDFLPKRDFRYSSSASTR* >Brasy3G180500.1.p pacid=40044153 transcript=Brasy3G180500.1 locus=Brasy3G180500 ID=Brasy3G180500.1.v1.1 annot-version=v1.1 MNGIKRFGQDIHQDLVEMMSVFVTNQDWSLSTVPATYYPLSPLDYKFRHLFTACCRLLTTELPYISCCLATYVTCILCN* >Brasy3G164000.1.p pacid=40044154 transcript=Brasy3G164000.1 locus=Brasy3G164000 ID=Brasy3G164000.1.v1.1 annot-version=v1.1 MHAATSAGGMALQIDLGRGGNNANNASGIGIGRGGSSKKRLVMIIADPGRESTAAMEWALSHAVVEGDDILLLHVNMPLPSGAPAPAPSRTGSGGGGGGSPIAVLLGAGNGGGGADGEFMETMRAACRARHPRARVHGERVEPATEGREAKAQTILAESQRRGVELLVIGHRRISSFLGLRSASGSSRGHDSTAEFLIEHSKCLCVSVQKKGQNAGFLLNTKTHKNFWLLA* >Brasy3G012900.1.p pacid=40044155 transcript=Brasy3G012900.1 locus=Brasy3G012900 ID=Brasy3G012900.1.v1.1 annot-version=v1.1 MEGVAVVPAPPAGAAARDWSELPLDVLCFLFADKLRAVDVLMGAGLVCRSWLSAAKAPDVWLVGRKFIADHHLCAMAKAAVDRSDGRHEVFSGERFVTNELLLYIAERSPALKTLRLMECDDVTDQVFIQVMKKAPLAELRRLEIEECWIINEELTAILDGCPHLEVLNLYNCCEIDLDDDHDLRAKCDAIKTLSITECYSGDDEDYAFDYPCYYGSDSDRSVGWY* >Brasy3G189700.1.p pacid=40044156 transcript=Brasy3G189700.1 locus=Brasy3G189700 ID=Brasy3G189700.1.v1.1 annot-version=v1.1 MIAEEETTGRGAAVKPAVPTVLATRTPANTRTTPLSSPDKKRPTTTPDADGALKINKKPKPASDGDHLYGFRHSNCCSIIHQSPEVQDLKVFLESPFSTKAAPSSCGTPPSLILPEGKNALERRAQKFLRSDLEDIQEALRAEPLDKQHTIEMIGCVVSSWSDAYKGDIPNDLGTLIAHLRALLREQLENSARDDSYKRADALNAGEV* >Brasy3G308200.1.p pacid=40044157 transcript=Brasy3G308200.1 locus=Brasy3G308200 ID=Brasy3G308200.1.v1.1 annot-version=v1.1 MGEAGQPGLSPRPAGAAAEEPGTAAGAEPTAGGDGGAPDGVGGRGRRLTRGPWCDVDPAAAGPVPPPPPPPCRGSRSCRSRAVDRAGRRRARASGERRRRARAEGERRRGARAEGDRRRRRLPSREKGEGSLGRVGIWGERGKEVRVF* >Brasy3G262500.1.p pacid=40044158 transcript=Brasy3G262500.1 locus=Brasy3G262500 ID=Brasy3G262500.1.v1.1 annot-version=v1.1 MSASPPPSSSSSSSSDGKPADEDGVEIREVWAENVDAEFAVIREIIDDYRYVAMDTEFPGVVCRPVGNFPTTDVYNYVTLKANVDMLSLIQLGLTLCDESGALPPRGTGGRPCAWQFNFRGFDPRSDPANADSIDLLRKSGIDFDRFLAEGVDTARFAELLMSSGVVLNDDLQWVTFHSGYDFGYLLKALTAQNMPDTMSGFFDLIRVYFPVVYDIKHLMRYCGSLHGGLSRLGELLAVERVGTCHQAGSDSLLTLQCFIKLKDAYFRGSVDNYAGVLYGLVSDSGGEQASTNSAH* >Brasy3G010600.1.p pacid=40044159 transcript=Brasy3G010600.1 locus=Brasy3G010600 ID=Brasy3G010600.1.v1.1 annot-version=v1.1 MFRRTSSDPPSCRRRKVVDVHRLPLVEWRDWAALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVRATLESRIKIVRLPRRRVGYVLSVRNIPPPPPPPPPPPPARYRRPHRRPGRRPRRLPARRGVGRRGNDDWYRWLGKAG* >Brasy3G042500.1.p pacid=40044160 transcript=Brasy3G042500.1 locus=Brasy3G042500 ID=Brasy3G042500.1.v1.1 annot-version=v1.1 MIPSVKLSSAGVAFSGASLRSKSALVPSVSSIKPSKFAACSLRPLYLAPLDGPRTALLKPRKQLLEFQCAASAADDKESKAEVVPARSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSIMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFILGESFPMPVYLSLLPIIGGCGLAAATELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVLWWIGAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAIFGTFLYSQAKQ* >Brasy3G231500.1.p pacid=40044161 transcript=Brasy3G231500.1 locus=Brasy3G231500 ID=Brasy3G231500.1.v1.1 annot-version=v1.1 MEAPPPSSGAPATAANTNRTRKRKPKPKAAGPSTLNPNWAQLQSKLPASTFLGKRKHRPAPPPPPEPAPTSEAVELGVKLEPTSDDTSLTKALAIDCEMVGVGATGSKSALGRVTLVNSFGNVVYDEYVRPMERIVDYRTHISGIRPKHMNKAKDFWIVQKDVAELITGKILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFQREGKRRSLKDLAAQELCVKIQQQEHCPIEDARAAMFIYKKHKKGWEKNRKEQFKFKSKIKKRGNKKSAEANEDSNVPTVLL* >Brasy3G231800.1.p pacid=40044162 transcript=Brasy3G231800.1 locus=Brasy3G231800 ID=Brasy3G231800.1.v1.1 annot-version=v1.1 MMQKRRKCRVISFYGEVGQWRMLCCLISFQLECVCVFSRSEGWSTFVYALIAASRQIEK* >Brasy3G246000.1.p pacid=40044163 transcript=Brasy3G246000.1 locus=Brasy3G246000 ID=Brasy3G246000.1.v1.1 annot-version=v1.1 MHKTVGPDFPIILTTYWMAMTDEQRLPHCEWKYVVVDEGRELKKWEFELLDKLKGLPMDHKLLLIRFDFSGKEDEEQQHEEEKRALLSKLHAILRPFLRRQMEEDIENRVPHKKDIKNRVPQNKYIENRVPQKKVVTCYRNLFPSDNLLSSQYGLEGNVVKRPRTNGAPRPTSTLEECNNSFQAPSKPAVLVLVQVPNSTSSELVFKSLKEIPELARSDILRAYSALVRDDRQFESLMALPMDMRKDWLLMETGNK* >Brasy3G052100.1.p pacid=40044164 transcript=Brasy3G052100.1 locus=Brasy3G052100 ID=Brasy3G052100.1.v1.1 annot-version=v1.1 MDEDQSFLDMLSFGVSQQLPCSPLGEQEVPATQESSAAVKAKSTKGKNWSSDEDKVLIQAWAHTSLDAVIGTDQQSSSYWGRISEYYNTHKNSSWPERNPNAINCRLNTIREQPVLMMT* >Brasy3G241500.1.p pacid=40044165 transcript=Brasy3G241500.1 locus=Brasy3G241500 ID=Brasy3G241500.1.v1.1 annot-version=v1.1 MAQSAYERLANKLIDAEVGRVLGIGRRDGARAARPHAKRVAERFPGSARARLLEAHVDLEFVRGLDAAIDKRACLRRPLGFADRAAQSFPNSAVIAAFRARLLFVLGELDAAERECRRAIALEIPRDPEDDCVPPGSISAPDVNARLVLLSWQFRGLVLKILGSAEDYWENSMTAERCRDFTSVRLDTLQEEYNMVDQSPATAFTVSSALSFLKEHKSWRFWLCPLCNAASRKYLDTDSLLDHMCSKHPRKVSPRLQSIVDPKVRLEGDDSFVGVTFCQDSDQHDIMRLEPRSNTFKWLLCGPNRRIPDPKPFAERTKEKRRTGTMLLEIINNKLTILPADESTAEFAEVLFEIQEKWFNFVQRTALDYRQILLILARSFLWRELKKCMGNDPKVTAKRISAADIDAIFANVTEDPGITSAEEQT* >Brasy3G248400.1.p pacid=40044166 transcript=Brasy3G248400.1 locus=Brasy3G248400 ID=Brasy3G248400.1.v1.1 annot-version=v1.1 MLLSSKDNPPPTTGLCIATSVDNTANMETYTTDDALAAMGFGKFQALVLVYAGMGWVAEAMEVMLLSFVGPLVREEWKISAQDESLLSSVVFFGMLIGACGWGFVSDKYGRRTGLLFSTLFTTGMGFLSALSPNYLCLMALRFLVGVGVGGGHVFSSWFLEFVPAQNRGTWMMVFSFFWTIGTVLEASLAWVVVTALSWRWLLALTALPCFLLLPFFGITPESPRYLCVQNRMSDATLVLERIAKANQSALPPGILTYQREETKVDHSAGSSETDHLLPVREKECTDDDAMSSKSGSVGSLRSLLSRKLRRSTLLLWFVFYANSFAYYGLVLLTSQLSDANRSCASGMRHVKSENDANLYKDTFITSFAEVPGLIVSAVLVEWVGRKATMWCLMFICCCFLGPLVLHQNELLTTVFLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLIAVGMLRSCHQLEAIVVFEVVLCLAAVACMLFPVETKGREMN* >Brasy3G248400.2.p pacid=40044167 transcript=Brasy3G248400.2 locus=Brasy3G248400 ID=Brasy3G248400.2.v1.1 annot-version=v1.1 METYTTDDALAAMGFGKFQALVLVYAGMGWVAEAMEVMLLSFVGPLVREEWKISAQDESLLSSVVFFGMLIGACGWGFVSDKYGRRTGLLFSTLFTTGMGFLSALSPNYLCLMALRFLVGVGVGGGHVFSSWFLEFVPAQNRGTWMMVFSFFWTIGTVLEASLAWVVVTALSWRWLLALTALPCFLLLPFFGITPESPRYLCVQNRMSDATLVLERIAKANQSALPPGILTYQREETKVDHSAGSSETDHLLPVREKECTDDDAMSSKSGSVGSLRSLLSRKLRRSTLLLWFVFYANSFAYYGLVLLTSQLSDANRSCASGMRHVKSENDANLYKDTFITSFAEVPGLIVSAVLVEWVGRKATMWCLMFICCCFLGPLVLHQNELLTTVFLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLIAVGMLRSCHQLEAIVVFEVVLCLAAVACMLFPVETKGREMN* >Brasy3G248400.3.p pacid=40044168 transcript=Brasy3G248400.3 locus=Brasy3G248400 ID=Brasy3G248400.3.v1.1 annot-version=v1.1 MGEGVGGGHVFSSWFLEFVPAQNRGTWMMVFSFFWTIGTVLEASLAWVVVTALSWRWLLALTALPCFLLLPFFGITPESPRYLCVQNRMSDATLVLERIAKANQSALPPGILTYQREETKVDHSAGSSETDHLLPVREKECTDDDAMSSKSGSVGSLRSLLSRKLRRSTLLLWFVFYANSFAYYGLVLLTSQLSDANRSCASGMRHVKSENDANLYKDTFITSFAEVPGLIVSAVLVEWVGRKATMWCLMFICCCFLGPLVLHQNELLTTVFLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLIAVGMLRSCHQLEAIVVFEVVLCLAAVACMLFPVETKGREMN* >Brasy3G248400.4.p pacid=40044169 transcript=Brasy3G248400.4 locus=Brasy3G248400 ID=Brasy3G248400.4.v1.1 annot-version=v1.1 MWLGFCFRQIWAKVVVTALSWRWLLALTALPCFLLLPFFGITPESPRYLCVQNRMSDATLVLERIAKANQSALPPGILTYQREETKVDHSAGSSETDHLLPVREKECTDDDAMSSKSGSVGSLRSLLSRKLRRSTLLLWFVFYANSFAYYGLVLLTSQLSDANRSCASGMRHVKSENDANLYKDTFITSFAEVPGLIVSAVLVEWVGRKATMWCLMFICCCFLGPLVLHQNELLTTVFLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLIAVGMLRSCHQLEAIVVFEVVLCLAAVACMLFPVETKGREMN* >Brasy3G342800.1.p pacid=40044170 transcript=Brasy3G342800.1 locus=Brasy3G342800 ID=Brasy3G342800.1.v1.1 annot-version=v1.1 MATTSDPTADLALPTDTAEGDAVQDQEHGNGAAAAPAVVQQGQGQGGEEEEELIGPGPAPARQRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPSGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTSFVHVYDTHSGSVDPIISKEIHSGPVKVMKYNHAQDVVVSADAKGLLEYWSPSTLKFPEDVVNFRLKTDTNLFELAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQKSDVPLYHLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESCNFLIYATLLGIKVVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSIAANVNDSKEPLSDPTLLCCAFKKHRIYTFSRREPEEPEDATKGRDVFNEKPPPEELLSVSELGKTATTSLPDNLVFHTSMGDIHLKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKTKTDKNDKPYQDVKILNVTVPKT* >Brasy3G113100.1.p pacid=40044171 transcript=Brasy3G113100.1 locus=Brasy3G113100 ID=Brasy3G113100.1.v1.1 annot-version=v1.1 MDPIFLASAAATWVLNKLLDRLSDGAIKALLSTEGLDREVQLLADALRRANLVLGAVPAGAAAGVRIGNEQLVVQIAQVQKMAADLARHLDELEYYGIREKIKRKNFKSSNPLVSKVKSFTEVGQSKPRINRSDIPHIRDTVENLHKICDDVHNALLLEKLDGINQATRKTSTDTREAVENFTETKVFSREEKDGIVKLISSSASSGQELLVLPIVGAGGVGKTTLARLVYHDPDVKAKFNIRIWIYVSANFDEVKLTQGILEQIPECEHTNTQNLTVLQRGIKEHLTKRFLLVLDDMWEESEGRWDKLLAPLRCTEVKGNVILVTTRKLSVASITSKMEERINLDGMKDDIFWCFFKRCIFGDENYQVQKKLQKIGKQIATKLKGNPLAAKSVSTLLRRNLHEVHWRKILDSDEWKLQNGTDGIIPALMLSYNHLSYHLQLLFSHCALFPKGYKFDKEQLIRVWIALGFLIDERRKLEDAGSDSFDDLVDRSFLQKDGQYFVVHDLIHDVAREVSLRECLTIDGSDHRKVFPSIRHLGIWTELVYKEISIERSETFEEKLEEIHNSGILRSLESLMLVGVYDENFSAKFVKTLQQSRYVRVLQLSVMPFNADVLLSSVKKFIHLRYLELRSTSDMRNPLPEAICKLYHLQVLDIIHWSGLDDLPKGMSNLVNLRYLLVPGSGSLHSKISRVGELKFLQELNEFRVQRDSGFAISQLEYLNEIRGSLSILDLQNATKKEEANRARIKDKKHLRTLSLSWGSASGNPSVQREVIEGLKPHDYLAHLHVINYAGATPSWLGENFSLGNLESLHLQDCSALKILPPFEELPFLKKLHLTGLSSLKEFNVDFNRGGVSTGSQSCEEDELELSEVEIAKCSALTRIRLHSCKALTKLRVTDCGALSCLEGLPPPDQLKCCVVKGCPQLPANNISS* >Brasy3G129200.1.p pacid=40044172 transcript=Brasy3G129200.1 locus=Brasy3G129200 ID=Brasy3G129200.1.v1.1 annot-version=v1.1 MAPASSFPIIDMGLLAGEERPAAMDLLHDACENWGFFQVLDHGISTELLDEVEKLTKGHYKRVREQRFLEFASKTLEGGKADTENLDWESTFFVRHLPEPNIGDIPDLDDEYRRVMKQFAAELEKLAERLLDLLCENLGLEKGYLTRAFRAGSKAGVPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGDWVDVPPTRHSIVVNLGDQLEVITNGRYKSVLHRVVAQTDGNRMSIASFYNPASDAVIFPAPELVKKEAGAGTSYPKFVFEDYMKLYVRHKFEDKEPRFEAFMSMETDASKLIATA* >Brasy3G185500.1.p pacid=40044173 transcript=Brasy3G185500.1 locus=Brasy3G185500 ID=Brasy3G185500.1.v1.1 annot-version=v1.1 MSGITTKNIKMTFSGTQDKCKACDKTVHFIDLLTADGIPYHKSCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGTFNKNFPTGAKANGDQSKVPNKLSSVFCGTQDKCAACKKTAYPLEKMTLEGEPYHKTCFKCAHGGCLLTTATYASLNGILYC* >Brasy3G035800.1.p pacid=40044174 transcript=Brasy3G035800.1 locus=Brasy3G035800 ID=Brasy3G035800.1.v1.1 annot-version=v1.1 MASPAVHPNSPDPTATPPPPPPSQENPPASADASEPATATEAAGGGEIAALDEQLAVTVDGGGGGGEEAKASPSGGKVVAETMRKYAAPRSSRYHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHVYLGSYVTEENAARAHDLAALKYWGVSQHTKLNFTISDYEKEIEIMKSMNQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTFETEVEAAEAYDLAAIELRGVHAVTNFDISNYSEEGLKKLEGASEETNLEGQSEVTELAGQ* >Brasy3G203500.1.p pacid=40044175 transcript=Brasy3G203500.1 locus=Brasy3G203500 ID=Brasy3G203500.1.v1.1 annot-version=v1.1 MAATQSLRVFLVLLALQVCLLLAMMSSTSMVQARPNPGEDDSPPAICCILNPACCVANDAKPPVAITGKA* >Brasy3G103900.1.p pacid=40044176 transcript=Brasy3G103900.1 locus=Brasy3G103900 ID=Brasy3G103900.1.v1.1 annot-version=v1.1 MAEPLWTPTPSWSDIPLELAGLVLRLLPAYADRARFSAVCPQWRVAAKQLGVPPPLPLLALPDGTFYSLPCTKTFRFPSCGFAGYKSAFCGWLVFPSEDSCILVNPFSGGTVTLPALSMVRLRPPNADLKHIPANSCTWLHIKDKSLCLSKLVMCSSNLAAAVVKHEIKGQILVCQPGGSSWSVRSYDECIEFEDMAFYRGKLYVLSNHENLFVVNISQDQTTGDPQVSRIGRVIEGDCDPVYMLWTEDTRADRKLYLVESGGRLLMVRRTIFSRLVMYDEMEDDAFFVERNEFEAFEVDFKQSRWISVTTLGDDQVLFLGRRCSQAVPVSQYGIPGNRIFFLDDEDVEVSFRNYVYLDENASFGVYDMVSKEVSSPQPMVSWNRETIYLATWLLPRD* >Brasy3G019600.1.p pacid=40044177 transcript=Brasy3G019600.1 locus=Brasy3G019600 ID=Brasy3G019600.1.v1.1 annot-version=v1.1 MAASNDVEVVDFDSDDDDLMDDDAPENNPAPAPRLRSTIAAGGEDSSAAAARKTKGRGFREEPSSSRPLAGRAAFDSLGTDDGPGPLRSIEGWIVLVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYESFEEAQAAIKTMDGTELVTQIINVDWAFSNGPAKRRNVHRRSRSPPRRRY* >Brasy3G170500.1.p pacid=40044178 transcript=Brasy3G170500.1 locus=Brasy3G170500 ID=Brasy3G170500.1.v1.1 annot-version=v1.1 MPDWKVGEFEGKFKDEFVQNKNREQEDGVGLLNISNKKLKHGVGVASENNREDVMSGANNSDPQKCNSERIHSTNVIGSQGINSADDRARDCKAESSAFPLSREDTISGTRYQTENWNSCQFALTNGSAVLNNQSVPQSDISYGENDLFIDWPSIDNFEDVDTLFRRCDSTYGEQQLENTDELSWIPSSDAIYSSDVALQAGFDSSYSDYGILDDLSAFHCEQDKSLPTADPSAVVCDEQFNGNYPFNEKNNINVYGGQAYQEDAMELLSADQICNGDGNIDMIEERYSSENSMQQFEDRKFSIASGSQLSSSQELLKHMQHSDSTSASNITSESYPARNYQFSPSEASFAQRNLNVQKKVANLRPGQSINDTEHSGHQILAKGASFPCENYDVKKKGLGKRNMGDQQVTVGTSMVVDGSFLSTVSSDNSVEESSFRQLQDAVGQLDVKTKLCIRDGLYRLARSAQNRPVFSNATDSHGDSQDAKDTQNAEALGKFVDCRRIETQTNPIDRSIALLLFHQPSDQGAGDVEDHCH* >Brasy3G170500.2.p pacid=40044179 transcript=Brasy3G170500.2 locus=Brasy3G170500 ID=Brasy3G170500.2.v1.1 annot-version=v1.1 MPDWKVGEFEGKFKDEFVQNKNREQEDGVGLLNISNKKLKHGVGVASENNREDVMSGANNSDPQKCNSERIHSTNVIGSQGINSADDRARDCKAESSAFPLSREDTISGTRYQTENWNSCQFALTNGSAVLNNQSVPQSDISYGENDLFIDWPSIDNFEDVDTLFRCDSTYGEQQLENTDELSWIPSSDAIYSSDVALQAGFDSSYSDYGILDDLSAFHCEQDKSLPTADPSAVVCDEQFNGNYPFNEKNNINVYGGQAYQEDAMELLSADQICNGDGNIDMIEERYSSENSMQQFEDRKFSIASGSQLSSSQELLKHMQHSDSTSASNITSESYPARNYQFSPSEASFAQRNLNVQKKVANLRPGQSINDTEHSGHQILAKGASFPCENYDVKKKGLGKRNMGDQQVTVGTSMVVDGSFLSTVSSDNSVEESSFRQLQDAVGQLDVKTKLCIRDGLYRLARSAQNRPVFSNATDSHGDSQDAKDTQNAEALGKFVDCRRIETQTNPIDRSIALLLFHQPSDQGAGDVEDHCH* >Brasy3G170500.3.p pacid=40044180 transcript=Brasy3G170500.3 locus=Brasy3G170500 ID=Brasy3G170500.3.v1.1 annot-version=v1.1 MPDWKFEGKFKDEFVQNKNREQEDGVGLLNISNKKLKHGVGVASENNREDVMSGANNSDPQKCNSERIHSTNVIGSQGINSADDRARDCKAESSAFPLSREDTISGTRYQTENWNSCQFALTNGSAVLNNQSVPQSDISYGENDLFIDWPSIDNFEDVDTLFRRCDSTYGEQQLENTDELSWIPSSDAIYSSDVALQAGFDSSYSDYGILDDLSAFHCEQDKSLPTADPSAVVCDEQFNGNYPFNEKNNINVYGGQAYQEDAMELLSADQICNGDGNIDMIEERYSSENSMQQFEDRKFSIASGSQLSSSQELLKHMQHSDSTSASNITSESYPARNYQFSPSEASFAQRNLNVQKKVANLRPGQSINDTEHSGHQILAKGASFPCENYDVKKKGLGKRNMGDQQVTVGTSMVVDGSFLSTVSSDNSVEESSFRQLQDAVGQLDVKTKLCIRDGLYRLARSAQNRPVFSNATDSHGDSQDAKDTQNAEALGKFVDCRRIETQTNPIDRSIALLLFHQPSDQGAGDVEDHCH* >Brasy3G170500.4.p pacid=40044181 transcript=Brasy3G170500.4 locus=Brasy3G170500 ID=Brasy3G170500.4.v1.1 annot-version=v1.1 MPDWKFEGKFKDEFVQNKNREQEDGVGLLNISNKKLKHGVGVASENNREDVMSGANNSDPQKCNSERIHSTNVIGSQGINSADDRARDCKAESSAFPLSREDTISGTRYQTENWNSCQFALTNGSAVLNNQSVPQSDISYGENDLFIDWPSIDNFEDVDTLFRCDSTYGEQQLENTDELSWIPSSDAIYSSDVALQAGFDSSYSDYGILDDLSAFHCEQDKSLPTADPSAVVCDEQFNGNYPFNEKNNINVYGGQAYQEDAMELLSADQICNGDGNIDMIEERYSSENSMQQFEDRKFSIASGSQLSSSQELLKHMQHSDSTSASNITSESYPARNYQFSPSEASFAQRNLNVQKKVANLRPGQSINDTEHSGHQILAKGASFPCENYDVKKKGLGKRNMGDQQVTVGTSMVVDGSFLSTVSSDNSVEESSFRQLQDAVGQLDVKTKLCIRDGLYRLARSAQNRPVFSNATDSHGDSQDAKDTQNAEALGKFVDCRRIETQTNPIDRSIALLLFHQPSDQGAGDVEDHCH* >Brasy3G310000.1.p pacid=40044182 transcript=Brasy3G310000.1 locus=Brasy3G310000 ID=Brasy3G310000.1.v1.1 annot-version=v1.1 MDLAPLLFARSRRKNRCLRLALLDEKWIHDLNGALSAEELAEFVRLFEVVSQVQLSEGAEDRISWKLSPSAIYSSCSAYLGKFHGLIRQPFGKLFWKCWAPENRETAIHIFTQCVFARHVWAGVAAWIKLVAFDPASWEATVSIKQWWLHRSDAARASTSKTTGRGAASLFLLTLWSIWKERNNRIFNLKRLSAQGVIAIIKNEAAMWRLIDSSGLGALASGADDVP* >Brasy3G164300.1.p pacid=40044183 transcript=Brasy3G164300.1 locus=Brasy3G164300 ID=Brasy3G164300.1.v1.1 annot-version=v1.1 MRASLTGCKTSSVFLPGEAMAEAPPLPTPPNDSSLAAAVPRSLPPTLILPPTSSSGSRGVRGGVGGRSAAGSKTGKAMTGLAPLAVAEGGRHDRLVQAVRVVGRNVDAGVAGADILELAMAKGPMFSWLSYWPEEGYPKEDQPY* >Brasy3G105500.1.p pacid=40044184 transcript=Brasy3G105500.1 locus=Brasy3G105500 ID=Brasy3G105500.1.v1.1 annot-version=v1.1 MPCYYDVDDILMEEEFISVVFQVTANGVGLLDPGAERNTVDKGAKVDLPFWLAHGLLSLEQAVSISVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVSDKSIGQFLCYAFTSRYREILSKSYSSSTMTVPKFVPRLTKEETQVFESARESMAAFKKWRVGGARLQKASILGRKRKTKLPDGPSTA* >Brasy3G105500.2.p pacid=40044185 transcript=Brasy3G105500.2 locus=Brasy3G105500 ID=Brasy3G105500.2.v1.1 annot-version=v1.1 MPCYYDVDDILMEEEVDKGAKVDLPFWLAHGLLSLEQAVSISVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVSDKSIGQFLCYAFTSRYREILSKSYSSSTMTVPKFVPRLTKEETQVFESARESMAAFKKWRVGGARLQKASILGRKRKTKLPDGPSTA* >Brasy3G267000.1.p pacid=40044186 transcript=Brasy3G267000.1 locus=Brasy3G267000 ID=Brasy3G267000.1.v1.1 annot-version=v1.1 MEIPTAAIHRLQSSIREAASAPSFSLDTAPDPPFPSVADAIAAFDRDASPGLLCGRCGSAGGLLRGDQSAVCAYCGFPRREEGDGIAFRGSLAYRWLLGSLGLDGSEAVEFDGDSVDSNKSKEAPTSGMVLSDLLDLKLTFPLDFESREISGSPRSTEQSSVTSTLNLPGVNLDSFFIERIEATAAAVLPRTDTVVQEKHSKNRESSGSEMHVASKGFESFGTKTGSQSTHQMGASTSFANWDAGFQSTGSESVVGDSMQLDLFKSASVAEPLNFPASGTAITTVAAGNETNMKSTSLEHSEDLASASGTLNKDSLFIEKAAPAIVESNSGVVTENSVAEFTGSYNNKNSVQSNKLPGRGEAGASIDETFDDWQEFTGGGNQDIPPNVGEHTKGPLVRGSSEIKTMDSLAVSSMGSSSNVVGDSDDWQAFASSSGQGGEGSMKPVEGSSSGLGFGGSVNQFEETGMSLEHSLEAHSADLWPAGNVKEHNTTEVTQTDNSFDDWQDFTTSGQAQVASFSQAGEIAEVSHVSHREIGVDSWFTANTGESRNIDLVNQNNVTLDDWQGFSGSDRAQQSLSNVGGELADISFGQHEGTGSVQLWANASSKGATDTVSTNMEDNAFDIWQDLTTSGHQQENSSNVGRETSASYPAKEIDTMSLWLTSNVKESNSSSKGVTRIDGSPDGWQDFASFGQAQGNMKIPVEGQFLKGPSGVEPVDLWSSSHTEEFKNLEQINENDPFDFKNSPELKIGLQDPPHVPLSDKPSVLRPDIPGLEFGSFALSANSQSQTDRVNAVLSDEHLERTNGMQQMVDDAFSTVRATSSHDNCPIPKSESGNANVEKLLSQMPDLSFMLKDELSVPDKSADHSKS* >Brasy3G267000.2.p pacid=40044187 transcript=Brasy3G267000.2 locus=Brasy3G267000 ID=Brasy3G267000.2.v1.1 annot-version=v1.1 MEIPTAAIHRLQSSIREAASAPSFSLDTAPDPPFPSVADAIAAFDRDASPGLLCGRCGSAGGLLRGDQSAVCAYCGFPRREEGDGIAFRGSLAYRWLLGSLGLDGSEAVEFDGDSVDSNKSKEAPTSGMVLSDLLDLKLTFPLDFESREISGSPRSTEQSSVTSTLNLPGVNLDSFFIERIEATAAAVLPRTDTVVQEKHSKNRESSGSEMHVASKGFESFGTKTGSQSTHQMGASTSFANWDAGFQSTGSESVVGDSMQLDLFKSASVAEPLNFPASGTAITTVAAGNETNMKSTSLEHSEDLASASGTLNKDSLFIEKAAPAIVESNSGVVTENSVAEFTGSYNNKNSVQSNKLPGRGEAGASIDETFDDWQEFTGGGNQDIPPNVGEHTKGPLVRGSSEIKTMDSLAVSSMGSSSNVVGDSDDWQAFASSSGQGGEGSMKPVEGSSSGLGFGGSVNQFEETDFTTSGQAQVASFSQAGEIAEVSHVSHREIGVDSWFTANTGESRNIDLVNQNNVTLDDWQGFSGSDRAQQSLSNVGGELADISFGQHEGTGSVQLWANASSKGATDTVSTNMEDNAFDIWQDLTTSGHQQENSSNVGRETSASYPAKEIDTMSLWLTSNVKESNSSSKGVTRIDGSPDGWQDFASFGQAQGNMKIPVEGQFLKGPSGVEPVDLWSSSHTEEFKNLEQINENDPFDFKNSPELKIGLQDPPHVPLSDKPSVLRPDIPGLEFGSFALSANSQSQTDRVNAVLSDEHLERTNGMQQMVDDAFSTVRATSSHDNCPIPKSESGNANVEKLLSQMPDLSFMLKDELSVPDKSADHSKS* >Brasy3G267000.3.p pacid=40044188 transcript=Brasy3G267000.3 locus=Brasy3G267000 ID=Brasy3G267000.3.v1.1 annot-version=v1.1 MEIPTAAIHRLQSSIREAASAPSFSLDTAPDPPFPSVADAIAAFDRDASPGLLCGRCGSAGGLLRGDQSAVCAYCGFPRREEGDGIAFRGSLAYRWLLGSLGLDGSEAVEFDGDSVDSNKSKEAPTSGMVLSDLLDLKLTFPLDFESREISGSPRSTEQSSVTSTLNLPGVNLDSFFIERIEATAAAVLPRTDTVVQEKHSKNRESSGSEMHVASKGFESFGTKTGSQSTHQMGASTSFANWDAGFQSTGSESVVGDSMQLDLFKSASVAEPLNFPASGTAITTVAAGNETNMKSTSLEHSEDLASASGTLNKDSLFIEKAAPAIVESNSGVVTENSVAEFTGSYNNKNSVQSNKLPGRGEAGASIDETFDDWQEFTGGGNQDIPPNVGEHTKGPLVRGSSEIKTMDSLAVSSMGSSSNVVGDSDDWQAFASSSGQGGEGSMKPVEGSSSGLGFGGSVNQFEETGMSLEHSLEAHSADLWPAGNVKEHNTTEVTQTDNSFDDWQDFTTSGQAQVASFSQAGEIAEVSHVSHREIGVDSWFTANTGESRNIDLVNQNNVTLDDWQGFSGSDRAQQSLSNVGGELADISFGQHEGTGSVQLWANASSKGATDTVSTNMEDNAFDIWQDLTTSGHQQENSSNVGRETSASYPAKEIDTMSLWLTSNVKESNSSSKGVTRIDGSPDGWQDFASFGQAQGNMKIPVEGQFLKGPSGVEPVDLWSSSHTEEFKNLEQINENDPFDFKNSPELKIGLQDPPHVPLSDKPSVLRPDIPGLEFGSFALSANSQSQTDRVNAVLSDEHLERSHFIRSSISLDTIGDI* >Brasy3G267000.4.p pacid=40044189 transcript=Brasy3G267000.4 locus=Brasy3G267000 ID=Brasy3G267000.4.v1.1 annot-version=v1.1 MEIPTAAIHRLQSSIREAASAPSFSLDTAPDPPFPSVADAIAAFDRDASPGLLCGRCGSAGGLLRGDQSAVCAYCGFPRREEGDGIAFRGSLAYRWLLGSLGLDGSEAVEFDGDSVDSNKSKEAPTSGMVLSDLLDLKLTFPLDFESREISGSPRSTEQSSVTSTLNLPGVNLDSFFIERIEATAAAVLPRTDTVVQEKHSKNRESSGSEMHVASKGFESFGTKTGSQSTHQMGASTSFANWDAGFQSTGSESVVGDSMQLDLFKSASVAEPLNFPASGTAITTVAAGNETNMKSTSLEHSEDLASASGTLNKDSLFIEKAAPAIVESNSGVVTENSVAEFTGSYNNKNSVQSNKLPGRGEAGASIDETFDDWQEFTGGGNQDIPPNVGEHTKGPLVRGSSEIKTMDSLAVSSMGSSSNVVGDSDDWQAFASSSGQGGEGSMKPVEGSSSGLGFGGSVNQFEETDFTTSGQAQVASFSQAGEIAEVSHVSHREIGVDSWFTANTGESRNIDLVNQNNVTLDDWQGFSGSDRAQQSLSNVGGELADISFGQHEGTGSVQLWANASSKGATDTVSTNMEDNAFDIWQDLTTSGHQQENSSNVGRETSASYPAKEIDTMSLWLTSNVKESNSSSKGVTRIDGSPDGWQDFASFGQAQGNMKIPVEGQFLKGPSGVEPVDLWSSSHTEEFKNLEQINENDPFDFKNSPELKIGLQDPPHVPLSDKPSVLRPDIPGLEFGSFALSANSQSQTDRVNAVLSDEHLERSHFIRSSISLDTIGDI* >Brasy3G248000.1.p pacid=40044190 transcript=Brasy3G248000.1 locus=Brasy3G248000 ID=Brasy3G248000.1.v1.1 annot-version=v1.1 MASSTSEVPEVKSKLKKSGSLGSSDTYVRADKIDLTSLDIQLEQQLTKKWGKANLKSQGPKAEWEIDLAKLQIRYVIAQGTYGTVYRGTYDGQDVAVKILDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANDSGARANLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLMEALDTSKGGGMIPDDQSSGCLCFTRARGP* >Brasy3G248000.2.p pacid=40044191 transcript=Brasy3G248000.2 locus=Brasy3G248000 ID=Brasy3G248000.2.v1.1 annot-version=v1.1 MASSTSEVPEVKSKLKKSGSLGSSDTYVRADKIDLTSLDIQLEQQLTKKWGKANLKSQGPKAEWEIDLAKLQIRYVIAQGTYGTVYRGTYDGQDVAVKILDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANDSGARANLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLMEALDTSKGGGMIPDDQSSGCLCFTRARGP* >Brasy3G246800.1.p pacid=40044192 transcript=Brasy3G246800.1 locus=Brasy3G246800 ID=Brasy3G246800.1.v1.1 annot-version=v1.1 MSGWWPPVSLVRRSVALLRFPMLPDAARLPRPLLRPPLAAKGCYRALVALQDIRCWEHWKFSQKRRGNHRCLASWGCRQCYQPCPVVICPAYLAFPFICGCLLSNVILFQFLWFS* >Brasy3G073600.1.p pacid=40044193 transcript=Brasy3G073600.1 locus=Brasy3G073600 ID=Brasy3G073600.1.v1.1 annot-version=v1.1 MATAPMEEDLPEAAAAAAVAGGGGGAGEGEKPAESFPADSDSDSSDSDDDDAGGGGGEELRIQALERALQEQPAHYDSHVQLIHCLRKSGNIEKLRAAREEMNKYFPLTPKMWQDWAKDEISLSSGPESFSDIEKLYERGVQEYLSIKLWRDYLDYVEENDQSVSQCSPSGLSKMRDLHERAITAGGLHVTEGSKLWEAYREYEMAILTIIDGNDEEKQKQSQRVRVLFHRQLSVPLAHLDSILAAYKSWEAEEGNANDPESEFDGVPPNVVSAYRKANEIYNARKQYEDQLNNVSASDADKLQAFLKYIKFEESCGDPARVQVLYERAVSEFPVSNDLWMGYTSYLDRTLKVPAVLKSVYHRATRNCTWVSDLWIRYLLSLERIHASEDELRYVFEQAIRCSFPMIEYLDIYLTRVDSLRRRMPAGLDFQLIRQTFVDAAEFLSPHLGIEELLPFNAYWAKLECNIGKDLAAGRGVWENALKKSGSCLEVWQHYISMEIEMGHTQEARSLYKRCYSKKFAGSGSEVICHSWIRFEREHGTLDDYDLAVKKVTPRLKELMMFKAQQDAKSDPYSVPKETSYANDSSQKRKPSKMTSKVQPPAKKRKDNTPKNTVSSDDHGSKEPSTNNSVQEAGEASREKAEASMESNQGGNKSSNQPKPYLYSDKCTAYMSNIDLTATELHIRRFFSDIGGVADIRLLRDRFTKKSRGLAYVDFLDKEHLEAAIKKNKQKLLSKKVSIAHSDPGKSKKNREAGTSSKGQDKLPSEGEHGEKAPVAAGSSGKEMPKGDTKTKGKNTLFAPRAVMKPRGWNKKDDEKPDVAPEELKSNEEFRNLLLKK* >Brasy3G073600.2.p pacid=40044194 transcript=Brasy3G073600.2 locus=Brasy3G073600 ID=Brasy3G073600.2.v1.1 annot-version=v1.1 MATAPMEEDLPEAAAAAAVAGGGGGAGEGEKPAESFPADSDSDSSDSDDDDAGGGGGEELRIQALERALQEQPAHYDSHVQLIHCLRKSGNIEKLRAAREEMNKYFPLTPKMWQDWAKDEISLSSGPESFSDIEKLYERGVQEYLSIKLWRDYLDYVEENDQSVSQCSPSGLSKMRDLHERAITAGGLHVTEGSKLWEAYREYEMAILTIIDGNDEEKQKQSQRVRVLFHRQLSVPLAHLDSILAAYKSWEAEEGNANDPESEFDGVPPNVVSAYRKANEIYNARKQYEDQLNNVSASDADKLQAFLKYIKFEESCGDPARVQVLYERAVSEFPVSNDLWMGYTSYLDRTLKVPAVLKSVYHRATRNCTWVFEQAIRCSFPMIEYLDIYLTRVDSLRRRMPAGLDFQLIRQTFVDAAEFLSPHLGIEELLPFNAYWAKLECNIGKDLAAGRGVWENALKKSGSCLEVWQHYISMEIEMGHTQEARSLYKRCYSKKFAGSGSEVICHSWIRFEREHGTLDDYDLAVKKVTPRLKELMMFKAQQDAKSDPYSVPKETSYANDSSQKRKPSKMTSKVQPPAKKRKDNTPKNTVSSDDHGSKEPSTNNSVQEAGEASREKAEASMESNQGGNKSSNQPKPYLYSDKCTAYMSNIDLTATELHIRRFFSDIGGVADIRLLRDRFTKKSRGLAYVDFLDKEHLEAAIKKNKQKLLSKKVSIAHSDPGKSKKNREAGTSSKGQDKLPSEGEHGEKAPVAAGSSGKEMPKGDTKTKGKNTLFAPRAVMKPRGWNKKDDEKPDVAPEELKSNEEFRNLLLKK* >Brasy3G140900.1.p pacid=40044195 transcript=Brasy3G140900.1 locus=Brasy3G140900 ID=Brasy3G140900.1.v1.1 annot-version=v1.1 MALARVSSSSRLPVPSSMLHSFLRPLSTTSSSWAPRFSHPRSFPTDVGSSQVTLASTAKEDGDVLEAPRSTSRRPWKPMCLYYTQGKCTMMDDTFHLEKFNHNLMMDLPVNASAADKVKPQKLDYFLVLDLEGKVEILEFPVVMIDAHSMEFVDSFHRFVRPTGMSEQRIREYIEGKYGKFGVDRVWHDTAIPFGEVVQEFEDWIGGHKLWKQKQGESLNSAAFITCGNWDLKTKVPEQCKVSKMKLPSYFMEWINLKDIYLNFYNRRATGMMTMMRELQIPTVGSHHLGIDDAKNIARVVQRMLADGAMIQITAKRHSATDDVEFLFKNRIR* >Brasy3G027700.1.p pacid=40044196 transcript=Brasy3G027700.1 locus=Brasy3G027700 ID=Brasy3G027700.1.v1.1 annot-version=v1.1 MESRRAGSSGWTRAGRIRRRGGGGGGLKRPDPSPARRRCSVLLHLLRCGLEMEALLHLKREGSRAAGGEKGWRVLLHLSREGRRAGWRREGREGCHRRRGGAVEEGGGVSFRWREPERGEESGGEGGRRWDREGDGRERGGGGVG* >Brasy3G159100.1.p pacid=40044197 transcript=Brasy3G159100.1 locus=Brasy3G159100 ID=Brasy3G159100.1.v1.1 annot-version=v1.1 MAAAAVDPMVLGLGTSGGASGSGSGVVGGGGVGRGGAGAVMEGAQPVDLARHPSGIVPVLQNIVSTVNLDCRLDLKQIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEHSKLAARKYARIVQKLGFPATFKDFKIQNIVASCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLVFVSGKIVLTGAKVRDEIYAAFENIYPVLTEYRKSQQ* >Brasy3G008200.1.p pacid=40044198 transcript=Brasy3G008200.1 locus=Brasy3G008200 ID=Brasy3G008200.1.v1.1 annot-version=v1.1 MPAGDWISDLPDDLLHHVMSFLTAREAVQTCVLSRRWQNVWAYVKSLNIDAASFTSLEQFTKFVDSLLLQRDCMTPLDTLWLRIILDSCYFDDYSQIHRWVCHALRSNAQVLGIVHDAELKSLAIQTAFTSLHLKRLHLCNFHIDDLFVKKLSSGCPALEELELIQCDIFVTKFSSTTLKRFIVKDQPACDFPVEFSDLVIDMPNLVSLYIKELPERNPYLMDVSSLETASIFIDDSSFIDSDVDCNALSALSNVTSLESLSLAARLDAAIQVFARDALRCGTFSNLTTLSLGEWCLTTDCSMLLYLLRRSPKIQKLILNLTTQNAAAETHPASNETKTLPECKNLRKIEINCPPGDRRVHNIVKALFENLTSSAEINIKPCQDVQ* >Brasy3G101600.1.p pacid=40044199 transcript=Brasy3G101600.1 locus=Brasy3G101600 ID=Brasy3G101600.1.v1.1 annot-version=v1.1 MLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCRQLFEGNYQSRRLQKLFSIHSEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEYVRVPKQGTAHDRGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTKQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLTANLVN* >Brasy3G155700.1.p pacid=40044200 transcript=Brasy3G155700.1 locus=Brasy3G155700 ID=Brasy3G155700.1.v1.1 annot-version=v1.1 MALARSVFAGSTAAMPALLVAVALLAAARVAFVVGGSACDGKVCGMGTCKEAPALAPLLPPLPPLPPLPPFLPPLPPLPPITTPFDYECDCFPGWSRVGGLLPVLGPSTPCYIPTCISDIACYTPAIKGPPVGNVTANPCLAMDCGSEGTCVLDDSPDHFHCQCKPGAANVLNKPALPCIKDCVIGEDGCPIPTPPPSPPPPSAAPPGNHDSSGPNAPSSTKGNATSLGSVSLQLLLLLLLASLAALHGV* >Brasy3G341000.1.p pacid=40044201 transcript=Brasy3G341000.1 locus=Brasy3G341000 ID=Brasy3G341000.1.v1.1 annot-version=v1.1 MVGAGTAGSGGGEARDGSGTRQGGWPPTICEREGERESTRDGDRSGSGAAAAGGAGSTAVAAGVGVLQAPPQRLLQVRHAAAVAGGREMGRGSERHGTGERKRERGRGRRNLDLGLPGAAGSGDGRRRRAAPTSMAAAALCWPGVLSLWRGLGQRRRSAKGKDERRAES* >Brasy3G198600.1.p pacid=40044202 transcript=Brasy3G198600.1 locus=Brasy3G198600 ID=Brasy3G198600.1.v1.1 annot-version=v1.1 MGSCASKAALEHRRSERYHTRRQGRRGRGGNGRSSMPEGPKPRLIDARGRTTDFSMSEIVHVEPAGKSSEHAKTFHLTQMEWHQSQRDSNGCCKEDAWFDSVSILDEDSDEEFKSVDGDLSDYDDDEDDEDQKKQEKASRLADALSRIGELWRGVPMTLSVEQYLKRDDGDDPARRSQSMAICASKQCVPSSKEKNDAAADKEQPTTPSRLRQLLHSISFNEKMQQLTCGSPAKRKSTVIRLSYKRTSCDDGEDDDGSEIGAGESKKYVVRPKGGLTIPCGGEKHTPGTWSRIDPSLFKLRSETFLRDKKKCAAPNYAAYYPIGVDLFACPKKVQHIAQHIELPQVKPHHKLPPLLIVNIQMPSYAAAMFLGDSDGEGFSLVLYFRVSEYFDKEVSEHFKDSIMRFLENESEKVKGFASESTITYRDRLKIMAGLVNPDDLQLGSTEKKLVQAYNEKPVLSRPQHNFYEGEDYFEVDLDIHRFSYIARRGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIDFINHGQVPMIVTLDEK* >Brasy3G198600.2.p pacid=40044203 transcript=Brasy3G198600.2 locus=Brasy3G198600 ID=Brasy3G198600.2.v1.1 annot-version=v1.1 MGSCASKAALEHRRSERYHTRRQGRRGRGGNGRSSMPEGPKPRLIDARGRTTDFSMSEIVHVEPAGKSSEHAKTFHLTQMEWHQSQRDSNGCCKEDAWFDSVSILDEDSDEEFKSVDGDLSDYDDDEDDEDQKKQEKASRLADALSRIGELWRGVPMTLSVEQYLKRDDGDDPARRSQSMAICASKQCVPSSKEKNDAAADKEQPTTPSRLRQLLHSISFNEKMQQLTCGSPAKRKSTVIRLSYKRTSCDDGEDDDGSEIGESKKYVVRPKGGLTIPCGGEKHTPGTWSRIDPSLFKLRSETFLRDKKKCAAPNYAAYYPIGVDLFACPKKVQHIAQHIELPQVKPHHKLPPLLIVNIQMPSYAAAMFLGDSDGEGFSLVLYFRVSEYFDKEVSEHFKDSIMRFLENESEKVKGFASESTITYRDRLKIMAGLVNPDDLQLGSTEKKLVQAYNEKPVLSRPQHNFYEGEDYFEVDLDIHRFSYIARRGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIDFINHGQVPMIVTLDEK* >Brasy3G061400.1.p pacid=40044204 transcript=Brasy3G061400.1 locus=Brasy3G061400 ID=Brasy3G061400.1.v1.1 annot-version=v1.1 MARRWRPSHLVFVAGAAYLILISLKFRRVLDLATADLAAAGDPAFSSPSSSDHFPPLLLPGSLSSSSSPSNATLFQVQPFWHRYDRVSLPDIASRNRSALDRMADDAWSLGLTAWEDAAAFAGDPWELAAAGSAASSTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVVGTPRPAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAADGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGGAQRCDGLPPEDNEDKVDGFTKCEKWIRDDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEEATGLYVKGDVNVHSVYATALPMSHPSFSLQQVLEMSEKWRSQPLPKDPVYLFIGILSASNHFAERMAVRKTWMQTSEIRSSKVVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLSATHIMKCDDDTFVRVDVVLRHIRAYSFGKPLYMGNLNLLHRPLRTGKWAVTEEEWPEDIYPPYANGPGYVISGGIAKFVVSQHANQSLRLFKMEDVSMGLWVEKYNSTTPVRYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVRGRPSCCNYR* >Brasy3G191600.1.p pacid=40044205 transcript=Brasy3G191600.1 locus=Brasy3G191600 ID=Brasy3G191600.1.v1.1 annot-version=v1.1 MGQCYAKNVHVDGDGGEGGGGGGSGVTTTISVSAAAAGQEAAAVVGERAGGGGRRSSRPSPAGTPRRGRSGATPARTSAAGSPWAASPLPEGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGHRSPGASAAQAQAQATRPQAAIPEHAGGSGGGGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMKGQVLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYIEVDAKVIIGQILSVVAFCHLQGVVHRDLKPENFLFSTRDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVIIYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWASISPEAKDFVKRLLNKDYRKRLTAAQALSHPWLRHECRPIPLDILVFRLVKAYLRSTPFKRAALKALSRAISEDELTYIRAQFNLLEPRDGRICIENFRIALLKNSTEAMAESKVPEILLSLEPLAYRQMDFAEFCAATVSPYQLEASPRWEEIVNTAFECFEQDGNRVITIEELALEMNLTCSAFSIVRDWIRPSDGKLSFVGYTKFLHGLTMRSSNARRQQQ* >Brasy3G020800.1.p pacid=40044206 transcript=Brasy3G020800.1 locus=Brasy3G020800 ID=Brasy3G020800.1.v1.1 annot-version=v1.1 MPSAGTPLAVFLVASLKSGARLRHGEQLHALAAKSGLLVSNLFVRNSLLAFYSRLPSPHAPALAHHLFDEIPLALRDAAAHNTLLAALARGGNLDHAKRMLAEMPQRDTVSFTTVLTALARAGHAEDAVAVFRGMLAQDVPPNEVTLAGVITALARDWAPAPLGMVHGVAVQRGLDGFVIVATNLVHAYAAAAQVGFARAVFEWMPDKNTVTWNAMLNGYVKAGAIDMAAEMFERILERDVVSWLVMIDGYIRADCISEALKTYVAMMAEVDTRGNVALLVDFQKACARHAAVLEGQQLHTVILKDGFDSHLFVQATLVHFYGSCDLLDLAKMQFKLSDKSHIASWNALMAGIVRKNLMHEARQLFDNMPEKDTISWSTLLSGYVQSGHSHMALQLFCLMLGAGVKPNHITLASTLSAVANSGTLEQGRWIHDYIISKSIHLTDNLIAGLIDMYAKCGSVADAVLLFNHVKEKLSSLSPWNAMICSLAIHGHAHMSLELFSQLQSSNIKPNSITYIGVLNACCHAGMVTEGKHHFESMRREYGIPPTIKHYGCMVDLLGRAGYLEEAERLIKTMPVKSDVVIWGSILAAARSHGNVALGEKAAEELAKLDPNHGASKVALCNIYADAGRWNNVSAVRKELRYENLERLSGSSGVVQ* >Brasy3G184300.1.p pacid=40044207 transcript=Brasy3G184300.1 locus=Brasy3G184300 ID=Brasy3G184300.1.v1.1 annot-version=v1.1 MTPASMVAVPKICPAAAAPFGGERRRKQPRLCVSAAAAGGGSMEEPPSMAAVPASLRAIQARRKQQQAKGVPRAAAKSAAGCAVAALAAAVEAVQGAVAAGGASGAGDAVAWVFRKVHFVESPDLAVGLLGLVASCLGTAVEMEMERIRASKEAADAEAKAEARKTEERGDNEGEDDADVVDDDVPQLVGLDVEKELWARIGIQHGDDDDMLPLAGMDEQEAIDAARAERRKAAYERIIATAEANSLILSNYAQLLYEFDKDHDRAEDYFKRAVAIEPPDGEAMRRYAVFLWQARGDLAGAEDMFTSAIDEEPDSTYHRSSYAWFLWMTGGVETCVIDSSGSGSNDPE* >Brasy3G014200.1.p pacid=40044208 transcript=Brasy3G014200.1 locus=Brasy3G014200 ID=Brasy3G014200.1.v1.1 annot-version=v1.1 MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEDAEEINVKKNTRKSLGRILLKGDNITLMMNTGK* >Brasy3G042400.1.p pacid=40044209 transcript=Brasy3G042400.1 locus=Brasy3G042400 ID=Brasy3G042400.1.v1.1 annot-version=v1.1 MASAAAAPPVAGKVVERFRARLREEAGEEAGAAAAAVVGVYGEALAELTFNCKPIITDLTIIADQHAALAARGIADAICARIVEVPVEQKLPSLYLLDSIVKNIGREYIGHFAARLQKVFCYAYRKVHPNQHAAMRHLFRTWSQVFPSSVLRGIEDELQFSPSENKFPARATNLRQSESLSPRPSHGIHVNPKYLEAQQQFKHASKADQLAPRGRQMTDVGEDHVNGLTSRSLLGLPATSSKLQRSTILYADDPDQQETFRSRTGMTARDMSRSPPLDMLPRNASPKRKLERLPLSHSVSGHDPRRLPNRNGWFERQWAFEDGAPRPSMNTLDEEHRKQSARELIDAYGNSQGNDADERLPKMQRLESNGMASRSSAQQWLNSEEEEYSWEDMNPAFRNRSSMPSLPPSETLRTGFPGLNTGLLDSDIGMRSWESQATRPSLHLEDRITTTGHVDMATSRRYPSNLGPQNGTISEYHSSENTLDPGRLLAMSAPSWQQTNGLPLRVQAPQPSSTLDRLSLPADGEMPVKRLPAGGTYALIEKHRPSPAPAPIEWPPLVHGQPPSYTNHARRAKDSLEIRPFIDQGVNSSVFVPRHQYDALDQNTVGTGNLSQPPYQQPDLLSSSQQNQGTMGNQSQTHHARQFHPHSLSRPQEAFRSFTPNLPVALSQNPFQGQGGSAATPPVPTLPNSFSLPPAVPPYGVPSVPNFAPPPLHRGLPPASLQMGPSSSQVGGPTTYFSGILSNLMHQGVISLEPPSQPQDSIGVDFNVDLKVRNESVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTVREWLRAAETVGNDGVPSFEPSEPVPDKNEEKEMAVPADEDQTSCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNILGLERSHLGPIVHAKCRSGPNNTS* >Brasy3G086900.1.p pacid=40044210 transcript=Brasy3G086900.1 locus=Brasy3G086900 ID=Brasy3G086900.1.v1.1 annot-version=v1.1 MHRRRQSTGIDEGGRAPALYRYSEPVAPAAGITVTLPNPAAAAAKRKRLGNHIVPVTVQAGATSSGFLPEAAGNDGLKRVKETVVRGQAPPPPPLPVGERTASYNAAAATNMFEETPESYYLHSVSTSHVNVLDENAVNIDTALGAFDAGLEEGNEEGGDDNEVEEIEKGVIYGSQPNHSKRSLNYTDIEDVTLVMAWDCVSLDAVADNDQTGKTYWQRIEDRFHRLMPVASARSLRSLQARFEIIKSCCSRWSGCLNQVRNAPPSGCTTDEYDHIAMQKYKQMSASKNKPFVFQHCWKLLEHSEKWRLRDQETPPKKGAFVQLDDNDDGDASKGEINQGKPDGREKEKAKKQAEAASLREKIGGKSIISEAASLREKIGGKSIISEAASLREKETICAPTFLDLVKSKETLVAKLLETEMAIAEKKHQDEMARWQELREVEERKVTIDERRVLLEENKVMAEILAEENKVMMMDPRAMDPMSREWWDIRRGEILQRRRQASGGATSAAASGGASAGGGDCAGELGDDGV* >Brasy3G086900.3.p pacid=40044211 transcript=Brasy3G086900.3 locus=Brasy3G086900 ID=Brasy3G086900.3.v1.1 annot-version=v1.1 MHRRRQSTGIDEGGRAPALYRYSEPVAPAAGITVTLPNPAAAAAKRKRLGNHIVPVTVQAGATSSGFLPEAAGNDGLKRVKETVVRGQAPPPPPLPVGERTASYNAAAATNMFEETPESYYLHSVSTSHVNVLDENAVNIDTALGAFDAGLEEGNEEGGDDNEVEEIEKGVIYGSQPNHSKRSLNYTDIEDVTLVMAWDCVSLDAVADNDQTGKTYWQRIEDRFHRLMPVASARSLRSLQARFEIIKSCCSRWSGCLNQVRNAPPSGCTTDEYDHIAMQKYKQMSASKNKPFVFQHCWKLLEHSEKWRLRDQETPPKKGAFVQLDDNDDGDASKGEINQGKPDGREKEKAKKQAEAASLREKIGGKSIISEAASLREKIGGKSIISEAASLREKETICAPTFLDLVKSKETLVAKLLETEMAIAEKKHQDEMARWQELREVEERKVTIDERRVLLEENKVMAEILAEENKVMMMDPRAMDPMSREWWDIRRGEILQRRRQASGGATSAAASGGASAGGGDCAGELGDDGV* >Brasy3G086900.2.p pacid=40044212 transcript=Brasy3G086900.2 locus=Brasy3G086900 ID=Brasy3G086900.2.v1.1 annot-version=v1.1 MHRRRQSTGIDEGGRAPALYRYSEPVAPAAGITVTLPNPAAAAAKRKRLGNHIVPVTVQAGATSSGFLPEAAGNDGLKRVKETVVRGQAPPPPPLPVGERTASYNAAAATNMFEETPESYYLHSVSTSHVNVLDENAVNIDTALGAFDAGLEEGNEEGGDDNEVEEIEKGVIYGSQPNHSKRSLNYTDIEDVTLVMAWDCVSLDAVADNDQTGKTYWQRIEDRFHRLMPVASARSLRSLQARFEIIKSCCSRWSGCLNQVRNAPPSGCTTDEYDHIAMQKYKQMSASKNKPFVFQHCWKLLEHSEKWRLRDQETPPKKGAFVQLDDNDDGDASKGEINQGKPDGREKEKAKKQAEAASLREKIGGKSIISEAASLREKIGGKSIISEAASLREKETICAPTFLDLVKSKETLVAKLLETEMAIAEKKHQDEMARWQELREVEERKVTIDERRVLLEENKVMAEILAEENKVMMMDPRAMDPMSREWWDIRRGEILQRRRQASGGATSAAASGGASAGGGDCAGELGDDGV* >Brasy3G236600.1.p pacid=40044213 transcript=Brasy3G236600.1 locus=Brasy3G236600 ID=Brasy3G236600.1.v1.1 annot-version=v1.1 MNRRYLAMGAPVEVIDGGERRRTRSRQDSFRSVGGAPAAVHRGRSGAVHLRRSGECTGAPRHSRENALPALSAIRRYRRRCTGPRQRCTPTILSSFPSFSKRAIQNYPGGEPLLPRKCHRATPRTPRPRAEPPSARPLLIAPLGLGSSPAAAAPHPPSIPPAATAPPSSSPPDPPLPTPSRSAAGSLCSCYPLHPTGLGCWIWSPSPVLGARRRPLLPSLDLVALEGPDLVEGPDRPHRIRRVLPISSPATVQPGVLPHPSSHLQMTPTTRSQQLKPRSPLPTSFPQIPAAKLRPADFLC* >Brasy3G061900.1.p pacid=40044214 transcript=Brasy3G061900.1 locus=Brasy3G061900 ID=Brasy3G061900.1.v1.1 annot-version=v1.1 MVGLTSDNPADPTANPAKCAEHRDAEEVRIHEILCKVSPYANPTLKPPWPSFGCFYGELYQQGADILPTVDVWAITTSSGCSYYHSCN* >Brasy3G075700.1.p pacid=40044215 transcript=Brasy3G075700.1 locus=Brasy3G075700 ID=Brasy3G075700.1.v1.1 annot-version=v1.1 MWGDSKMMLKSRGGAGVGGGGDGGDYFPPTPRKDWSTGLLKLVTAMVIFMAGVVIGLSVSANVSRYYYNSHTELFFPANTYSTSYCDRRGASGDCGPGFKAFVHPPYLAHSMTDDELFWRATLVPSAEEFPFQRVPKVAFLFMTRGPIPFAPLWEKFFRGHQGLYSVYVHAIPDYKLNVSKASPFYGRQIPSEEVSWGSISLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSEHSFVESYNIDTPQSAGRYNRRMAPHILPDQWRKGSEWFELNRELAVRVVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAANINVEFIQAIRNNGTQCLYNSKHTSVCYLFARKFAPSALGPLMNLTSTILDF* >Brasy3G282500.1.p pacid=40044216 transcript=Brasy3G282500.1 locus=Brasy3G282500 ID=Brasy3G282500.1.v1.1 annot-version=v1.1 MEWMCCSCFSTASSWCRFSRTASRRCMRTASRCCTCSCTASRRCSCSCTTSRRCSCAHMASSDSSICLLSMDVGARTVGLDRGGVTESFGTSD* >Brasy3G032700.1.p pacid=40044217 transcript=Brasy3G032700.1 locus=Brasy3G032700 ID=Brasy3G032700.1.v1.1 annot-version=v1.1 MLSASIHGSARWLPAQSPAATGIGRPAGVRRIASLGRLTSECLMESGALAWIQGRLWIT* >Brasy3G187100.1.p pacid=40044218 transcript=Brasy3G187100.1 locus=Brasy3G187100 ID=Brasy3G187100.1.v1.1 annot-version=v1.1 MCRGCAGSARRGQFWAFWCRGCSSPCRGCVCSGGRGMRCFVSFFDVRLLSRLPRSPWLSHGSSRICCHCCRNSAPPPKTSPPTLPGGDGEGSRCLRRGEENPLPHRGQRRVDEDGGGGAGIRSATKA* >Brasy3G199700.1.p pacid=40044219 transcript=Brasy3G199700.1 locus=Brasy3G199700 ID=Brasy3G199700.1.v1.1 annot-version=v1.1 MGNSCQNGTPRKKFIEYNRFENERLASRFDDVNDTEDCFAGLMQKGLSLRSTRVLERETPNIREHYTLGHKLGQGKFGTTYLCTEITTRCQYACKSILKDKFCHMADIEDVRREIQIMHHLSGQKNIVAIKDEYEDEETVHIVMELCAGGELFDRIQQKGHYSEHKAAELTRVIAGAIAKCHSLGVMHRDLKPENFLLVDKDNDLSIKAIDFGLSVFFEPGQIFTDLVGSPFYIAPEVLGQHYGPEADVWAVGVILYILLSGEPPFLGDTQDKIFDKIREGHADFESKLWLTISDSAKDLVRKMLCPSPAKRLKAHEVLAHPWICDIGVATEQALDPTVPSRLNQFSAMNRLKKLALQVIAERLSEDEVAGLRETFKAMDTENRGLVTLGGITDSIEAADSDATKTVNSEDFIAASVPLNKLEHGEHLMAAFTYFDKDGSGYITVDKLQKACVERNVEDKFLEETILEVDQNNDGKIDYAEFVVMMQSNNLGVGCQMMEGSLNVAMRQTPRVY* >Brasy3G188600.1.p pacid=40044220 transcript=Brasy3G188600.1 locus=Brasy3G188600 ID=Brasy3G188600.1.v1.1 annot-version=v1.1 MSAAVKIKLVNYRRNAKQINTSSRLKLSPRKPSPAETNLCHRSLPSFNSFRTQPERDGCRDDQLKTASFLSLHANNL* >Brasy3G279600.1.p pacid=40044221 transcript=Brasy3G279600.1 locus=Brasy3G279600 ID=Brasy3G279600.1.v1.1 annot-version=v1.1 MGKSWALLTDLHSVSGPSITLMYPLLCSTVSDFLRLILLGDPIGLLDLCRYASVCAMESPSKVDDEQWLAYWILYSFITLLELVAEPVLYWIPVWYPAKLLFVAWLALPQFKGASFIYEKFVREQLRKYRGRAARRGDAADHKVHIAKTEANHGHVH* >Brasy3G079500.1.p pacid=40044222 transcript=Brasy3G079500.1 locus=Brasy3G079500 ID=Brasy3G079500.1.v1.1 annot-version=v1.1 MATPAALTMSSLRAPTFFPGAGLLSPPAGATRVTLPPPPPVSARAIRLRPQATYKVKLVTPEGEVDLEVPDDVYILDHAEEEGIDLPYSCRAGSCSSCAGKVVSGEVDQSDQSFLDDDQVAAGWVLTCAAYPQSDLVIETHKEEELTA* >Brasy3G256800.1.p pacid=40044223 transcript=Brasy3G256800.1 locus=Brasy3G256800 ID=Brasy3G256800.1.v1.1 annot-version=v1.1 MGAPCERCKWHDEQDYRDLDDREKHFLMFLMGDFQHEMIVPEEFLQRLKGEIQGEIKLETRNGYSYTVGVSKNQEKVVFMAGWGQFVENFDLQMGESIIFRYNGSSQFSVVMFDKLGREKALSVIVDALPPRVQERHTDATETMYKKGKNMGAPCGRCKWRDELDYRGLDDREKHFLMFMMGDFQHDMIVPEEFVQRLKGEIRGEIKLETRNGYIYTIGVSKNQEKLVFMAGWRQFVENFDVQMGESIIFRYNGSSQFSVVIFDKLGREKALSVIADALPPLVPERHTNATETVTRSHGHPQALQMQLPNDAMHRLHGHHQTMQMQPPAETMDRSHGHRKTKKMQSLTETVTESQVHPQHMQMQPSSETLNRSPMQTPPMERQRRLQRNKSNQSNKTTLECSSSESSGDCFSSEEGHGVRAVSGYNYNVGEKTRLFSVQKEQLKDGYIATRGTKLTSIQEEQVKKEVQSMHSDIPIFVAVMSRTSVVSRFQLAVPNWYGQKYLGDEKSLWLQRLGENWKVSFRGRRRGLLTDRRFENGWQKFVEENDVKIGDICLFERLSNQRCTLKVHIISGRDCS* >Brasy3G004500.1.p pacid=40044224 transcript=Brasy3G004500.1 locus=Brasy3G004500 ID=Brasy3G004500.1.v1.1 annot-version=v1.1 MAGDALRVADLPGRGRGLVAARDILEGEVLLSEPPILLYPSSLASLPSYCSACFRSLPQAPHAVPCPSCRAAAFCSPACAAASHPRLLCAALSSLAAAAAPEAHQEQLLFLLSAYSLQEPAFHALLSLSSAPQGTSSSQQQQDAASLHAMVASLAPPHMLPAGFSPDLTAALLSKDRTNSFSIMEPYRPDVAQGLRKARAYAVYPRASLLNHDCLPNACHFDYPDRPGPGNTDIMVRALHGITAGMEVRISYFAANWRYADRQCRLLEDYGFRCECERCQIESKWKFDDDNDDDGGDGDDTMEEEHDKEDVGNGGDEGMEQEEGSDGDNVDDDFPHAFFFVRFMCDREDCYGMLAPLPPLPSGELSHVFECNVCEQLKKEEDDDEPDGGDSSMVN* >Brasy3G004500.2.p pacid=40044225 transcript=Brasy3G004500.2 locus=Brasy3G004500 ID=Brasy3G004500.2.v1.1 annot-version=v1.1 MAGDALRVADLPGRGRGLVAARDILEGEVLLSEPPILLYPSSLASLPSYCSACFRSLPQAPHAVPCPSCRAAAFCSPACAAASHPRLLCAALSSLAAAAAPEAHQEQLLFLLSAYSLQEPAFHALLSLSSAPQGTSSSQQQQDAASLHAMVASLAPPHMLPAGFSPDLTAALLSKDRTNSFSIMEPYRPDVAQGLRKARAYAVYPRASLLNHDCLPNACHFDYPDRPGPGNTDIMVRALHGITAGMEVRISYFAANWRYADRQCRLLEDYGFRCECERCQIESKWKFDDDNDDDGGDGDDTMEEEHDKEDVGNGGDEGMEQEEGSDGDNVDDDFPHAFFFVRFMCDREDCYGMLAPLPPLPSGELSHVFECNVCEQLKKEEDDDEPDGGDSSMVN* >Brasy3G105700.1.p pacid=40044226 transcript=Brasy3G105700.1 locus=Brasy3G105700 ID=Brasy3G105700.1.v1.1 annot-version=v1.1 MPSRLLQALPPPTAPPPPPSSSKSHRHVLLAAVTAAAAATSGMLLLLLVLLHALRRRRRRNPTLPFSPPTQATPARPLRRYTRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPADSPRLVSLLGYSSDSRAAADGQPSLLLVFEYLPQGSLQGALFGDAAASFLDWPRRLAVVRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTHEDVIGGVASGPAGDDFMSQELGEAGDLSTTASAIKADAKEDSSCPAPARGAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADDINDDINDHKNSPSATDENAVSAASPEDKKNAPDCNIDSASKGNNAGEKKEANQMREWWKEEFFEEMSKKGGGSFDKRRGSGGKQWLRSISMNTSNHRNANGHDGSNVEPDMSSFRRNRKRRSRRRGQSAGGSDMNSGDLFSRELSTTTSMRGTVCYVAPECGGDGADLQLEKADVYSFGVLVLVILSGRRPLHILASPMKLEKANLVSWCRQLARAGNVLELMDERLDGAYDKDQATLCVQLALLCLQRLPEHRPDATDIVKILAGEMELPPVPVEFSPSPRVRPFPRSSRRAQTADH* >Brasy3G091800.1.p pacid=40044227 transcript=Brasy3G091800.1 locus=Brasy3G091800 ID=Brasy3G091800.1.v1.1 annot-version=v1.1 MGKPSPPPGRWRPAGVARQVRAWATLARRRICSGGPACGGGARGGGGRIGLCTQHRARSAANPCKYEAERQIQQMKEELYVRYDI* >Brasy3G091800.2.p pacid=40044228 transcript=Brasy3G091800.2 locus=Brasy3G091800 ID=Brasy3G091800.2.v1.1 annot-version=v1.1 MGKPSPPPGRWRPAGVARQVRAWATLARRRICSGGPACGGGARGGGGRIGLCTQHRARSAANPCKYEAERQIQQMKEELYVRYDI* >Brasy3G091800.3.p pacid=40044229 transcript=Brasy3G091800.3 locus=Brasy3G091800 ID=Brasy3G091800.3.v1.1 annot-version=v1.1 MGKPSPPPGRWRPAGVARQVRAWATLARRRICSGGPACGGGARGGGGRIGLCTQHRARSAANPCKYEAERQIQQMKEELYVRYDI* >Brasy3G307300.1.p pacid=40044230 transcript=Brasy3G307300.1 locus=Brasy3G307300 ID=Brasy3G307300.1.v1.1 annot-version=v1.1 MEVRSEQGLMTGRDLFGIPKSAPAPAPSSAAMQQSVRMAYTADGTPVFAPVSSAVAPPGYQPVAAAPGSNMSAAAGAAGGNGVAALRDMGGPLAKKKRGRPRKYGPDAAMSLALVSVPPGAAGPTVVPQGASGTFSPTPPGSVVPSASPEGGKKRGRPKGSTNKPRVNVPGPVGVGFTPHVITVQAGEDVSAKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLVTDNGGQRSLTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVVGSFNSDGRKEQKPQVMPKPQVSSEPTPLKVVPATGMGPNSPPSRGTLSESSGGTASPRHQGYTATNNNQPPILSSMPWK* >Brasy3G307300.2.p pacid=40044231 transcript=Brasy3G307300.2 locus=Brasy3G307300 ID=Brasy3G307300.2.v1.1 annot-version=v1.1 MEVRSEQGLMTGRDLFGIPKSAPAPAPSSAAMQQSVRMAYTADGTPVFAPVSSAVAPPGYQPVAAAPGSNMSAAAGAAGGNGVAALRDMGGPLAKKKRGRPRKYGPDAAMSLALVSVPPGAAGPTVVPQGASGTFSPTPPGSVVPSASPEGGKKRGRPKGSTNKPRVNVPGPVGVGFTPHVITVQAGEDVSAKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLVTDNGGQRSLTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVVGSFNSDGRKEQKPQVMPKPQVSSEPTPLKVVPATGMGPNSPPSRGTLSESSGGTASPRHQGYTATNNNQPPILSSMPWK* >Brasy3G185600.1.p pacid=40044232 transcript=Brasy3G185600.1 locus=Brasy3G185600 ID=Brasy3G185600.1.v1.1 annot-version=v1.1 MRTSGGASPRPAPPPKPTTTTTKLLLVPTTTSSSALAMPPPRLAYLRRLLLPFHSPPHPVAPSPARHPSLLLSRAMAGATQAGVATGSAEYEEVLRCLSSLITQKVRADTGNRGNQWELMNKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLDGLDITEEKFVRYFWWCWNKLKAKTGGDIPMPAYFRFLALLAFKIFSDEQICHMSSNWNCERISYLVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKQRASELGVSIRIVPPLDPQQLEDQPLGLHGEHQYMNAGLAVALANTWLERQGHLDRIHLKDHGTLPDQFIKGLSSACLQGRAQIVPDPHGKSQYKDINCPLVFYLDGAHSPESMEMCARWFSHVTKKDQRQPGPLEQPHSGSNSKKILLFNCMSVRDPQRLLPCLLDTCAKNGLHFDQALFVPNQSQYSKLGSQTSAPSEREKIDLSWQLSLQRVWEKLLHGEEGLNGANSKGTSLVFESLPLAIKWLRETSQQNQYTCQVLVTGSLHLIGDVLRLIKT* >Brasy3G090700.1.p pacid=40044233 transcript=Brasy3G090700.1 locus=Brasy3G090700 ID=Brasy3G090700.1.v1.1 annot-version=v1.1 MEEASNTIVRLPSGCTLETAQATQSFKITGYSLLKKGIGRGKCIISPVFSAAGHQWRILYFPNGDNKEKSEGYVSLFLELLNKHDEVSARCTFKLMHQVTGQSVVVTTSKAGTVFAGAKRIRGFNRFMKISAKEESAYVWNDHLVIECVIEVSKETMIGDAILRVHVPPSDMLDNLAKLLEEKRGADMTFTVQGEAFPAHKVVLAMRSPVFDAMFYGPILTIEDMQPIVFKALLHFIYTDSMPPMKDQDDGEKREMVKHLLVAADRYGMKRMKMMCESILCKSLDVETVTTMLALADQHHCNNLEGACIEFINASNRMEDVMASQDYAHLKRSCPAVLVDMMEKVIKRRKNEQN* >Brasy3G250500.1.p pacid=40044234 transcript=Brasy3G250500.1 locus=Brasy3G250500 ID=Brasy3G250500.1.v1.1 annot-version=v1.1 MPARTVATWSAMVSAYSRAERCQDAVELFSAMQASGVEPNANVLVSVLGCCAGLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGSIHKARQVFDATRSQGLAKLSSWNAMMLGLAVHGQCQEALALFSELETYGLSPDNVTFIAILMAYGHSGMADEAKALFSAMEREYAVTPGIEHYGCLVDALARAGRLGEAEDVIRTMPMRPDTAIWGALLSGCRLHGDAEAGARAASGAMECDPQDSGAYVLAASVLARDGEVSAGVSVRGRMRVEGVAKVPGCSMIEVNGVVHEFVS* >Brasy3G098500.1.p pacid=40044235 transcript=Brasy3G098500.1 locus=Brasy3G098500 ID=Brasy3G098500.1.v1.1 annot-version=v1.1 MRSRSRSCSEMSLSLSAAGSSPAERDGELRSSPNSPPAAAPPPLVGAFIESLSFRSCGFGRAASSAFEKEDLRLRAALPQRLRDALHAALRARDPSAGKFALEEAPGAPTGVNPWYALAPDDAPENPLVAFVNPKSGGRLGPVLKSRLQELIGEDQMAVVARYLELLSRCRGGGDARPIARIQAALVTSGLLRCSAELHDALVRALASSARPHLALPLYAHLLRSGLLPTPHTLPSLLKSLALSAAAPGARRLALAVHAHAVKLGLTGFLLVNNALIRVHAGLLGCLSDAQLLLRTSASVDASTFNTLITAHARAGRVADARSLFDEMPERNVVSWSAMVNGYVQAGDGREALGVFSRMQAEGVRPDDTVLVGVLAACAQLGALEQGKWVHGYLKANGISITVFLGTALVDMYAKCGEVQLGMEVFEGMKDKNVLAWTTMIKGLAMHGRGSEALMLFSRMERLGVKPDDIAFIGALCACTHTGLVDKGKELFNSMVTKHASAREIRHLMREMGVDKTPGCSNVEIRGVIHQFIVGDLSHPRIKDILTKWHEIDNRIRSEEGYVPDKKEALLDIEEEEKEGALSRHSEKLAIAFSLISTSDNMPIRIVKNLRVCQDCHHVTKLISKDYW* >Brasy3G240000.1.p pacid=40044236 transcript=Brasy3G240000.1 locus=Brasy3G240000 ID=Brasy3G240000.1.v1.1 annot-version=v1.1 MGCSSDVPEQQQPQLMGITKVDLRGLEPGGAGWGEARAAVTASMVAHGFVVVRHDALGPELRQAVFGRAMPEIFALPLEAKQRNASTLGPFRGYIHNLPGMNWESLRLSDVNVPGSVRDFTDTFWPEGNPAFCETMETVGKEMLELERTVAAMVLEGLGVKREERIGDHFEALGYGVRLSRYGVPPDTESSMSMQAHRDDSMITIIVQHEVEGLEVQAKDGTWLAVPPEPGTFAFVAGEMFAVVTNGRVPPCLHRVRTPSNRERLSMLFGCRGKDGVVLSAMDELVDEEHPLAYNPIKNDEYAKFRYSEEGRKTVDPLKAFCGVKKDGLPVE* >Brasy3G160400.1.p pacid=40044237 transcript=Brasy3G160400.1 locus=Brasy3G160400 ID=Brasy3G160400.1.v1.1 annot-version=v1.1 MLFQLAAAWLLPCAVMVLDASTGVEAAAAESSSASPSSPSAASASSLDDRAGFEALRRSRSASPRCFGARGRRKHKKKAASSGATAAPKGGDASGSSSPASSISSASVGFRTSRQHPCFGSKLARGSNSCHARACSLGKQEEEAKGGGGGVGSYLREISRRLRRRAVEKSLPLPTTTERIGAVGERRRGNAIASEEEIRAFVAASARNIERNGPPVVPLGLL* >Brasy3G292900.1.p pacid=40044238 transcript=Brasy3G292900.1 locus=Brasy3G292900 ID=Brasy3G292900.1.v1.1 annot-version=v1.1 MNTRNAVYRHDEIEEAASRIEKARPPRNYMNLLGLSKKRGKPNSEHVHGTTKNDWGYVRRLRESMVMIRDKIMPPATTRAGPDVGGGKPDEAEHKDALPPSRHRGKPDEAKDKGVLPPSRESFDTWMEGVIDTNDNTITDEFLRVEEEVRRAIPRRYYSPWSTESAAAELWTAQPPTIYSVPRELAEDNARAYAPVAACIGPLVGSKVSRRDEAQEAVHRYKRCCVRRLVTGRHLQVGGSGAEEDPAAWTDAERQRLRACLATLKRLLPRIRASYDLKWDSSDDDEVAAQMLLDGCFVLHRLLKYARAPRTTRRPEEQGKSGDDDDDWTLVFGRCWVWGFITYDLLLLENQIPFFVLRALHHVLKTRPDERDDVLVTGALELFRPLHPHSHSHSHSHRQQHGSSRILCRDVHHLLHLFYLSIGFPTTAPTAGELRASSRGRHLLPSAELPLWVPCAKELEEAGVKLRARKHGAKSFLDVQFRGGVLEIPPLRLYDYSEPVFRNLIAFEQTYPGTQGDVTAYAIFMACLVATHEDMRLLHRRGVLVNQMSSGGERGDATRFFSRICSRVHTSAGSLNYLGDLMEEVVRYQRGRWPRWRASLVRNYFSNPWVTTSVAAAAFLLLLTVVQAFFAAYAYFRPPAPAG* >Brasy3G099300.1.p pacid=40044239 transcript=Brasy3G099300.1 locus=Brasy3G099300 ID=Brasy3G099300.1.v1.1 annot-version=v1.1 MATATPQQCWLPAPGRPPTIFAPLRPGSLTRAAASSYFPGRRTAAVRRRGRTAFACSPRCTLETAGPAFDPLGLYKDDPSGSDYQSPLSTFFGILSPVFGSSSGSRNEKSSYGRGAAAAMEDSSIDIGDFFKGPLPGKFLKLLGFLVLSRIGVYVPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFLGETISELKLGNGTSLLIFTSIISYLPASFGRTVAEGFQAGNYVGLLTIILSFFFLVLGIVYVQEAERKIPLNYASRYSSRTGGPQRSAYLPFKVNSSGVMPIIFSTSSLALPATLARFTGLDFLKKAAIALTPGGSFYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSRISVLGSGFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVKSSDK* >Brasy3G274600.1.p pacid=40044240 transcript=Brasy3G274600.1 locus=Brasy3G274600 ID=Brasy3G274600.1.v1.1 annot-version=v1.1 MDGAGASRGEGSERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAALAFDAAAVCLRGSRAGSLNFPECPPDVRYIPGALPTPEQIQAVAARHANSACPAPAPAATVAAGVPPQEAPATARTSTSVDAARCDDLLDYWSFMDELPSSMPATSSAPGANAGIVPAMDDFMYGFSPPPRPAGEAAEDVIDDHGDDGHTFLSDLWKF* >Brasy3G110400.1.p pacid=40044241 transcript=Brasy3G110400.1 locus=Brasy3G110400 ID=Brasy3G110400.1.v1.1 annot-version=v1.1 MEVVFNGGGAGGADNWRSQIMMENRLFYISKFFWALVRILSQYDQHQHDTLIDLPEVAARLEQRIFVIAVNLVDYNDKASKRLTYLETIVPHDRVKLRTSFFQLALPEKMEQKQPSRPQMIVSSAEMLLRQSCSDEQNKINPAQRNLQEVPSCVPSGKQTKSCCDDRISFLHESILHHIMSFMPARDVVRTSVLSPRWRPLWTSAPCLDINIDHFDMDRVKFNEFAESLFLCRGIDTLDILRLHSFAISAANFWIDHAINRKAKSIEFTEYKIWEPFYLNPGIMKFQSSYLRSLKLTNVILVTSIFHQLNHACPSLENLQLADSTLELPEISSRSLKTLEIIDCSVVKHLLIRTHKLVSLRFEGSRCRCTSKSILLTPSAVTLCDLSNAESIELSASVRQVAFDGVSTGR* >Brasy3G169600.1.p pacid=40044242 transcript=Brasy3G169600.1 locus=Brasy3G169600 ID=Brasy3G169600.1.v1.1 annot-version=v1.1 MIGERGVGGGPDHIPGLVGAGGSSLVNFSNSHLPPPLARPHRREGGGRRVAAAAGSLASASAAARSPAPAGKEAANPAGGKEVGGTWRRRGLPRVGLRRRSLARAGGEGGELFLNRHTRPPLIPTPDNPRSRLPPLARATSPDPPPPAPQLPPPSSGAGERAVAEADVREPATPSRAASPDQPRLPPPSFGAGERAAAEADAREPAPPPPTGPGYHLLPPARASEWRRPTRGSPRWPRETPPRPAPATTSFPGVGERAAAEADVRDPATPSRATSPDRPRLPPPFSGDARGYHLLLRPWQGCFLTWLVSERRRPTRGSPRWPRETPPRPAPATTSFPGVGERAAAEADVRDPATPSCATSPDRPRLPPPFSGDARGYHLLLRPRQGCFLTW* >Brasy3G000100.1.p pacid=40044243 transcript=Brasy3G000100.1 locus=Brasy3G000100 ID=Brasy3G000100.1.v1.1 annot-version=v1.1 HPRSSPHGRTFFFPFSLLILTRPTQTSFSSAPSQPSRDPREPPAAAAAKRPLHAPPPSRLTPLPLCRSSAGRGPRRRRPGDWLRRRQSPAARVGKNSKTIGDFHPDLRFFMLMPLY* >Brasy3G187800.1.p pacid=40044244 transcript=Brasy3G187800.1 locus=Brasy3G187800 ID=Brasy3G187800.1.v1.1 annot-version=v1.1 MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLEREATRRRESHSRLLALRRNRGLQADSPSPPRASSPPPHASPVAPSPPPATPSADPSPIVSDGGHINLFSSGGGAADFAALASANGGRGAAREREPAADTKQNPKKRKKEEETRTAGPDDEKYRLGYGLAGKGVAAPWYMSKPLASSSKPRKDCEEGREGKRNGGKKSIEELREERRKREAKEKERERALLAATANKGRQPERERSSRYVRR* >Brasy3G187800.2.p pacid=40044245 transcript=Brasy3G187800.2 locus=Brasy3G187800 ID=Brasy3G187800.2.v1.1 annot-version=v1.1 MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLEREATRRRESHSRLLALRRNRGLQADSPSPPRASSPPPHASPVAPSPPPATPSADPSPIVSDGGHINLFSSGGGAADFAALASANGGRGAAREREPAADTKQNPKKRKKEEETRTAGPDDEKYRLGYGLAGKGVAAPWYMSKPLASSSKPRKDCEEGREGKRNGGKKSIEELREERRKREAKEKERERALLAATANKGRQPERERSSRYVRR* >Brasy3G187800.4.p pacid=40044246 transcript=Brasy3G187800.4 locus=Brasy3G187800 ID=Brasy3G187800.4.v1.1 annot-version=v1.1 MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLEREATRRRESHSRLLALRRNRGLQADSPSPPRASSPPPHASPVAPSPPPATPSADPSPIVSDGGHINLFSSGGGAADFAALASANGGRGAAREREPAADTKQNPKKRKKEEETRTAGPDDEKYRLGYGLAGKGVAAPWYMSKPLASSSKPRKDCEEGREGKRNGGKKSIEELREERRKREAKEKERERALLAATANKGRQPERERSSRYVRR* >Brasy3G187800.3.p pacid=40044247 transcript=Brasy3G187800.3 locus=Brasy3G187800 ID=Brasy3G187800.3.v1.1 annot-version=v1.1 MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLEREATRRRESHSRLLALRRNRGLQADSPSPPRASSPPPHASPVAPSPPPATPSADPSPIVSDGGHINLFSSGGGAADFAALASANGGRGAAREREPAADTKQNPKKRKKEEETRTAGPDDEKYRLGYGLAGKGVAAPWYMSKPLASSSKPRKDCEEGREGKRNGGKKSIEELREERRKREAKEKERERALLAATANKGRQPERERSSRYVRR* >Brasy3G251300.1.p pacid=40044248 transcript=Brasy3G251300.1 locus=Brasy3G251300 ID=Brasy3G251300.1.v1.1 annot-version=v1.1 MDADSLLMATELRLGLPGTLSHKATAFSPPATPRGKKRTVDASFEDATAEEAHDDKAHDVEAAPPVAKAQVVGWPPVRSYRKSCFQAAAAASKSKAKKAEEANSSSNTQSPPAAAAAAATVATSTNNNGSFVKVSMDGAPYLRKIDLKMYKGYRELRVALEAMFVCFSGADAASPNANPAEYAITYEDKDGDLMLVGDVPFDMFSGTCKKLRIIKRSEATGLGSK* >Brasy3G059500.1.p pacid=40044249 transcript=Brasy3G059500.1 locus=Brasy3G059500 ID=Brasy3G059500.1.v1.1 annot-version=v1.1 MIHRVITNDSIQEAADLILEYCNTNKRYYQGVENIIYFDGWHGVGASAVLATIAESVRSVRSKFDIIIHVDCSLWESRREMQRRIAEEIKLDPMAMAFFDKQDEADDFNGLDKSSRSEIPYIAELIFQTLTDRKCLMIFHNGSDHEIDLAASGVPVLDWKNKVLWTFRGRFRLDPTITDKVKSAHLFLSARPWKNKDLSNFVQREAAQFSHDINPTVITDCWLYLSLLHYDHDNSNDYHQDSHACNYWVCDGIISGDRAWEIGDRLNGAFRLEYLPTKQNHGKWFDRFLDQRQKKSQWISIASKNVKIQNIQAIPKETTSYFLILGKSDPPAALPTQLFEQSINLHVLRLSWCTFSFASPPFICCRNLRFIFIDSCRDKVGDFGKGDDKQDTEWAFLKRLWVLDIRHTCWDWILSTSKMMLMTELRELNLIDAVAGRSGWGMNTLDLTWLCNLQRLRMIRSSTFFTAVVRNSFMGMQKLELLDLSGNSDLEVLPNLSAASGLKVLILDGCNGLQHVEPDTVSASLESFSFDGFGPASRWTNSLQIQEKEVRPSAHDNQELPKVSKISLEGCARLKSVFFRGLPNLEELDLSETAIEALDLEVMQVKKLQRLFLIGCEKLYRVQWLDARNPPLKLLSVDTRGRDGRLMDGDCHRSHSLQQDFVQVVATDARFLRGFGVLGNNFHLHLSSTVSDRQLPETKETRISSSDASDLRSVVGSPSPYVDVLDKVVEEDNDEDGLMEARTQLLPSERHIEFADGGCNWELKGGREIMASLMDSAQSFHVHSNSSITAANLEAEYESQFTNLRWCRIERCSKLRSAFLVDSRFLSTNSFKSIETFWASHLLAARCIWSRCLSFSTYGTETAPAAFSKLRYIYLHSCPRLTFVLPWSFRTLASLETIHITYCGELKQIFPEGNTYEGGLAANIEFPSLRNIHLHELPMLQDICETNMSAPVLENIKLRGCWSLRRLPAIHAGRAHDKSPAVVDCEKDWWDKLHWDGLEASRDLFSLRHSHYYKKTIPRGSLLR* >Brasy3G029200.1.p pacid=40044250 transcript=Brasy3G029200.1 locus=Brasy3G029200 ID=Brasy3G029200.1.v1.1 annot-version=v1.1 MATATRRLLPTLLKTLAGTTAQAGAARGLATEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDSNPALGHPIEYICLDLEAPAVCKYCGLRYVQDHHH* >Brasy3G332300.1.p pacid=40044251 transcript=Brasy3G332300.1 locus=Brasy3G332300 ID=Brasy3G332300.1.v1.1 annot-version=v1.1 MAAENYWRYADARQQQQAMAAAAAAAAGMAPAATVAQAATVAGAMAQQHHQQQQHQQVAQMAQQQHHQQQHQQAAVAPSLKRARPDYVDGPGGQDMAGYYPRENAGYHSLRDNEVLGASYDRYLRNGVPAVAVNEPSRAVGGMGGVGMGGAGMVGAGMSGYPGDERRMMGVVGMDSRGMGYGARPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDSPAQATIALEALQGYKFDEHDRESAHLRLQFSRFPGPRSAGGPRGRR* >Brasy3G206300.1.p pacid=40044252 transcript=Brasy3G206300.1 locus=Brasy3G206300 ID=Brasy3G206300.1.v1.1 annot-version=v1.1 MRSDAPPPTAPSASSSSSSSSLFGGGELFESGGPSPLVFLPLLLIQGGGMDLSRVGERLLGSVRSARSLGLLPPTPSSAPPRPEVPARAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGPAVDELEEVFYEEEFDPIKFILANISEEGSDASYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHISSSKNEVSRDLVVNVKSKKKQALLDVLPVLTELRHAQDMQLELETYVEKENYVQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTIQKLDIRLLGVCQTFSEESYLTVIDAFALMGDIVGMAEKMQSFFLQEVLSQTHFVLKEMLEEEVGNNTQRNRFTYSDLCVQVPESKLRPCLLKTLESIFSLMRSYFAIMSFCPDEKNNTSQSPSETSVDSGKVHSSAVVNQDGFAAEKSDRTSSSDVNNPDASTSGTDALFYQLRADATKLVAYTFERGRRNLWQLATSRLSVLLSSSALCSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKTVCLNYVVTFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALISPTSRSSTLPTCFRGNTSVSNTGRQKNGFASWFEIENPFFFKLENGSTESPKSNALFNSSAGNNPVHGSHGNGNNSPFDEENEDLLADFIDEDSQLPSRILKTKTVEGNSSYWKDGDISSQTGSSLSLLRMMDKYARLMQKLEMVNVELFKGIFQLFGIFYLHIYETFGYQDRSQSGKPLLDSQSFRLKAALSKITQDSDQWIKPQNSLYAPSSPLSINSTITHMDVMPTAPPSSMFTSYGLKERCAAAETVSLVARVLNRSRSHLHSVLSQNNTSVVEEFFGTLVDTVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQQLLLEYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSSNVKPKLQIVDTFVKAYYLPETEYVHWARSHPEYSKTQVVGLVNLVATMKGWKRKTRIETIERIEAGP* >Brasy3G344600.1.p pacid=40044253 transcript=Brasy3G344600.1 locus=Brasy3G344600 ID=Brasy3G344600.1.v1.1 annot-version=v1.1 MGMGMGAKAAEAAAADPFPGGNGSSFVILSVSVAGILAISLLLLTYYLFLTRCAASWRHNNQLDDVAHQRYVVYSPRLERRRRGLEEAAIRRIPTLRYSHHDKAAAVASECAVCLGEFGEGERLRRLPACLHAFHIDCIDAWLHATANCPLCRADVVADHLVIDIASPPSPSPPGGTTAEVPAAARMAMSMGDECIDERPWGVQQPMRRSLSLDSCSDKHLYLALRRQHHSAVLLGEEEGAKFGESSIAAGSPAAASGGGRRLRRSFFSFSHGRSSRSAILPV* >Brasy3G156500.1.p pacid=40044254 transcript=Brasy3G156500.1 locus=Brasy3G156500 ID=Brasy3G156500.1.v1.1 annot-version=v1.1 MDRGLLQAATSGDSASMKHYACHDPSILLGTTPQGNTCLHISSVHGHEGFCKDVLALEESLLTAVNSDEETPLVAAVRSGRVSLASVLLGYCLSRQLSDVILRQDICGCNALHHAIRSGHGELAMELIAAEPALSKGVNKYGESPMYIAAKRNSTRIFKELLKIPDSSHVGRNGENALHAAVANGDKDITAKIMAIRPELAARVDDSDCTPTRLAVLYNKTDVLRVLLEHDCSLGYELDKPGFPLLSSAAFRGHVDVAREILNKCPDAPYGKVDGNRWTCLHKAIFHNHTEFVEFILTTPQLRKLVNMQTRPKGETALHMAVQKCNPKTVAALLSHEDIDPTIIDDDDRPASWSLPQTTNQTKTLNWNEVSMRMLRAVPQQATIIYNLHEITKQQAIDASRKDSKSLTQIYTSNTSLVAILTTTITFAAAFTLPGGYISDAGNEGLPIMSKKFAFQSFLISDVLAMCSSFAVAFICIIARWEDYEFLLYYRSCTKKLMWFAYTATTTAFSTGLYTVLAPHLHWLAIVICVLVALLPILTKLLGEWPVLKLRFRLGKAFNSDLLDMV* >Brasy3G255300.1.p pacid=40044255 transcript=Brasy3G255300.1 locus=Brasy3G255300 ID=Brasy3G255300.1.v1.1 annot-version=v1.1 MRSPRRAISLSCTSGVASESLALDGGLRVCLDERDGELQGAAAREVASAGLEVGGDSGSRRGQRWLRWGLALPRRTRRDVDAGTPEERKKAANPEATLGDLRAARGRTRGRRRHRADLVAGPHWDRCRSTTSPLTSERGDRIGGRGGGAWGLDRGRRRGMRGMDSRHIRTIWNSRNAYTHGELQYQPMKSMELVAELINTLEIPSGGAASVVQHEVQKWSRPSPGWIKLNTDAAVDQQRGVAYSGIVVRDETGAFMAAECRRYDHLVEPAVIELLACRDAMSFARQHAWQNIEVEKDCQVVVCAWNRTVDDPVWTDYQGDEVMGFLLSGF* >Brasy3G065200.1.p pacid=40044256 transcript=Brasy3G065200.1 locus=Brasy3G065200 ID=Brasy3G065200.1.v1.1 annot-version=v1.1 MDGEEEEGEEQEEVIETGFDSQVHMACVMQGHRIGVAYYDSNTRQLFVLEIWEDSAGGFPLIDLVKCQAKPSTIYASTKTEEELLLALQQNDGSDEAPVVKLMKSSTFSYEQAWHRLIYLKVAAMDDGLSVKERVCFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIEIDSVAQISLDRFLKLDAAAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGRHLLRGWFLRPIIDIEVIHNRLDTISFFLCCEEVMTALRETLKSVRDIPHMLKKFNSPSSSCTSSDWHTFLKCICSLLHINKIFEVGISEHLANKLQDINIDLVEKANSSITAELDYVSNLVIGVIDVQRSKEKGYDTVVKEGLCDELDELRMVYEGLPDFLEQVSANESASFPFALECRKDPLIVYVHQIGYLMCFFDEKISDALLVGLQDFEFAFSEDGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVLQFLPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAVVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFANYDYPPKVLLSTHLTEIFTENYLPQSEQIKCYTMSVLNPDGQTSNEDIIFLYRLVPGQALLSFGLHCAQLAGVPDEVIQRAASVLEDIHSKRPIRRMICDKLLARDQQYQDAMTKLLAFDPRIGDLDNFFKEIFPSEQ* >Brasy3G330600.1.p pacid=40044257 transcript=Brasy3G330600.1 locus=Brasy3G330600 ID=Brasy3G330600.1.v1.1 annot-version=v1.1 MHIQDSRLLACWCYVCSTTILLGFSGELIWLPMVFWLIIGYSNARFVGRLRLQMLPQEESTPFWKLVLKLSDDLLVKILIASAVVSFLLARLNGKTGLTTCLEPSVIFMILAANTAVGVITETNVEKALERPGRSPFLEKIPAIQLQSHSTDFSPVLFLGGTFSLVYATKLPIWRPERF* >Brasy3G159400.1.p pacid=40044258 transcript=Brasy3G159400.1 locus=Brasy3G159400 ID=Brasy3G159400.1.v1.1 annot-version=v1.1 MWGQVYSRRKQAGQECRHEPGEGVGASDAGDTAFQIQCLSRSAYAAAKQECLAELRNGLKLSDSEHRECIVKASTNSHIKSLSLKGSLGLACVIPDAGDAAFQIHCLERSAFACVLRAFCAQSDLLSWAKLINKLRNELRLSDTEQREVIARVRSDDYIKSLRKYSLANYSGLTKKTLAFDVRAVVPDKIDKTGQSFTPSAQQLPMPANKTSLARNIGILGTSPTAKNGPCFDLHAVEPVKKLKSGNGSALAYFKCPPCAEQSPVAVSSMLAESSIDDPLEHKKSLCDIKAGCAVSPMFEEKQRQSNAGQVPLCVHPDMQASRKRGAEVSPMKRFKSLSFIIYGAENANDGSDIVKIHLTSGLLKKIEKQLIREKADPAMFNTAKSILKDQERHLLDALVKLSEVSSAAAYPGQHDEETPPPLKSVSSSSYEEAPASTCTAIAGPRGAEAARGEARAWRPTVKLLESEWVKCLPRKGKKRMHASPPPAPGECTALLGHLQQGYLA >Brasy3G262300.1.p pacid=40044259 transcript=Brasy3G262300.1 locus=Brasy3G262300 ID=Brasy3G262300.1.v1.1 annot-version=v1.1 MAGTGVFADVLDGEVYKYYADGEWRASGSGKSVAIVNPTTRQTQYRVQACTQEEVNKVMEAAKVAQKSWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGIVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMETVADTVVEKVNAKLAKLTVGPPEDNCDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMESGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG* >Brasy3G198000.1.p pacid=40044260 transcript=Brasy3G198000.1 locus=Brasy3G198000 ID=Brasy3G198000.1.v1.1 annot-version=v1.1 MSLRSILCHAIAAAAAARSFLGLLPPQAWLRLTCQRSAEELGKTAAQNSHGYSLPRSGWLVTWTLRPRDVLNVFRQTPHSCRGGGCLPRELEEEPTAGPVPCCSGGAWIFAARACSSSVTTSFAAGSTTSGPTATTGDGGTCCLGVRLGVVLVTTMSTGANTGEGDCIVRITSSRPTLGPTEATTGVEGGLGATTSTEAAGVWLATTWWATSGAAGAGGSATSSARNARGGSSRTPRDSIKRETARHSSSGLSPQPGETTAGGRAGCSVGGFVSMRPALRAMGSLILTQS* >Brasy3G146400.1.p pacid=40044261 transcript=Brasy3G146400.1 locus=Brasy3G146400 ID=Brasy3G146400.1.v1.1 annot-version=v1.1 MVDVHKNSTERWVTQMVRKYHGREGNEAIGTVMEQGFSDHLDSADDTNCSAGQNRYPGWPGTTVFRMLISSTKVGAIIGQKGDRIRRLCEETKASVRVIGGHFAAAERVVLIFATEQPDEPIPPAMDALLRVYQNIVNDDGLGMGSDNAVVTLILIPSEQALSLIGEQGSMINLIEEASQTNIRVLDCNLPPVALDEDRIVEIWGQPTRVRKALELVARHLRKYLVDRSVIPLFDPHVPMTMSHVDMPPCYYSDHPEGPLQAISSVYAEDLHRQPPWTDTCYPRGRYHMETDVTGYRWEAPTCFGRYRSVTPPYHGMGAYRQETSSPMEAYLSAPMELGSHHNLTSYGSRSTPPIGTSAAAERIRCLISVYGQQTHPVGKVYQSANTGNHPHLETSLYGSEYHPSRLSPSTATNLPPTHGVSEDGLQASPSMRTHPPATVENLLHCRVSACGPDAPLPVVVPSLTSKSPAITSQVKEKMQVPIFYAEAIIGPTGARIEYIRRASRSSILINDLDDSLMSIDITGSSATDVQTAEHLIKAFMAEAAAASPGHNFDHIPSYLSPVSPRADTLTTSYAGRESGLTEQCLQMSF* >Brasy3G139700.1.p pacid=40044262 transcript=Brasy3G139700.1 locus=Brasy3G139700 ID=Brasy3G139700.1.v1.1 annot-version=v1.1 MSVRRRSESMEGLFLFEERKDRRSDVENSEDERRRLSIGGSLKKKALNASSKLTHSLKKRGKRKVEHRASSFTIEDVRDEEEERAVFTFQQELLSRNLLSDKHNDYHMLLRFLKARKFDTDKAIHMWAEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVDPSKLMNITTVDRYIKYHVQEFERAFSDKFPACSIAAKRHIDSTTTILDVDGVGFKNFSKTAREMLTRMQKIDSDYYPETLHQMFVVNAGNGFKLLWNSVKGFLDPKTASKIHVLGTKFQSKLLEVIDASQLPEFLGGTCTCAGEGGCLKSNRGPWNDSNIMKLAHNKEAKFVRHTRRLSEIEQRRGSFARLHLLKGRNSDTSTVESGSDVDDLGSPMMRRTLECSRLAPVREEMQMRARDSAAYYSCDDHFVVVDKTVDYGRGSMPDKSIASEVRAQVRPLGIVTSQNMAGPSRNRHGTVVPKEIQAEGKFYNFLRLLLALIVRVFALFHIVHSQSETTRVNNPPPPPPEPEPEPISGDHPAAEAFSLDHISPVIERLQRLEGRVDELGSKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVILSKLHRRRFCA* >Brasy3G339700.1.p pacid=40044263 transcript=Brasy3G339700.1 locus=Brasy3G339700 ID=Brasy3G339700.1.v1.1 annot-version=v1.1 MQGGSGGIVYGGLKYQARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLLPGEGELVCDGLFYHPSEIWDLKSCPFDHRLFSTVYTSGEGYGASVWKIPELYGQSNSPQLEQLFTLDEHSSKIRCVLWWPLGKHDKLISIDDRNISLWNIDPSNKSAKVISQGSTDMLPNLRGGAWDPHNHNSVAAVTDSSVHFWDLRSMKRSNSIEHVHIRDVDYNPKKQNIIATAEDELGICLWDLRMLKYPLKDLPGHSHWTWAVRHNPEYDELILSAGTDSAVNLWLAKVGTDDSGHESPSGSPNRQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLQRK* >Brasy3G225100.1.p pacid=40044264 transcript=Brasy3G225100.1 locus=Brasy3G225100 ID=Brasy3G225100.1.v1.1 annot-version=v1.1 MAPGRFGAGSGHTRPGPGHPPRDPDPDPDPAPAQRRTQAGEKRRTRGVERLGDKVAAEEADGGEGSAVGEHGAALHERRVGEAAVGDEDGGAVGEGGAALHERRVGEVAVRLRRPSVGGAWSRGEALAAESMAGRRRACRPAAAAALLGAAAADWRRGERRQQSCSGPAAADGRRVGDETGGVNVHASCCGRPSNLLCSSERLRLHGGSSLRLSFDLLLCLELSSLLLSLSGSLGRGISVVVGHW* >Brasy3G298900.1.p pacid=40044265 transcript=Brasy3G298900.1 locus=Brasy3G298900 ID=Brasy3G298900.1.v1.1 annot-version=v1.1 MSTPSDPAASVQPTSTASTAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGEGSSAQKQEPPRVFGCVIGVQRGRTVEIFNSFELVLDPVTGTLERAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDSDMLIHKALMDINESPVYLLLNPAINHSQKDLPVTLNESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGDIPLDNSLLRQVSSLVRRLPAMESEKFQDEFLTEYNDTLLMTYLAVFTNCSSTMNELVEKFNTTYERTTARRGGRGAFM* >Brasy3G136700.1.p pacid=40044266 transcript=Brasy3G136700.1 locus=Brasy3G136700 ID=Brasy3G136700.1.v1.1 annot-version=v1.1 MKTPCTKITAVAAIFSALLLLFLCISSSLHHKQSSVVRNRRLLLQCGGASSCSTRLDRFSQFHYRTPKVQSLQRMPKSRSNPSHN* >Brasy3G113600.1.p pacid=40044267 transcript=Brasy3G113600.1 locus=Brasy3G113600 ID=Brasy3G113600.1.v1.1 annot-version=v1.1 MERVRKASHAGSWYTNNARKLEEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSRTTVYSTPIGDLPVDQEVIEELSATGKFEFMDHNVDEAEHSMEMHLPYLSKVFQGYNVKVVPILVGALSSENEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYDKSHGAIHKSIEALDRLGMEIIETGDPDAFKQYLREYENTICGRHPISVLLHMLKHCAAKIKVGFVRYEQSSQCKSMRDSSVSYASAAAKIDAPGEEDKE* >Brasy3G295100.1.p pacid=40044268 transcript=Brasy3G295100.1 locus=Brasy3G295100 ID=Brasy3G295100.1.v1.1 annot-version=v1.1 MGVSCSKENSSAGQQQQHDRNRDHGKNTDRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWSGKVSFHNRCLHALGDIPNPYEQAISIIGRTLARFDEDNLIPCFGFGDATTHDQQVFSFYPDNQPCDGFEQALGRYKEIVPQLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTDNGKLSTQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPIPTSKKEAEFALSALMEIPAQFKAAMNLQLLGKRRGFPHRSVLPPPVSVSQRYPEYSAVKQTQSTSTSYGSPQKKASAPPQDSDIGDQQTCPICWTEAKNLAFGCGHQTCADCGKELKVCPLCQREITSRIRLY* >Brasy3G328300.1.p pacid=40044269 transcript=Brasy3G328300.1 locus=Brasy3G328300 ID=Brasy3G328300.1.v1.1 annot-version=v1.1 MAAAAANDDYDAATALAEFHLTCGGVRGLIDSGAGAAIIPPLFLAPNNRLCSPPIAPSTFAIPTVDLALPRAPLVAAVRAAAQSCGFFYVTNHGVPEPVVDSAVSAVRAFHELPLAVRSSFYSPAPVDGVLYYTMPNDPPRRAAAPEALPVLPWRDTLLVSVSPGAGAGGGIERLPAECRDALKEYKDAVSELGKKTLTGLFSEAMGVGAARMESAVAPEAALMACHYYLPCPEPAKVVGSLNHTDAWLFAVLAQDEVGGLMARVAVPAGAGDEEDEQWVEVPRVEGALLVNVGDVLKVLSNDGFRSVLHEVRIKSREEARASVAVFFNPGDSAAVVAPLPELVDQAGGKPARYRSFTVEEFMAARKELGHGGAWIERFRGL* >Brasy3G179300.1.p pacid=40044270 transcript=Brasy3G179300.1 locus=Brasy3G179300 ID=Brasy3G179300.1.v1.1 annot-version=v1.1 MVAVMLAREHVRRVRAREAPRRTVVLLAPTVHLVHQQFEVISEYTDLDAKECYGASGVGGWSAEHWKEEVGSKEIAVMTPQILLDALRHAFVTMSVVSLLIFDECHRACGNHPYTQIMKEFYLGSQWRPAVFGMTASPVATKGTSTIQDCEAHIAQLELALDSKVYIIKDRSELESFSPPATIVNKYYDAYLVDFEDLKSKLQILFEEFDALLVSLQESSPNKFEDTNNILETSRKSLCRYHGKILYGLNYLGPIITAEVVKTYSESIKALGDSEDSFSKAGFNVHVSYFKEALDLIQEVLPQGYDELMKSESGSAELSKRGCISSKVDTLINIFKSFGSSNEVMCLIFVERIMTAKAVERFMRGIVNFSRFSISYLTGGSTSKDALSPAVQKFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVSSYVQSRGRARKSSSNYVLMIERGNMEQQEQIFRIIQTEYYIKHFALYRRANVSSYDLPMQDKHTYHVDSTGATITAECCVNLIRKYCEKLPKDRYYMPKPSYEMAVEDGSYQCTLILPPNAAFQRIVGPSCSTGNLAKQLVSLEVCKKLHQLGELDDHLVPLTEGPMDIDNSTTNAKCISGPGTTKRKELHGTTSVLALTGTWVHESENVSLNAYRFDFRCDQEGENYAGFVLLMESALDDDVACSKMDLFLIPNKMVYTTITPCGKIQLNKKQLREGKLFQEFFFNGIFGRLFHGSRTSGVQREFIFRKGYEIQWSSESMYLLLPLCYSSHIQHDLSINWEAIGSCTDALEQLRNMYTEDGNLHANFVQCRSIKGEDMIHMANKSLRVSSIKDSVVLSVHTGRIYSVLDLIIDTTAEDSFDEMYNGKASTFASFVDYYHEKYGIIIRHPKQPLLLLKQSHNAHNLLFSKLKYIDGPTGNPLLMEKEQIHARVPPELLIHIDVTIEILKSFYLLPSVMHRLQSLMLASQLRGDIGYIQHIPSCLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHKHGVWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIESSFVPTNGRYIRDDPSFVVGKPCDKGHRWMCSKTISDCVEALVGAYYVGGGIIAALWVMRWFGIEIKCDRKLVQEVKLNASYICYLPKTNDIDELEVKLKYNFSVKGLLLEAITHPSAQESGVDYCYQRLEFLGDCVLDLLITQYLYVTHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGVLLEQITEYVRFNLECQGKESEFLQHSTCKVPKVLGDIMESIAGAIFIDTDFNVDLLWNIVEPLLSPMITPENLALPPYRELVELCSHLGYFINSKYSSKGEEVIVEMSVQLRDELLVAQGHDSNKKSAKAKAAARILADLKKRGLSIKQCFSMSKQLDIISSDLQFNLTSLESPLDYNDVNDNPSIKGFSSQKEAVVVTLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHVPDVTTITLQGERRTDKKSAQDSASLIMLHKLQGLKVCICKT* >Brasy3G179300.4.p pacid=40044271 transcript=Brasy3G179300.4 locus=Brasy3G179300 ID=Brasy3G179300.4.v1.1 annot-version=v1.1 MVAVMLAREHVRRVRAREAPRRTVVLLAPTVHLVHQQFEVISEYTDLDAKECYGASGVGGWSAEHWKEEVGSKEIAVMTPQILLDALRHAFVTMSVVSLLIFDECHRACGNHPYTQIMKEFYLGSQWRPAVFGMTASPVATKGTSTIQDCEAHIAQLELALDSKVYIIKDRSELESFSPPATIVNKYYDAYLVDFEDLKSKLQILFEEFDALLVSLQESSPNKFEDTNNILETSRKSLCRYHGKILYGLNYLGPIITAEVVKTYSESIKALGDSEDSFSKAGFNVHVSYFKEALDLIQEVLPQGYDELMKSESGSAELSKRGCISSKVDTLINIFKSFGSSNEVMCLIFVERIMTAKAVERFMRGIVNFSRFSISYLTGGSTSKDALSPAVQKFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVSSYVQSRGRARKSSSNYVLMIERGNMEQQEQIFRIIQTEYYIKHFALYRRANVSSYDLPMQDKHTYHVDSTGATITAECCVNLIRKYCEKLPKDRYYMPKPSYEMAVEDGSYQCTLILPPNAAFQRIVGPSCSTGNLAKQLVSLEVCKKLHQLGELDDHLVPLTEGPMDIDNSTTNAKCISGPGTTKRKELHGTTSVLALTGTWVHESENVSLNAYRFDFRCDQEGENYAGFVLLMESALDDDVACSKMDLFLIPNKMVYTTITPCGKIQLNKKQLREGKLFQEFFFNGIFGRLFHGSRTSGVQREFIFRKGYEIQWSSESMYLLLPLCYSSHIQHDLSINWEAIGSCTDALEQLRNMYTEDGNLHANFVQCRSIKGEDMIHMANKSLRVSSIKDSVVLSVHTGRIYSVLDLIIDTTAEDSFDEMYNGKASTFASFVDYYHEKYGIIIRHPKQPLLLLKQSHNAHNLLFSKLKYIDGPTGNPLLMEKEQIHARVPPELLIHIDVTIEILKSFYLLPSVMHRLQSLMLASQLRGDIGYIQHIPSCLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHKHGVWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIESSFVPTNGRYIRDDPSFVVGKPCDKGHRWMCSKTISDCVEALVGAYYVGGGIIAALWVMRWFGIEIKCDRKLVQEVKLNASYICYLPKTNDIDELEVKLKYNFSVKGLLLEAITHPSAQESGVDYCYQRLEFLGDCVLDLLITQYLYVTHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGVLLEQITEYVRFNLECQGKESEFLQHSTCKVPKVLGDIMESIAGAIFIDTDFNVDLLWNIVEPLLSPMITPENLALPPYRELVELCSHLGYFINSKYSSKGEEVIVEMSVQLRDELLVAQGHDSNKKSAKAKAAARILADLKKRGLSIKQCFSMSKQLDIISSDLQFNLTSLESPLDYNDVNDNPSIKGFSSQKEAVVTLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHVPDVTTITLQGERRTDKKSAQDSASLIMLHKLQGLKVCICKT* >Brasy3G179300.2.p pacid=40044272 transcript=Brasy3G179300.2 locus=Brasy3G179300 ID=Brasy3G179300.2.v1.1 annot-version=v1.1 MVAVMLAREHVRRVRAREAPRRTVVLLAPTVHLVHQQFEVISEYTDLDAKECYGASGVGGWSAEHWKEEVGSKEIAVMTPQILLDALRHAFVTMSVVSLLIFDECHRACGNHPYTQIMKEFYLGSQWRPAVFGMTASPVATKGTSTIQDCEAHIAQLELALDSKVYIIKDRSELESFSPPATIVNKYYDAYLVDFEDLKSKLQILFEEFDALLVSLQESSPNKFEDTNNILETSRKSLCRYHGKILYGLNYLGPIITAEVVKTYSESIKALGDSEDSFSKAGFNVHVSYFKEALDLIQEVLPQGYDELMKSESGSAELSKRGCISSKVDTLINIFKSFGSSNEVMCLIFVERIMTAKAVERFMRGIVNFSRFSISYLTGGSTSKDALSPAVQKFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVSSYVQSRGRARKSSSNYVLMIERGNMEQQEQIFRIIQTEYYIKHFALYRRANVSSYDLPMQDKHTYHVDSTGATITAECCVNLIRKYCEKLPKDRYYMPKPSYEMAVEDGSYQCTLILPPNAAFQRIVGPSCSTGNLAKQLVSLEVCKKLHQLGELDDHLVPLTEGPMDIDNSTTNAKCISGPGTTKRKELHGTTSVLALTGTWVHESENVSLNAYRFDFRCDQEGENYAGFVLLMESALDDDVACSKMDLFLIPNKMVYTTITPCGKIQLNKKQLREGKLFQEFFFNGIFGRLFHGSRTSGVQREFIFRKGYEIQWSSESMYLLLPLCYSSHIQHDLSINWEAIGSCTDALEQLRNMYTEDGNLHANFVQCRSIKGEDMIHMANKSLRVSSIKDSVVLSVHTGRIYSVLDLIIDTTAEDSFDEMYNGKASTFASFVDYYHEKYGIIIRHPKQPLLLLKQSHNAHNLLFSKLKYIDGPTGNPLLMEKEQIHARVPPELLIHIDVTIEILKSFYLLPSVMHRLQSLMLASQLRGDIGYIQHIPSCLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHKHGVWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIESSFVPTNGRYIRDDPSFVVGKPCDKGHRWMCSKTISDCVEALVGAYYVGGGIIAALWVMRWFGIEIKCDRKLVQEVKLNASYICYLPKTNDIDELEVKLKYNFSVKGLLLEAITHPSAQESGVDYCYQRLEFLGDCVLDLLITQYLYVTHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGVLLEQITEYVRFNLECQGKESEFLQHSTCKVPKVLGDIMESIAGAIFIDTDFNVDLLWNIVEPLLSPMITPENLALPPYRELVELCSHLGYFINSKYSSKGEEVIVEMSVQLRDELLVAQGHDSNKKSAKAKAAARILADLKKRGLSIKQCFSMSKQLDIISSDLQFNLTSLESPLDYNDVNDNPSIKGFSSQKEAVVVTLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHVPDVTTITLQGERRTDKKSAQDSASLIMLHKLQGLKL* >Brasy3G179300.3.p pacid=40044273 transcript=Brasy3G179300.3 locus=Brasy3G179300 ID=Brasy3G179300.3.v1.1 annot-version=v1.1 MVAVMLAREHVRRVRAREAPRRTVVLLAPTVHLVHQQFEVISEYTDLDAKECYGASGVGGWSAEHWKEEVGSKEIAVMTPQILLDALRHAFVTMSVVSLLIFDECHRACGNHPYTQIMKEFYLGSQWRPAVFGMTASPVATKGTSTIQDCEAHIAQLELALDSKVYIIKDRSELESFSPPATIVNKYYDAYLVDFEDLKSKLQILFEEFDALLVSLQESSPNKFEDTNNILETSRKSLCRYHGKILYGLNYLGPIITAEVVKTYSESIKALGDSEDSFSKAGFNVHVSYFKEALDLIQEVLPQGYDELMKSESGSAELSKRGCISSKVDTLINIFKSFGSSNEVMCLIFVERIMTAKAVERFMRGIVNFSRFSISYLTGGSTSKDALSPAVQKFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVSSYVQSRGRARKSSSNYVLMIERGNMEQQEQIFRIIQTEYYIKHFALYRRANVSSYDLPMQDKHTYHVDSTGATITAECCVNLIRKYCEKLPKDRYYMPKPSYEMAVEDGSYQCTLILPPNAAFQRIVGPSCSTGNLAKQLVSLEVCKKLHQLGELDDHLVPLTEGPMDIDNSTTNAKCISGPGTTKRKELHGTTSVLALTGTWVHESENVSLNAYRFDFRCDQEGENYAGFVLLMESALDDDVACSKMDLFLIPNKMVYTTITPCGKIQLNKKQLREGKLFQEFFFNGIFGRLFHGSRTSGVQREFIFRKGYEIQWSSESMYLLLPLCYSSHIQHDLSINWEAIGSCTDALEQLRNMYTEDGNLHANFVQCRSIKGEDMIHMANKSLRVSSIKDSVVLSVHTGRIYSVLDLIIDTTAEDSFDEMYNGKASTFASFVDYYHEKYGIIIRHPKQPLLLLKQSHNAHNLLFSKLKYIDGPTGNPLLMEKEQIHARVPPELLIHIDVTIEILKSFYLLPSVMHRLQSLMLASQLRGDIGYIQHIPSCLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSKAVCNATLHKHGVWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIESSFVPTNGRYIRDDPSFVVGKPCDKGHRWMCSKTISDCVEALVGAYYVGGGIIAALWVMRWFGIEIKCDRKLVQEVKLNASYICYLPKTNDIDELEVKLKYNFSVKGLLLEAITHPSAQESGVDYCYQRLEFLGDCVLDLLITQYLYVTHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGVLLEQITEYVRFNLECQGKESEFLQHSTCKVPKVLGDIMESIAGAIFIDTDFNVDLLWNIVEPLLSPMITPENLALPPYRELVELCSHLGYFINSKYSSKGEEVIVEMSVQLRDELLVAQGHDSNKKSAKAKAAARILADLKKRGLSIKQCFSMSKQLDIISSDLQFNLTSLESPLDYNDVNDNPSIKGFSSQKEAVVTLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHVPDVTTITLQGERRTDKKSAQDSASLIMLHKLQGLKL* >Brasy3G339900.1.p pacid=40044274 transcript=Brasy3G339900.1 locus=Brasy3G339900 ID=Brasy3G339900.1.v1.1 annot-version=v1.1 MAKLRSLVPCCMCPPRTASPATADAMDVVKVKVTDRYVEIKNGIFGLTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDVVWNPPGQRTGIFDVIKGTEFRIIYHDDNQAEVSFTRSWDPSPEGKAVPLIIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMAMADNRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPELKGEVDDKYQYSCEDQYNNVHGWISSDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFTNGEYWKKVHGPVFLYLNSSWDGSDPSLLWEDAKVQTVIEKESWPYSFALSEDFQKTEQRGCVSGRLLVRDRYIDAEDLYATAAYVGLALPGEAGSWQRECKGYQFWCRADVDGSFYIRNIVTGNYNLYAWVPGFIGDYKFSATLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSAAEFYVPDPNPNYVNRLFINHPDRFRQYGLWERYAELYPDHDLVYTIGESDYRTDWFYAQVTRRTDENTYQPTTWQIRFNLDTIPPNSTYKFRVALASSALAELQVRFNDQDRGAPHFSSGLIGRDNTIARHGIRGLYWLFTVDVSGAWLVQGMNTVYLKQPRSQSPFQGLMYDYLRLEGPCGC* >Brasy3G157800.1.p pacid=40044275 transcript=Brasy3G157800.1 locus=Brasy3G157800 ID=Brasy3G157800.1.v1.1 annot-version=v1.1 MSTSSSSIVVGTVSACHLLKIDAYTRSRDLLPCGTCATSRDFPVGNYTAHVRYYPNGTGYPNGSGKFNSSNCTSLVLSFDLGDLTTVHEKVQVAISLLDQAGKVVPGGTYTDSKSVNGHPMFTANFSKGANWDDWVLRNGDCFTVRCDVTFIEAPSATAPLVAIDPNVLVPPSDLHRHLGGLLLSEEGADVTFQVGADSFAAHRCVLAARSSVFKAELFGSMKESATAEGGGVVRVVGVEAQAFKAFLHFVYTDELPGISEEEEETWMAQHLLVLADRYDMERLKLICDGRLRKGLDVDSAATTLALAQQHHCPALKEACLDFLHLPGNLKAVEATDGFEHLATSCPGVLREIIAKLAAL* >Brasy3G192900.1.p pacid=40044276 transcript=Brasy3G192900.1 locus=Brasy3G192900 ID=Brasy3G192900.1.v1.1 annot-version=v1.1 MTLLKLVAVLVILAATSVSDLPIGVQGRSPGIAPPTPKPAPRRSRAKPIYPSPPPPPPRPPPPAGKGHTLRSPPPPPPAPPYQQRGQQPLLQSPPPPLPCN* >Brasy3G151500.1.p pacid=40044277 transcript=Brasy3G151500.1 locus=Brasy3G151500 ID=Brasy3G151500.1.v1.1 annot-version=v1.1 MAPASPSWVILGKLPRVAAADLQSGADLSLALTATRRVRSSPSHCACLAWAGPRPPWTEKGEQDTRGKSSPAAAQGFLDTDPRGEERGLTTSRMEGLIWPEDGRSGLPTAGLRLPGERTTRGGSGRRSSRGRAALDSGRWREAPGGVREAEELRSELTGAAAAQRFPLELKKKPE* >Brasy3G047900.1.p pacid=40044278 transcript=Brasy3G047900.1 locus=Brasy3G047900 ID=Brasy3G047900.1.v1.1 annot-version=v1.1 MAMVASGSAMAAFRELEKEEPCEVVRLGNAVGELEGEIARIKLLLGAARTSKVSNEQLAPCLRELKQLQLDGEDVLDELRYYRLKHQIERGDSSALFCTLSSDLQRSDELIHQHIADALCVPREEMQGIAYTVEGIVTQARDITVPVYQALKLDKLESIVMFNQGLNAIASSRLTGSYLPERKLHGRDTETDHIIELMTNEMFDGLKVLSIVGNGGLGKTSLAQAVFKDSSIRSHFELQMWICVSDNFDPVRIIHEMLDYFSEDRHKGITNFNKLQEMLEENLESKRFLLVLDDVWDIADKWHKLLAPLDCNQAAGSFILVTTRNLSVAQAIDSVDLIRLGALRESDFWLLFKSYACGDEKYHMHRRLEAVGREVAKKLKGYPLAAKTVGALLRKNLTAQHWNRVLRDEEWKSLQNSNGIMPALKLSYDRLPCHLQECFFYCSLFPKGYKFDEAELVQMWISQGFIVCSRKPSKRMEETGSGYLADLVNYGFFQYERNVMHYSDTTNGYDGYYVMHDLMHDLACLVSANECATLDVSEPKEILPGTRHLSIICYSYSCDNPLLVEKIEKILYKVRSVRKLRTLILIGICKGCYLRFFQNIFGEAQRLRLVLLKYVNHCHDGTCADLNASVCNFLNPHHLRYLNLGVPNIGAKPQDMSKYYNLEVLGIGDMVDSSKLSNLVNLRHLIADGKVHSAIAGVGKMTSLQELQNFKVQKTAGFDIAQIQFMNELALLRISQLENIESGMEARQAMLINKTHLNTLSLSWGDSCILNGLSAQAADVLEALQPHQNLKHLQIIGYMGLTSPSWLARNPTVDSLQTLHLQNCREWTLLPSMDMLSSLKKLKLIKMLNATEVCIPSLEVLVLNQMPKLEICTSFCTTELASSLRLLVIKSCHSLKDLTLFRDYHNLEVERSIRFPSLSELTVMDCPRLVWSFPPNRGYPNEVKEMGSFPSLFKLTIYDCPNVTVACPIVNIPYVSIKGSSQALEIYKSDDELELSSAELQMLDDKILAFCNRKHRTIRIRDCPRLISVSFEAFSQLTSLSEMIIEDCPNFLQDHVMSDADDECDAATKRFVLPCLDCLDVRRCGISGKWISQMLSHAHSMFGLHLAHCPNVKLLLISCPLEEEESWSLASSSGLLDAAAITPEECVFKVPTGVCSSLRRLHISNCPDLLLGQRQGGFAAFKSLQVLEIRRCPRLVSSIFQEQNSHRRLPLSLEELDIDHLPAQVFLGDGDMSSLRTLAIWDSPQLKSLQLHSSRAVSEVPTNRETKWKSSLGSNHVSVGRHLERVKREEEAGLQSLQALTFGNCPNLLHVPVDLHSLPCLEDLTVIDCPAISRLPEKGLPASLQLLWIYKCSEELNEQCRMAATEKLEVDIDGNYVNRLQT* >Brasy3G070400.1.p pacid=40044279 transcript=Brasy3G070400.1 locus=Brasy3G070400 ID=Brasy3G070400.1.v1.1 annot-version=v1.1 MSLGEATVNKSLFCFPVDSGATSSGLFAGDDPLKFYFPLLLYHICIVFILSHSIHSFLLRRAVPLVISQIIAGALLGPSVLGHFAPSVGKLFASPEGWVQINTVGGYAFTLHIFTVGVKTDLGMIVKSGKKAVAIAFLGTAAPHLAMYLAGAALSSRIPKQWSDTFLMTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFSIAGVTSYLLASSPEEKLQRIGFASSLSFSVFIALMALVARPAILRLIQDVPDGALLSEGRLVAVLLISLTCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTLEERLDRLIVGILSPLLFAQGGMRMNVHALADSSVCGLLEIFLVVGVAAKFVACIIPCLYCGIPPREAAIVGLMMNFKGITEVVYASAFMDAKILDDQVYVAFMINVLVIGASTAAAVKFMYHPEEKYVAYRRRTVQHKKLGEELRVLACVHSQADVEPMLGLLDASSPTPLCPLSVYLLHLVPLAGLTSSVLRPFKHGHGHGGDGEDGEGRTIGVIPSASERIVNAFRFFAQNRPMGSSSLLPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTAPGSSGAIQAANANILGYSPCSVAILFDRGSLSGGAAAAAGGEEEFQRFPYRVALYFLGGPDDREALAFAAHMAEDAPIGVTVFRFLLPVEWQRRLDGAEEDRLDEEATREFVGQWVDDHRVVYSEHTVGTSDEMVAVIRQTSPGFNLLLVGRRAESRESPLTAGISDWSEHKELGVLGDLLTSADFGCRVSTLVVQQQTRAAAGETSQTPPEDQPPLHPPDGPV* >Brasy3G070600.1.p pacid=40044280 transcript=Brasy3G070600.1 locus=Brasy3G070600 ID=Brasy3G070600.1.v1.1 annot-version=v1.1 MGGKELSEDQVSSMREAFSLFDTDGDGRIAPTELGVLMRSLGGNPTQAQLRDIASQEKLTAPFDFPRFLELMRAHLRPEPFDRPLRDAFRVLDKDATGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVASDGTIRYDDFIRRIVAK* >Brasy3G003500.1.p pacid=40044281 transcript=Brasy3G003500.1 locus=Brasy3G003500 ID=Brasy3G003500.1.v1.1 annot-version=v1.1 MMTARGILLRSSSGAAAKAESLVKYAASTGPILHGLHSFSEAPARYFSSEPSLQPDPTKEIGFKGHSMLAPFTAGWQSTDVHPLVIDRTEGAYAYDINGKKYIDALAGLWCTALGGNEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLANDILNMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPKKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHHWRFHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNITEHVNKIAPRFQEGIKAFSGSPIIGETRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPGEVEEIVSKYGDALKATEERIAELKSNKS* >Brasy3G003500.2.p pacid=40044282 transcript=Brasy3G003500.2 locus=Brasy3G003500 ID=Brasy3G003500.2.v1.1 annot-version=v1.1 MLAPFTAGWQSTDVHPLVIDRTEGAYAYDINGKKYIDALAGLWCTALGGNEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLANDILNMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPKKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHHWRFHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYMPIGAILVSPEITDVIHSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNITEHVNKIAPRFQEGIKAFSGSPIIGETRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPGEVEEIVSKYGDALKATEERIAELKSNKS* >Brasy3G267300.1.p pacid=40044283 transcript=Brasy3G267300.1 locus=Brasy3G267300 ID=Brasy3G267300.1.v1.1 annot-version=v1.1 MEASLVGRLEAAVSRLEALGAGAHPSAVPRGLADHEAAQDPAILAFDDLVADAVGRVSAAAGKIGAEVAEVTNVLEKAFSVGKDLLVRTKQTQKPSPESMAVFMGPLNEIVMEANALAEGTRSNHANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWAKALKELYVPNLRDYVKRFYPLGPVWQPPGSGTTIKAPSAPSPPSASLFSSSATSSQPKPGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDRTGVVATEGKQSRNVSAPTSTKGPAPAKFELQMGRKWVVEHHIGNKGLTIEDCDTKQSVYVFGCKDSVLQVKGKVNNITMDKCTKFGVLFKGVVAACEVVNCNSVEVQCEGSVPTISIDNTSGCQLYLSNESLEASITTAKSSEVNALVPDVNSEGDWTEHPLPQQYIHAFKDGQFTTSPVSHSGA* >Brasy3G267300.2.p pacid=40044284 transcript=Brasy3G267300.2 locus=Brasy3G267300 ID=Brasy3G267300.2.v1.1 annot-version=v1.1 MEASLVGRLEAAVSRLEALGAGAHPSAVPRGLADHEAAQDPAILAFDDLVADAVGRVSAAAGKIGAEVAEVTNVLEKAFSVGKDLLVRTKQTQKPSPESMAVFMGPLNEIVMEANALAEGTRSNHANHLKAAAGSLAALAWIGYTGKGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWAKALKELYVPNLRDYVKRFYPLGPVWQPPGSGTTIKAPSAPSPPSASLFSSSATSSQPKPGMSAVFAEISSGKPVTQGLRKVTDDMKSKNRTDRTGVVATEGKQSRNVSAPTSTKGPAPAKFELQMGRKWVVEHHIGNKGLTIEDCDTKQSVYVFGCKDSVLQVKGKVNNITMDKCTKFGVLFKGVVAACEVVNCNSVEVQCEGSVPTISIDNTSGCQLYLSNESLEASITTAKSSEVNALVPDVNSEGDWTEHPLPQQYIHAFKDGQFTTSPVSHSGA* >Brasy3G126200.1.p pacid=40044285 transcript=Brasy3G126200.1 locus=Brasy3G126200 ID=Brasy3G126200.1.v1.1 annot-version=v1.1 MRLLAAAANPFRHFFFLPYHLSTVTFTSSHRCSSYDPSAQFLTFDSQHHRLLALPASLRRDTVLALARLLKSSLQCHLDLDTLTSQSRSLLPDRFAAASRLASSSTALRPFASLLLAALLPGASTHLLAWCSSHGSSRSYTALRLALHAFLAAGMASEALVVLAHIRCGGNTPSLSAIAALLRLLFRAGEVRPAWKVFVEMTARGPRPSLAIFNAMILGFCHRGLVHIGLGLLGIMWRFHVIPDACSYNILIKGYSVFGQAGDAFQLLDEMHESGCQPTVVTYNILVNVLCHDGNMVDARRLFDEMVKVGIEANTITFNVLVAGYAKAGQMDEAYAACREMKAKGLVPDCCTFNILSAGAYKFGKAVQLAHGQQELHEMFGSRISADSVDMVVCRLCWDGRLDDAWQLVCSAIEQGVPVSVAGFNALVAAYSKEGFDEQALEGWLCV* >Brasy3G050000.1.p pacid=40044286 transcript=Brasy3G050000.1 locus=Brasy3G050000 ID=Brasy3G050000.1.v1.1 annot-version=v1.1 MTTNAIGTGAQGNKPSLLSQLPKDWPLDFCKKITDDFSDKRKLYQGAFGTVYQGITEDGEEIAVKKLGENSPVARDKAFNNEVLNIMALKHENVVKLVGYCHEAQRKVVQNNGRYVVADIVESLLCYEHLPMGSLQKNLFEVPDKMHWDTRFNIIKGICQGLLFLHSIKIVHMDLKPENILLDDKMVPKIADFGLSRLFGQEKTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLILETTTEEKNCPGKEPSAEQFIKKVRKNWTDEHIVRQYSAYDKECLQQVKKCIEIGLECVEIDREKRPSIEKIVDKLNGRCSTAS* >Brasy3G050000.2.p pacid=40044287 transcript=Brasy3G050000.2 locus=Brasy3G050000 ID=Brasy3G050000.2.v1.1 annot-version=v1.1 MTTNAIGTGAQGNKPSLLSQLPKDWPLDFCKKITDDFSDKRKLYQGAFGTVYQGITEDGEEIAVKKLGENSPVARDKAFNNEVLNIMALKHENVVKLVGYCHEAQRKVVQNNGRYVVADIVESLLCYEHLPMGSLQKNLFEVPDKMHWDTRFNIIKGICQGLLFLHSIKIVHMDLKPENILLDDKMVPKIADFGLSRLFGQEKTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLILETTTEEKNCPGKEPSAEQFIKKVRKNWTDEHIVRQYSAYDKECLQQVKKCIEIGLECVEIDREKRPSIEKIVDKLNGRCSTAS* >Brasy3G050000.3.p pacid=40044288 transcript=Brasy3G050000.3 locus=Brasy3G050000 ID=Brasy3G050000.3.v1.1 annot-version=v1.1 MTTNAIGTGAQGNKPSLLSQLPKDWPLDFCKKITDDFSDKRKLYQGAFGTVYQGITEDGEEIAVKKLGENSPVARDKAFNNEVLNIMALKHENVVKLVGYCHEAQRKVVQNNGRYVVADIVESLLCYEHLPMGSLQKNLFEVPDKMHWDTRFNIIKGICQGLLFLHSIKIVHMDLKPENILLDDKMVPKIADFGLSRLFGQEKTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLILETTTEEKNCPGKEPSAEQFIKKYSVCRYVKIGRMSI* >Brasy3G168100.1.p pacid=40044289 transcript=Brasy3G168100.1 locus=Brasy3G168100 ID=Brasy3G168100.1.v1.1 annot-version=v1.1 MHCVSSPEMRMQRRSTALFALVFTLLLSTSLAGRQRSLLTDQESLGQQAEGTEAGQDEAVHARMLKAVATSDYGSYDPSPSMEKPHFKLIPN* >Brasy3G312400.1.p pacid=40044290 transcript=Brasy3G312400.1 locus=Brasy3G312400 ID=Brasy3G312400.1.v1.1 annot-version=v1.1 MWGLLALYFSRVPTSYSVRRGIRIPCRPAPSASSSSDASSPPPSRGAASAAAPCRIWSPSASSSPDLTASGPVGLQLHPRLRVAEAATWISASVGPDLGVDYVPSATSSSATSTCSAKDLILVNKPIDYQRLPDVPAYILLRASLWRLSSDSEGSMS* >Brasy3G301700.1.p pacid=40044291 transcript=Brasy3G301700.1 locus=Brasy3G301700 ID=Brasy3G301700.1.v1.1 annot-version=v1.1 MCLKYCCSCHLKLILGRMMPSCLHKKELRICIPVGAEVRHPTLEEFLYPQASWEMEAIESTPSRRYSPKVCPLNLDFSSESISPVINESISISRAPLRSHDSTSRRVSFRSPHEYDVFIIPASRDSDDDSSDDEPGRT* >Brasy3G071700.1.p pacid=40044292 transcript=Brasy3G071700.1 locus=Brasy3G071700 ID=Brasy3G071700.1.v1.1 annot-version=v1.1 MAVVETSAAAAAVAAAAPAAGSDGHGGAHGAAGSEAGGGGDAGEREMRDLEELLSKLNPMAEEFVPPSLATAPHPAGYAAAAGYYPNPNAGGGRGGGFVPSPAGHRGVVGFPAAPADGRGRKKFGGYGGAGAGPGGYPQGGKRRVNSRTSQAQRDEVIRRTVYVSDIDHQVTEEQLAALFINVGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDRKVSQADVKLFFESICGEVYRLRLLGDYQHNTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRPLMH* >Brasy3G244300.1.p pacid=40044293 transcript=Brasy3G244300.1 locus=Brasy3G244300 ID=Brasy3G244300.1.v1.1 annot-version=v1.1 MALGGRAAVLVLQLAVALLSVLPRHVPADLAADSAALLALRAAVGPHLPWDGTTSPCSGRWLGVGCSGTAPLERVVELRLVGKSLSGQIPAGTVGNLTALQTLSLRFNVISGAIPTDIGGCAALRWMYLAGNRFVGDVPESFFSLALLKKADLSGNRLAGGVSPQFNALRNLATLNLEGNDFAGGLPSGLALPKLTQFNVSGNAKLDGPVPASLAGMPASAFAGTALCGAPLPTCATPVAPPPPSPPTPSGDGGGDKNELSSGAIAGIIVAAVVLLVLVLAAWFLICFRRRRRAANAGTTTTTETAAADVHEGTGPITVTVAMTDRGALKRSHTVSPPSPSATAMALTGDGRKLVFLGGAPEKPYDLETMLRASAEVLGKGVHGTTYRATLDGGDPVLAIKRLRDVRLPEREFRDKVVALGALRHENLPPLRAYFYSKEEKLLVFDFVGAGSLCSLLHGNGAEGRARLVFTARARIALAAARGVAYIHGGGGASRLAHGGIKSSNVVVNAARDGAYVADYGLAQLAGTTSLPKRGTGYRAPEVTDAAKGASSQSADVYSFGVVVLELLTGRAPTHALADDGAPGGGGVDLARWVRSVVREEWTSEVFDSVIGNEPRVEEEMMRLLQLGMDCTERSPERRPDMAEVEARIERIVEDACRRADFSSTDGSRSVSA* >Brasy3G290300.1.p pacid=40044294 transcript=Brasy3G290300.1 locus=Brasy3G290300 ID=Brasy3G290300.1.v1.1 annot-version=v1.1 MAQDFLGSNNLNLLDPCGYVGAQDFLGSNNPCDQPNTPEDPLEGGLLVLWTRLLPVTRLVFPEEDDILLNYLEFSGQSIEPIWYIPIIPMVLVNGSRGFNSNVPSYNPRDIIANLRRLLNYERVEPMDPWYRGFKGRIEKISTTVEGSTYSTTGVIEVVDETKLLITELPIHCWAKDYRYFLKSLSKNRKNKTNEFHIKLIKDICCDDMNLQSEFILEVKNMENVTQESLEKKLNLITTVGTTNMHLFDRNGINIRKYKTPEEILEEFFGLRLEYYEKNPRSHPSSGGAPPRSSSSDGVKESTGEDSVAAALTSATGRCAVTPRPATTMMSAPMVSLSL* >Brasy3G308400.1.p pacid=40044295 transcript=Brasy3G308400.1 locus=Brasy3G308400 ID=Brasy3G308400.1.v1.1 annot-version=v1.1 MNKSRIARLTEDIALVINSYEFIEELELSRVKFIEGINHFDNMVNLAIPLSIIKRDSYESNEESKKTVI* >Brasy3G244500.1.p pacid=40044296 transcript=Brasy3G244500.1 locus=Brasy3G244500 ID=Brasy3G244500.1.v1.1 annot-version=v1.1 MSFYARRDGDRGGNRFHGGGGRGGHVMRGRSGLPPRGPLGINARPSARIIAKSFGRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHFDGYGHQNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRATRTVVMTPEMGRRGGGASNRPLSNPANRWNRGAFQAGRGRGRGPAPFQAQFQGRGRGRGQFRGRGRGRKQPEKTADDLDKDLDSYHAEAMKTD* >Brasy3G089000.1.p pacid=40044297 transcript=Brasy3G089000.1 locus=Brasy3G089000 ID=Brasy3G089000.1.v1.1 annot-version=v1.1 MHRKRNILLLFTILCITHFLAGGAVQLQHHHGGRCIPAERDALLSFKNSITVDSANLLNSWHVSQDCCRWRGVCCSNRTGRVIKLQLRNPNPAVVISPKFGSTADGCHAGNALFGEISPSLLSLKHLEHMDLSMNCLPGPNGSFPEFLGSMENLRYLNLSGIPFMGRVPPQLGNLSKLQYLDLDQNYHWMHSTDITWLTNLPLLRYLIMSRVNLSQIADWSYKLNMIPSLRVLRLSLCSLHSANQSLPYFNLTKLEKLDLSFNYFGHSIESSWFWRATSLNLSCQMGPLIPVWLQWQEITELDISGNALKGELPDWFWSAFSHAKYLDISNNQISGSLPSHLDGMDLEELHLRSNRLTGPIPTLPTNITVLDISNNTFSGTLPSNFEASRLQRLLIYSNRIGGYIPESICKLQELLYLDFSNNILEDEIPECFDIHKIQFFLVSNNSLSGKFPGFLQNNKGLKFLDLAWNKFSGRLPRCLGELGHLNFRLLSHNTFSGNIPVDITNLVHLQYLDLSSNNFSGALPWHFSNLTSMINLQQNFMPIFDIIYIDTTPEIIIGAGQLGEIFSVITKGQQLIYGRTLTYFVSIDLSDNSLTGEIPTDITSLVALLNLNLSSNQLRGQIPTMIGAMESLVSLDLSKNNLSGEIPSSMSSLTSLSYLNLSCNNLSGRIPSGRQLDTLSEKNQSLMYIGNSGLCGPPLQKNCSGNDSQVESRKQEFEPMTFLFWSLFCALLFKKTWRIAYFRLFDKAYDRIYVVVKWASFTRNTTAE* >Brasy3G123300.1.p pacid=40044298 transcript=Brasy3G123300.1 locus=Brasy3G123300 ID=Brasy3G123300.1.v1.1 annot-version=v1.1 MPRELPSAAISSRTSPAPLVPSPAPPLPSPSRALPLLPPSQAQRHPSPVPSLPAPAGRYLSVSLSLDKGGAPPAPRSARPRARDPGGSILPCAAAGGEGKEQEEDGEDRRRRRTKRREGDFRVGGCSMGDPERDAGVRGSRA* >Brasy3G079000.1.p pacid=40044299 transcript=Brasy3G079000.1 locus=Brasy3G079000 ID=Brasy3G079000.1.v1.1 annot-version=v1.1 MSASQDGASRPPVPPKRRATETPGGGSGGVLTYTRKRRRAASRADGSASSDPGQCRHDAAMMLCGGNSRQDGHPMLARHWITWKNTLEGYLQAPGINKGGGIHSCISDALRYNRCQSEQQGDLGKAQGSSDEHPTGLADAKEIGSFVAIKDATAASLDANTAMCNNALLDILVSEKFALLCDLLVETFHVNKVHEVIDLGKIDANMRNGSYAQNPALFNKDIQQTWEKFERVGREMTSLASNLPIISRASYQKQTSGVSEAEDAAERRIEETSLVCVVHKIPKGSTTTVQFSPCDSGHSTIPKRTETGGLRRICTCKQCGDSAEEEKRLICDGCDSTYHFDCVKRLHPAMKQIPATWHCPACSNKGKGSASDTMKNVHKDSLHVGCPLCARLEVLEKIELPEVGSGMELADEREGSSVPCVVEDNEPDLCTTALAKLCKHCGTCEDDDRRFLVCGHPYCSYKFYHIRCLRESQIASEKQKNLGCWYCPSCLCRCCFKNKDDKDIALCDGCDEAYHIYCMTPKRTCIPKGQWYCPSCSLRRAREGMQKYELSVLKRHQNMKHASQSDS* >Brasy3G176800.1.p pacid=40044300 transcript=Brasy3G176800.1 locus=Brasy3G176800 ID=Brasy3G176800.1.v1.1 annot-version=v1.1 MHQAVKPSPAHHSHAVAVAPQALHSLKTSARSTGDAIVKARGNRTKERGNYSQNLGVSPAAATAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLAEADTAAGAPFAYQTNEITSRRGSADLAHVWNKAVDETLGRLVVYLSSRGW* >Brasy3G033200.1.p pacid=40044301 transcript=Brasy3G033200.1 locus=Brasy3G033200 ID=Brasy3G033200.1.v1.1 annot-version=v1.1 MAAAAAAHHFPIAPHRPTPRRLSSTSASSSSSPHPAAFRCAHPKTPGTPEGSSGFTLRVAFNPSGNFDLSLSDQDGELFCQQFDPTKITTPKIRSDLQQVDVPQVEPPPPPTEGRIEIVINKDIIQTLDLSPVQEALGDLNSLTTAQSKNLLDRMVGFTINYDREDPYDTRELSEFPDIRLWFVRLDASYPWFPVVLDWRAGELARYAAMLAPHQMSMRHGVVFNPEALELFGMKKVFIVYSWLKQQNHAKPRLKTGDMAKMLGFGIGDELFDLIEKYPVDTP* >Brasy3G033200.3.p pacid=40044302 transcript=Brasy3G033200.3 locus=Brasy3G033200 ID=Brasy3G033200.3.v1.1 annot-version=v1.1 MAAAAAAHHFPIAPHRPTPRRLSSTSASSSSSPHPAAFRCAHPKTPGTPEGSSGFTLRVAFNPSGNFDLSLSDQDDVPQVEPPPPPTEGRIEIVINKDIIQTLDLSPVQEALGDLNSLTTAQSKNLLDRMVGFTINYDREDPYDTRELSEFPDIRLWFVRLDASYPWFPVVLDWRAGELARYAAMLAPHQMSMRHGVVFNPEALELFGMKKVFIVYSWLKQQNHAKPRLKTGDMAKMLGFGIGDELFDLIEKYPVDTP* >Brasy3G033200.2.p pacid=40044303 transcript=Brasy3G033200.2 locus=Brasy3G033200 ID=Brasy3G033200.2.v1.1 annot-version=v1.1 MAAAAAAHHFPIAPHRPTPRRLSSTSASSSSSPHPAAFRCAHPKTPGTPEGSSGFTLRVAFNPSGNFDLSLSDQDDVPQVEPPPPPTEGRIEIVINKDIIQTLDLSPVQEALGDLNSLTTAQSKNLLDRMVGFTINYDREDPYDTRELSEFPDIRLWFVRLDASYPWFPVVLDWRAGELARYAAMLAPHQMSMRHGVVFNPEALELFGMKKVFIVYSWLKQQNHAKPRLKTGDMAKMLGFGIGDELFDLIEKYPVDTP* >Brasy3G033200.4.p pacid=40044304 transcript=Brasy3G033200.4 locus=Brasy3G033200 ID=Brasy3G033200.4.v1.1 annot-version=v1.1 MAAAAAAHHFPIAPHRPTPRRLSSTSASSSSSPHPAAFRCAHPKTPGTPEGSSGFTLRVAFNPSGNFDLSLSDQDDVPQVEPPPPPTEGRIEIVINKDIIQTLDLSPVQEALGDLNSLTTAQSKNLLDRMVGFTINYDREDPYDTRELSEFPDIRLWFVRLDASYPWFPVVLDWRAGELARYAAMLAPHQMSMRHGVVFNPEALELFGMKKVFIVYSWLKQQNHAKPRLKTGDMAKMLGFGIGDELFDLIEKYPVDTP* >Brasy3G089900.1.p pacid=40044305 transcript=Brasy3G089900.1 locus=Brasy3G089900 ID=Brasy3G089900.1.v1.1 annot-version=v1.1 MPAPSSSKQRMRAAPPVATVLETTVTSTCTPETAQRRHVFDIRGYSQHKLLGPNAYISSGVFSVGGHDWAIRYYPLGNTKPKYVSVYLELMMGRPASACSRVRASCDLGLLSPRNGKPTLVSRTGPTLFSPDLCRFAPSTCKFKKRSQLEKGFVHGDRLQIECLVTVFMHPTVSMVATPPDHAPPSDLPRDLARLYESRAGADVRFVVRGHSGFVAHRTVLAMRSPVLMEELYGAAAGRTDQHYVAIDDMRPAVFEALLHYIYMDSLPAMNDVHVDLKRETLMDLILAADRALSKTLDAISVVAMLNLAEKHRCHRLREACLEFIASSVDQMK* >Brasy3G088900.1.p pacid=40044306 transcript=Brasy3G088900.1 locus=Brasy3G088900 ID=Brasy3G088900.1.v1.1 annot-version=v1.1 MRNQLIPPKPRYGLVPIGTGGAQAATATTRGGATARGDGRSSRGRRRVGGRLPEQQGAGAGAAGGGGASGGGGRSSRGRGPEQQGARAEQPRGATAGAAGGGGASGAGAAGGGVASAARGDGSGRALALASSSLQCRGQ* >Brasy3G064700.1.p pacid=40044307 transcript=Brasy3G064700.1 locus=Brasy3G064700 ID=Brasy3G064700.1.v1.1 annot-version=v1.1 MAAATATATAATAVAAPALVSPLSRRAFFPLPRRAGIPRPLRLLASADPRRRGFVVVKADAAAAAAGGAESGDEESSYEILGITPLDSFDNMKLAYKRKRKEAEEMGDEDFLAKLEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRPSRSTEKDMRINMAISAAIVVWIAIMGNADWKPLQFLCFGFFYRILQKLRATEPPITPIYNEYGEVEGRGVRMAKRVFRALGLIFGCVFVASLGYTTALNLVEFSWQYTPRAVYYYQELAVTAAASVLLCITASCYR* >Brasy3G218700.1.p pacid=40044308 transcript=Brasy3G218700.1 locus=Brasy3G218700 ID=Brasy3G218700.1.v1.1 annot-version=v1.1 MRWNLLNRRRQRDHRDYFRHGLNGRDHRDYFGHELNRRDHRDYFAHELNGYRYSNRYSSYHDNPLRFGNDYLDRSYGPYGYEDRRMYHHHTNQYNQMYSDDYKDPSCCSFIRPCEKDYPVGASLFNPERWICFLNCILQCVVHTVPLVSKLLNGRHQGPCPSGSDEFCCYCSLRFHAAEVMMHSGDVLYPRKFVKLLNLIFPDSVSGRHEDAHEFLRCLLDKLDGVSSIVKEIFGGQLKSQLYCPECNHCSDRLEPFLDLNLEVNQMESVIDALKSFTKIEVVENFICDGCKSRVNMEKHFKVEQAPEVLVIQLKRFQNLESCTSKIEDMVNYQLELDLKPFMSSSDDKPQNYDLYGVVEHLGTPSKGHYVCFIRSSQRDWFFFNDDKVIKWSEDGVLDNKAYLLFYVKQGSCPWFSDLLEGKDILLLDHLKEVEERLNKEIDSSDSDGSDSYEQDTTRHCFASPAEKNEAGPSSAGLTQQENVNGSILWNAPDQNEVSCSLGGSPSEETDNPHPPSRSRAINGYVGGLALLLDNKENVIPQGSHDIKELSRSIHGSSSQANDAGSQGGSPQEIESSCSLLQSSSHKQEYDCPPESSSFRNDEMSSCCDSPAGPLCACRRTGTSRFRTVGSNSDNITKDGGYASTARKRMKLRSAGVRKVKQKKTKDVGLRKLLLRKSATWASTGRSRRRRR* >Brasy3G204900.1.p pacid=40044309 transcript=Brasy3G204900.1 locus=Brasy3G204900 ID=Brasy3G204900.1.v1.1 annot-version=v1.1 MASPNRQNVIFIRTHPLNLPQIWGRFGVFGLHVRPSQAQRQRQILLFPITFSRSLLPYPRRQPAAPQTSLLDPAAARPAPLLFFSPLCRFLSPPRAAPPLVLGISSPKLYVLEDFYNLGGRRCFFACCRLRAPTSCPSAGSRVKAPAAATLQLLLAIFDLT* >Brasy3G281500.1.p pacid=40044310 transcript=Brasy3G281500.1 locus=Brasy3G281500 ID=Brasy3G281500.1.v1.1 annot-version=v1.1 MDPDTEVDFDFSPFLVRYKSGRVHRLMGTPRVNAGTDAATGVTCKDIVMDADARGIAARLYLPRDAIPGSSKKLPVLVYFHGGAFAVHSAFSAAPHHRFLNSLVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALAWTLTSGLQGQEPWLAEHGDAARLFVAGDSAGANIAHNVAMRAGGWNTTGGKLLPLPGGARIEGLVLLHPYFRGKDPLPSESTNNPGFLQRAERSWGFVCQWRYGIDHPFINPLAMPAEDWAALGCRRALVTAAGLDTMSDRARGYVETLRGSSAWGGEEAAFYETDGEGHVYFLENSGPGADKAQKELDAVVSFIKRR* >Brasy3G272300.1.p pacid=40044311 transcript=Brasy3G272300.1 locus=Brasy3G272300 ID=Brasy3G272300.1.v1.1 annot-version=v1.1 MADGGKKTAWTEEEDEALRRGCRDHGDGGAPRTWDAAAGRPFTAQEDAEIVRRQSEFGNRCATIALHLGGRSDNAVKNRWNSALRKQQLPPPPVVAMAGSADDGRRQGYDYDDDDSGEVDADDDDDVPVCLELFPLTGGGLKEEAAAAGEGDVVTDLTLGLPGRS* >Brasy3G147600.1.p pacid=40044312 transcript=Brasy3G147600.1 locus=Brasy3G147600 ID=Brasy3G147600.1.v1.1 annot-version=v1.1 MSSRLKEMLRVATARLGGEQSPRAGTSQAGRAEASRTARLGGGGASLRRQPQPQAPTVRTIYCNDREANAPVGYKGNSVSTTKYSVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWESTPWKRLQVGDIVRIKQDTYFPSDLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYVIPEKASEFKGEIQCEQPNNSLYTFTGNLIADKQTIPISPNQILLRGCSLRNTEYIVAAVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGRVEDQFNPKHRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCAKFINNDLNMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGREIYGTGITEIEKGGAERAGVKIDDDEGKRSATAVHEKGFNFDDARIMRGAWRNEPNPEACVQFCRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGKLVLYCKGADNVIFERLADGNHDIKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDAIRDAEDRGDPVEIARVIKDSVKQSLRSYLEEAHRSLRSTPGRKLAFIIDGRCLMYALDPALRVNLLGLSLICQSVVCCRVSPLQKAQVTSLVRKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPQLYQEGIRNTFFQWKVIAVWGFFAFYQSIVFYYFTAAASQHGHGSSGKILGQWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYFSVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLMLVPVIALFGDFLYLSLQRWLFPYDYQVIQEMHKDDPHEYSMIHLPERSHLSPEEARSYAISMLPRENSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQQRQQQHTGRS* >Brasy3G117300.1.p pacid=40044313 transcript=Brasy3G117300.1 locus=Brasy3G117300 ID=Brasy3G117300.1.v1.1 annot-version=v1.1 MRTAENEDIKLSDNFRVGVLNCLTAAVDQAENGFLCMVEEDMDDSLDLVSVGVVYIESILVLPKCFCTFFYAKKNQLLSYSCSITFPNSNVY* >Brasy3G265300.1.p pacid=40044314 transcript=Brasy3G265300.1 locus=Brasy3G265300 ID=Brasy3G265300.1.v1.1 annot-version=v1.1 MAGTGAAKAKEHPQDPAAASASTTPTGTAKDGSTTNNGSNSSNNLYDKTLSAASNLARLLPTGTTTAFQTLAPSFTNHGECYPVNRYFTWALILFLGVLCSFLSFTDSITDERGHTYYGVALPLRSRWAGFMPFNHDEPIDERERNKRAVRKRDLLHAVGFRLACITVSHGNGSFSRRLRFSPCISSD* >Brasy3G132400.1.p pacid=40044315 transcript=Brasy3G132400.1 locus=Brasy3G132400 ID=Brasy3G132400.1.v1.1 annot-version=v1.1 MEEVKGKGVRRSNCKAKSGGMMMQRKEIERERRQHMKGLCIKLASLIPKQHYSSADTMTQLSSLDEAASYIKKLKDRVDELRQKKNSAQAMASLREVGGASKMRDGTLLPELEVEEAGEALSASVPVVEVRHHDDSSMDVVLICNAKRPLKFHEVITVLEEEGAEVINANYSVGDHKIFYTIHSLAFSSRIGIEVSRVYERLRALVR* >Brasy3G187300.1.p pacid=40044316 transcript=Brasy3G187300.1 locus=Brasy3G187300 ID=Brasy3G187300.1.v1.1 annot-version=v1.1 MPHRARPMTGLLAFMCVNLLLLNTITPVYDFVCFHPYWDRRRERRQREREELQVKSSLGTAK* >Brasy3G337900.1.p pacid=40044317 transcript=Brasy3G337900.1 locus=Brasy3G337900 ID=Brasy3G337900.1.v1.1 annot-version=v1.1 MACHLRSISLPSRPHTKVEEELQSLEACISSPSMTIETISDGLRRLGDIYGTIEEIMCLPSNQVCSSQQRKMLDTEMGSSLELLDLCNAMHEDFTKLKAIIQDLQVATRKGDDAGVQVKIQSYTRLVKKAKKHFKKAEKRVISSKEDCRMVRLLSEAREITTSLLESTLNLLSKQIATPKRSLVSKAFQKKTSVVCKEEQLQVLECSVGDLEAGAGILFRRLVQSRVTLLNILSS* >Brasy3G073500.1.p pacid=40044318 transcript=Brasy3G073500.1 locus=Brasy3G073500 ID=Brasy3G073500.1.v1.1 annot-version=v1.1 MMINNCFERNSKGEAKPEASRRTPRFLRSKFALRVPALPPPPADQPTKPPFLSPRRERSGRMSPAAAEASSKRQAELLKQEGNGFFRRDRISAAIDAYTGAIALCQNVAVYWTNRALCYKKRNEWDRVEEDCRRAIQLDSHSVKAHYMLGLALLNKQELAEGIKELEKALELGRGAHPAGYMVEDIWQELSKAKYIEWESLSKERSSQLYRLKAACEEALRKYNSIDNPAADVCQEHLAELDEVFRKAAKTDTPTEVPDYLCCKITLDVFRDPVITPSGITYERSVLLDHLNKVGRFDPVTREPLEPHQLIPNLAMKEAVDVFLSEHGWAYRAR* >Brasy3G316200.1.p pacid=40044319 transcript=Brasy3G316200.1 locus=Brasy3G316200 ID=Brasy3G316200.1.v1.1 annot-version=v1.1 MSSNRSLLLCHRRRSTSAGTISPAPCLPHSTSLRRGHRRSYPQQRQRADQPAGAGARDLGGGRGLRREERGRCMRASGRRGTGREREDGGGGSGSGWAGGCGGGRRRAPQEWGAAALEAHLGGRWRLAAGRERGGRSRLSVVGLELGRNQIFRTGGKKGTRDELQSARSNQTSRVRAHRT* >Brasy3G349500.1.p pacid=40044320 transcript=Brasy3G349500.1 locus=Brasy3G349500 ID=Brasy3G349500.1.v1.1 annot-version=v1.1 MAAEQQQLEVLKALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCVSLVTKLLGRIYYAIDGSPAPGTLPPHVSSAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGITLTLMVLCSVGSGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILAGGLVSIAVSSSFRALFPAPPYEVNPAASTPPQADFVWRVIVMLGALPAALTYYWRARMPETARYTALVANDAKKAAADMSKVLRRQADDPVFSGAEEEDGVNDVNNNRAPPYGLFSGEFARRHGRHLLGTSATWFLLDVAFYSQNLFQKDIFSAVGWIPAAATMSALDELHRIARSQTLIALCGTVPGYWFTVALIDAVGRFWIQLGGFFFMAAFMLGLAVPYHHWTGQGHQAGFVVLYGLTFFFANFGPNSTTFVVPAEIFPARLRATCHGVSAAAGKLGAIVGSFGFLYLAQNQDAGKTDHGYNAGIGVRNSLFILAGCNLLGMGFTLLVPESKGKSLEEMSGENQPVAGSGGEATGYNNRTVPV* >Brasy3G043100.1.p pacid=40044321 transcript=Brasy3G043100.1 locus=Brasy3G043100 ID=Brasy3G043100.1.v1.1 annot-version=v1.1 MESATLGGRCSRPRHSQEVVVQPDTARDWSELPLDVLASVFAKLGAVDVLMGAGLVCHSWLEAAKVPNLWRYIDMEHHEVLRGKKKKKRDVLCAMAKTAVDRSDGQLEVFAGSEFVTDELLKYIAERSPSLKSLSLDYCNVSNEAFTDLIIKLPLLEELLISLCPFVDGDAYEVTSKACARLKRLLLRQGPYGGDRDGVLGIEMMHELRYLTLVGSDITTEELVAIIDGCPHMERLCVRNCRNIVVDGALRAKCSRIKTLILPPLQHSLYRHHFHPDDGPFTDKFDDWRSA* >Brasy3G043100.2.p pacid=40044322 transcript=Brasy3G043100.2 locus=Brasy3G043100 ID=Brasy3G043100.2.v1.1 annot-version=v1.1 MESATLGGRCSRPRHSQEVVVQPDTARDWSELPLDVLASVFAKLGAVDVLMGAGLVCHSWLEAAKVPNLWRYIDMEHHEVLRGKKKKKRDVLCAMAKTAVDRSDGQLEVFAGSEFVTDELLKYIAERSPSLKSLSLDYCNVSNEAFTDLIIKLPLLEELLISLCPFVDGDAYEVTSKACARLKRLLLRQGPYGGDRDGVLGIEMMHELRYLTLVGSDITTEELVAIIDGCPHMERLCVRNCRNIVVDGALRAKCSRIKTLILPPLQHSLYRHHFHPDDGPFTDKFDDWRSA* >Brasy3G282800.1.p pacid=40044323 transcript=Brasy3G282800.1 locus=Brasy3G282800 ID=Brasy3G282800.1.v1.1 annot-version=v1.1 MPRRASAFLLALIAFGVFSSPVSSPNLDATTVGRGETKSGSGVEESGGSGCSARSRDLR* >Brasy3G324200.1.p pacid=40044324 transcript=Brasy3G324200.1 locus=Brasy3G324200 ID=Brasy3G324200.1.v1.1 annot-version=v1.1 MVFSKVEVNLRRLLEAAPRQQNQAKLVHTPIAVHNHSEGAFGAAWNRNYTRRDMKVLLSRLSMFSDVAVLCAFLGLLICVSKAKLSEYSEKIEALAAMLGAPVPENENPLEESREDESSYEREKVGSPISLSSGLRRRQTWRLDQAVMRKERDTGAPIKLDAEAQAHIEKHRKLQGDLTDEMVDLARQLKESSLLMNQSVQDTEKILDSTERAVEHSLASTGRATARASEVYSLASKTTCFQWLLIFMMTCMFVMVVLLIRIT* >Brasy3G226500.1.p pacid=40044325 transcript=Brasy3G226500.1 locus=Brasy3G226500 ID=Brasy3G226500.1.v1.1 annot-version=v1.1 MAKHIDDDLAAIPIEVSGRRPAPAMTGLGWPPPPQGPGPWSPFLGSGAAIHGDAASMRSGLENAGRREGWSIDEGEGAGGAPWRGCATSAGTVASPRLALVLHSSGAEPRPPHPPAAPPRPPRPSHLRLHHRCRIRTPSGRRRHALPPLLPVMPAGTARRMRSTEHGPRRSHQWHEVTGFP* >Brasy3G177000.1.p pacid=40044326 transcript=Brasy3G177000.1 locus=Brasy3G177000 ID=Brasy3G177000.1.v1.1 annot-version=v1.1 MGFVYRIASPSEYLAITGYGIDDVKLAKKAWVAPGQRCARFDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRADDEACLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEQIFHGAKSFKQAVFESVQLELDQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKEREGMTRQNAAKVDAETKVYTVKRQGEGSKEEARVDSEVKVFRNERDAEVAQANSELAMKKAGWEKQAQVAQVEAAQAVAIREAQLQVEVERTNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEALLFEQEKQAEARRAGADAEFFARQREAEAELYAKQKEAEGLAAMGQAQSAYVASLLGALGGSYGALRDYLMISSGVYQEMARINADAVRGLQPKISVWSGAAGAAGGGEGAMKEVAGVYSMLPPLLTTVHEQTGMLPPAWMGTLNAGPSTS* >Brasy3G047300.1.p pacid=40044327 transcript=Brasy3G047300.1 locus=Brasy3G047300 ID=Brasy3G047300.1.v1.1 annot-version=v1.1 MGQYPPGPFGAGASSSPSSPVGCMPFLGSDVGSSRRDESSPIGVETPISPPYPTHFDPAVDNEEGSESSPDEIEKKGKSKNWSKHEDELLISAWLHNSCDPIDGNSKKAENYWKEVAAEFNKYATKEEQKTVMQCKNHWTKTTKKVTKFNGVYNAEKTMWPSGCDDHGFMEKVRAKYKSITKTKRPFAYEHWWKAVKVEAKWRRSYSAEEMNKRNKLNAAGAYSSPSQSTDQEGVLKRPIGRNKAKAQHKSTSKSTDSITKRIADAALVHAEATKVQAEATKVQAEADKERAETEKEKMKVDKLSTYLDLLHKDTSSYDDSQKSRHEQVVDFLAKELFG* >Brasy3G000600.1.p pacid=40044328 transcript=Brasy3G000600.1 locus=Brasy3G000600 ID=Brasy3G000600.1.v1.1 annot-version=v1.1 MAFLFLPEQLISTLVPLLVLALYIKCSRPKNPLYINWPIIGILPSLVHNFYRFHDYVNLLLSSSGHSFKVTIASMGSFVTCDPANVQHIFTSNHANYPKGEGFADIFDVTRGSLLTADGESCRRQRARYQSALSSPGFVAFTTKCCRDKVEKGLLPFFTRAARTRTPLDINDLLGRLVFDLYATSVFDVDPGLLSFDMPPVHLADAMDTVMEVGFFRIIVPAFCWKVMRCLNIGPERKLAAAQAVLRGFTMEMIETRRKIGAMHIGPEEQAPASSMDILSNFINDLDYNTDDLLQATLITHMIAGRDTISTTLPWLFYNLAKNPHVVSRILNELAPLVSRKETFIASTTMTFEPEEVKALVYLQATLMESLRLYPPIPIECKAVAASDVMPSGHEVCARDIIIVSLYSMGRMENLWGADCKEYKPERWLSKDGAMLRHVPSHKFLAFNSGPRLCLGKDIAITQMKTIVASVVWSFDMEVFGAQGIEPKLSCLLQMKNGLKLKVQKREM* >Brasy3G006500.1.p pacid=40044329 transcript=Brasy3G006500.1 locus=Brasy3G006500 ID=Brasy3G006500.1.v1.1 annot-version=v1.1 MPVPLPKQDWAEDLPLGALLCILHKLGTVELLIGGVAGVCRAWRRAAREEPVLWRRIDMRDPRVPPFRPRVSLGIMVRQALRLSAGKCEGFYGGEYLHDEALLYLADQAPSLKSLHLISSRVSYKVFAEAINKFPLLEELELSQCFYDINIIELVARVCPRLTHFRYAELRSLHLIGDDLSNKGLIAILDNCPHLEYINLLDCENINMEEDNLVEKCVGVYIDDYDYCPPERSLYRDGDDFSITSCSDFGDDDPASPPSTHDGDSSIDSCSDSGDDYIFDSYNAGDFDDLELEEAERFLDSKTMRRYLS* >Brasy3G127500.1.p pacid=40044330 transcript=Brasy3G127500.1 locus=Brasy3G127500 ID=Brasy3G127500.1.v1.1 annot-version=v1.1 MDGHGGGGGAAAGGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKSQAAAQGKTLHPHLRPGGSPHPLLILALPLVLLLLLLLLRDDRHIVLLAAAATAALAAAAAAARLLRGRLRMRRSSASGSVQWFIGDEDDKPQGRDGKGKGGGTAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDFGTLKSPLPPTDPSVERAVQAAQRAAENAFHLPRVDEQVHKVVMAANRAATAARVAAIKAVQNRMDGKFCDTYV* >Brasy3G084400.1.p pacid=40044331 transcript=Brasy3G084400.1 locus=Brasy3G084400 ID=Brasy3G084400.1.v1.1 annot-version=v1.1 MCELIWGRNGDRLNDDGMGDVAAAEPPRTSVVSCSCCMQQDAVVKRKVEERLQLLGSLKEVVAKACPGKSRL* >Brasy3G152700.1.p pacid=40044332 transcript=Brasy3G152700.1 locus=Brasy3G152700 ID=Brasy3G152700.1.v1.1 annot-version=v1.1 MATMAAHHCSSYYSPFVLPPLHPVVVVGGGGRGRRTGLRVAASAAAGGARAEPVVAATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFVREHDPGRSIRYIPLQSESGRKLLRRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKIAPLFLRDFAYDNVANNRYAVFGRSETESCEIL* >Brasy3G101200.1.p pacid=40044333 transcript=Brasy3G101200.1 locus=Brasy3G101200 ID=Brasy3G101200.1.v1.1 annot-version=v1.1 MAPKLQSALAARKEKSSTIKVKVLRLWDSINPATNELISTDMIVADKKGNTMHASIWHKLVDKFKPKILESSIYILKNFDVWEYNRFRPLKNSLKIIFISDTTVKEVVEESDNFPDNYFEFVDSSTLWSRVDNDAQCSEINGKKLCTNVIGLLTQMKPIEQKIIGRNSRKERTSILREIEILLLDGEKIKVTLWGDTLANMLDEDLLEKQTIVIVTSNMAKDFKGLSLNTTNASKIYFDMAIPETKEIIKRHCTKDVLPTMMKLDESILGTIEEQMFFNRRTIREITELRYSDVKEEEFVCTTKAEISEIVTDRHWWYMACNHCFSMTEKEADNYICKRCNKISEEPKQR* >Brasy3G092100.1.p pacid=40044334 transcript=Brasy3G092100.1 locus=Brasy3G092100 ID=Brasy3G092100.1.v1.1 annot-version=v1.1 MLKRRHYTITSGWNCLLCPSPPEEDLDHLFFACPFSQQCWNDLGIQWQMGLPLTERLFAAHLDWHEGLFWEVFVLAAWTLWKVRNANFFDHVPPSKATWRILLQTELKLLAYRSSKEKFIANLNHLLQALAL* >Brasy3G132800.1.p pacid=40044335 transcript=Brasy3G132800.1 locus=Brasy3G132800 ID=Brasy3G132800.1.v1.1 annot-version=v1.1 MGVQGGGGGNGGTAVALGCKMVGGGSDGTLDLCARVCLVNEHETILYESFIKPSIPVTHYRYESTGIRPEYLRDAPTAKQARRRIQDILNSEKTTAILVGHGLEHDLEALGMDHPGHLKRDTATYPPLMKTSGRVMSSNSLRSLTRSCLGYEIQTPGYQQHPYDDCVAAMRIYTRMRGLKHVQEKKKGEEGEGFPAWRQRELERMSPEELLRMSKPDYRCWCLDDGQ* >Brasy3G083100.1.p pacid=40044336 transcript=Brasy3G083100.1 locus=Brasy3G083100 ID=Brasy3G083100.1.v1.1 annot-version=v1.1 MASAKSTATARKRVEAAVLKRARDGSAFTRCEGCNKNVPVVLIDLHSCSLDSKIRTSLEAQVVEKTVEISKPEKKRKAPSSAAAGKGSKKGKSGGGAKNGKKRPPTAFFIFMKDFRLEFKASHPDEKGVSAVGKAAGVKWKAMTDEEKKPYLDQAKELKAKFDSGEDIAENDVAEEEEENAEAAAEEEEEVEQPEEKKDDEARAAEEELEKNELDDDI* >Brasy3G026000.1.p pacid=40044337 transcript=Brasy3G026000.1 locus=Brasy3G026000 ID=Brasy3G026000.1.v1.1 annot-version=v1.1 MKLHICYVRDCCHPSSPLKYCKIAQHSTRPTRITVNQDNTYAIQLHNTLQQSAKAPTAVRLGADGPAQRRSRRGQRRRKELGEAGWRRPADRGWRNQGRPAELALLPSGGATGCPFLPATIS* >Brasy3G219500.1.p pacid=40044338 transcript=Brasy3G219500.1 locus=Brasy3G219500 ID=Brasy3G219500.1.v1.1 annot-version=v1.1 MWTMDGDIMMWGGCNAPPTNQIKDGRKHQTSSLVSRRQLVGFRPTCKSKTRYCIRAE* >Brasy3G328900.1.p pacid=40044339 transcript=Brasy3G328900.1 locus=Brasy3G328900 ID=Brasy3G328900.1.v1.1 annot-version=v1.1 MGGCYSAYACSRKLRGRLGNSLSFVLPVSDPNNDNKSPAADQDDNNKERSPKPTNGAAQSPWEEDQPILRTTAAEFARRYVLGKELGRGEFGVTRRCKDAATGEALACKTIRRHRRRRNKSAAGGNGGGAAAAAAAAAAAHAADVRREVAIMRRMSSSPASSASVVRLRDAREDPADGSVHLLMDLCEGGELFDRIVARGHYSERAAAKLFRTVVHVVQLCHANGVMHRDLKPENFLFANKSEDSPLKVIDFGLSVFFRPGDRFTEVVGSAYYMAPEVLRRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKIAQAVLRGGLDFSREPWPRVSPSAKDLVRRMLDPDPSSRLTAPQVLEHPWLKNAETAPNVSLGSAVRARLQQFSAMNKLKKRALGVVARSMPVEELDKYVQMFHIMDKDKNGNLSLEELMEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLAAAFRYFDKDGSGFIEVDELREELGPNEQAILEIIRDVDTDQDGRISYQEFELMMKSGTDWRNGSRHYSRANFSSLSRKLCKDEAAAGSSS* >Brasy3G317200.1.p pacid=40044340 transcript=Brasy3G317200.1 locus=Brasy3G317200 ID=Brasy3G317200.1.v1.1 annot-version=v1.1 MGIASPAQANHTMAAAAAAATLLHEGEYPRIFALQQGEADGRFADAEEKLVAAAPGEEPAEADAEEEDEDEDYFDSDSDSDVGDALDWMDAAEATDGSSRVSGTYSAAGGAAAARRPNAHGGLQSRPLQPLSNKTQKLNSHFRAGPLEEWEGRMNVGMSNNVTTEIRDSIRDTTIGKTRNTGKADRATVEQAIDPRTRMVLFKMLNRGVFDNINGCISTGKEANVYHATKKDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPLPLLLRLHVLVMEFIGKDGRNAPRLKDAVLSDDKLRETYFEIVTTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLNEDCLHVNDFFKKRGVAVMTVTDLFNFVVDQNIADEDVDDYLEKVQQKVLENGDTAASDDEIAPTVLVQTLDHVKQCEADIVNMPMAQNPSFSYEPTADKLFDQPLSRFVRTKNEPTGQQEQSAPNTPEEPLDLQNKCSLENKAEDGSDDSESCSSSDEDGSWKESTKLGPEEKKAARKENKKKVKEEKRETRKTKIPKADKKKRKKMAKAKCKR* >Brasy3G034800.1.p pacid=40044341 transcript=Brasy3G034800.1 locus=Brasy3G034800 ID=Brasy3G034800.1.v1.1 annot-version=v1.1 MAGPAATTLCCFLAMLMLLATAPATAGSGCELKGNRVCVAKADVGEERLQEALDYACGHVSNCSAIQPGAPCFNPNTRLAHASYAFNDYFQRQGRSPFACDFAGAAQIVHPDPKPAEKKCKHKPVHFNSPVCMKRSCKQDCIKKNPDMIIDSAYCTKKHGLRYCNCVVCYKG* >Brasy3G134300.1.p pacid=40044342 transcript=Brasy3G134300.1 locus=Brasy3G134300 ID=Brasy3G134300.1.v1.1 annot-version=v1.1 MQSASARPTGPNRPGRGRRRCKRRSSRRRQARQTPFSNAIFFSTSAVLACIGGKRAQTGLKRLPVYIPNLIPSHFHVDSLE* >Brasy3G180100.1.p pacid=40044343 transcript=Brasy3G180100.1 locus=Brasy3G180100 ID=Brasy3G180100.1.v1.1 annot-version=v1.1 MSRPAIFHLVHSLASTRQPPSAPRPRSHCRPTTSPARRCSGWPTGSLRAVACRFGLPSSASPRHQKTRALNGCRCCENSAAELRRRGALEEGGGLRMLQRSRTALTTRRTATTEQLPSSTDCLPSEDDLLPCGPNGPPGPRSIAP* >Brasy3G294000.1.p pacid=40044344 transcript=Brasy3G294000.1 locus=Brasy3G294000 ID=Brasy3G294000.1.v1.1 annot-version=v1.1 MDQPGWDFPAACDVLEQILLRLPPSARRRLRLVCRLWREVVDERTPEMRSRPALLFQTGKHSSCVAEGDLVSPSCRDLWARGTFDERYKGMSIVGVRNGLLCLCDTAEAGGAITLANPATGETLALPLLPCSEQLIRRTPHHYWSSHWFTVAARHQAYSFAHHPTTGRYQVVHRPVDAVQAFTLGERSWRDVPLTGATTCSLDAGIVSVGRVTYWVTNDEKIASLDLEEEEEEGGRFAPVKPPPALALAGPNCHLTELRGALGVAVSNGSPSLEKIEVWVLEGSGRVERSWSRRYSQLTRPLFVHGGYVLTRGRVDRSWVLCGNKVSGGGEARKQQLQCGVVRISEKKRGAVIGPSWGYGGVFSYVETAEPLSVYRESDCRWIYRP* >Brasy3G094200.1.p pacid=40044345 transcript=Brasy3G094200.1 locus=Brasy3G094200 ID=Brasy3G094200.1.v1.1 annot-version=v1.1 MDDAIDIETFSMSNEVEEVSAPKPQKRSSNYTHDEDIQLCKSWINISTDAIVGNEQPSKSYWTRIAEHYHENRTFDSDRSSNSLEHRWSVLQKECMKWQANFEQVERRHPSGIPYKEHMKECHALYASGEPKNRAFQYVHCWLEVRHTPKFLALHGSSKRPRSTPSDEVGDGDDDSKSPTPDTARQARPLGRKKSKELMKNAGEGVSYKEALKDLLQVREKEAKMRENRWKEAEDRQERKLSLEERKFQWEQEQKIMFCDVNALEPNVKVWVLAMRSQMAATAATRVGGLGGDGMVGGLGGDGMVGGFSGDGNGGGDMSNI* >Brasy3G170700.1.p pacid=40044346 transcript=Brasy3G170700.1 locus=Brasy3G170700 ID=Brasy3G170700.1.v1.1 annot-version=v1.1 MGSRLGRRVLHFANLPIKLMLPPEPLSSVQEFAVKTIPSASKVDIRRCLESMYGFSIAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLSVSPDIFPIGVILGERERKASAAAARRKAVEGAEVEGERDGKGKHWMEDEREGFSRAGCGKVVYGNPGRLGKKRRGRARVNEEADEEKEKFPWNGMRLATEKPTRKRHYPPKKKGGMVLKQRSHRGSALRAKNKLEA* >Brasy3G185200.1.p pacid=40044347 transcript=Brasy3G185200.1 locus=Brasy3G185200 ID=Brasy3G185200.1.v1.1 annot-version=v1.1 MGIIRGKTGTKRPHHPSLVLTFFLKRQVLTNGGTTTEGRISTEKYQGDRHIAS* >Brasy3G210900.1.p pacid=40044348 transcript=Brasy3G210900.1 locus=Brasy3G210900 ID=Brasy3G210900.1.v1.1 annot-version=v1.1 MESGGGTPRPQPVSSRRLGRVQSAASRAGAGAFLYDGMRSAPLFSSATFARSLRKAASFGSNHNKKPGIITGDVAPPRRALSSKDQNTEAAVTLSPRRSLPEPGRQGNCWEPARRRGRSTGASSTSPEYAATGRGSLAGALRETTTAAARKVREAPTGEKEEDAHRARVLATRLLQWRFANARLEIAVSRATSSAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPELPLLASWEPLAAPHADAVAGLASALSAACTSVPVTSGAQADMEAMRETISACVDTVTEIETNADTFYTTAGATSGALGELAKTIREEVEGLEEAMRLARIVTRLQMQEVSLRTNLVQAKQRPLVDGDVLVPAITASSWCF* >Brasy3G121600.1.p pacid=40044349 transcript=Brasy3G121600.1 locus=Brasy3G121600 ID=Brasy3G121600.1.v1.1 annot-version=v1.1 MYRSNQLSIGTVVVQIVTLPIGRFMASALPSRPLRVPGLGWSFSLNPAPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRTYLVDSAYMWWPLNLVQVTLFRAMHEEEKRPKGQLTRLQFFIIVTVCSFAYYLVPSYLFPVASTLSVLCWSYKDSVTAQQIGSGLKGLGVGSVGLDWNTVAGFIGNPLASPAFAIFNVMVGFALTTYVAVPLLYWTNAYNAKRFPIISSHVFDASGKRYDTNRILDPDTFTLNLPEYNSYSRINLSVLFAINYGFGFAGLMSTLSHVALYHGKSIFDLWRKAASEKGKEQDVHTRIMKRNYEAVPQWWFHLMLVLVVALSLFTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGIITATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMGQALTFVSDFKLGHYMKIPPRSMFFAQLAGTVTASTVHFATAWWLLTTVSNICDVESLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFIVGLLAPVPFWLLSRHYPRNAFLKNINIPLIFAGAGGLLPARSVNFVMWGLVGILFNHFIYRRYKAWWMRHNYVLAAGLDSGVAFMGVLTFVSLGYFDIYGIRWWGGVADDHCPLASCPTAPGVIAKGCPVLQ* >Brasy3G153100.1.p pacid=40044350 transcript=Brasy3G153100.1 locus=Brasy3G153100 ID=Brasy3G153100.1.v1.1 annot-version=v1.1 MAAAAATAAAEEGRLTLLGFWSSPFVLRTRFALNLKGIPYEYVEEDLFGAKSELLLAANPAHGGKVPALVLPDGRSVSESLVIVEYLDEAFPDCPPRLLPSDPHRRAAARFWAAYVDGALLPAWIPLFGGSTAEERVEAARRVVGVLETFEGVLRRGDCAEEERGSGFFFGAEGIGLVDVVLGGFIGWLRASEAMCGVKTIDASRTPLLAAWAERFGALDGVREILPEVGRLVEYNQMKRARGGLPFLPPHQPPQ* >Brasy3G076600.1.p pacid=40044351 transcript=Brasy3G076600.1 locus=Brasy3G076600 ID=Brasy3G076600.1.v1.1 annot-version=v1.1 MKRKRGRKTGGKKSSSKTTPGAVAAATTSASSPASPSSPSAEENVPAEDVPVSVAISTPAAAPEPPPPEPEKKATTAAPPPAVAANPAADMPFAKPKVGAVYGRVKLKFKSSKALEPKHSSSEPQVPADAGKSQSAAPEVSRQVAAEKGTAAASDGQTADGQVSELSGSDKDKVAKKVGSIKIVSAGLSSPVVQDNAQDMKADDVDEPLPSKQETVVGNEDSENASESRNSQELEVKQSTVEHQRDEKELAAALEAIKKVMKIEAAEPFNIPVDPVALGIPDYLDVIDTPMDFGTICHDLEHGNKYINSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKAFMKNWLAAGLYSDVQENGGNDNTGDEDTKGSSKSKSKQKRRRPGNDRHKNDCACAVCQVTRRKKERDEILSIDNETTVMNNNISEEHNMEVNFDVNYPGSQGVTSSQEQARHTDEYKATVEADDAPIQMESPGKFLNNPSPDYEDEGSRQYSEEKEEEDKDMNSQDEHTSTQPNDDSEVGHHQQKAQAETRQEVEMEDFPIQKENQSFLQLCARLFPSKQSSAFRGRHSLVRQQRRAPFKESPLHAAMTAMMKR* >Brasy3G076600.2.p pacid=40044352 transcript=Brasy3G076600.2 locus=Brasy3G076600 ID=Brasy3G076600.2.v1.1 annot-version=v1.1 MKRKRGRKTGGKKSSSKTTPGAVAAATTSASSPASPSSPSAEENVPAEDVPVSVAISTPAAAPEPPPPEPEKKATTAAPPPAVAANPAADMPFAKPKVGAVYGRVKLKFKSSKALEPKHSSSEPQVPADAGKSQSAAPEVSRQVAAEKGTAAASDGQTADGQVSELSGSDKDKVAKKVGSIKIVSAGLSSPVVQDNAQDMKADDVDEPLPSKQETVVGNEDSENASESRNSQELEVKQSTVEHQRDEKELAAALEAIKKVMKIEAAEPFNIPVDPVALGIPDYLDVIDTPMDFGTICHDLEHGNKYINSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKAFMKNWLAAGLYSDVQENGGNDNTGDEDTKGSSKSKSKQKRRRPGNDRHKNDCACAVCQVTRRKKERDEILSIDNETTVMNNNISEEHNMEVNFDVNYPGSQGVTSSQEQARHTDEYKATVEADDAPIQMESPGKFLNNPSPDYEDEGSRQYSEEKEEEDKDMNSQDEHTSTQPNDDSEVGHHQQKAQAETRQEVEMEDFPIQKENQSFLQLCARLFPSKQSSAFRGRHSLVRQQRRAPFKESPLHAAMTAMMKR* >Brasy3G021500.1.p pacid=40044353 transcript=Brasy3G021500.1 locus=Brasy3G021500 ID=Brasy3G021500.1.v1.1 annot-version=v1.1 MLIFVLLFSFFDFWLICEEGRPALLARYGSRPLCRPICVYVPKLSPLSRQHANKLLLLLLHLGFSRQEEPSASERARGKGKIVEYCVASAGRDSPRRPCRRPHLFIRARHFPVPHATTCNKLLLLHHILSPLGRKSNKRRKSGLQRGYAPMEGRIYYEVLHLLARSVDCMQFLFCYSLAQNPSIYRNPLSKGPSSQSVIGNKVCIVYRLCNQIFV* >Brasy3G184800.1.p pacid=40044354 transcript=Brasy3G184800.1 locus=Brasy3G184800 ID=Brasy3G184800.1.v1.1 annot-version=v1.1 MMAGATSATAAAGAFAAGAKARGSAVACPRVVAAGGRRQSGVVRCDAGVEAQAQAAAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPLAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSSGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAADADVVFTSTASEALLFTKEHAEALPPISLAMGGVRLFVDISVPRNVGACVSDVDHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAVLEQKIKAKVEKTQS* >Brasy3G158500.1.p pacid=40044355 transcript=Brasy3G158500.1 locus=Brasy3G158500 ID=Brasy3G158500.1.v1.1 annot-version=v1.1 MAVYYKFKSAKDYDSIPIEGQFISVINLKERIFESKHLGRGKDFDLMISNAQTDEEYADESIMIPKNTSVLIRRMPGRPRMPIVTEPEEIIGAEKRVGKVMPSGSAFLGDSSTKYPEESEWDDEFGSSLYVYDSVPSEPASQAIGASNENEIDEDSKIKSLIDTAALDYSQIPDGHGSGRGYGRGMGGRMMSGRGFGRGLGRLESRTPPPGYVCHRCKVPGHFIQHCPTNGDNRYDVRRMKPPTGIPKSMLLATPDGSYALPSGVGAVLKPNEAAFEREIDGLPTIRSVGDLPPELHCPLCKEVIKDGVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPASSTGNAGSMAQLQVSFVAVETALPVQPKVRSPAVSAASKEEPKAPTPIEESPYAHNHGGVKANVGVSSSDMKAAMITDVTEGTIDSKNVKEGKTPEATHVAKELHGKLPAAGKKKKKAARAQGNAEEVKNYQDNGAQNFVGMPFVPAGGFNPYCGGGMPLAMEYIGAQFQAPMPYMGYPPPGPFDHFGVVLPQEHFMPPAYMIPTVPRDLSELAVNSMGMNMGPQVVRRDEFEAMEPDRRRHEIDQFNERERERELARRWERERERQREQGHDQDHYQHGDREYPREPSGAINDSSSMRPHDRSRPQLEKRSERSLPLPSLSPRRHSRRSPHRSSNSGKKRSSPDRYDDLPLPPPPPLPASRHKAEHAKAAGAAAGEDQRSKSKASVFSRISFPGDGNASDAKRSHRSSSNKRPGSSSSSKRGAEAGNNSRHRRERETTLVKEERQRPAATQYDEEEQSSEEEKHFKRRMSSSIHAGAGAGARGTAGLAPVQGAG* >Brasy3G158500.2.p pacid=40044356 transcript=Brasy3G158500.2 locus=Brasy3G158500 ID=Brasy3G158500.2.v1.1 annot-version=v1.1 MAVYYKFKSAKDYDSIPIEGQFISVINLKERIFESKHLGRGKDFDLMISNAQTDEEYADESIMIPKNTSVLIRRMPGRPRMPIVTEPEEIIGAEKRVGKVMPSGSAFLGDSSTKYPEESEWDDEFGSSLYVYDSVPSEPASQAIGASNENEIDEDSKIKSLIDTAALDYSQIPDGHGSGRGYGRGMGGRMMSGRGFGRGLGRLESRTPPPGYVCHRCKVPGHFIQHCPTNGDNRYDVRRMKPPTGIPKSMLLATPDGSYALPSGVGAVLKPNEAAFEREIDGLPTIRSVGDLPPELHCPLCKEVIKDGVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPASSTGNAGSMAQLQAVETALPVQPKVRSPAVSAASKEEPKAPTPIEESPYAHNHGGVKANVGVSSSDMKAAMITDVTEGTIDSKNVKEGKTPEATHVAKELHGKLPAAGKKKKKAARAQGNAEEVKNYQDNGAQNFVGMPFVPAGGFNPYCGGGMPLAMEYIGAQFQAPMPYMGYPPPGPFDHFGVVLPQEHFMPPAYMIPTVPRDLSELAVNSMGMNMGPQVVRRDEFEAMEPDRRRHEIDQFNERERERELARRWERERERQREQGHDQDHYQHGDREYPREPSGAINDSSSMRPHDRSRPQLEKRSERSLPLPSLSPRRHSRRSPHRSSNSGKKRSSPDRYDDLPLPPPPPLPASRHKAEHAKAAGAAAGEDQRSKSKASVFSRISFPGDGNASDAKRSHRSSSNKRPGSSSSSKRGAEAGNNSRHRRERETTLVKEERQRPAATQYDEEEQSSEEEKHFKRRMSSSIHAGAGAGARGTAGLAPVQGAG* >Brasy3G158500.3.p pacid=40044357 transcript=Brasy3G158500.3 locus=Brasy3G158500 ID=Brasy3G158500.3.v1.1 annot-version=v1.1 MAVYYKFKSAKDYDSIPIEGQFISVINLKERIFESKHLGRGKDFDLMISNAQTDEEYADESIMIPKNTSVLIRRMPGRPRMPIVTEPEEIIGAEKRVGKVMPSGSAFLGDSSTKYPEESEWDDEFGSSLYVYDSVPSEPASQAIGASNENEIDEDSKIKSLIDTAALDYSQIPDGHGSGRGYGRGMGGRMMSGRGFGRGLGRLESRTPPPGYVCHRCKVPGHFIQHCPTNGDNRYDVRRMKPPTGIPKSMLLATPDGSYALPSGVGAVLKPNEAAFEREIDGLPTIRSVGDLPPELHCPLCKEVIKDGVLTSKCCFRSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPASSTGNAGSMAQLQAVETALPVQPKVRSPAVSAASKEEPKAPTPIEESPYAHNHGGVKANVGVSSSDMKAAMITDVTEGTIDSKNVKEGKTPEATHVAKELHGKLPAAGKKKKKAARAQGNAEEVKNYQDNGAQNFVGMPFVPAGGFNPYCGGGMPLAMEYIGAQFQAPMPYMGYPPPGPFDHFGVVLPQEHFMPPAYMIPTVPRDLSELAVNSMGMNMGPQVVRRDEFEAMEPDRRRHEIDQFNESYLQNQNRGTDARTISEN* >Brasy3G030700.1.p pacid=40044358 transcript=Brasy3G030700.1 locus=Brasy3G030700 ID=Brasy3G030700.1.v1.1 annot-version=v1.1 MGLKEEFEEHAEKAKTLPETTSNENKLILYGLYKQATVGDVNTPRPGMFNLKDKAKWDAWKAVEGKSTEEAMSDYITKVKQLQEEASAA* >Brasy3G079400.1.p pacid=40044359 transcript=Brasy3G079400.1 locus=Brasy3G079400 ID=Brasy3G079400.1.v1.1 annot-version=v1.1 MATALSSLRAPFSLFTAAAPAPSPIVAPPRVALPLTTPSARAIRLRPQATYKVKLVTPEGEVELEVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVISGEIDQSDQSFLDDDQMEAGWVLTCHAYPKSDVVIETHKEEELTA* >Brasy3G004100.1.p pacid=40044360 transcript=Brasy3G004100.1 locus=Brasy3G004100 ID=Brasy3G004100.1.v1.1 annot-version=v1.1 MASLSESEGTNRGTMWELDQNLDQPMDEEASRLKNMYREKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVHDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSLAAKIKRWLETRAYKRNCLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDIVVVVAVVILIGLFSMQHYGTDKVGWLFAPIVLIWFILIGSVGALNIHKYGNSVLKAFNPIYIYRYFRRKGNTSDSWTTLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISHKEHVSDAFYRSIPDAIYWPAFVIATASAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIADINWLLLILCVAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVTFIVFSLMVELPYFSACILKIDQGGWVPLVIATTFFIIMYVWQYCTVKRYEFEMHSKVQWPGSLASVRALVLSGFQG* >Brasy3G084300.1.p pacid=40044361 transcript=Brasy3G084300.1 locus=Brasy3G084300 ID=Brasy3G084300.1.v1.1 annot-version=v1.1 MAAQDSSGDRLRSTLAVSADDRARLHALFDSSSSSSSPAPAAANTSSHAPSFFEGFALRGIRVLRIQPGLIHCSYTVPNHLTDAATGCLAAGAVVSLVDEVGSAVAIADARNLKVSVDMSVAFADLAQGTGSASSPGRWATRAHTPGRTCSSPTPATAASSPRAGTPSSAT* >Brasy3G012100.1.p pacid=40044362 transcript=Brasy3G012100.1 locus=Brasy3G012100 ID=Brasy3G012100.1.v1.1 annot-version=v1.1 MSYIGMMEARMPPGFRFHPRDEELVLDYLLHKLTGHGGGAGVAIVDVDLNKCEPWDLPDAACVGGKEWYLYSLRDRKYATGHRTNRATQSGYWKATGKDRPIRRCRQLVGMRKTLVFYQGRAPKGTRTEWVMHEFRLIQQDHGDHPPLQQQPPAAARSSSDLKEDWVLCRVFYKSRTTTPRPPSEEACTFSSELNLQAAPPLVPLIDTYIAFEGGTTMTAVEQVTCLSGLPALPFKGSVSFGDLLGWDDPEKKAIRTALSNMPSNNSNSKLELPPNWSQENALSQMWTPL* >Brasy3G216000.1.p pacid=40044363 transcript=Brasy3G216000.1 locus=Brasy3G216000 ID=Brasy3G216000.1.v1.1 annot-version=v1.1 MRAATISISRAEERCEDGGAGWVEGGGGARWGRTAPRAGSRAVPAHAEARARGRAAAPRAGSGRPTLGPGCHRGLGVGWRRRALRRGSGAGRRRHELGEIGAAGWCRAAAARAEARARDRAERLRAGRGCGLVAGGAGDRDRRPFGALRSEIREMFRGRKGDDVV* >Brasy3G029800.1.p pacid=40044364 transcript=Brasy3G029800.1 locus=Brasy3G029800 ID=Brasy3G029800.1.v1.1 annot-version=v1.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDMVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLAGPIPKSAGFPPMGAHAPFQPVVTPNAIAGWMTNANPSLPHAAVAQGPSGLVQPPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPPNVYSQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGNILGVAFSKHIVQTYTFVPNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMSYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNHFLAAGDEFVVKFWDMDNTNILTTAECDGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRAFEGSRGPQQINTKPPLINTLGSASNVSSPIAVNSERPDRMLPAVSMSGLAPMDVSRTQDVKPRITDEAEKMKTWKLSDIVDSGHIRARRCPDTASSPSKVVRLLYTNNGIALLSLCSNGGHKLWKWQRSDRNPTGKSTASISPHLWQPPNGVLMTNDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANHSGALVGDTRVQFHNDQTHVLVVHESQLAIYDGKLECSRSWYPRDALPAPVSSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIALSAYIPPSMPSGGSVYPMVIAAHPLEPNQIAVGMSDGAVHVVEPLDTDTKWGVAPPQDNGAHPSMSSAPAASNNQASDQPTR* >Brasy3G029800.2.p pacid=40044365 transcript=Brasy3G029800.2 locus=Brasy3G029800 ID=Brasy3G029800.2.v1.1 annot-version=v1.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDMVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLAGPIPKSAGFPPMGAHAPFQPVVTPNAIAGWMTNANPSLPHAAVAQGPSGLVQPPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPPNVYSQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGNILGVAFSKHIVQTYTFVPNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMSYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNHFLAAGDEFVVKFWDMDNTNILTTAECDGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRAFEGSRGPQQINTKAPMDVSRTQDVKPRITDEAEKMKTWKLSDIVDSGHIRARRCPDTASSPSKVVRLLYTNNGIALLSLCSNGGHKLWKWQRSDRNPTGKSTASISPHLWQPPNGVLMTNDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANHSGALVGDTRVQFHNDQTHVLVVHESQLAIYDGKLECSRSWYPRDALPAPVSSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIALSAYIPPSMPSGGSVYPMVIAAHPLEPNQIAVGMSDGAVHVVEPLDTDTKWGVAPPQDNGAHPSMSSAPAASNNQASDQPTR* >Brasy3G063700.1.p pacid=40044366 transcript=Brasy3G063700.1 locus=Brasy3G063700 ID=Brasy3G063700.1.v1.1 annot-version=v1.1 MSKYGVAKALVDLRLMNQVTGQPKSFRAILGEDKIPVRFKSDSFTDATWRDERFIRRQALNDSVYVRDDCLVIECAITVLGELRVSETKPLCEVDVPPSNALRHFGKMLEDTSAADVTFRVGKETFSAHRAVLAARSPVFRKQFSEAMKEQKKMSHVTLGSMEPAVFKALLHFIYTDSLPALDDDFSRVESNAVMQNLLAAADQYGLERLKLMCACILCMNLDVENVAGALWLADKYECQKLKDACIDFMGTSNRADAVVASQGYQALKRHSPSPFADVWEKRSRAHKSFR* >Brasy3G063700.2.p pacid=40044367 transcript=Brasy3G063700.2 locus=Brasy3G063700 ID=Brasy3G063700.2.v1.1 annot-version=v1.1 MSKYGVAKALVDLRLMNQVTGQPKSFRAILGEDKIPVRFKSDSFTDATWRDERFIRRQALNDSVYVRDDCLVIECAITVLGELRVSETKPLCEVDVPPSNALRHFGKMLEDTSAADVTFRVGKETFSAHRAVLAARSPVFRKQFSEAMKEQKKMSHVTLGSMEPAVFKALLHFIYTDSLPALDDDFSRVESNAVMQNLLAAADQYGLERLKLMCACILCMNLDVENVAGALWLADKYECQKLKDACIDFMGTSNRADAVVASQGYQALKRHSPSPFADVWEKRSRAHKSFR* >Brasy3G063700.3.p pacid=40044368 transcript=Brasy3G063700.3 locus=Brasy3G063700 ID=Brasy3G063700.3.v1.1 annot-version=v1.1 MSKYGVAKALVDLRLMNQVTGQPKSFRAILGEDKIPVRFKSDSFTDATWRDERFIRRQALNDSVYVRDDCLVIECAITVLGELRVSETKPLCEVDVPPSNALRHFGKMLEDTSAADVTFRVGKETFSAHRAVLAARSPVFRKQFSEAMKEQKKMSHVTLGSMEPAVFKALLHFIYTDSLPALDDDFSRVESNAVMQNLLAAADQYGLERLKLMCACILCMNLDVENVAGALWLADKYECQKLKDACIDFMGTSNRADAVVASQGYQALKRHSPSPFADVWEKRSRAHKSFR* >Brasy3G102800.1.p pacid=40044369 transcript=Brasy3G102800.1 locus=Brasy3G102800 ID=Brasy3G102800.1.v1.1 annot-version=v1.1 MEYRRVKDQIGSPFSTYSQQNSPNFYTTMKHQHKIVSLTVNLFNVDTEDNRVLSIYQFSLTTTAATAGLSSAGGSKGSSRKLKQVSIVTIALTLLTSSQAILIVWSKRAGKYEYSVTMANFSVEALKCLLCLLSLAALSRTWNNQGITEDNRLITSLDEVSVYPIPAYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQLAAFILLWAGCTTAQLSPSSDHVLQTPIQGWMMAIVSCNRGDIQFRYLDYCRKGLTQLAEMIVFLQVMALLSGFAGVYTEAIMKKRPSRNINVQNFWLYIFGLVFNLVAICVQDYDKVMNKGFFHGYSFITVLMIFNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVASVFLFGFHLSIAFFLRSTVVSVSVYLHSVGKLQPQK* >Brasy3G125200.1.p pacid=40044370 transcript=Brasy3G125200.1 locus=Brasy3G125200 ID=Brasy3G125200.1.v1.1 annot-version=v1.1 MDLGRVVGDRAVAAASATSRSTATRSWPGSTPCTRTSAASSSMTNSSPMPPRSCCRPPKPTCSVTSCAPPPDWQQVLPVELPVQQAQLPKDHRSLFATFSRGYPLNKEEILDFFETEFGPCVEDVKMERPAEGQAPMYARVVLRSQDMIPLVLEGNETAKYIINKRHLWIRVYVPNNRHSSLHD* >Brasy3G273600.1.p pacid=40044371 transcript=Brasy3G273600.1 locus=Brasy3G273600 ID=Brasy3G273600.1.v1.1 annot-version=v1.1 MGKGGMSKLKCMIRRWHSSSRISRAPSSPGEGEDGGRGARSFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRSGGGGEASGGATVVGCEVVLFEHLLWMLENSDPQPESLDELLEYYAC* >Brasy3G274200.1.p pacid=40044372 transcript=Brasy3G274200.1 locus=Brasy3G274200 ID=Brasy3G274200.1.v1.1 annot-version=v1.1 MGLLDQLWDETVAGPRPDHGLGKLRKYSSFSPSSSAAAATAAADAAPAVTRSITIARPPSLAVPSGESSSVPSSPASVPDSPFAAATTPRGEGWRALRRKSKMADVVVGAEAAVGPRSPTVYDWVVISSLDR* >Brasy3G226000.1.p pacid=40044373 transcript=Brasy3G226000.1 locus=Brasy3G226000 ID=Brasy3G226000.1.v1.1 annot-version=v1.1 MHPVSISLQHFTANPTDGFSSRSTNVRHSCDFVKLSTVKRNRGHLAMNSRPDSPSRSETTGGQDYTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYRIVEPAHMELAEPTIKEAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVPYIVTAPLGLHELMVDVMNDRIKYCLRHVGGDADECTVCAGTGKCRLYS* >Brasy3G025900.1.p pacid=40044374 transcript=Brasy3G025900.1 locus=Brasy3G025900 ID=Brasy3G025900.1.v1.1 annot-version=v1.1 MGFPSVCYCVILPQPLILVLQLLDFLRHAVLLCLSSLGLAAPPASDEHPAYVPPPDLWAEDSSPSPSMGAAAAAMAAPADIKARLPAVRYADLRSRRGAGAPAPACCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPAVEDAAGKLPLPLPYSSSSFSF* >Brasy3G299900.1.p pacid=40044375 transcript=Brasy3G299900.1 locus=Brasy3G299900 ID=Brasy3G299900.1.v1.1 annot-version=v1.1 MPRFSSWISLIRWMEIFFLFSSTSFSLSKRATSLCSHAILVFVASSVNDFIGGPPNRKASTIVLADGVPNECIFPSAVSRMIAALAPVIAERQQRWPLSDDEPWSEQDDDEGSLSFDDSGSDEAAAGESDSSEDEVGPRNTVGQHIGYDIDGRKIKKRDKEGRIEQYLRNADDAKNWRKIYDVYNDEEVQITKDEAKIICRLLKGKTPHANVDPYPDYVDWFEYDGKGHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNYYLLWGDETDTADNKREGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINVDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCLKVLNVGADVHDIAWNPSPERPILAAIVGHDLLLLDAEVGDEETKMRTKELLCVDELTPEDDADGKKPAVRWVKHEKFDGITLIHHKAVKTVEWHSKGDYFTTVFNLTAGGLIIFRSSSSPINDGYFSCFMEAGCFFFLYWTGPFQFVLRTAVLLHQLSKKRSHHPFRKLPGLPVAATFHPTQKMFFVATKKFVQVYDLQKAEIVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTKPYKTLKTHKKDITGVTFHRRYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILHGHLSIDRRGVLDCKFHPRQPWLFTAGADSVIRLYCE* >Brasy3G345200.1.p pacid=40044376 transcript=Brasy3G345200.1 locus=Brasy3G345200 ID=Brasy3G345200.1.v1.1 annot-version=v1.1 MENSSFAHGRAQQRLGGELTRYPCNQGMGSVGLLSTAVTSGARTGNMTILPAVEASHRVSALGHTMIRGKTPQTFVPESLPVAFDGSLRRRWSLHSNSCSSDQTPRFQLFPRTLGLDNLPRSKPRLAGSRLGGRGRRRRVSSSRTICRFSNEDHRRRGSDLRKQNRLGDGSRRGRQTSAHSRRTRFRHGGGATEEARGSTTSGDGKGGSSSPKEPQSSFSGESSSGSEKSQRRDEQRLEGDEGAAVDGEAIWHGWEVDA* >Brasy3G215100.1.p pacid=40044377 transcript=Brasy3G215100.1 locus=Brasy3G215100 ID=Brasy3G215100.1.v1.1 annot-version=v1.1 MIVASFDDGDGMERQIMELGGGGYGGVSVSELVARVEELGAELEFERRMRRKVEALNEALAAELAEERRRGEAERARAAGLARAREEEVDEERRMLRVAELWREERVRMKLADARAAVEDALREVADAGHRAAIATAAARADRCGCCRSSSGGASSPIGKPTPASGQQSPASGQQSPPSGQSHRREATTGGGGENPHIRRGIKGSVEFPRAVRVRPRGGERADVVSNLECQRAQLRVLMRHRSPADAGVPPENLVV* >Brasy3G063600.1.p pacid=40044378 transcript=Brasy3G063600.1 locus=Brasy3G063600 ID=Brasy3G063600.1.v1.1 annot-version=v1.1 MVPETTTGKALATWALPPIMCSSSSSSSASNESPAAAADPEETSPSSPPRPAAAAVTTSSCTTETVEGVHLFKVNQYRLIKDLGVGKSVRSGGFSVGGYRWAVLFYPSGSEPPKQPGPGVRHVSAYLELLTPRVDQVRVMFDLSLLTAGSPHAWVAGDSLPVDSGDRRRSFFKEGDFRRHAMFSTMPPPRPRPPHHPPRCWGVAEFARSDLAPYIVDGGLVIQCDVTVIKPPRVTRTRPVAVPPPGPGKDRRRLPEKEKRDGAADVEVLVRGESVAARRALLAARSPVLREKLRETAVAGDDDDEKENDEDGEKRRRHTAAKRVAVTVDDVEPAVFKAMLHFIYTDELPPESTGEATDQTELLQRLFEAAEAYGVERLPQMCEATLCARLSKATIKATAAFAKRHGGSCGKLKTACVEFYKATHRPSETTVGSEQRLKRSLPAAGEGDDDSEAAADKRLKRA* >Brasy3G291600.1.p pacid=40044379 transcript=Brasy3G291600.1 locus=Brasy3G291600 ID=Brasy3G291600.1.v1.1 annot-version=v1.1 MGLQGNKATHDFLSLYTAAGKDSSLPQLPGSNSKPPPSPPAQGHQWTLPFGARSVAVASSRPQQQPERKGGGGGGFMDAGSGSSAGAGFDDEDGLAARREVSSSLKELTVRVEAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLREILPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEGNQENGKIVPWANMYFRSFWKNSQNKDQISGDVSPDPSQIIKNGSSPEFPFIVKPDDNDNVAASVGPLGALDQAEIDPLGRVSHKSTETPSPVNVPDIVTTLPQAHQTRSSPAEGSAMIKELLHNPELAIDEGTISLSSQYSQELLSTLNHALQSSGIDLSQASISVQINLGKRAAKTSDPAANSVSTELVDPAANSQTMDHQLRFGGGAQEHRRATKRHKSDNS* >Brasy3G291600.2.p pacid=40044380 transcript=Brasy3G291600.2 locus=Brasy3G291600 ID=Brasy3G291600.2.v1.1 annot-version=v1.1 MDAGSGSSAGAGFDDEDGLAARREVSSSLKELTVRVEAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLREILPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEGNQENGKIVPWANMYFRSFWKNSQNKDQISGDVSPDPSQIIKNGSSPEFPFIVKPDDNDNVAASVGPLGALDQAEIDPLGRVSHKSTETPSPVNVPDIVTTLPQAHQTRSSPAEGSAMIKELLHNPELAIDEGTISLSSQYSQELLSTLNHALQSSGIDLSQASISVQINLGKRAAKTSDPAANSVSTELVDPAANSQTMDHQLRFGGGAQEHRRATKRHKSDNS* >Brasy3G166000.1.p pacid=40044381 transcript=Brasy3G166000.1 locus=Brasy3G166000 ID=Brasy3G166000.1.v1.1 annot-version=v1.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV* >Brasy3G233400.1.p pacid=40044382 transcript=Brasy3G233400.1 locus=Brasy3G233400 ID=Brasy3G233400.1.v1.1 annot-version=v1.1 MRTGGMLCRSQAATAVCVPEDARSMVVGRRADRTIAEDARLVQDVRYARLGAGTGGCDGAEATRVLSRRRAAPAPAPVPRRRGAPVAVTLPMVTKSPKETPARDMAAAKRTSAAAVAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPEGVLESISKVKKAELII* >Brasy3G193100.1.p pacid=40044383 transcript=Brasy3G193100.1 locus=Brasy3G193100 ID=Brasy3G193100.1.v1.1 annot-version=v1.1 MTLSKLFVVLAILAVLSASDSSVGVQGRTPGKAPPAPKPAPRRSSAKKNPPPPVGKVRTLGPPPPPPPPPPPQQRGQQPLLQSPPAPLPCN* >Brasy3G306500.1.p pacid=40044384 transcript=Brasy3G306500.1 locus=Brasy3G306500 ID=Brasy3G306500.1.v1.1 annot-version=v1.1 MGTAVEAAAFGTVEGVVGEVMRLHRSLPARPSLEEVEAAEALARAADREERARLDAVEALRRSPVVPEELFYVAQEMHRALVGFQCREQKRDATRLLELDALHALFDGLIQRASQCVPSSSTGAAPRITTTAAASSSSSSAAVAVAAADRSSLGTNGFNVERKVGKSTGRVSMDDSYVKKAKATMWDGGVVAASSLAPRGTVTANSAKSGAVLVDGSYGDDKEKFSLIKLASMIEVAAKKGARDLNFQGKLMAQIEWIPDSIGKLIGLVTLDISENRLVALPEAIGKLSSLTKLDLHANRIALLPESIGDLRSLICLDLRGNQLTSLPSSLGRLMNLEELDVGANRIVTLPDSIGSLTRLKKLMVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNIRSLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADMQKLPRSIGNLEMLEELDISNNQIRVLPDSFGNLHHLRVLRAEENPLQVPPREVALKGAQAVVQYMADHTTKKATKSETIKTKKTWAQFCFFSRPNKRKHDRIDMET* >Brasy3G306500.2.p pacid=40044385 transcript=Brasy3G306500.2 locus=Brasy3G306500 ID=Brasy3G306500.2.v1.1 annot-version=v1.1 MGTAVEAAAFGTVEGVVGEVMRLHRSLPARPSLEEVEAAEALARAADREERARLDAVEALRRSPVVPEELFYVAQEMHRALVGFQCREQKRDATRLLELDALHALFDGLIQRASQCVPSSSTGAAPRITTTAAASSSSSSAAVAVAAADRSSLGTNGFNVERKVGKSTGRVSMDDSYVKKAKATMWDGGVVAASSLAPRGTVTANSAKSGAVLVDGSYGDDKEKFSLIKLASMIEVAAKKGARDLNFQGKLMAQIEWIPDSIGKLIGLVTLDISENRLVALPEAIGKLSSLTKLDLHANRIALLPESIGDLRSLICLDLRGNQLTSLPSSLGRLMNLEELDVGANRIVTLPDSIGSLTRLKKLMVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNIRSLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADMQKLPRSIGNLEMLEELDISNNQIRVLPDSFGNLHHLRVLRAEENPLQVPPREVALKGAQAVVQYMADHTTKKATKSETIKTKKTWAQFCFFSRPNKRKHDRIDMET* >Brasy3G120300.1.p pacid=40044386 transcript=Brasy3G120300.1 locus=Brasy3G120300 ID=Brasy3G120300.1.v1.1 annot-version=v1.1 MAGAEAGRGGGGGGRGREEEEEEAGRRGREEEEAAAGEGRRRRPPQGKGGGRGGWRIRRPQGKGGGGGRVGGWLG* >Brasy3G156900.1.p pacid=40044387 transcript=Brasy3G156900.1 locus=Brasy3G156900 ID=Brasy3G156900.1.v1.1 annot-version=v1.1 MQRGARSCSRRVHICKKNGRSVSLQDGVPGEAKVEVVGHCVCLSAHRDCDSTERGGGGICLSQEIVCVLGLWEGRRRRLQLGAGRVGDGEESEFDCGWPRKQSGVGMSRT* >Brasy3G140200.1.p pacid=40044388 transcript=Brasy3G140200.1 locus=Brasy3G140200 ID=Brasy3G140200.1.v1.1 annot-version=v1.1 METLLGSKDGFLTKRIKNYDMDRNHPTKPKALSGLSPYLHFGHISAQRCALEAKKCRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLKDHAADKREHIYTREQLENAKTADPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTTGPDEALSIAIYLNDKYQIDGRDPNGYAGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAAQSKKRKSEEPLNSATKHAKV* >Brasy3G140200.2.p pacid=40044389 transcript=Brasy3G140200.2 locus=Brasy3G140200 ID=Brasy3G140200.2.v1.1 annot-version=v1.1 METLLGSKDGFLTKRIKNYDMDRNHPTKPKALSGLSPYLHFGHISAQRCALEAKKCRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLKDHAADKREHIYTREQLENAKTADPLWNASQLEMVHHGKMHGFMRTHDTSAKIWMIPLTTPAGGSCGGYKRARLRRP* >Brasy3G299600.1.p pacid=40044390 transcript=Brasy3G299600.1 locus=Brasy3G299600 ID=Brasy3G299600.1.v1.1 annot-version=v1.1 MLRHPHAFHLFLHPVHRVLHARLTPRAAAALRLEADAIASSRPAAVLRLRKLLLLAPPHHRLRLEHIRLLRRDFGLPDDFADSIILSNPALFRLTPDQFVEFVPSPTTDPPDLTVAAVELSRERHYREHRAPGAGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAKRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEANEISAARRKLEELLLMSREKANLDRMFTSMGRGWDELGGGRREGEELREKFLGDASGRKRKIGAEDDDDDADSGEDSGVESLYIE* >Brasy3G208300.1.p pacid=40044391 transcript=Brasy3G208300.1 locus=Brasy3G208300 ID=Brasy3G208300.1.v1.1 annot-version=v1.1 MARRRPRWTWAAAFIAGVMVVSASVSAAAAAAEEEEKRLLVDMTLVPDAASTGAVCLDGSPPAYHLDRGAGAGAGWLLQFEGGGWCNDAPSCAERAGTRRGSTRLMNKLEVFSGVLSDDPAKNPDFYNWNRVKLRYCDGGSFAGDSEFINGTSIIYMRGQRIWDAIITDLFRKGLATAQQVLLSGCSAGGLATFFHCEDLQERLGGATTVKCMSDAGFFLDVDDISGDNTIKPFFSSLVDLQGAQKNLNKECLNSMLYPYQCFFPQYALQNIRTPYFILNSAYDVYQFHHTFVPPSSDPRGQWSRCKSDPGACSTSQIATLQGLRNAMLTALNLFEGDSKVGMFINSCFAHCQSELQDTWFAPNSPSLHNKTIAELVGDWYFERGAAQEIDCAYPCDLTCHNIIPTGYPFDRVKEIPEF* >Brasy3G208300.2.p pacid=40044392 transcript=Brasy3G208300.2 locus=Brasy3G208300 ID=Brasy3G208300.2.v1.1 annot-version=v1.1 MARRRPRWTWAAAFIAGVMVVSASVSAAAAAAEEEEKRLLVDMTLVPDAASTGAVCLDGSPPAYHLDRGAGAGAGWLLQFEGGGWCNDAPSCAERAGTRRGSTRLMNKLEVFSGVLSDDPAKNPDFYNWNRVKLRYCDGGSFAGDSEFINGTSIIYMRGQRIWDAIITDLFRKGLATAQQVLLSGCSAGGLATFFHCEDLQERLGGATTVKCMSDAGFFLDVDDISGDNTIKPFFSSLVDLQGAQKNLNKECLNSMLYPYQCFFPQYALQNIRTPYFILNSAYDVYQFHHTFVPPSSDPRGQWSRCKSDPGACSTSQIATLQGLRNAMLTALNLFEGDSKVGMFINSCFAHCQSELQDTWFAPNSPSLHNKG* >Brasy3G208300.3.p pacid=40044393 transcript=Brasy3G208300.3 locus=Brasy3G208300 ID=Brasy3G208300.3.v1.1 annot-version=v1.1 MRGQRIWDAIITDLFRKGLATAQQVLLSGCSAGGLATFFHCEDLQERLGGATTVKCMSDAGFFLDVDDISGDNTIKPFFSSLVDLQGAQKNLNKECLNSMLYPYQCFFPQYALQNIRTPYFILNSAYDVYQFHHTFVPPSSDPRGQWSRCKSDPGACSTSQIATLQGLRNAMLTALNLFEGDSKVGMFINSCFAHCQSELQDTWFAPNSPSLHNKTIAELVGDWYFERGAAQEIDCAYPCDLTCHNIIPTGYPFDRVKEIPEF* >Brasy3G269200.1.p pacid=40044394 transcript=Brasy3G269200.1 locus=Brasy3G269200 ID=Brasy3G269200.1.v1.1 annot-version=v1.1 MAAANKSVERLAQRLVLPAEPTPPGPLRLSWLDRYPTQMALIESLHVFKPAPARDADAGAGPARTIERALAQALVRYYPLAGRLAFTDDGGQSHVDCGGPGSGVWFTEAEAACGLEDVDYLEHPMMIPKDELLPPTPAAEEGHERNLVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMAAVGDLARGAESLSVEPQWGRDAVPDPAGAVVGALPDPAGAKRLEYLAMDISADYIDHFKSQYNSNNNGGGARCSAFEVLVAKAWQSRTRAAGFDPTTTVHLCFAMNARPLLHASLPSAGAGFYGNCYYIMRVSAPAGKVSCSSVPEVVRIIKDGKRRMPAEFARWASGEAGAGGEDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN* >Brasy3G336600.1.p pacid=40044395 transcript=Brasy3G336600.1 locus=Brasy3G336600 ID=Brasy3G336600.1.v1.1 annot-version=v1.1 MAIASRCCGVRGRMRAIGTNIRTPAFQPNKTEDSRVQNSRSGPLQKDRWVLLVRALPPLYRTNDAGFFALDQAALLPAARAAEHGVAPAPAAGGRQRGGLRRRVHRSPPRARGLPGARPQGGGAGAERGRGADARGRGRGGGRREGRGSRRGGGAGEGAGGPGEGRAHPGAHGGDA* >Brasy3G160500.1.p pacid=40044396 transcript=Brasy3G160500.1 locus=Brasy3G160500 ID=Brasy3G160500.1.v1.1 annot-version=v1.1 MEAATHRSRVLAEVDPRSEWVRGDEFDTLIIDVSGFTKDQLKVQVEQSGSLRISGERALNGSRQWSHFLKRFDLPDACDATAIKVQLDKGVLYVQVPRTPNTSTTTDDDEDDTEEYLEDALEEEAGAVWTGGHTAAWRNDDEHPVRRLVKALSQHRHVVLNVVLAVVLLWLVAFGKSRPSGGQIKNE* >Brasy3G039300.1.p pacid=40044397 transcript=Brasy3G039300.1 locus=Brasy3G039300 ID=Brasy3G039300.1.v1.1 annot-version=v1.1 MGPEVPTATSGPPRAGSVQHQQRGGGSSSGVMAAEHEEEAAAVRVGAKRKRERKAAGTSERSTKFRGVRRTRSGKYGAQMSCRGRMAWLGSFDTAEEAARAYDAAAVRLRGAKAVTNFEQSAAVAAIAVADADHDNVEMAGEETTDVVGDEGDEVCSQQLMHAPVTGQVMEVHGDHRRQRIPVLPDCFGTAEEAVKLEDGVAVAAEAEFKQPVATTDGDGQQLHVQPDNSPLPSASYDYSSDDPLLALLNGFPEQPALLNDFLEQPAFLNNFLEQPAFLNNLLEQPACINNFPEQPASDLLSEDFLNDMDFT* >Brasy3G313700.1.p pacid=40044398 transcript=Brasy3G313700.1 locus=Brasy3G313700 ID=Brasy3G313700.1.v1.1 annot-version=v1.1 MPPWGRRRRRRASGAILVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSELLQDLCLNVPSPSSHPSDHPILCPAFIC* >Brasy3G313700.3.p pacid=40044399 transcript=Brasy3G313700.3 locus=Brasy3G313700 ID=Brasy3G313700.3.v1.1 annot-version=v1.1 MVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSELLQDLCLNVPSPSSHPSDHPILCPAFIC* >Brasy3G313700.5.p pacid=40044400 transcript=Brasy3G313700.5 locus=Brasy3G313700 ID=Brasy3G313700.5.v1.1 annot-version=v1.1 MPPWGRRRRRRASGAILVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSVRPAPIIVPSS* >Brasy3G313700.6.p pacid=40044401 transcript=Brasy3G313700.6 locus=Brasy3G313700 ID=Brasy3G313700.6.v1.1 annot-version=v1.1 MPPWGRRRRRRASGAILVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSVRPAPIIVPSS* >Brasy3G313700.4.p pacid=40044402 transcript=Brasy3G313700.4 locus=Brasy3G313700 ID=Brasy3G313700.4.v1.1 annot-version=v1.1 MVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSVRPAPIIVPSS* >Brasy3G313700.2.p pacid=40044403 transcript=Brasy3G313700.2 locus=Brasy3G313700 ID=Brasy3G313700.2.v1.1 annot-version=v1.1 MVESEGEPAMVDAEATSAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCTGDDHLSRCAAGKDSLARLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHRPPVSALHATDDGGNVELLWCQNPIPKFHGASIEATVKGKRQVRLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKDSGLEAELCYYRSHSFLGLGGDARCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDAKSAIGNLTTPEVKDQEGLWPSESASVWGEVSEAILAKDWERASEAKRRVEDTARKLENERNEKGEVWMPKHFSLSQDKNGSWECWPLDKSVRPAPIIVPSS* >Brasy3G053300.1.p pacid=40044404 transcript=Brasy3G053300.1 locus=Brasy3G053300 ID=Brasy3G053300.1.v1.1 annot-version=v1.1 MHSLRRILRLPVSTTSSTCSLRCLSSHRRAPPHPSATTGDDEWNDAWETAWLPGDSPASSPAPAAPWESPSSETVATTVPAISAEVDPDTKAFVADMDERWAERRAATRRSRAPRPSAAEGGGGAGAKKAGADEYRTRKQRVHAALWVKEIDKMEEARVGGRGGGAADDIDRLLDSCSEIFDSGNTGLGDSNIPSTAEIKTKPDGWEITSRGQDGNIWEISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPFREETPAIASGSSSFRGDPSRSSFRGDSSGSSFRGNRHY* >Brasy3G162300.1.p pacid=40044405 transcript=Brasy3G162300.1 locus=Brasy3G162300 ID=Brasy3G162300.1.v1.1 annot-version=v1.1 MVDIGDPGSSRRAAHACGGLDRRTVVDEEWATVTEEVNWAPPRSSVKISNPLWSSCMKLLAALQVYVLRVLLPLSFYLFVLEIRSLLQLALLIYIQFMQNRNSVQHSETFTSFAAVSSIKSISSSLPKVVPNP* >Brasy3G263600.1.p pacid=40044406 transcript=Brasy3G263600.1 locus=Brasy3G263600 ID=Brasy3G263600.1.v1.1 annot-version=v1.1 MMKRFVILRRFHPPPPPATAFGGGCCRGVRYGECRRNHVARTGGYAVDGCREFMAEGEEGTSGALRCAACGCHRSFHHRVLVPARCCCCIHADADADADAGAGASGLSWDVCSTESTASSTAATS* >Brasy3G190800.1.p pacid=40044407 transcript=Brasy3G190800.1 locus=Brasy3G190800 ID=Brasy3G190800.1.v1.1 annot-version=v1.1 MGETREGAVARRPRPGSTEVSRWQRARPGKASTAAGGTETVAADLAGSEEKRGGGNGRDLGRVGEAPRAGAGASLEVCVQGEGRHRRSACRGKGAPEICAGGGGGRCRRGRARAPHADRGMSGARDPRAGEGAGPER* >Brasy3G177800.1.p pacid=40044408 transcript=Brasy3G177800.1 locus=Brasy3G177800 ID=Brasy3G177800.1.v1.1 annot-version=v1.1 MADRLLLLVLVVAAASSVLVASPVAAARPCHTLLVSYSANANPSNDPDRRAPLTATVVTIFRVRRFGSHLLLRAKPGGHAHPHPDHRHHHHLHSIPANIQIRRPELPHPAAAAAAGIQERVKDILVIVVGILFGVGCGALTAASMYLVWSVIAGPGASSNYDELYGDEEDDAADDESPKKVGHVIIPGIEAQDGGKN* >Brasy3G322900.1.p pacid=40044409 transcript=Brasy3G322900.1 locus=Brasy3G322900 ID=Brasy3G322900.1.v1.1 annot-version=v1.1 MDPDAVAKAFVQHYYQTFDANRGALVGLYQDGSMLTFEGDKFLGSAAIAGKLGSLPFQQCHHKIDTVDCQPSGPQGGVLVFVSGAITTGPGEHPLKFSQMFHLLPAGGSFYVQNDMFRLNYG* >Brasy3G093100.1.p pacid=40044410 transcript=Brasy3G093100.1 locus=Brasy3G093100 ID=Brasy3G093100.1.v1.1 annot-version=v1.1 MSLFDYRSVCNHFIVKELLHDIQLTAGVRCAEFCVKRIPQLFGILKVQISINELISQHTQDRADDYNTSLFIQLLRSNFLSLPVLYRPPGAHGHKPHMTFILPSLKVQSSKNRKIADKIGESGYYVVVPDFFNGQPVTDGTNLTEWIKLHSPVKAAKHAKPIFAALKKERKSVVGVGGYCWGGKFAVEVGKTNEVEAIVIAHPYIVTVDDMKEIKRPIEILGAQYDVTAPPKLVYQFVQALRQRNQIHYYAKIFPGVKHGFACRYNDTNPFEVRTAEQALALMLDWFGKYLK* >Brasy3G307200.1.p pacid=40044411 transcript=Brasy3G307200.1 locus=Brasy3G307200 ID=Brasy3G307200.1.v1.1 annot-version=v1.1 MGEQGELAAEKHVRYIVTAEKKKDSFESLVMEHLRLSGAYWGLTTLDLLHKLQAVDAREVVDWIMSCYHPESGGFAGNVGHDPHVLYTLSAVQVLCLFDLLDVLDVDKIADYVAGLQNEDGSFAGDIWGEVDTRFSYISICTLSLLHRLHKINVEKAVEYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHVDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYHGVKPMDPAYALPLDVVNRIFLRKQQ* >Brasy3G307200.2.p pacid=40044412 transcript=Brasy3G307200.2 locus=Brasy3G307200 ID=Brasy3G307200.2.v1.1 annot-version=v1.1 MGEQGELAAEKHVRYIVTAEKKKDSFESLVMEHLRLSGAYWGLTTLDLLHKLQAVDAREVVDWIMSCYHPESGGFAGNVGHDPHVLYTLSAVQVLCLFDLLDVLDVDKIADYVAGLQNEDGSFAGDIWGEVDTRFSYISICTLSLLHRLHKINVEKAVEYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHVDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYHGVKPMDPAYALPLDVVNRIFLRKQQ* >Brasy3G146000.1.p pacid=40044413 transcript=Brasy3G146000.1 locus=Brasy3G146000 ID=Brasy3G146000.1.v1.1 annot-version=v1.1 LPAPSAPDPAPLPHPLKWHVAPSPAPAACSPCSGAAHGGGLAPRKVERLHALLRPHPWRPGPESDGGSAEAAGFHQRQRHHRRPEPGIEMVWIYTNTGSLNHHTLSFLFRKKGMAYLHNEPNVIIHRDLKPSEYCRQGLSKIIKSQHANDVYKMTGETGSFQFLMDLELVHLGANA* >Brasy3G110500.1.p pacid=40044414 transcript=Brasy3G110500.1 locus=Brasy3G110500 ID=Brasy3G110500.1.v1.1 annot-version=v1.1 MGQDRPFCSWCRVCPGRHLKDGAFSSHQGVGVTMRHGRVRNHTLMPLGRLPKCAGQRNYWN* >Brasy3G077600.1.p pacid=40044415 transcript=Brasy3G077600.1 locus=Brasy3G077600 ID=Brasy3G077600.1.v1.1 annot-version=v1.1 MAFNPNPPGLGFPFPFNSPPNTNAPPNPFGPRPLPPRPQAPPPPRPPPVQPPPPPRRAPPPPTQPPPPRRAPPPPTQPPPPRLAPPPPTQPPPPRRAPPPPTQPPPPRRAPPPPQPPPPPRRAPPPPTQPPPPPRRAPPPPSPPPPPRRAPPPPSPPIRPPPPHPLAPPPPHISPPTPVPPPPPSPPHHMVIIVVFVSIGGLLLLACLAAFCWHKKKERKTERKAEVLNFSDHVHVHKSTMSGPEDSKVVRLTVDEDVKFQEAVKKSEAVGEASSTAAAGLAPYHSTWFWHKKHESKEEQKAELINVTGHIHVDEKIVEGPDGRKIEILSEDEDVRFEEADNEEESSGKSKTRITKF* >Brasy3G087600.1.p pacid=40044416 transcript=Brasy3G087600.1 locus=Brasy3G087600 ID=Brasy3G087600.1.v1.1 annot-version=v1.1 MTPSPSTSTPRTTISQEQITEHSLPNASRRDSHARLLAPHIPNHPPYASSSANPNSTPTTAGASRFASFQPRKNLEPASAVAAEGEATAQKGEAAAMRPKLKPDLLLSDAGLGFVLRYFPKSFKPRAGSSPASSLAPSPPCGTWTVVALHMAAGMMATADKAQALRQVQGRLHTLEQI* >Brasy3G170100.1.p pacid=40044417 transcript=Brasy3G170100.1 locus=Brasy3G170100 ID=Brasy3G170100.1.v1.1 annot-version=v1.1 MAPPEVCRGGDHLLESGLLLAHKESAPATLTGRKKSEASLPRPPKRMVHRAVAQLREVFLGTKLFPLFSVVPIAVAAEYIHLGRAWVFAFSLIGLAPLAERVSFLSDDLYSGLYLCRQIANTVGPTAGGLLNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLFGGLANVGKERPLNRRQADVSIGLLLLGILCHISAVASKFMINNGESINSSGVLKLSRASAVLMLIAYIGGLLFQLKTHRQIFEQDEDSSEISSGDDDDDDATDAPVIGFTSAVIWLIGMTTVIAMLSNYIVTTIEEASESFGIPLRFISIIILPIVGNAAEHAGAVIFAFKNKIDITLGIALGSASQISMLVVPIVLIVSWANGIPMDLDFNLLETGTLVMTVIATAFALQDDKWHYLKGFNLVLSYVVIAVCFFTMKALPNK* >Brasy3G040000.1.p pacid=40044418 transcript=Brasy3G040000.1 locus=Brasy3G040000 ID=Brasy3G040000.1.v1.1 annot-version=v1.1 MEEAGAGDGVLLEVVVFPWLAFGHMIPFVSTPGNLARLPPPASRRLRFVPLPLPRVEGLPEGAESPATTGSSRRPATASPRPSRRRSSSMVMLPPAGGSGGRTGSCATSATTGSRPSPTSTT* >Brasy3G268000.1.p pacid=40044419 transcript=Brasy3G268000.1 locus=Brasy3G268000 ID=Brasy3G268000.1.v1.1 annot-version=v1.1 MGYVLLLPSPPLVAFRRHPSSDAAGRHRARRGASIVASSSDGGPSPAQAPGYVLARRAVLLGVSALPLLRDTAAKAAAPSNGGLLTVYGMLLPQGFIRRKGFLAPRIDRQAQNCYKTFKIGRNKVICRPNEAMLDETKEVSKVDEPQTGGTQAETPLLEAPQSELPTPVVVQEQPQGNPLAGLLNAIAVVASGVLAALYGTSRQEKKALQSVVSSMETKLAENEAAISLMRENYEKRLVEQQAAQKKQSVKFQEQEASLLDQLASSKKTVTSLSEEFRREKTRAEELKNEIQRLEISIAQAGDNKDVLEAKLTEKLNEIDVLQEKLSLLNQQADDKEKHIKELSSSLSSKEVDYQNLCSFSDQTKESLELANVKIQQLEDEIHTTKKDLASKASLIDSLNEKLEILNSAKNEAEERINELIKEYADLKASSETRASHDSELLSEKEDLLKHLEEKLSVALSDSSKDHEIIVELNKELAATKAMLESEVVTVNSLRDSLQSTEETLRDSRTEVSKLSEELDEARRLDQDLELQISKLQEEFNEMQEGLTYKIGEVESVSRALSDELVSVKEMVQKGHEELEATSSQLASVVEARDNLKKELLDVYKKFESTTQELVEERRTVTTLNRELEALAKQLQVDSQARRALEADLDEATRSLDEMNTSALSLSKALESTHSKNSTLEAEKEVLSKALDEEKKKTIQAQENSEDAQNLISRLQTERESFEMRSRHLEEELALAKGEMLRLRRQISASRSQNTKNFPRTSATTETSSVPRTSAPTETSQVPNEQPVNDRNQKTSEVASGSPYTVKRTTRRRKGGAST* >Brasy3G239400.1.p pacid=40044420 transcript=Brasy3G239400.1 locus=Brasy3G239400 ID=Brasy3G239400.1.v1.1 annot-version=v1.1 MARVPPGRPYSPTNFHGSIRFTYCGSSFLHFRREFVQKTSFLRLRAPYITESFFVVAKRNVC* >Brasy3G156700.1.p pacid=40044421 transcript=Brasy3G156700.1 locus=Brasy3G156700 ID=Brasy3G156700.1.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYGRSGKNALHAAATNGDKAWKLTRITEQAKTLNWNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.7.p pacid=40044422 transcript=Brasy3G156700.7 locus=Brasy3G156700 ID=Brasy3G156700.7.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYGRSGKNALHAAATNGDKAWKLTRITEQAKTLNWNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.6.p pacid=40044423 transcript=Brasy3G156700.6 locus=Brasy3G156700 ID=Brasy3G156700.6.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYGRSGKNALHAAATNGDKAWKLTRITEQAKTLNWNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.2.p pacid=40044424 transcript=Brasy3G156700.2 locus=Brasy3G156700 ID=Brasy3G156700.2.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYGRSGKNALHAAATNGDKAWKLTRITEQAKTLNWNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.3.p pacid=40044425 transcript=Brasy3G156700.3 locus=Brasy3G156700 ID=Brasy3G156700.3.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYVMQDGVAKMLYMLRLQMGIKNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.4.p pacid=40044426 transcript=Brasy3G156700.4 locus=Brasy3G156700 ID=Brasy3G156700.4.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYVMQDGVAKMLYMLRLQMGIKNEVSMLMLRAVPQQATSVYNLHKITKQQAIDASRKDAKSLTQIYTSNTSLVAILTTTITFAAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G156700.5.p pacid=40044427 transcript=Brasy3G156700.5 locus=Brasy3G156700 ID=Brasy3G156700.5.v1.1 annot-version=v1.1 MDRRLLQAAISGDSTSMNAMATQDPNNLLGTTPSGNTCLHISSIHGHGRFCEDVLEREESLLTAVNYDEETPLVAAVRSGRVSLASVLLGYCLSRQLRDAILRQDKDGCNALHHATRSGHMDVAMELIAAEPALSKGVNKYGRSGKNALHAAATNGDKAAFTLSGGYSSDAGNEGLPIMSKKFAFQAFLIFDVLAMCSSFVVAFICIVARWEDYEFLLYYRSFTKKLMWFAHVATTTAFSTGLYTVLAPHLHWLAVAICVPVASLPILTKLLGQWPVLKLRFRLGKTFNSDLLDMV* >Brasy3G226100.1.p pacid=40044428 transcript=Brasy3G226100.1 locus=Brasy3G226100 ID=Brasy3G226100.1.v1.1 annot-version=v1.1 MAHMKPEEVSHPPMDQLQGLEYCIDSNPSWGEAVALGFQHYILCLGTAVMIPTFLVPLMGGNAHDKAKVVQTMLFVTGINTLLLQTLFGTRLPTIIGGSYAFVIPVISIIRDPSLTQIADDHTRFIMTMRATQGALIISSCIQIVLGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVGIGLLFDGLFGTVAGSTVSVENVGFLGSTRIGSRRVIQISAGFMIFFSILGRFGGLFASIPFTIFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIIGISLFLGMSIPEYFFRYTMSSQQGPAHTRAGWFNDYINTIFSSPPTVALIIAVALDNTLEVRDAARDRGMQWWERFRTVPRGQPERGVLHPALQPQPVLPAILKETTVGLVSRMNH* >Brasy3G167800.1.p pacid=40044429 transcript=Brasy3G167800.1 locus=Brasy3G167800 ID=Brasy3G167800.1.v1.1 annot-version=v1.1 MSGSLDRFARPCLEGSSSHDERRERKSDVDNSDDDRRTRMGSLKKKAINASNKFKHSLKKTSRRKSESRGHSISIEDVRDFEELQTVDAFRQSLILDELLPAKHDDYHMLLRFLKARKFDIEKAKRMWADMLLWRRDFGADTITEDFEYKELDQVLEYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMERYVRYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQGVGLKNFSKTARDLMMRLQKVDNDNYPETLHRMFIVNAGPGFRMLWSTVKSFLDPKTTSKIQVLGAKYQNKLLEIIDANELPEFLGGSCTCSELGGCLKGETGPWMDPEILKMVISGKVRRKRQIVAVSKDDEKVISYDKSQHLATKASDTSTVESSSEVDDITSPKAIRTYITDAKLTPLCEEAKLRRAPSISANHCEYDVCVPVVDKVVDGNWKKENGPCFTETEESPVNLHARATAWLMAFVMMVFTLVRAFSSRLAKRSLDKSSEVDDNYSMYSLDYSTTKEEFRPPSPAPGFTEADVLSSVLKKLAQLEEKIDVLQLKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALMKQEELLAFVDRQEFAKFEQKKFCF* >Brasy3G167800.2.p pacid=40044430 transcript=Brasy3G167800.2 locus=Brasy3G167800 ID=Brasy3G167800.2.v1.1 annot-version=v1.1 MSGSLDRFARPCLEGSSSHDERRERKSDVDNSDDDRRTRMGSLKKKAINASNKFKHSLKKTSRRKSESRGHSISIEDVRDFEELQTVDAFRQSLILDELLPAKHDDYHMLLRFLKARKFDIEKAKRMWADMLLWRRDFGADTITEDFEYKELDQVLEYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMERYVRYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQGVGLKNFSKTARDLMMRLQKVDNDNYPETLHRMFIVNAGPGFRMLWSTVKSFLDPKTTSKIQVLGAKYQNKLLEIIDANELPEFLGGSCTCSELGGCLKGETGPWMDPEILKMVISGKVRRKRQIVAVSKDDEKVISYDKSQHLATKASDTSTVESSSEVDDITSPKAIRTYITDAKLTPLCEEAKLRRAPSISANHCEYDVCVPVVDKVVDGNWKKENGPCFTETEESPVNLHARATAWLMAFVMMVFTLVRAFSSRLAKRSLDKSSEVDDNYSMYSLDYSTTKEEFRPPSPAPGFTEADVLSSVLKKLAQLEEKIDVLQLKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALMKQEELLAFVDRQEFAKFEKKFCF* >Brasy3G246400.1.p pacid=40044431 transcript=Brasy3G246400.1 locus=Brasy3G246400 ID=Brasy3G246400.1.v1.1 annot-version=v1.1 MPTGRHCWKPSVRCRRTMVGTKGYLVTTSHWSSVVSDCIPVSQLNLLG* >Brasy3G196100.1.p pacid=40044432 transcript=Brasy3G196100.1 locus=Brasy3G196100 ID=Brasy3G196100.1.v1.1 annot-version=v1.1 MIRKGFSFSCPPPPPGFRKFETPNRRSEDDPLPTPPPNSRKLRARPFAAGEPTDSWPVDPLARGARSSGLSRGRGDATRRRGRGAATMGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFVPSSPLEDSASSVADGGDCQTISGSSPNSSLGLKFNEQARARYINKPADGGVTALHMAALNGHLDCMQLLIELGANVSAVTFPYGTTSNLIGAGSTPLHYAAGGGNQECCQLLLSKGASRLTLNCNGWLPLDVARIFGRRSLEPLLSPNSHSIIPVIQPSSYLALPLMSILNIAREFGLQHTISSVDDSDLCAVCLERSCSVAAEGCGHEFCIKCALYLCSTSHVRVEFTGPPGSIPCPLCRSGIMSFTKLPGTPTEGLKSSSALTFCNPCILNTRSVDSPATICKAEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCGSTETQDGSETQSPRPSHSASTELDKRGEQDLDRTTCSRMFWSRRSCQREQQCNAEINA* >Brasy3G016200.1.p pacid=40044433 transcript=Brasy3G016200.1 locus=Brasy3G016200 ID=Brasy3G016200.1.v1.1 annot-version=v1.1 MAPFGGDPSSVAAMKELNVKLGRSIHGSRGLLVNTFHALEGPYLDFWNQQFRPRCWAIGPLFLSRPATAHAPRPSWMAWLDENASPVLYVALGTLAAIPEAQLREVADGLERAGVGFIWAVKPANADLGPGFEERTKGRGLVVREWVDQPEILHHRAVRGFLSHCGWNSVLESVAAGVPLAAWPMQADQAFNARFAVDELKIAVRVHTSDLTMRGLVTSKEISGVVRELMLGERGAEAGKNVAELAVLAKEAVAVGGSSWNTAEEMIAGLCATNNVDEMVFKASQEEEPAGV* >Brasy3G096500.1.p pacid=40044434 transcript=Brasy3G096500.1 locus=Brasy3G096500 ID=Brasy3G096500.1.v1.1 annot-version=v1.1 MAAPGGLEQWQKDGFFQAAEEVQESADLMESTYRTWMHERSSRASPEELNDLRRELQTVLGTAKWQLEQFERAVRSSNDKYSLEEGTVARRRQFIVAIGDQISRVEKATNDSSIENGRRGINWVKLDEDEQDDLVAFLSAPAQLSGETRKREGSYHSPPRQNNVLVGANNHRDIAAISKDRHTFEASPMEISDVESEVRSLAEQLNAHKTNLSSSDDHWKINIADEKDDGKKLSPKRVEVSNQTTTLSGILKSTESLTRVRWFRNSLWKPKSDEHLPLRYDVPNHLDFRSITLLAQRFNGLTERSRSCFNSWKENSRISGRTGGLHIQSQQHNTQFGGRLIRITLLLVLSIFLIVPFLICSA* >Brasy3G006400.1.p pacid=40044435 transcript=Brasy3G006400.1 locus=Brasy3G006400 ID=Brasy3G006400.1.v1.1 annot-version=v1.1 MPVPPPEQDWAEDLPRDALSCVLHKLGTVELLIGGAAGVCRSWRRAAREEPELWRRIDMRDPSVPPFSPRVSLGIMVRQALRLSAGQCEVFFGGECLHDEALLYLADQATSLKSLHLVRSYGLSNEAFAKAINKFPLLEELELSACYYNDDTIELVARVCPRLKHFIYVDPRYCPPTSNDKYLNLPYCRNINMDDDSIVEKCAGIYIDDYDYFLFLRPSIRDDDDFSITSRSDFGDDYVFNSYCLAGGDVDDLQLEEAERVLDNKCMRRYLS* >Brasy3G175000.1.p pacid=40044436 transcript=Brasy3G175000.1 locus=Brasy3G175000 ID=Brasy3G175000.1.v1.1 annot-version=v1.1 MEPPQPPPPPPPPPRRHEMSLTLTLALPPPALMTVPSSKPRRVARFSPTSTGADSGTPPSPCTECGKRFPSWKALFGHMRCHPDRQWRGITPPPYLVRAPPGPGQCFSVQEREVAASLLMLSSGSSGKGKKKSAASASASPGPSATAAESCEEHRCGVCDRGFASGQALGGHKRCHWERAACAAVIARNGAGSSGPASMSEQAAAVAEAVLDLDLPPPAVRESDQPGSSLNGMLDLKLGY* >Brasy3G044400.1.p pacid=40044437 transcript=Brasy3G044400.1 locus=Brasy3G044400 ID=Brasy3G044400.1.v1.1 annot-version=v1.1 MEEETTGSILAQVISMTSPRDACRCAAVSPAFRDAADSDAVWERFLPPDYDDILLRASGRHAPTPLSKKEAFRRLFVNGGSTGSGGGGGKCVALSARKLSLPIVAKNPDRSGRGTGDDDGESGWRWTPHPYIP* >Brasy3G215300.1.p pacid=40044438 transcript=Brasy3G215300.1 locus=Brasy3G215300 ID=Brasy3G215300.1.v1.1 annot-version=v1.1 MGCAASKVEQEDTVRRCKERRRNIRDAVAARPAQSSFRKPPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKTDLEEANRLRELDEEVVKARSYLHPGRRDRLKEEDDEAAGDDEEEDDEDAHCGGWEDEEDHYASTTTSETRSEEGEPGNRSECGFAARSEYGGTAPSEYAAAVPAPLQLRRRDERSEAGDSSSTVTAATEMRMVVRHRTLSEIVAAIEEYFVKAADAGDGVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESTEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIVVTSQAATTTSTAIVRVRDTELAPQLVELCFALLSMWRSMNHFHEIQSEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWYSNFNRLIKYQRDYIHSLYGWLKLTLFQVDSVAPQQAHASMISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYAKELEKKANSLRAIEKKYYQSYSMVGLGLPGSGRDGIEGHSFDARDPLSEKKTEIAQCRRKVEDEITRHAKAVEVTRSMTLNNIQTGLPGMFQAIAAFSSTVAEALDVVCRRAGSVR* >Brasy3G215300.2.p pacid=40044439 transcript=Brasy3G215300.2 locus=Brasy3G215300 ID=Brasy3G215300.2.v1.1 annot-version=v1.1 MMRRLKVPHILSDSSVASPAQSSFRKPPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKTDLEEANRLRELDEEVVKARSYLHPGRRDRLKEEDDEAAGDDEEEDDEDAHCGGWEDEEDHYASTTTSETRSEEGEPGNRSECGFAARSEYGGTAPSEYAAAVPAPLQLRRRDERSEAGDSSSTVTAATEMRMVVRHRTLSEIVAAIEEYFVKAADAGDGVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESTEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIVVTSQAATTTSTAIVRVRDTELAPQLVELCFALLSMWRSMNHFHEIQSEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWYSNFNRLIKYQRDYIHSLYGWLKLTLFQVDSVAPQQAHASMISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYAKELEKKANSLRAIEKKYYQSYSMVGLGLPGSGRDGIEGHSFDARDPLSEKKTEIAQCRRKVEDEITRHAKAVEVTRSMTLNNIQTGLPGMFQAIAAFSSTVAEALDVVCRRAGSVR* >Brasy3G333500.1.p pacid=40044440 transcript=Brasy3G333500.1 locus=Brasy3G333500 ID=Brasy3G333500.1.v1.1 annot-version=v1.1 MISSKKLAQLSKKWQGVGGIGRRITVVDKELHPSTSTVAGKGHCVVYSSDGRRFEVPLACLRTKIFQELLRMSREEFGLTSAGRITVPCDTAVMEYVTCLLRREASEDVERALLSSIVMNCHHPNRMMQPPSGLSQQFSVCSS* >Brasy3G086500.1.p pacid=40044441 transcript=Brasy3G086500.1 locus=Brasy3G086500 ID=Brasy3G086500.1.v1.1 annot-version=v1.1 MAKKMCAVTGGRGFMARHLVAALLRSGEWSVRITDLAPVAALEPSEKEGLLGAALRDGIAVYASADVCDLAQLTKAFEGVDVVFHTAAADPVNNSFKLHYKVNVEGTKNVIDACKTCKVKRLIYTSSSAVVFDGVHGLFGVDESIPYPDKFPDAYTQTKAEAEKLVKKANDTNELLTCCIRPGTIFGPGDMLVPYIVSYARTMFIIGDGKNSDDFVYVDNVVNGHICAERTLTTKSGAARSGGKAYFITNMEPMNMWRFPYMVLEELGSKRRIKIKIPTYLLKPITRVLGWSYNKLSSIYGERPNFWLTSVTIKYLTLNRTFSCDNAVEQLGYQPIVSLKEGIKITTESYKSMRLCAKKFIGA* >Brasy3G086500.2.p pacid=40044442 transcript=Brasy3G086500.2 locus=Brasy3G086500 ID=Brasy3G086500.2.v1.1 annot-version=v1.1 MAKKMCAVTGGRGFMARHLVAALLRSGEWSVRITDLAPVAALEPSEKEGLLGAALRDGIAVYASADVCDLAQLTKAFEGVDVVFHTAAADPVNNSFKLHYKVNVEGTKNVIDACKTCKVKRLIYTSSSAVVFDGVHGLFGVDESIPYPDKFPDAYTQTKAEAEKLVKKANDTNELLTCCIRPGTIFGPGDMLVPYIVSYARTMFIIGDGKNSDDFVYVDNVVNGHICAERTLTTKSGAARSGGKAYFITNMEPMNMWRFPYMVLEELGSKRRIKIKIPTYLLKPITRVLGWSYNKLSSIYGERPNFWLTSVTIKYLTLNRTFSCDNAVEQLGYQPIVSLKITTESYKSMRLCAKKFIGA* >Brasy3G048300.1.p pacid=40044443 transcript=Brasy3G048300.1 locus=Brasy3G048300 ID=Brasy3G048300.1.v1.1 annot-version=v1.1 MGGGSRGIPTCFRGVDNNTVCKDKLSSKGPGEARIEEAEYPAVAEPPGLRNILDMLQDQHLYCLYCGCKASFVL* >Brasy3G260700.1.p pacid=40044444 transcript=Brasy3G260700.1 locus=Brasy3G260700 ID=Brasy3G260700.1.v1.1 annot-version=v1.1 MPSGSTQFRYTQTPSKVLHLRNMPWEATEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVSICHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQLTLGPDGKVKEPESNVLLASIENMQYAVGVDVLHTVFNSFGTVQKIAMFEKNGGMQALIQYPDISTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTASNPSAQVQAAGQAPGLSTAGVAWQNTTPAASFYASSAGGNPVGQVPAWNPNMQQGAFASASTSYPTQSLMANSGPHYPAVGSSSGAPPMLYQAPQQIPQYGAPPAAPPHAPLAGQPMYFPK* >Brasy3G323500.1.p pacid=40044445 transcript=Brasy3G323500.1 locus=Brasy3G323500 ID=Brasy3G323500.1.v1.1 annot-version=v1.1 MATRRRCNHLSTAAAVVLLAAAMCLSLSPSPVTAQGTTPTQSKCQEDMAHLTECMDYATGHEPSPSSTCCGDISGTQKARPECLCYIIQQVHGAGQAHGTQQLGLRFDRVLALPSACKLAAANVSLCINLLHLTPSSPDYAMFLNASKMTPSTAAPMSDSAAAGSKAPAGLRYGVVAAAVVSAVFSSIF* >Brasy3G043500.1.p pacid=40044446 transcript=Brasy3G043500.1 locus=Brasy3G043500 ID=Brasy3G043500.1.v1.1 annot-version=v1.1 MASSSYFLLAALFALVSWQAMASDPSPLQDFCVADNSSRVFVNGVVCKDPKEVTAEDFFLAAKLDMPRDTKTSKVGSNVTLINVMRLPGLNTLGISLARIDYSPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPEGLIHFQFNPNPHVPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHH* >Brasy3G162600.1.p pacid=40044447 transcript=Brasy3G162600.1 locus=Brasy3G162600 ID=Brasy3G162600.1.v1.1 annot-version=v1.1 MRIGITFGTAAIESCVSRSWELRRTWCVCVLIQFQAKLPNLSTFLFWELSRRWPFACSFGPLNLGRGVVSRALVVTSDSQDSTTLTSVGDVYMRVCLLCNRCF* >Brasy3G121500.1.p pacid=40044448 transcript=Brasy3G121500.1 locus=Brasy3G121500 ID=Brasy3G121500.1.v1.1 annot-version=v1.1 MASKMKEHDGASPAKIFIGGLAKETSLGTFKEYFGKYGEIIDAVIMKDRYTQKPRGFGFITFSDPAIVDRVIEDNHVIDGKQVEIKRTIPKGAAPLKDFRTKKIFVGGLVASLKDDEFKDFFSKFGKVVEHEIIRDHSTNKSRGFGFIVFDAEKTVDELLAKKGNMIDLDGTQVEIKKAEPKKPSNPPHSFDNKPRSRPHADGYDGFNSSYSYGGSLAPYRSPGSFGARPSSYATAFLPGDYAGSYGGYGGALGGYRGESSLYSSRFGSGYSGSYGGGSYGGGLGGAYGRDDMGYGASSYGPSYDPSSGASASAGAGFGMGGLYGTRGGYGSSTGGGATGRYHPYGR* >Brasy3G059900.1.p pacid=40044449 transcript=Brasy3G059900.1 locus=Brasy3G059900 ID=Brasy3G059900.1.v1.1 annot-version=v1.1 MPGGMMVPSGGGRNMIGGGRSNGVGGYASSSSSALSLGQNMMDGGMLHHQQHNQLPAILQHHLVDHHGHLLPQHQQAATSESDARGPHGIGGRNSNNDELEMSKSGGSNNLDGSGGGGGEEEDQQEEEEEEPAGKHPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRMLNLEPLQVKFWFQNKRTQIKTQHERQENTALRTENEKLRAENMRYKEALANASCPSCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGGAGAAIKPPSPPPPIPRRRSGELDKQMVIELAVAAMEELIRMARLGEPLWVPSSSLSGGGETLVEEEYARAFPRKHSSSSSSPAPAENHPPRSEASRETGVVIMDQATLVSILMDVHQWSSMFSSIVSRAATLDVLSTGVAGNLDGALQLMSAELQVPSPLVPTRELPLFLRYCKHHPHGAGGAGTWAVVDISLDNNSASVVSPSSGGNSNIRRRASGCVIQEMPNGYSKVTWIEHLELPVSSSSMVHELYRPLVASGTAFGARRWVSTLKRQCERLASAAMSAHPSSADSVVTSAEGRRSMLRLAERMTASFCGGVAASATHQWTTLSGSGEADVRVMTRKSVDDPGRPPGIILNAATSFWLPVSPAEVFAFLRDDSTRSEWDILSNGGVVHEMAHIANGQNHGNAVSLLRVNNANANQSNMLILQESSTDEESGWSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGTPPDSGGNGGCVGGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVVVSASDHHHHR* >Brasy3G120600.1.p pacid=40044450 transcript=Brasy3G120600.1 locus=Brasy3G120600 ID=Brasy3G120600.1.v1.1 annot-version=v1.1 MDLLLLLSLLSKVGLGLMERPTRRNATRIDLKSILGYVKAMHKTLFKASRRAKTALSLVNAERRKNGEDEDEEEDVESAYQGRISGRRLRLWLRVSLVATEQSRSSTRKLVQNLGTVVGF* >Brasy3G173000.1.p pacid=40044451 transcript=Brasy3G173000.1 locus=Brasy3G173000 ID=Brasy3G173000.1.v1.1 annot-version=v1.1 MLFRSVSRARDAHRDPAQSSPRTLYFSGPSRILCVFTRGLTVTWPIPTPSPGVCRKTVQTQVQTQTNEEDMNSSDVATKKQRRPKTKQNSNSIHGLLQESLCRRTLETSTVSAEATTREEAKHPPAANLEKKKLLAQML* >Brasy3G057200.1.p pacid=40044452 transcript=Brasy3G057200.1 locus=Brasy3G057200 ID=Brasy3G057200.1.v1.1 annot-version=v1.1 MLGVLFEPQHSYGRIMLTKLFTFVSIFDDIYDSYSTLEESKLLTRAMERWDEEAAEQLPGYMKFFYSKVLATVNTIEQDLKLQGRKHADYVKKLLIDATRCYYKEAEWREKGCAPATVEEHLLFSVPSSCCMHVPCLAFVSMGASSDAIDWAMAYPKIIRASCVVGRVINDIASHERERDQQQRAISTVEACMEENKYTAKEDAYRKLRELIEESWMDISEERCRRLMPAAAPLLEMVVDATRMLDFLYKDHVDAYTLAHSLKEIVDSIYVHPI* >Brasy3G115300.1.p pacid=40044453 transcript=Brasy3G115300.1 locus=Brasy3G115300 ID=Brasy3G115300.1.v1.1 annot-version=v1.1 MCTHAYCVISCIYTWISRVGRPLPCRVRSLGRPQGNKSLFYCVAGWRSHGELSICSILPRVLHPALVPSPRSACCFVCWVGSIHDFHDYYRQKHTELRSKCLC* >Brasy3G295300.1.p pacid=40044454 transcript=Brasy3G295300.1 locus=Brasy3G295300 ID=Brasy3G295300.1.v1.1 annot-version=v1.1 MTGGRVEAAPRLAQWRVDALPCYTYRKSDPFRVGLWNWYLSVERNNKQTCVKLFAELSNSAKNTVPAPIASFVTKLLISFPPNEKIIVHPGIFDKHLKHDGFVWAIDSTVTGRFVIEIEFLDLKVPDPSGGEPASVWASYQIKQSSDNTALSSLARMLQECILTDITINATDGSIRAHRAILAARSPVFRSMFSHDLKEKGLSTVDISDMSLDACQGFLNYVYGNLQNEEFLAHRLALLGAADKYDIADLREACHESLLEDIDTGNVIERLQVAHLYRLPRLKEGCLRFLVDFRKVYEMHDDFNAFLQTADRDLVAEVFQGVLAAWSGR* >Brasy3G030000.1.p pacid=40044455 transcript=Brasy3G030000.1 locus=Brasy3G030000 ID=Brasy3G030000.1.v1.1 annot-version=v1.1 MLTPMRMLLRCRRPPIPSAAAASTFFTTSGGAPQAPAPPPPTPPAEPADEPEQEGSLAQRLERASSACAAIRGWMAAGRAVHRGHVFHAVNRLRRRRLHRTGLQVMEWVIRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLELSLIKLSYGYMRKMRELSLPISPYVYNRLIILHSSEGRRKTIPKILAQMKASKVAPHTSTYNILLKIQANEHNIDGVARVFNDMKKAKVEPNEITYGILAISHAVARLYTVSQTYIEAIKNSMTGTNWSTQEILLILYGYLGKEKELKMTWKLMQDLPHIRSKSFTLAIEAFGKVGSIEQAEEIWGEIKSARKLRLTEQFNSMLSVYCRHGVVDKASAVFKEMRASGCQPNAITYRHLALGCLKAGLVKQALNTMDMGKKEVVTRKVRNSTPWLETTHMLLENFAEIGDLENAKIVYTELNESKYCRNSFVYNTLLKAYVKAKVYEPNFVRTMILRGAMPDAETHSLLRLIEQYKT* >Brasy3G334300.1.p pacid=40044456 transcript=Brasy3G334300.1 locus=Brasy3G334300 ID=Brasy3G334300.1.v1.1 annot-version=v1.1 MASIAGSALSFAKPVKAVNTNSLSFSSARKGNVFLRLQPVPMRFAVCCAAKTDTVEQVCEIVKKQLALPDTTQVVGASKFSDLGADSLDTVEIVMGLEEKFDISVEESSAQEIVTVEDAASLIDKLVSAKST* >Brasy3G085500.1.p pacid=40044457 transcript=Brasy3G085500.1 locus=Brasy3G085500 ID=Brasy3G085500.1.v1.1 annot-version=v1.1 MGSSLVLAVLFLLLIPMAGCSPPAEPVMCTHGTSDCTVTNTYGSFPDRTLCHAATVTYPRTEEEVIAAVAAAVSAKRKLRVSTKHSHGIPKLACPGGHDGAIVSTALLNRTVRIDAANRLMTVESGMLLRDVTQAAAAAGLSLPQSPYFYGLTIGGMVSTGAHGSSLWGKGGAVHEYVVGMRIVTPAPESEGFAIVRELVAGDPDMDAAKVSIGVLGVVTQITLALQPLFKRSVTLLKRNDSDFPEQVATWGRLHEFGDMTWLPALGEVAYRRDDRVDVSTPGNGLNGQALFRSTPTSEAIEARALEERLQQANATDVARCEALRRQAAEAERLGNGFTNDGIAFTGFPVVGYQHRIQTSGGCIDSPEDGLASSCVWDPRIRGTFYYNAGFSVPLSKAPAFVAEMQQLRDLNPAAFCAAVDPRVGVLIRYVKASSAYLGKPVDSAVFDIIYYRSRIDGTPRAHADVVDELEQLAFRKYSGLPHWGKNRDFAFDGAIAKYPDGGKFLKVKGRYDPDGLFSNEWTDKVLGISGSPNTIQKRCAIEGLCVCSKDSHCAPEQGYFCRPGKVYPEARVCSFQPFGHRD* >Brasy3G111800.1.p pacid=40044458 transcript=Brasy3G111800.1 locus=Brasy3G111800 ID=Brasy3G111800.1.v1.1 annot-version=v1.1 MNTCVTSSARGSLRLAVDAFHVLSSRRASPSVKTCNALLEALARTGNLDAARKVFDEMCDSKTVTPNGYSYTSMIKALCKAGKVDDGFKILADLIHAGLQQSAGVVPYNVLMDALCKSGRVDEALRLKGRMEESRVAPSVVTFGILINGLKRSDRFGEVGELLREMEGSGITPNEVICNELIDWHCRKGHFTEAIRLFDEMVSKEMKSTAVTYNLIARALCKEGEMEHAERILEEMLSTGMTIHSGLFNSVVAGLLQRTGRLESVVRLMSEMVKRGMKPNDALMTACTKQLCQGGRHQEAVGIWLKMLEKGLCINIATSNTLIHGLCEGKNMKGATEVLRTMVNKGMELDNITYNIMIQGCCKDSKIEEALKLRDDMIRKGFKPDTYMFNSIIHAYCDLGKMEEAIHLLGQMKIEGVQPDVVSYGTIIDGYCKAKDIQKANEYLNELMACGLKPNAVIYNALIGGYGRNSNISGAIGVLDTMESIGIQPTNVTYCSLMHWMCHAGLVDEAKTMFEQSRKNSIEVGVVGYTIMIQGLCKIGKMDEAMNYFEEMRSRSIPPNKITYTTLMYAYCKSGNNEEASKLFDEMVSSGIVPDNVSYNTLVTGFSQVDSLDKAIEKAAEISSVLTQNDCLDNVLVNRITTPWCETEVASSE* >Brasy3G262100.1.p pacid=40044459 transcript=Brasy3G262100.1 locus=Brasy3G262100 ID=Brasy3G262100.1.v1.1 annot-version=v1.1 MAAAAADGKKGGGGVLQGRYEMGRILGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMAEQMKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFARIVRSGRVREDVARHYFRQLISAVDFCHARGVFHRDLKPENLLLDEQGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYNGAKADIWSCGVILYVLLAGSLPFPDDNIVTMYKKVQRGDYRCPPWFSTDARRLVPKLLDPNPATRITIAELVETPWFKKTSIARPVSTEPPPPPIDHPVVCAAKEAEDKDEPETMNAFHLISLSEGFDLSPLFEGNSARGRREGGMLFATREPAKGVVSRLEEVAARGGGRMRVTKSGAGGVRFEGAARGGPKGRLAVAAEIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWAADPPASVALAV* >Brasy3G052800.1.p pacid=40044460 transcript=Brasy3G052800.1 locus=Brasy3G052800 ID=Brasy3G052800.1.v1.1 annot-version=v1.1 MEAAMDLMRRMPPGSTEMALNALLSLLPDHSLDLLSQVDLPLQVCMDKENNKEYILCEYNRDADSYRSPWSNIYEPPLEDGTVPSDEMRNLEIEANEVFSVYRDQYYEGGVSSVYIWEDEDESFIACFLIKKDGQGKRGHMQIGSWDAIHVIQVGSEEEGAAHYCLNSTVMLSLTTDDMQSGMFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS* >Brasy3G052800.2.p pacid=40044461 transcript=Brasy3G052800.2 locus=Brasy3G052800 ID=Brasy3G052800.2.v1.1 annot-version=v1.1 MEAAMDLMRRMPPGSTEMALNALLSLLPDHSLDLLSQVDLPLQVCMDKENNKEYILCEYNRDADSYRSPWSNIYEPPLEDGTVPSDEMRNLEIEANEVFSVYRDQYYEGGVSSVYIWEDEDESFIACFLIKKDGQGKRGHMQIGSWDAIHVIQVGSEEEGAAHYCLNSTVMLSLTTDDMQSGMFNLSGSIRRQGAAEKANSSIKGTYLLFCSVKYTEGPSSLLKVSS* >Brasy3G140100.1.p pacid=40044462 transcript=Brasy3G140100.1 locus=Brasy3G140100 ID=Brasy3G140100.1.v1.1 annot-version=v1.1 MPRARKRRAPAAAAAASECSPVQGVTPGKTAEAGVRVRDEGEAMEVEPEEANGVESDQEDPDEAEPEEQDPDVEDPEEAEEDPEEAADSDEGDDNEEGAGEDQGNEEEVVGLGDDAAGVESEKAGIKTGGDEEKGTLTVLKEGGDEDTCMEPAVVEKPEEAVKEDAMEDHTANLSGDGATADYMNEIPGEEHKESGDEGTHGDKDKDDSAGQLSVDGDVQTVELDPSLPALGPVSVENAKDLEIFVGGLPKDCAEEDITVVFSQFGEIESIKIIKHSARKKGNCIAFVRYMNTEAAKKAVAEFKEGVEVKGKTVNVSAAQGNNTLYLGNICKSWTKDKVLNTLKIIGVEEFEMSLPDDPDIGGQNRGFAFLKFSAPDKTEAAFQQLLKQPDAFIDIDRSANFSARTPTESSEELAMKIKTVYLEHVPLSWDKRKIEECCEAYGKIHEVRLVKKSRKKISFVEFSSRMSALACVEGINSAKIGGEGGEVKLAASLARPRRKIKLDDSAKGALKVNSGATSDDANNSLKKNDQKKEVVVKSSNKMPKRDVDKLVSRVDAEVAQTSNRYKGKKKSGKNEITTVDERASKKARKNRDVPTRPSNGTRHGGFSRAGYGGKSAGNMKRSAGPRYATSYQSYPAVGASSRCEPNSRDLEPHAGYIPPANRIPANHVQITYVYDHPRSVPSTIYHIDSLPHAREIGSLQPTYSGYTSNPQYQGGYAYTYLPPPPSGSYRLGSGAYSPPRRYTDYR* >Brasy3G084500.1.p pacid=40044463 transcript=Brasy3G084500.1 locus=Brasy3G084500 ID=Brasy3G084500.1.v1.1 annot-version=v1.1 MSEERTAPLRSAPPPGAHGSARGRAPLPQAPHASTAAPVPAPPTPRAAAHASAAALAPPPPAPLLRRRERTGAPGFVLGRVCLRERTAPCAAAHASTPTPPAPRAAPAAPCVAARASAPTPPFPRAAACCPARRRRLAAGEERRQGRKGRGRGASPCCLPRHRRPPLTASRSGARAGS* >Brasy3G017000.1.p pacid=40044464 transcript=Brasy3G017000.1 locus=Brasy3G017000 ID=Brasy3G017000.1.v1.1 annot-version=v1.1 MALSISAPASSSLLPASRQVGGRWSSPASSARPVASGLRRPVLAARAAAGNVPSSPLDQVVTELDVVSSFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMENSGDRMSCFLTKALGNVGAELAHQVPGRVSTEIDSRLAYDTQGIIQRVHELLQIYNEHDVPSERLLFKIPATWQGIEASRLLESEGTQTHLTFVYSFAQAAAAAQAGASVVQIFVGRIRDWARNHSGDPEIDEALRKGEDAGLALVKKVYAYIHKNGYRTKLMAAAVRNKQDVFSLLGIDYIITPLKILQSLDESVTDPDEKYGYVQRLTPSLDKMYNFSEEELLKWDQLSLAAAMGPAAEDLLASGLEGYADQARRVEELFGKIWPPPNV* >Brasy3G059800.1.p pacid=40044465 transcript=Brasy3G059800.1 locus=Brasy3G059800 ID=Brasy3G059800.1.v1.1 annot-version=v1.1 MKMGMERHSLGLGCEPVVGLLRPNHQREYKFSRKLTEGNCPLYAIGFNFIHPNHNDVFATVGENRVTIYNGLRDGNLAPLQAYIDEDKDEKFFTLSWASNLNGSPLLVAAGKSGIIRVIDCATKKLSKNLVGHGGSIYDIRTQPQNPSLIISASQDESVRLWNVHTGICIFIFAGTAGHRDAVLSVDFHTLDIYRIASCGMDNTVRIWSIKDFWPYVKKSNTWTEPPSQFPTKYVQLPLMSALVHSNYVDCTRWLGELILSKSVDNEIVLWKPKINDKNPAESSIDVLQKYPVPYCDVWFIKFSCDFHFNHLAIGNSKGEIYVWDVQACPPVLVTRLSNPECKKIIRHTAMSVDGSMILACSEDGSIYRWDEVKC* >Brasy3G281300.1.p pacid=40044466 transcript=Brasy3G281300.1 locus=Brasy3G281300 ID=Brasy3G281300.1.v1.1 annot-version=v1.1 MDPDSEVTFEFVPVIRQYKSGRVERLFPINPVPPSVDAATGVASKDATVDPATGLWARLYLPPDPPATGADDKLAIAVYLHGGGLVAGSAADAPEHAFLNRLCARARVLAVSVEYRLAPEHPVPACYDDAWAALRWAACSAADPWIRDHGDRDRVFVVGYSAGGNIAHNVALRAAGSDGPIRISGLGLLHPYFLAGEKGLAEGEMEHAWLRAKLEEMWAFACAGRTTGLDDPRVNPVADGAESLTRLRLGCDRVLVCLAEDELWFRGKAYYDGLLGSGWAEEDAELLDSVGEDHQFFLQEPESGKALALMDRLVALFSRNHQ* >Brasy3G172500.1.p pacid=40044467 transcript=Brasy3G172500.1 locus=Brasy3G172500 ID=Brasy3G172500.1.v1.1 annot-version=v1.1 MIGKRVSLLLKRNKLEITTALPSFEDPGHMEFLDAIKSSNFSKIRLELVHLNIKQTVYCIFATESRHNVLPAPSLKPLALNQRVQKEIISIHGLLYIPVEVRSFFANHLNFW* >Brasy3G279700.1.p pacid=40044468 transcript=Brasy3G279700.1 locus=Brasy3G279700 ID=Brasy3G279700.1.v1.1 annot-version=v1.1 MAPKRPAASPAASASASASDGEADPPHQDHHSPSPSPSPSPSPSRSRSKTPPPNLHPAAAALSSTPISAAIERAAASASESEGRLPSPRRSRERSPRLHSDSDNSAAASDEDNRTPPRRSAPRSSLIDPSDVRPISSRPMDSAARRASQRRSKRPRNTLLALPRSSVLSLDRHKRPPRVWSPQDEITILRSLISYRAKKGVLPASNQETGKLHDQIRGKLNAEASTTQLTDKVRRLKHKFRLLVDRAKEGREPELPTAHDRDLYELCKKVWGVKTGGAGSGGGSAYENNEVAESDEEQRSGESDEDMEGDWDDQDRSNNRRLKAVPVSNGMVNAIATGGRNRHGNSSGRGVVAKGKDMYPYLWEAVDELSKEHPSGTVFRKAFDVLVGPSALAMEEKLEKFKHSEIRQQLRRMDLMKETVKMVLDALEGSN* >Brasy3G251600.1.p pacid=40044469 transcript=Brasy3G251600.1 locus=Brasy3G251600 ID=Brasy3G251600.1.v1.1 annot-version=v1.1 MASGKSSVLLDVKPWDDETDMVKLEEAVRSVKMEGLTWGASKLVPVGFGMNKLQIMMTVVDDLVSVDDLVEDHLCAEPVNEYVQSCDIASFNKI* >Brasy3G251600.3.p pacid=40044470 transcript=Brasy3G251600.3 locus=Brasy3G251600 ID=Brasy3G251600.3.v1.1 annot-version=v1.1 MASGKSSVLLDVKPWDDETDMVKLEEAVRSVKMEGLTWGASKLVPVGFGMNKLQIMMTVVDDLVSVDDLVEDHLCAEPVNEYVQSCDIASFNKI* >Brasy3G251600.2.p pacid=40044471 transcript=Brasy3G251600.2 locus=Brasy3G251600 ID=Brasy3G251600.2.v1.1 annot-version=v1.1 MASGKSSVLLDVKPWDDETDMVKLEEAVRSVKMEGLTWGASKLVPVGFGMNKLQIMMTVVDDLVSVDDLVEDHLCAEPVNEYVQSCDIASFNKI* >Brasy3G218900.1.p pacid=40044472 transcript=Brasy3G218900.1 locus=Brasy3G218900 ID=Brasy3G218900.1.v1.1 annot-version=v1.1 MADSVVADEEEDRISTLPDDLLRYMLSFLPSRQVVWTSVLARRWRNLWKSVPAVRINEEEIDAWFVNSLLLLRDRAPLHEFEIISYLDDTYTPQHMELWLRYAASCHVQVLRVDVDLGESEYLRLPDMTLICQQLTTLDLCGVELGEHTLDFSSCPVLEVLVMYYCEIKAGKILCQSLRQLVMDNCLFVWDIRTCISCPNLTDLVLNDNVGLTPFLESMPSLVTASIGFDGEWDDREDCYDHCCNGGYYGGCDDGSCFACHFISTESDGCVILEGLCGATNLELTSAPEMFICRRDFKWCHMFSKLKTLLLDEWCLAADFCGLVYFLRYSPILEKLTLQLSCYEQINESYKPTKQLLVSKNLKVVQIKCQSGDERIHLIIKILISLRIHLIIKILISLGVSAQHINNQQRLVSV* >Brasy3G020700.1.p pacid=40044473 transcript=Brasy3G020700.1 locus=Brasy3G020700 ID=Brasy3G020700.1.v1.1 annot-version=v1.1 MVGFFRSGNLASRVFDRQFLSPRPGAAVNTVRQFYENLVPSYTIYDVECPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTFSCHEECDSHDLPDQARRFDSFFKQLYSISLASSNEYICKDFFLYMEGHKYGLFATSTAQSNDPNATEGAIHGVPSIEKITFYLVRLEDGAILDEKAFCNDFINLAHSIGAYLYEDLVCIVSLRYQTIHVLQIRDSGNLVEVRRIGAFCQEDDELYLHSHGQAAQGSSFLPGIKQRLLSFIFRKTWNEEPDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTEIVSLYQNSSEELYSLFEHFYDHFHANPQNSSHGNFISSHSNSVHALDQLRTIKNKASSSPQQFVKKMMASLPYTCQSQSPSPYFDLTLFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNVHFRR* >Brasy3G142600.1.p pacid=40044474 transcript=Brasy3G142600.1 locus=Brasy3G142600 ID=Brasy3G142600.1.v1.1 annot-version=v1.1 MLARACRVLFLPKPSARVLPAAAAIHLSSFPAATARPPMEASPEWPARRVRETFIQYYESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSQLGLLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKDEAIGYAWELLTQVYKLPTDRIYATYFGGDEKAGLAPDIESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGALRTLPAKHVDTGMGFERLTSILQSKMSNYDTDVFMPLFDAIHQLAGVGIQPYSGKVGTDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLMAKQGFFSSLVHVFVRVMGDVFPELKENEKKIKDIIKDEEESFENTLAKGYEKFKKAADAVKENGGAVLSGQDAFILWDTYGYPIDLTEVMAVDYGLTVDMEGFTLSMEEARQKARNARFKAGGKSIVLDANATAQLRNQGLASTDDSPKFQHKEHHSVVKAIYTGSEFIDTASGAEDFGLVLESTSFYAEQGGQIYDTGSIEGLSGSFTVSNVQVFAGYVLHIGSFMEGSDTKALSVGDEVRCKVDYNRRTLIAPNHTCTHMLNFALREVLGDHIDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIEFIVNQQIKEELEVSAREIKLADAKRINGLRAVFGEIYPDPVRVVSIGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAAAFALVSEEGIAKGVRRITAVTAECAFEAMKLASSIDADIDEASKLEGVILEKKIASVKNTLDAASIPAARKADLRGKVTKLEDQLRKAKKKMGDENIQKAVKTAIDAAEAALSEGKPFCVAHVDVGLDTTAVREAVVKAMNRFKSLPIMLFSSDEASNKAVIYAGVPPDAPNGFKVLDWLTPSIAPLKGRGGGGKNGLAQGQGSDASQLKLAMELATQIASMKLS* >Brasy3G142600.2.p pacid=40044475 transcript=Brasy3G142600.2 locus=Brasy3G142600 ID=Brasy3G142600.2.v1.1 annot-version=v1.1 MLARACRVLFLPKPSARVLPAAAAIHLSSFPAATARPPMEASPEWPARRVRETFIQYYESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSQLGLLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKDEAIGYAWELLTQVYKLPTDRIYATYFGGDEKAGLAPDIESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGALRTLPAKHVDTGMGFERLTSILQSKMSNYDTDVFMPLFDAIHQLAGVGIQPYSGKVGTDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLMAKQGFFSSLVHVFVRVMGDVFPELKENEKKIKDIIKDEEESFENTLAKGYEKFKKAADAVKENGGAVLSGQDAFILWDTYGYPIDLTEVMAVDYGLTVDMEGFTLSMEEARQKARNARFKAGGKSIVLDANATAQLRNQGLASTDDSPKFQHKEHHSVVKAIYTGSEFIDTASGAEDFGLVLESTSFYAEQGGQIYDTGSIEGLSGSFTVSNVQVFAGYVLHIGSFMEGSDTKALSVGDEVRCKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIEFIVNQQIKEELEVSAREIKLADAKRINGLRAVFGEIYPDPVRVVSIGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAAAFALVSEEGIAKGVRRITAVTAECAFEAMKLASSIDADIDEASKLEGVILEKKIASVKNTLDAASIPAARKADLRGKVTKLEDQLRKAKKKMGDENIQKAVKTAIDAAEAALSEGKPFCVAHVDVGLDTTAVREAVVKAMNRFKSLPIMLFSSDEASNKAVIYAGVPPDAPNGFKVLDWLTPSIAPLKGRGGGGKNGLAQGQGSDASQLKLAMELATQIASMKLS* >Brasy3G281700.1.p pacid=40044476 transcript=Brasy3G281700.1 locus=Brasy3G281700 ID=Brasy3G281700.1.v1.1 annot-version=v1.1 MAGSVASALLLLLNMAGALLAPRAPQAQSPPASAGDGDDVDFFFFPFLVLYKSGRVERFMGTDTVPASVDPATGVASKDVAIDDQAPSSPGLAVRIYLPPSLANANGTAKKLPLVVFFHGGGFVTESAFSPTYQRYLNALASKAGALVGSVDYHLSPEHRLPTGYDDAWAALQWVLRSARSGAEAEPWLHRHADLARLFLIGDSAGGNIAHNMAMRAGREGGLPGGAAIEGIELLDPYFCGKRPVPSETRDAELRRWRERTWSFVCGGNYGADDPVINPVAMASEEWRRHLACARVLVTVAGLDMLAPRGRAYVQALRGSGWGGDVRLYETPGENHVYFLLKPDGEKAAKEMAKFF* >Brasy3G083900.1.p pacid=40044477 transcript=Brasy3G083900.1 locus=Brasy3G083900 ID=Brasy3G083900.1.v1.1 annot-version=v1.1 MGLELTDTASRCTTGKAMGSHEFEIDGYSLKKGMGVGNLVRSAPFTEDAKDHVAICLEFMSSSDDMGLVKHATGLMGAGFVRSETSTHAIDKTFRIPRIKLEGSKYIQDDRLIIKFVLTVLKESQVFQTKEVPPSNIAEHLGSLLGAKEGADVAFSVGGEAFEAHKIVLAMRSPTRIQCVTIEDMQPPVFRALLHFIYTDSLPNLDDLVEDDDANCEMMRHLLVAADRYAIDRLKLICQNVLAKNLDVENVSTTLALADQYNCERLKDVCIEFIVSSNEKDAVVATNGFANLKRTCPSVLVDLFEKTSRLRKA* >Brasy3G277300.1.p pacid=40044478 transcript=Brasy3G277300.1 locus=Brasy3G277300 ID=Brasy3G277300.1.v1.1 annot-version=v1.1 MGTFLGHLVPGLAFAVLGLWHTLNTIKSYKLKGASGFRSSTWFPFPSPLPALKHLELYLLLAFSALAIADQLVAGLFLYPAPQISLQPDALEHATMYLHLSVYASAALAADVFVSPHGDVVAALAASVFGQELFLLRLHSADHEGLEGHYHWLLQLVVTASLVVSCASVVLPRSFAVAVVRAASVLLQGVWFVVMGFVLWFPAFVPAGCRGVGTEEYEGSTGAAMAMAMRSAVACDTEEAARRAAAMANLQFSWALAAVWVITACLCFRVDYRSMEYVQIQAPRADDGRAQLAGSNGESPQKRVFPVLEDV* >Brasy3G014700.1.p pacid=40044479 transcript=Brasy3G014700.1 locus=Brasy3G014700 ID=Brasy3G014700.1.v1.1 annot-version=v1.1 MDKSRSGTMDVSVNIPVASSMETMSNDDMLAIAVPRNSPSFKIMPLRDDTIACPSPDDSIFGAKRAAVLLVKKVIAEFLGTFMLIFILLSALVTNAAHGGVLGLLGVAATAGLAIVVIVSALFHVSGAHLNPAVSVAMAVFGYLPRAHLAPYMAAQLLGSITASLAAKGIYHSTNLGAIATTVPTLGNMEAFFIEFITTFILLFVIIAVATDPKAVKELVAVAAGAAVMMNALVSAESTGGSMNPARTLGPAIATGTYTKVWIYMLAPPLGAISGTGAYIALKH* >Brasy3G059600.1.p pacid=40044480 transcript=Brasy3G059600.1 locus=Brasy3G059600 ID=Brasy3G059600.1.v1.1 annot-version=v1.1 MATPSHGLPFAPLRTLLIALPLLSLLLLLPHHLLPSRHTPSTPTPPPLVVHAPLRAAIHRAAKAGGPSSPSPATKKTTLSHVVFGVASSRRTLPLRLPLLRLWLRPPARAFLFLDGPLSAVPSPLPRNLHIRVSRDASRFPYSHPRGLPSAVRVARIASDLLLDLKQGQRNSPPPRWLVLADDDTAFVLPNLLHTLAKYDWREPWYLGARSESAAQNAWHGFAMAYGGGGVAVSWPLAARLARVLDSCLLRYPHLYGSDARIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLAPLVSLHHLDHVYPLYPGMDRAKAVDHFFRAANADPARILQQTVCYDQSRSLTASVAWGYSVQVFKGNVLLPDLLAVQKTFVPWKRGRNVTDVFMFNTKHYPRDECKRAALFFLKSISSGEGKTESNYSRQLPRKCLPHLIPLRNLHQIKVTSDLLHLIPGKALRRHCCDIIPSPEITMDINIRKCKDNELIAMHS* >Brasy3G105100.1.p pacid=40044481 transcript=Brasy3G105100.1 locus=Brasy3G105100 ID=Brasy3G105100.1.v1.1 annot-version=v1.1 MNGMAGRCKCMVVLTYYPRARFEPQKTQSEQKHTCQLYTNSRCLYGRTSSSIVVKCADCQVQ* >Brasy3G068500.1.p pacid=40044482 transcript=Brasy3G068500.1 locus=Brasy3G068500 ID=Brasy3G068500.1.v1.1 annot-version=v1.1 MTTVEQKIDELTAKIESLSTNVDNVTTKVDKLVTNVTKLANTITTVLDKVTIIDRWRLGFDKFVVDLSTAVKNLTSRVQALEKAPLAAPPTAPSREEGGRGQRPQLRYRSPR* >Brasy3G033100.1.p pacid=40044483 transcript=Brasy3G033100.1 locus=Brasy3G033100 ID=Brasy3G033100.1.v1.1 annot-version=v1.1 MALAQLQAQARFVGAAATNAGVELDVTEQSRWVSRVRRRMEAGAEELGAVAKVFDVPRVLRATRPEAYAPQHFAVGPYHYQRAELRDMERYKLAAAKRAEKLFAGDKKFDDLVAKFVGMHDMIRAPYHRFLELNEQTLAWMMAIDTCFLLDFLESYHVDSATDMVSSATSWINAVVRDAMMLENQIPLFLFAGALQLRHHPSEQAAAAAMRAVFDRFIKEVCPIKTAALSIAGDISKHAHLLELLYHFLVPESAVFTAEDRELPPLVPEEISLDMLEQQVPDYDKVKQACFQVSSLDVAPVRFVKKNLVSKPMSMASSLPGKVMRKVPLLSAMAPLVGKLVSSTDVESRLKGVNLGSIVNSPLMHEIMIPSVTQLAACGVRFAPAPEGMAGIAFDAATATLTLPILHLDGNTEVILRNLVAYETAAVRGPLVMARYTELMNGIVDTAKDVKILREAGVIVNGMKSDKEAADMWNGMCRAIRPSKVPMLDGVIRGVNAHRNARAAVRARKLLKKYVFRSWRVLTLLAAVVLLLMTAMQTFCTVYDCKRWFGGVLGLPELTTGGPGGGR* >Brasy3G044500.1.p pacid=40044484 transcript=Brasy3G044500.1 locus=Brasy3G044500 ID=Brasy3G044500.1.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLPGSYYYAHPEEYTAAEVDPACNGTETTPRCVNLKKIEINCPGGDKRVHFIVKILLANTTSLPEINIKQYNI* >Brasy3G044500.8.p pacid=40044485 transcript=Brasy3G044500.8 locus=Brasy3G044500 ID=Brasy3G044500.8.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLASSWILLLCTP* >Brasy3G044500.2.p pacid=40044486 transcript=Brasy3G044500.2 locus=Brasy3G044500 ID=Brasy3G044500.2.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLASSWILLLCTP* >Brasy3G044500.4.p pacid=40044487 transcript=Brasy3G044500.4 locus=Brasy3G044500 ID=Brasy3G044500.4.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLASSWILLLCTP* >Brasy3G044500.5.p pacid=40044488 transcript=Brasy3G044500.5 locus=Brasy3G044500 ID=Brasy3G044500.5.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLASSWILLLCTP* >Brasy3G044500.6.p pacid=40044489 transcript=Brasy3G044500.6 locus=Brasy3G044500 ID=Brasy3G044500.6.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLATWILLLCTP* >Brasy3G044500.3.p pacid=40044490 transcript=Brasy3G044500.3 locus=Brasy3G044500 ID=Brasy3G044500.3.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLATWILLLCTP* >Brasy3G044500.7.p pacid=40044491 transcript=Brasy3G044500.7 locus=Brasy3G044500 ID=Brasy3G044500.7.v1.1 annot-version=v1.1 MPRSNGRSGAGDRISALPDELIHHVMSCLTAREAVQTCVLARRWQNVWASSRCLNLDSDRFTGLQRFKKFVDSFIVHRGCTQLDAFWVRAAFGDSGSDNFTDYAEIHPWVCHALRSHTQVLGIVHDGDMLTIRGTFASLHLKRLHLRYFYIDNRTVKMLFSGCPVLEELELINCFITATALSSTTLKRLTVTFPDRELYNEDFQDLSIDMPNLISLYIKDFRDRAPHLVDVSSLQTASIYLNCFAFEGSDSDTNVLRALSNATSLESLSLTLHDEAIDVLARDLTRCRPFRNLKALSLGEWCLNAGGNTLLCWLRCSPNIEKLILHLSLATWILLLCTP* >Brasy3G195100.1.p pacid=40044492 transcript=Brasy3G195100.1 locus=Brasy3G195100 ID=Brasy3G195100.1.v1.1 annot-version=v1.1 MAHVLAAAEPITMFKRPPPPNDDENTPPVNKAARHRDPPPSTDPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRKLFAGELGPDRGDLYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRTSGVTATFVDADDEDAVRAAVRPGETKVVYVETMSNPTLAVADIPMLARVAREAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNSMMDLQDGALMLLGPTMNAKVASELAARLPHLPLRMQEHSRRAGEFAARMQRMGLRVVYPGLQDHTHHARLRAMANTGYGFGGMLCVDMGTEERAARLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMDPNDRALAGISPGLIRMSVGYNGTLEQRWAQFERAFALMQQQQDAPSAAAKYCKAI* >Brasy3G138900.1.p pacid=40044493 transcript=Brasy3G138900.1 locus=Brasy3G138900 ID=Brasy3G138900.1.v1.1 annot-version=v1.1 MFGIQTRDVADDFNPRRRKTLWNTPPASMPAAHTIRYTTPRKSHASTHHLSVKFEDLYGFMVKGNVDDVNVLNEVRERIRQQGRVWWALEASKGANWYLQPRISSNGGEGVISVTSLKLSVLTNTITLRRLVRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQASLRRVLAGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLEDVLVRDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDVLQTTAHHLYDPDELLTFAFDKIGSMTANTITKERKKQETVVLAELDQRIRRLSSLKMDG* >Brasy3G204300.1.p pacid=40044494 transcript=Brasy3G204300.1 locus=Brasy3G204300 ID=Brasy3G204300.1.v1.1 annot-version=v1.1 MPASGAMEGAGEEEGPQRSHEAVDLVANGEAAGREASAPPVVQVSSSTSGEEEEEEEEEEEEEAVGGDQEAEGPCVPSCPVCMNAWTADGKHRVSCIPCGHVYGRSCLERWLTQCGNKSATCPQCGKRYRQKNIINLYVPEIVVPNNDLEKQVLSLRDKNRFLENQAAAVFLCLNNLIQQQTFVEEIKEYKRQILLQQHLLKESISKRQKMAEQSSDGGMVPESVSHKADVGSSDPCKFVLQNEYFLDGARVMGIDASSQIILASGRAAGVSAEHVITKISMFSRQEMKVHLPPNTKAVRDICILPGGLAVFASLGRKLLLFSMTTNNIVLQYDLPAPGWSCSGVSSDPNHIFAGLQNGMLLVFDTRQTKAPMCSLTGLSTNPVHTVHSMVDDSGSRKVISASSIGPCIWDLDGSENRPNLVTGMENHGVCISLACSAPSSDLLVASFRPKFELSGDGTASQTAISQSPTLSGSGKLGCHAFIRRTSSTSFVKDGICNGNVSELRMSKSVIIPSSGSNQHLFAYGDETLSGVRTWRLPSFQTFTDLIPHRRPILDLRFAESSTGDRYLGCLSEEKLQVFRIR* >Brasy3G204300.2.p pacid=40044495 transcript=Brasy3G204300.2 locus=Brasy3G204300 ID=Brasy3G204300.2.v1.1 annot-version=v1.1 MPASGAMEGAGEEEGPQRSHEAVDLVANGEAAGREASAPPVVQVSSSTSGEEEEEEEEEEEEEAVGGDQEAEGPCVPSCPVCMNAWTADGKHRVSCIPCGHVYGRSCLERWLTQCGNKSATCPQCGKRYRQKNIINLYVPEIVVPNNDLEKQVLSLRDKNRFLENQQQTFVEEIKEYKRQILLQQHLLKESISKRQKMAEQSSDGGMVPESVSHKADVGSSDPCKFVLQNEYFLDGARVMGIDASSQIILASGRAAGVSAEHVITKISMFSRQEMKVHLPPNTKAVRDICILPGGLAVFASLGRKLLLFSMTTNNIVLQYDLPAPGWSCSGVSSDPNHIFAGLQNGMLLVFDTRQTKAPMCSLTGLSTNPVHTVHSMVDDSGSRKVISASSIGPCIWDLDGSENRPNLVTGMENHGVCISLACSAPSSDLLVASFRPKFELSGDGTASQTAISQSPTLSGSGKLGCHAFIRRTSSTSFVKDGICNGNVSELRMSKSVIIPSSGSNQHLFAYGDETLSGVRTWRLPSFQTFTDLIPHRRPILDLRFAESSTGDRYLGCLSEEKLQVFRIR* >Brasy3G047800.1.p pacid=40044496 transcript=Brasy3G047800.1 locus=Brasy3G047800 ID=Brasy3G047800.1.v1.1 annot-version=v1.1 MPLPVDAVGKAVGAATWLVGVVAERLVGDAVAAWAGRHGLEKEVSRLGNELRRAKLVLGSARAGGGGLKKVGNEHLAEAIADVRRLAADARNLLDELDYLEIHDKIKDKEHNCSSLSSSKAQSFIQFAHGFANQASKYISSSVKINAKGSTVYEEDDPSKTKRRIERHDIMTGMKKMKLSDPDDKMIPERRLESDAFSDEIPENKLSKDDISQRITNIVDQLHEICEDVRKALKQEKLDEITRVTQNRSSNSREEGACYVENKVFERKHEKNQIEKLITNSVASNQKLTVLPILGTGGVGKTTLARTVYNDPEIEAKFGIRIWIYVSANFDEVNLTREILDCISEGKHKNLTKNFSMLQDGVKKCLTKRFLLVLDDMWEYNETRWNKLLAPLRCTDITGNVVLVTTRNLSVVKMTSTIEQHINLRGLEEDLFWLFFKRCIFGDENYQGRRKLQKIGKEIVARLGGNPLAAKSVGTLLKRRLEEDYWQRISDGVEWTLLEGSDDIMPALMLSYNHLPYHLQRLFSYCALFPKGYKFQKEHLVHMWTALGLIINERKRLEDIGSDYFDDLVDRSFFEKFESEQYPYYLMHDLIHDVAQSVSVDECLTLDGSGPITVSSHVSHVSIWTESEYKRQQNGNVSRNETFEKGLTAIRKDEILRSLDSLMLVGAYDETFSTIFAKILKKLQYVRVLRLSAMPFSADILLSSISRLIHLRYLELKSTIDTLKPLPEALCRLYHLQVLDVRNWSGLDHLPRGMSNLVNLRYLLVPEPGPVHLHSKIASVGELKFLQELKEYRVQIDSGFDISQLENLNEIRGSLRIFNLENVIRKDGATCARIKDKKHLKTLSLSWGGTSGDPAFLMEVMEGLEPHDRLEHLHIINYIGATPSWLRQNFSLDNLESLYLHDCTGMETLPPFIEMPYLEKLSLVGMSSLKEVKFGSICGPSGRVSGSSKDDMPDFEELALTELEISKCSALTSVGLLSCKALTKLSIKDCMVLASIDGLQSLDQLNYRDIKECPCLSSATWRTI* >Brasy3G315600.1.p pacid=40044497 transcript=Brasy3G315600.1 locus=Brasy3G315600 ID=Brasy3G315600.1.v1.1 annot-version=v1.1 MPAPIMGSCAFQITVDYRLKQQPIIGKGFPSDVVSIGGHLWRLDCYPRGKSEDDKGEYLSIFLEHMGGTTSVKAIFHVYFLDKDGKPSMTHAKRLLLQGFEVEEDGHSSRGWPDFVKWTTMEKDYLVAGHITMMCRFKVIDDSPIPVPPSDIGTHLGSLLDRNDGTDVTFIVDGETFHAHRAVLAARSPVFRAELFGFMAEATMPCITLHEIAPATFKVMLRFMYTDALPGEEEIGDSSTEMFQNLLAAADRYALDRLKLICAQKLWGKVTVDTVSTILACAETYNCSELKGRCIGFFVVEENFKNVVFTEGYGSLVLKFPSVIAELRKRVRPY* >Brasy3G117900.1.p pacid=40044498 transcript=Brasy3G117900.1 locus=Brasy3G117900 ID=Brasy3G117900.1.v1.1 annot-version=v1.1 MAQIEICSMQFRSALAGFTTFNYFMYNVLPFLSDFTKSSNHPCLRFTEIKNDNIQVDYLKRGTLFHYSVAAFLTKSNNFFEGKRLII* >Brasy3G349400.1.p pacid=40044499 transcript=Brasy3G349400.1 locus=Brasy3G349400 ID=Brasy3G349400.1.v1.1 annot-version=v1.1 MTMRRGSSLVLLLLLGTLAIAGAAGPGPADLVRDREQLPGRGYRRPRPGVIPRPRPDPYPKPEPLPAGPKPLPGPRPEDPKPLPGPEGPKPLPGPEDPKPLPGPEDPKPLPGPQPDPNPQPLPGPQPDPNPQPLPGPQPDPNPQPLPGPQPDPNPEPLPGPDPKPLPGPQPDPEPLPGPESATVRAVKNADRAGSQTLRPESATVRAVKNADRAGSQTLRPESATVRAVKNADRAGSQTLN* >Brasy3G245800.1.p pacid=40044500 transcript=Brasy3G245800.1 locus=Brasy3G245800 ID=Brasy3G245800.1.v1.1 annot-version=v1.1 MAGRHRLARQYYEEPRGFRDGPPPRIARERSISPRRFEGELSGRRVEIRRIRDDNQHLVDEIVGLRQAMSRLKEDLHASSQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEVGTLQSLRQELTSKVQGLTKELEHQNSESQRVPAMIAERDGLRQELVHARAALEYEKKAKPELMAQVQAVEKDLVTMAQESEKLRAEIEKRRATSFSSHGAYGPPPMGTPGMGLQGIYDGGYTYTENRYGAGPWDPPGYPHP* >Brasy3G131000.1.p pacid=40044501 transcript=Brasy3G131000.1 locus=Brasy3G131000 ID=Brasy3G131000.1.v1.1 annot-version=v1.1 MNYRHYHWLTWNPALKWAVEMAELRICRLRSKRSSDADMEGESSEVAMAGASAIHAHLEHLLWLKRQIPPPGSRAAEEYMANEDLVCCLLILAKMAAKNLRAHRNALLFFKLVLPDEPPSDDGDLIEAFFSPDLGPAADYLFGAIELAVDPWSGVDPDNSYLYWEAGDHGLDDMDSYLNVSILIDDSLDENVTAENLYEALLARTETTDAHQLLQSAYLAVKLAQKHILLCVAAPLEHNPAAGSIMEQILTRYNLSLDLANLAIKHVDLAANILSSSYSTIELAAISQFAEKTDIVD* >Brasy3G035400.1.p pacid=40044502 transcript=Brasy3G035400.1 locus=Brasy3G035400 ID=Brasy3G035400.1.v1.1 annot-version=v1.1 MPAAAARCGCPLLLRRQPATGLRLSFSSSSAVRRVCAAAGGGSEGRSPAYGGLLLDAGGTLLQLARPVAQTYAALGRPYGVTKREEYIKQGFKRAFSAPWPKTLRYQGDGRPFWKIVVAEATDCTNNEYFEEVYQYYARGDAWRLPDGAYRTLRDLKDAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIIVSSEVGYEKPAPEIFKIALDQIGVEASKAVHIGDDETADKAGANAIGLECWLWGEDVKTFSEIQDRILATNPQ* >Brasy3G035400.2.p pacid=40044503 transcript=Brasy3G035400.2 locus=Brasy3G035400 ID=Brasy3G035400.2.v1.1 annot-version=v1.1 MPAAAARCGCPLLLRRQPATGLRLSFSSSSAVRRVCAAAGGGSEGRSPAYGGLLLDAGGTLLQLARPVAQTYAALGRPYGVTKREEYIKQGFKRAFSAPWPKTLRYQGDGRPFWKIVVAEATDCTNNEYFEEVYQYYARGDAWRLPDGAYRTLRDLKDAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIIVSSEVGYEKPAPEIFKIALDQIGVEASKAVHIGDDETADKAGANAIGLECWLWGEDVKTFSEIQDRILATNPQ* >Brasy3G156300.1.p pacid=40044504 transcript=Brasy3G156300.1 locus=Brasy3G156300 ID=Brasy3G156300.1.v1.1 annot-version=v1.1 MSGATSFSFDPSLFFPPPFVFLYNFGSHNGLPALPAACARPHRPPPAINHHAPCCPAAHGRPSPAALQFARAARPTASPSPSRAAGCTAVPPCCPTAAHPHRRMPLRPRPPPSPRPPPHALLPRSCRPRRARAPAAPPPPALPAAHPAASPPALRAPAVSPTLAPPSRTAARAARRRSSPYKKTSGGEKKEKNEGSNEKDVALDM* >Brasy3G316700.1.p pacid=40044505 transcript=Brasy3G316700.1 locus=Brasy3G316700 ID=Brasy3G316700.1.v1.1 annot-version=v1.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRENEQLKNSRNEYLKLKARVDNLQRTQRNLLGEDLESLGIKELEGLEKQLDSSLKHIRTTRTQHMVDQLTELQRREQMFSEANKCLRIKLEESNQVHGQQLWEHNNNLLSYERQPEVQPQMHGGNGFFHPLDAAGEPTLHIGYPSETMNSGMNSSCMTTFMPPWLP* >Brasy3G175700.1.p pacid=40044506 transcript=Brasy3G175700.1 locus=Brasy3G175700 ID=Brasy3G175700.1.v1.1 annot-version=v1.1 MTFSKKNVGTLASNCKIVGRRTCVDVGLAKLRRKEKEKVRATGPVDKPGLRTNVRHGSDASGELNTPTWSITDVRCKLGKARLGVVLPFCADCPPWIRYLKVNRRHFQRKPFPSIDSLAFPSLPPAPI* >Brasy3G295700.1.p pacid=40044507 transcript=Brasy3G295700.1 locus=Brasy3G295700 ID=Brasy3G295700.1.v1.1 annot-version=v1.1 MMGSRVAAALLRRGKDQASALMAIPRLPRSAPPAPAAPRVGSASSCGGATLRPTLPPQQPKGGFFSASRFATFHAFRSLVPKSSLGQCTRKMSTAAAALDSSMASATANSGLKLLVTKGPEAQKAVGIWLFGCAAWVFSMVILGGVTRLTRSGLSMTDWKFAGGLPPMSEEEWLLEFEKYKQSPEYARVNKGMNLEDFKFIYWMEYAHRMWGRALGFVFAVPFAYFVAKGYVTRQLGLRLSALFALGGGQGLIGWWMVKSGLEEPASEYVEPRVSPYRLATHLTSAFVIYCGILWTALSVVMPDPPAESMNWVKGAAKFRKLAIPVSAVVGITAISGAFVAGNDAGRAYNTFPKMGDTWIPEDVFSMESFIRNFFENTSTVQLNHRILATTTLLSVCGLWLAARKIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAVKST* >Brasy3G253100.1.p pacid=40044508 transcript=Brasy3G253100.1 locus=Brasy3G253100 ID=Brasy3G253100.1.v1.1 annot-version=v1.1 MTTSNQRMMDNASILPDETLLEVLLRLPVKAILRFRAVCRSWTALLSSDLFCGLHMAKNAEIREPPDDTAAALPELLYLSPCRSSVATELRSSRGGGRLLLTVEGVVRQFASMTPVPCRGLTLLLHDALHQDDYYLCNAATRAVAKLPPCHEPPRASSAGLGFDAATKRHKVVRLFAVQGEQDIKCEVLTVPGSGDRWRPAAGGLRLPSAFCKLGRAAIVTASWFRLMPVFADGFLHWIVHDPGAGAAVLSFSLADETFSLAASPPPFVEAHLAELDGRLCIVPELPYRRLTEIWSQKEHGSGDWSLEHRIDLARHAGKDLIEAEEHVRVLGGCCKPGKKLVFVTSKGKSPLGPSSSSASPPPKPHSTRTLLAASDRPAMANFPVDPAPFVPGLFDIIDVPGRPQQSRYHIRGRIEARNEDIAIVNIHPPPNPEVPFHVTRGIINNFIADHLRLRVEYLQRCPLGHAYIRMHSAINRDWLVQNGPHQHNGVTFTQHNKGTNWRSFTYNQELWLIILGFHLDIWEAEQVANAIADWGKLVLWDKSVSNYAMIIIKVKVEDVSLIPYGILLSHGPDFQGNTWEAPVYILNQTLLGGFGLDEDMPPENGATPHPLLALPFHEDHGHNINHAAPIPDLNMAVDNWGPWDQAVNAQVNHVNAQAQDLIN* >Brasy3G081200.1.p pacid=40044509 transcript=Brasy3G081200.1 locus=Brasy3G081200 ID=Brasy3G081200.1.v1.1 annot-version=v1.1 MRNHDESSMLRHDDLAQHFERLPESYLRCACADELEDLLLHRSLLVDAGQPGKCPVVHARFVRRLHLLANGGGGADCPPVPEEMRSGAAAIHEEMLIHEIIFATIDRPKLLSQLSALVYWVGVHIREAHVFTTTDGFSLSIFRVDGWWQEDAEDLLEAIKDEMMRRKPFDNECP* >Brasy3G090100.1.p pacid=40044510 transcript=Brasy3G090100.1 locus=Brasy3G090100 ID=Brasy3G090100.1.v1.1 annot-version=v1.1 MTDGAPAAAWEDGGAVLTGWGEAGKGKGVGGGGRGAGRVGGGGRRAGRVVGEAWCRGREWGLRPAAWRREREWAAVGGDGAVRRDKPAGFKFDPNPSPNGVKTRGFSGFRAPLPSIRPPSPIRPVPSAAAHCPSPTAEASSSSPATPRGPSSPTCYATALLPLFPITTRAAEATVRFVTASSSNTIALAGAGSSTTATGSSDASAGDSLACVAIGAGAVNEGKPAAAGGGRATRRRGSRRRRRACRRTTRKGARPGPGAPPHEASGRGGAGAALLRSPRPWLTEKLVKGNLGSGHSVWSNQLGRGRVRPRWTA* >Brasy3G107300.1.p pacid=40044511 transcript=Brasy3G107300.1 locus=Brasy3G107300 ID=Brasy3G107300.1.v1.1 annot-version=v1.1 MGNGTRPKTSGGGCMYKNRGVLSALERQGSRYHVRALTASDLLLRAQLFVFSQAQLVFRSYLPLQPPAGPCVPSAIILHCVMFQFGAEARGTHETTSCSVTCGTWADSKSNF* >Brasy3G249700.1.p pacid=40044512 transcript=Brasy3G249700.1 locus=Brasy3G249700 ID=Brasy3G249700.1.v1.1 annot-version=v1.1 MALSFFMYTYSYIVYLLKSELYSSSITWACPTTGPTTTISLSPHPAGLPRLPLFFPCPISSQPRPTWTPASSRDPDVGLTQTCQPLAGNFSPTQADEAAREEKPRGVPPRGFLRSTASHEDKPRGGPAGGGAGRDSPGCPRAQSSPKRRRTRAGRMRVRSDAVVRMGSSRPFASPPPRSSGHDAARHRSHGSHRVGARRPTARRARAAAARPPAPAAAGVGARGRLGGREGAEADAGALDGVLDLVEFGAICLRSARFDDVSNSFASSNSSVSIPSSSPPPGSDLAGMVVAAASSARTRNGFEGDSARPELGTQGWLE* >Brasy3G267500.1.p pacid=40044513 transcript=Brasy3G267500.1 locus=Brasy3G267500 ID=Brasy3G267500.1.v1.1 annot-version=v1.1 MQPRRQQQSILSFLQPRRSPAGEDALGIGTGTTPERPPRPPAASVDGIMERLVRPPSQGRNKDVSQVKHVEISLPDKNQDPSHKCPSALFSGPYNGKYSRETTLETTLFAEHGTNIIPLQEPLKYSTRSSTDEFVRASTLFPEHGSDQTLQEYPKKFSSKSPNNKYIQATSIFEEFDVQTPSQNPLKRIFSGPSHGADTPLSEYGSDQTLLQHPSKNAPSVSSSGEYVRAATLFGLDSNDTHTPKHAKKLFSRSSEPSCIKGTNLFAEFDSNGTPLQNHSKNSSVFMNGKHIGAPATLFPELDSFSLKPETPMTRAVTPRAKRVQQDQCVTAKNQHCPLWGSNKKVKSAHCSPAKKMVRDEMAESAHSKFEWLNPLNIRDGNKRRPEDPLYDKRTLFIPPDALRKMSTSQKQYWTIKCEYMDVVLFFKVGKFYELYEVDAEIGRKELDWKMTFSGVGKCRQVGISESGIDDAVEKLLARGYKVGRIEQMESAVQAKARGPNSVIDRKLVHVSTPSTAADSNIGADAVHLLALKEVTLASNGSRVYGFAFLDYAALKIWVGSLHDDDSSAALGALLVQVSPREIIYESSGLSRESRKCMTKYASAGSVKMQLTPLSGTDFSDSSRIRMSVHSKGYFKASTDSWLSALDYSMNQDAVICALGGLIGHLTRLMLDDALKNGEVLPYKVYQTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITASGKRLLRRWICHPLKDVDAINSRLDIVEGFIQNCGVGSITLEHLRKIPDLERLLGRVRSTVGLTSDVMLPFVGERILKRRIKTFGMLIKGLRVGIDLLSILQREDHGISALSKSVDIPTLSSLGELIHQFEEAIDNDFPRYQDHDIEDDDANTLAILVELFVGKASEWSLVINAISNIDVLRSFGAMALSSFGNMCRPQILLKDKVPILRMKGLWHPYAFAESTTGLVPNDLSLGQDLSGDNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCTSCELTLADSIFTRLGATDRIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRLLFATHYHPLTKEFASHPHVILQHMACMLRPKSGSNGDKEITFLYRLASGASPESYGLQVATMAGLPKSIVEKASVAGQMMKSKITRNFKSSEGRAEFSTLHEEWLRTILAIGGVKDAHLDEDTMDTMFCVCHELKAHFRKGGR* >Brasy3G046200.1.p pacid=40044514 transcript=Brasy3G046200.1 locus=Brasy3G046200 ID=Brasy3G046200.1.v1.1 annot-version=v1.1 MCAQHTEAIGREPPANKKPKPTQGIKIRSGKMSKEKRTLFDVPMLLGISQIPKQARRRRAVLHL* >Brasy3G242500.1.p pacid=40044515 transcript=Brasy3G242500.1 locus=Brasy3G242500 ID=Brasy3G242500.1.v1.1 annot-version=v1.1 MAASDSGRHVPHLRLAVPPRLAAHPSFRFPSTPLPTPSKTRLPAAAATGASPYAAALLRLLALHSLFLLAPAARALPSLPHLFLLPPLLAVLSAAAVVVIPTASKSQPHPFPAAHHLLRPALLLSMSLLLRFASLHFIPSPGLIVLADSAGALLARALSRPSRRRVISVAAASLSLVVISPSHTVLLVLPFLSGLLSSVEHSASTRHVTRSRRARAAVFALAAIFLSVPALLGLFFLGGNDTGDDVGAVPIGQLWWLILNSAVFGMALGRRQAYDSSSSRPSMNFAMTFVCTVVLELVYYPKLSLPGFLISGLLLWIASRELDPAGYVELGSTDVSESVYEAVMGPVRHIMSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLLSDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLAVSIGGLFVNVIGLVFFHEEHHHAHGGSCSHSHSDSHSHDHAHVDHHHVHGGSCSHSHSNSHSHDHVHEDHHHHHDHNHIHQGVDHEKACSGHHGDTNKNHHHNHQHDSNSEENHHNTFIKNTDHQHTHQGGHENCHHGHLEHHQPDGDHAHQNCSNTGSEHGLLEIPLRNMHSHGSEAQSCNGEMESSEAGDLGKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVASVLPLLRNSAEILLQRVPRSHEKDFKVALDDVMKINGVIGVHNVHLWNLTNTDIVGTFHLHISKEADKSFIRESASRIFHEAGIQDLTIQIECVKR* >Brasy3G217400.1.p pacid=40044516 transcript=Brasy3G217400.1 locus=Brasy3G217400 ID=Brasy3G217400.1.v1.1 annot-version=v1.1 MEHEQQQQQPEATAGAGAGEPGVPAGLGLTAAEYAQLRPTVEACHRYAVGQGQCSSLLAQRIEAPAAVVWAIVRRFDCPQVYKHFIRNCALLPDPAGAGEDDQKLRPGRLREVSVISGLPASTSTERLDLLDDARRAFGFTITGGEHRLRNYRSVTTVSEICAAAAVVLESYVVDVPEGNSQEDTRLFADTVVRLNLQKLKSVAEANANAPVPPPAE* >Brasy3G317600.1.p pacid=40044517 transcript=Brasy3G317600.1 locus=Brasy3G317600 ID=Brasy3G317600.1.v1.1 annot-version=v1.1 MALHRLLTPVHPAGAVQQQHLCLATTPQPLHNTLRIRTPPSSSIAPLAHLRIRPSPSVRPLHGIPSSRCHAAAGDTAPSPPVAPDGGGGSARAALVRVGEALSLGFPVWVASACALALWRPATFLWVDSTTQMVGLAFTMLGMGMTLTLDDLRTALLMPKELAAGFVLQYSVMPLSGFLISKLLNLPNHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTATSTFAAAFMTPLLTSKLAGQYVAVDPTGLFVSTSQVVLAPVLLGAVLNQYCNQLVELVSPLMPFIAVATVAILCGNAIAQNASAILASGLQVVLSVCCLHASGFFFGFVLSRMLGIDVSSSRTISIEVGMQNSVLGLVLATKHFGSPLTAVPCAVSSICHSLYGSILAGIWRSMPPDDKGES* >Brasy3G194600.1.p pacid=40044518 transcript=Brasy3G194600.1 locus=Brasy3G194600 ID=Brasy3G194600.1.v1.1 annot-version=v1.1 MPKPSPAPPTPPLALPHAILPPRGCPLATALLALLSLLLATALWLVLVLSPAQAPLASSSSAALSYAAADEVLYASDASSPLLLGHIVFGIAGSAHLWPRRREYVRLWWDPASMRGHVWLDAGAPGPSAPGEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGEGARWVVLVDDDTVLSPDNLVAVLGKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFVSIHHVEFVDPIYPGLNSLESLGLFTKAMKMESMSFLQRSVCYDKRQKLTFALSLGYVVQVYPSVLLPPELERSERTYIAFNRMSQRTEFDFDTKEIQKSMCKKPVLFFLKDVWNDGNITRGSYIRSSDRDDLKRKVFCFRSPPLPDIDEIQVSASPLSKQWHLAPRRLCSAVEESINGTLFMFVRQCGRGTFGSASDSLD* >Brasy3G194600.2.p pacid=40044519 transcript=Brasy3G194600.2 locus=Brasy3G194600 ID=Brasy3G194600.2.v1.1 annot-version=v1.1 MPKPSPAPPTPPLALPHAILPPRGCPLATALLALLSLLLATALWLVLVLSPAQAPLASSSSAALSYAAADEVLYASDASSPLLLGHIVFGIAGSAHLWPRRREYVRLWWDPASMRGHVWLDAGAPGPSAPGEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGEGARWVVLVDDDTVLSPDNLVAVLGKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAAALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFVSIHHVEFVDPIYPGLNSLESLGLFTKAMKMESMSFLQRSVCYDKRQKLTFALSLGYVVQVYPSVLLPPELERSERTYIAFNRMSQRTEFDFDTKEIQKSMCKKPVLFFLKDVWNDGNITRGSYIRSSDRDDLKRKVFCFRSPPLPDIDEIQVSASPLSKQWHLAPRRLCSAVEESINGTLFMFVRQCGRGTFGSASDSLD* >Brasy3G227700.1.p pacid=40044520 transcript=Brasy3G227700.1 locus=Brasy3G227700 ID=Brasy3G227700.1.v1.1 annot-version=v1.1 YVWRVAQCSFGFQSVPGSVQELLETWLLSFRRKEGRLVLVGVAAILWVIWKKRNDACFRKLWPTDPASVMFDVCSTIDLWAGLQSDPDKVLLRLCSRRLWQVTDEVFSRTQGWAPVTMRLTAM* >Brasy3G208600.1.p pacid=40044521 transcript=Brasy3G208600.1 locus=Brasy3G208600 ID=Brasy3G208600.1.v1.1 annot-version=v1.1 MDCSCATERKVTEVAAGELYGCAIKRKVDEPAAGLGLSEAEKAATGGAATKAMARLPQEEIDWILARTKEPFYGPDEYESMQHDPTKSPRSQAEAAEFREIIRSSAHSEYYYKFQDWVRSEYSAKGFVEVEEEFLAQRAQSQAEAREEWNKFVDEFGHSDSDSADEEE* >Brasy3G097300.1.p pacid=40044522 transcript=Brasy3G097300.1 locus=Brasy3G097300 ID=Brasy3G097300.1.v1.1 annot-version=v1.1 MGRAPCCDKAMVKRGPWSPEEDAMLKAYIEEHGTGNNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSTICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGGRRKGRGTHHRPESDAANTETDGGGNNDGEERSLSASAMERIQLCMQLQEMQNPLSISHHNPLQQMWPSNHHQVPTQSNNSNSSGSSSLNVTVATEQGQSSSMKDQRLNGLQQLDTAAVDEGLASPSSPENSNVVTIEAELQELLYGATSTATTGVAQQGVVDWWSYDQDRPAVNCWDFTPETSSVFQDYTSVYDI* >Brasy3G081500.1.p pacid=40044523 transcript=Brasy3G081500.1 locus=Brasy3G081500 ID=Brasy3G081500.1.v1.1 annot-version=v1.1 MSDADEYRCFVGSLSWSTTDVDLKDAFGKFGRVTETKVVLDKYSGRSRGFGFVTFDDKKAMEEAVEAMNGIDLDGRNITVERAQPQGSGRDRDGDYRGGGGGDYGRDRVRDFGGGRGGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGSRDDRYGGRDSGRDDRYGGSNGGSRYGPDRGGDRYSGSRDGGSRSGGGGDRYSRDRSGPYERRSRDGY* >Brasy3G081500.2.p pacid=40044524 transcript=Brasy3G081500.2 locus=Brasy3G081500 ID=Brasy3G081500.2.v1.1 annot-version=v1.1 MSDADEYRCFVGSLSWSTTDVDLKDAFGKFGRVTETKVVLDKYSGRSRGFGFVTFDDKKAMEEAVEAMNGIDLDGRNITVERAQPQGSGRDRDGDYRGGGGGDYGRDRVRDFGGGRGGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGSRDDRYGGRDSGRDDRYGGSNGGSRYGPDRGGDRYSGSRDGGSRSGGGGDRYSRDRSGPYERRSRDGY* >Brasy3G081500.3.p pacid=40044525 transcript=Brasy3G081500.3 locus=Brasy3G081500 ID=Brasy3G081500.3.v1.1 annot-version=v1.1 MSDADEYRCFVGSLSWSTTDVDLKDAFGKFGRVTETKVVLDKYSGRSRGFGFVTFDDKKAMEEAVEAMNGIDLDGRNITVERAQPQGSGRDRDGDYRGGGGGDYGRDRVRDFGGGRGGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGSRDDRYGGRDSGRDDRYGGSNGGSRYGPDRGGDRYSGSRDGGSRSGGGGDRYSRDRSGPYERRSRDGY* >Brasy3G081500.4.p pacid=40044526 transcript=Brasy3G081500.4 locus=Brasy3G081500 ID=Brasy3G081500.4.v1.1 annot-version=v1.1 MSDADEYRCFVGSLSWSTTDVDLKDAFGKFGRVTETKVVLDKYSGRSRGFGFVTFDDKKAMEEAVEAMNGIDLDGRNITVERAQPQGSGRDRDGDYRGGGGGDYGRDRVRDFGGGRGGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGSRDDRYGGRDSGRDDRYGGSNGGSRYGPDRGGDRYSGSRDGGSRSGGGGDRYSRDRSGPYERRSRDGY* >Brasy3G049400.1.p pacid=40044527 transcript=Brasy3G049400.1 locus=Brasy3G049400 ID=Brasy3G049400.1.v1.1 annot-version=v1.1 MGRSGEEAEEEDVGTPTRAEESASGGVDVWSDADVWSDAVSSHLPEHLVIMVHGILGSTTDWQYAANEFVKQLPDDVIVHCSEKNMNTLTLDGVDVMGERLADEVLDVISRRPELTKISFLAHSVGGLVARYAIAKLYRDPNSTFDTKAEGNICGLEAINFITVATPHLGSRGNKQVPLLFGFITMEKFASRVIHWIFRRTGRHLFLTDNDEGEPPLLQRMVEDYGDLHFISALRAFKRRVVYANADCDHIVGWRTSSIRRNTELPKWEESLCEKYPHIVHEEYSEEIEDERCQDSATDCDLDILEEKMVTGLRRVSWEKVDVSFHTSMRSFAAHSIIQVKYAFMNEGADVIQHIIDHFQV* >Brasy3G268900.1.p pacid=40044528 transcript=Brasy3G268900.1 locus=Brasy3G268900 ID=Brasy3G268900.1.v1.1 annot-version=v1.1 MSHSLCVSPFLAPPRPLLLCPARRRPRRGRHRAPRPILTLARFDPPPLLRLKVSDSSDCPGQVPGDAHHNHHHPLRLRLPRPQALIGSLAPVWREGLFLVRCSVFAAVLSVAAALSWYAQLRARAFVEARLLPAACAALGDHLQREVRVGKVRSVSPLGITLHTCSVGPHADEFSCGEVPVLKIRVRPFASLRRGRVVVDAVLSEPTALVAQKKDFSWLGIPTPSEATPKRHSEEEGIDYRTKTRRLAREKAAEQWDEERDKAARDAAERGYVVPSGQSASRSADEMLEDDGPVEIGKSGPPLCADEMHRKMHRKDGHMDPAIDSSSKHTDLEKSFGVKSRIPGINFWSRMIPNPSKRRYRRKSHSKVVSDIDNSSHERILRRSAHAAVAYFQNIDSGNIDNSSPGPGNNSSDGGHANAGCEEITSNNVPIGSSGTVPKNSGELPPSGSYCLDFTGKGKSASAIPVINTDHVHNKHSCSQQHSQHSSLNLDNKLLVCHYLEDLQHSEGNLSDGHEFEKFKSLSEDNISPQQELVFGNFGSCTYAHNWASFWPFQLKGFPVSFNASSASLSVQIQNFKSRFAIGLGDSSAELVDGVGQIHPGGVQQTLPITLDSVYFSGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDQTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHICMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSEIVSTLSFRGQRVFLHNASGWFGDVPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTVKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGPLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMINSSSVSFDLNTRIQTSYIDDYSLHKEMYQMKKIMPLVVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRLKFQGKVVKPSQLVDDNIYGALQSIIDRSKLESDVSRLVGEISLSGIKLNQLMLAPQSTGFLSLSQDSVMLNATGRPDENFSIEVNGPLFLGTNEVIQDGRLLSIFLQKGQLKSNICYHPESLTSLEVRNLPLDELELASLRGFVQKAEVQLNLQKRRGHGLLSVIRPKFSGVLGEALDIAARWSGDVITMEKSVLEQSNSKYELQGEYVFPGTRDRFPMESQGNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLHSVGFNAESLRDQLKAVEMYHDWFDDDTIEDITLPALAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGAYKTQRVLASGSYSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSMHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANLEPTIQSGHVNIQGSIPVTYVDSGSMEENLEAGDKQGIIRIPVWAKDRGSSNDISETRIVRDKPEDGWEFQLAESLKGLSWNSLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVTSPFLRTPLTNLAGSVNVISNRLCISSMESRVGRKGKLSMKGTLPLKNSEPSASDKIELKCEVLDVRAKNVLSGQVDSQLQVTGSILRPDVSGLIRLSHGEAYLPHDKGNGAVATRLASNKSSYLPAGFGQTTTSQDVSRFLGALSTSPDSQQTETERSPEHDGGFKLNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGIFTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKILSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGRRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD* >Brasy3G268900.2.p pacid=40044529 transcript=Brasy3G268900.2 locus=Brasy3G268900 ID=Brasy3G268900.2.v1.1 annot-version=v1.1 MSHSLCVSPFLAPPRPLLLCPARRRPRRGRHRAPRPILTLARFDPPPLLRLKVSDSSDCPGQVPGDAHHNHHHPLRLRLPRPQALIGSLAPVWREGLFLVRCSVFAAVLSVAAALSWYAQLRARAFVEARLLPAACAALGDHLQREVRVGKVRSVSPLGITLHTCSVGPHADEFSCGEVPVLKIRVRPFASLRRGRVVVDAVLSEPTALVAQKKDFSWLGIPTPSEATPKRHSEEEGIDYRTKTRRLAREKAAEQWDEERDKAARDAAERGYVVPSGQSASRSADEMLEDDGPVEIGKSGPPLCADEMHRKMHRKDGHMDPAIDSSSKHTDLEKSFGVKSRIPGINFWSRMIPNPSKRRYRRKSHSKVVSDIDNSSHERILRRSAHAAVAYFQNIDSGNIDNSSPGPGNNSSDGGHANAGCEEITSNNVPIGSSGTVPKNSGELPPSGSYCLDFTGKGKSASAIPVINTDHVHNKHSCSQQHSQHSSLNLDNKLLVCHYLEDLQHSEGNLSDGHEFEKFKSLSEDNISPQQELVFGNFGSCTYAHNWASFWPFQLKGFPVSFNASSASLSVQIQNFKSRFAIGLGDSSAELVDGVGQIHPGGVQQTLPITLDSVYFSGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDQTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHICMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSEIVSTLSFRGQRVFLHNASGWFGDVPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTVKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGPLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMINSSSVSFDLNTRIQTSYIDDYSLHKEMYQMKKIMPLVVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRLKFQGKVVKPSQLVDDNIYGALQSIIDRSKLESDVSRLVGEISLSGIKLNQLMLAPQSTGFLSLSQDSVMLNATGRPDENFSIEVNGPLFLGTNEVIQDGRLLSIFLQKGQLKSNICYHPESLTSLEVRNLPLDELELASLRGFVQKAEVQLNLQKRRGHGLLSVIRPKFSGVLGEALDIAARWSGDVITMEKSVLEQSNSKYELQGEYVFPGTRDRFPMESQGNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLHSVGFNAESLRDQLKAVEMYHDWFDDDTIEDITLPALAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGAYKTQRVLASGSYSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSMHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANLEPTIQSGHVNIQGSIPVTYVDSGSMEENLEAGDKQGIIRIPVWAKDRGSSNDISETRIVRDKPEDGWEFQLAESLKGLSWNSLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVTSPFLRTPLTNLAGSVNVISNRLCISSMESRVGRKGKLSMKGTLPLKNSEPSASDKIELKCEVLDVRAKNVLSGQVDSQLQVTGSILRPDVSGLIRLSHGEAYLPHDKGNGAVATRLASNKSSYLPAGFGQTTTSQDVSRFLGALSTSPDSQQTETERSPEHDGGFKLNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGIFTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKILSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGRRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD* >Brasy3G015100.1.p pacid=40044530 transcript=Brasy3G015100.1 locus=Brasy3G015100 ID=Brasy3G015100.1.v1.1 annot-version=v1.1 MTSSDGEAEHQWIENVKSGGAVPCQPLENCPNGWGTPAGDKFMVRGPDYFITKAKIPGGEYLLKPLGFDWIRGPAKICEVLKNKNHRVRKAIDEEVSHGNQPFVWAFNLQLPSKENYSAIFYFVSPKPAPEGSLMDQFLKGDDAFRKSRLKLIANVVKGPWIVRTAVGEQAICILGRALSCKYVQGSNFIEVDVDIGSSIVANAIVHLAFGYVTTLTVDLAFLIESQAESELPERLLGAARFCELSPGSAGMYELPSEEQQESAPFLPTRLWQGFSQLLQNPGNSRETPPSSQNTNGNLHKEGADENTNGYT* >Brasy3G166400.1.p pacid=40044531 transcript=Brasy3G166400.1 locus=Brasy3G166400 ID=Brasy3G166400.1.v1.1 annot-version=v1.1 MSDDVIWHCIRHNHCSFMAKIETGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVISKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKIMTVPRKKTQRDLRRLEKAETAAQLEKNIESELKERLKKGVYGDIYNFPFKEFDKILDFEERDLAPEEEEEEEGEIEYVEGDEIEMGDMEDMDDMEDFEGLGEDDDEDDDGLDEPVTKKPKGSSSNSRSKIGRKSTKVITEVEQDEDRNSRQRTRM* >Brasy3G247200.1.p pacid=40044532 transcript=Brasy3G247200.1 locus=Brasy3G247200 ID=Brasy3G247200.1.v1.1 annot-version=v1.1 MASPIAMDVDKLSYEIFSLLESKFLFGAGGGGPGCLSGPGTPASPFLRDGRVRVLSIDGCGAGAGDALLAAAALARLEAGLRQRAGDPDARVADFFDLAAGAGAGGVLAAMLFLKGPDGRPRYSAQDALAFVAGSVGKEDWGGRRRGLARLFRGGTRKADKSFRRVFGDATLRDTVAPVLVPCYDLATGAPFVFSRADAVESDSFDFRLVDVCAATCAAGGSSVAVRSVDGRTAIAAASGGVAAMSNPASAAITHVLHNKQEFPLALGMDDILLLSIGTGASSSGANNGWSTPMPTRSPSRDELARVTAQGVAEMVDEAVAMAFGHSSGSNYVRVQATSSGAGAGAMLAQRNVESVLFRGRRLSERTNGEKVDAMAEELVKEQERRMRSPLPNVLIKQLVASPRLSSATTASSGTATVRTASTMPSPASWDSRCQ* >Brasy3G104400.1.p pacid=40044533 transcript=Brasy3G104400.1 locus=Brasy3G104400 ID=Brasy3G104400.1.v1.1 annot-version=v1.1 MKRGNKVVLAQPAAAAPPLLPRAPGPAGTRGGGGEASRARLRHRSLLQDYKELLKETEAKKNRLHLEKTKKQRLLAEVMFLRKRYKSMSENPSQTVVYRVKNPAMADGERHRSTVHAVGSSSKGPPELQRRQQHSALRVSPVIDLNEACELSSEETEEFDGYQDSVSAKSNYYTLDGDDDAAAGPRDAKMASAFWDVRNPAARAGKRKISWQDQLALRV* >Brasy3G182400.1.p pacid=40044534 transcript=Brasy3G182400.1 locus=Brasy3G182400 ID=Brasy3G182400.1.v1.1 annot-version=v1.1 MEVDGGAGGGGGAGDVEVGAGGVAGRAQQASTPATVFRIRLKQTPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVRADSPRDFVQFIEWSPRSCPRSLLVANFHGRITIWTQPTKGPVNLVRDSSSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSSSSNLKTFEEKFLTQHPQSSAGWPNILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQTTAKINATSPLPPSLNPPSWAGFAPLASYLFSLQDYLVSEGAQTRKQIDNEITDAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGGVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLELVVLHPIFGSPSSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQVVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSSIAPPAFSSSSCCLASVWHDTFKDRTILKIIRVLPPAILNVQTKVSSAVWERAIADRFWWSLMAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDTDFHCLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSNIEPDKMTVDPALLPSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGSSRSMVTSPTNSSPSPSNNQGNQGGVASSTGSSQMQEWVQGAIAKISNSADVSANAAPNPVSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNPDSAMQKVQHFMNGKMEDTSSAASAVRSGLGAAKVEDGPATRGQLVLGAKGLEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSGLQHPLPASQVGSSNINIRLHFIDGTYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPSEEWHRRNMFGGPWSEPDDLGPLDNMPQLKIGGCINPHLSNMEEDGDSNDGIQSLWPKKRRLSERDAAFGLKTSVGLGAYLGVMGSRRDVITAVWKTGLDGEWYKCIRCLRQTCAFAQPGVPNPANERESWWISRWTHACPMCGGSWVKVV* >Brasy3G170400.1.p pacid=40044535 transcript=Brasy3G170400.1 locus=Brasy3G170400 ID=Brasy3G170400.1.v1.1 annot-version=v1.1 MERAAPVRKSHTSTADLLSWPQQADGTATPSPARRPHQPSEAFRKVVFGGQVSEEESESLNKRKPCSAPKWKEMTGSGIFVAEANGDVEESGGATADQTTGRGTSRNYQAISTVSHISFAEDGSIPPKKPTSVAEVAKQRELSGTLQSDTDSKMKKQISNAKSKELSGHDIFAEPQDPRPNRARNSENGSSVSHTCAKNANVSSFSFGEANTDSVSKTPKKINSKKFADLTGNNIFKGDEAPESADKHLSSAKLKEMTGSNIFADGKAPTREFLGGPRKPPGGESSIALV* >Brasy3G125000.1.p pacid=40044536 transcript=Brasy3G125000.1 locus=Brasy3G125000 ID=Brasy3G125000.1.v1.1 annot-version=v1.1 MVGRGRGRLLLLGVVVCAVLAAGIVAETAAAKSVVVVGMAQCAHCARKSMNAKAAFKGLGVRIKCKNGNGEYESKAVGGLDSSGAFAVPLPADVDPRAAECFAQLHSASSSAPCPGQEPSKIVPLSEPDGTFVVIAGKTTELQSHRHPGPECASANICFPCHNSRKNLFFGRKPFFMRRRMKPWPPEYELPAPEYGAPDCPPESPEYGTPTPVYGTPAPRCLCSPTPAPGCQCPMPTPVYGMPAPVVYAPPAPVYAPPAPVYGMPMPTPVYGTPIPTPVYAPPAPVYGTPTPVYAPPVAPVYGTPAPVYTPPATPVYGTPSPRCLCSPTPAPGCQCPAPVYGTPAPVSYPPPAPEYGTPTPTMCPPAAPVYGQPAPPECALPAPAYGAPPTPECPPAATPELGTPPAAQ* >Brasy3G194300.1.p pacid=40044537 transcript=Brasy3G194300.1 locus=Brasy3G194300 ID=Brasy3G194300.1.v1.1 annot-version=v1.1 MTHGTHLKRGAAKGASGRGQGQRRRAEQCGDATAAQAGDSVDQERGRRAGRASLAEARGAEQRQTEDPGRWRCATAARGAAAATARGTERGEGDGWSSGRRWPGARQRPRNRRHAGRGSGRVAAPATSRSQGRQVGRRTWAWRRSGATSAKEKGVSQGVEGGGAATGVEEGGVRSWAGGWRRRGSEPGAAAANHSERAAAKCGTVQDRAGWRRGAPVV* >BrasyJ100200.1.p pacid=40044538 transcript=BrasyJ100200.1 locus=BrasyJ100200 ID=BrasyJ100200.1.v1.1 annot-version=v1.1 MMSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRCCGPHSPRQAFRQFPVPQSSGEWGIPSSWQSNRWRTLRKVEQRTFKYIPRGFISCENKFFTFPGLPEEKPGVHGANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLSRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ069300.1.p pacid=40044539 transcript=BrasyJ069300.1 locus=BrasyJ069300 ID=BrasyJ069300.1.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKKRTGRVGSKLKECDSEKWKTRVEIEQKECSLKVDKLVEKYSWIATEKQLFGRSGTDYDFESCEPYKARGEFEHLQAQQSGHIFHVKNEINNYIQVYRMFPFKLPTGCHHL* >BrasyJ021900.1.p pacid=40044540 transcript=BrasyJ021900.1 locus=BrasyJ021900 ID=BrasyJ021900.1.v1.1 annot-version=v1.1 MDARLGGGRGGGAPRRNPYQFNRPAGPQPRGAAPPGGGAPAGGAPAGAAAPPPGGGGGRVAAAPTLPQQPAPPRQAPQAPPPRQAPQAPRPHQQAQIPVAADLIQPMDPKYRDVVCFNCGDPGHYAGNCVKPKICFMCGSSGHSVNNCALWIRGIPMAQFVGSANRGLGFFHINVSDQNSNQWLNMKNCGVIVVTHGRLTAKELEVKMAETWDHQWPWMVRQLDDNQFLVRFPPQKKLSDLVDFPSINLKEGSDAERITIKITEWDGDIPEIAELKELWLQIRGIPPRTISWKVIAQVAKSFGLLLDVDWGEIFKSLYSVVRVRLAVKNARKIPYERAMVIKKKFYSLSFTVEWDTVSTGLGIDDLDDFDDDELNEEEDDLLDEQQDKSTDHANSAEPNPSTPSLFAPPFEGKKTGAKTNQISMADAWKNRFQALANEEIEEEVVSHLEEHITVEE >BrasyJ021700.1.p pacid=40044541 transcript=BrasyJ021700.1 locus=BrasyJ021700 ID=BrasyJ021700.1.v1.1 annot-version=v1.1 MAGLVKEGISLKPISNHSVVWFLLGAALPTVLLFVLASDRVGEQLSIVSGSWGKYSNGGDLPPLTPDAAYAQEQEEKFPGLTQLLPKVAMDDRTVIITSVNEAWTQPGSLLDLYRESFKNGEDTEHLLAHVLVVALDPAGFHRCNVVHPHCYLLEVKTVNLTSATQFMSKEYLEIVWTKLSLQQRILELGYNFLFTDADMLLFRNPFRRITLHADMSVSSDDFSTARAPLDNPINTGLYYVKSTNRTMEMLRYWQAARSRTPGAHDQTVFGNIKHELVEKLKVRIEPLDTAYFGGFCEYHDDFDRACTMHADCCIGVDNKVHDLMDVAADWKRYRSMTLDERKKMSGNLTWTVPVRCRKSINWRKPVHP* >BrasyJ021600.1.p pacid=40044542 transcript=BrasyJ021600.1 locus=BrasyJ021600 ID=BrasyJ021600.1.v1.1 annot-version=v1.1 MGDHAIRAQPNSVFWAGLGRDGQQTSSAGADTADNISLDKNPAVDGALRDILKDLGASPVRIYGKKLRITDRDLIQHRLLISCRSWRARHGLPCPLDEILTEEEKARTPTKDGFRIRAYDRHGKPYNLRCRNFGRATYRLFAGWGAFLKENGLGATKGDAVAGEHAMVELWAFRSPRLDLGVENQPCGQLGLVMNVISPTTSASSGNEEKEKEEK* >BrasyJ022500.1.p pacid=40044543 transcript=BrasyJ022500.1 locus=BrasyJ022500 ID=BrasyJ022500.1.v1.1 annot-version=v1.1 MMGVKQNVLNQLVSILLGASVAGVFVFFLSSAGTGARSAGISSFANGTMAIPIPPSQEANHTINVASHETNNQSTPTPQGLEELLRAAADEDRTVIMTSVNEAWAAEGSLLGLFLESFRAGERIARFVDHLLIVALDGGAFERCKAVHKHCYLLAPVPAVAGGAPGSNLSEEKVFMSKDYIDLVWSKVRLQQRILELGYNFLFTDVDIMWFRDPFERMSVAAHMVTSSDFYFGDPYSPINAPNTGFLYAKSSRRTVGVFEAWLHARASFPGKHEQQVLNEIKFDLVSKRGLRLQFLDTAHNGGFCNNTRDFNTLYTMHANCCVGLEAKLHDLKNLMREWRSYRAMDDENKRRGPVRWKVPGICIH* >BrasyJ022200.1.p pacid=40044544 transcript=BrasyJ022200.1 locus=BrasyJ022200 ID=BrasyJ022200.1.v1.1 annot-version=v1.1 MHAQPTISACQDPNPNIKPLIDAKPAMSKFEMMKLKRKLALPRNPVPKQVPYFHNDPSLPSFRLCEWQDDLGNIIDDPKLWRPLDWEIKSTQQYNRFRLNNMYNNPTLVRNNLLQVKQYDNVLQDANSDHSKHPNIANYKQQPQCSGKENAIVYPHTSFMYPKHTPTPDQPSDIIDLVNLFLVCSPLLF* >BrasyJ022300.1.p pacid=40044545 transcript=BrasyJ022300.1 locus=BrasyJ022300 ID=BrasyJ022300.1.v1.1 annot-version=v1.1 MSNIDVDCDDDGLSSDSNSEFSDFVPANWYGDANAGGPAAADALKSCFHKKRKRTDADCQYRKRQKSYQADQLLDHKKVTNRGNLSWLSHIISSIPPDKKKIICDYGFPFVFHINSSGAPHSFAQWIADHIQPESCDIILDSSVIHLGADTFSEVIGLENTGLDVKVDFDCAKEQFLSLMGFSELPTIKQFGKMLLTNDIADDKYFICFMVVFLSTFLCPNSSTYPSIKYLGSLLVPSDVRNYNWASFGHKWFIESVRKYQKDKVKSKALSSRSNLTLGGCTYVPAVKYLDFADFGELKVDNCFPRTLVWKHDLIKDFARLDQKSAYEYGLRNVRDLSKTCYASVAKSNSECSYLPVFKSSLESLFRDSLHDKVVQDICNLFKNSETSFGPDIMNAAGQDASYKLQWSTSSGKELSPIGNENLAVFSGCVEKRNENSEAFVGDAVVDSQATERVSDPDDIEVVHDVPNEASDAETVVVNSDGEELSNKGIVLLNLLFVFLS* >BrasyJ022400.1.p pacid=40044546 transcript=BrasyJ022400.1 locus=BrasyJ022400 ID=BrasyJ022400.1.v1.1 annot-version=v1.1 MDIAGSLSKQHGVSGKGSNSLSFLLGALLPTVLLFFLASDRVGEQLAIISSFRNGSANLSSHGGKFTQEEALLFPGLAELLSKVATDDRTVIITSVNEAWAAPGSLLDIFREGFLNGEGIAHLLDHVLVVAVDPGALAHCEAVHPGHCYLLEVKSANVSSANRFMSKGYLELVWAKLQLQHRVLQLGYNYLFTDVDIMWLRDPFRHISLYADMAVSTDRFNGDAEDLSNAPNTGFYYVKSTNRTVEMVQRWWDARQRFPGAHDQAVFDEIKADLAGGELRLRFVFLDTALFGGFCQFRDEIDGRVCTMHANCCIGLENKVHDLRNMAADWKNCTGLAAPEKRSGECRWTVPSKCRTSMGQR* >BrasyJ021800.1.p pacid=40044547 transcript=BrasyJ021800.1 locus=BrasyJ021800 ID=BrasyJ021800.1.v1.1 annot-version=v1.1 MGLVKEGSLKPNHHNAVWFLLGAALPTTILLFVLASDRVGERLPSVSSWGKNSNGSLPLSREAAHRQEQQQQKQEQEDKFPGLAQLLPRVAMEDRTVIITSVNEAWARPGSLLDIYRESFKNGEDTEHLLNHVLIVALDPTGFRRCKAVHPFCYLLEVKTANLTSATRFMSREYLELVWSKLSLQQRVLEIGYNFLYTDTDMIMMRNPFRHIPVYADMSVSSDDFLDARAPLNNPLNTGLYYMKATNRSIEMLRYWQAARARFPRLNDQPVFARIKHELVKELHVQIEPLRTIYFGGFCQYHDDFDKISTMHADCCIGVDNKVHDLMDVAADWKRYRSLTRKNRRNMRVNLTWTVPVRCRKSIHRRKPVRH* >BrasyJ022100.1.p pacid=40044548 transcript=BrasyJ022100.1 locus=BrasyJ022100 ID=BrasyJ022100.1.v1.1 annot-version=v1.1 MTLSQEMTYTQIMQQMTQTTVHAGEQDTQEIGGFTRLLNTPYDQLDFADDTFSEYLDDHSFYMTSDRHINVSEMEQNELEAMYNMQNDIGMPASLAEEHVEDQDDWIQKDEAL >BrasyJ022000.1.p pacid=40044549 transcript=BrasyJ022000.1 locus=BrasyJ022000 ID=BrasyJ022000.1.v1.1 annot-version=v1.1 MEGGIRCQSLRRPTAAGRGRARRGHGTRHWRRRCRGRWPSSPRASTSRWWTRRGRGSTSRRWTRSESPEREAERLNGVLVKEAVEARGRWLRSPIALTRAPPTPVR* >BrasyJ115200.1.p pacid=40044550 transcript=BrasyJ115200.1 locus=BrasyJ115200 ID=BrasyJ115200.1.v1.1 annot-version=v1.1 MPICLLLRKVLFRHHTRGWMTFSTVQPKVATVGIDFGCKNSRVAIVDSLVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ058600.1.p pacid=40044551 transcript=BrasyJ058600.1 locus=BrasyJ058600 ID=BrasyJ058600.1.v1.1 annot-version=v1.1 MPPSVHVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLDSSLFCSLIYQRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYGQDDQSSDLPPPPWETQLAQSDQFQAGQLVMPSGQLGGIPPQPVQVGQPAEASPSQPLLTGQPGGMQQHPHSMPNMQYGVMYPPMQGNQTAGMYAQQMAGDLYKQQMYGGQMAGYGYGQQPGGYYVPNSVYASANELSQRMNGLTLQNNSLYGTPTSSLQQANRPTRPEDSLFGDLVNIAKTKPSKTTANKAGEL* >BrasyJ058600.2.p pacid=40044552 transcript=BrasyJ058600.2 locus=BrasyJ058600 ID=BrasyJ058600.2.v1.1 annot-version=v1.1 MPPSVHVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYGQDDQSSDLPPPPWETQLAQSDQFQAGQLVMPSGQLGGIPPQPVQVGQPAEASPSQPLLTGQPGGMQQHPHSMPNMQYGVMYPPMQGNQTAGMYAQQMAGDLYKQQMYGGQMAGYGYGQQPGGYYVPNSVYASANELSQRMNGLTLQNNSLYGTPTSSLQQANRPTRPEDSLFGDLVNIAKTKPSKTTANKAGEL* >BrasyJ058600.4.p pacid=40044553 transcript=BrasyJ058600.4 locus=BrasyJ058600 ID=BrasyJ058600.4.v1.1 annot-version=v1.1 MHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLDSSLFCSLIYQRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYGQDDQSSDLPPPPWETQLAQSDQFQAGQLVMPSGQLGGIPPQPVQVGQPAEASPSQPLLTGQPGGMQQHPHSMPNMQYGVMYPPMQGNQTAGMYAQQMAGDLYKQQMYGGQMAGYGYGQQPGGYYVPNSVYASANELSQRMNGLTLQNNSLYGTPTSSLQQANRPTRPEDSLFGDLVNIAKTKPSKTTANKAGEL* >BrasyJ058600.5.p pacid=40044554 transcript=BrasyJ058600.5 locus=BrasyJ058600 ID=BrasyJ058600.5.v1.1 annot-version=v1.1 MHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYGQDDQSSDLPPPPWETQLAQSDQFQAGQLVMPSGQLGGIPPQPVQVGQPAEASPSQPLLTGQPGGMQQHPHSMPNMQYGVMYPPMQGNQTAGMYAQQMAGDLYKQQMYGGQMAGYGYGQQPGGYYVPNSVYASANELSQRMNGLTLQNNSLYGTPTSSLQQANRPTRPEDSLFGDLVNIAKTKPSKTTANKAGEL* >BrasyJ058600.6.p pacid=40044555 transcript=BrasyJ058600.6 locus=BrasyJ058600 ID=BrasyJ058600.6.v1.1 annot-version=v1.1 MPPSVHVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLDSSLFCSLIYQRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYVG* >BrasyJ058600.3.p pacid=40044556 transcript=BrasyJ058600.3 locus=BrasyJ058600 ID=BrasyJ058600.3.v1.1 annot-version=v1.1 MPPSVHVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYVG* >BrasyJ058600.7.p pacid=40044557 transcript=BrasyJ058600.7 locus=BrasyJ058600 ID=BrasyJ058600.7.v1.1 annot-version=v1.1 MHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLDSSLFCSLIYQRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYVG* >BrasyJ058600.8.p pacid=40044558 transcript=BrasyJ058600.8 locus=BrasyJ058600 ID=BrasyJ058600.8.v1.1 annot-version=v1.1 MHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQQAFGGARARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTKPLQNYPPALRNTDYQQEASDSSSAQEVHTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIMDLVDQCRSYKQRVLQLVNTTSDEELLSQGLALNDDLQRVLAKHDTIAAGIAVPAEKPKPLQAPENSTPPTKPDGTKETPQRSSEAAGNMPPSELLALPAPPSSSSSKPPGEAAVSPSIDLLSGDDYFKPEPVNSQALVPLGNPPAASASGHNTLDLVDMFSQSNGSNNSQNPVISSPISNSNPNLSAPQAYPAPQRPVPPQQSSPFSNGLTSNTMPTYVQGSELKSASPWDGQFAQGMIQPQQAPNYVG* >BrasyJ073400.1.p pacid=40044559 transcript=BrasyJ073400.1 locus=BrasyJ073400 ID=BrasyJ073400.1.v1.1 annot-version=v1.1 MATCLAHPWSPAVDALRPRRQGRQEVDNPDEDCERGESQVRLIWYTAGCRMCKKVQLEHTGRTW* >BrasyJ073400.2.p pacid=40044560 transcript=BrasyJ073400.2 locus=BrasyJ073400 ID=BrasyJ073400.2.v1.1 annot-version=v1.1 MATCLAHPCKGRRPSTLSVPAGKGARKLTTLMKTASGVSPRLIWYTAGCRMCKKVQLEHTGRTW* >BrasyJ073600.1.p pacid=40044561 transcript=BrasyJ073600.1 locus=BrasyJ073600 ID=BrasyJ073600.1.v1.1 annot-version=v1.1 MTARDNGRHRRLLANIGDALGGGQYRTDGRHRRPTRRPPPPPATLPLTRRFALMDHPSGVNTSSCHSIKHGLGSTTATKTADRG* >BrasyJ074700.1.p pacid=40044562 transcript=BrasyJ074700.1 locus=BrasyJ074700 ID=BrasyJ074700.1.v1.1 annot-version=v1.1 MEGALVSAATGAMGSLLGKLATMLTDKYKLLKGVRDDIKFLKKELEVMQAFLLKMSRVEEPDEQAKLRANAVRDMSYDIEDTIDDFILLMDDQSSSEAHGFNELFHKCKKIKDIKTRHQIAKVLKENKYQVKEDSKRHERYKIIESAPEPPNARVDPRVVAVYKDVSELVGIDGPRDELVKWLEK* >BrasyJ074200.1.p pacid=40044563 transcript=BrasyJ074200.1 locus=BrasyJ074200 ID=BrasyJ074200.1.v1.1 annot-version=v1.1 MEGALVSAATGAMGSLLGKLATMLTDKYKLLKGVHDDINFLKKELEAMQAFLLRMSKVEEPDEQAKLRANAVRDMSYDIEDTIDDFMLLVDEQSSSEAHGFDELFHKCKKKIKDIKTRHQIAKVLKDIKSQVKEDSERHERYKIIESAPEPPTARVDPRVVAVYKDVSELVGIDGPRDELVKWLRNEECESAHHLKVVSIVGYGGLGKTTLAKQVYDKLGADFECRAFVSISRSPDMTKILSSILSQLGNKDDAHAAAADPQLIIHHIRDFLKDKRYLIIVDDLWDVPIWRILECAFVKNSHGSRVMATTRKNDVAKSCCSSQGNLVYEMKPLSESDSKKLFFKRIFDSEENCPPHLKEASKDIFKKCGGLPLAINAISSLLVTGKTKEEWERVRGSIGFAQERNSDIDAMHYILSLSYYDLPLYLRSCLLYLTMFPEDYEIKRQRLIHRWISEGFIHGEDGEDLVELGEKYFHELINRSLIQPVYIKYDGKAWACRVHDTVLDFLIYKSTEENFCTYLRNLSKPDSKIRRLSLMKNDDKGTIEQLDLSHVRSLGSFCDVEQLPSLLKSNAPRVLDLEDCHGLENHHVKDIGKLFQLRYLNISQSIISELPRQIGDLVYLETLDASSGLVKLPESVTRLKRLARLFVPGSTKFPDRIGNMEKLQELGYHIDIFEQSVKFVEELGKLINLRKLSVHLGYDDSDKASYEKKEMLVSSLRKLDTCKLNTLSLQFSFGGKDAGALIEGHPFFILALKSIREITLFDGQLCWITKWMLSLANLEKLTLVAQFIRQQDVEMVGVIPSLLEFTLPSFSEPVTISSSSSGGFQQLQVFRVNLYVREFMFESGAMPNARRLSLDIRLKEIKSASGGRGGFDDIGIEHLSSLAELEVRTICSGVRAADVEAVEVAFKGMAEAHPNRPKLHMWRY* >BrasyJ074800.1.p pacid=40044564 transcript=BrasyJ074800.1 locus=BrasyJ074800 ID=BrasyJ074800.1.v1.1 annot-version=v1.1 MAQLLVSASTGAMGSLLGKLGTMLSDEYKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQSKLRANAVRELSYEIEDSIDKFMLLVEQEPSSKSEGIMRLFNSTKDKITNIKTRHKIANDVKDIKSQVKEVSKRYARYKIDESARTRHEKVDPRLCAVYKDASELVGIDGPKNKLMKWLSDKEGEAAHHLKVVSIVGYGGLGKTTLARQVYNKIGANFECRAFVSISRSPVMTKILSSILSQLRNQDDVHSEARDPQLIIEKIRDFVEDKRYFIIIDDLWDEATWRILKCAFAKNSHGSGVMTTTRKNNVAKSCCSSQGNLVYEIKPLGDSDSKKLFFKRIFDSEEKCPPNLKEASEDILKKCGGLPLAINTISSLLVTRKTKEEWERVRCSIGFAQGRNSDIDAMNYILSLSYFDLPLYLRSCLLYLTMFPEDREIERQRLIHRWISEGFIHGEDGEDLVKLGEMYFHELINRSLIQPLYTNYDGKAWGCRVHDIVLDFLIYKATEENFCTYLSGHSKPHSKIRRLSLMENDDKGTIEQLDLSHARSLAIFGNTRQLPSLVKSNALRVLDLEGCGSLENHHIKDIGKLFQLRYLNIYNYGISELPRQIGDLVYLETLDVSRTQLLELPESVTRLKRLARLFVSGSTKLPDCIGNMEKLQELGGPIYIFEQSVKFVEELGKLINLRKLIVHLKYDDSDKASYEKKEMLVSSLRKLDTCKLHTLSIEFSFLEKDGGTLIEGHPFFIPTLKSIREITLFHGQLCWITKWMLSLGNLERLAHLGHQIGQQDVEMVGGIPSLLEFTRPYVSEPVTISSSSSSGGFQQLQMFEFTLCVREFMFEAGAMPMLRELSLVIWLEKMKSASGGRGGFDDIGIHHLSSLAELRVDIDCSGVRAADVEAAEVAVKSMAEAHPNRPNWHMWRGCADEMLKDDAE* >BrasyJ073500.1.p pacid=40044565 transcript=BrasyJ073500.1 locus=BrasyJ073500 ID=BrasyJ073500.1.v1.1 annot-version=v1.1 MFVVSLSCTPAAAGNGGDNTQQQEGSWRHGLIGACENESTTEGTSSWTWTGHTTRITYSCGLKARGIQGW* >BrasyJ073700.1.p pacid=40044566 transcript=BrasyJ073700.1 locus=BrasyJ073700 ID=BrasyJ073700.1.v1.1 annot-version=v1.1 MLAAYLTWASPRSQSSSRWSTSWRPCRRGRRASSAGDPDLKPQGRPRSARVRGERVRGRKGREDAAGEARVTLHGRVRQTAVDRLVARRRAAAPLPWFGRGLIVGRDDPVDGGCGRWIRIGRSR* >BrasyJ075100.1.p pacid=40044567 transcript=BrasyJ075100.1 locus=BrasyJ075100 ID=BrasyJ075100.1.v1.1 annot-version=v1.1 MELRQAEPHRRPLLFYSCRHVFPSPLTRALPGRPCSLICPLGQIKDELAWEIDPDPDLGRPTPGRGTRRRPAIPASMGRPPSTVSFFPRRQGRDQVNHPDEDCERRAQRLRLTPSTNRVPTPTRTHSLGA* >BrasyJ074100.1.p pacid=40044568 transcript=BrasyJ074100.1 locus=BrasyJ074100 ID=BrasyJ074100.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASSKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEETSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKRQVSAKATICLVDQATPAQDRIKGEGNKTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKRYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >BrasyJ073900.1.p pacid=40044569 transcript=BrasyJ073900.1 locus=BrasyJ073900 ID=BrasyJ073900.1.v1.1 annot-version=v1.1 MAELLVSASTGAMGSLLGKLGTMLTDEYKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQS* >BrasyJ074400.1.p pacid=40044570 transcript=BrasyJ074400.1 locus=BrasyJ074400 ID=BrasyJ074400.1.v1.1 annot-version=v1.1 MPQLDPLAASPLSAKKIQQKAVVLSEPTVQAPMIEEGGAAVGTPVQKSPRAKGAPRDVAADALVSTQAAGIAATPVRKSPRAKGAPRDAAADAGVSTEAAGIAGTPVRKSPRAKSVPREPPKTAAKATDSNVDRSPGRANIAYTRRSPRVLAKAAGASCNAPQASSPDANTENVVEGSTVADSQLNLPSDSDAPGAGQDSPLDGLDTVDSQLSSEQEGILARSQASALIVRLSGKKSSKKRKQAREGDTSNPGLDHVPKDHSQSDSNPAVLDDAVNLNEGAEHLEISQRDHVEAYRSEVIHTQAVDTVAVPTEAMPIHVLPTQAAATEAAPTKANPSQGTPTQGTSLICSARRVKKVTPRAKKKSQDAADPSAASSVETAAQEAGSSLNLAIVLSPSANGIGSSAEKAIVLSPTVRLGDQRSTGSHFDPITLSPSARDIVFGRQQSSSKHSWKVGDPQIKVPDQLQKLVSELSRSVNAGGFLSKAAATGSSDLKGKRKIPDYQAKPVLHAKRSRASNLLASGDFTPPSFDMCLSPDIPCPPAGQRMSFVEAVPLQWAPSPGKLNADAVLVEQEELCPGLFLTSSGDELDEETIAFMDRLDEELRARGSSSTNAPLATGGEEVPVGVRSRTPKHQAQKARVKRPSKWMSSPYDGNSIIVTPEQDDVYKKVQGFKHKEVPNHYL* >BrasyJ074500.1.p pacid=40044571 transcript=BrasyJ074500.1 locus=BrasyJ074500 ID=BrasyJ074500.1.v1.1 annot-version=v1.1 MFPVIENFEQDDSGTNHWYLVCLNLIAQRFEILDSIRSKTNFCLLTHANSVITRIKEAWKVFYFKSRVQIEGFPMEVIDVPKQGNSHDCGYYMLKFIETWDGQHVPQFRQDQMPNYRKVLTHMLLSSHLNKSKNWKQVLDSNKW* >BrasyJ074900.1.p pacid=40044572 transcript=BrasyJ074900.1 locus=BrasyJ074900 ID=BrasyJ074900.1.v1.1 annot-version=v1.1 MGQRMGQHDVEMVGGIPSLLQFSGSFFSEPVTISSSSSSGGFQQLQVFEFDLFVREFMFEAGAMPMLRELSLVIWLEKMKSASGGRGGFDDIGIHHLSSLAELHVHINCSGVRAADVEAVEVAVKSMAEAHPNRPSLGIQKFGSHLMLKDNDE* >BrasyJ074300.1.p pacid=40044573 transcript=BrasyJ074300.1 locus=BrasyJ074300 ID=BrasyJ074300.1.v1.1 annot-version=v1.1 MGRRKKLRIEGEGHGLVKEEGISKKAKAIVIERNRASPAAIIKLCKGFTDDQRAAIRNMDLGSFLNILCDNLHNPLIMWQTRLYNPETRCFEIPFQGSIPLTVESVHEVLGTPNGGRDVPYRVDSTIEAGLALELFPGEGTRPKVSRVHEILSKYKLADDKFKRLWLLYIVSTVLVPTTGNTISNKCYPLLVNIEKASEYNFCQLVVDQLHHNLSKGKFTSGCLLYCMLRYVDSLNCDEYELELVDTPFRINSWSKLAVDVVAGLDTQNDDPNSFGKLKLKDQFRSKFGLFGGHKTLKNG* >BrasyJ075000.1.p pacid=40044574 transcript=BrasyJ075000.1 locus=BrasyJ075000 ID=BrasyJ075000.1.v1.1 annot-version=v1.1 MGSLLGKLGTMLSDEYKLLKGVRDDIKFLKDELEAMQAFLLMMADVEEPDQQSKLRANAVRELSYEIEDSIDKFMALVEDEPSSKSEGIMRLFNSTKDKITNIKTRHKIAKDVKDIKSQVKEVSERYARYKIDESARTRHEKVDPRLCAVYKDASELVGIDGPTDELMKWLSDKEGEAAHHLKVVSIVGYGGLGKTTLAKQVYDKIGANFECRAFVSISRSPDMMKILSSILSEISNGKEHARLTYQQIIDQIRDFLKDKRYFIIIDDVWDIQTWKNVDRLATWCI* >BrasyJ074000.1.p pacid=40044575 transcript=BrasyJ074000.1 locus=BrasyJ074000 ID=BrasyJ074000.1.v1.1 annot-version=v1.1 MEGALVSAATGAMGSLLGKLATMLTDKYKLLKGVRDDIKFLKKELEAMQAFLLKMSKVEEPDEQAKLRVNAVRDMSYDIEDTIDDFMLLVDHESSSDAHGIHDLFHKCKKKIKEKIKDINTCHQIAKVLKDLKDQVKEDSERHERYKIIVSSPEPPNARVDPRVVAVYKDVSELVGIDGPRDELVKWLSNDQCESAPQLKVVSIVGFGGLGKTTLAKQVYDKLGTYFECRAFVSISRSPDMMKILSFILSQLGNKDDAGAADPQLIIQKIRDFLKDKRYLIIIDDLWDVPTWRILECAFAKNSHGSRVMATTPSLLLTRKTKEEGERVRGSIGFAQGRNSDIDAMNYILSLSYFDLPLYLRSCLLYLTMFPEDYEIKRQRLIHRWISEGFIHVKSNALRVLDLGDCLWLLNHQMEDIGRLFQLRYLNIGGLRILELAAEIGNYGCLEMLDALHIVELPESVTRLKRLEWLFVPSRAKLPDCIGNMEKLQELGDHINIFEKSVKFMEELGKLINLRKMSVELDYGDSNKASYKKQEMLVSSLRKLDTCKLHTLSIMFLFVEKDAGTLIEGHPFFIPALKSIREIQLFRGKLCWITKWMLSLANLEKLDIWGQVIGQQEFDIVGSIPSLLEFTGPCFIEPVVVSSSSSGGFQRLQVFEFGLYVREFMFEEGVMPNVRKLSLGIGVMEIKCFDDIGIHHLSSLAELRVHINCWGARVADVEAVEVAVKSMAEAHPNHPKLEMERGYAHMFQVRVADLRVPFFPAGNGATKLTTPMNTASGERPRSALLRPPHSAAPPSAGLLAAVLRRPRSALPSFS* >BrasyJ073800.1.p pacid=40044576 transcript=BrasyJ073800.1 locus=BrasyJ073800 ID=BrasyJ073800.1.v1.1 annot-version=v1.1 MVLVEGEPSSKFDGIMRLFNSTKDKITNIKTRHKIAKDVKDIKSQVKEVNERYARYKIDESSRTRNEKVDPRLCAVYKDASELVGIDGPTDELMKWLRDKEGEAAHHVKLVSVVGYGGLGKTTLARQVYNKLGANFECRAFVPISRSPDMMKILGSILSEISNGKEHARSTYKQIIDHIRDFLKDKSDPFIAEEGREIRPGSLQRKTKKRRRKNRNIHGHRDLESRHVIRTRCQRSEISPCTLPSSGFFLDDCQDTRRRAQLVLENTTVPLFPSLP* >BrasyJ074600.1.p pacid=40044577 transcript=BrasyJ074600.1 locus=BrasyJ074600 ID=BrasyJ074600.1.v1.1 annot-version=v1.1 MCCSYGVSRCFLHANPHDCVKFLEVSDALVDSNYAARDVLEAMPSPRTRLLATHMPYSLLPHRITEEGSGCRLAYVCRDPKDALVSFYLFNKKTAATLQPGRFPAGPQWRHAVEYWHESKKRPGKVLFLRYEEILRDPVGNVKKLAEFMGCKFSQEEEEEAGVVEKIVELFSLEKMKGMEVNKNGSTLLGIKNEAFFRKGAAGDWRNYMTPEMVATLDKIVEEALRGSGLTFGNSV* >BrasyJ027900.1.p pacid=40044578 transcript=BrasyJ027900.1 locus=BrasyJ027900 ID=BrasyJ027900.1.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.7.p pacid=40044579 transcript=BrasyJ027900.7 locus=BrasyJ027900 ID=BrasyJ027900.7.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.10.p pacid=40044580 transcript=BrasyJ027900.10 locus=BrasyJ027900 ID=BrasyJ027900.10.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.8.p pacid=40044581 transcript=BrasyJ027900.8 locus=BrasyJ027900 ID=BrasyJ027900.8.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.3.p pacid=40044582 transcript=BrasyJ027900.3 locus=BrasyJ027900 ID=BrasyJ027900.3.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.5.p pacid=40044583 transcript=BrasyJ027900.5 locus=BrasyJ027900 ID=BrasyJ027900.5.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKDGIVPFRMGLADYILTSYYPLYLPFVHGKISWLLKRRKLKRKHNTVATERSNS* >BrasyJ027900.4.p pacid=40044584 transcript=BrasyJ027900.4 locus=BrasyJ027900 ID=BrasyJ027900.4.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKIEEEA* >BrasyJ027900.9.p pacid=40044585 transcript=BrasyJ027900.9 locus=BrasyJ027900 ID=BrasyJ027900.9.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKIEEEA* >BrasyJ027900.11.p pacid=40044586 transcript=BrasyJ027900.11 locus=BrasyJ027900 ID=BrasyJ027900.11.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKIEEEA* >BrasyJ027900.2.p pacid=40044587 transcript=BrasyJ027900.2 locus=BrasyJ027900 ID=BrasyJ027900.2.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKIDGVPENIDSTRPLVLTEVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKIEEEA* >BrasyJ027900.6.p pacid=40044588 transcript=BrasyJ027900.6 locus=BrasyJ027900 ID=BrasyJ027900.6.v1.1 annot-version=v1.1 MDDKCGKPMNPDAHNSLQLHDPGSILVVAHTPGIPALYKGLLKNISFLLPCDNKGKSYKCSSNEKDTFLIRSKADKCLFFEWEEKEERMVCWMYDIPRNIRMKFLVNPAIVHGINDVSVKLPAIAFSSDFDGDGSWACVKSMLIAIFGSSKKADSTENDHLYVFTRIGSSLCFRNFKVSLFFCLKLLDAQGVSNEVSDKSQNQIGESLQLHRTVYYSVSSVCSGSYTPHSVELDSRIFSILLKNDCNMSLVGEYEGFSIALDHLLSKEPVLKTKHIIGSGTDKQSIFIRNRFKFIFKSVVIQLLHLFESGKCLDLEWGMGIPMQNILIRGSDVKFHGLKITNFNNQLAEQNLLNLNRLIRHCCTPALLPAELRVALLSLLSSSSKKGPLDRLKELKDNSLWLRAEDRRMLLIACHGEFMTNYDIACEPDVMDGNLEDKSDVEEEFKANFFKNCPYIDTWKEEATDNEYLMQVANAEIEDAENSGTLDSTRGPIDQRTRKKLQMSSRSKHIKKKQKRRQGTSKGKVQFGFMRNFAIHMPEKAKKIEEEA* >BrasyJ028100.1.p pacid=40044589 transcript=BrasyJ028100.1 locus=BrasyJ028100 ID=BrasyJ028100.1.v1.1 annot-version=v1.1 MANDQSLLGTGSGGQKSSIYDHHFELGTTIDCQRHMTFMTLCCLDISSDVECNKGFSVCGNESFTYKPKEEHVIYISEVGLSKVHAKDLATNIVIYVALGSDELRITLGTLSKANPTLQLELLLPTEFILSHSSARKTVYFNAFELRDNSSKPMSFYPRFQSLDRNKSLWGAEVMPSQKAEFQPNVEEKKYHILKVFTETPSTRNVEVYAECKGIQKLLGCLSNEKTASDCRFEFDDTFQLSHSSNSAVVFFSGYTESHRFVLQDLTVDPSLLTEPLPPKEIVDTEYLTYKAVVAKTSGATKRNCGKTSGSNVPKLSPMIWGSSRQFY* >BrasyJ028000.1.p pacid=40044590 transcript=BrasyJ028000.1 locus=BrasyJ028000 ID=BrasyJ028000.1.v1.1 annot-version=v1.1 MKISGWNYLGLGNRPAVRGLLPHQKKVAPDILFLSDTNLHGERITKSGGLMLFRHEGFDVHWDIYVEVKDTDGCKWRLNDIYGESHTDRKETWRLLRTLHLQEKLPWIVIGDFSGVMFSHEKQGGTPRNQSLMETLREALNFCELKDLGLDGDVFTWLDCILASPAWCSSFPGYKAVNGDPEHSDHRPVIVFANGAKRRRRCRSHEPNKKFEARWLLEEDCELVIKMRWMLQRIKNLKKELDDCRCDNLSARSVHKKQVTPFKLKSLEEQWDIFWHERARANWLEKGDRNSAYFHSFASERKRRITIKKLRADDG* >BrasyJ028600.1.p pacid=40044591 transcript=BrasyJ028600.1 locus=BrasyJ028600 ID=BrasyJ028600.1.v1.1 annot-version=v1.1 MEGGASVVTQPRCRSPPAFPSARQRGPDPDVGFCGVRLAGRGKVQMFLSPALQHAEVGVGDETISSILVQIVFLLKTSTACLGKQEAGRLYYNHNDVLFLLLEEKNTKAKGERKSTNGSVRELCEDEGRPGYTCVS* >BrasyJ028400.1.p pacid=40044592 transcript=BrasyJ028400.1 locus=BrasyJ028400 ID=BrasyJ028400.1.v1.1 annot-version=v1.1 MGFSTNNLSGTIPSSLPNITTLQVLDVSFNHISGEVPKDIGKLRSLQTFSVGSNKMSGRFEPNILNLSSLVIVSLGPNYLSGQLPSNLGSSLHNLQMFGVFGNHFEGPIPSSLVNASKLFLVDLSTNNFTGVVPSSVGKLKELTWLNLEFNQLQARNKQDMEFMNTLSNCTKLEKLSLMGNHLQGQIPNNFGNLSVKLQQFYFGDNRLSGSLPSGLANLHSLSGLGLEMNQFSGVIPEWLGSLKKLQAISLSGNMFTGNIPSTLSNLSQLGRLYLDSNLLYGHIPLSMGNLKVLESLEISYNNLHGTVPKEIFNIPTIMYIGLSSNSLNGPLPVEVGNAKQLQYLLLSSNNLSNSIPGTLGNCDSMEDIELDQNVLSGGIPASLGNITSLKILNVSNNHLSGSIPMSIGSLQYLQQLDLSFNYLEGQVPEKGVFRNTSAIWIAGNKGLCGGAAMLQLPACSVILPSSSTKHMPYVVLKVLIPLAILTSLAIVISVLLVWRNKQKTKNISLPSFGRKFPRVSYSDLARATDGFSPSNLIGTGRYSSVYKGKLFQEGIMVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPVLTACSSINSEGNDFKALVYEYMPQGDLHVLLYPTLCDENTSDLNQITLSQRLSIVVDVADALEYLHHNNLGTVIHCDLKPSNILLDDDMTAYVGDFGLASFKIASTLSSLGDSELTTSIAIRGTIGYVAPECAIDGHVSTAADVYSFGIVLLEIFIRKRPTDDMFKDGLDIAKFVEMNFPAKIPQIIDSQLLQNQPNFSQETPAAIEEKDMECLISVLNIGLCCTKLSPNERLNMQEVAKRLHVIRETYLKGN* >BrasyJ028200.1.p pacid=40044593 transcript=BrasyJ028200.1 locus=BrasyJ028200 ID=BrasyJ028200.1.v1.1 annot-version=v1.1 MQVIVFRKNQGIRTCTEEQMMGIRRRGATSRMQQAAYGCSMSCVFWGNNKATHVG* >BrasyJ028500.1.p pacid=40044594 transcript=BrasyJ028500.1 locus=BrasyJ028500 ID=BrasyJ028500.1.v1.1 annot-version=v1.1 MTSPLPFSKDDIITSYALHPDGHTIFMSSSSRRCPSRTFSFDTSGGIKGEGYFDSELDAWVGLHMDGYICSCQAASCSSTVATQPDWKMVKEKLFHKQPGDPDFALWSLVREQLEFVDASGDDCNGFMLYITIFGLKYSREGELQTTIRRTTKSYKVPKHFKSFSPVAFWL* >BrasyJ028300.1.p pacid=40044595 transcript=BrasyJ028300.1 locus=BrasyJ028300 ID=BrasyJ028300.1.v1.1 annot-version=v1.1 MDASSGSPSAAALPSRPLPIRTPRAAATTGAVDEGMTATALEEEPVSPTSRLMEAIHIVFTMGLGSPVNLPVFTAGIAAQLARYPHFRRIVQVSKDGSNPRWIHVDVNVEDHIVALEDYVSSLSTLPMDPSRPLWECHVLDFPTTEAASTVVFRVNHSLGDGVSLMSLILASTRSAADPARLPELPKPPARRTGPIYAPTSGAGGGGMAAWVWSCLVLAGHTVVDAALFAATVLFLRDPHTLLKGPEKDVVVVPGSRGRRSVHRSFSLDDVRFVKNAVDCTVNDVLVGGTTAALSRYYFRKSGDSNTREVCLRSVIPVNLRPTTSLQVRHLPYVNMIESGKSNDVAWGNQLGYMILPFHLAMHGAPLAYVRKAKNTLDRKKSIFSANLYILAGAFIFSRLLTNTTISFSNMIGPAEQIEFYGHPVVFIAPSVYGAPQALCLHYQSYNSILSVDKEVFPDYRELLDDFVESFRQIKDAASRLPKSIRKE* >BrasyJ064000.1.p pacid=40044596 transcript=BrasyJ064000.1 locus=BrasyJ064000 ID=BrasyJ064000.1.v1.1 annot-version=v1.1 MSTKRACSGACPSTKRLRAFDEASPPLLRLPVDLLLEIAARADIATIVRCAATSKHFRAAILEQGFRRRRLLNGRAAAGFDPSSLRGISYKLTDRDKGRHLRPRAVSLCLVPVAWRDGLVILRHSQTGELTACNRNTGHEAPLDDSAALAVSDDYPHALLTVDGGGGGNFELLVADKDLRFQTYSSRHGKWGAVVHAAAAAQHHLHHPPSRQTARTRDPSKHPVVINGRRRNTVHWLCGCRGGLDAGWLHIVALGVDTAAAGARATVIDLPRGCVSRMMGLKHPNGITLAVSADGRLSLVVSETQVISMWTSEGDPDQITWSRRVVVDRQEWGVHASIRFEGFGERSGTLLFYMSFVGLVQLNLATNKALVVLHREHPRTHRISQEAR* >BrasyJ063700.1.p pacid=40044597 transcript=BrasyJ063700.1 locus=BrasyJ063700 ID=BrasyJ063700.1.v1.1 annot-version=v1.1 MEGEEGVRSTVTTGSGMSRKRPRSPSPLPAPAPVPAVVSGPLDLYEVISNIIVHISDPATLVRAALVNKQWYETAKTPEILEEFCERQPTRFIGFFGEYDGGWELVPMPLPEELSTVPRFLSDSFPPGLRNAKDCVCGHVLLGLEDGFAVKNPFAKKFTLHPLPPQQMGGKSLGNFSLFKDGSPEDIVHLSVTMNQDILNVQLLVYEDEVWTESDGFAMIEIPTQNDEPLCKPALSVNGNVYILSVNGFAIEVSIMDCNVSIIELPGGSFEWGFQQICRAEKFDFLYLHSDGFYIQIWSRSGGSWSQISSIDVLSMFDRFGLVHKEKFTWDASRSGWSEVITIFGITNEVGIIVLGIDGVDGIFMLDKEKQSVVRVGLKSSVASGDLIGNMMIPLVTTWVPNLPNMSN* >BrasyJ063900.1.p pacid=40044598 transcript=BrasyJ063900.1 locus=BrasyJ063900 ID=BrasyJ063900.1.v1.1 annot-version=v1.1 MLIDTLYDMNDSSWKVDIADPRSKSKVKILKKQLSYVLEIMQNGSGFGWDDEKMVTGDRETYMAGQRPFVNFDKICEVYASDLAKGPGEQEVAEDESPKDDQPTSQPTEENVAQPHDNINLSGGSKHGRKRTYPDDDTLESGLLVVYNSIAKYMEAEQENAKTMNSLHLMHEAEVHEQTSAYRTKLLDVLQNLHGLTLEVVMAARVIDRDAGQTELFLHTHDK* >BrasyJ063800.1.p pacid=40044599 transcript=BrasyJ063800.1 locus=BrasyJ063800 ID=BrasyJ063800.1.v1.1 annot-version=v1.1 MARPPTNQEIIILWNTMRRHRMKILITLYLLLQHFIMLIRRSRCRVPRVREPSWYDPITRAQLLDNKIRASDTECISNYGWIGVVSESYVLW* >BrasyJ062600.1.p pacid=40044600 transcript=BrasyJ062600.1 locus=BrasyJ062600 ID=BrasyJ062600.1.v1.1 annot-version=v1.1 MPPRGRGRSGSRTGGGRSGSGPSDSKPAGSRRIMREHRSSVKGISGSKSKANEGASQSVRRSVSSSSSSSSSSLPTEAGSDSDTDSSFGIFFNTEFRISSDYGDSDDSDGQVGIFQHNEVAKNFASIVDSLPCHGLMLLLFETPSGFALYTFYGVSCYLDSALDNLWLDFADPFLASMVVRLKGFLSFEDKSSAINADGIDNQLVALIDKWHRPGMTLCVGKPEYKAIIESVLKIPCLCCQAVLEIMWGIQQQMRILVPLELQSKLTKEDRLPTSQGLMKFLRNCGFDVKPEMVNEKIFFTAFTLFRCYADDKRNLAILRDAGLYIRDISGIACEDWEILKLAIAVKVICRPKEELTDFHEVLAEDVLSKLKGDAPKYKGVAVKVKWLSTYIAVASNHCLRIEKKEMLGSLVRKAKKAYEAEQAEVCQKVKLLGESQQIFGEAVRRSPRLKRKLYENSGEGTPHKPHKY* >BrasyJ062200.1.p pacid=40044601 transcript=BrasyJ062200.1 locus=BrasyJ062200 ID=BrasyJ062200.1.v1.1 annot-version=v1.1 MASAPPRVPSYPFDAGRLCSTGAAAKLHFELRVELNRRNARVDPPDPQLPSNQAKSGVNGAPTDPPPGYSAAVTAARRSSAPRGTAPSRCRVEPPVRREVEPLSTVDGPLTGSFAPQSHGIALVLNIDGLPVLYESARRPLVLSFAAIAASIALSYNAIRRAPTSFRAGVSFRGPFFLQVDSQGFGIGLGPDLKPDERMVLYGRTWLVQVRLAYVGPPINLNRDINRAGGVAGLVVFVLLQAACYWYSRRNN* >BrasyJ062500.1.p pacid=40044602 transcript=BrasyJ062500.1 locus=BrasyJ062500 ID=BrasyJ062500.1.v1.1 annot-version=v1.1 MPSSAIPREQKNQSSDTLLTSDIKKTYKDVTLSVGRFLTQLFSVSRMRAFVVFTNESNIEHCKNKWQQAVDSKVGRLDTFIECVKAPIQVFIACGIGKGKGRRSISQTKSWNMVVDDRPFQFWNSHQHGSSQGTVNPKDYQLADAQLTMTILDLDLIQQAANYKQLKKGANEATKTLNRGISEFVVIAADTEPLKILHLSLLADKV* >BrasyJ062100.1.p pacid=40044603 transcript=BrasyJ062100.1 locus=BrasyJ062100 ID=BrasyJ062100.1.v1.1 annot-version=v1.1 MKTRDSSYRPYLVGSLPIPRPQSIHSPFPSAVRPLFAVVPLDLGSPHKDPALRPHGRRDRGLAAAALSISSLQHRRTHAFSDCPAPRRAVRASRIYNRGPAVSSCREGPWAGAGRRFG* >BrasyJ062900.1.p pacid=40044604 transcript=BrasyJ062900.1 locus=BrasyJ062900 ID=BrasyJ062900.1.v1.1 annot-version=v1.1 MRYGFLSWPSKMANRCAACNNGDGPCGYSSRRARSFTIRLTNGFENYVAVPCYFKERLNSFTRDFLTIRHEPGMSFDLYIKRNENYTLLGGAYWRDFVNVMNLKERDQVHFNAFAFGCLFDAVVFDENNDRKTMSQAPAVRQVAQGKLFILHETVFTDMYALSNNEVSNIVKYLNGRHISEDDYSQRYIAHKMTDADIAARRLVCCSSCLFPFLVIFSILCKD* >BrasyJ062400.1.p pacid=40044605 transcript=BrasyJ062400.1 locus=BrasyJ062400 ID=BrasyJ062400.1.v1.1 annot-version=v1.1 MVEEQLEEHRCKQQRKAAAKKKGQSHATDLGGSTLELRRSPRFARKANPKKRRLDDDAGTSEAVQAPEDGDEDDDDVCGGPTKKMKSRTGGDAKKRIQQADVRCSLTEVRTIIRTLSTEQTDLLQNNGCGFILDFKLENNISRNLIVWLYEILNKDSLVMDLGDGKVFQITREVVKAIYGFPDGADRAPRPSPDRDTGAVKWLKAELGKKEAKHIYPADLIAHIKKGALDDLTMKVILLVFFMKTTCATQNLRVGREAGMVVNLDYKKLQGMDLCQLVVEELKRAVSKFHQAVGEGKKASAAEGPAVLPLLFYLDSLRHSSALTSTDVPRVKYLSSKHMASICNDDRSSEAGFGKLKVIIPTGDMLAAGRELEQGPETPINGDDRQGCSVDVCDVDAVATVISDDIPAAGDTGCVANHSVHRVPDFHLQSKTGPTPQQLMSCRNDASNVKSNLLKRLFSAKAEDRLDKYENEPGNIIDKNTLAAGHYFTIIIDFEDRRFKILDSLRLLNNDELNVAFSELVSLIKNLWKDGYSGAETRFHSIDDFERCYGVMPRQQNTYDCGFFVLHMMDIWSPPSFPYFSSDDIPEIRKDRLTGDVIADGFF* >BrasyJ062300.1.p pacid=40044606 transcript=BrasyJ062300.1 locus=BrasyJ062300 ID=BrasyJ062300.1.v1.1 annot-version=v1.1 MIETCLLYKMKKCGAFCIISRKIPLMMQYTRSSLCTRVDEHDVAAETLVIPEKICYALKVPYSGYATLESAVMKHPMGATFSRNTTGDTVITRGWAYFCKLHGVDSGSVLFCTMDNVNRFTIKIEVIKM* >BrasyJ062800.1.p pacid=40044607 transcript=BrasyJ062800.1 locus=BrasyJ062800 ID=BrasyJ062800.1.v1.1 annot-version=v1.1 MAGGCDAYVDVEAMYGVAPATQRHADAAAMGTHNLFDDMRTMSFEILALLRPTFSAAPVLLSLLQFSDHSSPSAGRPLVALCLPDGASHLLPPTARPSLRHRRHTRRPQVVTVASSPPPRPWETRMTDHGRERERLKHLSKPCGLVNQGLM* >BrasyJ062700.1.p pacid=40044608 transcript=BrasyJ062700.1 locus=BrasyJ062700 ID=BrasyJ062700.1.v1.1 annot-version=v1.1 MRYGFLSWPSKMANRCAACNNGDGPCGYSSRRARSFTIRLTNGFENYVAVPCYFKERLNSFTRDFLTIRHEPGMSFDLYIKRNENYTLLGGAYWRDFVNVMNLKERDQVHFNAFAFGCLFDAVVFDENNDRKTIHYPIFFLRPVILFSFSLGFDYSSCAPSCSREAVHTS* >Brasy7G194300.1.p pacid=40044609 transcript=Brasy7G194300.1 locus=Brasy7G194300 ID=Brasy7G194300.1.v1.1 annot-version=v1.1 MRSSSVPRYSAGAGPAFLGDDVDHEAITEDSLVGGGDSNSVGCLHGSYNSSLSLHGVRVDDEHSAFENSSRCSSPYDIIAPRDVVPIEMARSRFLDIIVDHFIGENVIEVAEPSVLDRIQANDKLNKRKQQEVRYEGDPRFALPLMYIANLYETLVGDVNTRLASLIGSREKTIGVALEAAGGLYRKLTQKFPKKGSCSFRRRELATSHATRTRFPELVVQEEKRVRFVVINGLVIIERPNNMRMEDAEWFKRLTGRNEVAISSIDYKFYSPRHKYRRTPQSGFDIPVTSVLTEDESSPLVCSLGFRPPSEMQNQHELSSKQHIQQIEGQPYLHFLDQAEHETIQQNQHSSQLPPIHPCASASHLSDNAQQHQSYLSPHMQAGHGHLGGRLNILVSILLHLVMSTVAGTHGIKTSITFQKKIHDQPALQSFVMNVGPRI* >Brasy7G194300.2.p pacid=40044610 transcript=Brasy7G194300.2 locus=Brasy7G194300 ID=Brasy7G194300.2.v1.1 annot-version=v1.1 MRSSSVPRYSAGAGPAFLGDDVDHEAITEDSLVGGGDSNSVGCLHGSYNSSLSLHGVRVDDEHSAFENSSRCSSPYDIIAPRDVVPIEMARSRFLDIIVDHFIGENVIEVAEPSVLDRIQANDKLNKRKQQEVRYEGDPRFALPLMYIANLYETLVGDVNTRLASLIGSREKTIGVALEAAGGLYRKLTQKFPKKGSCSFRRRELATSHATRTRFPELVVQEEKRVRFVVINGLVIIERPNNMRMEDAEWFKRLTGRNEVAISSIDYKFYSPRHKYRRTPQSGFDIPVTSVLTEDESSPLVCSLGFRPPSEMQNQHELSSKQHIQQIEGQPYLHFLDQAEHETIQQNQHSSQLPPIHPCASASHLSDNAQQHQSYLSPHMQAGHGHLGGRLNILPTSPAKFCDECGSPYLRTTSKFCSECGTKRLGI* >Brasy7G171300.1.p pacid=40044611 transcript=Brasy7G171300.1 locus=Brasy7G171300 ID=Brasy7G171300.1.v1.1 annot-version=v1.1 MYAAEQLAVQAEEEAAKNAAEQLAVQAEEEAAAKNAAEQLAVQAEEEEAAAKNAAEQLAVQAEESEAAAKNAAEQLAVQAEESEAAAKNAAEQLAGQAEEEAAAKDSDWEETCQAFGGQRKKNLKGVRRLANGRYAAEIRDKVAGRKVWLGTFPSLKLAACAYELAARGMLSGGRHAVPNFERTPSPALREEFDEELAAQALRYREDGGRPVPACLMRFTRVPVMPPVEESFPEVPPSAVRSIPPEPVYIEAIEESDARNANLHPVNLLGPTIKIRDLALNMTTSEREDALRALGLLR* >Brasy7G162100.1.p pacid=40044612 transcript=Brasy7G162100.1 locus=Brasy7G162100 ID=Brasy7G162100.1.v1.1 annot-version=v1.1 MDAGRKRAVPEGTNGGGAAKRARAESESFQMGVGSKSKPCTKFFSTAGCPFGSGCHFLHNFPGGHQAVSKMTNLGGPAVATPPGRMPMGPGVPDGPPTPGVKTRMCNKFNTAEGCKWGNKCHFAHGERELGKPMLLNNSMVPPMGPRPNGHFVPPPMPAPDMVPPSTFGASATAKISVDASLAGAIIGKGGVNTKHISRITGAKLAIRDNEADPNFKNIELEGTFDQIKYASSMVTDLIVRIGGNAPPAKNPTRGPHAGGGGNNFKTKLCDNFSKGSCTFGDRCHFAHGESELRKSAAA* >Brasy7G162100.2.p pacid=40044613 transcript=Brasy7G162100.2 locus=Brasy7G162100 ID=Brasy7G162100.2.v1.1 annot-version=v1.1 MDAGRKRAVPEGTNGGGAAKRARESESFQMGVGSKSKPCTKFFSTAGCPFGSGCHFLHNFPGGHQAVSKMTNLGGPAVATPPGRMPMGPGVPDGPPTPGVKTRMCNKFNTAEGCKWGNKCHFAHGERELGKPMLLNNSMVPPMGPRPNGHFVPPPMPAPDMVPPSTFGASATAKISVDASLAGAIIGKGGVNTKHISRITGAKLAIRDNEADPNFKNIELEGTFDQIKYASSMVTDLIVRIGGNAPPAKNPTRGPHAGGGGNNFKTKLCDNFSKGSCTFGDRCHFAHGESELRKSAAA* >Brasy7G162100.3.p pacid=40044614 transcript=Brasy7G162100.3 locus=Brasy7G162100 ID=Brasy7G162100.3.v1.1 annot-version=v1.1 MTNLGGPAVATPPGRMPMGPGVPDGPPTPGVKTRMCNKFNTAEGCKWGNKCHFAHGERELGKPMLLNNSMVPPMGPRPNGHFVPPPMPAPDMVPPSTFGASATAKISVDASLAGAIIGKGGVNTKHISRITGAKLAIRDNEADPNFKNIELEGTFDQIKYASSMVTDLIVRIGGNAPPAKNPTRGPHAGGGGNNFKTKLCDNFSKGSCTFGDRCHFAHGESELRKSAAA* >Brasy7G206900.1.p pacid=40044615 transcript=Brasy7G206900.1 locus=Brasy7G206900 ID=Brasy7G206900.1.v1.1 annot-version=v1.1 MPDGIHEKIREKTNHKLINTEQHSSSVVGLHHRGVHTPPLPEIPVLVVRSAARETGQQERGRVREREKIERGKRGEGVGAAPYLVEARSASAGRRAAGSGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGLAARAGGGRPQGGRIQVGRAEEAADGRRGAGRRGPAAPARWWKSSSATADWRRWEREREREREAGWWKKRGIGREDREKERTGSAAGTRGRENETGGG* >Brasy7G055500.1.p pacid=40044616 transcript=Brasy7G055500.1 locus=Brasy7G055500 ID=Brasy7G055500.1.v1.1 annot-version=v1.1 MGCAISSNSSAAAASNSVSPLSGTKILRISPDSKYYEGIANGECVKTETFAVGGHRWYIEYYPNGRGPKDAGWLFVFLFHLQRRPGEVVSTQLKISLLDRRGEPVPSYSSRGGRWRCCEFSGNQGPWGYPQLVRPKDLLREKSRHVSSEDGVLSVRFDIAVSKESPCPKQSLVVEGATVPPPDILQDLARLLSSGEGADVTFEVGGETFAAHRHMLAARSSVFKAELLGPMRESVSSACVRIEDVEPRLICEEKLCACLSSSTVATTLALAEQHGCCALKEACFRFLESHTNCEAITRSADFEHLARSCPSIMKELIPRLAPKPPVVMTYNTM* >Brasy7G205500.1.p pacid=40044617 transcript=Brasy7G205500.1 locus=Brasy7G205500 ID=Brasy7G205500.1.v1.1 annot-version=v1.1 MEGCEEKKNILRQIPRRRAGRSDRATSSSSPSSASPLPAPPASSAPPTKSPESRPLRADREASQPDATHNPPLPWEPRTRRAFCRGMAYVDHAFSIADDDDLVGGAAGGPRGAPVKEIAFAAALLAFGALGAVAGLFMAAHLVGGDRSHGIFFTVLGVVMFIPGFYYTRIAYYAYRGYHGFSFSNIPAI* >Brasy7G175400.1.p pacid=40044618 transcript=Brasy7G175400.1 locus=Brasy7G175400 ID=Brasy7G175400.1.v1.1 annot-version=v1.1 MGKRNSSHLRYFSLLPLLLLLAGFASGHQVLFQGFNWESWKQQGGWYNMLLGKVDDIAAAGVTHVWLPPPSHSVSNEGYMPGRLYDIDASKYGSASELKSLIGALHGKGVQAIADVVINHRCADYKDGRGIYCIFEGGTPDGRLDWGPHMICRDDTAYGDGTANLDTGADFAAAPDIDHLNARVQRELTVWLLWLKSDLGFDAWRLDFAKGYSPEMAKVYIDGTEPSLAVAEVWNDMTPGGDGKPAYDQDAHRQALVNWVDRVGGKDSAGMVFDFTTKGILNVAVEGELWRLIDPQGKAPGVMGWWPAKAVTFVDNHDTGSTQAMWPFPADKVMLGYAYILTHPGTPCIFYDHFFNWGFKEEIAALVAVRKRNGIRATSALRVLMHDGDAYVAEVDGKVVVKIGSRYDVAAVIPSGFVTTAHGKDYAVWEKAGVAAAAGVQRSRRSLE* >Brasy7G221300.1.p pacid=40044619 transcript=Brasy7G221300.1 locus=Brasy7G221300 ID=Brasy7G221300.1.v1.1 annot-version=v1.1 MAATFFPRGVSIPALLLHAHPPLPLECRVLPHGAARSSAQLVMAAARPGVAARRMLGSVRSGAAGGLRAGAEVAVPPSVPVRVAHELQLAGHRYLDVRTEGEFGGGHPAGAVNVPYMYKTGSGMTKNSQFLEQVSAIFRRDDEIIIGCQSGRRSLMAAAELCSAGFTAVTDIAGGFSAWRENGLPVNVR* >Brasy7G080600.1.p pacid=40044620 transcript=Brasy7G080600.1 locus=Brasy7G080600 ID=Brasy7G080600.1.v1.1 annot-version=v1.1 MKQAAAQRLHDGRDELHGLHGSTAQATVETRSEEMATTALQGSRRRRPGVVRERDGERERNEMDREREGEREREGGGRDIPAGVAGSGDELPGKAGSGDQWRGRASMDKERESMREREVEGRGRGEGRGGDGRGWPEMAAGGSAGERKRGPGRERQRLEKECGGREAAAGRKRMPVELGGNTTGILLEETICYTAGEKFIFIQEATPLAFFLNLYSGDSGKQFASVNEPSARSNAAGSEIIFMMVLQFLEIFVGSI* >Brasy7G205000.1.p pacid=40044621 transcript=Brasy7G205000.1 locus=Brasy7G205000 ID=Brasy7G205000.1.v1.1 annot-version=v1.1 MAPSPPLPSAAMTVPVEDSSRPRKGRRASTRIRTCSGGTAAERLTDDILVEILSRVPAKSLCRFKCASPHWLGLTNDPHHRKKLPQTLAGFFHDSTSEDAWNRASTSPTSVGPSAARRWTPPSPSCPATGASICSTAATASSSSAGLTPPPKAALSSVTSSAILPRRSGPPCRSVTRRLNPEERTVRLAFDPAVSPHFHVFLLEDQHDICHSYLATWSTVYYSSRTSVAVYSSETGGWVHKRKTWDQQISITRRQSSTVFLNGKLHLHAYDRELSSSCLAAVGTDAETWTNFAVPGGMIEGFIQLSQGRLHYANLQRAAQAGSSDRLAVYVLQDYENKEWILKHSIDTFDLAESMRVVLDGGFDWIVIHPQYNLIYFTVGHDSTFICYDMDRRKVQVICDLKERRKPYLPYVPLYAELPSLPM* >Brasy7G178800.1.p pacid=40044622 transcript=Brasy7G178800.1 locus=Brasy7G178800 ID=Brasy7G178800.1.v1.1 annot-version=v1.1 MCSLINFEDTEDFGEANLKRLAAVGPFVTYGFPNFKNVKGLIYNKGCWFFAQGGEGFLGTIWRPDWNWQRRFLYGDQARFFNDKIRL* >Brasy7G148100.1.p pacid=40044623 transcript=Brasy7G148100.1 locus=Brasy7G148100 ID=Brasy7G148100.1.v1.1 annot-version=v1.1 MATSNSNKRRKIAPSRSAPELPPEIMTEVFLRLPVKSLLRFRAVCRSWAAFLSSDEFCDLHMSNAADASAPPRLLFVAPSTGHNSTAIYSCSPAQRRPDMLFTL* >Brasy7G103100.1.p pacid=40044624 transcript=Brasy7G103100.1 locus=Brasy7G103100 ID=Brasy7G103100.1.v1.1 annot-version=v1.1 MLGSWNKSTTSTAGRSARRESCRGGSRACRRKSPTARCSRSSGSAPRRRHYPAAALARSSNGLVGRFAAMGLGGVDAEVDAAMESYTAGLAKLLEDADQLRLSTTRELATEILTPRQAVEMLAAAKQLHLSICDWSRRKEGAQTALLQPPAATAGSSGRPNA* >Brasy7G067300.1.p pacid=40044625 transcript=Brasy7G067300.1 locus=Brasy7G067300 ID=Brasy7G067300.1.v1.1 annot-version=v1.1 MSPRPPADDLPEDKGGSKEDASVFIDDARGPLAPAGTGGACWTPSPVAWFRMLVKELHWSFVLGVVATYGVSQGLGGGITRVASDYYWKDVQKVQPSAAQVYHGVTSIPWLIKPLWGLLTDVLPVAGYRRRPYFVLAGITGVIAMLIVSLHSKLHVFFALLALMSGSASVAIADVTIDACVAENSLIHPHLAADMISLNGFCASVGGLIGFSISGILVHAIGSQGALGMLTIPSALVILAGMLLKDVHIPNFPYKQAHMKFVEASGKMLTTLRCPEVWRPCFYMYMSLALSVDIQEGMFYWYTDPTAGPSFSEGFIGFIFAIGSVGSLVGVILYQNILKDYSFRSLLFSSQLLLSLSGMLDLILVLRLNLKFGIPDYYFAVIDEGVAKMINRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNIGLLSGSWAGGLLLHLLKITRTEFKNLWAAILIRNVLRLLPLALLFLVPRSDPDSILLPADLLTEDDEAGARQVETVELASLTVDANRRNGSLQESKNQEHLDVEREDDEASLLANRS* >Brasy7G143600.1.p pacid=40044626 transcript=Brasy7G143600.1 locus=Brasy7G143600 ID=Brasy7G143600.1.v1.1 annot-version=v1.1 MLSGHSGGSKIVLIATSKPKGVETGVVELRPLDGERSYTLFNYMIGLRGRTLSKEKREKMNKVRNHIEEITNHLPIAVVILAKFMRTMDFSKWGAAAEYIMNNNQDNLLNTIVSTSMDDLPEELQSCLLYTAGFPEGSTIPGWQLVRLWMAEGFLMQHPGVEPEELGQRYLKELIFRGLLQLVEKKKGDVAAVSVHELVHRFFRSEAQRTDFMGTNSAPIPFRCRRLGFDTRNLPEPDKMKVVKKLRTVLCCSHELEGHAAQGAGSQDFKKGFRNLLEYSRFLRVISLAGVDIGEKLPSQIENMLHLQYLGLRCPTLKTLSGVGKLRKLHTLDVRDTQVEKLPSSLWKIKTLRHVYGNNDKVTTGHPDHVKTRAPEDVDESDVKTTAPAEGAAEEVAATNGPGPSTAATEMPQEVNPNGPSTAAATGMLNKSDDEDAASDPGPSTSVTKSRVSQGEDSIAGRTRSQTASK* >Brasy7G048400.1.p pacid=40044627 transcript=Brasy7G048400.1 locus=Brasy7G048400 ID=Brasy7G048400.1.v1.1 annot-version=v1.1 MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAALTASHPDYASLAARIAVSNLHKSTKKSFSETIKDMYLHYNERSGLMAPLIADDIYEVIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGIVVERPQHMLMRVSVGIHKDDIDSAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFFALWVPDLFMQRVQNNEEWSLFCPNEAPGLADCWGEKFENLYKKYEREGKAKKVIPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPEETAVCNLASIALPRFVREKGVPIESHPSKLVGSNGSQNRYFDFDKLGEVTSTVTYNLNKIIDMNYYPVETAKRSNMRHRPIGIGVQGLADTFMLLGMPFDSPEAQQLNRDIFETIYYHALKASAELAAKEGPYETYEGSPVSKGIIQPDMWNVTPSSRWNWPTLRETISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNKIIYEDGSVQKMAEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFAKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKVNGDTDTKLAEEDDVEAKMAQVACSLNNREECLACGS* >Brasy7G048400.2.p pacid=40044628 transcript=Brasy7G048400.2 locus=Brasy7G048400 ID=Brasy7G048400.2.v1.1 annot-version=v1.1 MYVVKRDGRQETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAALTASHPDYASLAARIAVSNLHKSTKKSFSETIKDMYLHYNERSGLMAPLIADDIYEVIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGIVVERPQHMLMRVSVGIHKDDIDSAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFFALWVPDLFMQRVQNNEEWSLFCPNEAPGLADCWGEKFENLYKKYEREGKAKKVIPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPEETAVCNLASIALPRFVREKGVPIESHPSKLVGSNGSQNRYFDFDKLGEVTSTVTYNLNKIIDMNYYPVETAKRSNMRHRPIGIGVQGLADTFMLLGMPFDSPEAQQLNRDIFETIYYHALKASAELAAKEGPYETYEGSPVSKGIIQPDMWNVTPSSRWNWPTLRETISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNKIIYEDGSVQKMAEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFAKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKVNGDTDTKLAEEDDVEAKMAQVACSLNNREECLACGS* >Brasy7G048300.1.p pacid=40044629 transcript=Brasy7G048300.1 locus=Brasy7G048300 ID=Brasy7G048300.1.v1.1 annot-version=v1.1 DPFLREYTGGRIERLLRSTFIPSSEDPSANRGVATKDVLIDETIGVSAPLPVVVYIHGGCFCTESAFCRTYHSYARSLASNAGALVVSVEYRLAHDDAWAALRWAAAFSDPWLARHADPGRVFVAGDSAGGNIAYHTAVRAGRYGVDVEGLVIVQPYFWGVNRLPSEADGEDGAGAVFPTWLVDRVWPYVTGGRAGNDDPRIDPTDEEISSLTCKRVLVAVAGKDVLRERGQRLAARIRGCRRPSTMIGGGNDDEDDVRPVESEGEDHGFHLYSPLRATSKKLMESIVHFINCQRTTTAADSLPELPAAFLPEAHELQIKKEKKTSAGEMGSDRPFVGVPTRPYMDVFGYGMAMKLGTGPSSTMRRGASLKVGLGNASKTRHGLFSARGRPNKTCVGSSSAAVRGSSVVKNFF* >Brasy7G161700.1.p pacid=40044630 transcript=Brasy7G161700.1 locus=Brasy7G161700 ID=Brasy7G161700.1.v1.1 annot-version=v1.1 MADEPLDASPAPEASAPAPSPAPAPAPAATVSSVLRPRRESFEHGLLPIPKLVFPEGTLTQTLAQLKGRLLESGSGRVGAPALAEALQIPVEQAALALGTLAAVLPADDEPALGVDGAGEADLRDVLLFLYIQSYKRLVPRAHKDSPSVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMANVLTLLADTVDGEGDESMVLTAETFEHLGFLLQFSEGTPLSQAATFFANSDPDMPAAPVPATQVHDWILQNIASSLEFTAEKSTTKENSQHSVSDPDVTMADAVTNIRIQSSSPKGASVQNNPGYYRNTSFVEGISKTSVVKQGSDIKGNSIKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDIQAESATCLDPDLFTNFLIPSWFEAEGPTKYNPFTLPEVYWASQTKKNASLEDIQKNIRELELDDNRKKELACALHSQFKDWLYASGNIRQLYCLQGE* >Brasy7G121900.1.p pacid=40044631 transcript=Brasy7G121900.1 locus=Brasy7G121900 ID=Brasy7G121900.1.v1.1 annot-version=v1.1 MVSSSLDASLYFVSLAGMSVAESPLAVPSSEYGSLPTIIDLGTVITRLPTPVYAALSKAVGAAMASLHAPAYSILPTCFQGQAAKLRVPAVGMAFAGGATLRLRPGNVLVDVNETTTCLAFTPADSTAIIGNTQQQTFSVVYDVTRSRIGFAAGGCS* >Brasy7G199600.1.p pacid=40044632 transcript=Brasy7G199600.1 locus=Brasy7G199600 ID=Brasy7G199600.1.v1.1 annot-version=v1.1 MVSMSATPKPLEAAAAVVAGGGDGGKGGGKPPPPQQELVLAAPMAVPAEEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKSALSAPQAVSSQQSPLLTATRDQEGVMPMDTSIVVPNTSANAAVPSWDNALVPFSADHTQGPGAGVATNNCSSSIESQSGTWPTSEAVEQENVLPPLRAMPDFSQVYNFLGSVFDPDTSGHLQRLKAMDPIDMETAVLLMRNLALNLTSPDFEAHRKLLSSYGSDGDQIKSEGLENIGSQSCHLPSMVTSE* >Brasy7G219200.1.p pacid=40044633 transcript=Brasy7G219200.1 locus=Brasy7G219200 ID=Brasy7G219200.1.v1.1 annot-version=v1.1 MKAQQIALREIMMAQMKSLTDGMERTWKEKMSMVANLTSMKEQMKKMAREENTTVADVVEFMERSFPELSQISYSPFSASASTSAAAPPAVDSENARGLLNHLLLKPIPAPPGPSDGANRKRHRET* >Brasy7G203400.1.p pacid=40044634 transcript=Brasy7G203400.1 locus=Brasy7G203400 ID=Brasy7G203400.1.v1.1 annot-version=v1.1 MEMGMTNNRPGWVGGLVEESFFVGCEAHESRKKNEKNIFCLACRTSICPHCAPAHRHHPPLLQVRRYVYNDVVRLDDLEKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGEPDVACFPRFEDLRVGGGSSAYLHDGNGGQVTPNSILEDTLAMHHQYHHYGINGGSGSGSTGSGAPKKKKGGGGGGFFPKIVLSLNNRRKGEPHRSPFA* >Brasy7G065900.1.p pacid=40044635 transcript=Brasy7G065900.1 locus=Brasy7G065900 ID=Brasy7G065900.1.v1.1 annot-version=v1.1 MIAAECEQPGVGLAAALAGETSDVIKGLLFRRVIMVPERQGARGDSLFSSLSIHVFPGFSI* >Brasy7G236000.1.p pacid=40044636 transcript=Brasy7G236000.1 locus=Brasy7G236000 ID=Brasy7G236000.1.v1.1 annot-version=v1.1 MPATSPQQRPPSSPPRSTWSSAAPASRSPSSPPPARPPARRSSTSTPTPPTAPSTPPPCSSTPTPPPHALTSPERPLLGSRDLGDGSRASSRVHGRPGGAQAALLRRRGRRPSSPVRGQQPCGVQEPRRRHPPLLGCSSLPCAGLEECHLPASGHQHHTNTASVWYRRTA* >Brasy7G148800.1.p pacid=40044637 transcript=Brasy7G148800.1 locus=Brasy7G148800 ID=Brasy7G148800.1.v1.1 annot-version=v1.1 MLGNWYHSFPADQRKLLLCGAAAVIWTIWKIRNNACFRNAFPSDPAALVYTLCNNLSNWASLQKEAKRRKLEEGISRIKTVVAEAYSRSHGWNLRRRRITD* >Brasy7G120600.1.p pacid=40044638 transcript=Brasy7G120600.1 locus=Brasy7G120600 ID=Brasy7G120600.1.v1.1 annot-version=v1.1 MVTSKTGENSVAAAAEPTELTPPVFAEDLAPNVRAGFDSTCDFIRRSHAALTEQIAHLATRIDALGDAPARAQPHDVVHRRPAEQHNHQQVGYAADGDLEDDAPEQRPFARPTGALRIPQPGRDQVGHAARVLHDDGRFGRIKLSIPPFSGTREDPEATMSRFFNGLNIEVQDHVEMISYYDIHVGKHATSSCSSCKFMAAAGSSAKMTSSSCSNQVYHSEAPKSGVSKAAPSTHSTSTIECFTCGGRGHMRRDCPNTKRVMLTQDGYISASDDDKVDVPSTVESEDHDNFDVYPDDAAPNCTNFMVQRKRHVLQPMLDKDIKVATFAMTKKKKIQQAKLKPRTVSSQVGGDDEGRISITPAISPTPYIMKFGSFCVEVLAKEEMKPNFRTPPVLKFTSV* >Brasy7G133900.1.p pacid=40044639 transcript=Brasy7G133900.1 locus=Brasy7G133900 ID=Brasy7G133900.1.v1.1 annot-version=v1.1 MGGRGSMSMPPPAVEAGCAPVRPPEGVLVPMQAAVARAAAVKADYLPTHRRLHGGRSRAHFTPGRLIEKARIYMGKKPGGGGWLATVRKVFKTSPSSPTNKDYSKGTATGGGEEEQEAAEIMSVEHFPAADTSPPVATTNEVVVTSWGREVHHGGVEAEARRARRAMASRVARIAALRGREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRKTQTQTHRLLFLDDHVVRRPPRNSISDNNASPFHQGWDAVIRSGATAEPAYTAFGYQDKPNGAAGWHWQLEPCTAPNIGPPAQGGVSEEIDPSRRRTKISPPKDLYPVVRAEVPSYMAATQSARAKARMASPAHVRSRSSSVAIGAAGPGESTASSIGLEHE* >Brasy7G035600.1.p pacid=40044640 transcript=Brasy7G035600.1 locus=Brasy7G035600 ID=Brasy7G035600.1.v1.1 annot-version=v1.1 MDHYSHDDDGGGYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVREPNKKSRIWLGTFASAEAAARAHDVAALALRGRAACLNFADSASLLAVDPATLRTPEDIRAAAIRLAEATCPSAAAEASSSMSVAQSSSPAPGMMMHLEEEAAAPYAAGQYDEYAAMCDLQDQHSYFYEEMGGDWQQQQSSHFDGDDDGSNGGGCYGYGAGDVTLWSY* >Brasy7G024900.1.p pacid=40044641 transcript=Brasy7G024900.1 locus=Brasy7G024900 ID=Brasy7G024900.1.v1.1 annot-version=v1.1 MPPNHFSLQIASRTLIQASGPPPGFPATHAVSNLDLVLGPFPIYLICLYPPPPSGLPAVLSAVRAALPGFLSAFFPFAGRIVRDPDTNIPQVACSNAGAELVVADATASLADLDFAEIDASLGLIQIPFDGSLPLSLQLVRFACGGFSLTVATNHLLADGRAFILLLNCFAEMVRTGSGGGPPRLDRRLLSPRSPPRHSPSLDAEFARFTPATMINPLLTLAIRRRLYRIDAADLAALQKAASASGGDGARRRTSRFVALCAHVWKLLARAVGDADPSCRMAWIVDGRKCVGGADLDRYVGNVVAYTSREASVGKLLAMPLHEVAATVRAAIAGVMTKQRFQELVDWVEEKKAAYKDGGKWTEAVNLGLGSPTLVISGLLPFAIDGDLGGGLGKPRLVLPWVRHGRLGSAAVTVVPCPGGDGSWFVGGTRLWPRLVEVIEAGPESLLKPVTAASLGFAAAHGSRL* >Brasy7G082300.1.p pacid=40044642 transcript=Brasy7G082300.1 locus=Brasy7G082300 ID=Brasy7G082300.1.v1.1 annot-version=v1.1 MAVTPPAASQEGKISVGVEDSSESVRSFHHVLPLLPLLAFLAPSSATATPSAIRLPRRLACAEPRCRIPRCTTDRATARLRTGGSATPDPLSILHGLPAFLLKLFLWRSRGHLRHELLVSVLNHLRRRLVMSSGLESSQNSRRFCGSSSPVTYRSKKLHDGDPPSPQVLIAHNFLDQSIDGLVQPFN* >Brasy7G184400.1.p pacid=40044643 transcript=Brasy7G184400.1 locus=Brasy7G184400 ID=Brasy7G184400.1.v1.1 annot-version=v1.1 MLSIVPPPSPNGIPIILFFPLKQQPAGSRLDSIHSVSPRLLLQSRSHADAAPWPPPPPTSPARPSCRLSARGPPPPPPTTPPAQAPLHPPRFVTLVATVLPSPSPPNANSAKCPAQSHNPRYSGCVPATVLHIARSFAAAAAAADGGGDPVFSIDGVETSNVRVLGRVLNIVSRETDVSFTLDDGTGKIALVRWITDELDARDTAYIRDGVYVRVHANVTGFQAKQQVFIRSIRPVTDFNELVLHYIECIHVHMENTRIKMQGQLPPAVQTNAYTHEPGGVREHQVHFTPQVHQGQLPPAVRTNTSTYVPYSGGVREHQVHFTPQVNQVHLPSAVRTDTSTYVPFTGGIREKQAHFTQVNQGQFLPPVQTNASTYGPFSGRQREHPVHSAPQVNQFSSYSATSGQQYELQNMVLGVLQAPDIISLENGVHIDEVARRTGAPKTNIMEVINFLAGTGLLCWTIDDYHVKSTAGQRSNLRN* >Brasy7G184400.3.p pacid=40044644 transcript=Brasy7G184400.3 locus=Brasy7G184400 ID=Brasy7G184400.3.v1.1 annot-version=v1.1 MLSIVPPPSPNGIPIILFFPLKQQPAGSRLDSIHSVSPRLLLQSRSHADAAPWPPPPPTSPARPSCRLSARGPPPPPPTTPPAQAPLHPPRFVTLVATVLPSPSPPNANSAKCPAQSHNPRYSGCVPATVLHIARSFAAAAAAADGGGDPVFSIDGVETSNVRVLGRVLNIVSRETDVSFTLDDGTGKIALVRWITDELDARDTAYIRDGVYVRVHANVTGFQAKQQVFIRSIRPVTDFNELVLHYIECIHVHMENTRIKMQGQLPPAVQTNAYTHEPGGVREHQVHFTPQVHQGQLPPAVRTNTSTYVPYSGGVREHQVHFTPQVNQVHLPSAVRTDTSTYVPFTGGIREKQAHFTQREHPVHSAPQVNQFSSYSATSGQQYELQNMVLGVLQAPDIISLENGVHIDEVARRTGAPKTNIMEVINFLAGTGLLCWTIDDYHVKSTAGQRSNLRN* >Brasy7G184400.2.p pacid=40044645 transcript=Brasy7G184400.2 locus=Brasy7G184400 ID=Brasy7G184400.2.v1.1 annot-version=v1.1 MLSIVPPPSPNGIPIILFFPLKQQPAGSRLDSIHSVSPRLLLQSRSHADAAPWPPPPPTSPARPSCRLSARGPPPPPPTTPPAQAPLHPPRFVTLVATVLPSPSPPNANSAKCPAQSHNPRYSGCVPATVLHIARSFAAAAAAADGGGDPVFSIDGVETSNVRVLGRVLNIVSRETDVSFTLDDGTGKIALVRWITDELDARDTAYIRDGVYVRVHANVTGFQAKQQVFIRSIRPVTDFNELVLHYIECIHVHMENTRIKMQGQLPPAVQTNAYTHEPGGVREHQVHFTPQVHQGQLPPAVRTNTSTYVPYSGGVREHQVHFTPQVNQVHLPSAVRTDTSTYVPFTGGIREKQAHFTQFSSYSATSGQQYELQNMVLGVLQAPDIISLENGVHIDEVARRTGAPKTNIMEVINFLAGTGLLCWTIDDYHVKSTAGQRSNLRN* >Brasy7G059400.1.p pacid=40044646 transcript=Brasy7G059400.1 locus=Brasy7G059400 ID=Brasy7G059400.1.v1.1 annot-version=v1.1 MDQLPLPAGGSKASTPFHLTFDNTTTSTSPPAPPPDSEPSTRSNYERGTDTIKAKILSHPLYPALLRAFIECQKVGAPQEVVGRLCALAGELESDSGDRRQDPLDPELDEFMETYCDVLVRYKQELTRPIQEADQFFRDMEAQMDSFTLDDNSCGGDGSSEDEQEAGDAAGLPEITSQCAEDNELKSHLLSKYSGYLTSLWRDLSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDGKQINNWFINQRKRHWKPTPPAVEYRSQQQPHYGASSSSSAAFLTEGHYFTGGSAAYPPRGP* >Brasy7G006000.1.p pacid=40044647 transcript=Brasy7G006000.1 locus=Brasy7G006000 ID=Brasy7G006000.1.v1.1 annot-version=v1.1 MKQTCTRTRRRPALGGGSGQDEEEAGAWGSTRWEAAHRGARGAARWAAPGGGLGASFCSGAGGGQGVGARGGGMEAARPGRRAQGGTRRRGSGRRRFVQISDRWVPKRMLR* >Brasy7G135600.1.p pacid=40044648 transcript=Brasy7G135600.1 locus=Brasy7G135600 ID=Brasy7G135600.1.v1.1 annot-version=v1.1 MLNLYPRLALAASGTFSAVDEEEPQICYGIMVAFVCLLLFCVLVAVISVARACAITSLFVLLFGLVGWFGPRDGAAAVAAARRNGTRQQHQPSVASAAPTAVRLVHRCTCRMTDAAIGTLPTFAYEPRGIGDEARQSCLLCAVCLEDVRAGETVRELPPCRHLFHVDCIDLWLHTHRTCPLCRCELPLPPLSKAAAHGTESSTNALLPPV* >Brasy7G122300.1.p pacid=40044649 transcript=Brasy7G122300.1 locus=Brasy7G122300 ID=Brasy7G122300.1.v1.1 annot-version=v1.1 MAIMIRSLLLPLITLLVLGATSTVAQLEIGYYSKSCPNVEAIVREEMVKIISAAPSLAGPLLRLHFHDCFVRGCDASVLIDSTKGNLAERDAKPNRSLRGFGSVERVKAKLESACPGIVSCADVLTLMARDAVVLAKGPSWPVELGRRDGRTSSAAEASDELPPAFGDVPLLTKIFASKGLDLKDLVVLSGAHTLGTAHCPSYADRLYNATADPSLDGEYAEKLRMKCGSVNDGSTLSEMDPGSYKTFDGSYYRHVAKRRGLFRSDAALLTDATTREYVLRVATGKFDDGFFKDFSESMIKMGNVGVLTGVQGEIRKKCYVLN* >Brasy7G044000.1.p pacid=40044650 transcript=Brasy7G044000.1 locus=Brasy7G044000 ID=Brasy7G044000.1.v1.1 annot-version=v1.1 MQTSPQVNAHHHCVFPAADKSNPIKVSKKLSLKDMVKFIKVKLHISRVEIKEKEPTSSKQQQPLTV* >Brasy7G008800.1.p pacid=40044651 transcript=Brasy7G008800.1 locus=Brasy7G008800 ID=Brasy7G008800.1.v1.1 annot-version=v1.1 MGGGVAARRARQGCRGRRASAGGRGRVGRIERRRGRCSRIQRRRGRSSRIQRRRGRSSRIQRRRGRIQRRLGRSSRIQQGRWSGTPEVGAPRLSSPVAARQGWQGGRHALRRGSRAARRGRQRAARLLRRLDRGE* >Brasy7G222000.1.p pacid=40044652 transcript=Brasy7G222000.1 locus=Brasy7G222000 ID=Brasy7G222000.1.v1.1 annot-version=v1.1 MEAAWACAVDRATGAADSAKRFFLSFRRPPPPHPGPNPIDILKRLQRQAFSDIMQLRERQEKVERVLTLFKSSKSGPFAEETTRVKGLINFSGALALKGKDVAPDSSGATSGISSQFAFKTTVRKKDSLLAELTTDSRCLSQENDLIGSPLVLSKVMYLANMGDCLSAAAIPVGARCDDFSTDPSLQEGHWLAGFHSTLRPPLLLKRHKYAAGLILRSQNFAASLAELISTTGKSSGEVGSIFTGFGQISCRMRDEVKLTMSAAWHGPCLISRKSKPTAGGCIDFELKMDEDSRIGAWVEVKKSNPRLLNWALTLSDTPENDLGWGVSLRRVSEGAPGRIQLEGFLNLHVGEKATLQPGVVFNLVGRRWAPAVVLQSSWFL* >Brasy7G205100.1.p pacid=40044653 transcript=Brasy7G205100.1 locus=Brasy7G205100 ID=Brasy7G205100.1.v1.1 annot-version=v1.1 MGGAPTESLLGLWNEWEIQLLVVLSFTLQVFLFFFAGVRRYSISSVLKVLLWLVYLLADFTATYALGHMSTRSSPKQHKLVAFWAPFLLLHLGGQDTITAYALEDNRLWLRHLLNLFVQVSGAAYVLYKYSIAGWSLVPAVILVFTAGVIKYGERIWALKRASVKDSKWPLRSCIDVKNQDHLQGGFTTATMGGHFAMYENVVLITHSLLYIVKPIIIDRKVGMSQWSEYRNLWVAQGDDGMSKWEQTTCLKARAERIFKAVEVELSLMYDLLYTKAAVIHTWHGYCIRATCLVAAVAALVIFTASNRDGYSTPDIATTYILLVGASALEITSALKAIGSTWTYPILRGCKWDRLARAILFIRYCFVVVKDGRWCGSVGQYDLISFCSRDMTKTKLKGRIAKLIGLEDRWNRAHYTRHAKLSPALKELVLRKLEQILFVSASHESYTDGYNRWPGRWDLKCTGFNGSDLLNWSFDCEFEESILIWHFATGVFLNCADVKSQVDMDNPQAEAINALSDYMMYLLAVHRDILPISAGESIYDDTCTTFAEEWRSFSIKNEKQGLVAEPHDMILKYKFVKFHPSNTARLGKAVLVRACRLVRGMLGMELDLTGKLQVIGQVWVEMLCYASTNASGDFHARQLSNGGEFSTHVLLLMSNVGLHASVKVRCDIDPLPDEFITCTYDEHGNHVVVMPEDSDTTVEEAVDVPEDDDTSREEAAVIPAGDVGASPNVELDSDNVERNSEGAFFVSMQL* >Brasy7G146000.1.p pacid=40044654 transcript=Brasy7G146000.1 locus=Brasy7G146000 ID=Brasy7G146000.1.v1.1 annot-version=v1.1 KVALEYFDFTLVNGKSIRVMFSNRDPTLRRSGAANLFIKNLEPNIVAKSLHQMFSRFGIILSCKVATDLNGKPKGYGFVQFESEQSAKDAMNALNGKFANGNGKQLYVDLFIRRQERQHIGGVSKFTNVYTKNLPKEFTDDDLCRVFAPFGTITRAVVIKDEYAAEAVEKLNGKIISDVALYVGRAKRKAERQAELKAKFEKERNDKFRNINDEYLRNLFGRFGDIGSCKVMVDSQGRSKSFGFVSFMTIEAANKAAQFTRRHMVGARTPVAPQNTAPRHFYFGYGVPGVLVRPQATGFGYQQYPQPVIPGLYPGASSFMMPYQMPTPIHHGMHQPFSHNRIRANQTDSVTAPSIPEEPSIDDNLTTALASAEPEKQHLLEPEHAGKVTEMLLELEKAEVLTLIETAEYVQEKVSQPMEALQPNKEESTDDSAEPSSLSSSA* >Brasy7G102100.1.p pacid=40044655 transcript=Brasy7G102100.1 locus=Brasy7G102100 ID=Brasy7G102100.1.v1.1 annot-version=v1.1 MDDMARQWLLLAAVAGAGTAFGALSAASLLNFLSRSKRREGYVRNLLESNGGTSGSDGLGTRMRAANSSDLLSDEVVSEQLTRNIQFFGVESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADIHESTTLDQIKEEEPEFYAMVSHVLKRAETEFAL* >Brasy7G102100.2.p pacid=40044656 transcript=Brasy7G102100.2 locus=Brasy7G102100 ID=Brasy7G102100.2.v1.1 annot-version=v1.1 MDDMARQWLLLAAVAGAGTAFGALSAASLLNFLSRSKRREGYVRNLLESNGGTSGSDGLGTRMRAANSSDLLSDEVVSEQLTRNIQFFGVESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRCAFLFNFLSVVLLVSYHLCDSYILLVLYPINV* >Brasy7G102100.6.p pacid=40044657 transcript=Brasy7G102100.6 locus=Brasy7G102100 ID=Brasy7G102100.6.v1.1 annot-version=v1.1 MRAANSSDLLSDEVVSEQLTRNIQFFGVESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADIHESTTLDQIKEEEPEFYAMVSHVLKRAETEFAL* >Brasy7G102100.5.p pacid=40044658 transcript=Brasy7G102100.5 locus=Brasy7G102100 ID=Brasy7G102100.5.v1.1 annot-version=v1.1 MAVPAAVMDWEHVCVLPIARIFFLMKLSLSSLQVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADIHESTTLDQIKEEEPEFYAMVSHVLKRAETEFAL* >Brasy7G102100.4.p pacid=40044659 transcript=Brasy7G102100.4 locus=Brasy7G102100 ID=Brasy7G102100.4.v1.1 annot-version=v1.1 MRAANSSDLLSDEVVSEQLTRNIQFFGVESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRCAFLFNFLSVVLLVSYHLCDSYILLVLYPINV* >Brasy7G102100.3.p pacid=40044660 transcript=Brasy7G102100.3 locus=Brasy7G102100 ID=Brasy7G102100.3.v1.1 annot-version=v1.1 MAVPAAVMDWEHVCVLPIARIFFLMKLSLSSLQVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRCAFLFNFLSVVLLVSYHLCDSYILLVLYPINV* >Brasy7G033000.1.p pacid=40044661 transcript=Brasy7G033000.1 locus=Brasy7G033000 ID=Brasy7G033000.1.v1.1 annot-version=v1.1 MDAYELPFAVHIPPALLHAAAALALAAAAHFLHLPSLLLYAVHTYIHPDAVPSKGPRAMLRPPNSGPPNSRRGAPASAFDDDGSSSNSSQLYRLRLSHGTLASRPHFAGFHLALLLPLAVLPPALLLPASQASPVAPLLPLAFLFAALLRHAVTLPSAASRSPGPHLASALAVLLVSTVLSSSPFAGSLASLAALPASRFARAFWLGTDQPRTGLAVLASSASARLLLHLAVLVSSAASVLQFCGFLDGPELEVKVLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHVNRSPDTEYGRAKVFLHNHHLCVAATQFVAPPLLVLSLLALWWVQGKDFFEGVEGLDWLVGWSVAMKEAALLAARWVVAVWSAVTVGTLMCYKRGWLFVS* >Brasy7G059200.1.p pacid=40044662 transcript=Brasy7G059200.1 locus=Brasy7G059200 ID=Brasy7G059200.1.v1.1 annot-version=v1.1 MCYQVKCGTCGKSTWAGCGRHVASVHRQIPEGQHCACRDWPGVSSDATAGDKAGDSGAGAGAGDKAGGEGTGAAASTCAVL* >Brasy7G213000.1.p pacid=40044663 transcript=Brasy7G213000.1 locus=Brasy7G213000 ID=Brasy7G213000.1.v1.1 annot-version=v1.1 MPDRRSMTKLDDLPEDLIVDKILILLPAKDIGRCRTVRKSWRSATSTPKFMLDHHRRQPSLPIIDGQTSRFVALRCGTGTKVSDEQLWPIPTNSSKTSDKFHLHTTCDDGLVIVSQGPRFYICNPAIHKHAPLPLHCPHDILGLYRHNATGEYRVLWCTRYTSNAETLFIHTVGANESRSVTVRSPTAPSSSPSLEQALHEGLPWNYSNCSPVHLRGGLHWLSHNTNKSIPRRGDIIVFDTTAESFRWMRGPVRSGLLGNMPFEMEGKLALWSGNSYQCTTAMDVWVMQDYDAEIWVLKYRIDVSTLEASRQLHLATLEKKKKTSRDLRVKMIHNMVVLNDHELLIQFNYNQVLRCDIGGKFLEMVNIGKRKYCMKLTQHRLKESIIPVLFDEMQE* >Brasy7G091700.1.p pacid=40044664 transcript=Brasy7G091700.1 locus=Brasy7G091700 ID=Brasy7G091700.1.v1.1 annot-version=v1.1 MASTSTASRLMVLLAAAAAMVLVVLQASTVSALLPSGLPDPDDLKPLLSHNPWSAFQNLSGCHFGDERQGLGKLKDYLSHFGYLPTPNSKFNDLFDADMEQAIRTYQQNFGLDVTGQLDASTVTKMMSPRCGVADIINGTSTMSKSASLHGRNLYSYFPGSPSWPRSKKSLKYAITATSATTIDRATLSVVFARAFARWSEATTLNFTETTDAAGDADITIGFHGGEHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGGDVSRAAADDAVDLESVAVHEIGHLLGLGHSAVEGAIMFPTITSRTRKVDLASDDVVGIQSLYGGNPNFKGVTPSTPTSSREMDSGAAVGALSRARSGFLAAVAAAAGLTLALSW* >Brasy7G167800.1.p pacid=40044665 transcript=Brasy7G167800.1 locus=Brasy7G167800 ID=Brasy7G167800.1.v1.1 annot-version=v1.1 MKIMSWNCQGMNTSRAIRALLDLQRQIKPDVIFLSESHLGKVKAEKLRRNLRFDEMLIHESDGRSGGLLLIMNNAWLVLGDFNEILFSWEKDGGAPRALRYMQAFQQCLTSCSLEDLGYTGDVFTWSRGRIRERLDRAVANEAWNEFFPFAKVVNEGMTKSDHRPVTVDTEYLAGVHVSGGPATRRFEARWLEEETVELIVQNAWNRACANGDFPNLMQKTTKVHDELHAWDRRELKAPRKKIEKLKRELEALRRGPVSDESLADQKELMLKIELLLEQEEIHWIQRGRANWLRHGDSNTKFFHNFATARKKKNTIKYLIDEAGVKWEDPDGMSNLIKFYFSELFTSERNECCSACSVYG* >Brasy7G199300.1.p pacid=40044666 transcript=Brasy7G199300.1 locus=Brasy7G199300 ID=Brasy7G199300.1.v1.1 annot-version=v1.1 MCRCAAAIARAVVSFFDAVLVDCFLSCFRYGRPVSEGHRDLFVPKDRLGEALLASDEEKGSGGRSTSSHEHSADVGDIDKELRLEADYLKLCGTISQTPAELRDVSYEISVENTNQCDDMSINALALGGTLVPELNSSEPFKCEEDHSLRTQPNNEVPQVDSAPPSVFQEKSPFWGIKNRFSDCTDSPFPTPLVLRDDMQTPGTIHTSHTGSSVSRKRVRTRKQFVYPVLRPIENKLQQMELTEDASPVLPSNTPKRINLGEDSIKNSVDKEGSKCRISSPNLLDGGALSKSNSDESHAALSLCHWLKPSSSDIENQESPVFRAASGMNGDVENPTPRLPKAWDGNGIPNTISKYKEDQKVSWHATPFEERLMKVLSDDKPNPPRKLIRGKLFHVEEMSES* >Brasy7G199300.2.p pacid=40044667 transcript=Brasy7G199300.2 locus=Brasy7G199300 ID=Brasy7G199300.2.v1.1 annot-version=v1.1 MCRCAAAIARAVVSFFDAVLVDCFLSCFRYGRPVSEGHRDLFVPKDRLGEALLASDEEKGSGGRSTSSHEHSADVGDIDKELRLEADYLKLCGTISQTPAELRDVSYEISVENTNQCDDMSINALALGGTLVPELNSSEPFKCEEDHSLRTQPNNEVPQVDSAPPSVFQEKSPFWGIKNRFSDCTDSPFPTPLVLRDDMQTPGTIHTSHTGSSVSRKRVRTRKQFVYPVLRPIENKLQQMELTEDASPVLPSNTPKRINLGEDSIKNSVDKEGSKCRISSPNLLDGGALSKSNSDESHAALSLCHWLKPSSSDIENQASGMNGDVENPTPRLPKAWDGNGIPNTISKYKEDQKVSWHATPFEERLMKVLSDDKPNPPRKLIRGKLFHVEEMSES* >Brasy7G094600.1.p pacid=40044668 transcript=Brasy7G094600.1 locus=Brasy7G094600 ID=Brasy7G094600.1.v1.1 annot-version=v1.1 MIMRMRSGAVASAWVVLGVAASALLLADAAHDYEEALRKSLVYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELAHALESIKWGTDYFIKAHTQPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAALAAASMAFRDTNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLGYAVDNADAFGGTGWAITEFSWDVKYAGVQILATRLLLRGEHTERQRATLEQYRAKAEHYVCACMGKNDNNPDDENNNNNDNVERSPGGMLYVRQWNNMQYVTSAAFLLSVYSGYLTEAGGSESVSCGGGGGVGEIKVGAEEVFSEAKAQVDYVLGSNPRGMSYLVGYGPKYPTRVHHRAASIVPYKDAKAFIGCAQGFDDWFGRRSANPNVVVGAIVGGPDRKDRFRDDRDNYMQTEACTYNTAPMVGIFAMLHRLQEDQPPASSAAATLQPENSGTSSAAASTR* >Brasy7G013700.1.p pacid=40044669 transcript=Brasy7G013700.1 locus=Brasy7G013700 ID=Brasy7G013700.1.v1.1 annot-version=v1.1 MVSAFFLFCGCVEQANVAVVEKWGRFLRLAEPGLHFFNPFAGELVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQSYVFDVVRALVPRMELDSLFEQKNEVAKSVLEELEKVMSDYGYSIEHILMVDIIPDAAVRRAMNDINAAQRLQLASVYKGEAEKILMVKKAEGEADAKYLSGVGIAKQRQAITDGLRENILNFSHTVSGTSAKEVMDLIMVTQYFDTIKELGDNSKNTTVFLPHGPGHVRDISDQIRNGVMEASSSNV* >Brasy7G101600.1.p pacid=40044670 transcript=Brasy7G101600.1 locus=Brasy7G101600 ID=Brasy7G101600.1.v1.1 annot-version=v1.1 MPTASCLRPSLPKSAARRLRFPPPPPPAQLYPAGLAIGSRRRRLPGVGVAAASASPFDELYARGRPIHGSSKKSILWNVIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLISSIMTGYTLRNAEYRLSFERNLELPEEDAEYQKRDITEDNHHGINLGRPVTIFRLSEDDMLQDLGNSTPGDSMGEDLGNLTPQAEEHIIRLQSCLDAMKKELHDLKRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIQSVVHGLLATLSPKIYSKSPPPLENAAGGTLNRVGEDDDCAELVENASLLFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRMSSDVGSFTIGDDYVI* >Brasy7G213300.1.p pacid=40044671 transcript=Brasy7G213300.1 locus=Brasy7G213300 ID=Brasy7G213300.1.v1.1 annot-version=v1.1 MAAADAVKQPLLHRAYPSHAASASSPSLPSVSSSGVHGGRPRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVFQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQSLLGYNSVGAGASVSAPVSPVSSPTESRKLEKTFSLCRSRHDSVKSSDNTVTEHIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFETSVFSIQNAFQWVLIITGVVGAFIFCFFVWFFKYKRLMPL* >Brasy7G026800.1.p pacid=40044672 transcript=Brasy7G026800.1 locus=Brasy7G026800 ID=Brasy7G026800.1.v1.1 annot-version=v1.1 MSSSSLGSVVLVGASAVATAALTWSVWTLLEDYLHERRTSKKPLLGGILKALDAGALDPVIGRDQEIDRVVCILCRRTKNCAALVGPAGVGKTAIVEGLAQRIAAGDVPAALAGARVVQLNVAAMLAGTKWRGMFEARLRSAIRTAEESDGKIILFVDEMHVLVGAGDTRDGTGDAANMLKPALARGRIRCVGATTSGEYRKYIAPDAALERRFQKVAVEEPSVEATVEILRGLKQRYQDHHGLRIQDEALVAAAQLAGRYITGRKFPDKAIDLIDEACATTKMQADRQKQVKNGQCSPMITAPKELTVGPSHVAQVVSRWTRIPLTTLDQEDKEKLTHLSGTYDAIYPYHGSRRMCF* >Brasy7G041700.1.p pacid=40044673 transcript=Brasy7G041700.1 locus=Brasy7G041700 ID=Brasy7G041700.1.v1.1 annot-version=v1.1 MGKRGSPKPAAPKKKPQKLETSFTCPFCNHADSVECSIDLKLRVAVASCYVCEETYATKAHALTEPLDVYSEWIDECEKANQRDHMEID* >Brasy7G131000.1.p pacid=40044674 transcript=Brasy7G131000.1 locus=Brasy7G131000 ID=Brasy7G131000.1.v1.1 annot-version=v1.1 MEKLAGLLMLPLASSREKLLGNGIKPKEKSFLDDSGPASSPIPTPAGEADGGKEERSRGREEPSSSQGGREGERKKRERPWWSITSLSFLWPTPPSPIFARCRLEPPPPPGLQSKAAEPMLVSMVATPNSGIPDPPHGSAGSRPDGAGNRHGQGANAAAATVKCLAAAAGRQQARGRGRRRQTFFSSPLVCHARAWGLPEQAGTSRPNYKQACAEGVFR* >Brasy7G009300.1.p pacid=40044675 transcript=Brasy7G009300.1 locus=Brasy7G009300 ID=Brasy7G009300.1.v1.1 annot-version=v1.1 MAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGASIDRQNDIEILWNFYLEYKSRRRVDDMQREQERLRESGTFSTEMGARAVEMKKIYATLRALLDVLEILVGPAPTDRLGKQILEEIKKIKRSDAALRGELMPYNIIPLDASSVANIVGFFPEVRAAIAAIQNCEDLPRFPYDTPQLRQKDIFDLLQYVFGFQDDNVRNQRENVALTLANAQSRLSLPNETEPKIDERAVTEVFCKVLDNYIKWCRFLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANIRFLPECLCYIFHNMAKELDGILDSAEAEPAKSCTTSDGSTSYLEKIITPIYQTMSAEANSNNDGKAAHSAWRNYDDFNEYFWSRSCFDLGWPPNESSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLIIMFQCLAIIAFHRGKIDISTIKVLLSAGPAFFILNFIECCLDILLMFGAYKTARGFAISRIVIRFLWLTSASTFVTYLYVKVLDEKNARNSDSTYFRIYVLVLGGYAAVRLVFALLAKIPACHRLSNFSDRSQFFQFFKWIYQERYYIGRGLYESISDYARYVIFWLVIFACKFTFAYFLQIRPLVEPTKIIVQLHNLQYSWHDLVSKGNNNALTILSLWAPVVAIYLMDIHIWYTLLSALVGGVMGARGRLGEIRSIEMLHKRFESFPEAFAKTLSPKRISDRPVAQDSEITKMYASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWHRISKDEYMAYAVKECYYSTERILNSLVDAEGQRWVERLFRDLNDSITQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEERLFSKIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFSVFTPYYSETVLYSMSELCVDNEDGISILFYLQKIFPDEWANFLERIGRGESSEEDFKQSSSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYELSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLLQRNEALRVAFIHEEDSVASDGPIKEYYSKLVKADVHGKDQEIYCIKLPGNPKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRYPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFYTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDFSISRQARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFADNYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILLTISSWFMVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLFYKGGVGVKGEKSWESWWEEEQAHIKTFRGRVLETILSLRFLMFQYGIVYKLKLVAHNTSLAMYGFSWIVLLVMVLLFKLFTATPKKTTALPAFVRLLQGLLAIGIIAGIACLIGFTAFTIADLFASALAFLATGWCVLCLAITWRRLVKTVGLWDSVREIARMYDAGMGAVIFAPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQES* >Brasy7G215000.1.p pacid=40044676 transcript=Brasy7G215000.1 locus=Brasy7G215000 ID=Brasy7G215000.1.v1.1 annot-version=v1.1 MGEQWATTGQQPSLSLGLTVGAMPAAAAMRRAAPVATTTRVLVEEDFMSVKKDHHEHEVEALEAELRRVGEENQRLGEMLRALVHKYADLQAKVTAMAAAAAQAEANNNNQQSSTTASEEGGGSAASPSRNKRVRSDDASGAGAGFVTVAAIPDHTECTSAAVKVSGNNSSSKVSRRFVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDKALLVATYEGDHNHAQPLTAKNSAAASKPAPAPPQPLGLQEQQRQRKQQEAAAMAAAEREQTAERKNLAEQMAATLTRDPGFKAALVSALSGRILELSPANN* >Brasy7G221900.1.p pacid=40044677 transcript=Brasy7G221900.1 locus=Brasy7G221900 ID=Brasy7G221900.1.v1.1 annot-version=v1.1 MGRRSRGGDAAAAAKGEGMRVVWRKGAVRLVLVSAIAWALLVLLALAFHLWSCTSSVSFLSALCKNDSKVLHVLDSMGVSSKPLHRCSIPVADDPDAVVIPKRTPNAVVKKLSYITVDKQDKDSPPLFGGRQNWKQREESFKLNATMKVHCGFMKNSGADMDDVDVDYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEKNVTVKVDSAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPRAWYSIWIDGKMELMVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKIYYHEGMEPWDSNKRVPSDIPEGAVLIREHTTIADLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALRFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPSDLSSVELPAVKRTSQAG* >Brasy7G032900.1.p pacid=40044678 transcript=Brasy7G032900.1 locus=Brasy7G032900 ID=Brasy7G032900.1.v1.1 annot-version=v1.1 MVQSPSLHASQRQGRILEMEVSILLFCEKKCFGVELTASVLIVECGGRIKIQLSSRIGFRWFVCLLEEQVTPGKEI* >Brasy7G165100.1.p pacid=40044679 transcript=Brasy7G165100.1 locus=Brasy7G165100 ID=Brasy7G165100.1.v1.1 annot-version=v1.1 MARSNWEADKMLDVYIYDYLVKRNLHNSAKAFMNEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPEGATPSIDMKSREHQMRLQLLQQQNAHLQRRDANHPVLNGAMNAMNSDVSAVLASKMMEERMRNHGPVDSEASQQLIDANRMALLKSATNQTGSLVQGSSVNMSALQQMQSRNQQLDIKGDVAMPQRTMPNDPSALYGSGMMQSKSGLVASGLNQGVGSAPLKGWPLTVPGIDQLRSNLGVQKQMMASPNQFQLLSPQQQLIAQAQTQNDLARMGSPAPSGSPKIRSDEQDYMMKLKMAQMQQPSGHRLMELQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGIPVAGNVNIAQKNSMICATDGTSGFASSSNQMDNLDGFVDFDENIDSFLSNDDGDGRDIFAALKKGPSEQDSLKSLSLSEVGSNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFNMDTKAEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAVERTGALQTFHGHSSHVTSVDFHPKLTEVLCSCDDNGELRFWKVGQNSASRVSRVKQGGTGRVRFQHRIGQLLAVAAGNTVNIVDVEKETSLHSLPKVHNGEVNCICWDESGEYLASVSQDSVKVWSAASGACIHELRSHGNQYQSCIFHPRYPKVLIVGGYETMELWSVSDNQRNVIPAHDGLIAALAHSPLTGSIASASHDRSVKLWK* >Brasy7G064000.1.p pacid=40044680 transcript=Brasy7G064000.1 locus=Brasy7G064000 ID=Brasy7G064000.1.v1.1 annot-version=v1.1 MSLFRRLFNRGTPAGLAEISANIFVFDHCFSADFPEEDELKPHIGGILKQLLGRYSIDSFMVFNFEGGKKNNQTAHIFSGHNMSAMGYPRSYEGCPLLTLEMTHHFLRSSESWLSLSQDNYLLIHSEQGGWPILAFALAALLVYLRRSKDERKALDTVHRQAPPGLVELYAPLDPSPSQLRYLKYVSRRNVSPKLWPPADRMLNLNCAIIRKVPNFDGQGGCRPMFRIYGPDPLVPNDSGAKVLFSTPKTSDFVQLYTQEDTEVIKINVQCPVQGDIVMECISLDEDFKHEVMVFRVMFSTAFVEDNLLLIDRDQIDILWDTKHRFPVDFRVEVIFSEIDTTTSTHTSEPSSDKKESFSHLDLSFKNTDAASQMGLNDWHEGFDVVSLQETEISNVTSEHSILESRSAQVVQTEPENTSSSAPKFEGDKDVGSFSSTLPQAESLDPNSQEHELFENASAQEKPEEDTITKGIANSDRPSVDSQRSEAAESSDTPSSSAPSSPSKFDEDTVDAGTAEAEPESTELQ* >Brasy7G064000.3.p pacid=40044681 transcript=Brasy7G064000.3 locus=Brasy7G064000 ID=Brasy7G064000.3.v1.1 annot-version=v1.1 MKVLQVLAQVFDHCFSADFPEEDELKPHIGGILKQLLGRYSIDSFMVFNFEGGKKNNQTAHIFSGHNMSAMGYPRSYEGCPLLTLEMTHHFLRSSESWLSLSQDNYLLIHSEQGGWPILAFALAALLVYLRRSKDERKALDTVHRQAPPGLVELYAPLDPSPSQLRYLKYVSRRNVSPKLWPPADRMLNLNCAIIRKVPNFDGQGGCRPMFRIYGPDPLVPNDSGAKVLFSTPKTSDFVQLYTQEDTEVIKINVQCPVQGDIVMECISLDEDFKHEVMVFRVMFSTAFVEDNLLLIDRDQIDILWDTKHRFPVDFRVEVIFSEIDTTTSTHTSEPSSDKKESFSHLDLSFKNTDAASQMGLNDWHEGFDVVSLQETEISNVTSEHSILESRSAQVVQTEPENTSSSAPKFEGDKDVGSFSSTLPQAESLDPNSQEHELFENASAQEKPEEDTITKGIANSDRPSVDSQRSEAAESSDTPSSSAPSSPSKFDEDTVDAGTAEAEPESTELQ* >Brasy7G064000.2.p pacid=40044682 transcript=Brasy7G064000.2 locus=Brasy7G064000 ID=Brasy7G064000.2.v1.1 annot-version=v1.1 MVFNFEGGKKNNQTAHIFSGHNMSAMGYPRSYEGCPLLTLEMTHHFLRSSESWLSLSQDNYLLIHSEQGGWPILAFALAALLVYLRRSKDERKALDTVHRQAPPGLVELYAPLDPSPSQLRYLKYVSRRNVSPKLWPPADRMLNLNCAIIRKVPNFDGQGGCRPMFRIYGPDPLVPNDSGAKVLFSTPKTSDFVQLYTQEDTEVIKINVQCPVQGDIVMECISLDEDFKHEVMVFRVMFSTAFVEDNLLLIDRDQIDILWDTKHRFPVDFRVEVIFSEIDTTTSTHTSEPSSDKKESFSHLDLSFKNTDAASQMGLNDWHEGFDVVSLQETEISNVTSEHSILESRSAQVVQTEPENTSSSAPKFEGDKDVGSFSSTLPQAESLDPNSQEHELFENASAQEKPEEDTITKGIANSDRPSVDSQRSEAAESSDTPSSSAPSSPSKFDEDTVDAGTAEAEPESTELQ* >Brasy7G214000.1.p pacid=40044683 transcript=Brasy7G214000.1 locus=Brasy7G214000 ID=Brasy7G214000.1.v1.1 annot-version=v1.1 MQICYKVAVALQLQLTCWSLFFVDPGDIGHNDDELDLLCSKQKQSRYQISPFAVVMRARTIPGTSGPAKLSTDSGCR* >Brasy7G012400.1.p pacid=40044684 transcript=Brasy7G012400.1 locus=Brasy7G012400 ID=Brasy7G012400.1.v1.1 annot-version=v1.1 MDKSNMCVNRSRMRSFNLIVKRCGLLDLGYNGPAYTWTNKRFTSHPIYQLLDRCIANSKWCASFPNTNVYNFPIILSDHAPILATTDSVFKRPKLSFKFENWWLMESDFQEMAKSCWMKCADRDLFENY* >Brasy7G077800.1.p pacid=40044685 transcript=Brasy7G077800.1 locus=Brasy7G077800 ID=Brasy7G077800.1.v1.1 annot-version=v1.1 MMVVGELWRAYAAARESRRRGALEQTRGEAAGWLATRLAGSSLGCAGGGGLGGGFDDDDWGLSEDQLDKLERDLAASQSRLLAGDQGLLFLPPRFTSALMARESSTFLLPLFHLIQIQAQQQIGGEEGQRGSMGAGAWDAAASVRAAVDALDAVGHGGRAAPGASNAVRIERRCGSPGRGHGLGAAAGGTEACCDGIQIDAYCSLGRLRQVS* >Brasy7G083900.1.p pacid=40044686 transcript=Brasy7G083900.1 locus=Brasy7G083900 ID=Brasy7G083900.1.v1.1 annot-version=v1.1 MIVRDVASWNALLAGLAQGTEPTLAFALFRRLVGSFRELPPREEPNEVTIVAALSACAQIGSLKDGLYVHEFARKIGVNGNVRVCNALIDMYSKCGSLGLALEVFHSIKREDRTLVSYNTAIQAVSMHGQGGDALRLFDEMPTFIEPDEVTYIAVLCGCNHAGLVDDGLRVFHSMRVLPNVKHYGAVVDLLGRAGRLAEAYDTIVSMPFPADIVLWQTLLGAAKTHGDVDLAELAATKLDELGSNVDGDYVLLSNVYASKARWADVRRVRDTMRNNDVRKVPGFSYTEISGVMHKFINGDKEHPRWQEIYRALEEIVSRIGELGYEPETSNVLHDIGEEEKQYALSYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHQFEDGQCSCKDYCCVRAFGLTAGGCFSWRFLSTGAQLLCMTPVLQWCRSFSWINGASKIWSSSSSW* >Brasy7G083900.2.p pacid=40044687 transcript=Brasy7G083900.2 locus=Brasy7G083900 ID=Brasy7G083900.2.v1.1 annot-version=v1.1 MIVRDVASWNALLAGLAQGTEPTLAFALFRRLVGSFRELPPREEPNEVTIVAALSACAQIGSLKDGLYVHEFARKIGVNGNVRVCNALIDMYSKCGSLGLALEVFHSIKREDRTLVSYNTAIQAVSMHGQGGDALRLFDEMPTFIEPDEVTYIAVLCGCNHAGLVDDGLRVFHSMRVLPNVKHYGAVVDLLGRAGRLAEAYDTIVSMPFPADIVLWQTLLGAAKTHGDVDLAELAATKLDELGSNVDGDYVLLSNVYASKARWADVRRVRDTMRNNDVRKVPGFSYTEISGVMHKFINGDKEHPRWQEIYRALEEIVSRIGELGYEPETSNVLHDIGEEEKQYALSYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHQFEDGQCSCKDYW* >Brasy7G176300.1.p pacid=40044688 transcript=Brasy7G176300.1 locus=Brasy7G176300 ID=Brasy7G176300.1.v1.1 annot-version=v1.1 MAGFSLRGGGGGGGGASRPGSDRGGDHHHPIGADSLFLYARGAAAAAADTAGGGGGSGGGIGFQLWHPHHQAQAVPHTSQFFSSGSGGVATGVVLGFSPHDAHAAGGVGAGGGRAGTSCQDCGNNAKKDCTHQRCRTCCRSRGFSCPTHVKSTWVPASKRRERQQQLAALFRGAAAANNSSSAAAAAAAAAANKRPRSLGRLPSASTAIVDTTTSSGEGEGRFPAELSLEAVFRCVRIGAVDEPEAELAYQTAVSIGGHTFKGILRDHGPAAEEQEQLQAGEYGHHQLTGGGGREAEGSSPAGSSEAHASTAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT* >Brasy7G087700.1.p pacid=40044689 transcript=Brasy7G087700.1 locus=Brasy7G087700 ID=Brasy7G087700.1.v1.1 annot-version=v1.1 MKNFLRKLHIGDSASDGASSPAPPAPSSKKGGGGGGGGAGGHYEHKHASGISSWLSSVAGRPQQPPPPPPLPTAAAAAAALETEAQELALASSVEERRVEEEEMAKRDTRDEGRKRAMEKQEVELEEYHMQLALEMSAREDPEAMQIEVAKQISLGSCPLQSSAAEVVAFRYWSFNALSYDDKILDGFYDIWVIGDKPPLSTIPSLTELHQQPFSHGAKTEAVLVNRAEDSELAELGQKAFTMAAEFRSKTSHSVDRILVQRLAVLVANYMGGPVFDPGNVLLKYQNMSSSLRATIRSAVMPLGRLTIGLARHRALLFKVLADNLAVPCRLVKGRQYTGSDDEALNIVKFNDGREYIVDLMSDPGTLIPSDGADLGREFEESFFADNHHGSKDDGNTQLGSSFSEASSSVFGSFENDTLEKGSTPNNGGHSGPYGTTSGQTGNQGSLQSSSLGELSVSSHASESLPIIHESRNIDHTVTVKNKEKSIAANNSSSSSPSSSEVGNDPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTTHDHRGHDPSLQHHHGHELQKKGVPYRMPLDLKPVQGLGIHHSSDFRDNTNPSLPLYEPSAVTREYPFQLLTQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCLLSLPSSSEANESVQRGRHDFWDKDQLEIDHGQDNALEQGNALVEVPQEAERISDKSIGTESVRSDIALDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDILEELKAEVRIMKRLRHPNVVLFMGAVTRVPNLSILTEFLPRGSLFRLIRRPNNQLDERKRIRMALDVARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPADVDPAVAEIIQRCWQTDPKMRPSFSEIMAALKRVLKNLSANQPRRQRVQQTDD* >Brasy7G087700.3.p pacid=40044690 transcript=Brasy7G087700.3 locus=Brasy7G087700 ID=Brasy7G087700.3.v1.1 annot-version=v1.1 MKNFLRKLHIGDSASDGASSPAPPAPSSKKGGGGGGGGAGGHYEHKHASGISSWLSSVAGRPQQPPPPPPLPTAAAAAAALETEAQELALASSVEERRVEEEEMAKRDTRDEGRKRAMEKQEVELEEYHMQLALEMSAREDPEAMQIEVAKQISLGSCPLQSSAAEVVAFRYWSFNALSYDDKILDGFYDIWVIGDKPPLSTIPSLTELHQQPFSHGAKTEAVLVNRAEDSELAELGQKAFTMAAEFRSKTSHSVDRILVQRLAVLVANYMGGPVFDPGNVLLKYQNMSSSLRATIRSAVMPLGRLTIGLARHRALLFKVLADNLAVPCRLVKGRQYTGSDDEALNIVKFNDGREYIVDLMSDPGTLIPSDGADLGREFEESFFADNHHGSKDDGNTQLGSSFSEASSSVFGSFENDTLEKGSTPNNGGHSGPYGTTSGQTGNQGSLQSSSLGELSVSSHASESLPIIHESRNIDHTVTVKNKEKSIAANNSSSSSPSSSEVGNDPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTTHDHRGHDPSLQHHHGHELQKKGVPYRMPLDLKPVQGLGIHHSSDFRDNTNPSLPLYEPSAVTREYPFQLLTQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCLLSLPSSSEANESVQRGRHDFWDKDQLEIDHGQDNALEQGNALVEVPQEAERISDKSIGTESVRSDIALDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDILEELKAEVRIMKRLRHPNVVLFMGAVTRVPNLSILTEFLPRGSLFRLIRRPNNQLDERKRIRMALDVARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPADVDPAVAEIIQRCWQTDPKMRPSFSEIMAALKRVLKNLSANQPRRQRVQQTDD* >Brasy7G087700.2.p pacid=40044691 transcript=Brasy7G087700.2 locus=Brasy7G087700 ID=Brasy7G087700.2.v1.1 annot-version=v1.1 MAAEFRSKTSHSVDRILVQRLAVLVANYMGGPVFDPGNVLLKYQNMSSSLRATIRSAVMPLGRLTIGLARHRALLFKVLADNLAVPCRLVKGRQYTGSDDEALNIVKFNDGREYIVDLMSDPGTLIPSDGADLGREFEESFFADNHHGSKDDGNTQLGSSFSEASSSVFGSFENDTLEKGSTPNNGGHSGPYGTTSGQTGNQGSLQSSSLGELSVSSHASESLPIIHESRNIDHTVTVKNKEKSIAANNSSSSSPSSSEVGNDPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTTHDHRGHDPSLQHHHGHELQKKGVPYRMPLDLKPVQGLGIHHSSDFRDNTNPSLPLYEPSAVTREYPFQLLTQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCLLSLPSSSEANESVQRGRHDFWDKDQLEIDHGQDNALEQGNALVEVPQEAERISDKSIGTESVRSDIALDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDILEELKAEVRIMKRLRHPNVVLFMGAVTRVPNLSILTEFLPRGSLFRLIRRPNNQLDERKRIRMALDVARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPADVDPAVAEIIQRCWQTDPKMRPSFSEIMAALKRVLKNLSANQPRRQRVQQTDD* >Brasy7G087700.5.p pacid=40044692 transcript=Brasy7G087700.5 locus=Brasy7G087700 ID=Brasy7G087700.5.v1.1 annot-version=v1.1 MKNFLRKLHIGDSASDGASSPAPPAPSSKKGGGGGGGGAGGHYEHKHASGISSWLSSVAGRPQQPPPPPPLPTAAAAAAALETEAQELALASSVEERRVEEEEMAKRDTRDEGRKRAMEKQEVELEEYHMQLALEMSAREDPEAMQIEVAKQISLGSCPLQSSAAEVVAFRYWSFNALSYDDKILDGFYDIWVIGDKPPLSTIPSLTELHQQPFSHGAKTEAVLVNRAEDSELAELGQKAFTMAAEFRSKTSHSVDRILVQRLAVLVANYMGGPVFDPGNVLLKYQNMSSSLRATIRSAVMPLGRLTIGLARHRALLFKVLADNLAVPCRLVKGRQYTGSDDEALNIVKFNDGREYIVDLMSDPGTLIPSDGADLGREFEESFFADNHHGSKDDGNTQLGSSFSEASSSVFGSFENDTLEKGSTPNNGGHSGPYGTTSGQTGNQGSLQSSSLGELSVSSHASESLPIIHESRNIDHTVTVKNKEKSIAANNSSSSSPSSSEVGNDPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTTHDHRGHDPSLQHHHGHELQKKGVPYRMPLDLKPVQGLGIHHSSDFRDNTNPSLPLYEPSAVTREYPFQLLTQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCLLSLPSSSEANESVQRGRHDFWDKDQLEIDHGQDNALEQGNALVEVPQEAERISDKSIGTESVRSDIALDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTLNLATTLCFT* >Brasy7G087700.4.p pacid=40044693 transcript=Brasy7G087700.4 locus=Brasy7G087700 ID=Brasy7G087700.4.v1.1 annot-version=v1.1 MAAEFRSKTSHSVDRILVQRLAVLVANYMGGPVFDPGNVLLKYQNMSSSLRATIRSAVMPLGRLTIGLARHRALLFKVLADNLAVPCRLVKGRQYTGSDDEALNIVKFNDGREYIVDLMSDPGTLIPSDGADLGREFEESFFADNHHGSKDDGNTQLGSSFSEASSSVFGSFENDTLEKGSTPNNGGHSGPYGTTSGQTGNQGSLQSSSLGELSVSSHASESLPIIHESRNIDHTVTVKNKEKSIAANNSSSSSPSSSEVGNDPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTTHDHRGHDPSLQHHHGHELQKKGVPYRMPLDLKPVQGLGIHHSSDFRDNTNPSLPLYEPSAVTREYPFQLLTQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCLLSLPSSSEANESVQRGRHDFWDKDQLEIDHGQDNALEQGNALVEVPQEAERISDKSIGTESVRSDIALDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTLNLATTLCFT* >Brasy7G125400.1.p pacid=40044694 transcript=Brasy7G125400.1 locus=Brasy7G125400 ID=Brasy7G125400.1.v1.1 annot-version=v1.1 MPRQVAGHRRLMGTSSSSPAPAAAAAAAAGGEAGAGHGSSQDAMKIMVSVLVVVIVCTLFYCIYCWRWRKRNAVRRSLLQSLRPRSSSDLPLVDLASIHAATNNFAKANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFLFDASKSAQLDWKTRHNIVLGIARGLLYLHEDSLLKVVHRDLKASNVLLDNKMNPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFVMEGVFSVKSDVFSFGVLLLEILGGKRNGALYLEEHQQTLIQDAWRLWTEDEATEFMDAALGRSYSTDEAWRCFHVGLLCVQDDPDLRPTMSNVLLMLISDHMNLPTPAKPPSFTRLRTFAAALPLFTTKTESTLSPQSINDVSITVVEPR* >Brasy7G057800.1.p pacid=40044695 transcript=Brasy7G057800.1 locus=Brasy7G057800 ID=Brasy7G057800.1.v1.1 annot-version=v1.1 MQEMALALKVTAPPAAGRARASVAHQLLSRSPPLALTRRQATARLLLRLRRRTRMPPQHSIAKCSTLGPDHNQGSHTNAYCTVALVFIVDLHVEKIST* >Brasy7G213600.1.p pacid=40044696 transcript=Brasy7G213600.1 locus=Brasy7G213600 ID=Brasy7G213600.1.v1.1 annot-version=v1.1 MVMGVPIKVRELEMERDLAAVEELERRCQVGISGDQQQGSDADGAGAKKKSKKKKKMSLCVEQIGDPLARVRHAPEHVMLVAEYGEKMVGMIKACIKMVSLPNNSSKPSPPSSAASSSSLSSSSSSSPEQQQHEEEEEPYVKVAYLLGLRVSPSHRRMGIGAALVRAAEEWSRARAAARATMATTASNEASLALFVGRFGYAPFRRPVFLGRPVHARRLPIPARHRVFNLQPPLAAAAAYARHFPPQETEFLPADLPALLNHKLTLGTFLAVEAAESTPASDSSFAMLSVWDSTRALRMRVEGAPGLLRAGLAALRGLDRRAPWMRVPSVPDVFRPFGAYLLYGVRMAGPRGPALLRSLCRHAHNVARENPACAVVAADLSPDDPAAAAVPRWRRFSCDEDVWCIKDLDGDGGWAAPPAPAPGTVLFVDPREF* >Brasy7G236600.1.p pacid=40044697 transcript=Brasy7G236600.1 locus=Brasy7G236600 ID=Brasy7G236600.1.v1.1 annot-version=v1.1 MEVMCLQAQRVTGLPASVHQIHLHLPTTTTLDLETAPRACASASREGIADFRNQVLLLHCHAIAAAGPVDTSVLITPVAISHDQQPSSYQPHQHLRVDLGRDQPRVLSFLLAGAAAGAVLTLALHHKLVPSPPPTACLPLPDCCRRQITNNNNDDDDDDDRQEQQEETTTTNCCLPLPACRRPRINTNNNRRQQQRSHGSSSYDDGSSTGFIVIEKHCRRPPSDNFLLTSDDDQDSTMKLELDSSSRVEDEFLAMLEQLPETETDLDLDRLIEDAEADLARTAHLLDDAAASM* >Brasy7G025100.1.p pacid=40044698 transcript=Brasy7G025100.1 locus=Brasy7G025100 ID=Brasy7G025100.1.v1.1 annot-version=v1.1 MISSSHFCNENIDKYVGNYQTAMYADTRNVPSMVSASVIMFVLTGLFFNLNLFSSFSDVSAILDPKVRLFLSSALSIFLPVMSYIFSEAKNAAAATGRSSVAAAKPELDLSLRAGVILVWMLLVELLRKKMDEISMRGYSGTIHRAGRVAWLGSLVFFNIHSVGRKAVFAILWILCATKLVQRIAFTEVGKRSYAYGKNARLVTSYMSQMLDQRHEASSHISVDQAGISDHLEASLTHIDVEPSTVRDGDGNFGDTLLLRSKLLVMGEEDLVIEPTDDGYKLKEIAPDDTVITVGKIWGHVSAGHLDQDQRLRRLCLSFALFKLLRRRFEHLPVVTKETHECRDLIFNGVYNNKEENVKPLFQMMNDEVNFLSEYYHSVIPVVLASPFFFLVNYFVLPVVVLVLCLITVVLCGNGDARHAFHSIKTDNFALSSGLVKTTVCLLIKAFNSPRAFFTTVDFFVTFLLFLILCYEEIWEFLVFLFSNWFMVSLLCNYVAKPQWQASPMFTGSVRRILWVRDKMSHPVLSFKQFSLLDLRWPHVLGMPTMFSLLLQTVPVPNKAKHSIIKSLMVHSGHDGNSSGVPLDNGESVLVGRLRDDLLPACRGKSIAEVILTWHIATTIMEAKCSPGDDKQSKRFQMVATRLSRYCAYLVAFHPELLPKNQDKAERVFEAAKAELKRMLGCCCYYLSCQRYRVDKITAVAADWMDGEVVNNGAKLGNMLSKEATRDGSNQQEQTWKLLADVWTEIVVYLAPSSDEEHVLGHESVLVQGGEFITVLWALTTQTGITRP* >Brasy7G166200.1.p pacid=40044699 transcript=Brasy7G166200.1 locus=Brasy7G166200 ID=Brasy7G166200.1.v1.1 annot-version=v1.1 MEAAATADTGAVRIVSRRMVRPSNDESPPPTHDIHLTPWDLRLLAINYIQKGIILPKPPTGGESLVDSLACSLARALGRYYHFAGRLAVKEHEHDPAVTVALRCTGQGAELIHATAPGVSAADIIGTLYSPSSLPPAWAFFPLNGALSADAAMGPEPLPVLSAQVTELACGGVFVGMSMNHAVAGGTTFWELFNTWFEIHRGRGGETSRTAPAHERWFVDTSSVPIPLPLGKLQEMARRRYELPPVREAFFTFSAASVKRLKARANDEMSMATATATISTLQALLAHLWRAVCRARRLPAGQETSYVVICGCRGRIRGIPPGYVAGNGLGWAAWQLNRAVASFDEAAMKEFLGRWPLEPAFPFIGNLAGGGTGLATGSSPRFDVFGNDFGWGKPLAVRSGAGHKTDGKATVFEGPDRGGSVSLEVCLAPDALQRLVADREFMAAVTLPRPRKTGQEEKTTPLNNT* >Brasy7G163200.1.p pacid=40044700 transcript=Brasy7G163200.1 locus=Brasy7G163200 ID=Brasy7G163200.1.v1.1 annot-version=v1.1 MLATRLLVEVWTARGRARRLAGVARGDGGAAPAVGACGGSGAAPGGGLGAARGCAGAVPGGGARGGGRGSVGPRWSSGRRRARSGDWGAPGCRGAALQRATGRRRAAAW* >Brasy7G117900.1.p pacid=40044701 transcript=Brasy7G117900.1 locus=Brasy7G117900 ID=Brasy7G117900.1.v1.1 annot-version=v1.1 MRSSPPHMLSSPPRSWPPSPLQIRPRFLIPTIDHGSPSDGRDRRAPGGERGARSAIGEFPGGYSAASGGGGDAGSLPRVGSSGTGVQRSLVVGEQEACLTSGGLVVLARGGEDIALLRGRSVIGESGINHSQARRSSRGRTLGRCPWRRQALICSRAGRRHVQTRGHIGRLPGHGAGFPVFNSGLRELEAEDGEDFLFSQLWNSSSVPIYDRVPTPGQGDENADGRGRGRGRYGGRGNQQGETPQPQQQSQQKMQHQSPPPQQQFLGYPHMENFPQMPMPYGYPPQTMVGLLCTRDVSSARPVSPASASATDVATAISVWTSGADDAATTPATAAADGPATTTTAAIAIGLRAGQQGRGAGLPPKGGGPDREQPRRKLVQEALRKDEGKRKEGDKGEQPAKFVDVICYNCGDPGHHKANFPKPRVCFIYKMTNHQVEHFPIRKRPHNMANLLVVRLRVWGFIILMCLQIENPLIAHLRIVAWLTLKKERLQKRNWSRNFQLFITHCGLGR* >Brasy7G048000.1.p pacid=40044702 transcript=Brasy7G048000.1 locus=Brasy7G048000 ID=Brasy7G048000.1.v1.1 annot-version=v1.1 MAAAAAEWQDAERKVLVARKPGFGLPTACPTCLPVLLYLRMSQVPFDIHVDTSFPDADHIPSVEFGDCVAFHNEKGGVIEYLKDENIFDLTSKHPSVSYPDVLCTKAMVTTWLADALQYELWVVTDGSIAQDIYFRELSWPIGKILHWKKIRDVKQQLGITKLNAAEKEEEIYRKASDAYDALSMRLGDQVYLFGDSPTDVDALFLGHVLFVLNALPETSSLRSHLQKHDNLINFAEHHKVQLLEVDSSLAGSGSSDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRRTKYFLATQLVAVLVFLSIMGGVDSSEVDDEYDVDYED* >Brasy7G108600.1.p pacid=40044703 transcript=Brasy7G108600.1 locus=Brasy7G108600 ID=Brasy7G108600.1.v1.1 annot-version=v1.1 AIEEEVSKALRVKQLNLSNVINVVESYIDEHSPKKDQDAFQIGAVIISFAFMLDCRERDPKIPTYLINIEKLKEVNFAKCVLDCIGIAARNVAHVKRSAYSTCTVGGCALVPQIFYLDSVDFGVYNAPNDVFPRIKCYTKEKLDSLINLDRNPHSGNLSQWYGIIRHDQVKVEHIGPGQATGSRRTKKKKYRSDRQSRKQARFSKILKIVEDHHNGDGFLLEDLRKQIQKRKNLLLHLIANHMENESSSSSDESEEHTSSDVHGMEGLHGQHQSVNDDALSGSPSFRMPSRVPRSPDVWQREKEVANNCSEVPVSQHDDNIREDSNMSQVGDKRGSEGAMNSGSQLSKRCKLSGKEGDIAGNFVNTRAEGAEGPCLLPEVDQRDNRITETTGRAPQAGFKRPKKRLAGRFRRTTQVVEETDKEVHGVKDVVGSASNNDVPVESRQAGEVPRAETVHVEPAVPVENESSMRGDVREEILDVGQGVTAGPESTHSGNLIDLVNSFLMTPPKDHNVAGNFVFQGNF* >Brasy7G000800.1.p pacid=40044704 transcript=Brasy7G000800.1 locus=Brasy7G000800 ID=Brasy7G000800.1.v1.1 annot-version=v1.1 MEEQAAAAVVGGGGVRVEQAVVSGGGVRVIARICGPSTTPAAGASSFKVGVARGAHPRSSDAARLSFTVAAAPSSPSSKGRRADGNTRKDDHRLDWCYLKDEPNQHVFLNEVSPLIHRHLLQTTSSSNACVVACGAATAKDHLFMGSQDQQGLITMAINQILEFTKQIGGSVTVSAYQVLQDAHIFDLLDPKDQEVHILEDADGRTHLKGLSKVDVKSVEEFTQLNCFDCSNHKKHSTKSSSQLHTMGHQGLIIHISRMDHQDRQRVVAKMNFLNLAGYVDPKQKNNGEGAALQNSNKSIYASMNVVQALNSNQSFVPYRQSKVTRLLQDSLCKKSGAVLITCFDEICCQDTVSTLRLASRSSQVVNGQFCLSTSARSSSKTNASLSTNAKNSLIPLLASIHQSNSAVEEQHRPRFNKSAVKTCQTPTSNKRSQPIIHSTKKSGSSLSASMKIKQNDAKPKMSGSTLLCPSTTSSKEDALVVEPTAVKEAKEVQSSQDMEVHFPSTDEGFDKTGDSVDTVSCEIQKAVSNNMQEAGYSLSHSQAASSHTDLDEICSSNVPDTLVEKTPVNTNKVSPKLTERLREISNSLMLLSTRPLSVPAKKGMPINIDEAEPKTPVTRLKFEQAEDPEDTFQARTTGIKKSLVQECLTFLNSANKEQLKSLKGIGEKRANHIIELREESPDPFKEIDDLRDIIGMNPKEIKKMISGIMDS* >Brasy7G017300.1.p pacid=40044705 transcript=Brasy7G017300.1 locus=Brasy7G017300 ID=Brasy7G017300.1.v1.1 annot-version=v1.1 MPSFSGDGGPVLLRRPSTHHQNSTTTMADDSDLHHHQRRPAPIRLPVHMHPPPAAYHQPLPLPKAAAAAKHQHHQKLTTALQLQAAASSSPTIEIREVWKHNLRESLAQIAALLPNYPVICLDTEFPGTIHDDPATPRHLRSAHESYALVRRNADELRHLLQLGLALVGAGGRAHPVVWQFNFRGFDQARGDPHSPASVAMLEAHGLDFARLKAHGIDPRAFADEFNRSGFAHAPGLSWVAFSGAYDFAYLAKVLRRGRRLPETLDRFRGLVGRLFGPWVLDVKYIAGTCGIRGGLEQVAAALGVERAAGRVHNAGSDSLLTADVLLALIARFFAYVDVRSVYAGAIDGLVV* >Brasy7G189200.1.p pacid=40044706 transcript=Brasy7G189200.1 locus=Brasy7G189200 ID=Brasy7G189200.1.v1.1 annot-version=v1.1 MHDAHDDSDGGDKAKQNDTDVNQSDPKGKALVPDVNGSSSSTVAGGTAPAGTQLASTVLPAIRFGSFALESTTPVCVSAPRSHWADMVEEEERISLSAPPCARSHGLRRQSSCSGLLAKLDAPTGGGVAPAFRQELNGPAVGPVQLGVGLQRQVVPAVAPKSHLASPAAVHLRGAAPVCLQQLHGAPGADPVGPSWQVAMGSPPLSPGHAPAPLSPARGPNGSAVGGSSLDAVIAFGGIPDVATTGVRSSACIRAQPDADVTRLERATLRAQARDPLPVIFRATALIRLWSLLLSVDKRERSASGCTRLETVTRDISNLAGWWPVARLQDA* >Brasy7G126500.1.p pacid=40044707 transcript=Brasy7G126500.1 locus=Brasy7G126500 ID=Brasy7G126500.1.v1.1 annot-version=v1.1 MVSEDCQLWGISFIHMKASSKRHRSSICFIMLWMLVLANLWIICSGSTQKQVLLPGFVGSEMAYIDNNGVFLLSNASLFGFGFVTSSASESTSYLLAVIHLASTSVVWTANANSPVSHSDSFVFDKDGNAYLQSAGSAVWTANISGKGASMQLLDSGNLVVLGKDGSSPLWQSFSYPTNTLLSGQSFIDGMTLVSQSNTQNMTYTLQIKSGDMMLYAGFKDPQPYWSALRDNKLIVNKNGAVYSANLSSTSWYFYDQTGSLLSQLVISQQGDANTTFAAVLGNDGSITFSMLQSGNGKTTLPTTIPQDSCDMPAHCKPYSICNSGTGCQCPSALSSFANCNPGLVSPCNSKEVFQLAQLDTGVGYIGTRFTSPVANTNITGCKNACMGNCSCVAMFFDQTSGNCFLFNEIGSLQQKGGSKANYASFIKVSSSNHGSGQGGDGSGQHTMIIVVILVGTLAVIGVLVYVGFFIYRRKRYPPHSQDEAGSSEDDGYLQTISGAPMRFTYRELEDATNNFSNKLGQGGFGSVYLGTLPDGSRIAVKKLESIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGSHRLLAYEYMAKGSLERWIFRAKVDDPLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDENFLVKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNFDPVEGSEKAHFPSFAFKKLEEGDLREIFDAKLKYNDKDERLEIAIKVALWCIQEDFYQRPSMSKVVQMLECVCDVPQPPTSSQIGYRLYANAFKSSSEEGTSSGMSDYNSEALLSAVRLSGPR* >Brasy7G126500.2.p pacid=40044708 transcript=Brasy7G126500.2 locus=Brasy7G126500 ID=Brasy7G126500.2.v1.1 annot-version=v1.1 MVSEDCQLWGISFIHMKASSKRHRSSICFIMLWMLVLANLWIICSGSTQKQVLLPGFVGSEMAYIDNNGVFLLSNASLFGFGFVTSSASESTSYLLAVIHLASTSVVWTANANSPVSHSDSFVFDKDGNAYLQSAGSAVWTANISGKGASMQLLDSGNLVVLGKDGSSPLWQSFSYPTNTLLSGQSFIDGMTLVSQSNTQNMTYTLQIKSGDMMLYAGFKDPQPYWSALRDNKLIVNKNGAVYSANLSSTSWYFYDQTGSLLSQLVISQQGDANTTFAAVLGNDGSITFSMLQSGNGKTTLPTTIPQDSCDMPAHCKPYSICNSGTGCQCPSALSSFANCNPGLVSPCNSKEVFQLAQLDTGVGYIGTRFTSPVANTNITGCKNACMGNCSCVAMFFDQTSGNCFLFNEIGSLQQKGGSKANYASFIKVSSSNHGSGQGGDGSGQHTMIIVVILVGTLAVIGVLVYVGFFIYRRKRYPPHSQDEAGSSEDDGYLQTISGAPMRFTYRELEDATNNFSNKLGQGGFGSVYLGTLPDGSRIAVKKLESIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGSHRLLAYEYMAKGSLERWIFRAKVDDPLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDENFLVKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNFDPVEGSEKAHFPSFAFKKLEEGDLREIFDAKLKYNDKDERLEIAIKVALWCIQEDFYQRPSMSKVVQMLECVCDVPQPPTSSQIGYRLYANAFKSSSEEGTSSGMSDYNSEALLSAVRLSGPR* >Brasy7G126500.3.p pacid=40044709 transcript=Brasy7G126500.3 locus=Brasy7G126500 ID=Brasy7G126500.3.v1.1 annot-version=v1.1 MVSEDCQLWGISFIHMKASSKRHRSSICFIMLWMLVLANLWIICSGSTQKQVLLPGFVGSEMAYIDNNGVFLLSNASLFGFGFVTSSASESTSYLLAVIHLASTSVVWTANANSPVSHSDSFVFDKDGNAYLQSAGSAVWTANISGKGASMQLLDSGNLVVLGKDGSSPLWQSFSYPTNTLLSGQSFIDGMTLVSQSNTQNMTYTLQIKSGDMMLYAGFKDPQPYWSALRDNKLIVNKNGAVYSANLSSTSWYFYDQTGSLLSQLVISQQGDANTTFAAVLGNDGSITFSMLQSGNGKTTLPTTIPQDSCDMPAHCKPYSICNSGTGCQCPSALSSFANCNPGLVSPCNSKEVFQLAQLDTGVGYIGTRFTSPVANTNITGCKNACMGNCSCVAMFFDQTSGNCFLFNEIGSLQQKGGSKANYASFIKVSSSNHGSGQGGDGSGQHTMIIVVILVGTLAVIGVLVYVGFFIYRRKRYPPHSQDEAGSSEDDGYLQTISGAPMRFTYRELEDATNNFSNKLGQGGFGSVYLGTLPDGSRIAVKKLESIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGSHRLLAYEYMAKGSLERWIFRAKVDDPLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDENFLVKVSDFGLAKLMSREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNFDPVEGSEKAHFPSFAFKKLEEGDLREIFDAKLKYNDKDERLEIAIKVALWCIQEDFYQRPSMSKVVQMLECVCDVPQPPTSSQIGYRLYANAFKSSSEEGTSSGMSDYNSEALLSAVRLSGPR* >Brasy7G235400.1.p pacid=40044710 transcript=Brasy7G235400.1 locus=Brasy7G235400 ID=Brasy7G235400.1.v1.1 annot-version=v1.1 MEEGRAAADVEYEFGAPPHWERREAVACEKGGGQEGPGAAGASASSRRAGHQATEDDARKSTRKRGREQTGDARKRNIMRVLLERCTQPSRTCCQALSASLSIMLSEFVLFFSFLSHSYVYGPPFTCSHGAADFDYRHIHTSIIRDVSVCINWSIIQ* >Brasy7G187700.1.p pacid=40044711 transcript=Brasy7G187700.1 locus=Brasy7G187700 ID=Brasy7G187700.1.v1.1 annot-version=v1.1 MITFVDSAAGERERGGDDGRCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQCGGGGDFPASGGRGGIPALVLCRVAGVRFMADPDTDEVFAKIRLVPARPQEPGDADDGINGAAAAGGQAEAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPELLPPPPPPPGPNYGGFSMFLRGEEDGSNRTMAAARGKARVRVRPEEVAEAANLAANGQPFDVVYYPRASTPEFCVKAGAVRAAMRTQWCPGMRFKMAFETEDSSRISWFMGTVSAVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPFYPELPLDGQFPAPMFHGNPLGRGSVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSTHGLHNQVDRGAQPRIAAGLFIGHPKARDDISCLLTIGNHENSKKSDGKKAAPQLMLFGKPILTEQQITLGNSGGFSPTSARKSPSDGSAEKTANNSDLSSPRSNQNGTAENLSCGGVPLCQDSKVVDLGSETGHCKIFMQSEDVGRTLDLAAVGSYDELYRRLADMFGIEKAELMRQVFYRDAAGALKHTGDEPFSDFTKTARRLTILTGTGGE* >Brasy7G001300.1.p pacid=40044712 transcript=Brasy7G001300.1 locus=Brasy7G001300 ID=Brasy7G001300.1.v1.1 annot-version=v1.1 MECNKDEALRAKEIAERKFESRDLQGAKKFALKAKALFPGLEGIVQMINTLDIYLTSEVKIAGEKDWYSILSVDTSADDETVRKQYRKLVLQLHPDKNKSVGAEGAFQMVNEAWNVLSDKTKRALYDQKRKLVVLQQKTYQSNKTSATPSAANGFDNFAAKVPAPKARANRQKAGSATSAVRQRQPPPRPAPPAPAAAPSPAKENTFWTSCNKCKMNFEYLKVYLNHNLLCPSCREPFLAKEIPMPPTEDVHAVRDSNFMGATQDASTGRNFQWGPFSRAAGAASATASSSAAAQAANVVHQTYEKVKREREEAQAVARREDALQRKHNPLKRQANMSENVNHGAGDVASGKKMRTAGKDVGVGSSSILSGPWANCFRTPGGTIPFPTNNGAFEFQGVPAGPSWKPKPPTRISVTKSFSPSNIRTILIDKMKSDLKEKLMEIRSRPIQVTVNGKTSKKHVVKEHVEGNETLASDDSTANKDVCVDPEENGSINSTDAENEDDDPFSYTVPDPDFHDFDKDRTEESFQTDQIWASYDDEDGMPRYYAFIQKLISLNPFKLKISYLASRTNSEFGSLNWVSSGFTKTCGDFRIGKYETCDIVNMFSHQIKWKKGPRGVVQIYPQKGDIWALYRHWSPEWNEDTPDNVLHVYDLVEVLDDYAEDDGISVIPLIKVAGFRTVFQRHQEPNVIKRIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYEVDPAAISKELLQESVETGEEARGASEC* >Brasy7G225900.1.p pacid=40044713 transcript=Brasy7G225900.1 locus=Brasy7G225900 ID=Brasy7G225900.1.v1.1 annot-version=v1.1 MSSTTSSSKTSSTAPITRCRIWVMTFEVIVGMAAMLFAMLSVNALHAFLVHIRCKMIDDHETAAAAVAKLPFDRVALSKKIKLVIEDIHSLCDPVSKLLNIVPQNSSSTAIANLKRPLIGSTAAQDTLYGRRDLFEQTMKYIITSATNNTETLSVLPIVGPGGIGKTTFTQHLHNDKRIEEHFPVRVWVCVSTDFDVLKLSQQILSCIAGSNPANQTTNLDQLQISIAQRLKSKRFLVVFDDIWKCNPQGWEIQLAPFMKGEAKGNMVIITTRFPSIVEMVKTTHPIEMKGLEHDDFLKFLEAFIFGGNKPEDYEDDLSDVAKNNANKLKGSPLAAKTVGRLLKKKHSREHWIRVLENNEWQKQKNDDDIMPSLRISYDYLPFHLKKCFSYFALFPEDYRFKNLEITYFFIAIGIMDKYDNYMEELVDNGFLLKENDDLEDKYYILHDLLHELSRSVSSQECLNIYSSGSFRADAIPKTIRHLSITMENKYDGNFRGEMVKLRGKVDIVNLRSLMIFREYGETVEDILKNTLEGIEGLRVLSIVVKSSKSMPCYLPNLIHLRFLKISTPHFAPKFSLPSALSRFYHLKLLDLSSWYGSDKLPKDISRLINLCHFFVFDRKLHSNVPEVGKMKCLKELTQFCVKKESAEFELSELGELTQLGGELGIYNLEKVTTKEEAMKAKLLSKGDLKELT* >Brasy7G091300.1.p pacid=40044714 transcript=Brasy7G091300.1 locus=Brasy7G091300 ID=Brasy7G091300.1.v1.1 annot-version=v1.1 MIPSYRQEQPSQVQDESGDEGVVSQAVSLSSQSSVPSLHSCSSCHYRCVSTLRGHSSYVSGLAVDGDALYVASSDGHIRLWPLDMDATVQQDEQPGGSVVAVTSSSIKCLMVTGDGLVSSHQDGKIRVWQQARRRSSGGYHHHLTLRAVLPTTADCLRTFLFPKNYVEVRRHRRCTWVRHVDAVTALAVSADGAHMYSVSWDRSLKVWRLPGLRCVESVAPAHDDAINAVAVAADGCVYTGSADRTIKAWRRHPGQKNLTLVGTMERHRSAVNALAVGVGVGGGQVLYSGSCDRSVVVWEADAMGGMVAMDTLRGHTEAVLCLAAAGAAVVCSGSADRTVRVWRRRGAGDGYSCLAVLDGHGAAVKSLALVLMDRLPAGADHGHGCSCKDESSPACGCSALVCSGSLDCDVKIWRVT* >Brasy7G043500.1.p pacid=40044715 transcript=Brasy7G043500.1 locus=Brasy7G043500 ID=Brasy7G043500.1.v1.1 annot-version=v1.1 MTILSNSKYAAAPAQISHGAHPEHTLRRRTTTGAAAPGADDLQFQCDGCKEPGAGDRYACRPCDFDLHVECALAEPALAHPLFKDAELRLQELLRPGAGHDDAGSGSGSESGSSILCVACGGEVLGLHYHCDNDGKGKNKEKKKKKQGLDIHPCCAALPLAILQEELTLELRKEAFAAFHRCDSCRSRRDRGGWFYRSTCKTVYLHVACVKGIMISGGSGGSSGSTDPLAAVKEAALRIYRAKRDEKSEGERLILSLILGG* >Brasy7G232900.1.p pacid=40044716 transcript=Brasy7G232900.1 locus=Brasy7G232900 ID=Brasy7G232900.1.v1.1 annot-version=v1.1 MQQASNIQQVKELACSNQMAPTTQSQQDNNPSTEFKLKKYLLLLATLVVAVTYVAGLNLPGGVWQDDDDTKDGHHAGDLILYDTQHGRYLTFYYCNATAFAASVVVCLLLLILQEGNNAVCETVLRVVMVLDLLGLMGAYAAGSCRDAFTTIYSTLVMSVVLAYVVLAFSLYIISKLEKCKDGKQQQKEDHESQSRSREERHEVTGSEVLMLLATFVVTITYGAGLSPPGGFWSDGNRVSHPILQDHSSARYQAFFVCNTTAFVASLVIIMLLLDKRLKKELSVRSVALYGLIVVALFGLVGAYAAGSCREIDDTIYVVSLIGAVLAYIFLQVEITRAAQRCRLPCTREINGSPRTPNVQHGPSGSSRDRGQDDVGFPLKKYDVGLEKAHDLVILLATLVASVTYQAGLDPPGGLWSDNQDGHHKVGDPVLLTTHTARYKVFFYSNSAAFVASLVVILMVKCKFLVMRRSLEAAMLVDLFGLIVAYAAGCCRDVSTSIYVVALAGAVLVYVVIHIIFFTLDKDDKHGNAHELDSKREVLLLLAILAATVTYQAGLTPPGGFWSADDKFGHRAGFPVLLDNYPGHYQAFFYCNAMSFMASVALIVLLVNPNLYMPGIRCYAFFVCMVVGLFGLMGAYAAGSSRQLQTSIYVLVLFALVFTCVTTWVVILLIGRLMEHRRHNKGDGPSVGDGGVGRTDNTDASPEQDNDKEEKKKEEEEKKKEYLMLLGVLAASVAYQSGLKPPGGLWQDNKYGPDGHSASNSILHNTDKSRYHAFFYSNSTSFMASIVVIVLLLPLHDELPLRPLHMAILLDLVGLLVAYAAGSTRDWEMSRNVIALVIPLLVYIASSALYTWYRRTKCSDSRQPGPADSEVTKVKHGGNSNDVEENLS* >Brasy7G232900.2.p pacid=40044717 transcript=Brasy7G232900.2 locus=Brasy7G232900 ID=Brasy7G232900.2.v1.1 annot-version=v1.1 MQQASNIQQVKELACSNQMAPTTQSQQDNNPSTEFKLKKYLLLLATLVVAVTYVAGLNLPGGVWQDDDDTKDGHHAGDLILYDTQHGRYLTFYYCNATAFAASVVVCLLLLILQEGNNAVCETVLRVVMVLDLLGLMGAYAAGSCRDAFTTIYSTLVMSVVLAYVVLAFSLYIISKLEKCKDGKQQQKEDHESQSRSREERHEVTGSEVLMLLATFVVTITYGAGLSPPGGFWSDGNRVSHPILQDHSSARYQAFFVCNTTAFVASLVIIMLLLDKRLKKELSVRSVALYGLIVVALFGLVGAYAAGSCREIDDTIYVVSLIGAVLAYIFLQVEITRAAQRCRLPCTREINGSPRTPNVQHGPSGSSRDRGQDDVGFPLKKYDVGLEKAHDLVILLATLVASVTYQAGLDPPGGLWSDNQDGHHKVGDPVLLTTHTARYKVFFYSNSAAFVASLVVILMVKWCCRDVSTSIYVVALAGAVLVYVVIHIIFFTLDKDDKHGNAHELDSKREVLLLLAILAATVTYQAGLTPPGGFWSADDKFGHRAGFPVLLDNYPGHYQAFFYCNAMSFMASVALIVLLVNPNLYMPGIRCYAFFVCMVVGLFGLMGAYAAGSSRQLQTSIYVLVLFALVFTCVTTWVVILLIGRLMEHRRHNKGDGPSVGDGGVGRTDNTDASPEQDNDKEEKKKEEEEKKKEYLMLLGVLAASVAYQSGLKPPGGLWQDNKYGPDGHSASNSILHNTDKSRYHAFFYSNSTSFMASIVVIVLLLPLHDELPLRPLHMAILLDLVGLLVAYAAGSTRDWEMSRNVIALVIPLLVYIASSALYTWYRRTKCSDSRQPGPADSEVTKVKHGGNSNDVEENLS* >Brasy7G205700.1.p pacid=40044718 transcript=Brasy7G205700.1 locus=Brasy7G205700 ID=Brasy7G205700.1.v1.1 annot-version=v1.1 MSGPAESLPSLPDDIIFDILTWTPVRSLCRFRCVSKRWRALIADPAFVAARRRPGHLLITSSPSKEPGSKSRHDLQLMDMDGSVVRVIKGVGFFSTFFCRSLPDGPVCLTRDAAVARAVALATGEVLLTSPIFGTGEVLYSFGIGRTAMSGAYKVLRLGSRWGGHVEQTCEVLTLGDAAGGSEWRRSQPPEVRIRVRYCQSSVAAAAVNGIMYFLRDFDYYHSVTPQLDDDEEEDGDGDDYVLCFDLESEEWKKMIKGPLKMSEESWTRREATGLAELNGALCMVQLEETFGHPSTNIWLLVDPKESIWVKAYTISMARPNSLVVPLRVMSHGTKLLICIFHVYSLVPALQVYDARTGLCVDVMKASNNLYGGIGLCSLGMHHFVSAKI* >Brasy7G108000.1.p pacid=40044719 transcript=Brasy7G108000.1 locus=Brasy7G108000 ID=Brasy7G108000.1.v1.1 annot-version=v1.1 MGDDASAVDYAHMVANFATLPALGFLCLVGVMGSSGVELEFSDATGVHERLLLGGQRRDAEEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLLAHKDRSKFCYKAMSSHYERQRTELPGKEPSLAWAILKSFWREAVINGTFAAVNTVVSYVGPYLISYFVDYLSGKIAFPHEGYILASVFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDFAWYFHDIWMLPLQIILALAILYKNVGIATVSTLIATALSIAASVPVAKLQEHYQDKLMAAKDERMRKTAECLKNMRILKLQAWEDRYRLMLEDMRKVEYRWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGDELTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLSHFLQQEELPDDATINVPQGSTDKAVDIKGGSFSWNASCSTPTLSDIHLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPRLCGQVRVSGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIEACSLKKDLQLLQHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSDLFKEYIMSALATKTVIYVTHQVEFLPAADLILVLKDGRITQAGKYDDLLQAGTDFNALVSAHKEAIETMDFFEDSDGDISPSVPNRRLTHSVSNIDNLNNKVSEKEKSSTPRGIKETKKTEERKKKRTVQEEERERGRVSSKVYLSYMGEAYKGTMIPLIIVAQTLFQVLQIASNWWMAWANPQTEGDTPKTDSVVLLVVYMCLAFGSSLFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPAGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLFLIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLNDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLIIENSRPPSSWPENGNIELIDLKVRYKDDLPLVLHGVSCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTAGKIIIDDIDVSAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERPDQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKITEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI* >Brasy7G118700.1.p pacid=40044720 transcript=Brasy7G118700.1 locus=Brasy7G118700 ID=Brasy7G118700.1.v1.1 annot-version=v1.1 MSEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKAGNGQDTESPCKDTRTERVESYAAKTNSKKWFCCVTPSPTQS* >Brasy7G004800.1.p pacid=40044721 transcript=Brasy7G004800.1 locus=Brasy7G004800 ID=Brasy7G004800.1.v1.1 annot-version=v1.1 MAKSKQYMGELVLNFEVYRNDELTVEDVRRMNPRGILISPGPGEPQDSGISLQTVLELGPTILIFGVCMGLQCMGEAFGG* >Brasy7G130400.1.p pacid=40044722 transcript=Brasy7G130400.1 locus=Brasy7G130400 ID=Brasy7G130400.1.v1.1 annot-version=v1.1 MGVVSWVLLIIDGWIWAAGCCWSPAFLCSVRLLLLWSVFSYLGGLAIAVFLCFSWARLLFLGTRVRSPPDGCAPPIRPRRVRRATPRDQAAAALVAADADGGGFSRRPPCVGSIVVRSGWCSFPAAGFVAFPAAISSFLLRL* >Brasy7G043800.1.p pacid=40044723 transcript=Brasy7G043800.1 locus=Brasy7G043800 ID=Brasy7G043800.1.v1.1 annot-version=v1.1 MDCSPVASRPSTMERRWRAVSPPVVGHEDSGRSYCEVLRSGSPPVVAATLPVVAAGQEVETQVARLPPARRIASVVAQASEGPSGVDSEAVGGGWTVSGKKKRRRQFAPLPATVVRQELLPELAGLCFNCLRDDHVAAFCPNPTKCLRCKREGHVARLCPERRGSSGSPPATRSRGPPPPARAAARPSGRGVALQQVPAQATAPVAPTRAAPRPGVRAAPPRQGLPLAPAPVLEEPALIPGGRRPGPALLARLGPVAAAPTPARTVAAAQAPARAAAAPSVPAPATVAVVPVPAPAAAVEDLPPGAASLRPRVETVIIPWTDAMDDEEATLGRCLVVRVIGARPRVPPSSVNAAICSRFPALEGTFSIHHFWPDDFLVVFGSIAARDAVLAAGVVAGRGFSLSFAPWNRFRQAVGRSMLFRVHLELEGIPPHAWSVGTAVAVLGTACFVETLGSETVTGRHGLIARGKILQIVEPPAPVEEDDDLLVPPEQLTPQEINLLEYLVFIHLLDAEDVGTFMDRSSSDDGPSEDGDSGQGGDPRRHIGDGRNARGPKRVSFACSRGRVDEDNFGDVGRRGGSAFALKEATSGTHLIFCGVVRAHPTFVVCRPYRSFNAIDGGIAVAAWSKLSAAAPAFVPAHLAHLAPEADVLPPLLPREESGLAEFWDPMRLEASLSPPASVDCPGTSPATSPGLRSACGAVEEPVDLLTSDLAVGDDAMSSCDGPVCSEEQPALSTFEVSLSPVAQECPAHLVVDSWVEDVRAIESFRERVQRPSPQILPRPPSSRRARRLVVSPCSARRSQRLAGKGVASSVKRQQKVLMQRLGIAREGEQIGDEALQSYMQLFEKPLSSEHISAILALFGWECQPLPLEMDGVEVAVN* >Brasy7G051900.1.p pacid=40044724 transcript=Brasy7G051900.1 locus=Brasy7G051900 ID=Brasy7G051900.1.v1.1 annot-version=v1.1 MHFLPSSGAHALEPSDAAREKPTARSFSLPHCRFSDRTRRTVSERGTRWALLIFLFLFPTCFPLFIYLLRAGPSRWHFRWRFRSAASGAALRRTRSSTRGAGVAGGNPERWRGWRRLSPRRR* >Brasy7G104700.1.p pacid=40044725 transcript=Brasy7G104700.1 locus=Brasy7G104700 ID=Brasy7G104700.1.v1.1 annot-version=v1.1 MAVAESLAVESGAEYAQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIAANLVIYLTEKLHQGTVEASNNVTNWSGTVFLTPLLGAYVADAYLGRYWTFVVGSVIYFMVINSIYQYQPANYHARYRSSIVNLTLYFSKPTGTAESLRV* >Brasy7G137900.1.p pacid=40044726 transcript=Brasy7G137900.1 locus=Brasy7G137900 ID=Brasy7G137900.1.v1.1 annot-version=v1.1 MFPPKGPNRYGQQPPYGGQQSYGGQIPGSSGFGASSPASARARQGAAGQYGGPYASVYGTQQVGGLGGKGPESSSLPSLPTHPTSLSQSSKFSSGSAGSNLARPNDDYMAVRGYAQKLDQYGTDYTSERRMYGEHSANLGRRDGHTDLDRTYPDHIPAGHQIHDRVEQGSTMRHQPLLKAQLQPVSDMRQADYFAGRSAPSHQDSQEVATYGRAEADHRNLSIFGNVPYGGQQAVSLLGGAPRTNMDSLGYGQGSSSSGYGMGLPPGRDYALGKGLLHPSSDSDYRDSILPRARPGISMVDDRAVDRAGYRRELDLREEERRRDLLLEREKELEWERERELLDLRDRERERDRERERERDRERDRERLRERERERERERERERLRERREKERERNRKHVADSRRERSPPKTPGDRRRSSSVRSEKPLRRLSPRRDAAHRHRSPIKEIKREYICKVLPFRLVGDERDYLSLTKRYPRLSVVPEFSKIILNWAKESLNLSLHTPVSLEHGIYEDDKADENTLASSEKTSSIKIPGTVWNAKVLLMSGMSNGAFADITSMRSTEERVVHLNNILKFAVFRKDRSLLAIGGPWSAALDGGNPLVDCSCLIQTAIRYVKELVQVDLSNCTNWNRFLEIHYNRAGNDGLFSHKEITVLFVPNLSECLPSVDVWKNNWIAYRKAKTEREQLIMKKEKSPGDLKQQEQGKPSEAKSMNDDHLKEGDVSCSATRTEEVDTDMEQQGKDGEGNLARDDVENLDKVEEQVEKTAVVVEGNTSGGVSVDNVVEDKKPVKKKIIKKVVKVVRKKTTGEASAIKSPQLDKNAVTETVSKIVEEHIEQKSEDVGKEQERAGISLQPDAKKSGKKVIRRVVKRKVPESASEMTAVAVPSETCKQEVEVAPEKNNILTDAGTSQTKLEEGLKTPAEDTSNQKKEQELEMKVHILTDDQKSSVDKVNQLEVVEQKDVKIDEKKDKTKDDNEKKNKDQKTDPKKKSLTDTKEKKKSDEPPKHPGLILQCKNSKESKLRSTSLSLDGLLDYTTNDTEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRQYVKKRNQRKRQREEDLKKEDKKPSEKRPKTTDETLPGDIGNPGKNVEATKEGEEKMSTDQSAAAHEEPIKVGDQEMAIDSTEATHDQLKEGLEKIDEDQSADAREKFEDAPAEEKMEDGDSEHEEDPVEVEIYEGDEDMDDATAEELAESQNEENSTERKNKPEDITANDGGNETADNLKLENIANIHEKSASGDDKQSVAEKGDLIEIGEKAVGKEGKTSVSQKGDSAKHEVVDKDLLQAFRYFDQNRVGYIKVDDLRCILHNLGKVLSNRDVKDMVQIALAESNSARDSRIIYTKLVKKVGL* >Brasy7G181800.1.p pacid=40044727 transcript=Brasy7G181800.1 locus=Brasy7G181800 ID=Brasy7G181800.1.v1.1 annot-version=v1.1 MASGGDNREHAVPLLAHPSAEAEAYTTDGSLDFDGNRALKHRTGGWRACRSVLGTEFCYCLAFYGISYNLVTYLTGVLGQSNVDAARSVSTWQATCFLTPLAGAVVADSYWGRYRTMVVSCSVGVAGMLMTALSAYLPLLAQNGGAWFRGLIATPNMASAQGLVLFLGLYMIAFGLGGLRPCLMSFGADQFDDGDPAERATKGSYFNWYVFTMHCASALSSTVLVWVEDHYGWALGLTIPAAVLAIGLSCLVAASRNYRFQRTRGSPLTRVCQVVVAAVRKFDAEPPADSSLLHQLPEDDDSAIKGVQTQRIEHTSDLRFFDKAAIVAASDKEAAAVAPAPRSPWRLCAVTQVEELKILVRMLPLWATVVFFYAVSTQVTSTFVEQGMAMDAAVGSLRVPPASLSFFDILTVIILVPLYDRAFVPAAKRLTGRDKGVTELQRVGAGLAMPVLAMAAAALVETQRLRAARAPEPRTTKISVLWQAPQYALVGAGAVLTTIGQLDFFYGQAPPAMKTLCTALGFLAVAAGGYLSSLLLTVVQWATATGGRAGWIPDDLNEGHLDRFFWMMAGLGCLNLTAFVSCATRYKYRKA* >Brasy7G115400.1.p pacid=40044728 transcript=Brasy7G115400.1 locus=Brasy7G115400 ID=Brasy7G115400.1.v1.1 annot-version=v1.1 MCSVTQKEVLHARASARTHENDHKIILYVSVCCCGKQFCMEK* >Brasy7G100800.1.p pacid=40044729 transcript=Brasy7G100800.1 locus=Brasy7G100800 ID=Brasy7G100800.1.v1.1 annot-version=v1.1 MKHMKLGSKADVFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEENNDEVDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLSARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFHAWKDSIVVLQSTKSLQPWTENSKVINHCIDSIASKASIDPSEVEWSYTYNRKKLLSENGVGSHWNGVRMQQIVPKDWWVGDLCELEIDLYKRVILTIKAKGRTPAIVFGEALRAYAFRWLLGSLEDAVSNGIDCAKRRAALESIVFLLPTEKGSVSCGFLLKLLRAACLLGSGESYRDNLVKRIGMQLDGASVAELLMPATSGENTVYNVDLIMVIVEEFMSYHSENGKMTFQDDDEEIVEVKNFASVSSMSMLAVAKLIDEYLGEIAKDPSLPLSKFIALAEMVSASSRQMHDELYHAIDLYLKEHPSLSKSDKKRLCGLMDCKKLSQDACMHAVQNERLPLRVVVQVLFFEQVRASAASARGGSAAELSSAVQSLLPRENGNSYGSSRSAATTTTDEEGSGIPTSSDINSFRSMRLPNNCGGSDSSLSSDINKNGEDKSTAGKAKAMLMPKKILSKLWSGKTNVGENSSSDTSESPGSANPEEVKSTPSRNMRRSMP* >Brasy7G178700.1.p pacid=40044730 transcript=Brasy7G178700.1 locus=Brasy7G178700 ID=Brasy7G178700.1.v1.1 annot-version=v1.1 MLVYVREAEFTTLIDFCVGTDGRNSSSTLWKAFVQKAMLNKNELISVLIFK* >Brasy7G004900.1.p pacid=40044731 transcript=Brasy7G004900.1 locus=Brasy7G004900 ID=Brasy7G004900.1.v1.1 annot-version=v1.1 MDLWFSFRHRWPWLANCAAGSSSILGWWSKVMSGMQSDESELRCMAAYGAWHLWNERNRRIFQQKEINPSMLLQLIIDDYDLLKEVKEL* >Brasy7G022600.1.p pacid=40044732 transcript=Brasy7G022600.1 locus=Brasy7G022600 ID=Brasy7G022600.1.v1.1 annot-version=v1.1 MEVIRCLLLLAVLLLAGAHGGEAQPLVPGLFTFGDSTVDVGNNDYLHTLIKADFPPYGRDFKGRVATGRFCNGKLATDITADTLGFTSYPPAYLSPEASGQNLLIGANFASAGSGYYDHTALMYHAISFTQQLEYFKEYQSKLAAVAGSSQAKSIVTGSLYIISFGASDFVQNYYINPLLFKTQTVDQFSDRLVSIFRNSVTQLYGMGARRVAVTTLPPLGCLPAAITLFGHGSSGCVSKLNSDSQRFNSKMSAAVDSLSKQYHDLKIAVFDIYTPLYSLVTSPESQGFTEAKLGCCGTGKVEFTVFLCNPKSVGTCSNATTYVFWDAVHPSEAANQVIADSLLTEGINLVT* >Brasy7G222800.1.p pacid=40044733 transcript=Brasy7G222800.1 locus=Brasy7G222800 ID=Brasy7G222800.1.v1.1 annot-version=v1.1 MAVSEGGMGGGMPAAEDPRRGCGGVPAAEDPGRGRHGVPAVSRRSRGSRSIWPKGGGSRPIWPKEEWTEAAEDRESERVGQGEPSVEAGERGGGGEEEREVGVEGRDTRVEGDERCERGRGGGEAAGGEEVGVERQEEASGRRMRVGDDRPPSDPAGSGPRRRRGGGRRRWRRCRRQLAGWALERDGSGGRWGR* >Brasy7G092900.1.p pacid=40044734 transcript=Brasy7G092900.1 locus=Brasy7G092900 ID=Brasy7G092900.1.v1.1 annot-version=v1.1 MMNPIHSWVLPLASPARHLSPTAAQSHRPHHPSRRFPPPHPTKTTMPVANLLLHLLVCRHRPPTAAGLPPPREPLGGAGVKLRATQRMRCSSTGTLCGSPRGSRCSRPTRSPAGAPFLYHFP* >Brasy7G170500.1.p pacid=40044735 transcript=Brasy7G170500.1 locus=Brasy7G170500 ID=Brasy7G170500.1.v1.1 annot-version=v1.1 MGAVASTVAARFAFFPPSPPSYGVEPPPSPAAAAADGAVVELCGVPRRNGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLIETYGISEENIILYGQSVGSGPTLDLASRSPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVTAIEKSPPLKDGSPESSGPSDLETGSESMESSRRSTDVRDKSRSSIDHRRSTDQREKPRGSVDRRDKGRRSVDHADKPRPSVDQPDKPRKSIDRFGGMMRSVKLCNIDCFKVTSTSGS* >Brasy7G141500.1.p pacid=40044736 transcript=Brasy7G141500.1 locus=Brasy7G141500 ID=Brasy7G141500.1.v1.1 annot-version=v1.1 MEALLAAVVVGVGMGQKHLTNAPPVVPGLPLIGNLHQLKEKKPHKTFAEWSDIYGPIYTINIGASSVAVLNSTEVAKEAMVAKYSSISTRKLPKALSVLTRDKTMVATSDYGDFHKKTKRYVMMGVLGYCAQRKFRNTRGMMIDNMLRTYSTLVTDDPDSPLNFRKVFRDELFRLSLIQSLGEDVGSVYVKEFGREISKEEIYQITVVDMMMCAIEVDWRDFFPYLHWAPNLRFETRVHTAESRRTAVMRALIHQQKKRIACGEARVSYLDFLLAENTLTDEQLTMLVWEEVIEAADTTLVTTEWAMYELAKNPEIQDRLYREIQDVCEDEKVTEDHLPRLPYLNAVFHKMLWHHSPVPLVPPRLVHEPTRLAGYDIPTGTEEWKPERFLDGSFNAADMYKTMSFGAGRRVCAGSLQATNISCTAIARFVQEFAWRLKEGDEDKVDTVQLTSYKLHPLFVHLSPRGGK* >Brasy7G040200.1.p pacid=40044737 transcript=Brasy7G040200.1 locus=Brasy7G040200 ID=Brasy7G040200.1.v1.1 annot-version=v1.1 MGRAKSKGPKFAAVKKMISKKTIQKYKDEVLDPRKKDTEKEKLGRNVPQVSSALFFSYNMALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERITQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHRYSIERLPEATIGGAPRI* >Brasy7G193000.1.p pacid=40044738 transcript=Brasy7G193000.1 locus=Brasy7G193000 ID=Brasy7G193000.1.v1.1 annot-version=v1.1 MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFAHNARLLGAHAAGAASSHAPFSSPKRLLLLAARPALVPRDLPRLPFPVHVPGDGDALVHVDHIGRRIALSPTHLLAMLLAYLKQLAEAGLEAPVADCVISVPCYFTQAHRRAYLDAAAVAGLTPLRLMHDLAATALGYGLYRSDLGVAGGPTFVAFVDVGHSDTQAAVVAFDPSGMKVLAHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCSQLLERVVEPCKRAMADSGVGLEKLQSVELVGSGSRVPAIARVLAEFFRREPSRTINVSECVTRGCALQCAMLSPTLRVREYEVQDAIPASIGFCTNEGPISTLSSNALFRRGQPLPSVKIITLHRNSGFNLDVFYMDENELPPGTSTKIGSFQIGPFHAHTEKSKVKVKIRLNLHGLISVESAVLIEDDQRDANSSDSMEVDHNNDVGDKSRNERPIQRQDLPITESIYGAMSKQEFLEAQEQEYQLAYQDKLMERTKDRKNALESYVYDIRNKLSERYRSFATDSEREQISVNLQQTEEWLYEEGDDETEAVYSSKLEELKKLVDPIENRCKDDEVRTQTTRELLKRIVDHRMAAKSLSAPEREAVDNECAKVEQWLREGLQLQESLPKDVDPVLWSSEIKRKEEELDMLYRNIVTSKARGHSSEDGC* >Brasy7G117800.1.p pacid=40044739 transcript=Brasy7G117800.1 locus=Brasy7G117800 ID=Brasy7G117800.1.v1.1 annot-version=v1.1 MAAEAVREDQQLGAVNRHAADEDATGNKNKDYSTWEIEEVEPDQRAGPPPPNPQGAPAAAEDVYVAVGKGGSSMAALSWALRHLARPRSFVYLVHVFPVVASIPTPLGMMPKRQATPEQVETYMNQERSKRREMLQKFLDQCRNFQVNVDVYLIESDQVADAIVELIPVMAVKQLVLGVSKSNLRKLKKGNTIAGQVQKNTPLYCEVRIVCDGKEVTAVTTADPTPPFSPSPVNKSSRSRTPTPPSSTPNHDNIAAVDEKNDNKAKERKKIPKFLRCLSS* >Brasy7G203000.1.p pacid=40044740 transcript=Brasy7G203000.1 locus=Brasy7G203000 ID=Brasy7G203000.1.v1.1 annot-version=v1.1 MEVSHMSNCTGSVSATPSPEPTPSPSSASSALDYGVAVILAAMLCTLVCALGLNSVFHHCVARCCSRRLGLEARRRGAIANNANNAGLRRDALLALPVSTYYTAHKQHQQQQVSAGAGCAICLSDFADGETVRVLPVCGHRFHVACVDRWLVSRCSCPTCRRRLLSDNVSAGAGDGEEGEGRRARAGRDNQLQVLAVV* >Brasy7G169400.1.p pacid=40044741 transcript=Brasy7G169400.1 locus=Brasy7G169400 ID=Brasy7G169400.1.v1.1 annot-version=v1.1 MKRTAPWEPLEVSSDDSLSSDSDDEAGQGKGEGSFQLPNSSKPAASAEAAMTKKKKPGGVDFSALSRHGYRGGPSVLTVAPPKVEPNWTWSTGKDRNDKEVLTESYEERERTRAAVTEGEKLIGVRNPQPRQTEKEKEASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT* >Brasy7G169400.4.p pacid=40044742 transcript=Brasy7G169400.4 locus=Brasy7G169400 ID=Brasy7G169400.4.v1.1 annot-version=v1.1 MKRTAPWEPLEVSSDDSLSSDSDDEAGQGKGEGSFQLPNSSKPAASAEAAMTKKKKPGGVDFSALSRHGYRGGPSVLTVAPPKVEPNWTWSTGKDRNDKEVLTESYEERERTRAAVTEGEKLIGVRNPQPRQTEKEKEASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT* >Brasy7G169400.2.p pacid=40044743 transcript=Brasy7G169400.2 locus=Brasy7G169400 ID=Brasy7G169400.2.v1.1 annot-version=v1.1 MKRTAPWEPLEVSSDDSLSSDSDDEAGQGKGEGSFQLPNSSKPAASAEAMTKKKKPGGVDFSALSRHGYRGGPSVLTVAPPKVEPNWTWSTGKDRNDKEVLTESYEERERTRAAVTEGEKLIGVRNPQPRQTEKEKEASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT* >Brasy7G169400.3.p pacid=40044744 transcript=Brasy7G169400.3 locus=Brasy7G169400 ID=Brasy7G169400.3.v1.1 annot-version=v1.1 MKRTAPWEPLEVSSDDSLSSDSDDEAGQGKGEGSFQLPNSSKPAASAEAMTKKKKPGGVDFSALSRHGYRGGPSVLTVAPPKVEPNWTWSTGKDRNDKEVLTESYEERERTRAAVTEGEKLIGVRNPQPRQTEKEKEASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT* >Brasy7G172800.1.p pacid=40044745 transcript=Brasy7G172800.1 locus=Brasy7G172800 ID=Brasy7G172800.1.v1.1 annot-version=v1.1 MRPFHGILLALALAVISSDVVAREVATANGGGGAESSVGCMALLASPDKCEPKQCSLVCRERIAPPFIVEGHCVPDGCMCKYCVPPGQPSHLRS* >Brasy7G014700.1.p pacid=40044746 transcript=Brasy7G014700.1 locus=Brasy7G014700 ID=Brasy7G014700.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G181400.1.p pacid=40044747 transcript=Brasy7G181400.1 locus=Brasy7G181400 ID=Brasy7G181400.1.v1.1 annot-version=v1.1 MSHLRRWPSQGRRQGLPRLELAWISAGGLEQSEGRGSSLAWSRGKAARAPWPGAEAGGLELLGAVRWWGGDLLAGAGGSAASCGGGRPPSISCAPRDWIAGFGGRPAGAPLVSGDAQREAGRIQFGFQFEAQISHEFQFEGRFGKNSIALERWKDATSFSGQRK* >Brasy7G043600.1.p pacid=40044748 transcript=Brasy7G043600.1 locus=Brasy7G043600 ID=Brasy7G043600.1.v1.1 annot-version=v1.1 MLRRAMTLALAFAAAAMWAPPHLSCAAVTARGAHVTGQVGRERDRLKKPPARIGTGLGFHSSRSTPSSSSFRTDATGARMAAAAAGDLRSEYLQVLLSRRRDLQVPLSVERGAPVKEPMYQGNGPLGSREVMESCPRKEVENFKEKLVEENLYLITESGEQGRVPVLLLSLNDTAPKRKPVIVLLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERASHKTTYIDALKSSWKNGDTMPFIFDTVWDLIKLGDYLAAREDVDPSRIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQGFRWAIDNNMWQARANSIKPLFEEARIDLGKSEIDAEVVEKVWEKIAPGMASQFDALYSVPLIAPRPLLLLNGAEDPRCPILGLQEPASRTSKAYGEAGSSEKFMFIAEAGVGHMMTETMVKEASDWFDRFL* >Brasy7G061800.1.p pacid=40044749 transcript=Brasy7G061800.1 locus=Brasy7G061800 ID=Brasy7G061800.1.v1.1 annot-version=v1.1 MEQDTEDLARLLPSDVLAEILRRLAPRWLAASRCVCKQWRATVDARRLLRADLLPLSLSSIIISFHVHDVSEFFDRPSTGRPAISGKIHDYLPPAAAKTPVRDHCNGLVLLHNDYVVNPATRWAVLLPPCPARGTTTEADFYSRYLVYDPTVSTHFEVFRVPSGFHPKKEPGDVYYDSSIDKLDHAFEESEWPPSLYTPCTSTHQVQVAGRSALLSEKETLQAPSLTRGSVGGNATLYAGKEHFTWIAKVIFLGKSEKGVYYASVDDSLLRVWILTESSGQMEWVLKLNDLDIRSLYYDSQAHGPWVLQDVNYEYYFPDDEKKERVEENFEWSSDNDDALNSANREEEYGQGEIEILGFHPHKEIIFLSDSVKTGYAYHLKSSKIQALGNLYPTRYEDIAFPIEHDIRGSFPYTPCWLRGFPERI* >Brasy7G090700.1.p pacid=40044750 transcript=Brasy7G090700.1 locus=Brasy7G090700 ID=Brasy7G090700.1.v1.1 annot-version=v1.1 MPSQDQVPERLNPHPFQAGGVEQLSSRLHLDESFAHSQQVLALKITSHLDGRKRSSNKPVAECFGASGGGGGSARRAVQARRWRRRSLALTAAAEDIGTLVPARALGRNPSSASALPVAVHGPARRRRCSAAAEAHGSARGLACIRCRPRAGASSPARRLPCSAAARGRARASAAAPAPAPPAPCATSPAPRPRACLRCRPRAGASSPARRLPCSAAARGRARASAAAPAPAPPAPCAASPAPPPCACLRCRPRAGASSPVRRLRSTPPPAYLLMKW* >Brasy7G039800.1.p pacid=40044751 transcript=Brasy7G039800.1 locus=Brasy7G039800 ID=Brasy7G039800.1.v1.1 annot-version=v1.1 MKFLVESEAATANAGPAYRNVLAKDAGLLQAPPGIHCCWDLFRASVDKYPDNPMLGRREVVDGKAGDYAWMTYKEVYELVMKLAASMSKSGVKQGERGGIYGANCPEWIISMEACNALGVCCVPLYDSLGANAVEFITCHAEVQIAFVEERKIGELLKTCHATSKYLKTMVSFGGVTNDQKEEAKNHGLSIFSWEEFLILGGDHHVDLPEKTKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVIQSIGESFDQDDVYMSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTAKISAGGFLKKTLFNLAYKLKLDSMRKGFKHEKASPFFDKLVFSKVKERLGGRLRVIMSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFVAIPNDLSMLGTVGPPVPHVDIRLESVSEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQSNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLQEIDSIWVYGNSFESYLVAVINPNQQALEQWAEHNGIAGSLAELCENSRIKEHFLAELAKIAKEKKLKGFEFIRAIHLDPLPFDMERNLITPTYKKKRPQMLKHYQGAIDALYKSTK* >Brasy7G039800.3.p pacid=40044752 transcript=Brasy7G039800.3 locus=Brasy7G039800 ID=Brasy7G039800.3.v1.1 annot-version=v1.1 MISGGNLLRGRDLLKTCHATSKYLKTMVSFGGVTNDQKEEAKNHGLSIFSWEEFLILGGDHHVDLPEKTKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVIQSIGESFDQDDVYMSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTAKISAGGFLKKTLFNLAYKLKLDSMRKGFKHEKASPFFDKLVFSKVKERLGGRLRVIMSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFVAIPNDLSMLGTVGPPVPHVDIRLESVSEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQSNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLQEIDSIWVYGNSFESYLVAVINPNQQALEQWAEHNGIAGSLAELCENSRIKEHFLAELAKIAKEKKLKGFEFIRAIHLDPLPFDMERNLITPTYKKKRPQMLKHYQGAIDALYKSTK* >Brasy7G039800.5.p pacid=40044753 transcript=Brasy7G039800.5 locus=Brasy7G039800 ID=Brasy7G039800.5.v1.1 annot-version=v1.1 MVSFGGVTNDQKEEAKNHGLSIFSWEEFLILGGDHHVDLPEKTKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVIQSIGESFDQDDVYMSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTAKISAGGFLKKTLFNLAYKLKLDSMRKGFKHEKASPFFDKLVFSKVKERLGGRLRVIMSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFVAIPNDLSMLGTVGPPVPHVDIRLESVSEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQSNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLQEIDSIWVYGNSFESYLVAVINPNQQALEQWAEHNGIAGSLAELCENSRIKEHFLAELAKIAKEKKLKGFEFIRAIHLDPLPFDMERNLITPTYKKKRPQMLKHYQGAIDALYKSTK* >Brasy7G039800.4.p pacid=40044754 transcript=Brasy7G039800.4 locus=Brasy7G039800 ID=Brasy7G039800.4.v1.1 annot-version=v1.1 MVSFGGVTNDQKEEAKNHGLSIFSWEEFLILGGDHHVDLPEKTKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVIQSIGESFDQDDVYMSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTAKISAGGFLKKTLFNLAYKLKLDSMRKGFKHEKASPFFDKLVFSKVKERLGGRLRVIMSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFVAIPNDLSMLGTVGPPVPHVDIRLESVSEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQSNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLQEIDSIWVYGNSFESYLVAVINPNQQALEQWAEHNGIAGSLAELCENSRIKEHFLAELAKIAKEKKLKGFEFIRAIHLDPLPFDMERNLITPTYKKKRPQMLKHYQGAIDALYKSTK* >Brasy7G039800.2.p pacid=40044755 transcript=Brasy7G039800.2 locus=Brasy7G039800 ID=Brasy7G039800.2.v1.1 annot-version=v1.1 MVSFGGVTNDQKEEAKNHGLSIFSWEEFLILGGDHHVDLPEKTKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVIQSIGESFDQDDVYMSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTAKISAGGFLKKTLFNLAYKLKLDSMRKGFKHEKASPFFDKLVFSKVKERLGGRLRVIMSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFVAIPNDLSMLGTVGPPVPHVDIRLESVSEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQSNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLQEIDSIWVYGNSFESYLVAVINPNQQALEQWAEHNGIAGSLAELCENSRIKEHFLAELAKIAKEKKLKGFEFIRAIHLDPLPFDMERNLITPTYKKKRPQMLKHYQGAIDALYKSTK* >Brasy7G040900.1.p pacid=40044756 transcript=Brasy7G040900.1 locus=Brasy7G040900 ID=Brasy7G040900.1.v1.1 annot-version=v1.1 MKIVSWNCRSLCSAAAIRALLDVQRQLRPDILFLSETHLNQVKSENLRRTLGFQSVLAVESDGRSGGLHIRNLHALLDMPWVILGDFNEILYNDEKEGGNPRPLRMMQEFRDCLSDCGLEDIGFTGDKFTWFRGVIKERLDRAICNERWGQFFPSAGVLNAEHTRSDHHPILLYTELHEVVQRRPPNDKRMFEARWLQEDTVEDIVQTAWQRAKLMGIGPSLSDRTKAVHVDMQRWDRNILKSPAKRMKKLKSELEALRCSTPSPDVLARQKEIKISIENLMEQDEIYWSQRGRTNWLMHGDRNSSFFHNSATARKKRNSIKRLLDDTGVWKEGTDQLKELISNYFKLLFTSEAIFPRVGIRQRL* >Brasy7G024700.1.p pacid=40044757 transcript=Brasy7G024700.1 locus=Brasy7G024700 ID=Brasy7G024700.1.v1.1 annot-version=v1.1 MDHAPRHGGSPSSASAAAAGPPSPRYSLPPIRLPLEDILFCVDVDAEALSEMKAAPAPVPAPAASPGSTGAPGAAAAAATMGPRPAVKRMDAVKQALMLFVHSKLTMCPDHRFAFATLRDTVSLVKKEFSSDSAPAIEIIQSLAATETRYAMADLTQLFKIAYEEGKRAELQGRLLRVVLIYCRSSTKPHHQWPIKQKNFTMDIVYLHDKPTADNCPQRVYDVLVDALEHVSQYEGYILETGQGLARVLFRQMCILLSHPSQRCMQDDLDIPKQVVKKTLAAEAVQNEDSVAVSSQ* >Brasy7G013400.1.p pacid=40044758 transcript=Brasy7G013400.1 locus=Brasy7G013400 ID=Brasy7G013400.1.v1.1 annot-version=v1.1 MASTSSSSTPPSLPPCLVFTNNGGDEPATATLYAVSDGAHRPCEETMAENLGGGGNNKRRRCWVTSHGWVLVRDSTTLATFLWNPHDDDKITLPSLAQSPAHAKCVLSAEPTQPSGRTTIILADAVDPVLWYCHVGGPHASEWVRHEYDIGRLPPLGGYTGPLKKIMNRPTSCGGKFYYHRSRHEIGVLEFAPAGPAFRDVKMKGVQLVPVGDFMAAASPYFVDIQGELHVAYIFYHNTDYHTVDDVGVYRVDFKKKKTIRVDSIGDRAIIVGSGRSFGETSHAPTAFFHVSVVPEFPTTNPQELKRRPGPRAEGILSGETPPSRCLTPVRSRRPSFA* >Brasy7G223900.1.p pacid=40044759 transcript=Brasy7G223900.1 locus=Brasy7G223900 ID=Brasy7G223900.1.v1.1 annot-version=v1.1 MAASRFFFAGRLLLSSSSVSGAGGGGGDEPPRYNPWRLKSAHELDYGGPLARPSCFECGICGRALRTANGLHAHVLRCHADVDPAAPPPVRRYVCGRCGRRFLTWWALGGHRSSHNGRKGCSRLSKQQQAMAARAPEPGVVRDFDLNEPPAPEEEEGNEDPAAPAAN* >Brasy7G011500.1.p pacid=40044760 transcript=Brasy7G011500.1 locus=Brasy7G011500 ID=Brasy7G011500.1.v1.1 annot-version=v1.1 MMFAFCTQICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVNAFHNIKIILTYLLMYIFQCLVVLIPLFRKR* >Brasy7G232500.1.p pacid=40044761 transcript=Brasy7G232500.1 locus=Brasy7G232500 ID=Brasy7G232500.1.v1.1 annot-version=v1.1 MGNLAVEETKFLCGLTLEVSFLKDKLDLLQAYLKDADTKWRSGNARVAVVVSQIRDAAYEAQNVIEAADYIEKRNRIKKGFMGAISRYARFPSDLVALHNAGAEIQLVKEKLDRIFASAENFKIDLDNNGVVEDEFPQDLGVMHQNSQDDVVMVGFEDEHKELVDKLIDNNENMLSAVSIVAMGGAGKTTLARKIYTSSRVKDHFDTIAWVTVSQTFKGIELLKDIMKQITGREYQSIVLDDVWETDTWEQLNRTVKAFPDSTNGSRVLLTARKEDVANHVEMPTYVHSLKKLDEEKSWQLFSSKALPPYRRSGIHDVDEFEQLGRILAKKCDGLPLALGVLGGYLSKNLNRQAWSSILLDWPSTKDGHMMFCFIYLASFPEDYEIRVFQLINIWIAESFIPYTPNHKLEETAHRYVTELVQRSLVQIVDETRGLGRIDSIRIHDILRDWCIEEARKDGQAGASSLDKLISYRSCFQTLSDEISPGTPNVRTLLCFELSSVSLPKLTFLRTFHLYRTPVGTNWYHDMVVFLGQMKQLTRLSMYFRPMHGEMVNIFASMPHLVDISVSQFDVLDKLPDKFPESLQSLSLYANVIEQDPMPILEKLPCLVVLDLEGYQGQTMTCSAKGFPRLQTLRLDQFSTEEWRMEDGTMPKLSGLKLWTFPKMIKLPQGLLHLPSLNNLELRVMPQISDDDSTLKELQRKGCEVQRF* >Brasy7G224900.1.p pacid=40044762 transcript=Brasy7G224900.1 locus=Brasy7G224900 ID=Brasy7G224900.1.v1.1 annot-version=v1.1 MDKSGPRWKKGKDGKSFAALAAANPMSSIVAELQSSLKASNSVATLSARGGDAILGVKPEQGALLNRAAFGRAVENAGAERQWFQLGPEEVFYLCHALKCIAVQSEDRKQMSGGELWDHLCSASESFPEMYKAYSHLRSKNWVVRSGLQYGSDFVAYRHHPALVHSEFAVVVVPEGAEFEARCGRLKVWSDLLCALRASGSVAKALLVLTVSSNVCELSSPDCLQQLVVHERKITRWIAQQCREQRCEPCREEAKKEEQDIGDSYGLAFFCTFARTAGRETSVSE* >Brasy7G007700.1.p pacid=40044763 transcript=Brasy7G007700.1 locus=Brasy7G007700 ID=Brasy7G007700.1.v1.1 annot-version=v1.1 MGADEQPSPTRKREREEEEGDPADGDGAAAEKRPRAEESLLGLASYADEEEEEGGHANGRPREKEAEDEDEEEDEEEDERRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTERVYCLPDGYEINDPSLEDIRHVLNPRFAREQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASEKKFQIGVQSDPVEFMSWLLNTLHAKLRSSKRKNRSIIYDCFQGELEVVKEIHRKHIVGDEQNGESSSQVETTSDGMVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGEAVTEVVRPSIARMRYRVIRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKEKEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE* >Brasy7G109000.1.p pacid=40044764 transcript=Brasy7G109000.1 locus=Brasy7G109000 ID=Brasy7G109000.1.v1.1 annot-version=v1.1 MGNCRSFSLANWRFPIDEDGSGTHEGIKTIRIQKTCEFTISSVLCVCIITWNMNGKLSLEDVRKLVSSNRKFDLLVVGLQEVPKCGVTQALQEAMADTHILLCQTAMQSLQMFLFGAKSSESYIREMKVDKHAVGGCGGVIGRKKGAVAMHINFSGSSGIRMVFVSCHLAAHEHKVEKRNSECQHITHSLFSKNDIHYAKSADITVWLGDLNYRLQGISSIPARMMIEGEPSK* >Brasy7G025700.1.p pacid=40044765 transcript=Brasy7G025700.1 locus=Brasy7G025700 ID=Brasy7G025700.1.v1.1 annot-version=v1.1 MHMHPFMNNIDEYIDNMTRTYTDTGNEASMVAASVIMFVLAGLFFNLNLFSRFSDVSAILDPKVRLFLTSGLSLFLPVMSYLFSEAKNAGAMAALPGQLKPDLSLHAGMILVWMLLVELLRKKVDEVRMRGYSGTIQRAGRVVWLGSLVFFNIRNVGRKSVFGILWVLCATKVVQRIAFTEVGKRSYACGKNSRLVSSYMSQILLQSPRRQDDQQQAPSTSIVDVEQEAGNVDAGDALLKRSKFLVMGEEDLVIEPTADGYKLKEITHDDTVATVGKIWSHGATGVEQANRLKRLCLSFALFKLLRRRFEHLPPVTREETNECRDLIFKGVYNDKEDNAEAVFQMMNDEVNFLCEYYHSVIPVVLASPFFCLANYILLPLVVLGLCIMTVVLCGQGDARYAFQSIKKDNYAMSSGIVNTTLCLLVKAIHRPQAFFTAIDFFITMLLFVILFYEEIWEFLVFLLSNWFMVSLLCNYVAKPRWQSSPTFKGSIHRILWVRGKMSQPALTFKQFSILGLRWPLVLGIPPIFSLLLQTVHVPNKAKHSIIKSLMAHNSQNGDNPVNNGKSVLARIGRDDLLPACRSESIAEVILTWHIATTLMEAKCPPSNGKHIKGFHTVATRLSRYCAYLVAFHPELLPDNQEKTERVFEAAKAELKGGLGCGNYFLSCWRMRVDSVAAATVAGAEWKDGEVVHNGAKLGNMLRGEATRDGGGELEMMWKLLADVWTELVVYLAPSSDEERVMGHESVLVQGGEFITVLWALTTHTGISRP* >Brasy7G025700.2.p pacid=40044766 transcript=Brasy7G025700.2 locus=Brasy7G025700 ID=Brasy7G025700.2.v1.1 annot-version=v1.1 MVAASVIMFVLAGLFFNLNLFSRFSDVSAILDPKVRLFLTSGLSLFLPVMSYLFSEAKNAGAMAALPGQLKPDLSLHAGMILVWMLLVELLRKKVDEVRMRGYSGTIQRAGRVVWLGSLVFFNIRNVGRKSVFGILWVLCATKVVQRIAFTEVGKRSYACGKNSRLVSSYMSQILLQSPRRQDDQQQAPSTSIVDVEQEAGNVDAGDALLKRSKFLVMGEEDLVIEPTADGYKLKEITHDDTVATVGKIWSHGATGVEQANRLKRLCLSFALFKLLRRRFEHLPPVTREETNECRDLIFKGVYNDKEDNAEAVFQMMNDEVNFLCEYYHSVIPVVLASPFFCLANYILLPLVVLGLCIMTVVLCGQGDARYAFQSIKKDNYAMSSGIVNTTLCLLVKAIHRPQAFFTAIDFFITMLLFVILFYEEIWEFLVFLLSNWFMVSLLCNYVAKPRWQSSPTFKGSIHRILWVRGKMSQPALTFKQFSILGLRWPLVLGIPPIFSLLLQTVHVPNKAKHSIIKSLMAHNSQNGDNPVNNGKSVLARIGRDDLLPACRSESIAEVILTWHIATTLMEAKCPPSNGKHIKGFHTVATRLSRYCAYLVAFHPELLPDNQEKTERVFEAAKAELKGGLGCGNYFLSCWRMRVDSVAAATVAGAEWKDGEVVHNGAKLGNMLRGEATRDGGGELEMMWKLLADVWTELVVYLAPSSDEERVMGHESVLVQGGEFITVLWALTTHTGISRP* >Brasy7G183200.1.p pacid=40044767 transcript=Brasy7G183200.1 locus=Brasy7G183200 ID=Brasy7G183200.1.v1.1 annot-version=v1.1 MPTCWCCAMPTRTITNGDTRSPSRSRHASSSSRSAILAVAPPPSSSAAAATEEPSGDMEDLLKLGKEIALAMTPDQLGLEIERQFREGQRQVQEQRRRQPATRRRRSRWWGDRTGSDAEVKKRLD* >Brasy7G190300.1.p pacid=40044768 transcript=Brasy7G190300.1 locus=Brasy7G190300 ID=Brasy7G190300.1.v1.1 annot-version=v1.1 MRRGKVNKDQPRHRLQKELAAATERPGSSVERSNLRHATGAHSNTHENRCHRILTKAHLATTSETLPRPASGRQPTPASHRTPDQPHGANFASHRIKHTSDTPANTCISPATKTTSTAKASVFRADDRKDPTQAHGSGPSTLDSSTSRAPPHHQEAGFGTAQACRQQQAVARCHQGRRQPANRGPPSPHPRHHQLAANIRTAPRSRVEGQRAPAAPKSWARRAGDLRWKVGEEEEVARRPAASHCQEAPPLSNAAADRKGRGRGPPPSPAGRRPPVTAARRARREATPRAWEVGVAP* >Brasy7G234100.1.p pacid=40044769 transcript=Brasy7G234100.1 locus=Brasy7G234100 ID=Brasy7G234100.1.v1.1 annot-version=v1.1 NGKHATTEEQVDQPALPDPVDAPEDASKENVSPTKESDDLEDNGEIPLKFRNYLPHDVRLRGGKVAPLSLPKFEDPIAADAAEPKQLENPFGNIAPKNPNWDLKRDVQKRVDKLEKRMQKALAEIALEQQKEKEAREEGSDAA* >Brasy7G105800.1.p pacid=40044770 transcript=Brasy7G105800.1 locus=Brasy7G105800 ID=Brasy7G105800.1.v1.1 annot-version=v1.1 MVQDEVSIVAEVVVIPETSVDCNNDLGTVEGSKLENFMAMIFQPVTMPILATPTISCSGGVATTIDTPRRSNRIEQQKQKKKKDMTANGSENSAQELLARVCGLLDENAKLDEITRAAYGDMFKSPLSPRSITAIESLVKNVEMVKKKKPKKSCAKEKATTIV* >Brasy7G179700.1.p pacid=40044771 transcript=Brasy7G179700.1 locus=Brasy7G179700 ID=Brasy7G179700.1.v1.1 annot-version=v1.1 MECRFLQQKIEEGKHQKLLDVCDEEQRLRIIAVLTEDPAKLLRISLNTHGTRAVQKLIETVQIRKQIVLIISEIQPGFMHLVNDLNGNHVIQ* >Brasy7G073700.1.p pacid=40044772 transcript=Brasy7G073700.1 locus=Brasy7G073700 ID=Brasy7G073700.1.v1.1 annot-version=v1.1 MPPPNSTRSSSLPLAAAPMSNPSPSPPPNPLAAASSFLQHHLSNLASRFAAPRPALAVAHPPGPQGSSLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPVLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTGFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELKKASKGSKGSALLKQIMVGSLEDVLKKMEINDRNSGWDDLIFIPPGKSLNQHINEVSA* >Brasy7G104900.1.p pacid=40044773 transcript=Brasy7G104900.1 locus=Brasy7G104900 ID=Brasy7G104900.1.v1.1 annot-version=v1.1 MAVSIGLLLNQAARLPLAPVHSHATFSAAAAAAAAVSSSDVPLSARQLFGCSLGSFSEDRNELQPGLCKFA* >Brasy7G083200.1.p pacid=40044774 transcript=Brasy7G083200.1 locus=Brasy7G083200 ID=Brasy7G083200.1.v1.1 annot-version=v1.1 MIHHLRTRVLSPLLGSQSHLPASHLVPLHCLLSTTVASIPPRPFSVEDYLVANGLTRAQALKASRLLSNIKSPSKPEATLSFLSGLGVPNSDIAAAMAADPRLLFASVRRVLAPRFAELGELGLSPSQIVHILSIRRTGSLRGNLQFWLQIFGSYDNLLPLAKTNSDLLSVSLEKVVKPNLTILKECGISACDIADVTLYSSRLITANPKFLLGAVARVEELGVDRGSKIFRRALATLAFMSKENVTMKIRLLHKLGFSRDDVLMIAKKAPQALALSDGRIQRSMEFLMRDVCLEVPYIAQRPALIMYSLEKRLMPRHCLLKVLRQKGLLNVELDFYATASMAEKKFVQKFVDPYKETIPGLADDYASACLGKPPNGDA* >Brasy7G083200.2.p pacid=40044775 transcript=Brasy7G083200.2 locus=Brasy7G083200 ID=Brasy7G083200.2.v1.1 annot-version=v1.1 MIHHLRTRVLSPLLGSQSHLPASHLVPLHCLLSTTVASIPPRPFSVEDYLVANGLTRAQALKASRLLSNIKSPSKPEATLSFLSGLGVPNSDIAAAMAADPRLLFASVRRVLAPRFAELGELGLSPSQIVHILSIRRTGSLRGNLQFWLQIFGSYDNLLPLAKTNSDLLSVSLEKVVKPNLTILKECGISACDIADVTLYSSRLITANPKFLLGAVARVEELGVDRGSKIFRRALATLAFMSKENVTMKIRLLHKLGFSRDDVLMIAKKAPQALALSDGRIQRSMEFLMRDVCLEVPYIAQRPALIMYSLEKRLMPRHCLLKVLRQKGLLNVELDFYATASMAEKKFVQKFVDPYKETIPGLADDYASACLGKPPNGDA* >Brasy7G039200.1.p pacid=40044776 transcript=Brasy7G039200.1 locus=Brasy7G039200 ID=Brasy7G039200.1.v1.1 annot-version=v1.1 MRSLVNHTTGLLNLSSLETRYGCRSPDIDQFVSNLTATYTDTSNEASMVSASVIMFVLAGLFFNLNLFSRFSDVSAILDPKVRFFLTSGLSLFLPVMSYLFSEAKNSAAVTAGSAATAVAKPDLSLRAGVILVWMLLVELIRKKVDEVRMRGYSGTVQRAGRVVWLGSLVFFNIHGVGRKAVFGILWVLCATKVLQRVAFTEAGKRSFAYGKNARLVSSYMSQMLLPGRVSDAGGRVRQQEVLHDDDDGDIEQVVVIERPSPAAGGDALLTRSKVLVMGEEDLVLEPTADGYKLKDIADGDSVVTVGKVWGHVTARFGEYQRLRRLCLSFALFKLLRRRFEHLPPVTKEETRECRGLIFNGVYDDKEENAEVVFEMMKNEVNFLCEYYHSVIPVVLASPFFFLANYFLLPVVVLALCAMTIVLCGDGDAIYAFRSIGEENFAISQGIARTTACLIIRAIHRSPPAFFTAVDFFITFLLLVILCYEEVWEFIVFLFSNWFMVSLMCTYVAKPELQASPTFTAVVRRILSVRGKMSHPMLCFKQFSLLGLRWPVSIGMPTGVFSLMLPTVPVPNKGKHFIIESLMAHSGHGHGGVVVVPLSKGESKLVGRSWRESLLPACKSESVAEVILTWHVATTIMEEKCPPPGDSKKIKGFHTVATRLSRYCAYLVAFHPELLPDNQEKTEQVFDAAKGELQGALGFCGYYLSCWRTRVNSVVAAAVSGAEWEDGKVVHNGAKLGNMLRVEATRSSRKLGNMLGAEAMRKDDDDGQLETTWKLLADVWTELLVYVAPSSDEERVMGHESVLVQGGEFITVLWALTTHVGIARPK* >Brasy7G135300.1.p pacid=40044777 transcript=Brasy7G135300.1 locus=Brasy7G135300 ID=Brasy7G135300.1.v1.1 annot-version=v1.1 MKLLMCLCVLIVLAVGVASSPVPLSGDRPLTLGRRGLQDVVVIGGSPPAPASTAGTWPRDTPDISYDRSKRLSPGGSNPQHH* >Brasy7G231300.1.p pacid=40044778 transcript=Brasy7G231300.1 locus=Brasy7G231300 ID=Brasy7G231300.1.v1.1 annot-version=v1.1 MVAKKPAAAAASAAAAGAGDAEADERRRLRSLAVSNGLLRRGGPAAPPAVLPPSSAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPHAAAASGAGRLGELADLGSKNPVLYLEFPLGRMKLLGTHVYPKNKYLTLQMTRTAKGVACEDVFESLIVFSEAYWVGTKEENPEELKLEFPKDMQNDGAAADCDFKGGAGAASGEVITGNKPGKEIAEPLSPKSESDADSEDSDRNDGNGAQTMSERPVRQSARTAGKALKYAELFAGGDSADSDNGFEVPEDLDEKMKSPEMKKEIPSEDIKLAECSAHSLSIKKEPLVQATLSTMFKKAEERKRSTRSPKESPATKGPAAKKQRATPKEKQPAGKKEASGLRRKQKPKVEELSDSSQDHGMDDDDSDEDWAG* >Brasy7G231300.2.p pacid=40044779 transcript=Brasy7G231300.2 locus=Brasy7G231300 ID=Brasy7G231300.2.v1.1 annot-version=v1.1 MVAKKPAAAAASAAAAGAGDAEADERRRLRSLAVSNGLLRRGGPAAPPAVLPPSSAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPHAAAASGAGRLGELADLGSKNPVLYLEFPLGRMKLLGTHVYPKNKYLTLQMTRTAKGVACEDVFESLIVFSEAYWVGTKEENPEELKLEFPKDMQNDGAAADCDFKGGAGAASGEVITGNKPGKEIAEPLSPKSESDADSEDSDRNDGNGAQTMSERPVRQSARTAGKALKYAELFAGGDSADSDNGFEVPEDLDEKMKSPEMKKEIPSEDIKLAECSAHSLSIKKEPLVQATLSTMFKKAEERKRSTRSPKESPATKGPAAKKQRATPKEKQPAGKKEASGLRRKQKPKDHGMDDDDSDEDWAG* >Brasy7G231300.3.p pacid=40044780 transcript=Brasy7G231300.3 locus=Brasy7G231300 ID=Brasy7G231300.3.v1.1 annot-version=v1.1 MVAKKPAAAAASAAAAGAGDAEADERRRLRSLAVSNGLLRRGGPAAPPAVLPPSSAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPHAAAASGAGRLGELADLGSKNPVLYLEFPLGRMKLLGTHVYPKNKYLTLQMTRTAKGVACEDVFESLIVFSEAYWVGTKEENPEELKLEFPKDMQNDGAAADCDFKGGAGAASGEVITGNKPGKEIAEPLSPKSESDADSEDSDRNDGNGAQTMSERPVRQSARTAGKALKYAELFAGGDSADSDNGFEVPEDLDEKMKSPEMKKEIPSEDIKLAECSAHSLSIKKEPLVQATLSTMFKKAEERKRSTRSPKESPATKGPAAKKQRATPKEKQPAGKKEGRRALRFLSGSRYG* >Brasy7G038100.1.p pacid=40044781 transcript=Brasy7G038100.1 locus=Brasy7G038100 ID=Brasy7G038100.1.v1.1 annot-version=v1.1 MKTTDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQPTITAKTQKENRPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNENLTGLDVPQSQHSRLVIP* >Brasy7G037800.1.p pacid=40044782 transcript=Brasy7G037800.1 locus=Brasy7G037800 ID=Brasy7G037800.1.v1.1 annot-version=v1.1 MAEVLVSAALSSVLPKLKELLKEQYKLNKRVKNDVESLQKELVLMNATTARDVAKHGQQVDKNYRIWANQVRDMSHDTEDLLDSVLVPVKSGTHSGRAAAHGLDGLKVPVKMFRKSKQRREIADAIKNIKDRVRDVAAQRDMYMTLHDVVANPIAAGKTKGVGVVVASNPIAAAKTSKDLEDVRSEEVKELTRMLIGDDEGVAIQKLKTVSILGFGGLGKTTLAKQVYGKLKSQFDCTAFVSLSPNPDMKRVFNDMLHQLHNKDNTDLLDEKELLICKIREAIQDKRYLIVIDDIWDTKAWEIIRFSLFDSNWGSRIITTTRMADIAATADDVYKLKPLSPDESRALFVKSVFSDVTSKQPYYDQVDAKADGVYNLKGLSPDESRALFVKRLFNDGTSKHPNYDQVDEVCEKVLQKCGGIPLIIITFAGLLANKEKKDWPKVYSWINFAYGDNKDVKDIASILSFNQLPLHINQYTCLLYLATSSKEQKIERGHFVRKWIAEGFVSEDGWYSREEVANQYFDELVNKSMIQPVGHDVSCLGEETYEVKYGMHDVLRLMLEQEHSVTFLPGNGISNPSVEAPVRLFMQYSDSESRSETEEMDLSLVRSVTMHGSAPADVVSFKHLEYIRVLDLDGYKDLDSSAMDDICRLIHLKYLGLKQTQVTELPSQIAKLIHLETLDVRQTRVEEVPQEVVQLPRLAHLLFGQGSSFGRVKLPEGSNQLKLVKVLGAVDSRECSASVMEEISELKQVREISLVLHDGPADKEWNDKLLSSIGKCGSLRLIEIYGDSSTTSDILPVSPRLPLLDELKVVGTFVKVPRWVAQLRTIQKLQMRVSKLEPNDLIILGGLPGVKSLDLALVGVPRKLVAINSGAGFRYLKVFSFDCRVPWVTFEQGAMPRLEHLQLTLYVYPEDKSPSGIMHLQSLNKVTLRYSSQYASTEGIAKTVAVVREEADSHGNLIQLSVNGADEYHFLSKSKAKVDTVIEWSHTLVSAPIAPEMVDTVITPPEVHTNVSELKAASSEIEEV* >Brasy7G033900.1.p pacid=40044783 transcript=Brasy7G033900.1 locus=Brasy7G033900 ID=Brasy7G033900.1.v1.1 annot-version=v1.1 MPKRQLTLEPCGHIMAKRCSQPQQRRRHVYLVVDDWKRGYSVRKIDVDTSDDLNAATEPEPLPEPPVVRFEGRHCHLQNFGVHGTKILAMPAYGAADFPIYDIQTAGITLCAHPDGVRSTFPIILACIEGTLHMIRGSCLFVLDSPPPPYRDGHQPWSWTTKLSDLPFATPHLKSFALHPDGRTLFVTARGGTFSVDTQSLRSTHHGSWSMPFQGEALFDKELNTWVGLCVYEGGVGYLCSCDVVGAECRTMPVWRLGKDRLFCADKKRRHLGAKLLAMGGGDSAYCLVESVVHEDEEPTGTRHRVLHVTVFGLGYDEDGALRTTATRRAGSYEMMVAHDYTDRLRSPAAFWL* >Brasy7G223800.1.p pacid=40044784 transcript=Brasy7G223800.1 locus=Brasy7G223800 ID=Brasy7G223800.1.v1.1 annot-version=v1.1 MENRVHAMGSENTMEGVKFASETAANTNRRALRDIKNIIGNPHQHLAVSKRGLLEKPAAADPKNQSGFAGHRPVTRKFAATMATQPASAPLAPIGSERQKRNADTAFHTDMECTKISDDLPLPMLFEMDDLMSSELKEIEMEDSEEVAPDIDSCDAGNSLAVVEYVDELYSFYRKTEDLSCVSPTYMSRQTDINEKMRGILIDWLIEVHYKLELLGETLFLTVNIIDRYLAQENVVRKKLQLVGVTAMLLACKYEEVSVPVVDDLILICDRAYTRSDILEMERMIVDTLEFNMSVPTPYCFMRRFLKAAQSDKKMELLSFFIIELSLVSYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMDCSRMMVELHQGAAHGKLTGVHRKYSTFKYGCAAKSGPAGFLLDA* >Brasy7G221800.1.p pacid=40044785 transcript=Brasy7G221800.1 locus=Brasy7G221800 ID=Brasy7G221800.1.v1.1 annot-version=v1.1 MESVAIATTSRFSPPAPLPRRRRNAFAPVAAASKRRGDEGEEVASSGARTPPSGAGGRGAAGREPPVVGGLAPYGLSLSPFSKDAAMGLVMSAATGSGWTTGSGMEGPPTAGAANRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGDEDGEGNIFPVL* >Brasy7G231100.1.p pacid=40044786 transcript=Brasy7G231100.1 locus=Brasy7G231100 ID=Brasy7G231100.1.v1.1 annot-version=v1.1 MCCDMRPRFGFGRDIWRNWPAMCSRQQHSASSSGPVKKLHITTASLPPTRGAERNRSKVIVISGPTGAGKSRLALEVARRLGGEIISADSVQVYRSLDIGSAKPSASEMNMVPHHLIDIMHASEDYSAGMFFNDARRATQDVLDRGCVPVVAGGTGLYLRWFIYGKPNVPQSSMGITSSVWSELAEFRESGRWDEAVELVLKAGDPKAGDLHTNNWSRLRRRLEIIRSSGSPPSAFTLPYSSFQEQQDTKLTDSTTDDGTCEVKELDYVFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLHPNMNSASRAIGYRQAMEYLLHCRQNGGSSSPQEFFEFLTKFQQTSRNFSKRQMTWFRNEKIYQWVDASQPFEAIVQFICDAYHGCDAMVVPESLEMKRESCMHKTSDLKTYRSENKVFLGDEDCGHVLDWIRRTQAKGGSFALLGSSSSSSSSQFGSTTSKGHPPQPDPTRPTQPPSPFLPAFSYLPPRRSGPRFARRSPEICASRRRLLPGVRVAVGKLYMGISHPLSDEFYGPRGGGVLLSPERTPPSSPPPASCCSAVDQDFMEHEVSRMDTLAGIAIKYGVEISDIKRANGLVSDSQMFAHKALLIPLPGRPMPSSVRLNGSSQRSKRAWAPNHQQNRDVEDSLDSCKSGQQQSSLAMSNLQSYYGLSSQRGDDMDYSTEMSLYSKGSPQRIGTEALLNYSSPLDSTQSTGRSQDSEDTANGVSGTKGNGATKAKQDGSIRRRQKVESEHLSNTSDTQDDLLSDSIKMIKSFLPKPLSSIRLNMDTSSPDPTAKSNGSFLSGFKSVRKSPSAPNFADSENGISMWSSSKWTFNHESFTRPLLDGLPKPSPARRAKAALD* >Brasy7G233300.1.p pacid=40044787 transcript=Brasy7G233300.1 locus=Brasy7G233300 ID=Brasy7G233300.1.v1.1 annot-version=v1.1 MALEDFFTLTEMKDGISTVARIGELISEIQKLKNAVALNKADLVRQCSAAANTLASTKNEECLQHFIQLNGVGFLNQWLQDAQNCSKDVSSSAEDLMLAVLTALESLPDSLQSTYCEVLPTVRLLLAHANSKINQKATVVCQKWSSTQKCCTGGQELDTKEARQTDQLKLSEASQKTEDDKHDEANEAAIAEGESRPEVVTCPGVPLSNPSLSNDNREMMKQPRALIFENSTNGNAILGDMNPLVSSVICNGGSDKLPVTEETSAGHDIGLATNGLLRSDSLSAKSGVGQVAPPDVTAEAKSPVPNKSEPSFVSRKMDVEEQTVPANLDIKKVDSFSADMSQSEKNTMEDLNHLTNVSLGMQDSAEEESMGKEVPTSSSDTNEEDTVNELRLKRCMSSFGDSSKAAETKLTAQKGDKSTSLADYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLGASQQPEPPIDGSNDNKSSTTGDDSGSSSSLKEDGSGITDDSGLFSRKRTRNTELGDFDLNENQCTEETDWHTKSTLGNSINLSTPIAVAASRASSVFPARLHFEGEHGWKGSAATSAFRPASPRRTPEGEKSTSASSRKSSNMFDLNLADSGIAVAGEPVSTTILPTSGLSAVDVSGGVKLDLNFPCGEEEAAITTTNVPSFWNREQFTGNWSQPSSSSSSRQPAVKNFDLNDNMSLLYGSSRSVGESSVKVPGMDNTSDPSAVTIMGKRIVLGQQEHRHQIQHNFLGPSVESTVPARSMQSYAHALPDHSVASYPSQSALSFPPPFYAPDGVPSMVDARGAPVMPPLPGLGIGISHPSFSGRTIPPSSTELSYFHPSFNYRPSPEVARREEGNYWHVWEERMRNMPQGGSTSGVVRKRKEPDLGWDLYSGR* >Brasy7G216600.1.p pacid=40044788 transcript=Brasy7G216600.1 locus=Brasy7G216600 ID=Brasy7G216600.1.v1.1 annot-version=v1.1 MAAASSQGARRTTTTTTTTTTSTCAPETAKGAHVFRIEGYSMYKGLGVGRFIQSAPFAVGGYDWCICYYPDGDIESSKDCVSVQLELMTEGADVRALYSLTLVRQATGSSAYFMWANPTEPVLFSSAHGTSVRGFSRFAKRSVLEASTYIVGDTVVVSCEVTVIRLKEAQAPEPETRFVVRVPSSCLMDDLKNLLETGEEADVSFKVGDEVFHAHKLVLAMRSPVFKAALYGPMGDNCGESITIEDMHPVAFKGLLDFIYTDEFPELDDIDDDDADDEDMIQHLLVAADRYGMERMKLMCERIFSECLDSKTVATTLAFADQYNCSQLKDACIGFINSLDTMDPVMSSTGYEHLKRACPNIFVDIWEKAAKARKN* >Brasy7G050100.1.p pacid=40044789 transcript=Brasy7G050100.1 locus=Brasy7G050100 ID=Brasy7G050100.1.v1.1 annot-version=v1.1 MSVMARSLTSRCSGSSSRAVASASSSSSQIPRIYTRPLSRPSLLVSASVPPSPLRLLIPNLPPRSVVSTHQSYSTNPPPLRGSSRSLTTLAAAKGPSSLPPLSRLRSAREGEEEMTIESSVKLDGGVLSVNGRTVLSAVPDAVAASSAAARGPVDGVFLGAHFAGPASRHVVSLGAMRGVRFMACFRFKMWWMAQRMGSKGGDVPHETQFLLVESKAAGDNEDEASYVVFLPLVEGAFRASLQGGNGDELELCVESGDAGTRSDSFESALFVGAAKSDPFAAIAGAVAAARSRLGTFRTRAEKKIPAIVDYFGWCTWDAFYQEVTQEGVEAGLRSLAAGGAPPKFVIIDDGWQSVATDDAKGTLARLTGIKENGKFQTRGDGGGGGIETVVRAAKEKHGLKYVYVWHAITGYWGGVRPGVPAMEAYRSRMQFPEISPGVAENEPGMKTDVLTLQGLGLVHPDSAHRFYDELHAYLAAAGVDGVKVDVQSVLETLGAGHGGRARLTSKYHRALDASVAKHFPGNGIIACMSHNTDSLYCAKQTAVVRASDDFFPREAESHTIHVAAVAYNSVFLGEFMLPDWDMFHSLHAAGEYHGSARAISGGPVYVSDAPGKHDFALLRKMVLPDGTVLRARLPGRPTRDCLFADPARDGVSLLKIWNVNRFTGVLGVYNCQGAAWSAAEKKNVFHDETGGEGAAPLTCGVRGRDVHLISEAAADGEWNGDCAVYRHGEGGELVVLPDGAALPVSLRVLEHAVLTVSPIKDLAAGVRFAPVGLVDMFNGGAAVEGLTYHILPGGDGGEAVGLVRMEVRGCGRLGAYSSVRPRKCTLGSAPVEFSYDSSSGLVILELESMPVERFHKVAIEL* >Brasy7G050100.2.p pacid=40044790 transcript=Brasy7G050100.2 locus=Brasy7G050100 ID=Brasy7G050100.2.v1.1 annot-version=v1.1 MSVMARSLTSRCSGSSSRAVASASSSSSQIPRIYTRPLSRPSLLVSASVPPSPLRLLIPNLPPRRGSSRSLTTLAAAKGPSSLPPLSRLRSAREGEEEMTIESSVKLDGGVLSVNGRTVLSAVPDAVAASSAAARGPVDGVFLGAHFAGPASRHVVSLGAMRGVRFMACFRFKMWWMAQRMGSKGGDVPHETQFLLVESKAAGDNEDEASYVVFLPLVEGAFRASLQGGNGDELELCVESGDAGTRSDSFESALFVGAAKSDPFAAIAGAVAAARSRLGTFRTRAEKKIPAIVDYFGWCTWDAFYQEVTQEGVEAGLRSLAAGGAPPKFVIIDDGWQSVATDDAKGTLARLTGIKENGKFQTRGDGGGGGIETVVRAAKEKHGLKYVYVWHAITGYWGGVRPGVPAMEAYRSRMQFPEISPGVAENEPGMKTDVLTLQGLGLVHPDSAHRFYDELHAYLAAAGVDGVKVDVQSVLETLGAGHGGRARLTSKYHRALDASVAKHFPGNGIIACMSHNTDSLYCAKQTAVVRASDDFFPREAESHTIHVAAVAYNSVFLGEFMLPDWDMFHSLHAAGEYHGSARAISGGPVYVSDAPGKHDFALLRKMVLPDGTVLRARLPGRPTRDCLFADPARDGVSLLKIWNVNRFTGVLGVYNCQGAAWSAAEKKNVFHDETGGEGAAPLTCGVRGRDVHLISEAAADGEWNGDCAVYRHGEGGELVVLPDGAALPVSLRVLEHAVLTVSPIKDLAAGVRFAPVGLVDMFNGGAAVEGLTYHILPGGDGGEAVGLVRMEVRGCGRLGAYSSVRPRKCTLGSAPVEFSYDSSSGLVILELESMPVERFHKVAIEL* >Brasy7G050100.3.p pacid=40044791 transcript=Brasy7G050100.3 locus=Brasy7G050100 ID=Brasy7G050100.3.v1.1 annot-version=v1.1 MTIESSVKLDGGVLSVNGRTVLSAVPDAVAASSAAARGPVDGVFLGAHFAGPASRHVVSLGAMRGVRFMACFRFKMWWMAQRMGSKGGDVPHETQFLLVESKAAGDNEDEASYVVFLPLVEGAFRASLQGGNGDELELCVESGDAGTRSDSFESALFVGAAKSDPFAAIAGAVAAARSRLGTFRTRAEKKIPAIVDYFGWCTWDAFYQEVTQEGVEAGLRSLAAGGAPPKFVIIDDGWQSVATDDAKGTLARLTGIKENGKFQTRGDGGGGGIETVVRAAKEKHGLKYVYVWHAITGYWGGVRPGVPAMEAYRSRMQFPEISPGVAENEPGMKTDVLTLQGLGLVHPDSAHRFYDELHAYLAAAGVDGVKVDVQSVLETLGAGHGGRARLTSKYHRALDASVAKHFPGNGIIACMSHNTDSLYCAKQTAVVRASDDFFPREAESHTIHVAAVAYNSVFLGEFMLPDWDMFHSLHAAGEYHGSARAISGGPVYVSDAPGKHDFALLRKMVLPDGTVLRARLPGRPTRDCLFADPARDGVSLLKIWNVNRFTGVLGVYNCQGAAWSAAEKKNVFHDETGGEGAAPLTCGVRGRDVHLISEAAADGEWNGDCAVYRHGEGGELVVLPDGAALPVSLRVLEHAVLTVSPIKDLAAGVRFAPVGLVDMFNGGAAVEGLTYHILPGGDGGEAVGLVRMEVRGCGRLGAYSSVRPRKCTLGSAPVEFSYDSSSGLVILELESMPVERFHKVAIEL* >Brasy7G212800.1.p pacid=40044792 transcript=Brasy7G212800.1 locus=Brasy7G212800 ID=Brasy7G212800.1.v1.1 annot-version=v1.1 MAEAGSSGGVRACVTGGAGFIGSWLVRKLLERGYTVHATLRSIRDEGKAGLLRRLVPSGAPPERLVLFEADLYDAASFTPAIAGCQFVFLVATPSAQEAAGSKFKDSADAAADAVRVILRLCAESKTVKRVIHTASVSAASPLTNASTGAAAVYKDFITESCWTGLDIDYPLRSVHFDKYIESKLLSEKELLSYNDRESPAFEVVTLPCGLVGGDTVLGHVPETLESVVSPVTKQEVYFMLPRILQGLLGSVPLVHVDDVCAALIFCMERPSLSGRFLCAAAYPTVHDIVDHYGRKYPHLDLLKENDEVARVQSGRDKLGELGFRYKYGMEAILDESIGCAVRLGYIDASKLSGQ* >Brasy7G028100.1.p pacid=40044793 transcript=Brasy7G028100.1 locus=Brasy7G028100 ID=Brasy7G028100.1.v1.1 annot-version=v1.1 MVVALGPGRFYGSGLPRPRVFPGDRVDPPAPVTDPLLAWAREAHWSMGGLSSKRLRLQGRIEGNLVKIRRTARRDARVSAKASLAKSRAAGVKPASLDPLGPDDDAESTDDEEEVKAQEKVLRREVVDDDEDSESGESEEEVALVTIAAAAARRRKRARKLVDEFDRVAAPQEEGGKKMPSAVATARKSLKKNGVSVKASVVPAAEAPAKKSLKRKAAEVVAAPRRTSPRSKN* >Brasy7G230300.1.p pacid=40044794 transcript=Brasy7G230300.1 locus=Brasy7G230300 ID=Brasy7G230300.1.v1.1 annot-version=v1.1 MAPTQLVGRRRRLLLPLLSMALFAAAVNASAQAAASDPALMQALNQKKNMRSARSLLQAPKLDCPATCLGRCSNNWKNEMCNDKCNVCCKRCNCVPPGTGQDTRHICPCYDTMINPHNGKLKCP* >Brasy7G171500.1.p pacid=40044795 transcript=Brasy7G171500.1 locus=Brasy7G171500 ID=Brasy7G171500.1.v1.1 annot-version=v1.1 MAEIAKQAAEEAASWEEMCRAMGGPPAKNLKGVKSLGKGKYAAEIWMKEVGRTVWLGTFPSLKLAACAYELAARRILWQGRKASPNFEVIPSPALRREFEEELDKQELHHREHGGSRRRRPACLVHFRPAANLFGPPIPVVSRPAQAPAESCVSTTLEMGPEASSSSSSAGEGQCASSGRRAFKAPMLDPNLTLVAEPVTGDNFTADAWSRGGQ* >Brasy7G121700.1.p pacid=40044796 transcript=Brasy7G121700.1 locus=Brasy7G121700 ID=Brasy7G121700.1.v1.1 annot-version=v1.1 FLSPPAAVICRRGHAAGRHESKLDLEDSSHLNAFRRMRAPPLVAFLPPSSSIACNPFRFALTGLLKWQHERFEKVSRGSRTLVEGKKTAPEIPHQDFAITCAPFHVYNQDLKPDSFKIYMHIIPCDGHQLHKASSKSLG* >Brasy7G234700.1.p pacid=40044797 transcript=Brasy7G234700.1 locus=Brasy7G234700 ID=Brasy7G234700.1.v1.1 annot-version=v1.1 MLEPEKAPKVSTNNHLPNGVQKDTKDKKNIVEVFPIRMLAKIDGHSLILSGPDGSRITIELRNCTVVAVSASNLPSRKWAKRYPIKLESKGSEICNGSKVCYLYVDTSWEKESWCKALRLASSTDKEKLNLHARLSEEFRSYISSLNAGYPCFLKSSALNAEDHEIMDKVVKSDGSSKVRLLLKKLARKASGKSPQVTRTSSISAQAERKGWDKIRSNRGSSLIDAPEERSSSSSSSQGTNQPSTPSSDFGHRNVFSDSPDANIDVKCADGGTLCWNLLISRFFFDVKMSDEIRKAIKARIQRTLSNMRTAAYIGEITLTDLSLGELSPYLRRMRVLPRDLNELWAFEVDFEYCSGIVLHIEARLEVQEPELQKDIMKTTLGADSNGSIDSELMENIEHYGNQFRSPQLLAPVVEDEDDTDVLRRSKSTGWTSAYTSRWKNILHSITDHVSQVPFSLAIKITSVRGTLRIQIKPPPSDQIWYGFTSMPELEWELESSVGDRKITNSHIASLISNRIKAALHQSLVLPNCESIPMSWMVSEKDDWVPRKVAPFIWLNREPPETVKQNADMGTVRPDDAVAPKVSANNKASKSSPPSPSTKIGDEALKNVISALRPNQEPATVVSTSSCSSLPSETEPSNELMTPLLTTRNFDQEGASENAVGSSLQLAVVVPRGEQSSSSTSPRGYDVKRKGSKRALVMGLGRRMGDKLEEKRRHIVEKMKKENAEKEQ* >Brasy7G027000.1.p pacid=40044798 transcript=Brasy7G027000.1 locus=Brasy7G027000 ID=Brasy7G027000.1.v1.1 annot-version=v1.1 MAPRPGGDGYSPTRSGGGGGGGGERAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKILRS* >Brasy7G063500.1.p pacid=40044799 transcript=Brasy7G063500.1 locus=Brasy7G063500 ID=Brasy7G063500.1.v1.1 annot-version=v1.1 MVHAAQPAAGATRAVVLRLDDLALPPRYLTVPSHLPVSHLLRSLPLPSSSFYLTTDGRPLAPSSPVASLPPSASIQLRLRALRGGGGDGGSTCAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDAADDEVRFQCPVTGFEFNGKSQFLVLRGCGHVLSVKALKEVKTSACLVCHKEFDEADKMPINGTEEEVVVLRQKMEEERGKLKEKKDKKLANGLSGNKHAAAAAAVADAEKLENVKKGDTAPAKRFKAADHAPAYANKAVYASIFTSSKKSDFKETYSCRSLPLGRN* >Brasy7G063500.2.p pacid=40044800 transcript=Brasy7G063500.2 locus=Brasy7G063500 ID=Brasy7G063500.2.v1.1 annot-version=v1.1 MVHAAQPAAGATRAVVLRLDDLALPPRYLTVPSHLPVSHLLRSLPLPSSSFYLTTDGRPLAPSSPVASLPPSASIQLRLRALRGGGGDGGSTCAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDAADDEVRFQCPVTGFEFNGKSQFLVLRGCGHVLSVKALKEVKTSACLVCHKEFDEADKMPINGTEEEVVVLRQKMEEERGKLKEKKDKKLANGLSGNKHAAAAAAVADAEKLENVKKGDTAPAKRFKAADHAPAYANKAVYASIFTSSKKSDFKETYSCRSLPLGRN* >Brasy7G061600.1.p pacid=40044801 transcript=Brasy7G061600.1 locus=Brasy7G061600 ID=Brasy7G061600.1.v1.1 annot-version=v1.1 MAVDAHRLNHMLAATARRQPAAPQGLANRAGAAAARSAAAAAPWHGTGRLQVPAAGAAGSSSQQQPLAYMGLGAPRPAPGASSSSGADQYSEFLKLAAVDLVQRGTKLEGPQEMVMGGNKRKRAAPEPEPEPGLGAAELAAFAHGQMIAVDSILLNHAAKMWTGLAEQRHKLMRKIAESVEAKAARELKSKEEEIERARKINWMLDEQLRNVTLESQMWRDLALSNEASANVLRGELQQMLDAQAARGGVRRNDVVDDAGSCCYGENDVRGDQDQDQDQEVTAPVAATGFGMCKGCGAGGAAAVLLLPCRHLCLCASCAAAATACPACGCAKNGSVCVNLS* >Brasy7G083700.1.p pacid=40044802 transcript=Brasy7G083700.1 locus=Brasy7G083700 ID=Brasy7G083700.1.v1.1 annot-version=v1.1 MEAGAGLAMQSSSSRAVGVGARGGPGGCRAGALRWERRARAGSLRVGGLSAAAAAVRVRGAKPLAPLYCLKTSRGQETVHNSVDEALLLKRKAEEVLFELNGRCIYLVGMMGSGKSTVGKILAEVLGYSYFDSDSLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMQRLVVATGGGAVIRPVNWRYMKKGLSIMLDVPLDALAKRIAQVGTASRPLLDQPSGDPYTAAFTKLSMLAEQRGDAYANADVRVSLEDIAAKQGHDDVSQLTPTDIAIEALQKIQNFVMEHSIASSPFDDL* >Brasy7G113900.1.p pacid=40044803 transcript=Brasy7G113900.1 locus=Brasy7G113900 ID=Brasy7G113900.1.v1.1 annot-version=v1.1 MSSATPLLPEETPRPHGVVVIAALPAGHDAKAVESELAALRRPPCSLRRAAALMVLAAFVVAGHCYRLRYSGEMAMRPLSLSGEGGASFLLPLYAKAGVMAAAEKSTAVVPERQYYTSINIGNPPRPYFLDIDTGSDFTWIKCYAPCTNCTKGPHPVYKPTEGKIVHPRDALCEELQGNQNYCETCKQCDYEITYADRSSSKGVLARDNMQLTTADGEMKNVDFVFGCAHNQQGKLLDSPTSTDGILGLSNGAISLSTQLANSGIISNVFGHCMATDPSSGGYMFLGDDYVPRWGMTWVPIRNGPGNVYSTEVPKVNYGAQELNLRGQAGKLTQVIFDSGSSYTYFPHEIYTNLIALLEDASPGFVRDESDQTLPFCMKPNVPVRSMDDVEQLFNPLILQLRKRWFVIPTRFTISPENYLIISDKGNVCLGVLDGTQIGHSSTIIIGDASLRGKFVVYDNDENRIGWVQSDCTRPQKQRRVPFFLSRALLNQLP* >Brasy7G168200.1.p pacid=40044804 transcript=Brasy7G168200.1 locus=Brasy7G168200 ID=Brasy7G168200.1.v1.1 annot-version=v1.1 MVMVSVQSQGAMVRSEEDLGPPWLRPLLGTSFFVPCRAHPDLSKNECNLFCLGCAAAAGALCSYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDIAHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPTLTFAIRPKRGQDSGGDGYGSDDSFSPRKLRRAGFELGRFDRGIRWSDDEGSRSNTGSITPTTPPMNRCRPSRRKGIPHRAPFYG* >Brasy7G012300.1.p pacid=40044805 transcript=Brasy7G012300.1 locus=Brasy7G012300 ID=Brasy7G012300.1.v1.1 annot-version=v1.1 MKQVLPRLLSPWSPAGAAAMAAAGAGSTRRRLRGEGAEVEAEAEAGQRGEDAQGEQRGTSRASRRISGRPSGRTRRQRRRKRSGREVRRCAATCGQGGGADGVAIGEAAAGMGLELGGGVAAAGGAE* >Brasy7G104800.1.p pacid=40044806 transcript=Brasy7G104800.1 locus=Brasy7G104800 ID=Brasy7G104800.1.v1.1 annot-version=v1.1 MIPYFYLSPPHPQSHPRAAAPSPPPSRRTRPISSSVPPPPLLSSAPVSPLPPPLLCPPPPNIRLSPRELATGRRGPCRLLPELSSAAPSTSSLPRVTLLLASDLWRQGAGFMAAAPCGRGSGRVWA* >Brasy7G159800.1.p pacid=40044807 transcript=Brasy7G159800.1 locus=Brasy7G159800 ID=Brasy7G159800.1.v1.1 annot-version=v1.1 MVSNRRIEMDKVPDYELVRRDRMARNKQEMA* >Brasy7G081000.1.p pacid=40044808 transcript=Brasy7G081000.1 locus=Brasy7G081000 ID=Brasy7G081000.1.v1.1 annot-version=v1.1 MAGAIPYEEQRRRQVEENKRKLEELRLHHLSAAVREAAAKPSPAKSLKRKSRAQGEAGQDVPLRRSGRVASLPEQPKYRYEDSLAFEKKPRRTYSTRKDLTNRVYATDEARDYTITKAEELQDKLGSDYPIFVKPMTQSHVTGGFWLGLPTQFCRMYLPKHDERIILVDEDDDESETLYLALKRGLSAGWRGFAIDHKLVDGDCLVFQLIERTKFKVYIIRESSYYE* >Brasy7G081000.2.p pacid=40044809 transcript=Brasy7G081000.2 locus=Brasy7G081000 ID=Brasy7G081000.2.v1.1 annot-version=v1.1 MAGAIPYEEQRRRQVEENKRKLEELRLHHLSAAVREAAAKPSPAKSLKRKSRAQGEAGQDVPLRRSGRVASLPEQPKYRYEDSLAFEKKPRRTYSTRKDLTNRVYATDEARDYTITKAEELQDKLGSDYPIFVKPMTQSHVTGGFWLGLPTQFCRMYLPKHDERIILVDEDDDESETLYLALKRGLSAGWRGFAIDHKLVDGDCLVFQLIERTKFKVYIIRESSYYE* >Brasy7G092200.1.p pacid=40044810 transcript=Brasy7G092200.1 locus=Brasy7G092200 ID=Brasy7G092200.1.v1.1 annot-version=v1.1 MTTTPGSGETGQRFDSATVITPSGIRGRSRYVGRPSPHARPMEKEILRIDPHSVRSICRPRPRSFELIPTAGAAVLPSPGAAAFPQRRAWATRPQQQEEEAPSPAHPGEAGSRHGRPSPCTCSRTTSRSPPPVQPPTAALACRRNQPHGCRPASPAPDTAKHHCPSRRAPSPRRALPGRSPQTRSGAAAPAPLTRPEPTASGLSSGASPASSPPGSSDPTSDGGPASPRPTVTAPPAEPPPSRSTTPLPPLPHTSSVGHVARRGDPAAALDCLYLDRLLPLSPHPDLDRRPPLGTWRRPEPLSATPSPPHPDLECRQVHRGPPPGTTRLALSPTPRSGSSQRASVPIPRRRGIAARHGSACGAWTRTPWTSSTEQAAGPPELEFS* >Brasy7G007900.1.p pacid=40044811 transcript=Brasy7G007900.1 locus=Brasy7G007900 ID=Brasy7G007900.1.v1.1 annot-version=v1.1 MEKQSLRVFESSVRGLWEEWEIHCLILLSLFLQVFLFLAAGMRKRSSSSRWLLRTVLWLAYLSADSVAALVLGHLAVPTTTRRPQQLIFFFWAPFVLVHLGGQDTITAFSKEDNDLWNRHLLNLAIQAVVAGYVVAKASWPEWRLRAAMVLMFLSGFFKYAERTICLFASSPAWLRSLSVLTYYLEAAEKVIEDGICEFNDFAAASYDDIDAGRRRRNRTLGKMKRSWSWIGVDGIKAFVLDIMSTDAPLRVLWATKVDKQADHPNYKLSGKAAYEFVGASLEHCYLYLYTKNPVRRTFYAVFGSTFSPVKSTVCLLFTLFQYVATPVALVLFFTATKKGIEHHHSRRSADITVSYILLAGALVLDVSSAAVFIFSIIPEDRRIMTLRRRLANYIIQPAAACSCRKQQYWSQELAQYNMIKRQDMAWLWQRIRGKLSILGVELFDVTHVPLSEGIKEFIFQELIDCREKKEWNIIASSRGQRALALVADPAADSCSMIHRSIAGSDDFPTSVLIWHIATDICYYWPKHDDQGRSSNRSCGGDQAKKMSRQLSNYVMYLVFKCGVMLTNHSQIEHDRTRGKIGSTLPEARLGDKEAITQLTDKIIAGKIDGCPVLHQAHEVASELMTIHDETQRWGLITSVWSEMLYYTIPRCGAAFHYAHLATGGEFATHVLLLMKFLGA* >Brasy7G144100.1.p pacid=40044812 transcript=Brasy7G144100.1 locus=Brasy7G144100 ID=Brasy7G144100.1.v1.1 annot-version=v1.1 MQSQESSESPAPATEAPREAGAEGDESPASMEENERQIPVDPVSLRQLGMVMADADSPLSAPSVLTEMVAQPSSPLLPPLRRPTFVGASLPCSAASSPVHKPRGDDHLIPAATVTAMRSLARQHSAALAHYVASPSPAPVALSRSASRAEGRSMAPHDDEDVGTGAHPEEQEDSFTCGALCMFIPGFSRKKPGAAAASAVMSSMQRQHSGAARRPRSSSVVSRLASLERFECGSWSPAPPPPQPATVVTAAHDVVASFALGAAKVSSCAADDDGDAPVKMAFVFDGEPMAPRGVLVMKKSASQRQEPVMKAMAAACGGEPRGILKKSASTRQLQKQDSDSAATPCRASSASQRHVRFSAASPSSSPCITPRLARARAEFSAFMDAQMA* >Brasy7G088500.1.p pacid=40044813 transcript=Brasy7G088500.1 locus=Brasy7G088500 ID=Brasy7G088500.1.v1.1 annot-version=v1.1 MGRGGGWDLGKVEVEEEEMKKGRKEIFCVTNGCATSPRPGTSSRRSSPATMRPTSTRHGRAYVYLSLPPAAALLTARRAIPAGS* >Brasy7G109400.1.p pacid=40044814 transcript=Brasy7G109400.1 locus=Brasy7G109400 ID=Brasy7G109400.1.v1.1 annot-version=v1.1 MERPFVLCPALAHGLFFFPRTSFSSSRTGFPSFPSPPSPSPVRSSPTPSSPVDGKRRSNPAVPHRPPSPPHARAAMGDAPRLSAASRRGPSPTRTLAAMDNAPRSSAPATVHGGASRRLPSPTPSTSSCRLPAHLQPPRRRFLHHQLRPPPAAQVAGSSTAPPSS* >Brasy7G047700.1.p pacid=40044815 transcript=Brasy7G047700.1 locus=Brasy7G047700 ID=Brasy7G047700.1.v1.1 annot-version=v1.1 MYMLQSTLSKLLLEKSFFLCCRVSATACRKTHGWTCSCSSRTLWWRAATP* >Brasy7G029900.1.p pacid=40044816 transcript=Brasy7G029900.1 locus=Brasy7G029900 ID=Brasy7G029900.1.v1.1 annot-version=v1.1 MAPRRQIWGFQSPFAPAELRRRSRHQLSPAAASPGDALLQLSLERFHSPVCVPVAPRSPLCSPTSVWIRQILPQNPQHSGMVERILGYWMGSSFHPPLVRKFGSCLFSVTVANPEVAKFVVALQGIRHGDFLLSLHEGPPRQLDSFSKSPDLSPSSSSGLRSSLLVSPSGGTTAFEATTASSSVAPDQDTHTPFSPSTSIAEHFCPPPSVACGPAGSLLPPVVGQGCSAPVLAIGDLLPTPPSCGVSALPGTQSTVAAISRKLRRPTLALDVLAKGILPIPSGPVQWLVHPHDCADAQDASHATPLQTRSPMAPARSLHSAPSPPPASPTARSPSVAPRSFIQVLLSPSYTPRRLCSSSSPPRRARLDFSRRCHRCLASDHTVRFCRDPVRCKCCLRFGHRKEACSDSPRSSNSFSHVSNSCSPFVQDSMEDFIPVPAASDSDGSPTAVAAGCDGPCVDKPMVSTGSFFIVDEDEEDPEERVPATPSDGELPAHPEPVQLLPGPPLPPASPDYEPVGSDEEEFLSGDEDSESDSDAVPPNANGSPSAARPDCINVFMPHVPLEHFDNLAYAYVYPPAPSPAFFIRRALHAGPNHPAPQLRTSNQGSAIVVFDSHLEREFAMLNQPYRGREHTVHLVRHDDTESRFLFDHLNLAALAIDDFPLEHWFPSHIFHSVAPFANPYEVNPICITGIDYSAVLITVKARSVTDVPHSLAVYGFSGLGTLATVSIVHSQALAPIHDNPFPPPSPPRSGGDGSGGDDDGDGSSGSGSDGDGASLSADIISDAELAIPPPPPDAGLVAPPLTTATVEAGNQLELLPGGDGRAPLVQADPLLSRPANVDIRLFPGYFELRVSGFNGEQGLYRLPMERVGERGMLVANLASCSIGHLAGVSTVGPEMAPVLSVDLLCRDDRQLALSAGSTQDAPPDATFSVDHLPPPARCSARLAAVESPTYISILDKAAARKKAKLEGPSSSDSSALPPGSVLPGDELLELAAEGVEPLSLDDVQLLASSCDVVPPVQR* >Brasy7G010800.1.p pacid=40044817 transcript=Brasy7G010800.1 locus=Brasy7G010800 ID=Brasy7G010800.1.v1.1 annot-version=v1.1 MAMAGATTMASKAASASVSLDRGATAPAFSRRLRMPARAARGLRLRGRGGVVLAASVAAPAAPAGAEEVVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPIEKDAKEEVKLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPISDLVVGLKQLGADVDCFLGTKCPPVRINGIGGLPGGKVQLSGSISSQYLSSLLMAAPLALGDVEIEIIDKLISVPYVEMTLRLMERFGVTAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPLRQPFGRKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGAHVEEGSDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVKN* >Brasy7G100200.1.p pacid=40044818 transcript=Brasy7G100200.1 locus=Brasy7G100200 ID=Brasy7G100200.1.v1.1 annot-version=v1.1 MAPSTSASPLSRLLLLSLPKPNAKPPRSPQPARTDSAAGASKSSSAGLVLRRREAAVLSAAALLSRVLPAAAADGDASGECALEATPSGLAFCDRVVGTGAAAVKGQLIKAHYRGMLEDGTVFDSSYGRGRPLTIMVGVGEVIRGWDLCIAGGEGIPPMRVGGKRSLRLPPELAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRASS* >Brasy7G226300.1.p pacid=40044819 transcript=Brasy7G226300.1 locus=Brasy7G226300 ID=Brasy7G226300.1.v1.1 annot-version=v1.1 METAVGAASWLVGKVLNKLSDDLVAAYVASSELGLNAEQIKADLMYTHALLHAARGRDENPALKWLLEQLSEKADEAEDALDELHYFIIRDKLDGTQHATPFLGGDLREMVQHGRNAVRHTTGNWLSCFSCCRTEDDAAAATATSTAVTDNPQTKAISDSGNTCVHLDQFAFDRVAMSDKIKSVIEAIHSKCVPVSDLLKIQRQSSAAGTTVTLKRIPKGSTVEQKKLYGRSVIFEKTIKDITGGTYCSESLYVLPIVGPGGIGKTTFTQHLYNDERSKQHFTVRVWVCVSTDFDVLKLTQQIHNCIPATEKEESNITNGAANLDQLQISITHRLKTKRFLLVLDDIWKCGSDAEWTTLLAPFTKGEAKGSMVLVTTRFPKIEERVKRSTVPINLQGLEPKEFLKFFRACAFGTESPGEQYNELIDIGTEIAHKLKCSPLAATTVAKLLKKDLSWEHWSQVLENNEWKNQKNDDDIMPALQISYDYLPFHLKQCFSYFSLFPEDHRFTLLEITRFLTAIGVIDSSCKNKNYLEDLVDNGFLMKEDQCYVLHDLLHELSRSVSSQLCVNISSGLSFSADDIPQSIRHLSITMDERDVENFEREMAKLKGRINIGKLRTLMIFRQYEKRITDILKETFEEIKDLRVLFIVMNSPDSLPKNFSRLLHLRYLRISSPYVLEMSLPSALPIFYHLIFLDLKDWHGSPSLPMYISRLVNLRHFIAHNELHSNVPEVGKIKHLEELKEFHVKKETVGFEMEELGKLTDLGGELCVRNLEKVASKEEANKANLALKRSLKTLTLVWGRDQAAAGASDVVDGLQPHDNLRELAIEDHGGAVGPPGWLCRDIPIKHLESLALAGVTWGTLPPFGQLPYLKIIRLKNIDGVRIIGPDLGFIHLKKVEFDGMPDLEKWVVGLNCHLFPNLESIVCKNCPKFLALPFISDCLVPCIQDIHYPNLSEFVIRECPQLPLPPMPYTSTLIRVELGDSLGKMNYRGTNLDLWSYGSALALENMGKVEYVSFSGGSTIPWAELPKLTSLSRFVIREDPSFVSMALLSDLPTSLTSLSLMDCGNITVDGFNPLIAAVNLKELRVVNTGRDGPRSVAAASRSKPLLPAAGCFPLETLEVDCISAVLAAPVCSLFSATLHKLCLGCDQRVESFTEEEENALQLLTSLRTLYFWRCPGLPSLPQGLHTLSSLRELYVDGCPEIRSLPKGGLPTSLRELYVHGCSPELTEEARKWCKT* >Brasy7G081800.1.p pacid=40044820 transcript=Brasy7G081800.1 locus=Brasy7G081800 ID=Brasy7G081800.1.v1.1 annot-version=v1.1 MAAIDLYNTTRNQFSSSSSSSSSDQELMKALEPFIRSASSPTSSTSASSPFSNYPHYSLSPLPQESYYFPASSSSYTALQAPFAAPATTTTSFSQLPPLPPTSHYTSPPPAVYPPVNNDDVVGLASLGPEQIHQIQAQLFLQQQQRGCLSLSASLLGPRDQPMKLQAHGPVQAQSSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDSAQDAALAYDKAAFRLRGDAARLNFPDLRRGGAHLAGPLHASVDAKLTSICHTIATAKPKPSSDSPKASASSTTTSTEGDESSSSALSAGSTPLPPPPPPQQPQPSLPEMASLDFTEAPWDESDAFHLHKLPSWEIDWDSILS* >Brasy7G011900.1.p pacid=40044821 transcript=Brasy7G011900.1 locus=Brasy7G011900 ID=Brasy7G011900.1.v1.1 annot-version=v1.1 MRCSTFTCGFKYPGQQFVCLLWSCIHWNRRFLDVADHIRIQRLFHPRDRYHLVYKNGMLIQFTTTIQVNRFQQKSRLPILACDSHFKWQSTRITSRILYHALALQVCGLKWSHDDHELVSGGNDNQLLVWNQQLQQPVLRLTEHTTAVKAIAWSPHQHNLVASGGGTTDRCVKYWNTANGNMLNSVDTGLQSCLV* >Brasy7G073200.1.p pacid=40044822 transcript=Brasy7G073200.1 locus=Brasy7G073200 ID=Brasy7G073200.1.v1.1 annot-version=v1.1 MDLDMAMDMMNQEQLMNAITQLDSALACLASSPSTSPPPHPLAPPQVHHPPVMTTMPLPLPPAATRQLIGGIDSADAAPSRRRRGARARVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAVRYVRFLKSHVQALEQLAAAAMHGRVEADGVAAEGFYDPSSCPYYA* >Brasy7G031100.1.p pacid=40044823 transcript=Brasy7G031100.1 locus=Brasy7G031100 ID=Brasy7G031100.1.v1.1 annot-version=v1.1 MSSSEVTNITLQLIKQITEDFSKEKKVGSGGYGEVYKGVLNGDEIAVKKLFPVHGLDDEAFENEFRNLMKVQHENIVRLIGYCYEIAHRHTEHNGKLVFAQVIDRALCFEYMQSGSLSQHISAESCKHDWRTTYKIIEGTCEGLHYLHKGRGDKNYIYHLDLKPDNILLDKNLVPKIGDFGLSRLFGESKTHKTSTAKGTIGFMPPEFINNRMMTPKNDVFSLGVIVFYMMAGEKGYNDYCDSRSRQEFSEKTRQEFIDSVQEYWKKKMQATVGYTWDEADLLGVTKCIEIAMSCVDTDRTKRPNTKEIIDDLHKLDAQIKEMSIKVPEPFVTQAKLDLKKDIAVDPQLELRFPFEPKKEISCCLQLINMAEDSFIAFNIKTDRSKYTAQPSKGTMPPCSKRYIILTLRAQEKAQPNMQCVDVVIVQATRVTQAQADGFSMPQEITQHFLKDVTAMDEVTLPIVYVSLDQQPLSE* >Brasy7G233600.1.p pacid=40044824 transcript=Brasy7G233600.1 locus=Brasy7G233600 ID=Brasy7G233600.1.v1.1 annot-version=v1.1 MSIFQQVPQLVDTSALDGTRMVFCQVNGFIREYIASRQIEENLVFELGGSSSTQRTGRHLIILKTWVRDRIVFGSIDLSRLRSLTVFGKWKSYFISENMKVLRVLDLEDASSDLNDDDVENIVECLRRLKFLSLRGHSEVHYLPSSLGKLRQLQTLDVRGTSIVTLPASITKLHKLQYIRAGTTDVPPTSARSKWFRRCRRRRRVGGVEVPEGIGKLTELHTLGVVDVPASGGKSILKELNKLTQLRKLGVSGINRKNMEEFASAIKGHGHLESLSVQLDKDDNQGYLDDISLPWANLRSLKLYGLQDKLPAQWREHRFYLSKLRKLDLEMATLEKDDNTEFLARLPELCILRLRVKQLHDDKLHFYWEMYGEEMPTYEKLKILEISCSSSSSLHVIFGSETMKNLELLKLDCSSGSSSYDLTGLNYLSELKEVLLKGTNEEALKTDLEQKLLNHPNKPAVKLEELASSS* >Brasy7G154500.1.p pacid=40044825 transcript=Brasy7G154500.1 locus=Brasy7G154500 ID=Brasy7G154500.1.v1.1 annot-version=v1.1 MAHRLLHGTLHATILEADKLTDPTRATGGAPGIFRKFVEGFEETIGRGKGSTRLYATIDLGRARVGRTRVIADDPVNPRWYEEFHIYCAHFASDVVFTVKADQPIGATLLGRAYLPVRDLLDAAGKEIERRLDVLDPGKKKLPHGPIIHVRLRFCDVAAAEGRREWGRGVGGLRFPGVPYTFFSQRPGCKVTLYQDAHTPDAFAPRIPLSGGRMYEQGRCWEDVFDAISDARHLVYVTGWSVYTEITLLRDGARPPRPGGDATLGELLKRKASEGVRVLMLVWDDRSSVESIGWKQGYMGTHDAETADYFRGTDVHCVLCPRNPDVGSSVVKGAQIAYMISHHQKIIAVDHDMPVRGGSTRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPILAGAAIGKGGPREPWHDIHAKLEGPAAWDVLYNFEQRWRKQGGSNDLLVDLKALVNLIIPPSPVMFPDDQEAWNVQVFRSIDGGACFGFPSTPEAAARSGLVSGKNNIIDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFAWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGAPAGASVQAILDWQRRTMDMMYHDIAVALEGKRIDANPKDYLTFFCLGNREVKRSGEYEPAEQPIEGSDYERAQKARRYMVYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNTEDQVARGQIHGFRMSLWYEHLGMLHDDFLKPGSLECVQRVNKTADKYWDLYASDELNDDLPGHLLTYPVAVTKDGTVTELPGMRCFPDTQAPVLGMKSNYMPPILTT* >Brasy7G154500.3.p pacid=40044826 transcript=Brasy7G154500.3 locus=Brasy7G154500 ID=Brasy7G154500.3.v1.1 annot-version=v1.1 MFVEGFEETIGRGKGSTRLYATIDLGRARVGRTRVIADDPVNPRWYEEFHIYCAHFASDVVFTVKADQPIGATLLGRAYLPVRDLLDAAGKEIERRLDVLDPGKKKLPHGPIIHVRLRFCDVAAAEGRREWGRGVGGLRFPGVPYTFFSQRPGCKVTLYQDAHTPDAFAPRIPLSGGRMYEQGRCWEDVFDAISDARHLVYVTGWSVYTEITLLRDGARPPRPGGDATLGELLKRKASEGVRVLMLVWDDRSSVESIGWKQGYMGTHDAETADYFRGTDVHCVLCPRNPDVGSSVVKGAQIAYMISHHQKIIAVDHDMPVRGGSTRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPILAGAAIGKGGPREPWHDIHAKLEGPAAWDVLYNFEQRWRKQGGSNDLLVDLKALVNLIIPPSPVMFPDDQEAWNVQVFRSIDGGACFGFPSTPEAAARSGLVSGKNNIIDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFAWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGAPAGASVQAILDWQRRTMDMMYHDIAVALEGKRIDANPKDYLTFFCLGNREVKRSGEYEPAEQPIEGSDYERAQKARRYMVYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNTEDQVARGQIHGFRMSLWYEHLGMLHDDFLKPGSLECVQRVNKTADKYWDLYASDELNDDLPGHLLTYPVAVTKDGTVTELPGMRCFPDTQAPVLGMKSNYMPPILTT* >Brasy7G154500.2.p pacid=40044827 transcript=Brasy7G154500.2 locus=Brasy7G154500 ID=Brasy7G154500.2.v1.1 annot-version=v1.1 MYEQGRCWEDVFDAISDARHLVYVTGWSVYTEITLLRDGARPPRPGGDATLGELLKRKASEGVRVLMLVWDDRSSVESIGWKQGYMGTHDAETADYFRGTDVHCVLCPRNPDVGSSVVKGAQIAYMISHHQKIIAVDHDMPVRGGSTRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPILAGAAIGKGGPREPWHDIHAKLEGPAAWDVLYNFEQRWRKQGGSNDLLVDLKALVNLIIPPSPVMFPDDQEAWNVQVFRSIDGGACFGFPSTPEAAARSGLVSGKNNIIDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFAWKADGIKPEEIEALHLIPRELSLKIVSKIEAGEHFAVYVVLPMWPEGAPAGASVQAILDWQRRTMDMMYHDIAVALEGKRIDANPKDYLTFFCLGNREVKRSGEYEPAEQPIEGSDYERAQKARRYMVYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNTEDQVARGQIHGFRMSLWYEHLGMLHDDFLKPGSLECVQRVNKTADKYWDLYASDELNDDLPGHLLTYPVAVTKDGTVTELPGMRCFPDTQAPVLGMKSNYMPPILTT* >Brasy7G228500.1.p pacid=40044828 transcript=Brasy7G228500.1 locus=Brasy7G228500 ID=Brasy7G228500.1.v1.1 annot-version=v1.1 MEAAIGAASGLIDGVVKLLSNELVQAYVASAELGLNAEKIKTSLFFAQDLLQQARQRGMAEDRPGLKGLVQQLSAKADEAEDALDELHYFIIQDQLDGTKYAVPDLGDDLRGQARHGRHALRHTVGNCLACFSCSPTPQDHGDGDATVDTNNLHNATKPASASGVNDGPVDNLSFDRVAMSKKIKLVIEQILPLCDKVSELLKINTTHGNVTATVSLKRPVIGSTTTQDTLYGRRELFEQTIEYIITGLSNSSEKLSVQPIVGPGGIGKTTFAQHLYNDRRIEEHFSVRVWICVSTVFDVLKISQQILSCIEGNNNANQTTSLDQLQISIAQNLKSKRCLIVFDDIWGCNSQSWENLLAPFMKGGAKGSTVLVTTRFPFIAEMVKSTNPIPLKGLEPDEFFTFFEAFIFEGEKPEDYQHSLIDVARNISKKLKGSPLAAKTVGRLLRKDRSWEHWMGVLESNEWQNQKNDDDIMPSLKISYDYLPFHLKKCFPYFSLFPEDYMFNKLEITYFWIAIGVIDKDVEYMEELVDNGFLVKGNDHSGEHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEDNFRGEMVKLRSKIDIVNLRALMIFRAYGEPVGEILKDTFKEIEGLRVLLVEVKSLESLPHNFSKLIHLRFLKISKPPHILDPEVTFPSTLSRFYHLILLDVSNWRHSSTKLPKDISRLISLRHFVARKELHSNVPAVGKMKCLKELTEFCVKKESDGFELSELGALTELGGELRICNLESVATKEEALTAKLVSKGGLKKLTLVWGGEQQAAKSDVLDGLEPHPNLLALAIENHGGSTGPSWFCGSNISTVMLTSLHLEGMSWVDPPFGQLLHLTSLTLKNISGLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEEICCSSCPNLCSLPFLQESSAVTCNHLTSLEISKCPMLFLPLMPHTSTLTEFSVKDSPVGKMVYIGIFKLLSFDGYIGEVALHNMAGKVESIRFVGGSKIPWAELPKLTSLSTLVIEKDPSFVSMALLSNLPTSLTSLSLIDCENLSVDGFNPLIAAVNLKKLMVYNRGRDGPRPIAANFLSWLMKLRITWKN* >Brasy7G022900.1.p pacid=40044829 transcript=Brasy7G022900.1 locus=Brasy7G022900 ID=Brasy7G022900.1.v1.1 annot-version=v1.1 MGRNCKGHEAQQAHSVLFILREYILFFLRSKKKYSSSPPTHAQAPPRPDAILRCRPHRLSPALHLLGGWRVQDLDLGQIFSQNSWALSSRDFLPLADRVRLRAVCHPWRSNSMLQPPPLPFPWITLPNGTFLSMPAGEIHRLPVPADTCCQSSIDNWLLLVHSDGVCSLMNIFSKATLELPELAKLWNREIRRQFLNRFPEPLSYKLAVPSALDSSPDPLVATVIIDSFNCSTLCISQLPTVTYSFRGGKESLPHLSGVAFLDGNLYTLSECWKLLTLEFCKDFGSYPNIKCVINTDGFCQEQGTS* >Brasy7G127500.1.p pacid=40044830 transcript=Brasy7G127500.1 locus=Brasy7G127500 ID=Brasy7G127500.1.v1.1 annot-version=v1.1 MPKKLLTRSSTAPLEKIPSPATLQKEMSLLDAALFHLWSFSDVAWSSSRTGVEDYQEMAWQINPTVHSESEWLPCLLEHMYPAAAWIPR* >Brasy7G084900.1.p pacid=40044831 transcript=Brasy7G084900.1 locus=Brasy7G084900 ID=Brasy7G084900.1.v1.1 annot-version=v1.1 MAGGRPFRPSAPRRAAFAALLTLLLLATLSFLLSSASTRSSPSPPSSRLAAIRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSALSSDLSALSSRLASRHSLPEDALKPLEKEARDRIKVARLLAADSKESFDTQSKIQKLSDTVFAVGEQLARSRRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFEDPSLYHYAVFSDNVLAVSVVIASATRAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNASFSPVLRQIENGQRDVALVDYLRFYLPDMFPALTKVVLLEDDVVVQKDLAALWHVDLDGKVNGAVEMCFGGFRRYRKYLNFTQAIVRERFNPSACAWEYGVNVFDLEAWRRDGCTELFHQYMELNEDGALWDPTSVLPAGLMAFYGNTKPLDKSWHVMGLGYNPSISPEVIRGAAVIHFNGNMKPWLDVAFNQYKALWTKHVDTEMEFLTLCNFGL* >Brasy7G191400.1.p pacid=40044832 transcript=Brasy7G191400.1 locus=Brasy7G191400 ID=Brasy7G191400.1.v1.1 annot-version=v1.1 MAITMKSFFKGLKTISQIFVHREHEMEMEIGNPTDVRHLSHVGLGTADACPSWMSEYRGMDQELSAGSVAQSRHASWASLDFEQTPRATASTLPVEEQLCPADSSGAGQDPAAGSPNKKPPPPTTRKRNRKASRASSSSSSFATTLRDLSEPHPHGHGPAPLRAASCLASC* >Brasy7G091600.1.p pacid=40044833 transcript=Brasy7G091600.1 locus=Brasy7G091600 ID=Brasy7G091600.1.v1.1 annot-version=v1.1 MSLCCCSGASRRLILPRLFLGRHHQVRRSFLHLRAASSAAASSSATPLSPAQQRQVTLYVDALLDWNQRMNLTAVTDETEVMTRHVADSLAVLPPLERAYRAQSTPHGGDMGGISLIDVGSGAGLPGLILAVARPSWKITLLESMRKRCTFLEHAVEVMELSNVDVVCDRAENVGQSHDFREAFDVAAARAVAELKILAEYCLPLVRVGGLFIAAKGHDPHDEIRNAKAAVHTLGASMLDLCNVESMGPHGQRTAVVYLKERGTPKRYPRHPGTPSKTPL* >Brasy7G148500.1.p pacid=40044834 transcript=Brasy7G148500.1 locus=Brasy7G148500 ID=Brasy7G148500.1.v1.1 annot-version=v1.1 MSPPRPHFLVLTFPLQGHIAPALRLARRLLAASPDALVTFSTTAAAHRRMFLPETKKPQDAPDDDGRLELLPFSDGTEGGFVRSSDPQAFNEYMASFHAAGARSVGELLDALDARGRAVTRVVYTLLLPWAADVAHDRGLHSALYWIQPAAVFAVYHHYFREHDAAAVIVQHSHDPSFLVRLPGLPPLAVRDLPSFLTESTDPSDQFHSVYTAIRDLFDSDPLDKDDARKATVLVNTCQELEAGALAAMAEKYDMLPVGPLLPTPGGDDDEAGLFKQDEDARYMEWLDGKPASSVVYVAFGSLARMEREQLDELLRGLEECGRPYLCVVRQDVKAELLSPEGTETDGGNKDGGMVVEWCDQVRVLSHPAVGCFVTHCGWNSTLESVACGVPMVCVPRLSDQRMNAWLVEREWRVGARAEVGGDGVLRAAELRRRVEEVMREEEAVRRRAAAGEWKRAVADALGNGGSSDRNLTAFVRGGGGGVRTGLQA* >Brasy7G234000.1.p pacid=40044835 transcript=Brasy7G234000.1 locus=Brasy7G234000 ID=Brasy7G234000.1.v1.1 annot-version=v1.1 MADLKEEAKWLALGRVLSNKPYSFSSLAATMKFAWSSAQEISVWVRIHKIPPMFCKEDLVRGLAARAGKVLSVVLRPAVAGEDFVRVRVELETSKPLTRFVLLSPEGAADIILRVTYEKVPNFCEICGCMGHVLKECGNGPARGSMRGGRFGGRGGGRDQVQRQEQESRKRTSRDASLESSPAKADPPPGLLLEYKPKGDDGAKKKLELNLVEGPNNLQLMVPPPPPKYTPQREKKRVKKGESTSMGDASTSNQAASVAEDRQS* >Brasy7G069700.1.p pacid=40044836 transcript=Brasy7G069700.1 locus=Brasy7G069700 ID=Brasy7G069700.1.v1.1 annot-version=v1.1 MEYPLTVALLVTLLAVGKAASAAAAEPGPGQAAAGFKAFVNATDQEITGYCIDVFEAALKKLPHDLDYEFSVFIGSYDQLVRNVTSGNFDAAVGDVTITADRAVHVDFTMPYTESGVSLLVLTENDPEPTIQWIFLKPLTTELWLATVGGFFFTGLVVWLIEGPRNREYQGSSSRQLSTALYFSFSTLTFSHVLVQSYTASLSSILTAKRLRPSVTDLDHLLLTDDYIGYQSGSFVHSVLTNQGFTGKRLKAYGKKEEYANALRKGSMNGGVSAIVDEIPYITSFLSDPRYQKEFQMVKRIYNTPGLGFVFPQDSPLVHNLSVAILNLTGGSEGARIEAKWLGTAAPLQSYGIANTDSAPLTLRSLSGLFIITVCISGLMLLISIARLVHAKYTKVRDSEMQSADGDGESEGGGASSPAQNDMDNGSMTAQPHHEARNDVPHGVHGSSGSVGDDEPNGSVPAHSIQIEMSSTD* >Brasy7G038600.1.p pacid=40044837 transcript=Brasy7G038600.1 locus=Brasy7G038600 ID=Brasy7G038600.1.v1.1 annot-version=v1.1 MATVRPPGAMWRVTVHYGTSDSDEANFDGLFEFGIGDVVHDQESLYQSIMGAKTTGPSESSHYCHGESSSGTIETSAVSDEQIAADFEYARQLQEMEDLTIETPPNDDEQEDISCVDSPSDTDDDDHHHNDHDEEEADNDDNNDDIDPDSMTYEQRQELVESVGNESRGLSDELMSFLVPWKYKDRSGFFSRKTNNLDDCSICLSAFRNRERLITLPCKHNYHAGCVTKWLKIDKTCPVCKYEVFGPS* >Brasy7G038600.3.p pacid=40044838 transcript=Brasy7G038600.3 locus=Brasy7G038600 ID=Brasy7G038600.3.v1.1 annot-version=v1.1 MATVRPPGAMWRVTVHYGTSDSDEANFDGLFEFGIGDVVHDQESLYQSIMGAKTTGPSESSHYCHGESSSGTIETSAVSDEQIAADFEYARQLQEMEDLTIETPPNDDEQEDISCVDSPSDTDDDDHHHNDHDEEEADNDDNNDDIDPDSMTYEQRQELVESVGNESRGLSDELMSFLVPWKYKDRSGFFSRKTNNLDDCSICLSAFRNRERLITLPCKHNYHAGCVTKWLKIDKTCPVCKYEVFGPS* >Brasy7G038600.2.p pacid=40044839 transcript=Brasy7G038600.2 locus=Brasy7G038600 ID=Brasy7G038600.2.v1.1 annot-version=v1.1 MATVRPPGAMWRVTVHYGTSDSDEANFDGLFEFGIGDVVHDQESLYQSIMGAKTTGPSESSHYCHGESSSGTIETSAVSDEQIAADFEYARQLQEMEDLTIETPPNDDEQEDISCVDSPSDTDDDDHHHNDHDEEEADNDDNNDDIDPDSMTYEELVESVGNESRGLSDELMSFLVPWKYKDRSGFFSRKTNNLDDCSICLSAFRNRERLITLPCKHNYHAGCVTKWLKIDKTCPVCKYEVFGPS* >Brasy7G038600.4.p pacid=40044840 transcript=Brasy7G038600.4 locus=Brasy7G038600 ID=Brasy7G038600.4.v1.1 annot-version=v1.1 MATVRPPGAMWRVTVHYGTSDSDEANFDGLFEFGIGDVVHDQESLYQSIMGAKTTGPSESSHYCHGESSSGTIETSAVSDEQIAADFEYARQLQEMEDLTIETPPNDDEQEDISCVDSPSDTDDDDHHHNDHDEEEADNDDNNDDIDPDSMTYEELVESVGNESRGLSDELMSFLVPWKYKDRSGFFSRKTNNLDDCSICLSAFRNRERLITLPCKHNYHAGCVTKWLKIDKTCPVCKYEVFGPS* >Brasy7G026700.1.p pacid=40044841 transcript=Brasy7G026700.1 locus=Brasy7G026700 ID=Brasy7G026700.1.v1.1 annot-version=v1.1 MLRPMLACFKLYVSQSRSLRPVEQAARRHHPAVVLVNGFADHAYNRVGYTLVSKVFVPAPLRRAVVSMVGAALDAIDLRSHAGAHPRLGAVDHICFHPLLSAASSLRLVTGLAAAGAAEIGDKLQLPTYLYGAAHKEGRTLADIRRHLGYFNSPRDGQWHGVPPPLTAALPVAPDAGPGTASASKGVLNVPARTGDVEAVRRVARRVSERGGGLPSVQAMGPAHGENGAEVARNLLDPGRVGAEEVQSMVERLAEEEGLVVGKGYFTDLSQEKIVEMYYSLQRDQDQDQA* >Brasy7G114700.1.p pacid=40044842 transcript=Brasy7G114700.1 locus=Brasy7G114700 ID=Brasy7G114700.1.v1.1 annot-version=v1.1 MAPPPLRHVAMFPFMAKGHAMPLLHLARLLLGRGLASSVTFFTTPRNAPFLRASLAGIKTPAVSFVELPFHSESEDAPQSTDELPSASSCLADFVYAVAAALGPAFAGALARLEPRPDVLVHDGFLFWAKHAADELAVPRLVTCGFGAFASYVAHAVMAHRPLSDMASPSDPLPPLHGVPGADLRLTKSDLHPPFESSTTRTRPARSGTSCECMYTSAGIIANTFDALESPYIDLWNRSVPQAKMWPVGPLCLASSAEQLVQSTTTAGIDGDILDWLDSRLAMGRPVLYVAFGSQAELSRAQLEEVAAGLELSGLDFIWVVRPKWFDHPEDELIIKDRFGDRGKVVQGFINQLGVLSHESTKGFFTHCGWNSVLESVAMGVPMLAFPMAAEQKLNAKFVVDVVHAGLRVWPQEGGLVVSGDVQVLARELVLGEGGRRAAARATELSVASRKAMDFGGSSFENLARMVQEVGEIGGAPAGSEVE* >Brasy7G024800.1.p pacid=40044843 transcript=Brasy7G024800.1 locus=Brasy7G024800 ID=Brasy7G024800.1.v1.1 annot-version=v1.1 MALGLREGGSAELEDARTSSCYGVSASFAYDGGPPTPMQMDRHPVVLGPLEGHDEGRIPASVFERDVSEPGKDWSMMSTESVFGLQVAPSCDFTGYFLAHPELMDIATPPRDSSSAAVDDDAAASVSRTASIAVPPPFESIPEHGSRATTPHGSTTMQSYSFAFPNLIEDKRYSTKKSQAHEQPPSPATAKAPSMREAPPEKEEEETSNKPEPEPAKKEEAKGGWLSWMPCCS* >Brasy7G026600.1.p pacid=40044844 transcript=Brasy7G026600.1 locus=Brasy7G026600 ID=Brasy7G026600.1.v1.1 annot-version=v1.1 MSLMFSAVIFSFLLLVQGMAAGGGLSTNSASSTSAAATAYWQKMLPNTPMPSAILELLTPPADHSDHNGNQKINDMQTGEGTGEKYVQASFLKARKVGLHSTTKEANKKGEKFRLLGRDTDTNDKKYKVFHPFHYGGDTSNHNNKVFHYRLDTNTKDRKNNVFHYSLDTNTNDQKNKVFHYGPNTNTDDQSNKVFHYASDTHDQKNKVFHYDPDMNTHDKKNTVFHYGPDMHTDDQSNKVFHYGADTNNYDQKNKLVFHYGPNMNTDDQNNKVFHYSSDLNTHNQKNNVFHYGRDTNTHGENNKVSHYDPAAYYHEKNKVFHYGPDKNTHGQKNKVFHYGPETNSDDQNNKVFHYGLGTNTQNQNNKVFHYGQETRTHMILRTGFTVYGPDMKNTDNQKNKVLAELARNNRESLHIHGDFTTPFFTEEVLLTPGSTITPYIPSSSTSGALLLQRDVAASVPMSTRNFTDILTMYAPMSDAMAKDTWSALDFCENSLPVKGEKRTCATSLESMVEFAASVLTGGSTGDLRAFSSPNVPVEGIMSGRYKVSAAPRTTELSESVTCHAMSFPFPVFMCHAVNPTRVYTVALQKEDVGGAMGLDKMVEAIAVCHLDTSDFNPRKMPAHVRPGDAPICHFIARNSILWAPATTTAAAA* >Brasy7G026600.2.p pacid=40044845 transcript=Brasy7G026600.2 locus=Brasy7G026600 ID=Brasy7G026600.2.v1.1 annot-version=v1.1 MSLMFSAVIFSFLLGMAAGGGLSTNSASSTSAAATAYWQKMLPNTPMPSAILELLTPPADHSDHNGNQKINDMQTGEGTGEKYVQASFLKARKVGLHSTTKEANKKGEKFRLLGRDTDTNDKKYKVFHPFHYGGDTSNHNNKVFHYRLDTNTKDRKNNVFHYSLDTNTNDQKNKVFHYGPNTNTDDQSNKVFHYASDTHDQKNKVFHYDPDMNTHDKKNTVFHYGPDMHTDDQSNKVFHYGADTNNYDQKNKLVFHYGPNMNTDDQNNKVFHYSSDLNTHNQKNNVFHYGRDTNTHGENNKVSHYDPAAYYHEKNKVFHYGPDKNTHGQKNKVFHYGPETNSDDQNNKVFHYGLGTNTQNQNNKVFHYGQETRTHMILRTGFTVYGPDMKNTDNQKNKVLAELARNNRESLHIHGDFTTPFFTEEVLLTPGSTITPYIPSSSTSGALLLQRDVAASVPMSTRNFTDILTMYAPMSDAMAKDTWSALDFCENSLPVKGEKRTCATSLESMVEFAASVLTGGSTGDLRAFSSPNVPVEGIMSGRYKVSAAPRTTELSESVTCHAMSFPFPVFMCHAVNPTRVYTVALQKEDVGGAMGLDKMVEAIAVCHLDTSDFNPRKMPAHVRPGDAPICHFIARNSILWAPATTTAAAA* >Brasy7G052800.1.p pacid=40044846 transcript=Brasy7G052800.1 locus=Brasy7G052800 ID=Brasy7G052800.1.v1.1 annot-version=v1.1 MRNFLLGWPSTGPASSSFLIETVPSPSTQGVAMTNTNNRVDPSTHASHHLHPAMSLLERAAIRLVHILITCTAAIQAGDYGVAASYLAEAHTLLATTIPTSFGIGRVTSHFATALSCRLFSASPHSSMPPSSSSQSPNNHAGEQYRQFYDVVPHLKFAHFTANQAILEAFQGHDRVHIIDLAIMRGLQWLPLIQAFSIQPGGPPSIRITGVGPTPTGPRDDLQEVGLLLTEHARVLNVPFSFHSVTCDSLEGLKPWMFHLIRSEAVAVNSIFQLHRLLVDPDSASTSLSPPIDTVLGWITAMRPKVFTIVEQEADHNKPVLVERFTNALFYYGVAFDSMEAIAPRSLAGAAGLGAEAHLQREIFDIVCDEGSGRVERHETLQRWWGRLRRAGLAQVPLGPNNLRHASMLLRVFSGAGYHVMERGDGLMLAWHNNPLFSVSVWHVMEEELEDNKNNVVRHVTTGAMLSMQ* >Brasy7G119000.1.p pacid=40044847 transcript=Brasy7G119000.1 locus=Brasy7G119000 ID=Brasy7G119000.1.v1.1 annot-version=v1.1 MAKASVLLWAVVACALVAGAFVVSASPHVFTVGGEQRGWRQPAASDAETYNHWATRHRFHVGDFLYFRYAKNDSVLVVTREDYKLCSAEKPALRLEGGEGRFRLERSGFLYFISGSPGHCDAGQRLTVRVMAQQRDGASSPALSPGAAFNSTTPGGSGSGSGAVLRPPRGKGGDGKTSGVHAPLGGHRHAVGVALGAAMLVYVA* >Brasy7G205400.1.p pacid=40044848 transcript=Brasy7G205400.1 locus=Brasy7G205400 ID=Brasy7G205400.1.v1.1 annot-version=v1.1 MIYLTCGEPGFLFRSCLLALPCLHIISLLASLSFKKKEKKALLASLPLHGVLHPSSLPRALPSCFTRLHKIHPSIANSQASKHSDEISFARTIAAQLEDSDKYSTPAVAAMGMELGLSEFSELAAPLSPIRTAAGDREEDAGAGAGCCVTPKAADSVLPAAMAPLQELEGGAAEDAAAECCVTPMAATGSVAVAVAMAPAAAAAAAAPQEQVVGGEEEDGGVSYTTPTSAASALREATACPPAPRKKKPASVEEWAKRKLQRRLFVQVPHDLTTVFLARGDPPPSPSPPAAKKLRGACRAGAAIDLCRALS* >Brasy7G106500.1.p pacid=40044849 transcript=Brasy7G106500.1 locus=Brasy7G106500 ID=Brasy7G106500.1.v1.1 annot-version=v1.1 MSPMFRRFVHLIADDVKGGYTLRNIDVKPLFAGVGARSTKVRWTALPRPAAFFECGDPYNYTNFFSMGSKIVSVNMNRHTILYDTITSAMSAGPDLHHGKFLDPAWAVVRGKLYVGNAFDKNVGTVPCFEALCLGDQRQDWCWDMLPIPPFLVDPSSGGNLITCFASGDDNHIWISTLDKGTYIFNTTTATWCKVGDWALPFRGQVQYIPEYGLSVGFSRRLSKLCSADLIVGSRNLEPPVHNNVWDDVGGYSCAHWKLARSYLAHLGCNKFCVARFYDTMPDLERFIPFSDALVLTALEARIGSRMGDLQMTEFASRCYKFDSNTLYGWVL* >Brasy7G118300.1.p pacid=40044850 transcript=Brasy7G118300.1 locus=Brasy7G118300 ID=Brasy7G118300.1.v1.1 annot-version=v1.1 MHSSGGKKVKMEKAEKDKKAAWVMERYAEAREELLKAIGSSADKEPWERFRDFWVDVWGEGGYFGKFEDNTAIPPMRYTFSDPDYGRPMETLLFFSVKVAKNDESLHWPLDVYGFVAVRDVLDRKRNMIFCCERDNCQTINQQDPYLALTGPTRAVAVSVDPSYFEVKLKVKGATESEDRDFSLFASTYRSGSKARTFTSKLSTLEMTFQEILRSVEATVSLKVIDGSWPDGFRGEFSARIDSVPNMKFRLLDCGDDKLPTDVDGKIQLTRRVVSVELRGFLRVSVLAHHVNGKQVKRREAIFQPKRCGTSSNSKLKVGSCSMEITVSWSLFSSLP* >Brasy7G116200.1.p pacid=40044851 transcript=Brasy7G116200.1 locus=Brasy7G116200 ID=Brasy7G116200.1.v1.1 annot-version=v1.1 MYSGEVASVLLPPVLHLPPESTVSFEPHRYQYHYQIAAAHDHFLFQCHGFVDDEPFPPDNPPPLAPVLRNNGSTSSDEPAAADERQRAEERRKRRVASNRESAWRSRVRKQKQLGQLRAQAAQLRDANSGLLDRLNRAIRDCGRVVRDNSRLRDERAELRRMLKI* >Brasy7G116200.2.p pacid=40044852 transcript=Brasy7G116200.2 locus=Brasy7G116200 ID=Brasy7G116200.2.v1.1 annot-version=v1.1 MYSGEVASVLLPPVLHLPPESTVSFEPHRYQYHYQIAAAHDHFLFQCHGFVDDEPFPPDNPPPLAPVLRNNGSTSSDEPAAADERQRAEERRKRRVASNRESAWRSRVRKQKQLGQLRAQAAQLRDANSGLLDRLNRAIRDCGRVVRDNSRLRDERAELRRMLKI* >Brasy7G016700.1.p pacid=40044853 transcript=Brasy7G016700.1 locus=Brasy7G016700 ID=Brasy7G016700.1.v1.1 annot-version=v1.1 MIRSFSLIHLVVRSRSRHAALLELPRRHSVLPNMVHGLQTAEETKDWAMMLTRRNWQAAQKIRKFALDFKNRDQSQHKL* >Brasy7G050500.1.p pacid=40044854 transcript=Brasy7G050500.1 locus=Brasy7G050500 ID=Brasy7G050500.1.v1.1 annot-version=v1.1 MDPKLHQEWTSFEVQEARSLIARLISINTIDSYDIDEKNKVHNHIVDALHALFPWKTKEQVTDFYVTLYAEMHMMQGQEDSHAAAGGMHTACALPNHVNGSFGVPEEESCCANGAQDVCAMGDLANSVFRVPEEEGEENMDGNVLVFGSPCEETNIMPTEEASLTVEEQEVEVLDNNISSDQQVTAPKPVVGGWDKEEQRLFILGLRAFGRGDWKNISKYFVTTRTPVQVSSHAQKFFRRLKNRALSKTQRHSINDVDTSSFVTLDDIAQFLYSQQVVQQPVWSEQQMMGSGAATMDGVGNFEPACRQGSTYLPPRNG* >Brasy7G132700.1.p pacid=40044855 transcript=Brasy7G132700.1 locus=Brasy7G132700 ID=Brasy7G132700.1.v1.1 annot-version=v1.1 MRLRPQLVRDSKSPVCCASGPQLVLGFQLAGLPRLRPQLAQDVQLADKLRLRPSSSQTQLASLPEVCSSPACCASASSSSETPRRLAAPPAPSRFLCPPGVRIILTVQNSI* >Brasy7G089600.1.p pacid=40044856 transcript=Brasy7G089600.1 locus=Brasy7G089600 ID=Brasy7G089600.1.v1.1 annot-version=v1.1 MNPVACLRLRASTATPPFPSRCRAAPQPPRSVSRLPPRASASTAEIEGLLTPEEQAILDQNETPDIAEISSPKWHPLHTYALALQIPLMDKLLDSGVDINLVDKDGFTPLHKAIIGKKEAVISHLLRKGANPHFRDRDGATPLHYAVQVGALQTVKLLINKYTVDVNVADVDGWTPLHLAIQSRNRDIAKILLVNGADQTRRTKGGRTPLDLSLCFGRDFNSYDLAKLVKLVPANRVV* >Brasy7G089600.2.p pacid=40044857 transcript=Brasy7G089600.2 locus=Brasy7G089600 ID=Brasy7G089600.2.v1.1 annot-version=v1.1 MNPVACLRLRASTATPPFPSRCRAAPQPPRSVSRLPPRASASTAEIEGLLTPEEQAILDQNETPDIAEISSPKWHPLHTYALALQIPLMDKLLDSGVDINLVDKDGFTPLHKAIIGKKEAVISHLLRKGANPHFRDRDGATPLHYAVQVGALQTVKLLINKYTVDVNVADVVS* >Brasy7G092600.1.p pacid=40044858 transcript=Brasy7G092600.1 locus=Brasy7G092600 ID=Brasy7G092600.1.v1.1 annot-version=v1.1 MEMERDFHMANGEGDTSYAKNSTHQRQALLETKPVLEEALRDVYMDLPNPTMLTVVDLGCSSGENTLLFVSNVLEAIRCHGEKLRQGNQLVELQFFLNDLPGNDFNHVFRSLERFKESIAIIPSKREERRPPFYIAGLPSSYYTKLLPRQSVHLFHSSYCLHWRSQLPDELVAEAGMYLNKENIYIAKSTPPHVVKLYQEQFQKDMLLFLKLRYEELVVGGQMVLTFLGRKDEDVYTGAMSHLYGLLAQSLETLVQEGLVEREKLDAFNLPFYGPSVGEVNDIVKASGQFDINHIKLFESNWDPHDNSEDDGPLHDPLGSGKNVAKTLRAVMEPLFASHFGESIIDRLFDEFAYNVAAHLEREKTKYSIIVLSLKRK* >Brasy7G113300.1.p pacid=40044859 transcript=Brasy7G113300.1 locus=Brasy7G113300 ID=Brasy7G113300.1.v1.1 annot-version=v1.1 MVGEWSLGLFDCFGDFGTCCLTFWCPCVTFGRIAEIVDKGSTSCCMNGTLYVCLGTIGFHWLYSCTKRSAMRSQYNLQESPCMDCCVHLCCESCALCQEYKELETRGFNMAKGWEGSNKMVGCVQGMKAPGKQGMCF* >Brasy7G149100.1.p pacid=40044860 transcript=Brasy7G149100.1 locus=Brasy7G149100 ID=Brasy7G149100.1.v1.1 annot-version=v1.1 MGVFVVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHIYPDALKLTPAPEAAAAGAEEEEDQEKKNNSKKPAAAVVRSALADALVHYYPFAGRIVDDGAAPGRPAVLCCAEGVYFVEATANCTLADVNFLERPLLLGKEDLVPYPAPELWAVEPHNTLAMIQVTTFTCGGFVLGLRTNHAVADGTGAAQFLNAVGDLARGLQEPRVKPVWARDRFPDPDIQPGPLPELPVLALEYIASDFPVTYIDKIKSEYNACSGGKHCSGFDIVIAKLWQSRTRATIGIPGSSASADVKLCFFASARQVLKLEPGYWGNAIFPVKVTAPAEKVAGSSVVEIVGLVREAKKQMGQDCLSWAEGRTGGRDPFQMSFDYESVYVSDWSKLGFSDVDYGYGTPMTAGPLVNCDLIASVIVMKAPAPLAGTRLLASCVTKEHAEDFASRMREDIA* >Brasy7G153300.1.p pacid=40044861 transcript=Brasy7G153300.1 locus=Brasy7G153300 ID=Brasy7G153300.1.v1.1 annot-version=v1.1 MGSVSAADVVIPACAVIGIAFALWQWFLVAKVKVSAYAPTGNGHGRAVFRAEGEDYDDDARIGGRGDSDEEEDGGDGAAAVARCAEIQNAISVGANSFLYTQYKYLAAFTAIFAVVIFLFLGSVHRFSTASQPCQYSKGKTCRPALANAAFTTVAFLLGAVTSVVSGYLGMRIATFANARTTLEARRGIGAAFATAFRSGAVMGFLLSSLGLLVLYAAIKLFALYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADKDFAAVCYPLLISSAGLLVCLATTLFATDFFKVKTARGVAPALKLQLVISTGLMTVAALVVTFAALPAKFTLFDFGEEKQVKNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVINLLSPKVFAGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFSTIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVHTLAGLLAGALASGVQVAISASNSGGAWDNAKKYIEAGVSEHAKALGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFK* >Brasy7G226800.1.p pacid=40044862 transcript=Brasy7G226800.1 locus=Brasy7G226800 ID=Brasy7G226800.1.v1.1 annot-version=v1.1 MSRRRCAVVHRRSLRCKIMIQDVQTSGLLTETAGGGQAVRQDGLLSFSWFSFWKTNCMDGHIGVRPEANSREMTMWWWLGKLVLVCRTVFDQ* >Brasy7G097800.1.p pacid=40044863 transcript=Brasy7G097800.1 locus=Brasy7G097800 ID=Brasy7G097800.1.v1.1 annot-version=v1.1 MTATGKQNWSINLKLSSSRICVCRKTEREMVLLATGSAAAPATTVDPQPADPPAAAAPVGPPPAGPSRPTPQVPVVVVVVPGCCSGTTPDDPPSDGPNPRVPPISGTPDRPRPPRAQSGAPDACERDVLDPVNNLNS* >Brasy7G136800.1.p pacid=40044864 transcript=Brasy7G136800.1 locus=Brasy7G136800 ID=Brasy7G136800.1.v1.1 annot-version=v1.1 MSKRSSFARASCLGVLLVLVLALQQQQQVDADEMSCSDVVSGVSPCLGFLQGEEDYPSSDCCEGVSGLVAAAATTADRQQACECLKSAAGDGSAGASAARDLPADCGISLPFTISPDVDCSQIE* >Brasy7G219000.1.p pacid=40044865 transcript=Brasy7G219000.1 locus=Brasy7G219000 ID=Brasy7G219000.1.v1.1 annot-version=v1.1 MIFCRAGPHWAAAQAVAQSLHVTGWKKISTAQLRLGFPAQISRSSATCCLPSTWACRCSLAGPPVGAGEREVERMPELPEVEAARRALEAHCVGRRIARCTVADDPKVVVSTSRVAFERAMVGRTIVAARRKGKNLWLRLDAPPFPSFQFGMAGAIYIKGVAVTNYKRSAVSSADEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFEPMSVDNFVDSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASSLSRESCEALHKSIKEVVKYAVEVDADCDRFPVEWLFHHRWGKKPGKVDGKEIEFITAGGRTTAYVPQLQKLTGTQSNKMVAAYPGQLSADGDAANEVLADGEDDDLKPRKRVATFRAVRGQQKKDAISAPSIKTRKNVGGKEKPSIEHIENEDVDTMEPNKTGSNSNDEHGLHKPTARAGKIPDRVTRKSSRNKMKPSK* >Brasy7G151100.1.p pacid=40044866 transcript=Brasy7G151100.1 locus=Brasy7G151100 ID=Brasy7G151100.1.v1.1 annot-version=v1.1 MRRSSSGARVSEGGDASSPATVGHHGDDTALPTFDPLSAAGRREAARVRALGRAVHCIPLLLFLCALVLWLSAAASPILLD* >Brasy7G116900.1.p pacid=40044867 transcript=Brasy7G116900.1 locus=Brasy7G116900 ID=Brasy7G116900.1.v1.1 annot-version=v1.1 MTTATTDSPVVAPAPPHVLVVPYPAQGHTIPILDLARLLAARGLRLTVVATPATAPLLGPLRAAHPGGTVRALTLPFPSHPAFPAGVESAKGCPPALFGALIVAFAGLRSPLGSWVRARSGTPDRVVAIFSDFFCGWTQPLAAELGVPRVAFSSSAVYATAVLHSLLRRLPTREDESDDECCITFPDLPGAPAYPWRQLSMLYRTYEEGDEVAEGVRSNFLWNLDSSAFVSNTFVYLEGRYLEAPLADLGFKRVRAVGPQAPEDHAASNRGGETALSAAHLCAWLDKFEDGSVVYISFGSMAVLQPAHAAALAAALERTRAAFVWAVGTAATLPEGFEERHAAAAAGGRGMVIRGWAPQVAALRHRAVGWFVTHCGWNSVLEAAAAGVRILAWPMAADQFVNARLLVDELRAALPVCWGGLDTAPSVDQLVRVLESTVAGKGKEWGDLTARAKELAEEAAAAVREGGSSWREVEDLARELRELGDSILQ* >Brasy7G217100.1.p pacid=40044868 transcript=Brasy7G217100.1 locus=Brasy7G217100 ID=Brasy7G217100.1.v1.1 annot-version=v1.1 MESSCIATVKRLSKLSIQLRNMWSLLSRALPKMLGFPPTLLKSFSKEDQQHHPPLMEATCKGILPELPQDIMMDIFTLLEIPDLVRARSVCRSWCSAYTSLHNLGQYKRCQTPCLLYTCETDSESAARLYNLVEKRLYKLSLPAETPIRSRCLNGSSNGWLLTVDDRSEMHLLNPITREQFALPSVITIEQVTSIFDETGAMCKYHYVPSRVQRPMTLDLGELRKYLHRKAFVFYDTCAESYVVVLIHNPSFRLSFAWIGDDKWTSLPPHSDFMDCIYKDGLLYAVAHGGEIFAFNLRGPMVTVKLIMGRATTHHFENTYIVQSPPGDLLLVERKQHIYHEDDDSVTYFSKTENFHIFKVDTAAKKLVKINSLDDHVLVLGDNQSLCLSAEEYPQLKANRVYFTDDLSAYLFGYKNTCHDIGVFDLANNSTEELVSTQLWSYWPAPIWITPALAKISPTLVLDKVGLLLTLWKPLHPSKSQARMDEMIKTIHVRLLDSDGSNARVGVG* >Brasy7G002300.1.p pacid=40044869 transcript=Brasy7G002300.1 locus=Brasy7G002300 ID=Brasy7G002300.1.v1.1 annot-version=v1.1 MSTARRPYNNSNNRRPSGGVGGGPLPQSNNNNNGPRPAAAAAAHAEPAKPGQLRKPVFTTVDQLLPQTQGHTLTARVVSARTVLDKGPAAPSHLRRTRVAECLVGDHTGSVLFTARNNQIEMLKPGNTVIFRNARIDMFKGTMRLAVDKWGRIEVIEDPVGFKVNEDNNVSKVEYELVDVSDKHD* >Brasy7G065300.1.p pacid=40044870 transcript=Brasy7G065300.1 locus=Brasy7G065300 ID=Brasy7G065300.1.v1.1 annot-version=v1.1 MSPPATAPTTNLTGAGSCTEEPFRVFVGYDSREDIAYRVCRRSLLRRSSIPLEITPIVQDELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARTCAADPRHAVLCVHHDYAPKEATKMDGAVQTLYPRKNWSSMVLFNCAHPKNRAALTPDAVSTRSGAHLHRFAWLDDDEVGEVPFVWNFLVGHNRVDPDDVAGTTPRAIHYTSGGPWFERYKDCEFADLWIQERDAYESEDKQDHDEVKPVGAPVPSPAVSVDA* >Brasy7G203900.1.p pacid=40044871 transcript=Brasy7G203900.1 locus=Brasy7G203900 ID=Brasy7G203900.1.v1.1 annot-version=v1.1 MDTALSAVASELTSRFVSFLISKYRNQACLRNKKNLESLRRLLLRVHTVVEEAEARHITNSRMLLQLKLHMEAMYQGYDALDTYSPLEQIRITDQSDQVSGSCTMEYFPIARIRRFNFNSSSTAIVSSSSSSKDVQSALENLEAAAANMAEFVTVLLGFDRMPRSPYSCYLFIDSFLFGRQVERQQIINILMMQDDGNLAVPMAVLPVIGGCRVGKKTLLSSVCRDDRIRGRFSSILHVNGSEIQEFDSRKLTDPPVRTLVVLELRSDIDDKEWHKFHSRLTALTSAGSKIVIISRLEKAARFGTVNNSLVRINGFSQEEYGYLFKVLAFGSADPGEHPRLALIGKELATMMQGSLVHLNVYSSMLRGNLSVQFWTRVLKLYKTVMEAVRNKP* >Brasy7G203900.2.p pacid=40044872 transcript=Brasy7G203900.2 locus=Brasy7G203900 ID=Brasy7G203900.2.v1.1 annot-version=v1.1 MDTALSAVASELTSRFVSFLISKYRNQACLRNKKNLESLRRLLLRVHTVVEEAEARHITNSRMLLQLKLHMEAMYQGYDALDTYSPLEQIRITDQSDQDDGNLAVPMAVLPVIGGCRVGKKTLLSSVCRDDRIRGRFSSILHVNGSEIQEFDSRKLTDPPVRTLVVLELRSDIDDKEWHKFHSRLTALTSAGSKIVIISRLEKAARFGTVNNSLVRINGFSQEEYGYLFKVLAFGSADPGEHPRLALIGKELATMMQGSLVHLNVYSSMLRGNLSVQFWTRVLKLYKTVMEAVRNKP* >Brasy7G113100.1.p pacid=40044873 transcript=Brasy7G113100.1 locus=Brasy7G113100 ID=Brasy7G113100.1.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.7.p pacid=40044874 transcript=Brasy7G113100.7 locus=Brasy7G113100 ID=Brasy7G113100.7.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.2.p pacid=40044875 transcript=Brasy7G113100.2 locus=Brasy7G113100 ID=Brasy7G113100.2.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.6.p pacid=40044876 transcript=Brasy7G113100.6 locus=Brasy7G113100 ID=Brasy7G113100.6.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.8.p pacid=40044877 transcript=Brasy7G113100.8 locus=Brasy7G113100 ID=Brasy7G113100.8.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.3.p pacid=40044878 transcript=Brasy7G113100.3 locus=Brasy7G113100 ID=Brasy7G113100.3.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.4.p pacid=40044879 transcript=Brasy7G113100.4 locus=Brasy7G113100 ID=Brasy7G113100.4.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.9.p pacid=40044880 transcript=Brasy7G113100.9 locus=Brasy7G113100 ID=Brasy7G113100.9.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.10.p pacid=40044881 transcript=Brasy7G113100.10 locus=Brasy7G113100 ID=Brasy7G113100.10.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.11.p pacid=40044882 transcript=Brasy7G113100.11 locus=Brasy7G113100 ID=Brasy7G113100.11.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRVHLARCRSITQVVVLQRATPPKM* >Brasy7G113100.5.p pacid=40044883 transcript=Brasy7G113100.5 locus=Brasy7G113100 ID=Brasy7G113100.5.v1.1 annot-version=v1.1 MKNKWLQHCLYYSFVSFILCQKSSCVKKPSASCMICGGYKHEVGHGCYLSCMGMYLHPQCFRCSFCSPYPQDRGNKLTFLVFKIRQKLNCFL* >Brasy7G211100.1.p pacid=40044884 transcript=Brasy7G211100.1 locus=Brasy7G211100 ID=Brasy7G211100.1.v1.1 annot-version=v1.1 MEAPAAGIGVPSSLFSPNKLRPSDLDLSKIHHGCLLGLFPMWWSLMAAADFGQILGRTFMVKLFSYGVLLSALLFSTCVCSGLSMLHLCKLYLDAVLSMKYGEDALVGLLALFELCTKDR* >Brasy7G135400.1.p pacid=40044885 transcript=Brasy7G135400.1 locus=Brasy7G135400 ID=Brasy7G135400.1.v1.1 annot-version=v1.1 MGVDRSDNKENLPPATAPSAAVARLHGVAVKSCKLKRLSKARRRVPLRDITNLFVAVESVVPELQQDLPQPPEGSATAEVPKAQPAVKNGLAAGGSASKPVRYSLRKGFR* >Brasy7G147600.1.p pacid=40044886 transcript=Brasy7G147600.1 locus=Brasy7G147600 ID=Brasy7G147600.1.v1.1 annot-version=v1.1 MATERNGDGGEKRAENGGGGGVEMPEIRHTKLFINGSFVDAVSGKTFETRDPRTGDAIASIAEGDSADVDLAVRAAREAFDHGKWPRMSGSERGKIMMKYADLLEESAEELTRLESLDAGKPAVVTRAVDIGASVGTLRYFAGAADKIHGETLKMSRQFQGHTLREPVGVAGLIIPWNFPSIMFFTKVAPALAAGCTMVVKPAEQTPLSALYVAHLAKQAGVPDGVINVVIGFGPTAGAAIASHMDVDMVSFTGSTAVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADVDMAVDLAISANFFNKGEACVAASRVYLQEGIYDRFEKKLAESMKNWVVGDPFDPRVNQGPQVDKAQYERVLNYIEHGKREGATVLTGGKPCGQKGYYIEPTVFTDVKDDMIIAKEEIFGPVMCLMKFRTAEEVIAKANDTRYGLAAGVVTKDIDVANRMTRSIRAGVVWVNCYFAMDADCPFGGRKMSGFGKDASMHALDKFLAVKSVVTPVYDSPWL* >Brasy7G020500.1.p pacid=40044887 transcript=Brasy7G020500.1 locus=Brasy7G020500 ID=Brasy7G020500.1.v1.1 annot-version=v1.1 MVPVVISPKVMFPAASPSVTFLRTSALPSAMFSTPSRADTVLLGLPSRTVASSFSHMLFH* >Brasy7G021200.1.p pacid=40044888 transcript=Brasy7G021200.1 locus=Brasy7G021200 ID=Brasy7G021200.1.v1.1 annot-version=v1.1 MSTVTGAYLDRKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKAHLNPTGQALIVCTAAGMAYFVAADKTILSLARRHSFEEAPEHLRDTSFKLHGAAAAPATAPRPRPSSSDIVQAIMAMSP* >Brasy7G088900.1.p pacid=40044889 transcript=Brasy7G088900.1 locus=Brasy7G088900 ID=Brasy7G088900.1.v1.1 annot-version=v1.1 MAPFPVSPPSSGNSGKSGAVGSPRSSLLQRSSSECNVVVQRNIKSSLLLLLAISAVFAFSILYSSRNLTVATMAGEAVTQGPLLAALDMGRLVHDDEAVDGPTGPDTDVTEQRGPADDVSLPAENDPSAVAVVAPAPSTAEQTAGPSMQLVEKCDISMGKWVREPKGPVYTNLTCPMLPDYKNCQKYGKDTGHLYWRWQPDGCDLPRFSPARFLDVVRGKRLAFIGDSLARNQMDSLLCLLSQAETPAEVYTDALDKFGTWHFPAHNFTLTVMWTEFYAHAEPVLDAAGKPTASFHIHLDRLGADWTSRLRGLDYAVISGGNWFFRVNYLWEGGRLVGCLNCGGSGNLTQFSVADAVRRVVRAAIEGIAKCRDCKSGLVTLLRTYSPDHFEHGSWFSGGYCNRTRPLEEREVSFESVGWELRKVQREEVRRVMRKENLASGGKRFGVMDVTKAMMMRADGHPDRHYDKRWVRNGSDCLHWCLPGPVDMWNGVLLQRLAAADRP* >Brasy7G088900.2.p pacid=40044890 transcript=Brasy7G088900.2 locus=Brasy7G088900 ID=Brasy7G088900.2.v1.1 annot-version=v1.1 MQLVEKCDISMGKWVREPKGPVYTNLTCPMLPDYKNCQKYGKDTGHLYWRWQPDGCDLPRFSPARFLDVVRGKRLAFIGDSLARNQMDSLLCLLSQAETPAEVYTDALDKFGTWHFPAHNFTLTVMWTEFYAHAEPVLDAAGKPTASFHIHLDRLGADWTSRLRGLDYAVISGGNWFFRVNYLWEGGRLVGCLNCGGSGNLTQFSVADAVRRVVRAAIEGIAKCRDCKSGLVTLLRTYSPDHFEHGSWFSGGYCNRTRPLEEREVSFESVGWELRKVQREEVRRVMRKENLASGGKRFGVMDVTKAMMMRADGHPDRHYDKRWVRNGSDCLHWCLPGPVDMWNGVLLQRLAAADRP* >Brasy7G176200.1.p pacid=40044891 transcript=Brasy7G176200.1 locus=Brasy7G176200 ID=Brasy7G176200.1.v1.1 annot-version=v1.1 MLSGPTACARRKRRPVGHEAALAGQPHSGGGSRPRTERWRQPARGGAAAAHARILTRRGQHTVQRGTARTSTAERPRDSRCNNGSRRRRRPLHPRAPEAAGAVRASRAGSASRGVQRGLAPTRRSLTATGSMAAGLQCTRGRAAAALCGTRPTMSGGSVGEARHLAAALSSTPRRCSWPAAAATAPARPVPTAARAHPEGGARALRQLVRRHARGDDIGAAARAARPAPSSSARRRAPLQRLHVVAWDGSRHGACGGRR* >Brasy7G124900.1.p pacid=40044892 transcript=Brasy7G124900.1 locus=Brasy7G124900 ID=Brasy7G124900.1.v1.1 annot-version=v1.1 MRYTSGTYMNKDRDFVKCINHGPKFGGCDFWYWIDEYATLLLHMGVLPEGSSLLKPLGDVPREMIAASTGRGRLESGTATERVGAVPACEYSLAASVRMSKSFCESMNLFLILAIVVLAAWMLPKNK* >Brasy7G137800.1.p pacid=40044893 transcript=Brasy7G137800.1 locus=Brasy7G137800 ID=Brasy7G137800.1.v1.1 annot-version=v1.1 MFPPKGPNPYGQQPPYGGQQSYGGQIHGSSGFGASAPAGARAGQGAAGQYGGPYASVYGTQQVGGLGAKGPESSSLTSLPTHPTSLSQSSKFSSGSAGSNLARPNDDYMAVRGYAQKLDQYGTDYTSERRMYGEHSANLGRRDGHTDLDRRYPDHIPAGHRIHDRVEQGSTMRHQPLLKAQVQPVSDMRQADYFAGRSAPSHQDSQEIATYGRAEADHRNLSILGNVPYGGQQAVSLLGGAPRTNMDSLGYGQGSSSSGYGMGLPPGRDYALGKGLLHPSSDSDYRDSILPRARPGISMVDDRAIDRAGYRRELDLREEERRRDLLLEREKELERERERELLDVRDRERERDRERERERDRERDRERLRERERERERERERERLRERREKERERNRKHVADSRRERSPPKTPGDRRRSSSVRSDKPLRRLSPRRDAAHRHRSPIKEIKREYICKVLPFRLVDDERDYLSLTKRYPRLSVIPDFSKIILNWAKESLNLSLHTPVSLEHGIYEDDKADENALASSEKTSSIKTPETVWNAKVLLMSGMSNGAFADITSMRSTEERVVHLNNILKFAVFKKDRSLLAIGGPWSAALDGGNPLVDCCLIQTAIRYVKELVQVDLSNCTNWNRFLEVHYNRAGNDGLFSHKEITVLFVPNLSECLPSVDVWKNNWISYRKSKTEREQLIMKKEKNPGDLKEQEQGKPSEAKSMNDDHLKEGDVGCSATRTEEVDTDMEQQGKEGEGNLARDDVGNLDKVEEKLEKTAVVVEGNTSGGVSLDNVVEDKKPVKKKIIKKVVKVVRKKRTGEASVNKSPQLDKNAVAETASKLEEHIEQKSEDVGKEQERAGISLQPDAKISGKKKVIRRVVKRKVPASASELTAVAVPSETCKQEVEVEPEKNNSLTDAGTSQTKLEEGLKTPAEDTSNQKIEEELEMKGHVLTDDQKSSVDKEVNQQEAVEQKDVKIDEKKDKTKDDNEKKNKDQKMDPKKKSLTDTKEKKKSDEPPKHPGFILQCKKSKESKLRSTSLSLDGLLDYTTNDTEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRQYVKKRNQRKRQREEDLKKEDKKPSEKRPKTTDETIPGDIGNPGKKDEATKEGEEKMSTDQSAAAHEEPIKVGDQEMVIDRPEATLDQLKEGLEKIDEDQSADAREKLEDAPAEEKMEDGDSEPEEDPEEVEIYEGDEDMDDATAEELAESQNEENSTERENKPEDLTADDGGNKATENLKLENIANNNEKSASGDDKQSVAEKGDLIEMGEKSIGKEGKTSASQKGDSAKHEVVDKDLLQAFRYFDQNRVGYIKVDDLRCILHNLGKFLSNRDVKDMVQIALAESNSARDSRIIYTKLVKKVGL* >Brasy7G137800.2.p pacid=40044894 transcript=Brasy7G137800.2 locus=Brasy7G137800 ID=Brasy7G137800.2.v1.1 annot-version=v1.1 MFPPKGPNPYGQQPPYGGQQSYGGQIHGSSGFGASAPAGARAGQGAAGQYGGPYASVYGTQQVGGLGAKGSNLARPNDDYMAVRGYAQKLDQYGTDYTSERRMYGEHSANLGRRDGHTDLDRRYPDHIPAGHRIHDRVEQGSTMRHQPLLKAQVQPVSDMRQADYFAGRSAPSHQDSQEIATYGRAEADHRNLSILGNVPYGGQQAVSLLGGAPRTNMDSLGYGQGSSSSGYGMGLPPGRDYALGKGLLHPSSDSDYRDSILPRARPGISMVDDRAIDRAGYRRELDLREEERRRDLLLEREKELERERERELLDVRDRERERDRERERERDRERDRERLRERERERERERERERLRERREKERERNRKHVADSRRERSPPKTPGDRRRSSSVRSDKPLRRLSPRRDAAHRHRSPIKEIKREYICKVLPFRLVDDERDYLSLTKRYPRLSVIPDFSKIILNWAKESLNLSLHTPVSLEHGIYEDDKADENALASSEKTSSIKTPETVWNAKVLLMSGMSNGAFADITSMRSTEERVVHLNNILKFAVFKKDRSLLAIGGPWSAALDGGNPLVDCCLIQTAIRYVKELVQVDLSNCTNWNRFLEVHYNRAGNDGLFSHKEITVLFVPNLSECLPSVDVWKNNWISYRKSKTEREQLIMKKEKNPGDLKEQEQGKPSEAKSMNDDHLKEGDVGCSATRTEEVDTDMEQQGKEGEGNLARDDVGNLDKVEEKLEKTAVVVEGNTSGGVSLDNVVEDKKPVKKKIIKKVVKVVRKKRTGEASVNKSPQLDKNAVAETASKLEEHIEQKSEDVGKEQERAGISLQPDAKISGKKKVIRRVVKRKVPASASELTAVAVPSETCKQEVEVEPEKNNSLTDAGTSQTKLEEGLKTPAEDTSNQKIEEELEMKGHVLTDDQKSSVDKEVNQQEAVEQKDVKIDEKKDKTKDDNEKKNKDQKMDPKKKSLTDTKEKKKSDEPPKHPGFILQCKKSKESKLRSTSLSLDGLLDYTTNDTEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRQYVKKRNQRKRQREEDLKKEDKKPSEKRPKTTDETIPGDIGNPGKKDEATKEGEEKMSTDQSAAAHEEPIKVGDQEMVIDRPEATLDQLKEGLEKIDEDQSADAREKLEDAPAEEKMEDGDSEPEEDPEEVEIYEGDEDMDDATAEELAESQNEENSTERENKPEDLTADDGGNKATENLKLENIANNNEKSASGDDKQSVAEKGDLIEMGEKSIGKEGKTSASQKGDSAKHEVVDKDLLQAFRYFDQNRVGYIKVDDLRCILHNLGKFLSNRDVKDMVQIALAESNSARDSRIIYTKLVKKVGL* >Brasy7G053500.1.p pacid=40044895 transcript=Brasy7G053500.1 locus=Brasy7G053500 ID=Brasy7G053500.1.v1.1 annot-version=v1.1 MADAEPWKTVKIHPTVQELVTGMHDEPPSRYVIPELNRRPVVAGSEMPDPIPIVDLSRLSNNSADEVAKLQSALENWGLFLAVGHGMEPGFLGEVMKVTREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRVYSLWPTQPPSFRDILSEYTVSCRKIANLVLENLSKLLDLQEDYFVNMLDENAMTYARLNYYPHCPKPEHVFGMKPHTDASVITIVFIDDKVSGLQLQKDGVRYNVPIVPNALLVNVGDVMEMLSNGFFKSPVHRVVTNAEKERLSLVMFYTMDPEREIEPVPELLDEKRPRRYKKIKTKDYIAQLFETFARGTLAIDTVRI* >Brasy7G053500.4.p pacid=40044896 transcript=Brasy7G053500.4 locus=Brasy7G053500 ID=Brasy7G053500.4.v1.1 annot-version=v1.1 MADAEPWKTVKIHPTVQELVTGMHDEPPSRRLSNNSADEVAKLQSALENWGLFLAVGHGMEPGFLGEVMKVTREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRVYSLWPTQPPSFRDILSEYTVSCRKIANLVLENLSKLLDLQEDYFVNMLDENAMTYARLNYYPHCPKPEHVFGMKPHTDASVITIVFIDDKVSGLQLQKDGVRYNVPIVPNALLVNVGDVMEMLSNGFFKSPVHRVVTNAEKERLSLVMFYTMDPEREIEPVPELLDEKRPRRYKKIKTKDYIAQLFETFARGTLAIDTVRI* >Brasy7G053500.5.p pacid=40044897 transcript=Brasy7G053500.5 locus=Brasy7G053500 ID=Brasy7G053500.5.v1.1 annot-version=v1.1 MADAEPWKTVKIHPTVQELVTGMHDEPPSRADEVAKLQSALENWGLFLAVGHGMEPGFLGEVMKVTREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRVYSLWPTQPPSFRDILSEYTVSCRKIANLVLENLSKLLDLQEDYFVNMLDENAMTYARLNYYPHCPKPEHVFGMKPHTDASVITIVFIDDKVSGLQLQKDGVRYNVPIVPNALLVNVGDVMEMLSNGFFKSPVHRVVTNAEKERLSLVMFYTMDPEREIEPVPELLDEKRPRRYKKIKTKDYIAQLFETFARGTLAIDTVRI* >Brasy7G053500.2.p pacid=40044898 transcript=Brasy7G053500.2 locus=Brasy7G053500 ID=Brasy7G053500.2.v1.1 annot-version=v1.1 MADAEPWKTVKIHPTVQELVTGMHDEPPSRYVIPELNRRPVVAGSEMPDPIPIVDLSRLSNNSADEVAKLQSALENWGLFLAVGHGMEPGFLGEVMKVTREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRVYSLWPTQPPSFRDILSEYTVSCRKIANLVLENLSKLLDLQEDYFVNMLDENAMTYASQRAPAAKGWRQVQRAHCPKCIARERRRCNGDAEQRVLQESGSQGCDQCRERAAVIGDVLYDGPGEGD* >Brasy7G053500.3.p pacid=40044899 transcript=Brasy7G053500.3 locus=Brasy7G053500 ID=Brasy7G053500.3.v1.1 annot-version=v1.1 MADAEPWKTVKIHPTVQELVTGMHDEPPSRADEVAKLQSALENWGLFLAVGHGMEPGFLGEVMKVTREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRVYSLWPTQPPSFRDILSEYTVSCRKIANLVLENLSKLLDLQEDYFVNMLDENAMTYASQRAPAAKGWRQVQRAHCPKCIARERRRCNGDAEQRVLQESGSQGCDQCRERAAVIGDVLYDGPGEGD* >Brasy7G198000.1.p pacid=40044900 transcript=Brasy7G198000.1 locus=Brasy7G198000 ID=Brasy7G198000.1.v1.1 annot-version=v1.1 MTAYTEFYRTGQGEAPFESNLFNVITFLGLPTQEFCGARRLANQFGLDHWIIKGKIHGRQVPPTTEDITVTAYAWDFGLAYAMHEALVRVCGVHADALSHTLYCHLENRTRRGLPIRSPRVSGFAQRMEDLDYRLYDMQTRRDRQIDKVLGLKTKNAELEKQAAELQEAIVNLEQKNKETRDKLAIMMKAMSSTKKATP* >Brasy7G140900.1.p pacid=40044901 transcript=Brasy7G140900.1 locus=Brasy7G140900 ID=Brasy7G140900.1.v1.1 annot-version=v1.1 MAKPRGNLAAVHLLFCCIIAGLAFAAPATAAVSPAPAPGPANVINATWDVEYILWAPDCQQRVMIGINGEFPGPTIRARAGDIVSVTVRNKLHTEGLVIHWHGMRQVGTPWADGTASISQCAIAAGENFTYEFVADKPGTYFYHGHFGMQRAAGLYGSLIVDVTEEQGEPYRNDYDGELSMLLSDWYHENVYAQSAGLDRKDRHFQWIGEPQTILINGRGQYECMLGSVSRAIPTGRKARTCDRPGSFWFWWNDPCRDRDRAVDKCERRSECGPHCPRSQCAPVVFAVEPGKTYRLRIASTTTLSALNVQVQGVRTERRNSSFHLLARHSSHEMVVVEADGNHVEPFAVKDLDVYSGESYSVLLKTDKDPSSYWISVGVRGREPKTLPATALLSYNNSRSEWPTGRFASPPETPAWDDLKRSKGFTYRIKARKDTNEPPPPKAYRTIVMLNTQALVGGHVKWSVNNVSLTLPATPYLGAYYHGLQGSAFDASEQAPDGFPDGYDVEKPPGENGYETTLSDRVYELAHGAVVDVVLQNADMMREGVSETHPWHLHGHDFWVLGYGEGRYAGKEQEKLNTADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGAVFVEGVDRMRELKVPMDAVMCGVVRTAAHHLSPAMPTSPAPAPVPALAP* >Brasy7G140900.2.p pacid=40044902 transcript=Brasy7G140900.2 locus=Brasy7G140900 ID=Brasy7G140900.2.v1.1 annot-version=v1.1 MAKPRGNLAAVHLLFCCIIAGLAFAAPATAAVSPAPAPGPANVINATWDVEYILWAPDCQQRVMIGINGEFPGPTIRARAGDIVSVTVRNKLHTEGLVIHWHGMRQVGTPWADGTASISQCAIAAGENFTYEFVADKPGTYFYHGHFGMQRAAGLYGSLIVDVTEEQGEPYRNDYDGELSMLLSDWYHENVYAQSAGLDRKDRHFQWIGEPQTILINGRGQYECMLGSVSRAIPTGRKARTCDRPGSFWFWWNDPCRDRDRAVDKCERRSECGPHCPRSQCAPVVFAVEPGKTYRLRIASTTTLSALNVQVQGHEMVVVEADGNHVEPFAVKDLDVYSGESYSVLLKTDKDPSSYWISVGVRGREPKTLPATALLSYNNSRSEWPTGRFASPPETPAWDDLKRSKGFTYRIKARKDTNEPPPPKAYRTIVMLNTQALVGGHVKWSVNNVSLTLPATPYLGAYYHGLQGSAFDASEQAPDGFPDGYDVEKPPGENGYETTLSDRVYELAHGAVVDVVLQNADMMREGVSETHPWHLHGHDFWVLGYGEGRYAGKEQEKLNTADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGAVFVEGVDRMRELKVPMDAVMCGVVRTAAHHLSPAMPTSPAPAPVPALAP* >Brasy7G206400.1.p pacid=40044903 transcript=Brasy7G206400.1 locus=Brasy7G206400 ID=Brasy7G206400.1.v1.1 annot-version=v1.1 MAPPPPPLPWAWRLALPLVAFVSVPFLLPLTLPFILFLRPGASSSPNPLSFHRLAWLASPQIPSPPPPATKATAYPPPPTEMTSPSPPPPPPPLPPPLPNSPAIEKKTPCDIYDGTWVRATAASRPLYAAGTCPYVDEAYACAANGRPDSAYTRWRWAPRRCALPPFNATDFLSRLRGRRLVLVGDSMNRNQFESMLCVLRQALPDKSRLVETHGWRISKGRGYFVFKFLDYGCTVAFVRSHFLVRVNRQGSTNPTLQIDRIDKTAGRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDTLYPQFDSTEAYRRALTTWARWVDKNMDPDKSVVFYRGYSTAHFRGGEWDAGGSCSGETEPAFRGAVVESYPEKTRIAEEVIARMRFPVRLLNVTRLTSFRKDAHPSVYGKAGAPEGRRRKKKQDCSHWCLPGVPDVWNELIYASLVMEPSPSSWNHR* >Brasy7G217800.1.p pacid=40044904 transcript=Brasy7G217800.1 locus=Brasy7G217800 ID=Brasy7G217800.1.v1.1 annot-version=v1.1 MDWYAWLSKAAGLSPAVTYEYAALLSENELEPRDAPHFDHDLLQSIGIRVAKHRLEILKLARKSSSDSSSSYARARIEGLARKAGRCLARCARRIASGAGGGGGGRRGAAMSVSVAVPRICNGGEGDVVVRAGAVRRRRRRKMVLMITDGGGCGGGEGDGGVRFEAAAAGRKASLMFHDCGYEDEEDDEDDEEGAAGEGGGREIKWDSMFQDLKPT* >Brasy7G093300.1.p pacid=40044905 transcript=Brasy7G093300.1 locus=Brasy7G093300 ID=Brasy7G093300.1.v1.1 annot-version=v1.1 MKQLTYQGIIDFDYLNQRISLMFRSRKEGNNIKLHLEIQLKPVMEKLKPKRREPTSLSNPHPTTDPVVLQPAPCRPPPPALQDPAAPMLPAAGRRRSRRPSCLFSVAFDLLLHRPAPAAVVTTRAISV* >Brasy7G076800.1.p pacid=40044906 transcript=Brasy7G076800.1 locus=Brasy7G076800 ID=Brasy7G076800.1.v1.1 annot-version=v1.1 MARERREIRRIESAAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYSTHSKNLGKSDQQPSIDLNVEHNKYNSLNEQLAEASLRLRHMRGEELEGLSVGELQQMEKNLETGLQRVLCTKDQQFMQHISDLQQKGTLLAEENLRLRSQMPQVPKAGMMTVVETENVATEDVHSSESVMTALHSGSSQDNDDGSDISLKLALP* >Brasy7G209300.1.p pacid=40044907 transcript=Brasy7G209300.1 locus=Brasy7G209300 ID=Brasy7G209300.1.v1.1 annot-version=v1.1 MRRARGRQTSGVEDLGVAGREPDELGGALDNLPLHPLVLRRAAAVDAGDRPDGDVEPGADHVYPPPPAAAAAADLGDLPRDDVRAAHLLRHPAAGHHPPLVPRLIVTLHSPLAVLRHDVHPAAGHRAGARPPFPHPAGHLRPGIRLRVVHGAPGRALERPRPALRHVQLPPRRRRVVPRHGRAPARRGGGPDALLRVEHLRLAVFPGDNHSPSYLPCCHVPSRRPHPRRLRPPVRRRAVHGHAPHRLPLLPAFFLLNSPNHVDLAAGGDPDNGEGPGGRVAAGDGGEEEPATGVGVQRLALEAAGGGVEGEGEEEGQREGRRGEQGLGGGGARRSTVGEEAVPVGGDGAEEAVVEEGQVEEALLRHLLRQARDELHHLLRVLFAAGAQHLLLAHGRREAHGSTTSSVPVSSTLHDHCTKRPYST* >Brasy7G100300.1.p pacid=40044908 transcript=Brasy7G100300.1 locus=Brasy7G100300 ID=Brasy7G100300.1.v1.1 annot-version=v1.1 MKLKEYFLLESAEAGEDQQQRVKILLGPDQEVQGVKQEESRRGSSEPADEDCDNSVSIMDAPVLSEDPSDRHISDKNSQCADSDGGSTGVPEINSKDINDESSDCADRSSPRAVLDISLSGSVDSDESSTVEQSVESSRNVQWRNLITGLIVRRKKWMARAVTFPQRSKSTGLKRYLERIRSGKNQMDCSAIAPDIFPEIEKWRPSWRSFDYDELCAATDRFSSENLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGAKGALKWKARFNIALGIAEGLHYLHEGCHRHIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDSNNMKELVDPSLDVGYDREEMALTLAVASMCIHHSSNLRPSMKSVVRFWKGDRESLELMGKPKPTKPLMFDSCDSEDYTRSTYLHDLDRHKQLALEQ* >Brasy7G100300.2.p pacid=40044909 transcript=Brasy7G100300.2 locus=Brasy7G100300 ID=Brasy7G100300.2.v1.1 annot-version=v1.1 MASKEEAGEDQQQRVKILLGPDQEVQGVKQEESRRGSSEPADEDCDNSVSIMDAPVLSEDPSDRHISDKNSQCADSDGGSTGVPEINSKDINDESSDCADRSSPRAVLDISLSGSVDSDESSTVEQSVESSRNVQWRNLITGLIVRRKKWMARAVTFPQRSKSTGLKRYLERIRSGKNQMDCSAIAPDIFPEIEKWRPSWRSFDYDELCAATDRFSSENLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGAKGALKWKARFNIALGIAEGLHYLHEGCHRHIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDSNNMKELVDPSLDVGYDREEMALTLAVASMCIHHSSNLRPSMKSVVRFWKGDRESLELMGKPKPTKPLMFDSCDSEDYTRSTYLHDLDRHKQLALEQ* >Brasy7G236400.1.p pacid=40044910 transcript=Brasy7G236400.1 locus=Brasy7G236400 ID=Brasy7G236400.1.v1.1 annot-version=v1.1 MCNNFTGSRWFRTKINKTGDFCNDRLKYGASTQFIQPREIVRDTESVGSHSQALSLCPPPPSSPCSLKKCSWPQQHVHVFLDLFVQELLIDLLGHEMTESGLFFSWLLYLHRVRSSASSILQQRMPFLCQILVEGTPTLLVFTSFSVLACACVICRSKAWRGARAALYAG* >Brasy7G199700.1.p pacid=40044911 transcript=Brasy7G199700.1 locus=Brasy7G199700 ID=Brasy7G199700.1.v1.1 annot-version=v1.1 MVVVDASEFGAEGFDPKRWINAALDARHPSESLDRFLADAEERLRAAADDAGAALERDSADALRRVQLACRDALRLRDDAVALRSHVASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLLDALSNRKVDAVQDLRGILTRIGRFKSLEVQYTKIHVKPLKKLWEEFDLKQRAHRVEMEKRGSESLSSVSFSSWLPSFYDETLLYLEQEWKWCLTAFPEEYRSLVPKVLVEAMSELNSSFVSRVNIATGDAVPETRSVAKGVLDVLSGDLPKSTKLQNKHLVALIELHNMTGTFARNIQHLFSESDLGILLNTLKAIYSPYETFKVRYGQMERAVLSAAMAGIDIRGAIPRGVGAQGIELSEAVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRMVCGLDNTAHSDASKKDAGIEKREAPRLVDVSEEEEWSIVQGALQVLTVADCLTSRTSVFEASLRATLARIGTNFSLSGFGSSLDKSPAGTADENPGVPVGGRAALDIAAIRLTNLPDKSKKLFSVLEQSKDPRFHALPVTSQRVAAFSDTVNELVYDVLISKVRQRLSEISRLPIWSSVEEQGGLPLPSFSSYPQAYVTSVGEYLLTLPQQLEPLAEGISGSEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHTCISTPRDQVRGLIKSDGGSQLDLPTAHLVCKIRRIPLE* >Brasy7G225200.1.p pacid=40044912 transcript=Brasy7G225200.1 locus=Brasy7G225200 ID=Brasy7G225200.1.v1.1 annot-version=v1.1 MLEGARYSEISAGDNHLCALRVPVKGADSSTIDCWGYNMTATHDVSGAVSTISAGSVFNCGLFARNRTVFCWGDETVSGVVELAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVSPTGAIGQGDVSIVPMDAMVSVVGGRFHACGIKSLDHQVACWGFALQNSTAAPKGLRVYAIVAGDYFTCGVPAETSQKPRCWGHTGSSSLPMAVSPGLCVSAACSPGYYEFTDNGQVGGTKACKPANSRLCLPCSVGCPDGSYESSACNATADRVCQFDCSKCDSDECVSFCLSQKRTKNHKFIAFQMRIFVAEIAFAIILILTVAIIACLYVRHKLRHCRCSKSKLRMVKSTAYSFRKDNMKIQPDVEDLKIRTAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDETVVAVKRAIKVSDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPSLKKQLNWTRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSIMGPVDSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEMLSGRKAIDMQCEEGNIVEWAVPLIKAGDISSILDPALSPPSDLEALKKIAAVACKCVRMRGKDRPSMDKVTTSLEHALALLMGSPCMEQPILPTEVVLGSSRMHKKVSQRSSNQSCSENELIDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADLDGRTTTDGRNVGSSIGDGLRSLEEEIGPASPQEDLYLQHNF* >Brasy7G008100.1.p pacid=40044913 transcript=Brasy7G008100.1 locus=Brasy7G008100 ID=Brasy7G008100.1.v1.1 annot-version=v1.1 MEESGGGETTAATILCRSPPLEAMLFDIDGTMCVSDPFHHRAFSELLQRLGYNGGIPITPEFGMTHMAGRSNEQIGRFLFPSWPQAQLDAFFAEKEALFARYAREGLREVPGLTALLRWAAAAAGGGTRKIKLAAVSNAPRGNAELMISILGLTEFFEVVVAGEDCGEGRCKPAPDLYLRALDLIGVGAERAVVFEDSVVGVTAGVAAGIPVVAVAGEGREAKVVAAGASLVVRDYHDAKLWAALEKAAGAEEEAPVEASP* >Brasy7G214200.1.p pacid=40044914 transcript=Brasy7G214200.1 locus=Brasy7G214200 ID=Brasy7G214200.1.v1.1 annot-version=v1.1 MEDEEMEKKVQQYLHRKGFRLTELALQEERNRLSTTSISDVSLSRSDNDPSRYYDGYSRLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHAHEARSFFQAFREDHELMHSRDLQKLEGILSPSHLEEMDLARSLRQNKFRIKLCEYSYELLLQYLQKTQALVMLGIINERIIFEVSAGQPSLISDDADVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALSDSDKTEAESKDADTEDNKKKSSEGGKQGGSLKKLKKDKLVGATGKNNKSETSMVSAAPRVKPELTLPATPVEVEQSVLEDLRNRAQLNNLALPSVSFYTFLNTHNGLNCTSISNDGSLVVGGFSDSSVKVWDMSKIGQPTKTCSSQGENGSSQGEHLSGTNEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTGRCVSPLAGHNSCVWSLAFSCEGALLASGSADCTVKLWDVASSTKALKLDDTKGGSTNRLRLLKALPTKSSPVYNLRFSRRNLLFAAGALSLGS* >Brasy7G145700.1.p pacid=40044915 transcript=Brasy7G145700.1 locus=Brasy7G145700 ID=Brasy7G145700.1.v1.1 annot-version=v1.1 MPSCAKISSSRKETIRLIIVPIYLCVLLSVLQRVIDNVLDKPKFKCGCKCVNVDGAGPCQNVCGIQYSTLDQAGSCPIPNPPEWPTLLQVPRAEYRAIQDSSKLFTGLPDASCRKSQSCPASIPFTGANETLSTTVMQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.4.p pacid=40044916 transcript=Brasy7G145700.4 locus=Brasy7G145700 ID=Brasy7G145700.4.v1.1 annot-version=v1.1 MPSCAKISSSRKETIRLIIVPIYLCVLLSVLQRVIDNVLDKPKFKCGCKCVNVDGAGPCQNVCGIQYSTLDQAGSCPIPNPPEWPTLLQVPRAEYRAIQDSSKLFTGLPDASCRKSQSCPASIPFTGANETLSTTVMQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.5.p pacid=40044917 transcript=Brasy7G145700.5 locus=Brasy7G145700 ID=Brasy7G145700.5.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.2.p pacid=40044918 transcript=Brasy7G145700.2 locus=Brasy7G145700 ID=Brasy7G145700.2.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.3.p pacid=40044919 transcript=Brasy7G145700.3 locus=Brasy7G145700 ID=Brasy7G145700.3.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.6.p pacid=40044920 transcript=Brasy7G145700.6 locus=Brasy7G145700 ID=Brasy7G145700.6.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMDYDFQDSNEKRFNVLAMYNSTHQNISYVPTPFGLLRLSRSLNAVSNAYLQFLQGQGSGTKMLLQFMKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLQTMMKMHGLGNGPYWTIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.7.p pacid=40044921 transcript=Brasy7G145700.7 locus=Brasy7G145700 ID=Brasy7G145700.7.v1.1 annot-version=v1.1 MPSCAKISSSRKETIRLIIVPIYLCVLLSVLQRVIDNVLDKPKFKCGCKCVNVDGAGPCQNVCGIQYSTLDQAGSCPIPNPPEWPTLLQVPRAEYRAIQDSSKLFTGLPDASCRKSQSCPASIPFTGANETLSTTVMQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.8.p pacid=40044922 transcript=Brasy7G145700.8 locus=Brasy7G145700 ID=Brasy7G145700.8.v1.1 annot-version=v1.1 MPSCAKISSSRKETIRLIIVPIYLCVLLSVLQRVIDNVLDKPKFKCGCKCVNVDGAGPCQNVCGIQYSTLDQAGSCPIPNPPEWPTLLQVPRAEYRAIQDSSKLFTGLPDASCRKSQSCPASIPFTGANETLSTTVMQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.9.p pacid=40044923 transcript=Brasy7G145700.9 locus=Brasy7G145700 ID=Brasy7G145700.9.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G145700.10.p pacid=40044924 transcript=Brasy7G145700.10 locus=Brasy7G145700 ID=Brasy7G145700.10.v1.1 annot-version=v1.1 MQNLFTDSPLSNLSDYTSISSLLLGTDIPGTSTGFIEPAFVSGVPMYVIQSQCKARDPVTVRVTIDAINVQKEIKCVQGLPLWRNSSRTINEETFTGYRKGKIRGGINEIAMGLNFFKTNDYGILFVFFFSFTNLQIVLSFLAAIFFSKVNTAQGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVLIIIIVEWLVLLPVAYYFDHASSVGHRSSPLSTIKRFLGKNPTWRRISINEIANNDVHVEMEKLDIIKEREIVDQVLQQRNSGYAVVCDDLKKVYHGKDGNRDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMENIYSSMGVCPQNDMLWEMLTGKEHLQFYGRLKNLNGSALDLAVEESLRSVNLLLGGAADKQVRKYSGGMNRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKEAKQNRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEHEVEDLVLKLSPNTRKVYHLSGTQKYELPKQEVRIADVFMAVENFKKRVEVQAWGLTDTTMEDVFVKVATGAQSIDELS* >Brasy7G039400.1.p pacid=40044925 transcript=Brasy7G039400.1 locus=Brasy7G039400 ID=Brasy7G039400.1.v1.1 annot-version=v1.1 MLIIGTMRGQAILKLIVGLHRLLNKLDCQYLLLIRNVSLKATMSLPELKEHGVDFDSTAPLGTEDAAQYDSNEDSQASSTGKSATSRTSAKTLYWIIKKFNQTKRQLVKEIGFGGLLELPLWNSINRIFSTWLLGKVDCADHAIVLDATHRLLFVPQDVNRAERYH* >Brasy7G067500.1.p pacid=40044926 transcript=Brasy7G067500.1 locus=Brasy7G067500 ID=Brasy7G067500.1.v1.1 annot-version=v1.1 METILRHCYVMSPKEFRDASNEGDDVFYCEYEYDVHWHNFKRLADIDDEPETQEDPNDEPYNAGNNYNSDTDEDSEFEEEDGPAKCCSARKNQSHQFAANSRKGRIYGLQKIGIRKIPEHVRCHQKTDLEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGALRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSDGTKIGKQTSQPIILLIDELDLLLTRNQSVLYNVLDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRIKQFQQSGQAPSSANRGNGVVCMGDVEDAIQEVFQAPHIQVMKNCPKFGKIILAAIVHELYRSGLGEVLFDKLAATILSWCHANRELLPGYDTLLKICCKLGESKIVLCEEGTKHKLQKVQLNYPSDDVTFALKESPDLPWLSKYL* >Brasy7G050400.1.p pacid=40044927 transcript=Brasy7G050400.1 locus=Brasy7G050400 ID=Brasy7G050400.1.v1.1 annot-version=v1.1 MWNAEQLEKNLGVQRYVAKIGETHMIDIMGHMDHIYVSFSHTKVLSSYHQLNVLDAKPPGNAHGICTHCTGLPCVSVLFLNPSDRVISRRPIRSTITHGGCGLGLRLRPDCNLSIHVQGALSGNHHKPRLHLHQHLPGATVGRPPMQPPRAAVSVTAGRCLVVLLPDLLVSFNFCAVIFEYHRGLEGSRVQFLVNLFHM* >Brasy7G084000.1.p pacid=40044928 transcript=Brasy7G084000.1 locus=Brasy7G084000 ID=Brasy7G084000.1.v1.1 annot-version=v1.1 MGGAGPMEEAREARSVGGRRLRLVLSGAAAAIIISDSAPRPPAAFLSRLDRPPPPPPPRRSRRKRRSEASSGTRRVRRGRARHAEIWCGATLPPTRSATTACSLHTPSDGCTVTVPRSTLRSPSANTTCSNAAVVAGGGGDTGLGFFFARF* >Brasy7G158500.1.p pacid=40044929 transcript=Brasy7G158500.1 locus=Brasy7G158500 ID=Brasy7G158500.1.v1.1 annot-version=v1.1 MGGVFGRHDSSRRSSHGSKLETKMVESMRQRAAHGTSVKSFNSIIMKFPKIDEGLRKCKTIFEQFDEDSNGEIDKDELKHCFQKLEISFTEEDITDLFEACDINEHMGMKFNEFIVFLCIVYLLNDPPASEAKAKMGLGNLESTFETLVDAFVFLDKNKDGYVSKDEMIQAINESIPGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIGENEDDDE* >Brasy7G158500.2.p pacid=40044930 transcript=Brasy7G158500.2 locus=Brasy7G158500 ID=Brasy7G158500.2.v1.1 annot-version=v1.1 MGGVFGRHDSSRRSSHGSKLETKMVESMRQRAAHGTSVKSFNSIIMKFPKIDEGLRKCKTIFEQFDEDSNGEIDKDELKHCFQKLEISFTEEDITDLFEACDINEHMGMKFNEFIVFLCIVYLLNDPPASEAKAKMGLGNLESTFETLVDAFVFLDKNKDGYVSKDEMIQAINESIPGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIGENEDDDE* >Brasy7G014800.1.p pacid=40044931 transcript=Brasy7G014800.1 locus=Brasy7G014800 ID=Brasy7G014800.1.v1.1 annot-version=v1.1 MATSSKPATMVIASVLVVLLVAASWSAVSAADNYQATPCQQTNVLNGNGNNNGNVNANNINSGNGNGAVSGNKVVEGSGNGNNGGNGNTQENNNYNGNSYGSNNNNGNTQDSNKNNGYTSGSNNKGY* >Brasy7G022500.1.p pacid=40044932 transcript=Brasy7G022500.1 locus=Brasy7G022500 ID=Brasy7G022500.1.v1.1 annot-version=v1.1 MAPPPLPAALRRASAVRRFPPGCGRHPSNASQPPHRLVPFAADDGAPPTPSASGRAARFPGTQGHGFSWGDGSMDGINALAAAEVIARRASAVRRYPPGCGRSVAVPKPEALVVVAGEKGDAGAIELLAAVCDVEAKAIAGGQKVALSGSTALEGGGSGSDGGVESGGRGGEPLVVAELASSPLLPWAQRGRRSRQRRKVF* >Brasy7G166100.1.p pacid=40044933 transcript=Brasy7G166100.1 locus=Brasy7G166100 ID=Brasy7G166100.1.v1.1 annot-version=v1.1 MEVATGAMGTLLPTLGGLLKEEYDLHKNTRGEIRFLKAELESMETALLKVSEEPLDQPPDAQLMLWAREVRELSYEIEDNVDKFSVRLDRRAQKNPHSFMGFIHKSMDLMTKAKIRHKLGAEIKGIRSRIMEVSERRDRYKVGSAVAKSIGPTIHSLRLSALYKEAMELVGTEEKTDDLVKRLTEGDGAFEQQLKIVSIVGFGGLGKTTLANLVYQKLKSQFDCGAFVSVSLNPNMENIFKDMLYQLDKHNYININESNWGEAQLVRELRDFLLNKRYFIVIDDIWNNSEWKTIKHALIENKYGSRIITTTRILDVAKQIGSVYDLKPLSLIQSRKLFYQRIFGAEDKCPPNQLVEVSDKIIKRCGGVPLAIITTASMLAGKNGNDWSKVYQAIGSGLEDSSDVKDMRRILSVSYYDLPPHLKACLLSISLYPEDNKILARGLIWQWIGEGFIREEHGKSLYEVGEYYLSELINKGLLQPTDITDDKAGACRVHDMVLDLITALSREENFRRFVGGQQSVTAPNKIRRLSVQCRNEDDWNQLTTMDLSHLRSLAVFDRYNNLLPVLSRFSVLRALHLSHCRFVDNHHVKVICNMLHLRYLWLCNTCITEIPDEIGNLQFLQVLDISNTVIQVLPASFLQLTQLVYLQMGISTWLPEGLGNLKSLQELLGIHVTSPTMLHDLSKLTELRNLHIMAYEWKDDCKELFRRCLSNLLNLRTIKISSGRGLDIDCAGKNLSPEPQQLRSIHLTGSMSCSVPRWMSSLSNLSTLLIDRLITLREEHLRVLGNMPSLRDLVIWVSGTTRGRQQRLVIDSSYPFRSLVRLKIGSRIMELEFAQGAVQKLQTLTIILSVGQTWDLFGDLDFGLENISSLQHVYIGRWYAGRWSKPEPEEAELAIRKALEMNPNKPTIEFGRETRSP* >Brasy7G166100.2.p pacid=40044934 transcript=Brasy7G166100.2 locus=Brasy7G166100 ID=Brasy7G166100.2.v1.1 annot-version=v1.1 MGFIHKSMDLMTKAKIRHKLGAEIKGIRSRIMEVSERRDRYKVGSAVAKSIGPTIHSLRLSALYKEAMELVGTEEKTDDLVKRLTEGDGAFEQQLKIVSIVGFGGLGKTTLANLVYQKLKSQFDCGAFVSVSLNPNMENIFKDMLYQLDKHNYININESNWGEAQLVRELRDFLLNKRYFIVIDDIWNNSEWKTIKHALIENKYGSRIITTTRILDVAKQIGSVYDLKPLSLIQSRKLFYQRIFGAEDKCPPNQLVEVSDKIIKRCGGVPLAIITTASMLAGKNGNDWSKVYQAIGSGLEDSSDVKDMRRILSVSYYDLPPHLKACLLSISLYPEDNKILARGLIWQWIGEGFIREEHGKSLYEVGEYYLSELINKGLLQPTDITDDKAGACRVHDMVLDLITALSREENFRRFVGGQQSVTAPNKIRRLSVQCRNEDDWNQLTTMDLSHLRSLAVFDRYNNLLPVLSRFSVLRALHLSHCRFVDNHHVKVICNMLHLRYLWLCNTCITEIPDEIGNLQFLQVLDISNTVIQVLPASFLQLTQLVYLQMGISTWLPEGLGNLKSLQELLGIHVTSPTMLHDLSKLTELRNLHIMAYEWKDDCKELFRRCLSNLLNLRTIKISSGRGLDIDCAGKNLSPEPQQLRSIHLTGSMSCSVPRWMSSLSNLSTLLIDRLITLREEHLRVLGNMPSLRDLVIWVSGTTRGRQQRLVIDSSYPFRSLVRLKIGSRIMELEFAQGAVQKLQTLTIILSVGQTWDLFGDLDFGLENISSLQHVYIGRWYAGRWSKPEPEEAELAIRKALEMNPNKPTIEFGRFKL* >Brasy7G166100.3.p pacid=40044935 transcript=Brasy7G166100.3 locus=Brasy7G166100 ID=Brasy7G166100.3.v1.1 annot-version=v1.1 MGFIHKSMDLMTKAKIRHKLGAEIKGIRSRIMEVSERRDRYKVGSAVAKSIGPTIHSLRLSALYKEAMELVGTEEKTDDLVKRLTEGDGAFEQQLKIVSIVGFGGLGKTTLANLVYQKLKSQFDCGAFVSVSLNPNMENIFKDMLYQLDKHNYININESNWGEAQLVRELRDFLLNKRYFIVIDDIWNNSEWKTIKHALIENKYGSRIITTTRILDVAKQIGSVYDLKPLSLIQSRKLFYQRIFGAEDKCPPNQLVEVSDKIIKRCGGVPLAIITTASMLAGKNGNDWSKVYQAIGSGLEDSSDVKDMRRILSVSYYDLPPHLKACLLSISLYPEDNKILARGLIWQWIGEGFIREEHGKSLYEVGEYYLSELINKGLLQPTDITDDKAGACRVHDMVLDLITALSREENFRRFVGGQQSVTAPNKIRRLSVQCRNEDDWNQLTTMDLSHLRSLAVFDRYNNLLPVLSRFSVLRALHLSHCRFVDNHHVKVICNMLHLRYLWLCNTCITEIPDEIGNLQFLQVLDISNTVIQVLPASFLQLTQLVYLQMGISTWLPEGLGNLKSLQELLGIHVTSPTMLHDLSKLTELRNLHIMAYEWKDDCKELFRRCLSNLLNLRTIKISSGRGLDIDCAERSTFEYLETCHLSVISLYGCREPHEVDNKGW* >Brasy7G065600.1.p pacid=40044936 transcript=Brasy7G065600.1 locus=Brasy7G065600 ID=Brasy7G065600.1.v1.1 annot-version=v1.1 MVMTVEVLTSELVVPAEPTPGGSIWLSNLDLAGRRGYTPTVYFFRPNNGRDQSTDQSFFSADAMKASLARALVAFYPLAGRLGLDAASGRVQVDCTAEGVVFATARCTDYSLEDLMNEFVPCDAMRDLLVPPTPAPNPPCALLFVQVTRMRCGSAVLGQAMHHSVVDARGAALFFETWAGISRGVKAPLPVPPCFDHTLLAARPAGARAVLYDHPEYKPETAPVDPVSAASPYASAIITVTKQQVAALRTRCVGASTFRAVVALVWQCACRARGLAPDAETRLYSMVDMRARLDPPLPAGYFGNAVVRTSVSATVDEVVSSPVVHAARLARAATSQGDDHARSLVDYLEGVDTMNLPRSGISRAHLRAISWMGMSLSDADFGWGAPAFMGPALMYYSGFVYVMNAPGKDGALALVLSLEPDSMPEFRKVFADELARLHL* >Brasy7G230000.1.p pacid=40044937 transcript=Brasy7G230000.1 locus=Brasy7G230000 ID=Brasy7G230000.1.v1.1 annot-version=v1.1 MCRIEAPPPRRVSAAVCAHPLFVGPPSSPFVQLREDRLRAPGQRHRRSRSRKATPSRSPAPCLRREAETSAADLKSCLPWRTPCPASMKEDKTKNLEEKRRKKHDEKRRNNKEKEKKCKERRTRGFFFYLMATIYFTSLNS* >Brasy7G231600.1.p pacid=40044938 transcript=Brasy7G231600.1 locus=Brasy7G231600 ID=Brasy7G231600.1.v1.1 annot-version=v1.1 MAPSPSAAAADQASASADGKILPSPAAAEITSSGDQDEDDERWLAALSEPELDFLISLKKLAATRAKTAGHPHLADQFDVRTLRALGVVLLGSLKERLKETTVDPNILDRLALSRDTDAVMRNSASVVVVWRC* >Brasy7G065400.1.p pacid=40044939 transcript=Brasy7G065400.1 locus=Brasy7G065400 ID=Brasy7G065400.1.v1.1 annot-version=v1.1 MDEKNSRPLDEDDITILKTFGLGPYSISMKKVEKDIKEKAKMINDLLGIKESDTGLAPTSQWDLASDKQMMQEEQRPLQVARCTEVISPNTDDAKYVIHAKQIAKRGLPGSGVLSRGRGGVRRPFLRPRGGRRPFPMTVLQPVRSYL* >Brasy7G065400.2.p pacid=40044940 transcript=Brasy7G065400.2 locus=Brasy7G065400 ID=Brasy7G065400.2.v1.1 annot-version=v1.1 MDEKNSRPLDEDDITILKTFGLGPYSISMKKVEKDIKEKAKMINDLLGIKESDTGLAPTSQWDLASDKQMMQEEQRPLQVARCTEVISPNTDDAKYVIHAKQIAKFGGYCREAFRVPASFPAAVAVSGVLSCDRAVAGVLSP* >Brasy7G112000.1.p pacid=40044941 transcript=Brasy7G112000.1 locus=Brasy7G112000 ID=Brasy7G112000.1.v1.1 annot-version=v1.1 MKLFVKTLKGTNFEIEASPEASVAEVKRIIEGAQGQNVYPADQQMLIHQGKILKDDTTLESNNVAENSFLVIMLSKAKASPSGPSTASKAPTVQAQPATPVAAATPSGPATPVAGTPPSTAPVSASELAPPSAQPPAGSDIPAAAVTASGDTDVYSQAASNLVSGGSLEQTVQHILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPAPVQQATNLQALSQAAPVPPVQPSGVASAGPNANPLNLFPQGVPTGGSNPGAGVGAGAGALDALRALPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPESGAGGNILGQLAAAMPQAAVTVTPEERESIQRLEAMGFNRELVLEVFFACNRDEELAANYLLDHGHEYEDQQ* >Brasy7G112000.2.p pacid=40044942 transcript=Brasy7G112000.2 locus=Brasy7G112000 ID=Brasy7G112000.2.v1.1 annot-version=v1.1 MKLFVKTLKGTNFEIEASPEASVAEVKRIIEGAQGQNVYPADQQMLIHQGKILKDDTTLESNNVAENSFLVIMLSKAKASPSGPSTASKAPTVQAQPATPVAAATPSGPATPVAGTPPSTAPVSASELGDTDVYSQAASNLVSGGSLEQTVQHILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPAPVQQATNLQALSQAAPVPPVQPSGVASAGPNANPLNLFPQGVPTGGSNPGAGVGAGAGALDALRALPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPESGAGGNILGQLAAAMPQAAVTVTPEERESIQRLEAMGFNRELVLEVFFACNRDEELAANYLLDHGHEYEDQQ* >Brasy7G034200.1.p pacid=40044943 transcript=Brasy7G034200.1 locus=Brasy7G034200 ID=Brasy7G034200.1.v1.1 annot-version=v1.1 MPKRQSTPEPCGQITAKRRQQRRRQRHLYLVVDDWERGYSVRKIDVESSSDLQAADPDLDPEPEPLPEPPVVRFEGRHCHLQLFGVYGTKILAMPAYGAADFPIYDTQTSAITLCAHPDNLRSTFPVILASIDGALHMIRGSCLFVLDAPPPAAAYDRAGDQPWSWTTKLSDLPFATPHLKSFELHPDGRTLVVTARGGTFSVDTQSLRSTRHGGWSLPFQGEALFDKAWVGLCVYEGGVGYLCCCDVVEAECRTMPARKLGKDRLFCADGEKRRHLGAKLLAMGGGGESSTYCLVESVVHEDEEATGTRHRVLHVTTFGLRYDEDGVLRTTARRRAGSYEMRVAHDYTDRLRSPAAFC* >Brasy7G017900.1.p pacid=40044944 transcript=Brasy7G017900.1 locus=Brasy7G017900 ID=Brasy7G017900.1.v1.1 annot-version=v1.1 MASRALILLAAVIIAFLLLLSPAYARPADRHSKPAAAHHRKFGQKTWAEFGQHRAASGHRKLEQIDIISPSPEITADQVLQAVDVTSYGAIGDGESDDTPAFTEAWASACSSSAPATLVVPKEKTFLLKQIVFSGRCNSTAVTFKLDGKLVAPATRSESDWGKGNNRRWIMFSRVDGLTLTGDGTIDGSGEVWWKSSCNNLTVENIRLLDSQQMHVSVEDCSDVRVRIAAPGDSPNTDGIHIARTKDVQVIDCDVGTGDDRVSIETGVSCGPGHGISIGSLGDDNSEARVSNITIRKARLSGTTNGARIKSWQGGRGYARDITYEDLVMEDVKNPIILDQNYCTMADPLRPKPCEKQGSAVAFSGIRFKNIRGTSATKQAIKLDCSDAVPCHDILLQDVKLTFNGRPQRHRGGRSARRSATTTSLCNNVQLQTLDNVDPKIAC* >Brasy7G123400.1.p pacid=40044945 transcript=Brasy7G123400.1 locus=Brasy7G123400 ID=Brasy7G123400.1.v1.1 annot-version=v1.1 MAAWWEEIVAAVSSSGPGGSSGTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCIVGALIFLLSKGRNSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGVFGVFISVAIVSAGCHWLFPKVGFGKLDAVDYLALGTIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDINRLKGGVVLKVVADFLYLFATSTILGATIGLATAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLSLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSQASFKTSIGIFGIIISLVLLGRAAFVFPLSILSNFMSGNSEKAPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHAAVVTSTIVVVFFTTLVFGFLTRPLISAMLPQQRHREGTGGHSTSSNSPKDEFILPFLSDENAPGTESGINQAKRSISMLLERPVHMVHIHWRKFDDKFMRPIFGGPLLY* >Brasy7G002700.1.p pacid=40044946 transcript=Brasy7G002700.1 locus=Brasy7G002700 ID=Brasy7G002700.1.v1.1 annot-version=v1.1 MDRSPAPLSPPGFAVLPAMKARQMAANSSSPWRVPPQPPNGAPNGLGDTSIFSTSLPVLPHEKLNFPDSAHGTPLIDDAPARLKEFDDDPQGNDYKFDFDLRQIDDLLPDEDELFAGITNEIEPAGQTNPAEELEEFDVFGSGGGMELDSDPLESITAGLGNTSIGDGIRGNGVNNFGLSNSAGAVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTATKHRGFVMISYFDIRAARGAMRSLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEDVRHIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRSLVQQLGHELEQDEPRSYRHPHIGSPMANSPPGAWAQYGSPTDNSLLQAFNKSPTGNGMGPIGMPPSLISNAMKIAPIGKDSNWSKYDQVFSNSNQSLGAAFQHSHSYQDQKSEHMSSSPGTLTGPEFLWGSPKPYPEHSQPSIRRPPPIGHAMSSSSRPQGQGFLYGSRQASLFGTPDQNRHHVGSAPSGAPFESHFGFLPESPETSFMNQVRFGNIGTNRNGGGLMLNMANRASLNPVSALSGSLSDNNSANFRPILSPRLGQPFFGNPTYQGPGYFGLDSSIDRSRNRRIDSSAFQADSKKQYQLDLEKIRKGEDNRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYGRIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPETGSQEPFPNGICIHMPLEGGKDLLGDEEDDNHNEKTAGEESMAGSL* >Brasy7G002700.2.p pacid=40044947 transcript=Brasy7G002700.2 locus=Brasy7G002700 ID=Brasy7G002700.2.v1.1 annot-version=v1.1 MELDSDPLESITAGLGNTSIGDGIRGNGVNNFGLSNSAGAVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTATKHRGFVMISYFDIRAARGAMRSLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEDVRHIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRSLVQQLGHELEQDEPRSYRHPHIGSPMANSPPGAWAQYGSPTDNSLLQAFNKSPTGNGMGPIGMPPSLISNAMKIAPIGKDSNWSKYDQVFSNSNQSLGAAFQHSHSYQDQKSEHMSSSPGTLTGPEFLWGSPKPYPEHSQPSIRRPPPIGHAMSSSSRPQGQGFLYGSRQASLFGTPDQNRHHVGSAPSGAPFESHFGFLPESPETSFMNQVRFGNIGTNRNGGGLMLNMANRASLNPVSALSGSLSDNNSANFRPILSPRLGQPFFGNPTYQGPGYFGLDSSIDRSRNRRIDSSAFQADSKKQYQLDLEKIRKGEDNRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYGRIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPETGSQEPFPNGICIHMPLEGGKDLLGDEEDDNHNEKTAGEESMAGSL* >Brasy7G120100.1.p pacid=40044948 transcript=Brasy7G120100.1 locus=Brasy7G120100 ID=Brasy7G120100.1.v1.1 annot-version=v1.1 MARATVTILFFLILTVAALGAAQAPAEGAAPAKAPEAAPTKRAATGPASRKSGPPAAAPTNVSSLPAPPMAVFVVSPVADGPADGPAADADNNSGAAALGNGAAVAIVAVAAVTVIFA* >Brasy7G125800.1.p pacid=40044949 transcript=Brasy7G125800.1 locus=Brasy7G125800 ID=Brasy7G125800.1.v1.1 annot-version=v1.1 MVLVGQATTLCYDGFAGDGGKPFMDAGCPALDHGYDYNFNPNAWEFPTGAEPGNSSDLDVAWTGVSSTSPVLTFDAAEWMDATATDQLSSYRRRPSATTVPASHKRPRAHVVQPQQEAEEQESITPNPKKQCGDGKAAIKSSAAATGTSPRKEPQSQAAKSRRERIGERLRALQELVPNGSKADMVTMLDKAIAYVKFMQLQLTVLETDAFWPAQGGAAPEISQVKAALDAIILSSSQQPRQWS* >Brasy7G166000.1.p pacid=40044950 transcript=Brasy7G166000.1 locus=Brasy7G166000 ID=Brasy7G166000.1.v1.1 annot-version=v1.1 MGDFNLIVNPEDKNNSRLHRSLMNHFRRLLSDLELKELELVGRRFTWSGERDSPTLEKLDRVFSTVDWEVDWPHAYLSALSTDVSDHAPLLLELNADLRFGKRFHFEAHWIKLQSFDLLGLEGEFSEEEVWKVVRELPQDKCPGPDGFTGKFFTACWEIIKSDVLDPF* >Brasy7G179300.1.p pacid=40044951 transcript=Brasy7G179300.1 locus=Brasy7G179300 ID=Brasy7G179300.1.v1.1 annot-version=v1.1 MAPVVLPDDVIEDILARLPAKSAVRCRSLSRAWAAKLSSDHFVDRHLHLANSHGAPRILILQDPLDPNDERPPKVHAWSPDHPDGGTTLMELPRALTRRYCCPLSFIQATGARVHYVFNPSTGQTAALPEGRYTGRRSLRVKEEEDPDGHQKYASVGIGYDARTRRHKVVRVYYRGRDTKKKPPGCEVYVVNGSTGLWRPADGGAGALEKPAGWVDQNETSVQLFIVSFSIGDEKFGTVPPPLAMDDGNNCLLRHKLTELGGRLCLFSSLFSTERSKQIGMWPNRYYVWLLRDHETGTWDLHCRIDLDTLPPEVAHFMRYGDAVHGISPLAIVDNGRRIVLLQPRACLWYTASFRMRTYAPATGATEELLDRSGLVANKKMVLTHATLYEESVVSPGQPCEDIIFAMSLVLRKLSMYTPARLKCVCRSWRAMIESDDFCHTRITMSPFGFIF* >Brasy7G019600.1.p pacid=40044952 transcript=Brasy7G019600.1 locus=Brasy7G019600 ID=Brasy7G019600.1.v1.1 annot-version=v1.1 MYGNAPPPQDMSYYEHCSKRSEDKGCLYAW* >Brasy7G171400.1.p pacid=40044953 transcript=Brasy7G171400.1 locus=Brasy7G171400 ID=Brasy7G171400.1.v1.1 annot-version=v1.1 MGELAKQAAEAASWEEMCRAMGSRPTKLKGVKSLGKGKYAAEIWVKDVERTLWLGTFPSLKFAACAYELAARGILSQGRKASPNFEALPSPALRLEFEEELDRQELHHWELRGPHPACLVRFRPEPTDSFDPANLFIQPVQAPAESCVSTTGLKMGPGASNSSSSGEGQSASGGRRALKAPMLDPNVTFVHAEPVTGDNFTADAWSRGGLDLLTDPPTCRFISSSIF* >Brasy7G128200.1.p pacid=40044954 transcript=Brasy7G128200.1 locus=Brasy7G128200 ID=Brasy7G128200.1.v1.1 annot-version=v1.1 MRTLLQARAGAGGAIAAAAGGAANALPTSGATPPPSSTPSSTGSSSPPSPDSNNSDPPPPSPSSSSAPPPPSPSSPPPSPSSPPPSPPLAPPPSPAASPPPPPEASPPPPPVASPPPPPQASPPPPPSPVPPPPAATAPPPTENAAPPPSPTPPPSSAPSPRSLAKPPSPLRSSPPPPPPPPSAEPTASPADDTPPPQSDVPYPPPPSARTSSPAAARSPPAAASAVDTTTNTSVPPSSGSGGLSSGATAGVAVVAVIAFLCFAGVFVCLSKRRKRKYNDLYYHGYAPPPPSSYGTPRHMSGEAPFLRVPSGPGSSNFSSNQHQQQQSMSPMTMPTSQSQSYGNHQQQQQQQRFVSANYSSTMGSQGPGRSVAAASGELSVGNSKAFTFDELYEITGGFSRDKLLGEGGFGCVYKGTLGDGRVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDHRLLVYDFVANDTMHHNLHGRGRPVMDWPTRVKIVAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWARSLLNRAIDEQKFDELVDPRLGGDYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRILDSLTLNDVDLTNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYTQSRASMSGRRDL* >Brasy7G128200.2.p pacid=40044955 transcript=Brasy7G128200.2 locus=Brasy7G128200 ID=Brasy7G128200.2.v1.1 annot-version=v1.1 MRTLLQARAGAGGAIAAAAGGAANALPTSGATPPPSSTPSSTGSSSPPSPDSNNSDPPPPSPSSSSAPPPPSPSSPPPSPSSPPPSPPLAPPPSPAASPPPPPEASPPPPPVASPPPPPQASPPPPPSPVPPPPAATAPPPTENAAPPPSPTPPPSSAPSPRSLAKPPSPLRSSPPPPPPPPSAEPTASPADDTPPPQSDVPYPPPPSARTSSPAAARSPPAAASAVDTTTNTSVPPSSGSGGLSSGATAGVAVVAVIAFLCFAGVFVCLSKRRKRKYNDLYYHGYAPPPPSSYGTPRHMSGEAPFLRVPSGPGSSNFSSNQHQQQQSMSPMTMPTSQSQSYGNHQQQQQQQRFVSANYSSTMGSQGPGRSVAAASGELSVGNSKAFTFDELYEITGGFSRDKLLGEGGFGCVYKGTLGDGRVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDHRLLVYDFVANDTMHHNLHGRGRPVMDWPTRVKIVAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWARSLLNRAIDEQKFDELVDPRLGGDYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRILDSLTLNDVDLTNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYTQSRASMSGRRDL* >Brasy7G128200.3.p pacid=40044956 transcript=Brasy7G128200.3 locus=Brasy7G128200 ID=Brasy7G128200.3.v1.1 annot-version=v1.1 MRTLLQARAGAGGAIAAAAGGAANALPTSGATPPPSSTPSSTGSSSPPSPDSNNSDPPPPSPSSSSAPPPPSPSSPPPSPSSPPPSPPLAPPPSPAASPPPPPEASPPPPPVASPPPPPQASPPPPPSPVPPPPAATAPPPTENAAPPPSPTPPPSSAPSPRSLAKPPSPLRSSPPPPPPPPSAEPTASPADDTPPPQSDVPYPPPPSARTSSPAAARSPPAAASAVDTTTNTSVPPSSGSGGLSSGATAGVAVVAVIAFLCFAGVFVCLSKRRKRKYNDLYYHGYAPPPPSSYGTPRHMSGEAPFLRVPSGPGSSNFSSNQHQQQQSMSPMTMPTSQSQSYGNHQQQQQQQRFVSANYSSTMGSQGPGRSVAAASGELSVGNSKAFTFDELYEITGGFSRDKLLGEGGFGCVYKGTLGDGRVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDHRLLVYDFVANDTMHHNLHGRGRPVMDWPTRVKIVAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWVRASTLLKKTTSINTSKPL* >Brasy7G226700.1.p pacid=40044957 transcript=Brasy7G226700.1 locus=Brasy7G226700 ID=Brasy7G226700.1.v1.1 annot-version=v1.1 MIYGNAIERIGKNLLAPFMKGEAKGSMVLVTTRFPSKAEIVKTTNPLYLKGLEPNEFFTFFEALVFEGKSPEDCKHELTVVARNIAKKLKGSPLAAKTVGRLFGKDLSREHWMGVLENNKWQKQENPNDIMASLTISYDYLPFYLKKCFPYFALFPEDYRFRNLEITHFWIAIGVIDKDENYMEQLVEHGFLVKQNDYYVLHDLLHELSCSVSSQECLNINSSVSFRADAIPKSIRHLSISMEDRYEENFRIEMVKLRSKIDIVKLRALMIFRAYGETITEILKDTFKEIEGLRVLLIEVKSLESLPHNVSKLIHLRYLKLGTPLLRPGVSLPSTLSRFYHLILLDVSSWHPSTKLPKDISRLISLRHFVARKELHSNVPAVGKMKCLKELKEFCVKKESDGFELSELGVLTELGGELRICNLEYVATKEEALKAKLISKGGLKKAKLISKGVLKNVTLIWGKDQQATKYDVLDGLEPHPNVLALGIVNHGGSTGPSWFCGGNISTMMLTSLHLEGVSWVDPPFGQLLQLTSLTLMNISGLGQIRPGFGGVTDRSFMKLKKIVLQNLPGFTEWAGAPNAQTFSGLEEISCRSCPILCSLPFLQECPAVTYNYLQGLDIYGCPKLFLPPMPHTYTLTEVMVRDSPAGKMEYRRCYNLQSMTLIGYSGALAVHNMAGKVESIKFQGGSKIPWEELPDLTSLREFEIKEDPSFLSMALLSNLPTSLTSLSLIDYENISMDGFNPLVTAVNLKKLVVYNTGGDTPRSVAADLLSELVVASRSKLLLPSAGCFQLETLEVDCISVMLAAPVCTLFATTLHNLHFSYDQRVESLTEEEEDALQLLTCIQNLIFWKCPGLPSLPRRLHSLSSLRELEVICCPEIRLLPKGGLPTSLRILKLWKCADLRSLPKGVLPTSLQELWIFGCSPELQEQGKKLREAKPDLRVVGC* >Brasy7G117500.1.p pacid=40044958 transcript=Brasy7G117500.1 locus=Brasy7G117500 ID=Brasy7G117500.1.v1.1 annot-version=v1.1 MHVVYSSRYEVFFYCNATAFAASLVLIILLLSKSVTRQKIWLRSMQLTMIMDLFSLLGAYAAGSCRAPKSSIYIWVLVFAVFVYVGIHILVSIRVIPEIWTKKLQRMLDGVLTKWGACVRKDSDHLEKDIEEARKFILMLVTFAATITYQAGLNPPGGFWAENEHGANKLHLALPTHEHQPATSVLHSNYPRRYKTFVSFNSTSFVASLVIIILLLSPQLSGHGIRTKAVIVCVVADLLCLVGAYAAGSSGTFVYRPVANWLEKVKSNSLRCMHKLGRALSLNFGSNKPSNPEWENSHASSHQSSVCLTVAPAEDNTLTSEPKDQPADNQQVPNVKEGESHEEPADIQQVLNIEDGESHEEPADIQQVLNIEDAESHGEPADKQHPPGYNQQSANPKNVMSNQEYQSTDYQLVAIMKEDVSSSQHPSGNNQQSEDILSNQEYGCKDSQLDANTEAAKSSTEQDYPSLSYQQTGNTKCGMSIENNHQTANTKCGMSIENNHPSNGDTSNDIVDDDVYSTEENILVEDDKCQTEVGGTEIYQEVPNPNDGNTETEKHLKKTRTSVASCHSCTADRILEDNYHPRFIAFFYLNAVAFVASIVMIIMLLNKMMSKKATKRRALPITMIVVLLSLTGAFAIGNCRETKKTILISLLICVVPAYVLIHVFMAIHIIPKEWRSQLAEKLKQLPLSHICSTGQDPTGDVTSVKELERRRNLLFGILAVTVTYQAGMNPSGGVWSDNEHITGMPGNPILQDTHPKRYDYYSNSVSFVASVVVTILLVNKESCEHGIKSYALRVCLVVGLLGLLIAYVAGSCRNFKQSVYLSIIVVAVLVSLVIQVLLSSMHHTLGRPLAWLVGFLQSLLPHTEKVEQATAQEKPKASDCNEKTVRKRHKYLMLLAILAASITYQAGLNPPGGFWSDDKGHAAGNLVLGDINHQRYKIFFCFNSFAFMASIVVIMLLLSKSVRKKDVPLEVLHLIMILDLLAIMTSFAAGSCRKFRTSMYVYALVAGVVIYLMLVVVLSSGIAKYLKPRETGGLSSPRRP* >Brasy7G236500.1.p pacid=40044959 transcript=Brasy7G236500.1 locus=Brasy7G236500 ID=Brasy7G236500.1.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.4.p pacid=40044960 transcript=Brasy7G236500.4 locus=Brasy7G236500 ID=Brasy7G236500.4.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.7.p pacid=40044961 transcript=Brasy7G236500.7 locus=Brasy7G236500 ID=Brasy7G236500.7.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.2.p pacid=40044962 transcript=Brasy7G236500.2 locus=Brasy7G236500 ID=Brasy7G236500.2.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.6.p pacid=40044963 transcript=Brasy7G236500.6 locus=Brasy7G236500 ID=Brasy7G236500.6.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.5.p pacid=40044964 transcript=Brasy7G236500.5 locus=Brasy7G236500 ID=Brasy7G236500.5.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G236500.3.p pacid=40044965 transcript=Brasy7G236500.3 locus=Brasy7G236500 ID=Brasy7G236500.3.v1.1 annot-version=v1.1 MARRPQNGGCVRCCLGFLLKFLAFLQAFAAVSALLYAAWILSRWSRHHQLHLHHLLPDLWFACAVMAAGLFYCALLLAGYVAAEINSGCCLCFYTALAMAMMLVEAAFASQIFLNQQWIQDLPYDRTGELNNLVSFVQNNLDVCKWAAIATLATQALSLFLAMVLRAMVSTTNVDYDSDEDFVVIRRPLLLAQGAPAYLPTTTDARGVHPDLWSSRMRRKYGLNSSDCTYNTLDQNAVPPQ* >Brasy7G115900.1.p pacid=40044966 transcript=Brasy7G115900.1 locus=Brasy7G115900 ID=Brasy7G115900.1.v1.1 annot-version=v1.1 MEAPPPSSPNPVVLAAVLVLVLLVSSPSCALLSPKGVNTEVQALIGIKNLLKDPHGVLKNWDQDSVDPCSFTMITCSPDNFVTGLEAPSQNLSGLLAPSIGNLTNLETVLLQNNIINGPIPTEIGNLENLKMLDLSSNKFYGEIPQSVGHLQSLQYLKLNNNTLSGPFPSASANLPHLIFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANTEKDCYGTAPVPMSYNLNGTQGTPPAKTKSHKFAVAFGAVSGCMSFLFLAAGFLFWWRHRRNRQILFDVDDQHMENVNLGNVKRFQFRELQAATDKFSSKNILGKGGFGHVYRGQLPDGTLVAVKRLKDGNAAGGESQFKTEVEMISLAVHRNLLRILGFCMTATERLLVYPYMSNGSVASRLKAKPPLDWVTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCDAIVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKASNQKGAMLDWVKKMHQEKKLDVLVDKGLRSSYDRIELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWQASQRADSHKFTVPEFTFSRCYSDLTDDSSLLVQAVELSGPR* >Brasy7G015400.1.p pacid=40044967 transcript=Brasy7G015400.1 locus=Brasy7G015400 ID=Brasy7G015400.1.v1.1 annot-version=v1.1 MSMEELAAAFAASVTMDSTAVVVPTGGGHSNFYEPGIGEEACLMRLRFPDGRVLCKGFGAGRPAAAALLGYCGSVLAELGAVGRTFRLVRVAGGATSEVRSSAAGSAESSFRDLGLHRCTIHVVLC* >Brasy7G170300.1.p pacid=40044968 transcript=Brasy7G170300.1 locus=Brasy7G170300 ID=Brasy7G170300.1.v1.1 annot-version=v1.1 MAGFTDALFLDIDEDGAGALGEASYFSFSETFEEEPQYTHSTLSADFDMETLTPSPVHGSPFSFESDHDLRGLSPPRSQPFWDCLEDELADEMDALEWEEIIDAAASATDAAPGSGGAVGTGTGGVQGGGFGTDADADVFGFLSEGDILGVMDGIDSGDDSIFSDEPPFDFGEDGPELDNVFQSVGWEVLPVPLDEDDFEVLPGHMVDVAAGGAPPAARAAVERLQVVAISGEDAKQGCAVCKEGITRGELVTRLPCAHFYHGPCIGPWLAIRNSCPVCRYELPTDDPEYEQRRVRRRSAGGSTLQLGTPMQI* >Brasy7G124200.1.p pacid=40044969 transcript=Brasy7G124200.1 locus=Brasy7G124200 ID=Brasy7G124200.1.v1.1 annot-version=v1.1 MEDDDHSGRRISKEEEAGAAAVKPTRSFRYEDYSTRRVFLRSYPLQWDASDEKQLGDEKHGEEDEDGYERRRDRRKWKRQVVVAVVEWGEEKMLLLRRVKKRLALYLLGCHYGSRPPALPFKSGGSCTTAMLKSS* >Brasy7G028500.1.p pacid=40044970 transcript=Brasy7G028500.1 locus=Brasy7G028500 ID=Brasy7G028500.1.v1.1 annot-version=v1.1 MAGKQASMAAGELMVKPKDKEAATTGDPFGAPQGKKAPATAAVVTATEVGQASTSSMAAGNNGKHRLSNSSIRDIISQRPLVLPTTELMDSLAPLFPPEWLEKKKRRHEEELVLFEQMNGELMEFRQRVIDGVMEKGYFELDEEEVASMEAAHQSNVDYWASIDFSRFRFAMPEEEILYADGYHYPDEDDDDDDDDDEFEYDIWSEEEEDVEGEEDVEGLDEAVAQKISLAV* >Brasy7G069400.1.p pacid=40044971 transcript=Brasy7G069400.1 locus=Brasy7G069400 ID=Brasy7G069400.1.v1.1 annot-version=v1.1 MSLSCSRGPSMANHHDRVHGRSPVFPTPRNQQGFPNLPRQHTTQQKMRNCLRRLIAQRTERRMWKSTPLESVRRPTSIPRCQPSEEPALAKCLGMPNMPHQRISRVCARIRKDKTLNPRPKTNELRNGLTTNICTHVFQPCTQNSVPHRPHPCHTRVNHGRKGRVRVIDPGSIKPPIRPEESCSAIPESSGWHIPFTCWDGWKSNSLHGFETSTLRSQLQRTRKAALRSCKLGIPRPPNCRGIQTLSHATKQKPRHTFSTPFKIFPGKSSAIIE* >Brasy7G204500.1.p pacid=40044972 transcript=Brasy7G204500.1 locus=Brasy7G204500 ID=Brasy7G204500.1.v1.1 annot-version=v1.1 MDRVKKTSSPAADLTDDLIVEILSRLPAKSICRFKCVSPHWRSLITDRANRRKLPQTLSGFFRHTVGQDGHNEPMSVPVFYSIVSALGEEEKHVRDPSLSFLPGCYKTIIPKDCCNGLLLCLCWKGSPKDESNYVVCNPATEKWVILPQSDQASQLFVRHLGFDPAISSHFHVFSVLEGLDGYTAGVDVYSSEAKAWSYKENGWADETMLYEPSVFLNGMMHFVSCEFTIVALDTQGKSWRTIPLLQTMGYEDRFYGNCAIIGQSQGHLHYLNVRERDASTLSVWTLSNYCSGEWLFKYNINIFQLFGWKDVVNQRQWDFTLVAIHPECSLIFYILHHENMLLSYDMDHGKVHVIRKLKEHYCGATYIPYVPLFAESLADHE* >Brasy7G195500.1.p pacid=40044973 transcript=Brasy7G195500.1 locus=Brasy7G195500 ID=Brasy7G195500.1.v1.1 annot-version=v1.1 MDSAGGPLPVSGDRAVPNQDDNAQSAAGHNSRRPNLSLQIPARTLDNHIPTSTRINIPPSPSSMRTGLPPRPNSARPKSSIKNITPQRSFRLRSSTQEGDRTILLAPGTASEGSQDNPTAPSSFSFRKVINSLSAKRTYSLPVTPVATSEKASSPGIQIDNKPTTSNEEVQTQIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPADMTALHDGIEETVEAPGDGGEDIPEEEAVCRICLIELNEGGETLKMECSCKGELALAHQDCAIKWFSIKGNKICDVCRQEVQNLPVTLLRIPTQTVNRRLVNGAQQRVAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDMQSHALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAYIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRSRRRSQQLAQNANAGQRPESANNAVNENSGDRQQGHHPESGNNAV* >Brasy7G151400.1.p pacid=40044974 transcript=Brasy7G151400.1 locus=Brasy7G151400 ID=Brasy7G151400.1.v1.1 annot-version=v1.1 MQDQTTTTTSSPPSSFSDRSTSSSAPQTDRSKEGMDSDDDIGRVPEFGLEQGSGQSTSGREQLAAAAAAAPGTSSSAAQVSGRRRGRSPADKEHRRLKRLLRNRVSAQQARERKKAYVGELEVKVNDLEKRNSELEERLSTLQNENQMLRQILKNTTGNRRGPGSGAGGDSGQ* >Brasy7G135700.1.p pacid=40044975 transcript=Brasy7G135700.1 locus=Brasy7G135700 ID=Brasy7G135700.1.v1.1 annot-version=v1.1 MPDYAWQASGVSDAGQSSALVLASYPLLLLLVILSAFVKHVWIALALYCALMVLFSCTARFCARPEPDGSALDRGGLSQAAIAAIIPAFAYAAGVGDGGQAQCAVCLETLQSGETVRRLPVCAHAFHVGCIDMWLDSHATCPVCRCHLGPRKGGKTMVAPLPPV* >Brasy7G032000.1.p pacid=40044976 transcript=Brasy7G032000.1 locus=Brasy7G032000 ID=Brasy7G032000.1.v1.1 annot-version=v1.1 MKSKTTVLLLLLLLAAALNTAFFFFLLCCTSMWGPLTQGPDGRPNPMPEQQRLPQHSPEDDLADLLRRAAKEDGTVLMTTLNSAWAAPPGSSFFELFLEGFEQGEGTAYLAKHLLVVAMDGKALDRCNAVHPFCYLFRADGREEDFAAEQSPMKGAYLEMMWQRNRLQLTVLKLGYNFLFTDMDILWFRDPFPQIPPTAQLVMSSDIFVGDPDSPRNYPNGGLLYARSCDGATGFYEHWRSSRARFPGTHEQYVFDKIVKEGVPPRLGARVQFLDTDRFGGFCRHGNDLGKVCSMHANCCVGMEKKMFDLKNVLQDWKAYRLNNNTGSWRVPGRCIH* >Brasy7G032000.2.p pacid=40044977 transcript=Brasy7G032000.2 locus=Brasy7G032000 ID=Brasy7G032000.2.v1.1 annot-version=v1.1 MKSKTTVLLLLLLLAAALNTAFFFFLLCCTSMWGPLTQGPDGRPNPMPEQQRLPQHSPEDDLADLLRRAAKEDGTVLMTTLNSAWAAPPGSSFFELFLEGFEQGEGTAYLAKHLLVVAMDGKALDRCNAVHPFCYLFRADGREEDFAAEQSPMKGAYLEMMWQRNRLQLTVLKLGYNFLFTDMDILWFRDPFPQIPPTAQLVMSSDIFVGDPDSPRNYPNGGLLYARSCDGATGFYEHWRSSRARFPGTHEQYVFDKIVKEGVPPRLGARVQFLDTDRFGGFCRHGNDLGKVCSMHANCCVGMEKKMFDLKNVLQDWKAYRLNNNTGSWRVPGRCIH* >Brasy7G018900.1.p pacid=40044978 transcript=Brasy7G018900.1 locus=Brasy7G018900 ID=Brasy7G018900.1.v1.1 annot-version=v1.1 MKLCHPNVKRFFCAWKEERDFANISVFLAQNLCKCTLEDYLDEAHVTSISDRIKSFAEVVSGVRYLHAQGIVHRDIKCSNIFLDDHGSGDLGQSGLISSRSCCYGGKLYAKAFLAFYYASDIFSLGMVSAELFGPTNVAAERREWLLKLKELTKSRQWKRNPISTWKKSELSKDWTGDSYLLIKMLEWSPEERPSCADILV* >Brasy7G227700.1.p pacid=40044979 transcript=Brasy7G227700.1 locus=Brasy7G227700 ID=Brasy7G227700.1.v1.1 annot-version=v1.1 MSKRIRLVIEEILPLCDRVSELLKINPSHGNNTPIVSLKRPVVGSTTTQDTLYGRRDLFEQTIKDIITSATNSSEKPSVLPIVGPGGIGKTTFTQHLYNDKRIQDHFSVRVWICVSTDFDVFKISQQILSCIEGSNNANQTTSLDQLQISIAQNLKSKRCLIVFDDIWECNREDWENLLAPFMKGETKGNMVLVTTRFPSKAEIVKTTNPLHLKGLEDDDFFTFFEALIFDGGKPEDFQLELTDVARNIAKKLKGSPLAAKTVGRLLRKDLSREHWMGVLENNKWQKQENPNDIMPSLRISYDYLPFHLKKCFPYFALFPEDYRFRNLEITHFWIAVGMVDEVENYMEELVDYGFLVRGNDRWDQHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEENFRGEMVKLRSKIDIVNLRALMIFRAYGEPIGEILKETFKEIEGLRVLLVGVDSVESLPHNFSKLIHLRFLKISTLKYDPEVTLPSTLSRFYHLILLDVSRWYGSNKFPNDISRLISLRHFVARKELHSNVPAVGKMKCLKELTEFCVKKESDGFELSELGALTELGGELRICNLESVATKEEALTAKLVSKGGLKKLTLVWGGEQQAAKSDVLDALPENATLPAATFFAGGFISGRRQRTRYAVGHHISRRQRKDRRQRHTMPTANIRPPAKKTCTWARVHR* >Brasy7G099200.1.p pacid=40044980 transcript=Brasy7G099200.1 locus=Brasy7G099200 ID=Brasy7G099200.1.v1.1 annot-version=v1.1 MLTLHCEHSEGRQMSYLRYAVLRDDDDEGGGQWDMDVTIIYLPLGYEDYIAIDVAGGYLLLKGTLEPEGRDVSMQSSRRPEMACFSLDLRTLQLEWFCGSNSCTFAGACLYAGFPPYLSPPTV* >Brasy7G096500.1.p pacid=40044981 transcript=Brasy7G096500.1 locus=Brasy7G096500 ID=Brasy7G096500.1.v1.1 annot-version=v1.1 MSAARRLAAALPKLRAKRPLSSSSSSSAAAAACPPTQTPTAASLLADLLSAPTPSASALTLLRDTPSLAAELYSLLAAPSHAFDPASLALLLSLPSCHRLPPPSPPILIALLYKILSRSPSSSADAARFLCASLAAGAPPPDTSAFNSLLDALAHSGDLPGMSQLFASMRDASVRPDVVTYGILVKGLCKAGRVGDALRVLDGMSGPESDVRPDVVMLNNIVDGLCKTGRVQQAVKFVEERMRSVHGCVPNTVTYNCLAHAFCRAGNVGMACELVAKMEKERVAPNVVTLNTIVGGLCWVGRVGAALEFFREKRTIWPEARGNAVTYSTLVGAFLHTNNVGVAMELFHEMVNEGNSPDAIMYFTMISGLTQAGRLDDACSMATSMKKAGFQLDAKAYNILIGGFCRRKRLNEAYELLGEMKEAGLQPDVYTYNTLLSGLCKAGDFLAVDELLGKMIDGGCRPSVVTFGTLIHGYCKVGQIDEALRIFRSMDESGIQPNTVIYNTLIDFLCKGRDTSLAIELFDEMREKHVPANVTTFNALLKGLCDKNMPEKAFELMDLMREGRCTPDYVTIDILMEWLPVIGETDRLKHFMQHDTTPKRMTT* >Brasy7G126300.1.p pacid=40044982 transcript=Brasy7G126300.1 locus=Brasy7G126300 ID=Brasy7G126300.1.v1.1 annot-version=v1.1 MGNESGEEALLLASRPTDGSGGLVLGVGEEVKKQLWLAGPLIAGALLQNLIQMISVMYVGHLGELALAGASMASSFASVTGFSLLLGMASALDTLCGQAFGAKQYHLLGIYKQRAMVILTLVSIPLAVVWFYTGEILLLFGQDPDIAAEAGTFARWMLPALFAYGLLQCHVRFLQTQNIVLPVMASAGAAAAFHLLLCWLLVYAAGMGSKGAALSNAISYWINVVILAAYVRVSGSCSKTWTGFSTEAFRDVLSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPNAARLAVRVVVFLAIAEGLIMGLVLVCIRYVWGHAYSDVEEVVTYVAKMLLIISVSNFFDGIQCVLSGVARGCGWQKIGAWINLGAYYIAGVPSAYLIAFVLHVGGMGLWFGIICGLMVQVLLLMAITICTDWDKEAAKAKNRVFSSSLPTDFAT* >Brasy7G126300.3.p pacid=40044983 transcript=Brasy7G126300.3 locus=Brasy7G126300 ID=Brasy7G126300.3.v1.1 annot-version=v1.1 MGNESGEEALLLASRPTDGSGGLVLGVGEEVKKQLWLAGPLIAGALLQNLIQMISVMYVGHLGELALAGASMASSFASVTGFSLLLGMASALDTLCGQAFGAKQYHLLGIYKQRAMVILTLVSIPLAVVWFYTGEILLLFGQDPDIAAEAGTFARWMLPALFAYGLLQCHVRFLQTQNIVLPVMASAGAAAAFHLLLCWLLVYAAGMGSKGAALSNAISYWINVVILAAYVRVSGSCSKTWTGFSTEAFRDVLSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPNAARLAVRVVVFLAIAEGLIMGLVLVCIRYVWGHAYSDVEEVVTYVAKMLLIISVSNFFDGIQCVLSGVARGCGWQKIGAWINLGAYYIAGVPSAYLIAFVLHVGGMAAKAKNRVFSSSLPTDFAT* >Brasy7G126300.2.p pacid=40044984 transcript=Brasy7G126300.2 locus=Brasy7G126300 ID=Brasy7G126300.2.v1.1 annot-version=v1.1 MGNESGEEALLLASRPTDGSGGLVLGVGEEVKKQLWLAGPLIAGALLQNLIQMISVMYVGHLGELALAGASMASSFASVTGFSLLLGMASALDTLCGQAFGAKQYHLLGIYKQRAMVILTLVSIPLAVVWFYTGEILLLFGQDPDIAAEAGTFARWMLPALFAYGLLQCHVRFLQTQNIVLPVMASAGAAAAFHLLLCWLLVYAAGMGSKGAALSNAISYWINVVILAAYVRVSGSCSKTWTGFSTEAFRDVLSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAIRAPQRCAPCGARRRLLGHRRRSYHGAGARLHTLRVGACLQRRGGSCDLRC* >Brasy7G234600.1.p pacid=40044985 transcript=Brasy7G234600.1 locus=Brasy7G234600 ID=Brasy7G234600.1.v1.1 annot-version=v1.1 MLSLLSPPPPFLVPPTIPDAGSVHGGNYGPVIVVLVIIAVLTVASVVFGRKCVEGRPFFANARCGMTSFMERCCGITVGQGNVNVVRRPMKKKEGTNVEEAAPEDGGV* >Brasy7G204900.1.p pacid=40044986 transcript=Brasy7G204900.1 locus=Brasy7G204900 ID=Brasy7G204900.1.v1.1 annot-version=v1.1 MAVDRITDDILVEILSRVPAKSLCRFKCVSKHWLGLTNDPHHRKRLRQTLAGFFYSGSSSKTEQRFLESPIVFSNLGGTRSRPPIDTSFAFLPCGHWGLDLLDCCNGLLLLRSYVSKKYGDFRYIVCNPATEEWAALPDSGQEEKLTHLRMQRTARLGFDPALSPHFHVFEWVEELGPGAFFLSIFLVQHNQTGVSVYSSETGGWVHKEKRSDQLIRHTKRRSASVFGNGSLHFHAFDREYTCRLAAVDMGAETWMNFGVPGGVLGGFIQWSQGRLHYANFQGGEDGVAFRLVVYVLEDYGSKEWKLKHGVEMAYIFKGMSSFSYEDFDWIAIHPECNLIFFAVGWRDSTFMSYDMDSRQVRVICDLEDGRPPYLPYVPLYAELPSLQVRDASEIPHMSSL* >Brasy7G204900.2.p pacid=40044987 transcript=Brasy7G204900.2 locus=Brasy7G204900 ID=Brasy7G204900.2.v1.1 annot-version=v1.1 MAVDRITDDILVEILSRVPAKSLCRFKCVSKHWLGLTNDPHHRKRLRQTLAGFFYSGSSSKTEQRFLESPIVFSNLGGTRSRPPIDTSFAFLPCGHWGLDLLDCCNGLLLLRSYVSKKYGDFRYIVCNPATEEWAALPDSGQEEKLTHLRMQRTARLGFDPALSPHFHVFEWVEELGPGAFFLSIFLVQHNQTGVSVYSSETGGWVHKEKRSDQLIRHTKRRSASVFGNGSLHFHAFDREYTCRLAAVDMGAETWMNFGVPGGVLGGFIQWSQGRLHYANFQGGEDGVAFRLVVYVLEDYGSKEWKLKHGVEMAYIFKGMSSFSYEDFDWIAIHPECNLIFFAVGWRDSTFMSYDMDSRQVRVICDLEDGRPPYLPYVPLYAELPSLQVRDASEIPHMSSL* >Brasy7G218100.1.p pacid=40044988 transcript=Brasy7G218100.1 locus=Brasy7G218100 ID=Brasy7G218100.1.v1.1 annot-version=v1.1 MVNTNYSALPLTSPSLELQSNPPPKSSAAAAANGARNGHAKISKQDSFLGEVEEDGGGGVGEHDELPLIGDGDGSAGPPEGSGVAGAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEITIELLVRFSVRCRALSYGELVHKALGRPASIVAQMCVIINNAGILVVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVVFLAPLCALEKIDSLSLSSAASVALAIVFVVVSCIIAAVKLIEGKISTPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPRNMYNIGRISTVLCVVVYALTAISGYLLFGDDTESDVLTNFDKDLGIKFSSVLNYIVRIGYIIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLALTAVLLALIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALRLDKEGECLGHGERLLSLGMLGLAVIVSVVGVVGNVYSLKSKSD* >Brasy7G208500.1.p pacid=40044989 transcript=Brasy7G208500.1 locus=Brasy7G208500 ID=Brasy7G208500.1.v1.1 annot-version=v1.1 MGSVAAEEAPVPAAAGAETVFRSKLPDIEIPTHLTLQAYCFERLAEVSSRPCLIDAHSGAVHTYGEVDALSRRAAAGLRRRLGVGKGDVVMLLLRNCAEFAFVFLGAARLGAAATTANPFCTPHEIHRQASAAGAKVIVTEPCAAEKVRAFAAERGVAVVVVADGDGAVPDGCLAFNDAILGEDCGGEEEEEEEEAVVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLHFRKEDVVLCLLPLFHIYSLNSVLLAGLRAGCAIVVMRKFDHGALAAAVRAHGVTVAPFVPPIVVEITKSDRVTAGDLASIRMVMSGAAPMGKDLQDSFMAKLPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGASLGRNQPGEICIRGQQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDEEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMQDELAGEVPVAFVVRTQGSEISENDIKQFVAKEVVFYKRIHKVFFADSIPKSPSGKILRKDLRAKLAAGIPSDDNTQSKS* >Brasy7G166400.1.p pacid=40044990 transcript=Brasy7G166400.1 locus=Brasy7G166400 ID=Brasy7G166400.1.v1.1 annot-version=v1.1 MEVKRKKSGEAIDLNEPPHKNQGVRLKHILLQKDSKNICRTKVCGVPIEVPSLWSIIDFVPTKVYKLKNFPKFSLLPDPENDRQKTEWPKFMHFLSHNKRAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIESYKRVGGQKHNADTGRGVIGVADITELIDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G166400.2.p pacid=40044991 transcript=Brasy7G166400.2 locus=Brasy7G166400 ID=Brasy7G166400.2.v1.1 annot-version=v1.1 MEVKRKKSGEAIDLNEPPHKNQGVRLKHILLQKDSKNICRTKVCGVPIEVPSLWSIIDFVPTKVYKLKNFPKFSLLPDPENDRQKTEWPKFMHFLSHNKRAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIEDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G166400.3.p pacid=40044992 transcript=Brasy7G166400.3 locus=Brasy7G166400 ID=Brasy7G166400.3.v1.1 annot-version=v1.1 MEVKRKKSGEAIDLNEPPHKNQGVRLKHILLQKDSKNICRTKVCGVPIEVPSLWSIIDFVPTKAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIESYKRVGGQKHNADTGRGVIGVADITELIDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G166400.4.p pacid=40044993 transcript=Brasy7G166400.4 locus=Brasy7G166400 ID=Brasy7G166400.4.v1.1 annot-version=v1.1 MEVKRKKSGEAIDLNEPPHKNQGVRLKHILLQKDSKNICRTKVCGVPIEVPSLWSIIDFVPTKAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIEDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G166400.5.p pacid=40044994 transcript=Brasy7G166400.5 locus=Brasy7G166400 ID=Brasy7G166400.5.v1.1 annot-version=v1.1 MPHQSLWCSNRSAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIESYKRVGGQKHNADTGRGVIGVADITELIDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G166400.6.p pacid=40044995 transcript=Brasy7G166400.6 locus=Brasy7G166400 ID=Brasy7G166400.6.v1.1 annot-version=v1.1 MPHQSLWCSNRSAAVVIYGSLTFHIFAPQSDGSDFSHAVILYETERKEPGICKRMAGISERSNKSEEICDSVPNPKELNTSCKHHEPESSPCESVEDGPRILDPIVKKRTSTLTKNFVSTDPTYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISIQTMFSKKDEGKVPVLSVIDDGRGMTYTEMMRMISFGHKRPDENCKDQIGRFGIGFKTGAMKLGKDAVVLTQTKTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAKYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQVYIWNLDKWGKDYTLDWNSGRTDGNPNNHGRGDILIRSRRVRSRPGQTSTEVPLDYSLQAYLEVMFRYPRIKVTVQGSLVKARPLDKTLNKTSVISDVIMEKNIQLTLGRSNVEWGRMNCGVFLYWHGRLIEDDEDGNSWVLNNKQGFQDCQMYAIIEEWLGKKMDEYWETNFESLELRKGGERCKPDHEWVQCYGCRKWRMLTAGFNTESLPEEWFCLMPPFNGKCTIPEQQMGRGFIVIGEKRTGNDGQLEVIAKVDTNIMRPDNSEVQTSSQDADVKNVKPILMIENKRKNSSSGKNNIEDDFDGNYSQTESVTPRPALKRLRRGGTSSKR* >Brasy7G159100.1.p pacid=40044996 transcript=Brasy7G159100.1 locus=Brasy7G159100 ID=Brasy7G159100.1.v1.1 annot-version=v1.1 MEDVSLEHTPTWVVAAVCLTIVSVSLAAERLLHYLGKALKHKQQKTLYSALQRLKEELMLLGFISFLLSLSQGLIVHICIPETYTRLVLPCKKANHKVPEEGGVHCKKKGDVPLLSLEALHQLHIFIFVLGFVHVVFCATTILLGGAKIRKWKHWETGIHREIRPKMVALQQRELAGNTTPLHIFLHREQGEFVSERTKGFWRQLAVISWIIAFLKQFHDSVSKSDYEALRSAFVLIHYPSRLDFDFHNYMIRALEHDFKRVVGISWYLWLFVILFLLLNINGWHTYFWLAFLPLFLLLVVGAKLQHIITRLAQEAAASLADETNQIPNIKPSKDHFWFGKPSIVLHLIHFILFQNAFEIGFFFWVLVTYGFDSCIMEQKAYAISRLVIGLIIQVVCSYITLPLYAIVTHMSGDIKLQALGSGLHESVTNWASGARNKGRSDVGLRNSLTWKRTGPNPNPVPGGGGSGTEVPVARAPNERFGSSRNMLSPASASGPGMDEIESVVDIDGAVNSRKRTRQ* >Brasy7G100100.1.p pacid=40044997 transcript=Brasy7G100100.1 locus=Brasy7G100100 ID=Brasy7G100100.1.v1.1 annot-version=v1.1 MESSNQRCREGDDQCDSALQKQEWARMQDVLKCRLIVQDDFEWSLPCASSSSDQSDARAKLKYVGGVDISFLKEDPSTACAAVVVLDADTLEIVHEEFDVVRMQVPYIPGFLAFREAPILLGVLEKMKTNAHHFYPQLLMVDGNGLLHPRGFGLACHLGVLVDMPTIGVGKNLHHVDGLNQSEVRRTLEAKENCNKEFISLTGQSGMIWGAAMRSCPGSSKPIYISVGHRISLDSAIGIVKYCCKYRVPEPTRQADMRSKVFLQKHGRLQQ* >Brasy7G045200.1.p pacid=40044998 transcript=Brasy7G045200.1 locus=Brasy7G045200 ID=Brasy7G045200.1.v1.1 annot-version=v1.1 MAHEGDGWLQILERRRKLQVCSGRGSGLQICSGQGRPGRPRAVGEEEDVARWSGVDLRRRPWGGWRCLEEGRGLGRRPSWGGVRRRPCSVPVVGRCSGSDLAEEEGRQRRGTGGCGRREGLKWPVEDGKGLRQPPGKRGAAAAARGRGREGIGKRDGLGKKTIRAPGGSSDVNTE* >Brasy7G075000.1.p pacid=40044999 transcript=Brasy7G075000.1 locus=Brasy7G075000 ID=Brasy7G075000.1.v1.1 annot-version=v1.1 MQELSTKHKIEMVSCTPQYSKIQRPVYHLLVFCVHPFWLQLMYFVSTSLLGFLILKALPMKTSLVPRPRDLDLIFTSVSATTVSSMVALEMESFSNSQLLLLTLLMLLGGEVFTSMLGLHFTYTKSKKEQLSSQELISSTTPIDDDTHSQTEQGFKNLQRYDQYGGPPARILFFIVLGYHIVVHLAGYTLILVYLSVASGARAVLVSKGISTHTFSIFIVISTFANCGFVPTNEGMISFRSFPGLLLLVMPHVLLGNTLFPVFLRLPIWALHRVTKRPELSELLRIERSSYGYEYDHLLPSRHTRFLALTVAVFVLLQLVLFSAMEWGSDGLRGLTAVQKLVAALFMSVNARHAGEMVMDLSALSSAVVVLYVVMMYLPPYTMFLPISEDSHPQTGEHSSRSSEKKSSIWHKLLMSPLSCLAVFVVVICITERRRIADDPLNFNVLNIVVEVISAYGNVGFSTGYSCGRQVRPDGSCRDAWVGFSGKWSSEGKLALMAVMFYGRLKKFSMQGGQTWKIA* >Brasy7G229600.1.p pacid=40045000 transcript=Brasy7G229600.1 locus=Brasy7G229600 ID=Brasy7G229600.1.v1.1 annot-version=v1.1 MAALPLATAEVCDANSHLITNGELRALQPIFQIYGRRQVFAGPVVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALGSHPMKANKKGVGEKHVPVTVAGTRVCDGEWLYADTDGILVSTTELIV* >Brasy7G229600.2.p pacid=40045001 transcript=Brasy7G229600.2 locus=Brasy7G229600 ID=Brasy7G229600.2.v1.1 annot-version=v1.1 MAALPLATAEVCDANSHLITNGELRALQPIFQIYGRRQVFAGPVVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALGSHPMKANKKGVGEKHVPVTVAGTRVCDGEWLYADTDGILVSTTELIV* >Brasy7G076500.1.p pacid=40045002 transcript=Brasy7G076500.1 locus=Brasy7G076500 ID=Brasy7G076500.1.v1.1 annot-version=v1.1 MAPRGRAALVRAVAACPGCREEQALHCLVCKLGHASDVVMATALLTCYGKRGLLALAHRLFDEMTRRDSVAFNAMLAAHGSSGRTADARRLFDRMSDADRTPASWNTMLTCYCRAGDLASAREVFDASFRATTSSPVSWNAMIDGYCKAGRMDAAQELFDRMTGGSSPRDVVTWNTMMAGYLRRGDPAAAIATFRRLMQQQTLRPTTVTMATVVSACAQAGNLALGREIHLSIRRLGTSTDAVLSNALIDMYFKCGSVDRALEVFSAMPAGGAPNLFCWNTLIAGLGRNGRGEDAVRAFRDMVEKVVKPDTVTFVAVLSACSHSGLVAEGRELFSEMLPVHGVVPRIEHYGCMVDLLCRAGLLGEATGLVRAMPVRPNAKILGSLLLHAHARGSGPEQGKEDGVRVGEWAAERIAELDRRDGAAYGLSNMYASLQRWDHVEMHRRRSKITNAAGANGKEPGRTSCDLAPAAQTEEQHEY* >Brasy7G134600.1.p pacid=40045003 transcript=Brasy7G134600.1 locus=Brasy7G134600 ID=Brasy7G134600.1.v1.1 annot-version=v1.1 MATPRRGGAGWSVAAIVAAVLQILLAVAEAGKYNAVFNFGDSLVDAGNLVTEGIPDYLATARLPYGQSYFGYPTGRCSDGRLVIDFIAQEFGLPLLPPSKAKNASFAQGANFAITGATALTTEFFEKRGLGKSVWNSGSLFTQIQWLRDLKPSFCNSTKECKDFFAKSLFVVGEFGGNDYNAPLFAGKDLSEAYKLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYIEPKAGYGPRSGCLKRFNTFSWIHNALLKRALEKLRAKYPGVRIIYGDYFTPVIQFLLQPEKFGFHRQLPRACCGAPGKGPYNFNLTAKCGEPGATPCADPKTHWSWDGIHLTEAAYGHIARGWLHGPFADQPIAQSS* >Brasy7G155900.1.p pacid=40045004 transcript=Brasy7G155900.1 locus=Brasy7G155900 ID=Brasy7G155900.1.v1.1 annot-version=v1.1 MDQCEPIGTTHEVSRSESAEPNTNSTANAVESLGMYINPNDDSVLAKIEKIIKQKHFSRDEAERLIEIMRSRTPDLYDEDQIAPKSSAKGVEATPFRNKLLTPAKPVDLRSNWGTDAFTQSNVRDVTSSPIELAKAYMEAQTSATVHESQKRKFRALSHGVDIENSASKIFPKVAVDSPVRWPGSVVRDYPQYLTPQSNKGRTLPPASSHSPYTGSVFRRSVKKTGHLDIYNNSSGRSQISTPFPVGSKAMIEDKMISTGGVLGMQPSTSSERAYAETVGTTTPFFPSDGSAAMKFSLEGSHGKGTIGSGSTLGRMSEVDNILKRAAVSVHPKSSQTAHKILQHLERTIPSPTTKPLELRQTAKRTGPSVVISSQYKVPDSITCNGPRQSSINECGSGYQAISDAKKVQEPPSNSKREESPPKIQSYRANTEIGDITSSQDPLKTDLAPAPAPVVLDKSANNGFMFTFPVTKTSVSLPEPPPTPSFSSPPDRSLPADIQDIPKFTFGSSSSTDRLIFSVDSASGSVGADETVPIFKFGSDRKRELSFDVAGKDAVCF* >Brasy7G155900.2.p pacid=40045005 transcript=Brasy7G155900.2 locus=Brasy7G155900 ID=Brasy7G155900.2.v1.1 annot-version=v1.1 MDQCEPIGTTHESESAEPNTNSTANAVESLGMYINPNDDSVLAKIEKIIKQKHFSRDEAERLIEIMRSRTPDLYDEDQIAPKSSAKGVEATPFRNKLLTPAKPVDLRSNWGTDAFTQSNVRDVTSSPIELAKAYMEAQTSATVHESQKRKFRALSHGVDIENSASKIFPKVAVDSPVRWPGSVVRDYPQYLTPQSNKGRTLPPASSHSPYTGSVFRRSVKKTGHLDIYNNSSGRSQISTPFPVGSKAMIEDKMISTGGVLGMQPSTSSERAYAETVGTTTPFFPSDGSAAMKFSLEGSHGKGTIGSGSTLGRMSEVDNILKRAAVSVHPKSSQTAHKILQHLERTIPSPTTKPLELRQTAKRTGPSVVISSQYKVPDSITCNGPRQSSINECGSGYQAISDAKKVQEPPSNSKREESPPKIQSYRANTEIGDITSSQDPLKTDLAPAPAPVVLDKSANNGFMFTFPVTKTSVSLPEPPPTPSFSSPPDRSLPADIQDIPKFTFGSSSSTDRLIFSVDSASGSVGADETVPIFKFGSDRKRELSFDVAGKDAVCF* >Brasy7G181600.1.p pacid=40045006 transcript=Brasy7G181600.1 locus=Brasy7G181600 ID=Brasy7G181600.1.v1.1 annot-version=v1.1 MHWPTRPQPALILPASPASVTQKFSPIKWAGQHLLSTPHHSLPIKASLEPSRDMDGKGGSGGGGDGKGGAGAAKVVGDGGAGKPSGTGAGGDAMMKAPGTGGATHISRPGFESNPQGYFQDLHGKGSNK* >Brasy7G197200.1.p pacid=40045007 transcript=Brasy7G197200.1 locus=Brasy7G197200 ID=Brasy7G197200.1.v1.1 annot-version=v1.1 MTSAVASSLLVPTAATSSPATACGWLGPRVSFSGRDAAAIAAEQEETAAASTCPVAVATPAISRDFIDFEFISFGGCATSMLPADELFADGKLLPLRKAEPATAPEPEASPAAPPPARPETMPALSAEQTMKPLRAAAGAATAEQYVFSPKAPSCSSRWRELLGLKRASSSPASQSPRPSPSPSALAKTPGRTTTTGSSSAARSLKFLLQRSNNNSGNGGRTSSDLASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGGHDSHDDIVINPRFSLDSGADLTHPPRLRLVRSSGRRHSTSTSTSARRGPSPPPPQPSCISVDSPRMNSSGKIVFQQSPLERSCSSPCSFHHAAAASKSRSSRAAAVDRSYSSGGVRVAPVVLNVPVCSRPVFGFFKDKQKDSSSADNARASRTSSTLGRKAAPPPQQRWSGELPKLCSG* >Brasy7G180500.1.p pacid=40045008 transcript=Brasy7G180500.1 locus=Brasy7G180500 ID=Brasy7G180500.1.v1.1 annot-version=v1.1 MPSPSSASSPHRKLLHSLIYWAVQRCRMSESPCRLTVSLKSPSEPGGPSPLRVSVSDTGVGSKLEEFLELDALARETPSEKWDGTLLITTTGINDKAIYHYQFNLQEDISSSARFSKLATTYKNYTTFSGTEVCLCLSNETDLGDFILWLVDFVRKILVLKAANLAIELVIEQPSSVGSRNVCLPCDSDDAHISITTSSIERLVSGLKDYALSHGNTCEKCDACCMNRDRLKIGTGTATNVGRRKSKGLLVEVVIVVAPTASDLSCWMVNCSSTQVLHFEDFVPSPISQSSFSVLMSMDWQSYGFKLKGGFMDDEGNAVLQWDNLTFARVDIAVHTYHGVTVQEWQRSEPDRYLVKKALKSALNHLKADHAGGFLSCHGRKIREYVPDLAQSIAGLILSSNDQEFRDECAVLLGLVSDQEISEEAVETCIGDKMARIIEMNDTKENVEENLPYLFECEKLDEDSQQLDEEDGEEDMAYDF* >Brasy7G070500.1.p pacid=40045009 transcript=Brasy7G070500.1 locus=Brasy7G070500 ID=Brasy7G070500.1.v1.1 annot-version=v1.1 MPPPHVAVVAFPFSSHAAVLLSFARALAAAAPAGTTLSFLTTAESVAQLQKGARLPGNLRFVEVPDGVPSSGGAVPPPPPRRMELFLAAAEAGGIRDGLEAARASAGGARVSCVVGDAFVWVAADAASAAGAPWVPVWTAAPCALLAHLRTDALRQDVGDHAASRGDELLTAHPGLGGYRVRDLSDGVVSGDFNHVINLLLYRMAKRLPQSATAVALNTFPGLDPPNLAAALSAELPNCLPLGPYHLLPAAAAADSPDEAAAAAASDPHGCLAWLDRHPPRSVVYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDAWPLLPPGFLRLPAGLVVPWAPQVGVLRHASSGAFVTHAGWASVLEGLSNGVPMTCRPFFGDQTMNARSVASVCGFGSAFEGPMTSAGVAEAVGTLLRGEEGERMRARAKELQAMVGAAFVPGGGCRENFDKFVKIVCGV* >Brasy7G210100.1.p pacid=40045010 transcript=Brasy7G210100.1 locus=Brasy7G210100 ID=Brasy7G210100.1.v1.1 annot-version=v1.1 MPSAHAARDNSRESCEGTTGPIFDQTATQHKKDDTNAGVADEPSPPDCTPVWQDTPSQSVDGTEEVTQAWNAGEQLERYEKDHAARVAKYADEVRQYYRKFPKRKRDDAPNVPADHTENAPVSAASDDDFEARAPPTVKNKVRVAVAKRKISSVLSQESPGVGNVRRSPRIATSPGSVAAHPARYGEKVSGSKRRRTVDKSYVPDGEDDAADAGGEIAAAKKEMRGGAGCSRRGAGNVGKKWKCGIIKKAKTGNDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPRRERVRAAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRGMTIIRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLFTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSSHSKSHSIMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNISKYVFGKLPVKSSAFTTPTASALPAVQRIRQVYDAVCRLRNDPTKKDIVLFQNDECECTIGQVAKAFHLNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCLFEGNYQSRRLQKLFSIHAEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEYVRVPKQGTAHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYLAEKKTGKKGARASAEEAPVLLSDDDDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGEYPWRSTVLFLHFL* >Brasy7G192500.1.p pacid=40045011 transcript=Brasy7G192500.1 locus=Brasy7G192500 ID=Brasy7G192500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G183300.1.p pacid=40045012 transcript=Brasy7G183300.1 locus=Brasy7G183300 ID=Brasy7G183300.1.v1.1 annot-version=v1.1 MISAVASAGGDGDGNIHILMFPFLAFGHISPFVQLARKLVAGNRVRVTLLSAAANVPRVEAMLGPSAAAVAVAPLQLPRVPGLPEGAESTAEVSADGAELLKIAVDGTRPQVAALLAELRPGAVLFDFATPWVTDIAAPLGIKALQFSVFAAAAGAYLMVPARRANNGSLPSTAHELASAPAGFPPSSSLATVPPYQAADFKYVFTSFHGMPCVYDRVVAGIEASDALVVKTCAEMEGAYIDYLAAQFGKPVLVTGLVVPDPPQGELEEPWATWLSAFPENSVVFASFGSETFLPAEAATELLLGLEATNRPFLAVLNFPKGEDAEAELNKCVPPGFRERVKGKGVVHTGWVQQQHILRHASVGCFVNHAGLSSVVEGLVAGCRLVLLPMKGDQYVNAALAARELRVGVEVARRAEDGWFGREDVAAAVAEAEADGGWEEGKKWVEFLMDAAVQKRKQGFEERCSKELRG* >Brasy7G175900.1.p pacid=40045013 transcript=Brasy7G175900.1 locus=Brasy7G175900 ID=Brasy7G175900.1.v1.1 annot-version=v1.1 LRYKRWLWWTRFGMVITVLQFVLAFYLMCIIIKDLSAGRSSNECLSGHGQGSSDWKHILLIFFLVVMWMGTVVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREALCCLGRVKYSSVLEDDDICVVAKLLGDIMAYRASGTGHLELIAGFSLLQKAKQLSTVIPKEQAEAPQNLIQEAVLFHPFAEAAYTIQWALLDFGRNPLMFPCVWLNRQGVLTPWSRARRPILEGDNWWRGHAAAFLKYVNVAPEALRKGRVSQKKREAAYFVVVLHNLKTIVIAIRGTETPEDVITDGLCRECSLTMDDLDGLINMELPADKSETVTVGFLSSLLGAGCECDGYNIEIVGHSLGGAVAALLGIRLYRRFPKLHVFAYGAAPCVDYVIADACSQFVTSIVHNDEFSARLSMNSVIRLRAAAIKALSKDTSPNSAKVVKLVGGIRSTRRDDENAVDHCASAGALQTVSDQSNNQIRGKNLMHTVRGGVFLFDQAISCLINTPKHRISSTATMNYELGRSRTTLTCNGEKCVVASHGVMTASQPGEPSNVYRDDLNECGRSPHSNNGIELASAANDHVCTISLSEVQSTEVYLPGLIIHIVPVKKGTSPLQKTLVTRHKNKSYKAFIANRQDFTDLVVTRRMFLDHLPWRCQYAMQRVIQTRKQDQLIQDSFAREDPV* >Brasy7G229700.1.p pacid=40045014 transcript=Brasy7G229700.1 locus=Brasy7G229700 ID=Brasy7G229700.1.v1.1 annot-version=v1.1 MRPRPILLFPRSRSARDARGPFPRSRSRSQLAAAPPPCAAAAKLLHRRCRAPPPPLPSSPLPNPSHISPLAPLSLPNRSHGAAFSSRPRTLAARSFRSRSLLSFCRRPPAAAVTQGGRRRKATSRRGGKGRICLFLGYLRRSTVSSVLGLLARLWKLRSASPRPSATTSWDSCKQGLLLGFSIQQALSIQCLAASRIASWSSSKCSIKLICRAGPARWYYSAVTILGPAYVITGGFGSRPRLLLVARFGLSLTGNSPAFPSAPPPRAVSVRRSGRLEEGKRGRMIYTAIDTFYLTDEQLRDSPSRKDGIDEAAEASLRVYGCDLIQESGILLKLPQAVMATAQVLFHRFYCKKSFARFSAKRVAASCVWLAGKLEESPRRSKHIIFVFHRMECRRENLPIEFLDVFSTKYTELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHRVPLPEDPPWWTVFDADEAGIQEVCKVLAHLYSLLKAQYIPVYKDNDSFSVRRASDTQASKESPASAVASDRGTPVPSSSSQEKNSLTKAGPEKVKEKSDNKDKPLPAELNGKGHQAVNSKSEKSESNVDRTEERERSRSRGRDRDSRGRDSDRDRERDRAKRHRSRDKTSGYLDKEKSRHRSSRDRGGYYSSGDKDRHRHH* >Brasy7G154300.1.p pacid=40045015 transcript=Brasy7G154300.1 locus=Brasy7G154300 ID=Brasy7G154300.1.v1.1 annot-version=v1.1 MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVPRSATGEIIVAPAAARDGRGGGLGSSSGAGSLSQILSAKLRKCCKTPSPSLTCLRLDTEKSHIGVWQKRAGTRADSSWVMTVELNKEPAAAATTLSDSVAPTTPSTSSTSASTAGSPPVAMDDEERIALQMIEELLGGSSPDSPSHGLLQGEEGSLII* >Brasy7G067200.1.p pacid=40045016 transcript=Brasy7G067200.1 locus=Brasy7G067200 ID=Brasy7G067200.1.v1.1 annot-version=v1.1 MRRQRCCWLVHANNDDFFLETNFGPTRDRENVFHVKAATRPSNPHGYSHARPNPNTAKPRGPHLSTPFPCQRASPAAPADPATPKVPTAPHRLARATLRGPRFVIARGAGSRARSISGPFSFPRAGQAPKLPPPVSFLVRLRAPPSSNHSPPRPPPIRFGLPSRSWES* >Brasy7G156500.1.p pacid=40045017 transcript=Brasy7G156500.1 locus=Brasy7G156500 ID=Brasy7G156500.1.v1.1 annot-version=v1.1 MADPEGEEAANALRRLVITEYRKKLLACRELETKVRTARDSLKKSKKDYEKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHASGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE* >Brasy7G200800.1.p pacid=40045018 transcript=Brasy7G200800.1 locus=Brasy7G200800 ID=Brasy7G200800.1.v1.1 annot-version=v1.1 MNAVLSPADGGAATRIAYLVLKIAEHSAAGKNQNHGGGYIPVRTLAVLGHEWQIDYTPDGYHNPTANSHDQRWLKLRIRLIRDAAAAMVGAGNDAAVTASFTFRLMDPSDRLKPLDPVKTTERFEFRQGSCSCMEVPLWPRPALDASGYLGRDGNCFVHCAVVVVQEHRKPAASMCSDGLQRDLGGLLTTHHGADVTFIVAGEQIRAHRCVLAARSPVLKAELSGITSRVIEVSDMDVGTFRALLHFIYTDTLPPQLDDDDQEGESANPAMARRLLDAADRYGVDRLRDICEQKACAGVGVDSVAADLVWAEKRGYARLRARCVEFLLARPRHFLEVAQAGDCKLLEAKCPWVLTELVTAIAESTLDGRHPRS* >Brasy7G142800.1.p pacid=40045019 transcript=Brasy7G142800.1 locus=Brasy7G142800 ID=Brasy7G142800.1.v1.1 annot-version=v1.1 MPRASLVTFLIVTSLFSTASHLGTQASGAQLDEDIFALDVVSKIRTDRGSIVRASLDFGQIVKAAPMGVFHPATPSDISALIRFSLSQHAPFTVSPRGQGHSSRGQALASGGIVVDMPSLQGHSGGDSSHRVNVSVDGMYVDIGGEQLWIDVLRATLQHDGGFAPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQISNVQELDVVTGTGDMITCSRSQNSDLFFATLGGLGQFGVITRARVGLEPAPKRAKWVRLAYSDVRLFTADQELLVSKVAGFDYVEGQVQLNRTLTEGRRSSSFFSASELARLRRLALDTGSAAIYYIEGAMYYDDYSAATVDKKLEALLEELSFVPGLVFMRDVAYVQFLDRVGQEEQKLRSAGVWDVPHPWLNLFIPKSRIHDFDAAVFRGVLKDAKPVGLILMYPMNKDKWDDRMTVMTPEEDVFYAIGLLRSAVAPGDLERLEKENEAVLGFCDQAGIGCKQYLPHYAAQDAWRRHFGKKWGRVAERKAKYDPQAILSPGQGIFPTAVTSIRHALISAS* >Brasy7G120800.1.p pacid=40045020 transcript=Brasy7G120800.1 locus=Brasy7G120800 ID=Brasy7G120800.1.v1.1 annot-version=v1.1 MIRLQTYTAFSLLATMSAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMAFLLVVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRISDYVRYRKVTSNMNERFPDATTDELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASARQHGAQPGAQPAAGTGNPSSGEAPSENVNRHQAKLEAAASAASLYGRSLAYPPASTFNRYSSPLHATSSTSQSGEASTSTGNPLAPWPFYSHGPVGSIASSRDPQISLQKAYENAIRSQIEMLHIQLQMVQQAATPSATNNGSSKHPENE* >Brasy7G103700.1.p pacid=40045021 transcript=Brasy7G103700.1 locus=Brasy7G103700 ID=Brasy7G103700.1.v1.1 annot-version=v1.1 MMRLSPPPLVTCRHPTVAERHRPVARLDTRLEFYQPRGKDKKLRRLHDTRLHPSRLHPLHSSNSRSASNLATMASSAPTAPGSDRAALLKAFDESRTGVRGLVESGVTTVPDLFLHPDPYASVPLAPPGVSIPIVDLSLPAPLAASAVAAAARDWGFFHLVNYQALVPSDYPARVLAAVRAFNELPGPERAAHYGRAMGGGVSYSSNVDLFRSPAASWRDTIQVRFGPTRPNTELIPPVCRSEIVEWEAHTTAVARVVMALLSEGLGLGDAALEEASCLEGKVMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEAGESYWVDAKPVPGALVINFGDLLQIMSNDKYKSVEHRVVMNSREEARVSVAAFFNPGKRGESIFYGPLPELVSSENPPKYRSFTMSEFLGTFFKRDLATKALVEHFKL* >Brasy7G108400.1.p pacid=40045022 transcript=Brasy7G108400.1 locus=Brasy7G108400 ID=Brasy7G108400.1.v1.1 annot-version=v1.1 MESSSVIRRGRSGGPVRRGRGRRGRSVPLPDNELWSPKLFGCQKPNILYEMFAKFNSHKLSLMQDISFHGLSKMPKYRSWNRQFSLYCLNQSNTDGNPIEFETCNGTRLPMYPSHVHDILGVPAEGKHISVEDEDVPDAVVDDVCRVLQVPELSICSVSDVVEGVIDQHSTKKEKDAFQIAVVIVAFAYMLDCRDRDPKIPIFLLPYLTSVSKLKEVNYSRCVLDILSIAARKIQEVKRDGYSTCTVGGCIIVPQIFYFDSIDFGINKAPSGVFPRIRLYGKAKLDLLISMDKNRHTVNVSQWYGYYERYSRVKLPKPEDKGKGVATGSRRIKRKKYKSDRQSRRQKRFTALMKVVEEHHAADSYLIEDLRREMQKRKNLLLHLIADHLENESSSEGCEEDTTSETRVAEDVDGGEQSFHDDATSANPSFKRPNQLPQTPGVWQRTKEVRKEGSEVGASVNSLNVQGESNMSQVGDKRGAEGPNNSGSKSSKICKDAGTTVGEGNNFDVHSSIKIIIAEALGLLKDSCGTAPGIPRVPEKKLKKAITFRGPRPQQLGEEKEKEDDGAGSASNTHVPVQSKQPLEVPRAPLVDVPLTGTGPSQQEIEGDVCEGSNRFELRFGRSSGYRDC* >Brasy7G108400.4.p pacid=40045023 transcript=Brasy7G108400.4 locus=Brasy7G108400 ID=Brasy7G108400.4.v1.1 annot-version=v1.1 MESSSVIRRGRSGGPVRRGRGRRGRSVPLPDNELWSPKLFGCQKPNILYEMFAKFNSHKLSLMQDISFHGLSKMPKYRSWNRQFSLYCLNQSNTDGNPIEFETCNGTRLPMYPSHVHDILGVPAEGKHISVEDEDVPDAVVDDVCRVLQVPELSICSVSDVVEGVIDQHSTKKEKDAFQIAVVIVAFAYMLDCRDRDPKIPIFLLPYLTSVSKLKEVNYSRCVLDILSIAARKIQEVKRDGYSTCTVGGCIIVPQIFYFDSIDFGINKAPSGVFPRIRLYGKAKLDLLISMDKNRHTVNVSQWYGYYERYSRVKLPKPEDKGKGVATGSRRIKRKKYKSDRQSRRQKRFTALMKVVEEHHAADSYLIEDLRREMQKRKNLLLHLIADHLENESSSEGCEEDTTSETRVAEDVDGGEQSFHDDATSANPSFKRPNQLPQTPGVWQRTKEVRKEGSEVGASVNSLNVQGESNMSQVGDKRGAEGPNNSGSKSSKICKDAGTTVGEGNNFDVHSSIKIIIAEALGLLKDSCGTAPGIPRVPEKKLKKAITFRGPRPQQLGEEKEKEDDGAGSASNTHVPVQSKQPLEVPRAPLVDVPLTGTGPSQQEIEGDVCEGSNR* >Brasy7G108400.5.p pacid=40045024 transcript=Brasy7G108400.5 locus=Brasy7G108400 ID=Brasy7G108400.5.v1.1 annot-version=v1.1 MESSSVIRRGRSGGPVRRGRGRRGRSVPLPDNELWSPKLFGCQKPNILYEMFAKFNSHKLSLMQDISFHGLSKMPKYRSWNRQFSLYCLNQSNTDGNPIEFETCNGTRLPMYPSHVHDILGVPAEGKHISVEDEDVPDAVVDDVCRVLQVPELSICSVSDVVEGVIDQHSTKKEKDAFQIAVVIVAFAYMLDCRDRDPKIPIFLLPYLTSVSKLKEVNYSRCVLDILSIAARKIQEVKRDGYSTCTVGGCIIVPQIFYFDSIDFGINKAPSGVFPRIRLYGKAKLDLLISMDKNRHTVNVSQWYGYYERYSRVKLPKPEDKGKGVATGSRRIKRKKYKSDRQSRRQKRFTALMKVVEEHHAADSYLIEDLRREMQKRKNLLLHLIADHLENESSSEGCEEDTTSETRVAEDVDGGEQSFHDDATSANPSFKRPNQLPQTPGVWQRTKEVRKEGSEVGASVNSLNVQGESNMSQVGDKRGAEDAGTTVGEGNNFDVHSSIKIIIAEALGLLKDSCGTAPGIPRVPEKKLKKAITFRGPRPQQLGEEKEKEDDGAGSASNTHVPVQSKQPLEVPRAPLVDVPLTGTGPSQQEIEGDVCEGSNR* >Brasy7G108400.2.p pacid=40045025 transcript=Brasy7G108400.2 locus=Brasy7G108400 ID=Brasy7G108400.2.v1.1 annot-version=v1.1 MESSSVIRRGRSGGPVRRGRGRRGRSVPLPDNELWSPKLFGCQKPNILYEMFAKFNSHKLSLMQDISFHGLSKMPKYRSWNRQFSLYCLNQSNTDGNPIEFETCNGTRLPMYPSHVHDILGVPAEGKHISVEDEDVPDAVVDDVCRVLQVPELSICSVSDVVEGVIDQHSTKKEKDAFQIAVVIVAFAYMLDCRDRDPKIPIFLLPYLTSVSKLKEVNYSRCVLDILSIAARKIQEVKRDGYSTCTVGGCIIVPQIFYFDSIDFGINKAPSGVFPRIRLYGKAKLDLLISMDKNRHTVNVSQWYGYYERYSRVKLPKPEDKGKGVATGSRRIKRKKYKSDRQSRRQKRFTALMKVVEEHHAADSYLIEDLRREMQKRKNLLLHLIADHLENESSSEGCEEDTTSETRVAEDVDGGEQSFHDDATSANPSFKRPNQLPQTPGVWQRTKEVRKEGSEVGASVNSLNVQGESNMSQVGDKRGAEGPNNSGSKSSKICKDAGTTVGEGIPRVPEKKLKKAITFRGPRPQQLGEEKEKEDDGAGSASNTHVPVQSKQPLEVPRAPLVDVPLTGTGPSQQEIEGDVCEGSNRFELRFGRSSGYRDC* >Brasy7G108400.3.p pacid=40045026 transcript=Brasy7G108400.3 locus=Brasy7G108400 ID=Brasy7G108400.3.v1.1 annot-version=v1.1 MESSSVIRRGRSGGPVRRGRGRRGRSVPLPDNELWSPKLFGCQKPNILYEMFAKFNSHKLSLMQDISFHGLSKMPKYRSWNRQFSLYCLNQSNTDGNPIEFETCNGTRLPMYPSHVHDILGVPAEGKHISVEDEDVPDAVVDDVCRVLQVPELSICSVSDVVEGVIDQHSTKKEKDAFQIAVVIVAFAYMLDCRDRDPKIPIFLLPYLTSVSKLKEVNYSRCVLDILSIAARKIQEVKRDGYSTCTVGGCIIVPQIFYFDSIDFGINKAPSGVFPRIRLYGKAKLDLLISMDKNRHTVNVSQWYGYYERYSRVKLPKPEDKGKGVATGSRRIKRKKYKSDRQSRRQKRFTALMKVVEEHHAADSYLIEDLRREMQKRKNLLLHLIADHLENESSSEGCEEDTTSETRVAEDVDGGEQSFHDDATSANPSFKRPNQLPQTPGVWQRTKEVRKEGSEVGASVNSLNVQGESNMSQVGDKRGAEGPNNSGSKSSKICKDAGTTVGEGIPRVPEKKLKKAITFRGPRPQQLGEEKEKEDDGAGSASNTHVPVQSKQPLEVPRAPLVDVPLTGTGPSQQEIEGDVCEGSNR* >Brasy7G011200.1.p pacid=40045027 transcript=Brasy7G011200.1 locus=Brasy7G011200 ID=Brasy7G011200.1.v1.1 annot-version=v1.1 MAPNVATKMAMMVMGAVLLTAAAADVEGQTTPRRTTATPSTHWCWAFVWRLRRRIPRASSSSAAAACRSVTRNKLRSAPRDSRVRT* >Brasy7G094200.1.p pacid=40045028 transcript=Brasy7G094200.1 locus=Brasy7G094200 ID=Brasy7G094200.1.v1.1 annot-version=v1.1 MAAAILRRLNPAGAASARLAPALARRAVSDSTEPLTVETSLPFKPHLVDPPSRAVSTTPRELLAFFRDMALMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCLYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWKASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHVLENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLILTHDLATAQELKDMEKEIRKEVDTAIAKAKESPMPDTSELFKNVYVNDCGLESFGVDRKVVRTVLP* >Brasy7G021900.1.p pacid=40045029 transcript=Brasy7G021900.1 locus=Brasy7G021900 ID=Brasy7G021900.1.v1.1 annot-version=v1.1 MPPPLSLSLPSPPPPLSLLLPHHAKAFQSLTLAPPRTPASVACSVVPARHSDYFDPRARTPPPRDEYGEPPPPPMAPAQGGQSGRVFASYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVAARQYDWTRKQVFSLSVWEMGTLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNIDESVYIPITKGEYAVIVSTFNYIIPHIMGWSTFTNSIKLEESQPYSRPQSSPELEWRR* >Brasy7G021900.2.p pacid=40045030 transcript=Brasy7G021900.2 locus=Brasy7G021900 ID=Brasy7G021900.2.v1.1 annot-version=v1.1 MPPPLSLSLPSPPPPLSLLLPHHAKAFQSLTLAPPRTPASVACSVVPARHSDYFDPRARTPPPRDEYGEPPPPPMAPAQGGQSGRVFASYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVAARQYDWTRKQVFSLSVWEMGTLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNIDESVYIPITKGEYAVIVSTFNYIIPHIMGWSTFTNSIKLEESQPYSRPQSSPELEWRR* >Brasy7G103500.1.p pacid=40045031 transcript=Brasy7G103500.1 locus=Brasy7G103500 ID=Brasy7G103500.1.v1.1 annot-version=v1.1 MASKSANSEGIKKESEADVYNSELTPLQRHVAFFDRNKDGIIYPSETYEGFRAIGCGVALSAFSAVFINGLLGPKTIPENEKAAAFKFPIYVKNIHKGKHGSDSGVYDSQGRFVPEKFEEIFKKHAHTRPDALTGKELQELLQANREPNDLKGRVGGFTEWKVLYSLCKDKEGYLHKETVRAVYDGSLFVKLEQERKEAKESAKKK* >Brasy7G106000.1.p pacid=40045032 transcript=Brasy7G106000.1 locus=Brasy7G106000 ID=Brasy7G106000.1.v1.1 annot-version=v1.1 MDPLYWFVELLSLLCCFIFYYRHLQSKKISKREPTEWPVLGHLFGMIAHKNDFHDWATGILAGTRYNFEARAGVTGVRFFVTCDPANVRHIFTSNFANYPKGDEFAEIFDVLGNGIFNADGESWRRQRAESQLLMAGPRFRAFSAKYSHDKVEKSLLPFLEHVADAGTICDLHDVFLRLTFDMTCNLVFGVDPGCLAIGLPVVPFAKAMDDVLETLFLRHLISPACWKLMYRLEVGPERKMAVARRTIDRFAAETITKRRAAADHGETSGAADMLSSFIGQDDHTGEHSDEFLRDTTVNLLLAGRDTTGAALAWFFHLLSQNPRVEQKIMAELAPIASQKKKNAKDGMVVFDVSELSGMVYLHAALCECLRLYPSVPFEHKAAVASDVLPSGHEMKAGDKILIFSYCMGRMEGVWGKDCAEFRPERWVAEDGKRLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFEVQAVAGHVVEPKLSIILHMKNGLAVTVKRRTGLHGGA* >Brasy7G074700.1.p pacid=40045033 transcript=Brasy7G074700.1 locus=Brasy7G074700 ID=Brasy7G074700.1.v1.1 annot-version=v1.1 MCAFCLLCQHNVERYNAYDGVADDNELVVVPGLEKRVVVTRAQAPGFLRTPGFEELADEIERARAEADGVVMNSFLEMEPEYVAGYAEARKMKVWTIGPVSLYHQHAATLAARGNTAAATVDAAECLRWLEGKKANTVLYVSFGSIVHTDPKHVVELGLGLEASGHPFIWVLKNAEQYGEAVREFFRDLEERVAGRGMLIRGWAPQVLVLSHPAVGGFVTHCGWNSTMEAITAGLPMVTWPHFSDQFLNEKLVVDVLGIGVSVGVKNPLAWWAEKTEIVVGREVVEAAVRSIMDGGEEGEERRRKALALSGQARAAVQEGGSSLANLLDLIKRFEVDAGDRTTE* >Brasy7G012600.1.p pacid=40045034 transcript=Brasy7G012600.1 locus=Brasy7G012600 ID=Brasy7G012600.1.v1.1 annot-version=v1.1 MVTSPSRGRPTGRGSCFGSVHEAMASSSIGRRGRSAGSVPRGGGGRGCSMLVPPDKIWTPKLFGSQKPMILHEMFAKFDPFKLLLLKDITFDGLAEMPKSQCNRQFSLFCLNQTDEDGEPMEFHYPDGSRAPMYPSHVHDIIGLQCEGKHISVHDDDVPEEVIQEVCRAVGVKDLTISSVCEVVERRIDQHSRKAEQEAFQIGVVLLSFAFMLDCRERDPKIPIYLLPYLSNVQKLKEVNYARCVLDILSIAARKVHEAKRGSYSTCTVGGCYIVPQHQQM* >Brasy7G004000.1.p pacid=40045035 transcript=Brasy7G004000.1 locus=Brasy7G004000 ID=Brasy7G004000.1.v1.1 annot-version=v1.1 MVKEQSGFRHPEFQRMRVTLTIGVIGLCATAYILGAWQGTSSNIKASPVYTKTQCNDAAPRTSSTPSLRPSGARLDFQAHHQVAFNESSLAPEKIPPCQLKYSEYTPCHDPRRARKFPKAMMQYRERHCPKKEDLFRCLIPAPPNYKNPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPHGADAYIDDINALIPLTDGNIRTALDTGCGVASWGAFLLKRGIITMSFAPRDSHEAQVQFALERGVPAMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGIYLIEVDRVLRPGGYWILSGPPIHWKRHSKGWQRTEDDLKQEQDEIEDLAKHLCWKKVVEKDDLAIWQKPINHIECANNRKADETPQICKSSDVDSAWYKKMETCISPLPDVKSDEEVAGGALEKWPKRALAVPPRITRGSISGLTPEKYQEDNKLWAERVNYYKKLIPPLAKGRYRNVMDMDAGMGGFAAALMKYPLWVMNVVPRGSTNDTLGVIYERGFVGTYQDWCEAFSTYPRTYDLIHADKVFSFYQDRCDITYILLEMDRILRPEGTVIFRDTVEILVKIQAISEGMRWKSQIMDHESGPYNPEKILVAVKTYWTGEPAQKQ* >Brasy7G004000.2.p pacid=40045036 transcript=Brasy7G004000.2 locus=Brasy7G004000 ID=Brasy7G004000.2.v1.1 annot-version=v1.1 MVKEQSGFRHPEFQRMRVTLTIGVIGLCATAYILGAWQGTSSNIKASPVYTKTQCNDAAPRTSSTPSLRPSGARLDFQAHHQVAFNESSLAPEKIPPCQLKYSEYTPCHDPRRARKFPKAMMQYRERHCPKKEDLFRCLIPAPPNYKNPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPHGADAYIDDINALIPLTDGNIRTALDTGCGVASWGAFLLKRGIITMSFAPRDSHEAQVQFALERGVPAMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGIYLIEVDRVLRPGGYWILSGPPIHWKRHSKGWQRTEDDLKQEQDEIEDLAKHLCWKKVVEKDDLAIWQKPINHIECANNRKADETPQICKSSDVDSAWYKKMETCISPLPDVKSDEEVAGGALEKWPKRALAVPPRITRGSISGLTPEKYQEDNKLWAERVNYYKKLIPPLAKGRYRNVMDMDAGMGGFAAALMKYPLWVMNVVPRGSTNDTLGVIYERGFVGTYQDWCEAFSTYPRTYDLIHADKVFSFYQDRCDITYILLEMDRILRPEGTVIFRDTVEILVKIQAISEGMRWKSQIMDHESGPYNPEKILVAVKTYWTGEPAQKQ* >Brasy7G178600.1.p pacid=40045037 transcript=Brasy7G178600.1 locus=Brasy7G178600 ID=Brasy7G178600.1.v1.1 annot-version=v1.1 MLSSSTSHLRLHQPSRAARRLPPATYQFQAAASRRSLPAPAVRARIRPQRGGTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDHSSLAASLGAAYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPKNLLLTSLPEGFDIICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARADTFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLATLDLQSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTDLLNRLESAMDSVKKRLFDGLHDVLRKQLFEGKASPPATSNNKSDVHRRQLLLEGKASPAPLNANNTTVRN* >Brasy7G203500.1.p pacid=40045038 transcript=Brasy7G203500.1 locus=Brasy7G203500 ID=Brasy7G203500.1.v1.1 annot-version=v1.1 MTACILESFLFLFRRSLALACGLADKLGMESVDLYYEQAYDTFLQESVFYFLKAQESVFDVTKKMDLVTFAVDSLNSDASTWPNKRAAVRILDSFLQQLKTSSSITDLQNKELVSRITTSNKAVTTLISMLGWTLPKGEDIRLLAAKVTAHLAPYLRIVSIPETMQMVSSLLDAQDQPVTQDISSQVMDGNGGNTDQQSHGSGSPTVNSNGSLLRDIVGRNADQQSHGSGSPTVNSNSSLPRDIVGRNADQQSHGSGSPTLNGNSSLPRDIEGGNAPAIQASSNQAEHNIQRCSLFCKIFNFLKEGIRRFLGHDGRLRSMPHEDTIDEYSLPALGMQILEGLAHDLHNCEEISRANRNPPSNDHRLASVKGKIGATLREELSGNPFLLGNLAEILEGSSTSNLEQLKLTMNIIAKLSMEKRTREKIGKIKAIIDRLVHEFIGEDESLKPLQEEAGGALAMLAMQSPDNCSAMLHEPNQELLGDLANKLQRGEHVYHAASLLQSLCENSMQVLLLQDPSGDHLMSTFTVVLGRITDAESKQMEALIGLASQICSALPAERVTPVLASDDEALVMKLVGELESCKKPIPGDSPNTRKLLVELTVSILELCPRYAVIFRDCGMMETISGVEKYMVFFGSSGVVSEGLPALVARAKELIGS* >Brasy7G032300.1.p pacid=40045039 transcript=Brasy7G032300.1 locus=Brasy7G032300 ID=Brasy7G032300.1.v1.1 annot-version=v1.1 MSTSKRAKLSHGRSNLATKQQSDDPFENLPQDVICSILSKLPLDEAARTSTLSTKWRHTWKSCPTLRIDAATMYHCGEIPADINNQELYTEGFIQTANAILGQCSTAAVEEFQIKYPFDSKLIAHLDNWIEFAVSARTRSLAIDLEPDEGLKCCECRHRFPFELFDEQSVSRLEAIRLSVVSLRAPPPGFTGFPNLTSLDLRFVDLSSDDLQLVLSPGCFCLERLCIVSCHLHDGELRLPSAPRLRYLQVAHSTISKIQLLAKNLTNFVYHGSMEVLLDVSQAPPELMHDAEMTFSSLLTLEDAITELPRAIPHAQNLHLSAYMTLEFLNRWLPMQVYELLPAIRSLPPCPHGHLKRLRIGGFRGTLGEIELAAHVADNSPRLESLVIDPAMRHADQHYTRLFTPSGRAFFLGEARYYANIFLAGRVTQQGARLDVL* >Brasy7G221600.1.p pacid=40045040 transcript=Brasy7G221600.1 locus=Brasy7G221600 ID=Brasy7G221600.1.v1.1 annot-version=v1.1 MADSPRKRYSSRPSRSPSPYKGRPKSRSRSPAARSQSRSSPPDPRSQARSRSSSPEREPDAGNHGNTLYVTGLSSKVTDRELKDYFNKEGKVVSCHVVLEPHTRVSRGFAFITMDTVEDAERCIKYLNQSELQGRHITVEKSRRGRPRTPTPGSYLGHRYERREQQRGGRFRRGYGRDEYYGNSYRRSPPPCTPTGILETTLPTGTAETTPPQGCPGLLRRQGWSRLLPPEISSSLRW* >Brasy7G228000.1.p pacid=40045041 transcript=Brasy7G228000.1 locus=Brasy7G228000 ID=Brasy7G228000.1.v1.1 annot-version=v1.1 MIPSNGSCCSLAFFSSIAPLYILLSSSLPKAFHLLSAGSNDCCLALICAISWILYCSYGRGFLICLGGFLICFRLHPGSS* >Brasy7G090400.1.p pacid=40045042 transcript=Brasy7G090400.1 locus=Brasy7G090400 ID=Brasy7G090400.1.v1.1 annot-version=v1.1 MESSLLLSSSRPLKPSLLAPSSCAHRRYACFGSSPLSRCLLPSPPPRARRWTMAPRAASEVFDGIHSQHNPPGVGRGGARRKAYREVQGEPAAPPLAVAARGVTRYIVPAGALLALSFVVWRVVLNLLPGKKKDRTSAEPTSSGIMWSFAAGSNLSTSTSFKAEKESKKNLNRFYKELRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAVGIEAFDGILQINTALKTLNLSGNAIGDEGAKCLSGILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRIVQLSNNVIEYSGFASIAEALLENNALRSLYLNGNYGGPLGASSLAKGVVGNKSLRELHLHGNGFVNEGLRVLMSALSSHKGKITVLDIGNNNITSEGSLYVAEFIKRTKSLRWLSLYMNDVGDEGAEKVADALKQNQTISTMDFGGNNIHSRGVTAIAETLKENAVLTTLELSYNPIGPEGVKALCDVLKFDGKLQTLKLGWCQIGVSGAEFIADCLKCNTTLSTLDLRANGLGDDGAICLARSLKTINESLKSLDLGFNEIRDDGAFALAQALKANEDLAITSLNLANNFFGKFGQVALTEARDHVYEMSEKEIDIYF* >Brasy7G080700.1.p pacid=40045043 transcript=Brasy7G080700.1 locus=Brasy7G080700 ID=Brasy7G080700.1.v1.1 annot-version=v1.1 MATGAETVRPFAAAGVDVEAPVFLAADKSQRAVDPVIWGDEERMKRELMAWAKAVASMALDVDRLLGTAAFHGTEEPPAAYVAVESFSR* >Brasy7G066900.1.p pacid=40045044 transcript=Brasy7G066900.1 locus=Brasy7G066900 ID=Brasy7G066900.1.v1.1 annot-version=v1.1 MGLLSFVGRVLFASAFFISAFQESAEFRSGSAPGSGPAAKFLEPKFYRFVKQVSTNTGMAVPHVHIKTVMVTTMHIRAFGGLMFIFYRSFGAFLLLVYLAFITPIVCDFYNYEMKSPQFVQLFIQFSQNLAFCGALLFFMGMKSSMPKRYKKRPKTKTT* >Brasy7G066900.2.p pacid=40045045 transcript=Brasy7G066900.2 locus=Brasy7G066900 ID=Brasy7G066900.2.v1.1 annot-version=v1.1 MGLLSFVGRVLFASAFFISAFQESAEFRSGSAPGSGPAAKFLEPKFYRFVKQVSTNTGMAVPHVHIKTVMVTTMHIRAFGGLMFIFYRSFGAFLLNLAFCGALLFFMGMKSSMPKRYKKRPKTKTT* >Brasy7G151800.1.p pacid=40045046 transcript=Brasy7G151800.1 locus=Brasy7G151800 ID=Brasy7G151800.1.v1.1 annot-version=v1.1 MDAHSTSLFLLTLIHLLLCISAREFLSSGSSLSVEDSSDVLHSPDGTFTCGFNNISQDAFVFSIWFSNTAERTVIWSANHLRPVYSWGSKVMLYTNGSMVVKDYNGQSVWENDVDVNSSNAKETQLLDTGNLVVKGPGDIILWQSFNSPTDTLLPNQNITAATKLVSSTRLLVKGRYSFHFDDQYILTLFDDEKDISFIYWPNPDINIWAKQRISFNTTTIGFLDNWGYFIGSDNLTFKAADWGLKVMRRITLGSDGNLRLYSLNQQNREWSVTWMAFRQACFVRGLCGMNGICVYTPTPACACAPGHEITDQSDRTKGCKPKLNLSCDGQDMKFVKLPNTDFLGYDQSKHNFVSFQDCKKKCLNDCNCKGFSYWQGSGSCYPKSSLFGGVTSPSLPGSIYIKLPKALEVSQSSIPQSQPSVPRYGPNCSTTDKYFNADYLDKPNSSQSGSQYLYFYGFLSAIFLVEVILVALGCWFILRTKGKQLTGVWPAEVGYEMITNHFRRYTCKELKRATRKFRDQIGRGASGLVYKGVLKDKRVVAVKRLANINQGEEEFQHELSVIGRVYHMNLVRVWGFCSDGPHRILVSEYVENGSLDKTLFSIEGSQIFLEWHKRFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENLEPKITDFGLAKLLSRGGSNQNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSEWTSNADEEVEMVLRRVSRMLAENLKQEGSKQFWISDFIDSRLNSQFDNLQAKTMVKLAVSCIEEDSRKRPTMENALQILLSVDEASGIMLMQLDAIN* >Brasy7G151800.2.p pacid=40045047 transcript=Brasy7G151800.2 locus=Brasy7G151800 ID=Brasy7G151800.2.v1.1 annot-version=v1.1 MDAHSTSLFLLTLIHLLLCISAREFLSSGSSLSVEDSSDVLHSPDGTFTCGFNNISQDAFVFSIWFSNTAERTVIWSANHLRPVYSWGSKVMLYTNGSMVVKDYNGQSVWENDVDVNSSNAKETQLLDTGNLVVKGPGDIILWQSFNSPTDTLLPNQNITAATKLVSSTRLLVKGRYSFHFDDQYILTLFDDEKDISFIYWPNPDINIWAKQRISFNTTTIGFLDNWGYFIGSDNLTFKAADWGLKVMRRITLGSDGNLRLYSLNQQNREWSVTWMAFRQACFVRGLCGMNGICVYTPTPACACAPGHEITDQSDRTKGCKPKLNLSCDGQDMKFVKLPNTDFLGYDQSKHNFVSFQDCKKKCLNDCNCKGFSYWQGSGSCYPKSSLFGGVTSPSLPGSIYIKLPKALEVSQSSIPQSQPSVPRYGPNCSTTDKYFNADYLDKPNSSQSGSQYLYFYGFLSAIFLVEVILVALGCWFILRTKGKQLTGVWPAEVGYEMITNHFRRYTCKELKRATRKFRDQIGRGASGLVYKGVLKDKRVVAVKRLANINQGEEEFQHELSVIGRVYHMNLVRVWGFCSDGPHRILVSEYVENGSLDKTLFSIEGSQIFLEWHKRFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENLEPKITDFGLAKLLSRGGSNQNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSEWTSNADEEVEMVLRRVSRMLAENLKQEGSKQFWISDFIDSRLNSQFDNLQAKTMVKLAVSCIEEDSRKRPTMENALQILLSVDEASGIMLMQLDAIN* >Brasy7G182700.1.p pacid=40045048 transcript=Brasy7G182700.1 locus=Brasy7G182700 ID=Brasy7G182700.1.v1.1 annot-version=v1.1 MANSIDTGSGEEQRGSEEVQVRNKGASRRGGGDEAAAERNRRRRGQWPGGGIQCWGIIHHLLILTWYVSIGLKQEDTEIIYGIAVDKDMSHPQMAKRIEDAKIAILTCHLSP* >Brasy7G019200.1.p pacid=40045049 transcript=Brasy7G019200.1 locus=Brasy7G019200 ID=Brasy7G019200.1.v1.1 annot-version=v1.1 MVVNNTKANTPPPPAAAMGTEHHRAINMPAMGVERHKVSAPERRTTCQALRQRLAEVFFPDDPLHRFKNQPPAKKLVLALQYFFPIFDWGSQYSLRLLRSDAVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRDLAVGPVSIASLVMGSMLREAVAPEQQPIVYLQLAFTATFFAGLFQASLGFLRLGFMVDFLSKATLTGFMGGAAVIVSLQQLKGLLGIVHFTTHMGFVDVMASVVKRHAEWEWQTIVMGVAFLAILLGTRQISARNPRLFWVSAAAPLSSVIISTVISYLCRGHAISIIGDLPRGVNPPSMNMLAFSGPFVALSIKTGIMTGILSLTEGIAVGRTFASINNYAVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMAAAVLVTLLFLMPLFHYTPNVILSAIIITAVVGLIDVRGAARLWKVDKLDFLACLAAFLGVLLVSVQVGLALAVGISLFKVLLQVTRPNTVVMGRIPGTQSFRNMAQYKDAVKVPSFLVVGVESAIYFANSTYLVERIMRYLREEEEGGQGVKCVVLDMGAVAAIDTSGLDALAELKRVLDKRGVELVLANPVASVTERMYSSVVGDAFGSDRIFFSVAEAVAAAPHKTTMP* >Brasy7G023500.1.p pacid=40045050 transcript=Brasy7G023500.1 locus=Brasy7G023500 ID=Brasy7G023500.1.v1.1 annot-version=v1.1 MGREKDGPYLLWSLYIRHYRCTSSPELNSMSASSLMRGEEDMQRRLVVKLLALSLLVSLATNGGGVQAQPLVPAMILFGDSTIDVGNNNYLPGAVFKANYAPYGDNFRRRRATGRFSDGKIVSDITAESLGFESYAPPYLSPLAKGKNLLAGANFGSAASSYADDTAAMYDAITLSQQLKYYKEYQTKLAAVAGRRKARSILADALYVVSTGTGDFLQNYYHNASLSARYDVPRYCDLLVGIFSGFANELYRLGARRIGVTSMPPLGCLPAAIRLYGKGRPSSCVRRLNGDAATFNRKLNATVEALVRRHKDLKIAIFDIYTPLLALSEAPAAQGFSVARKTCCRTGDKATRVYLCNPAATGMCRNASSYVYFDGVHPSEAANAFIAESMTSAGINLIF* >Brasy7G051400.1.p pacid=40045051 transcript=Brasy7G051400.1 locus=Brasy7G051400 ID=Brasy7G051400.1.v1.1 annot-version=v1.1 MQLTPFFLSALHLLSHIPPFLFFLLVSKSHPQCGSKASPCPSLEPPLTPLTAQPSASRSRPTYPGYFDRAAIVAACTRRLSPPRPCRRADESHPASSWKPMNFWMGKVQSKNASAYDGAIFLCNRLTRKECFERKLFGLSSQCADFIQQVKAGATLFLYDVEQRKLHGVFEATSDGAMNIIPDAYISSGSQFPSQIRFKRIWFCKPLMQSEFQDVLHDNYFSCNKFSYGLSHQQVVNLLHLFSSRNRLQPRQNPRSQDEPPRESEFSSVINQTDNLSGSNSSSHGSLKSPCQTCTSSTVGEHAAPPRPDISDVAKSNNSRSSLPSRANTDIVTVAGSEKAIDDKCTDDFIPLQLEEDTLDGVDYLSDLLEDDSHSSESKSSSDSEEHITFQQPCDRKKGEYHPPLANSKLRSDNEDRKSVFARLMGRPRSFSQREKSKINLFSSMNVKCFSPLSRKKKRRRTQQNKRFPCDNRGALDMPSADKMRRTPALDYSFVWDDDRRYNKFSGGKPSNIQTGLHPFVPEDVNKLDLPAEPDRHEASKKLFVADGSRKLIKSCDRELNKSPVFAEVHERCEVTVKEIRTPFLDFKRRSKDPNVGGGQHFDTLDIEKAAKKKMRLASASFHQEEYQSDTALVLKGTQDMDILAISDGSCKLKSICLSSKDTCSQVARAYLETDVPLQDEQQQSIQGCFEEVIGVKSLILEDSGTADLLPMLSFGNRQTSPNVETRSELASSHLIAEMPLPEKENPSVQSHSQVVHGVGENSEEMFPKFDANCGRNKSLSCDGIGGFVASDLYSSDASPDPESPKPLSNFPKYHGDSAKKNISSDGILEMVDTDHQETCMLPLDELYHSFSGGTSSVLEYSGTVDISTGDGDSERKTSFYEKDAEATHSPTASEDHEDATYTPSPNRHRSNSPPYGLELSKAVPQPETRYFRSGHKAGHENTDTMDSSAVCAEGYGSKSGISTDSTCGYLAVADLLGTSLESRTSFFDGSSSEFAQKIRLAHDPGEEMEPH* >Brasy7G051400.2.p pacid=40045052 transcript=Brasy7G051400.2 locus=Brasy7G051400 ID=Brasy7G051400.2.v1.1 annot-version=v1.1 MQLTPFFLSALHLLSHIPPFLFFLLVSKSHPQCGSKASPCPSLEPPLTPLTAQPSASRSRPTYPGYFDRAAIVAACTRRLSPPRPCRRADESHPASSWKPMNFWMGKVQSKNASAYDGAIFLCNRLTRKECFERKLFGLSSQCADFIQQVKAGATLFLYDVEQRKLHGVFEATSDGAMNIIPDAYISSGSQFPSQIRFKRIWFCKPLMQSEFQDVLHDNYFSCNKFSYGLSHQQVVNLLHLFSSRNRLQPRQNPSSHGSLKSPCQTCTSSTVGEHAAPPRPDISDVAKSNNSRSSLPSRANTDIVTVAGSEKAIDDKCTDDFIPLQLEEDTLDGVDYLSDLLEDDSHSSESKSSSDSEEHITFQQPCDRKKGEYHPPLANSKLRSDNEDRKSVFARLMGRPRSFSQREKSKINLFSSMNVKCFSPLSRKKKRRRTQQNKRFPCDNRGALDMPSADKMRRTPALDYSFVWDDDRRYNKFSGGKPSNIQTGLHPFVPEDVNKLDLPAEPDRHEASKKLFVADGSRKLIKSCDRELNKSPVFAEVHERCEVTVKEIRTPFLDFKRRSKDPNVGGGQHFDTLDIEKAAKKKMRLASASFHQEEYQSDTALVLKGTQDMDILAISDGSCKLKSICLSSKDTCSQVARAYLETDVPLQDEQQQSIQGCFEEVIGVKSLILEDSGTADLLPMLSFGNRQTSPNVETRSELASSHLIAEMPLPEKENPSVQSHSQVVHGVGENSEEMFPKFDANCGRNKSLSCDGIGGFVASDLYSSDASPDPESPKPLSNFPKYHGDSAKKNISSDGILEMVDTDHQETCMLPLDELYHSFSGGTSSVLEYSGTVDISTGDGDSERKTSFYEKDAEATHSPTASEDHEDATYTPSPNRHRSNSPPYGLELSKAVPQPETRYFRSGHKAGHENTDTMDSSAVCAEGYGSKSGISTDSTCGYLAVADLLGTSLESRTSFFDGSSSEFAQKIRLAHDPGEEMEPH* >Brasy7G005500.1.p pacid=40045053 transcript=Brasy7G005500.1 locus=Brasy7G005500 ID=Brasy7G005500.1.v1.1 annot-version=v1.1 MAPLQASPLLHDQRILCSLLVVVVFLVIAGPAAARRHVSPAGGGEQSTYMAPACREHTASLTEFGGVGDGTTSNTAAFRAAVEHLSQYSGDGGGGGMLYVPAGKWLTAPFNLTSHFTLFLHSDAVILASQDISEWPVIAPLPSYGRGRDHAGGRYASLISGSNLTDVVITGNNGTIDGQGATWWSKYKSGKLKYTRGYLIELMSSDTIFISNVTLLNSPAWNIHPVYSRNIVIQGVTILAPTRSPNTDGINPDSCSQVRIEDCYVVSGDDCVAIKSGWDEYGIAVGLPTEHVVVRRLTCVSPTSATVAIGSEMSGGVRDVRIEDVAAAGTESAVRIKTAVGRGAYVKDIYARRMTLTGMKRVFWMTGDYKSHPDDGYDKAAVPVVEGVSFQDVAAAGVWKEAARMEGISGAPFKGICMANVTMEMTKPRKVMWNCADVEGVSAGVTPPPCVQLQQKQDGGGGDCPFPTDKLAVDEVTVKQCTYSLPKAATAAARTTN* >Brasy7G005500.2.p pacid=40045054 transcript=Brasy7G005500.2 locus=Brasy7G005500 ID=Brasy7G005500.2.v1.1 annot-version=v1.1 MAPLQASPLLHDRILCSLLVVVVFLVIAGPAAARRHVSPAGGGEQSTYMAPACREHTASLTEFGGVGDGTTSNTAAFRAAVEHLSQYSGDGGGGGMLYVPAGKWLTAPFNLTSHFTLFLHSDAVILASQDISEWPVIAPLPSYGRGRDHAGGRYASLISGSNLTDVVITGNNGTIDGQGATWWSKYKSGKLKYTRGYLIELMSSDTIFISNVTLLNSPAWNIHPVYSRNIVIQGVTILAPTRSPNTDGINPDSCSQVRIEDCYVVSGDDCVAIKSGWDEYGIAVGLPTEHVVVRRLTCVSPTSATVAIGSEMSGGVRDVRIEDVAAAGTESAVRIKTAVGRGAYVKDIYARRMTLTGMKRVFWMTGDYKSHPDDGYDKAAVPVVEGVSFQDVAAAGVWKEAARMEGISGAPFKGICMANVTMEMTKPRKVMWNCADVEGVSAGVTPPPCVQLQQKQDGGGGDCPFPTDKLAVDEVTVKQCTYSLPKAATAAARTTN* >Brasy7G197400.1.p pacid=40045055 transcript=Brasy7G197400.1 locus=Brasy7G197400 ID=Brasy7G197400.1.v1.1 annot-version=v1.1 MSYLFCREIMDKFWKRNYGVPELNDFNKLPRDPGSNITWKSNCYMCPIHINLHDAILCCPGVIFVTCQQRARRRWRRRWRRPSVRGRAGKWAPTHVVKHGDSILLLVVHLRKSIGSSNSCMFIVLSSYGDSSTELLMFKITIAVNVQRRFDAASFTAWASVLYSDLRYQSQVDGLYQHKDIL* >Brasy7G185900.1.p pacid=40045056 transcript=Brasy7G185900.1 locus=Brasy7G185900 ID=Brasy7G185900.1.v1.1 annot-version=v1.1 MMKSLLPQSQLRRSAAAAAARSSGGGGAEGAASDGGAGGGARAASSSTFWFLLHALCCFISLFLGFRFSRLLFFLLFSSSALYAASNNKSAAVLRAITTTTTTTTTTTTTTNTFTLSFAAAGNPPPSNPDNYTALDAAGDAATSGSTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVREPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWLVVEAGGTTNGTASLLARSGLTFVHIPFPDRMPLEWADRHATENSMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMAAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLTLLNDPSFVEPLGNCGKKILLWWLRVEARADSKFPQGWVIDPPLEVVIPAKRTPWPETTTEVSSELSGAKQEQEDRRLTRTNRSTRPRSTTTKRKADIQN* >Brasy7G117700.1.p pacid=40045057 transcript=Brasy7G117700.1 locus=Brasy7G117700 ID=Brasy7G117700.1.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENILVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.6.p pacid=40045058 transcript=Brasy7G117700.6 locus=Brasy7G117700 ID=Brasy7G117700.6.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENILVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.3.p pacid=40045059 transcript=Brasy7G117700.3 locus=Brasy7G117700 ID=Brasy7G117700.3.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENILVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.7.p pacid=40045060 transcript=Brasy7G117700.7 locus=Brasy7G117700 ID=Brasy7G117700.7.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.4.p pacid=40045061 transcript=Brasy7G117700.4 locus=Brasy7G117700 ID=Brasy7G117700.4.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.2.p pacid=40045062 transcript=Brasy7G117700.2 locus=Brasy7G117700 ID=Brasy7G117700.2.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G117700.5.p pacid=40045063 transcript=Brasy7G117700.5 locus=Brasy7G117700 ID=Brasy7G117700.5.v1.1 annot-version=v1.1 MPQTLPAIPNIQQVKQYSENDSSVDITSSSKPSTPPESASSSNPKKDLESNGQKPTYQLNESVDLKSSKVPADAEKEVVENGIGNLKSDSTFDKEHASRSARLIGRCETGERGVSSRCRPSTGSDVSEESACSSISSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRVSPTLIRSSNPDTEALRKNSQAYCAQPACVEPSCMTQPSCAAPTTCFGPRFFSKSKKDRNPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSANRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIERAPKLPVSTSEAAAPTGAAQKGSDNYLEFDFF* >Brasy7G162200.1.p pacid=40045064 transcript=Brasy7G162200.1 locus=Brasy7G162200 ID=Brasy7G162200.1.v1.1 annot-version=v1.1 MLLVDGEPVSSTGSSSTGSSGRELVAALMRNPGLCAASDRLRAAPERRISSGPEEPRHVYVFQREYATVDPARVELVGTDEMTTCVGVLIRNTETGMTSISHMDFPKIVEGGLRQMLELLGDDNTPFDVHLIGGFDDASTKVVHSSGRKHKVQEGHSHPLCCKIVEALHKSQQQFHLRSFCVLGNNTVTDSYGNARPIIGGFVMQTSSGVVIPASFDMASRCPDEIVRRIRVSVSSYDPSWKGRLLETYDTHTNIFKIAPACWMPNWAEIASSLNQLSDHEVLLQCSTSPAAEPPHFVETERRIWKYLIENPDWEDTFPNYKPRIFHSTVDGRWSRHS* >Brasy7G162200.2.p pacid=40045065 transcript=Brasy7G162200.2 locus=Brasy7G162200 ID=Brasy7G162200.2.v1.1 annot-version=v1.1 MLLVDGEPVSSTGSSSTGSSGRELVAALMRNPGLCAASDRLRAAPERRISSGPEEPRHVYVFQREYATVDPARVEVHLIGGFDDASTKVVHSSGRKHKVQEGHSHPLCCKIVEALHKSQQQFHLRSFCVLGNNTVTDSYGNARPIIGGFVMQTSSGVVIPASFDMASRCPDEIVRRIRVSVSSYDPSWKGRLLETYDTHTNIFKIAPACWMPNWAEIASSLNQLSDHEVLLQCSTSPAAEPPHFVETERRIWKYLIENPDWEDTFPNYKPRIFHSTVDGRWSRHS* >Brasy7G228400.1.p pacid=40045066 transcript=Brasy7G228400.1 locus=Brasy7G228400 ID=Brasy7G228400.1.v1.1 annot-version=v1.1 MKYAIQLFQRLDGIPSSENTARKIQYQERCVRTCINLDGTTSMSVTSHHSSVNFILKRTITENSGSRSWHSIQHLVVPSKECLKEASVLMS* >Brasy7G208700.1.p pacid=40045067 transcript=Brasy7G208700.1 locus=Brasy7G208700 ID=Brasy7G208700.1.v1.1 annot-version=v1.1 MGSAASCCCGSEKVEHGCVSTSSVGSTTWRIFSYKELHAATGGFSEENKLGEGGFGSVYWGKTPDGLQIAVKRLKPNTNTSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGSAAGADQRMIVYDYMPNLSLLSHLHGQFAADNTLDWARRMRVIMGSAEALVHLHHEASPAIIHRDIKASNVLLDSDFAPLVADFGFAKLVPDGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILMIELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGSFDGAQLARVLEAAALCVQGEPERRPDMRAVVRILRDEAGDDAVGKGGNNKPVRIESVKYADHLMETEVDSVYSGEDEDEEEEEDVTEQSSDEVEECSLMMDDRSSANFGVFGAMPVPAQTMVRDTYVRRFGGNNAVKI* >Brasy7G208700.2.p pacid=40045068 transcript=Brasy7G208700.2 locus=Brasy7G208700 ID=Brasy7G208700.2.v1.1 annot-version=v1.1 MGSAASCCCGSEKVEHGCVSTSSVGSTTWRIFSYKELHAATGGFSEENKLGEGGFGSVYWGKTPDGLQIAVKRLKPNTNTSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGSAAGADQRMIVYDYMPNLSLLSHLHGQFAADNTLDWARRMRVIMGSAEALVHLHHEASPAIIHRDIKASNVLLDSDFAPLVADFGFAKLVPDGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILMIELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGSFDGAQLARVLEAAALCVQGEPERRPDMRAVVRILRDEAGDDAVGKGGNNKPVRIESVKYADHLMETEVDSVYSGEDEDEEEEEDVTEQSSDEVEECSLMMDDRSSANFGVFGAMPVPAQTMVRDTYVRRFGGNNAVKI* >Brasy7G086300.1.p pacid=40045069 transcript=Brasy7G086300.1 locus=Brasy7G086300 ID=Brasy7G086300.1.v1.1 annot-version=v1.1 MHESHSHSSRQQQASSRERCHGRTFASLAWNAPSHSRRHQAAAAPSSRERSSARARRAGMQARERASVIFPVFLLFYAHHTDSNAAASFPSPAALLCAATAADVASSTLPLKSSQISVPRLSRHRLTAAAVPVPLLCPAHEPQPPIPARDPPPSRPFASCRPSPPTPSPPSPRPRRRPAPPPPQPVQGGVVPASALLLEAVEVEAASGKEEKTPMSCTIFFESVVANGLRRGCSAATSSASVNDGF* >Brasy7G055400.1.p pacid=40045070 transcript=Brasy7G055400.1 locus=Brasy7G055400 ID=Brasy7G055400.1.v1.1 annot-version=v1.1 MCVDDAERLDFGDAGVEKPAEFPLPHMESVCENTTTADFKQSNFGNFVPIVRSGGWSDIGSRQYMEDTHVCIVDLAKNFGYPEVDKEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDADFPLELEKVVSRSFVQIDSQFADKCSHHRALSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGIAMEMSMDHRPCSLTEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGLKEVDRPGGGPLSAEPELKMVTLTKDDEFLVIGSDGMWDVFSNQNAVDFARRRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLVAPPQIRVNRPGRVARSISADGLNSLRILMGSQ* >Brasy7G008300.1.p pacid=40045071 transcript=Brasy7G008300.1 locus=Brasy7G008300 ID=Brasy7G008300.1.v1.1 annot-version=v1.1 MWTNIFKIGELQTVSWFQFLPVEQDSSAISERSSKAEQKDALNRIVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRQLSAPEMSHPAVVKLRVVSNGLWVAPGNSEEVASALCQALRNSLERALRGLSYARFGDVFTKYNPPTRNQNSFRRARPTVEFVFAATEEAIFVHVIISARYMRNLCSDDIEKVLTHSPLSVGEGLPVIVAPSGMLGRLVGCCPSDLARQVYSSKLSAPNLPGFTQPTICQLRGQSYYVEVALGVPAASTAKLSEPENNQVKKELGSVEEPHLGADGQQKIESADSLPVIERTFIYPPEAIMVPMVHQAFVRSSSKRMWSQGWMGSSSWEAWPFWNFSPSSYFRNSSYLGSSRGLGVNSNFLRLRRQKNSNCNGMANSISSVSSTSNGSMHAVAAKGGDLLADADSTACHQSDMPNDVSGSKMVSKRSRSEITEVSSHAGKEVRENMQGTNGQGGCSWGWGEKGVVMDINLLLSEFGDFSDFFQEDELDFGEPPGTAESHTLVPSASDYGDVTFIDSPSTAMDIPEQRLSPVGFTSLEAFNHQTMSPIQDFASKVQEPQKDIASPAGSQALVLSSGRFDYLTRAEATLTFAPEYAAVEIPVGDMPATLFTNPYLPGSKKRGSCGFSSRVYSYDGTQSSQTELAGDKPEKPVKLTPANLSRDVGLSNLYTLVQGGKKESDNRLNNTDEQSCKGQTSRPVSGETSFSSSPALQKKSDSMLNVGHFLLSMKTALATEIECITFQSAMCRIRHTLLSLRTKASAEFKSPSSSFLQTDVSGKLDLVPKYDVKRKENIPARLSSDVDHEMYDRSTLENVGVWRPVGAPKGAKPLESLPAKTFTGANSSLSVQRQPIVDLLSAMALLVQQSTSFVDIALDMDDGDGSFFLLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGIDLLDPLSAEVSESSMIGLLQSDIKTALKTAFANMDGPLSVIDWCRGRSNAADSAAMGDAYSFQYSTGDIRETSSSIPIGGDAMSPPSDRGTSELEHHKGYHRVRPTIAVLPSPSLLVGYQDDWLKTSANCLKLWEKAPLEPFASPKPVTYYALCPDIDMLTSAATDFFQQLGTIYEVCKLGTHSPQHGGGQMEQPPGKYLPSGLVLVECPDQVKTGSSHSISVSSVAEYFQALSKNWSVNSFVTSLARIIKDIKLTSSISTNQKESSNIPCTVVYVVCPFPEPSAVLHTLVESSVALGSVVLLPERERKSFLYAQVAKALNCSASVDEASASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNELATFKDIAFTVYNKARRIPRVVSTSDMFQSPTYMSRPQSTIMHTASPGPTLWKECLVPRMSGQSLSRETEFDASMRSVSWDNSWQPGRAVLPDPSKIPDLCAQDDRKYAFEPLFILAEPGSVDYNDMTESSRSGVDASSSRVYSSISGGADSGASPLLEGSENDSAISLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIMSSSPEASNTRPRDVIITRIGGFLELEIQEWQKAIYAFGGNEVKKWPVQLRRSIPDGIPSNSNGPTIQQQDMGLIQDRNMPSSPTPLYSPHSKSSFTKGQSGNKKQILVEQNGMDSSRGSLHLVRSISLVAVSQDHSLHLACQADILARPTPGEGNQSSSGVSSYLEGFAPVKSIGSMSASYLLVPSPSMRYLSPGTLQLPTCLTSESPPLAHLLHSKGTATPLAMGYVVSKAVPPVRKNSAQLAKEDRHSVLSVSIVDYYGGSTSTTIQEKMNRGGGGGNTSKQARNIAHETSARDYEVDMHNVLEAVAAELHSLSWMTASPVYAERRSALPFHCDMVLRLRRLLHYADRYLSQPAEKGEVA* >Brasy7G057700.1.p pacid=40045072 transcript=Brasy7G057700.1 locus=Brasy7G057700 ID=Brasy7G057700.1.v1.1 annot-version=v1.1 MGALRRSSKRGHKISTAADAFVLLNLDLLFILALGFQAPTRQPTGGAGVMQTEAAQVQRPPTPGPTGAAP* >Brasy7G192200.1.p pacid=40045073 transcript=Brasy7G192200.1 locus=Brasy7G192200 ID=Brasy7G192200.1.v1.1 annot-version=v1.1 MMNTRHCNRSDNSSCKLCPVNVLEDCDHLFFTCPFSVSCWGSLDIYWDMSMDIRDRVRAAKTPFSGPSFMMIFICAAWHIWKQRNSYTFDRSPPSLSSWFAGFKQELFLLSHRVKENHRSILLVWLEYLVNPP* >Brasy7G203600.1.p pacid=40045074 transcript=Brasy7G203600.1 locus=Brasy7G203600 ID=Brasy7G203600.1.v1.1 annot-version=v1.1 MKAQEWTNAFVRFIALLERMGNVLGTRAFIWATVVVLGGFSEHLGNAFWVATAIVFLEAFSSCGGGGRWAHIDGVARTRQVELGRSGRASGRARGGAGSGGGGRASGGAGGGESSGAGGRASGGIGGAAGSRAGGWARAGAGGGASSGAGGRASRGVAGAAGERTPAARGSCGREVRWSSTDEWGRTPAAWETNFGDGSARGTSD* >Brasy7G005800.1.p pacid=40045075 transcript=Brasy7G005800.1 locus=Brasy7G005800 ID=Brasy7G005800.1.v1.1 annot-version=v1.1 MAAVTAAAVSLRSSTTTPSCSSKAATPSHSHCGFPRTAAAGRRHGLRIRAQAVSTDASAPPEPVKEKKVSKKQEEGVVTNKYRPKEPYVGKCLLNTKITADEAPGETWHMVFATDGEVPYKEGQSIGVVADGLDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEVVKGVCSNFLCDLKPGADVNITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKYEDYKFNGLGWLFLGVPTSSSLLYKEEFGKMKAKAPENFRVDYAISREETNAEGQKMYIQTKMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDEIMIPLAAKEGIDWLDYRKQLKKSEQWNVEVY* >Brasy7G213800.1.p pacid=40045076 transcript=Brasy7G213800.1 locus=Brasy7G213800 ID=Brasy7G213800.1.v1.1 annot-version=v1.1 MPPWPRRLILLFAAVAALWAGAPPAAAASCKSWLVQSVPTDMPHLRRVPGVLSTADVFQWLSGNATKNLDILAQYWQLLAQPKNPKSGDYGFSESDMARFGAGEGLRVYKALEKAADRKVKIRIAQHSGFAPDFDEESANLAAGRPNVQNVTLLFGDWWGSGVLHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFADCPQIAKTIEVYFENLWTLSTLNSTAYTKIAWDKQWQTSRKVPCWSHFLHPEQRCRSPLPLSVDVPYVDGYPSLANPEMLDVLIETPGHNISTQEHYLSYLSFAPPELSFDKFQADEQGWVDTIKSVSSGGIVRINTMDWLGQSQYATQTVFWPSLSTAISEIIFSKNATVRLLVAYWTHFIPNTENYLKSLLYSNTLCTSSRYNHCTGKVEIKYYMVPGYNETGSATVTGNRYPDFTRVNHGKYAVSDTRANIGSSNLIWDYFYTTAGVSFGTGNPSIVSQLQDVFDADWDSPYTVPVKPLDASA* >Brasy7G213800.2.p pacid=40045077 transcript=Brasy7G213800.2 locus=Brasy7G213800 ID=Brasy7G213800.2.v1.1 annot-version=v1.1 MARFGAGEGLRVYKALEKAADRKVKIRIAQHSGFAPDFDEESANLAAGRPNVQNVTLLFGDWWGSGVLHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFADCPQIAKTIEVYFENLWTLSTLNSTAYTKIAWDKQWQTSRKVPCWSHFLHPEQRCRSPLPLSVDVPYVDGYPSLANPEMLDVLIETPGHNISTQEHYLSYLSFAPPELSFDKFQADEQGWVDTIKSVSSGGIVRINTMDWLGQSQYATQTVFWPSLSTAISEIIFSKNATVRLLVAYWTHFIPNTENYLKSLLYSNTLCTSSRYNHCTGKVEIKYYMVPGYNETGSATVTGNRYPDFTRVNHGKYAVSDTRANIGSSNLIWDYFYTTAGVSFGTGNPSIVSQLQDVFDADWDSPYTVPVKPLDASA* >Brasy7G019700.1.p pacid=40045078 transcript=Brasy7G019700.1 locus=Brasy7G019700 ID=Brasy7G019700.1.v1.1 annot-version=v1.1 MYNAPMAQEMSYLDHMQRRHEEKGCLYACIFTALCCFCCYETCECCLECLCCCCD* >Brasy7G117300.1.p pacid=40045079 transcript=Brasy7G117300.1 locus=Brasy7G117300 ID=Brasy7G117300.1.v1.1 annot-version=v1.1 MAAADIENMESSSREAAAPPEYEPSWEYHLRKYLMLLATLVATATYAAGLSPPGGVWEENNNTVTGEKPQKAGVPILYHSARYLAFFYFNATAFMASLVVNLLLLVLSKKRKTVWLAVLRVVMVLDLLGLMGAYATGSCRDLPTTVYASTLVVALAAYVGIHILLARYAPLEQKSEQSSQVDQESPDEALKRKEQRKVLLLLATFATGISYAAGLSPPGGFRDEGDDGEPTLQAQQSPRLMAFFYCNTTAFVASLLVIVLLLGRRLQRCCAQVQLYGFILISLFGLLGAYAAGSSREADTMAYIVALVAAVPSYIFLVMVIMVLVSNPLKSSSSWLRLQSMSARASHWLQERGCHQNQTDREGDRSPSPAPEYVATLGGHGSTNEVDTSSNKNEGIEKAKSLILLLATLAATITYQAGMDPPGGIWPEKDPGLEGSYKAGDPILLWKHAPRYKVFFYCNSTAFVASLVVILMVQNKSLVRGHALEVAMILDLFGLIGAYAAGSCRELSTSIYVMALAGAVLVYVVIHVVFFTLDTEELNDEEKRKIDKRRKRLLLLAILVATITYQAGLTPPGGFWTESAHGEPGTPILEDQGGEYQRRYKAFFYCNSTSFMASVALIIMLVNPNLYRPGIKCYALYVCMVVALFGLMGAYAAGSARELRTSIYVFVLVGAVVAFIVIQLIVFFKFCTKPNPNVDDGPSSGSSTGGGNKADESSSSSRQRGGSSSKRKPGNTEESSRRRKYLMLLAILAASVTYQAGLKPPGSTWEKSLPGEYGEGDPVMHYTNQARYHAFFYCNSTSFVASVVVIVLLLQESLQNLKWLLRAMNTVIVLDLLGLLGAYAAGSSREWDTSGEIIVILLWVRVRHLVQSNSGGLRGSIRSRDWKARRGLEG* >Brasy7G117300.2.p pacid=40045080 transcript=Brasy7G117300.2 locus=Brasy7G117300 ID=Brasy7G117300.2.v1.1 annot-version=v1.1 MAAADIENMESSSREAAAPPEYEPSWEYHLRKYLMLLATLVATATYAAGLSPPGGVWEENNNTVTGEKPQKAGVPILYHSARYLAFFYFNATAFMASLVVNLLLLVLSKKRKTVWLAVLRVVMVLDLLGLMGAYATGSCRDLPTTVYASTLVVALAAYVGIHILLARYAPLEQKSEQSSQVDQESPDEALKRKEQRKVLLLLATFATGISYAAGLSPPGGFRDEGDDGEPTLQAQQSPRLMAFFYCNTTAFVASLLVIVLLLGRRLQRCCAQVQLYGFILISLFGLLGAYAAGSSREADTMAYIVALVAAVPSYIFLVMVIMVLVSNPLKSSSSWLRLQSMSARASHWLQERGCHQNQTDREGDRSPSPAPEYVATLGGHGSTNEVDTSSNKNEGIEKAKSLILLLATLAATITYQAGMDPPGGIWPEKDPGLEGSYKAGDPILLWKHAPRYKVFFYCNSTAFVASLVVILMVQNKSLVRGHALEVAMILDLFGLIGAYAAGSCRELSTSIYVMALAGAVLVYVVIHVVFFTLDTEELNDEEKRKIDKRRKRLLLLAILVATITYQAGLTPPGGFWTESAHGEPGTPILEDQGGEYQRRYKAFFYCNSTSFMASVALIIMLVNPNLYRPGIKCYALYVCMVVALFGLMGAYAAGSARELRTSIYVFVLVGAVVAFIVIQLIVFFKFCTKPNPNVDDGPSSGSSTGGGNKADESSSSSRQRGGSSSKRKPGNTEESSRRRKYLMLLAILAASVTYQAGLKPPGSTWEKSLPGKS* >Brasy7G174100.1.p pacid=40045081 transcript=Brasy7G174100.1 locus=Brasy7G174100 ID=Brasy7G174100.1.v1.1 annot-version=v1.1 MPAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRNSSTTASAASPDPHTQSKP* >Brasy7G230900.1.p pacid=40045082 transcript=Brasy7G230900.1 locus=Brasy7G230900 ID=Brasy7G230900.1.v1.1 annot-version=v1.1 MIRDPPEDEARHRELIANLGLYDADWAVAKILELSDVVSTQNRLLLTKAAVRGGTIPKLLPELEELREDGTNAQNMATVTMLNAERVEEEVNILFLNSNSAYRINGPGWRRFVVESGLSRGDRFDLYTCRRRWDGGRCLFVVRSNGGGALLWNARSRRRMTPPLLLQVDDEYGTAAARGRKAQYGSTRSYPIDWSGGRDQKRAKRDYNVKKEDDHVAKRDYNVKKEDDHMDMGSVINDVGHNGVMHACTGGDLVGAARSSKPACRKGRAEAMTREKTTARNLLWDSATELEKQAAIGLLMLAWDSYWA* >Brasy7G121400.1.p pacid=40045083 transcript=Brasy7G121400.1 locus=Brasy7G121400 ID=Brasy7G121400.1.v1.1 annot-version=v1.1 MSHYHDNNIEMEDDYDMDDPVDDLVGDEYHELEDRDSDSDDDDEYAHANYKASDTSAAEARRGKDIQGIPWDELKITRENYRRTRLEQYKNYENVPNSAEAAMKACKSTEKDGTYYEFRRNTRSVKSTILHFQLRNLVWATSKHDAYLMSHYSVLHWSALTGVDTEIMNVRGHVAPSEKHPGSLLEGFSQTQITTMTVKDNLLVAGGFQGELICKHLDREGISFCCKTTYDDNAITNAVEIFNTSSGAVHFIVSTNDSGVRQYDMERYQLYKHYRFEWPVNHASLSPDGKRVIIVGDDPDALLFDINSGKIIHSMKGHLDFSFASAWSPDGQTFATGNQDRTCRVWDVRNLSQSLHVLRANLGAIRSIRFTSDGRFLSMAEPADFVHIFDVKSDYNKRQELDFFGEISGMSFSPDTDTLLVGVWDRTYGSLLQFGRLYNSSYLDSLL* >Brasy7G230500.1.p pacid=40045084 transcript=Brasy7G230500.1 locus=Brasy7G230500 ID=Brasy7G230500.1.v1.1 annot-version=v1.1 MRQIKEGYFARHPPAGAKQAAEPPAILFSSHSLSHHCKAATVHEFVFLLLLLLGIIISSPTDRLRDSGFIFRSPSSAPARTLCSVEILAAAARLPSRLRREIGRSVTMNS* >Brasy7G223400.1.p pacid=40045085 transcript=Brasy7G223400.1 locus=Brasy7G223400 ID=Brasy7G223400.1.v1.1 annot-version=v1.1 MELELPGFRFHPTEEELLEFYLKQAAHGRKLKFDIIPTVHLYRHDPWDLPALAGDIIHHGSSSSCSSREWYFFVPRDGVRKLNQQQAAGRPSRTTERGFWKATGSDRAVRCGADPKRLIGLKKTLVYYQGRAPRGSKTDWVMNEYRLPEDAMAGGGGSNKVQLLQDRQELVLCKVYRKAVSLKELEQRVAMEELARSGHGGSSSAASSHCTGSPDAAGSMSSDVVQYEAAHHHDGAGGGVKIEEAAAAAVARPAAMKLPELETTRMEWLSQPQQQDPFQLTSSQLRSPWMMESFCLSPCYQSSLLNF* >Brasy7G085900.1.p pacid=40045086 transcript=Brasy7G085900.1 locus=Brasy7G085900 ID=Brasy7G085900.1.v1.1 annot-version=v1.1 MDSPYVRVRSLQNRRVETQHRHCDFSAQYPVHKQEYLVGMSPTAAMSLTTTSHLPVCKAQDVVSKQAPPPTKSRRRLLQSAGLGLGLAMTRQTATARAEPPPPALAPEELTSSRMSYSRFLDYLNAGAIKKVDFQFQNGTVAIAELDDPALARAHRVKVQLPGLPAELVRKLRDKGVDFAAQQPEPNVGLDVLGLLLNIGFPLLFLASLFLRSRNNPGVGGPSLPFGLGQSKAKFQTEPNTGVTFDDVAGVDEAKQDFQEIVQFLKSPDKFTAVGARIPRGVLLVGPPGTGKTLLARAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFAKAKASAPCLVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFGGDSGGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSANKRLDEGVSLGVVAMRTPGFSGADLANLMNEAAILAGRRGKDRISVKEIDDSIDRIVAGLEGTTMTDGKSKTLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPALVTKQQILARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALTDQAAQSGDVVLRMMARNSVSERLAADIDRTVKAIVDEAYEVAKAHIRRTRPAIDQLVDVLMEKETLTGDEFRAVLSEYLDIGKEKRDTTARTDMVTA* >Brasy7G090600.1.p pacid=40045087 transcript=Brasy7G090600.1 locus=Brasy7G090600 ID=Brasy7G090600.1.v1.1 annot-version=v1.1 MMRAMMPTLCSTKCRTAPQGRDGTGLTIRLLTRSCRWCTREMAIWSYNYALFLLLPLDLKGNRDPGIMIWRLFNHDTMMS* >Brasy7G129400.1.p pacid=40045088 transcript=Brasy7G129400.1 locus=Brasy7G129400 ID=Brasy7G129400.1.v1.1 annot-version=v1.1 MAQRWRQPIFLARRSPCSSPPSRRSRPYSPPSSRHPRPYSPPLSRRPRPCSPPPPPPLRPPYPALSARGRHWTPWPLQVAARALLCSTVDLLPSSHHPPPRLRSAAAGCGLGGIPVGVRPRVGAARPRLRRPPSCRLLLRRPPHTGRCSTNR* >Brasy7G039500.1.p pacid=40045089 transcript=Brasy7G039500.1 locus=Brasy7G039500 ID=Brasy7G039500.1.v1.1 annot-version=v1.1 MAANYHHLRRLASASAPALSRLSQPPPLLRALALSSSASSSADQPPAPAAEKGETQSAVKEADEAQGGDAGAKKDGDEEDGGGPDVNKATGEIGGPRGPEPTRYGDWERGGRCSDF* >Brasy7G103200.1.p pacid=40045090 transcript=Brasy7G103200.1 locus=Brasy7G103200 ID=Brasy7G103200.1.v1.1 annot-version=v1.1 MAELFFGSPFRRLLYARPSAAGWPSSATAAMDWVETPTSHVLRINVPGLCKDDVKIQVEDGNVLSVRGVAPAAAKETKEENEEAVWHVAERGKPEFAREVLLPEHVRVEQIRASVDNGVLTVVVPKEPAPARPRTRHIAVSSKL* >Brasy7G009200.1.p pacid=40045091 transcript=Brasy7G009200.1 locus=Brasy7G009200 ID=Brasy7G009200.1.v1.1 annot-version=v1.1 MQAAKEKVKDSVSAAKAKAKVAQAKAEEKAEAATARSKAEKELAHERGKAKVAAAKMELHQDKAIHREEAIQHRLHKHGIMGGGHHHNKHGAVAAPPSAPAGYYPPAGGAGHHY* >Brasy7G177000.1.p pacid=40045092 transcript=Brasy7G177000.1 locus=Brasy7G177000 ID=Brasy7G177000.1.v1.1 annot-version=v1.1 MWSRGHSTRLLLQALALALAGACMSAAAAQEQATASSGVPACASKLAPCGAYLKDTTGAEPPASCCDPLKEVATTDAACMCAVLADTAALQALGVAPGQGMGLALRCGVTTDASTFAKYAAGAGAGAGAATAGSTSTAAASASTGTAASTVAMPTTSGGTAHHLSLISARSLIVGFSFIWWMIMA* >Brasy7G219900.1.p pacid=40045093 transcript=Brasy7G219900.1 locus=Brasy7G219900 ID=Brasy7G219900.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEVEEEEEEEEEEKEEEEEEEEEEEEPCSQDSGGGRDQEMHLEYYNYKTASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPVSNGGMMIGSGLMLGHVNFTARPVVVAGHEAAPPRPFFAEVRYESPYLMASCVMSLDDGEEELEEEDLCPDCGDHMRHPKLDSELRVENGRAAMVVRRPKLRC* >Brasy7G105600.1.p pacid=40045094 transcript=Brasy7G105600.1 locus=Brasy7G105600 ID=Brasy7G105600.1.v1.1 annot-version=v1.1 MMTMFEEDVAGAAAAAAASDELCRLCIEGISSPIAAHILDFCDDGLGDNLFAAVTSTSDPFAASSEDVSSSSAATPPLCSYSDDITAVAATAFSPLPFDSTLSALLDEEQNLVPDNEFLPPTDETLTAPAYYPAATEDTSIEQFGQIQLPETIAAPMSVMQMSSTAPMLMSLAAGYDEECITAALSGGYMSLDGALYQQTGAMIPNCNAEAPQEEFFNSSSTDNNGMVVLDMNEMGEYQRMMEGEGLTTTYSDTDSIQGAYSNTADMQMGGNNQQLVNGCNGSPPTLPPTEISGLEDSFKVVRLSAEQRKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEVTRSSSQNHEQYEQSAGANAEDMLLDSSDFLAHLSGINSYDYKYNCTIESWI* >Brasy7G085600.1.p pacid=40045095 transcript=Brasy7G085600.1 locus=Brasy7G085600 ID=Brasy7G085600.1.v1.1 annot-version=v1.1 MEQSESKREESGKGLPIICCSDEIGAAFHTKRGDREKVLTFKLVSRYFHLPIIQAARELRSTKRILNVGLTILKKKWRDLGIPRWPHRKMKSLQNLINNVLKEAGKTNDDEQLKAMVEMLEQERRLLEQKPYVEMKEKTKRLRQACFKANYKKRRLLALEA* >Brasy7G017200.1.p pacid=40045096 transcript=Brasy7G017200.1 locus=Brasy7G017200 ID=Brasy7G017200.1.v1.1 annot-version=v1.1 MLSAAHARRRASSAAARLLLPIPPPPAPYTTSASNASSSSSTPEDPDAVAAEVATLLSRCAGDWKLAIAASDIPSRLSPDAVSSLICGGRSHSLHPKLLLDFFYWSRPRIAPPSADAFARLAASLCAASLFPQANGLLHQMILAHPHPPLVLASIQRAIQDTDHRSPSPSPSTAVLDVLVDTYKKTGSVRNAAQVVLMMADLVLAPTRRCCNGLLKDLLRADAMELLWKLKGFMEGAGIPPDVYTYSTFIEAHCKARDFDAAKKVFEEMRRRDCAMNEVTFNVMISGLCRSGAVEEAFGFKEEMVDYGLSPDAFTYGALMNGLCKGGRLKEAKALLDDMSCSGLKPNIVVYGTLVDGFMKEGKTAEAFDILKEMISAGVQPNKIMYDNLIRVLCKIGQLGRASKLLKEMIKVGLRPDTFTYNHLMQGHFQQHDKDGAFELLNEMRNSGILPNVYSYGIMINGLCQNGESKEAGNLLEEMISEGLKPNAFMYAPLIIGHSKEGTIYCGKDGGSRRVLCASAKERISAR* >Brasy7G088600.1.p pacid=40045097 transcript=Brasy7G088600.1 locus=Brasy7G088600 ID=Brasy7G088600.1.v1.1 annot-version=v1.1 MEEQLSPVAVTHLLQHTLRSLCTSDASQWVYAVFWRILPRNYPPPKWDLPGVAYDRTRGNRRNWILAWEDGFCNFAATSAAACGQDGAAAASGAYSDCEAAAAQEVKQGLQPELFFKMSHDIYNYGEGLIGKVAADHNHKWVFKEPQEQEMNLISSWSNPADSQPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLGYVVTLRRKFGYLESIPGVLLPHPSSAGVFPGVGAVADIAAAWPGMMPPPPPPPELYDPYGAAGAGPASMHIVPSMSSLEALLSKLPSVVPAPPPGPPAGAAKEEADREEEDYVVQCHGMEMASNGGGESASNTSTSAAGGSTAPMASYFVDVGGKPGEAGGF* >Brasy7G060800.1.p pacid=40045098 transcript=Brasy7G060800.1 locus=Brasy7G060800 ID=Brasy7G060800.1.v1.1 annot-version=v1.1 MASVADLKEKHAAATASVNSLRERLRQRRQLLLDTDVERYSKAQGRTAVSFNQTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQVDRDGNMPVSRILTGHKGYVSSCQYVPDQETHLITGSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINPLNTNMFVSGSCDTTVRLWDLRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYNREPDRNDNELPIVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEMVLNLGTLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV* >Brasy7G074200.1.p pacid=40045099 transcript=Brasy7G074200.1 locus=Brasy7G074200 ID=Brasy7G074200.1.v1.1 annot-version=v1.1 MAYPLLFARSRRKGRSVAQALEDNRWVLDLRRDFSTPLLLEFIHAWRELHQANTVLQPGVPDSICWTMTNIGQYSARSAYLLHFIGRTNSDLLQATAHHLFVECPFTTRLWLEAAMWPNCRGVAAALQSFTVSVPNFRESLMLETDAAHHQGMSSLFILICSSIWRERNERIFWDKESSLRQIITFIKDEAHAWAFAGTRALRKLLWEPP* >Brasy7G003300.1.p pacid=40045100 transcript=Brasy7G003300.1 locus=Brasy7G003300 ID=Brasy7G003300.1.v1.1 annot-version=v1.1 MASHRHLLRLLDDPFFPFPTPPPSSSSCPFLPPSSPFAFPHHDLDHLDVFLPPPAPLDPFFAPFPIPTPTPHAYLLHDLTDRVAALELALAPHPPKPAAARRKYTYATQTPGGRKVKWTAEEKPRSGERALKWEAELACPNPDGFDRKWKWEAKSKPGASSATAKTKWAAEIKGKGALEPWSHSYTWEEEEEDLSSSDDDEELYYRHRKPEIKDKKKNKDKAVKEDDKKEKKKNKCGGGNVNVRIEEIPEDNTAGCVAIRKAFAMGNGKGKAKELSPQDAALLIQMNYRAHLAHRSQVLRCLRDLAVAKAKLKELRSMFYNLSYRRRISHDHEERQRFSEKIIVLLLTVDALEGPDYMVRTAKKSMLEELEGMLDIVDPQPVGKQRSFSRRKFDLPEGGGVILAEKTAGVNNAVRVINTGKGK* >Brasy7G155800.1.p pacid=40045101 transcript=Brasy7G155800.1 locus=Brasy7G155800 ID=Brasy7G155800.1.v1.1 annot-version=v1.1 MDEVADAMGALGVDNEGSSKLLSNDSIEKRGEEHDALPDVAHSGESEVINPSEEVGGEATSHSEDIKPRVSKGSQSRSPKVTKSQRQSPRSGDKSQARKSTPDSLYTKAPIARVSDPDLIDTSSSNGDADAKKKAEKSNFRPIAKETSSLEDSKEKRKTQKASSQRFVKNDEESSCEGIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQEMEKTNLEAKSKETEEAELRQLRKSLNFKAAPMPSFYKEPPPPKVDLKKIPTTRARSPKLGRSKNTISAGTEVSTTSSRPARLSLDQRASKNSASTAPAANAAKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISKSTADPILKPIRAQVTPDEHPQSG* >Brasy7G155800.5.p pacid=40045102 transcript=Brasy7G155800.5 locus=Brasy7G155800 ID=Brasy7G155800.5.v1.1 annot-version=v1.1 MDEVADAMGALGVDNEGSSKLLSNDSIEKRGEEHDALPDVAHSGESEVINPSEEVGGEATSHSEDIKPRVSKGSQSRSPKVTKSQRQSPRSGDKSQARKSTPDSLYTKAPIARVSDPDLIDTSSSNGDADAKKKAEKSNFRPIAKETSSLEDSKEKRKTQKASSQRFVKNDEESSCEGIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQEMEKTNLEAKSKETEEAELRQLRKSLNFKAAPMPSFYKEPPPPKVDLKKIPTTRARSPKLGRSKNTISAGTEVSTTSSRPARLSLDQRASKNSASTAPAANAAKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISKSTADPILKPIRAQVTPDEHPQSG* >Brasy7G155800.2.p pacid=40045103 transcript=Brasy7G155800.2 locus=Brasy7G155800 ID=Brasy7G155800.2.v1.1 annot-version=v1.1 MDEVADAMGALGVDNEGSSKLLSNDSIEKRGEEHDALPDVAHSGESEVINPSEEVGGEATSHSEDIKPRVSKGSQSRSPKVTKSQRQSPRSGDKSQARKSTPDSLYTKAPIARVSDPDLIDTSSSNGDADAKKKAEKSNFRPIAKETSSLEDSKEKRKTQKASSQRFVKNDEESSCEGIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQEMEKTNLEAKSKETEEAELRQLRKSLNFKAAPMPSFYKEPPPPKVDLKKIPTTRARSPKLGRSKNTISAGTEVSTTSSRPARLSLDQRASKNSASTAPAANAAKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISKSTADPILKPIRAQVTPDEHPQSG* >Brasy7G155800.3.p pacid=40045104 transcript=Brasy7G155800.3 locus=Brasy7G155800 ID=Brasy7G155800.3.v1.1 annot-version=v1.1 MDEVADAMGALGVDNEGSSKLLSNDSIEKRGEEHDALPDVAHSGESEVINPSEEVGGEATSHSEDIKPRVSKGSQSRSPKVTKSQRQSPRSGDKSQARKSTPDSLYTKAPIARVSDPDLIDTSSSNGDADAKKAEKSNFRPIAKETSSLEDSKEKRKTQKASSQRFVKNDEESSCEGIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQEMEKTNLEAKSKETEEAELRQLRKSLNFKAAPMPSFYKEPPPPKVDLKKIPTTRARSPKLGRSKNTISAGTEVSTTSSRPARLSLDQRASKNSASTAPAANAAKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISKSTADPILKPIRAQVTPDEHPQSG* >Brasy7G155800.4.p pacid=40045105 transcript=Brasy7G155800.4 locus=Brasy7G155800 ID=Brasy7G155800.4.v1.1 annot-version=v1.1 MDEVADAMGALGVDNEGSSKLLSNDSIEKRGEEHDALPDVAHSGESEVINPSEEVGGEATSHSEDIKPRVSKGSQSRSPKVTKSQRQSPRSGDKSQARKSTPDSLYTKAPIARVSDPDLIDTSSSNGDADAKKAEKSNFRPIAKETSSLEDSKEKRKTQKASSQRFVKNDEESSCEGIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQEMEKTNLEAKSKETEEAELRQLRKSLNFKAAPMPSFYKEPPPPKVDLKKIPTTRARSPKLGRSKNTISAGTEVSTTSSRPARLSLDQRASKNSASTAPAANAAKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISKSTADPILKPIRAQVTPDEHPQSG* >Brasy7G204300.1.p pacid=40045106 transcript=Brasy7G204300.1 locus=Brasy7G204300 ID=Brasy7G204300.1.v1.1 annot-version=v1.1 MARESARVRSSSSSSPSLGGMTAAEEAERFADDILVEILSRVPAKSLCRFKCVSKHWLGLIDDRHHRKKLRQTLTGFFSTTVKAEEESTLHFTTISGNASQSFFPQHVQLLDCCNGLLLYRWFDVSAKGTDEEYGYIVTNTAANEWVALPAPSKAALAGSVAGITRLGFNLAVSPHFHVFEVLEKEEVNNWILGITGVAVYSSETGRWVHKNRWNDNHTRLVNRQSAAVFHNGYLHFHALDTDLTCCLAAVDMEGEARTNFGVPGGLNDGFIQLSQGSLHYANFHRGRHGADVRLVVYVLEDYDSKEWTLKHSVESYQFGPRYVSLHGDLHWIAIHPECNLIFFTAGHAPYDITFMCYDMNRRRFKVISNLEDRKPPYFPYVPLYAELPSLHT* >Brasy7G204300.2.p pacid=40045107 transcript=Brasy7G204300.2 locus=Brasy7G204300 ID=Brasy7G204300.2.v1.1 annot-version=v1.1 MARESARVSLGGMTAAEEAERFADDILVEILSRVPAKSLCRFKCVSKHWLGLIDDRHHRKKLRQTLTGFFSTTVKAEEESTLHFTTISGNASQSFFPQHVQLLDCCNGLLLYRWFDVSAKGTDEEYGYIVTNTAANEWVALPAPSKAALAGSVAGITRLGFNLAVSPHFHVFEVLEKEEVNNWILGITGVAVYSSETGRWVHKNRWNDNHTRLVNRQSAAVFHNGYLHFHALDTDLTCCLAAVDMEGEARTNFGVPGGLNDGFIQLSQGSLHYANFHRGRHGADVRLVVYVLEDYDSKEWTLKHSVESYQFGPRYVSLHGDLHWIAIHPECNLIFFTAGHAPYDITFMCYDMNRRRFKVISNLEDRKPPYFPYVPLYAELPSLHT* >Brasy7G184800.1.p pacid=40045108 transcript=Brasy7G184800.1 locus=Brasy7G184800 ID=Brasy7G184800.1.v1.1 annot-version=v1.1 MGSGNLMMKKVVRPSSFDLDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANAKVSSIAVAPLDSIHIVSSHANNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFTGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEDYEVLRRVRRNWWSCIFCRAFCRYPRSRRRGRARERRGSGRRNSNQAHLENFNLEVPTQAVDLREIRFDEIDDEYIVTGAVPSMATSGRMASFHYRDTRYGR* >Brasy7G210000.1.p pacid=40045109 transcript=Brasy7G210000.1 locus=Brasy7G210000 ID=Brasy7G210000.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNQLSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy7G171800.1.p pacid=40045110 transcript=Brasy7G171800.1 locus=Brasy7G171800 ID=Brasy7G171800.1.v1.1 annot-version=v1.1 MSVDPADPNYYNEPSQFMDDLISQEAPVFEEEVGEQWGDETQEGVSIDIEPLYTDAGAGTDPGSDASRCQSKGKSKRTQAYAECDYKLPCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYSFISDRGEVSLQKRWGLIQSECNKFAGAQDHVKVRSVGVGDMKPFALIHCWRILKEAPKWQDFYLANKKSPGDGKKRDSSVIDLEASGHMEAASRAVRPRGRTNSKLDAKREASNLTFEETLKKMWSKKDAVKEKMIQLKEEQMKEFIDVQKRKLAIEETNATATRTADAAAMLAEETRIMTADLSLMDEPTRALFLAKRKMIQERDEPAPLEE* >Brasy7G147300.1.p pacid=40045111 transcript=Brasy7G147300.1 locus=Brasy7G147300 ID=Brasy7G147300.1.v1.1 annot-version=v1.1 MASSARAASLMVLLVVVVSVVIMSGGSVCHGARDISGHSGIFDPNRPGACGMGVCPGPGKPYTRPGRPGGPYIRPSPPNNGDMSGGAATVNPGPLDPNRPACIRGVCPSPGGSYTGGPGSPRYKMPRATALAPGSNDLDASLSIYHHYLLPRDY* >Brasy7G049100.1.p pacid=40045112 transcript=Brasy7G049100.1 locus=Brasy7G049100 ID=Brasy7G049100.1.v1.1 annot-version=v1.1 MDGGGGGRREAVAGELERLRAEREELDIRIRLLESELEAGSAAPASPAGEDAAAGVEDGLCGGGSGGACQTRREFVESGALPADMIYRYSRHLLLPDFGVEGQRKLSRSSVLVVGAGGLGSPVALYLAACGVGVLGIVDGDDVELNNLHRQIIHKEAYVGRSKVKSAADACRAINSSIKLVEHHHTLKPSNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLCGRMLLFDALSARIRIVKIRGSSPVCTICGEKSVFTQEEFQKFDYEKFTESPMSDKSTPSLSLLPDSARVTCTEYKRMIDKGEPHLLLDVRPAHHFQITSLPQSLNIPLSVLEEKLPLLEISLKETMVTSAASDEQPSLYVVCRRGNDSQSAVQLLREKGFPSAKDIVGGLQSWAQDVDPDFPAY* >Brasy7G153500.1.p pacid=40045113 transcript=Brasy7G153500.1 locus=Brasy7G153500 ID=Brasy7G153500.1.v1.1 annot-version=v1.1 MEVENRYMAVRHHVEGSPSESDFELITPPEPVRWTPESGEVLVKNLYLSVDPYQLNRMKRHSASHFAVDVIVPGERIAAYGAGEVVASGCAEYEAGDIVAGVLAWEDYTLFRPSPAVLMSKVDASDDFPLSYHIGVLGTSGMTAYAGFYEVCKPKKGETVFVSAASGSVGSLVGQFAKLHGCYVVGCAGTQAKVDLLKDKLGFDDAFNYRDEPDLKAALKRHFPDGIDIYFENVGGEMLEAALANMNAYGRVAVCGVIAEYTDTGRRAAPDLLEVVYKRITLRGFFAYDFIARFREFVGIIGGWIREGKIQVVEDVSDGLESVPSAFAALFRGENVGKKLVKLA* >Brasy7G069100.1.p pacid=40045114 transcript=Brasy7G069100.1 locus=Brasy7G069100 ID=Brasy7G069100.1.v1.1 annot-version=v1.1 MSFAAEDLIKNAQVQAIIWGPQKLTKADHVTHLGRRNRIPVLFFSGISPTSCAFWLEDLVTASRGHAKIGFTLGSDTLTFVNPETNRRNSRKLGTIKSRNNCSGKKVLKIAVPKKDGFHVFVNVIDPISKKQNITGYSIDIFEAAIRNLHPPPSYKFFVFNDSYDELVGNVSLGLLISADLGKQVYDGAVGDVTITAEREIVTDFTMPYTQSGVSMLVLAEDEAEKIQWTFVKLLDKWLWFATVAFFFYTGFVVWMIEVPRNQEFQGSSLRQCSTALYFIFSTLTFSHVQSYTANLSSILTATRLRPLVTDLDQLRRSGAFVGYQEDSFVRDVLINHNISESKLRHYPDKEEYADALRMGSKDGGVSAIVDEIPYLTSFLADPRYKNHFRMVGSIYKTPGFGFAFRRGCPLVHDLSTAILSLAGGDDDGSKIEAKWFGTASPPIAAGTVTSNDPTPLTFGNFSGLFVITGSISTLMLLISVVRMVHAKCTGLRMADAESVSYSGVDEDSHPSQNGMGDNPIPDQHSLSDQQHLPEAGDNDSRGAQGSGENAGDTDPGPAQQNGMHSGSGRAEHIQIEMGTVRNESAREAPDAQ* >Brasy7G131400.1.p pacid=40045115 transcript=Brasy7G131400.1 locus=Brasy7G131400 ID=Brasy7G131400.1.v1.1 annot-version=v1.1 MSSAGVITLGPMPDELSFLPVRFDGSCSPHYLSSGSQLHDSILIFLAVPGAPPMPMSVLGTDSIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLADGNVLHVVIRLADLRVINIETPSGKKFQFQVDQSRNVYYLKSKLAVEGDQDLGSLEDDKLEYDGEVLEDHQLIADFSKKDDAVIHLFIRKPAKLRTQQVEKDTVVTVDSPQEKENLQNGSLVLKPAKPAIVKPALVEPIVVNRKVKLSPEVTKMIDSVIAGLENGHAPVMSAEGSGGVYFMQDSSGQKNVAVFKPIDEEPMAENNPRGLPVSTDGEGMKRGTRVGEGALREVAAYILDHPTGHHESGPNVGFSGVPPTALVRSLHRGKSFKFGSLQMFMENNGSSEDMGPRAFPVKEVHKIAILDIRLANADRHAGNILVSKEGEDANYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSYESIEYIKSLDAEEDIKLLKFHGWELSLSCARVLRISTMLLKKGVARGLTPYDIGHILCRATVNRDSEIEDIVQEAEDAVLPGTSENLFLETVSEIIDRHLSK* >Brasy7G157600.1.p pacid=40045116 transcript=Brasy7G157600.1 locus=Brasy7G157600 ID=Brasy7G157600.1.v1.1 annot-version=v1.1 MESPLTGSESRWLHVTKSPIPVRNVQDLVASSEELTAETMERYIRQDIDRDLVLAEHSAEIPVIDLAKLLNPDSVAAELAKLSFACEDWGFFQVINHGLPNEVIAAAKHDIQDFFRLPLDAKNDYAQRPGEIQGYGQAFVVSDDQKLDWADMLSLFSQPPQHRDMSYWPKQPHTFRNSIGEYSSELLKFSRYIETFIAKTLTVDHELMGGNCAVQTLRMTYYPPCLSMPNKVLGFSF* >Brasy7G059900.1.p pacid=40045117 transcript=Brasy7G059900.1 locus=Brasy7G059900 ID=Brasy7G059900.1.v1.1 annot-version=v1.1 MATSAATSSFPSYLIPASASRRQGPHRIRASSSVEGVMDVVSEAELREKGFMGMRKTKLICTVGPACLDALPALARGGMGVARVNLCHGGRDWHRAAMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGSASVKAEDRSEWLFTSKKTERARPSTMHVNFDKFSEGILVGDELVIDGGMATFVVTEKIGNDLHCKCTDPGLLLPRAKLSFWRDGKLVERNFGLPTLSAKDWADIEFGITEGVDCIALSFVKDANDIKHLKTYLSRRSLEHIKIFAKIESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEVIVELCRNLNKPVIIASQLLESMVEYPTPTRAEVADVSEAVRQYADAIMLSAESAIGAYPEKALSVLRAASERMESWSREENMQRLLPQYQLALALPDRISEQICTSVVEMANNLAVDAIFVYTKHGHMASLLSRNRPNAPIFAFTDDANSRKSMNLYWGVIPLQLPLSKSMDDNFKQTIKLLKSKGSVKPGDSVLVVADSDLNQPRAASSVFQSIQVRLVH* >Brasy7G159000.1.p pacid=40045118 transcript=Brasy7G159000.1 locus=Brasy7G159000 ID=Brasy7G159000.1.v1.1 annot-version=v1.1 MLLLRLPHLRPLPTLLAAAAARRRQASGEDRRRRRRSADVMLGALHSSSSSSDTDNTTTNNNSGGVEAAAQGGMGRALQHAAAPPSARDLVLACADLLQRGDLAAARRAAEILLSASSPRGDAADRLAYHFARALALRVDAMAGRHVLPFARSSSGGGSSGGGPGAYLAFNQIAPFLRFAHLTANQAILDAVPPGARRVHILDLDAAHGVQWPPLLQAIADRADPSLGLGPPEVRITGAGADRDALLRTGNRLRAFARSIRLPFHFAPLLLSSSRQQEDSVAAAGSSSGSSHHAGGTGSSQQLVELHPDETLAVNCVLFLHKLGGQEELAAFLKWVKAMAPAVVTVAERESSHPTAIEHPAAMAMGIDGGDQELLPRRVGAAMDHYAAVFEALEATVPPGSRERLAVEQDVLGREIEAAVSGGGPAGGRWRGGLERWAAAARAAGFAARPLSPFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWH* >Brasy7G040100.1.p pacid=40045119 transcript=Brasy7G040100.1 locus=Brasy7G040100 ID=Brasy7G040100.1.v1.1 annot-version=v1.1 MEGAIVSLTEGAVRGLLCKLGGLLAQESWPVQRLHGEVQYIKDELESMNAFLQSLASCFTSEPGGHVNDQVRVWMKQVREIAYDAEDCIDDFVRGDAMASSLRSRFVCLLLASLGPAGGRRHRRVAVQLQELKARARDAGERRSRYGVLPPPALKMALRPGSGSGSRLDPRLHALFTEEAQLVGIDGPRDELVGWLMDGEARLRVLAIVGFGGLGKTTLARMVSGSPRVKGADFQYCSPMLILSQTLNVRALFQHMLRELNQRPRLGLVAGGQRDDSIAMDDNTGLHGMESWETALLAEKLRRYLQDKRYIVILDDIWTSSAWENIKCAFPDNEKGSRIIITTRNEDVANICCCHSQDRVYKIQRLSEMASRELFFKRIFGFADGTPNNELEEVSNAILKKCGGLPLAIVSIGSLLASRQNRTKQEWQKVCDNLGSELETNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPVVRMWIAEGFITQKHGLSMEEVAERYFDEFVTRSMVHPVKIDWSGKVRSCRVHDIMVEVIMSKSLEENFASYLCDNGSTLVSHDKIRRLSIQSSSSHAVQRTCANASVAHVRTFRMSPSLEETPSFFAQLRLLRVLDMQGSSCLSNKDLDCICKFFQLKYLSLRNTSISKLPRLIGRLNHLETLDIRETLVKKLPSSARNLICLKHLLVGHKVQLTRTGSVKFFRVQSGLEMTPGVLRKMALLQSVGHIEIKRHPSVFQEISLLRNLRKLNVLFHGVEVNWKPFLELLSKLPSSVRSLSIHIFDGEGNSSSVEMLSSVEPPPLLLTSFSLTGKLERLPRWVASLRNVSTLTLRNNGLRADAVDVLGDLPNLLCLKLYHKSYADSCLVFPRGKFGRVKLLIIDNLENIDKVHFEGGSVPHLERLTLSFLREPEEGISGLENLLKLREIEFFGNIILSLVNKVASCVKTHPNHPRVIGDKWNIVTEYA* >Brasy7G103600.1.p pacid=40045120 transcript=Brasy7G103600.1 locus=Brasy7G103600 ID=Brasy7G103600.1.v1.1 annot-version=v1.1 MFTRTVWRQVSAWTGKPATPAEAFVSIDQWWDASLSLSDSKAEATAPPPLPPRPPLRRLCTSASNPRGRRPSAQTRPPPPSICPAAAAAFPRRWPSPPSRALPFADLSCTPHHGRRAAIPALHRVAMVAAPARRRRRTAQSPSRPCNGSPSSHTCPTAALHAAAHLQG* >Brasy7G074300.1.p pacid=40045121 transcript=Brasy7G074300.1 locus=Brasy7G074300 ID=Brasy7G074300.1.v1.1 annot-version=v1.1 MVKGGLLLLHLSCVTALQIASSTPDTTTPSGTPTGKMASSAAAACEAVQIELLPFIRVYRSGRVERLLGTATVPASLDAATGVASRDVTVDPATGVSVRLYLPPAATTSGGNKLPVLVYFHGGGFMVESAASPTYHRYLNALASRAGVLAVSVEYRRAPEHPLPAAYDDSWAALAWAAAAAGCESSSSDPWLSAHGDASRVFLAGDSAGANIAHNVALRVAGQGHPTPGGEAIIGVLLVHPFFWDASNAMGPALEARIRREWRFTWARLEAQVDDPRLCPTCPPGAAARLAALPCEKVMVAVAGDDFLAAKGRAYYAALLASGWRGEAELVDTPGQGHVFHLLRPWTEAAAEMLDRVAAFIDRA* >Brasy7G191300.1.p pacid=40045122 transcript=Brasy7G191300.1 locus=Brasy7G191300 ID=Brasy7G191300.1.v1.1 annot-version=v1.1 MPAGEAPMGGRRRQGISPPTRLGGLRCAQFGGGGRRRGLKRLEVRLGRTARWQSGGIPFRWPLLLGGVALGQGSGLLPADLGMHPDLLGTGWGLLVSRSGMGRRGAGSHGEGRWSAGCRGAEWAGEVDGATNSLDVLRASGSLLVLRRSGRGASGSVASSAPWSGGGGGSGQASSWDYFVF* >Brasy7G014100.1.p pacid=40045123 transcript=Brasy7G014100.1 locus=Brasy7G014100 ID=Brasy7G014100.1.v1.1 annot-version=v1.1 MAFAWCLLAPAVAPAAAPTLSASWPLHPEASLSRGRLSRRGGGTGGTCSWCAWPPTRRRLRGARPSISPS* >Brasy7G049400.1.p pacid=40045124 transcript=Brasy7G049400.1 locus=Brasy7G049400 ID=Brasy7G049400.1.v1.1 annot-version=v1.1 MLTGRESLVRLIGRRRRSPLPASLAALLSSSSTSSYQADYAGAGEAAREAAAAGPSTGGSAGADGASAEWVACPVCGDSIRGTDYCVNTHLDICLARGSKRKLTQSTLLDSRFSKKTTIGPTLDSLNNGYEAENVGLTDEDVSSDRAFFSMNSNTGSSKDSTPALSSGSLHGSLDISKTFNRCMPLDAILPNIKIAENGDAVEKDSSCMLPTKATSVSIDACTDVDSSTKVAVDTVIVGRRFHENVELQGGVVITVSRDPQNAKDSDAIKVLFAGSECGQMLGYLPRELAKVLAPLLDAHFVECKGFVVGLPEQQLDDVPIQLTCEKCNNDNQTYSDLIHRQSLWEKFLGAIRNGNLQRPSSARYQANFNMMITDVMANHTHLFSDMETSFLGSFKSLSNDGQRLFVRIYTRKGPWFRVSSISYQEISDVEHAAMELKLAGYIYMLSCTDVPSECEMEEILDVLSVPEMKEILKDLPKVNTSCTRRPELLSTLLSMYNNGTCTALPKKFLKWTGNCIRTSNMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCNICHRIFKERNDLLEYEEAIRVAQLMDESLDNDNMEMVSRCADLSENRVCTMPTEEDSNLAESPPSFYSCFSSTWVYSKVLTLGVSVYERERRYADAIRILKTLLSKIASGRRRGYWTLRLSVDLEHIGRPNESLSVAEGGVIDPWVRAGSKIALQKRVVRLSKPPRRWKVPSSADSLRRNIEEVNIEGRPLNCETGAKSVFYGYDGELCGVEQLALQYYADEGGSWQGTHSEGGIWMTIFGLLMWDVIFSEVCDVFQSKFQTAPLDLETDDFYKSRKGLIESQLKRIQDGLAEEMLITSWELHQGTSCRGINWGRHSMADLRAAVACVGGHRLALLLRHLALDYRSWSSGMPDLLLWRFNGERGGAEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFNVEVCKVSLVSKRR* >Brasy7G049400.3.p pacid=40045125 transcript=Brasy7G049400.3 locus=Brasy7G049400 ID=Brasy7G049400.3.v1.1 annot-version=v1.1 MLTGRESLVRLIGRRRRSPLPASLAALLSSSSTSSYQADYAGAGEAAREAAAAGPSTGGSAGADGASAEWVACPVCGDSIRGTDYCVNTHLDICLARGSKRKLTQSTLLDSRFSKKTTIGPTLDSLNNGYEAENVGLTDEDVSSDRAFFSMNSNTGSSKDSTPALSSGSLHGSLDISKTFNRCMPLDAILPNIKIAENGDAVEKDSSCMLPTKATSVSIDACTDVDSSTKVAVDTVIVGRRFHENVELQGGVVITVSRDPQNAKDSDAIKVLFAGSECGQMLGYLPRELAKVLAPLLDAHFVECKGFVVGLPEQQLDDVPIQLTCEKCNNDNQTYSDLIHRQSLWEKFLGAIRNGNLQRPSSARYQANFNMMITDVMANHTHLFSDMETSFLGSFKSLSNDGQRLFVRIYTRKGPWFRVSSISYQEISDVEHAAMELKLAGYIYMLSCTDVPSECEMEEILDVLSVPEMKEILKDLPKVNTSCTRRPELLSTLLSMYNNGTCTALPKKFLKWTGNCIRTSNMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCNICHRIFKERNDLLEYEEAIRVAQLMDESLDNDNMEMVSRCADLSENRVCTMPTEEDSNLAESPPSFYSCFSSTWVYSKVLTLGVSVYERERRRRGYWTLRLSVDLEHIGRPNESLSVAEGGVIDPWVRAGSKIALQKRVVRLSKPPRRWKVPSSADSLRRNIEEVNIEGRPLNCETGAKSVFYGYDGELCGVEQLALQYYADEGGSWQGTHSEGGIWMTIFGLLMWDVIFSEVCDVFQSKFQTAPLDLETDDFYKSRKGLIESQLKRIQDGLAEEMLITSWELHQGTSCRGINWGRHSMADLRAAVACVGGHRLALLLRHLALDYRSWSSGMPDLLLWRFNGERGGAEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFNVEVCKVSLVSKRR* >Brasy7G049400.4.p pacid=40045126 transcript=Brasy7G049400.4 locus=Brasy7G049400 ID=Brasy7G049400.4.v1.1 annot-version=v1.1 MLTGRESLVRLIGRRRRSPLPASLAALLSSSSTSSYQADYAGAGEAAREAAAAGPSTGGSAGADGASAEWVACPVCGDSIRGTDYCVNTHLDICLARGSKRKLTQSTLLDSRFSKKTTIGPTLDSLNNGYEAENVGLTDEDVSSDRAFFSMNSNTGSSKDSTPALSSGSLHGSLDISKTFNRCMPLDAILPNIKIAENGDAVEKDSSCMLPTKATSVSIDACTDVDSSTKVAVDTVIVGRRFHENVELQGGVVITVSRDPQNAKDSDAIKVLFAGSECGQMLGYLPRELAKVLAPLLDAHFVECKGFVVGLPEQQLDDVPIQLTCEKWPWFRVSSISYQEISDVEHAAMELKLAGYIYMLSCTDVPSECEMEEILDVLSVPEMKEILKDLPKVNTSCTRRPELLSTLLSMYNNGTCTALPKKFLKWTGNCIRTSNMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCNICHRIFKERNDLLEYEEAIRVAQLMDESLDNDNMEMVSRCADLSENRVCTMPTEEDSNLAESPPSFYSCFSSTWVYSKVLTLGVSVYERERRYADAIRILKTLLSKIASGRRRGYWTLRLSVDLEHIGRPNESLSVAEGGVIDPWVRAGSKIALQKRVVRLSKPPRRWKVPSSADSLRRNIEEVNIEGRPLNCETGAKSVFYGYDGELCGVEQLALQYYADEGGSWQGTHSEGGIWMTIFGLLMWDVIFSEVCDVFQSKFQTAPLDLETDDFYKSRKGLIESQLKRIQDGLAEEMLITSWELHQGTSCRGINWGRHSMADLRAAVACVGGHRLALLLRHLALDYRSWSSGMPDLLLWRFNGERGGAEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFNVEVCKVSLVSKRR* >Brasy7G049400.2.p pacid=40045127 transcript=Brasy7G049400.2 locus=Brasy7G049400 ID=Brasy7G049400.2.v1.1 annot-version=v1.1 MNSNTGSSKDSTPALSSGSLHGSLDISKTFNRCMPLDAILPNIKIAENGDAVEKDSSCMLPTKATSVSIDACTDVDSSTKVAVDTVIVGRRFHENVELQGGVVITVSRDPQNAKDSDAIKVLFAGSECGQMLGYLPRELAKVLAPLLDAHFVECKGFVVGLPEQQLDDVPIQLTCEKCNNDNQTYSDLIHRQSLWEKFLGAIRNGNLQRPSSARYQANFNMMITDVMANHTHLFSDMETSFLGSFKSLSNDGQRLFVRIYTRKGPWFRVSSISYQEISDVEHAAMELKLAGYIYMLSCTDVPSECEMEEILDVLSVPEMKEILKDLPKVNTSCTRRPELLSTLLSMYNNGTCTALPKKFLKWTGNCIRTSNMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCNICHRIFKERNDLLEYEEAIRVAQLMDESLDNDNMEMVSRCADLSENRVCTMPTEEDSNLAESPPSFYSCFSSTWVYSKVLTLGVSVYERERRYADAIRILKTLLSKIASGRRRGYWTLRLSVDLEHIGRPNESLSVAEGGVIDPWVRAGSKIALQKRVVRLSKPPRRWKVPSSADSLRRNIEEVNIEGRPLNCETGAKSVFYGYDGELCGVEQLALQYYADEGGSWQGTHSEGGIWMTIFGLLMWDVIFSEVCDVFQSKFQTAPLDLETDDFYKSRKGLIESQLKRIQDGLAEEMLITSWELHQGTSCRGINWGRHSMADLRAAVACVGGHRLALLLRHLALDYRSWSSGMPDLLLWRFNGERGGAEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFNVEVCKVSLVSKRR* >Brasy7G229300.1.p pacid=40045128 transcript=Brasy7G229300.1 locus=Brasy7G229300 ID=Brasy7G229300.1.v1.1 annot-version=v1.1 MGSRRPRLPGFGDDGGGRGVQGRGGRGRGGFYPQQYQQGGRGAAGSYNYHGQGAAPQPRGATMLPTQQWRRAGPAASEDSGHGQPYREVQPQQHNNGCPPATMAPELLQAIVDDAPHEPAGMISSPEAAGSLEASPPQALEVVTEHLEALSMQSELSASQEIVQSIQLSSSSYKFPHRPGRGSIGTRCLVKANHFLAELPDKDLHQYDVSITPEITSRIVSRAVMEELVKLHKVSYLGGRLPAYDGRKSMYTAGPLPFVSKEFHINLLDEDDGSGLERRQRTFKVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPYGRSFFSPDLGRRRSLGEGIESWRGFYQSIRPTQMGLSLNIDMSATSFFEPLPVIDFVAQLLNTDVYSRPLSDADRVKIKKALRGVKVEVTHRGNIRRKYRISGLTSQATRELSFPVDQGGTVKSVVQYFQETHGFAIQHTYLPCLQVGNQQRPNYLPMEVCRIVEGQRYSKRLNQSQIRVLLEETCQRPHDRERDIIKMVNHNSYHDDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWLCVNFARNVQESVATGFCRELARMCQASGMDFALEPVLPPIYVRPDQVERALKARFHDAMTILGPQRKELELLIGILPDNNGSLYGDLKRVCEIDLGLVSQCCLTKQVFKMNKQILANLALKINVKVGGRNTVLADALSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTIHGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFVVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSIASARGGLSGSSTSRSTRAAGGGIVRPLPALKDSVKRVMFYC* >Brasy7G194200.1.p pacid=40045129 transcript=Brasy7G194200.1 locus=Brasy7G194200 ID=Brasy7G194200.1.v1.1 annot-version=v1.1 MAALPLATAEVCDANSHLITNGELRALQPIFQVYGRRQVFAGPIVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELIV* >Brasy7G194200.2.p pacid=40045130 transcript=Brasy7G194200.2 locus=Brasy7G194200 ID=Brasy7G194200.2.v1.1 annot-version=v1.1 MAALPLATAEVCDANSHLITNGELRALQPIFQVYGRRQVFAGPIVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELIV* >Brasy7G237500.1.p pacid=40045131 transcript=Brasy7G237500.1 locus=Brasy7G237500 ID=Brasy7G237500.1.v1.1 annot-version=v1.1 MTASVANHLQGISHSQPPAIDLPHTLEHQNAASTTNSAGLTRAGELKLSNGDIYSGALSGEIPEGSGRYIWSDSCCMYEGEWRRGMRHGQGRTLWPSGAIYQGEYSGGYMDGEGTYVGSSSYKGRWKLNRKHGLGLQTFPNGDIFQGSWVQGQMEGHGRYTWANGNTYVGTMRNGLMSGKGILTWNNGDSFQGSWLDGMMHGYGLYTWEDSGYYLGTWTRGFKDGKGTFYPKCCRVPAAHQLYINDLRKRGVLPDISNMLGSSSFDMMEGTNRESAGALSRRNLSFEQPPSKKASLQRRWSIGVAIEKLIGHEPSASSEIQSCENMADSNFPILEREYAQGVLISEVVLNKSFSDSSKNVKRRQRKIVRDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGPRASFWMNFPKEGSRLTPSHRAVDFKWKDYCPMVFRNLREMFKIDTADYMISICGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYRHVHAYENTLVTKFFGLHRVKPSSCQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEHSWRDTLLKQIETDSEFLRNQGIMDYSLLLGFHYRGRQNLERGASCHESIVPDRLTVLSEQDAVEEDSAYNYREGLVLVQRGSDQKGKVAVGPHIRGSRLRSSSASFEEVDLLLPGTARLQIQLGVNMPARAEKDGEKSFRQVYDVVLYIGIIDILQEYSMRKKIEHAYKSIKYDPLSISVVEPKFYSERFLRFIHTIFPQNSLVAS* >Brasy7G237500.2.p pacid=40045132 transcript=Brasy7G237500.2 locus=Brasy7G237500 ID=Brasy7G237500.2.v1.1 annot-version=v1.1 MTASVANHLQGISHSQPPAIDLPHTLEHQNAASTTNSAGLTRAGELKLSNGDIYSGALSGEIPEGSGRYIWSDSCCMYEGEWRRGMRHGQGRTLWPSGAIYQGEYSGGYMDGEGTYVGSSSYKGRWKLNRKHGLGLQTFPNGDIFQGSWVQGQMEGHGRYTWANGNTYVGTMRNGLMSGKGILTWNNGDSFQGSWLDGMMHGYGLYTWEDSGYYLGTWTRGFKDGKGTFYPKCCRVPAAHQLYINDLRKRGVLPDISNMLGSSSFDMMEGTNRESAGALSRRNLSFEQPPSKKASLQRRWSIGVAIEKLIGHEPSASSEIQSCENMADSNFPILEREYAQGVLISEVVLNKSFSDSSKNVKRRQRKIVRDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGPRASFWMNFPKEGSRLTPSHRAVDFKWKDYCPMVFRNLREMFKIDTADYMISICGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYRHVHAYENTLVTKFFGLHRVKPSSCQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEHSWRDTLLKQIETDSEFLRNQGIMDYSLLLGFHYRGRQNLERGASCHESIVPDRLTVLSEQDAVEEDSAYNYREGLVLVQRGSDQKGKVAVGPHIRGSRLRSSSASFEEVDLLLPGTARLQIQLGVNMPARAEKDGEKSFRQVYDVVLYIGIIDILQEYSMRKKIEHAYKSIKYDPLSISVVEPKFYSERFLRFIHTIFPQNSLVAS* >Brasy7G237500.3.p pacid=40045133 transcript=Brasy7G237500.3 locus=Brasy7G237500 ID=Brasy7G237500.3.v1.1 annot-version=v1.1 MTASVANHLQGISHSQPPAIDLPHTLEHQNAASTTNSAGLTRAGELKLSNGDIYSGALSGEIPEGSGRYIWSDSCCMYEGEWRRGMRHGQGRTLWPSGAIYQGEYSGGYMDGEGTYVGSSSYKGRWKLNRKHGLGLQTFPNGDIFQGSWVQGQMEGHGRYTWANGNTYVGTMRNGLMSGKGILTWNNGDSFQGSWLDGMMHGYGLYTWEDSGYYLGTWTRGFKDGKGTFYPKCCRVPAAHQLYINDLRKRGVLPDISNMLGSSSFDMMEGTNRESAGALSRRNLSFEQPPSKKASLQRRWSIGVAIEKLIGHEPSASSEIQSCENMADSNFPILEREYAQGVLISEVVLNKSFSDSSKNVKRRQRKIVRDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDYGPRASFWMNFPKEGSRLTPSHRAVDFKWKDYCPMVFRNLREMFKIDTADYMISICGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYRHVHAYENTLVTKFFGLHRVKPSSCQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEHSWRDTLLKQIETDSEFLRNQGIMDYSLLLGFHYRGRQNLERGASCHESIVPDRLTVLSEQDAVEEDSAYNYREGLVLVQRGSDQKGKVAVGPHIRGSRLRSSSASFEEVDLLLPGTARLQIQLGVNMPARAEKDGEKSFRQVYDVVLYIGIIDILQEYSMRKKIEHAYKSIKYDPLSISVVEPKFYSERFLRFIHTIFPQNSLVAS* >Brasy7G058800.1.p pacid=40045134 transcript=Brasy7G058800.1 locus=Brasy7G058800 ID=Brasy7G058800.1.v1.1 annot-version=v1.1 MASSASSSSSSSYSSAGSISTPRPPRHPHGASAASSSSSSTSSSSPVFLNVYDVTPANGYARWLGLGVYHSGVQVHGVEYAYGAHEGNGSGIFEVLPRRCPGYAFRESVLVGTTELTRAQVRAVMAGELAAEFPGDAYNLVSRNCNHFCDAACRRLVAQARIPRWVNRLAKIGVVFTCVIPSGGGGGGKQQQQARRRNKGEPSARARSRSARQAADAAAPPRARTFFRSLSVGGSKNVTPTPTPPLDPVLTSTSSVST* >Brasy7G130000.1.p pacid=40045135 transcript=Brasy7G130000.1 locus=Brasy7G130000 ID=Brasy7G130000.1.v1.1 annot-version=v1.1 MGMRARGGGRARRRAGEEAGGQGRRPTGRSRGEEDAGRSRGEEADGERSRGGGGRRGGAAGEEVDGEEGAGRSRGEEGAGRGRGEEARGGGGRRRGGGGVVGAGAKAGRGQWSVAARVFRSRPGLN* >Brasy7G164000.1.p pacid=40045136 transcript=Brasy7G164000.1 locus=Brasy7G164000 ID=Brasy7G164000.1.v1.1 annot-version=v1.1 MRVHREALMPCQHHRVLAHPCGCGYRLYRWRRRMKTGTDVSIIVVEEEGVVRRVEHGRSGAGEVFLMARHVEVEGVLPVRGVNDQVRVAALPAGKGKPSVHELLHGGVPLELQRTDVLDALLILHRPRHRPHHLRLVLFFGTLLFRFRLRLLLVVVIIAATGVQEGWHGERVVVFFAGRRVARVRATTTVDGMVPPGGGGGVGSADLLVDMGMILGHVGLAVDEDDAKEERREEQDGGGGEDEGEEAVEECIEESQEEEKAEQQRMAPEE* >Brasy7G000100.1.p pacid=40045137 transcript=Brasy7G000100.1 locus=Brasy7G000100 ID=Brasy7G000100.1.v1.1 annot-version=v1.1 MEKPSRRRGPLLRPLRLRLRPPRLNRFILSHPHPLPLRMGKRGRQIRRSAPMGNISKLKRGRSRVTSSSKVEAASMSNKCEIQLDADPIQGASGGPGQVSQVSNFEATHRGPSSRPPLDLLNFPDEFKSLETDRLLPCDLKNASSSAMQQYDAYLQWFYSSHRGPVPVASLADTASKYLKVENSMASLWVNSHAFSNIPDAIVDDCIYDYMDIVIKEKGEIEHPFAFDAAECLTKEAELICEWLRRGRKIFTDDEYALCHNIRVAAAELFITRRKPSLHISAALVGIRKEAEWLIENLKRNSGESISTSMKIRQFALDFVWEKFDELEPFYAWGNEELSEVAMAWSDDDESDDDESDDDESDDDVADNNYVGGCYDEAYMIPYR* >Brasy7G000100.3.p pacid=40045138 transcript=Brasy7G000100.3 locus=Brasy7G000100 ID=Brasy7G000100.3.v1.1 annot-version=v1.1 MVPLLFLEEAAAAQVQEACFPCLVLYPTSVSFCASPLRYIWWLALGNRQESPIPNEVAAKPGGKNGGRQERVYLKVENSMASLWVNSHAFSNIPDAIVDDCIYDYMDIVIKEKGEIEHPFAFDAAECLTKEAELICEWLRRGRKIFTDDEYALCHNIRVAAAELFITRRKPSLHISAALVGIRKEAEWLIENLKRNSGESISTSMKIRQFALDFVWEKFDELEPFYAWGNEELSEVAMAWSDDDESDDDESDDDESDDDVADNNYVGGCYDEAYMIPYR* >Brasy7G000100.2.p pacid=40045139 transcript=Brasy7G000100.2 locus=Brasy7G000100 ID=Brasy7G000100.2.v1.1 annot-version=v1.1 MVPLLFLEEAAAAQVQEACFPCLVLYPTSVSFCASPLRYIWWLALGNRQESPIPNEVAAKPGGKNGGRQERVVENSMASLWVNSHAFSNIPDAIVDDCIYDYMDIVIKEKGEIEHPFAFDAAECLTKEAELICEWLRRGRKIFTDDEYALCHNIRVAAAELFITRRKPSLHISAALVGIRKEAEWLIENLKRNSGESISTSMKIRQFALDFVWEKFDELEPFYAWGNEELSEVAMAWSDDDESDDDESDDDESDDDVADNNYVGGCYDEAYMIPYR* >Brasy7G000100.4.p pacid=40045140 transcript=Brasy7G000100.4 locus=Brasy7G000100 ID=Brasy7G000100.4.v1.1 annot-version=v1.1 MVPLLFLEEAAAAQVQEACFPCLVLYPTSVSFCASPLRYIWWLALGNRQESPIPNEVAAKPGGKNGGRQERVYLKVENSMASLWVNSHAFSNIPDAIVDDCIYDYMDIVIKEKGEIEHPFAFDAAECLTKEAELICEWLRRGRKIFTDDEYALCHNIRVAAAELFITRRKPSLHISAALVGIRKEAEWLIENLKRNSGESISTSMKIRQFALDFVWEKFDELEPFYAWGNEELSEVAMAWSDDDESDDDESDDDESDDDVADNNYVVWWGLL* >Brasy7G122000.1.p pacid=40045141 transcript=Brasy7G122000.1 locus=Brasy7G122000 ID=Brasy7G122000.1.v1.1 annot-version=v1.1 MATNDLLRCAATCKRWRRLVTDRDILRRLGFWPETARRPSVLNTVPSGPATLSARKPGRPPQFLSLQAGDAARLTFNSFVSDDGHDALFHLARPLASRRGLLLARVLPRGVYGGNGQKLHLAVCRPLVDKRSARILPSPPFDLTLFQNVTGCALLTDADHDGGDGDLEMLPAFQVLLVYTAGGFLCASAYSSATGTWGAPIRCCQASRLTRRGPSAGVVSRGGTAHWLFMDEATKLCTLNINIIACPARVSLTKIPIKLHETVTRWPSPVPCIAGEDGMLSFVSIRDSGMLELWTKQEPDHGDLEGVVAGCALS* >Brasy7G003600.1.p pacid=40045142 transcript=Brasy7G003600.1 locus=Brasy7G003600 ID=Brasy7G003600.1.v1.1 annot-version=v1.1 MSSSTQQQQQQQQQEVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRIGPEEEQSDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYAKHCLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQEEGWVVCRVFKKRLPATRRDLDHDAPCWYVDDDGPFMHDLNAPTMNGIMPPHHSMAALQEQHLQMLNNTYKRELKLQFQMPNHHHHHVLNTIIPHDLETSSFHSLLVSPDDHQVNAHHQVQLIDHAAVDQSTTDWRVLDKFVASQLSNDATKGVDYTDEGGILQLNEKQEGATDYASTSTSSSQVDPWK* >Brasy7G011000.1.p pacid=40045143 transcript=Brasy7G011000.1 locus=Brasy7G011000 ID=Brasy7G011000.1.v1.1 annot-version=v1.1 MRALTAAAASASLRSLLFLHISSPAPGPSLAMNRPESSSSSSSGYRSKAAAFASPRGGGGGGSRRPGGRGGGGNDRIDALGRLLTRVLRHMASELRLEMRSDGYVRVRDLLTLNLQTFAKVPLKSHTVDEIREAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLTPILSADEVSVCVHGTYRKNLDSILKFGLKRMERLHVHFSSGLPSDGEVISGMRRSANILIYLDVSKALQDGMKLYISENKVILTEGFEGVVPVKYFDKIETWPGRAPIPFQR* >Brasy7G232400.1.p pacid=40045144 transcript=Brasy7G232400.1 locus=Brasy7G232400 ID=Brasy7G232400.1.v1.1 annot-version=v1.1 MALTPSSSSSDGLYRWHLPDPRACGDSSNKSQTVVVLLVWLGSKQKHLKRYADWYTYRGFHAVTFTLPMSDIISYNAGGKAEKNVEMLSEHLADWVSDESGK* >Brasy7G172000.1.p pacid=40045145 transcript=Brasy7G172000.1 locus=Brasy7G172000 ID=Brasy7G172000.1.v1.1 annot-version=v1.1 MHQIGSGMYVSGRAPDPDRKRERRQLSSGSVATPPYTGGDVSRSGELGRMFDVAAAAWLSQSQAPSPASSSRRSSGPLQQPPRPGPSAASGPLSQLSHSGLLVGPSPSPGPSPARAGSSSSWRKKKASSRMRADTAAAPVVARGRARLGVPSACYALVLVAASGGVGAGVFCLVAWRRWEALAAACGVVAFAAALFSWNVARCAAEAERFFRRSPDTVFDDEQGGLPVGELVKITGQVTCGRVPVGACFHDGARCVFTSVQMYGRRGWASACCCRRWQLKHSEARSTNFYISDRTSGRRFYVRGAEGGAKMTWMIKKNTVSFDSGGDSKGAPRRSLENWAASHGLSCAGAVRVEEGFIREGDTASVIGVLKKHHACDIVDAPPGVVATGCQPARCMFPVLVEGLVLIGSDDPDEAVYMV* >Brasy7G172000.2.p pacid=40045146 transcript=Brasy7G172000.2 locus=Brasy7G172000 ID=Brasy7G172000.2.v1.1 annot-version=v1.1 MHQIGSGMYVSGRAPDPDRKRERRQLSSGSVATPPYTGGDVSRSGELGRMFDVAAAAWLSQSQAPSPASSSRRSSGPLQQPPRPGPSAASGPLSQLSHSGLLVGPSPSPGPSPARAGSSSSWRKKKASSRMRADTAAAPVVARGRARLGVPSACYALVLVAASGGVGAGVFCLVAWRRWEALAAACGVVAFAAALFSWNVARCAAEAERFFRRSPDTVFDDEQGGLPVGELVKITGARSTNFYISDRTSGRRFYVRGAEGGAKMTWMIKKNTVSFDSGGDSKGAPRRSLENWAASHGLSCAGAVRVEEGFIREGDTASVIGVLKKHHACDIVDAPPGVVATGCQPARCMFPVLVEGLVLIGSDDPDEAVYMV* >Brasy7G172000.4.p pacid=40045147 transcript=Brasy7G172000.4 locus=Brasy7G172000 ID=Brasy7G172000.4.v1.1 annot-version=v1.1 MHQIGSGMYVSGRAPDPDRKRERRQLSSGSVATPPYTGGDVSRSGELGRMFDVAAAAWLSQSQAPSPASSSRRSSGPLQQPPRPGPSAASGPLSQLSHSGLLVGPSPSPGPSPARAGSSSSWRKKKASSRMRADTAAAPVVARGRARLGVPSACYALVLVAASGGVGAGVFCLVAWRRWEALAAACGVVAFAAALFSWNVARCAAEAERFFRRSPDTVFDDEQGGLPVGELVKITGQVTCGRVPVGACFHDGARCVFTSVQMYGRRGWASACCCRRWQLKHSEARSTNFYISDRTSGRRFYVRGAEGGAKMTWMIKKNTVSFDSGGDSKGAPRRSLENWAASHGLSCAGAVRVEEGIL* >Brasy7G172000.3.p pacid=40045148 transcript=Brasy7G172000.3 locus=Brasy7G172000 ID=Brasy7G172000.3.v1.1 annot-version=v1.1 MHQIGSGMYVSGRAPDPDRKRERRQLSSGSVATPPYTGGDVSRSGELGRMFDVAAAAWLSQSQAPSPASSSRRSSGPLQQPPRPGPSAASGPLSQLSHSGLLVGPSPSPGPSPARAGSSSSWRKKKASSRMRADTAAAPVVARGRARLGVPSACYALVLVAASGGVGAGVFCLVAWRRWEALAAACGVVAFAAALFSWNVARCAAEAERFFRRSPDTVFDDEQGGLPVGELVKITGARSTNFYISDRTSGRRFYVRGAEGGAKMTWMIKKNTVSFDSGGDSKGAPRRSLENWAASHGLSCAGAVRVEEGIL* >Brasy7G033800.1.p pacid=40045149 transcript=Brasy7G033800.1 locus=Brasy7G033800 ID=Brasy7G033800.1.v1.1 annot-version=v1.1 MGDFNVALVIVAAVVSVLVLLVSVYLLVNYQHPDDANQAYFPKLVVVLGITVAVLSILMLPADVANRQACRKAVYNGACSLTLPMKTLWLIVYIADALLVFLVIPFAMFYYEGDQDKSVGKRLKTALIWVVASAVICGLILGILYALIGKVDFTVRHLSSSVQTFPSSFGAFSNGQPCISPLTRQCSAYSAPANSQTTWTMRASFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLENDMNALEEMYPQGEQAEATWAFTVLAYIGKLIFGVVGLIVSIAWVAHIVIYLLIDPPLSSFLNEIFIKLDGVWGLLGTVAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN* >Brasy7G083000.1.p pacid=40045150 transcript=Brasy7G083000.1 locus=Brasy7G083000 ID=Brasy7G083000.1.v1.1 annot-version=v1.1 MSKLYEPGPEGLCRCSRVGGNVGPSEVTHYDSNDESQTSATSKSATSRTSAKTLYWIIKKFNTIKRKLVKEIGFGGLLELPLWNSISRIFSTWLLGQVDCIDLAIVLDSIRRLPFAPQDVNREFGIPCGHRDVLGPETKISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEDSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIAQYNWCAYVLKGIIDAAQKVQADLSMNKVVTNIFGCHIFLQIHYLDNLLLGPLQPPKNIYPRMKAFPTEVLNKLILADTNPGGGYGAKPFNARGTVVVPNQSPNIAQPLALPAGSGQSSSLLRSIPTNSGASLPITTTTLPQFLREKYPTLCNTRLANDLKLYNATMVRAMNERHTAEKNHLLHQNLWLADKICTFISGVPNLQPRQSASTTRTEAQSKDCTSPVRTMKSLEDTPKRSSSELKDAINKKSKTENSDDMSSMFQNMDSEPPSFDVGIDSVPIVTQQYVPSFTASNDGSKKTPQQDPLTPASPAATKLSMEGNMVDLIIRTEDVDCAESKVLFGATSASPPCKRRTRVGKFAPSPWSEGYIHPKPDGDIMVSLREWCVDAPPHYFKMPWVSTKFPRYISVTGNAIQKQLLGNDVLDFELCDLLVRRLTQLDNRMEPTSSRMRWRHLLESDFSVCAIAEDDIASLLSIQLQFIGDSITYNMSCTTMFAVPAFIEQSWCAYMFDMKEETIHVLDPLGLHLESATIKELQGHYANVIQDKLFQCFSNYYEIWNSQKKQWRHVYPVLTNDKFTK* >Brasy7G038000.1.p pacid=40045151 transcript=Brasy7G038000.1 locus=Brasy7G038000 ID=Brasy7G038000.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTIKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKFIREEMRQEVHALLAQHGLSTLVQKLSHLPFFVNITAHRRTIAKGNEIEECLQQSWIYLCI* >Brasy7G022100.1.p pacid=40045152 transcript=Brasy7G022100.1 locus=Brasy7G022100 ID=Brasy7G022100.1.v1.1 annot-version=v1.1 MATSPLLSPQYARPAAPRPLLRRLLPLPPPTAFASPSSAAERSERRRLRLRRAQPPPRAKFGKFDAADSPAESPAPEKEGDGAAQQAAAAKEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRILLDTLAQETGQKVKAIFPDAGVAALLKHQWKDAQFKCASLSDRKPVDTDDGVVVMIIPDHQMLDSVERIATQISDDPIRPLIMWNPRLVSGDVGVGYNVRNLRRNFLSTFTTVYSMRPLPTGAIFRCYPGKWKVFYDDPSRPNRYLLAREVSSRPDATDIEMIFGGGGASDQSEEEPSMLSNVMGVFSSMSRFMRVISK* >Brasy7G022100.2.p pacid=40045153 transcript=Brasy7G022100.2 locus=Brasy7G022100 ID=Brasy7G022100.2.v1.1 annot-version=v1.1 MATSPLLSPQYARPAAPRPLLRRLLPLPPPTAFASPSSAAERSERRRLRLRRAQPPPRAKFGKFDAADSPAESPAPEKEGDGAAQQAAAAKEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRILLDTLAQETGQIRPLIMWNPRLVSGDVGVGYNVRNLRRNFLSTFTTVYSMRPLPTGAIFRCYPGKWKVFYDDPSRPNRYLLAREVSSRPDATDIEMIFGGGGASDQSEEEPSMLSNVMGVFSSMSRFMRVISK* >Brasy7G157800.1.p pacid=40045154 transcript=Brasy7G157800.1 locus=Brasy7G157800 ID=Brasy7G157800.1.v1.1 annot-version=v1.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR* >Brasy7G225300.1.p pacid=40045155 transcript=Brasy7G225300.1 locus=Brasy7G225300 ID=Brasy7G225300.1.v1.1 annot-version=v1.1 MEPSDLNTHLPPRKRLLAGFRSAAAASSFDADPPPPPPPCPLLLSDDLVARLRAMMGPPASPPPSPDEIVQAARSAASAAADAAAAARAAAWDKAAVAAKARAAARAAMEFLDSVYMSGGGGGASRNGIQLKAKSRKKHVQVKLLYKPNGRVREGKGALGDGSRPRRRNESDEEVARKLHRVMNSSPRISFTGPKRPRSLGAGKEGYRNEGGGDAACNGSSSHALTEVGGLGNGRSVGKSSERNVQFSKIMGLHDGGDSSWNPAKNREIADNGVRIGNLSAGRKVKIKRKQLLLNHTNGKETEEHKETEPSRDSIGYDELKSNGAEKRPFFADARAPGDDPVPVKITSVWKFKKFKASHCSSDSKMLHNVCSSTSAAETSASVKAD* >Brasy7G050600.1.p pacid=40045156 transcript=Brasy7G050600.1 locus=Brasy7G050600 ID=Brasy7G050600.1.v1.1 annot-version=v1.1 MLWILPSPGGIEPTNWFLDKSRYCRLDILASDAGIGPVKLLFSSQIILRDVSFSPSSAGTLPPRLLQSSAMKIRLMQELRFTGSVPEKEFWLSWSTRRRRRRPMLAGISPWSLLELRLSIRRNVRFPRAGESTPVSPMRNKLSATTRSGCRRPHVTPSQLQKWALLFQEATASSLSRALKASSAASSPALTVEITRAVTSDHSKHMIPVAIAMPILTSQ* >Brasy7G034800.1.p pacid=40045157 transcript=Brasy7G034800.1 locus=Brasy7G034800 ID=Brasy7G034800.1.v1.1 annot-version=v1.1 MSCQKFSRQYLHMVLSAGRGLYALSHLDVSRLFYTSKEEARAAHTEDKKNGSNMLGGIGSTGRLPEPSVHYEPFTTAISYPDRSRDVFALIGKSNIFCSDSVGHSSIYNTESQCFVGLPRLNSPKGPKRITVCIPRTEAHAAFFGDTKYRARDNVPFAVVDGTKICISSETATYYFDTVAFEWSKAGDWVLPFRAKAEYIPEFGLWLGFSAHKPYNLCSVNLSGVTIGSFDTQPLAQYVGQDVDLPGDCSLKNASLVNIGSGRFCIAKFFDRIHDQDNRQVVVLTGVELHTDDGRGEGALNITEHKSECLASDSIVCVL* >Brasy7G133600.1.p pacid=40045158 transcript=Brasy7G133600.1 locus=Brasy7G133600 ID=Brasy7G133600.1.v1.1 annot-version=v1.1 MVTSKTGENSVAAAAERKELAPPVFAEDLAPNVRAGFDSTCDFIRRSHAALTKQIAHLATRIDALGDAPTHAQPHDVVHRRPAEQHNHQQVGYAADGDLEDDAPEQRPFARPTGALRIPQPGRDQVGHAVRVPHDDGGLGRIKLSIPPFSGTREYPEATMSRFFNGLNIEVQDRVEMVSYYDIQDLVHQAERAEQQFKRRQAVAPANSWRRSPTEAAGSSAKTTSSSCSNQVYHSEAPKSRVSKAAPSTHSTSTIECFTCGGRGHMKRDCPNTKRVMLTQDGYISASDDDKVDVPSTVESEDHDNFDVYPEDAAPNCTNLMVQRKRHVLQRMLEKDIKVATFAMKKKKIQQAKSKPRTVSSQVRGDDEGRISITPAISPTPYILKFGSFCVEVPAKEEVKPNFGTPPVLKFISV* >Brasy7G224400.1.p pacid=40045159 transcript=Brasy7G224400.1 locus=Brasy7G224400 ID=Brasy7G224400.1.v1.1 annot-version=v1.1 MAFPSISSTSAAAWRRRSGLLALLLVVLLLLLFLSFQVVVHVPSIRSAVSLWLFSGHRRQPQREGPRSGDIQNVEDFNKTVAYTDQDGRIRLFRVTAREFASSSIWENPLLPRESQPVTGTQENDEEQLLATGSEDANLSSAAVSANQRTDPIKLKREIFRRRRKEQRIQELLNMDKEVELHMRNAATNSSRNFDNKVKVSYNIWRQEFHHTNTDSTLKLMKDQIIMAKVYATIARSQNETDLHTSLMKCIKESKAAIGDANMDSELDSSALERAKAMGHVLSSARDVLYNSGEVSRRLRVMLQSAELNIDTAKKQNTFLVQHAAKTVPMPLHCLHMQLITDYYFRDGVIKEYFRDAALKDEEDKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDSLNFAAMKMWFISHSPRPATVHVESINNFKWLNSSYCSVMRQLESARLKEYYFKAHDPSSLSDGTENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNVFDLKEWKKRNITGIYNYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLDRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYKAYWSKYVDVDSSHIRHCYASKQ* >Brasy7G224400.2.p pacid=40045160 transcript=Brasy7G224400.2 locus=Brasy7G224400 ID=Brasy7G224400.2.v1.1 annot-version=v1.1 MAFPSISSTSAAAWRRRSGLLALLLVVLLLLLFLSFQVVVHVPSIRSAVSLWLFSGHRRQPQREGPRSGDIQNVEDFNKTVAYTDQDGRIRLFRVTAREFASSSIWENPLLPRESQPVTGTQENDEEQLLATGSEDANLSSAAVSANQRTDPIKLKREIFRRRRKEQRIQELLNMDKEVELHMRNAATNSSRNFDNKVKVSYNIWRQEFHHTNTDSTLKLMKDQIIMAKVYATIARSQNETDLHTSLMKCIKESKAAIGDANMDSELDSSALERAKAMGHVLSSARDVLYNSGEVSRRLRVMLQSAELNIDTAKKQNTFLVQHAAKTVPMPLHCLHMQLITDYYFRDGVIKEYFRDAALKDEEDKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDSLNFAAMKMWFISHSPRPATVHVESINNFKWLNSSYCSVMRQLESARLKEYYFKAHDPSSLSDGTENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNVFDLKEWKKRNITGIYNYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLDRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYKAYWSNSIACGQPLSGQLL* >Brasy7G224400.3.p pacid=40045161 transcript=Brasy7G224400.3 locus=Brasy7G224400 ID=Brasy7G224400.3.v1.1 annot-version=v1.1 MAFPSISSTSAAAWRRRSGLLALLLVVLLLLLFLSFQVVVHVPSIRSAVSLWLFSGHRRQPQREGPRSGDIQNVEDFNKTVAYTDQDGRIRLFRVTAREFASSSIWENPLLPRESQPVTGTQENDEEQLLATGSEDANLSSAAVSANQRTDPIKLKREIFRRRRKEQRIQELLNMDKEVELHMRNAATNSSRNFDNKVKVSYNIWRQEFHHTNTDSTLKLMKDQIIMAKVYATIARSQNETDLHTSLMKCIKESKAAIGDANMDSELDSSALERAKAMGHVLSSARDVLYNSGEVSRRLRVMLQSAELNIDTAKKQNTFLVQHAAKTVPMPLHCLHMQLITDYYFRDGVIKEYFRDAALKDEEDKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDSLNFAAMKMWFISHSPRPATVHVESINNFKWLNSSYCSVMRQLESARLKEYYFKAHDPSSLSDGTENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNVFDLKEWKKRNITGIYNYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLDRTWHVLGLGYDPAVDIAEIDNAAVVHYNGNYKPWLDLAISKYKAYWSNIACGQPLSGQLL* >Brasy7G151900.1.p pacid=40045162 transcript=Brasy7G151900.1 locus=Brasy7G151900 ID=Brasy7G151900.1.v1.1 annot-version=v1.1 MDAHSDPSLFLLTLIHLLLRISARDFLSAGSSLSVDDTSDVLHSPDGTFTCGFNNISRNASVFSIWYSHTAEKTVIWSANHLHPVYSWGSKFTLHRNGSILIYDYGGRPVWGTDVNSSNAQRAQLLDSGNLVVKGQGDVPLWQSFHSPTDTLLPNQKFTAATKLVSSSRLLVRGHYSFHFDDQYLLTLFDDEKDISFIYWPNPDSNIWTKERNSFNTTTTGVLDGLGHFVGSDNLTFRAADWGLKVMRRITLDSDGNLRLYSLNEQNGGQWSVMWMASQQGCFVRGLCGINGICVYTPMPACACAPGHEIIDPSDRSKGCAPKFKLGRHGQVMRFLKLPNTDFPGYDQSKHGLVSLQHCKNICLNDSNCIGFSYSRQGTGGCYPKSYLFGGVANPSSHYSTYLKVPKELEVSESSIPHSQPSGPKYVPDCSKTNKDFIADFMDKPNSSQNGLKYFYLFYGFLSAMFLVEVILVALGCWFILRRKGIQLTGVWPAEVGYEMITNHFRRYTYKELKRATRQFRDQIGRGASGLVYKGILEDKRVVAVKKLADINQGEEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRILVSEYVQNGSLDKTLFSSEGSHILLEWKERFNIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENLEPKITDFGLAKLMNRGTSNGNVSRIRGTRGYIAPEWVSSVPITAKVDVYSFGVVLLELLKGARVSEWPSNADEEVEMVLRRVIRMLAQNLKLDGSEQLWISEFIDSRLNNQFDNLQARTMVKLAVSCVEEDSRKRPTMENALQMLLSVDEASVIMQQYAFN* >Brasy7G113000.1.p pacid=40045163 transcript=Brasy7G113000.1 locus=Brasy7G113000 ID=Brasy7G113000.1.v1.1 annot-version=v1.1 MASPWSPSMEIDGDEDDDGSSGHEESARAIVAPPATTAPPPASNRRGAAAAATKEPRHKRARSKGKRCIHEARPSGQHQPAEGDTTPESKFCINSGKMLPSKRGADDLTTFSTVISGFWSELSDEGASKLSRSVVSIALTHGDLVGQNVLFASSGIAIECQPNFTKFVTSAILVRALNDERNDHDNIKIEVRHEGNVAIGTVVEYDLDHVIAVVEVTSALDVYCVPLSYADVLMPDKKVVAVGRDISGKLMATSGTLTASGRSEDCGRLMFSTCKLSEVMQGGALFEFDGNFVGMNLFSNMGRPIFLPRDMIFDRLNHLQTSVEKIIIPVLVKSVRHRKRLTGVELHSYPDGSMSVNTFEKQFGDEYPTGVWGKFKKEISSNISDIVVALASFHGESKFFACTGFFINYDGCPTILTSASLVRDPDGANEIVSGLRIEVLLPNKEHTVGELEHYSLHYNVALVSVKSYNVDCPAKLESESICYDETVVAVGRCFESGILMATSGEYIHDYDGVSSDLDCEYLWYTTCRTTKAVIGGPVVDFDGKFMGINYYDTEIGTPFLFFVDIWKILDDLKTKKAMIGGHEGRLKDDNGPPNIWVLPA* >Brasy7G113000.2.p pacid=40045164 transcript=Brasy7G113000.2 locus=Brasy7G113000 ID=Brasy7G113000.2.v1.1 annot-version=v1.1 MASPWSPSMEIDGDEDDDGSSGHEESARAIVAPPATTAPPPASNRRGAAAAATKEPRHKRARSKGKRCIHEARPSGQHQPAEGDTTPESKFCINSGKMLPSKRGADDLTTFSTVISGFWSELSDEGASKLSRSVVSIALTHGDLVGQNVLFASSGIAIECQPNFTKFVTSAILVRALNDERNDHDNIKIEVRHEGNVAIGTVVEYDLDHVIAVVEVTSALDVYCVPLSYADVLMPDKKVVAVGRDISGKLMATSGTLTASGRSEDCGRLMFSTCKLSEVMQGGALFEFDGNFVGMNLFSNMGRPIFLPRDMIFDRLNHLQTSVEKIIIPVLVKSVRHRKRLTGVELHSYPDGESKFFACTGFFINYDGCPTILTSASLVRDPDGANEIVSGLRIEVLLPNKEHTVGELEHYSLHYNVALVSVKSYNVDCPAKLESESICYDETVVAVGRCFESGILMATSGEYIHDYDGVSSDLDCEYLWYTTCRTTKAVIGGPVVDFDGKFMGINYYDTEIGTPFLFFVDIWKILDDLKTKKAMIGGHEGRLKDDNGPPNIWVLPA* >Brasy7G148700.1.p pacid=40045165 transcript=Brasy7G148700.1 locus=Brasy7G148700 ID=Brasy7G148700.1.v1.1 annot-version=v1.1 MSELIPGLPEEVARECLIRVGFDQLPAVRRISRQWKEEVESPDYARLRRAEGLARPVLALVQAQPEPVEHGPAHKHSSASAAANGGPANNYRMVLLDPAEGRWAPIPALPGPTGSLPLFCQVAAVDGDHGSSRKRLVVVGGWDPDTWAPTDAVHVYDFLTGAWRRGEPMPGPRRSFFACAAVGGAVYVAGGHDEEKNALRSALAYDPDADAWATLPDMAEERDEPRGLCLGGRFLVVGGYPTQAQGRFAGSAEAYDPATSAWAQVQEGLLEDGACPRTCCAAPGAQRVYMLRDGHLVARDGVGGGAWRTVAPVPEDARTASTVCAIPGGHVVVVGSGCHGGDQTVYMLRDVAGKAASWARAPAPPEFSGHVQAACFLEI* >Brasy7G018300.1.p pacid=40045166 transcript=Brasy7G018300.1 locus=Brasy7G018300 ID=Brasy7G018300.1.v1.1 annot-version=v1.1 MMARGGGGSLDLGLGLGLGLGLASQGSLTSSTTTASSSPGSHQQGQHWHAALSSVVAADAGAAARSEFPSSYQQQRYNQQRHQEGRTSTSPESGAALSGVSGGGGGIKRALERTGSGVSRGAAISDEDEDGDGGGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKTALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYMKRWCEQLADQNKRLEKEVAELRALKAASAPAAQQQSAATLTMCPSCRRVATTQQQQCHPKPNAQAAAGNVVPSHCQFFPSAAAGDRSARQATWNAAPPPPLVTRELF* >Brasy7G172400.1.p pacid=40045167 transcript=Brasy7G172400.1 locus=Brasy7G172400 ID=Brasy7G172400.1.v1.1 annot-version=v1.1 MRALTVLTTSAAFLLMISTTTSLRVALAASDDDNQHGRSSILWRGSSMAVGDDALVSPNGAFSCGFHRVATNAYAFAIWYTAPAAVVPTLAWTANRDAPVNGMGSRAELRGDDGSLVLQDFDGQAVWSTNTTSTGAGAERAQLLDTGNLVVSDAEGRALWQSFDWPTDTLLPGQPITRYRPLVSAKARGSTGSGYYSFYFDSYNILNLMYDGPEININYWPDPFKTWYDNKRMAFNSTRQGRLDERGRFTASDNLRFNASDYGDAGVMRRLTLDYDGNLRVHSLVDAATGSWRATWAALPRLCDVHGVCGRYGVCTYAPSGGPACSCPEGFVPSDVEDWSKGCRRAYGDVVCGEDVFFAELPHVDYWGFDYNMTSGVTFETCRQICIDDCNCQAFGYKKGGTGKCYSKVGMWNGRGPDAKQFIYFKIPTRVQKDLNLSSSSVLRLIFDGHACDTRERDAGIGSRYLRSDGGGKINFVYFYSFLAGLFVVEAVFILIGYIFIFVLADPAASRRVHDEEGYSLVLSNFRRFEYDELSRATCDFAEELGKTVYKGVLGDRRDVAVTRLAEAADEVFRSELSVIGRINHMNLVKIWGFCSEGSHRLLVCEYVENGSLAEALFGAENETPLAWHSRYKIAVGAAKGLAYLHHECILHCDVKPENILLDADLEPKITGFGLVKLLSREEDDADDATGGRVPSSSRAQGANRGYVAPEWALSRPVTGKADVYSFGVVLLELLSGQRVSEWLVMEGERTGFQRLVALLKDEMERQDRTSVPAWLPEFVDARLLGDFSHLQAAAMLELAVTCVHHDPGRRPSMNTVVQKLISSQEGVVRSRRGEEEEDAGLSCPSLAPELPRAQTHPLDAETGDTVASVKAKVGIPPHRQRLLFAGTELADDGRTLADYGIVRADSTIHLVETKMQVFVRMVKAGPGYSQTFSNLESSDTVESFRAKFHARTGVRPERQRLTLCSKDLKDGHTLGDFGVREESTLQFCPRLWFPQPGEERGDLRAAPGHGGPDQGARGGGGRGQPVGYQRVFWAGFELEDARTVAHYGFLESTTTVIVCCQRPAGGYPTRPTSSATTSTSINAGEPPVAKRIKTAAPDVQQLSGTAEIRTEKKPDEEGKSYTVPAAAPAVPVRTGEGRANFIGRRVHQGAGQLGEHPGMAGIRYYRGFPEYIPSIGKWRLTVIVQEREHDE* >Brasy7G067900.1.p pacid=40045168 transcript=Brasy7G067900.1 locus=Brasy7G067900 ID=Brasy7G067900.1.v1.1 annot-version=v1.1 MADELRREREKSTRAETYANNMLSWGMGMHDHCSAMQKLLEEHNVSSNVSSDEPVHAADQDAFGGFFNEIATGAITFSSLLMSSQMPATE* >Brasy7G228300.1.p pacid=40045169 transcript=Brasy7G228300.1 locus=Brasy7G228300 ID=Brasy7G228300.1.v1.1 annot-version=v1.1 METAVGAASWLVGKVLNKLSDDLVAAYVASSELGLNAEQIKADLMYTHALLHAARGRDENPALKWLLEQLSEKADEAEDALDELHYFIIRDKLDGTQHATPFLGGDLREMVQHGRNAVRHTTGNWLSCFSCCRTEDDAAAATATSTAVTDNPQTKAISDSGNTCVHLDQFAFDRVAMSDKIKSVIEAIHSKCVPVSNLLIIQSQSSAAGTTVTLKRTPKGSTVEQKELYGRSIIFDKTIKDITGGTYCSESLYVLPIVGPGGIGKTTFTQHLYNDERSKKHFTVRAWVCVSTDFDVLKLTQQIHNCIPATEKEESNITNGTANLDQLQISITHRLKTKRFLLVLDDIWKCGSDAEWTTLLAPFTKGEAKGSMVLVTTRLTKIGERVKKSTIPINLQGLEPKEFLEFFQACAFGAEKPDEQYNELIDIGKDIAAKLKCSPLAATTVARLLKKDLSWEHWSQVLENNEWKNQKDDDDIMPALQISYDYLPFHLKQCFSYFSLFPEDHRFTLLEITRFLTAIGVIDSSCKNKNYLEDLVDNGFLMKEDQCYVLHDLLHELSRSVSSQLCVNISSGLSFSADDIPQSIRHLSITMDERDVENFEREMAKLKGRINIGKLRTLMIFRQYEKRITDILKETFEEIKDLRVLFIVMNSPDSLPKNFSRLLHLRYLRISSPYVLEMSLPSALPIFYHLIFLDLKDWHGSPSLPMYISRLVNLRHFIAHNELHSNVPEVGKIKHLEELKEFHVKKETVGFEMEELGKLTDLGGELCVRNLEKVASKEEANKANLALKRSLKTLTLVWGRDQAAAGASDVVDGLQPHDNLRELAIEDHGGAVGPPGWLCRDIPIKHLESLALAGVTWGTLPPFGQLPYLKIIRLKNIDGVRIIGPDLGFIHLKKVVFDGMPDLEKWVVGPNCHLFPNLESIKCKNCPKFLALPFISDCLVPCTQDIHYPNLSKFVITECPQLPLPPMPYTSTLIRVELGDSLGKMSYREDYLELQSYGSALAWENMFKVETVSFSGGSKIPWAELPKLTSLREFEIGRDPSFLSMALLSDLPTSLTSLSLIDCENLTLDGFNPLFAAVNLRELEVYNTGRDGPRSVAADLLSELVTASRSKPLLPAAGCFQLETLEVDCISAMLAAPVCSLFSATLHKLYLGFDQRVESFTEEEEDALQLLTSLRTLFFWRCPGLPSLPQGLHRLSSLRELYVDGCPKIRSLPKEGLPTSLRELYIYGRSPELKEEARKWKETNSGLRV* >Brasy7G183500.1.p pacid=40045170 transcript=Brasy7G183500.1 locus=Brasy7G183500 ID=Brasy7G183500.1.v1.1 annot-version=v1.1 MSFSSRDCCPVALPLVLLLLLIILSSSSACTDQLGDASVRQRGARRMLVSPPATYASSRLRAEQQQMRVGGEKKHFDNVAASFGRRRIPHSGFNPVHNR* >Brasy7G028600.1.p pacid=40045171 transcript=Brasy7G028600.1 locus=Brasy7G028600 ID=Brasy7G028600.1.v1.1 annot-version=v1.1 MAPDTATSTAMVSAAEVDKAPSSMAGNNVKYRLSKSFIRGIISLRREPLPTTEYMESLAPLFPPEWLEEQKRLHEEEVEICRKVEDDFAEFRRRVIDGVKEKGYFEVDEDYVARMVASGDYFTVSDEDDTRLEPFATPEERKLAEAEGCLLYVPDEDDYLVSDGDDDDEDVVGVDGAILGQQTAHESN* >Brasy7G058100.1.p pacid=40045172 transcript=Brasy7G058100.1 locus=Brasy7G058100 ID=Brasy7G058100.1.v1.1 annot-version=v1.1 MKRSSVLLYKLSLLPFALSSDPKVSSRRRRRLLSPRRHDAVAQDLPDQAEAGQEDAPEPPHPLLDPHADRQHHQVQREAQALAPHQARVLSMEERHRMLLLLGEGSRVYELPDNTMFL* >Brasy7G120700.1.p pacid=40045173 transcript=Brasy7G120700.1 locus=Brasy7G120700 ID=Brasy7G120700.1.v1.1 annot-version=v1.1 MALDSDGDGSAKRLRAGEERNQGDVSDGDAITKRLRVAEEGNQGADTVALESDSDDTTERLRADGKAIHGDPTVGLALVSNGDARARIPREDDGGSQDDDDAAAGAMARATGDRISGMADDLMLEILTLLPLKSAIRAAVLSKEWSRVWKLNLQEGGGAHPFVRRYHLVARTEPSPKQLLELLERRAGRRLRRFSLIVQTSVMAASCFNSCLDQVEDLHVELRNRTAQKKFVFHFRWTSRFLASLSLRRTNVSQSVDYRTVFSNLEVIRLYEVGIDDEVLIKMVSSCPVLRTLDLFYCNRLSDLTWFFRERNLRTLTVVECAQVRELDVWPFFGRVCSIRSFRYRGSFLWIFYLPRRAVFTDLCICYNDGIPPDVSSEWFDNTLFNTSELTVLTICSNTLQVVSSLADAGVPAELAKVANFPKLKELQLIMFEMEAVNLADIYAFLNNCHCPILESLFVQLPTEKSNDVIEEPPEDVLENLPLLDAHDDVIEEPPEDVLQNLKMVKITNFSCDPIAVQLVLFLLRKANSLRELLLVAPNNQLDLFDIPDIKQADRLLLDGALASGKLVLKLENTAPYPLHSDVIAEL* >Brasy7G208600.1.p pacid=40045174 transcript=Brasy7G208600.1 locus=Brasy7G208600 ID=Brasy7G208600.1.v1.1 annot-version=v1.1 MPPSPGSTEINRGSGREALNGAATRRLERRRRAEAAKLNPNPAARLPVPPPDTGMQNPSGQHPTPAAAAAGKSKSSAQAAAAASSHGRQPSPATPATAKSKSSAQAAAASSGHGHHSSPVTPAAAKSKSSAHAGASGQPSSSHHHHSGGAADAAASSLKRKRGMFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDMRKLHRATELLSMNEELKQARKAFDVNEETLVVTNE* >Brasy7G119500.1.p pacid=40045175 transcript=Brasy7G119500.1 locus=Brasy7G119500 ID=Brasy7G119500.1.v1.1 annot-version=v1.1 MEGRSQANDHGNNAREGDSTRTTLPTSNLTDIMDILEQSVEQSPPAEPKNTTLEGDDGVLDAMEDLDFDDYVQPLRDYLRLYHELQDLVRRDYRQPAPPPPAAAVTTVQMPPPPPPQQSGAAAESTSRMPPAASPARDDE* >Brasy7G014600.1.p pacid=40045176 transcript=Brasy7G014600.1 locus=Brasy7G014600 ID=Brasy7G014600.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G198200.1.p pacid=40045177 transcript=Brasy7G198200.1 locus=Brasy7G198200 ID=Brasy7G198200.1.v1.1 annot-version=v1.1 MAPGRFGAGSGHTRPGPGHPPRDPDPDPAPGLNFYQTRPRSGLGYPAGIPDPRAQRRTQAGEKRRTRGVERLGDKVAAEEADGGEGSAVGEHGAALHERRVGEAAVGDEDGGAVGEGGAALHERRVGEVAVRLRRWRRNRRRPWQAVGRRGVVAGRGAGGGVHGRPSAGLQAGGSSSSARGGGCGLEARRATAAELLGASGGGREARRAAAGSAARGRQLQARGEAAGLGAADGGVDSWIGERQKRIRVRFFSYIPSSTGLGRECWAFVLGPAEIDNIDAGMRVSGAGSGTTRPGPARPVGSKIFPFTDPRV* >Brasy7G237400.1.p pacid=40045178 transcript=Brasy7G237400.1 locus=Brasy7G237400 ID=Brasy7G237400.1.v1.1 annot-version=v1.1 MAVYLLPSWLATAPLPPPPPPPPLLMDSPSTASDDEDHHQGSDGGKKKPRHVMFLVSHMEAGCIIGKDGSIVKSMESHSGARINISRHNQLFPGTTSRVVLVSGLFNQLMDAMELILERLVYQGDQVIDSQATIALVVPSVCCGALIGKGGATLKAITQKASAGIKISPQDNSYGLHDRLVTITGSLDNQLRAIFLVLSKLLEDVLYSIPIARIVFSSYPASPAEYENDGSDEHVGRYQNKPNTPVRSPDKNDGQEYLTIAIADEHIGAVIGRAGRSINEITQVSGACIRISAKGDFIADTCDRQVVISGTPEAIQAAEAMIMHRVSAAGRLSG* >Brasy7G237400.2.p pacid=40045179 transcript=Brasy7G237400.2 locus=Brasy7G237400 ID=Brasy7G237400.2.v1.1 annot-version=v1.1 MAVYLLPSWLATAPLPPPPPPPPLLMDSPSTASDDEDHHQGSDGGKKKPRHVMFLVSHMEAGCIIGKDGSIVKSMESHSGARINISRHNQLFPGTTSRVVLVSGLFNQLMDAMELILERLVYQGDQVIDSQATIALVVPSVCCGALIGKGGATLKAITQKASAGIKISPQDNSYGLHDRLVTITGSLDNQLRAIFLVLSKLLEDVLYSIPIARIVFSSYPASPAEYENDGSDEHVGRYQNKPNTPVRSPDKNDGQEYLTIAIADEHIGAVIGRAGRSINEITQVSGACIRISAKGDFIADTCDRV* >Brasy7G174700.1.p pacid=40045180 transcript=Brasy7G174700.1 locus=Brasy7G174700 ID=Brasy7G174700.1.v1.1 annot-version=v1.1 MGQCYGKGASSRAVEDELGVVPETHSPPPANGVPQTPPRPVAAAGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGTSPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEAGVVPPGGPGVGGDGADAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDETAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNLDDSPWPSVSVEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDDQRQIPLDILIYRLIKQYLRATPLKRLALKALSKALKDDELLYLRLQFKLLEPRDGVVSLDNFRTALTRYLTDAMKESRILEFLHALEPLAYRSMDFEEFCAAAISPYQLEALERWEEIAGAAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKTDGKLNFLGFTKFLHGVTIRGPNTRRH* >Brasy7G214400.1.p pacid=40045181 transcript=Brasy7G214400.1 locus=Brasy7G214400 ID=Brasy7G214400.1.v1.1 annot-version=v1.1 MEAAAAATAGSRPVLEDAICEILVRIKDVPTLFRCATTCKRWARLVADPYFLRRRWPPETADTSSSFMAGFFVLCQHGLLDFTYASSLVPGLSRLSVFGPDCRLLESLFPCNDKVVGGGLFDGAVPLVARHGLLLVRLVSAGDIVRLAVCDLLAGTCHDAGGTRPLVALKHSGVVLRRGTAHWLASVNYSGLHTLDVDVQTCCRVSLTKIPVPLTSTSAIELKLPKQIQIKEGEQFFVFGEKSGTLIVKDNHRHIYAADLETGEMEELMDCGWISHRFKVSRWIGQH* >Brasy7G065100.1.p pacid=40045182 transcript=Brasy7G065100.1 locus=Brasy7G065100 ID=Brasy7G065100.1.v1.1 annot-version=v1.1 MRMHWSLHVPTIDPNDLSIDPPSGVATEEADHRRNVIRLADPLHGALAGDGPDALLGLPGEEHGRGHGARGHAVGRDAGPGELLGQHLGHGLHGRLGRHVGAVPWPERPDVGRREGDHAPLPAAHQPPRRLPAAQERAARVHAEGAVPLLGVRVRDGRVRRVLEPRRGHHDVQLRAERRLRRVEQPLHLRRVRHVRAHRDGAVWPR* >Brasy7G166700.1.p pacid=40045183 transcript=Brasy7G166700.1 locus=Brasy7G166700 ID=Brasy7G166700.1.v1.1 annot-version=v1.1 MEVVSSGHSCLAFRQTPSGAWRLSGTGLGPRHTKLARPRKTSIHCVRTGGASNPGDSGKLHVSHVSDIDAARQGIAKKAGEVEKVIIPGLPEGADGSQISTGLWEWKPKLTVYYEKSGNKNSKAPAVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKNMVGDHDGESYWGFGQDSEPWADELVYSVDLWHNQVQHFIEEVIGEPVYIVGNSLGGFVALYIGASSPHLVKGVTLLNATPFWGFLPNPARSPHLSKIFPWAGTFPLPPFVRKLTEIVWQKISDPRSIQNILRQVYADHSTNVDKVFSRIMETTEHPAAAASFASIMFAPRGQISFEEALSRCQSQGISISLMYGKEDPWVRPFWGIKAKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGLVDLPFLEDPSFEEQGVSRELEFVRTGSRKSVSVRLFGSKTSLWSQLTSFLKWHASNVTRAMSR* >Brasy7G050700.1.p pacid=40045184 transcript=Brasy7G050700.1 locus=Brasy7G050700 ID=Brasy7G050700.1.v1.1 annot-version=v1.1 MLFGITPDMELFAMLSTLRPTRLPMSAGMVPEIQLSYKYNFWRVVERFTIESGRCPLREFPLSTNICSWLQFAKDVMKSHPSLSIASSLLSDSCRYWRALSLPKVGGTYPLNLLFFSQSSVR* >Brasy7G155300.1.p pacid=40045185 transcript=Brasy7G155300.1 locus=Brasy7G155300 ID=Brasy7G155300.1.v1.1 annot-version=v1.1 MRPRKRGRPKSWLSLLRWGAETSVEPNHRESALGQLAILTVIHLVLLD* >Brasy7G079300.1.p pacid=40045186 transcript=Brasy7G079300.1 locus=Brasy7G079300 ID=Brasy7G079300.1.v1.1 annot-version=v1.1 MGECNEYRCWEELLPDALGLIFRNLSLQEVLTVVPRVCKSWSRVVSGPYCWQEIDIQDWCEQHKKPEELTRMIHMLIARSSGSFRRLSVSVLPNDSVFTFIADHARSLKTLELPRSDISDCVVEGVAQRLSNVTFLDVSSCNKIGARALEAFGKNCRSLVGLRRVMHPTDVDGKVCQHDEARAIACNMPKLRHLEIGYMLIETKAVVEIASQCHDLKFLDLRGCWGVDDKLLEERYPGLKVLGPRVDDIYENSFWEECSDDSEDDSIYSWEFMDDDYYAIGSDDEAIWDDGQGPEGLEVRFWGGEFSEYGTGFDWPSSP* >Brasy7G186700.1.p pacid=40045187 transcript=Brasy7G186700.1 locus=Brasy7G186700 ID=Brasy7G186700.1.v1.1 annot-version=v1.1 MGPSNVIQAKLVLLGDLGAGKTSIVVRFAKGLYYDCQESTIGAAFFSQALAVRSGGGGGEEDTVRFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDVTSTDSYIRAKKWVDELQRQGNPHLVMALVGNKVDLEEKRQVRTQEALEYAERNGLFFVETSAKTAHNVSELFYELAERLVKVRPNRPAGMILHEGRSGGRGRWFCCSG* >Brasy7G126700.1.p pacid=40045188 transcript=Brasy7G126700.1 locus=Brasy7G126700 ID=Brasy7G126700.1.v1.1 annot-version=v1.1 MCTSWGWQRPALHRGAVLFGLLCPAAMAPRKAAGTIAIPLWLHARDFQHADESLLPRPSRSTPPTLRPLRAASSPPPSAPPPICSPRATSAARSSRAAVSTCSPHVAARLPPAGSGPPPTTPAGSGGPARARGVSGDACAEVVAGARSATDCRAGKASLIHTRSLIGFAVSGQRRREYIFCKVMYSPERISQARTGAHFKMYLMDRKSEVKHIIEEVINSMSDGSEEK* >Brasy7G126700.4.p pacid=40045189 transcript=Brasy7G126700.4 locus=Brasy7G126700 ID=Brasy7G126700.4.v1.1 annot-version=v1.1 MCTSWGWQRPALHRGAVLFGLLCPAAMAPRKAAGTIAIPLWLHARDFQHADESLLPRPSRSTPPTLRPLRAASSPPPSAPPPICSPRATSAARSSRAAVSTCSPHVAARLPPAGSGPPPTTPAGSGGPARARGVSGDACAEVVAGARSATDCRAGKASLIHTRSLIGFAVSGQRYVRVCFAVYALCFCLD* >Brasy7G126700.2.p pacid=40045190 transcript=Brasy7G126700.2 locus=Brasy7G126700 ID=Brasy7G126700.2.v1.1 annot-version=v1.1 MCTSWGWQRPALHRGAVLFGLLCPAAMAPRKAAGTIAIPLWLHARDFQHADESLLPRPSRSTPPTLRPLRAASSPPPSAPPPICSPRATSAARSSRAAVSTCSPHVAARLPPAGSGPPPTTPAGSGGPARARGVSGDACAEVVAGARSATDCRAGKASLIHTRSLIGFAVSGQRSSLQDVPHG* >Brasy7G126700.3.p pacid=40045191 transcript=Brasy7G126700.3 locus=Brasy7G126700 ID=Brasy7G126700.3.v1.1 annot-version=v1.1 MCTSWGWQRPALHRGAVLFGLLCPAAMAPRKAAGTIAIPLWLHARDFQHADESLLPRPSRSTPPTLRPLRAASSPPPSAPPPICSPRATSAARSSRAAVSTCSPHVAARLPPAGSGPPPTTPAGSGGPARARGVSGDACAEVVAGARSATDCRAGKASLIHTRSLIGFAVSGQRHD* >Brasy7G074600.1.p pacid=40045192 transcript=Brasy7G074600.1 locus=Brasy7G074600 ID=Brasy7G074600.1.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYESLRDPSKRLQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQAMLGGKIEVPTLHGKTQVKIPKGVQPGQVVVLRGKGLPNQVGYTGDQYIRFRIHFPLVVNERQCALLEEFAVEEAMKEQSSFAAGNWWELVVETMKSQNFILGLGFVILIYLMLRP* >Brasy7G076700.1.p pacid=40045193 transcript=Brasy7G076700.1 locus=Brasy7G076700 ID=Brasy7G076700.1.v1.1 annot-version=v1.1 MAATPLPPAAQLPPPPPGDSAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLTKMTGMEYVLSDVQEPHLFVIRKQKRLSSEKSDPMLPMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTTACSKLEKIGNVETESDAAASESKTQKEAIDLKELKRVDHILMSLQRKLPPAPPPPPFPEGYVPPSASDQEKAPDDLSSEALPPSIDPIIDQGPAKRPRFQ* >Brasy7G117600.1.p pacid=40045194 transcript=Brasy7G117600.1 locus=Brasy7G117600 ID=Brasy7G117600.1.v1.1 annot-version=v1.1 MEPHLGNVPAADIQQPELAAIPMNSMATPEPGEAQMAVERTNSGDIQDAEAPININGQGSPSRSAAVNSHDFRIKVDFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFRMDNSLDHHGHPGDPLLPVKFFRRYEVFFYCNATAFAASLVLIILLLSRGVASKHVWLRSMQVTMILDLFSLMAAYAAGSCRALKSSIYILVLVCAVFLYVGMHIVVSIRLVPKWLKEKVQKMLDLILEKLQAMLHKMLSICHVPHIDGQRNCRNEEKEIEDARKFILMLATFAATITYQAGMSPPGGFWDVNSYGYRPATFILRKHNLLRFNIFICCNATSFVASLVTVILLLSTELGMHGIRTQALFVCVIADLLGLIGAYASGSCRDVATSLSVIVIIVVVLICVLILVMFLQSKTVTVWLDNAVKPRFDKLLSRMSWPREGWLSNVKRDDSQRTNQQDGSQRTQQQDTEHLSPDTEVEGNLSNLQSCSADSNVVSTGDVKSASASDLNSMEDVIPNTINQSHDRSVQAATEAVCSSSTDALATKEVPMYNMEVQSADSEVTIPMGPSSASDSGKSIQCILPSQGLVGQNAARGDLRIDVDDPTMEKSSEMRCLLPNSHGDSAEQPGQPMANHQNGAENGGEVILVETDSINNDQATQHREKNSDSSYKNPDDARLKKSRTYILLLAILAVSLTYQAGLNPPGSFWTSNATNHSAGDPILEDNYHKRYLAFFYFNATAFAVSLVMIIMLLSRKMSNKVIKRRALQTVMITDLLALIGAFVVGSCREKTKSIYISLVIFSVAAYVYVHALVSRNFTHVIPAWWKECFAQRIKHTQSKSPETDNELRDDNEKNFERRRNFLFIIAILAATVTYQAGLNPPGGIWSDGNSKGGRPGNPVLQDSHPKRYDVFYYSNAVSFVSSVAVIVLLVNRESCEHGIKSYALRVCLVADLVGLLIAYSAGSCRKAKPVIYLIVIASAVLLCLVIQVLVLSSTQDALEGPLTWLRRWLLKIFHLETDSERLPGSSDGTNNESNTPGSVPQINEKKERKRQKYLMMLAVLSASIAYQAGLNPPGGFWPDDSTKNGYKAGNPVLKDIHSWRYMVFFVFNSISFMAAIAVVMLLLSKSVRKKKVPLQALHFIMILDLLALMTAYAAGSCRKFRTSIFVLVVVFCVVIYLMIVIILSSGIARWLRKNGNKDDLAM* >Brasy7G091500.1.p pacid=40045195 transcript=Brasy7G091500.1 locus=Brasy7G091500 ID=Brasy7G091500.1.v1.1 annot-version=v1.1 MVQMGFSFFTNAIANGCTLHAESRVDYTIKCRTHEDYHRARSVATLQFNGHLSLLVLAGAAAYAAVLSSGNSPPSGYRMLGKEVQMEGMAVPSSQFTLDSDDEKEDEGITTPAPVANGVHSHHQIALHAPESN* >Brasy7G019300.1.p pacid=40045196 transcript=Brasy7G019300.1 locus=Brasy7G019300 ID=Brasy7G019300.1.v1.1 annot-version=v1.1 MEIQSSGRPIEVLMEKVLSMNIVSSDYFKELYKIKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKLFTMKLTMNQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWTWYEPYIKDDEEFSPGSNGKMTTMGVYVRDVLLGQYYFDSLLPRVPLLILRQVSAHLEKMKLPTKQSGMTGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSIRERERSHDGDRAKSPPRKRRSESRERNRETERDRSDRDRGRYNDREQGRQSRDSRDRDYHRSSYAERDVERRGHERRDRNSDRNGRSSAHRSRSRSRSPSRGRTNGDHRRSSPFGKAPESSNLAKLKDLYGDASNAKEDAGDGRARRDSGAEEVIRLGGARWR* >Brasy7G122400.1.p pacid=40045197 transcript=Brasy7G122400.1 locus=Brasy7G122400 ID=Brasy7G122400.1.v1.1 annot-version=v1.1 MRKSVHTQHTLFPTTALLQGRKGHAQSHPTPQHCAHHHKSPKCSLSRQFRSIFMCILNLCLTCCSCLLPPSHNQGDMAASAGASAADGVITHRDVSLSTGVRLHVAEAGPAGARAVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDMRGYGGSDAPPGGAEEQYTALHVVGDLVALIDSLGEEKQPVFVVAHDWGAVTAWSLCLFRPDRVRAMVALSVAFTPRSPARKPVDGLRALFGDEYYVCRIQEPGAIEAEFARLGTDLVLRKFFTYRTPGPLFIPKSGWGSPDDEVPLPSWITEEDVKYYASQFDKTGFTGGLNYYRALNKTWELTSPWTGAEIKVPVKFVIGDLDLTYHTPGIQDFINKGGLKKYVPLLDDVVIMKDVGHFINEEKPEEVSAHIISFIKKFN* >Brasy7G052200.1.p pacid=40045198 transcript=Brasy7G052200.1 locus=Brasy7G052200 ID=Brasy7G052200.1.v1.1 annot-version=v1.1 MTWRFLPQAVLLCPSPPHPVASLLLSFPSPEAPCPLLFFSPPKPRLPWILASSPGRSSASPTPIPFSASPCKHGTPTSAPQHTRAPRAPVFPASGESAAHGRSPRPQPPVLLRRLQEKLLSSVKPPLPSYAPPAARSRPAAALLCRPPPRAVGRLLRRDLLERPMGSHCQWPPEQRRAGKQRTRGRATQQGAGEQLTAWSGEKRRAEQGAGEQQRPRGAEQPRVAAGASSSGTSGARASSGCAVRGRADRAARSLPRNGRGEISSREIATSSSKKKS* >Brasy7G068800.1.p pacid=40045199 transcript=Brasy7G068800.1 locus=Brasy7G068800 ID=Brasy7G068800.1.v1.1 annot-version=v1.1 MDCSSSASSRRLSLALLCLLVFWSSTVVASPPVVRVGVVLDLTSGAGRERRACVSMALDDFYLKHPTYTAPRVELRVRDSRGDLAEAAHAANDLIKNAQVQGIILGPQTLAEAENIAHQCRHNHIPVLSFSGNIPTSCTFWLEDPVADSRDHAKVGFTLGSDTITFQNPTTDRRINRELHTIESRNDCRGQTMLNIAVPKKNGFNVFVNAIDPISKKQNITGYSIDIFEAAIKNLNPRPCYKFVLLEATYDELVGNVSSGVYDGAVGDVTITAERATGTDFTMPYTQSGVSMLVLAENETETIQWTFVKPLSGTLWLATAVFLFYTGFVVWMIELPRNQEYQGSSLRQCSTALYFVFSTLTFSHGQSIRSPLSKIVVVVWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRNSGDFVGYQKDSFVRSVLMKHNIRERRLRNYTSKEEYADALRKGSKNGGVSAIIDEVPYFTSFLSDPRYKNDFRMVGCIYKTPGFGFAFRLGSPLVHNLSTAILRLAGEDVDGLKIEAKWFGTPSRLSGAGTVTHTDSAPLTLQSFSGLFIITGTISTLMLLISIVRLVHAMCSRSIRANVESISYNDVSNDACLLQIGMGDNPSLDQQSLRESSNGDSQGVHESSQNAGDLEPGPVQQIGMHSVSMPAERSQIETITVCDKSAREAADAHGCFSCH* >Brasy7G072600.1.p pacid=40045200 transcript=Brasy7G072600.1 locus=Brasy7G072600 ID=Brasy7G072600.1.v1.1 annot-version=v1.1 MDTKCGMDEQSWLVIEDQASPFTGKKTKKASVVVKGRRWSSLANAVVVALIMTMPPILLMLGGHLSTPAVWIKSTVSELGAQPVAETKKDVLLGGLLLPGIDEQSCASRHQSVYYRKNMTRPPTPYLIKRLREQEALQRRCGPGTEPYRRASERLRSGQKFADTIDGCGYLVLLSYQGLGNRILAMASAFLYAMLTNRVLLVDRGKTLGDLFCEPFPGTTWLLPLDFPLQGYNDLGQSAPESYGNVTLRGETAGAASAHRFVYVHLEHDATPANKLVYCDDHRDFLRRVQWVVMRSDGYVAPGFFLNPAYEEELRRMFPRRDSVFYILSRYLLHPTNDVWGMVTRFYDGYLKDADERLGIQVRVFFDAGVEPRPLPHILDRILACTRQENLLPGVVTTSKGPAPMKTKTAAGARSKAVLMTGLDSWYHDNIREMYWRSASAGGEAVSVHQPSHEGLQHFFESLHDQKALAEMYLLSMTDKIVTSGWSTFGYVGTALGGLTPYIMVKPDVVQESPDPPCTRAMSMEPCSHGPPYFECTKKEIDRDINTGILVPHVRACEDMSWGLKLTDPEVTGNHD* >Brasy7G072600.2.p pacid=40045201 transcript=Brasy7G072600.2 locus=Brasy7G072600 ID=Brasy7G072600.2.v1.1 annot-version=v1.1 MDTKCGMDEQSWLVIEDQASPFTGKKTKKASVVVKGRRWSSLANAVVVALIMTMPPILLMLGGHLSTPAVWIKSTVSELGAQPVAETKKDVLLGGLLLPGIDEQSCASRHQSVYYRKNMTRPPTPYLIKRLREQEALQRRCGPGTEPYRRASERLRSGQKFADTIDGCGYLVLLSYQGLGNRILAMASAFLYAMLTNRVLLVDRGKTLGDLFCEPFPGTTWLLPLDFPLQGYNDLGQSAPESYGNVTLRGETAGAASAHRFVYVHLEHDATPANKLVYCDDHRDFLRRVQWVVMRSDGYVAPGFFLNPAYEEELRRMFPRRDSVFYILSRYLLHPTNDVWGMVTRFYDGYLKDADERLGIQVRVFFDAGVEPRPLPHILDRILACTRQENLLPGVVTTSKGPAPMKTKTAAGARSKAVLMTGLDSWYHDNIREMYWRSASAGGEAVSVHQPSHEGLQHFFESLHDQKALAEMYLLSMTDKIVTSGWSTFGYVGTALGGLTPYIMVKPDVVQESPDPPCTRAMSMEPCSHGPPYFECTKKEIDRDINTGILVPHVRACEDMSWGLKLTDPEVTGNHD* >Brasy7G072600.3.p pacid=40045202 transcript=Brasy7G072600.3 locus=Brasy7G072600 ID=Brasy7G072600.3.v1.1 annot-version=v1.1 MDTKCGMDEQSWLVIEDQASPFTGKKTKKASVVVKGRRWSSLANAVVVALIMTMPPILLMLGGHLSTPAVWIKSTVSELGAQPVAETKKDVLLGGLLLPGIDEQSCASRHQSVYYRKNMTRPPTPYLIKRLREQEALQRRCGPGTEPYRRASERLRSGQKFADTIDGCGYLVLLSYQGLGNRILAMASAFLYAMLTNRVLLVDRGKTLGDLFCEPFPGTTWLLPLDFPLQGYNDLGQSAPESYGNVTLRGETAGAASAHRFVYVHLEHDATPANKLVYCDDHRDFLRRVQWVVMRSDGYVAPGFFLNPAYEEELRRMFPRRDSVFYILSRYLLHPTNDVWGMVTRFYDGYLKDADERLGIQVRVFFDAGVEPRPLPHILDRILACTRQENLLPGVVTTSKGPAPMKTKTAAGARSKAVLMTGLDSWYHDNIREMYWRSASAGGEAVSVHQPSHEGLQHFFESLHDQKALAEMYLLSMTDKIVTSGWSTFGYVGTALGGLTPYIMVKPDVVQESPDPPCTRAMSMEPCSHGPPYFECTKKEIDRDINTGILVPHVRACEDMSWGLKLTDPEVTGNHD* >Brasy7G179100.1.p pacid=40045203 transcript=Brasy7G179100.1 locus=Brasy7G179100 ID=Brasy7G179100.1.v1.1 annot-version=v1.1 MGRASAGMEEVGAGDWKQQWPATRAAAAGEMGGDGEDRRRWGRRRGRRRSGGGAEEPSRYDWAAGRRGLRAGIGLGVFFRRELAGGNFVINSVRRRGKSQTGRAEKP* >Brasy7G016000.1.p pacid=40045204 transcript=Brasy7G016000.1 locus=Brasy7G016000 ID=Brasy7G016000.1.v1.1 annot-version=v1.1 MFPCRPLVSPPLPRALAATVRWLPPPSSSGAPSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPIMLRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKDKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILTILDKLKEEREKQEGNDLEEDAETSDLGEEDGDWEGDEPDEEDIIYVK* >Brasy7G015300.1.p pacid=40045205 transcript=Brasy7G015300.1 locus=Brasy7G015300 ID=Brasy7G015300.1.v1.1 annot-version=v1.1 MALCRTAPANSSCFHPRAVASSPSSLSVGTKVFVGLKAQTKLGSCPNVNARFYTAVNRRVSLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSVDDSKKIILYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGQKGSRTGMPLCRISLQSPAGAARGQADDIENEANELNRIRNYLYGKLSEHTGHPVEKIHEDLDRVKRFDAEGALEYGIIDRIVMPSRIKKEGSTGQKKDLRNLGLG* >Brasy7G227600.1.p pacid=40045206 transcript=Brasy7G227600.1 locus=Brasy7G227600 ID=Brasy7G227600.1.v1.1 annot-version=v1.1 MMWWSQPRVFVGRGMHEGPFSLFKSSLICSALGPSSELATYAATKSWDSLFSTCADRCLHLLQASEQMVCEVGVLWIEKLEG* >Brasy7G070100.1.p pacid=40045207 transcript=Brasy7G070100.1 locus=Brasy7G070100 ID=Brasy7G070100.1.v1.1 annot-version=v1.1 MATTILSAAQSPCLQRFSPKNYNQVEIPRHSPRSSSQFLKKPRLVRLAMGAQRPNRPETLPYGSQSTDPSTPSYPRDLHLTCPVKILQICCRSKKYRAHSCASNQVFPSRCLLPSSVPGRSFRCK* >Brasy7G033700.1.p pacid=40045208 transcript=Brasy7G033700.1 locus=Brasy7G033700 ID=Brasy7G033700.1.v1.1 annot-version=v1.1 MKRAFQNSSEQSKGLPFLLLADEAILSEAVESPAVQDWFNRVAGVGDPDARCALSGFEVPRNK* >Brasy7G070700.1.p pacid=40045209 transcript=Brasy7G070700.1 locus=Brasy7G070700 ID=Brasy7G070700.1.v1.1 annot-version=v1.1 MEVDDDVEDDDMDFNPFLREGSPSETSSSLTSEAECEETSFENQPSTEVYLHDSLGNGNTGDCARPQKRLSSKGACKENAPESTSTQFYCEHGEGHSNGLEKEPLQNEASFAPTVQSSHQQATVSEEEDAICRRTRARYSLANYALEELETFLQESDDEGGLQNVDEEEEYRKFLAAVLSGGGDDSQACQGDETHDEDENDVDFELEIEEALESDGDENVEKYENRNGRNDKDGHRPQTRQKRPELSRSTNCRHESTNSTLRPILPYISPRLLSPGHACGRQYPSQNINLPSSLVSVTGAVVMGGFTDQQLGQLHMLIYEHVQLMIQTFSLCVLDLSKQRVAADLKKMMIELIGYRDQALARRNTVRQQFCFEWQHLQSAFSHTSSESLQCQWIPLIKSPIMSILDVSPLHLALSYLSDVAAGKRNRKISVVKYRKSHLDGTAEKNRSRKEPLFPTPVLSTSKDATNVSQDRSNNVSTAAPASPGQLQPKKSLAATLVENTKKESVALVPFDIARLAQRFYPLFNFSLFPRKPPPAVMVNRVLFTDAEDRLLALGLLEYNNDWGAIQKRFLPCKSTHQIFVRQKNRSSSKAPDNPIKDVRRMKNSPLSVEEVQRIEEGLKIFKNDWTSVWMFLLPHRDPALLQRQWRVATGVQRSYSKSETLKEKRRSYEAKRRKLRASVPDSQEVCGQEADNDASEGVENDDDSYVNEAFLADTDNRSMSMMRQTGNSLGDECGAAHGCFEQDNGNGRKHGVSASYIPFSSCASDGPSSSKRVSGGTLDESQGSLRKEKGGHVVKLAPDLPPVNLPPSVRVISQKEFHQSAAHFNGTSDNTAKDLFPVPPPTFTESVYRQLNLFPDHSTSDRLQQHVVNNGNTVDDGAEQDFPMHPLLFQFPQEVISSYSHPVQNLISNSRNYDLFPFEKVQVEKSNRQTTDDMEEGAPINANTIDFHPLLQRTEAEMHEEVPEEDGHQFANQSDCRMRKPPVDDQSTARQASTSPCEGEHRIDLQASISPCGRENNIDLDIHLCSSTDFMSAKDFRSTKSSIQPEGSMKDRASISIIEPGNVCSYHDTEGPSEEAIQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQAQGTEPCLTQNKGTSTSVVCSEFQESNDQCQIQQGLVHVVKQGVTSAEKSHGSSSARSAKAKLKPEHAKRTRSRNHQRLSTSRTSEPSLAKTRRPKVQQEEVGAEYKSSDSRRSRKGPAPS* >Brasy7G150000.1.p pacid=40045210 transcript=Brasy7G150000.1 locus=Brasy7G150000 ID=Brasy7G150000.1.v1.1 annot-version=v1.1 MTREMGKGVAVVVGGSVAGMACAHAVAEVGWKAVVLEKAAAPAAGSGGTGAGLGLDAQSMETLARWIPGWGLDAATLPLAVDLNRATDSETKAARTLTRDEGFNFRAAHWGDLHRRLHEALPAAVTVLWGHQFLSFEVSDEDGDDKRGVVATTRVLGTGETVEVAGDLLVAADGCASSIRRHFLPDLKLSQCRYSGYCAWRGVFDFTGKESSDIVTGIRRAYPDLGSCLYFDLACKTHAVLYELPGNRLNWLWYVNGPEPELTGSSVTTKVSEAMLARMREEAGRVWAPELARLIGETAEPFVNVIYDADPVPRLSWAGGRVALVGDAAHPTTPHGLRSTNMSLHDARVLGACLGRQGAPSRQRALADYEAARMPVVAAQALHARRLGRLKQGLPVDGGEAAAGFDVRRAATTEEVSQLRQRGMPYFGGAPTSGAG* >Brasy7G150000.2.p pacid=40045211 transcript=Brasy7G150000.2 locus=Brasy7G150000 ID=Brasy7G150000.2.v1.1 annot-version=v1.1 MTREMGKGVAVVVGGSVAGMACAHAVAEVGWKAVVLEKAAAPAAGSGGTGAGLGLDAQSMETLARWIPGWGLDAATLPLAVDLNRATDSETKAARTLTRDEGFNFRAAHWGDLHRRLHEALPAAVTVLWGHQFLSFEVSDEDGDDKRGVVATTRVLGTGETVEVAGDLLVAADGCASSIRRHFLPDLKLRYSGYCAWRGVFDFTGKESSDIVTGIRRAYPDLGSCLYFDLACKTHAVLYELPGNRLNWLWYVNGPEPELTGSSVTTKVSEAMLARMREEAGRVWAPELARLIGETAEPFVNVIYDADPVPRLSWAGGRVALVGDAAHPTTPHGLRSTNMSLHDARVLGACLGRQGAPSRQRALADYEAARMPVVAAQALHARRLGRLKQGLPVDGGEAAAGFDVRRAATTEEVSQLRQRGMPYFGGAPTSGAG* >Brasy7G177900.1.p pacid=40045212 transcript=Brasy7G177900.1 locus=Brasy7G177900 ID=Brasy7G177900.1.v1.1 annot-version=v1.1 MASSLLPAFTVRRGEPVLVSPSAPTPRETKPLSDIDDGEGMRFYSSGIHLYRANPDKRGQDPAAVIREALAKALVPYYPLAGRLREEAGRKLVAECGGQGVMFVEADADLTAADFGDVQSPPFPCFEQFILESTTVAGVEPVIDRPLLYIQVTRLKCGGFIFGQRFCHCVVDAPGGMQFEKAICELARGAASPSVTPSWGREMFMARQQQPPKPSSYPHLEYSEPAGGGPEPDRMLTTPASAMSRVPFFFGPNEIAGLRQRAPPHMRGATSRFELVAACVWLCRTAALGYGAGEEVRLSFIVNARGRKDVPLPEGFYGNAFAYSVAAATAGELCAGGMGYALELVKKAKSAVTYDYLLSVADLMVSRGRPLFALTRTYIVSDVSHAGFKSVDFGWGEPLYGGPAKGGEGPLPGVTNYFSRSKNGKGEEGTVVPVCLPKDAMEKFQFEVQGLTAQQL* >Brasy7G224100.1.p pacid=40045213 transcript=Brasy7G224100.1 locus=Brasy7G224100 ID=Brasy7G224100.1.v1.1 annot-version=v1.1 MSSSTFVISGAGQVHPHLLASSGAGAGGGDDPNNNWPYKLPSSHQLDATAVVLNDISSKKKKKKKAAGAGAGEEEQGRVIRCPVCERMFRTPKAVHGHMRVHPGRAWRGMDPAPPPPPAAGYRYQCAHCGTQFPTRQSLGGHRASHSGILGCSELSRIMQQQQQAPRIVRPFDLNELPAPEEEEDPESG* >Brasy7G231000.1.p pacid=40045214 transcript=Brasy7G231000.1 locus=Brasy7G231000 ID=Brasy7G231000.1.v1.1 annot-version=v1.1 MATAAAALHLLLPTSRRRCRLLVPRASSSSSPTRRGLIADTATAAAAMAAAPLLTPAARAEDLSEWQRVKLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNSWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIVGKPAILLHTKDAGESWERIPLSAQLPGDMVYIQATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQLYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTMNGGKSWVRDKAADNIPGNLYSVKFIGDNQGFVLGNDGVLLRYVG* >Brasy7G109900.1.p pacid=40045215 transcript=Brasy7G109900.1 locus=Brasy7G109900 ID=Brasy7G109900.1.v1.1 annot-version=v1.1 MKGPAAKIQKEAKVKGLRSSREMTAKKSRDSSEQRAIDNKKRLTVKIQNEARDQRARVREASYRRMENERKKLEEDEKRKELEKIKLHIEEMANKMMELRKKAAANREAYLELYGHPRKEVSARTFEEKTFGSTDVASAAWLAPYIVSIALFDVNKMLFACSGIAVLRDKMKGPLITTIVTSKRLVEEFHESRNRDDTLKIKVHFPPNGKRAASIRDGFLELYDDDIAIVTCFGFLSGCGVDLRSEGKKLPDFDPVVALGRAFKSGTLMSVQVQPQRIGPECPLVYDGAVTEAGVGGPLMDKSENFMGLIIDCGTNTKSIVILPWEILRYRLPRFEEHIPDCTNIKNYTLPDGLRQIVPSGFWCKFHYLKSLGYPTPPPLMLEAGAQLCNKPQAVFGELYPHEGVNCSIWDLGTGEEVFSNLSRQVVTNICERVVSLSSYNGDKLSFSCTGYLITWHKDDTPVILTSASLVRSPDNEDQIDENLKIDVFLPPNHYSGGTLELYHSDYNIAVISLKECLPEISSEDIFQTGNSCIKKVVAIGREVTDGVLMGTVGKLAGKPTMNPSDFGCKNLMLSTCKIAQAGIGGPLLNFVDGSYVGMNFYSGTDSTPYLPKSIIKKALEIRDLPSKGDGSLSKDMMGESTVKKNRWSVPKPYWYHAMFDRRYQYTRPEFVGRKLP* >Brasy7G109900.2.p pacid=40045216 transcript=Brasy7G109900.2 locus=Brasy7G109900 ID=Brasy7G109900.2.v1.1 annot-version=v1.1 MKGPAAKIQKEAKVKGLRSSREMTAKKSRDSSEQRAIDNKKRLTVKIQNEARDQRARVREASYRRMENERKKLEEDEKRKELEKIKLHIEEMANKMMELRKKAAANREAYLELYGHPRKEVSARTFEEKTFGSTDVASAAWLAPYIVSIALFDVNKMLFACSGIAVLRDKMKGPLITTIVTSKRLVEEFHESRNRDDTLKIKVHFPPNGKRAASIRDGFLELYDDDIAIVTCFGFLSGCGVDLRSEGKKLPDFDPVVALGRAFKSGTLMSVQVQPQRIGPECPLVYDGAVTEAGVGGPLMDKSENFMGLIIDCGTNTKSIVILPWEILRYRLPRFEEHIPDCTNIKNYTLPDGLRQIVPSGFWCKFHYLKSLGYPTPPPLMLEAGAQLCNKPQAVFGELYPHEGVNCSIWDLGTGEEVFSNLSRQVVTNICERVVSLSSYNGDKLSFSCTGYLITWHKDDTPVILTSASLVRSPDNEDQIDENLKIDVFLPPNHYSGGTLELYHSDYNIAVISLKECLPEISSEDIFQTGNSCIKKVVAIGREVTDGVLMGTVGKLAGKPTMNPSDFGCKNLMLSTCKIAQAGIGGPLLNFVDGSYVGMNFYSGTDSTPYLPKSIIKKALEIRDLPSKGDGSLSKDMMGESTVKKNRWSVPKPYWYHAMFDRRYQYTRPEFVGRKLP* >Brasy7G049700.1.p pacid=40045217 transcript=Brasy7G049700.1 locus=Brasy7G049700 ID=Brasy7G049700.1.v1.1 annot-version=v1.1 MAAAPVASPFPVLPSFNTSTRRCRRRVCPPPPAASSPEQQQQQHARGAAADAGESRRRRREEEKAEAQADEFERQRKEEVNRKIASRKALSIILRRDATKAVLDKREPGKGTRRLLPRTVLEALHERIAALRWESALKVFELMRDQVWYRPYVGIYIKLITMLGKCKQPGKAHELFQAMVDEGCAPNLESYTALVSAYSRSGSFDRALSLLDRMKATPGCRPDVQTYSILIKSCLHAYDFDKVKDLLEDMARGGIRPNTVTYNTLVDAYGKAGRFAEMESTLLEMLSEKCKPDVWTMNSTLRAFGGSGQIEMMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSDRIKPNCVTLCSLIRAYGRADQVKKIETVLRIIENSDTTLDIVFVNCLVDAYGRVGCLAEMWDVLNMMKLERISPDKVTCTTMIKWFLVKGIDDHRVQYLRDLKDGLSIDNKLV* >Brasy7G159700.1.p pacid=40045218 transcript=Brasy7G159700.1 locus=Brasy7G159700 ID=Brasy7G159700.1.v1.1 annot-version=v1.1 MPPPRITSSAPHHEVLRLLEFQQLPAAARLAAAHPSSPVSLAAVLLRHPPPRLGYCLHARAARSGLLADRYVANALLAFYVRLPRHLPHALSAFDDLPHRDVVAHSSILAAFLRAGLPRRALQTLRSMLAGADGVLPNAHALSAAVKACAVLRDRNVGACVHGVILVRGYADDDIVLSALVDMYGHTASPGDARKAFEEMCTPDGICYTSLISAFVRNDWFEEAVRWFRIMVTRNGVKPDGCTFGSMMTALGNLRRGRQGNEAHAQVVTRGLCGNVIVESSTLDMYAKCGMMLEARRVFDKMQVRNAVSWSALLGGYCQNAEYEKVLMLFRQMDKEYDDSYSLGTVLRACAGLSSVKPGKEIHCRFLRNGGWRDVVVESALVDLYAKCGAVDYACRVFEASSVRNTITWNAMIGGFAQNGHAERAINLFNQMVREGARPDYISFIGVLFACSHNGMVEQGRNYFNSMSKDYGIAPGIEHYNCIVDLLSRVELLEEAEDLINKSPFSNDSSLWAAILGAAATLSNPDVAERVAKKMMELEPQYHLSYILLENVYRTVGRWEDASEIRRLMKSRKVKKDPGMSWIDVNRNKIHVCNDMDTYDDSS* >Brasy7G105500.1.p pacid=40045219 transcript=Brasy7G105500.1 locus=Brasy7G105500 ID=Brasy7G105500.1.v1.1 annot-version=v1.1 MPAHLPLSAAAAASALGVALGVRLLLLLSRSRALKPLSAATSAAAAALRAPRALAAASSPLAALLAASKAASKSYKAARALDPAARLPSLPSSKRVKAAFAAASLLRLAAASATPLLLPAAASSSPTAFAALALLKSGYKLSKNSAKVVEGFLGLQVHKGFRNGVDALGVVVKVAVIASELAVWLGGRCWGGDGGHDRCVRFVGFTRPGGLVLAGCGKAEAQVLLFDPGAVEMDDEGCWLEDSQFSELLCLAVPVPEMAKLVS* >Brasy7G158800.1.p pacid=40045220 transcript=Brasy7G158800.1 locus=Brasy7G158800 ID=Brasy7G158800.1.v1.1 annot-version=v1.1 MARIPAAAFLAAVSLCTAVLVIRHARAQETNDEPEYTYRRGADNGPERWGLLRVDWAACYWGRQQSPIDVPGGNPPAGSSAPGPARYGRLSQHYRPAPATMVNRGHDIMVRFDGDAGGLLIDGLSYRLRQMHWHSPSEHALDGRRYDLELHMLHQTDKPNNKSFAVVAQLFQIGRRGDATLRMLEPYIERIEDRRKGSTEEIDYEVDPRRPVRRSDEYYRYTGSFTTPPCTEGVVWTVATRIGHVSRHQVELLRDAVHDHARKNARPLQDANGRAVALYYNWPLRGRGNTAARSQLLT* >Brasy7G096300.1.p pacid=40045221 transcript=Brasy7G096300.1 locus=Brasy7G096300 ID=Brasy7G096300.1.v1.1 annot-version=v1.1 MAVVMRKMRLVLPVLVAALVLLAVLGEAARPLGGDDWAAAGGTPLPGAAAAASMVQALRRMYLQQLGGPGASCGTNSPNNGCPP* >Brasy7G157100.1.p pacid=40045222 transcript=Brasy7G157100.1 locus=Brasy7G157100 ID=Brasy7G157100.1.v1.1 annot-version=v1.1 MQLSRALLGSSLSGTIPIQETPSLFLLCCTLLFPPLSKSQTSPAPFRRPQNPSPRPTDLAAMDDSLYDEFGNYIGPELADSDADDSDAAASPSPSHSRSPSPARSPSGSPNSHRPAALMDVDDDDYADASQNAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQALEQPIVAPPRVVKFEVGTRAGATSTYASTDFVLGLAANPLLVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKIRHTLDTINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYGKIHGIPFDHEKFASRLWGDLYYHHGSRTFKKKPPAEGANRSFIEFILEPLYKIYSQVVGEQKSLVESTLADLGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKNIPSVKDAAARKIEHIYTGPQDSSIVEAMKKCDSNGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMNMDDDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMLAEPLEKGLAEDIENGLVSLDSRQKEVTDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDSLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIALRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM* >Brasy7G000300.1.p pacid=40045223 transcript=Brasy7G000300.1 locus=Brasy7G000300 ID=Brasy7G000300.1.v1.1 annot-version=v1.1 MGKAVAMSAAEEVLPVGFRFRPTDEELVRHYLKGKIAGHRHPDLLVIPDVDLSSCEPWDLPSKSVIKSDDPEWFFFARRDRPKYPGKSPRSNRSTAAGYWKATGKDRLIRSSNNKTLIGIKKTLVFHRGRAPRGLRTPWIIHEYRAAEPSFQSGRNGSFVLYRLFNKQDGETPSKSSRSDLQNGGDDEDKATTSMTSDLSLLAATKPTTADHSMTTNLIATVDGDKEPQEDAFLDVLTQLPDLHAEQRYDGFPNISSPMRPYTDHPFVGNMGDQDFSAYFDSIIAEQNMQDMLLHPDYAEMDKHPAGNIEFDPTALVTSATNSNSMAPLEDSWRKDDYDQRADDTDATRCLSAVSTLQSDTSGHNHETRPQTNIMYGGANLASQCYSQCQLPLVLDPQTESSHIRQLLDSMLEPSSSDMINSEASNGQGGWAVPPSMQQSEVQDFIDLQQGTAARRVRLVCAVQRAPASQLILTPHLKSEDEAGSCCSTGSSSNSHDEDYVNAGSRTMAGDMMHIEDRGHIPTHVASSVQVTDKLQHLSLSEDMPEHIKVPRGAGLTQRLKHDSAQCVHQDLMQSSNHVPGESSSETTRRSTGSVVRLLWLALLVMAPLLVLVGVWSSLNYWQM* >Brasy7G000300.2.p pacid=40045224 transcript=Brasy7G000300.2 locus=Brasy7G000300 ID=Brasy7G000300.2.v1.1 annot-version=v1.1 MGKAVAMSAAEEVLPVGFRFRPTDEELVRHYLKGKIAGHRHPDLLVIPDVDLSSCEPWDLPSKSVIKSDDPEWFFFARRDRPKYPGKSPRSNRSTAAGYWKATGKDRLIRSSNNKTLIGIKKTLVFHRGRAPRGLRTPWIIHEYRAAEPSFQSGRNGSFVLYRLFNKQDGETPSKSSRSDLQNGGDDEDKATTSMTSDLSLLAATKPTTADHSMTTNLIATVDGDKEPQEDAFLDVLTQLPDLHAEQRYDGFPNISSPMRPYTDHPFVGNMGDQDFSAYFDSIIAEQNMQDMLLHPDYAEMDKHPAGNIEFDPTALVTSATNSNSMAPLEDSWRKDDYDQRADDTDATRCLSAVSTLQSDTSGHNHETRPQTNIMYGGANLASQCYSQCQLPLVLDPQTESSHIRQLLDSMLEPSSSDMINSEASNGQGGWAVPPSMQQSEVQDFIDLQQGTAARRVRLVCAVQRAPASQLILTPHLKSEDEAGSCCSTGSSSNSHDEDYVNAGSRTMAGDMMHIEDRGHIPTHVASSVQVTDKLQHLSLSDMPEHIKVPRGAGLTQRLKHDSAQCVHQDLMQSSNHVPGESSSETTRRSTGSVVRLLWLALLVMAPLLVLVGVWSSLNYWQM* >Brasy7G227200.1.p pacid=40045225 transcript=Brasy7G227200.1 locus=Brasy7G227200 ID=Brasy7G227200.1.v1.1 annot-version=v1.1 MSHPPLFGTYFSDPIFFSPFEGRNCLNIQVVDYLLMVLVISPWYYQLPTRSVAYRMMFHWHPSEFRLLPSRFTYDQPKVLQNHCSQLSILRFAFRTPTNLLHPNNNGNGSICLDVLKELCSSASTIVSKV* >Brasy7G002900.1.p pacid=40045226 transcript=Brasy7G002900.1 locus=Brasy7G002900 ID=Brasy7G002900.1.v1.1 annot-version=v1.1 MALLLRHSRKLRRVHGVLDCERGSIARHFSASACSTTLKKEDAGVSSSSLEYGKKFGSISISQDRKSGKETHNFKVSPQEARGLCSSKRGPISATGVNSLFSCGQVVLARHFSSAADLPAHEEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVCGDGAKEIKVGEIIAITVEEEGDIEKFKDYKAPSSAAPAESKPQSESTEPKAEEKELPKAAEPKATKTEESSHSGDRVFSSPIARKLAEDNNVPLSSLKGTGPDGRILKADIEEYLSSESKGAKKEAAAAPGLGHVDLPNSQIRKVTAYRLLKSKQTIPHYYLTVDSRVDELIKLRSELNPLQDASGGNKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLALRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQAAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYLENPTTMLL* >Brasy7G002900.2.p pacid=40045227 transcript=Brasy7G002900.2 locus=Brasy7G002900 ID=Brasy7G002900.2.v1.1 annot-version=v1.1 MALLLRHSRKLRRVHGVLDCERGSIARHFSASACSTTLKKEDGVSSSSLEYGKKFGSISISQDRKSGKETHNFKVSPQEARGLCSSKRGPISATGVNSLFSCGQVVLARHFSSAADLPAHEEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVCGDGAKEIKVGEIIAITVEEEGDIEKFKDYKAPSSAAPAESKPQSESTEPKAEEKELPKAAEPKATKTEESSHSGDRVFSSPIARKLAEDNNVPLSSLKGTGPDGRILKADIEEYLSSESKGAKKEAAAAPGLGHVDLPNSQIRKVTAYRLLKSKQTIPHYYLTVDSRVDELIKLRSELNPLQDASGGNKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLALRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQAAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYLENPTTMLL* >Brasy7G002900.3.p pacid=40045228 transcript=Brasy7G002900.3 locus=Brasy7G002900 ID=Brasy7G002900.3.v1.1 annot-version=v1.1 MALLLRHSRKLRRVHGVLDCERGSIARHFSASACSTTLKKEDAGVSSSSLEYGKKFGSISISQDRKSGKETHNFKVSPQEARGLCSSKRGPISATGVNSLFSCGQVVLARHFSSAADLPAHEEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVCGDGAKEIKVGEIIAITVEEEGDIEKFKDYKAPSSAAPAESKPQSESTEPKAEEKELPKAAEPKATKTEESSHSGDRVFSSPIARKLAEDNNVPLSSLKASESKGAKKEAAAAPGLGHVDLPNSQIRKVTAYRLLKSKQTIPHYYLTVDSRVDELIKLRSELNPLQDASGGNKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLALRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQAAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYLENPTTMLL* >Brasy7G002900.4.p pacid=40045229 transcript=Brasy7G002900.4 locus=Brasy7G002900 ID=Brasy7G002900.4.v1.1 annot-version=v1.1 MALLLRHSRKLRRVHGVLDCERGSIARHFSASACSTTLKKEDGVSSSSLEYGKKFGSISISQDRKSGKETHNFKVSPQEARGLCSSKRGPISATGVNSLFSCGQVVLARHFSSAADLPAHEEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVCGDGAKEIKVGEIIAITVEEEGDIEKFKDYKAPSSAAPAESKPQSESTEPKAEEKELPKAAEPKATKTEESSHSGDRVFSSPIARKLAEDNNVPLSSLKASESKGAKKEAAAAPGLGHVDLPNSQIRKVTAYRLLKSKQTIPHYYLTVDSRVDELIKLRSELNPLQDASGGNKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLALRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQAAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYLENPTTMLL* >Brasy7G044200.1.p pacid=40045230 transcript=Brasy7G044200.1 locus=Brasy7G044200 ID=Brasy7G044200.1.v1.1 annot-version=v1.1 MQLVTMAVAMGMPRRLGLGLAHLSSLYLATAIAWLLLTFLHAPPLAGAQPLPWQLCDDTARNYTEGSAYQANIRVLANGIPKNASSSPGLFAKGAAGTPPDVVYALALCRGDTTNASSCASCVDAAFRNAQQLCAYNRRATMFDDPCILRYSDQDFLAYVTDNSGLYIAWNARNVSQPEVDPLEAAVLRLAMATADDAAADPVRRFGSREEVFNGTYPKLYSLAQCTPDMTATECRRCLDGLNVGFTPQYFPGHPGGRVFGVRCNFRFETYSFFSRPPLGPSPAPAPLGNTEKETHKISTVLVVIIPVLIVAAPLAIFIAWFYLWRRRRPAANTLQPTDSASGDDIHNIDSLLLDLSTLKLATDDFAEHRRLGEGGFGVVYKGDLPEGQKIAVKRLSRTSRQGIEELKTELLLVAKLNHRNLVKLVGVCLEEHEKILVYEYMPNRSLDAILFDAEKSKELDWGNRFKIINGIIRGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDRSHIVTHRIAGTYGYMSPEYAMRGQYSIKSDVYSIGVLILEIVTGKTNSGSYNLEQDVDLINFTWEHWTKGTAVQVMDPSLSNRCPTDQFLKCIQIGLLCVQQKPADRPLMSAVNAMLSSGTVRVPSLSRPAFCAQEVGANSISSNDASFSELEPR* >Brasy7G044200.2.p pacid=40045231 transcript=Brasy7G044200.2 locus=Brasy7G044200 ID=Brasy7G044200.2.v1.1 annot-version=v1.1 MQLVTMAVAMGMPRRLGLGLAHLSSLYLATAIAWLLLTFLHAPPLAGAQPLPWQLCDDTARNYTEGSAYQANIRVLANGIPKNASSSPGLFAKGAAGTPPDVVYALALCRGDTTNASSCASCVDAAFRNAQQLCAYNRRATMFDDPCILRYSDQDFLAYVTDNSGLYIAWNARNVSQPEVDPLEAAVLRLAMATADDAAADPVRRFGSREEVFNGTYPKLYSLAQCTPDMTATECRRCLDGLNVGFTPQYFPGHPGGRVFGVRCNFRFETYSFFSRPPLGPSPAPAPLGNTEKETHKISTVLVVIIPVLIVAAPLAIFIAWFYLWRRRRPAANTLQPNSASGDDIHNIDSLLLDLSTLKLATDDFAEHRRLGEGGFGVVYKGDLPEGQKIAVKRLSRTSRQGIEELKTELLLVAKLNHRNLVKLVGVCLEEHEKILVYEYMPNRSLDAILFDAEKSKELDWGNRFKIINGIIRGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDRSHIVTHRIAGTYGYMSPEYAMRGQYSIKSDVYSIGVLILEIVTGKTNSGSYNLEQDVDLINFTWEHWTKGTAVQVMDPSLSNRCPTDQFLKCIQIGLLCVQQKPADRPLMSAVNAMLSSGTVRVPSLSRPAFCAQEVGANSISSNDASFSELEPR* >Brasy7G104100.1.p pacid=40045232 transcript=Brasy7G104100.1 locus=Brasy7G104100 ID=Brasy7G104100.1.v1.1 annot-version=v1.1 METSLDMSLDDMIKNRNGRGRGRTGGRGQRGGRGRGDGQSLGRGDGQRLGRGFGHGRGAGTFRGRGVRVPAQRPLGVNTRSSSYAIAKSFNKTKDFAWTHDRFEDSMVAAGLSGIESGTKLYISNLHYGVTKEDLQELFSEMGHLKHCAVHYDNNRRPIGSAEVIFTRRSEALQALKRYNNVRLDGKEMKIEVIGANLGLAAVSAPRVNVIPGVKGRGQREVVMMPRTSGFGRGAADSSNFLPGWKRSSFAQRGGQARGRGRGSSFGRGRGRGVYVRKGPVEKSAEQLDKELDTYHSGAMNVD* >Brasy7G051700.1.p pacid=40045233 transcript=Brasy7G051700.1 locus=Brasy7G051700 ID=Brasy7G051700.1.v1.1 annot-version=v1.1 MPVPTAAPPGDFLAAAKGHSARGPSLVSTGEAARIALLLISLPDRCRHLDLPHPATYAAAVDTQRGACFDVWTAECCHHAVYRTW* >Brasy7G198400.1.p pacid=40045234 transcript=Brasy7G198400.1 locus=Brasy7G198400 ID=Brasy7G198400.1.v1.1 annot-version=v1.1 MARVVLLAVLVLCSAAALADASRVVIQDWRRPAAASNWLLQPPAEAPGAPSPYPARPSSGRESSTGGAVVDVLWFILKWANDVAFAAAAAARKDH* >Brasy7G141300.1.p pacid=40045235 transcript=Brasy7G141300.1 locus=Brasy7G141300 ID=Brasy7G141300.1.v1.1 annot-version=v1.1 MEALLAGSWGWAAAAATLGVLLAVALAGKGYKDRTTNAPPVVPGLPLIGNLHQLREKKPLKTFAKWSDIYGPIYSIRMGASSVVVLNSTEVAKEAMIEKFSSISTRKLPKAFLVITRDKTMVATSDCGDFHKMAKRYAMAGMLGSSAQRQFRDTRDMMVDNMVSTYHTLVAGDPHAPLNFRQVFRDELFRLSLVQSLGEDVSSVYVKEFGRVISKEEIYQATVSDMMMCVIEVDWRDFFPCLSWVPNRGFEKNVHAMESRRTAVMQALIHQQKKRIALGEARVSYLDFLLAEGTLTDEQLTMLVWEAVVEAADTTLVATEWAMHELAKNQEKQERLYREIQDVCGEETVTEDHLPRLPYLNAVFHETLRHHAPVVLLPPRFVHETTTLAGYVIPAGTEIIINVNGCNMNKKEWEEPEEWRPERFLEGRFEAADMYKTMAFGAGRRVCAGSQQATSISCAAIARFVQEFAWGAQGGRRGQGGHRPAHELQAAPPACASLTQREKVNDQLSSEVNWLSFRTWPNRMMAA* >Brasy7G131200.1.p pacid=40045236 transcript=Brasy7G131200.1 locus=Brasy7G131200 ID=Brasy7G131200.1.v1.1 annot-version=v1.1 MAGSGDAAKEYIAGSAAGVAQVVVGHPFDTVKVKLQAHNTKAHRKLYKNASHCTSRILVEEGIRGLYKGASSSFVGIALESSLFFGTYAHAKQLLQGKYEDGKPQLQVIIPSAACSGALISCILTPTELIKCRMQVQGKDAMHATWYSSPLDCAVKTLQSEGIRGIFRGGLATLFREAIGNAFFFCSYEYSRYWMHSYIDSPRFAGSSNLILAKDVGIGIISGGISGMAFWTTTLPLDVAKTIIQTDPSPLSSRNPFRVLSMVYRRAGLAGCYAGLGPTLARAFPANAAAIVAWEYSAKVLGIRGS* >Brasy7G185000.1.p pacid=40045237 transcript=Brasy7G185000.1 locus=Brasy7G185000 ID=Brasy7G185000.1.v1.1 annot-version=v1.1 MEFHFLLCFGGAKSSSEKSGSKLHLKIGISEWLIYLVKAAINAYNAQRPEGFRHSELKSINLRSSAQAKSLVD* >Brasy7G202600.1.p pacid=40045238 transcript=Brasy7G202600.1 locus=Brasy7G202600 ID=Brasy7G202600.1.v1.1 annot-version=v1.1 MGEGNTIASSARSSSSSSSSCRGRGAAGCGLALGRLVRKLRRRSKMLCTASSASRCCPLQYDPLSYARNFDHRGGGGFGAGPDDDDVRYTFASRFVLASSARQTQ* >Brasy7G066400.1.p pacid=40045239 transcript=Brasy7G066400.1 locus=Brasy7G066400 ID=Brasy7G066400.1.v1.1 annot-version=v1.1 MKPGSSKRGPPPPPVTLSPPGFVADRSEAAARVERLLQYRFHDRGLLELALTHQSFSGGAASHQRLEFLGDAALGLAFSDFLYRANPTLGPGELTLLRAANISTEKLARVAVRHDLYPLLRRACPPLDLLVGQFIELVKQELEDDFSTAPYGGSVVKAPKVLADIVEAIAAAVYMDCKSDIEKFWKVTRRLFEPLITVETMHEQPMSMLNELCQKQGMVPQFKESKKGEMTVIKIFVGGALVGMGSSEQRAIAKLNASRDAVSKLLGGPKQQVLTTGVGPGLVVEVEDLRECKQKLNEQCSKKHWPKPIFK* >Brasy7G029200.1.p pacid=40045240 transcript=Brasy7G029200.1 locus=Brasy7G029200 ID=Brasy7G029200.1.v1.1 annot-version=v1.1 MIHQSSVLLKEGLGHGKSVFDQRLRRSHTCCAVLITCSTERTLWGQPRHPKLSAVRHLLWTASHIKNLHFIGAFNFQMASVGSREKLVGGADRKGTIRSEAPKSLVCLPGGQHSAGNHIPKLQIFSQRLGRKPTFNVLDPSVKSSGHRDAGVPDKGKKDGDQIKHSLATGKSIICPEFCTRSIPQLNIHGCLTEIFGIMVVINLDQRPRPWFGLPPQPGPSASKSSARKLKFEDTEAAGLQRTTYSSPGQKTMTAINLFFPFQDECPAPVIHSSKHPFGK* >Brasy7G056700.1.p pacid=40045241 transcript=Brasy7G056700.1 locus=Brasy7G056700 ID=Brasy7G056700.1.v1.1 annot-version=v1.1 MLCSTSDQGRFSCAPGDCGSGALECSGGGAAPPCSLAGFTLAGSGGNDFYDVSLVDASNLPMVVVPQGGGSGCSTTGCVVEVGGEVGGEGRGLDGGGHGEGRYELLEQLAAAALSSTSGRCCSLSLTKAITNKGTEDKIFGPRAASHSRAFLLSLFLLTYSIPVHVLI* >Brasy7G193400.1.p pacid=40045242 transcript=Brasy7G193400.1 locus=Brasy7G193400 ID=Brasy7G193400.1.v1.1 annot-version=v1.1 MEKDALLVCTILGFLGSIAVTLGFVAHSYTSKSVGYNGTSCVYRSPPAMGCGILGVFLVLLNQVIVAAFTSDWCRCFCSCFPCWNKRRRRAHPPSKRRRLGIILSLFSWVLTIVAVVTFILGVAASSREEPPVSRTPASYACRSPEAIGNFPTASLSSLIAVVLGIASYVLLETAAEAEQNGAAGGTSTGQQQQSQIRCGPAAGGDQEAEHISLATAV* >Brasy7G191500.1.p pacid=40045243 transcript=Brasy7G191500.1 locus=Brasy7G191500 ID=Brasy7G191500.1.v1.1 annot-version=v1.1 MAMSRGGAARLLCLALVAAAASAARFRVGEQRGWSVPDGSAEPYNTWAGRMRFEIGDQLLFVYPKGSDSVLVVDAGAYGACNTTSYIAKFEDGNTVVTLNRSGPFNFISGNEASCKANQKLEVVVLAAAHTPPPAPVSPAPSSMPPSSASPPSMTPPSASPPSMTPPSASPPSSSMTPPMASPPSPGPSSAAPMPAPAAGPGASAPSPAPTGAAPAADSPPAPGAMAPSPSSSSSPDGPGGPASPPGGSQGAGSTPGSGAGSVTAGILGALAAGVGYAMLAI* >Brasy7G133400.1.p pacid=40045244 transcript=Brasy7G133400.1 locus=Brasy7G133400 ID=Brasy7G133400.1.v1.1 annot-version=v1.1 MHVGLPPLLPLSMTDGQSHAPPLPSCVRSCVSLLPHEQPLLLRTSSRVFLNLIPHISYMGISYPVFAFKFLFSWDGFPAIQPHQPTPRPGTSAGSDSRGVGQSLEQQAAGRASRSRHGYDCRIF* >Brasy7G217400.1.p pacid=40045245 transcript=Brasy7G217400.1 locus=Brasy7G217400 ID=Brasy7G217400.1.v1.1 annot-version=v1.1 MRFKGSTLRVLGNIEEEDRGVWSIVGGTGEFSYAQGDFTYKCTEKPSNGIYTREVHIRVLCPTFVKLTPPNKVGPVGGSGGKPYDIPEPPRLIESVTIRSGEVIDSIAYSYIDQAGKKQTAGPWSGNGGLTFTIVLAPSEAIKTINGTTGDFMGNTVVTSLTFVTNVTTYGPFGKANGTPFSVPDKDNNNANVMGFFAQAGSIVDALGFYLTPSVAK* >Brasy7G015000.1.p pacid=40045246 transcript=Brasy7G015000.1 locus=Brasy7G015000 ID=Brasy7G015000.1.v1.1 annot-version=v1.1 MVFVWLTAFFLVVALIVLVIFQLMCLADLEFDYINPFDSSSRINKVVMPEFVLQALLSALFLLSGHWAMFLISAPMVYYNYTLYQRRQHLVDVTEIFNHLSREKKRRLFKIVGLIVLLFLSLFWMIWSVLLEEDE* >Brasy7G164500.1.p pacid=40045247 transcript=Brasy7G164500.1 locus=Brasy7G164500 ID=Brasy7G164500.1.v1.1 annot-version=v1.1 MTSAPLPGRGRGRRGRGRGRSPRTPPPSGPQAPDPDAAASAVPEKNEVSASPGTSVAACAAASDTNMAAQQSVSSGRMMLLFGSTIEQKAGQQSEPDMLSATINLVQHQVEGGEADDMVVKDAVPARETQAYKQEVAVADMNEEAVENRTAKVKEPEVEDGGAEDMVKDVAAQSASDNNAAYEQGNEAHPLNEGEVDAEQEEEDPEEVVFEEDEEPVSEGQAAAQDKGENDRARVVEDERRVMSDMAKNRQLKKELEIFVGGLDRGAVEEDIRSVFGQVGDVVGVRLHKDLSTNRNKGFAFVKFATKEQVARALAEMKNPMIRGKRCGIAASEDNDTLFLGNICNTWTKEAIKKRLLDYGIEGVQSLTLVPDTQNEGQSRGFAFLEFSCHADAMLAFKRLQKPDAMFGHPERTAKVAFAEPIKEPDAEVMAQVKSVFIDGLPPYWDEDRVKDRFKAYGVIERVVLASNMSSAKRKDFGFVNFSTHEAALACIEATNNTELGDDGKSKVKVRVRLSNPLPKSQAVKGGMTGGFRIAYSGSGFNRPGRGFSRGRSAPRRAGFHGGKGFNNHAFSRGGRFNYAANNNSFEASSSDFHGRQGSGFRAGGRWQPSSVRHDSFNSGLGRGYPPSRRPSFEPEGDFGGLFDENPYYYADVGPSVKRPYSLMEPDPGYFEPGPPRVRPRFDHYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGNRYRDSFGMGSVHSRDYYGPGPAPDQHGRGTFPSHYRGGHSGGGYHY* >Brasy7G164500.3.p pacid=40045248 transcript=Brasy7G164500.3 locus=Brasy7G164500 ID=Brasy7G164500.3.v1.1 annot-version=v1.1 MTSAPLPGRGRGRRGRGRGRSPRTPPPSGPQAPDPDAAASAVPEKNEVSASPGTSVAACAAASDTNMAAQQSVSSGRMMLLFGSTIEQKAGQQSEPDMLSATINLVQHQVEGGEADDMVVKDAVPARETQAYKQEVAVADMNEEAVENRTAKVKEPEVEDGGAEDMVKDVAAQSASDNNAAYEQGNEAHPLNEGEVDAEQEEEDPEEVVFEEDEEPVSEGQAAAQDKGENDRARVVEDERRVMSDMAKNRQLKKELEIFVGGLDRGAVEEDIRSVFGQVGDVVGVRLHKDLSTNRNKGFAFVKFATKEQVARALAEMKNPMIRGKRCGIAASEDNDTLFLGNICNTWTKEAIKKRLLDYGIEGVQSLTLVPDTQNEGQSRGFAFLEFSCHADAMLAFKRLQKPDAMFGHPERTAKVAFAEPIKEPDAEVMAQVKSVFIDGLPPYWDEDRVKDRFKAYGVIERVVLASNMSSAKRKDFGFVNFSTHEAALACIEATNNTELGDDGKSKVKVRVRLSNPLPKSQAVKGGMTGGFRIAYSGSGFNRPGRGFSRGRSAPRRAGFHGGKGFNNHAFSRGGRFNYAANNNSFEASSSDFHGRQGSGFRGGRWQPSSVRHDSFNSGLGRGYPPSRRPSFEPEGDFGGLFDENPYYYADVGPSVKRPYSLMEPDPGYFEPGPPRVRPRFDHYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGNRYRDSFGMGSVHSRDYYGPGPAPDQHGRGTFPSHYRGGHSGGGYHY* >Brasy7G164500.2.p pacid=40045249 transcript=Brasy7G164500.2 locus=Brasy7G164500 ID=Brasy7G164500.2.v1.1 annot-version=v1.1 MTSAPLPGRGRGRRGRGRGRSPRTPPPSGPQAPDPDAAASAVPEKNEVSASPGTSVAACAAASDTNMAAQQSVSSGSTIEQKAGQQSEPDMLSATINLVQHQVEGGEADDMVVKDAVPARETQAYKQEVAVADMNEEAVENRTAKVKEPEVEDGGAEDMVKDVAAQSASDNNAAYEQGNEAHPLNEGEVDAEQEEEDPEEVVFEEDEEPVSEGQAAAQDKGENDRARVVEDERRVMSDMAKNRQLKKELEIFVGGLDRGAVEEDIRSVFGQVGDVVGVRLHKDLSTNRNKGFAFVKFATKEQVARALAEMKNPMIRGKRCGIAASEDNDTLFLGNICNTWTKEAIKKRLLDYGIEGVQSLTLVPDTQNEGQSRGFAFLEFSCHADAMLAFKRLQKPDAMFGHPERTAKVAFAEPIKEPDAEVMAQVKSVFIDGLPPYWDEDRVKDRFKAYGVIERVVLASNMSSAKRKDFGFVNFSTHEAALACIEATNNTELGDDGKSKVKVRVRLSNPLPKSQAVKGGMTGGFRIAYSGSGFNRPGRGFSRGRSAPRRAGFHGGKGFNNHAFSRGGRFNYAANNNSFEASSSDFHGRQGSGFRGGRWQPSSVRHDSFNSGLGRGYPPSRRPSFEPEGDFGGLFDENPYYYADVGPSVKRPYSLMEPDPGYFEPGPPRVRPRFDHYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGNRYRDSFGMGSVHSRDYYGPGPAPDQHGRGTFPSHYRGGHSGGGYHY* >Brasy7G164500.4.p pacid=40045250 transcript=Brasy7G164500.4 locus=Brasy7G164500 ID=Brasy7G164500.4.v1.1 annot-version=v1.1 MTSAPLPGRGRGRRGRGRGRSPRTPPPSGPQAPDPDAAASAVPEKNEVSASPGSTIEQKAGQQSEPDMLSATINLVQHQVEGGEADDMVVKDAVPARETQAYKQEVAVADMNEEAVENRTAKVKEPEVEDGGAEDMVKDVAAQSASDNNAAYEQGNEAHPLNEGEVDAEQEEEDPEEVVFEEDEEPVSEGQAAAQDKGENDRARVVEDERRVMSDMAKNRQLKKELEIFVGGLDRGAVEEDIRSVFGQVGDVVGVRLHKDLSTNRNKGFAFVKFATKEQVARALAEMKNPMIRGKRCGIAASEDNDTLFLGNICNTWTKEAIKKRLLDYGIEGVQSLTLVPDTQNEGQSRGFAFLEFSCHADAMLAFKRLQKPDAMFGHPERTAKVAFAEPIKEPDAEVMAQVKSVFIDGLPPYWDEDRVKDRFKAYGVIERVVLASNMSSAKRKDFGFVNFSTHEAALACIEATNNTELGDDGKSKVKVRVRLSNPLPKSQAVKGGMTGGFRIAYSGSGFNRPGRGFSRGRSAPRRAGFHGGKGFNNHAFSRGGRFNYAANNNSFEASSSDFHGRQGSGFRGGRWQPSSVRHDSFNSGLGRGYPPSRRPSFEPEGDFGGLFDENPYYYADVGPSVKRPYSLMEPDPGYFEPGPPRVRPRFDHYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGHRFDQYDQPFSGGNRYRDSFGMGSVHSRDYYGPGPAPDQHGRGTFPSHYRGGHSGGGYHY* >Brasy7G031600.1.p pacid=40045251 transcript=Brasy7G031600.1 locus=Brasy7G031600 ID=Brasy7G031600.1.v1.1 annot-version=v1.1 MVRFGKKLMADQVPEWRGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKERAILAEQPDISGITGLREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFSYRFTDYYVSSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSSALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIAHEESPSTAGEEEIEDQKYHFVSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFQPLIFSSIVLFLGNVCYAMAYDMNSLTVLIVGRLLCGLGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWRFKIYMVTFNQSTLPGWLMAVAWLLYLVWLSISFKEPNRAEVNDTPQHPPSGQRTDIDQVENGLAQPLLRDSESKQNEDDDEEEGDDSEESTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFKWNTSAVAIFLAILGLTVLPINAVVGTYISNMFEDRQLLMASQITLLVGIIFSFKVTSTYSVIQYVLSALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITAAGYLGIGSLLNVTLLPSLLICAASIACTFLTYNSLF* >Brasy7G152200.1.p pacid=40045252 transcript=Brasy7G152200.1 locus=Brasy7G152200 ID=Brasy7G152200.1.v1.1 annot-version=v1.1 MEEMQIVVTKSSPVLVAPSEPGTPVQLCLSSLDRGLVRLAMTSLLVYEPEQAIKEPVESIKRALSRALVHYYPVSGRLALAGDGEAHIVCSGEGQGVQFVSASASCALKDAGRQLKSKDLALDKSCGDGDAALLMMQVTEFSCGGFVVGVTWNHGLADGAGMGQFLQAVGELARGMPAPSVAPARSLGPSPSPTWVAAAAAEYRKYRTTFQPAKDSMAFLDITIPWGCIARARAGGERPCTVFEAVAALLWQCRTRAAINVRKLVGAADGYYGNCFVVQSAHAASGQVANGDAKDVAELIRRRKETIPDIFDGGGKGAEHHRPLGYSRLVVVSWRNIGLDAADMGRGGPARVMWQVEHTSAPGCVVCPPCRGDKDGVNVMSMCVRQEHADAFLRELAALNF* >Brasy7G163400.1.p pacid=40045253 transcript=Brasy7G163400.1 locus=Brasy7G163400 ID=Brasy7G163400.1.v1.1 annot-version=v1.1 MVTVERGSLSVSQLVSLLRLFVPSDQFEWQVSSTEDNVFKVQFPSKLELQRMIRFGTFNVPTSECKITFNEWSPKVNPNWLLQDVWVRIAGIPPTVKGDFLALWGLGSLLGKTKDVDMAFTRQHGVLRIFIGCVDYTCIPERKDVYIKDGLYRLTFQVEGPPREQGLGDEVMHDANGGDDEGDKKKDASEKSDLNDRSGKRAKNVDGGTSTSSSVGGSGGTVAPTSSSPTDGSNVVMIRIGSMETPVPVSAFSAPTSCSKLSAPRRLWADLVEEEMPCFGSAPPRVDMSRCEGGRPMGSVLSRSLVAAPANADIVAGDIADDARVGSAVDRSVAGAGMPARHGAAMKDLCSATVFDVRTGHEQVAPCFLLLRWGPRRGSVMWSRWLPRRLSFLRLKRPPPYRVRSLCLLC* >Brasy7G027500.1.p pacid=40045254 transcript=Brasy7G027500.1 locus=Brasy7G027500 ID=Brasy7G027500.1.v1.1 annot-version=v1.1 MYYFSRLGLVKEPSPGLGGGEGRALEYPPADRGEGFGAAAGLRREAEGRGQGFEAGERGEFAPNPTPISARPPFPSRPRRRSPSRGFRPRRRSPSRPSGAPVASTALPSTFPSFLRAAPLHLPLSSPQRFPLRHRARSSLKPKGDQESRRIRWLRGRIRLPSSQSPKGKRLSSGGDLGFLREDPLATAVAAARGGAGDRIWWRRPRIRWRRVRIRWRWLDERRGRGEAAAAG* >Brasy7G082800.1.p pacid=40045255 transcript=Brasy7G082800.1 locus=Brasy7G082800 ID=Brasy7G082800.1.v1.1 annot-version=v1.1 MAGGKEPIEVKFRLFDGTDIGPSKYDPSTSVTSLKEFILARWPQDKEVVPKTVNDVKLINAGRILENNKTLAESRVPVGEVPGGVITMHVVVRPPQSDKSEKQLSNSPKQNRCGCTIL* >Brasy7G207000.1.p pacid=40045256 transcript=Brasy7G207000.1 locus=Brasy7G207000 ID=Brasy7G207000.1.v1.1 annot-version=v1.1 MDSLSLRPSSLLLSAPCAAAARRRDHILPPFRPIQQNGKGRISLSIQGSRGPTVNLCKKFLDWKYSYHRMSHRPINTSANASGQSLQPETEARDAASIWKPISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVESMSDFSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPAVGVAIVSVFAAMSFGLGWVVGSPPLFWALFISFVLGTAYSINLPYFRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFSRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIQSFSVRLGQNKVFWTCVGLLEMAYGVAVLMGATSSCLWSKSVTVIGHAILATILWNSARSVDLTSKTAITSFYMFIWKLFYAEYLLIPLVR* >Brasy7G171600.1.p pacid=40045257 transcript=Brasy7G171600.1 locus=Brasy7G171600 ID=Brasy7G171600.1.v1.1 annot-version=v1.1 MANRPSVPKFGTWDSDNAGYTVYFEKIRENKGATAPPLHRPFNPEEEDPAAPRLIFTPAGSRPATSSGQRAAHHQQPAHAHQHRRAGSSSSVPSDHGGGRHHQSKFAPPPQYQPRPQAPAPGAHGHQPAAHGGDHGHHHRPAGHDGHHAKHSSRQQQPSSGSRARSASPRHSAQGRQRPTAVPRFGVWDEQSAAAQGFTVMFDNVKRHREVARGRGVPDVPRRRMPSPESYAAARRRSSSRQHTPFVSKMFGCFLQHPTTRD* >Brasy7G171600.2.p pacid=40045258 transcript=Brasy7G171600.2 locus=Brasy7G171600 ID=Brasy7G171600.2.v1.1 annot-version=v1.1 MANRPSVPKFGTWDSDNAGYTVYFEKIRENKGATAPPLHRPFNPEEEDPAAPRLIFTPAGSRPATSSGQRAAHHQQPAHAHQHRRAGSSSSVPSDHGGGRHHQSKFAPPPQYQPRPQAPAPGAHGHQPAAHGGDHGHHHRPAGHDGHHAKHSSRQQQPSSGSRARSASPRHSAQGRQRPTAVPRFGVWDEQSAAAQGFTVMFDNVKRHREVARGRGVPDVPRRRMPSPESYAAARRRSSSRQHTPFVSKMFGCFLQHPTTRD* >Brasy7G100500.1.p pacid=40045259 transcript=Brasy7G100500.1 locus=Brasy7G100500 ID=Brasy7G100500.1.v1.1 annot-version=v1.1 MAAKLLLALVLLATATVPFFFFHGVAAASTSPPLNGSSGGFSLRLVSNNHHEHRYTDLASLQRAKTEVRCRIAHRFAAGAASIRPYLCPPASMVYAVAVGVGTEHGYENYELEMDMAAGISWMQYAPCHPCLPQLNAVFDPAKSPTFSPVSGHNPVLCRPPYRALQDGRCGFSIAYRTGASAAGYLARDTFSFPTGDNNFQRLPGIVFGCVNRIAHFDTHGALAGVLGMGMGADGKPLTGFMRQLYHNGGGRFSYCPIVPGTTAYSFLRFGNDIPSQPPAGVHRQSMAALAPTTTSELYYVKLEGISVGALRVPGVTPEMFERDQHGHGGCAIDIGTKMTAIVRGHLQQNRARFAHSPGQHLCVHRTPAIEERLPSMTLHFVGGPWLCVKPQHMFMVVGSPTGGGEYLCLGLVPDAQMTVIGAMQQIDTRFIFDLHAKIPIVSFNPEDCHLDAGAH* >Brasy7G001900.1.p pacid=40045260 transcript=Brasy7G001900.1 locus=Brasy7G001900 ID=Brasy7G001900.1.v1.1 annot-version=v1.1 MAAVRVLSTRTVRPPPPETPCSPRVNNIPLASWDVAMLSCNYIQKGLLFPPPPPAMSSTAALVDHLAAALSETLADYYPVAGRFGTEKHSSGGGCSVSIDCGGQGVEMLHAVADGVSIADVVPPDADVPAVVESFFPLNGAVCHDGHELPLFAAQLTELAADGAVFLGFACNHALVDGAAFWDFLNAWARISRSSCSAEMALPPTSSRPRPFLERWSPSAASPIVLPCGNVADLIDRSPPPGPLRSRMLHFSADTLAALKDRARAELLAAGDAESLTRFQALSSLMWRCITSARNRTLPPDLTTKTTCRAAINNRGRLQPPLLPEYFGNCIDAVSTDSVSSSDLLLPGRHGWAAAAVGRAVAAHTDAEIRGKVKAWVEKPVVYTARWYDPQGTFMGSSPRFDMYGCDFGWGRPAAVRSGKANKVDGRVSLYPGREGGVDAEVSLTPEHMAALEMDHEFWAAVSPEGKAKA* >Brasy7G192000.1.p pacid=40045261 transcript=Brasy7G192000.1 locus=Brasy7G192000 ID=Brasy7G192000.1.v1.1 annot-version=v1.1 MSALFNFNSFLIVVLLVICTCTYIKMQFPAILNDRTGFRGFFWKAARIGERLSPWVAFGCFAMGVSTIFF* >Brasy7G184300.1.p pacid=40045262 transcript=Brasy7G184300.1 locus=Brasy7G184300 ID=Brasy7G184300.1.v1.1 annot-version=v1.1 MKPLYSRSRGRFKKLLLSIARYRAAAKPAAHMKEALPESPPRNPAWRCFSYDEIHRATNGFHRDNLAGKGGSSEVYRGTLEDGAAVAVKRLMGAPACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFAFSARGSVSAILHDGAAPAMGWEARYGIAVGTARGLEYLHKGCRRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPTEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEVMAGRKPVDGTHRSLLSWARPLLKEGKTEALVDPRIMTAGSGGGYDAEQARRLAFVASLCIRASATWRPSMSEVVELLEGAEIRRDRWAMPPEAEEGDDAEEPWSFDDLNEGTDDELDTPSSSSTSSTSTT* >Brasy7G121000.1.p pacid=40045263 transcript=Brasy7G121000.1 locus=Brasy7G121000 ID=Brasy7G121000.1.v1.1 annot-version=v1.1 MAAAQLRGSAAPGTARRWSAPSGAILRFAPLSTSRIPAASLHRVGAFDGLSASVAPKLLTTMCMKPKCTRIPIDHATAPVHTEDEIPEPNKTVIASEEIGTVQEVAPQQKSAIIHDFCLGIPFGGFLFSMGLVGFLFWRSPVSLTFGVAPGLAILALAVLSLKGWRSGKSSLPFILAQAAVAAAVAWKHCQAYTTTKKLLPWGFYAALSAAMICFYSYVLLAGGNPPPKKKASTVI* >Brasy7G186000.1.p pacid=40045264 transcript=Brasy7G186000.1 locus=Brasy7G186000 ID=Brasy7G186000.1.v1.1 annot-version=v1.1 MEIGGQYPASSCSKEHQKIYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRLGYLGFGEFVTAMQLVSLAQAGNEIAQDSLKRDDLSSLNPPVMEGLDVLLAKSKHLAKRVDPDIDGFPQAQPPSTNHWFSSKSSKKIPLTAVTSVIDGLKKLYVEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTLAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYEFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKNQMPTMMGKAKAQQRLIDNLQDEFAKVQREHHLPAGDFPYVEHFKDVLGGYSIEKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE* >Brasy7G124800.1.p pacid=40045265 transcript=Brasy7G124800.1 locus=Brasy7G124800 ID=Brasy7G124800.1.v1.1 annot-version=v1.1 MQHGFMGERGNESSGGFDIDDVEPPRWAQDELWSGSDMERACYCLCPATKRVAYEGTWTGRRFIGCGNDEGTTCHFFAWIDPERPPILQNALTALHDEIDELNFSKGAETQRLVERIRVLEVELWKTKNELAEEKIKVENGKKHFTKEKEKLLDEINSLGSKLMKLSV* >Brasy7G037700.1.p pacid=40045266 transcript=Brasy7G037700.1 locus=Brasy7G037700 ID=Brasy7G037700.1.v1.1 annot-version=v1.1 MAQGVDATRNLHDGHHVRRRAVLAFRLLGPPRGLRLQAGRRASGGEPQEAIRRVLLLQHCCVIPVHFLVMLLIQKKLPPDTARVASIVLPGMLLGLLAGAYAAGTYRPKRTDTNAYVLCLIAVLAVHIFFVIRMLPFQSSSDATSAVAEKQGSRTNAWVEASRKVKSLVLLLATLVATVTYQGGLNPPGGVWADDRDGHSAGDPILLSTHPVRYKVFFYCNSAAFEASLSAIVIIMLQNGKVVKSHTPLLVSMILDMVALLGAYICRWDQEPHLRGRHGRRRHGLRGDTSDVDHSTNAEDGHLHKTEEAAQAAPAGRDRGRHHQLPGRAQPAGRHPASRRQRGALGTLPSTVLGVLLLQHGELGGNHRAHLLLPQPQPVPAHNKELRTLRLHSAGLACAHGCLRCRECKGRGDICIRICAHRHGCPRYSRPHSPVSLIPAGQG* >Brasy7G111300.1.p pacid=40045267 transcript=Brasy7G111300.1 locus=Brasy7G111300 ID=Brasy7G111300.1.v1.1 annot-version=v1.1 MGNALGGRRKGAKVMQLDGTAFRVKPPAFAGTVLNEHPGFQLLESEQVKLLGVRARPLEPDAPLRPGRLYFLVALPRPTAPPRRAWSGALHVGARERLESLMLTRRSTSDLTFPTTAPASPLSTASEGGPVQLRMRLPKAQVAKLMGESRDAAEAAAKIMQLCAANGALATPERPSPERSPRFVPTPDWGTAGSGELAQTPEMSPRFVPTPDWGTGTGAGRFARTPERSPRFAVTPEWGSRFMMPTPESGGAKTLDRWTALSRTPEYYASPDAKASRKEKRTRFVALPDEVIA* >Brasy7G102900.1.p pacid=40045268 transcript=Brasy7G102900.1 locus=Brasy7G102900 ID=Brasy7G102900.1.v1.1 annot-version=v1.1 MASTTIAANVPAVGPIPFKDVSCDQATAIPAKRSPEEEHGDLVISALSSKLVPGTQLKLRCYQGFWLPERFVPAAVGLQRRFAPRLDDVIIASLPKCGTTWLNALAFATMACRSYHPGGADHPLLRLTPHQCVPFLDALFAGGREAQLESIPSPRLMYTHMPLAMLPVHRGSCRAVYVCREPKDMAVSLWHFHRSTHQELSFANTVESVFSGGNTYGPFWDHILGYWRRASADQSQSQGVLFLRKLARFVGLPFSEAKEEAGVVGRIVELCSLERLRSLEVNKTGYMDGLNFPRKALFRKGVAGDWVNHMAPEMARRLDEIVADEFRGSGLSFL* >Brasy7G063800.1.p pacid=40045269 transcript=Brasy7G063800.1 locus=Brasy7G063800 ID=Brasy7G063800.1.v1.1 annot-version=v1.1 MASKSMRGGARGRGEAAEETPALPLPLPPRLAAASAMSSSSPASIRALLARTNAGSGGADCQSPRSLLSRILQRGGDHGGKGSGGGFGCRVRLPRRARMEESKDGGASEKSSDDGARVKVVERPAPELPAETPRSSLGRKKPEEELVTMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSDMRKETAAKEKAALALLPPAQQTGSSSSTTCSSSSSSQQSNGGDGGSATTTVIKDPIARPGAADDTLSDCSGGRRAVVVTAAQMEAELRVELGRLQIQQRAAPARGLELPPLLQVKTKQRSHVPAADSPPRSCVDDGVVEDDDDEEPGNDDDDEEEEEEEEGHGGRGGAGDHSPQHGGVSARALERRLHEVLQRRQQERIVELQAALDGAQRRLQEREREVVWWRDAAKLVSHRRDESRRIRDADRPPVLRARPRAA* >Brasy7G039700.1.p pacid=40045270 transcript=Brasy7G039700.1 locus=Brasy7G039700 ID=Brasy7G039700.1.v1.1 annot-version=v1.1 MQRHDYEQTDSREANDRSKLRTELGFSAKNGFFCNFALSLSASAGPTAAAAALSLPRCCSTIYGWVLASVATPFVLGAPLGEVGARWKRHARPRLGLLRALPCSSASAESVPTRPQHPNDEHVSKIQSWSLAGSPSSLISSFAALLSPAAASEVRNAPSLQSLTHAAHPPSRWAPRPHSPPPHLATPRNEDSDSGTNWTPAATDLVLNPLIRPPPLVWTHHLQLSCLVKHDPPIVLAKVDANDEKNEPLLLPSSKYEIQCFQTLRIFRNQGKRIQEYKGPRERESLIT* >Brasy7G039700.2.p pacid=40045271 transcript=Brasy7G039700.2 locus=Brasy7G039700 ID=Brasy7G039700.2.v1.1 annot-version=v1.1 MQRHDYEQTDSREANDRSKLRTELGFSAKNGFFCNFALSLSASAGPTAAAAALSLPRCCSTIYGWVLASVATPFVLGAPLGEVGARWKRHARPRLGLLRALPCSSASAESVPTRPQHPNDEHVSKIQSWSLAGSPSSLISSFAALLSPAAASEVRNAPSLQSLTHAAHPPSRWAPRPHSPPPHLATPRNEDSDSGTNWTPAATDLLKLMPTTRRTSRFCCQVPSTRSNASRPLGSSGTRGSAFRNTRAPGRENH* >Brasy7G194400.1.p pacid=40045272 transcript=Brasy7G194400.1 locus=Brasy7G194400 ID=Brasy7G194400.1.v1.1 annot-version=v1.1 MGPCLGKNCRGAPMPERDPTCRGVSNPSPWPPERDSTCCGAVSVDPSPWPDLLPDLVGRIHSRLPSVDDRLSFRAVCRGWRLLRHGSTSATASTKASPTETAAASPRPPMTATPSAAGSSTSRNSSTIGNTMLPDPRPFPRRPRHRGPVPALLPAPSSSATATRHGSTWAAPPSWTRSSCVCSPHLMVALFHGPAFDAAAPVNIACFRPGQRQPPPRPLSWSTPATASKPGAYHPRPQRNSRLEVEQERNHLVASSDKRKLFMVRWGIPRCEIAGHGRRRALDLQVFEADLDRGQWTEVNKDGIKGQNLYVSRAGSSRAFAAEAGPSDEHHGRVFVLGKEWASAVAGFCKCYHCEKLVKNDNIPSYCVYDMMNGEISQVSLDGGDHGDTPTKSCSGPEWFFPSYVEV* >Brasy7G195600.1.p pacid=40045273 transcript=Brasy7G195600.1 locus=Brasy7G195600 ID=Brasy7G195600.1.v1.1 annot-version=v1.1 MDNQGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGKRSGVRYVTGGLKVYYVPWRPFLMQNTLPTLWLTFPIIRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPNRLSCGEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLVSGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPEDMVRAVKKAIDILPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSSNTNLLDRLPRYLTCGAWAGKLFCLVMIINYLVWCLLEFLQPAEGIEEVPDIGPLLAHLDPVDDPCEAQGN* >Brasy7G021000.1.p pacid=40045274 transcript=Brasy7G021000.1 locus=Brasy7G021000 ID=Brasy7G021000.1.v1.1 annot-version=v1.1 MSTVTGAYLDRKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKAHLNPTGQALIVSTVAGMAYFVAADKTILSLARRHSYEQAPEHLRDTSFKLHGAAAAAAPPAPAACPGPSSDIVQAVMAMSP* >Brasy7G160400.1.p pacid=40045275 transcript=Brasy7G160400.1 locus=Brasy7G160400 ID=Brasy7G160400.1.v1.1 annot-version=v1.1 MGTYRRLGGRERPDPVGRGRGLPDPALSVIGGRRQKGGGASGGGGRGARAPAAGGGGRGARAPAAGWPRKAGSGGARPGAAGSGPVRHRWPASEGWGRERRRRERGSGARGWRRRERGSGARGWRRRHYYKTGYI* >Brasy7G238400.1.p pacid=40045276 transcript=Brasy7G238400.1 locus=Brasy7G238400 ID=Brasy7G238400.1.v1.1 annot-version=v1.1 MEHDGKNLTVTEAVRSVQLLKIDGYCATATMTSTEFIRSGWNIAGHEWEVHFYPDHCEFLEDEDVMPGFDEFVEWVALKLILVSKPQRDKLWVNLSCRLVCPGQHLGPEKSVSHVFHSRSKCSPQVLLVPKHKVPSSGYLVNDSVTVECTITVIGEDEEQPLPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKVILAARSPVFKAMFFRGMDETSSESVVIEDMEAAVFRSMLHFIYTDVAIELDGDQEPQAAATMAQHLLAAADRYGLNRLKLICECKLSGTIGIDTAATTLALAEQHHCSLLKAKCLEFVTKSPETLDAVLATDGYAHLLSSCPLVLAELLRAARGSRSDGLDDAFH* >Brasy7G030900.1.p pacid=40045277 transcript=Brasy7G030900.1 locus=Brasy7G030900 ID=Brasy7G030900.1.v1.1 annot-version=v1.1 MGLQEEFEEHAEKAKTLPDTTTNENKLVLYGLYKQATVGPVNTARPGFFDLKGKAKWDAWKALEAKSKEEAMTDYITKVKQLLEEASAATASTS* >Brasy7G084700.1.p pacid=40045278 transcript=Brasy7G084700.1 locus=Brasy7G084700 ID=Brasy7G084700.1.v1.1 annot-version=v1.1 MGSGSFLKVVAKNFDILAGPVISLAYPLYASVRAIETKSQVDDQQWLTYWVLYSCITLFELTFAPVIEWLPFWPYAKLFFNCWLVLPCFNGAAYVYQHFVRPMFVNRQIVNIWYVPRKDKLSKPDDVLSAAERYIELNGPEAFEKLISKSTRTSNSRSTRRSILQEAEAGKIGKAERESWGENPFYDKNYRH* >Brasy7G023300.1.p pacid=40045279 transcript=Brasy7G023300.1 locus=Brasy7G023300 ID=Brasy7G023300.1.v1.1 annot-version=v1.1 MATEQPSEKTNPPPEKKAPLPKVVTLNKALKLVTIYLEAMDAVLLCREASVAMAIHAAQTWVDKMSAVEPDELNDKDFEGRPSGLGLGAKVAPNLKRAAPTDPVERRLLGKVNAQKRRSAEEENKNAQEVNESSDDDSGDPEGRTSAFSKKRAMPSVTSMPLGKKAK* >Brasy7G023300.2.p pacid=40045280 transcript=Brasy7G023300.2 locus=Brasy7G023300 ID=Brasy7G023300.2.v1.1 annot-version=v1.1 MATEQPSEKTNPPPEKKAPLPKVVTLNKALKLAQTWVDKMSAVEPDELNDKDFEGRPSGLGLGAKVAPNLKRAAPTDPVERRLLGKVNAQKRRSAEEENKNAQEVNESSDDDSGDPEGRTSAFSKKRAMPSVTSMPLGKKAK* >Brasy7G023300.3.p pacid=40045281 transcript=Brasy7G023300.3 locus=Brasy7G023300 ID=Brasy7G023300.3.v1.1 annot-version=v1.1 MDAVLLCREASVAMAIHAAQTWVDKMSAVEPDELNDKDFEGRPSGLGLGAKVAPNLKRAAPTDPVERRLLGKVNAQKRRSAEEENKNAQEVNESSDDDSGDPEGRTSAFSKKRAMPSVTSMPLGKKAK* >Brasy7G056900.1.p pacid=40045282 transcript=Brasy7G056900.1 locus=Brasy7G056900 ID=Brasy7G056900.1.v1.1 annot-version=v1.1 MAGMLPGVECARRRRMWQGGGGGGADPLAPGATRRFSFCLYAAGHGHAGGAAVSSGGKQRSGAMEPTMHGWALDSNAREAKQRLDQKLRSSNRADAAIKRHHSTGSIKLSRANNGGGEGSTMGVQREVYSKKGVMRRLMRWGGRPLRWEAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWNCALPWLEGASHSCPFCRAAVDQAAASS* >Brasy7G056900.2.p pacid=40045283 transcript=Brasy7G056900.2 locus=Brasy7G056900 ID=Brasy7G056900.2.v1.1 annot-version=v1.1 MAGMLPGVECARRRRMWQGGGGGGADPLAPGATRRFSFCLYAAGHGHAGGAAVSSGGKRSGAMEPTMHGWALDSNAREAKQRLDQKLRSSNRADAAIKRHHSTGSIKLSRANNGGGEGSTMGVQREVYSKKGVMRRLMRWGGRPLRWEAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWNCALPWLEGASHSCPFCRAAVDQAAASS* >Brasy7G107000.1.p pacid=40045284 transcript=Brasy7G107000.1 locus=Brasy7G107000 ID=Brasy7G107000.1.v1.1 annot-version=v1.1 MTTLKDVATRKPILATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNMVSSLSLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL* >Brasy7G071200.1.p pacid=40045285 transcript=Brasy7G071200.1 locus=Brasy7G071200 ID=Brasy7G071200.1.v1.1 annot-version=v1.1 MWFHSHDTCPLCRAPVGSDAGAVGLVAAESLPRVPREDPAVVEFPMFPTNILFWGTHDDVTNTGHGFPPAPAPPIAAASTSSSASARRMENLVIDIPPRPVAVGASSSPLPASRMPGTAADDLRSPLSARLRSLRRLLSRGKHAVVGTSSSSPRGGDVEQGLSRADAPRPPKTPKTPPSSN* >Brasy7G171200.1.p pacid=40045286 transcript=Brasy7G171200.1 locus=Brasy7G171200 ID=Brasy7G171200.1.v1.1 annot-version=v1.1 MRSCLTQARAICSCKCFYRCIPVDCRSMDDIGQHCLPSPHPFSMCKSEAAAFGYPCEDHKVTTEDGYILSLKRIPHGRFESANSTNNMRPPVLLFHGLMVDGVSWLLGTPKQSLGFLLADGGFDVWFANTRGTNTSRNHTSLSPKDPAYWNWTWDEIAAYDLPSVLELVYNHTGGQKVHYIGHSLGTLIILAAFSEHKLLHLVRSAVLLCPIAYLSKTKSKLTRLAAEIFLAEAFHFLGYHEFNPVGPVAREILIQVCGNPEIDCYDLFSAVAGPDCCLNTSTICAFLQHAPQSTSIKNLVHLSQMVRHHGVRRYDYGNAKDNMKHYNQPRPPLYNLSSIPTHVPMFLTHGGQDFLGDVPDTRHLLRTLVRSHDSDNIEVLYVPDYAHADFVIGFNAPQLVYGPMVDFFQRH* >Brasy7G051200.1.p pacid=40045287 transcript=Brasy7G051200.1 locus=Brasy7G051200 ID=Brasy7G051200.1.v1.1 annot-version=v1.1 MAAAATGARAPMASSSGLDLGKIVPPAGFRRAVPGAAALRGRSASGSRGLAFSVPSSNGAAAQSSLSDSEKKGPVVMEIPLEDIRRPLMRTRANDPSKVQELMDSIRVIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR* >Brasy7G005600.1.p pacid=40045288 transcript=Brasy7G005600.1 locus=Brasy7G005600 ID=Brasy7G005600.1.v1.1 annot-version=v1.1 MKTILASETMEIPEEVTVKVAAKMITVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITSGNKAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE* >Brasy7G208300.1.p pacid=40045289 transcript=Brasy7G208300.1 locus=Brasy7G208300 ID=Brasy7G208300.1.v1.1 annot-version=v1.1 MARLPPPQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDTEIEDAE* >Brasy7G030600.1.p pacid=40045290 transcript=Brasy7G030600.1 locus=Brasy7G030600 ID=Brasy7G030600.1.v1.1 annot-version=v1.1 MADKEEGSMEAEKWLLTPCTAPKSTEGSVAMADKEEEGSMVAEKWMLRPCTAPKSTDGSVAGDEPSNKRKAAVAAEGVCAAKAADEPGSFDPFGSQRRASAIDKILREAKLPAAAAAVNQPGVDSSAAPGKGRKYRLSRAEISNIIVLKPEPEPIADKDYLDDLAEFFPAEWIQERKLAHARFAEHDRKTYQEWKEFRQEVIKDLKEKGYYEVDEEYYANREKVIALAQEEWKKKDFSGFVVATEEEELQALKEGSYKPYALPDEDDDLLDELPSDDEDLIFRGFHGADDAHKVAAVDQSS* >Brasy7G196800.1.p pacid=40045291 transcript=Brasy7G196800.1 locus=Brasy7G196800 ID=Brasy7G196800.1.v1.1 annot-version=v1.1 MSNILFTKKFLAKLTSIIWKFWWTGVQEGIQTKPLCLRAWEDICKPKKEGGLGIKNIEATNISLICAAAWRLAQEPNSMLALILRAKYHPGTTIWRAKRTVPKSAFWASILKVWYHIENSCFYQIADGDISIWSTPWCDIWKDIHNHLIIQSSDFVYPAVIKDLWVPGTKTWNTNLIVNLFSQQASTIILNTPILQTNGKDILCWKHSISGTCTTKSAYKVTILEATTGNSIRQNVSQQDLSLLKAIWSSKTLIPRVQLFAWRLVRKALPTGKRAGKYSKHISKLCSRCGLVEDEIHLFFLCPFSKAAWFSAPWFFRTEIIAANNQSIVSIISFLLNSGHPHNSIQMIFTFLTSFSKRSLLLPYRFTKLHRLLLTAQV* >Brasy7G181100.1.p pacid=40045292 transcript=Brasy7G181100.1 locus=Brasy7G181100 ID=Brasy7G181100.1.v1.1 annot-version=v1.1 MQYCNWCIWIRGKQCLPQRIKNLKEVDNISEGLEESMCSSKGMEMRVGIQDGLSIEEEYVNTLRTRSNMRFLLNKQEYEEEMEDLLQPQQDLILPMLHKMMQEIKSTELGLAMTGYFDASTEASEICRQLLRNIKNTQSNYQSMDNFLASILGCTTATSSTPLALETFPVRSNPFSTTTRSKFRQIHDKYSSILQTIKSSHKKVAKKLKIMKVIKRLSRACLIIACGAVAIGITAHLLFFSLLVGSAVMGLCPFVLKRRITRLKRSKTKSLRQLQEQLDTVAKGTYVLGRDFDTVSDLVVRLSDGIERENTMAMYCMEMVDEKFPVQEMVMELRRSCSISKRLAGELEEHVGLCLATIYRARDLVIEEMSKQA* >Brasy7G045400.1.p pacid=40045293 transcript=Brasy7G045400.1 locus=Brasy7G045400 ID=Brasy7G045400.1.v1.1 annot-version=v1.1 MAEEEAPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWHQAVGRSLSSRRRLSFAGQRTGDDFTTRLVRAAVNLRDLDISRSCWGCQITDQGLLKVSSAACVPNLTSISLWGLARITDKGVVHLISRARSLQHLNIGGTFITDESLYAVANSCANLKSIIVWSCRHVTEAGLVAVVRRCPELECINVGGMRVSPESFAGLVSISPSLRIRSIPQILNADVLQVA* >Brasy7G045400.2.p pacid=40045294 transcript=Brasy7G045400.2 locus=Brasy7G045400 ID=Brasy7G045400.2.v1.1 annot-version=v1.1 MAEEEAPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWHQAVGRSLSSRRRLSFAGQRTGDDFTTRLVRAAVNLRDLDICWGCQITDQGLLKVSSAACVPNLTSISLWGLARITDKGVVHLISRARSLQHLNIGGTFITDESLYAVANSCANLKSIIVWSCRHVTEAGLVAVVRRCPELECINVGGMRVSPESFAGLVSISPSLRIRSIPQILNADVLQVA* >Brasy7G165200.1.p pacid=40045295 transcript=Brasy7G165200.1 locus=Brasy7G165200 ID=Brasy7G165200.1.v1.1 annot-version=v1.1 MEPRRDAVGSAAQRAMHVPSTSSSNTSRVPEYNVPGTVKPVLNYSIQTGEEFALEFMRDRAISQKHLVPGTSGDKNAAGSGYMDLRGMLGACNTGSETGSDVFILTAVDNQRQKEPERKSLAQSQNRGRHTSTRSVPQALSGDGSSRGLSHGYASSEASDTSRRIKFLCSFGGKILPRPSDGKLRYVGGETRIVRISKDISWEELKQKTISIFNQPHVIKYQLPGEDLDALISVSSDEDLRNMMDEFGMIEIEEGSQKLRVFLFSSLDFDDMGFNLGSTDGDSEIHYVVAVNGIDVGFGKPSSGHGLTSTSVSMLDQFININTDNDQSNPNRDRSGFHGMHRPSFAPSATIPTTTPPSLSSDYTANMRSNQGHEVQYAQDSSEKFYDNNERRISMPLSFPSDFGGTSQYAAHSGTASLATSDQRSYQDGFMIQGSVNDTKQASENTWHQKKEVDYFQSLKNLSTPAQQKDLPVSNSMHLEVPPASFAQESLTSFLQPSDSGKSLEIHMAPKATSVTHGSEFNEDDRQSSGGAFVSGCSDFECDMTDHGIENPQSDAGRTFHSERIPREQAEFLNRLSKSDDSGSQFLILQSQSGVANESTAEAADSVDGAEKSNLGAPSLNLNDPSSDDCLVQFEKNFAQAVPQPSQFGLIIPPEEADAKRISENHVVEQHASEKRALDGPIINTEKIMNSAEKTPAKGNLKVTPANRIQSAKKQQGSDAAMARRVSWEAPKTVAPNDVKHDSAVPSSAGNTGAVPDGESVASNMENRDIFVDINDRFPPDVLSDFFAKAKDAAQSSTPFNGPVLSLNMPNYEPQSWSFFRNLAKDEFPNKNSDQGLAKIDEGIYTFTEADNDAIGMTALNPKFDFDAEKKAEPATPVVDPSNMPHASSHTDHGPTMEKSFEAFQVDNPYAPMGESMRPPAMEFEEPKFEEDKTVGHVMDASLRDSDFEHLQIIKNDDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATLTEFMVNGSLRHVLQRKDKSPDLRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPANCDPEWKRLMEQCWSPDPAQRPAFTEIAGRLRSMSAAANQARAAAAAAK* >Brasy7G064500.1.p pacid=40045296 transcript=Brasy7G064500.1 locus=Brasy7G064500 ID=Brasy7G064500.1.v1.1 annot-version=v1.1 MALLAAARRLPRSLRLLNPRRFTTSSSSPSFGNTETSSAPVWDPQSPVRTPPDEQFAAWVTRLRPGFTACDLADAINSEQDPDLALALFRWAALRPGFRHAPASYLAALTAASSGKRPVAAENLIHDILAGACGPDLQLFNACLRFCCDRRSLFPVAFDMFKKMSALPASAACRPNVETYTLLLSAVVRRVRRPPASLVYLHAIRSLSRQMKASGVVPDTYLLNLIIKAYGRCLEIDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRTDKGMAYLQEMREKGFVPSGGVYMTAVAGLALEWRFEESRQVLLDMLDSKRKPDMITYRTLLEELCRAARTEDAFVLLEELKERKRGALDQRMYSELLDGLHWISQPHQGNLPRRDRGSASDDRGSDD* >Brasy7G236100.1.p pacid=40045297 transcript=Brasy7G236100.1 locus=Brasy7G236100 ID=Brasy7G236100.1.v1.1 annot-version=v1.1 MACSERQGNSIGKNAASSGARKRKRRKLDAEVNQVDQVCEQCNSGLHGDAMLLCDRCDKGWHLYCLSPPLDTVPPGNWYCSDCMNSDRDCFGFVQRRKTCLLDTFQRFDERVRRRWFGQRNPSRVQVEKQFWEIVEGKAGELEVMYGSDMDTSLYGSGFPRLSDPVPPSVDPELWQKYCKSPWNLNNFPNLPGSVLRTVQDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKWWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSLMPPRSNPNFIGSEEDPMCIICRQYLYLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKALSGENIKQSLFLLNDDPVPSKQVKDQYISYAQLAEDWLSKADHILHMPFLDTAYSTALEAAEQFLWGDHDMDSVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDKSSEKVNYVEIEELVAVRCKPSCEPSLKQLQAYAEKGKMMISEINIALSSLSTVERLETLYSQASEFPVELTETSTLSYEISFSKSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKLWNEAESLRMRCQSYLQDSPVLKELESFLLAFDGTKFSIPELDLLKQHRSDASSWASHVNNILGKLFERNDYHNIVEELTVILKDGKSLRVKVDELPIVEKELRRYFCRKQASEALAIQTSLECVKEILIQASILTIEEEQLFVDLSQLLKNATAWEEKSRRMLEKSASLSEFEDHIRCSEDIKAILMSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVFLKVDDLKDLICQPGSMKVILDTSALNSVLSDVEEWEHNSLTLLSNLRTLLHFNVIGSTVDPLERNLEELRNKVSEEIESGLSLGFELKLLNELKDFLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLRNSGKSVKPKLEKVENILAEHQEIAVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCCEMDMVVLGIEKIDGWFNQCRSTLSPDGNVNGSLCSMLLQISRSLDNASLLYVEDWKKNGFCAICSCDMGDDVTSRCIICQHQYHSSCVEPLLASKRTSREYICPFCFSLESGDLLEKGIQEKMSKGNRPPLSALTELRSFTKGFYAGIEELDLLGEIVKKGCDFKSHLMQILHDADSYNGKDLRVMCKPLLVALKATTAAGLYDQELNRNIELVLRRYSWKKQIHKISCGGKKVSIQHVMRLDKEGSNLEISGEDFFKLEICKIKEASQQWLAKAEKVAFDCGELPLDLVYELIIEGKKLSVHVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINLCGPPPETFYCPACRPNNGEGFILLPRSASEEDRSSTEAGPHTPPASCDESEILEGNKRRSNPRVKSQIKVDLVRLLRCDSDIDNTWRDSKRVLQNRTARRRSDFVGLV* >Brasy7G236100.2.p pacid=40045298 transcript=Brasy7G236100.2 locus=Brasy7G236100 ID=Brasy7G236100.2.v1.1 annot-version=v1.1 MACSERQGNSIGKNAASSGARKRKRRKLDAEVNQVDQVCEQCNSGLHGDAMLLCDRCDKGWHLYCLSPPLDTVPPGNWYCSDCMNSDRDCFGFVQRRKTCLLDTFQRFDERVRRRWFGQRNPSRVQVEKQFWEIVEGKAGELEVMYGSDMDTSLYGSGFPRLSDPVPPSVDPELWQKYCKSPWNLNNFPNLPGSVLRTVQDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKWWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSLMPPRSNPNFIGSEEDPMCIICRQYLYLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKALSGENIKQSLFLLNDDPVPSKQVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDKSSEKVNYVEIEELVAVRCKPSCEPSLKQLQAYAEKGKMMISEINIALSSLSTVERLETLYSQASEFPVELTETSTLSYEISFSKSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKLWNEAESLRMRCQSYLQDSPVLKELESFLLAFDGTKFSIPELDLLKQHRSDASSWASHVNNILGKLFERNDYHNIVEELTVILKDGKSLRVKVDELPIVEKELRRYFCRKQASEALAIQTSLECVKEILIQASILTIEEEQLFVDLSQLLKNATAWEEKSRRMLEKSASLSEFEDHIRCSEDIKAILMSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVFLKVDDLKDLICQPGSMKVILDTSALNSVLSDVEEWEHNSLTLLSNLRTLLHFNVIGSTVDPLERNLEELRNKVSEEIESGLSLGFELKLLNELKDFLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLRNSGKSVKPKLEKVENILAEHQEIAVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCCEMDMVVLGIEKIDGWFNQCRSTLSPDGNVNGSLCSMLLQISRSLDNASLLYVEDWKKNGFCAICSCDMGDDVTSRCIICQHQYHSSCVEPLLASKRTSREYICPFCFSLESGDLLEKGIQEKMSKGNRPPLSALTELRSFTKGFYAGIEELDLLGEIVKKGCDFKSHLMQILHDADSYNGKDLRVMCKPLLVALKATTAAGLYDQELNRNIELVLRRYSWKKQIHKISCGGKKVSIQHVMRLDKEGSNLEISGEDFFKLEICKIKEASQQWLAKAEKVAFDCGELPLDLVYELIIEGKKLSVHVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINLCGPPPETFYCPACRPNNGEGFILLPRSASEEDRSSTEAGPHTPPASCDESEILEGNKRRSNPRVKSQIKVDLVRLLRCDSDIDNTWRDSKRVLQNRTARRRSDFVGLV* >Brasy7G236100.3.p pacid=40045299 transcript=Brasy7G236100.3 locus=Brasy7G236100 ID=Brasy7G236100.3.v1.1 annot-version=v1.1 MACSERQGNSIGKNAASSGARKRKRRKLDAEVNQVDQVCEQCNSGLHGDAMLLCDRCDKGWHLYCLSPPLDTVPPGNWYCSDCMNSDRDCFGFVQRRKTCLLDTFQRFDERVRRRWFGQRNPSRVQVEKQFWEIVEGKAGELEVMYGSDMDTSLYGSGFPRLSDPVPPSVDPELWQKYCKSPWNLNNFPNLPGSVLRTVQDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKWWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSLMPPRSNPNFIGSEEDPMCIICRQYLYLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKALSGENIKQSLFLLNDDPVPSKQVKDQYISYAQLAEDWLSKADHILHMPFLDTAYSTALEAAEQFLWGDHDMDSVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDKSSEKVNYVEIEELVAVRCKPSCEPSLKQLQAYAEKGKMMISEINIALSSLSTVERLETLYSQASEFPVELTETSTLSYEISFSKSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKLWNEAESLRMRCQSYLQDSPVLKELESFLLAFDGTKFSIPELDLLKQHRSDASSWASHVNNILGKLFERNDYHNIVEELTVILKDGKSLRVKVDELPIVEKELRRYFCRKQASEALAIQTSLECVKEILIQASILTIEEEQLFVDLSQLLKNATAWEEKSRRMLEKSASLSEFEDHIRCSEDIKAILMSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVFLKVDDLKDLICQPGSMKVILDTSALNSVLSDVEEWEHNSLTLLSNLRTLLHFNVIGSTVDPLERNLEELRNKVSEEIESGLSLGFELKLLNELKDFLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLRNSGKSVKPKLEKVENILAEHQEIAVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCCEMDMVVLGIEKIDGWFNQCRSTLSPDGNVNGSLCSMLLQISRSLDNASLLYVEDWKKNGFCAICSCDMGDDVTSRCIICQHQIEELDLLGEIVKKGCDFKSHLMQILHDADSYNGKDLRVMCKPLLVALKATTAAGLYDQELNRNIELVLRRYSWKKQIHKISCGGKKVSIQHVMRLDKEGSNLEISGEDFFKLEICKIKEASQQWLAKAEKVAFDCGELPLDLVYELIIEGKKLSVHVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINLCGPPPETFYCPACRPNNGEGFILLPRSASEEDRSSTEAGPHTPPASCDESEILEGNKRRSNPRVKSQIKVDLVRLLRCDSDIDNTWRDSKRVLQNRTARRRSDFVGLV* >Brasy7G236100.4.p pacid=40045300 transcript=Brasy7G236100.4 locus=Brasy7G236100 ID=Brasy7G236100.4.v1.1 annot-version=v1.1 MACSERQGNSIGKNAASSGARKRKRRKLDAEVNQVDQVCEQCNSGLHGDAMLLCDRCDKGWHLYCLSPPLDTVPPGNWYCSDCMNSDRDCFGFVQRRKTCLLDTFQRFDERVRRRWFGQRNPSRVQVEKQFWEIVEGKAGELEVMYGSDMDTSLYGSGFPRLSDPVPPSVDPELWQKYCKSPWNLNNFPNLPGSVLRTVQDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKWWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSLMPPRSNPNFIGSEEDPMCIICRQYLYLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKALSGENIKQSLFLLNDDPVPSKQVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDKSSEKVNYVEIEELVAVRCKPSCEPSLKQLQAYAEKGKMMISEINIALSSLSTVERLETLYSQASEFPVELTETSTLSYEISFSKSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKLWNEAESLRMRCQSYLQDSPVLKELESFLLAFDGTKFSIPELDLLKQHRSDASSWASHVNNILGKLFERNDYHNIVEELTVILKDGKSLRVKVDELPIVEKELRRYFCRKQASEALAIQTSLECVKEILIQASILTIEEEQLFVDLSQLLKNATAWEEKSRRMLEKSASLSEFEDHIRCSEDIKAILMSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVFLKVDDLKDLICQPGSMKVILDTSALNSVLSDVEEWEHNSLTLLSNLRTLLHFNVIGSTVDPLERNLEELRNKVSEEIESGLSLGFELKLLNELKDFLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLRNSGKSVKPKLEKVENILAEHQEIAVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCCEMDMVVLGIEKIDGWFNQCRSTLSPDGNVNGSLCSMLLQISRSLDNASLLYVEDWKKNGFCAICSCDMGDDVTSRCIICQHQIEELDLLGEIVKKGCDFKSHLMQILHDADSYNGKDLRVMCKPLLVALKATTAAGLYDQELNRNIELVLRRYSWKKQIHKISCGGKKVSIQHVMRLDKEGSNLEISGEDFFKLEICKIKEASQQWLAKAEKVAFDCGELPLDLVYELIIEGKKLSVHVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINLCGPPPETFYCPACRPNNGEGFILLPRSASEEDRSSTEAGPHTPPASCDESEILEGNKRRSNPRVKSQIKVDLVRLLRCDSDIDNTWRDSKRVLQNRTARRRSDFVGLV* >Brasy7G087200.1.p pacid=40045301 transcript=Brasy7G087200.1 locus=Brasy7G087200 ID=Brasy7G087200.1.v1.1 annot-version=v1.1 MASSELHFLLVPLVAQGHIIPMVDLARLLASRGARVTIVTTPVNAARNRAAVDSARRAGLHVELVELPFPGPQLGLPEGMENADQMADRTMYLKFFEAIWRMAEPLEQYVRALPRRPDCLIADACNPWTAPVCASVGIPARLVLHCPSAYFLLAVHNLSAHGVYDRVGDDEMAPFEVPDFPVRAVGNKATFRGFFQWPGVEKEHRDVLHAEATADGLLLNTSRGLEGVFVDAYAAALGRRTWAVGPTCASGSVSADADAVAGRGNRAEVDAGVITAWLDARAPESVLYISFGSIAQLPAKQVTELALGLEASGRPFIWAIKEAKSDAAVKALLDEEDGGGFEERVRDRALLVRGWAPQVTILSHRATGGFLTHCGWNATLEAISHGVPALTWPSFADQFCSERLLVDVLRVGVRSGVKVPAMNVPEEAQGVQVASVDVDKAVAELMDGGDEGAARRARAKELAAEARKAMEEGGASCSDLEDMIRYVSEQSRKRSHERGTSSTSLSSAAAAELGSKNGEKIEADAALSVQS* >Brasy7G139700.1.p pacid=40045302 transcript=Brasy7G139700.1 locus=Brasy7G139700 ID=Brasy7G139700.1.v1.1 annot-version=v1.1 MWDIRKSFVPVYFKDFFPFIHSTARSEGTDAIFKDNVGSTYSIISFLGEYQRISENIMEKDKEQDSLTRTTRPTMWVGSELEMQAAKMYNRSIFYKFQSQLKMTQKVHVEEIEKGISYEVYKSSMLAELDFRERKFKVLVDWTREDISCICARFEKDGILCCHALKVLMHLNVSVLPEKYFIQRWRPRERKDIRDAQYNVPLDLTAQSRHFRYNMLSTTLIDLASDASKYKDTYQYLANKSNKIRRKIDEIREEVEKRDQGKQPFVEADPVVNLTTDHSSENTYKQPDVAKSKGRPKLPGRQKTLVEEIFTKQQITCSHCGERDHNIKTCKKLHIDKDKLSKKRAGKKNKQGSEAVAPTNPLKKQRTAAPSTGAAPPAPQKAVSAQRTKTNKKMTASELPMSGCASPPAPLRDVQTQRIRKPTQKALESILLTPSKRNRKQDC* >Brasy7G118900.1.p pacid=40045303 transcript=Brasy7G118900.1 locus=Brasy7G118900 ID=Brasy7G118900.1.v1.1 annot-version=v1.1 MFSRLRLFGSNLKTDSGEAQESRPEPRREVVDLGGGSEVVHIPRFVEREKAWEWFELLDKGIPWTRPTIRVFGRSVLQPRETCYVSDEGLTDLKYSGHQPHAHNWDEFPVLKNILKAVHDVLPGSNFNSLLLNRYNAGTDYVSWHADDEPLYGPTPEIASVTFGCERDFLLRKKPAKSQAASGSGEAARKRLKVSSPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKAKSPRINLTFRRVLA* >Brasy7G229400.1.p pacid=40045304 transcript=Brasy7G229400.1 locus=Brasy7G229400 ID=Brasy7G229400.1.v1.1 annot-version=v1.1 MASKLSFKRMDSVAESMPDALRQSRYQMKRCFQRYVSKGRRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEIDFGALDLSTPHLTLPSSIGNGMQFVSKFMSSKLSGKPESMKPLLDYLLALNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGENAERCKETLNFLSEVLQAPDPINMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELLQRIKQQGLNVTPKILVLTRLIPDSKGTKCNVELEPVENTQYSHILRVPFKTEDGKDMRQWVSRFDIYPYLERYAQDASVKILDMLEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRELDQKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPYTQKQKRLTGLHPQIEELLYSKEDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKVRDLVNLVVVAGLLNASQSKDREEIDEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGTKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSMYGFWRTLNKEEKLAKQRYMQMFYNLQFRNLVKTVPRIGEQAPRTAASTSTGMVAPNEIVQRPKERQPQNRMQRIMTSLLGPKPPTYEQNSYR* >Brasy7G229400.2.p pacid=40045305 transcript=Brasy7G229400.2 locus=Brasy7G229400 ID=Brasy7G229400.2.v1.1 annot-version=v1.1 MASKLSFKRMDSVAESMPDALRQSRYQMKRCFQRYVSKGRRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEIDFGALDLSTPHLTLPSSIGNGMQFVSKFMSSKLSGKPESMKPLLDYLLALNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGENAERCKETLNFLSEVLQAPDPINMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELLQRIKQQGLNVTPKILVLTRLIPDSKGTKCNVELEPVENTQYSHILRVPFKTEDGKDMRQWVSRFDIYPYLERYAQDASVKILDMLEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRELDQKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPYTQKQKRLTGLHPQIEELLYSKEDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKVRDLVNLVVVAGLLNASQSKDREEIDEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGTKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSMYGFWRTLNKEEKLAKQRYMQMFYNLQFRNLVKTVPRIGEQAPRTAASTSTGMVAPNEIVQRPKERQVCPLLRNLLKKDRGSN* >Brasy7G057400.1.p pacid=40045306 transcript=Brasy7G057400.1 locus=Brasy7G057400 ID=Brasy7G057400.1.v1.1 annot-version=v1.1 MPLALNINPKKYLQALFFACQNMAGEASTSPSEQKEFSMYNKHIDNLQQLSGDYDSVIICLGANASSLPELANKLPLRSCRGVIAEFQLPSDTVENYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSDNHAPSVSDEEAATAMEELLPKASAVYPGISKWDYVRARAGIRAMPPLTANGSLPLLGCLNDVIGTRSNCSFWLVGGLGARGLLYHGLVGKLTAKAVISCDENIIPSEFTCWKTIKASE* >Brasy7G036000.1.p pacid=40045307 transcript=Brasy7G036000.1 locus=Brasy7G036000 ID=Brasy7G036000.1.v1.1 annot-version=v1.1 MAAEVLVGAERRVLITSAAAIPAPPPPPPESLLGRLDQIDLRLRQLEEEQRRRPSASPEAPNPGRGRAHHQHSKSMPSSALQHHQQVLVRGTLMDRLDLLESRIRQLSSELDLDAIGDGNGKAPAEDRAWSEPPPLPEPRGDPRARPAAAAASWSAVQILQRGARQLHHRNGKPSPAKKAKKLKEAKCACEEEKRKAERSSSRASGRRWFTVGC* >Brasy7G072000.1.p pacid=40045308 transcript=Brasy7G072000.1 locus=Brasy7G072000 ID=Brasy7G072000.1.v1.1 annot-version=v1.1 MQFPAIQYLASSPPPLHLFFQCDIMQIMWSDISAMVLIPFLASFESVATYWLCNKKHSVTNMITSAMLWTTWKLRNDILFAKHSWMNMQVIWRRLLSLLKRWQPLCPKKNIPWIAAFC* >Brasy7G130500.1.p pacid=40045309 transcript=Brasy7G130500.1 locus=Brasy7G130500 ID=Brasy7G130500.1.v1.1 annot-version=v1.1 MTRWDEILTLPVQNPTTLEFSAAEITWSMVEGWKDSMDRLALIPFSRVNDFVRGESNNKVCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKNGAVRPSRSSCGKRKTPAGRPNTKRGCVCHFIVKRLIAEPSLALVIYNHNKHVDKKGTPCHGPMDKMAIGTKAMFAPYISDELHLEVMSLLHVGIPVETIMQRHNEMVERQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAVSINMWIENHQEYTFFYEDFSDKDAFVLGIQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSILVFDQQKNAIPVAWIITPSFTHGEIYRWMGALYDRVRSKDPTWQLGGFILDDPLTDVRTIREVFQCPVLITLWRVRHAWHKNLMNKCSDNEKRSMLAKRLGEVISSICGGNGDVELFQAFLEDFVDCSGFLDYFKAIWFPRLGAWTTVLKATPLASAEVASAIESYHRLLKLRLLNEADESIYQRADWLVHKLGTTVHSYYWLDEFSGKDSFSRYWRSEWKNGPNQWQQGMQIPDSDIVIEGSCARVICQKDKEKSHAILNPGSELALCDCSWSRKGNLCKHSMKSTKVCRDRGLAPPSLALLRYYQALANVVHCPPSDSVVSDHAIAVAVSVRTQLDALFGVTNRSSPNTSAFKDPQATSEPRGSGVLEINVTNGACVSSQSLAVSGDGEEVPVDEDGDQNSPACKKRKSGGAFDEDEAATATEIIQPSETESRATCQEGECRDTCNGDERTTAMQDSDGDEETAATLITQPSETENSQVACVPEPNHRQDSPAHEEKEHRESPDGNEGIAATQASDGDKETEATQIKQLSETENSQATCVPELKCHQDNPARQERDCKETCDGDDGAAARRSTRPSGTE* >Brasy7G064700.1.p pacid=40045310 transcript=Brasy7G064700.1 locus=Brasy7G064700 ID=Brasy7G064700.1.v1.1 annot-version=v1.1 MSPPATAPTTNLTGAGSCTEEPFRVFVGYDSREDIAYRVCRRSLLRRSSIPLEITPIVQDELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARTCAADPRHAVLCVHHDYAPKEATKMDGAVQTLYPRKNWSSMVLFNCAHPKNRAALTPDAVSTRSGAHLHRFAWLDDDEVGEVPFVWNFLVGHNRVDPDDVAGTTPRAIHYTSGGPWFERYKDCEFADLWIQERDAYESEDKQDHDEVKPVGAPVPSPAVSVDA* >Brasy7G159600.1.p pacid=40045311 transcript=Brasy7G159600.1 locus=Brasy7G159600 ID=Brasy7G159600.1.v1.1 annot-version=v1.1 MALPSPAGIAKPSLHLLFNNRRASSSVTCCSSNARSSEDGAAPTIDADWRSFRAQLILKEQYSNSVNPAAAAVTAAKPGPAKIAERWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSADMGVILNRPSLMSIKEAQSISAETDIAGVFSGRPLFFGGPLEECFFLLGPREAANDVVGRTGLFEEVMPGLHYGMQESVGSAAELVKRGVADMRDFRFFDGFCAWEHEQLRDEVHAGLWRVAACSPAVLGLTSVVKGGLWEEVQELVRKRRVW* >Brasy7G218400.1.p pacid=40045312 transcript=Brasy7G218400.1 locus=Brasy7G218400 ID=Brasy7G218400.1.v1.1 annot-version=v1.1 MVLSELATQLVVPVAAVVGIAFAVLQWALVSKVKVTPERRDGEGASSGAAAGAGKNGAAAGSEYLIEEEEGLNDHNVVVKCAEIQTAISEGATSFLFTEYKYAGGFMAIFAVLIFLFLGSIEGFSTKDQACHYSKGKTCKPALANALFSTIAFVLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLFVLYVAINVFGLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMMYPLLISSVGIIACLITTLFATDFFEVKAVDEIEPALKKQLIISTALMTVGIALVSWLGLPSTFTIFNFGAQKTVHNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGITTVDVLTPNVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGILFKWL* >Brasy7G047600.1.p pacid=40045313 transcript=Brasy7G047600.1 locus=Brasy7G047600 ID=Brasy7G047600.1.v1.1 annot-version=v1.1 MGMAMSIKLSSWIFGVDESVARDTFSVIDDVCSGGCSDQFLKAIHECPQIFLQELIRDADDDPTKRGAAVDAEACVRATAALRSCFAASGGKLKGHEYLGRIDEGLDEDLKPSQEMMEEEFETRFRWWTGMRRS* >Brasy7G023000.1.p pacid=40045314 transcript=Brasy7G023000.1 locus=Brasy7G023000 ID=Brasy7G023000.1.v1.1 annot-version=v1.1 MADEQQGPSWPDLPPDLLGDVLRRVPALVDRVCVAAVCRPWRSICELLPPPPPQHPWLVFLRDGGALFDLANAHHRSPFPNYYGSAFRIPADADCFSAGEDLLFVLHHDGWCSLVHPLSGAAAHLPELAAILLRYGVRAVDPHKRHRLRKVVASPSAHGGLLVALLVRGSGIVVSTCRPAGEANSCLVMRGDMREEVADIALFQGRICALTSHQGSPPHTLLALELDSHGRLDRPTPPGAKPEKGRGATWVRHHPRDCQGDYSDYDYDSDDDGGGGEDEGASVEEKLDRVEDYLVESNGKLLMVNRLMGDNVTRRFEVWEADLSDGLWKRFGDDNGLDGQALFVSAQSSKSIPAAAIHGAREDCIYFLSQLHVWSKLESPFGDSGVYDVRDKEIMPLLPESLPRESAWFFPAASTSAFLDGVFGYSPRGPSDLISSPSRRRRPRRRRASIEQVTEPASPGHTIGTLVHMTILRILVIAGVTMWAIGVARWVLDILGVT* >Brasy7G021500.1.p pacid=40045315 transcript=Brasy7G021500.1 locus=Brasy7G021500 ID=Brasy7G021500.1.v1.1 annot-version=v1.1 MSIHLRAHAFAANPLRGLAGASPCPSAADALRSLLDSTDAHHHLSKVIPFRRGRPLARSPDPSPSPAPSSAPPPPPPAWRLAWLPPSRVPPGVPSEAFVFLGAHGEADGKEAAAYWAVDVSEGDGAKVGGAGDGSAFVDLRTLMVAADWRDTDTMGELAIAGHARALLEWHNTAKFCGACGAKAVPTEAGRRKQCSDESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETLEETGIEVGDVIYHSSQPWPVGPNTMPCQLMVGFFAYAKSLDICVDKQELEDAQWHSREDVKKALTFAEYEKAQRSNALKVNQICKGVERGQNTSSGLSVESQEPAPMFVPGPYAIAHHLISSWAFEGAPKVPSSFSNL* >Brasy7G019100.1.p pacid=40045316 transcript=Brasy7G019100.1 locus=Brasy7G019100 ID=Brasy7G019100.1.v1.1 annot-version=v1.1 MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFVSRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEATDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKTIRPTFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVTVKNVYWADGGDLVAIASDASFYILKYNRDVVAAYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLMGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKTQYTSVAHFLESRGMLEEALEIATDADYKFDLAVQLGRLEVAKDIAVEAQSESKWKQLGELAMSTGKLEAAEECLLQAKDLSGLLLLYSSLGDAIGIEKLASLAKEHGKNNVAFLCLFMLGKLEDCIQLLVDSNRIPEAALMARSYLPSKVSEIVATWRNDLSKVNPKAADSLADPSEYPNLFEDWQVALTVEKNIAPQRGHYPSADEYLNYADKSDSTLVEAFKRMQVIEDEEPVDELDENGEPDEEVFEENKMVENTDEAVQVDGDEPEETVLVNGNEGEEQWGTNNEGTSPA* >Brasy7G019100.2.p pacid=40045317 transcript=Brasy7G019100.2 locus=Brasy7G019100 ID=Brasy7G019100.2.v1.1 annot-version=v1.1 MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFVSRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEATDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKTIRPTFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVTVKNVYWADGGDLVAIASDASFYILKYNRDVVAAYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLMGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKTQYTSVAHFLESRGMLEEALEIATDADYKFDLAVQLGRLEVAKDIAVEAQSESKWKQLGELAMSTGKLEAAEECLLQAKDLSGLLLLYSSLGDAIGIEKLASLAKEHGKNNVAFLCLFMLGKLEDCIQLLVDSNRIPEAALMARSYLPSKVSEIVATWRNDLSKVNPKAADSLADPSEYPNLFEDWQVALTVEKNIAPQRGHYPSADEYLNYADKSDSTLVEAFKRMQVIEDEEPVDELDENGEPDEEVFEENKMVENTDEAVQVDGDEPEETVLVNGNEGEEQWVLTQHE* >Brasy7G132600.1.p pacid=40045318 transcript=Brasy7G132600.1 locus=Brasy7G132600 ID=Brasy7G132600.1.v1.1 annot-version=v1.1 MDLKNMDICIYMIACMYYTSMYRSLIIEGTRNEEGREKRKHSTRLMMIKAYYNILRR* >Brasy7G071900.1.p pacid=40045319 transcript=Brasy7G071900.1 locus=Brasy7G071900 ID=Brasy7G071900.1.v1.1 annot-version=v1.1 MCGGAILSDIIPPPRRVTDGHPWPAEKKSGKGAGGVRPRRVPEEEFEEEEFEAGFEGFEEELGEAEVGFGDEIKPFLARRNGSAGDGLNATTAGADGRASGSSKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSAEEAARAYDAEARRIRGKKAKVNFPEEAPVASQRRAEPTFVKVSEFNTEEKPIVNTMANTNAYSYPVVDYTLHEPFLQPQNMSFMPVMNAVEAPFMNLSSDQGSNSFSCSDFSWENDIKTPDITSVLASIPTSTEVDESAFLQNNANNAALPPVMGNANVDISDLEPYMKFLMDSGSDESIDSMLSCDGSPDAVDLWTFDDMPMSAGFY* >Brasy7G065500.1.p pacid=40045320 transcript=Brasy7G065500.1 locus=Brasy7G065500 ID=Brasy7G065500.1.v1.1 annot-version=v1.1 MAKVEVLATELVVPAEETPGGSIWLSNLDLAARRGYTPTVYFYRPENHGALDREPFFAADAVKCSLAKALVAFYPLAGRLGLDDAGRLQIDCTGEGAVFVTARSAHYALDDLMSEFVPCGEMRDLFVPPTPAPAPNVPPCVLLLAQVTRLRCGGVVLGLALHHSVVDARSAAHFVETWAGISRGKQDAPSAPPCFDHKLLDARPYPARAVLFDHPEYKPEPAAPVDPVSAASTYASAIITVSKKQVAALRARCAGASTFRAVVALCACRARALAPDAETRLYSMIDMRPRLAPPLPRGYFGNAVIRTSVSATAGEVTSSPLAYGARRARAATSQGDDYARSLVDYLEGVDTMNLPRSGISRAHLRAISWMGMSLGDADFGWGAPAFMGPALMYYSGFVYVMNAPGKDGAVALALSLEPESMPGFKKTFADELASLEVQM* >Brasy7G138600.1.p pacid=40045321 transcript=Brasy7G138600.1 locus=Brasy7G138600 ID=Brasy7G138600.1.v1.1 annot-version=v1.1 MTNIVGNYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEHKRVDLTNTLQGLQYHLDILKTQENLCNHNWKNLDVTKWTITEQLHSPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIEFRYKLAAILMCWKTNTTQASATIEESDYISIEKKYQSLITVLSNMSVHELEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEKLPMEHDCFNLVVRKIMFDDIQTAKKTKGLISKHYLDMRFWLDLEQLAYSVCSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDTRTVYILDPTPIDPIYQRNPYAKYVPRLLWIAEHLPKAMWNENIFLWHQHIINNIPIHNRKLSGYLITLFMSTWDDEKLNLPFLKDGYELRKQFLAKLLTFKKNECEVNMPAGVLNIINCIRNIQTNMNVKT* >Brasy7G223600.1.p pacid=40045322 transcript=Brasy7G223600.1 locus=Brasy7G223600 ID=Brasy7G223600.1.v1.1 annot-version=v1.1 MLCLACSPSPLPRPSPADRPGPGIAGVAGGGNVRLSVVPVPSPPLRCSWPRKAKSKFSVPVAVRENQTMATKEDGIDNLPIYKLDPKLAEFKVHFDYRIKRYIDQKQLLEQNEGSLEEFSKGYLKFGINTDGDTSVYREWAPAAKEAQLVGDFNNWNGAMHKMEKDKYGVWSIRISHVNGKPAIPHNSKVKFRFRRGDGVWVDRIPAWIRYATFDASKFGAPYDGVHWDPPTSERYVFKHPRPPKPRAPRIYEAHVGMSGEKPEVSTYRAFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASSNVTDGLHGYDVGQSTQESYFHTGERGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYNHHGINVSFTGNYKEYFGLDTDVDAVVYMMLANHLMHKLLPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDREWSMSAIALTLTNRRYTEKCIAYAESHDQSIVGDKTMAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFAREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDEKFSFLSSSKQIVSDVNEEKKVIVFERGDLVFVFNFHPNKTYEGYKVGCDLPGKYKVALDSDAFLFGGHGRVGHDIDHFTSPEGVPGVAKTNFNNRPNSFKVLSPPRTCVAYKRADEKAEVHKMEGLLWEDCSGLYRC* >Brasy7G122200.1.p pacid=40045323 transcript=Brasy7G122200.1 locus=Brasy7G122200 ID=Brasy7G122200.1.v1.1 annot-version=v1.1 MGSQRPVLYCLLAFLLLCGTSLAASPRYGYLSASVDSVIASRAQVQCYDPNTYEAPASGNKLTIRPSCGRVERDILVHDRARLRTVRERSSSSSAMPPVPAIPIPPFIPPTPGPAPAEAPSATIPDHTGTNLKTPEFVVAVGFGSPAQTSATMFDTGSDLSWIQCQPCSGHCYKQHDPVFDPAKSSSYAVVPCGTTECAAAGGECNGTTCVYGVEYGDGSSTTGVLARETLTFGSSSKFTGFTFGCGETNLGDFGEVDGLLGLGRGSLSLSSQAAPAFGGVFSYCLPSYNTTPGYLSIGATPVTSQIPVQYTAMVNKPDYPSFYFIELVSINIGGYVLPVPPSEFTKTGTLLDSGTILTYLPPPAYTALRDRFKFTMQGSKPAPPYDELDTCYDFTGQSGILIPGVSFNFSDGAVFNLNFFGIMTFPDDTKPAVGCLAFVSRPADMPFSVVGSTTQRSAEVIYDVRAQKIGFIPASC* >Brasy7G141400.1.p pacid=40045324 transcript=Brasy7G141400.1 locus=Brasy7G141400 ID=Brasy7G141400.1.v1.1 annot-version=v1.1 MYPHRSPPSPPLRSSVSPRGCPFFHDAAAVRVAILQGERWTRGGIGDKAFDSTCIGATAAMHCLLPRIGDLASPHLFATVRRGVQEEACGHAAVVLGAWRHPKLRSSRGVPQQPTPGAHCEACGFHLLPFGLHQ* >Brasy7G081400.1.p pacid=40045325 transcript=Brasy7G081400.1 locus=Brasy7G081400 ID=Brasy7G081400.1.v1.1 annot-version=v1.1 MGRPPCSDDNGLKKGPWTTEEDEKLMNYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLKPDIKRGKFSQEEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFSALPQLIALANFRQFVEQQPLDDQTAWLQSEAVQAAKLQYMQSLLHSAASIVASPTTTSSSLNPLTTDLEHISFLSPPQMLSPRVLEGIGCTELAGQAPQNQMTSISFDHSIGNINVKSGEQCHGEGENISQKRMILSENSLPPLADMPASNTCNIISTSNCNCISSPLPSWSEILLDEELMSDCLM* >Brasy7G086700.1.p pacid=40045326 transcript=Brasy7G086700.1 locus=Brasy7G086700 ID=Brasy7G086700.1.v1.1 annot-version=v1.1 MLSAVASPASFLALASASRRQPRVTAAPPLHAGANRCLALQHWPPPQRTARDGAVVRAEAGAAGKKDARGDDAAPAAARQPRVRRGGAAGKVAKRRDPAVRPVDRYGSGDAAGTGGQSPPTPRQDAPRPRVPSLNGVAVSGENKSGGASPRQDAPRPRVPSLNGVVVSGENKPIVAAPGTGGKSSPTPRQDTPRPSVRSLNGVLASGDDKSIVAAPPTKIVKVPAPDSGAILTANKAPESIVLVKKTDTPPPSVPNFVSSVSAPRSDTVSNVEPAEKKGADNVKEEAPKPKTPVSAPRSDTVSNVEPAEKKGTDIVKEAPKPKTLPPPAAPAVQEAAWDFKKYIGFEESVEVKDNGLAAADGAGSFEHHHNNHSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYQEAYDLGIRKYYKAAGQDLEVNYFHAYIDGVDFVFIDAPLFRHRQGDIYGGSRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVLVIHNIAHQGRGPVDEFPFTDLPEHYLEHFKLYDPVGGEHANYFAAGLKMADQVVAVSRGYLWELKTVEGGWGLHDIIRQNDWKINGIVNGIDNKEWNPEVDVHLRSDGYTNYSLRTLDAGKRQCKASLQRELGLQVRDDVPLIGFIGRLDGQKGVEIIGDAMPWIVSQDVQLVMLGTGRSDLEQMLQHFEWQHKDKVRGWVGFSVPMAHRITAGVDVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSPFDPFGDSGLGWTFDRAEAHKLIEALGHCLDTYRNYRESWRGLQQRGMSQDFSWDHAAQLYEDVLVKAKYQWNLPTISIVSSDSSMMVEQPASARCSDLRRVVGFGLGSQHSAVEWR* >Brasy7G086700.2.p pacid=40045327 transcript=Brasy7G086700.2 locus=Brasy7G086700 ID=Brasy7G086700.2.v1.1 annot-version=v1.1 MLSAVASPASFLALASASRRQPRVTAAPPLHAGANRCLALQHWPPPQRTARDGAVVRAEAGAAGKKDARGDDAAPAAARQPRVRRGGAAGKVAKRRDPAVRPVDRYGSGDAAGTGGQSPPTPRQDAPRPRVPSLNGVAVSGENKSGGASPRQDAPRPRVPSLNGVVVSGENKPIVAAPGTGGKSSPTPRQDTPRPSVRSLNGVLASGDDKSIVAAPPTKIVKVPAPDSGAILTANKAPESIVLVKKTDTPPPSVPNFVSSVSAPRSDTVSNVEPAEKKGADNVKEEAPKPKTPVSAPRSDTVSNVEPAEKKGTDIVKEAPKPKTLPPPAAPAVQEAAWDFKKYIGFEESVEVKDNGLAAADGAGSFEHHHNNHSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYQEAYDLGIRKYYKAAGQDLEVNYFHAYIDGVDFVFIDAPLFRHRQGDIYGGSRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVLVIHNIAHQGRGPVDEFPFTDLPEHYLEHFKLYDPVGGEHANYFAAGLKMADQVVAVSRGYLWELKTVEGGWGLHDIIRQNDWKINGIVNGIDNKEWNPEVDVHLRSDGYTNYSLRTLDAGKRQCKASLQRELGLQVRDDVPLIGFIGRLDGQKGVEIIGDAMPWIVSQDVQLVMLGTGRSDLEQMLQHFEWQHKDKVRGWVGFSVPMAHRITAGVDVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSPFDPFGDSGLGWTFDRAEAHKLIEALGHCLDTYRNYRESWRGLQQRGMSQDFSWDHAAQLYEDVLVKAKYQW* >Brasy7G125700.1.p pacid=40045328 transcript=Brasy7G125700.1 locus=Brasy7G125700 ID=Brasy7G125700.1.v1.1 annot-version=v1.1 MRTQIVTPPVRPNNKRTKNFTIEEDQEQVGRFCGCFEQITNRNASGKTEQDKIVEACSMYKATDKSKRPFILLHCWSMLRFNQKWLAQIDRSSQSNKKQKSSSNASPSMSTPATDTIHLDESEATSPAKADHMRRPIGKKAEKERQRRGRRWRKQEKWKDKQERKQERKQERWQDMQERKQEAGKKERYVGVLAIEREKFELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy7G080300.1.p pacid=40045329 transcript=Brasy7G080300.1 locus=Brasy7G080300 ID=Brasy7G080300.1.v1.1 annot-version=v1.1 MSVGHFVFSSSYLLPPVFSSPSQPWQPPAPTRQGGAQGIGARGSASRGGAGRRRGAPDHGAARGCEQRDAAGRGAAARGSEEGGAAGHGAAQGGGVELRVTGPRGAAGSATLRVAGRRRAGARKADVQSEEAWCGATAGCGEAARRLREGGGEEAGGGGMVAGGGRRRGTERRWRDRGRGERRYADVAKQCGFCLVRETDKNGTALLPPLLLATPLLLRPPLLGCSPSAPAAPRVPLLPPPPALPLLRRPATPCAAPAPPPSAASRRPCSGASSRRAAPALPPATLVHAPHAALAPPMRAPPLQPPAAAMLRHRAPHSPRASRERGSAGRAHSFRSEPSHSFVLEVWLGSGSEGYIPL* >Brasy7G165800.1.p pacid=40045330 transcript=Brasy7G165800.1 locus=Brasy7G165800 ID=Brasy7G165800.1.v1.1 annot-version=v1.1 MSPPYSPRLSEGRVDLSPCSSDGRSYCDVVRAPPPRLASLVVAPATAPAAVPARGAVALRLGARVAPVEDEEGWLRAGKKRPRRSRDSPPATVLPSRTVRPEIPPELVGKCFNCLGDDHVTAFCNLDTRCRKCLQFGHLGKDCRNRPVRRSTSPRAGIPAPLTARSAASSPRVANPSPREQAPTPTVASSSGAPVGAPESRPRVEYCILPRSQEIEDAEASLAWSLVVSISGGKRRVPLSEASGIICQACPTVAGHFRLHRFWPADFLCKLDSRAARDALMAAGPAEGRGFSLRYRVRVEMEGIPPHAWNPTTATAILGPACWVERLGTETARGEDMGSFSAYAWTADPGEIAREMIVGIPEPPPQLEDDGLFLRPEDIIPEKVEVLDYSVIVHLLRVEDREFSPVGSSEEEDDDLFFFDDGDDFGGRGPAPPRRSHSFTCRRGTVDGSLQPRGQGAGPGGSGSAKARLTVSPPAAAPPQVQTLKTGQGVKEPLSKGDSSALPMTTKGVADDAALGGMLAPADGQVDTGDWWDPMRQEALSFPPPMQRKTGRLLPPEDCPGPLMQLGSLGLVSPLPASSWAPEVDAASMGSGVGPRLEGPDMDFFTVSVSQPPQLSAQAAPYTPVATRKARVQDDTADANEQTEAFCKNLQRSTSGILPIPRVTRAKKRCVPMAPARRSKRLAQRLRPGSSIKRQQRLLISKLGIAQEGGLIGDEALQAYLNLFDKPLSQDHISAIVALFGWDGGAAPLAEEGVDAISI* >Brasy7G200600.1.p pacid=40045331 transcript=Brasy7G200600.1 locus=Brasy7G200600 ID=Brasy7G200600.1.v1.1 annot-version=v1.1 MATESSCSISLTGAASLVRQLKIEGFSATASMAQDMFMASRWTVDGHECEIRIYPGISRILIRSHRATPADWVALELIFLSESRTGGSRVSIVCRLVDPSGKLQPSEEHKVSVSKKFCHPNESSGLLLLQTRSDLAESGYLRDDCLAVECAITVLTELPVPTIPAAIREVVVPPPSTNLHQHLGQLLENETGADVTFLVSGESFAAHKPILAARSPVFMAEFFGDMKEKSSRRVEIEDMEASVFRALLHFIYTDRVPEELDQQKPDAVVAATMAQHLLAAADRYGLDRLKLLCEIKLSGGITVDTAATTMALAEQHDCSQLKAKCTEFIVSTPAILDAVLATEGYKHLEMSCPSVLAGILKFTRWGKNC* >Brasy7G208900.1.p pacid=40045332 transcript=Brasy7G208900.1 locus=Brasy7G208900 ID=Brasy7G208900.1.v1.1 annot-version=v1.1 MDGRRRHAMGRHKANLCLFPAFRNYCRAGCRKLASSERPPAEQSCCFQEIFCLWAPKIALFMIDFDLKI* >Brasy7G081200.1.p pacid=40045333 transcript=Brasy7G081200.1 locus=Brasy7G081200 ID=Brasy7G081200.1.v1.1 annot-version=v1.1 MHLDSSVTLHQSIRLSLLVAAICRTSNWTKINIGTSLLDHTKF* >Brasy7G116600.1.p pacid=40045334 transcript=Brasy7G116600.1 locus=Brasy7G116600 ID=Brasy7G116600.1.v1.1 annot-version=v1.1 MTTGAVTERLPESSQPLLPMKQRWEGDDGGAEAAAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAAFLTDASIELLMRFSRVVGAPSYGAVMGDAFGWWGRRLLQVCVVVNNVGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGTHWWNGRFFVLLVTTLGVFTPLACFKRVDSLSYTSAISVALAVVFVVITAGIAIVKLIRGQIPMPKLFPAIPDLTSIWELFTAVPVLVTAYVCHYNVHPIHNELKESSQIKPIVHTSLALCSTVYITTSFFGYLLFGESTLADVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSARPLSSDNRRFAVMTAVLLIVIFVSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLKDAHSIAKKWDKILAVVMIVLAVASNVVAVYSDAYSIFHKKSAPSSA* >Brasy7G079400.1.p pacid=40045335 transcript=Brasy7G079400.1 locus=Brasy7G079400 ID=Brasy7G079400.1.v1.1 annot-version=v1.1 MLAGNMNNDNYNVIDLISDSDEDFDFDSDDPTSTSATSGRNGEGQPIRLQDEDLARTMLSTPSPSRPLKHSNGQYRTLPPSFANGIEPLKNSNGQYRTLPPSFANGIDIEKARYTRGSGDRTYPHSSSYVGSPYDSARATLTSNRIASVVKELNGSALDANDNDKRILPSSFSNGSTAKSINPNVASDSRRLPSRFANGNSQKFGENMMESNDANQNGQPSSSKFPTQNLSLSNAQKVITDDDDDDDIYVYGGTSSNRVLPSSLGGNNSANNSDLVNGNGMQARPNLENRFLDSDERAVYQEALQNISLDKKEDDLPEGYLSVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIPQSKFMSADSDALKSEALNLDEDDETVTVDKGEQILNDEPKKELDASLSSTAASISGVKPCISEIEVPDRTAESKVECKKKTKTGTSSASSMHSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGARTKNPSELAKYDVVVTTYTIVANEVPKQNADDDPDQKNAEESSAGNKRKPPSKSKKRKKKLKDSDIDLNSGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETKINGEPIINLPPKTINLNKVDFTKEERAFYSTLEERSQQQFKEYAAAGTLNQNYANILLLLLRLRQACDHPLLVKGHQSVFKGDGSIERARQLPKDLVIGLLARLEVSSLCAVCRDTPEDAVVAMCGHIFCYQCIHERITTDENMCPTPNCRTTLSTESVFSSGTLRICIAGNTGTYATASSSADDELSSISQSSYMSSKIRATVDELNTIINTHAITDSDTSESNPSQVAPVKAIVFSQWTGMLDQLELSLNNNLIRYRRLDGTMSLNLRDRAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDVWWNPYAEDQAIDRAHRIGQTRAVTVSRLTIKDTVEDRILALQEEKRAMVNSAFGEDKSGGHATRLTVEDLRYLFRI* >Brasy7G079400.2.p pacid=40045336 transcript=Brasy7G079400.2 locus=Brasy7G079400 ID=Brasy7G079400.2.v1.1 annot-version=v1.1 MLAGNMNNDNYNVIDLISDSDEDFDFDSDDPTSTSATSGRNGEGQPIRLQDEDLARTMLSTPSPSRPLKHSNGQYRTLPPSFANGIEPLKNSNGQYRTLPPSFANGIDIEKARYTRGSGDRTYPHSSSYVGSPYDSARATLTSNRIASVVKELNGSALDANDNDKRILPSSFSNGSTAKSINPNVASDSRRLPSRFANGNSQKFGENMMESNDANQNGQPSSSKFPTQNLSLSNAQKVITDDDDDDDIYVYGGTSSNRVLPSSLGGNNSANNSDLVNGNGMQARPNLENRFLDSDERAVYQEALQNISLDKKEDDLPEGYLSVSLLKHQGLGKTVSTIALIQKQRIPQSKFMSADSDALKSEALNLDEDDETVTVDKGEQILNDEPKKELDASLSSTAASISGVKPCISEIEVPDRTAESKVECKKKTKTGTSSASSMHSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGARTKNPSELAKYDVVVTTYTIVANEVPKQNADDDPDQKNAEESSAGNKRKPPSKSKKRKKKLKDSDIDLNSGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETKINGEPIINLPPKTINLNKVDFTKEERAFYSTLEERSQQQFKEYAAAGTLNQNYANILLLLLRLRQACDHPLLVKGHQSVFKGDGSIERARQLPKDLVIGLLARLEVSSLCAVCRDTPEDAVVAMCGHIFCYQCIHERITTDENMCPTPNCRTTLSTESVFSSGTLRICIAGNTGTYATASSSADDELSSISQSSYMSSKIRATVDELNTIINTHAITDSDTSESNPSQVAPVKAIVFSQWTGMLDQLELSLNNNLIRYRRLDGTMSLNLRDRAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDVWWNPYAEDQAIDRAHRIGQTRAVTVSRLTIKDTVEDRILALQEEKRAMVNSAFGEDKSGGHATRLTVEDLRYLFRI* >Brasy7G078100.1.p pacid=40045337 transcript=Brasy7G078100.1 locus=Brasy7G078100 ID=Brasy7G078100.1.v1.1 annot-version=v1.1 MEEWRWTAKAAAVDSLERVRVAHAETVAATRAATAEIRNAVDWMKRIVGVHTASGNILAICAPHIGLQQGVMAPGLHAWEQHLRLHFAWSHGRAATGVLSMLRDSPPPSGVWSDWGPAALDLLRQATYDLDVAMDAVRLMQHALLVEFVTARRLLLVISVRHPHPQA* >Brasy7G007800.1.p pacid=40045338 transcript=Brasy7G007800.1 locus=Brasy7G007800 ID=Brasy7G007800.1.v1.1 annot-version=v1.1 MPRRDLVSYNSMLSGHAATGDMAGAARLFDEMPERDVVTWNTMLVGYARGGEDMASARAVFDAMPERDVVSWNSMLDGYAQAGDVAMARAVFDGMPRRSAASWNVVLALYARRKDWRECLRLFDAMMAVGVTVPNEKTFVSILTACGSLGDLERGKWVHGLVRERGDRLVPDVLLLTALLTMYSKCGAMETAREIFDSMSERSVPSWNSMIIGYGLHGQSEKALELFLEMERSGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYGFEPKAEHFGCMMDLLGRAGLLKDSENLIQNLQGKASPALWGIMMSAPQTQNNSRLGEFVGKKLIEMKPAEVGPYLLLSNIYAAEGRWDDVEKVREMMKENGVEKDVGLSLIGSSESESHTATEDGITVRRDDVMLSMLGEMRVHMKLPKCREGSL* >Brasy7G193900.1.p pacid=40045339 transcript=Brasy7G193900.1 locus=Brasy7G193900 ID=Brasy7G193900.1.v1.1 annot-version=v1.1 MSSTIFVLLLASSLLLAARGEDPYRFYTWNITFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIVINVFNNLPAPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNKFTVDQGKTYRFRVSNVGISTSVNIRIQGHSLLLVEVEGSHTVQSNYSSLDVHLGQSYSFLVTADQAPQDYTIVVSTRFTDPVLTNTAILHYSNSNGARAALPPPPGPTIEVDWSMNQAKSIRWNLTASGPRPNPQGSYHYGLVNTTRTMRLANSRAIVNGKLRYAVNSVSFVPADTPLKVADFYNIQGVFTPGSMPDNPTGGAAYLQTAVMASNMRDYVEVVFENAEDSVQSWHIDGYAFWVVGMEGGQWTPASRQAYNLRDAIARYTLQVYPGSWTAIYMPLDNVGMWNVRSESWGRQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL* >Brasy7G187800.1.p pacid=40045340 transcript=Brasy7G187800.1 locus=Brasy7G187800 ID=Brasy7G187800.1.v1.1 annot-version=v1.1 MGLVGGAIGFGLGLPIGLAAAYLVYLRFFAPRRRLQDPVVRPLRELDSETLQTIVPDIPLWVKCPDYERVDWMNKFIFDMWPFLDKAICKIIRSVTRPIFDQYVGKYGIESIDFGDLTLGTLPPTLQGIKVYEMQEKELVIEPVIRWASIANVTVNVKVHSFKLSTQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFRLLGGDVMAIPILYQFVQQQISKQVAILYHWPKVIQIPILDGASGATKKPIGILHVKVIRAMDLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGMQVIPLRSLAPYESKLFTLDLLRSMNPNDQHNKKSRGKLVVELTFDPFREDNSTPAVISDGEGNVSIKRDVPAGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKIHVEIKWNTV* >Brasy7G187800.5.p pacid=40045341 transcript=Brasy7G187800.5 locus=Brasy7G187800 ID=Brasy7G187800.5.v1.1 annot-version=v1.1 MNKFIFDMWPFLDKAICKIIRSVTRPIFDQYVGKYGIESIDFGDLTLGTLPPTLQGIKVYEMQEKELVIEPVIRWASIANVTVNVKVHSFKLSTQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFRLLGGDVMAIPILYQFVQQQISKQVAILYHWPKVIQIPILDGASGATKKPIGILHVKVIRAMDLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGMQVIPLRSLAPYESKLFTLDLLRSMNPNDQHNKKSRGKLVVELTFDPFREDNSTPAVISDGEGNVSIKRDVPAGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKIHVEIKWNTV* >Brasy7G187800.4.p pacid=40045342 transcript=Brasy7G187800.4 locus=Brasy7G187800 ID=Brasy7G187800.4.v1.1 annot-version=v1.1 MNKFIFDMWPFLDKAICKIIRSVTRPIFDQYVGKYGIESIDFGDLTLGTLPPTLQGIKVYEMQEKELVIEPVIRWASIANVTVNVKVHSFKLSTQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFRLLGGDVMAIPILYQFVQQQISKQVAILYHWPKVIQIPILDGASGATKKPIGILHVKVIRAMDLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGMQVIPLRSLAPYESKLFTLDLLRSMNPNDQHNKKSRGKLVVELTFDPFREDNSTPAVISDGEGNVSIKRDVPAGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKIHVEIKWNTV* >Brasy7G187800.2.p pacid=40045343 transcript=Brasy7G187800.2 locus=Brasy7G187800 ID=Brasy7G187800.2.v1.1 annot-version=v1.1 MNKFIFDMWPFLDKAICKIIRSVTRPIFDQYVGKYGIESIDFGDLTLGTLPPTLQGIKVYEMQEKELVIEPVIRWASIANVTVNVKVHSFKLSTQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFRLLGGDVMAIPILYQFVQQQISKQVAILYHWPKVIQIPILDGASGATKKPIGILHVKVIRAMDLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGMQVIPLRSLAPYESKLFTLDLLRSMNPNDQHNKKSRGKLVVELTFDPFREDNSTPAVISDGEGNVSIKRDVPAGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKIHVEIKWNTV* >Brasy7G187800.3.p pacid=40045344 transcript=Brasy7G187800.3 locus=Brasy7G187800 ID=Brasy7G187800.3.v1.1 annot-version=v1.1 MNKFIFDMWPFLDKAICKIIRSVTRPIFDQYVGKYGIESIDFGDLTLGTLPPTLQGIKVYEMQEKELVIEPVIRWASIANVTVNVKVHSFKLSTQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPRVDFGFRLLGGDVMAIPILYQFVQQISKQVAILYHWPKVIQIPILDGASGATKKPIGILHVKVIRAMDLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGMQVIPLRSLAPYESKLFTLDLLRSMNPNDQHNKKSRGKLVVELTFDPFREDNSTPAVISDGEGNVSIKRDVPAGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKIHVEIKWNTV* >Brasy7G027100.1.p pacid=40045345 transcript=Brasy7G027100.1 locus=Brasy7G027100 ID=Brasy7G027100.1.v1.1 annot-version=v1.1 LCTAARRVRGSPSAASPSRLFTCWLRRLLLSVSLARTVLRGGHSSRARGKSFCARAAPERRMLGTMAGIEWGWGWGGGGIEWLTRRQEQNPGRASHCARAASAFRNNVRWLLKQWCPHRGGRRRFFPWARGGRSSRMTAPAPSSPASPSRFSPRRLPRRSATSAATPLVNNCKMQTVSMSKRRYHFIVRADTDCDQYFGTDTLLGRNSHLKMV* >Brasy7G152800.1.p pacid=40045346 transcript=Brasy7G152800.1 locus=Brasy7G152800 ID=Brasy7G152800.1.v1.1 annot-version=v1.1 MMETKPPSPGPASAAAAAHHHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQQQQMRSDGGARTPQSAVPRIAYLVSGSAGDGVALRRTLRALYHPANRYVVHLDLEAPAAERAELAAAVRADPVYSRFRNVRVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVSQDDLLYVLSTLPRELNFIEHTSDIGWKEYQRAKPVIVDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQEILGRQPDGFVAGGWMDMLNTTVKGKQFTVDRVQDLRPGPGADRLKNLVTGLLTQEGFDDKHCL* >Brasy7G160200.1.p pacid=40045347 transcript=Brasy7G160200.1 locus=Brasy7G160200 ID=Brasy7G160200.1.v1.1 annot-version=v1.1 MTIADDRGCLHAYIQLHFPSSLWAKRKLSGNTMAFRNDAIRAFFLLMVVSGACAKDAANDKGAEKEAAGSAASSGPGGSYDIVKLGATGDGKTDSTQALEDAWASACGGTGKQTILIPKGDFLTGPLNFTGPCTGDVTFQIDGNLLGSNDLAKYKANWIEIMRVKNLVITGKGKLDGQGPAVWGKNSCAKNYNCKILPNTLVLDFCNNTLIEGITLLNSKFFHLNIYECRGVTVKDVTITSPGDSPNTDGIHMGDASNITITDTKIGTGDDCISIGPGTSVVNISGVNCGPGHGISVGSLGRYKDEKDVTDITVKNCVLNKSTNGVRIKSYEDAKSPLVASKLTYENIKMMDVGYPIIIDQKYCPNKICPPKPNSARVTVKDVTFRNITGTSSTPEAVSLLCSDKQPCSGVQMFDVKVEYSGTNNKTMAVCTNAKVTAKGCSEALACAA* >Brasy7G148300.1.p pacid=40045348 transcript=Brasy7G148300.1 locus=Brasy7G148300 ID=Brasy7G148300.1.v1.1 annot-version=v1.1 MERSSASPRPHFLFVTTPMQGHINPARRLAARVMASMPSARVTFSTAVAAHRLMFPWNPDDQQEAAVDDAGVLYVPYSDGFDEGFKPEVHGTGTYKERSRAVGRETLSAVIAGLAAGPSRAWSTPSSWAGPPPSRARTGSPPRSTGSSRPPSSPCTTTTSMATTPRSSPPSATRPGRGHHRAAGAAAAQLFLTLDEYEPKVLVNTFDELEPDALRAVAGFELVAVGPVVPDPDEASPAASSTDLFRREDNGKKAYMEWLDTKPARSVVYVSFGTVVSMSKRQEEETRRGLEATGRPYLWVARDGADGHDGAPQGMVVEWCDQVKVLSHPAVGCFVTHGGWNSTLESVTRGVPTVCVPQWTDQPTVAWLLEARMGVGVRARVDGEGVVGRGELRRCVETIMGDGDAASGIRAQAGRWMGRANEAVAGGGPSERNLRAFASELCCAVNDDA* >Brasy7G041900.1.p pacid=40045349 transcript=Brasy7G041900.1 locus=Brasy7G041900 ID=Brasy7G041900.1.v1.1 annot-version=v1.1 MQKPTTPIPTTTAYHLLPPARASEQRRRPARWSPLRPPAPRAPTFYPRRGRASGGGGRREGARCGRQRHLPQTRPRLPPSTPGAGERAAAVAGAREPVAAARATFPRRAPRLPPPTPDARDLAAAARATSPRRAPRLPPPTPGAGERAAAARATSPDHPRLPPPTTGAGEDAF* >Brasy7G130100.1.p pacid=40045350 transcript=Brasy7G130100.1 locus=Brasy7G130100 ID=Brasy7G130100.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPKTVCPQHVKKWE* >Brasy7G057500.1.p pacid=40045351 transcript=Brasy7G057500.1 locus=Brasy7G057500 ID=Brasy7G057500.1.v1.1 annot-version=v1.1 MASISLEEVRNETVDLSTVPVEEVFKTLKCDRKGLTEAEGANRLKLFGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIALANGGGRPPDWQDFVGIVSLLFINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQDAAILVPGDIVSIKLGDIIPADARLLEGDALKIDQSALTGESLPVNKYAGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEILVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVDKRTAITYIDGNGDWHRVSKGAPEQIIELCNMAPEAEKKVHAQIDQYADRGLRSLGVSYQQVPEKSKESAGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTALLGDKNSPVNGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVLGTYMALVTVLFFYLAHDTDFFTETFGVRPIRDNDGELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQLVATAIAVYADWDFCGMKGIGWPWGGAIWAFSVATYIPLDVLKFIIRYSLSGKGWDNVQNKTAFTNKKDYGKGEREAKWAVDQRTLHGLNQPAAASDILNTKEELSAIAEQAAKRAEVARLRELHTLKGHVESVVKQKGIDIDTIQQSYTV* >Brasy7G150100.1.p pacid=40045352 transcript=Brasy7G150100.1 locus=Brasy7G150100 ID=Brasy7G150100.1.v1.1 annot-version=v1.1 MQALLRNVCRAGTHGVPKLLDCAAPGVAQLFVKTLRPCSLTRSIGSSVTTPYDSVQTYGFCVKALLSRGFSTVGTAEVSLEDENSSSPMVEHPPRIKFKRPDKTARHIMNILNKEAVDKVCTERVIPDIQPGCIVQMRVQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEVKILDRKKVRRAKLYYLRDRMNALKK* >Brasy7G150100.2.p pacid=40045353 transcript=Brasy7G150100.2 locus=Brasy7G150100 ID=Brasy7G150100.2.v1.1 annot-version=v1.1 MQALLRNVCRAGTHGVPKLLDCAAPGVAQLFVKTLRPCSLTRSIGSSVTTPYDSVQTYGFCVKALLSRGFSTVGTAEVSLEDENSSSPMVEHPPRIKFKRPDKTARHIMNILNKEAVDKVCTERVIPDIQPGCIVQMRVQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEVKILDRKKVRRAKLYYLRDRMNALKK* >Brasy7G202300.1.p pacid=40045354 transcript=Brasy7G202300.1 locus=Brasy7G202300 ID=Brasy7G202300.1.v1.1 annot-version=v1.1 MESLLLTLFLLARLFGLGAAASTNSTDYLALLSFKSLIAAGDPALASWGNLSVPVCRWRGVACGVRGRHRGRVVALDLPELGLHGTLPPELGNLTYLRQLNLSYNHLHGVLPPELGNLAGLSDLDLRGNSLQGRIPASLSNCTRLENLVLYGNEFHGEIPEELCLLHNLKVLNLGMNFLTGSIPMGIDGLVSLTFLNLQLNNLTGEIPQQIGGLVKLVKLGIGHNQLTGPIPASLGNLSALKILSIFQTHVTGTIPPLQNLSSLEILELSSNNLTGSIPLWVGNLSSLTVLNIMENSLTGCIPESLGNLQSTTYLGLAKNKLSCSMPQSLENLGALQNLFLESNELEGSFPTLPVNLSSLVALNLRDNRLSGPLPPDIGDRLPNIQIFPVDRNQFHGTIPASLCNVSTLQLLQVTTNFFSGKIPRCLGIRQKRLVHVDLGENQLETRNHADWDFLSGLANITSMRTLDLSSNNLHGELPNSIGNLSSQMNFLNLAYNNLNGKIPEGIGNLIGLEWLYMAKNLLQGTIPASLGELTMLNQLDISDNNLSGYIPQTLGNLTSLNKLALRGNALHGYIPSSLSSSPLQMLDLSYNSLTGPIPKQLFLIDTLSISMILGHNYLSGTLPSEIGNLTNIGVVDFSSNNISGDIPMSIGQCQSLEYLNMSGNIFQGTIPSSLVQIKSLAVLDLSHNNFSGVIPAFLGSLGGLSTLNLSYNKFQGEVPQDGIFLNPTSVSLNGNDALCGGIARLKLQPCSHWTIKKASHKLVTIISVCAAVALIISVFALTTHYHRSRRTEANKQATLINEHYMRVSYAELANSTNGFAPENLLGAGSFGSVYKGTMASNDQQIVVAVKVLNLTQRGASQSFAAECETLRCVRHRNLVKILTVCSSIDFQGRDFKALVYEFLPNGNLDSWLHRRPMEDVEVKGLNLQIRLQIATDVACSLEYLHQHKPTPIIHCDLKPSNVLLDSEMVAHVGDFGLARFVHQDFEKSIGWASMRGTIGYAAPEYGLGNEVTIQGDVYSYGILLLEMFTRKRPTDGEFGEALGLHKYAQMGLAGSAASVIDQQLLRETEDDEGSISNSGRTSRDTRIACISSILQVGIACSAEMPTDRVQIGDALKELQTLRDKFEKQLLREGEPRN* >Brasy7G134500.1.p pacid=40045355 transcript=Brasy7G134500.1 locus=Brasy7G134500 ID=Brasy7G134500.1.v1.1 annot-version=v1.1 MATRPLVFTSRTLPTSSPSLLLRRLQTLTRAIASSSAQAMASSPTPKKVLVPIANGTEPMEAVITIDVLRRAGVDVAVASVEPGAAQVAASWGVKLAADVLLADLADVDFDLISLPGGMPGASSFRDCKILENMVKKHVEKGKLYAAICAAPAVALGSWGLLDGLKATCYPSFMDKLPSEVKAVESRVQIDGKCVTSRGPGTAMEYSVILVEQLYGKEKADEVAGPMVMCPQHGVEFSMKELNSTSWNVGESPQILVPIANGTEEMEAIMIIDILRRAKANVVVASLEDNLEIVASRNVKMVADVLLDKALKQQYDLILLPGGLGGAQAYANSDKLIGMIKKQAEANKLYGAICASPAIALEPHGLLQGKKATSYPAMWNKLADQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALELAKTMVFV* >Brasy7G229000.1.p pacid=40045356 transcript=Brasy7G229000.1 locus=Brasy7G229000 ID=Brasy7G229000.1.v1.1 annot-version=v1.1 MRAPASLLQPAAPAETPSSPAPAMSVDSDMVVILASLLCALVCVLGLALVSRCACRRHRRRSSSSGSSEPPPKGLKKKAIDALPTLSFPSAGPTSATSSSSSECAICLAEFAEGELLRVLPGCGHGFHAACVDAWLRACATCPSCRAGISAGTAAVTTPAPAPVVVVVVAEGGSSRCGRCGEVAAPAGGAGGHGHGDDTFLP* >Brasy7G105900.1.p pacid=40045357 transcript=Brasy7G105900.1 locus=Brasy7G105900 ID=Brasy7G105900.1.v1.1 annot-version=v1.1 MAWVSFLELALSILCFVLFYYFHIKSKRKNPVIPLDWPLAGMLPALLGNLPWLHDWVTTVLVASPLSFRFTGPPRSGMQFLLTADPANVRHVFTSNFANYPKGPEFAEIMDILGGGIFNADGDSWRKQRAKAQLLMSSPRFKCFVSRFVRGKVENALLPLLDHVAAGESECDLQDVFLRLTFDTTTKLVFGVDPGCLQAGLPEVPFARAMDDAMDVLLVRNVLPLSWWKLVRRLRVGYERKMAAAWRDIDAFIADTIAKRREAVKLARTTAAGGGHGGDDLLSSYIDDDRDNEEEQKDTGVDAFLRDTTMNLMLAGRDTTGSALSWFFCLLTKNPRVVSKILAELGTVKPISANAINGMASFDPEDLSKLAYLHAALCESLRLYPPVPMEHKGVVRAETLPSGHEVAPGDKIFVSLYAMGRMEAVWGADCREFVPERWVDEDDGGSGRLRYVPSYKFLAFNSGPRTCLGKDMAFVQLKAVAAAVVWNFEVQAVPGHVFEPKVSIILHMKNGFMARIKRRQQVLRN* >Brasy7G080000.1.p pacid=40045358 transcript=Brasy7G080000.1 locus=Brasy7G080000 ID=Brasy7G080000.1.v1.1 annot-version=v1.1 MSSASYSQPPAPSEAESTTANDIATPHDPGSGSAGPARSRLSLQLDQRSLHFSVNAWVLIVALIGILPLATRQLQYKGYRLSLLGTTCTTGYALFALYGIPRAGNTQAVQVWRHHVTSSKDFIPFMYCLMFVTSKLHFKLVLVPVICWALGHVARFLRRHFSNSSLYRAYLEPLCTWVETNTTAVNFLCANAEILLGFLLILSLFSKNRNAMQTFMYWQLLKLMYHSPFTAGCHRTIWLKIGRTVNPYIHSYTPFLHDLINSGMRWWFR* >Brasy7G198900.1.p pacid=40045359 transcript=Brasy7G198900.1 locus=Brasy7G198900 ID=Brasy7G198900.1.v1.1 annot-version=v1.1 MKRLLRRLSRVAAADACAAAGYQPLRPDAAAAKGAASAASTSSSSSFCGARRLGGGARAPEGHVPVCVGEEGGPVERFAVRAELLGQPAFAALLRRAAQEYGYGHPGALRIPCAVADFRRLLLGLPLAGPEDDDSLLCHH* >Brasy7G109800.1.p pacid=40045360 transcript=Brasy7G109800.1 locus=Brasy7G109800 ID=Brasy7G109800.1.v1.1 annot-version=v1.1 MTKQINLYINFNCRPQTPPLQVASHQIKTRGCSNWTGEDEAPSTAVGEKETSGLGDRGGREVQAVVEGRPCGIRDPARRREERDAGTSRDLREQRRRGVRGAKEEP* >Brasy7G016600.1.p pacid=40045361 transcript=Brasy7G016600.1 locus=Brasy7G016600 ID=Brasy7G016600.1.v1.1 annot-version=v1.1 MVPEDGARAAAAAAAAEEERMRPMAAAALETPVAGEEKPALVEADAFRRQVEDIASKADVLEKKVNEVVRFYDGKKHGSGGRKAGGSGRYAANGGSHCKGMSELMRQFGGIIRTVTSHDWAEPFLKPVDVVGLQLDDYYKIITRPMDFSTIRNKMEGKDSTKYNNVREIYSDVRLIFANAMKYNDEHHDVHIMAKLLLERFEEKWLHLLPKVENEERKIKEEPNDVPSTNTSPEAAIAKLAKDTDDELNEINKQLEDLRKMVVQRCRKMTTDEKRKLGAGLCHLTSDDLSKALEMVAQDNPSFQISGEEVDLDMDAQTETTLWRLKFFVREALERQAKAAPDKADENAKRKREICNALAKTNSKRIKKQPQ* >Brasy7G210300.1.p pacid=40045362 transcript=Brasy7G210300.1 locus=Brasy7G210300 ID=Brasy7G210300.1.v1.1 annot-version=v1.1 MSSSSCTFVDLEKAGAAAESPEPDQPAPNALCVQCLTVTMAGLAVTMLVALVASGTWLALPVAAVFAAIFYALLVFAEEWREGRRSGAGYAAGRLEPRRRRCWRLVVSFDDLHCAPTSHRNSFSADRNSSPSPSQLQQSPDLLLFLLPPPQAVSFPRLLEVAPSAATMSPATAPRPSAAAVVRVYLEMYKKPLTPKAIEAIRVLAGVSGKAQVDLAASGLTCDDLSSDMQEAQIWVDKMSAAVPAELTDKDFEASSRLSLLPVSAGGCSAWRWPELPGLQHMHGSASATR* >Brasy7G056000.1.p pacid=40045363 transcript=Brasy7G056000.1 locus=Brasy7G056000 ID=Brasy7G056000.1.v1.1 annot-version=v1.1 MASILSKFARAAFSTRASPSAVSSSAMGGFVGGGRRSAASRLPRAGGPMSVEEENAKPKSEATYFNSEATYPKSGVTYLDVDAKAFESDEAMWAFYEYWCKYHSISRDRSEMASRFKAFSDSARRVYKFNTSCTNGKCSMNHMADWTKKEKSQLRGKRRTTK* >Brasy7G162300.1.p pacid=40045364 transcript=Brasy7G162300.1 locus=Brasy7G162300 ID=Brasy7G162300.1.v1.1 annot-version=v1.1 MAPPGSRRWAYVRIMAGTILGGGLGFYVMHRIETSYKARMEENLRRYEAHMLAKAKEAQQLQDGAQREDQAQLLPDS* >Brasy7G114000.1.p pacid=40045365 transcript=Brasy7G114000.1 locus=Brasy7G114000 ID=Brasy7G114000.1.v1.1 annot-version=v1.1 MASGAGMWAFARADPRKPVFAAKVGLALSLISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGGLALAVAELSKNLGTLEEVILIMSTFIVGFCTNLAKLHPKMKPYEYGFRVFLLTFVYVMVSGYNTGKFTDTAVSRFVLIALGAAVSLGINIGIYPIWSGEDLHNLIAKNFAGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGSYKMMNYPWKGFTKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFASEIHRVGREGAKVLRELGNKVKTMTRLSSSDILFEVHLAAEELQKKIDEKSYLLVNTERWDTSKRAEGIKETMNGTGIAGKENKNEVTEPTIADQTSTHHYKSFAANSFLSRYDSSSTIDGYKTLGSWPARRSFHPNVPVEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSNKANFKEPVEEPVAVSTGDDGFLDRICKSVGLKS* >Brasy7G114000.2.p pacid=40045366 transcript=Brasy7G114000.2 locus=Brasy7G114000 ID=Brasy7G114000.2.v1.1 annot-version=v1.1 MWAFARADPRKPVFAAKVGLALSLISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGGLALAVAELSKNLGTLEEVILIMSTFIVGFCTNLAKLHPKMKPYEYGFRVFLLTFVYVMVSGYNTGKFTDTAVSRFVLIALGAAVSLGINIGIYPIWSGEDLHNLIAKNFAGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGSYKMMNYPWKGFTKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFASEIHRVGREGAKVLRELGNKVKTMTRLSSSDILFEVHLAAEELQKKIDEKSYLLVNTERWDTSKRAEGIKETMNGTGIAGKENKNEVTEPTIADQTSTHHYKSFAANSFLSRYDSSSTIDGYKTLGSWPARRSFHPNVPVEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSNKANFKEPVEEPVAVSTGDDGFLDRICKSVGLKS* >Brasy7G182900.1.p pacid=40045367 transcript=Brasy7G182900.1 locus=Brasy7G182900 ID=Brasy7G182900.1.v1.1 annot-version=v1.1 MHKRETFKTMPHIFTMLTYGARSCRLFDELELCGVIPDHGVHNVQRRRDPKGTAATAGSEGDGSGGWTVEVAREKAELERVLGGAV* >Brasy7G038300.1.p pacid=40045368 transcript=Brasy7G038300.1 locus=Brasy7G038300 ID=Brasy7G038300.1.v1.1 annot-version=v1.1 MAKAIPQIFPRSLHKLCRWHIMRKHKDSLGKLYKLFPDLKDKLAAVLNHPLMPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCARMTSTQRSESMNHVLKKGFVREQHDLHIFAQQVNNCIQTRHESEAAEATASMGVMKPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPQNTTEFLVHHYNQSKEFAWSRHEFRVLADEAEGIFECECKLWEHAGLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVADPVFNRRDYKMTAKDGTSLEYRRTMLFNEAMKTVNRGMSSDHMFNAGMRAFKEVNSRMDEEGIETNAEADHHTEDYHEEGPALSGDIPMTNPAEDDTKKEGTNTYAHAQPPRVAKTKGSRSKKKDEPPTPTHAAAAARPEPELNTHGNPRGQRLCSNCNKIARHNARTYKKRQMAEKLLEAHQKVYGASTAMDKVKICIINVLAKQVVGIADNEQLLDTDEDEDYEDQTDDDENEDDVEDYDENEDDQGGEGEEEEQCQTEVTNEQTLEATENSKPTPDVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQEKRTCSNCGKIRGHNARTCKKLQLEEQLRAQMELESQKIAQERSPEEQVQPMRATRRSARLQ* >Brasy7G110000.1.p pacid=40045369 transcript=Brasy7G110000.1 locus=Brasy7G110000 ID=Brasy7G110000.1.v1.1 annot-version=v1.1 MPQDGSARMTKATREIARARSRRRREGSTIHQSRGAPAAAGGSGGGAARGRGGAPRRWRGRGRPGAGARRWQRWRPGVGARRRRRGRKEACGAGAVGRVRMEETRGRREWE* >Brasy7G078200.1.p pacid=40045370 transcript=Brasy7G078200.1 locus=Brasy7G078200 ID=Brasy7G078200.1.v1.1 annot-version=v1.1 MPTTNTKPSRRHALRLLAPPLLLLLIVAGAAAQPNDWRDDRSDDDDGRRMQPGARSRQQPPPPSFSAPMVVLLVALIAVFFFIGFFSIYIRQCGRGSSGPAIPAAALLELSRQEQQQQQQNQQQQRNGLDPALVASFPTMTYAEARALRVRDEGKGADMAVLECAVCLSEFDDDEELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCSLKPEEDKEDEPAAAAETNGAAEQQQQDHVAIDMNGEEEERRMEEATELDRIGSQRRAMRLSRSGRSISRAHSTGHSLSLSLSARRPRELDLERFTLRLPEQVRREMEAESMRRAAVARDDGSSRAARSARIARSDRWQQQSFIARTFSSSRVPFWGTAASRRAPPDAEAGAGGVLPAGSTTTMTPVVSVTTTGQGRAVREKTADGSVGSAKMGSVRFDCLGGGGAVAADDSENEAEEEKAIVRRV* >Brasy7G144500.1.p pacid=40045371 transcript=Brasy7G144500.1 locus=Brasy7G144500 ID=Brasy7G144500.1.v1.1 annot-version=v1.1 MDDGTKRKHQPGAHPTSRKVVEEPFDPAPPLSGAATAAAPPPHLVGAIVEKGFSAAAPSSAPRPTVLPFPVARHRSHGPHWNPVTKDAYKEKGEVEDYGMDVDEMDYQPMATVAGPIRRKEKKGMDFSRWREFMADDVPPKRRQAKKNSTQRIDSGIVAEKVDVSVGERALGGDGMELDGGNAKDELGVTTLVSDVLPRKPEKRVDAGDLLMLEGEAGVAEMRGEGMQLDDGEPSVAAEINAENIARLAEMSTEEIAEAQADILNRLDPTLVEILKRRGREKSGGRKDGVKDKGGEISEPGKTARATPGACLVAGEHNGYSWKTWSERVERIRLCRFTLNGDILGFQSCQEQQDGKNTNAERVAERDFLRTEGDPAAVGYTINEALALTRSTVPGQRVLGLQLLASVLNRAVHNLHEMDLADNLEGANGADKVDDWQAVWAYALGPEPELVLSLRMSLDDNHASVVLTCAKVINVMLTYDMNETYFEFSEKVVHQGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGENAEEEGDEEHTIQDDVVVSGQDVAAGLIRMGILPRICSLLEMDPPPILEDYLVSTLVALARHSPQSADAILNCTNLVQSVVKLLVKQGSMEIHSSQIRGVTLLKVLSKYNRQTCSNLVNRGVFQQALWQWYRKAYTLEYWIRSGKEQCKLSSAMMVEQLRFWRTCISYGFCIEHFTDFFPVLCLWLSPPPFQNLSKSYVLFEFSSIARESYLVLGALAQRLPLLHSVEQLGKQDMGVSGSYIEMWSWSHVVPMVDLALSWLHLNDIPYVCSVINEQSENRAPILEESCLVLLISSVLGMLNSILERISPDGTPDVKSYCLPWIPDFVPKIGLGIITNNFFSFSRDDVVGHEDQLSFCGVSLVQGLCRMRSQGNVDASLSSICCLQRLVQLSFSVDRVIQRASTKCSEHVKESKTGIAGKILGQGISSLWHHDLLNSLNVMLPLSSSQWPVLKNIETFGRGGLAPGVGFGWGTCGGGFWSLKCLLAQLDSQLVLELIKIFSAVPEVLVTHSKGVNSDNVTNPVAKASGRISHVLGVSLIAGPGQISTLETAFDILFHPSILKCLKSSMQSMASQMKLPKTSEWEITEDEYQHFSSVLNSHFRSRWLVIKKKKSDKYARDNSGINMPKLPETLDTIQEEAELAETVNPPRGTLVVEWAHQRLPLPVHWILSSICCIDDAKGTLSTLANHAVDVSRAGLIFLFGLEANSAAPCLHAPLVWKIHALSASLRTNMDLLQEDRSRDIFNALQELYGRHLDMLCQKYYRSHSVKNDEIVGSVTTVEEAKAISSLEILGFKEKIHGSYTTFVESVIDQFAAVSYGDVIFGRQVAIYLHRSVETVVRLAAWNALSNAYVLELLPPLDKCIGDIKGYLEPFEDSEAILEAYAKSWTSGVLDKAAQRDSMSFTLVRHQLSGFVFERNASVKVRNKMVKSLIRCYAQKQHHEAMLQGFVLHGTQSSDEVSRRFEILKDACEMNSSLLAEVHRLKTSIDG* >Brasy7G144500.2.p pacid=40045372 transcript=Brasy7G144500.2 locus=Brasy7G144500 ID=Brasy7G144500.2.v1.1 annot-version=v1.1 MDDGTKRKHQPGAHPTSRKVVEEPFDPAPPLSGAATAAAPPPHLVGAIVEKGFSAAAPSSAPRPTVLPFPVARHRSHGPHWNPVTKDAYKEKGEVEDYGMDVDEMDYQPMATVAGPIRRKEKKGMDFSRWREFMADDVPPKRRQAKKNSTQRIDSGIVAEKVDVSVGERALGGDGMELDGGNAKDELGVTTLVSDVLPRKPEKRVDAGDLLMLEGEAGVAEMRGEGMQLDDGEPSVAAEINAENIARLAEMSTEEIAEAQADILNRLDPTLVEILKRRGREKSGGRKDGVKDKGGEISEPGKTARATPGACLVAGEHNGYSWKTWSERVERIRLCRFTLNGDILGFQSCQEQQDGKNTNAERVAERDFLRTEGDPAAVGYTINEALALTRSTVPGQRVLGLQLLASVLNRAVHNLHEMDLADNLEGANGADKVDDWQAVWAYALGPEPELVLSLRMSLDDNHASVVLTCAKVINVMLTYDMNETYFEFSEKVVHQGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGENAEEEGDEEHTIQDDVVVSGQDVAAGLIRMGILPRICSLLEMDPPPILEDYLVSTLVALARHSPQSADAILNCTNLVQSVVKLLVKQGSMEIHSSQIRGVTLLKVLSKYNRQTCSNLVNRGVFQQALWQWYRKAYTLEYWIRSGKEQCKLSSAMMVEQLRFWRTCISYGFCIEHFTDFFPVLCLWLSPPPFQNLSKSYVLFEFSSIARESYLVLGALAQRLPLLHSVEQLGKQDMGVSGSYIEMWSWSHVVPMVDLALSWLHLNDIPYVCSVINEQSENRAPILEESCLVLLISSVLGMLNSILERISPDGTPDVKSYCLPWIPDFVPKIGLGIITNNFFSFSRDDVVGHEDQLSFCGVSLVQGLCRMRSQGNVDASLSSICCLQRLVQLSFSVDRVIQRASTKCSEHVKESKTGIAGKILGQGISSLWHHDLLNSLNVMLPLSSSQWPVLKNIETFGRGGLAPGVGFGWGTCGGGFWSLKCLLAQLDSQLVLELIKIFSAVPEVLVTHSKGVNSDNVTNPVAKASGRISHVLGVSLIAGPGQISTLETAFDILFHPSILKCLKSSMQSMASQMKLPKTSEWEITEDEYQHFSSVLNSHFRSRWLVIKKKKSDKYARDNSGINMPKLPETLDTIQEEAELAETVNPPRGTLVVEWAHQRLPLPVHWILSSICCIDDAKGTLSTLANHAVDVSRAGLIFLFGLEANSAAPCLHAPLVWKIHALSASLRTNMDLLQEDRSRDIFNALQELYGRHLDMLCQKYYRSHSVKNDEIVGSVTTVEEAKAISSLEILGFKEKIHGSYTTFVESVIDQFAAVSYGDVIFGRQVAIYLHRSVETVVRLAAWNALSNAYVLELLPPLDKCIGDIKGYLEPFEDSEAILEAYAKSWTSGVLDKAAQRDSMSFTLVRHQLSGFVFERNASVKVRNKMVKSLIRCYAQKQHHEAMLQGFVLHGTQSSDEVSRRFEILKDACEMNSSLLAEVHRLKTSIDG* >Brasy7G106100.1.p pacid=40045373 transcript=Brasy7G106100.1 locus=Brasy7G106100 ID=Brasy7G106100.1.v1.1 annot-version=v1.1 MSLWNKSEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRTLLAQAERQIPISAAGAAPVAVVTNGKRALEDEVGPDGKKMRPGINPVMIDELTECNSMLSAQRKKRQVPPSLASIDALERYAQVSSHPLHKTNKPGILSIDIHHSKDIIATGGIDTNAVLFDRPSGQVLSTLTGHSKKITSLKFVPRDELLITGSADKTVRIWQGSEDGNYGCRHTLKDHNAEVQAVTVHATQKYFVTASRDNTWCFYDLSTGSCLTQVGEASGQDGYTAAAFHPDGLILGTGTSEAVVKIWDVKTQSNVAKFDGHVGAVTAMSFSENGYFLATAAVDGVKLWDLRKLRNFRTISAFDPDTPTSSVEFDSSGSYLAVAGSDIRVYQVANVKMEWNLVKTLPDLSGTGKVTCVKFGTDAKYVAVGSMDRNLRIFGLPGDEQMEEAKSPVNE* >Brasy7G009100.1.p pacid=40045374 transcript=Brasy7G009100.1 locus=Brasy7G009100 ID=Brasy7G009100.1.v1.1 annot-version=v1.1 MDEVVSALADSQTLTQDGATDQPVIVYVWDMDETLILLKSLLDRSFAGHFEGLKDLDKGVEIGKRWENIILEVCDGHFFYEEIENYNEPCLNSLLQYDDGKDLTKYDFEADCFSSPYDDANKRKLAYRHRAIGDKYAKGLEKILDNHTVKVWSDLYSLTDKYTDGWLSSAHKLLEEALGKSTAEPAAKPSNVNCIVTSGSLVPSVGKCLLYRLDDVVSADNIYSSWEKGKLQCFKWIKERYDGPNVRFCAIGDGHEERSAAAIMKWPFVKMEIQPEAPHRFPGLNLSMIHAIMDAAADKSSGKDG* >Brasy7G200900.1.p pacid=40045375 transcript=Brasy7G200900.1 locus=Brasy7G200900 ID=Brasy7G200900.1.v1.1 annot-version=v1.1 MASAATLAAATSSLLRRSSPLLLRRPHGLRISCDVAPRRSVRHIASSTNEEAAARAAAETADTGGPTIFDKIIAKQIPSNIVYEDEKVLAFRDINPQAPVHVIVIPKLRDGLTGLDKAEPRHAEILGQLLYTAKVVAEKEGVADGFRVVINNGAEGCQSVYHLHVHVLGGRQMKWPPG* >Brasy7G137500.1.p pacid=40045376 transcript=Brasy7G137500.1 locus=Brasy7G137500 ID=Brasy7G137500.1.v1.1 annot-version=v1.1 MLFVLLCSLATSNSTVTGWRPLKFCNCCDLSDRTVSKLVAEQAQSHSIIPSPSLACCPHPLFQTHTPIAHEADDELDQYIHDPD* >Brasy7G210700.1.p pacid=40045377 transcript=Brasy7G210700.1 locus=Brasy7G210700 ID=Brasy7G210700.1.v1.1 annot-version=v1.1 MFSAPGNNSLALAAPRPGMELGNFHQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVVASGSHDKDIFLWYVHGDCNNYMVLRGHRNAILDLQWTTDGTQIISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDDYQITAVSFSEAADKVFTGGLDNDIKWWDLRKNEVTEHLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL* >Brasy7G052600.1.p pacid=40045378 transcript=Brasy7G052600.1 locus=Brasy7G052600 ID=Brasy7G052600.1.v1.1 annot-version=v1.1 MAGGGTQQSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHSERPSREKYIREIFHSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAGAWDYSAWVRTYALYLEERLECFRVLKYDVESDPPRTRELDTVGVLDHLPPLQQLLFRLLACQPQGASSYNIIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQSERLSEFYEVCKTIHVGRGEKFLKIEQPPASFLQTMEEYVRDAPAMKDKAVLAIEYKKEPEEEVKLSSPPPVSEPEVEQEPEPEPEPEPVIEEAPAAEPTDLLGLNETNPSVAELDEKNALALAIVPIDDAPRSAPAFPENGVTGWELALVTAPSSNETAVTSGKNLAGGLDLLTLDSLYDDANRRASQPTSYNPWEVPGAAPAPMMQQPVAMHDPFYGSSGYAAPHAVQMAAMAQQQQAFMLQQQMMMASHHHPQLYHQQQQQQHQPQAAPANPFGANPFAPAGGAGMMPLHAGPGNGYTGLI* >Brasy7G200400.1.p pacid=40045379 transcript=Brasy7G200400.1 locus=Brasy7G200400 ID=Brasy7G200400.1.v1.1 annot-version=v1.1 MRGSRTTRTRRRRCARDDGDLALGGGDPHRRDGEGAGRRHGVRRRERASSADGNRRGAVRSGAQRAVARRHGERRPFVGGAQREERWLMRGRWRRDLMPARERWRRKEEGRREM* >Brasy7G211600.1.p pacid=40045380 transcript=Brasy7G211600.1 locus=Brasy7G211600 ID=Brasy7G211600.1.v1.1 annot-version=v1.1 MPDGRGAATVLDDLPEEVIVDKILTLLPGKDVGRCRAVRKSWRSATSTPEFMLEHHRRQPSLPIIDNGQPAGFVALRETGTRKYGQQLWPFTRYRKGHHMNCLHASIDGLLVVMLGMRSRFYICNPTTRKHALLPEPPLDPRSDEGVYDTLRFCGLYRHHPTGEYRVLWVSKYFSEGRLYEARLYVLTVGSNEARHIRIRMPGMLPLSEEKAFLFLKRLYRFAYFPTVHHCGNLHWMGASREIIVFDTVAESFSLMRCPTQLGSRGRLLDINGTLGFGCRVTEQAMEVWVVQDYQAEIWALKCRIDVSTVEASRDRTIIAKRKNKKKKPLDFTVKSFRDMAVLNERELLIRFNRNYVLRCDFDGKFLGIVNTERRQYHMLLTRYRFQESIIPIPSGEIQEEDGEPPFSTGHV* >Brasy7G138100.1.p pacid=40045381 transcript=Brasy7G138100.1 locus=Brasy7G138100 ID=Brasy7G138100.1.v1.1 annot-version=v1.1 MGDSGGSVVSVDVERISFGGKEHHIQTKHGPVSVAVYGDHDKHALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPFSPNNPVASVDELADQVAEVLDFFGLGSVMCLGVSAGAYILTLFATKYRERVLGLILVSPLCKTPSWTEWFYNKVMSNLLYYYGMCDMVKDCLLQRYFGKRVRGGSVVPESDIMQACRSFLDQRQSMNIWRFIQTINQRHDLTESLKQLQCRTLIFVGENSQFHNEAVHMAAKLDKRYSALVEVQDCGSVVTEEQPHAMLIPMEYFLMGYGLFRPSHVSSSPRSPLNPFCISPELLSPESMGVKLKPIKTRTNLGV* >Brasy7G170100.1.p pacid=40045382 transcript=Brasy7G170100.1 locus=Brasy7G170100 ID=Brasy7G170100.1.v1.1 annot-version=v1.1 MAYPLLFARSRRKGRSVAQTLEDNRWVLDLRRDFSTPLLLEFIHAWRELHQANTVLQPGVPDSICWTMTDSGQYFARSAYLLHFIGRTNSDLLQATAHHLFVECPFTTRLWLEAAMWPNCRGVAAALQSFTVSVPNFRESLMLETDAVHRQGMSSLFILICSSIWRERNERIFRGKESSLRQIITFIKDEAQAWAFAGARALRNFLWEPP* >Brasy7G232800.1.p pacid=40045383 transcript=Brasy7G232800.1 locus=Brasy7G232800 ID=Brasy7G232800.1.v1.1 annot-version=v1.1 MSGFSPPPHARILRLQQHNKLQTFYLSHRLVDTKYRDRDMVIFFSQMNQLPRLYMYLAPMPAEMVNIFANMPHLVDIHMRRFDVLDKLPDKFPQSLQSLTLYAYVIEQDPMPILEKLPCLVVLDLEGYQGQTMSCSAKGFPRLQRLQLDNFSTEKWTMEDGTMPKLSHLQLSRLSKMRMLPQELLHLPSLNKLVLEDMSQISEDDATLKELQRKGCEVAIARW* >Brasy7G168700.1.p pacid=40045384 transcript=Brasy7G168700.1 locus=Brasy7G168700 ID=Brasy7G168700.1.v1.1 annot-version=v1.1 MASSLLPETTAGTMLAAAWEQVRAPVVVPLLRAAVALCLAMSVMLFVEKVYMAAVILAVRLLRRCRPERQYRWEPMRDGDDLELGSNGAAADYPVVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIVQVLDDSTDPAIKELVQVECQRWARKGVNIKYEIRENRRGYKAGALKEGMKHSYVRGCDLVAIFDADFQPDADFLRRAVPFLVHNPDVALVQARWKFVNADECLMTRMQEMSLDYHFTVEQVVGSSIHAFFGFNGTAGVWRIAAVNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLMDIVRNKKVTLWRKIHVIYNFFLVRKIIGHIVTFVFCCLVIPATVLVPEVEIPRWGYIYIPSIITLLNAVGTPRSVHLLVFWTLFENVMSLHRTKATFIGLLEIGRVNEWVVTEKLGDALKMKMPSKVPKKLRMRIGERLHLLELGVAAYLFFCGCYDISFGNNHYFIFLFMQSIAFFVVGVGYVGTFVPH* >Brasy7G064900.1.p pacid=40045385 transcript=Brasy7G064900.1 locus=Brasy7G064900 ID=Brasy7G064900.1.v1.1 annot-version=v1.1 MNGTHPLPNGSNSALAAGHQQPLHGRVAIVTGGAGGIGAAVTSHLASLGARVVIGYIGDPAPADNLAASLNDNASSGPNRAIAVCADVSDPAQVERLFDAAEAAFGAELHIVVAAAGFQDAAYPAIADTDPEQWDRAFGVNARGTFLCCRQAARRLVRGGQGRVVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFFAGKSEERVRAVASECPMQRIGEPDDVAPVVGFLCSDAAGWVNGQVIRVNGGYV* >Brasy7G235000.1.p pacid=40045386 transcript=Brasy7G235000.1 locus=Brasy7G235000 ID=Brasy7G235000.1.v1.1 annot-version=v1.1 MAALPCSSTIPPILSSPPSSRRPLFYLLSKPAPRPSRLQLLHIHPRHRRPSIRCCSPASSSSSSYNGWADLPAAGPDDVLSFFRIPQSITATPLPILLLLVPAAALSLSRLPPPPLLAAAFAAGFATARHLAPALSSTHAQRRLASLLADLDARLLSLKKTHSPSPAAAAEDEDDGFLQAVDRARDAVLEYAAAVTGQGSRAAPDEATLGEVAREVAGYFGGWVRDTLRELRFTSPRKKPTVKAAAAAAVDSGSDAGLVDSGSRDAPARQPNGDDSASIRPNTKHTGSVIGEDKALGSAVTGSAARPLVDMLPFDAKDEDDLEDAGFSSQSGQAEDDDRLERLVFKNRYGRGSGAQDDGRFQGHRFATESSLLERTLEIRDRSYRLKIERRDGSDSRVHERSADEFMSNTARVEEPTDDEGAAAMGSDGEEFSRSIKEAAEVLKKARECMMARADEETADALLYKSASLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAYLNGRERVSRSRKLDRRIVTRENISSALVDVCEECESLLVDAGRSYRTAVSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGSALQQRSQLRSGKNKEKIRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWL* >Brasy7G158200.1.p pacid=40045387 transcript=Brasy7G158200.1 locus=Brasy7G158200 ID=Brasy7G158200.1.v1.1 annot-version=v1.1 MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLASISVENVESNRQALRELLFTTPGAVEYLSGVILFEETLYQKSSDGTPFVDILKAGNVVPGIKVDKGTVEIAGTGGETTTQGLDSLGARCARYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGGHDIKVCAAATERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIAEYTVAALRRTVPPAVPGIVFLSGGQSEEEATKNLDAMNKLGVGKPWTLTFSFGRALQQSTLKKWGGKKENVAAAQAAFLARCKGNSEATLGKYAGAGAGGDAAASESLHVAGYKY* >Brasy7G075400.1.p pacid=40045388 transcript=Brasy7G075400.1 locus=Brasy7G075400 ID=Brasy7G075400.1.v1.1 annot-version=v1.1 MGSEALPLDAPAAEKMKVRVRGHGAADNRSFHLETSSNAGEWQQGEAGVRARVGAAAGPGRREGEPGERRQGEAAGRARTGNGGKASRRAGAAAGRGRPAGGRARAGNGGRARPARGRAGQRARRQGRERRTCRKRQDGVVIWGRDSGEEEKEGGR* >Brasy7G181500.1.p pacid=40045389 transcript=Brasy7G181500.1 locus=Brasy7G181500 ID=Brasy7G181500.1.v1.1 annot-version=v1.1 MGGKGGGGGGGKGGGGGKGGGGGGGGGAAKGGGGGGGAGKSSGTGGGGGSGGMMKAPGSGGASYISRPGFESNPQGYFQGLHGAGGGK* >Brasy7G172700.1.p pacid=40045390 transcript=Brasy7G172700.1 locus=Brasy7G172700 ID=Brasy7G172700.1.v1.1 annot-version=v1.1 MAAKLRALLVAACLVLAAVAAVSGARPLTEEEGDGAIAVKSPPATADVIQTMVGAVEGAGHKLFISVDMLRGIKNSGPSPGDGH* >Brasy7G155100.1.p pacid=40045391 transcript=Brasy7G155100.1 locus=Brasy7G155100 ID=Brasy7G155100.1.v1.1 annot-version=v1.1 MKFIGVVPPGRATTATIVRPTRSGHRAARPHAHPRERVAWIPCHGLPPLIDQTVRIHASTSGDIPTISASMSMIVPTTRELINE* >Brasy7G172100.1.p pacid=40045392 transcript=Brasy7G172100.1 locus=Brasy7G172100 ID=Brasy7G172100.1.v1.1 annot-version=v1.1 MLSCFRLARPAGDASQQGGASSPRLPFAASLFAPSPSTSGIKNPWPSSDAATDDMEKKRWDSMESWSMLLDTAMGPSSSGAGESSSRHSGRREEWMADLSHLFIGNKFAAGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQATKGNKGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSSSCPPVLNNLIKRCWSANPARRPEFSYIVSVLDKYDHCVKDGTPIMVSQELRLWSNFARIFRIGCIANNLSIPVHA* >Brasy7G165700.1.p pacid=40045393 transcript=Brasy7G165700.1 locus=Brasy7G165700 ID=Brasy7G165700.1.v1.1 annot-version=v1.1 MANFDFDPASWVPQGMLWMDGGEEQTPEDHVFFGAAPPRRHDGYAPVPAGPFARRLLMQEVQALISAPPLSLEVLRARVHPFGAGIFQFSSVLIRDQLVSHALIHMEGGRTLYFIRPPMLAGRRASSRILYIMYLGWPLDFLDLELFRRAVSRFGIPIDWVDQDNRESYVLLRCLVKDNLRVPRSTIVEQLNLFGGQGRSWTVPTMVLDGNFDALLPVEEDLPLGSLNRHALPPPPPQIFGFGWDPWGAPPIHDEQPAAGGWPAAPQDSMPNEPQFPDTLVDSRAPSRPIGRCIVRPPASPDSMSSASSAAVAAPGPFRPGKRARAGVCISDGRASSLDDDDDEDLPRAPEFGYDLQVALLEQAQAPPDPSDRKGKKPCFLAAGDEGSSRPSPAWTPSARIPGLSPSLPPRAGSPIMVRIPAARPSPVPPPATSAGVLDFISTSQASVVQAIAPTSGERYLDMPAGDEPSSPPLAAGDAKGPDGDEPSSPPLAAGDAKGPEAKSGA* >Brasy7G126400.1.p pacid=40045394 transcript=Brasy7G126400.1 locus=Brasy7G126400 ID=Brasy7G126400.1.v1.1 annot-version=v1.1 MDAGYIDGDEFCANGRRRGELLEDLQQDEEEMGPAATRGGGGDRRPREEEGWRQGRRGGGGGRRRRAREEEEVTGGHGRVGGGGHGRWRRGPAAPGTGARSGHGRRRGGGRGQRCRAREQGAATGGGGLEAGKICKKNPEFYRKAQFRPQIGLRVDF* >Brasy7G144900.1.p pacid=40045395 transcript=Brasy7G144900.1 locus=Brasy7G144900 ID=Brasy7G144900.1.v1.1 annot-version=v1.1 MEDGVAQWEGYVDWRNRPATKGRHGGMVAASFVLVAEVLENLAFLANASNLVTYLMKTMHYTPAQSATTVTNFMGTAFLLALLGGFLSDAVCTTYVAYLVSAFVEFMGLVILTIQARSQSLMPPQCAEGAVGCEPVGGSKKAMLFVGLYVTALGVGGIKGSLPPHGAEQFDEDTPRGRKGRSTFFNYYVFCLSFGALVAVTFGVWVEDNKGWQWGFGLSTVAILLSIPVFLAGSGRYRSKVPTGSPLTTIAKVLLAAASAPRCRGGRAQNGAGNGAVIDRAPSPTGSTDMKELYCGNKESCEEAVAAMEPSQELKALNRAVQCQPRHRALACTVQEVEDVKVVLMVLPIFLATIMLNCCLAQLNTFSVEQATTMDTRVGGLKVSPASLPVFPVTFIILLAPIYDHAIVPFARRVTGTETGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAADAGMVDSTGGTPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYMSSVLVAVVNSATGRGGHQPWLEGAKGNLNRYHLERFYWLMCVLSALNYVFFLVLAIRYKYRNAGLIKG* >Brasy7G177800.1.p pacid=40045396 transcript=Brasy7G177800.1 locus=Brasy7G177800 ID=Brasy7G177800.1.v1.1 annot-version=v1.1 MDAHCLGGGHLHPPLQPPPLKLLTLRRRFHRGGVTGAFTCSSTAADHRQERPWESYDRDIQPHAGSDLARSLHVLADMQAAGMRASAAAYARLIRSLARAGRTLEAEALLLEMRHLGLLPHAAHYNALLQGLLARAHLCLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMKRRGIQLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARRLFEKMRAEGIKPDIATWNALIRWHCRVGNMKRALRFFAAMQEEGMYPDPKIFMSIISRLGEQGKWDELKNLFDKMRNRGLKESGAVYAVLVDIYGQYGRFRDAHECVAALKAEELHLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFSTAGRHLEAVAVFQHIKDSGMSPDVVTYTTLMKGFMRVKKFEKVSEVYNEMVRAGCTPDRKAREMLHDASVTLEQRGCY* >Brasy7G143500.1.p pacid=40045397 transcript=Brasy7G143500.1 locus=Brasy7G143500 ID=Brasy7G143500.1.v1.1 annot-version=v1.1 MQEQREGNEDDRRGRVGRQRSPERTGTSSGTSSSLPAQAAPPPRAAKQIYVPKVTPPVNTAKQGEKRASPENSRAVQKQKCYRCGEPDHKIGDCKALIFCEICGSDQHLTKRCGDRPCQEFIAPFVGAVGPDLGFYYIPNIENEQSERDIHQAVIHVLNSKLTAKDIEAEFRIQAGKGSKWSWNARVVKENQFLMRFPNEKFINDFARFPKFEMSTQKDIMLKVERWKARVGSKGVAQQAWFHVRGIPWENRNRMTAAFVGSLVGVVVDVDKRSLQNIDFVRILIKCRDVFLVPAVQDAMLGNDFYDFEFTREITRVLRRLFLWIRTTSRGSLTMGSSKSRRLMLMVITVIRTLHLVL* >Brasy7G003900.1.p pacid=40045398 transcript=Brasy7G003900.1 locus=Brasy7G003900 ID=Brasy7G003900.1.v1.1 annot-version=v1.1 MLLLRAKPPPAPATPISSFSTPPAKATASYNINKHPSSATARCSVGNLSPVRFEPLRSDSGPDLDPGPSSYDAGVAATGGEDDEDEEEEKGDNNNNKQGGISGISVPRQRYIAVPKAALLEAVLSLFPSSQPQTAAASEFKRFARCLDAVLHAEHKEVLEEMRAYYMLTQPNPEEQDEQKGSPVSSGDQAAAAVNGKNSGFFGSMTQLDADGASFLSRSLDWRTLLGLSPDPVSPTRVAFATHFQRAFMNLLRNAQFEELSAQDLLLTYSLNSDYILTLPVYVDWKKAAESNAIIFRRGYATESQKGLLLVEKLDYLQSKLLQNIFFSLSKPLAKLGKWINEALKRSTGSQGFQIWIDKLKLWLKEQTYAENSLLLIENSSWDKPTSDQLPDADLPIWIAAQRAVSRYEGFLSPVGPRGRLLRRLLTWTGLIPSLPEATIKSDVETKHFEGSARPNFLPRITLANIWEPASRESCDNNVWEIVKASFAILFGKSTLQEPAFQELIILYSDDATESNERDKSEMLPPQLKIYEKIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIAAFTALAILVFRVTLGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRKKYQVSSRASIRDACEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTNGSSSVIALPCPDAYEILKSRWDTLLEHKTEQG* >Brasy7G055200.1.p pacid=40045399 transcript=Brasy7G055200.1 locus=Brasy7G055200 ID=Brasy7G055200.1.v1.1 annot-version=v1.1 MWKLHGTETSSPAPMESGSNVQITRIDPSATDSSSIIQVDQVMRRSGSAPAADPSSSTEFQLKQSLLLLATLVATVTYAAGLNLPGGAWPEDTPGGALAGDPILRETHYHRYIVFYYCNATAFAASLVVCLLLLVLHEQSDHRLLRAVMLLDLFGLMGAYAAGSSRDRFTTVCASVLVFAVVAYVAVAFLLYIPPDQDASSDERDKEHEILMVLAIFAATIAYVAGLNPPGGFWQRTQDGHTAGDPVLQDHHRRRYQAFFFFNTTAFVASLFVILLIVQKINMLRKRPFRFVALYVFTVVALLGLGGAYAAGVCRDPRNTAAVLALGALVLVYIFLQMAIVPVAKAIKPSRITLSCKDRLCCTVLLTPCFKIISNFFTRTRPHDEILEKAREFIQLLAVLAASIAYQAGLDPPGGLWSDNGDGHAIGEPILLTTHPRRYIVFFYCNSAAFVASLVTIMLLQSASLVRRHVLEAAMILDLFSLIGAYAAGSCRDIGTSIYIVAVAGAVFIYVVIHIIFFTLDQSNIDDVELEKHRQVLLLLAILAATLTYQAGLTPPGGFWEMDDKQAGHSAGYPVFQDNYPRRYEAFFYCNAASFMASVALIILLVNPNMYRPGIRCYALYVCMVAGMFGLMGAYTAGSSLHLRATIFVFILVALVFTLVVYLGVSKGKPRNNGQEQDGSAAVGAGQEASMPMYLMLLGILAASVTYLTGLKPPGGLWKDGGGGHSAGSPILYDVDKRRYNAFFYCNSTSFMASIIVIAFLFRRMVWQKKDFPLWPMHTAMLLDMLALLGAYAAGSAREWETSRNVILMLFPMLFFVAIVLFLNREEPQPQPHASTPTVMIARI* >Brasy7G007200.1.p pacid=40045400 transcript=Brasy7G007200.1 locus=Brasy7G007200 ID=Brasy7G007200.1.v1.1 annot-version=v1.1 MAALVVSAALLLQASPLTAMATPTSSIEDACRGAAGRHPGISYAHCVSSLSADARTRDAADLHALAALATRIAIEHATATESKLDGMNEAEPSPRARARLAHCLDLYGAAADVLRDSLDNIRAGVYGRALEQIAAAEGAAEKCEDVWKGEEKGKVPVAGHDREYGRMDVVALGLTSGIA* >Brasy7G232000.1.p pacid=40045401 transcript=Brasy7G232000.1 locus=Brasy7G232000 ID=Brasy7G232000.1.v1.1 annot-version=v1.1 MAESVLGAVLGKTLILSFPELPLLPLLRPSRTPAPPPPPPSARRAAAAHLAQRSPPRAPPRAADASSPPPATPLPAFPPAGSGGPRPPSPPRAAADLLRTAPRRRVPPCARRLRASSSRAGARASPRAALTVAGARAEAGTRGLFLAQINIIDSYSHRQWMRSSTRLNQLR* >Brasy7G110800.1.p pacid=40045402 transcript=Brasy7G110800.1 locus=Brasy7G110800 ID=Brasy7G110800.1.v1.1 annot-version=v1.1 MAALATTAVAIAILALAAVTGVALATAAKGPVIYIFGDSMSDVGNNNYLLLSVAKCDYPWYGIDYEGGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVEYLSFDNQISYFEQTKNAMIDKIGRKAAEEVVNGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIDLLMDTIDQQLTRLYNLGARKVWFTGLAPLGCIPSQRVLSDNGECLEDVNAYALQFNAAAKELLDGLNAKLPGARMSLADCYSVVMELIEHPKKYGFTTSHTSCCDVDTSVGGLCLPTADVCADRADFVFWDAYHTSDAANQVIAARLYADMVSADAGVQGSGNSTSAGSATPPVVRSPPHAARPIPRPAGGSGNGTASSAPGGVVRSPSPRAARTPPPRPVRGSNGTATSAPRVVRSQPQAAPPPQA* >Brasy7G130800.1.p pacid=40045403 transcript=Brasy7G130800.1 locus=Brasy7G130800 ID=Brasy7G130800.1.v1.1 annot-version=v1.1 MAARVPALLCVALLCLLGLATHSLAQTSPAAAPANNAPPKPSSKATPAPVATTPTASPAPPAANAAPPTPAAAAAPVTTPASAAPKASPATPAAAPTTPVTVPAPVQAPATAPPTPKAPAPVTVPAPVQAPARSPPAPVTVPAPVQAPATAPPKPKAPAPALSKATAPAPAATAPSVVAPAAASPTVEAPAAAAPTVEAPAAASPALVPAAAPAPETKPIEAPAPAPAKKKSSSKDKKKKKMAPAPAPAAVAPVAKTTEAPVSAAAAPGPSGASTAADTASAAGRTTQTMPSMVLVALGVVAMLA* >Brasy7G130800.2.p pacid=40045404 transcript=Brasy7G130800.2 locus=Brasy7G130800 ID=Brasy7G130800.2.v1.1 annot-version=v1.1 MAARVPALLCVALLCLLGLATHSLAQTSPAAAPANNAPPKPSSKATPAPVATTPTASPAPPAANAAPPTPAAAAAPVTTPASAAPKASPATPAAAPTTPVTVPAPVQAPATAPPTPKAPAPVTVPAPVQAPATAPPKPKAPAPALSKATAPAPAATAPSVVAPAAASPTVEAPAAAAPTVEAPAAASPALVPAAAPAPETKPIEAPAPAPAKKKSSSKDKKKKKMAPAPAPAAVAPVAKTTEAPVSAAAAPGPSGASTAADTASAAGRTTQTMPSMVLVALGVVAMLA* >Brasy7G002200.1.p pacid=40045405 transcript=Brasy7G002200.1 locus=Brasy7G002200 ID=Brasy7G002200.1.v1.1 annot-version=v1.1 MAAAMDGGEADHLAGERATAQFDVDGMKVAWAGSRHAVEVNDRMARLVASDPVFCKDTRTMLPRKELFKDTLKKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPMAYKFRIIGCYAQTELGHGSNVQGLETTATFDPSTDEFVVHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGITLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSNVPKQLLYGTMVYVRQTIVADASKALSRAVCIAVRYSAIRKQFGAQAGGPETQVINYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQKLEAKDFSTLPEAHACTAGLKSVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQHLMQSKCAVNTAEEWLNPSVIKEVFEARALRMAVNCAQNISKAPSQEEGFSELSPDLLEASMAHVQLIIVTKFIEKLQQDIPGHGVKDQLQNLCNIYALHLLHKHLGDFLSTGCVTAKQGALANEQLGKLYAAVRPNAVALVDAFDYTDHYLGSVLGRYDGDVYPALYQEAWKDPLNDTVVPDGYQEHLRPLLKQQLKLSRL* >Brasy7G052400.1.p pacid=40045406 transcript=Brasy7G052400.1 locus=Brasy7G052400 ID=Brasy7G052400.1.v1.1 annot-version=v1.1 MQVWDIVRCAFLDNNNGSRVITTTRIEQVAAACCSSRHDHVYKMKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.2.p pacid=40045407 transcript=Brasy7G052400.2 locus=Brasy7G052400 ID=Brasy7G052400.2.v1.1 annot-version=v1.1 MQVWDIVRCAFLDNNNGSRVITTTRIEQVAAACCSSRHDHVYKMKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.3.p pacid=40045408 transcript=Brasy7G052400.3 locus=Brasy7G052400 ID=Brasy7G052400.3.v1.1 annot-version=v1.1 MQVWDIVRCAFLDNNNGSRVITTTRIEQVAAACCSSRHDHVYKMKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.4.p pacid=40045409 transcript=Brasy7G052400.4 locus=Brasy7G052400 ID=Brasy7G052400.4.v1.1 annot-version=v1.1 MQVWDIVRCAFLDNNNGSRVITTTRIEQVAAACCSSRHDHVYKMKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.7.p pacid=40045410 transcript=Brasy7G052400.7 locus=Brasy7G052400 ID=Brasy7G052400.7.v1.1 annot-version=v1.1 MQVWDIVRCAFLDNNNGSRVITTTRIEQVAAACCSSRHDHVYKMKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.5.p pacid=40045411 transcript=Brasy7G052400.5 locus=Brasy7G052400 ID=Brasy7G052400.5.v1.1 annot-version=v1.1 MKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G052400.6.p pacid=40045412 transcript=Brasy7G052400.6 locus=Brasy7G052400 ID=Brasy7G052400.6.v1.1 annot-version=v1.1 MKPLNDLDSRRLFFQRVFGSEDACPEQYKIVSQNILKKCGGVPLVILSIASLLASQECMHKEKWESIQKSLVSELETSPTLGWMRHVLNLSYNDLPHSLKTCFLYLGIYPEDYKIEKIDLLRRWIAEGFVSHKHGLSPEEVAESYYNELINRSLIQPAVFEYCELTCCRLHDVMLDFIVSKSIEENFITIMDERHSTKGAFEVRRLCLQYGSANLVPKCMSLTQIRSFTVLGYSGYIPPLSRFEHLRVLDLDMNDFNGIECVDLSAICKLFQLRYLRANGHRLKLPKQIGELKNLETLDIRDTVVHSIPSDLSKLRSLRHLNVPIDAQLPSGIGKLSALRTLGFFNRAENSMDNIQDLGQLTDLRELDLILTKHVVKAHGPTEKLKLSFLIDSLGKLIHLRSLHVSTIDANKLASPTCDFLSYWFPPPCNLQKLSLSFCTVSKVPDWISQLDKLTSLKIRVKELQRDAVKLLGGLPCLVYFDLSVGKDPKQDLIFCNNAYPSLREFGLAYTFSSVTFETRTTPKLQVLHLAFYMRRQEQEGSSLTGVEHLLNLEQLTANIYSHGAIGVSFRDAILRHPRSRTFNIHFPRC* >Brasy7G155500.1.p pacid=40045413 transcript=Brasy7G155500.1 locus=Brasy7G155500 ID=Brasy7G155500.1.v1.1 annot-version=v1.1 MSQMKFASHRFEAHEGALLRDSASAVAVMTHGPGAGTRSLRRLRRRGHDPRPRRRRALPPPPPPPGRSEEEPPSLVRSMSASDLSESSTHARPASPSPRPRDRLALADDEPPAASSVLQGSNPTDHERDMILDSRSRSARGGVAGVISPLSYLRPPGSL* >Brasy7G114400.1.p pacid=40045414 transcript=Brasy7G114400.1 locus=Brasy7G114400 ID=Brasy7G114400.1.v1.1 annot-version=v1.1 MSYSCSILFTVFSAVSGLELSVDNEQHEYILLPVTCAILVGLFTLQHYGTHRVGFLFAPIVCLWLLCISIIGVYNIIRWNPHVYRALSPYYMYKFLQKTQTGGWKSLGGILLCVTGSEAMYADLGHFSHSSIKIAFTSVVYPALVLAYMGQAAYISSHHSFENVNHIGFYVSVPEKFRWPVLVIAILAAVVGSQAVITGTFSIIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCVAITVGFRDTKHLTNAQGLAIITVMLVTTCLMSLVIVLCWNKNIVFALGFLFFFGAIEVLYFSAALVKFREGAWVPITLSFIFMLVMCVWHYGTIKKYEFDVENKVSISWLLNIGPSLGIVRVRGIGLIHTDLTSGIPAIFSHFVTNLPAFHQVLVFLCIKSVHVPHIQAEDRFLVGRIGPKQYRLYRVVIRYGYRDVPKDDIEFEKDLVCSIAEFIRCSGSDEKNGFLDGAADLSYEKLSSISSGLPFQEEGSELYESDSPRSSTDKEINQNAIAPKAKRVRFVLPKNTLIDNEVRAELHELTDAREAGMSFIMGRAYMKAKSGSGLIKWVAINFVYEFLRRNSRGSICAANIPHASTLEVGMVCQV* >Brasy7G112300.1.p pacid=40045415 transcript=Brasy7G112300.1 locus=Brasy7G112300 ID=Brasy7G112300.1.v1.1 annot-version=v1.1 MAATAYSVALLGGARLPTAGAGAPRSSSALLPRRALHPLRFQDAPRTSLLRVKAASEDTSASGDELIEDLKAKWEAVEDKPTFLLYGGGAVVALWLTTVVVGAINSVPLLPKLLELVGLGYTGWFVYRYLLFKESRKELASDIETLKKKIAGTE* >Brasy7G037300.1.p pacid=40045416 transcript=Brasy7G037300.1 locus=Brasy7G037300 ID=Brasy7G037300.1.v1.1 annot-version=v1.1 MLMSGCPANARERTTRVCRTCRSCPASYCAVGEQALQEAEKKFSGMDVAGVRHDALNLSIVAGREAARRAAARAARSAARAGWRAEAARCEERAEVAARDGWRSAAAHWRRARTAEAAHCTEGAASASEEQEGGGSGSTWKEKTGSIFFFFLLMGKVHRALPHYSI* >Brasy7G034400.1.p pacid=40045417 transcript=Brasy7G034400.1 locus=Brasy7G034400 ID=Brasy7G034400.1.v1.1 annot-version=v1.1 MLAGFSLSSRHQMSSTAQRLPCGFSKRGGRGGHGDGGAGAAAPRVPGDGRGACSFRAHPAPPVTQAVSWGATATAKPEPCVGDVGGGWERRSRALKRAHDEAAAVDEHEEGEYGAAVRAKRTRMMGGAGDEVWFHQSIAGPMPMIQAAAGGEEEEEEEKVFLVPSAAAFPHGMVHAAAAGAGTSTLAAAKQEEYSKSPSSHSSSSSGTDGGSSAAMPLVMASSSQPELEALELVRALMACAESLGAGNHEAANYYLARLGESASPSGPTPLHRLAAYFAEALALRAATTWPHLFHVSPPRHLTDDDEEEDAVALRVLNSVTPIPRFLHFTLNERLLREFDGHDRVHVIDFDIKQGLQWPSLLQSLAARRPDPPAHVRITGVGPSKLELQETGARLSAVAASLGLAFEFHAVVELRLEDVRLWMLHVKRGERVAVNCVLAAHRLLRDEAGGAMAAFLSLARSTGADLLLLGEHEAEGLNGGRWEPRFACALRHYAAAFDAVGAAGLPAASPARIKAEEMFAREIRNAVAFEGADRCERHEGFQQWRRRMEDGGFRNAGFGDREAMQGRMIARMFAPQGNYGVRVQGEDGEGLTLQWLDNPLYTVSAWIPTGGGEGSTVSASTTASHSLQS* >Brasy7G112500.1.p pacid=40045418 transcript=Brasy7G112500.1 locus=Brasy7G112500 ID=Brasy7G112500.1.v1.1 annot-version=v1.1 MDPDQNRLEALVASVLPSVVSVMWTTRKGRNEVSVVSAGCVLHKCGNHTYILTTSRGGGIRSNSRLLVRFYDGVEIRAKVLVRDTNLEFIVLRTETSHESSVSIQFREEPMIFSNALCVVPKDKSKFHKMQGFIPVPSCRANDMSNETPEITDQHFIFVCQHQDISIMMSAPVFHENSQVNGFILQSCSLPNNPGEPPDQELIDIEAKICVKPNNVEAWLRRVTNDHDWRAGLHKREIKK* >Brasy7G044100.1.p pacid=40045419 transcript=Brasy7G044100.1 locus=Brasy7G044100 ID=Brasy7G044100.1.v1.1 annot-version=v1.1 MNRKIVVFNGIWCRLKDVYVSSQSDDQLMYKAYDMYKEETKQSFTLVNLWREVHNQPKWNRMYVDNTAPLNVDPINVDLEEGETRPEGSKAAKARKNGKSKGTHDTSSSSISHDDIQLYYETQTLRASTSERTSEVQLQLSSEKLATAQARERTALVTNEKAIMEKYMDLVMANTNEMSDFQRMEHEAALRFFHNKIMGAENNHDDL* >Brasy7G006100.1.p pacid=40045420 transcript=Brasy7G006100.1 locus=Brasy7G006100 ID=Brasy7G006100.1.v1.1 annot-version=v1.1 MGRQARRRGASASALTLLAWLLVILQLPAPASPAGAPAAAGRVIARSAPYLKRFAANIFKNKAVRSGGKDLIEQYLSSAGDPGKAQLGSAAADDAGFVTYSVSIGAHTFSGVVNIADDFVWCPSPPAGAFKFTCASQKCQEVLPGDCGDAADDDCDYVANYAAVNTTGYLASGTFTAAAGADSTVPPVTGTVVFGCATQSSAVPLAGQSGNIGFSKGGLSLVTQLEISRFSYFLAPDNSDTSASVSVVLLNDEAKPDGGSRSTPLVRGNLMYPDLYYVKLTGIHVDGQALTGIPEGAFDVAADGNSGGVFLSTILAITYLQPDAYKAVRQAVVSKIKSPEVDGSALDDGFFDLCYNMQAVARLTFPKLTLVFDGSPTPTMELTTVNYFYKDIATGLQCLTMLPTPTDAPVSSILGSLLQTGTNMIYDIGGGSLTFEKGAAAPATSSRVSSLMAMAPLFLAWGLLF* >Brasy7G118500.1.p pacid=40045421 transcript=Brasy7G118500.1 locus=Brasy7G118500 ID=Brasy7G118500.1.v1.1 annot-version=v1.1 MAITATMASKPPPLAFLVSVLLLVTAVQCYDGGRHGVMRSAMARRSRLGISGRNAVVHRRAAMPHRYILAEKSNSTGASQKNHSSPAASTNNATSPPPAPEQQGGQGKRHRNHKHRVRNWIIGFVVGSLAGVISGLGISVLFRLALNCVRGRYRSKSGTVIFTPKLIKRADHLAFLEKEDMLSSLVVIGRGGCGEVFKAALPLDSSEQAGDQEQKFIAIKKIKKQFGDGPGPANLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHNALKPAPAPEEKGRVVLTWPARLRVAVGIAAGLEYLHESQQPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAQTHVTTSHVAGTMGYIAPEYHQTYKFTAKCDVYSFGVILAVLATGKEPTDQFFVTEVDEVGLVKWLRRVVQRGDYAEAIDPAIAGAGHEEQILLVLRIAVFCTADEPKERPAAKDVRCMLAQIKTTPTSQLACS* >Brasy7G034900.1.p pacid=40045422 transcript=Brasy7G034900.1 locus=Brasy7G034900 ID=Brasy7G034900.1.v1.1 annot-version=v1.1 MSASARYSAVASGPKRVVGRAGRGFDAPQRGRRARRRWAWRRWALVRCTEAADPVLFRRIWCAVRRRRAGRWPAGHGRSCCLRSPTGRSRRRQRAPTRGASVKIRSVHCDGRVRRNPWAPGIGQRNQLAARRAIKPVGVVQLKLRPCDSRDMACTPRPFVQGGADKGFGFDLAAASLLRPGTAGSATTGTTSRNRRRPAPPQTALPGWFAFLVRPPATCCSTAGTADRVLFFFSS* >Brasy7G140400.1.p pacid=40045423 transcript=Brasy7G140400.1 locus=Brasy7G140400 ID=Brasy7G140400.1.v1.1 annot-version=v1.1 MLQVFSLRISNSASYPVSVYGIIAVRDDLEPLRNYVFNRPCRDDAVTIDQDSFTLPLCSPCRGMYLLDDALLEVDLWVKEDGDGSADKQILSAYAEIDNRPCFDEMLDGQIRSGLFSLDIGFILFTDCVEAVIQVFAKVDGPHHVRFAAFSSGFDHEIVLFDDKFSGNKKLFQHVVAVKAHKTLDVSLKLEESLFWWTFQDGDVGPVRIPDKSMLEYGRFDVRVFFAPKSYTKIPRLSET* >Brasy7G224800.1.p pacid=40045424 transcript=Brasy7G224800.1 locus=Brasy7G224800 ID=Brasy7G224800.1.v1.1 annot-version=v1.1 MCIAAWIWQAHPQHQLLLLLNRDEFHSRPTKAVAWWGEGSMKILGGRDVLGGGTWMGSTKDGRLAFLTNVLEPDAMPDARTRGDLPLRFLQGNKGPLEVATEVAKEADEYNGFNLILADLTRNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLSKNFREFIRKNGDDEVEAKDIAERLMTDTTRADKDRLPNTGCDTNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE* >Brasy7G224800.3.p pacid=40045425 transcript=Brasy7G224800.3 locus=Brasy7G224800 ID=Brasy7G224800.3.v1.1 annot-version=v1.1 MCIAAWIWQAHPQHQLLLLLNRDEFHSRPTKAVAWWGEGSMKILGGRDVLGGGTWMGSTKDGRLAFLTNVLEPDAMPDARTRGDLPLRFLQGNKGPLEVATEVAKEADEYNGFNLILADLTRNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLSKNFREFIRKNGDDEVEAKDIAERLMTDTTRADKDRLPNTGCDTNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE* >Brasy7G224800.2.p pacid=40045426 transcript=Brasy7G224800.2 locus=Brasy7G224800 ID=Brasy7G224800.2.v1.1 annot-version=v1.1 MCIAAWIWQAHPQHQLLLLLNRDEFHSRPTKAVAWWGEGSMKILGGRDVLGGGTWMGSTKDGRLAFLTNVLEPDAMPDARTRGDLPLRFLQGNKGPLEVATEVAKEADEYNGFNLILADLTRNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE* >Brasy7G060400.1.p pacid=40045427 transcript=Brasy7G060400.1 locus=Brasy7G060400 ID=Brasy7G060400.1.v1.1 annot-version=v1.1 ALGDAPARAHPHDVVHRRPAEQQNRQHVGYAADGELEDDVPEQRPFVARPAGVLRGQPPVRDQVGHAVRVPHDNGGFGRIKLSIPPFSGTREDPEATMSRFFNGLNIEVQDRVEMVSYYDIQDLVHQAERAEQQLKRRQAVAPANSWRRSPTKAAGSSSKTTSSSRSNQVYHSEAPKSGVSKAAPSTHSTSSIECFTCGGRGHMRRDCPNTKRVMLTQDGYVSASDDDKVDVPSAVESEDHDNFDVYPEDADPNCTNLMVQRKRHVLQPMLDKDIKVATFAVKKKIQQARSKPRTVSSQVGGDDEGRISITPAISPTPYILKFGSFCVEVPTKEEVKPNFRTPPVLKCTSV* >Brasy7G065700.1.p pacid=40045428 transcript=Brasy7G065700.1 locus=Brasy7G065700 ID=Brasy7G065700.1.v1.1 annot-version=v1.1 MNNLVDMYAKGGDLENARKVFDRIPDRNVVSWTSMLSGCLQNGFAKEGLVLFNEMRQERVLPSEYTMASVLMACTMLGSLHQGRLIHGSVMKHGLVSNSFITAAMLDMYVKCGEVGDARQVFDELSFVDLVLWTTMIVGYTQNGSPLEALLLFVDDKFMRIVPNSVTIATVLSASAQLRNLSLGRSTHGISVKLGAVENDVVTNALVDMYAKCKALSEAKGIFGRVLNKDVVTWNSLIAGYVENDMGNDALVLFSHMRVQGSSPDAISVVNALSACVCLGDLLIGKCFHTYAVKHAFMSNIYVNTALLNLYNKCADLPSAQRVFSEMNDRNSVTWGAMIGGYGMQGDSAGSIDLFNEMLKDNIQPNEAVFTSILSTCSHTGMVTVGKKCFESMANYFNITPSMKHYTCMVDVLARAGNLEEALEFIQKMPMQADISIWQAFLHGCMLHSRLEFGEEAVNRMMVLHPDTPDFYVMMSNLYTSYGRWDKSLAIRRLMKERGLVKLPGCSSVGLENG* >Brasy7G177200.1.p pacid=40045429 transcript=Brasy7G177200.1 locus=Brasy7G177200 ID=Brasy7G177200.1.v1.1 annot-version=v1.1 MSIASGLVDFWVGLVWLLGLPHARLSGFGRLRLILCRSLSALFFSEFLVLVVAACCLCLSLSLPPTTRTGELYGVLV* >Brasy7G197500.1.p pacid=40045430 transcript=Brasy7G197500.1 locus=Brasy7G197500 ID=Brasy7G197500.1.v1.1 annot-version=v1.1 MLDTMRPHGSLPRSFSKHLHCLSIMSLAFTVVALIVCVLPKTYYYMPVVLLPSLSVIGMSFYDVSPQDEIVSGDDPHKADRKRSFQLAINITTFCFMGLLVALIGYHKNSSDRADHIYVKIAIYFMFGAFLTGLVTLLLSRMLTNWKDWKKIAVANAIMLGLLVPAVLMVATTFLGGAVAATPAFPVTVAAATWYVMEYHLRNDDDGYGQQQQEDKLMKLLYTMAMTSMSVSFGTVMAIFAGFLGGEAKGKNLEVCIFSMESCFVSSVSLGLVTFRTPQKASLGEAAKALGYLIFLLLVVAALALVSYVGS* >Brasy7G188700.1.p pacid=40045431 transcript=Brasy7G188700.1 locus=Brasy7G188700 ID=Brasy7G188700.1.v1.1 annot-version=v1.1 MSSWASKKASSLVVATSMAAVEALKDQAGLCRWDYALRSLYRRAKVAVPASLSSPTSSANNGAAAGSTPAARPRPRRSEEEKLQKAHHLICWGPN* >Brasy7G027800.1.p pacid=40045432 transcript=Brasy7G027800.1 locus=Brasy7G027800 ID=Brasy7G027800.1.v1.1 annot-version=v1.1 MLSSSAGMGMGGGFHQQQQQQQRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPIRPHSAAAAAFSFANPATSPFYHHHHPSMSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTPSPAHQSQTQLSAVTSTTRDAEPLQSLGVGAKTHGLSLGGAGSSQMHVDASSYGGKYSLGAKSDVGELSFFSGASGNTRGFTIDSPADSPWHSMPSSVPPYQLSKARDSGLLQGGGFSYSHFEPSQELGQVTIASLSQEQERRSFGGSGGGLMANVKQENQALRPFFDEWPGRRDSWSEMDDERSNGTSFSTTQLSISIPMPRCD* >Brasy7G027800.2.p pacid=40045433 transcript=Brasy7G027800.2 locus=Brasy7G027800 ID=Brasy7G027800.2.v1.1 annot-version=v1.1 MLSSSAGMGMGGGFHQQQQQQQRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPIRPHSAAAAAFSFANPATSPFYHHHHPSMSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTPSPAHQSQTQLSAVTSTTRDAEPLQSLGVGAKTHGLSLGGAGSSQMHVDASSYGGKYSLGAKSDVGELSFFSGASGNTRGFTIDSPADSPWHSMPSSVPPYQLSKARDSGLLQGGGFSYSHFEPSQELGQENQALRPFFDEWPGRRDSWSEMDDERSNGTSFSTTQLSISIPMPRCD* >Brasy7G027800.3.p pacid=40045434 transcript=Brasy7G027800.3 locus=Brasy7G027800 ID=Brasy7G027800.3.v1.1 annot-version=v1.1 MVVWIKCAHVGCFAVSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTPSPAHQSQTQLSAVTSTTRDAEPLQSLGVGAKTHGLSLGGAGSSQMHVDASSYGGKYSLGAKSDVGELSFFSGASGNTRGFTIDSPADSPWHSMPSSVPPYQLSKARDSGLLQGGGFSYSHFEPSQELGQENQALRPFFDEWPGRRDSWSEMDDERSNGTSFSTTQLSISIPMPRCD* >Brasy7G115100.1.p pacid=40045435 transcript=Brasy7G115100.1 locus=Brasy7G115100 ID=Brasy7G115100.1.v1.1 annot-version=v1.1 MQKLQLVNPSAVSLPALVIVLFLVNARHRRSFLDATTYGSGFVSISSDPWRPSVAAPKNSSTRAVARVPEDCDIFRGEWVPDDEAPYYTNRSCALIQEHQNCIKYGRPDLGFLRWRWRPAGCELPRFDAAGFLGVVRGRSLAFVGDSLARNHMQSLMCLLSKVEYPKDISKTKDQQFRTMRYESYNFTVSVFWSPFLVKANQSWHEGGGRLWNLYLDEPDDAWASGVSGSADYVVISAANWFTRPSMFYESGRLVACHYCLVPGVPDLTLRYSLRVAFRTALRALTGGGFKGTAIVRTVSPTSHFEGGEWDKGGDCTRTRPYVNNETGSRMAGLDLDFHTALVEEFAEAAAKGRGRGRMMLMDTTEAMLLRPDGHPSRYGHWAHENVTLYKDCVHWCLPGPIDVWNEMLLQMLLRSSIDR* >Brasy7G129100.1.p pacid=40045436 transcript=Brasy7G129100.1 locus=Brasy7G129100 ID=Brasy7G129100.1.v1.1 annot-version=v1.1 MASLSQPTPYSSRSSGYYPSYYGSVQHAGNKLPAADEGGGSFGPVLVVLAVVSFLAISALCGGRSSTKSFAEKQQQQQSSADAAAEKGLGAVMRPLPSSRATVHHDDEDAFEIKLAPAVGLVKDGGLSRQYAPGFRCAPPPAIANNGGVARVLQVRVAGEPCTPAVLPAKALVAEYRIGPTCR* >Brasy7G113800.1.p pacid=40045437 transcript=Brasy7G113800.1 locus=Brasy7G113800 ID=Brasy7G113800.1.v1.1 annot-version=v1.1 MGLLRGFSVVSLMKRMCKAALKKGFGDLFLGDLDLDQLDLQLTRGTLELTDLALNADYINGLISRSPIMVKEGSIKSLLVKFPIIGNRDDNSCEIVVEDLELVLAPSVDSEVRPLDTECSVSGDNSGTQTSVNTKRNGSDSDHCSTSASRDVDEGVKRIANAVMWFLTNFKIKLINTYVVFDPQTSLDTKVSEFDRSLVFRVKEIEFGSKLKALGQGRLDLNNFVNFREAVIEFLKMDDVDALLQNDLERGTADTSSGHNSTTDVLTDPIGGFSGELNLSIPWSNGCLDLQEIDAVVSVESLELLLQFSSIQWFMDVLGSLHRKLVNEQVHNTAEVSLNTSRSILSSLKSGSDSVIASREVSDQSAFNQSRQDKYQDSFLTKAHVIQDWIPELVVHEDQDDPDSDCDESIDQFFECFEELRSSQTNLGTSGVWDWTCSVVNAITFASTLASGSDQVPKEPPIKKTLQATIAEISVILLFSDEIDTGNSSIPLSLFNDMRNSEMFSSCLSSAHFEQSMMSPAMASSLNMHHLEAKCQNISLKLETYTKKVGFTASIAHMKLDEYYSTENHDSNHSHLGTAFLNNTFCREVQAALPQFPFASQDYGVETAGCFSNNSNKFTKVELLKTSDKCTFHYDVSNTDQDGNSVTSTSLAICLAPLILWVHFHTVYMILNFISKIESSVFHGEHKLHRDGDEKSSRLSTRTYTSSSESLKVQITPSHARIIFCFPSEPSWDLSRPSILDKFLVVDHTSSQNSDKASSPLPNERLIDVRPSTPSTSLYLATGDFDIYLVKPVSSLDGRVCSLSRQTFSAQKIVSVSRSDRHDSCIKMIRKNHPVTCPEMVNKAWSLVNLHDQKINQKQNGKWAGVSSSTTSQDLVESSCSIRQELLKSTQFLLHVQLPCVSVHLNKKDCGLLNQLLDHILDGLSDGATNSSENSKEKTNETAIQTSVIFECSILDICAELGETVEVGPSLQTELEGSWSCLKLSVLNFSLCSFSNVGGVSDTSFLWVNHGEGELWGSITGTDDKRFQESKDFLLVICKDSASRRGDGEGNNVLSFGTAGCSVTHIRNPKLHENYTSVNVRSGTIVAPGGRMDWISAICLLFSSGSSGTEQSNSSGTNNSQAGEPFWSSFFLELADVALSYEPHLKNCTLNSEAPDCKFFSCLLAASSFKVHNRSASDSAVTDFDIELRDLGLLIGVSSGSKNVTCDYGVDYLRQMGYAKIGHNTFIEAALRIDTSFWKLEISESQFDIGTCRDTTSGLVRLGSQLQQLYAPDMQDALFHLQSRWNSVQQANKQYMASDASDTSESSTENSADSGEGKSDGLLDDIIENAFYTDQVYTAYNFLDRNCSNSLSSSELNVEFEMNTSTPEATDLSVSHILLGSSVITPEPNTTQKPLKSNSCPEQLIDSYYMPDLLHSSSAPIYEDRHCTSGDDARKTMDCVDGGWYNNTPLTIVENHVSKRKNGQGGHVFQQEGKPAICSLNSGESCNLKGKVLIHDIDVKWRMYAGDDWLSVTKDTASHSCSNGRDMSSSLECIVSGLSIQFDMYPDGDVSVSKLSISAQDLNLCDQNTHAPWKMVLGCYNSKDYPRESHSSALKLELESVRPEPQAPLEDYRLCLEILPLQLHLAQGQLNFLISFFQNDSCNKNHQLPCGNEIVGISSTTCGSNSIVDEALLPFFQKFDVKPLVLHINYIPHQFDPIALGKGNYAELLNILPWKGIDLNLKHVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPIKSYRKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTEHALTAVPPPSTSNEAKKAKVNIRANQPESAHQGMKQAYESLTDGLGRTASALIGNPIKVYNRGAGAGSALATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYFGPSQL* >Brasy7G113800.2.p pacid=40045438 transcript=Brasy7G113800.2 locus=Brasy7G113800 ID=Brasy7G113800.2.v1.1 annot-version=v1.1 MGLLRGFSVVSLMKRMCKAALKKGFGDLFLGDLDLDQLDLQLTRGTLELTDLALNADYINGLISRSPIMVKEGSIKSLLVKFPIIGNRDDNSCEIVVEDLELVLAPSVDSEVRPLDTECSVSGDNSGTQTSVNTKRNGSDSDHCSTSASRDVDEGVKRIANAVMWFLTNFKIKLINTYVVFDPQTSLDTKVSEFDRSLVFRVKEIEFGSKLKALGQGRLDLNNFVNFREAVIEFLKMDDVDALLQNDLERGTADTSSGHNSTTDVLTDPIGGFSGELNLSIPWSNGCLDLQEIDAVVSVESLELLLQFSSIQWFMDVLGSLHRKLVNEQVHNTAEVSLNTSRSILSSLKSGSDSVIASREVSDQSAFNQSRQDKYQDSFLTKAHVIQDWIPELVVHEDQDDPDSDCDESIDQFFECFEELRSSQTNLGTSGVWDWTCSVVNAITFASTLASGSDQVPKEPPIKKTLQATIAEISVILLFSDEIDTGNSSIPLSLFNDMRNSEMFSSCLSSAHFEQSMMSPAMASSLNMHHLEAKCQNISLKLETYTKKVGFTASIAHMKLDEYYSTENHDSNHSHLGTAFLNNTFCREVQAALPQFPFASQDYGVETAGCFSNNSNKFTKVELLKTSDKCTFHYDVSNTDQDGNSVTSTSLAICLAPLILWVHFHTVYMILNFISKIESSVFHGEHKLHRDGDEKSSRLSTRTYTSSSESLKVQITPSHARIIFCFPSEPSWDLSRPSILDKFLVVDHTSSQNSDKASSPLPNERLIDVRPSTPSTSLYLATGDFDIYLVKPVSSLDGRVCSLSRQTFSAQKIVSVSRSDRHDSCIKMIRKNHPVTCPEMVNKAWSLVNLHDQKINQKQNGKWAGVSSSTTSQDLVESSCSIRQELLKSTQFLLHVQLPCVSVHLNKKDCGLLNQLLDHILDGLSDGATNSSENSKEKTNETAIQTSVIFECSILDICAELGETVEVGPSLQTELEGSWSCLKLSVLNFSLCSFSNVGGVSDTSFLWVNHGEGELWGSITGTDDKRFQESKDFLLVICKDSASRRGDGEGNNVLSFGTAGCSVTHIRNPKLHENYTSVNVRSGTIVAPGGRMDWISAICLLFSSGSSGTEQSNSSGTNNSQAGEPFWSSFFLELADVALSYEPHLKNCTLNSEAPDCKFFSCLLAASSFKVHNRSASDSAVTDFDIELRDLGLLIGVSSGSKNVTCDYGVDYLRQMGYAKIGHNTFIEAALRIDTSFWKLEISESQFDIGTCRDTTSGLVRLGSQLQQLYAPDMQDALFHLQSRWNSVQQANKQYMASDASDTSESSTENSADSGEGKSDGLLDDIIENAFYTDQVYTAYNFLDRNCSNSLSSSELNVEFEMNTSTPEATDLSVSHILLGSSVITPEPNTTQKPLKSNSCPEQLIDSYYMPDLLHSSSAPIYEDRHCTSGDDARKTMDCVDGGWYNNTPLTIVENHVSKRKNGQGGHVFQQEGKPAICSLNSGESCNLKGKVLIHDIDVKWRMYAGDDWLSVTKDTASHSCSNGRDMSSSLECIVSGLSIQFDMYPDGDVSVSKLSISAQDLNLCDQNTHAPWKMVLGCYNSKDYPRESHSSALKLELESVRPEPQAPLEDYRLCLEILPLQLHLAQGQLNFLISFFQNDSCNKNHQLPCGNEIVGISSTTCGSNSIVDEALLPFFQKFDVKPLVLHINYIPHQFDPIALGKGNYAELLNILPWKGIDLNLKHVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPIKSYRKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTEHALTAVPPPSTSNEAKKAKVNIRANQPESAHQGMKQAYESLTDGLGRTASALIGNPIKVYNRGAGAGSALATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYFGPSQL* >Brasy7G113800.3.p pacid=40045439 transcript=Brasy7G113800.3 locus=Brasy7G113800 ID=Brasy7G113800.3.v1.1 annot-version=v1.1 MGLLRGFSVVSLMKRMCKAALKKGFGDLFLGDLDLDQLDLQLTRGTLELTDLALNADYINGLISRSPIMVKEGSIKSLLVKFPIIGNRDDNSCEIVVEDLELVLAPSVDSEVRPLDTECSVSGDNSGTQTSVNTKRNGSDSDHCSTSASRDVDEGVKRIANAVMWFLTNFKIKLINTYVVFDPQTSLDTKVSEFDRSLVFRVKEIEFGSKLKALGQGRLDLNNFVNFREAVIEFLKMDDVDALLQNDLERGTADTSSGHNSTTDVLTDPIGGFSGELNLSIPWSNGCLDLQEIDAVVSVESLELLLQFSSIQWFMDVLGSLHRKLVNEQVHNTAEVSLNTSRSILSSLKSGSDSVIASREVSDQSAFNQSRQDKYQDSFLTKAHVIQDWIPELVVHEDQDDPDSDCDESIDQFFECFEELRSSQTNLGTSGVWDWTCSVVNAITFASTLASGSDQVPKEPPIKKTLQATIAEISVILLFSDEIDTGNSSIPLSLFNDMRNSEMFSSCLSSAHFEQSMMSPAMASSLNMHHLEAKCQNISLKLETYTKKVGFTASIAHMKLDEYYSTENHDSNHSHLGTAFLNNTFCREVQAALPQFPFASQDYGVETAGCFSNNSNKFTKVELLKTSDKCTFHYDVSNTDQDGNSVTSTSLAICLAPLILWVHFHTVYMILNFISKIESSVFHGEHKLHRDGDEKSSRLSTRTYTSSSESLKVQITPSHARIIFCFPSEPSWDLSRPSILDKFLVVDHTSSQNSDKASSPLPNERLIDVRPSTPSTSLYLATGDFDIYLVKPVSSLDGRVCSLSRQTFSAQKIVSVSRSDRHDSCIKMIRKNHPVTCPEMVNKAWSLVNLHDQKINQKQNGKWAGVSSSTTSQDLVESSCSIRQELLKSTQFLLHVQLPCVSVHLNKKDCGLLNQLLDHILDGLSDGATNSSENSKEKTNETAIQTSVIFECSILDICAELGETVEVGPSLQTELEGSWSCLKLSVLNFSLCSFSNVGGVSDTSFLWVNHGEGELWGSITGTDDKRFQESKDFLLVICKDSASRRGDGEGNNVLSFGTAGCSVTHIRNPKLHENYTSVNVRSGTIVAPGGRMDWISAICLLFSSGSSGTEQSNSSGTNNSQAGEPFWSSFFLELADVALSYEPHLKNCTLNSEAPDCKFFSCLLAASSFKVHNRSASDSAVTDFDIELRDLGLLIGVSSGSKNVTCDYGVDYLRQMGYAKIGHNTFIEAALRIDTSFWKLEISESQFDIGTCRDTTSGLVRLGSQLQQLYAPDMQDALFHLQSRWNSVQQANKQYMASDASDTSESSTENSADSGEGKSDGLLDDIIENAFYTDQVYTAYNFLDRNCSNSLSSSELNVEFEMNTSTPEATDLSVSHILLGSSVITPEPNTTQKPLKSNSCPEQLIDSYYMPDLLHSSSAPIYEDRHCTSGDDARKTMDCVDGGWYNNTPLTIVENHVSKRKNGQGGHVFQQEGKPAICSLNSGESCNLKGKVLIHDIDVKWRMYAGDDWLSVTKDTASHSCSNGRDMSSSLECIVSGLSIQFDMYPDGDVSVSKLSISAQDLNLCDQNTHAPWKMVLGCYNSKDYPRESHSSALKLELESVRPEPQAPLEDYRLCLEILPLQLHLAQGQLNFLISFFQNDSCNKNHQLPCGNEIVGISSTTCGSNSIVDEALLPFFQKFDVKPLVLHINYIPHQFDPIALGKGNYAELLNILPWKGIDLNLKHVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPIKSYRKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTEHALTAVPPPSTSNEAKKAKVNIRANQPESAHQGMKQAYESLTDGLGRTASALIGNPIKVYNRGAGAGSALATAICGAPAAAVAPVSASARALHYALLGLRNSLDPEHKKESMYKYFGPSQL* >Brasy7G021400.1.p pacid=40045440 transcript=Brasy7G021400.1 locus=Brasy7G021400 ID=Brasy7G021400.1.v1.1 annot-version=v1.1 MNTQRKPGDWNCNSCQHLNFSRRDFCQRCRATRLDLQLGDGRSIGGVLTSLDVRPGDWYCNCGYHNFASRSSCLKCGTIVRDFPAGQVGAAAVESVGVRAGWKAGDWICTRPGCNVHNFASRIECYRCDAPREAGAGK* >Brasy7G119700.1.p pacid=40045441 transcript=Brasy7G119700.1 locus=Brasy7G119700 ID=Brasy7G119700.1.v1.1 annot-version=v1.1 MADPLLSFMSLLLLTAALAEATAAAKNVSMESSTLSFSDLTLLGDSFLRNGSVGLTRDTGVPSSSAGSALCTRAFAFRGPADTNGTASFAARFSFLIANQNAGSTGGDGLTFFISSDSATLGATGGYLGLFNSTAPAAAAVPGKDARAIVAVEFDTMVNAEFGDPSDNHVGLDLGSPVSVDAVDLAASGIVLNGGNLTTAWIDYRSADHLLEVSLSYSGVKPKRPVLSLAVDLSAYLKEAMYVGFSASTEGSTQQHTIKEWSFRTFGLPSATNATSNVSEQAVPGVNVTESGGGGAHKKRLGLAISVLGPVALAAAFVFFAWVSVKKLLELTSRKEPTFPPELLKGPRKFSHKELSVATRGFHASRVIGRGAFGTVYKAAMPGAATTYAVKRSTQAHQSRSEFVAELSIIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGDPCTLSWPERHTVAAGIASVLAYLHQECEQRVIHRDIKTGNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATDQTDVFSYGVVVLEVCCGRRPIDKEEANGGGASKNVNLVDWVWRLHGEDRLIEAADPRLNGEFDREGMLRLLLVGLSCANPNCEERPAMRRVVQILNREADPVPVPRKKPLLVFSSSASMKLQEMAFSCGDDVRGGYQVSKPGSPRSEGADIER* >Brasy7G073400.1.p pacid=40045442 transcript=Brasy7G073400.1 locus=Brasy7G073400 ID=Brasy7G073400.1.v1.1 annot-version=v1.1 MAGRSPSATQPATTLFVYQPARTHPYRSSPPPPYHHGTTPAATSVFICIGIPSMPPPRDVASTATASCCSSPWSRTCACKETKFRARFRMGRSVLYYL* >Brasy7G180200.1.p pacid=40045443 transcript=Brasy7G180200.1 locus=Brasy7G180200 ID=Brasy7G180200.1.v1.1 annot-version=v1.1 MDPRGDFSNHMSPNSSPHSENINIPASQYPQGYSPPQYAMHLPPSHYPQHSPPSHYFQNLNPYGSPSNFQHHGLRTGSFQGIQQQAFANSPSGILRAAGIRASSHLPPVPPCIGSFATTSSNGPDSVPSDPTHEDQPTQQVESDGSSPEEDGRRGVRCNWDDEENVRLVSSWLANSNDPVEGNAKKSEFYWRSVTEEFNKNRPKNGRTRTAKQCKSHWSTLNKAIASFNGVYERAKSAYSSGQCDSMLKSKTREWYKAENNQKAFTMEYLWDLVKDQPKWRKIYVKEDKSKRTKISESGAYTSSSNQEGEDVGLTREQRPEGQKAAKARRKAKSVINEPCENMRLYHDAMSKKAGGNIAIAEATNERTKLNKMTKYLDLLDKDTSNFNDERLKRHNQALEQLQLELFS* >Brasy7G186500.1.p pacid=40045444 transcript=Brasy7G186500.1 locus=Brasy7G186500 ID=Brasy7G186500.1.v1.1 annot-version=v1.1 MGELPADQYILVDAGAPVDPDPATFFQSRLNIWAEKNAEIAVLRMDLDEAEDENAKMALRIKELESDVAELKRVHHHEIRMTEKKCACAAAFAIFIAAVVFFHFHY* >Brasy7G114300.1.p pacid=40045445 transcript=Brasy7G114300.1 locus=Brasy7G114300 ID=Brasy7G114300.1.v1.1 annot-version=v1.1 MPPLPTMWALRRCSPSGSRPAALQADAARRRDRGFPACPPSFARTPPAQFFTPSIDLSSIPENYIIIDRRKIEPRSTGLCRRRRGCRARPVKPPAIHTPSPRTPLPTSPSVVASVVAQPAPVALSQLGISYSWPHL* >Brasy7G188300.1.p pacid=40045446 transcript=Brasy7G188300.1 locus=Brasy7G188300 ID=Brasy7G188300.1.v1.1 annot-version=v1.1 MGFFFFASRVAARFLEETRHPAGVSTAALLLTAASGGGIVAYADSSRVEEAPEPSQQVAPRKKKVVVLGTGWAGTSFLKNLDCSRYDVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMFEKKKKDVTYYEAECFKIDATKKAVHCRSAVGTNLDGNGDFLVDYDYLVVALGATVNTFNTPGVMEHCYFLKEVEDAQKIRRSVVDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLAEDLVKIYPAIQEFVKITIIQSGEHILNMFDQRIAAFAETKFVRDGIEVCTGFRVVNVADDLITMKSKSAGSEISVPYGMAVWSAGIGTRPVTVDFMHQIGQAKRRSLETNEWLRVCECDSVYAIGDCASISQRKIMEDISTIFKIADKDNSGTLTLKEIYDILEDICIRYPQVELYMKSMHMLDIAQLIESAIGDSHKESMVVDIEEFKKALGHVDSQVKSVPATAQVAAQQGYYLADCFNKMDYCKEHPEGPLRMTGSAAGHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRKFIFGRDSSRI* >Brasy7G188300.3.p pacid=40045447 transcript=Brasy7G188300.3 locus=Brasy7G188300 ID=Brasy7G188300.3.v1.1 annot-version=v1.1 MGFFFFASRVAARFLEETRHPAGVSTAALLLTAASGGGIVAYADSSRVEEAPEPSQQVAPRKKKVVVLGTGWAGTSFLKNLDCSRYDVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMFEKKKKDVTYYEAECFKIDATKKAVHCRSAVGTNLDGNGDFLVDYDYLVVALGATVNTFNTPGVMEHCYFLKEVEDAQKIRRSVVDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLAEDLVKIYPAIQEFVKITIIQSGEHILNMFDQRIAAFAETKFVRDGIEVCTGFRVVNVADDLITMKSKSAGSEISVPYGMAVWSAGIGTRPVTVDFMHQIGQAKRRSLETNEWLRVCECDSVYAIGDCASISQRKIMEDISTIFKIADKDNSGTLTLKEIYDILEDICIRYPQVELYMKSMHMLDIAQLIESAIGDSHKESMVVDIEEFKKALGHVDSQVKSVPATAQVAAQQGYYLADCFNKMDYCKEHPEGPLRMTGSAAGHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRKFIFGRDSSRI* >Brasy7G188300.2.p pacid=40045448 transcript=Brasy7G188300.2 locus=Brasy7G188300 ID=Brasy7G188300.2.v1.1 annot-version=v1.1 MGFFFFASRVAARFLEETRHPAGVSTAALLLTAASGGGIVAYADSSRVEEAPEPSQQVAPRKKKVVVLGTGWAGTSFLKNLDCSRYDVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMFEKKKKDVTYYEAECFKIDATKKAVHCRSAVGTNLDGNGDFLVDYDYLVVALGATVNTFNTPGVMEHCYFLKEVEDAQKIRRSVVDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLAEDLVKIYPAIQEFVKITIIQSGEHILNMFDQRIAAFAETKFVRDGIEVCTGFRVVNVADDLITMKSKSAGSEISVPYGMAVWSAGIGTRPVTVDFMHQIGQAKRRSLETNEWLRVCECDSVYAIGDCASISQRKIMEDISTIFKIADKDNSGTLTLKEIYDILEDICIRYPQVELYMKSMHMLDIAQLIESAIGDSHKESMVVDIEEFKKALGHVDSQVKSVPATAQVAAQQGYYLADCFNKMDYCKEHPEGPLRMTGSAAGHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRKFIFGRDSSRI* >Brasy7G188300.4.p pacid=40045449 transcript=Brasy7G188300.4 locus=Brasy7G188300 ID=Brasy7G188300.4.v1.1 annot-version=v1.1 MGFFFFASRVAARFLEETRHPAGVSTAALLLTAASGGGIVAYADSSRVEEAPEPSQQVAPRKKKVVVLGTGWAGTSFLKNLDCSRYDVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMFEKKKKDVTYYEAECFKIDATKKAVHCRSAVGTNLDGNGDFLVDYDYLVVALGATVNTFNTPGVMEHCYFLKEVEDAQKIRRSVVDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLAEDLVKIYPAIQEFVKITIIQSGEHILNMFDQRIAAFAETKFVRDGIEVCTGFRVVNVADDLITMKSKSAGSEISVPYGMAVWSAGIGTRPVTVDFMHQIGQAKRRSLETNEWLRVCECDSVYAIGDCASISQRKIMEDISTIFKIADKDNSGTLTLKEIYDILEDICIRYPQVELYMKSMHMLDIAQLIESAIGDSHKESMVVDIEEFKKALGHVDSQVKSVPATAQVAAQQGYYLADCFNKMDYCKEHPEGPLRMTGSAAGHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRKFIFGRDSSRI* >Brasy7G214800.1.p pacid=40045450 transcript=Brasy7G214800.1 locus=Brasy7G214800 ID=Brasy7G214800.1.v1.1 annot-version=v1.1 MRPCVFASVFICDLFVCFCFQLRFVCSSIIGDVAFANSSTRRHHLGFLSLRPSPSRGVLPAPLFCCSAVIWPMRFRPEACRQPSPRYPIVLQV* >Brasy7G082000.1.p pacid=40045451 transcript=Brasy7G082000.1 locus=Brasy7G082000 ID=Brasy7G082000.1.v1.1 annot-version=v1.1 MSNIFGKKGKSFSGSEHCTPARVRFKSASLNCVDTPRKQNEDTCKYRVFVGTWNVGGKAPNDGLNLQDFLQVDETSDIYVLGFQEIVPLTASNVLVLEDNEPAARWLALIHQALNMPQEQHYMDTDDPPPPLPPPDQPSSAHRRREPSRSASSISSSGGGGGNLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSLRRRAAELRDSVHRAETPPSAGAGGDRETSSSSSSNWNEDDAGGGGGGEGDCGGGGMSYCLIASKQMVGLFLSVWVKKELVEHVGHLRVDCVGRGIMRWLGNKGCIAMSMTLHHTSLCFVCSHLASGEKEGDEVRRNSDVAEILKSAHFPRACKSAAAAGHHRVPERILDHDKMIWLGDLNYRVSLSYEETRTLLEENDWDALLEKDQLMIEREAGRVFVGWKEGKISFAPTYKYTHNSDAYAGETVKSKKKRRTPAWCDRILWHGDGVEQLQYLRGESRFSDHRPVCGVFAVEVDGGDASGKMRSCYSLAARVKPASPQRHGGNTASCDHPSS* >Brasy7G082000.2.p pacid=40045452 transcript=Brasy7G082000.2 locus=Brasy7G082000 ID=Brasy7G082000.2.v1.1 annot-version=v1.1 MSNIFGKKGKSFSGSEHCTPARVRFKSASLNCVDTPRKQNEDTCKYRVFVGTWNVGGKAPNDGLNLQDFLQVDETSDIYVLGFQEIVPLTASNVLVLEDNEPAARWLALIHQALNMPQEQHYMDTDDPPPPLPPPDQPSSAHRRREPSRSASSISSSGGGGGNLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSLRRRAAELRDSVHRAETPPSAGAGGDRETSSSSSSNWNEDDAGGGGGGEGDCGGGGMSYCLIASKQMVGLFLSVWVKKELVEHVGHLRVDCVGRGIMRWLGNKGCIAMSMTLHHTSLCFVCSHLASGEKEGDEVRRNSDVAEILKSAHFPRACKSAAAAGHHRVPERILDHDKMIWLGDLNYRVSLSYEETRTLLEENDWDALLEKDQLMIEREAGRVFVGWKEGKISFAPTYKYTHNSDAYAGETVKSKKKRRTPACVGATGYCGTATAWSSCSTCEASRGSPTTARSAASSPSRWTEATPRAR* >Brasy7G016500.1.p pacid=40045453 transcript=Brasy7G016500.1 locus=Brasy7G016500 ID=Brasy7G016500.1.v1.1 annot-version=v1.1 MIISPHTCHATASRVRSESFDQGERHGCPLHGRAPEHRPMPAIGEADGIPVIDLSPLAAGDEAGLELRSAGRAGTGASSWRCAMECRRRRSRGQWPSTPCRRDVGTTRQSTPRTSGTGRRPPPAIRPGSCSRTSGPRIFRS* >Brasy7G222300.1.p pacid=40045454 transcript=Brasy7G222300.1 locus=Brasy7G222300 ID=Brasy7G222300.1.v1.1 annot-version=v1.1 MSRPNQCIWLWLLVLLPTFRMKRGITMLILLPRNDVIDGDGMLCPAITLTAAPETIGVGTHLILVISLKLR* >Brasy7G101300.1.p pacid=40045455 transcript=Brasy7G101300.1 locus=Brasy7G101300 ID=Brasy7G101300.1.v1.1 annot-version=v1.1 KGGSRNISCNLQKLLCLLMMANRAKISFVKCMCCHDYSLDIVWPQWQPTREDSKGSSSVPDTKRSHLSLQRFACLFVCLFDMECTRILLGT* >Brasy7G101300.2.p pacid=40045456 transcript=Brasy7G101300.2 locus=Brasy7G101300 ID=Brasy7G101300.2.v1.1 annot-version=v1.1 KGGSRNISCNLQKLLCLLMMANRAKISFVKCMCCHDYSLDIVWPQWQPTREDSKGSSSVPDTKRSHLSLQRFACLFVCLFDMECTRILLGT* >Brasy7G101300.3.p pacid=40045457 transcript=Brasy7G101300.3 locus=Brasy7G101300 ID=Brasy7G101300.3.v1.1 annot-version=v1.1 KGGSRNISCNLQKLLCLLMMANRAKISFVKCMCCHDYSLDIVWPQWQPTREDSKGSSSVPDTKRSHLSLQRFACLFVCLFDMECTRILLGT* >Brasy7G048500.1.p pacid=40045458 transcript=Brasy7G048500.1 locus=Brasy7G048500 ID=Brasy7G048500.1.v1.1 annot-version=v1.1 MLGQIPQTNEIYLFSIISGVSRKLSCILFFFAKSTPDLIATPESTTHPTEKRNYNRVPGVPSHTQHQRGTRIRRYAVAAAPTWSRRSLGHIRRNHRRRPFFVLSHHELARRRRIETEKYRGGDDSPLTGR* >Brasy7G186400.1.p pacid=40045459 transcript=Brasy7G186400.1 locus=Brasy7G186400 ID=Brasy7G186400.1.v1.1 annot-version=v1.1 MGFKRAKLEVSSPDHDRRLLVSEEEMERRFWAREEEEEPVAEHDGPAVVYLSSDDDEPPAEEDLSAAELTPGDSVAVVSVENTSQQDEARADAPAPEAAVLSACDDPPMQVTFELTDGTADAGVEAGVDATDGGVESAPDGGVEALVGAEDDGLVDAVAHGWEEAEEEIAPAYNDAPADAVRAEDDDRPSDDDVMDAAVEASDATFAAEEAARQALAAVERAQFVAALRASME* >Brasy7G190100.1.p pacid=40045460 transcript=Brasy7G190100.1 locus=Brasy7G190100 ID=Brasy7G190100.1.v1.1 annot-version=v1.1 MVMMIHLRLVSQNIRSCRLRTFALPGLRVDAANSFLGCLSQLFSSPDYFFALPVVF* >Brasy7G040800.1.p pacid=40045461 transcript=Brasy7G040800.1 locus=Brasy7G040800 ID=Brasy7G040800.1.v1.1 annot-version=v1.1 MRTEHRYDMKRENLVSIDSFAGRFRNLDVLALAPPEFPVPDSVPWLEMLPVCY* >Brasy7G193800.1.p pacid=40045462 transcript=Brasy7G193800.1 locus=Brasy7G193800 ID=Brasy7G193800.1.v1.1 annot-version=v1.1 MTTALEFMPADKLAPHHQQQQPSAAAAAAAPPMAGGAGMQEDDKHRRRLEGKVAIVTGGARGIGEAIVRAFVRHGARVLIADIDDAAGQALASSLLREIGVGEEAGAEALACCSYVHCDVSCEADVERAVGLALATHGRLDVLCNNAGVLGRQRQGSPNSNSIASLDAAEFDRVLRVNALGAALGMKHAARAMLQGNGNGHGGSIVSVASVAGVLGGMGPHAYTASKHALLGLTKNAACELGKHGIRVNCVSPFGVATAMLVNAWRDRAAGDGAEDEDEAMSGAMWASEVEKTEEMVRGMATLKGPTLRAADVAEAALFLASDESSYVSGHNLVVDGGVTTSRNVIGL* >Brasy7G192400.1.p pacid=40045463 transcript=Brasy7G192400.1 locus=Brasy7G192400 ID=Brasy7G192400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G162500.1.p pacid=40045464 transcript=Brasy7G162500.1 locus=Brasy7G162500 ID=Brasy7G162500.1.v1.1 annot-version=v1.1 MMADQSCKVVCTIYDVCTRHHSPMHTLLLVSFPDRDRRRRNIAAAAAKPDAGRQRQGQEPKSGLGKGFASLCRCPGAGNLLFRTGTSRTCIFNYELTIHNRVK* >Brasy7G170200.1.p pacid=40045465 transcript=Brasy7G170200.1 locus=Brasy7G170200 ID=Brasy7G170200.1.v1.1 annot-version=v1.1 MQRDLASLSCYSSAAGAASFFLPQPSAVVDGLLGSVSGGHGPQCNGGSSWRAAGVAVDVAGSGEEARRKARRLASNRESARRSRVRRRRQLDELSACAVELRADNQRLVVALNRAEARHARVVRENQRLREEARRLRERLGEGGDDGDDEAAAARAP* >Brasy7G072800.1.p pacid=40045466 transcript=Brasy7G072800.1 locus=Brasy7G072800 ID=Brasy7G072800.1.v1.1 annot-version=v1.1 MEMPGGSGALALARQGSIYSLTFDEFQSALGGAGKDFGSMNMDELLRNIWTAEESNAIAAATTAVPASNVDAQAQPPPPQQAILRQGSLTLPRTLSQMTVDEVWRDIMGFCDDEPEAPAPAPLPAQAQRQPTLGAMTLEEFLVRAGVVREDMGGQTVVVPARAQALFPQSNVVTPTMQVGNGMVHGVVGQGAGGGMTVAAPATPGVLNGFGKVEGGDLSSLSPVPYPFDSAMRVRKGPTVEKVVERRQRRMIKNRESAARSRQRKQAYIMELEAEVAKLKEHNEELQKKQVEMLKEQKTEVVERINQQLGPKAKRFCLRRTLTGPW* >Brasy7G108800.1.p pacid=40045467 transcript=Brasy7G108800.1 locus=Brasy7G108800 ID=Brasy7G108800.1.v1.1 annot-version=v1.1 MGRLSKFFEGSANRVTRGHCNGNFHGGYSAQHTNSYGTPDSDNEDIDHAIALSLSEENKTKGKAIGPYTTDGHLEEDEVLARALQESLNDEPPLGQNVPVEDVQSDSTPATRLQPSSGLRVCAGCKTPIGLGRFLSSLDSVWHPQCFRCLGCDRPISEYEFAVHEDHPYHRSFYKELFHPKCDVCKNFIGTNKNSLIEYRANPFWMQKYCPSHDNDGTPEHNFTTLPNNSKYVTLDDGRKLCLECLTTSIMDTNECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQGLSEAVEAEKMGHHLPETRGLCLSEEQIVRTILKRPVIGPGNKIIDMITGPCKLVRRCEVTAILVIYALPRLLTGSILAHETMHAYLRLKGYRILSPEVEEGICQVLAHLWLASGSSSNIATTSAAAAAAGAKTDFEKKLGEFFKHQVETDPSAVYGEGFRAGIRAVERYGLRRTLDHIKLTGSFPC* >Brasy7G083400.1.p pacid=40045468 transcript=Brasy7G083400.1 locus=Brasy7G083400 ID=Brasy7G083400.1.v1.1 annot-version=v1.1 MLHLRKLISPSLSAPHHLPAPLFSLHRLLAAAAAPVSPEPFAVEDYLVTACGLTRGKARKASKKLIHLRSPSRIDAVLSFLSTLGFSRSATAAVIATDPQFLCADVERNLAKRVVELTDLGLNHSQIAQLIPLARASFRHSSLARNLSFWLPIFGSFGKLLQAVKVNAGILGTDLDKVAKPNLAILQECGISVQKFPGTFVSRVLTTLPRHVLDAVVYIDKLGVPRGSSMFRYALMTFAVQRQEKLAKKIGALKMLGWSQDDVLAAARKMPSFLAMSEERLRRNVEFLTRDVGLEISYITRRPVLAMYSHDRRVFPRHSLLKILNAKGLRDTELDFYCIVALTEKKFLAKFVHPYEGSIPGLAMTYASMCAGKVPNRVTA* >Brasy7G083400.2.p pacid=40045469 transcript=Brasy7G083400.2 locus=Brasy7G083400 ID=Brasy7G083400.2.v1.1 annot-version=v1.1 MLHLRKLISPSLSAPHHLPAPLFSLHRLLAAAAAPVSPEPFAVEDYLVTACGLTRGKARKASKKLIHLRSPSRIDAVLSFLSTLGFSRSATAAVIATDPQFLCADVERNLAKRVVELTDLGLNHSQIAQLIPLARASFRHSSLARNLSFWLPIFGSFGKLLQAVKVNAGILGTDLDKVAKPNLAILQECGISVQKFPGTFVSRVLTTLPRHVLDAVVYIDKLGVPRGSSMFRYALMTFAVQRQEKLAKKIGALKMLGWSQDDVLAAARKMPSFLAMSEERLRRNVEFLTRDVGLEISYITRRPVLAMYSHDRRVFPRHSLLKILNAKGLRDTELDFYCIVALTEKKFLAKFVHPYEGSIPGLAMTYASMCAGKVPNRVTA* >Brasy7G158400.1.p pacid=40045470 transcript=Brasy7G158400.1 locus=Brasy7G158400 ID=Brasy7G158400.1.v1.1 annot-version=v1.1 MRGLIPCRVLGCQMYEPKPFSSTGSAHNNPIPHNQQIVPIANNAASNIGGNSSNVNSATRQRLRWTDELHGRFLDAVTQLGGPDRATPKGILRTMGVQGLTIYHVKSHLQKYRLSKYIPDPTADGAKSDEKVLGNLFAGIESSPGMEISEALKLQMEVQKRLRDQLEVQRQLQLRIEAQGKYLQKIMEEQQRLTGVLCESGTLNAQELCQDFNKTDPLTPVPTPEPLIRDKASTVSDDHEGTDRLLKVLSSHDECHSGREPLTPDSSCGAASPLDLPRDRLDHQNSESVLPHNILESRSGSDFRQASSVFSSSTRRSDSPAALDFSEDDFRNSSDV* >Brasy7G158400.4.p pacid=40045471 transcript=Brasy7G158400.4 locus=Brasy7G158400 ID=Brasy7G158400.4.v1.1 annot-version=v1.1 MYEPKPFSSTGSAHNNPIPHNQQIVPIANNAASNIGGNSSNVNSATRQRLRWTDELHGRFLDAVTQLGGPDRATPKGILRTMGVQGLTIYHVKSHLQKYRLSKYIPDPTADGAKSDEKVLGNLFAGIESSPGMEISEALKLQMEVQKRLRDQLEVQRQLQLRIEAQGKYLQKIMEEQQRLTGVLCESGTLNAQELCQDFNKTDPLTPVPTPEPLIRDKASTVSDDHEGTDRLLKVLSSHDECHSGREPLTPDSSCGAASPLDLPRDRLDHQNSESVLPHNILESRSGSDFRQASSVFSSSTRRSDSPAALDFSEDDFRNSSDV* >Brasy7G158400.2.p pacid=40045472 transcript=Brasy7G158400.2 locus=Brasy7G158400 ID=Brasy7G158400.2.v1.1 annot-version=v1.1 MYEPKPFSSTGSAHNNPIPHNQQIVPIANNAASNIGGNSSNVNSATRQRLRWTDELHGRFLDAVTQLGGPDRATPKGILRTMGVQGLTIYHVKSHLQKYRLSKYIPDPTADGAKSDEKVLGNLFAGIESSPGMEISEALKLQMEVQKRLRDQLEVQRQLQLRIEAQGKYLQKIMEEQQRLTGVLCESGTLNAQELCQDFNKTDPLTPVPTPEPLIRDKASTVSDDHEGTDRLLKVLSSHDECHSGREPLTPDSSCGAASPLDLPRDRLDHQNSESVLPHNILESRSGSDFRQASSVFSSSTRRSDSPAALDFSEDDFRNSSDV* >Brasy7G158400.3.p pacid=40045473 transcript=Brasy7G158400.3 locus=Brasy7G158400 ID=Brasy7G158400.3.v1.1 annot-version=v1.1 MYEPKPFSSTGSAHNNPIPHNQQIVPIANNAASNIGGNSSNVNSATRQRLRWTDELHGRFLDAVTQLGGPDRATPKGILRTMGVQGLTIYHVKSHLQKYRLSKYIPDPTADGIESSPGMEISEALKLQMEVQKRLRDQLEVQRQLQLRIEAQGKYLQKIMEEQQRLTGVLCESGTLNAQELCQDFNKTDPLTPVPTPEPLIRDKASTVSDDHEGTDRLLKVLSSHDECHSGREPLTPDSSCGAASPLDLPRDRLDHQNSESVLPHNILESRSGSDFRQASSVFSSSTRRSDSPAALDFSEDDFRNSSDV* >Brasy7G158400.5.p pacid=40045474 transcript=Brasy7G158400.5 locus=Brasy7G158400 ID=Brasy7G158400.5.v1.1 annot-version=v1.1 MYEPKPFSSTGSAHNNPIPHNQQIVPIANNAASNIGGNSSNVNSATRQRLRWTDELHGRFLDAVTQLGGPDRATPKGILRTMGVQGLTIYHVKSHLQKYRLSKYIPDPTADGIESSPGMEISEALKLQMEVQKRLRDQLEVQRQLQLRIEAQGKYLQKIMEEQQRLTGVLCESGTLNAQELCQDFNKTDPLTPVPTPEPLIRDKASTVSDDHEGTDRLLKVLSSHDECHSGREPLTPDSSCGAASPLDLPRDRLDHQNSESVLPHNILESRSGSDFRQASSVFSSSTRRSDSPAALDFSEDDFRNSSDV* >Brasy7G154000.1.p pacid=40045475 transcript=Brasy7G154000.1 locus=Brasy7G154000 ID=Brasy7G154000.1.v1.1 annot-version=v1.1 MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGIGAGGEDERQAKRARVAVDGEEAAPAMTPEEAEFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGMESREELLRVHKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVLLQPFFTTDLLYQLVKECEAMLDQLLPSNKPFVSSKDGQENTNSEDKASNPSSSLVNSGCIPELDEIELMESMYMKSTVAALRSLKEIRSKSSTVSAFSLPPLQGSNAPEEQERWKKISVIEQAAK* >Brasy7G161500.1.p pacid=40045476 transcript=Brasy7G161500.1 locus=Brasy7G161500 ID=Brasy7G161500.1.v1.1 annot-version=v1.1 MKFRGWNCRSLNSDAAVRSLLDLQKNVRADVIFLSETHLNKEKADAVRRRLGFKFMFVEESDGRSGVSAYNTCVQISLMWWWVLLLREELDMPWMMFGDFNEIMYSHEKEGGNPRPLLMMQKFRECLAECGLEDMGFSGDIFTWSRGEIRERLDRAVCNERWAALFPMFAVTNEAHDRSDHRPIVVDTEFHVGALAPRKTGTKFFEARWLKEEAIETIVSTAWARAIASGAMGVAARTSMVHADMHTWDRQVLKGPLKKLKKLKQELELLRKGSTSPDSLAKQKELQVHIENLLEQEDIYWMQRGRVNWLMHGDRNTSFFHHSATQRKKRNQIKKLIDDDGNWHEGTTPLNNLISNYFINLFQSERK* >Brasy7G177500.1.p pacid=40045477 transcript=Brasy7G177500.1 locus=Brasy7G177500 ID=Brasy7G177500.1.v1.1 annot-version=v1.1 MGKEEAAGNSQPDCINSSNPFHECSDYCLHQIAEAQRRLAEDLPDSRPPEERTVHPDCINASNPYHGCSEYCFKKIADAKAALERGEQEHPPGGSGKSDVALEQADGDDDSSRQEDTGTDNGYPQMTEKQKKLFELQLKMNEARKANQQAMVSEKKRMEPRGESRGVSKQKWLDDRKKKIGKLLDSNGLDMSKSYMLDTQDMAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEVDMESYNKAKETDPEFYRDASSLQYGKVSKVAEPNIDRMVNELKERDEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD* >Brasy7G148400.1.p pacid=40045478 transcript=Brasy7G148400.1 locus=Brasy7G148400 ID=Brasy7G148400.1.v1.1 annot-version=v1.1 MAPFATAGVQMMALRPAISASQRMLTSRAAVSRVDLALSTSSFASCPRISCSRPLSSSKRSGVSVRAMSGASSSQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDTPDDVPEDVKANKRYAGSSKWTVKEVAESVKQDFGSIDILVHSLANGPEVTKPLLETSRSGYLAAISASSYSFVSLLQHFLPIMNSGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGHKGKVRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNVAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTVSS* >Brasy7G210800.1.p pacid=40045479 transcript=Brasy7G210800.1 locus=Brasy7G210800 ID=Brasy7G210800.1.v1.1 annot-version=v1.1 MQGPNTSRSSTKFFKQAFLKNLLQSLACFHPRTTAMSLHDRKLAIKSAADVAMAAARSAAPAMSPLAILLASASARIRRRSSIVVRSSSCRRRRKRTGSSFKGSSLASGAGDAVDVTRTKVMELREVIPGGRDASAAAMDDEASLLKEAVDYVVHLLAQVDVLRRVSEVAALQRSG* >Brasy7G140300.1.p pacid=40045480 transcript=Brasy7G140300.1 locus=Brasy7G140300 ID=Brasy7G140300.1.v1.1 annot-version=v1.1 MASTPTYPAGSSPLPWGRCLAMGSGRPALQVHGADLFLSLRHGGAASPWGPTLPVHGAAAVHGAGSGRPRPRRRWIYSPRPSASPRLRLPRHCRRPIGLRLPAGAISPSSEVPASRPKLPTGSGRPASAAVPSSAAVPASTAGSGQLLLCPPPSARRRRPSPRPCRCRRNHSQARTGPREEEDEQR* >Brasy7G031400.1.p pacid=40045481 transcript=Brasy7G031400.1 locus=Brasy7G031400 ID=Brasy7G031400.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGLSTLVQKLSHLPFFVNITAHRRTIAEGNEIEECLQQSWIYAYN* >Brasy7G089700.1.p pacid=40045482 transcript=Brasy7G089700.1 locus=Brasy7G089700 ID=Brasy7G089700.1.v1.1 annot-version=v1.1 MSGIPYFYLMQKKRIQGKGENNKRISSFSEGLLVLLQFLLYSGLSLGPLLLRFRGGSAQTEGKEIWWGTNLL* >Brasy7G139500.1.p pacid=40045483 transcript=Brasy7G139500.1 locus=Brasy7G139500 ID=Brasy7G139500.1.v1.1 annot-version=v1.1 MDMSEATSANSVTKIMEKIQRPKAAGPVASDGKQKINSSTQSGGVLDVENILKNPSMAAIVKAYPESEKKVDTTFNARYAAAPDMPDCWEANLYDEIILNDDHYNNKENTGFDYASYFASPLYQARMASYGRKTPQGGV* >Brasy7G096000.1.p pacid=40045484 transcript=Brasy7G096000.1 locus=Brasy7G096000 ID=Brasy7G096000.1.v1.1 annot-version=v1.1 MARFACVPLMFLVILAFFTVSGEAARMLGGSDVLAPAGEAVVSGGGVMAQLIRQMYLQRLGAGPSCGTHSSNGGCPHP* >Brasy7G161400.1.p pacid=40045485 transcript=Brasy7G161400.1 locus=Brasy7G161400 ID=Brasy7G161400.1.v1.1 annot-version=v1.1 MGGNQEAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGMDKPSSTYDLVEQMFFLYVRVVKAKDLPLNPVTGAPMDAYVEVKLGNYKGTTKHHDRRPSPEWDQVFAFSKSRVQSNALEVFLKDREAPGLGRDDYVGRVVFDLGEVPTRVPPDSPLAPQWYRLEDRRGGKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQSRGRAPEVFVKAQVGNQVLKTSVAPAAATLNPRWNEDLVFVVAEPFEEQLVMTVEDRVSARKDDLLGRVQLPLSLFEKRLDHRPFVQSRWFDLEKFGINAMEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGSTDAYCVAKYGQKWVRTRTMIGTFSPTWNEQYTWEVFDPSTVITIGVFDNCHLGNNNNGAPAPPPPARDARVGKIRIRLSTLETDRVYTHAYPLILLQPSGVKKMGELRLAVRFTCLSMMNMLHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLARAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAGARWFNDVCHWKNVATTALVHVLLLILICYPELILPTAFLYMFMIGLWNYRKRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVAGDMATQGERLQSLLGWRDPRATCLFVVFCLLAAVVLYVTPFRVVALVAGLYLLRHPRFRSKLPSVPSNFFRRLPSRADSML* >Brasy7G167900.1.p pacid=40045486 transcript=Brasy7G167900.1 locus=Brasy7G167900 ID=Brasy7G167900.1.v1.1 annot-version=v1.1 MASNSNKWWQAPLDFPPQPQHYQQQQPPPVTMPPPSSTAPAAPPAAAASPQSQDQPAAGAVVPLRKPRGRPLGSKNKPKPPIIITRDSPDALHSHVLEVCPGADVSACVAQYARARGRGVCVLGASGTVADVAVRVPGAPAAGALPLTLPGPFELLSVTGTVLPPPAPAEASGLAVLLAAGQGQVLGGRVVGPLVAASPVTLFAATFANAVYERLPLQDDDATLDVKPNLSADAQQVQQPQQPPPMAMSQAMAMGGAAGYPDVHRASPPYAWGGGHGHGGGGI* >Brasy7G060200.1.p pacid=40045487 transcript=Brasy7G060200.1 locus=Brasy7G060200 ID=Brasy7G060200.1.v1.1 annot-version=v1.1 MTGWMHANFAVTLYRASGFDGLFMSSAKGFKRDCHFSLDIYCRMSCQQHRLATRTDGMDDSSLFMQWAVSTLQQQDPEAAADDGGSENAFTSLHGLGEASASHAGTMAQEPTGAIESWSPDDAGCWAFGLDAAMDSAGPSAPRSIIMGRGGPANNQPAMSWSFNAAAAACERGGPEMAPRRAARTGPSSSSQGHIMAERKRRETMNQRFVELSTVIPGLKKMDKGTILTDAARYVKELEEKIKSLEAASSDRRISIESVVLLAPDYEGSPRPRPFSAVGAPARNQAPEIKATLSENNVVVRIHCENGKGLVGRVLAEVEELHLRIVHSNVTPFSASTVIITAMAKVEEGFTIDAEEIVGRLNSVLHNLS* >Brasy7G060200.2.p pacid=40045488 transcript=Brasy7G060200.2 locus=Brasy7G060200 ID=Brasy7G060200.2.v1.1 annot-version=v1.1 MSCQQHRLATRTDGMDDSSLFMQWAVSTLQQQDPEAAADDGGSENAFTSLHGLGEASASHAGTMAQEPTGAIESWSPDDAGCWAFGLDAAMDSAGPSAPRSIIMGRGGPANNQPAMSWSFNAAAAACERGGPEMAPRRAARTGPSSSSQGHIMAERKRRETMNQRFVELSTVIPGLKKMDKGTILTDAARYVKELEEKIKSLEAASSDRRISIESVVLLAPDYEGSPRPRPFSAVGAPARNQAPEIKATLSENNVVVRIHCENGKGLVGRVLAEVEELHLRIVHSNVTPFSASTVIITAMAKVEEGFTIDAEEIVGRLNSVLHNLS* >Brasy7G190200.1.p pacid=40045489 transcript=Brasy7G190200.1 locus=Brasy7G190200 ID=Brasy7G190200.1.v1.1 annot-version=v1.1 MESVDDPGSSSSCSRSKWAKMMSADSWRWCLGLIYIVAIAGIWIAASYIVQSVVDGGVSPFLITYICNSLFVIYIPIVEVARYFEDSVNNMWAKFKHKDGANLQQSADLESVNLLHSSKREGNAASSEPLESLPEDNLASDTNFPGHTELGVADCSKGLDAKGRWTRARTARVSMLVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSTLFTFLVALVFLGETFTWVKLISVLLCIGGTIIVSLADSGSTLNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHTLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLNYIGAAAVLVGFAGINIPSDTPQPPQQEQGTPIVSMVDDPLRLPSDTNSTNAVS* >Brasy7G219400.1.p pacid=40045490 transcript=Brasy7G219400.1 locus=Brasy7G219400 ID=Brasy7G219400.1.v1.1 annot-version=v1.1 MEYSLGRRQRSSLLAPGDGGPDRISALPDDLLLTILSRLGCTSTAMCTSVLSHRWSGLWTRLREVIFRDVALRSLESVLSCVSPEVSLLEIHVPEDYSPDPAPVSSLLHAAARLAPEELVLTLPARLAKIDLPRFHRATSITLTSRFLVLRVPAAAAGEYCPMLERLSLSGGLIGDLDALLSRCPRLRVLRLVAPNVMLSDKDPMVVHSEMLQELVMEAKTAWVDIITPVLKQLTVRLRSRKEVSISISAPRVENVSWHCSYIMGSIGFGLWSLDKLRLQTAQGQGQEMQLPSLQIHACINSLFFITHEADNLAVEIEKHMVARFSVLELYLTTIGHVYGALMFHLLEMNRVRRDMQRLKVVLCRSTEGKEACEADCPCEPTSWRTQTISLTALEEVEIDGFEGEDHELDFLKLVLRCAPMLRRLVLKLPDDVSLGKDACNGLFKILSGAYSPMECHIYLGSGQLYVVHV* >Brasy7G213100.1.p pacid=40045491 transcript=Brasy7G213100.1 locus=Brasy7G213100 ID=Brasy7G213100.1.v1.1 annot-version=v1.1 MGNIVKDNGGSGNGRSGQNGGRQGDGAEGSGGSSSTSCVSPDSSPSRNVLILYECKRCVRICMVTKKKEFPKCLHCNQPSLFIPPYSNAQINKNSR* >Brasy7G097400.1.p pacid=40045492 transcript=Brasy7G097400.1 locus=Brasy7G097400 ID=Brasy7G097400.1.v1.1 annot-version=v1.1 MRRAPVRGGGGGSPVLLLLVLVAALAPRQASAVTDAADVSAINGLYVSLGSPKLPGWIPNGGDPCGELWQGVVCTGSAITKITMNAANLGGQLSNLGNFTSITTIDLSNNNIGGSIPEDLPLTLQTLFLSANQLTGRIPSSLSNLKSLSAMSLNANHLDGELPDAFDSLVGLVNLDISANNFTGVLPPSVKNLSSLTTLRIQDNQLSGTLDFLQDLPLKDLNVENNLFSGSVPPKLLNIPTFKKDGNPFNTTIAPSASPPSASAGPAPTPTLTPTGPKLAPTPTPTPTGPNPERAPSPPSPLSKAPPSSETPSNSSDGSTARDSTSSSRKHNSSALKIAGFVLLVVVLFIAIVLLVIFCLSKYQERQSRYDYNRTQLGRVHHRVEPQIMPASVQQKDDVKKGPGETLDRRDRELRSAAAAALPKKSPESRKEHIINLDRTDSDLFAAVPPPPPPPPLPPLLNVGKVTVNPIVPPEKRHGPPPKTSTPTSATPFSVASLQQYTSNFREENVIRDSRLGKVYLAEVPEGKLLEVMKIDNANGRVSVDDFLELVAHISEIKDPNILELVGYCAEYGQRLLVYNHFGRKTLDDALHDGEEIHNALSWNARLQIALSSGKALQYLHESFQPPIVHQNFEPANVLLDDKLSVCVSECGLAKLMPSSSVTQLSGRMRTLLSYEAPEFQESGIITERGDVYSFGVVMLELLTGRKPYDTSRPRHEQHLVRWAGFQLHDIESLSKMVDPVIRGQCSEKALSRFADIISRCIQREPEFRPPMSAIVQDLASIVNASGEESE* >Brasy7G097400.2.p pacid=40045493 transcript=Brasy7G097400.2 locus=Brasy7G097400 ID=Brasy7G097400.2.v1.1 annot-version=v1.1 MRRAPVRGGGGGSPVLLLLVLVAALAPRQASAVTDAADVSAINGLYVSLGSPKLPGWIPNGGDPCGELWQGVVCTGSAITKITMNAANLGGQLSNLGNFTSITTIDLSNNNIGGSIPEDLPLTLQTLSLNANHLDGELPDAFDSLVGLVNLDISANNFTGVLPPSVKNLSSLTTLRIQDNQLSGTLDFLQDLPLKDLNVENNLFSGSVPPKLLNIPTFKKDGNPFNTTIAPSASPPSASAGPAPTPTLTPTGPKLAPTPTPTPTGPNPERAPSPPSPLSKAPPSSETPSNSSDGSTARDSTSSSRKHNSSALKIAGFVLLVVVLFIAIVLLVIFCLSKYQERQSRYDYNRTQLGRVHHRVEPQIMPASVQQKDDVKKGPGETLDRRDRELRSAAAAALPKKSPESRKEHIINLDRTDSDLFAAVPPPPPPPPLPPLLNVGKVTVNPIVPPEKRHGPPPKTSTPTSATPFSVASLQQYTSNFREENVIRDSRLGKVYLAEVPEGKLLEVMKIDNANGRVSVDDFLELVAHISEIKDPNILELVGYCAEYGQRLLVYNHFGRKTLDDALHDGEEIHNALSWNARLQIALSSGKALQYLHESFQPPIVHQNFEPANVLLDDKLSVCVSECGLAKLMPSSSVTQLSGRMRTLLSYEAPEFQESGIITERGDVYSFGVVMLELLTGRKPYDTSRPRHEQHLVRWAGFQLHDIESLSKMVDPVIRGQCSEKALSRFADIISRCIQREPEFRPPMSAIVQDLASIVNASGEESE* >Brasy7G124000.1.p pacid=40045494 transcript=Brasy7G124000.1 locus=Brasy7G124000 ID=Brasy7G124000.1.v1.1 annot-version=v1.1 MSFLLMILLSLTALQLQVDTKPSEITRSQFPQEFMFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSTGDVAIDSYHRYKDDVNIMKDLGFDAYRFSLSWSRILPSGKPSGGINMEGIKYYNNLIDKLISKGIEPFVTLFHWDSPQVLEQQYGGFLSHLIVEDFYVYANICFREFGDRVKYWVTLNEPWSFSVGGYSSGILAPGRCSSREKSGCSMGDSGKEPYIVAHNQLLAHASAAQVYRDKYQREQKGKIGITIVSNWITPYSNSKEDNDATKRAMDFMYGWFMDPLTKGDYPLSMRTLVGNRLPKFTKEQARAVNGSFDFIGLNYYSARYAQNTKHNCKINKSYSTDSRANQRVERNGTYIGPKAGSSWLYIYPKGIEELLLYTKETYNNPAIYITENGVDEINNENLPLQEALVDNTRIEFYRQHIFYVLRALREGVDVRGYFAWSLFDNFEWMDGYSVRFGLNYINYKDGLKRYPKRSSQWFQKFLHQ* >Brasy7G124000.2.p pacid=40045495 transcript=Brasy7G124000.2 locus=Brasy7G124000 ID=Brasy7G124000.2.v1.1 annot-version=v1.1 MFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSTGDVAIDSYHRYKDDVNIMKDLGFDAYRFSLSWSRILPSGKPSGGINMEGIKYYNNLIDKLISKGIEPFVTLFHWDSPQVLEQQYGGFLSHLIVCVNIIREDFYVYANICFREFGDRVKYWVTLNEPWSFSVGGYSSGILAPGRCSSREKSGCSMGDSGKEPYIVAHNQLLAHASAAQVYRDKYQREQKGKIGITIVSNWITPYSNSKEDNDATKRAMDFMYGWFMDPLTKGDYPLSMRTLVGNRLPKFTKEQARAVNGSFDFIGLNYYSARYAQNTKHNCKINKSYSTDSRANQRVERNGTYIGPKAGSSWLYIYPKGIEELLLYTKETYNNPAIYITENGVDEINNENLPLQEALVDNTRIEFYRQHIFYVLRALREGVDVRGYFAWSLFDNFEWMDGYSVRFGLNYINYKDGLKRYPKRSSQWFQKFLHQ* >Brasy7G124000.3.p pacid=40045496 transcript=Brasy7G124000.3 locus=Brasy7G124000 ID=Brasy7G124000.3.v1.1 annot-version=v1.1 MFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSTGDVAIDSYHRYKDDVNIMKDLGFDAYRFSLSWSRILPSGKPSGGINMEGIKYYNNLIDKLISKGIEPFVTLFHWDSPQVLEQQYGGFLSHLIVEDFYVYANICFREFGDRVKYWVTLNEPWSFSVGGYSSGILAPGRCSSREKSGCSMGDSGKEPYIVAHNQLLAHASAAQVYRDKYQREQKGKIGITIVSNWITPYSNSKEDNDATKRAMDFMYGWFMDPLTKGDYPLSMRTLVGNRLPKFTKEQARAVNGSFDFIGLNYYSARYAQNTKHNCKINKSYSTDSRANQRVERNGTYIGPKAGSSWLYIYPKGIEELLLYTKETYNNPAIYITENGVDEINNENLPLQEALVDNTRIEFYRQHIFYVLRALREGVDVRGYFAWSLFDNFEWMDGYSVRFGLNYINYKDGLKRYPKRSSQWFQKFLHQ* >Brasy7G044800.1.p pacid=40045497 transcript=Brasy7G044800.1 locus=Brasy7G044800 ID=Brasy7G044800.1.v1.1 annot-version=v1.1 MAAMDEAALDDVIRRLLEARGGRTARPAQLSDAEIRRLCAAAREVFLGQPNLLELEAPIKVCGDIHGQYTDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPELKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIDGWGENDRGVSYTFGADKVAEFLEKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGAGNMSKPGTPPRKIKINII* >Brasy7G012900.1.p pacid=40045498 transcript=Brasy7G012900.1 locus=Brasy7G012900 ID=Brasy7G012900.1.v1.1 annot-version=v1.1 MARRVRRARIPGAFLLGKKELTGGTRLSAAGRARGSAGLRPGRLGRCGRAARARATGPETEDGTEYDSTEDTQASSGTKSATSRTSAKTLYWIIKKFNEVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNYAIVIDAIRALPFEPIDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGIPGNRISLKEAEKIVLMELTPESTRLQQDSFKMAYVIILIGHMLSPSTKYDHVNPDFLGALRCTEEIGQYNWCAYVLKGIIDAARRLQDDIGQKKVVSAIAGCHIFLQIHYLDNLVLGPLQPPKNIFPRCKAFPTDVLNKLILADTKPGGGYGSKQFNARGTSRQPMTSIPHPEKTPAATTSATTPPALPPVPANSSASVPISAATLPRFLRDKYPALSNSAVAVAFKKYNANMTRAMHERHAAEKTSTLEHNVWLADQVFGLIHSTNQENQPSLPAARTESDSKDCGVESTPKRCSTETNDPAMKKAKSNTSDATRSFFNHMDFDPPSFELGIDAIQTLTERNVTPLAASNDVSIRTPPATTLTPCSPAATKMYNETVMADLIFRTDYLDCPESRVLFGHCSVSPPDKRRTRVGQFAPSPWSDGYIHPKPDIDLMISLMDWCTDAGAQYMNITWLSVEFPRFITVKGSHVRDQLVRSDMLDFEMCDLLVRRLTQLDTYMAPNSCKMRWRHLLESDFSVYVLAAGDVTSALSIQQQFIGNSVQYNMSCIRMFAVPSFVNEYWSAYMFDMKEEIIHVLDPFLEQDSTGKIKDLHVHTSGLIHEKLFDCLNSLFENWNPRKNVWPLHFPVLTADTFEKYGHPSFQL* >Brasy7G068200.1.p pacid=40045499 transcript=Brasy7G068200.1 locus=Brasy7G068200 ID=Brasy7G068200.1.v1.1 annot-version=v1.1 MATVASRPPAPTSSPCHAALPPYLPALARCSLSSRSASSPSPFSPARALPSSPSVPLFFSTRASCSSPPEPPPRDPAKPPSPFLAPARPHTATHVSSSPPPAARRRGPNPPVRSSQRPDASRRRCATLPRARIRASRAPEPAPRRARRTPACDRRHRPARTKFAPPPALGSSRCSPTARARPRRWSPPIVPNLLPPPPPARPPRTALSHRRSRREKRGLGVHRQGGRRRGMSSGGVSGEGDGNKRERETSEPEKRNKKTSLCTRASIQNI* >Brasy7G113500.1.p pacid=40045500 transcript=Brasy7G113500.1 locus=Brasy7G113500 ID=Brasy7G113500.1.v1.1 annot-version=v1.1 MISSPTISLLPYIYTSAHSIQLCHQNISSPQFTLLPSSITRGREASNSTEMAKISFFLLALLLLAIAFPAEVMAAGGGGKLKPWQCSSKCSSRCSGTQYKKACLTFCNKCCATCLCVPPGTYGNKGACPCYNNWKTKEGGPKCP* >Brasy7G108700.1.p pacid=40045501 transcript=Brasy7G108700.1 locus=Brasy7G108700 ID=Brasy7G108700.1.v1.1 annot-version=v1.1 MLMRSGKKKFEGGPAARMPVPGRAGPLENALKGFAQRTSENILSVQSGLSFDGLPEAYEYFNMYSWEVGFGIRYGRSKCYKRKSGPRKDVVYQTMQQIVCGCQGFPNKKNTSSIRCGCKTMIRLLRTEDHGWYITDCILQHNHPLSRNNGEKLCWSSHKHIDRYTRDLVRNLRENNVPLTKVFSILGNYFGSVEDIPFNKRSLENLCKQISKEEANDDVRKTMDLFTRMRNECPSFVYTADLDSDGRIKTLIWVNGKSKLDYQYFGDAITFDTTYKANLYGMPFGLFVGVNNHFQSIIFSGVLMRQETIESFEWIFREFTSLVGGKPPVTILTDQCRAMEVAIERVFKSTTHRWCKWHVLKMAKERLGSVYNKNNTFKAEFHKLINYSITVPEFEAAWQQLMEKYDLKEHHFLTPIYESRHRWAKPFFSGIFCAKMTSTQRSESANHMLKGYVPPGAPMHLFVKQYNKLIVDRISKEDYENQRTRMGGVVLKTGWPIEKHAASIYTSKMLEMFSEHIFDSAAYNVIEIVPNLKYHTVHSDASRREKWSKVQYEVTISDDGGLYTCECGLAEHMGMLCCHSIRVMLRLGVDKVPDAHILKKWTKNAGDVLPHHLAHLQKDRGSLRSESYRHASLHVAALEIANIGDKNIDCYHEVLKYLKDGKEKFGQMYQYTDGRSLQEILASTVVHADEEADGTIIVPGQLHGGVIPPVRNCPEGRPTNTMDKPSYELKRKRTKFCTVCKLTGHNRSACKANPDKPPVKRSDPKCGKCGVLGHRRNVCGTTVDVQQMEYELGV* >Brasy7G104200.1.p pacid=40045502 transcript=Brasy7G104200.1 locus=Brasy7G104200 ID=Brasy7G104200.1.v1.1 annot-version=v1.1 MSVSCGLECVLCVGCVRWAWKRLTYIGAYDSETWPTAAPDDFEPVPRICRIIIAISDPDLSNPKLAPPGRGYADVDRDGFVRRTTYADVGNTCPPYVVYADKRRKEVVLAVRGLNLVRNADYKVLMDDKLGKQMFDGGYVHHGLLKAAQFILERETETLRELLRQQGPDCKLLFAGHSLGSGIAALMTVLVVNNRREFGNIPRSHIRCYALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTPTPLQYIFGSIFCLPCLIFLVCLRDTFKQDKKKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHAIFWIERESAKALELMKENEKATTPPAQQKMERLQSFEEEHKSALQRAKTLDVPHAVDISEEETHEGALPSDTHSETTSEPKSAGGTSWDELMDKLFSRDEDGKLIVNRDMVARDVVIE* >Brasy7G205300.1.p pacid=40045503 transcript=Brasy7G205300.1 locus=Brasy7G205300 ID=Brasy7G205300.1.v1.1 annot-version=v1.1 MAAQPDPSFSIVSPTSRGGPKNCKDLKAQSPSSPYVAGDRSPPLARRLFLSPARVSLASRFSNQGPSTRLNIVAPTTATTSGSAFESTSGAALRPVTPGTSSATIDVAPSCVIDVEDPVQSEDEELEEYYGQLWIVPSCPPLQARVLALGVAAAPVWIRRDLFNSGRFTVRDCHQFRLSDRFPPKPKQFSFSRDFWSKEQGRETFVAVARQQEMDARADGGRGRGGKAPPPPRRNLHQYNRLIGQRPATGVAGGGYGQGGGYRGDGRGEGCGGDATDAAGPGPRATTSAPDPASPTPSSGAPSSGGGRGGPTPGSPLQGAHLLQLRRSRALRGQLRQDEDMLHLPIYWSSYEQLRAVV* >Brasy7G221100.1.p pacid=40045504 transcript=Brasy7G221100.1 locus=Brasy7G221100 ID=Brasy7G221100.1.v1.1 annot-version=v1.1 MKGCLVLLGLVAAALGIVVGAGAGAVEPAAGSSKPTRRLVPAMFVLGDSTLDVGNNNHLKGEDVPRADKQFYGIDFPGGAKATGRFSNGYNIADFIARYLGFERSPLAYLALKSRNYLIPSAMDRGVSFASAGAGILDSTNAGNNIPLSQQVRYMASTKAAMEAAVGAHKASEILTNSFFLLGIGSNDLFQSRPKTPGDVTALFTVLVSNYTAAIRDLYGMGARKIGMINVGPVGCVPRVRVLNATGACHDGMNRLAMGLATAIKSAVARLSTNLPGLSYSLADSFAASQATFANPQASGFVSADSACCGSGRLGGEGVCMRNSTLCGNRDAYMFFDWVHSTQRAAELGAQALFHDGPPAQVTAPISFKQLALAGMT* >Brasy7G106800.1.p pacid=40045505 transcript=Brasy7G106800.1 locus=Brasy7G106800 ID=Brasy7G106800.1.v1.1 annot-version=v1.1 MARLFLIALSLFISAHFSAAAERAYTCRNDLTSLAVISCQDSPRSPTPPCCDEELTRVRHFL* >Brasy7G177300.1.p pacid=40045506 transcript=Brasy7G177300.1 locus=Brasy7G177300 ID=Brasy7G177300.1.v1.1 annot-version=v1.1 MEQHDRRGSNSPEARADFAGDGQSSKIASVPLLLAQKLSPLAERRRGAPRRTRDRAGVDPRCRPCLPVGRRPPAAAGSSLRRSPVPPRGPARRARPRRPSSGRRAETPPPPPPGRRAEAPAPSSPPDKMGKSQKMDAGRCERSEEKELPKKLTRILMHGRTVHKSPGFQQNNRRLCDSKEFFILAAVVVSSAHVF* >Brasy7G175500.1.p pacid=40045507 transcript=Brasy7G175500.1 locus=Brasy7G175500 ID=Brasy7G175500.1.v1.1 annot-version=v1.1 MAAAAAAACRRGLLLHHHQQWPTRLACPARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQEAHISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTDLTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM* >Brasy7G015900.1.p pacid=40045508 transcript=Brasy7G015900.1 locus=Brasy7G015900 ID=Brasy7G015900.1.v1.1 annot-version=v1.1 MAGESRKRKQPTDETSPGDEGERRGRRDKRPKEAAKPAPPLPSEIKNKEKRSEVYAKLKREKKAQKRKLGRERGQAAQRAAELGEEVPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQVTPKVLITTCRFNSGRGPAFIKELMEVIPDAQYVNRGTYDLKKIVEYANNRDFTSVMVVHTNRREPDALLIINLPDGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYVFEEKEIKVSSKDKKAKASESKKQTEKQVTCRLQECGPRFTLKLITLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL* >Brasy7G092100.1.p pacid=40045509 transcript=Brasy7G092100.1 locus=Brasy7G092100 ID=Brasy7G092100.1.v1.1 annot-version=v1.1 MEVASLYRRVLPSPPAVEFASSEGKRLFAEALQGGTMEGFFNLISYFQTQSEPAFCGLASLSVVLNALAIDPGRPWKGPWRWFDESMLDCCEPLNKVKSQGITFGKVVCLAHCAGARVQSFRADQTTIQDFRRHLTRCASSQDCHLISSYHRSLFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLTLLWEAMNTTDEATGRLRGFMLVSRHNSSPSLLYTVSCGDESWQNMAKYCVEDLPNLLKDESLDNIPTLLSHLVESLPANAGGLIKWVIEVRRKEEGGSSLSKEENERLILKEKVLQQVRDTKLFRIVHELQYPKRSCCSCSALSDEDSLAQIAATVCCHGAAILTGNPGSRDGFCCRETCIKCVQANGDGLKTVISGTVISEGNEQGVDMLLPTSSSETSLSDSNLRNEVVKYPSKSDVLTVLLLVLHPSTWFGIKDERLKAEFQSLVSTENLPDLLKWEILHLRRQIHYLTGCKGEEACEGLTPSPLSNDAKVTISLT* >Brasy7G004400.1.p pacid=40045510 transcript=Brasy7G004400.1 locus=Brasy7G004400 ID=Brasy7G004400.1.v1.1 annot-version=v1.1 MALRNLATKMRTPAAAAALRLTPAGPRASLPPAGTRRRLFTYYFEQGKQHAKRIDLESASPEEIVREANLLKQKAEESMECVRKESEKVPLIMKDVWRTAKLSFGAFALTEIFSFTAYLRQEIAEPDD* >Brasy7G126900.1.p pacid=40045511 transcript=Brasy7G126900.1 locus=Brasy7G126900 ID=Brasy7G126900.1.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.4.p pacid=40045512 transcript=Brasy7G126900.4 locus=Brasy7G126900 ID=Brasy7G126900.4.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.5.p pacid=40045513 transcript=Brasy7G126900.5 locus=Brasy7G126900 ID=Brasy7G126900.5.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.3.p pacid=40045514 transcript=Brasy7G126900.3 locus=Brasy7G126900 ID=Brasy7G126900.3.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.9.p pacid=40045515 transcript=Brasy7G126900.9 locus=Brasy7G126900 ID=Brasy7G126900.9.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.2.p pacid=40045516 transcript=Brasy7G126900.2 locus=Brasy7G126900 ID=Brasy7G126900.2.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.6.p pacid=40045517 transcript=Brasy7G126900.6 locus=Brasy7G126900 ID=Brasy7G126900.6.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.7.p pacid=40045518 transcript=Brasy7G126900.7 locus=Brasy7G126900 ID=Brasy7G126900.7.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G126900.8.p pacid=40045519 transcript=Brasy7G126900.8 locus=Brasy7G126900 ID=Brasy7G126900.8.v1.1 annot-version=v1.1 MSKWESVTFQESLSFVKKVKARDYMLYLSQLDVLRRSDQIPLEAYHELSLLFWDHGDLLEELGKFRPLPSPSTVYSHSSIWMLIFLMPFLLLSLLFAFEKPLDRFLLR* >Brasy7G108900.1.p pacid=40045520 transcript=Brasy7G108900.1 locus=Brasy7G108900 ID=Brasy7G108900.1.v1.1 annot-version=v1.1 MRERDAAALPPCGPLPFPSRRLRTGGGLKSPRQRRGGGGRVGVGEERGVTSRPPNFYFLDPASSFPSMSPFVNLHSLPNREKQEGSSLLNYCLLELRV* >Brasy7G090500.1.p pacid=40045521 transcript=Brasy7G090500.1 locus=Brasy7G090500 ID=Brasy7G090500.1.v1.1 annot-version=v1.1 MAGSRFGSFKSEKGDSAAAAAAAGPAQRKDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNADDPVASDMFQEVTFSYSILSDPNKRRQYDTSGFEAIETDSQELELDLSSLNTVNTMFAALFSKLGVPIKTTVSATILEEALNGSVMVSQLQLGTSVRKKVEKQTAHFYSVDITEQEAKKGLVCRVHSTDRSKFKLLYFELEETGGLSLALQEDSVKAGKVTSAGMYFLGFPVYRFEQSNSAPAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSATYTIEIVCGESFPAEKERLQSVEAKILTKRAELSKFEAEYREVLAKFTEMTSKYTQEMQMIDELLNERNVIHASYTNNPPLKRSSSRNKAKSPLKGSILDEEKNQRKENKVKDQPLEGCGSEDDDSSEKKTKERFPRKKWLNIPFKVDRRKPC* >Brasy7G072100.1.p pacid=40045522 transcript=Brasy7G072100.1 locus=Brasy7G072100 ID=Brasy7G072100.1.v1.1 annot-version=v1.1 MPHMRVKIQWIVNNSKRRATLRKRLPGLVKKISELAILCDIPACLVVYHPDEEQPVVWPPIGEATNVVQDYLDLPESKKLKNRLDTKGIHQQQVNKEKAKLSNAQRENCDREINLILKQFFSHRRHSFEDLATEVLIDLKLKVKECLMAINTLLQDILLGST* >Brasy7G001400.1.p pacid=40045523 transcript=Brasy7G001400.1 locus=Brasy7G001400 ID=Brasy7G001400.1.v1.1 annot-version=v1.1 MPARVAAEIAVLPEPRGPLRRLSADLARRVRLLAPLLDEPSDSDSSSSSFPLLADALRAARDLLQDVHHGSKIYQAMRGGDGLLHRFASVNKQIQLALDQLPYQTFDMPEEVQEQVALVHSQFKRAATRTDSPDTQLSRDLDAALSDKACDAALLTRISEKLQLETMADMKKESVALHEMVISSGGEPDGSLDQMSFLLKKLKDCVIAASDTLGGRSSSIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKMQVPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANCRDKKAVKSSDYDNAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSNAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIIIHLMNFLVDPTGGMLDEALTLLAILAGNPEGKAVITQSEPIPPLVEVIRTGSPRNRENAAAILWSLCSADSEQTMAAKTAGGEDALKELSETGTDRAKRKASSILELMRQAEEA* >Brasy7G051000.1.p pacid=40045524 transcript=Brasy7G051000.1 locus=Brasy7G051000 ID=Brasy7G051000.1.v1.1 annot-version=v1.1 AHHRDRQDSDERPQQCPPFVIATFCWFEYLRVCKHSSVMVGGSRQESDIGYCNTIFLDKGSLITLIQLLSLAVPHGSILQLLQYLFCFS* >Brasy7G064600.1.p pacid=40045525 transcript=Brasy7G064600.1 locus=Brasy7G064600 ID=Brasy7G064600.1.v1.1 annot-version=v1.1 MEWGDEWLAPDKLQHVLACLLIALAAAGVASRCSWPFLSRRALALGCAASLAVGAAKEALDEAGFFGSSGASLRDAAADLLGVSLAASLVALASRLRRRRREKARDADATDGDISMV* >Brasy7G082100.1.p pacid=40045526 transcript=Brasy7G082100.1 locus=Brasy7G082100 ID=Brasy7G082100.1.v1.1 annot-version=v1.1 MKTKPGKPKPHHQGLEAGTLAPAPAPLPQVVAHGVFQIHTKAAALRRLGTPKETPALRARLRVTQAEATRLAKTTSQNLKQGTDNNSIAPGSKLAMDFEAAMRELQQVQQRVRAAERRVQLQLQQQRKEEEELLVFSVDGGKELAVVEEEERDQGIWEVDRVIAELDGILGELALATLAGDDDQGDGGGVVEDHVVVCELERTAEATTPAGEEEEASWPAEVEMATPVSSSSSSSTKCLLLAVLGLVLFIFLVVLV* >Brasy7G200700.1.p pacid=40045527 transcript=Brasy7G200700.1 locus=Brasy7G200700 ID=Brasy7G200700.1.v1.1 annot-version=v1.1 MTNAGSAPTDDHIPGATRTAYLVLKVAENSAAGKKKQSHGGGGYIPVRTLAVLGHEWQIDYTPHGFHIPTNESDGQHWLKLRLRLVRDAAAAAIDGGAAANDAAFFVKASFTFRLVDPCQRLRPLDPVAAERSEFRLGSSTEVPLWPRPALDASGYLGRDGNCFVHCAVVVHEHRNNPASGADLQRDLGNLLTSHHGTDVTFIVAGEQIRTHRCVLGARSPVLKAQLSRIASSVIEVSDMDAGTFRALLRFLYTDTLPPQQLEGEPTMARRLLDAADRYGVERLRAVCEEKACQGVGMDNVAAELVRAEKRGYARLRARCVEFLLARPRHFLEVARAGGCKLLEAKCPWVLTELVTAIAESTLEGSRLRG* >Brasy7G128400.1.p pacid=40045528 transcript=Brasy7G128400.1 locus=Brasy7G128400 ID=Brasy7G128400.1.v1.1 annot-version=v1.1 MGADSPQPDDDSEVGISNELRNLSDQVLKERFERMNGLGKDIKSRLPDGGKKHDLSLNAIRRELARRQAARSALTSPKPPPPPPHPRGCQSDRDKNRRGRINESSCAGSSGLPTGSNENHGVTKSDFIAAFEVDDEAGIDVSGLETSTGPSKPKHSVENERKLYELDEYCKTDEQPTYLSPKVLCVDNSTYIETMSLDDGCKDNGRSRMCELSTRSRKRKRDLEVDFSMRLRSRKAPEVVLLDGDAHHSESSKNASIKWDAMKIYYPSSKHPGSVELSHDDIKCLEPESLLSSPIMNFYIMYLQGPMSSISTLRGKFHIFNTYFFSKLEALTSKDDKASYFLKLRRWWKGVDIFQKAYILLPVHADTHWSLVIICMPGKEDQSGPIILHLDSLKFHRSRLIFSVVERFLKEEWKYLNENCSLTECPIQEKVWKSLPRKIEKKPIEVPQQDNEYDCGIFVLYYMQRFIEEAPERLHKKELSMFGKTWFQPKEASALRKKMQTLLLQLFEEAKPNSNMLEHAAPQSAVEAKPENNVVEATMSERPLAGSSAEMTSPCPLEGTSTQPTSFEHPLECS* >Brasy7G128400.2.p pacid=40045529 transcript=Brasy7G128400.2 locus=Brasy7G128400 ID=Brasy7G128400.2.v1.1 annot-version=v1.1 MKWRQLKGRRKRKNSDKNRRGRINESSCAGSSGLPTGSNENHGVTKSDFIAAFEVDDEAGIDVSGLETSTGPSKPKHSVENERKLYELDEYCKTDEQPTYLSPKVLCVDNSTYIETMSLDDGCKDNGRSRMCELSTRSRKRKRDLEVDFSMRLRSRKAPEVVLLDGDAHHSESSKNASIKWDAMKIYYPSSKHPGSVELSHDDIKCLEPESLLSSPIMNFYIMYLQGPMSSISTLRGKFHIFNTYFFSKLEALTSKDDKASYFLKLRRWWKGVDIFQKAYILLPVHADTHWSLVIICMPGKEDQSGPIILHLDSLKFHRSRLIFSVVERFLKEEWKYLNENCSLTECPIQEKVWKSLPRKIEKKPIEVPQQDNEYDCGIFVLYYMQRFIEEAPERLHKKELSMFGKTWFQPKEASALRKKMQTLLLQLFEEAKPNSNMLEHAAPQSAVEAKPENNVVEATMSERPLAGSSAEMTSPCPLEGTSTQPTSFEHPLECS* >Brasy7G085700.1.p pacid=40045530 transcript=Brasy7G085700.1 locus=Brasy7G085700 ID=Brasy7G085700.1.v1.1 annot-version=v1.1 MEGSCEVCGKTSGPCKIRDKEKSLFCIDLIDSFEDCVDTKEGDF* >Brasy7G003100.1.p pacid=40045531 transcript=Brasy7G003100.1 locus=Brasy7G003100 ID=Brasy7G003100.1.v1.1 annot-version=v1.1 MSSSSRGNLNNQDLDGAAAAKRLRSGSSKSPPEPTSVLYNRSPSPPTSSSHSSAAPEPPPISAEDWDAVFLSAGPAMAVPASASPHHSQAQEDSSFLRWIMDADGESDAFGFKSSAFFDPSFLNPPPPPPPHHEEELFALPQQLPLPVPASAAGAGREEFPEPQGAVDELLEAARLADAGDSTGAREILARLNHHGLLPPSPPPPGHPPLLRAAALLRDALLLRLLPPGSGSVRPQSSPLEVALKLAAHKAMADASPAVQFASFTSTQAFLDAAGAGGVHLVDFDLGFGAHWPPLMQELAHSSRRAAPSAPPPALKLTALVSASGSPMELRLAQESLTRFAADLGIPFEFAALAFDPMSPMPGLSLSADETVAVHVTVGGETSAVSPATLRLIKQLRPAIVVCVDHGGGCDMPLPSHALNMLRSSAALLESLAVGGASPDVVTKVEQFVLRPRVERGLVPASGDKLPPWRSVFASAGFAPLQLSNAAEAQAECLLRRTAASHGFHAEKRQPGELALCWQRSELVSVSAWRC* >Brasy7G023600.1.p pacid=40045532 transcript=Brasy7G023600.1 locus=Brasy7G023600 ID=Brasy7G023600.1.v1.1 annot-version=v1.1 MAPPLDAVTKLLAEVASRLSRPPGVAGAGPSSSSSGDSLSASISSLAAALNPSPAAPGAGTRVLDAALSLMCFDPLEVDRARVECLVRTITSALSASVSCRVVRTDDCGGGGGEMLCVGSSVSPGDCRELVSLCASLVEKLGDRDGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.6.p pacid=40045533 transcript=Brasy7G023600.6 locus=Brasy7G023600 ID=Brasy7G023600.6.v1.1 annot-version=v1.1 MAPPLDAVTKLLAEVASRLSRPPGVAGAGPSSSSSGDSLSASISSLAAALNPSPAAPGAGTRVLDAALSLMCFDPLEVDRARVECLVRTITSALSASVSCRVVRTDDCGGGGGEMLCVGSSVSPGDCRELVSLCASLVEKLGDRDGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.4.p pacid=40045534 transcript=Brasy7G023600.4 locus=Brasy7G023600 ID=Brasy7G023600.4.v1.1 annot-version=v1.1 MAPPLDAVTKLLAEVASRLSRPPGVAGAGPSSSSSGDSLSASISSLAAALNPSPAAPGAGTRVLDAALSLMCFDPLEVDRARVECLVRTITSALSASVSCRVVRTDDCGGGGGEMLCVGSSVSPGDCRELVSLCASLVEKLGDRDGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.3.p pacid=40045535 transcript=Brasy7G023600.3 locus=Brasy7G023600 ID=Brasy7G023600.3.v1.1 annot-version=v1.1 MILSCTSAGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.9.p pacid=40045536 transcript=Brasy7G023600.9 locus=Brasy7G023600 ID=Brasy7G023600.9.v1.1 annot-version=v1.1 MILSCTSAGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.8.p pacid=40045537 transcript=Brasy7G023600.8 locus=Brasy7G023600 ID=Brasy7G023600.8.v1.1 annot-version=v1.1 MILSCTSAGHSYHLLHTVVKTALLSPRYQCLFPLPYYRENGEKNHEMATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.5.p pacid=40045538 transcript=Brasy7G023600.5 locus=Brasy7G023600 ID=Brasy7G023600.5.v1.1 annot-version=v1.1 MATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.7.p pacid=40045539 transcript=Brasy7G023600.7 locus=Brasy7G023600 ID=Brasy7G023600.7.v1.1 annot-version=v1.1 MATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G023600.2.p pacid=40045540 transcript=Brasy7G023600.2 locus=Brasy7G023600 ID=Brasy7G023600.2.v1.1 annot-version=v1.1 MATVAVDLTRHPSYQVIPSDGSIPPRVLLWHLDPSILKHDLSAMLHEAITRPFLCLRKELHDRIAWRVIIICLVCFPPAFLEMRSLFHIWFLTTGMGSVLGLCTAVVSSVLDVLLEPMGWGVSMELGQKFPFTHAYFPSEHSDLLAILTGPLSCGRFLDLVSYIEAMVYLGKTRAGNSKPSKGTHFGNKQLSKGLLKFKYSSAWSTITNFPIWFSFATALLFHREGFQGYLSEILSEEKASESISDISLARRAAFYLSWVLGPSNEDECQMLANNMVELSNSWSRNIKSRPRNAYHTSTVNHRRRLRIPTVGDTEKLHMSTNPVSSLIQEFDNRCVKFCNQTAVSQVQDDLSGFPASCISFLHLQIPLGVLLVSSSCIKEHDHNVLLHYASSGLILEADEVQTKTKDHVGNHGFSASHRGFTERWALSGACVIFSWFDVIDDMSAVIFECEDTCQHFVSELRTKTSPYLIKCVNLVLNEAGQDKDSVIDLHDRLLDWNDKGKSFDGCEALQDVILQLQKKVLLPS* >Brasy7G178200.1.p pacid=40045541 transcript=Brasy7G178200.1 locus=Brasy7G178200 ID=Brasy7G178200.1.v1.1 annot-version=v1.1 MPVLGSTALLTHLTFAKGNLVVAINWPAAGSDRERYFRIKACGSCDRLFLTHLVYFLYFFCRSPGISMNCVLGNNAAFYWESCG* >Brasy7G008700.1.p pacid=40045542 transcript=Brasy7G008700.1 locus=Brasy7G008700 ID=Brasy7G008700.1.v1.1 annot-version=v1.1 MQAAKEKVKDGVSAAKAKTKVAQAKAEAATARSKAERELAHERGKAKMELHQDKAVHREEAIQHRLHKHGIMGGHHHNKHGVAAAPPAAPAGYYPPAGGAGHHY* >Brasy7G089400.1.p pacid=40045543 transcript=Brasy7G089400.1 locus=Brasy7G089400 ID=Brasy7G089400.1.v1.1 annot-version=v1.1 MGNCQAAEEATVVVQHPGGRVERLYWATSAAEVMRANPGHYVAVVTLRVVEEKPPHHPNQQQQRRGTVRLTRVKLLKPRDTLQLGHAYRLIAVAEVSKAVQARKEEKTKRAQQQLLGESKQAVGGRSAASAGDDQALADESLDQLEHQDRDSHHRSNSATNSRHRQWRPSLHSIAEVSS* >Brasy7G166300.1.p pacid=40045544 transcript=Brasy7G166300.1 locus=Brasy7G166300 ID=Brasy7G166300.1.v1.1 annot-version=v1.1 MSSSLTPSPPQSKPKPDQQRTAARSRRTRLPRTATTQDPLPSSSPSPPQPQALKHFIQDIALASGVNQVNSDHLVQSNMMGSLPLLFFFLLLISGVRFSGSVRLGNGGYEEWRLGTATYIKESQGHPLNDGGGACGYGDLDIFRYGRYTTGLSSALFGRGSACGACYELRCVNNILWCLRGSPTVVVTATDFCAPNFGLPDDFGGWCNFPREHLEMTEAAFLRVAKAKADIVQVQFRRVSCDRAGGMRFTITGGASFLQVLITNVAADGEVIALKVKGSRTGWIPMGRNWGQNWQCNADLQRQPLSFEVTGKKGRTFTMYNVAPSDWMFAQTFEGKQLVE* >Brasy7G046500.1.p pacid=40045545 transcript=Brasy7G046500.1 locus=Brasy7G046500 ID=Brasy7G046500.1.v1.1 annot-version=v1.1 MAYYQRVGTVGRDMEETEHAFHMYMFQIGEGRPNGNERNVVTPQNNGPVTFGRIAAVDWTIRDGLDQNKANNIVARGRGFVVGNSMTSHGYFLSLDILFTDERLKGSSLKVLESYENQTGSSHLAIVGGTGEFAYAQGTVGYKEASNTGAEIIREVHIRVFCRNIPKTPAATKEGPFDGIGGNAFDVPNPAQRIESVTIRSGAVIDSFAYSYTDLDGKKQTAGPWGGNGGNPGELILFAPSEVLKKVLGTTVEFQGATVVTSLTFVTNDKTYGPCGNANGTPFSYPERSSDEVVGFYGRNGSLLDAIGVYCFSE* >Brasy7G164100.1.p pacid=40045546 transcript=Brasy7G164100.1 locus=Brasy7G164100 ID=Brasy7G164100.1.v1.1 annot-version=v1.1 MHTFHASKLPLYTSIYSSTISQAINQLYITPHSSMPHLFLHSNMNSAFTFTPFQSPSFNLQQRPLSRQQQITVKWGTRQNFLLKPIQGPNATGGLNSTYRRGNTLPSSPLSDAIQEFYSSLNDKDSTRLKKLISHDCIIEDTAYYKPLDVKNTHTYFTRLMEAMGKNVKFAIDEVCQGVEPTVAVMWHLEWNGKTIPFAKGCSFYICSADGAAPLIRKVHIFDESPLKPGKMALEILNLVTNLFDTLPNIAEGFLKNPEALVQSFARFYKFCLKPFIVPLLAYYTHFWSYVAQGLTMVLNILYNISRRFM* >Brasy7G097100.1.p pacid=40045547 transcript=Brasy7G097100.1 locus=Brasy7G097100 ID=Brasy7G097100.1.v1.1 annot-version=v1.1 MDSGSGRPSGISNPTEARPGRPPYPTQRLPNPPSAGGPPHAAGWWATLIRAVLAPGPPDSNGPIAPHRSLSPAKNKNKMKKQMGFVSSFQSRFSITALLLSSPLSPASPKSRALHAGLGFPPSDGRRLRRGGFSLPPRVPVACFPSPPPPGSDGGSRLRPPIGRCLPAGSISSPGHRRRTRAVWSRRTPPAWRRAVGYILEKKNSKWS* >Brasy7G174500.1.p pacid=40045548 transcript=Brasy7G174500.1 locus=Brasy7G174500 ID=Brasy7G174500.1.v1.1 annot-version=v1.1 MRSTDSPRFVQELVLYAASAALSCLVLFAGLRQLDPNRESSKKALQQKKEIAKRLGRPLVQTTPYEDVIACDVINPDEINVEFDSVGGLDEVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINLRVSNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPVRVERSKILQVILKGENVEPNIDYDYIASLCEGFTGSDILELCKQAAFYPIREILNSEKDGRRADSPRPLKQSDLEKALSTSRKGKKTASGTMSGLQSPVWIRPSDPEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP* >Brasy7G174500.2.p pacid=40045549 transcript=Brasy7G174500.2 locus=Brasy7G174500 ID=Brasy7G174500.2.v1.1 annot-version=v1.1 MRSTDSPRFVQELVLYAASAALSCLVLFAGLRQLDPNRESSKKALQQKKEIAKRLGRPLVQTTPYEDVIACDVINPDEINVEFDSVGGLDEVKQALYELVILPLRRPELFAFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINLRVSNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPVRVERSKILQVILKGENVEPNIDYDYIASLCEGFTGSDILELCKQAAFYPIREILNSEKDGRRADSPRPLKQSDLEKALSTSRKGKKTASGTMSGLQSPVWIRPSDPEDDQIS* >Brasy7G172300.1.p pacid=40045550 transcript=Brasy7G172300.1 locus=Brasy7G172300 ID=Brasy7G172300.1.v1.1 annot-version=v1.1 MAASVRTWLVVAALACAVTLLVRSADAQAAATASARAPAPAKKPKCAPGAAIPCRVGAVHHDPENQEEEGLFNVKVKAPSGAGDTDSDDDYSDPDQPKEDQDDDELVVLGH* >Brasy7G095900.1.p pacid=40045551 transcript=Brasy7G095900.1 locus=Brasy7G095900 ID=Brasy7G095900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDRFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENAVRYPPRPPAPELTKVFQVNGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G019500.1.p pacid=40045552 transcript=Brasy7G019500.1 locus=Brasy7G019500 ID=Brasy7G019500.1.v1.1 annot-version=v1.1 MAGSLAASAFFPSPGSSPAALAKSSKNTSGELPETLSVRGIVAKPNTPPASMQVKTKAQALPKVNGTKVNLKTSSSDKEDTVPYSSSKTFYNQLPDWSMLLAAVTTIFLAAEKQWTMLDWKPKRPDMLVDTFGFGRIIQDGMVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATQEMSKRNLIWVVSKIQLLVERYPSWEDMVQVDTWVASSGKNGMRRDWHIRDYNSGQTILRATSVWVTMNKNTRKLSKMPDEVRAEIGPHFNNDRSALTEEHSDKLAKPGSKGGDPATKQFIRKGLTPKWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLEYRKECGRDSVLQSLTNVIGECTDGSPESAIQCSHLLQLESGTDIVKAHTKWRPKRAQGEGNTGLFPASSA* >Brasy7G107800.1.p pacid=40045553 transcript=Brasy7G107800.1 locus=Brasy7G107800 ID=Brasy7G107800.1.v1.1 annot-version=v1.1 MHRAPPTTLEEMKGSLSASSSKPPAAPTAAARYAPWALLDKKVHFADRENDTTTEAVTSTGHTVKVTFCQAEPPAVSHFCVHGPKFKRDDFTTEPLVLFSAKDLVLLRFAFTIGPRSTRRDPDLAEYFVYKAGRGNPSLKSIPCLPTTPLYHADSLNFCILPFDDDDGEFLIADLCMTERYSKYELLIFSSKTGKWTTMPLKVQTSPGVKEEDLPHYPLHKVISLGGGEVGWVDLWRGIVCCNVFDENPVLRFIPIPRPETNHRLEGDPRHVRDVNCHDGFIKFVELDLRFKQILMFDKKSCKMTKDLDSVDIIYDSEMLFHNDDSRVKRGKRRLVSDGWRLRTCYRHSSWDYWRKGHTVDIDEILADNPGHPLLLPQLWDAKAGRWTLRNLISAYPTLGIDNDSRIYMMSKVKFDDKDAWMIGVDLEKKMVEVLVHVSSKRFRDFNPDFLTCAFPEYLTATPSNCLRLCAQEFIPAAPNGAQNYVLSGDLSSGYRTPNEPAQHQYTYGVHPGYGNYQQLTLHPTTSSGSGHAYPMNAQLISQDAYQVISQDAYPVNAQLISQDAYPVNAQLISQDASPVFSHGLTADAFSQSCFPLRNLAIPPSLNPISLSVLPGQSFGLLAPGVV* >Brasy7G063900.1.p pacid=40045554 transcript=Brasy7G063900.1 locus=Brasy7G063900 ID=Brasy7G063900.1.v1.1 annot-version=v1.1 MAEVSTAAAPAAPEGVLHRRIEFHLARKPHAPVALGGGGFRMETLNPDAADGAAAAAARSDLEAKRPEKAEEAALDPELTVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGRHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHRIFGGRLRSQVKCTRCSHCSNKFDPFLDLSLDIAKASNLVRALQNFTEDELLDGGQKQYQCERCRQKVVAKKRFTIDRAPNVLTIHLKRFSPFNPRDKIDKKVDFQPVLDLKPFISDSKGSDYKYSLYGVLVHAGWNTQCGHYYCFVRTSSGMWHNLDDNQVRQVREADVLKQKAYMLFYVRDRVVDTVARKDPPNVPVKKTNPGKISCLNGGIQSGAIEAKLSGLLSPSVDKKLQSISIGHSGVGSMTSVDRCSNNDGKTENAAASQNPMLSTAQKAPSSQNDDDALSTKSKQVALSDHKETSSSCESASLVSVSGNQSMAKMSLQEPNTGGAFTKLGNNTSVASPTVSSPPGLSGAEKQTSCSQPTIYAKQTFNVDNTNTGFTAQNIPTKDGIVSNGVIPSISSGGPTCSEKVNDLTESLKRDDSAVKGLSMSKKENTIVPGLEQVDVEKQADSCNRRMARRVDTKPNKLVRYPVVNLWLGPRPLLLGSLKLQKKKKHKRTKRRHAVYKDVEIADCSGANTNEQQASTSATVSSETAQCTPRKRKHSYASVSSENDTEALKKRQQVVGASDAGDHNIDIRKGNSASSGGAEPPKMGSSSCANQAHPRKKIDASMGATSQHVGIHLKDLSGEVTVPSWDDVGVRNTETGECKSSGRSIGYVLDEWDEEYDRGKTKKVRKAKEDDYDGMNPFQEEANSVSDRKTRRKAFQARVLNKPARSHH* >Brasy7G106900.1.p pacid=40045555 transcript=Brasy7G106900.1 locus=Brasy7G106900 ID=Brasy7G106900.1.v1.1 annot-version=v1.1 MLVRSASTPVLGAGGHSSPAVHLAESSPTVAYHPPAISCSLSSSGGGGSDHERFRGGGGIGMRRTCSDGNLSALGGDDHHHHLPRPRPPAPAPALETIQSIAARDGSRDEEEDDDDEDDAAQEMMSFGGGGSLSQEHPLFLARGLGIDRLGSGLLGSDGFGGDGGGGGYAVASGDRGDRSGIETHYKKLIEGDPCNGLFLRNYAQFLYKVKGDRRRAEEYYSRAILADPNDGELLSEYAKLVWDVHRDEERASSYFDRAAMASPHNSHVLAAQAAFLWDTEEGEESDGGGGAMSYTGIAAAHSSMASATT* >Brasy7G109600.1.p pacid=40045556 transcript=Brasy7G109600.1 locus=Brasy7G109600 ID=Brasy7G109600.1.v1.1 annot-version=v1.1 MSSVGGGGRVRSRAQYGYLPLIKCTSDFWYWIEEYAALLSDRGTVPQGSSMLIPTGWVEVEAGMTEESQSRMVQDGAEDLVRNGKPLLGIAKEISEMLKIIVCLLILLVQELGARLVVSVSNQQFECKLCCAHLV* >Brasy7G017000.1.p pacid=40045557 transcript=Brasy7G017000.1 locus=Brasy7G017000 ID=Brasy7G017000.1.v1.1 annot-version=v1.1 MLHDARALFDRPDARRNVVTWTALLSGYARAGRVDEAEALFQRMPERNVVSWNTMLEAYTSAGRVGDAWTLFDGMPVRDAGSWNILLAALVRSGNMDKARKLFDRMPERNVMAWTTMVAGIARSGSVDEARALFDGIPERNVVSWNAMISGYARNHRIDEAHDLFMKMPTRDIASWNIMITGFIQNKDLERAQELFDKMPRRNVVTWTTMMNGYLQNMQSETALQLFNGMLVEGIRPNQVTFLGAVDACSNLAGLSEGQQVHQMICKSSFQFDTFIESTLMNLYAKCGEIRLARMVFDLSKEKDVISWNGMIAAYAHHGVGVEAIHLYEKMQEKGYKPNDVTYVGLLSACSHSGLVDEGLRIFEYMVKDRSIAVRDEHYTCLIDLCSRAGRLGDAKRLIHFLKVEPSSTVWNALLGGCNSHGNESIGDLAARNLLEAEPNNAGTYTLLCNIYASAGKWKEAAKIRSEMNDRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINSLLQDIHDIMRMAGTVPRDDMQLIDGELVGLQV* >Brasy7G056800.1.p pacid=40045558 transcript=Brasy7G056800.1 locus=Brasy7G056800 ID=Brasy7G056800.1.v1.1 annot-version=v1.1 MATSLLVVVAALLLCSCAMPIVVGSSLSSGASGYVETMRSTAAAAEVDGGWRGMARGFRGVRSLGQRVPEKAPPPPKPNKSTWAKPTWPPPPPPPPPYVPFAGR* >Brasy7G099800.1.p pacid=40045559 transcript=Brasy7G099800.1 locus=Brasy7G099800 ID=Brasy7G099800.1.v1.1 annot-version=v1.1 MPTAPADPVLVSGIVKLLFETPSGFALFAFDEDYLTKDVKDLWTYFVGDCPHQNFVWLQEFKRFKDKANAINLTTKKIDISLARMLLRLCHPEDRLVVGRPEYKEIIEQSLVELTCVYNDQAVREVMWGMRNLMHALVPEEQSEVTEEDRLPTCQGLDMVLNLHGIDVKPKMVNKRIVMFARALHEIDSREEAHAKYLHSFVEHFEELFGADTKDWCLFKLVTAVKAMCRPENIDVPIEMFSPAEYNMIAEFAKNYQHKLDKSAITTIYEDIIYLCSAKFHIVEELDCLVQKAKEAEEAERKPVIKVFDSKQQVEYTCLTADQRTVHNQSSIDEGQHNGSTSGESLPVSDSVDAGYPSKSKCAGS* >Brasy7G099000.1.p pacid=40045560 transcript=Brasy7G099000.1 locus=Brasy7G099000 ID=Brasy7G099000.1.v1.1 annot-version=v1.1 MMKKCASELELEAFIRQHLATERSRPSPGTDAGVFSSTHGGGLPVPGLCFGGSQKALDLEGSNTGQLWWSDGASVPHPAVSTTVGSQTAPVSASPRETTSVNQGLESESDSGCESLIYIEGGRCNRKSTDTKRIRRMVSNRESARRSRRRKHAQLTDLELQVEQLKSESASLFKQLTEANQHFTTAVTDNRILKSDVETLRVKVKMAEDMVARTAMSCGVGQLGLAPFLNSRKMCQALDVLTATGLDLPGNRALFKDPTPARQVQTSTVQSTASLESLDNRMSSEVTSCAGDMWP* >Brasy7G099000.2.p pacid=40045561 transcript=Brasy7G099000.2 locus=Brasy7G099000 ID=Brasy7G099000.2.v1.1 annot-version=v1.1 MMKKCASELELEAFIRQHLATERSRPSPGTDAGVFSSTHGGGLPVPGLCFGGSKALDLEGSNTGQLWWSDGASVPHPAVSTTVGSQTAPVSASPRETTSVNQGLESESDSGCESLIYIEGGRCNRKSTDTKRIRRMVSNRESARRSRRRKHAQLTDLELQVEQLKSESASLFKQLTEANQHFTTAVTDNRILKSDVETLRVKVKMAEDMVARTAMSCGVGQLGLAPFLNSRKMCQALDVLTATGLDLPGNRALFKDPTPARQVQTSTVQSTASLESLDNRMSSEVTSCAGDMWP* >Brasy7G101400.1.p pacid=40045562 transcript=Brasy7G101400.1 locus=Brasy7G101400 ID=Brasy7G101400.1.v1.1 annot-version=v1.1 MRDRDMEQTDEASANKGSPVVAGEQLQGDDSPAAAVTWLHLTLGVNSSQQPVAADSSNSSLDSEPPPARTATPPSPAPSKPPPPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSYHHAQAHAQQRHQRMVMAGLPLEAHAAIVRAALRANPAIHKQAPPATQDAPAPRFHDGVGVVGPWAAPPLVYEEALSSTTTSWPGSFRMRTQSEPPSSEEQPPSDQQSKKMDLSLRL* >Brasy7G049900.1.p pacid=40045563 transcript=Brasy7G049900.1 locus=Brasy7G049900 ID=Brasy7G049900.1.v1.1 annot-version=v1.1 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK* >Brasy7G074000.1.p pacid=40045564 transcript=Brasy7G074000.1 locus=Brasy7G074000 ID=Brasy7G074000.1.v1.1 annot-version=v1.1 MGKYMRKCRAAAGDSSKAPAVPLLGVRTRSRVAAVGVAKRRKQSTTTTARVAKEALSGGSGAGCYLHLRSRKLFMASAAVAPPRGLPGAEEASSAAATLADSGASREAAVAGISRYSSTASSAARERNSGGEAEVCESRRDAVESSVSDSGCGDRERRETTPSSQSPADSGDLESSHAGDDQKHQRTRSPAIRTTTGAATFQFEARAAKMPPAAEIEEFFAAAEKAEAERFAAKYNFDVALGVPLNAGQFEWTPVASV* >Brasy7G001500.1.p pacid=40045565 transcript=Brasy7G001500.1 locus=Brasy7G001500 ID=Brasy7G001500.1.v1.1 annot-version=v1.1 MGHIADPAGAVVVGCKVLPIFHENGIVDGAMRKIAHRIDGKKAVARVKELLKWATQARPYSTSVGGKKWKKVLSFQAGDGVVTKCDEMSSVSGNQSFKWDVGSCSSASSVPYSPLSFASAPAVAAKTEHYTPSRNYASRLSSVSQKSSSSEACRMGQWITSDSDFVVLEL* >Brasy7G136900.1.p pacid=40045566 transcript=Brasy7G136900.1 locus=Brasy7G136900 ID=Brasy7G136900.1.v1.1 annot-version=v1.1 MTRAAPNSATARGEKRSQIDRFIERSSTSIQVPLSCVQISPARLSRPDRTARPSPALQIAERSREGEKG* >Brasy7G237300.1.p pacid=40045567 transcript=Brasy7G237300.1 locus=Brasy7G237300 ID=Brasy7G237300.1.v1.1 annot-version=v1.1 MDDFVLRSGVRVGLKREFAFAIASQAALAPSLGRTRSSSSSSSSSPAPLSNPSKPSKRSRRPAALPPDPSLLPPDPPVLPPDFPAAAADEEAPPVLALLAEPDRNEQPPQQQQQEDHHAFFIPPETPPRRITRSMLKPSSPATTNHSKPKLNPNPNPKPEAEPEKPLGVAATTPRRFTRSLLLKDKAPVASSDDDQQDADLSGTTTASSSPSHSPSSSNKNTELKLPKRNKIKLTQIPKNLKELFATGMLEGQPVKYIMKKGKRAVLRGAIKDTGILCSCSSCKGQNVVSPYYFEVHAGSCKKRPSDYIFLESGNNLHGIMRACAGATLDTLESVIQSAIGPMPQKRTLRCQVCKNSFRTLRTGKFGLLCDPCLESKGARNSTRSPKIARSPTSSARIPKNFSPGAKSTSAGRLTRKDHGLHKLVFLSGILPEGTDVGYYVGGKRLLDGYIKEPGIHCHCCNTVVSPSQFEGHAGRAARRKPYHNIYMSNGVSLHELSVSLSRGRKTSDRQSDDLCSICSDGGELLLCDTCPRAFHRECVDLTAVPKGTWCCRYCETRQQRESSLAYNHNAIAAGRIDGIDSMEQIFTRSIRIATTPETGFGGCALCKLHDFGKKKFSARTVLLCDQCGREYHVGCLKEHSMADLTALPEGAWYCSSDCVRISETMKDLLSGGAEPVPAMDADLIKKKREDKGLNEDGDLDVRWRVLRDKSSEDSKLVLSKAVAIFHESFDPIIQTTTGRDLIPAMVYGRSVRDQDYTGMYCAVLTIGKTVVSAGLFRIMGREAAELPLVATSRDNQGFGYFQALFGCIERLLASLKVKHFVLPAADEAVSIWTQRFGFSKISRDELLEHLKGARTTVFQGTSTLHKLIPENISQADPGNMAQTDPAQNIVQDDPAQNIVQADPAQNMAQDDPAQNMAQDDPAQNMAQADPAQNMAQADPAQNIVQADPAQNIVQDEPAQNIVQDDPAQNIVQADPAQNIVQADPGTVEGGGMMLS* >Brasy7G072900.1.p pacid=40045568 transcript=Brasy7G072900.1 locus=Brasy7G072900 ID=Brasy7G072900.1.v1.1 annot-version=v1.1 MACQGAPNGNGREKDEDDIGMSARAHFVFVPLMYQGHVIPAVDTALLLATHGALASVVATPYNAARIRPTIDSARRSGLPIRLVELPLDCAGVGLPDGADDVDRIPLGLEPNYFQALTLLAGPLERHLRAHPPHPTCIVSDFCHAWTVGVAASLGVPRLSFFSMCAFCLLCQHNVERYNAYDGVADDNEPVVVPGLEKRVLVTRAQAPGFFRAPGFEELADEIERTRADADGVVMNSFLEMEPEYVAGYAEARKMNVWTIGPVSLYHQHAASLASRGNTAAATVDADECLRWLEGKEANTVLYVSFGSIVHADPKQVVELGLGLEASGHPFIWVLKKADQYGEAVREFLRDLEERIAGRGMLIRGWSPQVLILSHAAVGGFVTHCGWNSTLEAITAGLPLVTWPHFSDQFLNEKLAVEVLGIGVSVGVKEPLVWQAEKKEIVVGREVVEAAVRSIMDGGEEGEERRRKALALSGKARAAVQEGGSSLANLLDLIKRFEVDGGDRTTE* >Brasy7G122100.1.p pacid=40045569 transcript=Brasy7G122100.1 locus=Brasy7G122100 ID=Brasy7G122100.1.v1.1 annot-version=v1.1 MASQRSVLYFLLAFVLLCGPSLAASPRYLSVSVDSVIASRAQVPCYDPDTYEAPTSGNKLSVRPSCGGTKRDILAHDRARLRTVRERSSSSSSSSAMPPVPAIFPPIIPLTPGPAPAAEAPATTIPDHTGTNLDTLEFVVVVGFGTPAQTAAIILDTGSDLSWIQCKPCSGHCYRQHDPDFDPAKSSSYAAVPCGTPECAASGGMCNGTTCLYGVQYGDGSSTTGVLSRDTLTFNSSSKFTGFTFGCGEKNIGDFGEVDGLLGLGRGKLSLPSQAAPSFGGVFSYCLPSYNTTPGYLNIGATKPTSTIPVQYTAMIKKPQYPSFYFIELVSINIGGYILPVPPSVFTKTGTLLDSGTILTYLPLPAYASLRDRFKFTMQGNKPAPPYEPLDTCYDFTGQGAIVIPAVSFNFSDGAVFDLDFYGIMIFPDDAKPLIGCLAFVSRPAAMPFSIVGNTQQRAAEVVYDVPSQKIGFIPISC* >Brasy7G082700.1.p pacid=40045570 transcript=Brasy7G082700.1 locus=Brasy7G082700 ID=Brasy7G082700.1.v1.1 annot-version=v1.1 MLLSFFAADRDRRLGLQTLIAAAEDDADLGAGSGNRSVATRTLSASRLAVSCGLGGERDPEPVLEEEEERGRDEKEGGCWVLYGWRRRLRRLPPAIPALRRAGMSSPWALTRTHTADGRVVVSREPAPRRACRVVATRLDGRLVLDLVEPSPVPPPPHQRPSFPQQEADDDALAADDVEEEEEASADADDATTGMIGARRDVSMPAAVQAATIMASSASALSSPVGSASSSPVPAVGCFEAVIRVSPLRKTMPVSLPRMVH* >Brasy7G101700.1.p pacid=40045571 transcript=Brasy7G101700.1 locus=Brasy7G101700 ID=Brasy7G101700.1.v1.1 annot-version=v1.1 MRRRRSSSIPSSFLLTSFARRFFSGAAAGRGEHQRRDFDGKGAARVRQSVALNTEPFGRGARSELPGAAAEGFLRGDEAPARVHQRITSPIWRLLHVIEDALEPQDKSCVFAKDV* >Brasy7G112600.1.p pacid=40045572 transcript=Brasy7G112600.1 locus=Brasy7G112600 ID=Brasy7G112600.1.v1.1 annot-version=v1.1 MLRSPGHSPRDLSPSPSPAPSTPRPVSPTPSSASASTLATTSSKRRRPEVLDEDAYVVAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLLLRDAQLKILDRRRRLQRNGPLPTPTPATSTALRSPSFLSTPSVAPSTTGAGAPEDEDEDVSAALSLDDFFRRYTSEDNESFSRILEKVNHRRRERYAHLLEPGELADKQLLEDAKRDRITDGYGTSGQPLSTLDGAKFTAKNLLMYHPADRGKRHSLMRSLPSG* >Brasy7G220000.1.p pacid=40045573 transcript=Brasy7G220000.1 locus=Brasy7G220000 ID=Brasy7G220000.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEVEEEEEEEEEEEKEEEEEEEEEEEEPCSQDSGGGRDQEMHLEYYNYKTASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPVSNGGMMIGSGLMLGHVNFTARPVVVAGHEAAPPRPFFAEVRYESPYLMASCVMSLDDGEEELEEEDLCPDCGDHMRHPKLDSELRVENGRAAMVVRRPKLRC* >Brasy7G178000.1.p pacid=40045574 transcript=Brasy7G178000.1 locus=Brasy7G178000 ID=Brasy7G178000.1.v1.1 annot-version=v1.1 MTPGVTSAAAAEVLAGAGYRGWAWRPRPGLRARPGQRVRLGASSPHAAAASAVHTRDTERRHGVPFRRPLWLPGGQGYGLDLEARIEKAIYACRFMTFLAIAGSLAGSVLCFLKGCIFVMDAFVEYCMRGDAKVVFMLVEAIDMYLIGTVMFVFGTGLYELFISNMDIAKQYSYGSNLFGLFRLPERPKWLEIQSVSDLKTKLGHVIVMVLLIGISEKSKRVTITSCTDLFCFAASIFLSSGCLYLLSKLGSTRGSHT* >Brasy7G225100.1.p pacid=40045575 transcript=Brasy7G225100.1 locus=Brasy7G225100 ID=Brasy7G225100.1.v1.1 annot-version=v1.1 MGRRLLTTGARAISTLVACLASCFMAVGSYNSTKHKMGRHRSMLSQPSVIARLMGMEPQPSFPPATAMMITRDCSEEEEEEEEEAMDLLLRSARATPFSSSSGREASSAKAELMAAAAESKQSRCSPLLSHSSRRRTGEEYYRRCLDRMRWSSSSWRRQSYHDSRHRHPQEELLGKIREDFQAWLHASSSSSSSQAPAADGHGAGCLDGRYVQMIAQANLRREKVLARRCAGGVGGDCKVEELETRKNAAEAEELSASGAAVDGDREQQRGAPTRIAILRPATGGGGDRRGPAFGTPSPWKMAGTRGDVGDGMEEFLKEVRDRLRSEMAARSGEPKQDTAASMAVAAAQSSASDGKLLVGRKKTMLSRSESFRVFRGDRQRAAAASPELGKTKIVTSRGRLAPPDDAASPVDARRSPTSDVAAASPRALLRSFSSPATGFASLPRNTSPEAGGAELTSRRSRSFSLVRGTAASGGLRQSFGVGGKIKQLLLLMHLSRKKKKHPPPSSSSSSSPYPQIPQADDLAGLAPRSPVSPLEVEGHSRRHFSLSGDFSCSSFPELRSPKCSSEIDAGGSPLEWNTSAAATEEEEDGDPDKKYLREVLVAAGLWHDGGSEWSEEGKTTSSSDAVKASMAAPISEEVFDEIDDAYYCRRGEEGCAVADAGVGEEEEGEEHGHVAPAARRRLLFDLANEALMQQCGGGNGARSSAAALRGEELEEEVWRRATAAEETGDDPAAAAEREVVGRRSAWLETPAAWMEEEARAVGSKVERAIFDDLVAQLVRHLLLPFFPVSAQPSVTEIL* >Brasy7G226000.1.p pacid=40045576 transcript=Brasy7G226000.1 locus=Brasy7G226000 ID=Brasy7G226000.1.v1.1 annot-version=v1.1 MEAAIGAASGLIDGVVKLLSNELVQAYVASAELGLNAEKIKTSLFFAQDLLQQARQRGMAEDRPGLKGLVQQLSVKADEAEDALDELHYFIIQDQLDGTKYAVPDLGDDLRGQARHGRHALRHTVGNCLACFSCSPTPQDHGDGDATVDTNNLHNATKSASASGVNDGPADNLSFDRVAMSKKIRLVIEEILPLCDRVSELLKINPPHGNNTPIVSRKRPIIGSTTTQDTLYGRRDLFEQTIKDTIITSAAHSGEKLSVLPIVGPGGIGKTTFTQHLYNDKRIEEHFSVRVWICVSTDFDVLKISQQILSCIEGSNNANQTTILDQLQISIAQNLKSKRCLIVFDDIWECNREDWEILLAPFMKGETKGNMVLVTTRFPSKAEIVKTTNPLPLKGLEDDDFFTFFEALIFDGGKPEDFQLELTDVARNIAKKLKGSPLAAKTVGRLLRKDLSREHWTGVLENNKWQKQENPNDIMASLRISYDYLPFHLKKCFPYFALFPEDYRFRNLEITHFWIAIGMVDEVENYMEELVDYGFLVRGNDRLGQHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEENFRGEMVKLRSKIDIVNLRALMIFRAYGEPIGEILKETFKEIEGLRVLLVGVDSVESLPHNFSKLIHLRFLKISTLKYDPKVTLPSTLSRFYHLILLDVSRWYGSNKFPNDISRLISLRHFVARKELHSNVPAVGKMKCLKELTEFCVKKESDGFELSELGALTELGGELRICNLESVATKEEALTAKLVSKGGLKKLTLVWGGEQQAAKSDVLDGLEPHPNLLALGIENHGGSTGPSWFCGSNISTVMLTSLHLEGMSWVDPPFGQLLHLTSLTLKNIFGLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEKIRCSSCPNLCKLPFLQECSGVSYNHLQTLEIKSCPMLFLPPMPHTSTLTKVEVKDSPVGEMTYNGIFKSLSFNGYIGEVAWHNMAGKVESIAFQGESKISWEELAKLTSCSRLVIRRDPSFLSMALLSDLPTSLTSLSLIDCENLTLDGFNPLFAAVNLKELVVYNTGGDGPRSVAADLLSELVAASRTKPLLPSAGCFQLETLDVDCISAVLAAPVCSLFSATLHKLCLGCDQRVESFTEEEENALQLLTSLRTLTFRGCPGLPSLPQGLHTLSSLWGLYVAGCPEIRSLPKVGLPTSLRELFVYGRSPELKEEARKWKQTNLGLRV* >Brasy7G075700.1.p pacid=40045577 transcript=Brasy7G075700.1 locus=Brasy7G075700 ID=Brasy7G075700.1.v1.1 annot-version=v1.1 MSPGSEGISSRRPSIPRSLAPTAAPAAALHADPVAADLADGPTRAAPSPPPPPPSPSSSPPRRYVFSALLIRLARARLPSAAAPPPCPVRRAALPADCRSSGSSPRTTPSPSSAYFPRSSASCPRSPRLCFT* >Brasy7G014000.1.p pacid=40045578 transcript=Brasy7G014000.1 locus=Brasy7G014000 ID=Brasy7G014000.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLVVILVAASWSAVSAADNYQATPCQQQTNVLNGNGNNNGNVNANNINSGNGNGALSGNKFVEGSGNGNNNGNGNTQKTNNYNGNTYGSNNNNGNTYGSNKNNGYTSGSNNKGY* >Brasy7G159500.1.p pacid=40045579 transcript=Brasy7G159500.1 locus=Brasy7G159500 ID=Brasy7G159500.1.v1.1 annot-version=v1.1 MSCFGGAKGKKERRQRRRKRSPAQSPSGRSRHSPRKVDLDGEVVSANAPLLSTLLELSYYLKPHFSGELFTSWKNYFRPNHLTRLPGRCSSWIWFGP* >Brasy7G008400.1.p pacid=40045580 transcript=Brasy7G008400.1 locus=Brasy7G008400 ID=Brasy7G008400.1.v1.1 annot-version=v1.1 MTGVGPGGGATGRGEARGRAARGEGAEGSPILRGRAGWRRSGEGGGGSAHSRRRPSPAVVPRSRAAAADARTRPAAVSPVRELPPLPTHPLPAVPQTASPPRPSSAAASPGRRRPGVGAAAGGR* >Brasy7G039100.1.p pacid=40045581 transcript=Brasy7G039100.1 locus=Brasy7G039100 ID=Brasy7G039100.1.v1.1 annot-version=v1.1 MGACASKPKTVEASSKAPEAAAGPAQQTPKVAPDTTIVSADQVAADQTPEKVVEEAQEEEEPSLLAEKAAEVPMDTTIVGFAAEQETEEPATPDTIDDTEEREVIEEKIVVVEEEKPSASPVVVAIEEEKVTVEAAGEETTTEAIINYAEEEKDEKENTEEEKAGQQC* >Brasy7G132200.1.p pacid=40045582 transcript=Brasy7G132200.1 locus=Brasy7G132200 ID=Brasy7G132200.1.v1.1 annot-version=v1.1 MEMEMERMMGCKIPAFGLWNYCSDHLPTTHYFDLAMQARLLSRRQLEQARLPGSFNATTSSQSPRKPAQIKVIRTQADQKQSNAGGLLQKMRLSDDSATRRHRAAVDEDLYKVPPSLIYHKPRRKMRKVVWSLWIGCLGLDCIA* >Brasy7G104600.1.p pacid=40045583 transcript=Brasy7G104600.1 locus=Brasy7G104600 ID=Brasy7G104600.1.v1.1 annot-version=v1.1 KADHRRRPIGKKAEKERQRRGKNVTSTDDSTVVMALDLVFSKRTAVEEAREMERQAREEARERARQVREEAREAGKKERYVGVLAIEREKFELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy7G188000.1.p pacid=40045584 transcript=Brasy7G188000.1 locus=Brasy7G188000 ID=Brasy7G188000.1.v1.1 annot-version=v1.1 MPRRCQVRKTSKMADEEHAQTSRKRVADKQINKDNPEPDDDSTEQEDGTFKKASEEVMATRRIVKVRRQQPSSAPSNPFSAIRFAPSDSSVQASIPVSEPAPSDVTMPTVTDSCLSEKANEGSNGSGSELDAKNKSEVPIEAPSPLVKTGDKADGTEDGTGENKVVVAEPSEDDSKTPRIEGETKDEDAEEKKAVNEDKISKDDDEKKDEAGSDTKDVSCEEKDADSKGQSSSPTPLFSFKNLSSSQNAFTGLAGTGFSVSSFSFGSGSKESSNAPLFGLKSDGSTFPSFNIGATNNGSSAPALTTAAEAPKKFAMPEGPVETGEENEKAVFTAESAIYEYLDGSWKERGKGELKLNIPLSGGERSRLIMRAKGNYRLILNASLYDDMSLKDMDKKGVTFACINSIGESQSGLTTFALKFKDTDIREDFKAAVETHKGKTAPDALKTAEDSPKASAD* >Brasy7G188000.2.p pacid=40045585 transcript=Brasy7G188000.2 locus=Brasy7G188000 ID=Brasy7G188000.2.v1.1 annot-version=v1.1 MADEEHAQTSRKRVADKQINKDNPEPDDDSTEQEDGTFKKASEEVMATRRIVKVRRQQPSSAPSNPFSAIRFAPSDSSVQASIPVSEPAPSDVTMPTVTDSCLSEKANEGSNGSGSELDAKNKSEVPIEAPSPLVKTGDKADGTEDGTGENKVVVAEPSEDDSKTPRIEGETKDEDAEEKKAVNEDKISKDDDEKKDEAGSDTKDVSCEEKDADSKGQSSSPTPLFSFKNLSSSQNAFTGLAGTGFSVSSFSFGSGSKESSNAPLFGLKSDGSTFPSFNIGATNNGSSAPALTTAAEAPKKFAMPEGPVETGEENEKAVFTAESAIYEYLDGSWKERGKGELKLNIPLSGGERSRLIMRAKGNYRLILNASLYDDMSLKDMDKKGVTFACINSIGESQSGLTTFALKFKDTDIREDFKAAVETHKGKTAPDALKTAEDSPKASAD* >Brasy7G118200.1.p pacid=40045586 transcript=Brasy7G118200.1 locus=Brasy7G118200 ID=Brasy7G118200.1.v1.1 annot-version=v1.1 MVCVATVGFGSSRRVSIPSGSSPLLLREDGACGFWWANSCAVSARRTHETFYVFSLVLGAKSCANFLDVSPSLGCRFSADFCLPKHMQVSGKFSASNTS* >Brasy7G128500.1.p pacid=40045587 transcript=Brasy7G128500.1 locus=Brasy7G128500 ID=Brasy7G128500.1.v1.1 annot-version=v1.1 MAMWRAAARQLVDRALVGSRAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRNWLESKGHQYIVTDDKEGLDSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGDWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQINPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKEKIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRHFKGEDFPAENYIVKEGGLASQYR* >Brasy7G004700.1.p pacid=40045588 transcript=Brasy7G004700.1 locus=Brasy7G004700 ID=Brasy7G004700.1.v1.1 annot-version=v1.1 MAFAPRLLLPAHPPPPARRPAPAVSARHNGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLNLPVVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALIRHRSLQGLHLLCLSKPRSKLPLAFGSKTLTWVADALRRSADPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVRGEDMMSALDVQEMLGLPLLGVVPEDSEVIRSTNRGVPLVLTDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGSFFSFFSG* >Brasy7G208400.1.p pacid=40045589 transcript=Brasy7G208400.1 locus=Brasy7G208400 ID=Brasy7G208400.1.v1.1 annot-version=v1.1 MDMEGNIVPKDGLWNFFGEFQREFSLLGQFLRASFGDLVLLNFDNLVCLYCPSAAATCLVDLAWGEIRIFVDEPKPSNNYPRSFRGFGVGRAAKSGARKGPYYRNGTTINGVVYYLSLFEGKISCLDLEREEWTTIHGGPQAAGEPWRFSLAKLGGSLCVIERTRVIGLRKNGRTRNTVHLWILADSTKGIWAKAYTLHLNLNCRHTVMPLRVINPGGKLLFYEYSNWRYSRSTPLFQVYDPRSGKFTLVAKAPTNLVGRIGLCSLSLDPRFYAQP* >Brasy7G161100.1.p pacid=40045590 transcript=Brasy7G161100.1 locus=Brasy7G161100 ID=Brasy7G161100.1.v1.1 annot-version=v1.1 MERNVLGVSTADCGADPAYDFKYASTILVATIQEVKDRVSQMESIFCSQLFPHFQAHSKLLQARLADATRAAEDEWRKKEAGLVIQLEELNCWKRGAEDRLQQLGISLEETRGKLVDAERLAAKHDAEKIQLLERLEEEMKKDEVICRLEKEIWEKAAELSMEREAHQRLLQLESSLEEMKGKLVDAELLATKHDAEKKQLLGRLEEEMKKDEVICRLEREIGEKAAEISRKREDHQRLLQQMELKDKEINQWNTKYKKVKSERNYLTQKIDQIKGSKSPMDALVNLKSSGSPSSKRKLKDLQDRKKESIQVVSKTRDQKNAPSQHTRLGTSVRSPFSNSRLSLPSHPTNPPQKNATSTSKTEASSSFTRPSLHWRETRARKEPGVVDPHEDFLDTPLEAIKNTIMNPTTREEAPAVAASPPQDMDFHNSDDETQDINIVTEGLNNRPVPKPRSMISVQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRVNGDGVDSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM* >Brasy7G173700.1.p pacid=40045591 transcript=Brasy7G173700.1 locus=Brasy7G173700 ID=Brasy7G173700.1.v1.1 annot-version=v1.1 MDLASVNEELAEIDGQIADIFRTLQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDEAGRTDQETAKALNDKKQSLIKELNSYVALKKQHASENKRVDLFDGPSVEDGFGEENAMLASNMSNQQLMDHGGHLMDETDQALARSKQTVQETINVGTETAAALKAQTEQMSRVVNELDSIHFSLKKASKLVKEIGRQVATDRCIMALLFLIVIGVIAVIIVKIVNPHNKDIPDIPGLAPPVSRRLLSIVENK* >Brasy7G173700.2.p pacid=40045592 transcript=Brasy7G173700.2 locus=Brasy7G173700 ID=Brasy7G173700.2.v1.1 annot-version=v1.1 MDLASVNEELAEIDGQIADIFRTLQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDEAGRTDQETAKALNDKKQSLIKELNSYVALKKQHASENKRVDLFDGPSVEDGFGEENAMLASNMSNQQLMDHGGHLMDETDQALARSKQTVQETINVGTETAAALKAQTEQMSRVVNELDSIHFSLKKASKLVKEIGCN* >Brasy7G007100.1.p pacid=40045593 transcript=Brasy7G007100.1 locus=Brasy7G007100 ID=Brasy7G007100.1.v1.1 annot-version=v1.1 MGKRPRNVRAVRSNSFESYLRRLITDGGLAVPGCSADDVAGALRSRNPDLRRKQLGPFVAAVRRALLSSMPAPAEAFSDSDVDSRASSPSSSRRQRRRLSHDASSSTSFSGDDEDGGARPPSPPPAFDVTKSMLRARYASLTPKKDPGTNQQLEIEVNAEKPRRRVTADGGAGGDSKQEAAAAPATTEGGGRVGKGPMFSDLGGMKAVIDELMMEVVVPLCHPQLPLRLGVRPVAGILLHGPPGCGKTTLAHAIANETGVPFYKISAPEIVSGVSGASEENIRILFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNVRSDGSDLDSQSSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDETARQQILKMLTKNLTLEAEGQFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIIARKKLLGDDENNEQDWWRLPWNESEMENLCIAMDDFENAVTMVQPSLRREGFSSVPDVTWEDVGGLDSLRKEFYRCIVRCIKYPEDYKEYGVNMQAGFLLFGPPGCGKTLIAKAVAHDAGASFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRHGVYVIGATNRIDVIDEAVLRPGRFGKKHFVPLPGADERVSILKAHAKNKPVSADVDLDTLARRQECNNLSGADLASLVNEAAMAALGEKIEFLENGTASRSLSFTREIELLHFEHALSKVKPSVSEQRRKHFDMLSKKYSAD* >Brasy7G023400.1.p pacid=40045594 transcript=Brasy7G023400.1 locus=Brasy7G023400 ID=Brasy7G023400.1.v1.1 annot-version=v1.1 MCKRRKTSPWGQPTTLQETSPTMEHLHKAAWQKIVISLHSVHEILENIMDRGSEDKVDRISERLNLDPKDELKFLASEAHNIHELAKEVQFKLSGCKEKERKEKCKMESMISSLMKENHDISTLLKVAITEKEAAESSLRELKADGDQRKSAILQIAEKGLQKVGFGYIMEVISGEPEREETSSSSASAAANGRHSEQEVVSLASLVGKTLKNLHHEISDLRQALDESRSDCDHLHLLTAEQCQKIIKHESRIQDLEEQENFLVHSVEEITVRIKEVEREAARWREACELEVEAGKAAIKELNQEVTLLREELRRVKVDLEAANSKLQLKQKLATSAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEQEDAHARKVRKNARRSIRYVCWPWQRLRVISASSRARTWFVDQNGRLLPATEALLQTRI* >Brasy7G023400.2.p pacid=40045595 transcript=Brasy7G023400.2 locus=Brasy7G023400 ID=Brasy7G023400.2.v1.1 annot-version=v1.1 MEHLHKAAWQKIVISLHSVHEILENIMDRGSEDKVDRISERLNLDPKDELKFLASEAHNIHELAKEVQFKLSGCKEKERKEKCKMESMISSLMKENHDISTLLKVAITEKEAAESSLRELKADGDQRKSAILQIAEKGLQKVGFGYIMEVISGEPEREETSSSSASAAANGRHSEQEVVSLASLVGKTLKNLHHEISDLRQALDESRSDCDHLHLLTAEQCQKIIKHESRIQDLEEQENFLVHSVEEITVRIKEVEREAARWREACELEVEAGKAAIKELNQEVTLLREELRRVKVDLEAANSKLQLKQKLATSAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEQEDAHARKVRKNARRSIRYVCWPWQRLRVISASSRARTWFVDQNGRLLPATEALLQTRI* >Brasy7G151500.1.p pacid=40045596 transcript=Brasy7G151500.1 locus=Brasy7G151500 ID=Brasy7G151500.1.v1.1 annot-version=v1.1 MDDSSLQIAPPNTPEPELPAPSESGHGPPEEKQEEEEPLCSKVPVRPAELNAYRGAVALRALFLALFIRYRVTHPAPDDAYGLWLTAAACESWLALSWLAGQLPKLFPTNRATRPDMLAKNESREERRMLMMASVDVFVSAADAGREPPLATANTVLSVLAADYPAPGRLACYVSDDGADMLLLEALSETARLARTWVPFCRRHGVEPRAPEPYFARSVDYLRDKVAPSFVKERRAMKREYEEFKVRMNYLAAKARKVPEDGWVMSDGTPWPGNNPRDHPAMIQVLLGHSDDRDADGDELPRFFYVSREKRPGFQHQKKAGALNALLRVSAVLTNGAYVLNLDYDHYVNNSSALREAMCFLMDPVAGNRTCFVQFPLRLAVADADRFVSHDSVFFDIDMKCLDGIQGPVYAGSGCCFNRKALYGFQPAVPDDDDLEEHTSRWKWCCFGGRQRRKLRRTMSVVPLLESEEDEEEGGRRRRLRSYSAALERHFGQSPLFIASAFGPRPAAMAATLILKEAIHVVSCAYEERTRWGKEVGWIYGSGGLMTGFRMHARGWESAYCVPARPAFMSYGRCISPSEMLAGASRRAVAAMGILLSRRHCPVWAGGGMRPLQRLAYANRIAYPLTSLPLTVYCALPAVCLLTGKSMFPEDDDVGCYGGALLVLLLTAVVASVALELKWSGVSLRAWWREEKLWVVTATSAGLAAVFQGVLSACTGFDVGFSDDRPGERDEEEGTQSVRWSHLLVPPTSVVLGNIAGVVVAVSYGVDHGYASWGPLALAAWVVAHLQGFLRGLLARRGRAPTIAVLWSVLFVSILSLLWVNVQTYYAPSPTPQPIL* >Brasy7G233800.1.p pacid=40045597 transcript=Brasy7G233800.1 locus=Brasy7G233800 ID=Brasy7G233800.1.v1.1 annot-version=v1.1 MADLVLGLAKYVVEGVMTKAQAAFEEEDSLRRSAQSNLAFISGEFEMMHSFLEVANAERVENPVVKTWVRQIRELAYDVEDCIEFVVHLDKRTKFMLLLRRMVPSCGMVPPMPLDEAVGEIEQLKARLHEVSTRNTRYNLISDNGAKPIVVQQHQQPEAGVAAVGAATSNMLAEARGATCKRQKGFADLTRLIIDDTTTVLSVSKNKSDSTTSTFQVICVWGSGGDHGTTSIIRNTYNHPDICKDRDYNRAWVKLVHPFTPRDFLSSLMAQFYANSPQQQHAATIGVDGLSNTQVLEDFKKLVAEKCCLIVLEGLSNMADWDAIRTFFRCLKVGSWVIVSTQECEVATLCIGRSYQILELKMFSDQHSVCALLKGSECDGDKGKDNTRTLFGREPQMKELGAYVGKARVNSPPVMSVWGIAGVGKSALVRNLYEKRRLHSVDKDYHDEYFWVDVSHPFNLRDLYLSLLPDIYSEKDPIRQCHSLLTEHRCLVVIDELRSTKEWDLMQAALKPKKSKSVIIVITTDKSIATYCTNNNEALVFNVKALEASAAFDLFQMEVYKTFQDGVEHEVQELISKCGGIPKVIAAIASSIEMMGKMHVLSSLKQKFMHHLETNPEYDMLQDLFDWIRAYFRDCPDYLKPCIFYLSIFPQGKMIRRRRLVRRWIAEGYSRDSHNESAEENGEKHFSELLELSIIQQLASHSSSASLNVDTDVRMVFCQVNAFIREYIVSQRREENLVFELGDKCALTTQRTGRHLVILPEWHRDKIVFERMDFSRLRSLTVFGNWESFFISKSMKLLRVLDLEDASRDVKHEDLDKVVKWLRRLKFLSLRGHDEIKYLPSSLHRMGQLQTLDIRETSIVTLPETITKLCNLQYIRAGRRRHHRQLFGVEVPRGIGKLTALHTLGVVDIGASGTKTMMKELKELTQLRKLGVSGINRKNIKKFVESVHGAHLESLSLQLDKDNQGCLDDISMPWVNLQSLKLYGLQEKLPQWRNRLPDPKWRKLRKLDLEMDILEKDDNIEFLAKLPELCILRLHVKQFQDSKLHFYAELYDEEVPIYEKVKILEISCSSRTSLQVTFGSEAMKNLELLKLDCSSGSSSYDLTGQNKGPLNNILSALKEVLLKGTNEALKTDLEQKLLDHPNKPSVKLEEQPRLS* >Brasy7G067400.1.p pacid=40045598 transcript=Brasy7G067400.1 locus=Brasy7G067400 ID=Brasy7G067400.1.v1.1 annot-version=v1.1 MDLSATPTRSKSKPRSTPSKTIAASAAAQMDFSTPSKPTTRRKSKSVSSPPSIAPATPSTVRRSRRLLETPTKDLVETPAKPAPTPTLKRKRAAPSPKTPTQAEPKRQRRLPKKKAYYRKVVYDGGEFAAGDDVYVKRREGAESDEEEPEEEECRVCFRAGGEVMVECDACLGGSTCDVCGLRCAVYRRGIGRARTVRLSGRGGRSRGPSIPWGSVSGGQRKRSFSPAIYGLHALRVYGGNQMEHSGQM* >Brasy7G177400.1.p pacid=40045599 transcript=Brasy7G177400.1 locus=Brasy7G177400 ID=Brasy7G177400.1.v1.1 annot-version=v1.1 MRLKDGRKPRSIEPTNQRASVPAPACSFGCRAWHHLQLRQISGLSPTPHKYTTTQHPPAVFCSWLLYLPPLILRCSPAPFRINCPHVTKSGINNSTVAVLAEAKTGPGPGRHALLIPVENASPMAAPAAAKRPCSRPCSSSPRHDPKRQRHRTPAAEPMDGDDDGAAATSPTPSQPLLPGLPDHLAQLCLSPLPPRLLHAVCKPWRRLLYAPSFPPFLSLYALLEHEHGTAAPEGGASAGVSLAAYDPLAGRWDDVPAPPMPSPPPTLWHPSFLSRRLPLQSVSASGRLVLLAGSTHSLLPALPRPLVFDPATKRWLLGPRVPLAPRRWCAAGSARGRVFVAGGVGAAYDLAVARSGATWDPSSSSATATWEGIPPLRDGRFSREAAEAVCSGAKVCMVNLRGRGAKEGAVFDLAARRWEDMPPGMLAGWTGPAAASPEDDGTIFVVDEEQGDLSAYDWGSDRWRVAVAAGRRLKGATEMAAGGGRVCVVAGGGKKVVVIDVTTTPSKASRSRIAAPGMWEVQAPPGRRVVALHVLPRMTRPE* >Brasy7G028200.1.p pacid=40045600 transcript=Brasy7G028200.1 locus=Brasy7G028200 ID=Brasy7G028200.1.v1.1 annot-version=v1.1 MEMEGRGLSDLFRNTSEEIFLKAVMENSIGVAAAPSMEMMGFRNMSQSFREDSEELFNSWLMNGEIPGFGHLNNRPRQPSRLSSEAAGLQQHGIQQHDISQQNFLTENIIPENSAVPPEYTTNHNQQPLRNAAEKGMQASDILLAKAWFHCTQPMTRSRSSELRRRYAAMQSNLAPIVTGTHKSTDQLRLDFTNVNSTNSTPMGNTPIQTPTFISPSCSSTSPLDSPHMVAQDTVTSVVSMLKDTLERKKLGSPANRDASHGSSFGFYDNQQFQHNILGGTNIFPLVTTAHAQDSLMLPEVERPMEPNTGNFVAPTNQAWISAVSREPSQSGSSTAMTAQSAGFEVCDELPPMGQALSVCESTRRNAANGTSDCRSTGKDYRERVLKDNLKDDRKKVSLTRMGSISSEQAVDKGDPTKKRRVERTRKMAEAKERSSTPVIPSDMQAVLKRCDNLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLAEEKERLLEEIERIASDSTT* >Brasy7G028200.2.p pacid=40045601 transcript=Brasy7G028200.2 locus=Brasy7G028200 ID=Brasy7G028200.2.v1.1 annot-version=v1.1 MEMEGRGLSDLFRNTSEEIFLKAVMENSIGVAAAPSMEMMGFRNMSQSFREDSEELFNSWLMNGEIPGFGHLNNRPRQPSRLSSEAAGLQQHGIQQHDISQQNFLTENIIPENSAVPPEYTTNHNQQPLRNAAEKGMQASDILLAKAWFHCTQPMTRSRSSELRRRYAAMQSNLAPIVTGTHKSTDQLRLDFTNVNSTNSTPMGNTPIQTPTFISPSCSSTSPLDSPHMVAQDTVTSVVSMLKDTLERKKLGSPANRDASHGSSFGFYDNQQFQHNILGGTNIFPLVTTAHAQDSLMLPEVERPMEPNTGNFVAPTNQAWISAVSREPSQSGSSTAMTAQSAGFEVCDELPPMGQALSVCESTRRNAANGTSDCRSTGKDYRERVLKDNLKDDRKKVSLTRMGSISSEQAVDKGDPTKKRRVERTRKMAEAKERSSTPVIPSDMQAVLKRCDNLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDLAEEKERLLEEIERIASDSTT* >Brasy7G028200.3.p pacid=40045602 transcript=Brasy7G028200.3 locus=Brasy7G028200 ID=Brasy7G028200.3.v1.1 annot-version=v1.1 MEMEGRGLSDLFRNTSEEIFLKAVMENSIGVAAAPSMEMMGFRNMSQSFREDSEELFNSWLMNGEIPGFGHLNNRPRQPSRLSSEAAGLQQHGIQQHDISQQNFLTENIIPENSAVPPEYTTNHNQQPLRNAAEKGMQASDILLAKAWFHCTQPMTRSRSSELRRRYAAMQSNLAPIVTGTHKSTDQLRLDFTNVNSTNSTPMGNTPIQTPTFISPSCSSTSPLDSPHMVAQDTVTSVVSMLKDTLERKKLGSPANRDASHGSSFGFYDNQQFQHNILGGTNIFPLVTTAHAQDSLMLPEVERPMEPNTGNFVAPTNQAWISAVSREPSQSGSSTAMTAQSAGFEVCDELPPMGQALSVCESTRRNAANGTSDCRSTGKDYRERVLKDNLKDDRKKVSLTRMGSISSEQAEWQKQRKEVQRQ* >Brasy7G222700.1.p pacid=40045603 transcript=Brasy7G222700.1 locus=Brasy7G222700 ID=Brasy7G222700.1.v1.1 annot-version=v1.1 MRALAVVAILAAAMAATARGEESVSSIISRPLFDRMLLHRNEGACQAKGFYTYDAFIAAANSFRGFGTTGNADVRKREVAAFLAQTSHETTGGWATAPDGAYAWGYCFKQEHGVTSDYCTPSAQWPCVPGKRYYGRGPIQLSHNYNYGPAGRAIGVDLLRNPDLVATDPTVSFKTALWFWMTAQVPKPSAHAVATGQWKPSAADRTAGRVPGFGVITNIVNGGVECGHGQDIRVADRIGFYKHYCDILGVSYGDNLDCYNQRPFA* >Brasy7G091800.1.p pacid=40045604 transcript=Brasy7G091800.1 locus=Brasy7G091800 ID=Brasy7G091800.1.v1.1 annot-version=v1.1 MQRNSSFGTSWADQWDTGADPSPRARGSGSGSDGKKQGGGVEKTKAAAATGLRKVKEGTQQGFQWIKDKYQKKNSKNNNKQSGSEIAGY* >Brasy7G041200.1.p pacid=40045605 transcript=Brasy7G041200.1 locus=Brasy7G041200 ID=Brasy7G041200.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy7G081300.1.p pacid=40045606 transcript=Brasy7G081300.1 locus=Brasy7G081300 ID=Brasy7G081300.1.v1.1 annot-version=v1.1 PSARRNSSPPNPSECIKISCMVLGKGLGYVHTIMYFDLRMLAIWAIDMTTMRWRQKSHLLLFPYLVLCSSTFLCVMLCLVLLHGLQLFPVIFRV* >Brasy7G102800.1.p pacid=40045607 transcript=Brasy7G102800.1 locus=Brasy7G102800 ID=Brasy7G102800.1.v1.1 annot-version=v1.1 MRHKSPIASAQSCLQRRERTLVRAAAESAERWMRTRRTSSGSELRRSPPLPETADGWANSGCSGNAAIRPPTSMANDSVPGVRNDLT* >Brasy7G162800.1.p pacid=40045608 transcript=Brasy7G162800.1 locus=Brasy7G162800 ID=Brasy7G162800.1.v1.1 annot-version=v1.1 MMEDKIVKSFNADLGDNSGQDVADQLLFIHEQCLQSNFSPIEKLKNSHVQENDVSQRRQ* >Brasy7G191800.1.p pacid=40045609 transcript=Brasy7G191800.1 locus=Brasy7G191800 ID=Brasy7G191800.1.v1.1 annot-version=v1.1 MDVNDLLLLASAVLLGTVWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLRQKYGPIFTMRMGQRTLIIVTCPDLIHDALVKQGPMFASRPADSPIRLLFSVGKCTVNSAPYGPLWRALRRNFVSEIVSPGRVKGFSWIREWAVAGHLRRARAEHAATGAVRMMAACRLTICSILICICFGAKIPETLIVEIEEVLKDVMMISLPKLPDFLPLLTPLFRKQLGEARALRRRQLGCLAPLVRARREFLQNGGKTGEIIGGVEMMSPPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLILDPKAQERVYDEVVAKAGKTARITEADVEDMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPATASVEFYTAWITENPATWPDPDAWRPERFLEGGEGHDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVRELRWVPPAGEGPPDPTETFAFTVVMKNPLRAAIVERNQLQQPAN* >Brasy7G216000.1.p pacid=40045610 transcript=Brasy7G216000.1 locus=Brasy7G216000 ID=Brasy7G216000.1.v1.1 annot-version=v1.1 MRATAAASAAAPLQFSTDGRGAPGCGGRVRLGIRRSSSWAGRRYSVEAFADQCQSATTAMNKFSAALQATSATRNARRQCRDDHPGLQVGCGAISHDQHGSNANQLFEEIGEEVLKKLHAFYQFCRPHTIFGTIIGISSVSLLPMKSLDDFTMTVLRGYLEALAAALCMNIYVVGLNQLFDIQIDKINKPGLPLASGEFSVATGVVIVLSSLIMSFSIGIRSGSAPLMCALLVSFLLGSAYSIEAPLLRWKRHALLAASCILFVRAILVQLAFFAHMQQHVLKRPLAPTKSLVFATLFMCCFAVVIALFKDIPDVDGDRDFGIQSLSVRLGPQRVYQLCINTLLTAYGAAIVIGASSTNLFQKIIIVLGHSLLALTLRQRARHFDVENQARVTAFYMFIWKLFYAEYFLIPFVQ* >Brasy7G123900.1.p pacid=40045611 transcript=Brasy7G123900.1 locus=Brasy7G123900 ID=Brasy7G123900.1.v1.1 annot-version=v1.1 MYNFLCLGMNLARLKATNLAQEKRRSHTQICISLKKEKKIQIFNQTSRPHLRDQFRPAFLSLPRRRSPDPVADMRDPSEIRSLPIDIAFARLQEWLVDRKRVPQDWRKRLAAIRARLAAAFASLPRDLHPYLLTLELEEVGYLEAKKIYNILLESNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGIDVREELIQSAKTLPSTFTKILGVLNSDPVSQAIQYYTTFVMDCHTEDKENCKSVVHNLKQLQANPPSLHVSVCTEVQNSLGDTSKDHGSNMDGGEHIDSNVPADDIDWDISVDNNGIDWDIGAVEQPVEVSGEGFGSYEIIDASTELAGSENYDVGISVDPSADKDLICDASEDLICWDISTDNPEENAITQNAPTEQGQYESSTEERSQLLEKEYRNNLLDDLLEVKSFLTLRLAEIRNDDTSSLQHQVQAVSPFALQQYAPDSLENMLLEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSMFDSRPVHIIGEINTLLSSSVSQLAV* >Brasy7G123900.2.p pacid=40045612 transcript=Brasy7G123900.2 locus=Brasy7G123900 ID=Brasy7G123900.2.v1.1 annot-version=v1.1 MYNFLCLGMNLARLKATNLAQEKRRSHTQICISLKKEKKIQIFNQTSRPHLRDQFRPAFLSLPRRRSPDPVADMRDPSEIRSLPIDIAFARLQEWLVDRKRVPQDWRKRLAAIRARLAAAFASLPRDLHPYLLTLELEEVGYLEAKKIYNILLESNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGIDVREELIQSAKTLPSTFTKILGVLNSDPVSQAIQYYTTFVMDCHTEDKENCKSVVHNLKQLQANPPSLHVSVCTEVQNSLGDTSKDHGSNMDGGEHIDSNVPADDIDWDISVDNNGIDWDIGAVEQPVEVSVDPSADKDLICDASEDLICWDISTDNPEENAITQNAPTEQGQYESSTEERSQLLEKEYRNNLLDDLLEVKSFLTLRLAEIRNDDTSSLQHQVQAVSPFALQQYAPDSLENMLLEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSMFDSRPVHIIGEINTLLSSSVSQLAV* >Brasy7G123900.3.p pacid=40045613 transcript=Brasy7G123900.3 locus=Brasy7G123900 ID=Brasy7G123900.3.v1.1 annot-version=v1.1 MYNFLCLGMNLARLKATNLAQEKRRSHTQICISLKKEKKIQIFNQTSRPHLRDQFRPAFLSLPRRRSPDPVADMRDPSEIRSLPIDIAFARLQEWLVDRKRVPQDWRKRLAAIRARLAAAFASLPRDLHPYLLTLELEEVGYLEAKKIYNILLESNTESRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGIDVREELIQSAKTLPSTFTKILGVLNSDPVSQAIQYYTTFVMDCHTEDKENCKSVVHNLKQLQANPPSLHVSVCTEVQNSLGDTSKDHGSNMDGGEHIDSNVPADDIDWDISVDNNGIDWDIGAVEQPVEVSGEGFGSYEIIDASTELAGSENYDVGISVDPSADKDLICDASEDLICWDISTDNPEENAITQNAPTEQGQYESSTEERSQLLEKEYRNNLLDDLLEYAPDSLENMLLEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSMFDSRPVHIIGEINTLLSSSVSQLAV* >Brasy7G009500.1.p pacid=40045614 transcript=Brasy7G009500.1 locus=Brasy7G009500 ID=Brasy7G009500.1.v1.1 annot-version=v1.1 MGRSPCCDGEAGVKKGPWTPEEDKLLVDYISEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFDDDEEKLIIHLHSILGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLSLLAGLPALLAAAGSFSSNSGAGSSWIENMNALRLQADAAKFQLLQGLVRALTTNTSAAAAPMASAAAAGSGAQDHLMTLLGGGGNGGCGGGIVGGGFEQQMSMLQQYDGLLSLPALTSAGGNNGAMAATMPGGQLVGGSAGMFSGSGGDSFGAGDGLSSTELGGASGSCSNITAACGTTAAAPPLVCGNGGGGGGEETTPASSPMMFFDGLESLNLDDHLNTDGGWKDLLEQMSWLNSTEL* >Brasy7G161300.1.p pacid=40045615 transcript=Brasy7G161300.1 locus=Brasy7G161300 ID=Brasy7G161300.1.v1.1 annot-version=v1.1 MEMVAAAARDLFSTPRSTPLLVLIAGATAVLYAVLRRRSSGLRLPPSPFALPILGHLHLLAPLPHQALHRLAQRHGPLLFLRLGSVPCIAACSPDAAREILKTHEAAFLDRPKPAAVHRLTYGGQDFSFSPYGAYWRFMKKACVHELLAGRTLDRLAHVRREEVARLVQSLAASAAEGAAVDVDAALMGLTGDVVSRMVMGRRWTGDDNDTEEMRSVVAETAELTGTFNLQDYIGAFKYWDAQGLGKRIDAVHRKFDAMMERILTARDAKRRQQKLDQDGGGEAEGKDILDILFDMHEDEAAELPLSRDNIKAFMLDIFAAGTDTTTITVEWAMSELINNPEVLRRAQEEIDAVVGKDRLVDESDVASLPYLQAVAKETLRLHPTGPLVVRRSLEQCKVGGYDVPAGATVFVNVWAIGRDPACWPEPLEFRPERFLEGGCNAGTDVRGQHFHMLPFGSGRRICPGASLALLVVHAALAAMVQCFEWRPAVGGAGKVDMEEGPGLTLPRKHPLVCAVKPRLHPLPLP* >Brasy7G113700.1.p pacid=40045616 transcript=Brasy7G113700.1 locus=Brasy7G113700 ID=Brasy7G113700.1.v1.1 annot-version=v1.1 MESRVRRRRSSGSDGAGEGLQMGQENSEEKMGRRRRSGGLQFAGRRRQRGKRGGAGGGGVGEGQATAPNGDKVRERKPASGGGAGEWPRRRQRWRMAAAAEDVPLRPCGGRAAPEAEVVSGGRETGGDGRGLDRA* >Brasy7G207500.1.p pacid=40045617 transcript=Brasy7G207500.1 locus=Brasy7G207500 ID=Brasy7G207500.1.v1.1 annot-version=v1.1 MRRRRWRDFLGGVGSAAGTAAVDQIGCGELGSIAAGFLHRYPAPGMPENHGAVTVAAATTDLQVESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYETELEEMQSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILSSDLPVGGGAATRASKFQADPSLPPQNAGVVSPDMLPPLEKDYWSQLALHYQQQQQQQQQHQQQQHQQQFHASAFQTYGSGVNVDFTMGTSSHSSSNGGAMWGATGQQDDGNRQSNSYSSDIPYAAAAAMVSGSAAVYEGSTGNNGTWVTSNPSTAPQFYNYLFGME* >Brasy7G217000.1.p pacid=40045618 transcript=Brasy7G217000.1 locus=Brasy7G217000 ID=Brasy7G217000.1.v1.1 annot-version=v1.1 MAGSGDDCGGGGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALQDLLARLADAYGGATGAHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLAVASPKLRVFIFPVSDAAAAGDEAVEGGGAGAGAAGYDAGLRYLEAVNGIVRKDSIASLSSTQCSDGGPPPPTPAVPSGGGGGSPTALSPTSTGSYDAARSAFTAQQPPQQQQQQPQPLVDVFTNAAPAPAPVKPQESAAEMRAPQPNSHPHPEATRYRQPLSQLPPLPPVFMNDHREVMQGLNQPPPGNATWIEDCNMCLKALPHAHSDPVVNEYVGDVHGGAGQAPVFMSLRPEDVARIMMAERQIPAQMGAYGYTHMHPVPPERERAYAPKMEGITNPLPVDPASFHQHVYVQQQQQLPPQQLQPTYGYNHIPVMSNEMVSPNSAHSDVASSHQQTMLQQLPPGHGMVQYPVKQGSPNNPLDGEGSLSGNSRHREDGQLLRDNVPSVAPVAVPTYMANVDRMMDSLRVSPSEVSRATEQRMYSPPLENGLPQNATPEHSQVKEVHLSNTNTFFDVNEPKVVPPTESMPPASVASPYLHNVQHVNMSHMPHMVSIGGPYASYVAATVGHGGVPPSTYGVDLVYTNSTVNPMGERKDVLPEVYHKEAPHESVAPPNASVQVSTAAFTNHAPIVEQLQDPGLPGQQFSNVHALPPRPKRVASRENISSKDPHSQNPLLNCKGLDLNIPAEDVALSQQSDHKDAHAEHARFVKGDGIITNPELLGMEDHLAGTEPPPPLLNEGLGAATNKVEGQAHTNEVVKSKPVDWVSGLPVADDHVRLQYIKNDDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQEKMRNDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKSLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWKSLMEQCWATEPSERPSFTQIAVRLRSMAAPPQRV* >Brasy7G217000.2.p pacid=40045619 transcript=Brasy7G217000.2 locus=Brasy7G217000 ID=Brasy7G217000.2.v1.1 annot-version=v1.1 MAGSGDDCGGGGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALQDLLARLADAYGGATGAHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLAVASPKLRVFIFPVSDAAAAGDEAVEGGGAGAGAAGYDAGLRYLEAVNGIVRKDSIASLSSTQCSDGGPPPPTPAVPSGGGGGSPTALSPTSTGSYDAARSAFTAQQPPQQQQQQPQPLVDVFTNAAPAPAPVKPQESAAEMRAPQPNSHPHPEATRYRQPLSQLPPLPPVFMNDHREVMQGLNQPPPGNATWIEDCNMCLKALPHAHSDPVVNEYVGDVHGGAGQAPVFMSLRPEDVARIMMAERQIPAQMGAYGYTHMHPVPPERERAYAPKMEGITNPLPVDPASFHQHVYVQQQQQLPPQQLQPTYGYNHIPVMSNEMVSPNSAHSDVASSHQQTMLQQLPPGHGMVQYPVKQGSPNNPLDGEGSLSGNSRHREDGQLLRDNVPSVAPVAVPTYMANVDRMMDSLRVSPSEVSRATEQRMYSPPLENGLPQNATPEHSQVKEVHLSNTNTFFDVNEPKVVPPTESMPPASVASPYLHNVQHVNMSHMPHMVSIGGPYASYVAATVGHGGVPPSTYGVDLVYTNSTVNPMGERKDVLPEVYHKEAPHESVAPPNASVQVSTAAFTNHAPIVEQLQDPGLPGQQFSNVHALPPRPKRVASRENISSKDPHSQNPLLNCKGLDLNIPAEDVALSQQSDHKDAHAEHARFVKGDGIITNPELLGMEDHLAGTEPPPPLLNEGLGAATNKVEGQAHTNEVVKSKPVDWVSGLPVADDHYIKNDDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQEKMRNDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKSLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWKSLMEQCWATEPSERPSFTQIAVRLRSMAAPPQRV* >Brasy7G144300.1.p pacid=40045620 transcript=Brasy7G144300.1 locus=Brasy7G144300 ID=Brasy7G144300.1.v1.1 annot-version=v1.1 MDRCPAANVSRFTSTRTVDTPSRLGYNQPRLIKRGKQAKNGNLVQIPNSRAHRLTGRQGSEQMAAAVVLARTYEAAARSALAALERNLLPDALTRRLTRLLLAQRLRLGYLPSAPLQLQQLLLFAHSLEDMPIAIETDKAKTQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMMELYCKRAQIQDGQSILDVGCGWGSLSLYIAKKHKNCSVTGICNSTTQKAFIEEKCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHYFCHKTFAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDKNITFIKPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHHLFRKK* >Brasy7G062700.1.p pacid=40045621 transcript=Brasy7G062700.1 locus=Brasy7G062700 ID=Brasy7G062700.1.v1.1 annot-version=v1.1 MEPVRRQPGAPPPAAAAALEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYVDYLSSIGVLIPCIDSSGEIEEEEEHKVEQVPLKNVEKKVEKVEKKAEMQQMLEKIEDLIGLCKMTLCVFVEAFWQQQHQLGKQQWLGQQHHQLGQHQWLGQQHQLGHQLGQQHQLGHHHQLQHQQMVDPGQQHLLDHLCLNQGISSCYVQAGYMHCNAQ* >Brasy7G179600.1.p pacid=40045622 transcript=Brasy7G179600.1 locus=Brasy7G179600 ID=Brasy7G179600.1.v1.1 annot-version=v1.1 MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQEKGGHAKLECPLCKVTAPDVKSMQIHHEARHPKLPFDPEKINNLHSSPAAAPEAASSSKPKPGVRGSLKKTGDEQK* >Brasy7G052100.1.p pacid=40045623 transcript=Brasy7G052100.1 locus=Brasy7G052100 ID=Brasy7G052100.1.v1.1 annot-version=v1.1 MEGDPMAVALPEDALAEILRLLPPRSLAASRCVCKAWRAIVDGRRLLLPELLPHSVRGIFLNYTGLDFPAFLSHPSVEPDTFGKLSFLRHPDDTWPQARILGHCNGLLLVTSLRGIHVVNPATQWWARLPPPPRPPLPPGRRHEPWHLVFDPAESLHYEVLLVPETPLIEERDDPSKEWPAPVWVFFAFSSRTGQWEERAFVREGEAAGMATDEVLQQSDIYGQGYSAYWQGALFVQCNCRFTVMRISLSHNKYRVIKVPADIGQSDYPDVYLGRSKEGLCCASFHDWHKLRVWILDDSSGTIEWVLKHDKDIYYNVLPVMRHFEDNGGPWNFEDGNAGEHYGQQNLQDVNKDEDDGNSALVKSKVEWDSDNDNIVDHDPEAAENWGKISVLGFHPYKDVIFLNVRLDRAVAYRLNTSIVQDLGQVCPANCHVECVISSFPYTPCLIKDSPENKLEANVVMPAS* >Brasy7G201600.1.p pacid=40045624 transcript=Brasy7G201600.1 locus=Brasy7G201600 ID=Brasy7G201600.1.v1.1 annot-version=v1.1 MFLAAARSWRIRVAVDSLAARAAVRAPVAQWRRAEVLRHIGDASLLRHIGDASLLKHDGELPENRYVDSDKEDEMEDFAFIFYLLENEKWKPFDRSSVHCPFCGSQVLAWFLKENVPPNLEEM >Brasy7G057300.1.p pacid=40045625 transcript=Brasy7G057300.1 locus=Brasy7G057300 ID=Brasy7G057300.1.v1.1 annot-version=v1.1 MPLPTHTSIQPKYSSGRALPHLSPSSIRSSISRRFLSMLPPRCRPLPSSLPPWPRLFPTLGSYSRRAVTSSAASSSSPAGGHGNGGRPIRYAVLGAGFAGLSVAWHLLKHSPKDSRVTVDVYDENGVGGGASGVSGGLLHPYSPKVKLLWRGAEFWKECMDLVRSAEQANGATGSDGIGEDEALIWRRGILRPPTTEKAADILLEVLLD* >Brasy7G077100.1.p pacid=40045626 transcript=Brasy7G077100.1 locus=Brasy7G077100 ID=Brasy7G077100.1.v1.1 annot-version=v1.1 MEAKGGATTAVAVLCLLLVLVPEACRAERFVVGDAARWTWGYNYTDWVIRKGPFFQNDSLVFTYDPPNATTHAHSVYLMRSLADYQSCNLKAAKLVAGVMQGAGSGYEFVLKKRKPHYFVCGERAGLHCTAGRMKFVVKPKSSACRD* >Brasy7G183400.1.p pacid=40045627 transcript=Brasy7G183400.1 locus=Brasy7G183400 ID=Brasy7G183400.1.v1.1 annot-version=v1.1 AAGAISTQPAPAPSSPSSSAPTPPSTQPAPPTSPLSSQRVATAFLPPHSAPPPSPSPRRRPPPILCRPHLSRPPPYSLQASTQPSSSQPASPHSSPYQPSSGHPAHRDRTTSSALSRRTPAMLPRSLHFFFNSGTLYRSSSP >Brasy7G210200.1.p pacid=40045628 transcript=Brasy7G210200.1 locus=Brasy7G210200 ID=Brasy7G210200.1.v1.1 annot-version=v1.1 MTWLPHRLWAHTSGDHVALQSYRNPPSTYSPLSSLFPLLPSALCCARRRPQLHRRAPMRAPLLRPPFLCPRRRAPRRRPRRPRLHHAGPSSASALAAPPHRSPPPCRRRFSALRAALLLHLAPSSSSPAATSSLASDRSSLPPRDRAGGGAPTLRGRPQGISGSSSPHLELGSGVGEDGRLWGAPGVARGCGLVAKVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGGGDFARSARCFCDCRLGGEALQRRIGERRRFVPEFEKQQVRAACGARRVQTGASGPRGCGCGRG* >Brasy7G087900.1.p pacid=40045629 transcript=Brasy7G087900.1 locus=Brasy7G087900 ID=Brasy7G087900.1.v1.1 annot-version=v1.1 MALRAAPPTCAAGRLPSPRLPRAGGSRVFRSLSSAAPAPLRTSPGFNKVCTRALLNSDGTPGTSDSPHVVCFGELLIDFVPTVSGMSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKENNVNNQGLLFDPHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAASKVAKDAGVLISYDPNLRLPLWASAESARDGILRIWETADIIKVSEEEVSFLTNGEDPYDDSVVKKLIHPNLKLLLVTEGPDGCRYYSKELSGRVGGLKVEAVDTTGAGDAFVAGILSQLAVDFSILQDEGRLREALKFANVCGALTVTERGAIPAMPTRQQVADALIDVVA* >Brasy7G112200.1.p pacid=40045630 transcript=Brasy7G112200.1 locus=Brasy7G112200 ID=Brasy7G112200.1.v1.1 annot-version=v1.1 MFPPASTTASRLFLPAAAAASRRTMAAPASANPPPPQQSVPKAVRVVVKGRVQGVFFRDWMVETARALGLAGWVRNRRDGTVEALLSGDPARVDEMVSQRLPVGPPAAAVTAVLPSPADPLDPSEGFNRKPTA* >Brasy7G194000.1.p pacid=40045631 transcript=Brasy7G194000.1 locus=Brasy7G194000 ID=Brasy7G194000.1.v1.1 annot-version=v1.1 MGLCQSKPRVGGGGSNCQGLCRSKKKPCASPWPDLPPELAGHIFARLPSLDDRLRFRAVCRDWRLAAQRHRPLPPPDSTPWIHLGHGAYRSVFDGYGQKTRRRFAAPGRCRATACFGSWVLYEHAPTGRCFLRDPFPGAAPAVEVPCRYHTLNRSIVDGPDVVCGDCQPRPRAAGCYATLPHEHSLVKILACSSSLVVAIFAGSPFDSAPFNFASFRPGLRPLRLQLPFLLKPPPPPPLLFWSPPPVTTISSSGDYYPPHQQKISRVDGEYYCYKEIALHGGKVFAVSSTEELFAHELLDGNHPTPSLSRAELVISRRPEAPAPWPCATQDCSHHHLVTSSDRQRKLLMVRWNIPHCGVDLGCDRDRRRVMDLQVFEADLERRRWAETKDIGRQDLYVCRTGSRALAASGSTEHRGRVFVMVRERASAAALCKCCHCQELVKNGVPTYCVYDMMNGEVSLVSLDAEDCDAPTKYVRSEWFFPACE* >Brasy7G139600.1.p pacid=40045632 transcript=Brasy7G139600.1 locus=Brasy7G139600 ID=Brasy7G139600.1.v1.1 annot-version=v1.1 MCLGSIHQHQYHYAINVDKCRVTFKTFAQSFRHEGWVEAWVINAFCRKLFRDSHPEISLKHYFFHTISEYFMEKYTTERKREEMKMQVMKALRGAGSAMTLHESDMIKNFIQAWGDCGLAYMPFNNFRILYPRVPKQANGHDCGIFVMKFMEEWDPRNQPSCSFSKDDVPRIRVKLCNQLFFCPGNIVEDSKYLVRNFDPSYCFKTFAIDNSASGEGWKITSRRNILIRQELGERPIHSVLEIITAAEHTIVIRVSNDSSVVLQKHKTEECMDQCKNSGLGLFHRCPLHDCRGGGGGGAKAA* >Brasy7G192800.1.p pacid=40045633 transcript=Brasy7G192800.1 locus=Brasy7G192800 ID=Brasy7G192800.1.v1.1 annot-version=v1.1 MSGGEGTSNAASNMQAGADDAPKEELLIRMARSKLRSVDESQFKPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLEIENHKEFVQMLLHDGCYVLSFLVDYKSTSESASDEAGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNEDRSVLDDLSPCVRLLLKDRLHTSGRRRPLPTSNFPSTLLHLVHAYFMPTQKDGSTAAQGDTVVQPRVPSPRRRMGRWRRATEYCRYGNVKLKRLHLADDDGEAHSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFADLCREVVLDVDSPDENYLNPTWRQLQELCRAS* >Brasy7G192800.2.p pacid=40045634 transcript=Brasy7G192800.2 locus=Brasy7G192800 ID=Brasy7G192800.2.v1.1 annot-version=v1.1 MQAGADDAPKEELLIRMARSKLRSVDESQFKPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLEIENHKEFVQMLLHDGCYVLSFLVDYKSTSESASDEAGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNEDRSVLDDLSPCVRLLLKDRLHTSGRRRPLPTSNFPSTLLHLVHAYFMPTQKDGSTAAQGDTVVQPRVPSPRRRMGRWRRATEYCRYGNVKLKRLHLADDDGEAHSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFADLCREVVLDVDSPDENYLNPTWRQLQELCRAS* >Brasy7G156600.1.p pacid=40045635 transcript=Brasy7G156600.1 locus=Brasy7G156600 ID=Brasy7G156600.1.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNSAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRIIMGIWKMRSPVSEQKGEIIEKESELKELQAEECGSLFTNEDVKMSEIFSSVLSVDVESLMEMFSGGQLENKVMQKTGCMDYSPTEWELVNRNIYQRQISYKFDKALSRYGGEASTTQQKYALVNQDGWAIEEVMTLQSVLPGDCFSLQLKYHMANIPSKPNTCNVQVLLGIAWLKSTKQQKKTTKNIMSNTSNRLKELFSEVEKDITSRNGAS* >Brasy7G156600.2.p pacid=40045636 transcript=Brasy7G156600.2 locus=Brasy7G156600 ID=Brasy7G156600.2.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNSAFRKLFNLPPEEFLIDDFTCHLKRKMPLQTKFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRIIMGIWKMRSPVSEQKGEIIEKESELKELQAEECGSLFTNEDVKMSEIFSSVLSVDVESLMEMFSGGQLENKVMQKTGCMDYSPTEWELVNRNIYQRQISYKFDKALSRYGGEASTTQQKYALVNQDGWAIEEVMTLQSVLPGDCFSLQLKYHMANIPSKPNTCNVQVLLGIAWLKSTKQQKKTTKNIMSNTSNRLKELFSEVEKDITSRNGAS* >Brasy7G156600.3.p pacid=40045637 transcript=Brasy7G156600.3 locus=Brasy7G156600 ID=Brasy7G156600.3.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNSAFRKLFNLPPEEFLIDDFTCHLKRKMPLQFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRIIMGIWKMRSPVSEQKGEIIEKESELKELQAEECGSLFTNEDVKMSEIFSSVLSVDVESLMEMFSGGQLENKVMQKTGCMDYSPTEWELVNRNIYQRQISYKFDKALSRYGGEASTTQQKYALVNQDGWAIEEVMTLQSVLPGDCFSLQLKYHMANIPSKPNTCNVQVLLGIAWLKSTKQQKKTTKNIMSNTSNRLKELFSEVEKDITSRNGAS* >Brasy7G156600.4.p pacid=40045638 transcript=Brasy7G156600.4 locus=Brasy7G156600 ID=Brasy7G156600.4.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRIIMGIWKMRSPVSEQKGEIIEKESELKELQAEECGSLFTNEDVKMSEIFSSVLSVDVESLMEMFSGGQLENKVMQKTGCMDYSPTEWELVNRNIYQRQISYKFDKALSRYGGEASTTQQKYALVNQDGWAIEEVMTLQSVLPGDCFSLQLKYHMANIPSKPNTCNVQVLLGIAWLKSTKQQKKTTKNIMSNTSNRLKELFSEVEKDITSRNGAS* >Brasy7G156600.5.p pacid=40045639 transcript=Brasy7G156600.5 locus=Brasy7G156600 ID=Brasy7G156600.5.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNSAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRLSP* >Brasy7G156600.6.p pacid=40045640 transcript=Brasy7G156600.6 locus=Brasy7G156600 ID=Brasy7G156600.6.v1.1 annot-version=v1.1 MAKLKSSASFVGECSETARRIAVSPMKLLVRVVEARGLPAIHLNGSSDPFVKLQLGKRRAKTAIVKKSLSPVWDEEFSFLVGDVTEELVVSVLNEDKYFSNDLLGRVKVPLSQVMETDDLSLGTTWYKLEPKSKRSKKKCRGEVCLRISLSTRTHVSEESQLVPHPTSDDIASSSDRSIDNRGGTLSTTSSYIDLSALASVDQASQSNLERLADSSVDQPPQSSIEQLVTEPGSAVDNDAMANPSSMVEVLSRYFFRKPVDAASAAAAPLVASDAELVEQCQEPQICSEDRESPENAATSSESSLEELLKIMESKDQGCEMPANLANGVLVDESFVTAPSGLNSLLFSPDTDFWPAVAELQGTSGFQIEPWKIDNNDGCLRRTLTYIKAASKLVKAVKATEEQKYLKAAGSSFAVLSIVSTPDVPCGNCFKIEILYCIKPGPQLSSEDQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQRFKVAELDDANSNKDKILASLQTQKEPSWRLVVRFLGNFTFIFSVIIALYIVAHLHLSKPNAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIKNVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGIIAAGSSDLFDLHVVFTCNTKRKTSSIKFQTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNGFNEAPIGHTEVNFLKNNLSDLTDIWLPLDGKCDPARNPKIHLRIFLNNSRGTEVVMNYLAKMGKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWEDIDDIQVIPATLSIGSPSLMLILRKDRGSEAKHGAKGTDHLGRLKFHFQSFVSFSDAHRLSP* >Brasy7G183000.1.p pacid=40045641 transcript=Brasy7G183000.1 locus=Brasy7G183000 ID=Brasy7G183000.1.v1.1 annot-version=v1.1 MAANKVGIAAPQPPSGQHWSSGSQGSSSSSPLPSGISPMSPHIPRDNHGNATPSLSRFSPDYPDTDQLGGFNPNTFASDPLGGFNPDAFASPPLRQPAPNPFGGMSQGDSIMADMINDGSQHAHYTYTQEEEPYAAEDAEEREEWADRTEEPVVAEPRGKQKAAAEKKKAGGGGREDECLAEAWKVVSMDPFTGANQYGDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHRWQMIQQACNKWHDIQEEVRRRPASGSSAHDQMVAMFTAFREDNDGADFKFIHVFARIGTCDKWTETRNGLAKSGNYDPKAAPPAAAEGRPIVHKKAKAMRDAVPATEPKRDELAAKREAVVALRWATVIMKQDDKLEILKANVAAKKRREDLLILTCDTTGMDAEVKAWYDGQRRLILAEARAPASAPTTAAPATSTPSAPSPPKTATTATSTPPAGSEVPSTPADDEGAE* >Brasy7G049500.1.p pacid=40045642 transcript=Brasy7G049500.1 locus=Brasy7G049500 ID=Brasy7G049500.1.v1.1 annot-version=v1.1 MLKRRHYVIASGWSCLLCPAPPEEDLGHLFFSCPFSQQCWNVLGHFWEVFILAAWSLWKVRNAKLFDNIPPSTAAWRVSLRIELQLLAHRFSKEKFIVKLTQLKQELAL* >Brasy7G089800.1.p pacid=40045643 transcript=Brasy7G089800.1 locus=Brasy7G089800 ID=Brasy7G089800.1.v1.1 annot-version=v1.1 MTAATRTKFGPPYEVVRALHPARLFLASFLPAAAATVIIHIWWRWQTRKVYLVDYACFRGSHQNRIPSATLLEHAHQIPSVSERSVRFLTRLLESSGLGEETYMPPAGSYLSLHEECTLQASREEAEDVVFSAIDDLFAKTGTAPESIDILVSNCSSFNPTLSFPDMIINRYKMRSNIRALQLSGMGCGAGLVALELARNLLVASPAAPGRRALVMSTETLTPNYYFGNERAMLLPYCLFRVGGAAVILSTSPATARFRLGHAVRTLTAADDRSYRCIFQEEDGTGNRGASLSKDLPRVVASTLKANVTAVAPLVLPASEKLRFALSFVSGKLLNGGGTARFKLRVPDMKAAFEHFCIHAGGRAVIDEVQRSLGLSDVHAEPSRMTLHRFGNTSSSSTWYELAYVEAKDCMRVGDRVWMTGFGSGFKCTGVVLHCIAAPDCKINNGPWAKCIQRYPVHI* >Brasy7G186200.1.p pacid=40045644 transcript=Brasy7G186200.1 locus=Brasy7G186200 ID=Brasy7G186200.1.v1.1 annot-version=v1.1 MKGMGGQKVLLVHSSSNKPSSGGAGSAGMGRRRVCLVLFLACFACVSLASLLSSARDTSSVGGGGGARRRASSAGRLAVSAAGGGRGGSGGVAAEGGDATGPGLPGYVFDALAQYAAAAGNSSAGSMPGADVRTIAAALKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLEAARAARAAECRPVQNLLFSDCRLAINDLPNHLYDVAWDVILVDGPRGYTASSPGRMAAIFTAGVLARGRKEEGATTDVLVHDYEREVERACSREFLCEENRVPETSTRSLAHFVVRGGSAVRREAFCGGGATAAAQ* >Brasy7G110300.1.p pacid=40045645 transcript=Brasy7G110300.1 locus=Brasy7G110300 ID=Brasy7G110300.1.v1.1 annot-version=v1.1 MKNKNKPAASRQCSRALQVQVALLLVLLVAASRLQACGAASGFCAGKCAVRCGRGTSARARGSCMKYCGLCCEECACVPTRGKGGSLDECPCYRDMLTSGPRKRPKCP* >Brasy7G190900.1.p pacid=40045646 transcript=Brasy7G190900.1 locus=Brasy7G190900 ID=Brasy7G190900.1.v1.1 annot-version=v1.1 MFGLWIERCDCILWYGNALNQLFYVRGESCFSDHTPVYSIFMAEVEIVHLRKRNMGYFSSRIEVEELLPHSQS* >Brasy7G189500.1.p pacid=40045647 transcript=Brasy7G189500.1 locus=Brasy7G189500 ID=Brasy7G189500.1.v1.1 annot-version=v1.1 MVIAGTPGAQPKGKQEIHWQFVCLVAGFVMAVAVCCGIFVKILGVFFVRSEHMWFLGNFGLSLLQWINSSFVKFQLFKGLIYSETELQAVHFLLLFFFFWSNSPPRFGSVGQEHSDQSDEV* >Brasy7G013500.1.p pacid=40045648 transcript=Brasy7G013500.1 locus=Brasy7G013500 ID=Brasy7G013500.1.v1.1 annot-version=v1.1 MASTTLPPCLVIASGDGQAATIVYNVSDGTRRPCEKTMEQNLGLGSGSGGGERGRSWVTSHGWVLVWDSTTLATFLWNPQDNDNNITLPPLSRPPPAGAACALSGVPTAPGGFTVVILGTSQDTAFWYCHYSGAGAGRSSDWARHEYDLGGSWVPGPGPTGQKVWYKRSMPHLVPSSNNGSKFYCPLSPAKYAVLDFSGPSPSVTYKTMKPRPADHITVPAPGQTYSRAYGHCLDIAGEPHTVWVSVDGADAEAVLDVAVYRLDLAAAAGRQKSVRVDGIGDRAILAGGANFSFAGWCPAGEHGLLPNSLYWVHPYDNRLYVYDVGANTEEQVLEPCKGVAGAAPPCWLIPPHASTNE* >Brasy7G231700.1.p pacid=40045649 transcript=Brasy7G231700.1 locus=Brasy7G231700 ID=Brasy7G231700.1.v1.1 annot-version=v1.1 MAESAVSTVLGSMGSLAVEETKFLCGVTLEVSFPKDELIRLHAYLKDADTKWRSGKARVAVLVGQIRDAAYEAQNVIEAADYIEKRNRLKKGFMGAISRHARLPSDLVALHKVGAEIQRVKEKLHRIFASAENLKIDLDNTGVVEVEFPQDFGVMHQNSQDDVVMVGFEDEHKELVDKLVDNNESMLLLSAVSIVAMGGAGKTT* >Brasy7G161600.1.p pacid=40045650 transcript=Brasy7G161600.1 locus=Brasy7G161600 ID=Brasy7G161600.1.v1.1 annot-version=v1.1 MMQRPFRPEEYSLKETSPHLGGAAAGDKLTTTYDLVEQMQYLYVRVVKAKELPSKDISGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASVVEIIVKDKDFVKDDYIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLMPNDKTRFPEVYVKAMLGNQALRTRVSPGRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVIGRTVISLQHVARRLDYKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHNIGVLELGILTAQGLLPMKTKDGRGTTDPYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVHDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPGGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYIQPLSVIQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVARWFDQICHWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRVRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRYKMPSVPLNFFRRLPARTDSML* >Brasy7G161600.2.p pacid=40045651 transcript=Brasy7G161600.2 locus=Brasy7G161600 ID=Brasy7G161600.2.v1.1 annot-version=v1.1 MMQRPFRPEEYSLKETSPHLGGAAAGDKLTTTYDLVEQMQYLYVRVVKAKELPSKDISGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASVVEIIVKDKDFVKDDYIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLMPNDKTRFPEVYVKAMLGNQALRTRVSPGRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVIGRTVISLQHVARRLDYKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHNIGVLELGILTAQGLLPMKTKDGRGTTDPYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVHDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPGGVKKMGEVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVARWFDQICHWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRVRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRYKMPSVPLNFFRRLPARTDSML* >Brasy7G044900.1.p pacid=40045652 transcript=Brasy7G044900.1 locus=Brasy7G044900 ID=Brasy7G044900.1.v1.1 annot-version=v1.1 MMEDFEGGRGAVRELVLMQQERRRRREEEEEEVRRQMFGPVGGAAAFHAASALAQQHHQQAVVDCGELGGGGGGFYESEAGGSSEPEPHSSERPRGGSGSKRTRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPMQASQMFAALGVGGRNVTASNSGGVVPPVNQNSGAHHSFDPMNSPPQNQPPPLVLSNCPNATIPEPSFHLGTSQSHLRTFQLPESSEMVFRGEIMPKHQITLAQERANLPGNKMDSVRQEPPMSNTDHFDGCSRSKEHSQDMVPTNTRHA* >Brasy7G044900.3.p pacid=40045653 transcript=Brasy7G044900.3 locus=Brasy7G044900 ID=Brasy7G044900.3.v1.1 annot-version=v1.1 MMEDFEGGRGAVRELVLMQQERRRRREEEEEEVRRQMFGPVGGAAAFHAASALAQQHHQQAVVDCGELGGGGGGFYESEAGGSSEPEPHSSERPRGGSGSKRTRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPMQASQMFAALGVGGRNVTASNSGGVVPPVNQNSGAHHSFDPMNSPPQNQPPPLVLSNCPNATIPEPSFHLGTSQSHLRTFQLPESSEEIRWTPSGKNLPCRTLIILMDARAAKSTHRIWYQLIQDMRSLGSGLLITLKSVRRTDDVT* >Brasy7G044900.2.p pacid=40045654 transcript=Brasy7G044900.2 locus=Brasy7G044900 ID=Brasy7G044900.2.v1.1 annot-version=v1.1 MMEDFEGGRGAVRRQMFGPVGGAAAFHAASALAQQHHQQAVVDCGELGGGGGGFYESEAGGSSEPEPHSSERPRGGSGSKRTRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPMQASQMFAALGVGGRNVTASNSGGVVPPVNQNSGAHHSFDPMNSPPQNQPPPLVLSNCPNATIPEPSFHLGTSQSHLRTFQLPESSEMVFRGEIMPKHQITLAQERANLPGNKMDSVRQEPPMSNTDHFDGCSRSKEHSQDMVPTNTRHA* >Brasy7G044900.4.p pacid=40045655 transcript=Brasy7G044900.4 locus=Brasy7G044900 ID=Brasy7G044900.4.v1.1 annot-version=v1.1 MMEDFEGGRGAVRRQMFGPVGGAAAFHAASALAQQHHQQAVVDCGELGGGGGGFYESEAGGSSEPEPHSSERPRGGSGSKRTRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPMQASQMFAALGVGGRNVTASNSGGVVPPVNQNSGAHHSFDPMNSPPQNQPPPLVLSNCPNATIPEPSFHLGTSQSHLRTFQLPESSEEIRWTPSGKNLPCRTLIILMDARAAKSTHRIWYQLIQDMRSLGSGLLITLKSVRRTDDVT* >Brasy7G005000.1.p pacid=40045656 transcript=Brasy7G005000.1 locus=Brasy7G005000 ID=Brasy7G005000.1.v1.1 annot-version=v1.1 MYIHPKNVMEYFIALIFGRMEYLEICILCCWQFGIQKPRISDPSHPMAARRRQTKSMMRGEDDGQHHRRIPRMDAIPYWASDDDDDDEIDLKSLSELKALHIACCDKIAQYDPKKKVRVPTRFCDFNIAGFDLDKESKIRLGPRLEDVTPEEWEFRAPSSLNVISVKVTESDRGYPISVFGTVLVRDNMDYRCVYLFRREREDPQIINSKEEMLTLTGPNRGMVIFKDIFFEFNLKVTGDPDEDFSKGVIDHQFFCSEPITSELTSWLSTVELVFARVENPVAASLHVNILHGPPSVPFTGKISAGTRNAETHMILYDGRAMKGSGILIEGDVSVPLSRNLVVVPIPLIEDDEKFLVQVRFFDDDEEEGTFVTVPHPDEEPHPGEEHVFTHGSYEMQLKVTWTAIMRRPKANISHQRLFSLPRFYSFPDYDKGWCFGHPPPPTNMG* >Brasy7G059300.1.p pacid=40045657 transcript=Brasy7G059300.1 locus=Brasy7G059300 ID=Brasy7G059300.1.v1.1 annot-version=v1.1 MGTDAMKAKIMLHPLYPALLRAFIDCQKVGAPPEVVGRLSSLADDLKSNSDDVLEHPADPELDHFMETYCVMLARYSQELTRRIQEADHFFRNMEAHISSSTLENGGDNCEGGASTEDEQEIGDVGGLRAGAGGGAEGPVPEQAQRLPEQPLEKALQEEEERPAAEWCSPEAPSVVAAKLEIAIPIAGTGEGGVGGVDGAGREADQQLVHQPAEAALEADAAGRGVLVAAAAAAPPRRFEQLVCCLSDGRALITSPESPIRGVTVVCSVPVYSLIDV* >Brasy7G059300.2.p pacid=40045658 transcript=Brasy7G059300.2 locus=Brasy7G059300 ID=Brasy7G059300.2.v1.1 annot-version=v1.1 MGTDAMKAKIMLHPLYPALLRAFIDCQKVGAPPEVVGRLSSLADDLKSNSDDVLEHPADPELDHFMETYCVMLARYSQELTRRIQEADHFFRNMEAHISSSTLENGGDNCEGGASTEDEQEIGDVGGLRAGAGGGAEGPVPEQAQRLPEQPLEKALQEEEERPAAEWCSPEAPSVVAAKLEIAIPIGTGEGGVGGVDGAGREADQQLVHQPAEAALEADAAGRGVLVAAAAAAPPRRFEQLVCCLSDGRALITSPESPIRGVTVVCSVPVYSLIDV* >Brasy7G218600.1.p pacid=40045659 transcript=Brasy7G218600.1 locus=Brasy7G218600 ID=Brasy7G218600.1.v1.1 annot-version=v1.1 MRCRGLVVLSLLTLHVLLCIVNGVFSGRTSSYVRTEFPSTDMPIDSEWFATPNGYNAPQQVHITQGDYDGKAVIISWVTVSEPGRSEVFYSKEENRYDQKAEGTSTSYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGTGGSAREFWFQTPPAIDADASYTFGIIGDLGQTFNSLSTLQHYEKSEGQSVLFVGDLSYADRYEHNDGIRWDSWGRFVERSTAYQPWIWNSGNHEIEYRPDLGETSTFKPYLHRYKTPYLASNSSSQMWYAVRRASAHIIVLSSYSPFVKYTPQWMWLRSEFKRVDREKTPWLIVLMHAPMYNSNNAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGSRYPVPDKSAPVYITVGDGGNQEGLASRFNDPQPDYSAFREASYGHSILQLKNRTHAVYQWHRNDDGKHVPADNVVFHNQYWASNTRRRRLKKNHFRFDSIDNLISML* >Brasy7G047800.1.p pacid=40045660 transcript=Brasy7G047800.1 locus=Brasy7G047800 ID=Brasy7G047800.1.v1.1 annot-version=v1.1 MLPPLLPKSTPTTPRPWLPPLPSSSFWCSPASASPPPSLKVLSRRLSSSALPTPLTVSVSRPLCSTGLLGTKFERFTRSHGSPPVPFPAAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.2.p pacid=40045661 transcript=Brasy7G047800.2 locus=Brasy7G047800 ID=Brasy7G047800.2.v1.1 annot-version=v1.1 MLPPLLPKSTPTTPRPWLPPLPSSSFWCSPASASPPPSLKVLSRRLSSSALPTPLTVSVSRPLCSTGLLGTKFERFTRSHGSPPVPFPAAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPIENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.3.p pacid=40045662 transcript=Brasy7G047800.3 locus=Brasy7G047800 ID=Brasy7G047800.3.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.4.p pacid=40045663 transcript=Brasy7G047800.4 locus=Brasy7G047800 ID=Brasy7G047800.4.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.5.p pacid=40045664 transcript=Brasy7G047800.5 locus=Brasy7G047800 ID=Brasy7G047800.5.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPIENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.6.p pacid=40045665 transcript=Brasy7G047800.6 locus=Brasy7G047800 ID=Brasy7G047800.6.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.7.p pacid=40045666 transcript=Brasy7G047800.7 locus=Brasy7G047800 ID=Brasy7G047800.7.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.8.p pacid=40045667 transcript=Brasy7G047800.8 locus=Brasy7G047800 ID=Brasy7G047800.8.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLSLRKCGIIDQLPSTPPKLPNLTYLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPINYIGTSIDASRTINSENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G047800.9.p pacid=40045668 transcript=Brasy7G047800.9 locus=Brasy7G047800 ID=Brasy7G047800.9.v1.1 annot-version=v1.1 MAPSPPLLLLLVLTGLGLAATQPEGPFPPSQQQRSTNAADAAALRAVFQKWGLAAAAGAPEEDPCQKRVWLQSFATNASIGCGCDAATGECRITHLNVTGFWNISVIPPALFNLTELVSLDLSNNNLSGSIPPEVSNLSKLETWHFNNNKLSGPFPNESSRLGSLQSLWMFDNYIEGLLPEFMASFTNLKDLRIYGMKLRGPIPKNFSNLINLEKLMLGDLDGGNSTFDFIADWGNLSTLDLRSNNLSGSIQQLLPYRSSRYLYVGENNFSGQLPSEIVQSPLALDISYNPLLNGSLPNIPADRKWPIENLTLLNCLGMKECNRTSYTNPITSFAVNCGGKQKTYQDSLPITFSDDTSNLGAAGIHVDTDKQWVVSHVGSDPVISESPGIVNTRQGFLGVDMPELYQTARTSRSALSYYVVGLSNGKYTVQLFFAEIVIDSQLNHGPGRRLFNIDIQDQNIRTDFDITKEAGGFRRPMNITYEVTVDNPVLKIHLYWSGRGTCCIPYEGAYGPLVSAIRVFRPKNPNSSPPPMPPRSARPDNKRRGVVAGIAALCIATAVISSSVAYLWWKWVSLVKHPNA* >Brasy7G036900.1.p pacid=40045669 transcript=Brasy7G036900.1 locus=Brasy7G036900 ID=Brasy7G036900.1.v1.1 annot-version=v1.1 MLSGTSSCSAGLELCRSLQCLHQTNLKLNYSRD* >Brasy7G030800.1.p pacid=40045670 transcript=Brasy7G030800.1 locus=Brasy7G030800 ID=Brasy7G030800.1.v1.1 annot-version=v1.1 MAAAVSAPQPSDSAAAEAAGRTNRKSYRFTDDEVMFTLASKREDLPLDDHLDDLADLFPPEWIEKRKREHEEQRLLMKRLNDDFAAFQEEVRGSILEKGYYEIEDGDAYLANRKVLQDMAIEASERWKANPVGYYYSADERELEAQCYVEFEDEDDDDD* >Brasy7G062300.1.p pacid=40045671 transcript=Brasy7G062300.1 locus=Brasy7G062300 ID=Brasy7G062300.1.v1.1 annot-version=v1.1 GKIHDYLPPAAAKTPVRDHCNGLVLLHNDYVVNPATRWAVLLPPCPDPGTTTEADFYNRYLVYDPTVSAHFEVFRVPSGFHPKKEPGDVYYDSSIEWPPSLYTMHVYSSSSGRWEERSFVREGDAAGTVSDSRFSGRKRYALPNTDAVCWQGALYLDCENHFLRMSLSNGKYQVIKSTIGLGAWIMYQQRYLGKSEKGVYYASVDDSLLRVWILTESSGQMEWVLKLNDLDIRSLYYDSQAHGPWVLQDVNYEYYFPDDEKKERVEENFEWSSDNDDALNSANREEEYGQGEIEILGFHPHKEIIFLSDSVKTGYAYHLKSSKIQALGNLYPTRYEDIAFPIEHDIRGSFPYTPCWLRGFPERI* >Brasy7G082900.1.p pacid=40045672 transcript=Brasy7G082900.1 locus=Brasy7G082900 ID=Brasy7G082900.1.v1.1 annot-version=v1.1 MEESGILDERTSVLLKDEPSSDAPLPPLPGEDDESGCGKMDELATIVRKMRESITPKDRFYKMRRFSNCFPGSAAVDFLSEDQYLERDEAVEFGRKLASKHFFHHVLDENVFEDGNHPYRFLDHDPIIMTQCYNIPRGIIDVAPKPIVEITSRLRMLSYAIFEAYVSEDGRHVDYRSIQGSEEFKRYIRTTEELQRVEISDSSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRKKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLVKPFGQKDKRSKVALSYPEPLVHFALVCGTKSGPALRCYSQGNIDKELMEAARDFLRNGGLVVDPEAKVASLSKILHWYNTDFGKNETEVLKHAANYLEPAESEQLLELIANTPLKVSYQPYDWSLNI* >Brasy7G082900.2.p pacid=40045673 transcript=Brasy7G082900.2 locus=Brasy7G082900 ID=Brasy7G082900.2.v1.1 annot-version=v1.1 MSENVFEDGNHPYRFLDHDPIIMTQCYNIPRGIIDVAPKPIVEITSRLRMLSYAIFEAYVSEDGRHVDYRSIQGSEEFKRYIRTTEELQRVEISDSSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRKKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLVKPFGQKDKRSKVALSYPEPLVHFALVCGTKSGPALRCYSQGNIDKELMEAARDFLRNGGLVVDPEAKVASLSKILHWYNTDFGKNETEVLKHAANYLEPAESEQLLELIANTPLKVSYQPYDWSLNI* >Brasy7G107900.1.p pacid=40045674 transcript=Brasy7G107900.1 locus=Brasy7G107900 ID=Brasy7G107900.1.v1.1 annot-version=v1.1 MGVWSYFCCGDPLSAGGDPVRLPEPFQLPAPLPAWPQGGDFAKGTICIGELEVVNITKFQNIWSCSGATFYEPKEVPGGFHCLGHYAQQNDRALQGSLLVAREAASYQLMNREPALEKPLDYTLVWTNADLNEDDDSGCFWSPSPPKGYKALGYVVTRGPKKPSLEAVRCVRHDLTDACENFRSVVNMGSSCQVWNTRPCHRGMAGRGIPVGTFFCKPDAVNSQESGIPCLKNFDPNLRAMPNLEQIHALIKHYGPTVFFHPQETYLPSSVSWFFENGATLHQKGIKMGDTILAGGSNLPAGGTNDHEYWIDLPDDDRNGYVKVGNLKSAELYAHVKPAHGGTFTDIAMWVFCPFNGPATIKIGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSEHSGGGWTDACDLEFISGNKAIVYSSRNGHASYAHPGCYLQGSENLGVGVRNDVARSDLSVDSSTKYKIISAEYLGDAVIEPCWLQYMREWGPTVTYNSRSEVDTVLSFLPFFLRFTAQAIFDSLPVELYEEEGPTGPKEKNNWEGDERG* >Brasy7G001600.1.p pacid=40045675 transcript=Brasy7G001600.1 locus=Brasy7G001600 ID=Brasy7G001600.1.v1.1 annot-version=v1.1 MSLLLLLRPVGVTTAAAKHPSPIVSRCRFSYSLSSSSSNKISRSRSSRIRSSSRRWWSDEQLEDEDEFEFYDEEEEEDGGGAFGAGWVLEEPWFSKAFRVYGYVLPLILASMLASTGLRGFLLAMAIPLAQSAVSMFTAASTSGGGFGFGRRRGRDDDASGYYSSDWQEEAEAGQEEFSSNDTSAYRDEEPSVRDQSAEASSSAGFGGWDELDQHQHQFQFQYSSSSRLKQASEAAAAAAGGDAAAARARSRQPATTEATAATKRRRRRRRAARVGGAAVRYRQSPLFIRLLVALFPFLGPWFRLL* >Brasy7G098800.1.p pacid=40045676 transcript=Brasy7G098800.1 locus=Brasy7G098800 ID=Brasy7G098800.1.v1.1 annot-version=v1.1 MAHGDRMTTFEESERESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDSLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTKKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPNKLGVGDAITNGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGEYSLQDTVLELEFQGIKKEFTMLHTWPVRTPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLASRLASFYERAGKVQCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDPDFIDMRTKAREVLQREDDLNEIVQLVGKDALGESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAANADGHKITYSVVKSRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR* >Brasy7G220500.1.p pacid=40045677 transcript=Brasy7G220500.1 locus=Brasy7G220500 ID=Brasy7G220500.1.v1.1 annot-version=v1.1 MAPSFGRSISFPLSPARTSRARAAAYHVRSASLPCHSYSSHPLLAHLSSHIAALRSWAANPAGASPATGLAHLAALHAALADLLLLPEAHSAPASSSAGLLDAFLLLADAHQGFQDAVLELKAHASEAQAALRRRDAPRLAAALRSARRADKDLARLAASARMAAASCSKSPSPAAASEVAGAIADAVLAAAHASAAVFSAVEQLSASATAVASRKSTTSSFMALVKRNKASSTDAEEEDREMAALEKLEACAAEMESGSDAVFRAILRTRVALLNIQTQTCSC* >Brasy7G000900.1.p pacid=40045678 transcript=Brasy7G000900.1 locus=Brasy7G000900 ID=Brasy7G000900.1.v1.1 annot-version=v1.1 MNPSSPPPPPPPPPKERSKEMDPAAAEEPPPPEETTTVLGAYGGPARPVETASGETMLLWSLGQPASHRPNAFVRHGAAAFALDACGRRVTLLQSPSSFMGASGVTGAVIWDSAVVLAKFLEHAAADTGALAGLRGGRALELGAGCGLVGIVAALLGARVVATDLHDRLRLLAKNIEHNLDSSSCWAGGSAQAAELVWGDEDPVLDDDGSHDFDFILGSDVVYSEEAVDPLVATLNSLAGDRTTVLLAGELRNDVVLECFLEAAMADFDIGSIQQEQWHPDFRTNRVAIFILVKKPPKPQESI* >Brasy7G102500.1.p pacid=40045679 transcript=Brasy7G102500.1 locus=Brasy7G102500 ID=Brasy7G102500.1.v1.1 annot-version=v1.1 MDPSSKKKQPAAAASTSTRPAPVAAKKPTTLLDAYEVERIRRELESLLLKQKDYGEAAAATGSTDDAVAEILGLRRRGHGHGHHHGSTKANANPVPAPALPAKKKRSGGGARLLGRHAAALCSGAAAVSSSSSGASAVASGRRRPRGAAYREVEKV* >Brasy7G030400.1.p pacid=40045680 transcript=Brasy7G030400.1 locus=Brasy7G030400 ID=Brasy7G030400.1.v1.1 annot-version=v1.1 MESSKDDEILVVARKLIEKSIIFVRDYVGTIRTFLYSLELFLLGRNTEPTEVLLLPLLVRQRVELLPVGAHFSLRSLITSYRNCFHSWYIFRSCLAKRAWPLLDPLRQDGYVTDLGSQ* >Brasy7G202900.1.p pacid=40045681 transcript=Brasy7G202900.1 locus=Brasy7G202900 ID=Brasy7G202900.1.v1.1 annot-version=v1.1 MVVHGTKTKQNKRGTRKYLKLNNSARGDGKNREESAAHTTRTPPPPFLSASAPTIDPRAKPSLLPRHRMLSSLSLSVRASASSRAAGSRAADPVKASCVRTKVSCSFPSLGASSSPARSLEPVRATATQAPPATPQSSSGEKTKVGINGFGRIGRLVLRIAVSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTITVLDGSTLEINGKKVSITSKRDPSEIPWGNFGAEYVVESSGVFTTIEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYNPSMDVVSNASCTTNCLAPVAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKDASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFVGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLISHMALVNA* >Brasy7G152000.1.p pacid=40045682 transcript=Brasy7G152000.1 locus=Brasy7G152000 ID=Brasy7G152000.1.v1.1 annot-version=v1.1 MTLSVYRTNYSPFYELTQDSVERLINGEIIKMQYGVTFFPLGVWTTYMWLQLASLLAGRELVH* >Brasy7G193200.1.p pacid=40045683 transcript=Brasy7G193200.1 locus=Brasy7G193200 ID=Brasy7G193200.1.v1.1 annot-version=v1.1 MEKGKSVVAELAASLSDIQVTPRRKPTSLLPATSFYSPTKKARPQKLVSLCIGVLGQHLEDIITDISEFTAFFPPHIKLAIMSIARRRRLLNDELLVSLADSSWKILDISGSDVSDVGLTTVAHIFSNLWAIDISRCEKITAAAVSEIICHCPSLEILRCGGCPRSEFTARGCVNLLKPKLNTLEEDSWEELEAVDIGSGAQSLRWLVWPKIGDNSKEILAAECPRIIVNPQPSIFGHHGLKIPSEAFANIPLDHSIVEDIDPKTWAVCAAPRRMAAPPQPNAPPEIPIAERFRLAYVERDARLAPKRARRERQHRRQAERDYLMNDIDAKSIALASKYLHKS* >Brasy7G193200.4.p pacid=40045684 transcript=Brasy7G193200.4 locus=Brasy7G193200 ID=Brasy7G193200.4.v1.1 annot-version=v1.1 MIQLAIMSIARRRRLLNDELLVSLADSSWKILDISGSDVSDVGLTTVAHIFSNLWAIDISRCEKITAAAVSEIICHCPSLEILRCGGCPRSEFTARGCVNLLKPKLNTLEEDSWEELEAVDIGSGAQSLRWLVWPKIGDNSKEILAAECPRIIVNPQPSIFGHHGLKIPSEAFANIPLDHSIVEDIDPKTWAVCAAPRRMAAPPQPNAPPEIPIAERFRLAYVERDARLAPKRARRERQHRRQAERDYLMNDIDAKSIALASKYLHKS* >Brasy7G193200.2.p pacid=40045685 transcript=Brasy7G193200.2 locus=Brasy7G193200 ID=Brasy7G193200.2.v1.1 annot-version=v1.1 MIQLAIMSIARRRRLLNDELLVSLADSSWKILDISGSDVSDVGLTTVAHIFSNLWAIDISRCEKITAAAVSEIICHCPSLEILRCGGCPRSEFTARGCVNLLKPKLNTLEEDSWEELEAVDIGSGAQSLRWLVWPKIGDNSKEILAAECPRIIVNPQPSIFGHHGLKIPSEAFANIPLDHSIVEDIDPKTWAVCAAPRRMAAPPQPNAPPEIPIAERFRLAYVERDARLAPKRARRERQHRRQAERDYLMNDIDAKSIALASKYLHKS* >Brasy7G193200.3.p pacid=40045686 transcript=Brasy7G193200.3 locus=Brasy7G193200 ID=Brasy7G193200.3.v1.1 annot-version=v1.1 MSIARRRRLLNDELLVSLADSSWKILDISGSDVSDVGLTTVAHIFSNLWAIDISRCEKITAAAVSEIICHCPSLEILRCGGCPRSEFTARGCVNLLKPKLNTLEEDSWEELEAVDIGSGAQSLRWLVWPKIGDNSKEILAAECPRIIVNPQPSIFGHHGLKIPSEAFANIPLDHSIVEDIDPKTWAVCAAPRRMAAPPQPNAPPEIPIAERFRLAYVERDARLAPKRARRERQHRRQAERDYLMNDIDAKSIALASKYLHKS* >Brasy7G214600.1.p pacid=40045687 transcript=Brasy7G214600.1 locus=Brasy7G214600 ID=Brasy7G214600.1.v1.1 annot-version=v1.1 MACPPHRPRASSGSVEAAADVAVRLRMRLPKGPPPRPRRATYTLAPPHAPAAPPPVPRGYCLALRSHRPRRAPYCLALPCLHSHCPAPLPAPPTAATHRTRRRAEEKKKEKNEGSNEKDVSTDMRDPHVRNTVNNT* >Brasy7G075800.1.p pacid=40045688 transcript=Brasy7G075800.1 locus=Brasy7G075800 ID=Brasy7G075800.1.v1.1 annot-version=v1.1 MATLLASILLLPAATCSASAPGLLLRAIPRVRRALASPPRMSSSSASPAPAAAAAGEKPAGAPYGSWRSPITADVVSGAGKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDNPVDVIPQEFSARTLAQEYGGGAFAVQDNIVVFSNYKDQRLYKQVTGIGSLPVPLTPDYGAPDVSYADGVFDPHFSRYVTVMEDRRKSSLNPTTTIASINLSGGDVHEPKVLISGNDFYAFPRIDQNKKRMAWIEWGHPNMPWDKSELWVGYFSESGDLTKRVCVAGGNPMLVESPTEPKWSPKGQLFFISDRGSGFWNIYKWVEHTNEVVPVYTLDAEFTRPLWVFGISSYDFLGKSNHIILTYRQLGRSYLGVLDCESGSVSLLDIPFSDLSDVVTGNDYFYIEGASASIPMSIAKVTLDESKAKVVSFSIVWSSSPDVVQYKPFFSTPEFIEFPTSTPGQNAYAYFYPPLNPMFQGLPDEKPPLLVKTHGGPTAEAQGILDLSVQYWTSRGWAFLDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCARFLVENGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGVGDLSLLRAETHKFESHYMDSLVGNERAYYERSPINFVNKFTCPVILFQGLDDKVVPPDQARAIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD* >Brasy7G153700.1.p pacid=40045689 transcript=Brasy7G153700.1 locus=Brasy7G153700 ID=Brasy7G153700.1.v1.1 annot-version=v1.1 MAAASYDAVDHVRRHSGLGVHAASRKIGKPTSAPASSSSQQQNRKPVIIYMVSPKVIHVEAHEFMSLVQRLTGPNGGDDQTRLQASASTSSSSPRPAGRKQGVAWPPVRVKARALNRPGPAVSVSVTATRQQQQAGPPSSWAGPGPSSASGFLFRDLSPLGGGALKGEGASSLVSPWLHHVGGSDHFLSPGAPGLASPSGFLDIFGPLSSSQHQ* >Brasy7G197700.1.p pacid=40045690 transcript=Brasy7G197700.1 locus=Brasy7G197700 ID=Brasy7G197700.1.v1.1 annot-version=v1.1 MAAPCLDAAGSSRPWHHQQLWRRLLRRVAHTCARRRRVGRAVSSSPFSSFWYHPRAVSPSLCSFGGLGGAEAAIHGGDGVGAAAGNRCARQYPLHLHPPRILLSSVMPRRPKKPASVGMLRGVAASRRGKAKQQGDSTENIDRI* >Brasy7G174600.1.p pacid=40045691 transcript=Brasy7G174600.1 locus=Brasy7G174600 ID=Brasy7G174600.1.v1.1 annot-version=v1.1 MGAKHLLRKQQSTAGSSGGGGMPPKGCMAVRVVGPGEEEERFVVPVGYLKHPLFVALLKAAEEEYGFEQQGAITIPCGVDNFRRAQRIISSHLGGGSGGIGSGGSGHGGSSGNLHFHIAACFRA* >Brasy7G219500.1.p pacid=40045692 transcript=Brasy7G219500.1 locus=Brasy7G219500 ID=Brasy7G219500.1.v1.1 annot-version=v1.1 MAADSKQAREKRSSTNNTRKTKRRAGPATVTVRRIKPLMTRWSIQKILERERRPFAMAKGRFFDDLSPEDKLEAAAEHEKEEDEFQAYRAKVRAEFLATGFVSYPVPEEFRLEIPLGTVATREVLESRFEIGCRFLESRTDLDCIAVKL* >Brasy7G066500.1.p pacid=40045693 transcript=Brasy7G066500.1 locus=Brasy7G066500 ID=Brasy7G066500.1.v1.1 annot-version=v1.1 MAAAPPTPLSIWFLLIFLLHSASPAHSADGNASDGDRSALLAFKSGVSGDPKGALAGWGSSPDVCSWTGVACDDTDTVARPRRVVKLVLRDQKLTGELSPALGNLSHLRIVNLSGNLFTGRIPRELGSLSRLESLDASSNMLAGSPPPELGDLSSLGSLDLSRNAFTGAVPPELGRLSRLKQLSLGDNQFQGPIPVELTRIRSLQYLNLGENNLSGHIPEAIFCNLSALQYVDFSSNNLDGEIPDCPLPELMFLVLWSNNLVGGIPRSLANSTKLRWLLLESNFLTGELPGSDMFGAMRGLELLYLSFNYLQSPGNNGSDLEPFFTGLTNCTGLKELGVAGNDLAGTIPETVGRLLAPGLVQLHLEFNTISGSIPASLSGLANLTALNLSHNHLNGSIPPGIFSGMRRLERLHLSDNFLSGEIPTSLGAAIPRLGLLDFSNNLLTGAIPGTLCSSSNLTQLRVLSLHHNRFAGAIPPSLSLCVNLQNLDLSHNLLTSGIPTDLLSSGGLSGLLYLNLSGNFLEGPIPATIGEMAMLQALNLSANSLSGAIPPQLGGCVALEQLDVSGNALEGGLPDAVASLPFLQVLDVSRNSLTGELPLSLETAASLRQVNFSYNGFSGKVPSGVAGFTADAFLGDPGMCAAGTTMPGLPRCGEAKRSSSRGLLHNRLVLLPVAVTVASFTLAILGLAACRAMVVRTRTRTASVGRDGRRSTLLAYGYGDEPSASERGDNNNNHPRISHRELSDATGGFEESSLIGAGRFGRVYEGTLRDGTRVAVKVLLDPKSGGGGVSRSFRRECQVLRRTRHRNLVRVVTACSAPPDFHALVLPLMRNGSLEGRLYPRDGRPGRGLSLARLVGVASDVAEGVAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIARLVKDVGDDDDFCFTGSDADPCNSITGLLQGSVGYIAPEYGLGGHPSTEGDVYSFGVMVLELITGKRPTDVIFHEGLTLHDWVRRHHPHDVVARSWLTDLAASAVVQQADERTMIRAEVVGELIELGLACTQHAPSARPTMVEVCHEMTLLREDLSKLGGGALESVAMTASEGSFSTTDSSF* >Brasy7G099700.1.p pacid=40045694 transcript=Brasy7G099700.1 locus=Brasy7G099700 ID=Brasy7G099700.1.v1.1 annot-version=v1.1 MLTIPAGQRAAAASQPRKPLPSFPSFDEPSHDPGMVKLLFETPSGFAIFDLRERYLTKDVKDLWTNFVGDYRHQSLVRLQEFKKFEDKANAINLTTKKIDISLTRMLLKWCHPNDRLVVGRPEYKEIIEQSLELTCVYNDQAVREVMWGMQNLMHTLVPEEQSEITKEDRLPSCQGLDMVLHLHGIDVKPEMVNERIIMLARALHDIGSRGEEHSKYLHRFVKHFEKLFGADIKDWRLFKLVNAVKTMCHPECIDLPTKMFSPAEYKMIAEFAKNHQNLLDKSAITTIYEDIILLFSVKLDILAELECLVEKAKEAEEAERKPVINGFDSKQQVDNTGLTADQRTVHNQSSIDEGQHNGSTGDSLPVSNSADAIKNISLYNKDTPVNQSVPEAEVNTSNSVAPQLIPPQSSVLSIQQFYGAWEVP* >Brasy7G217300.1.p pacid=40045695 transcript=Brasy7G217300.1 locus=Brasy7G217300 ID=Brasy7G217300.1.v1.1 annot-version=v1.1 MKRQAKTRPLRTGWARATPSHHRHRRSTSSPPRFLLPAASRGSSNPRAPMDLAVRASAAAASSCSPYPSLQHQQPPRARATHRLRRRRPGLTLPARRVVAAAAAAIAVDPVPETNAKQNNVSEAEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCSKCKKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFTPVAGGVLLDVSCGSGLFTRKFAKSGTYSAVVALDFSENMLRQCYEYIKQDDTPLNTNLALVRADISRLPFASCSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSTPTNSGPFSVGPLRPLRQIVGPVNSSYNFFTEAELEDLCKSCGLVNYSSKVQRSFIMFSGQKP* >Brasy7G207200.1.p pacid=40045696 transcript=Brasy7G207200.1 locus=Brasy7G207200 ID=Brasy7G207200.1.v1.1 annot-version=v1.1 MESSAAGGERPQRSGGGASPPPPPPPPPPPSGWLAGLVSGAGRLLATVLGPESPSVSVSASGSVASDDGSASSSPSSFRPHGEGYYSGTDHNYFLPFSSENNQLNQTEKETVLKDYAKTSLAIVSDIEPKDAIAQLLMQETFSRSECSTLIKIIQERVVNPDSGGTVDTELALLISQKAGRQPTIGYSSFSPNVSTPSSSSLPIHGHGFDNSAGAGAVPTLTPANRDLLHHNADKIQSVSKRSYSVMRDTPENLRRIRPKINGDTINIAKFKQVDVVRNRPAANLGSDDTKLLSDAPFLGTNNVEHPNIVSKVERAEEILDVPKKPSAVPSQHVDSSSLLAGGDQKTFDSAFLNPCSSKDLKNGLPLKVEPLDFCVPFEQKMVDLSHQKHEHAACDDSCSVSKLMFMADIGAAPSLPMQVQLQNGSKNRRRRQPNSPRTAPKPTGSPAKGTRRKNIDAVVKSEVDSLEQSMPVLAEEQDPDYVPEKRPVGRPRKGR* >Brasy7G207200.2.p pacid=40045697 transcript=Brasy7G207200.2 locus=Brasy7G207200 ID=Brasy7G207200.2.v1.1 annot-version=v1.1 MESSAAGGERPQRSGGGASPPPPPPPPPPPSGWLAGLVSGAGRLLATVLGPESPSVSVSASGSVASDDGSASSSPSSFRPHGEGYYSGTDHNYFLPFSSENNQLNQTEKETVLKDYAKTSLAIVSDIEPKDAIAQLLMQETFSSQKAGRQPTIGYSSFSPNVSTPSSSSLPIHGHGFDNSAGAGAVPTLTPANRDLLHHNADKIQSVSKRSYSVMRDTPENLRRIRPKINGDTINIAKFKQVDVVRNRPAANLGSDDTKLLSDAPFLGTNNVEHPNIVSKVERAEEILDVPKKPSAVPSQHVDSSSLLAGGDQKTFDSAFLNPCSSKDLKNGLPLKVEPLDFCVPFEQKMVDLSHQKHEHAACDDSCSVSKLMFMADIGAAPSLPMQVQLQNGSKNRRRRQPNSPRTAPKPTGSPAKGTRRKNIDAVVKSEVDSLEQSMPVLAEEQDPDYVPEKRPVGRPRKGR* >Brasy7G207200.3.p pacid=40045698 transcript=Brasy7G207200.3 locus=Brasy7G207200 ID=Brasy7G207200.3.v1.1 annot-version=v1.1 MESSAAGGERPQRSGGGASPPPPPPPPPPPSGWLAGLVSGAGRLLATVLGPESPSVSVSASGSVASDDGSASSSPSSFRPHGEGYYSGTDHNYFLPFSSENNQLNQTEKETVLKDYAKTSLAIVSDIEPKDAIAQLLMQETFSRSECSTLIKIIQERVVNPDSGGTVDTELALLISQKAGRQPTIGYSSFSPNVSTPSSSSLPIHGHGFDNSAGAGAVPTLTPANRDLLHHNADKIQSVSKRSYSVMRDTPENLRRIRPKINGDTINIAKFKQVDVVRNRPAANLGSDDTKLLSDAPFLGTNNVEHPNIVSKVERAEEILDVPKKPSAVPSQHVDSSSLLAGGDQKTFDSAFLNPCSSKATPTLSLGSEERLAFEGRTFGFLCSF* >Brasy7G198300.1.p pacid=40045699 transcript=Brasy7G198300.1 locus=Brasy7G198300 ID=Brasy7G198300.1.v1.1 annot-version=v1.1 MAGGGPGAGGGAGAGAGGGPGAGGGAGAGAGGGAPVATDVGAARGRGGSGGPVRSRAARFRAFQLWQIRRWLRRGSGAKIVDPCEDEDGGDGVVPGGGGVVPGGGHGAEQEGAAAGVGHGHVAVKN* >Brasy7G229800.1.p pacid=40045700 transcript=Brasy7G229800.1 locus=Brasy7G229800 ID=Brasy7G229800.1.v1.1 annot-version=v1.1 MAASAPTGCFKCGRPGHWSRDCPSAPPSSSSANPNPTNPTAAAGPSRFSSFKPRQNPKPASSSAAAEGEGEDQAAQEGGKKKRKERSTRPKLTPDLLLSDAGLGFVLRYFPKAFKPRARPGHEVEDLGHLIKLYADWQSRLIPYYSFEQFMRKVEKVGASNRVRRCISELKDRVANGGDPTQLHEPPVEQVIPEGEPDGATQEDPILGTEEPLSTDNHVMESVQEDIDLPPVESNDVDAMQEDLLNEIYENTMHEPGTRPGEGCTGEPLAPREAEKYQDGEESGGSKPSKKIELTEEQRARMEANRLKALERAAAARARLSQSQPTTETAT* >Brasy7G127000.1.p pacid=40045701 transcript=Brasy7G127000.1 locus=Brasy7G127000 ID=Brasy7G127000.1.v1.1 annot-version=v1.1 MHDQLAALLLRGGRHPSAVHGAAVKIGCLASTYLCNNLLLSYFRGSLPAEARGLFDEMPHRNVVSWSVLISNASRLGALCEAFLLFSDMLRSGGRGSYDRPNSFALGALVSGCARASDIVAGSQVHASAVKFGVADDESVAGALVDMYSKCGCVDSSWRVFALSPQRSVVSWTSMIACLVNHGSSGHRDAAIALLRKMLLLKIWPTNATFSCILKVFDTAGLLPEGKQIHGCLLKMGTEVDPALGTALIAMYGRCGGVDEIAKLSCRIRHDAFSRTSLFVAYARNGYNMEAVWNFREMVMENMVIDQSTITSLLQVCSSLGQLRLAKEVHCYALKTLFKLDTSLLNATITVYGRCGDIATAEIIFDLLENKDIISWTALLTCYAQNDLPQETLLFFKEMLRKGLGSPIFCITSVLRACSSTTNHAVGRQIHSRAVKLGIDDADSVENALVTMYAKCGSVHIALKIFNSMRSRGIISWNALITSFSQHGNEVAAIQLFDLMQEEGVCPDDYTFVGLLSSCSRMGLIAEGCEYFKQMKALYNVEPKMEHYTCMVDLFARAGRFSDALEFIDALPCQPDQLVWEALLASCRTHGNVELGRLAAKKILEIRPEDPSPYITLSNIHASVHMWEQKTWDRTVFDFQRVRKDAGSSWVDAQEPADNIFDAMQVGIT* >Brasy7G054400.1.p pacid=40045702 transcript=Brasy7G054400.1 locus=Brasy7G054400 ID=Brasy7G054400.1.v1.1 annot-version=v1.1 MGGSRRRLVAGSLWVTTLALLLCADAAAAAGTAEVVSVDARRAIASTDEDFVCATLDWWPPEKCDYGTCSWGHAGLLNLDLSNKILLNAVRAFSPLKLRLGGSLQDKVVYGAGDGQPCKPFLKGNGSELFGFTQACLPQRRWDELNAFFQKSGATIVFGLNALNGRVRLPDGSMGGDWDISNAASFIRYTVSKGYKIHGWELGNELSGTGVGVRVGSGQYAKDVVALKSAVDKIYQGNASSSKPLVIAPGGFFDGDWFKDLLVKTKPNMLNAVTHHIYNLGPGVDTHLIEKILKPSVLDGMASTFRNLQGLLKSTGTSAVAWVGEAGGAYNSGHHLVTDSFVFSFWFLDQLGMSAKYDTKTYCRQSFIGGNYGLLNTSTFEPNPDYYSALLWHRLMGTKVLATKFSGTNMIRAYAHCAKRSPGITLLLINLGGNTTNHVSVTSEGAAMKHGRKVRHVVGFAQGAGAMREEYHLTPKGGNIQSQVMVLNGKELATDAAGNIPRLEPVKVDAAQHIVVAPYSIVFAHIPHFHAPACS* >Brasy7G137700.1.p pacid=40045703 transcript=Brasy7G137700.1 locus=Brasy7G137700 ID=Brasy7G137700.1.v1.1 annot-version=v1.1 MLRVLTEATDLRVHSRESIIFSRGLVFLIPSHFSTSDNIYYNTEEYIVFICVHIFRCLNFYHANSELSSKYF* >Brasy7G141800.1.p pacid=40045704 transcript=Brasy7G141800.1 locus=Brasy7G141800 ID=Brasy7G141800.1.v1.1 annot-version=v1.1 MDAIFALAAAPRARVLERAAARVPGCLYLFLWAPVTGQRPSSHLFCLDAWIGGGAGGDRARAMFEAYRGALCAVISGCVPGWAYKEGRASMELPEPNLTAAASLQVQHQFYHEAGTKMAVFMGCDSGEIEIGLSTTLVAAAVADHVRQSLLEELLQPPPTRPSSSSSSLPSLSVGSPEYSSLIIRSMVTPPAVTSAAEPSTRAPPPGLPTQYEFPSEQAGHAAMTQAMLAAISSSSDPSIITSTPPPTPQTCSSWLARRRWSPRRSTAFKPYAAALSPRARRPGQRMIKTCIALLASAHAATRGRELAAAPHGEEGASQQQPPAPTITASQLHHMISERRRRERLNDSFQSLRALLPPGSKKDKANVLASTTEYMSKLVSQVTQLREKNLLLEAQLAGLDPTTSFGDDAGSGCV* >Brasy7G218900.1.p pacid=40045705 transcript=Brasy7G218900.1 locus=Brasy7G218900 ID=Brasy7G218900.1.v1.1 annot-version=v1.1 MFTWLLRCRWPARRRPPSSTRPVQINLSAQACRPVRPSPTRQSNQSTVLIWDSAIPQVRREQSHSKLPQSEE* >Brasy7G218900.2.p pacid=40045706 transcript=Brasy7G218900.2 locus=Brasy7G218900 ID=Brasy7G218900.2.v1.1 annot-version=v1.1 MFTWLLRCRWPARRRPPSSTRPVQINLSAQACRPVRPSPTRQSNQSTVLIWDSAIPQVRREQSHSKLPQSEE* >Brasy7G218900.3.p pacid=40045707 transcript=Brasy7G218900.3 locus=Brasy7G218900 ID=Brasy7G218900.3.v1.1 annot-version=v1.1 MFTWLLRCRWPARRRPPSSTRPVQINLSAQACRPVRPSPTRQSNQSTVLIWDSAIPQVRREQSHSKLPQSEE* >Brasy7G166500.1.p pacid=40045708 transcript=Brasy7G166500.1 locus=Brasy7G166500 ID=Brasy7G166500.1.v1.1 annot-version=v1.1 METLMVDRVHSSLRLFMNRNAVFLCERLCAQFPAETNLQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAISCFRMNLLREAEETLCPVNEPNIEVPNGATGHYLLGLIYRYTGRVAAAAEQFTQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQEHTSTSNLEKSNFVNENRVLSSNVSASLVDISPKQIKQLHANNTAEIPGYPHVRATALHVQNSIPSNVGQFDSPSPTATQTSGIVPPPLFRNLHAYQNMTSGDASARPKVAVNQPLRRKYLDEARLKKVTGRLFNQSSDSVPRRSARLSRDTPINSNSNISQFGGNGTDHSSGKLRANSSTSSKLCSTAVRSVQVRKGKPRATENFDEGSRYEAVDEMWTDNIAAPSSSVSIVEGRCFEQDKAERILSQESKLATGIRELLGLLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELISVDRLSPQAWCAVGNCFSLRKDHETALKNFQRAVQLDSRVAYSHTLCGHEFSALEDYENSVKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHALQRNEDALEMMDKAIFSDKKNPLPKYQKALILVGLQDYPEALDELERLREIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMDDDDL* >Brasy7G166500.2.p pacid=40045709 transcript=Brasy7G166500.2 locus=Brasy7G166500 ID=Brasy7G166500.2.v1.1 annot-version=v1.1 METLMVDRVHSSLRLFMNRNAVFLCERLCAQFPAETNLQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAISCFRMNLLREAEETLCPVNEPNIEVPNGATGHYLLGLIYRYTGRVAAAAEQFTQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQEHTSTSNLEKSNFVNENRVLSSNVSASLVDISPKQIKQLHANNTAEIPGYPHVRATALHVQNSIPSNVGQFDSPSPTATQTSGIVPPPLFRNLHAYQNMTSGDASARPKVAVNQPLRRKYLDEARLKKVTGRLFNQSSDSVPRRSARLSRDTPINSNSNISQFGGNGTDHSSGSRYEAVDEMWTDNIAAPSSSVSIVEGRCFEQDKAERILSQESKLATGIRELLGLLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELISVDRLSPQAWCAVGNCFSLRKDHETALKNFQRAVQLDSRVAYSHTLCGHEFSALEDYENSVKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHALQRNEDALEMMDKAIFSDKKNPLPKYQKALILVGLQDYPEALDELERLREIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMDDDDL* >Brasy7G235100.1.p pacid=40045710 transcript=Brasy7G235100.1 locus=Brasy7G235100 ID=Brasy7G235100.1.v1.1 annot-version=v1.1 MPTTTAAKVSSPSPGASTTARRRKPIRFRAASFLQPTRRLAGRAVATSASAGRLDRVVCKAKDASSATDHVGDVGEQTWDEAVLGCETAVLVEFWAPWCGPCRLMHPVIADLAKAYAGRLRCLRVNTDENQEVATRYGIRSIPTILIFSKGERKETIIGAVADTALAATVDRFLRDSKSISSSKGAYASPWSPSQGQSTGVSHARHQQHHTRAQLLPPWPAPAGD* >Brasy7G235100.3.p pacid=40045711 transcript=Brasy7G235100.3 locus=Brasy7G235100 ID=Brasy7G235100.3.v1.1 annot-version=v1.1 MPTTTAAKVSSPSPGASTTARRRKPIRFRAASFLQPTRRLAGRAVATSASAGRLDRVVCKAKDASSATDHVGDVGEQTWDEAVLGCETAVLVEFWAPWCGPCRLMHPVIADLAKAYAGRLRCLRVNTDENQEVATRYGIRSIPTILIFSKGERKETIIGAVADTALAATVDRRDSKSISSSKGAYASPWSPSQGQSTGVSHARHQQHHTRAQLLPPWPAPAGD* >Brasy7G235100.2.p pacid=40045712 transcript=Brasy7G235100.2 locus=Brasy7G235100 ID=Brasy7G235100.2.v1.1 annot-version=v1.1 MPTTTAAKVSSPSPGASTTARRRKPIRFRAASFLQPTRRLAGRAVATSASAGRLDRVVCKAKDASSATDHVGDVGEQTWDEAVLGCETAVLVEFWAPWCGPCRLMHPVIADLAKAYAGRLRCLRVNTDENQEVATRYGIRSIPTILIFSKGERKETIIGAVADTALAATVDRFL* >Brasy7G235100.5.p pacid=40045713 transcript=Brasy7G235100.5 locus=Brasy7G235100 ID=Brasy7G235100.5.v1.1 annot-version=v1.1 MPTTTAAKVSSPSPGASTTARRRKPIRFRAASFLQPTRRLAGRAVATSASAGRLDRVVCKAKDASSATDHVGDVGEQTWDEAVLGCETAVLVEFWAPWCGPCRLMHPVIADLAKAYAGRLRCLRVNTDENQEVATRYGIRSIPTILIFSKGERKETIIGAVADTALAATVDRFL* >Brasy7G235100.4.p pacid=40045714 transcript=Brasy7G235100.4 locus=Brasy7G235100 ID=Brasy7G235100.4.v1.1 annot-version=v1.1 MPTTTAAKVSSPSPGASTTARRRKPIRFRAASFLQPTRRLAGRAVATSASAGRLDRVVCKAKDASSATDHVGDVGEQTWDEAVLGCETAVLVEFWAPWCGPCRLMHPVIADLAKAYAGRLRCLRVNTDENQEVATRYGIRSIPTILIFSKGERKETIIGAVADTALAATVDRFL* >Brasy7G116300.1.p pacid=40045715 transcript=Brasy7G116300.1 locus=Brasy7G116300 ID=Brasy7G116300.1.v1.1 annot-version=v1.1 MADEVWDDTQNYIPIGTQPKQNELGTPPIRANNKRTRNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKSVGSDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKIVEACSLYKATDKTKRSFVLLHCWSLLRFNQKWLAQIDRSSQSNKKQKSSSKVSPSMSTPGTESIHIDESDATSPAKADHRRRPIGKKAEKERQRRGKNVTSTDDSTVVMALDLVFSKRTAVEEAREMERQAREEARERARQAREEAREAGKKERYVGVLAIEREKFELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy7G126200.1.p pacid=40045716 transcript=Brasy7G126200.1 locus=Brasy7G126200 ID=Brasy7G126200.1.v1.1 annot-version=v1.1 MSSLVDIRSAVCTAAIVLLLLSLSGLLPSAGAVLFDWRCSNGTSYAENSTYHSNLRALLSSLAANASRASPVGFSTATVGASPDKVWGVALCRGDTNGTACASCLAQAPAVAFGQGHCMGVKDASVFYDRCLLRYSSRDFLSAPDLNGQAQSTGVSDVSVVAGSGGAFTALVASLVTALADWAAFNTTSRYAVGAMVSDRGFLATTREVVHRINGMVQCTPDQAPAACRGCLRALIDDMPAFFNGSVGGQVLGVWCNLRFEIFEFYDGGPMLQLVAPQPTPAPPPSAVSKDGTGGNGKRWGQHPGTISAIVLGVAVILLSISMVLLWRKAGKQPSYQEDDDPASLLFDLPTLRRATDNFAEENKLGHGGFGAVYKGLLPHGQQIAVKRLDKASGQGLKELRNELLLVAKLRHNNLTKLLGVCLKGEEKLLVYEYLPNRSLDTFLFAPEIEKRLLLHWETRYRIIYGTARGLVYLHEDSQIKIIHRDLKAGNILLDANMNPKISDFGLARLFDGERTTAMTSQVVGTLGYMAPEYAVLGHISVKLDVYSFGVLVLEVVTGRKSTDFFESAAEESSTLLSYVWDQWSKGTPLETVDPSLDCKATVKSELLKCIHLGLLCVQENPADRPSMLDVVVMLHGDASGFATPSKPAFTFGYCEQSSSESISAGDPPGTKTAADVHVSSSVNGMSVSEFRPR* >Brasy7G121200.1.p pacid=40045717 transcript=Brasy7G121200.1 locus=Brasy7G121200 ID=Brasy7G121200.1.v1.1 annot-version=v1.1 MHLYNAWLPPAVADAARGEAAAFAGAVRSAKDAWRPADPDSAYATLKWISVFDLFIKAKSDVAPEDIHALVELGFAIFHASQNKFVVQIKWGGLLIRLLKKHTKRLSLDVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETITSLVRASRTFFPEGAAAEVWSEFRPLLENPWHNSAFEGVGFLRLFLPANLRNQDHFTIDWIAQCLDIWDSVTNCNFWDIQWASIIARCIKKSRSVEWETFLPLLFTRYLNMFEVPISSGNGSYPFPVEVPRNTRFLFSSKTRSPTKAIAKSVVYLLKPKSLALGQFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVFYFERRLQDEQFDTMDDKIEQFCLGKEERAIFVKVVLKLLDRGQYSKDDSLAETVSIATSILSYVEPSLVLPFVAKNFQLALETTTATHQLKNAVTSVAFSGRAILLSSVCSSHLDENSTVDTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGVSDDVPAFLQTSVLSDWLDEFFCRLFSMLQNLESSSPITEGYQSSIMSGTFLVEDSPHYFCMLEILLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACIHSYPEEASVYLVRPILMTIMSSFEDTPTTGYVGREVSNSVATKATLSPALETALDYYLRVLAISISYAGPVLLNYREELKHIITSAFQAPSWKVNGAGDHLLRSLLGSLVSYYPVDQYTPFSCHSIANIIEPWGCSKAHPDRELEMLNFPPKWHDPSQDELSFANELLGFHFQSALEELLTICKAKVHSETGDEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDDKSKVVESSFFIAGSSGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHVQAWKLESAAIIEPRCNFIVPFHAQGKKRPRWALVDKAHLHNTWRCAQSSYHRYRTNADVSPSSVMINLVTDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISSCVLILTENLRDLKAPEHVVLGSCSILASQTVLRHLTTDSISLSSFIMGILESSHHETLKCQKAITELFVKYNIRFAGISRSFFQNSESQSDKPGFLGLFSQINALGFETNSLHWRYNLMANRVLLLLILASRTEPGIYSRILAETAGHFLKNLKSQLPHSRMLSISALNTLLQGSPHKASVEDSQPLDHPEECNILATGEILNDIIQEEGFMNDTLNSLSHVHIVSDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPCTPSWISLVGGGTFYSSFARIFKRLIQQCGMPVMPSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESSSDWLMLQLQKIVLAPSVESVPEWAACIRYAVTGKERSGTRAPVLRQKVLDCLCTAVPQSVATSVLAKRYSFLSVALIEISPRKMSPAEEQYHAKILNELLDSMSHSSAQVREAIGVAMCVACSNVRLSGLSGPACSPGELCGDVSMAEQSGNEHWSKRLTDGATEISVSIQNNIQSKLLDLASDSGAENGLDNKDEADAKRMETIFHFMIASLKSGRSSVLLDVIIALFYPVLSLQETSNKDLSLLAKSAFELLKWQILRRPFLETAIMAILSSVNDPNWRTRSSLLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILDTRRRTHKLVHSVATIHGAVLALTASVLSVPYDMPSWLPGHVTLLARFIREPSPIRSTVTKAVAEFKRTHADTWSIQKDAFTEDELEILRDTSSSSSYFA* >Brasy7G121200.2.p pacid=40045718 transcript=Brasy7G121200.2 locus=Brasy7G121200 ID=Brasy7G121200.2.v1.1 annot-version=v1.1 MFGKHTKLYAPDDFKVPISSGNGSYPFPVEVPRNTRFLFSSKTRSPTKAIAKSVVYLLKPKSLALGQFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVFYFERRLQDEQFDTMDDKIEQFCLGKEERAIFVKVVLKLLDRGQYSKDDSLAETVSIATSILSYVEPSLVLPFVAKNFQLALETTTATHQLKNAVTSVAFSGRAILLSSVCSSHLDENSTVDTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGVSDDVPAFLQTSVLSDWLDEFFCRLFSMLQNLESSSPITEGYQSSIMSGTFLVEDSPHYFCMLEILLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACIHSYPEEASVYLVRPILMTIMSSFEDTPTTGYVGREVSNSVATKATLSPALETALDYYLRVLAISISYAGPVLLNYREELKHIITSAFQAPSWKVNGAGDHLLRSLLGSLVSYYPVDQYTPFSCHSIANIIEPWGCSKAHPDRELEMLNFPPKWHDPSQDELSFANELLGFHFQSALEELLTICKAKVHSETGDEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDDKSKVVESSFFIAGSSGSTVGSSEMREKAAEFVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHVQAWKLESAAIIEPRCNFIVPFHAQGKKRPRWALVDKAHLHNTWRCAQSSYHRYRTNADVSPSSVMINLVTDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISSCVLILTENLRDLKAPEHVVLGSCSILASQTVLRHLTTDSISLSSFIMGILESSHHETLKCQKAITELFVKYNIRFAGISRSFFQNSESQSDKPGFLGLFSQINALGFETNSLHWRYNLMANRVLLLLILASRTEPGIYSRILAETAGHFLKNLKSQLPHSRMLSISALNTLLQGSPHKASVEDSQPLDHPEECNILATGEILNDIIQEEGFMNDTLNSLSHVHIVSDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPCTPSWISLVGGGTFYSSFARIFKRLIQQCGMPVMPSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESSSDWLMLQLQKIVLAPSVESVPEWAACIRYAVTGKERSGTRAPVLRQKVLDCLCTAVPQSVATSVLAKRYSFLSVALIEISPRKMSPAEEQYHAKILNELLDSMSHSSAQVREAIGVAMCVACSNVRLSGLSGPACSPGELCGDVSMAEQSGNEHWSKRLTDGATEISVSIQNNIQSKLLDLASDSGAENGLDNKDEADAKRMETIFHFMIASLKSGRSSVLLDVIIALFYPVLSLQETSNKDLSLLAKSAFELLKWQILRRPFLETAIMAILSSVNDPNWRTRSSLLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILDTRRRTHKLVHSVATIHGAVLALTASVLSVPYDMPSWLPGHVTLLARFIREPSPIRSTVTKAVAEFKRTHADTWSIQKDAFTEDELEILRDTSSSSSYFA* >Brasy7G010100.1.p pacid=40045719 transcript=Brasy7G010100.1 locus=Brasy7G010100 ID=Brasy7G010100.1.v1.1 annot-version=v1.1 MYAKCRRPGDARRVFDRMPARDRVAWNALVAGYARNGLAEAAVGMVVRMQEEDGERPDAVTLVSVLPACADAQALGACREVHAFAVRGGFDEQVNVSTAILDVYCKCGAVEAARKVFDGMQDKNSVSWNAMIKGYAENGDATEALALFKRMVGEGVDVTDVSVLAALHACGELGFLDEGRHVHELLVRIGLESNVNVMNALITMYCKCKRTDLAAQVFDELGYKTRVSWNAMILGCTQNGSSEDAVRLFSRMQLENVKPDSFTLVSIIPALADISDPLQAKWIHGYSIRLHLDWDVYVLTALIDMYAKCGRVSIARSLFNSARERHIITWNAMIHGYGSHGSGKVAVELFEEMKSSGKVPNETTFLSVLSACSHAGLVDEGQEYFSSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWSFIQNMPMEPGISVYGAMLGACKLHKNVELAEESAQRIFELEPEEGVYHVLLANIYANASLWKDVARVRTAMEKKGLQKTPGWSIVQLKNEIHTFYSGSTNHQQAKDIYARLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAYGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW* >Brasy7G176400.1.p pacid=40045720 transcript=Brasy7G176400.1 locus=Brasy7G176400 ID=Brasy7G176400.1.v1.1 annot-version=v1.1 MSMEHCTSQDRKRLDNRHWALQKYHHSGDCNTQLYGSEFRPGEEEEEEFCTGGQDRHGRSILRARGPAIQTRAGPAHVCASFVDPHGHGQQGPARGSIAHSRSSFAGACMQLLRRLTRGVGGVATHWPAAAD* >Brasy7G203100.1.p pacid=40045721 transcript=Brasy7G203100.1 locus=Brasy7G203100 ID=Brasy7G203100.1.v1.1 annot-version=v1.1 MGPAASSPLTGTVPPPSTQAAATTNTNNRVDPSTYASHLLQPTMSLHERAAIWLVHMLVTCAAAIQAGDYGAAATNLIEARTILATTIPTSSGIGRVTIHFAIALAHRLLSASPNSFLSPSSSSPSDHNHAREQYRQFYDIVPHLKFAHFTANQAILEAFRGHDRVHIIDLAIMCGLQWLSLIQAFSIWPGGPPSIRITGVGPTHTGSRDELREVGLLLTEHARVLNVPFSFHIVTSDNLEGLKPWMFHLIQGEAIAVNSICQLHRLLVDPDIASTSLPPPIDTVLGWITDMRPKVFTVVEQEADHNKPTLVERFTNALFYYGAMFDSMEAVATRSRAGAAGRGAEAHLQREIFNIVCNEGSSRVERHETLPRWRARLRRAGLAQVPLGPSNLGHASMLLCDISGAGYHVMERGDGLMLAWHNNPLFSVSVWHIMEELEDNKNNVVRRSSGHLATTGAMLSMQ* >Brasy7G029500.1.p pacid=40045722 transcript=Brasy7G029500.1 locus=Brasy7G029500 ID=Brasy7G029500.1.v1.1 annot-version=v1.1 MATIPIRPKPRVRKKPSRAGNFRAIPRRPLPGNRAPSADHQPPLTPPSPPWVPPPAFPLLRRSQSRPHRAPPQAEGAAAARARAAVGRHLAALLCASRGLRRPLRRPPAPSPPQTRSSSLSHGSGGIVPEELRRALRSSSATSAASRVPLLQPQRAAVRAPRQHAARAPWSWKPWPRPTAKRRRGSSGDVSRAGAAAPDLPRDPRAPSTSPCRFNLGQFGIQFGDDLGKMENCAKRKRKRKRK* >Brasy7G152900.1.p pacid=40045723 transcript=Brasy7G152900.1 locus=Brasy7G152900 ID=Brasy7G152900.1.v1.1 annot-version=v1.1 MILVELLRNKVEEIHMQEGVWHTGIVERAGRAVWLGSLVFFNLRGAGRKSVFGILWLLCVAKLVQRVTFTLVGKNSLAHGKNPRLIISYMARLLDNNHRHQPQQHGDDADELLKNCRYAVMGEDKLVTGLTPAGCSLADDANDVTTTVGKIWRLADSDPFLASIDQDRRLRRLCLSVALFKLLRRSFEHLPPMSEAETRDCRDIIFQGLYNNRSNGNAGGQDKAEELFQVMNDETIFLSEYYHSVVPVALASPFFLLANYLVLPFIVLVLCLAMVVLCGNGDVLFAFRSMESDNYSISFGVARMASCLLRRVATSPSVFFSTIDFSITLLLILVLVYEQAWELVVFLFSNWFLVSLLHGYAAKLHWRGSAVFSWAIRRTLWVRGKMSHPDLTMKQLSALRSCRCRLSLMLPATVSQSLPILPTVAVPKEAKRSIAEYIATSLYDLDDTSGARHARRKKKAPPRRASWRRPCPSTARTWWPSTRSSSRTARSTRRASSRPWRWS* >Brasy7G078600.1.p pacid=40045724 transcript=Brasy7G078600.1 locus=Brasy7G078600 ID=Brasy7G078600.1.v1.1 annot-version=v1.1 MYATWPLQLFKSNPEAASWPPPDGGNSGYLVATDGEADDDGGMSCLGTGGTAVHDLPFPQNRVITVSDADSSETVLFVPVLDQPLSSNRYYAVIASGRKKGLVRACCRESELSQGCFTRFNHAEPRAFDPADVYQQMEIVQRRRGQFTARAVAADGFPHSLYGTKDWHARASWPKNYTLDLGEALGLNAALVRSRQPADAFRAAGRWYCPFFHVKEGGVSPAEQMKRAAYYGVTLEQRWEEPAVSSEKLPGFRALIGGTLEARQEGSVGWSGYVWFRAPAGQRVGVSVSVWQRMRWEQRNGADRAGARGTGRSVPVERFVLRRTDGSVAMAFDFLRLNTTTESVH* >Brasy7G052900.1.p pacid=40045725 transcript=Brasy7G052900.1 locus=Brasy7G052900 ID=Brasy7G052900.1.v1.1 annot-version=v1.1 MGKMPVRMKAVVYALSPFQQQVMPGLWKDITTKIHHKVSENWISATLLLTPVVGTYQYAMYYKEQEKLSHRY* >Brasy7G170400.1.p pacid=40045726 transcript=Brasy7G170400.1 locus=Brasy7G170400 ID=Brasy7G170400.1.v1.1 annot-version=v1.1 MGIRNGSPDVNQQTTDNEIRDETTPLLPVKVEEEGFHELNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGLLMIIFVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQGSVVINNIGVMIVYMIIIGDVLSGTTSDGIHHRGILEGWFGAHLWNSRAIVLLVTTLCVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLIDGTVAMPKLFPELDGVSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQTKPIVRTSLALCSSVYIATSFFAYLLFGEGTLDDVLANFDSNLGIPFSSVFNDVVRVSYAAHVMLVFPIIFFALRLNLDGLLFPTSRHISHDNKRFAIITISLLTVIYIAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFHKKEAA* >Brasy7G213900.1.p pacid=40045727 transcript=Brasy7G213900.1 locus=Brasy7G213900 ID=Brasy7G213900.1.v1.1 annot-version=v1.1 MASMAGAPRVRSLNVAAPEADARPVLVPGGNKARSGPAAARKPSPKQPQPQLQKAAEATPEKPPPAVAAAEEQAEAEGAKKAAGGEGTGGVRKGLSSPLRSPRRTPPASRKKQQELPVPLSASCSSEPASVEPLHGRASAGRTERSLSRPVAPKRGKAAGKAAEKDADIVPEAVVVAPVTPEAVVQGKRRCAWVTPTTDPYYVTFHDEEWGVPVHDDRSLFELLVLCGALAELSWPEILKRRQNFREIFMDFDPLAIAKINEKKLIAPGSIATSLLSEQKLRAVLENARQIIKIADEFGSFNQYCWGFLNHKPMVSKFRYPRQVPVKSPKADMISKDMLQRGFRGVGPTVVYSFMQAAGLTNDHHVSCFRFKECNAPPTPRTSDADGVKTDELRTKNCSEEMSANADLSRAIDALTISQDHEAQ* >Brasy7G024000.1.p pacid=40045728 transcript=Brasy7G024000.1 locus=Brasy7G024000 ID=Brasy7G024000.1.v1.1 annot-version=v1.1 MAAAAALRGIRAKLSEHREKVISGLLLGSFVVLAVRSSEQQRELDHLEARKKSLRAANSAMASAMWAWREELFALAASPEPPITAARLRDIYGEEEPNLPAPDLPPGSDSEESISIT* >Brasy7G069500.1.p pacid=40045729 transcript=Brasy7G069500.1 locus=Brasy7G069500 ID=Brasy7G069500.1.v1.1 annot-version=v1.1 METMECRRPARRPLSVAAPAAGLLLSLLVLISSAGSSAAAAVRVGVVLDLTSDIGRERRGCISMALDDFFLKHPSCAARVELLVRDSRGEIVTAWDAAKDLINNAQVQAIIWSPQALTKADHITHLGRHSNIPVLSFSGISSTSCAFWLEDPETASRGHAKFGFTLGSDTITFHSPKTDRRDSRKLVAVKAKINCRGKTMLKIAVPKKTGFRVFVNAIDPISKKQNITGYSIDIFEAAMRNLNPRPCYKFVLFEGTYDELVGNVSLGVYDGAVGDVTITAERVSGTDFTMPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.3.p pacid=40045730 transcript=Brasy7G069500.3 locus=Brasy7G069500 ID=Brasy7G069500.3.v1.1 annot-version=v1.1 MLKIAVPKKTGFRVFVNAIDPISKKQNITGYSIDIFEAAMRNLNPRPCYKFVLFEGTYDELVGNVSLGVYDGAVGDVTITAERVSGTDFTMPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.4.p pacid=40045731 transcript=Brasy7G069500.4 locus=Brasy7G069500 ID=Brasy7G069500.4.v1.1 annot-version=v1.1 MRNLNPRPCYKFVLFEGTYDELVGNVSLGVYDGAVGDVTITAERVSGTDFTMPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.2.p pacid=40045732 transcript=Brasy7G069500.2 locus=Brasy7G069500 ID=Brasy7G069500.2.v1.1 annot-version=v1.1 MRNLNPRPCYKFVLFEGTYDELVGNVSLGVYDGAVGDVTITAERVSGTDFTMPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.5.p pacid=40045733 transcript=Brasy7G069500.5 locus=Brasy7G069500 ID=Brasy7G069500.5.v1.1 annot-version=v1.1 MRNLNPRPCYKFVLFEGTYDELVGNVSLGVYDGAVGDVTITAERVSGTDFTMPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.6.p pacid=40045734 transcript=Brasy7G069500.6 locus=Brasy7G069500 ID=Brasy7G069500.6.v1.1 annot-version=v1.1 MPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G069500.7.p pacid=40045735 transcript=Brasy7G069500.7 locus=Brasy7G069500 ID=Brasy7G069500.7.v1.1 annot-version=v1.1 MPYTQSGVSVLVLAEDALETIRWTFVKPLSGRLWFATAVSFLYTGFVVWMIEQPRNQEYEGSCLKQCSNALYFVFSTLTFSHGQTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPSVTDLNQLRLNGDFVGYQDGSFVRSFLMNHNISETKLRHYTDKEEYADALKKGSKNGGVSAIVDEIPYLTSFLSDPRYKNDFKMLGSIYKTPGFGFAFRLGSPLVRNLSTAILNLAGGNDEGSKIEAKWFGTASPLMGNAGTVTDTDSAPLTLQSFSGLFIITGSISTLMLLISIGRLVHAKCTGLRKANVVSDSYSAVNEESHPSQNGMGDNPIRDQQSIPGPVQPNGRHSGSVPAEHIQIIEMETVREESAREAPDAQ* >Brasy7G057900.1.p pacid=40045736 transcript=Brasy7G057900.1 locus=Brasy7G057900 ID=Brasy7G057900.1.v1.1 annot-version=v1.1 MDIMQTSDSSHHGIVENSPYRIPYDRHVEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDFGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVARIKQKEVYEQQKELLLIGERAVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPADGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVGPPPSQGNDTEGSSVSMAIQRTPGKAASAAEDTPAHETHQTPRQSSMAGRHGYDHPHPEKQNSNQRIPQNEGRDGSANSSEGANMSSSMMDAMKKIDKDKVKAALEKRRKSKGDAGRKVDFMDDDDLIERELEHGVELAAEDDKKHDRRQSWPHPSHREDHQNNARPAENTEEGELSMDSQEYRSPELDNRKRKDTHEHRNYDRGERDVKRLRS* >Brasy7G156400.1.p pacid=40045737 transcript=Brasy7G156400.1 locus=Brasy7G156400 ID=Brasy7G156400.1.v1.1 annot-version=v1.1 MHFYMLAALPCSWLTPTEGSMEISSEDRVELSIVDHLPPPPSSHHNGDAGVEEDHLWPTKDPLPIFLKFENVEYKVKLSPKNPLTAAKVAFASHMRVDHGSSSSKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLGGSVKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMTKQQKRDRVDAIIEELNLERCRHTKIGGSFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLIVILQRLAKSATRRTIITTIHQPSSRMFHMFDKLLLIAEGHAIYHGKARDCMSHFASLGFTPEIPMNPAEFLLDLATGNLEDISVPEVLRGSPAPQEFRSQVIAYLQLKYKASASEEVRGVARRPGEQLKLAIRMRKDRSINWFQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSKTGNEAQLRDQHPSIYRQRHKMIRWTRLVQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHIVYPVLFMAILYFMADLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLRYVSFMHYGFNLLLKAQYHGHLTYNCGSRTGCQRLQSSPSFDTIDLDGGMREVWILLAMAVAYRLLAYFCLLKRITLMPL* >Brasy7G191100.1.p pacid=40045738 transcript=Brasy7G191100.1 locus=Brasy7G191100 ID=Brasy7G191100.1.v1.1 annot-version=v1.1 MSAEATYGIGLGLGLDLNKSPPKPELQLQPTVGAPADNTASCNHTPAQPPEPPLQTLAILPAPQPACVANPQVPPAQPSPQQPAPSGNSRQRVKKRGRPPSSTSRKGPKVPATGSGVTGFYPQVIIVQAGEDVAKKIMSFSGNGWAVCIMSAIGAVSNVTVRGSASFSLQTITYEGCFDILSLSDSYLPIERGGLMSTHTRGLSILLACPDGRVLGGGVAGPLTAASPVQVVIGRFRAVEKEELGQAVTMHTEI* >Brasy7G115800.1.p pacid=40045739 transcript=Brasy7G115800.1 locus=Brasy7G115800 ID=Brasy7G115800.1.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLHLLQVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNVCEEDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.5.p pacid=40045740 transcript=Brasy7G115800.5 locus=Brasy7G115800 ID=Brasy7G115800.5.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLHLLQVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNVCEEDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.6.p pacid=40045741 transcript=Brasy7G115800.6 locus=Brasy7G115800 ID=Brasy7G115800.6.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNVCEEDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.2.p pacid=40045742 transcript=Brasy7G115800.2 locus=Brasy7G115800 ID=Brasy7G115800.2.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNVCEEDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.3.p pacid=40045743 transcript=Brasy7G115800.3 locus=Brasy7G115800 ID=Brasy7G115800.3.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLHLLQVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.4.p pacid=40045744 transcript=Brasy7G115800.4 locus=Brasy7G115800 ID=Brasy7G115800.4.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLVHLSAPNVDQFINLLLAMPAQGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G115800.7.p pacid=40045745 transcript=Brasy7G115800.7 locus=Brasy7G115800 ID=Brasy7G115800.7.v1.1 annot-version=v1.1 MAAAVAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKVTINREVLFGLRQLAAVLLKQFIKQHWEEDEDNFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAIGMAIAAIGQHDWPEDWAELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRAKALGIVHSCISMLGSMSGVYKRETVSLITSMLDPLMEQFSIILNSPVLTQNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSMIQASEDVDSVGYDSDGSERSLESFEIQLFELWTTIVGNSLLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQMRFRESHELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEPMIIDIMGTGVQQYPFLHARAFSVVAKFSSVISKGICEQYLCNAAHSIASDVPPPVKVGACRTLAQLLPESNQNSVQPNIMGILSSLVDLLRQASEETLHLVLDTLQSAIRSGGEQSTSIEPVISPIVLDVWAQHIADPFISMDAVEVLEAIKNAPGCLEPLVCRILPTIGSILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDSCFTSTIQIVLESDDHAEMQNATECLAAFISGGRQELLVWGGEGGGTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPLHLSPHIPELIAAIVRRMQSSNIVLLKSSLVVIIARLGYGNSFAYVMSEWSQLQGEIQGSYQIKVTTTALSLLICTRHPELSRIGVDGHLVKTSAGITTRSKARVAPDHWTKIPLPTKIFSLLADTLAEIQEQVGADDDNVCEEDSDLEEVQNGDAIPHDMIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLVKTDSLNQVKLLDFLMDTFINLRDSDRPLFEHLCQGLTNPQRASIEKVLSR* >Brasy7G236300.1.p pacid=40045746 transcript=Brasy7G236300.1 locus=Brasy7G236300 ID=Brasy7G236300.1.v1.1 annot-version=v1.1 MWRLKISEGSSPWLRSVNNFLGRAVWEFDPDLGTPEERAEVDRVRREFTERRLHKRESQDLLMRMQYAKQKHLQVDLPAIKLVDSSEATEDMILTSLRRALSQHSALQTHDGHWAGDYSGIMFIMPILIFALHVTGSLNAVLSAEHRREILRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVSLRFLGELDTQDPMKRGRAWIVSRGTAAAIPQWGKIWLSVVGLYEWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLVYMPMSYIYGKKFVGPITPTILAIREEIYNIPYHEVDWNKARDTCAKEDLRYPRSSVQNVMWTCLNKFVEPVLNCWPVNKLRDAALKNLMKHIHYEDESTKYIGICPINKALNMICCWIEDPNSDALKMHLPRIHDFLWLAEDGMKAQVYDGCQSWELAFIVQAYCSTDLVNEFGPTLRRAHDFIKGSQVLENHPDSEAYYRHRSKGSWTLSTADNGWSVSDCTAEAVKALLMLSKISPNLVGEPIEGERLHDAVDCLLSFMNKDGTFSTYECKRTTPLLEVLNPSETFLNIVVDYPSVECTSSVLEALIMFRELYPGYRKEEIGKCIKTACKFIENEQRKDGSWFGTWGICFTYGTFFAVKGLIASGGTYENSSFIRKACTFLLSKQMSTGGWGETYLSSETEAYVAAISPHAVNTAWAMLALIYAGQVDRDPTPLFHAAKELINMQLGTGEFPQQEHVGCFNSSFYFNYGNYSYLYPIWALGEFRRRLIAKRVRNR* >Brasy7G213400.1.p pacid=40045747 transcript=Brasy7G213400.1 locus=Brasy7G213400 ID=Brasy7G213400.1.v1.1 annot-version=v1.1 MWPEIKAWASMADDPLKRGSSSATNPSSPLRRFSPTAMTVGGLLVAGTLGYLMFMPKEKSHIDRPAHRP* >Brasy7G202400.1.p pacid=40045748 transcript=Brasy7G202400.1 locus=Brasy7G202400 ID=Brasy7G202400.1.v1.1 annot-version=v1.1 MRPPGRISIRSSLIQKDAMDSAYICRRRRTRWSPLKLTAMANKDLPLMAVVLLLAVGGAVVGVVKGRAATAAGSSSCDLFQGRWVADASYPLYNTSSCPFLPDVFDCRRNGRPDDAYLKFRWSPAACRLPTFDGLDFLRRWRGKTVMFVGDSLSMNQWVSLACMLHAALPPPARVSFATGEPVSSVRFEDYDASVVLYFSRFLVDVVVQEDIGRVLKLDSLQDGASSWLGAHLLVFNTWHWWTYKGASQVWDYMQEGNRTYRDMDRLTAFSKGLSTWASWVDANVDASLTRVIFQGVSPSHYTSKQQEKKDGTAASGSSGGCYQQTRPLQVATDGDEIVFPQQVVVRGVIGSMSTPVSLLDITSLSQLRIDAHPSVYGGPAREGMDCTHWCIAGLPDAWNHILYAMLF* >Brasy7G125100.1.p pacid=40045749 transcript=Brasy7G125100.1 locus=Brasy7G125100 ID=Brasy7G125100.1.v1.1 annot-version=v1.1 MDLDLCIAVWNLRGLNNPARRNSIRLFLESFRISMICVQESKLQVDSAVVSQTFGPTFDEFDFIPANGTRGGIIMARKSGLFRGEFSITAEVVSLKDAKSWAVTSVYGPQELDDKLPWLINGDFNLVYDAADKSNGRVNRRMMTKFRHILNSLALQDMEQ* >Brasy7G069600.1.p pacid=40045750 transcript=Brasy7G069600.1 locus=Brasy7G069600 ID=Brasy7G069600.1.v1.1 annot-version=v1.1 MDRPTIITRLLLALLCSLALSSSATTAAAAAVASTAAVRVGVVLDLTSGAGRERLACISKALGDFFLEHPSHDSWVELSVRDSRGDLAAAAHAAKDLIENAQVQAIIRGPQTPTEADHVAHLCRRNHIPVLSFSGNFPALCNFWLEDPVTASRGYAKIGFTLGSDTITFLNAKTGGRIGRKLHTIKSRKDCRGERVLKIAVPKKKGFYVFVNAIDPISKKLNITGYSIDVFEAAMRNLNPRPCYEFVLFEGTYDELVGNVSSGVYDGAVGDVTITVERVTRTDFTMPYTQSGVSMLVLAEDETETIQWTFVKPLSGTLWLATAVFLFYTGFVVWMIELPRNQEYQGSSLRQCSTALYFVFSTLTFSHGQSIRSPLSKIVVVVWCFAVLILVQSYTASLSSILTAKRLRPSVTDLNQLRNSGDFVGYQHDSFVRSLLMKHNISERRLKNYTNKEEYADALRKGSKNGGVSAIVDEIPYLTSFLSDPRYNNDFRMVGCIYRTPGFGFAFRLGSPLVHNLSIAILRLAGEDVAGSKIEAKWFGNSFTTNGCWHGHRH* >Brasy7G069600.2.p pacid=40045751 transcript=Brasy7G069600.2 locus=Brasy7G069600 ID=Brasy7G069600.2.v1.1 annot-version=v1.1 MPTPPCSADRPTTHWLWLHHPYSLIDPAQPWLQSSPRPPPPSPTRFRASAKDLIENAQVQAIIRGPQTPTEADHVAHLCRRNHIPVLSFSGNFPALCNFWLEDPVTASRGYAKIGFTLGSDTITFLNAKTGGRIGRKLHTIKSRKDCRGERVLKIAVPKKKGFYVFVNAIDPISKKLNITGYSIDVFEAAMRNLNPRPCYEFVLFEGTYDELVGNVSSGVYDGAVGDVTITVERVTRTDFTMPYTQSGVSMLVLAEDETETIQWTFVKPLSGTLWLATAVFLFYTGFVVWMIELPRNQEYQGSSLRQCSTALYFVFSTLTFSHGQSIRSPLSKIVVVVWCFAVLILVQSYTASLSSILTAKRLRPSVTDLNQLRNSGDFVGYQHDSFVRSLLMKHNISERRLKNYTNKEEYADALRKGSKNGGVSAIVDEIPYLTSFLSDPRYNNDFRMVGCIYRTPGFGFAFRLGSPLVHNLSIAILRLAGEDVAGSKIEAKWFGNSFTTNGCWHGHRH* >Brasy7G027300.1.p pacid=40045752 transcript=Brasy7G027300.1 locus=Brasy7G027300 ID=Brasy7G027300.1.v1.1 annot-version=v1.1 MAAAAGARRLLSRRASSSTSSISALLRRGPSVAAAVHEPLLRPAALAPRLGFLRGMARRPGGDGYSPTRYGGGGGGGGGERAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKILGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQQR* >Brasy7G238500.1.p pacid=40045753 transcript=Brasy7G238500.1 locus=Brasy7G238500 ID=Brasy7G238500.1.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKVQSTPRPSVNLHGIARGDGEHSDTVMDNQQEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNRLIDGKLKWDRMRYLVCYQALKIATEFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEYEICFADIDEKVPEKVAYEMIMEANKNKVPRLLIHYDYVKKKLDVAQEICLIPPES* >Brasy7G238500.2.p pacid=40045754 transcript=Brasy7G238500.2 locus=Brasy7G238500 ID=Brasy7G238500.2.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKVQSTPRPSVNLHGIARGDGEHSDTVMDNQQEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNRLIDGKLKWDRMRYLVCYQALKIATEFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEVPEKVAYEMIMEANKNKVPRLLIHYDYVKKKLDVAQEICLIPPES* >Brasy7G238500.3.p pacid=40045755 transcript=Brasy7G238500.3 locus=Brasy7G238500 ID=Brasy7G238500.3.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKVQSTPRPSVNLHGIARGDGEHSDTVMDNQQEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNRLIDGKLKWDRMRYLVCYQALKIATEFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEYEICFADIDEKVPEKVAYEMIMEANKNKITDPLRLR* >Brasy7G238500.4.p pacid=40045756 transcript=Brasy7G238500.4 locus=Brasy7G238500 ID=Brasy7G238500.4.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKVQSTPRPSVNLHGIARGDGEHSDTVMDNQQEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNRLIDGKLKWDRMRYLVCYQALKIATEFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEVPEKVAYEMIMEANKNKITDPLRLR* >Brasy7G228200.1.p pacid=40045757 transcript=Brasy7G228200.1 locus=Brasy7G228200 ID=Brasy7G228200.1.v1.1 annot-version=v1.1 MGPFVASQQLQAHSCSLAAAGTRPRRRRRPPRRNGAPPAVPTSLTDSPEAPHPLRTQTAPYSSALKLQKPRGHDTRRRYSSKATARPSASSSPRQNGVDGEVNSEPMEGRPRSRLRLPRVRSTTPKFVDFVDSGSSPEGCRP* >Brasy7G191700.1.p pacid=40045758 transcript=Brasy7G191700.1 locus=Brasy7G191700 ID=Brasy7G191700.1.v1.1 annot-version=v1.1 MFCWPLRVPVASSWTPATVGKKKAAVVLSRDLSPAARSGRGGVVGCQWIGNSGGRRRRRRRMSEERPVPRRESPWGLPEGDKRQPKAHRCNDRVEDVVQACFEGNPFKTVPGPFKLFWQCMRSNPGEEPTEPFTYLQLDPPKRVEAKLEDQVEHSALCLDCSLRIIFSQNSTQESEKKCVVSR* >Brasy7G191700.2.p pacid=40045759 transcript=Brasy7G191700.2 locus=Brasy7G191700 ID=Brasy7G191700.2.v1.1 annot-version=v1.1 MFCWPLRVPVASSWTPATVGKKKAAVVLSRDLSPAARSGRGGVVGCQWIGNSGGRRRRRRRMSEERPVPRRESPWGLPEGDKRQPKAHRCNDRVEDVVQACFEGNPFKTVPGPFKLFWQCMRSNPGEEPTEPFTYLQLDPPKRVEAKLE* >Brasy7G191700.3.p pacid=40045760 transcript=Brasy7G191700.3 locus=Brasy7G191700 ID=Brasy7G191700.3.v1.1 annot-version=v1.1 MSEERPVPRRESPWGLPEGDKRQPKAHRCNDRVEDVVQACFEGNPFKTVPGPFKLFWQCMRSNPGEEPTEPFTYLQLDPPKRVEAKLEDQVEHSALCLDCSLRIIFSQNSTQESEKKCVVSR* >Brasy7G133500.1.p pacid=40045761 transcript=Brasy7G133500.1 locus=Brasy7G133500 ID=Brasy7G133500.1.v1.1 annot-version=v1.1 MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEEGDGDSDYIQFEDEDIDKI* >Brasy7G126000.1.p pacid=40045762 transcript=Brasy7G126000.1 locus=Brasy7G126000 ID=Brasy7G126000.1.v1.1 annot-version=v1.1 MAGAFHAASPLPLPWSSTGGGMGIPWLLRKRASNGGRPLSAQEPDEEDEGVSIFGVGVSTPSSTAADYYGRSGTPAQAPSGGASARKRGEEALIARLRSAVLAVVARARRGRRATKTTMGSSVTGTIFGRRRGRVHVALQTGPRASPPALMVELSAYSTGALVREMSSGLVRIALQCPKSPPEHTGGERRRTTTALVEEPTWRAYCNGRKCGYAVRRECGAEEWRVLRAVEPVSVGAGVLPSDAGAEGDMMYMRARFERVVGSRDSEAFYMINPDGNAGPELSIYLLRV* >Brasy7G204600.1.p pacid=40045763 transcript=Brasy7G204600.1 locus=Brasy7G204600 ID=Brasy7G204600.1.v1.1 annot-version=v1.1 MYLRCNFSRIALPRDPWYQLASGTHTSAGSINNRSPPSTLDLSSSICTCVCACRSTFPPHGGMAAERLTDDILVEILSRVPAKSLCRFKCVSKHWLGLTTDPHHRKKLPQTLAGFFYSSTVKDRLQESALRFTDIPGSGCPMIDASFAFLPNHRRLDLLDCSNGLVLCRWYAVSAQGDEFRYVVCNPATEQWAMLPDSGQADKLSTAIYPEVSTVRLCFDPAVSSHFHVFELAEEDHWDPDLTGVAVYSSKTGRWFIRRRDGMKKSGSFVIQCPHRVAAVDTDGETWTNFAVPDGLIDGFIQRSQGRLHYANFQWCEDGVAVRLVVYVLEDYDSKEWILKHSFDMSYILKGIDVRLDLDFDWVAIHPECNLIFFTLGWDVRLMCYNMDCRQGKVICKLEDGQPPYLPYVPLYAELPSLHA* >Brasy7G072500.1.p pacid=40045764 transcript=Brasy7G072500.1 locus=Brasy7G072500 ID=Brasy7G072500.1.v1.1 annot-version=v1.1 MRLLSFVPCGCRAGPIDDTPPASSSDHHHHQHLVVSTMYPAARRIRRRRARSLGDIYEESFSTAAGSGAGGAAGTGNLGHKATDYATGANKGADELFGGLLAPSSDRRACLSRHQSARYYKHSPYTPSPHLQRKLRDYEARHKKCAPGTALYAKSTAQLRSGGSSSNAMAECKYLVWLPYNGLGNRMLSLLSAFLYALLTDRVLLVRATDDFAGLFCDPFLDAAATWLLPPDFPVPDANMSRLGVCSDESYGNLLGSKKISNDPAKAATLMPPYVYLHLAHDLRHADRLFYCNDDQLVLAKANWLLLQSDLYFVPALYDMAVFEDELRRLFPAKESVSHLLGRYLFHPSNSVWGMVTRYYHSYLAQAEERIGVQIRMFSWASIPADDMYEQIMACSRQERILPEIEGGEMGNNTTDTNVVGNGKKSKAILIASLHADYYERIKAAYYEHAAAKGEGGRVAVFQPSHEERQTEGQRPHNEKALAEIYLLGFSEALLTTGMSTFGYMSSSLAGLRPAMLLTANDHKVPGTPCVRAVSMEPCFHMTPGVKCRGKAVDKEALSRHVKACEDLPNGIKLFD* >Brasy7G090900.1.p pacid=40045765 transcript=Brasy7G090900.1 locus=Brasy7G090900 ID=Brasy7G090900.1.v1.1 annot-version=v1.1 MDRDAMSCGIGPDRLLFLKLMDVREGVLLNKYGGIWPVKSFPYRLISLRLWTCSSISGGIWPESWFKARLRNSSFVRRCSLPSSAGMEPVRLLLVRLRKERKERLPMCGAMDPTRGMSGNDRAVTRCLCRLHEMPTQ* >Brasy7G237600.1.p pacid=40045766 transcript=Brasy7G237600.1 locus=Brasy7G237600 ID=Brasy7G237600.1.v1.1 annot-version=v1.1 MPLESGLAWNCLPAAPATPSPPPPRGCPAAALPLTPDTARSRYAPEPASSRPIPLAAISGFSATPPPPITPPNRAGDAPKRAPDAAAVSPLRCRFCRSWLDSAGAAVYELRCGAHSFASASWWPSSVPGMGLATGPSSPMGAAACRNDLGRQWACGSGGACGQGRAAKGGR* >Brasy7G153900.1.p pacid=40045767 transcript=Brasy7G153900.1 locus=Brasy7G153900 ID=Brasy7G153900.1.v1.1 annot-version=v1.1 MPPRKRPLEPSSGKPPPPDVKPITPPPSEPAAAQEIPPISAAILNNLPGPEREVYKRVYAAGNKGIWSQDLRHSMQLAAPTVTKLTRALVQRGILKEVTDVRHRGKKVFMDSRMEPAPEITGGTWYHNGQLDTEAVAAVRRRCLDQIDRLGAATPDMVHKGVEREDPRAGYMIDQIRDILKTMALDRVLEECRSTGEGEFSAVRSGRVCYRRGGAPQGGMIEGIPCGVCPRIDECSPDGVISPTTCVYYKKWLQMDF* >Brasy7G201500.1.p pacid=40045768 transcript=Brasy7G201500.1 locus=Brasy7G201500 ID=Brasy7G201500.1.v1.1 annot-version=v1.1 MAQIPPEVLERYDEVPIESYNSQIPFCIRFFPDDEVMPAGDFTLSTATGGQAKWIKVSRYDLFKKTCRAYWFSCLATGANHSLHVFVMPYLPLDDAYLLHQLVENPDILPETFDEAAGHLQRKASKGEMVGQERGDGKMEILDEEPLLEEKTGVLQIDNTGDQLSFTVVNYSALWAKAERHCMLVFLTDPLHEFLERISICDKVLGDLPPGGHARAAQLAEAVDDARRELIFAMCAAYGDGALDANPHGAIMSMYPDLHDDIYLQCHHCHPHQYPPEQEDTPEILHALEDAMNMLGFADYDGGMTVEQAQLRLLVAEGQQEYYDFNYAALQDFSIGRLDFKEIIDPIFDRLRDALQRQTLMGVVSAPEEGNDDMDIYSDEELS* >Brasy7G054900.1.p pacid=40045769 transcript=Brasy7G054900.1 locus=Brasy7G054900 ID=Brasy7G054900.1.v1.1 annot-version=v1.1 MPGPGAVKAGSRPPWLGLGAAVWVQVAGGASSTFALYSHALKVALGVDQRRLALLAVACDVGENLGLLPGVLCNRLHPALLLLVGAAACVLGYGATWLAVSGVAPALPYWLVWLALCLAANSGAWMGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTEIFTGVLHDSAANFLLLLTLGVPVVCFLTMYFVRPCEPSLVANSSEQAHFLFTQIGSVLLGVYLVGATILDHAVTLTDALNYSLLAIMILLLFAPVAIPLKMTLFPANQRKGPMDSPECSSAADNDHTKPFLLPSSSGPNLTNLEEDDATDIDILLAEGEGAVKQTRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGAVDTTISLSLFSFCNFFGRLGGGAASEYLVRSWTVPRTALIVLTQVVMIITYLLFALGLPATLHVSVALLGICYGIQFSVMVSASSELFGLKHFGKIYNFIALGNPLGALLFNSLAGYVYDLEVEKQHAGTKDFDIACHGPNCFRLTFFVLSGMACLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSGH* >Brasy7G132800.1.p pacid=40045770 transcript=Brasy7G132800.1 locus=Brasy7G132800 ID=Brasy7G132800.1.v1.1 annot-version=v1.1 MLEFAAVCLRRGHAVTVAISDPTLTAPSFRSTISRYASRLPSLSVHSLPPPPPPAQHQSLAAAHPFIRMVSAVRSQAPGLRDFLRSLPAVHALVADMFSVYALDAAAEAGVPGLLFFSAGAANLAVFLQLPLFCSGSGGVNLGDLGDAPVSFPGVHPLPASHLVDGVLVSGTDLYVAVLDVFGRLAGARGILVNTFEALEASAVAALRHGRCLSDRATPPIYCLGPLIAEGGTLEEEDEERHPCLPWLDVQPESSVVYLCFGSRCTVSLEQVTEMARGLESSGHRFLWVLRAPPAVAAAEPDAVLALLPEGFMARTADRGLVVTASWVPQVDVLRHASTAAFVTHCGWNSTLEAVTAGVPMVCWPLVAEQWMNKVYIVEEMKVGVEVRGYNKPGELVTADDVDVTLRQVMDMESDRRRAIVEQAMMVKDSAAAAWKDGGSSCVAFVEFVKQMEQGN* >Brasy7G052000.1.p pacid=40045771 transcript=Brasy7G052000.1 locus=Brasy7G052000 ID=Brasy7G052000.1.v1.1 annot-version=v1.1 MATLFKLLLAAALLVLASGGGLPGADIEAVSKFPPIGSIKMVYNVGSDNGSALNTSLHQALTLLSKRWVVETVPVLAPQTTQGPPTWLLVQVKGRVPAKEHVTLAVRNDNVYVAGFQTPAGTWYAFAAFKQQITGSTVLPLKENYGDLIGGHGKLTELDVGKEAALEAVRYLSSYAGQANTPALGRSLGTLIVMVSEAARFKRVYTAVTKAHKDSTVSLTKEDAELVVVWGDLSKALINFNKTKKWLQKDAFADAGVRGRRQPPGLPSP* >Brasy7G035500.1.p pacid=40045772 transcript=Brasy7G035500.1 locus=Brasy7G035500 ID=Brasy7G035500.1.v1.1 annot-version=v1.1 MDHHHNEYDGSGYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVREPNKKSRLWLGTFASADAAARAHDVAALAFRGRAACLNFADSASLLAVDPATLRTPEDIRAAATRLAETCPAASSMPMSASASETMTLVQRQEDTIEAYDEFALYGGMMGDLGQHCSYFYDDGMVTGGEWQQSSHVDGDDGGGNGCYGYGAGDVTLWSY* >Brasy7G035500.3.p pacid=40045773 transcript=Brasy7G035500.3 locus=Brasy7G035500 ID=Brasy7G035500.3.v1.1 annot-version=v1.1 MDHHHNEYDGSGYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVREPNKKSRLWLGTFASADAAARAHDVAALAFRGRAACLNFADSASLLAVDPATLRTPEDIRAAATRLAETCPAASSMPMSASASETMTLVQRQEDTIEAYDEFALYGGMMGDLGQHCSYFYDDGMVTGGEWQQSSHVDGDDGGGNGCYGYGAGDVTLWSY* >Brasy7G035500.2.p pacid=40045774 transcript=Brasy7G035500.2 locus=Brasy7G035500 ID=Brasy7G035500.2.v1.1 annot-version=v1.1 MDHHHNEYDGSGYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVREPNKKSRLWLGTFASADAAARAHDVAALAFRGRAACLNFADSASLLAVDPATLRTPEDIRAAATRLAETCPAASSMPMSASASETMTLVQRQEDTIEAYDEFALYGGMMGDLGQHCSYFYDDGMVTGGEWQQSSHVDGDDGGGNGCYGYGAGDVTLWSY* >Brasy7G223000.1.p pacid=40045775 transcript=Brasy7G223000.1 locus=Brasy7G223000 ID=Brasy7G223000.1.v1.1 annot-version=v1.1 MAASRYFPCLTLSLSSGAGGGDEPPRYHPWRVKSAHELDDIFIDPLHTSFECDICGFTFRTVKAIRRHMLSSHPDADLVVPSPIRQYMCERCGKWFLSGRALGGHRASHQGKKGCSLLSKQERAEDFPAMVAEAAAAMGAPPTVVRDFDQNKPAPEEEQEEKHPPP* >Brasy7G175100.1.p pacid=40045776 transcript=Brasy7G175100.1 locus=Brasy7G175100 ID=Brasy7G175100.1.v1.1 annot-version=v1.1 MQAQRSPAMVGGGAGAAVGSPSPATVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLELDLVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQLRYATSLIGGPSTLATFVSVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNFFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPVGSLYMEEVHAKLGEELWFTTGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDTEAEGTQQVGNISKSCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS* >Brasy7G099900.1.p pacid=40045777 transcript=Brasy7G099900.1 locus=Brasy7G099900 ID=Brasy7G099900.1.v1.1 annot-version=v1.1 MALYRRLLLLRRLSQSHLILTPQPAAASPLLPPARHFAFSSAEEAAAERRRRKRRLRIEPPMHALRRDPSSPPPPRDPNAPRIPDTTSALVGPRLSLHNRVQSLIRSGDLDGASAAARAAVSSRVRPTVFTCNAVAASMVRAGRHDDAVALFDFFFRRSTIVPNVVSYNTLILAHCESARVEQAAEAYREMLDGSTSFSPSSVSYRHLTKGFVAAGRIKEALEFLREMINRGQGADSIVFKNLIDGYIGLDDWDKAFEIFTELRERTTVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDRNFRMTPATCNVLLETLFKHDKHKEANDLWESMVDNHNPPSFIGINSESYSVMVNQRFKEGKFHEAIEVFHRQPKKNVQMDVACFNNIIGKLCQNGMLAEAEKLFAEMESKSVLPDVYTYTYLVDLCFKDGRVDDTMQYFHKMADGREHGPKFNIHFFNLMFEGLTEAGRIDDALKVYGRMADKEIKPNTTTFEILVKASCKEGGLDRALDLVRDMARGGVVPPPEFHESVIEIFKNADRQEEIEKAFEEKPVLPQPRPEFRPRSSPQAGFASNQTRGSYATNQGQPGYGSPQPFHHGNGIPQVLQPEGMSPKPQQPVFGSQQFETPEMGARNTWQHGLPSPQSKQPGIGLPQDQQQEFDASRSWQHPVGNSREQKPESSLASSVQPGFGTPRPQQPTHFSHQTQDGGFGRSHPWQTGYGAPQAQRPGYGAHQAQQPGYGAHQAQQPGYGPPQVQQPGYGAHQAQQAGYGSHGAQQTTYGVRQAQQPGYGHQVQQPEYGSHQAQQPIYGVRQAQQPGYGVRQAQQPGYGAPQAQQPEYGAPQAQQPGYGTPQSSQPPFDAPEATLSGMESAQNLPRYGQMGN* >Brasy7G051500.1.p pacid=40045778 transcript=Brasy7G051500.1 locus=Brasy7G051500 ID=Brasy7G051500.1.v1.1 annot-version=v1.1 MMPRTQSPNARDGISLCFSPPPADAMPPPSGVLSSAGQGKRRTGPDQVASHQDLKRRAHCSSQQATKPKHMLQQHMTSPGRNSSSQFIQHFNFNSCLTIQAEAVAGKKNSGYATRNALTGTETTR* >Brasy7G071500.1.p pacid=40045779 transcript=Brasy7G071500.1 locus=Brasy7G071500 ID=Brasy7G071500.1.v1.1 annot-version=v1.1 MPSPHKEPVYHTNVRTSKSNLLHVYFSIPILNFLCAKRISNNTTQPLLCMYASANR* >Brasy7G209600.1.p pacid=40045780 transcript=Brasy7G209600.1 locus=Brasy7G209600 ID=Brasy7G209600.1.v1.1 annot-version=v1.1 MAQAARLNLRMQKEIKLLLSDPPPGVSLNLSEDERAVSSLSSIETRIEGPEGTVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDINARSWTEKYANPAAAGTSGWSSLDVSVLAQNTQVEEKQNLESLPEACNKDSEGSRKKRRLLGMKLSLKSEGSEENATARKQDTTAGHLPSTARSTVPTVCLSDVSVKRDTTSENMSVCADSGVIPKKGYQANRKNLQLLGQRLSVRSEAPNQTRSCSVGDKLTNHRPVSASDTKDHVTQSSDDVLAKSMAKSIDGSSDCVSKLSEGKITDVRSHGQKMPLKLVKLESKSNDQKENMAPDHLPSLSGFNNLQKRSTDMIQQHSHTEHVLPTTQVVPNRECNQGRKKLSSLSKRLSLKSELSGMERTSDKGHKLADCSGDRKPNEAEAPSSVPVPISQTMDSGSVDSQKSVSQSYSPVKQNATARKSAVVSHREDSIGLVHPQKIVCQSNSSIKQNATPVENVVSSIKQSAVPLENVVVSDSEDSADEREKRPSRSKLSLMKRRLAGKLRS* >Brasy7G185300.1.p pacid=40045781 transcript=Brasy7G185300.1 locus=Brasy7G185300 ID=Brasy7G185300.1.v1.1 annot-version=v1.1 MGSDFKAIPLIDIGPLVEKIDDPDMAGDEGLLGVVRKLDDACREAGFFYVKGHGIAESLMTEVRDVTRKFFQLPDEEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGKYGDLAKPMEGSNLWPDYPSNFKVLLEEYLSLVRDLSRKIMRGIALALGAPLDAFEGGMAGDAFWVFRLIGYPVSADIPQEQRTDIGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIDAKPVPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDTAVEPVEFCREKTGGVAKYEKVVYGEHLVQKVLTNFVM* >Brasy7G104400.1.p pacid=40045782 transcript=Brasy7G104400.1 locus=Brasy7G104400 ID=Brasy7G104400.1.v1.1 annot-version=v1.1 MDLDGDLMPSSPSVDSSPSSSDLDTESTGSFFRDRSTTLGTLMGVSAFGGGAQRRAALPREDSGRQTRAAPEEEQGRRACVWRRRRRRRRGGGSWWRLCRDDNGGQPTRLGEFLDMERQLAGADFLCDGSGSGGPREPEAASVAATALFEDGRVRPPQQQQPAAPPEERGRWRLQRAAEGSSSSSSLARLPVLLTGICSGGAG* >Brasy7G130300.1.p pacid=40045783 transcript=Brasy7G130300.1 locus=Brasy7G130300 ID=Brasy7G130300.1.v1.1 annot-version=v1.1 MAFEEGTGGGRRWTCASGGQQLSKELSITLLVAIDPALVGVAGGRADDLVEGAMTLGGWGRRVPTWTGRENN* >Brasy7G075600.1.p pacid=40045784 transcript=Brasy7G075600.1 locus=Brasy7G075600 ID=Brasy7G075600.1.v1.1 annot-version=v1.1 MTEYWVSQGNKWCDLCKIFISNNPFSIRTHELGKRHKDNVTQRLSTMQKDGAAKEKEQQQAARALQLIEAKAKKSYQKDLENNQRNTDGDTSAAPGEGWVLDSASGYHYDKSTGLYYDSNSGFYYSHGLGKWVTQEEAYKSVETSKTDVGQSSTSQPKAPVGGGDVPSIKGGPAPGVVVTKPLNPMRPIKGATSSIAANKRKREDKKPKVVLKEEEAALRAREAARKRVEDREKPLMGLYKTY* >Brasy7G027900.1.p pacid=40045785 transcript=Brasy7G027900.1 locus=Brasy7G027900 ID=Brasy7G027900.1.v1.1 annot-version=v1.1 MERYNVITEVGDGTFGSVWRAINKENGEVVAIKKMKKKYYSWDECINLREVKSLRKMNHPNIVKLKEVIREHDMLFFVFEYMECNLYQLMKNKGKPFSETEIRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKEVIKVADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYSSAVDMWAMGAIIAELFSLRPLFPGSSEADEIYKICSILGTPNQRTWAEGLQLAASINFQFPQSESIHLSEVVPSASEDAVNLISWLCSWDPRRRPTAVEVLQHPFFQPCFYIPPSLRFRSTGYATTPPSAGARGALDLKNTRRYPVGTVSSEKPEVNYSYMSTTNTPARAAGVQRKLELDHQVKVESNHKLMKENAMNQPWSRPPPPGVRSNGNYLTKDHSPRAPDIAEKLSQLTMASNRAPVLSSDRFTDMKARTHADTARRPLPLGSRAWRVPSDPFRRTYEMPGERALLQRKLVS* >Brasy7G228700.1.p pacid=40045786 transcript=Brasy7G228700.1 locus=Brasy7G228700 ID=Brasy7G228700.1.v1.1 annot-version=v1.1 MEMPNAPEVRNVLPFSVLTPYYKEDVLFSLHNLEEPNEDGVSILFYQQKIYPDEWKIFLKRVDRKTEEEVREDETLEDELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMKGYRATELMSEESPLMTQCNAIADMKFTYVVSCQQYGIQKRSGDPCAHDILRLMTTYPSFRVAYIDEVEAPSQDRIKKIDKVYYSVLVKASVTKSNDPGQSLDQVIYKIKLPGNAILGEGKPENQNNAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFLEKHGDVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGISKASKIINLSEDIFAGFNSTLRGGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSAMITVWTVYVFLYGRLYLVLSGLDEALATGKRFVHNSPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLVVYEIFGQTYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNIIGVAPEKSWESWWDKEQGPLRHSGKCGTVVEILLALRFFIYQYGLVYHLNITKQYNKSVLVIFLSYLLFRCCICTVSVGRRRFSAEFQLVFRLMKGLIFISFISTIVILIALAHMTVLDIFVCILAFMPTGWGLLLIAQAIKPVVEMVGLWGSVKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE* >Brasy7G202700.1.p pacid=40045787 transcript=Brasy7G202700.1 locus=Brasy7G202700 ID=Brasy7G202700.1.v1.1 annot-version=v1.1 MPMPAPSAVRIMPVTASNLDAAMELMASLLPLFPYVAVDTEYPGVVHHHHRGPNAAAATAEERYAVAKANVDELPVVQLGITLCDGQGRLPVFQDPHTRCHVEVSWEINFADFDPGVHRHTPDSVNFLRSQGVDFDMARAQGVTSNAFGHKFVSMLSSPSSNANRLTWAMFGGMYDLGYLFKILTGGQPLPERKEMFVQEVKARLGGGRVFDAKYMAERCGRGDLRGVGLKRAAASLGVPRHYPEPPCLAGPKSILACRVFTALRRFVFSLDGGACLEGCIDGMQ* >Brasy7G093200.1.p pacid=40045788 transcript=Brasy7G093200.1 locus=Brasy7G093200 ID=Brasy7G093200.1.v1.1 annot-version=v1.1 MEGDELSKLGGRRWCTKGKTASSQARTSRNEQLLKKMHKRTEVESECGYEYSEQGIHEVGTESTEKHLGDGEYYD* >Brasy7G107300.1.p pacid=40045789 transcript=Brasy7G107300.1 locus=Brasy7G107300 ID=Brasy7G107300.1.v1.1 annot-version=v1.1 MEIEGFFDASSFKPPAAPPNVTSYAPWALLDRKAYFAVRENDTTAEAVTRTGRTVKVTFCLAELPAISYFCVHGPEFKRDDFTTEPLVLSSAKDLVLLGFPFTIGPRSTRLDPDFTEYFVYKAGRGNPSLKSIPTTPPGTLNSLNICILPFDDDDGEFVLADLCMTERYYNYELHVFSSKTNKWTTMPLKLQTYTGVREEDLPDRQLHKVILLGHGEVGWIDLWRGILCCNVLDENPVLCFIPIPKLEANQQREGDPRPVRDVTCCNGCIKFVELDLRFKRVIAYDRMTCKMMKDFDSVDIIYDSDLLFHNDDFSVDHGKCVTVSDGWRLRTCYRYPSWNYWCKGHTVDIDEISAYKPDRPLLPPQLWDAKAGRWTLRNLSSAYPTLGIDGDDHIYMMSKVIFEDKDAWIIGLDLEKKEVEVLVPVSSERFSDFSPDLLACAFSEYVNATPRSCAQKVISAAPNGTQNCVLGGQLSSSYSTPNAPPQQVTSRCHHTSGSSHEYNYGVHPGYGNYQHPTLHPTPSGSGHAYPVMRSESFKMLIQ* >Brasy7G107300.2.p pacid=40045790 transcript=Brasy7G107300.2 locus=Brasy7G107300 ID=Brasy7G107300.2.v1.1 annot-version=v1.1 MEIEGFFDASSFKPPAAPPNVTSYAPWALLDRKAYFAVRENDTTAEAVTRTGRTVKVTFCLAELPAISYFCVHGPEFKRDDFTTEPLVLSSAKDLVLLGFPFTIGPRSTRLDPDFTEYFVYKAGRGNPSLKSIPTTPPGTLNSLNICILPFDDDDGEFVLADLCMTERYYNYELHVFSSKTNKWTTMPLKLQTYTGVREEDLPDRQLHKVILLGHGEVGWIDLWRGILCCNVLDENPVLCFIPIPKLEANQQREGDPRPVRDVTCCNGCIKFVELDLRFKRVIAYDRMTCKMMKDFDSVDIIYDSDLLFHNDDFSVDHGKCVTVSDGWRLRTCYRYPSWNYWCKGHTVDIDEISAYKPDRPLLPPQLWDAKAGRWTLRNLSSAYPTLGIDGDDHIYMMSKVIFEDKDAWIIGLDLEKKEVEVLVPVSSERFSDFSPDLLACAFSEYVNATPRSCAQKVISAAPNGTQNCVLGGQLSSSYSTPNAPPQQVTSRCHHTSGSSHEYNYGVHPGYGNYQHPTLHPTPSGSVMRSESFKMLIQ* >Brasy7G197300.1.p pacid=40045791 transcript=Brasy7G197300.1 locus=Brasy7G197300 ID=Brasy7G197300.1.v1.1 annot-version=v1.1 MRPAASKAAGREKGRKKGGGGELLLTDRVLSLRTRLHEALSLGLTRSDGHSAKKWQSTDAGMQSHALKAVAAFISCLSKEMLRLPPIKESISDILIVLEGVLQTKNVLVLIQAADVSLKLVSSIGNSIRQYQCLEILTSFSCQLSADQIPIAISCAGALNCILNSLVTATVSTHTEIWKALERTNTVASIISALRSYAHDVHPLNYLAEMISLLRTIMWIWPSSRYHVWRSDCNLMGKLAHYYLGTETTITTKVLRLYAALALCGNGAMILLKDEVSMAKICELMGASHISITRIEAFKLCQILLRSPKGCNQLMTSHCQAIVEGIIDAMSRSDDKLLVTEGCRTALLVLRYAGNHHQYFWSNAIDKVLYNILTSCYISSHQTHHILCHDELFNMVSENFTDIHPYVWDILGYLAVHCQNEHLSVRKRKDHILDTLISCACSLAAGVMQKSSPMKLSKDVQEPALRAVLMMLLSPCGYILSEASCKLSEVVICLGDDYLNIVLSSLESTTMRSVTTSFDSFKIMTNLMNLACLVLSQPYHNLLNNRNCVDVLSTIIKECLHNHIHITRSKVTSHLHFCFDGSSCCCNFGEEWEGKNIVLFYGLVVLFNLLKSTSLVCVRCKRNLDVGILCHDCRDHFTEGFLRVLQRALSQSLSSGPKLYIAHTLSLFGLCGSPSKLGGKMRSALDDDELADLELLLSDGESLNAHRAIISVRCPKLLPSVKSALGSDGKNKDEWGKSQCRVQMSDRVDSRALKKILEYTYSGFVMVDDDIVKAVRTLAKFCHLKSLQDMLQKEQPRWNSDCPSYDLTVALGPVFHSFSDIILEAQSNEEMKCQHGSCQLSTPHVHSHKIVLSMSCDYLRALFRSGMHESFSEVIRVPLGWQALNKLVHWFYSGELPKVSPDCQWKNLGSDAQLAHLSSYVELSSLAEFWFLEGVKEKSLEIVTSCLNSSTDAAVDVIAVAASLGQWEMVEASTRSVAHMFPRLRDSGQLEELDDDLIELLRTDYVRYSQHGGRSD* >Brasy7G197300.2.p pacid=40045792 transcript=Brasy7G197300.2 locus=Brasy7G197300 ID=Brasy7G197300.2.v1.1 annot-version=v1.1 MISLLRTIMWIWPSSRYHVWRSDCNLMGKLAHYYLGTETTITTKVLRLYAALALCGNGAMILLKDEVSMAKICELMGASHISITRIEAFKLCQILLRSPKGCNQLMTSHCQAIVEGIIDAMSRSDDKLLVTEGCRTALLVLRYAGNHHQYFWSNAIDKVLYNILTSCYISSHQTHHILCHDELFNMVSENFTDIHPYVWDILGYLAVHCQNEHLSVRKRKDHILDTLISCACSLAAGVMQKSSPMKLSKDVQEPALRAVLMMLLSPCGYILSEASCKLSEVVICLGDDYLNIVLSSLESTTMRSVTTSFDSFKIMTNLMNLACLVLSQPYHNLLNNRNCVDVLSTIIKECLHNHIHITRSKVTSHLHFCFDGSSCCCNFGEEWEGKNIVLFYGLVVLFNLLKSTSLVCVRCKRNLDVGILCHDCRDHFTEGFLRVLQRALSQSLSSGPKLYIAHTLSLFGLCGSPSKLGGKMRSALDDDELADLELLLSDGESLNAHRAIISVRCPKLLPSVKSALGSDGKNKDEWGKSQCRVQMSDRVDSRALKKILEYTYSGFVMVDDDIVKAVRTLAKFCHLKSLQDMLQKEQPRWNSDCPSYDLTVALGPVFHSFSDIILEAQSNEEMKCQHGSCQLSTPHVHSHKIVLSMSCDYLRALFRSGMHESFSEVIRVPLGWQALNKLVHWFYSGELPKVSPDCQWKNLGSDAQLAHLSSYVELSSLAEFWFLEGVKEKSLEIVTSCLNSSTDAAVDVIAVAASLGQWEMVEASTRSVAHMFPRLRDSGQLEELDDDLIELLRTDYVRYSQHGGRSD* >Brasy7G197300.3.p pacid=40045793 transcript=Brasy7G197300.3 locus=Brasy7G197300 ID=Brasy7G197300.3.v1.1 annot-version=v1.1 MFALCGNGAMILLKDEVSMAKICELMGASHISITRIEAFKLCQILLRSPKGCNQLMTSHCQAIVEGIIDAMSRSDDKLLVTEGCRTALLVLRYAGNHHQYFWSNAIDKVLYNILTSCYISSHQTHHILCHDELFNMVSENFTDIHPYVWDILGYLAVHCQNEHLSVRKRKDHILDTLISCACSLAAGVMQKSSPMKLSKDVQEPALRAVLMMLLSPCGYILSEASCKLSEVVICLGDDYLNIVLSSLESTTMRSVTTSFDSFKIMTNLMNLACLVLSQPYHNLLNNRNCVDVLSTIIKECLHNHIHITRSKVTSHLHFCFDGSSCCCNFGEEWEGKNIVLFYGLVVLFNLLKSTSLVCVRCKRNLDVGILCHDCRDHFTEGFLRVLQRALSQSLSSGPKLYIAHTLSLFGLCGSPSKLGGKMRSALDDDELADLELLLSDGESLNAHRAIISVRCPKLLPSVKSALGSDGKNKDEWGKSQCRVQMSDRVDSRALKKILEYTYSGFVMVDDDIVKAVRTLAKFCHLKSLQDMLQKEQPRWNSDCPSYDLTVALGPVFHSFSDIILEAQSNEEMKCQHGSCQLSTPHVHSHKIVLSMSCDYLRALFRSGMHESFSEVIRVPLGWQALNKLVHWFYSGELPKVSPDCQWKNLGSDAQLAHLSSYVELSSLAEFWFLEGVKEKSLEIVTSCLNSSTDAAVDVIAVAASLGQWEMVEASTRSVAHMFPRLRDSGQLEELDDDLIELLRTDYVRYSQHGGRSD* >Brasy7G187000.1.p pacid=40045794 transcript=Brasy7G187000.1 locus=Brasy7G187000 ID=Brasy7G187000.1.v1.1 annot-version=v1.1 MEKSRSVPREHSAPTAAYYGSGGGYDYEDVGGGAPPAKSYSFNGPSAGDDPEAKRRRRVASYNVFASQARLKSSVRGSFKWLKSKLSDVRYGGL* >Brasy7G173100.1.p pacid=40045795 transcript=Brasy7G173100.1 locus=Brasy7G173100 ID=Brasy7G173100.1.v1.1 annot-version=v1.1 MAPSAYTAAPASAPDAPLAPLVAAQLNFLLSDSTLPVKVVQIWSGCRNRRYADRFTLGIPFCLDYVYWDVMYNAMYPKVAPDVLFGPDDEGFQPLVDYDDTGNGDKSCLAQWDFRDPRGLMCLVKELRLLYIEYQKKRVVEVDDARLKFEISTVLAKEGIEVCMVSLTDRPDEVKFAVPLLDLDFTKLVPGCPWKFPQKIHLQAIYPVSRSYPSVPPAPRLKLISTPDLKSLFSVDGFKLPTWIDGMCMAEYIPLVEEHLQIQVVEASASIGSRRRFIEALAPTFGRPLEADAIFCRKATVLSISGIFTFLVHFAIPLQFPKQQPILTLQSSQHCNSQGIPIISPPINDYPWSPRWDPTEMVERIYDFLVDECQNFKKLCIDGCSQTR* >Brasy7G173100.2.p pacid=40045796 transcript=Brasy7G173100.2 locus=Brasy7G173100 ID=Brasy7G173100.2.v1.1 annot-version=v1.1 MAPSAYTAAPASAPDAPLAPLVAAQLNFLLSDSTLPVKVVQIWSGCRNRRYADRFTLGIPFCLDYVYWDVMYNAMYPKVAPDVLFGPDDEGFQPLVDYDDTGNGDKSCLAQWDFRDPRGLMCLVKELRLLYIEYQKKRVVEVDDARLKFEISTVLAKEGIEVCMVSLTDRPDEVKFAVPLLDLDFTKLVPGCPWKFPQKIHLQAIYPVSRSYPSVPPAPRLKLISTPDLKSLFSVDGFKLPTWIDGMCMAEYIPLVEEHLQIQVVEASASIGSRRRFIEALAPTFGRPLEADAIFCRKATVLSISGIFTFLVHFAIPLQFPKQQPILTLQSSQHCNSQGIPIISPPINDYPWSPRWDPTEMVERIYDFLVDECQNFKKLCIDGCSQTR* >Brasy7G173100.3.p pacid=40045797 transcript=Brasy7G173100.3 locus=Brasy7G173100 ID=Brasy7G173100.3.v1.1 annot-version=v1.1 MAPSAYTAAPASAPDAPLAPLVAAQLNFLLSDSTLPVKVVQIWSGCRNRRYADRFTLGIPFCLDYVYWDVMYNAMYPKVAPDVLFGPDDEGFQPLVDYDDTGNGDKSCLAQWDFRDPRGLMCLVKELRLLYIEYQKKRVVEVDDARLKFEISTVLAKEGIEVCMVSLTDRPDEVKFAVPLLDLDFTKLVPGCPWKFPQKIHLQAIYPVSRSYPSVPPAPRLKLISTPDLKSLFSVDGFKLPTWIDGMCMAEYIPLVEEHLQIQVVEASASIGSRRRFIEALAPTFGRPLEADAIFCRKATVLSISGIFTFLVHFAIPLQFPKQQPILTLQSSQIGMGSTSDFVCYSFRSLGSNILIPDSSAAL* >Brasy7G173100.4.p pacid=40045798 transcript=Brasy7G173100.4 locus=Brasy7G173100 ID=Brasy7G173100.4.v1.1 annot-version=v1.1 MAPSAYTAAPASAPDAPLAPLVAAQLNFLLSDSTLPVKVVQIWSGCRNRRYADRFTLGIPFCLDYVYWDVMYNAMYPKVAPDVLFGPDDEGFQPLVDYDDTGNGDKSCLAQWDFRDPRGLMCLVKELRLLYIEYQKKRVVEVDDARLKFEISTVLAKEGIEVCMVSLTDRPDEVKFAVPLLDLDFTKLVPGCPWKFPQKIHLQAIYPVSRSYPSVPPAPRLKLISTPDLKSLFSVDGFKLPTWIDGMCMAEYIPLVEEHLQIQVVEASASIGSRRRFIEALAPTFGRPLEADAIFCRKATVLSISGIFTFLVHFAIPLQFPKQQPILTLQSSQIGMGSTSDFVCYSFRSLGSNILIPDSSAAL* >Brasy7G078400.1.p pacid=40045799 transcript=Brasy7G078400.1 locus=Brasy7G078400 ID=Brasy7G078400.1.v1.1 annot-version=v1.1 MGSGGAWLLASAALLVMASCASAAKYTVGDTAGWTTGTDYTTWASDKKLKVGDSLVFTYAGGAHTVAEVSAADYASCSSSNTLSSDASGATTVALKTTGKHYFICGVAGHCSSGMKLAVDVAAAAAAAPAPKASPTPDTTPDTTPTTPSSSGGVTPKTPATVLAPPTAKQSASGTTGLRATGLAGLLGVAGLVAAVQLGLF* >Brasy7G078400.2.p pacid=40045800 transcript=Brasy7G078400.2 locus=Brasy7G078400 ID=Brasy7G078400.2.v1.1 annot-version=v1.1 MGSGGAWLLASAALLVMASCASAAKYTVGDTAGWTTGTDYTTWASDKKLKVGDSLVFTYAGGAHTVAEVSAADYASCSSSNTLSSDASGATTVALKTTGKHYFICGVAGHCSSGMKLAVDVAAAAAAAPAPKASPTPDTTPDTTPTTPSSSGGVTPKTPATVLAPPTAKQSASGTTGLRATGLAGLLGVAGLVAAVQLGLF* >Brasy7G119300.1.p pacid=40045801 transcript=Brasy7G119300.1 locus=Brasy7G119300 ID=Brasy7G119300.1.v1.1 annot-version=v1.1 MEGRGQANGRGNNAREGDRRRTFPTSNLTGIMERALSQHPGARISGGASDAVDRCVEEFIALVTLAAADWCREQDKTTLDGNDLINAMEDLGFYDYVGPLEVYLRRYREFQGRQPAPPTPAATVTTVEMPPPQAPSGAAAASTSRMPPASAAAPAPARDNVDE* >Brasy7G065000.1.p pacid=40045802 transcript=Brasy7G065000.1 locus=Brasy7G065000 ID=Brasy7G065000.1.v1.1 annot-version=v1.1 MAVEILESCMVTPSEATPEHAVWLSNLDLLVARGHTPTVYVYRLSSDSPDPAFFSPDVLKAALSKALVPFYPLAGRLEQDAAGRPEIHCGGEGVLFVTARVDDATLDDLGDFAPSDELRRTLVPSAENGVHAGILAMFQVTFFKCGGVCLGAAIHHTAADGLAALDFVNSWAAIARGDDDNVGCVLPCLDRTLLRARSPPSVRFDHAEYSRRGDVSGGSKPRRVPFESAILPMSKAQIDVLKQGKRLSTFKAVVAHVWRSACEARGLGRAEDTRLYMTADARSRVQPPLPRGFFGNAIFRASAAAKVGDVVGPGNGPPRLEAVAEMVAGATARLDDDYVRSLVDYLGLADAAGLRKGEWVMPETDLWVISWQGLPIYGADFGWGPPAFMGRACLQFSGLVYLVPGPGGDGRLDVVVAMEPGSLARFREVFYRELN* >Brasy7G157400.1.p pacid=40045803 transcript=Brasy7G157400.1 locus=Brasy7G157400 ID=Brasy7G157400.1.v1.1 annot-version=v1.1 MPTPIFPSEAGNDNSASRFTLLLPRPASPQGLTATAGPRRRSYRRKPPRSGEKRSSSDIQSDRSAIRRPAMSGMFGRVFGKSKEQSQASALASIDKLSETLEMLEKKENLLMKKANLEVEKAKAFTKAKNKKAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAASDFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPAQPSRTPARSSKTEDDELAALQAEMAM* >Brasy7G051100.1.p pacid=40045804 transcript=Brasy7G051100.1 locus=Brasy7G051100 ID=Brasy7G051100.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAASKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy7G033400.1.p pacid=40045805 transcript=Brasy7G033400.1 locus=Brasy7G033400 ID=Brasy7G033400.1.v1.1 annot-version=v1.1 MPKNTAVSLLPNAPNSINDEAVEVPFSVSIEHNSVQFPPFINGGGGIDHGMGRTKPKSLQIPNRPSERQALQRWSMISDS* >Brasy7G012700.1.p pacid=40045806 transcript=Brasy7G012700.1 locus=Brasy7G012700 ID=Brasy7G012700.1.v1.1 annot-version=v1.1 MTGRGRGRRARTNPVISAEIWSPKLFGCQKMSILYDIFSKFNKFKLQLMAEISFHGLSEMPRILLNRQFSLWTLIHTMGDGDPIEFVYSDGTRVPMYPEHVKNILGLRAEGTHISLTEDNVTEGIVNEVRMLLGVQDLTVASVRAIVERSIDENSPKEQHHAFKISVIILSFALMLDCRDRDPRMPKYLFPYITDVEKLNELNYARCVLDCLGAAAMKVHEVKNEGYSTCTVGGCSIVSQIFYLDTIDFGQHKVQSSVFPRLKYYTKAKLDFLISMDKNTHSVNVSHWYGYYKVSSGT* >Brasy7G007400.1.p pacid=40045807 transcript=Brasy7G007400.1 locus=Brasy7G007400 ID=Brasy7G007400.1.v1.1 annot-version=v1.1 MFRSTMLGGIDEWKPVIAMLVFDLISAVTTALIKKALAEGLDRLVLVTLRQLVATVFLSPIAFFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNLAPVLTFLIAVLLRVESLNMKNKAGAAKIAGTLMSFAGVMLLTLYKGVPLTHQAVPLGQHAAPEAEAESGKKSWTLGTIALLANGMCFSFWLLLQSKLTKKYPALYSSTAYMFLISSLQGGCVTGAIQRRASVWALTKTVEIVTVLYTGILGSGVGYVLMTWCVEKRGPVFTSSFIPIIQIMVAIIDFFFLHENIYLGSVLGSILMILGLYILLWGKSRDASATAASAEEDEEDKENQVKS* >Brasy7G154700.1.p pacid=40045808 transcript=Brasy7G154700.1 locus=Brasy7G154700 ID=Brasy7G154700.1.v1.1 annot-version=v1.1 MSSSSSSSAAVAGSALGGSHAGLALAATAMALSGTLVLFNLCRAKPPHLVSSSDIGSPAPASPARLRPCLSSSEKRLKREKARRSGSMTKRVRFADDVVDNGPAAAAARPAPEPSCRGAVMPANREALYRGMLRGRSMLRTACSSY* >Brasy7G179500.1.p pacid=40045809 transcript=Brasy7G179500.1 locus=Brasy7G179500 ID=Brasy7G179500.1.v1.1 annot-version=v1.1 MTMLQRWSSSVWSISGSGRVLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPVAFFRERRVRRPVTPQLLASFALLGITGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVFGAVLMVFYRGPSLIGLAGTNAASGNALAGTSWSSSPYSAQWLTSAMLQFGLETRHIGVLCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFGTICMVLTGVFASNGLHEWALTRTEIIAILYAGIVASCLNYAIMTWANKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLMVGYLNPLLVEDAPVRKTQECSSSDSIGP* >Brasy7G179500.2.p pacid=40045810 transcript=Brasy7G179500.2 locus=Brasy7G179500 ID=Brasy7G179500.2.v1.1 annot-version=v1.1 MTMLQRWSSSVWSISGSGRVLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPVAFFRERRVRRPVTPQLLASFALLGITGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVFGAVLMVFYRGPSLIGLAGTNAASGNALAGTSWSSSPYSAQWLTSAMLQFGLETRHIGVLCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFGTICMVLTGVFASNGLHEWALTRTEIIAILYAGIVASCLNYAIMTWANKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLMVGYLNPLLVEDAPVRKTQECSSSDSIGP* >Brasy7G088100.1.p pacid=40045811 transcript=Brasy7G088100.1 locus=Brasy7G088100 ID=Brasy7G088100.1.v1.1 annot-version=v1.1 MSITHLRLVRTEGQCPPHWAHQRSNCYLIGRFAFVQGPRCWNRRLSFSSKRPAPEGRPRSIGKTLRKKNVSPRPRPAGPPPFFAHRPPSSLFFEIWIESRFGAGEAWIR* >Brasy7G185700.1.p pacid=40045812 transcript=Brasy7G185700.1 locus=Brasy7G185700 ID=Brasy7G185700.1.v1.1 annot-version=v1.1 MGFGGMKQIHMLPKINLRFSSWLMQRVDVEAMILEITQSFLIQLTDQSVHSVFSLPIGPNLVCQETDRPTEIMATYTEEASTHGQKGVHSLKAAEVILLKHLNEDSSPTEVGCFQIAFAIYVVGHLLAPTSKHDYVSLDFIGSLNDPSLIGSFNWCRYVIKHIALAARKLQSDVSRNVSALNIGGCHLYLQVYYIDQLDLGVLNKTRDLVPRIAFYDYESLRKMIDCIVAANADESVFLPPGMNNQVWNYKLDVNLCHDAWDGWGSVCEGPAKCVKDTMPPRMNAASTPSRMEVSQDTSLLHEHRRAEHRLRLSIPSP* >Brasy7G030100.1.p pacid=40045813 transcript=Brasy7G030100.1 locus=Brasy7G030100 ID=Brasy7G030100.1.v1.1 annot-version=v1.1 MACEHVSMAAEAWLPSLCTAKDTMARGEVPCLTMAVANGSMAEEGWLAVAGKLGALDPFGAPRQSKAAAAAATDEMLHKAKAPSPAAVVSAAEGKASSKGKYRLSNSSIRDIISQRPLALPTTEYMDTMAPLFPPEWLEKKKRQHEEELVLYKQINDELMEFRQRVIEGVMKKGYFELDEEEVASMKAAHQSNMDYRTNIDFSRCRFATPEEEILYADGYHYPDEDDDDDGDLAEDVEYEFEYALWSEGEEDVEGVDEAVAQKISLESN* >Brasy7G102300.1.p pacid=40045814 transcript=Brasy7G102300.1 locus=Brasy7G102300 ID=Brasy7G102300.1.v1.1 annot-version=v1.1 MAATTEWTSSEVEEARSVIASLSSKYCRNGGEYHDNIIGELQTKFPSKTMHQVRDLYVDLVVEMETDMTQHVGIVETMKKVPVVKEKKVEVLENKVSIHQPVLGIKCTNLYYGKWSTLFHFFSHYCQTPFQSTTETKPGCCRLFLRGLRAYGRGKWRDISKNFVTSRTPLQISSHAQKYFKRLQKGSEKQRYSINDVELDNNDRMNTENNARPSQSAAAIPTSSFLQVPSNPSITMDNIGQFKFPF* >Brasy7G010900.1.p pacid=40045815 transcript=Brasy7G010900.1 locus=Brasy7G010900 ID=Brasy7G010900.1.v1.1 annot-version=v1.1 MASELAYAPPMKSGKAGFEGVQEVQHRIRITLSSKSVKNLEKVCSDLVKGAKDKQLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDQ* >Brasy7G045900.1.p pacid=40045816 transcript=Brasy7G045900.1 locus=Brasy7G045900 ID=Brasy7G045900.1.v1.1 annot-version=v1.1 MPCIFKKFQHRLSSKIDGSGMTTAKFKPEGEDLVIDIQTSCYGSRWSQKSLLAGESLSRRRSSSLQLI* >Brasy7G139800.1.p pacid=40045817 transcript=Brasy7G139800.1 locus=Brasy7G139800 ID=Brasy7G139800.1.v1.1 annot-version=v1.1 MDVVRTQQRSVAARSTESSNCSDLPAPPDLRCGCGNAACKKKARTPRNPGRDFFTCGSKEWTCKTWIWVDLVMDYADAMVEYCTRPVREKWMRHIADLSLKLDRKKEELEGVRFELNLLAPLDDETDP* >Brasy7G007300.1.p pacid=40045818 transcript=Brasy7G007300.1 locus=Brasy7G007300 ID=Brasy7G007300.1.v1.1 annot-version=v1.1 MWSAGCVDQWMPTTAMVATNIVIAIMTALLKQALSQGMNRLVLITFRQMLATVFLGPIAYFKERKTRPKLSAEIFVYMFLSGILGPVLLQYTLFVGLEYTTATFAATFSNLLPVVTFLISLAFGYEALEVRSRSGSAKISGTLLSLTGAMMLTFYKGSPLTHLQHTASSSSAPAPAESAGHGGGGDAVRWVVGSASMLANVVGFAGWLLLQRRFTSRYPAVYSATAFMSLLSFVQAGALALSTQRCAAEVWRPRGAVQIAAIVYCGVVASGIGYLMLTYCVEKRGPVFTAAFSPLSQMFVAAIDLFVLHEPLYLGSVLGSVLVILGLYLVLWGKRSEEAAAALTKPAQAAADLHGEAEQQQDRV* >Brasy7G117100.1.p pacid=40045819 transcript=Brasy7G117100.1 locus=Brasy7G117100 ID=Brasy7G117100.1.v1.1 annot-version=v1.1 MSSSAGDGCALPSTTSKGKARMDDDEAEAAEGVLCGICLTDSRRAIRGELDCCAHHFCFVCIMAWARVESRCPFCKARVRTIRRPPVPGRFPSERLVTVAERNQQVYHPRGNESSLVNTDPYVNSSCSMCNCSRDEELLLLCELCDAAAHTYCVGLGTTVPEGDWFCKDCATSKEEHSRCEIDDAGSSDQGEFEITTEVPSAEPVADPSIFDTVDEGYSLSSVHRANASSSGLFLVDSVPSIYDIVDEDYATNRVCRSNVRGTRLDRKADDFPPSTSSDESYCHETPQERTSGRMIHSLARFRVEKARTLPNSRNLSNRIMALRENWSALRAGSVGFATHLHNNRRENGATSMVATSSVNEHQHFIGETTTMSEHANKISPKETSHVRKAWKMLEMAKSAGGRKKCNKPSSLDCTPRFSMGNRSSSCCPIDTILGQMNQTLSNEVAQRNAVKYGRGAKKDNTLPTKDNEGNCKLPANYHERMGSSGDRMVNQEGPNDRVVSSSHSQHIDQMSEDSCGVKVASSSHSQHIDQTLLHPLANNSLSSARSTVTSALQFASNAGSQSSTMVKPEGPSVVCVATTSNEIGIAGATVQARKGSGPDRHGSKRKHCFETRDGQGSKKSRTSCKIAKSEISSMAMRELKLLKIDKTHGSDRFKEVARAATHTVLASCGLEHSPSLALALPKPVCKDSCRTEPLKLSAITNTCRECLCDFVKRVISSVLSGRQMDQTGDRC* >Brasy7G117100.2.p pacid=40045820 transcript=Brasy7G117100.2 locus=Brasy7G117100 ID=Brasy7G117100.2.v1.1 annot-version=v1.1 MSSSAGDGCALPSTTSKGKARMDDDEAEAAEGVLCGICLTDSRRAIRGELDCCAHHFCFVCIMAWARVESRCPFCKARVRTIRRPPVPGRFPSERLVTVAERNQVYHPRGNESSLVNTDPYVNSSCSMCNCSRDEELLLLCELCDAAAHTYCVGLGTTVPEGDWFCKDCATSKEEHSRCEIDDAGSSDQGEFEITTEVPSAEPVADPSIFDTVDEGYSLSSVHRANASSSGLFLVDSVPSIYDIVDEDYATNRVCRSNVRGTRLDRKADDFPPSTSSDESYCHETPQERTSGRMIHSLARFRVEKARTLPNSRNLSNRIMALRENWSALRAGSVGFATHLHNNRRENGATSMVATSSVNEHQHFIGETTTMSEHANKISPKETSHVRKAWKMLEMAKSAGGRKKCNKPSSLDCTPRFSMGNRSSSCCPIDTILGQMNQTLSNEVAQRNAVKYGRGAKKDNTLPTKDNEGNCKLPANYHERMGSSGDRMVNQEGPNDRVVSSSHSQHIDQMSEDSCGVKVASSSHSQHIDQTLLHPLANNSLSSARSTVTSALQFASNAGSQSSTMVKPEGPSVVCVATTSNEIGIAGATVQARKGSGPDRHGSKRKHCFETRDGQGSKKSRTSCKIAKSEISSMAMRELKLLKIDKTHGSDRFKEVARAATHTVLASCGLEHSPSLALALPKPVCKDSCRTEPLKLSAITNTCRECLCDFVKRVISSVLSGRQMDQTGDRC* >Brasy7G117100.3.p pacid=40045821 transcript=Brasy7G117100.3 locus=Brasy7G117100 ID=Brasy7G117100.3.v1.1 annot-version=v1.1 MCNCSRDEELLLLCELCDAAAHTYCVGLGTTVPEGDWFCKDCATSKEEHSRCEIDDAGSSDQGEFEITTEVPSAEPVADPSIFDTVDEGYSLSSVHRANASSSGLFLVDSVPSIYDIVDEDYATNRVCRSNVRGTRLDRKADDFPPSTSSDESYCHETPQERTSGRMIHSLARFRVEKARTLPNSRNLSNRIMALRENWSALRAGSVGFATHLHNNRRENGATSMVATSSVNEHQHFIGETTTMSEHANKISPKETSHVRKAWKMLEMAKSAGGRKKCNKPSSLDCTPRFSMGNRSSSCCPIDTILGQMNQTLSNEVAQRNAVKYGRGAKKDNTLPTKDNEGNCKLPANYHERMGSSGDRMVNQEGPNDRVVSSSHSQHIDQMSEDSCGVKVASSSHSQHIDQTLLHPLANNSLSSARSTVTSALQFASNAGSQSSTMVKPEGPSVVCVATTSNEIGIAGATVQARKGSGPDRHGSKRKHCFETRDGQGSKKSRTSCKIAKSEISSMAMRELKLLKIDKTHGSDRFKEVARAATHTVLASCGLEHSPSLALALPKPVCKDSCRTEPLKLSAITNTCRECLCDFVKRVISSVLSGRQMDQTGDRC* >Brasy7G117100.4.p pacid=40045822 transcript=Brasy7G117100.4 locus=Brasy7G117100 ID=Brasy7G117100.4.v1.1 annot-version=v1.1 MCNCSRDEELLLLCELCDAAAHTYCVGLGTTVPEGDWFCKDCATSKEEHSRCEIDDAGSSDQGEFEITTEVPSAEPVADPSIFDTVDEGYSLSSVHRANASSSGLFLVDSVPSIYDIVDEDYATNRVCRSNVRGTRLDRKADDFPPSTSSDESYCHETPQERTSGRMIHSLARFRVEKARTLPNSRNLSNRIMALRENWSALRAGSVGFATHLHNNRRENGATSMVATSSVNEHQHFIGETTTMSEHANKISPKETSHVRKAWKMLEMAKSAGGRKKCNKPSSLDCTPRFSMGNRSSSCCPIDTILGQMNQTLSNEVAQRNAVKYGRGAKKDNTLPTKDNEGNCKLPANYHERMGSSGDRMVNQEGPNDRVVSSSHSQHIDQMSEDSCGVKVASSSHSQHIDQTLLHPLANNSLSSARSTVTSALQFASNAGSQSSTMVKPEGPSVVCVATTSNEIGIAGATVQARKGSGPDRHGSKRKHCFETRDGQGSKKSRTSCKIAKSEISSMAMRELKLLKIDKTHGSDRFKEVARAATHTVLASCGLEHSPSLALALPKPVCKDSCRTEPLKLSAITNTCRECLCDFVKRVISSVLSGRQMDQTGDRC* >Brasy7G124700.1.p pacid=40045823 transcript=Brasy7G124700.1 locus=Brasy7G124700 ID=Brasy7G124700.1.v1.1 annot-version=v1.1 MAVSGGYKGREGRIRRRQGLEGGRPIGGGLGHRRVRGGGAGRKQRPPEEEEGCASRERGGGRRRAAAIARRNKIGTPSSGWRAAEELLLRGWGEAADELLYGRIQRPDVDPAPAASICTGPAAGVSWGRGRPPAGAAWRMPSVARGSAGGGGRGRERSPWGGSATEAVCCVAGRRGGGGRPSAGSEEECSVRAGEEKEKGGGVGGCSKGRKREMRKG* >Brasy7G135100.1.p pacid=40045824 transcript=Brasy7G135100.1 locus=Brasy7G135100 ID=Brasy7G135100.1.v1.1 annot-version=v1.1 MTEMEVLCIGTADTKLDELLFLAARLRSTLPASASIPKVQVSIVDVSTTKAIIPIQDTKDISVIGRDTVLSCCPDANQQDLPDDRGEAIAYMSKALQSFLKNKYKGGTLVGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAASAVAGMVHGILMASSKSDETDTKLTVGITMFGVTTPCANAVKDRLNKEGYETLVFHATGVGGKAMEELVRSGFIQGVLDITTTEVADYIVGGIMACDETRFDAAIDNNIPLVVSVGALDMVNFGAHDTIPPAFSDRKIHVHNEQISLMRTTVEENKKCAQFIAEKLNKSSSRVTVCLPQKGVSAIDAPGMPFYDPEATSALLDELNTRIVKTDNRQLKLLPYHINDPEFANALVDAFLSMDIKASIALTQKSNLILPKQDANKQESSSEQRASDNSIIWRPPMDFPDAKPETLQKTNSILYKLKQQICEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVPVLAGVCATDPFRRMDYFLKQLEAIGFCGVQNFPTVGLYDGNFRQNLEDTGMGYSMEVDMISRACSMGFLTTPYAFNPAEGAAMAKAGAHIIVAHMGLTTAGSIGAKTAATLDDSVLRVQAIADAAIGVNPDIIILCHGGPISGPREAEFILKNTKRVHGFYGASSMERLPVEEAITNTMRKYKCISLK* >Brasy7G135100.3.p pacid=40045825 transcript=Brasy7G135100.3 locus=Brasy7G135100 ID=Brasy7G135100.3.v1.1 annot-version=v1.1 MTEMEVLCIGTADTKLDELLFLAARLRSTLPASASIPKVQVSIVDVSTTKAIIPIQDTKDISVIGRDTVLSCCPDANQQDLPDDRGEAIAYMSKALQSFLKNKYKGGTLVGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAASAVAGMVHGILMASSKSDETDTKLTVGITMFGVTTPCANAVKDRLNKEGYETLVFHATGVGGKAMEELVRSGFIQGVLDITTTEVADYIVGGIMACDETRFDAAIDNNIPLVVSVGALDMVNFGAHDTIPPAFSDRKIHVHNEQISLMRTTVEENKKCAQFIAEKLNKSSSRVTVCLPQKGVSAIDAPGMPFYDPEATSALLDELNTRIVKTDNRQLKLLPYHINDPEFANALVDAFLSMDIKASIALTQKSNLILPKQDANKQESSSEQRASDNSIIWRPPMDFPDAKPETLQKTNSILYKLKQQICEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVPVLAGVCATDPFRRMDYFLKQLEAIGFCGVQNFPTVGLYDGNFRQNLEDTGMGYSMEVDMISRACSMGFLTTPYAFNPAEGAAMAKAGAHIIVAHMGLTTAGSIGAKTAATLDDSVLRVQAIADAAIGVNPDIIILCHGGPISGPREAEFILKNTKRVHGFYGASSMERLPVEEAITNTMRKYKCISLK* >Brasy7G135100.2.p pacid=40045826 transcript=Brasy7G135100.2 locus=Brasy7G135100 ID=Brasy7G135100.2.v1.1 annot-version=v1.1 MTEMEVLCIGTADTKLDELLFLAARLRSTLPASASIPKVQVSIVDVSTTKAIIPIQDTKDISVIGRDTVLSCCPDANQQDLPDDRGEAIAYMSKALQSFLKNKYKGGTLVGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAASAVAGMVHGILMASSKSDETDTKLTVGITMFGVTTPCANAVKDRLNKEGYETLVFHATGVGGKAMEELVRSGFIQGVLDITTTEVADYIVGGIMACDETRFDAAIDNNIPLVVSVGALDMVNFGAHDTIPPAFSDRKIHVHNEQISLMRTTVEENKKCAQFIAEKLNKSSSRVTVCLPQKGVSAIDAPGMPFYDPEATSALLDELNTRIVKTDNRQLKLLPYHINDPEFANALVDAFLSMDIKASIALTQKSNLILPKQDANKQESSSEQRASDNSIIWRPPMDFPDAKPETLQKTNSILYKLKQQICEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVPVLAGVCATDPFRRMDYFLKQLEAIGFCGVQNFPTVGLYDGNFRQNLEDTGMGYSMEVDMISRACSMGFLTTPYAFNPAEGAAMAKAGAHIIVAHMGLTTAGSIGAKTAATLDDSVLRVQAIADAAIGVNPDIIILCHGGPISGPREAEFILKNTKRVHGFYGASSMERLPVEEAITNTMRKYKCISLK* >Brasy7G066800.1.p pacid=40045827 transcript=Brasy7G066800.1 locus=Brasy7G066800 ID=Brasy7G066800.1.v1.1 annot-version=v1.1 MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFEAEETILDIREAKVAYRAEVFELQKQLARQQAQFDLLSGQASSLIQGRRARVSAMSTVNVQLISLDEILSSRNIEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFNPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLARGDSEKSHHHQRVAELQRLRSIFATSERQWVEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLAKHQFLKIACQLERKHIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNAQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPVLTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE* >Brasy7G066800.2.p pacid=40045828 transcript=Brasy7G066800.2 locus=Brasy7G066800 ID=Brasy7G066800.2.v1.1 annot-version=v1.1 MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFEAEETILDIREAKVAYRAEVFELQKQLARQQAQFDLLSGQASSLIQGRRARVSAMSTVNVQLISLDEILSSRNIEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFNPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLARGDSEKSHHHQRVAELQRLRSIFATSERQWVEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLAKHQFLKIACQLERKHIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNAQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPVLTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE* >Brasy7G066800.3.p pacid=40045829 transcript=Brasy7G066800.3 locus=Brasy7G066800 ID=Brasy7G066800.3.v1.1 annot-version=v1.1 MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFEAEETILDIREAKVAYRAEVFELQKQLARQQAQFDLLSGQASSLIQGRRARVSAMSTVNVQLISLDEILSSRNIEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFNPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLARGDSEKSHHHQRVAELQRLRSIFATSERQWVEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLAKHQFLKIACQLERKHIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNAQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPVLTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE* >Brasy7G066800.4.p pacid=40045830 transcript=Brasy7G066800.4 locus=Brasy7G066800 ID=Brasy7G066800.4.v1.1 annot-version=v1.1 MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFEAEETILDIREAKVAYRAEVFELQKQLARQQAQFDLLSGQASSLIQGRRARVSAMSTVNVQLISLDEILSSRNIEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFNPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLARGDSEKSHHHQRVAELQRLRSIFATSERQWVEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQAFVSEFINHLVNQLAKHQFLKIACQLERKHIASAYSLLRVIESELQSYLTAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNAQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPVLTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE* >Brasy7G200300.1.p pacid=40045831 transcript=Brasy7G200300.1 locus=Brasy7G200300 ID=Brasy7G200300.1.v1.1 annot-version=v1.1 MAFRSPTRIFLACGGGGALLTILHNSHQRPQTDGDQPLASSPAAPPWVILGRVVRVSPEIPPGTDISLALATPPRVSTLTIPPRVFPEKTTPDNFPSVVAADPSGLLLLHADQGRARDPSAAHRPGRHECQPWRDFIPGYFLLDAVAASALRVPNPERILHLGHLGLLASPQGCHGRHYMLAELQPRYGSTHAAMATLLCFSSEVRKWVTKVVAYPLPPRRLLSPNGVVSHSGMLWWVDLSWCLLACDPYADKPLLRAVPLPPGRALEPREAWGLIEKYRCVGVSAGKLRFVDMYRNSDARGAPKISVWTLLDLPDSMEWKLECEATFQDICDDETFEATGLPKKLPVLALINPTNPDVVYFFMEKQPVGFDLRSRKVVACAACELVAPPSKFLSSRFVHAWQLPRALSSGFVPNDSTGSGSEWMEAAFPASSLTYVCEVSFISSFRGLPQRFCFSSRSSVTGGFSLVSSRNKELEEH* >Brasy7G176100.1.p pacid=40045832 transcript=Brasy7G176100.1 locus=Brasy7G176100 ID=Brasy7G176100.1.v1.1 annot-version=v1.1 MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEYCSPGVDIKGIFDRYQQALGTSLWIEQYENMQRTLNHLKDINRNLRTEIRQRMGEDLDSLEFEDLRGLEQNVDAALKEVRQRKYHVITTQTETYKKKVKHSQEAYKNLQQELGMREDPAFGFVDNPAAGGWDGVAAVAMGGAGAADSMYSFRVVPSQPNLHGMAYGGSHDLRLG* >Brasy7G018800.1.p pacid=40045833 transcript=Brasy7G018800.1 locus=Brasy7G018800 ID=Brasy7G018800.1.v1.1 annot-version=v1.1 MPHLVTFSVHPIAQWWCTGASQTGASSLSTRAPHALMAISRLRFLSLLFVLLRAFASLPTQAAASGGSDSSGIDNGKHGAFSRNLLEDNLEITEEMTRGYMSNSELENAIQGFGNKCSNVSRIYSIGRSVNGSPLWVIELSDKPGQKEAEPAFKFIGNVHGDEPVGREVLMQLAYWLCDNYLKDPLATLIVENTHLHILPTMNPDGFALRRRGNANNIDLNRDFPDQFFPINDEISFRQPETRAIINWIKQEHFTASASLHGGALVANYPWDGSRDKSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDLKWPKASELLVIWEQNKMSMLNLVASLVKTGVHGRIFSSDSGRPIPGSLMVKGIDSKISASRTLGDYHRMLAPGETYEVVASMEGFRPRSTRIVLEQEAMNLDFILDPDGQKKPLHNDCGCHCDNNVGGKPFLIRESHLWLYLLVLFVLLALYLLSKRRRTTSKLSAHRHPLKRPVAV* >Brasy7G018800.3.p pacid=40045834 transcript=Brasy7G018800.3 locus=Brasy7G018800 ID=Brasy7G018800.3.v1.1 annot-version=v1.1 MTRGYMSNSELENAIQGFGNKCSNVSRIYSIGRSVNGSPLWVIELSDKPGQKEAEPAFKFIGNVHGDEPVGREVLMQLAYWLCDNYLKDPLATLIVENTHLHILPTMNPDGFALRRRGNANNIDLNRDFPDQFFPINDEISFRQPETRAIINWIKQEHFTASASLHGGALVANYPWDGSRDKSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDLKWPKASELLVIWEQNKMSMLNLVASLVKTGVHGRIFSSDSGRPIPGSLMVKGIDSKISASRTLGDYHRMLAPGETYEVVASMEGFRPRSTRIVLEQEAMNLDFILDPDGQKKPLHNDCGCHCDNNVGGKPFLIRESHLWLYLLVLFVLLALYLLSKRRRTTSKLSAHRHPLKRPVAV* >Brasy7G018800.2.p pacid=40045835 transcript=Brasy7G018800.2 locus=Brasy7G018800 ID=Brasy7G018800.2.v1.1 annot-version=v1.1 MPHLVTFSVHPIAQWWCTGASQTGASSLSTRAPHALMAISRLRFLSLLFVLLRAFASLPTQAAASGGSDSSGIDNGKHGAFSRNLLEDNLEITEEMTRGYMSNSELENAIQGFGNKCSNVSRIYSIGRSVNGSPLWVIELSDKPGQKEAEPAFKFIGNVHGDEPVGREVLMQLAYWLCDNYLKDPLATLIVENTHLHILPTMNPDGFALRRRGNANNIDLNRDFPDQFFPINDEISFRQPETRAIINWIKQEHFTASASLHGGALVANYPWDGSRDKSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDLKWPKASELLVIWEQNKMSMLNLVASLVKEFMEGYFLQIVAVLYLVH* >Brasy7G018800.4.p pacid=40045836 transcript=Brasy7G018800.4 locus=Brasy7G018800 ID=Brasy7G018800.4.v1.1 annot-version=v1.1 MTRGYMSNSELENAIQGFGNKCSNVSRIYSIGRSVNGSPLWVIELSDKPGQKEAEPAFKFIGNVHGDEPVGREVLMQLAYWLCDNYLKDPLATLIVENTHLHILPTMNPDGFALRRRGNANNIDLNRDFPDQFFPINDEISFRQPETRAIINWIKQEHFTASASLHGGALVANYPWDGSRDKSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDLKWPKASELLVIWEQNKMSMLNLVASLVKEFMEGYFLQIVAVLYLVH* >Brasy7G185100.1.p pacid=40045837 transcript=Brasy7G185100.1 locus=Brasy7G185100 ID=Brasy7G185100.1.v1.1 annot-version=v1.1 MRRFPPLSQRAAAAAAASTSTPDVVAELGRIISTRRWNKGRAYKRLAPSVTPAHVAELFRGAACPSPDPATALAFFEWLARRPGFRHTAGSHAALLHLLSRWRSPASYEKLVFSMFGCSDNAEDMRVSADAIQAICRTGGPRHALSPACYNFALRCLSRFDMMEEMERVYSQLVRDGLLPDKMTYNVMIKSYCKEGDLARAQRYFKLLLECGLEPDTFTFNALVLGYCRTRNLRKACWLLLMMPLMGCRRNEYSYTILIQGLCEARCAREAFVLLLMMRGDGCSPNAHTYNFLISGLCKEGRVHDARLLLDEMPLRGVVPSIRTYNAMIAGYCKSGRMEDALEIKELMGGNGCDLDDWTYNTLIHGLSDGNIDEAERLLDNAVKGGFRPTVVTFTNLIDGYCKAERIDDALRVKNNMMSSKCELDLHVYGKLINSLIKKDMLKEAIELLTEISATGLVPNVFTYTSVIDGYCKSGKVDFALEVLKMMERDGCRPNAWTYNSLMYGLIQDKKVNKAMALISKMQKDGVTPNVINFTTLVQGQCNQHEFDNAFRLFEMMEQNGLTPDEQSYTVLTVALCKAGRAEEAYSFLVGKRVALTKIQYTALIDGFSKAGNTDFAAALTEKMISKGCRLDSYTYSVLLHALCKQKKLQEALPILDQMTRRGIKCTTVAYTTLINEMLREGKHDHAKRMFDEMVSSGHKPSATTYTVFINSYCKEGRIEEAEKLIVEMERQSVAPDVVTYNIFIDGCGHMGYINRAFETLKCMMDASCEPNYGTYCILLKHLLKGNLNVHYVDASGMWNLIELDTVWQFFERMTKHGLNPTITTYRSLIAGFCKASRIKEACVLLDHMCGKDMTPNEEIYTLLIKCCCDIKFFEKASLFVSNMIECGFQPHLESYQLLILGLCSEGQFEKAKSHFCDLLELGYSHDEVAWKILNDGLLKVGYVDICSQLLSTMENKNCCISSQTNAMLTNGMHEASSRLVGEVHGEAA* >Brasy7G052300.1.p pacid=40045838 transcript=Brasy7G052300.1 locus=Brasy7G052300 ID=Brasy7G052300.1.v1.1 annot-version=v1.1 MLCLCTYRLWQDTCILATDAYDNQGAKAVILCPTRELAAQTMRVQEVGKGKEVLCQTNDQISITTWEFQGCALRHCYWAAPAWPWERSRPWRCRATAPPSRTDVGVLVPPKEEEIIKLYLRRKVEGKHFNVKLITFLDLLSLRPTGAHSDPSIDEGSPDSRVILTSFQYPIPNGSKRCGIGAAGKRGEPVGALTGHLDGITFIDNRGYRRYFISNCKDQTIKLLDIRKMSSTMNCTPKACEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLLCTLIHCYFSPSHRV* >Brasy7G099100.1.p pacid=40045839 transcript=Brasy7G099100.1 locus=Brasy7G099100 ID=Brasy7G099100.1.v1.1 annot-version=v1.1 MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEARKDGSKNHKSKVEKDPGIPNEWPFKEQELKALEVRRAQALQELELKKEARKERARKRKLGLPEDEDIADLASAASAQGTEFAEKDAAKVDASVELPKNNDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPTKRIVLLLNKIDLVPKESVEKWLTYLREELPTVAFKCSTQEQRTKLGWKSSKLDKTSNVPQQSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDRKVKLLDCPGVVMLRSSNSGVSVALRNCKRVEKMEDLITPVKEILNLCPHEKLMSLYNMPSFTSVDDFLQKVATLRGKLKKGGIVDVEAAAKIVLHDWNEGKIPYYTLPPKRDAVEDSDAVLISQDGKEINVDEIYKAESSYISGLKSMLDFSHMEIPSNAPPEINEEMLEDVKPVEEMSGVKDREGSKSTSGSTQHDKLYTAEGILDPRKKKAEKKRRKGNKFSVLNDMDADYDFNVDYQMKDAPADDEDGKKGGGDEPEAMTGVDDA* >Brasy7G214100.1.p pacid=40045840 transcript=Brasy7G214100.1 locus=Brasy7G214100 ID=Brasy7G214100.1.v1.1 annot-version=v1.1 MTVIWAIGLLRPPDSLSPYYLPLNHGTPAGTAFGFLELNVSETTSCHGPLDADDKEDQGRITAERQTPLVVTVGPAMHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDVPLYITSDAVGGNATSRNMSEIIYAGDSKSEGVPATPTELVWLPDRNTPDLVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGEKKSGYLAIGFGTAMVNSYAYVGWIDGDGRGHVGSYWIDGKDGMSVHETHENITYKRCRSENGAIVFEFTRPLTPSCSGRVECKNIIDPSTPLKVIWAMGSQWSSGRLSVNNMHSATSNRPVRILLLSGLAEAVQDLRPVLAVHGFMMFVAWGLLLPGGIVAARYLKHLKGDLWFQAHTYLQYSGVAVMFLGVLFAVAELRGFSFKSTHAKIGLLAFTFTSVQPINAYLRPHRAENGEILSRKRVIWEYLHVFTGRTALVAGATALFTGLQHLGHRYGSKTIKGLTCGLVLWVVSGVLVVVYLEYIKVKRRRDGADGLTHKWVLGNTEEDDSVDLLQSDRFAGKMKSSFAGPEEVQLEPLDE* >Brasy7G059100.1.p pacid=40045841 transcript=Brasy7G059100.1 locus=Brasy7G059100 ID=Brasy7G059100.1.v1.1 annot-version=v1.1 MKVGALLTSAGINIGLCVLFLSFYSILRKQPQNAKVYFGRRIVEEHKRLRGAFILERFVPSPSWIVRSLQIMEDEMLATAGLDAVVFNRILVFSIRIFSLAAILCIFGILPLNYFGQDMHHVRIPSASLETFTIGNMQEKSRWLWVHCVVLYIISCVACFLLYLEYKHIARLRLLHLVQTTTNPSHFTVLVRGIPKSTHESFSTAVENFFTKYHAPSYLSHQVVYKVGKVQKIVMGAKKAYRKFKLFKGIAVDQTCRSVTYRCCLCGVSSNSFQQLSSEEQKREKPCVDDSNLNLHDEECAAAFVFFKTRYAALIVSEILQTSNPMKWVTSLAPQRDDMYWSNLWLPYKQIWIRHIATLLGSIVFMFIFLLPVTFIQGLTQLEQLQQRLPFLKGILEGKYMTQLVTGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSVISQLNALSSPKDIPMQLARAVPLQATFFTTYVLTSGWASLSSEVMQLFGLIWNFLRKYILRRKEDSDFIPSFPYHTELPKVLLFGLLGFTCSVLAPLILPFLLLYFFLAYVVYRNQFINVYCTKYDTGGLYWPIAYNTTIFSVVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQHCRKRLLPLFRTFPAQVLIDMDRDDEQSGRMDAIHQRLQSAYCQLLDTDDISLEGVETISTDEDVSGSSGESNCKESANQPENDLSHPTLQGLPVNQLRRAVRSLGFMIRLQKRGLSAA* >Brasy7G032800.1.p pacid=40045842 transcript=Brasy7G032800.1 locus=Brasy7G032800 ID=Brasy7G032800.1.v1.1 annot-version=v1.1 MLASLRYLAGTAGPSGFGSRTTADEVVASAAGQGGDLGHITAIVTGATSGIGAETARALARRGARLVLPARSLKAAHEARARLLAGGPSDGDRIVVLPGLDLSSLASVRRFASRFLALNLPLNLLINNAGQFVERLGLTEDGVETTFATNYLGHFLLTRLLLPKMADTARDTGVQGRVVNVSSTVHAWFSSSTSDDVDGHGHGPVIGYLNRLTSKQTPYDATRAYALSKLANVLHTRALADRLREMDVDVTANCVHPGIVRTRLIRDRAGIVTNTVFFLASKLLKTVPQAAATTCYAAVHPAVAGLSGKYFADCNEASPSRLASSGEEASRLWSFSEGITAKEEKESVAPVTSFRFQVQSSNADRGMAVA* >Brasy7G208100.1.p pacid=40045843 transcript=Brasy7G208100.1 locus=Brasy7G208100 ID=Brasy7G208100.1.v1.1 annot-version=v1.1 MAPPKLSILALLFLLFPAAGNAAAADTAAPPALFNVTEILGRFPDFTLFNYLLTKTKVSAQINRRGTVTILAPVNADVDWLLRRSSRLPRAALVELLSVHVILDYIDAAKLAALPRGRPGAPPVVATTLYQTMGVAPAGDRSGFLTITPTGNGGAVFASAAPGALVNATFKKAVTAKPYNISVLQISNFVVPPGVISRPRFGPPPPRRIRSMAVAPSPAPAPAAVLPAVPPVTMPVEEGTAETIPVAAPAPSDGNRAVEVMRLWFGAGVVMAVACVLAGL* >Brasy7G207400.1.p pacid=40045844 transcript=Brasy7G207400.1 locus=Brasy7G207400 ID=Brasy7G207400.1.v1.1 annot-version=v1.1 MNDSYYLFWDGRWLWWSGLSGGWRESNGGKRYPAVNIVILTMGSFRYAMGYQTLTEPPEDSSPDRDRVMVIKDMKQWESHWDLAAPYNKLLVYDFYEENNPLCKAMDKPFEKLAKRYKGKADFCKLDVDNLEYLARLCGSEGVYPMFVLFRNGKQVGKVVGIKQDQLEQSVAKEMIENEMIKNEMIENESVSVSID* >Brasy7G207400.2.p pacid=40045845 transcript=Brasy7G207400.2 locus=Brasy7G207400 ID=Brasy7G207400.2.v1.1 annot-version=v1.1 MNDSYYLFWDGRWLWWSGLSGGWRESNGGKRYPAVNIVILTMGSFRYAMGYQTLTEPPEDSSPDRDRVMVIKDMKQWESHWDLAAPYNKLLVYDFYEENNPLCKAMDKPFEKLAKRYKGKADFCKLDVDNLEYLARLCGSEGVYPMFVLFRNGKQVKWIFLYV* >Brasy7G207400.3.p pacid=40045846 transcript=Brasy7G207400.3 locus=Brasy7G207400 ID=Brasy7G207400.3.v1.1 annot-version=v1.1 MNDSYYLFWDGRWLWWSGLSGGWRESNGGKRYPAVNIVILTMGSFRYAMGYQTLTEPPEDSSPDRDRVMVIKDMKQWESHWDLAAPYNKLLVYDFYEENNPLCKAMDKPFEKLAKRYKGKADFCKLDVDNLEVKWIFLYV* >Brasy7G207400.4.p pacid=40045847 transcript=Brasy7G207400.4 locus=Brasy7G207400 ID=Brasy7G207400.4.v1.1 annot-version=v1.1 MNDSYYLFWDGRWLWWSGLSGGWRESNGGKRYPAVNIVILTMGSFRYAMGYQTLTEPPEDSSPDRDRVMVIKDMKQWESHWDLAAPYNKLVKWIFLYV* >Brasy7G089900.1.p pacid=40045848 transcript=Brasy7G089900.1 locus=Brasy7G089900 ID=Brasy7G089900.1.v1.1 annot-version=v1.1 MLTRTLIGLLRPSLSGLRGYSPRAPAGAATADLVIDEDSPRPGSTVGVGAAAAIAATVPTVLQPRVLIYDGVCHLCHRGVKSVFKADKHAKIRFCCVQSRAAEPYLRLVGMDREDVLRRVLFIEGPEVYYEGSTAALKVASYLPLPYSALSSMLIIPVPLRDAIYDYIAKNRYDWFGKDDECIVTKDQELLERFIDREEIIGGDPSNSSY* >Brasy7G089900.3.p pacid=40045849 transcript=Brasy7G089900.3 locus=Brasy7G089900 ID=Brasy7G089900.3.v1.1 annot-version=v1.1 MLTRTLIGLLRPSLSGLRGYSPRAPAGAATADLVIDEDSPRPGSTVGVGAAAAIAATVPTVLQPRVLIYDGVCHLCHRGVKSVFKADKHAKIRFCCVQSRAAEPYLRLVGMDREDVLRRVLFIEGPEVYYEGSTAALKVASYLPLPYSALSSMLIIPVPLRDAIYDYIAKNRYDWFGKDDECIVTKDQELLERFIDREEIIGGDPSNSSY* >Brasy7G089900.2.p pacid=40045850 transcript=Brasy7G089900.2 locus=Brasy7G089900 ID=Brasy7G089900.2.v1.1 annot-version=v1.1 MLTRTLIGLLRPSLSGLRGYSPRAPAGAATADLVIDEDSPRPGSTVGVGAAAAIAATVPTVLQPRVLIYDGVCHLCHRGVKSVFKADKHAKIRFCCVQSRAAEPYLRLVGMDREDVLRRVLFIEGPEVYYEGSTGKKFTLRCLQLVTNIHEQLQKHSTDARCSFKAPCHLEGEGIV* >Brasy7G162400.1.p pacid=40045851 transcript=Brasy7G162400.1 locus=Brasy7G162400 ID=Brasy7G162400.1.v1.1 annot-version=v1.1 MSLRLPIPQGLSFFRSVGWFEDSKFDSAAKQQLSPKLKLQTDKEVYRPGDSVTATIEICSSACLQNDAGTISGEDIPSLLVDGLSFELKGIEKLDSQWFSVPKTIPGSKQRRGEYLFLDCSAPSLVSKVIISSGQTKTYIVRLELPKILPPSYRGISIRYIYYVRSTLFGRLIGLGNGDQNKGYANSAVQLEARVPLQICVSQKSSNLLTEEGSFPLSVEHLSIFWREKDEDSEWIRANDNTDLEEGYDSSKDEVSSVSSYNPSKSNTEYPLRSSISTQSLSSRLSTSEALHSQGEHPTFPSYSAIPRLSVSEISDDHGGGMVSPQRKLNHLLSDHHPSNGQRFSLDSGPKDDAGLPITPKHVEPSGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGTRRCLEVSITLETSETINPRVIHPSRRVSPTITKVHSEHHEVVADLHQTSFLFSIPIDGPMSFATSKVSLQWSLRFEFFTTPPGMDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRRATHGRNDRSVLVGNLFNS* >Brasy7G163000.1.p pacid=40045852 transcript=Brasy7G163000.1 locus=Brasy7G163000 ID=Brasy7G163000.1.v1.1 annot-version=v1.1 MSTAAGVVLLLAVVLATASTTTARHHHHGGGYGEVFERQAADLVESLPGQPAGLGFRHFSGYVTVNATHGRALFYWFFEAAHQVSKKPLVLWLNGGPGCSSLGYGALQEVGPLFTQKGTPELKLNPHSWNKEANLLFLEQPAGVGFSYTNTTSDITRFGDELAAHDAYTFLVNWFERFPQFKGHDFYIAGESYAGHYVPNLSEKILEQNKKVHKSRRINFKGFLIGNAAIDEASDDSGMVDYAWDHAVISDELYADLTKHCNFSAGQSSDFSSGAENNSSNAACNNALNSFYEAFNDVDIYSLYTPVCTTSTSTNSRTRRLRRPFPSTSSSTNKNDVPQLRLRLRYDAYDPCQDGYTEAYLNRRDVQDALHANATGSIPYGWSACSNDLFQNWQDSPASTLPAIRKAVDAGLRVWVYSGDTDARVPVSSTRRALRKLGLRTVRPWAEWFTSDQVGGYTVAYDGLTLVTVRGAGHMVPTITPVQASQLFAHFLAGKDLPAKPVVAV* >Brasy7G221500.1.p pacid=40045853 transcript=Brasy7G221500.1 locus=Brasy7G221500 ID=Brasy7G221500.1.v1.1 annot-version=v1.1 MELDEQAFLEELFSLRRDAAWECNAMPMGDFFSPACGAGAMDCFQERHQPTVSVLPTFTASYDHPHPPPQQQPAAGFDCLSEVYGSGVVPFSSGGEYGGDMGFLDVMEPKAAAPMAAEVVGACKVEPGLADGGAFSTGAPAPASRKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIGQLQEEMEPEPAAPALLSVFRREQNPNEMLARNTPKFEVERKEKDDTRVEIYCAAKPGLLLSTVSTLDTLGLDIQQCVVSCFNDFAMHASCSEMQREMITTEVIKQELYKNAGYGGGCL* >Brasy7G094000.1.p pacid=40045854 transcript=Brasy7G094000.1 locus=Brasy7G094000 ID=Brasy7G094000.1.v1.1 annot-version=v1.1 MAASKWVRPEVYPLFAATGVAVGICAFQLLRNITGNPEVRVSKVGRAAGVLDNHEEGRRYAEHGLRSFVRDKTPEIMPGINKFFTDPK* >Brasy7G212100.1.p pacid=40045855 transcript=Brasy7G212100.1 locus=Brasy7G212100 ID=Brasy7G212100.1.v1.1 annot-version=v1.1 MSSSGGCSTCLETIFAAVLPPLGVFFRYGCCSSEFFISVLLTALGYVPGIAYSVWVILKTAPEPPGIDGDRPYYILA* >Brasy7G009600.1.p pacid=40045856 transcript=Brasy7G009600.1 locus=Brasy7G009600 ID=Brasy7G009600.1.v1.1 annot-version=v1.1 MAAAAAAPVEVPVMGPVKTVKVTNVSLSATEQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIASAPEYQPPPTASAPLMSGTRVPVGGDNVVHKAEDVVSSMLAKGFTLGKDAVGKAKAFDDKHGFTSTAGAKVASIDKKIGLSEKFTMGTSVVNEKVKEMDQKFQVSDKTKSALAAAEQTVSSAGSAIMKNRYVFTSASWVTSAFSKVAKAATDVGTMTKEKMSAEEQHKGSGPSSGGYTPIR* >Brasy7G225400.1.p pacid=40045857 transcript=Brasy7G225400.1 locus=Brasy7G225400 ID=Brasy7G225400.1.v1.1 annot-version=v1.1 MKVSPVLMALSAAAFGFFIGISFPVVITPQLQCGILSSGAANSSSSDSILGRLWAPFRSNTTLASDATSDQILKAVAANPKGAERLPPGIVVSESDLHLRRLWGSPSEDVPPRKYLLILSVGFTEKANVNATVHKFSDNFDVLLFHYDGHTTEWGEFPWSEYAVHVSARKQTKWWFAKRFLHPSIVAPYEYVFLWDEDLGVDNFTAEAYLEMVRKHGLEISQPGLDATRGKKTYDVTVKRDSGEIHKTNAGGANCPDVHKRPCSGFVEVMAPVFSRDAWRCVWHLIQNDLVHGWGLDFNFWRCVDDPEEQIGVVDAQFVVHHGVPTLIDQGNGEKDANSDKVRARQWDEMHTFDVRIANADKDLANSTTVPADHHHQQP* >Brasy7G163300.1.p pacid=40045858 transcript=Brasy7G163300.1 locus=Brasy7G163300 ID=Brasy7G163300.1.v1.1 annot-version=v1.1 MSGLPPPPPPNLFDDDLLDDLLAEILLRLPPKPASLPRASAVCRRWFRVVSDPGFSRRFRHHHRAKVPLLGCFLADSGDFHFEPTMDPPDRVPRGRFPSMLPRNSDFRLCGCRHGLALLLLHGYDDFQVKVWDPVGGAEQCIAPPREFVNLPNLDGAVLRTFNSTATATAAEQQQQQLVLTVCLYSSKTNCWGNLMSTTLPAALRGLVISKGNLLVGDSVYWLIAAECVLIIEFDLKKMSIAVIEPPVKIVRQSPQYSLMRADDGGLGCITLSNFTAQLWRRNKDPDGANSWVPDRIVQFDRILPPHLQTQMPRPMIAGLAEENNIMFICMALCVFKVDLQSLKCNQVSEGISFSFHHAFESVYTTGKVSSGIEPMSHV* >Brasy7G215100.1.p pacid=40045859 transcript=Brasy7G215100.1 locus=Brasy7G215100 ID=Brasy7G215100.1.v1.1 annot-version=v1.1 MGLLGSLFPLLLLVFTGISEVHASGVLRNQEGNRTHPSNATLAGCRTSCGNLTFNYPFGIGSGCFRDPDFSLNCNDTTQPPRLFLSDGTTEVVGNIDVIDHESYYNFQKYFKVAFSQIITMRSGIDHYNMSWKAPGRSFSLDDAHLNITGCGFDMYLINQDMDTTRPYCTMTCPNKEITDSMARQNCNGTGCCSINFEYSISEFLIRFVRHTTGELESHSKGRSLWDSINMTTNYAYFTWSIMDQLSCAGAVENKSTYACISRLGRCDDSNVPMNFGYLCRCNAGYGGNPYILDGCSRDKGYNPIQRANCPSKCGNITVPFPFGLEEGCFGRELFYLNCTNMTSSILLIDNYYEVEYIDVEHGLIKYNLPFERQGSIYTVSDGASLFVHSEESVSLRWAIANLTCLEAQQNKTGYGCVSSNSMCAIVNSTHGYIGYRCKCSLGFTGNPYTQNGCTDVNECLQPNICNNGTCDNTIGSFKCTECPQKTLYDPVRMHCTAIKQQNLLLGIIIGLCSGFGILLVSLIGIILIRRWKSDIQKQLRKKYFQKNQGLILEQLISSDENASDRTKIFSLEELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIEESEISQFVNEVAILSQINHRNVVKLFGCCLETEVPLLVYDFVSNGSLFETLHCDASGGFSLSWDNCLRIAAEAAGALYYLHSAASVSVFHRDVKSSNILLDSNYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGELNEKSDVYSFGVVLVELLLRRKPIFTNESGSKQNLSNYFLWELKRRPIKEIAAAQVSEEATDEEIKSVASLAEMCLRLRGEERPTMKEVEMTLQFLRTKRSNSCHVVPENDEEMQLLLCTGSEARCESLNFGDSIANSGSQYSQKCCSLELEFGSSTGLPR* >Brasy7G186800.1.p pacid=40045860 transcript=Brasy7G186800.1 locus=Brasy7G186800 ID=Brasy7G186800.1.v1.1 annot-version=v1.1 MFNSKFYNKCKHAFKCIRTRLVLIRRKKQAMIRFMKKDIADLLANGLDTHAFGRMDGLIIEMNHSCCYDMIEECCDLIGKQLNSLQKQRDCPQETREAVSTLIFATARFPDLPELYDLRHIFTERYGNFLEPFVNLEFVRKLDSESFTKEEKFEVMQSIAEESSVSFDTKALEIKLWAAAESKDVLIGSDSSKQVELAVPFPNKQKDSEAPLERKFEATTLGQRQNLEELKPIDVQAARAVANGVGRLNDNNSGKPHSDKSDGMEHLEKSVSPLVTKRGDTQKDLIKPDCKDYYEKSVSPLDMKMGNTPKEDQKLKKRDSRPSEKELMEAVELDINGLPKQGSGSVNFPEAESNNKIVPPIAKPKETKKEHGVEKENDRGLGYRHRSQVPGRPDHNGRHTNLGLKALGLQNQGPSSLNPSIGKITNRPPPYAKVNGAKGKNCDGKDESNGFLHGRPQHLADPGHTEQDGHRAPDTASNMQPPYVKPVSVRRKKPPEPVKAYGEATKDENVTSRTTSSHRRQSSKHKGASDGCDQNDGVGNDRNVERTPSGRPSHPGRRNGALCNNDHDGSMQRPRAGQDETAIYFGNLLPRTANGHRRLNSRNTDVCDGDLDEEERMMDKLLIHYSKKGLDMDEAKTRTETGITANGHGTQTQCQQNGSMHPPGRAVSLPPGSVSTDEAGKVPARSTSLRPECPRSVHVHPRMPDFEELAARVTALRNA* >Brasy7G188900.1.p pacid=40045861 transcript=Brasy7G188900.1 locus=Brasy7G188900 ID=Brasy7G188900.1.v1.1 annot-version=v1.1 MVLSSTHAWNKVLQIEGNTMGVLTLVHILVSFAASAEAIRRADFPPGFTFGTASSAYQYEGAVNEGQRGPTIWDTLTRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGVDAYRFSISWSRIFPNGTGKPNEEGLSYYNSLIDVLLDKGIQPYVTLFHWDLPQALEDKYGGWLNSQIVEDFVHYASTCFKEFGDRVKHWITVNEPHNFAIDGYDFGIQAPGRCSIMSHLFCKDGRSSTEPYIVAHNILLAHAGAFHTYKQHFKKEQGGLIGIALDSKWYEPLSDVDEDREAAARAMDFELGWFLDPLMFGHYPPSMQKLVGSRLPQFSKQESQSVSGSLDFVGINHYTTLYARNDRMRVRKLVMNDASTDAAVIPTAYRHGKRIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVFITENGMDDANNRFSRLENVLQDGERIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVKWFSQVLAQKTAIM* >Brasy7G157700.1.p pacid=40045862 transcript=Brasy7G157700.1 locus=Brasy7G157700 ID=Brasy7G157700.1.v1.1 annot-version=v1.1 MKMESPLTGGESRWLHVTKTPVPVRNVQDLVASSKELTAAMMEQYIRPDIDRDLVLPEHSAEIIPVIDLAKLLNPHSEAAELDKLRFACEDWGFFQVINHGLPNKVIAATKHDIQDFFQLPLDVKNDYAQRPGEIQGYGQAFVVSNDQKLDWADMLGLFAQPPQARDMSYWPKQPHTFRNSIEEYSSELLKFSHYIETFIAKTLNVDHELMRGNCAVQTLRMTYYPPCMSMPNKVLGFSPHSDGSFITILLEVNSVQGLQIRRHDVWVPVKPHPEALLVNVGDLLEIMTNGKYKSVEHRVTINAHKERLSISAFHVPKFEAIVSPIPDIVEGKVLYKTVRVEEYAKLYLSNELDGKKALDFAKISPT* >Brasy7G230200.1.p pacid=40045863 transcript=Brasy7G230200.1 locus=Brasy7G230200 ID=Brasy7G230200.1.v1.1 annot-version=v1.1 MADLQEPLVRGKRKKVLVDYLVQFRWILVIFVVLPISSLIYFNIYLGDMWSAMKSEKKRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEINAERMVAKVEPLVNMGQISRATCPLNLSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVALEIVLADGKVVRATKDNEYSDLFYGVPWSQGTIGFLVSAEIKLIPIKEYMRLTYTPVKGDLKEIAQGYADSFAPRDGDPSKVPDFVEGMVYNPTEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTRQYYHRHTRCLYWEGKLILPFGDQFWFRYLFGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGEALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFELHQRQGDTSYAQMFTDVGVYYTPAFIFRGEEFNGVEAVKRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA* >Brasy7G230200.2.p pacid=40045864 transcript=Brasy7G230200.2 locus=Brasy7G230200 ID=Brasy7G230200.2.v1.1 annot-version=v1.1 MADLQEPLVRGKRKKVLVDYLVQFRWILVIFVVLPISSLIYFNIYLGDMWSAMKSEKKRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEINAERMVAKVEPLVNMGQISRATCPLNLSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVALEIVLADGKVVRATKDNEYSDLFYGVPWSQGTIGFLVSAEIKLIPIKEYMRLTYTPVKGDLKEIAQGYADSFAPRDGDPSKVPDFVEGMVYNPTEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTRQYYHRHTRCLYWEGKLILPFGDQFWFRYLFGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGEALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFELHQRQGDTSYAQMFTDVGVYYTPAFIFRGEEFNGVEAVKRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA* >Brasy7G150900.1.p pacid=40045865 transcript=Brasy7G150900.1 locus=Brasy7G150900 ID=Brasy7G150900.1.v1.1 annot-version=v1.1 MDPVINLDTEMTPSSSRPPRLTPVRFYMAAGDDYDDEEYQGSPRRYQDRRHRGRCHLAAALEPEEEPEPSEDSDFFEPYPYNYDELYPKRDPMGPYQMGQAKIAAEDALRHYNADPGRQQERFFFAEVHRRVPTCLCSLDAEGDDQVGGVGGDPFARAKDWLDGASYHAGHLYPVSFYRKPGSVSLD* >Brasy7G198700.1.p pacid=40045866 transcript=Brasy7G198700.1 locus=Brasy7G198700 ID=Brasy7G198700.1.v1.1 annot-version=v1.1 MPPRPSLQSLLLMAASSTAAAGGSGLLLAARRRFPDASAAAAGAHRIRLLHSFSAGTRLPRRLELACCFGTAPVAAPVADPVVPQPRNVHSKEDKKACEERIGILVEKMKKEGINSERWKLDIYDKLLCPVCNGGSTEERSLSVFIRQDGLSASWKCFRATCGWKGSAKPDGVSKVSQAKKDTGKENNQVVKANQAVKVYRKLHEEDVPLEPLCDELVTYFSERMISAGTLQRNNVMQRKWNKKIVIAFTYKRDRVLVGCKYREVNKKFSQEPNTEKILYGLDDIKQARDVIIVEGEIDKLSMEEAGYRNCVSVPDGAPSQVSNKLPDKDEDKKYQYLWNCREYLDKASRIILATDADPPGQALAEELARRLGKERCWRVIWPKKNEKDICKDANEVLMFLGPQALKKVIEGAELYPIRGLFNFKDFFPDIDNYYLGIRGDELGIPTGWKCMDELYKVVPGELTIVTGVPNSGKSEWIDALLCNINDQCGWKFVLCSMENKVRDHARKLLEKHIKKPFFGARYGGSVERMSPDEFEEGKQWLNETFHLIRCDDDCLPSIDWVLNLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQILTKIKRFAQHHSCHVWFVAHPKQLQNWNGAPPNMYDISGSAHFINKCDNGIVIHRNRDPDAGPIDVVQVSMKKVRNKVIGTIGDAFLTYDRVTGEYKDADKEIVAKVVKQQSKKSAIRR* >Brasy7G198700.2.p pacid=40045867 transcript=Brasy7G198700.2 locus=Brasy7G198700 ID=Brasy7G198700.2.v1.1 annot-version=v1.1 MPPRPSLQSLLLMAASSTAAAGGSGLLLAARRRFPDASAAAAGAHRIRLLHSFSAGTRLPRRLELACCFGTAPVAAPVADPVVPQPRNVHSKEDKKACEERIGILVEKMKKEGINSERWKLDIYDKLLCPVCNGGSTEERSLSVFIRQDGLSASWKCFRATCGWKGSAKPDGVSKVSQAKKDTGKENNQVVKANQAVKVYRKLHEEDVPLEPLCDELVTYFSERMISAGTLQRNNVMQRKWNKKIVIAFTYKRDRVLVGCKYREVNKKFSQEPNTEKILYGLDDIKQARDVIIVEGEIDKLSMEEAGYRNCVSVPDGAPSQVSNKLPDKDEDKKYQYLWNCREYLDKASRIILATDADPPGQALAEELARRLGKERCWRVIWPKKNEKDICKDANEVLMFLGPQALKKVIEGAELYPIRGLFNFKDFFPDIDNYYLGIRGDELGIPTGWKCMDELYKVVPGELTIVTGVPNSGKSEWIDALLCNINDQCGWKFVLCSMENKVRDHARKLLEKHIKKPFFGARCDDDCLPSIDWVLNLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQILTKIKRFAQHHSCHVWFVAHPKQLQNWNGAPPNMYDISGSAHFINKCDNGIVIHRNRDPDAGPIDVVQVSMKKVRNKVIGTIGDAFLTYDRVTGEYKDADKEIVAKVVKQQSKKSAIRR* >Brasy7G189800.1.p pacid=40045868 transcript=Brasy7G189800.1 locus=Brasy7G189800 ID=Brasy7G189800.1.v1.1 annot-version=v1.1 MRRWREEVVALSLQGHAHVYGLGDEADDRPEKPRRYGVTEMRSPYYSFRPAHHALQEILDSIGPFVDGLKFSGGSHSLMGKELIREITDLAHKHDMYVSTGDWAEHLLRQGPSSFKQYVEECKELGFDTVELNAGSLKLPEEAILRLVRLIKNSGLRAKPLFSVKFDSSDIPASGDRAFGAYIVPVKQTSERVEDVNLLIRRAERCLEAGADMIMIDADDVCQRADSLRADVIAKIVGRLGLDKTMFETSNASTSEWFVKRYGPRVNLFVDHSEVMNLERLRGFDMRRSSPSLLTSPFFLM* >Brasy7G212000.1.p pacid=40045869 transcript=Brasy7G212000.1 locus=Brasy7G212000 ID=Brasy7G212000.1.v1.1 annot-version=v1.1 MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDLDKDTSFFGVFDGHGGKVVAKFCAKYLHREVLKSEVYSVGDLGTAVHRAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPKGSDSNDQHDDWAFEEGPHSDFSGPTCGSTACVAIVRNSQLVVANAGDSRCVISRKGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLARAIGDMEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPIDNEKNASASEQPSIEDKNVSAGEQLAAVDTEQPTDMEKNGL* >Brasy7G091100.1.p pacid=40045870 transcript=Brasy7G091100.1 locus=Brasy7G091100 ID=Brasy7G091100.1.v1.1 annot-version=v1.1 MSRPTPPPPSATGISAASTPAARASPRNLRLPRLIPYNADMQYSSSALPGAAKPPRMSLTIAATAPPSIRAALPSAQPARFARIITASFLSAAASASAPAPAPASASNRADASAVASSERTTPGVRAILDRFIPARETWYSAIPASRRARWPTAVWVLAVAKSSSSGGIAPSTPMAARFSSSTARLYMAPAAWSRASGWPDRSTSTSGDTAPDRTIRALFSASRDRLTMAAAALTWTPGSPDSSIGRSAASSRGVQSWIRISLFSRVACRSDAIASFSSDGLEPSAASTAMISSSSEPRVVV* >Brasy7G115600.1.p pacid=40045871 transcript=Brasy7G115600.1 locus=Brasy7G115600 ID=Brasy7G115600.1.v1.1 annot-version=v1.1 MASASKKKAANRLVVAEATADDNSICDLHPATMDRLSLFQGDVALLKGKRRRSTLCIVLSDENCPEHALRVNKAVRSDLRARIADVVSVHPCRDARGGTRVHVLPVEGITGCLFESYLKPYFQEAYCPVRKGDLFLVRGGMRSVEFKVVGVESFSSNEYCVVVPDTEIFTDGEPVKREDEERLDGVGYDDVGGMRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMREHLRKAFEEAENNAPSIIFIDEIDSIAPNREKTHGEVERRIVSQLLTLMDGMKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEMLRIHTKNMKLDEDVNLEVVAKDSHGYVGADLAALCTEAALQCIREKMDVIDLEDDAIDAVILNSMAVTNDHLKTALAGTNPSALRETVVEVPNVGWSDIGGLDGVKRELQETVQHPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPLAKNVDLGALAKFTAGFSGADITEICQRACKYAIREDIEKDIERQRMAKEGQMEVDCCGQEEEEAAEIKAAHFEESMKYARRSVSDGDIRKYQSFAHTLQQSRGFGTEFRFPAQPGPAGAAAMTNAFETSAAADEDDDLYN* >Brasy7G009900.1.p pacid=40045872 transcript=Brasy7G009900.1 locus=Brasy7G009900 ID=Brasy7G009900.1.v1.1 annot-version=v1.1 MPMLLCQMAPLAMLLCLLAGTSMALPALPGMDRVRWQVDRANRRGPSIGLVMSYVAEDTALQASGYFTPWHVLPFVDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLLDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKQVAYTGAWTWKKFKSLKESSTELSFGQFNVPNGGENLLGSLKYRNEELYSVGKPMEEVFWLPVDSAWFKIAEGLKVNLERCNDTFCLPTTPQVVYGLKGSSSDMFLDNAEYRNFLFREFGVSTVDEESAAVVMTTTSPGVPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIGTIGRQKSAPSAHSSNN* >Brasy7G146800.1.p pacid=40045873 transcript=Brasy7G146800.1 locus=Brasy7G146800 ID=Brasy7G146800.1.v1.1 annot-version=v1.1 MNDLFSTSSFKKYADASPQGAGGGGDMEAGGESVANLDKFFEEVEAVKEDMRGFETLYKRLQSTNEETKTAHEARAIKSLRSRMDGDVEQVLKRAKAVKAKLEALDKDNANSRKAPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEHAEESTIESLISSGESESFMQKAIQDQGRGQVMDTISEIQERHDAVKEIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAHEIQKDSRKWMCFAVLGGIAIVVVLVTPVLINLHILTLR* >Brasy7G039600.1.p pacid=40045874 transcript=Brasy7G039600.1 locus=Brasy7G039600 ID=Brasy7G039600.1.v1.1 annot-version=v1.1 MTPTTRRQSTATVVFLAIVLVFSVFSGGAEAGKDPSDCSVAQAAFSECISYVGGLEDELPPNCCRALADIAGLASTARQRRAICGCLLSEMLVAGELNTGRAAGLPAACGQQGAPFVPTDPGFDCSRIQ* >Brasy7G026300.1.p pacid=40045875 transcript=Brasy7G026300.1 locus=Brasy7G026300 ID=Brasy7G026300.1.v1.1 annot-version=v1.1 MHSSSTAAATMPKAEESKFWTTRNYILAALGGALVVTAITTVLSIILSPGHITFTVVHSSSTTNGTGDSASQNLNLTITAANDSKRRAMAVLYQSVFVDLKNSSSPNGRDTIHAQLYAGPDPKNYFPEAVANIKASVLLVGSQDFAGDRASSGGFTVVITALVNFRVWKIPTRQYDIKVSCPRVLFPAEQQGLPSSKQAVQQPVNCTG* >Brasy7G057600.1.p pacid=40045876 transcript=Brasy7G057600.1 locus=Brasy7G057600 ID=Brasy7G057600.1.v1.1 annot-version=v1.1 MASSSSWSPGATLDGPIRARSLSTGAASDDDDVLRSLAQPERVVSRLRTQETLRAICKKYSIPNGFTPVLAGDLPSSAPPPAGSVCVYVDALDAGMRFPLHPFFGTVLRHFGIAPGQLAPNGWRALAGFVVLSHFARVAPSLAVFRYFFALCPCRNKLHSLRGKEVAGLLFGRLNAKNRGWKEEFFFLASSATWPCPVQWGEPSKSSTLDPTLTILEKTVAANLLRARGSSPIDLTTYLHDSNLAAAKIIRASPTPQEKATATARASAAKVAMKSEQDPNALPFGKRRKLGEDPSCPPGFSAPRKPPPTSKDGGKQPCAFRPHGGGDWQAARQLLQGVVTPSRERELAASKPADVVASSYLSLLQTANEVAFSLGYALELEEKLRARAREAEEMQADLGRKLKAQERRAAEEADALRAELREAKAETEAAVEALRAELRAAKAELEEAKGDADWAKKAAADAAAREVSAAMEHARALAERELHGYARGMEDMKRAALRRYPRLDPVRLVVPIHGPP* >Brasy7G229200.1.p pacid=40045877 transcript=Brasy7G229200.1 locus=Brasy7G229200 ID=Brasy7G229200.1.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.2.p pacid=40045878 transcript=Brasy7G229200.2 locus=Brasy7G229200 ID=Brasy7G229200.2.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.3.p pacid=40045879 transcript=Brasy7G229200.3 locus=Brasy7G229200 ID=Brasy7G229200.3.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.4.p pacid=40045880 transcript=Brasy7G229200.4 locus=Brasy7G229200 ID=Brasy7G229200.4.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.5.p pacid=40045881 transcript=Brasy7G229200.5 locus=Brasy7G229200 ID=Brasy7G229200.5.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.6.p pacid=40045882 transcript=Brasy7G229200.6 locus=Brasy7G229200 ID=Brasy7G229200.6.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G229200.7.p pacid=40045883 transcript=Brasy7G229200.7 locus=Brasy7G229200 ID=Brasy7G229200.7.v1.1 annot-version=v1.1 MAATITLIGAASPGLGDAACRDTGGTAGGHHASFNQCSRLLLPNNKRRPRRWVLCSLKYACLGSVGEPGEAAGRTSMTSPVYSSLTVSPAGEAAVAVVSSEQKVYDVVLKQAALLKRQLRPAALAGVRAREPLEVPLNGLKEAYGRCGEICDEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITRFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAENVYGAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFSGVVTNKWRNFMKRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTRRAYVGKGKKLLALPVAYGRSLLLPYSLRNNQA* >Brasy7G136600.1.p pacid=40045884 transcript=Brasy7G136600.1 locus=Brasy7G136600 ID=Brasy7G136600.1.v1.1 annot-version=v1.1 MLQGSSRRCSCKRGSPMLRPLCTAAARDDEWCWKLQATQLQGGAASRRRCCKPLKPVLQTATRMVGSPRRRCCIAVSASRRRGCRLPTPLLQASSPVVLQGGRQRVAVWGR* >Brasy7G138700.1.p pacid=40045885 transcript=Brasy7G138700.1 locus=Brasy7G138700 ID=Brasy7G138700.1.v1.1 annot-version=v1.1 MWRVSEAVAPGGVEAGGGRIHRGRGWGSIAPKGAQPRGLPAGGQWLRVGGRRRRKERGREMRRAQPRGRPAGGQWRRAGASPHCVVAEGSKAREGASSFSCRGLPRTASREGCSAAAGGRRGGGGAGGRRRRKERGREMRRAQPRGRPAGGQWRRAGGRRRRKERGGR* >Brasy7G209000.1.p pacid=40045886 transcript=Brasy7G209000.1 locus=Brasy7G209000 ID=Brasy7G209000.1.v1.1 annot-version=v1.1 MECKAPPPTPPLPAAAISAVLDDDDLLIEILVRVAFPTSLVRAALVCKRWYSHASAPAFLRRFRSLHPPRPLGFYIVTGVPKNTRFVPLPQPPELAAVVRRGSFDLDTLGSDRLVESCWNGILITASSVHRENPMRMAHCPLYPSRDMPILPPLPETTIHDGSILHYDPHFGGEIVPNGDSNDGVWAISSSAVSEIPKIDLLFPDLLGDAKIYNVASVDGIDKLLVLYLVSSSLALVNLPEEVDLMCTQLSHAGGSGIHLAHIKGSQLRIWLHTMDNNGVPFWFLVDTISLHEICVKHMIPTCMLKDDSTRVHPAGASSEFIFLEMGEVLYLFDIKHKVAKKVYEVTAEDRHLIYVIPFMMVWPPKFPVMKERCDPKE* >Brasy7G061300.1.p pacid=40045887 transcript=Brasy7G061300.1 locus=Brasy7G061300 ID=Brasy7G061300.1.v1.1 annot-version=v1.1 MKHLLRGAPRTAAAVACAQRLGAAARAGVCRRGGRGQWSTSPWAPSTWASRCWGLGGLLRRATLEYGCGHRSALRIPCAVAEFCRAPTPPPRRRPARVWRGEEKKAEARGGLDPRFVGGEV* >Brasy7G029100.1.p pacid=40045888 transcript=Brasy7G029100.1 locus=Brasy7G029100 ID=Brasy7G029100.1.v1.1 annot-version=v1.1 MACEHVSMAAEEWLPNLCTAKETMAKGEVPCLTMAVANGSMAEEGWLAVAGKLGALDPFGAPRQSKAASPAAVVSAAEGKASSKGKCRLSNSSIRDIISQRPLVLPTTELMDSLAPLFPPEWLEKKKRRHEEELVLFKQMNDELMEFRQRVIQGVMEKGYFELDEEEVASMEAAHQSNVDYWANIDFSRFRFATPEEELLNADGYHYPDEDDDDDDDELEYDIWSEGEEDVEGVDEAVAQ* >Brasy7G006300.1.p pacid=40045889 transcript=Brasy7G006300.1 locus=Brasy7G006300 ID=Brasy7G006300.1.v1.1 annot-version=v1.1 MAMSNNPARSMAAAAAMMVLMAARLASANIPPSQWSPPSSYPTPSGSPPATTGGYSTSVWIDGSATFYGDASGLGADFGGACGFSANDIASLYSTATAALSTPLFTDGDGCGRCYELRCVKSPWCNPGSPSITVTGTNLCPPNWYLPNDNGGWCNPPRQHFDLAPPSFLRLAARVAGIVPVQFRRVPCQRTGGVRFYVTGNPYWLLLHIMNVAGAGDVCQVAVRMVGGNGGWITTSKNWGSTFQAFSALDKSKGLAVKLTTCSDPKQSIVVGDAIPAWWSTGLTYQGSNNFY* >Brasy7G204800.1.p pacid=40045890 transcript=Brasy7G204800.1 locus=Brasy7G204800 ID=Brasy7G204800.1.v1.1 annot-version=v1.1 MSSMFMQVSRSSSSSPHSGMAVDRISRVPAKSLCRFKCVPNHWQLGLTNDRDYHKIKLPQALTGFFHSSTTKGHFLESPVSFTSLSLSGSQSQPCPPIDTSFAFLPSHRRLDLLDCCNGLLLFRWYDVSAKDNQFRHVVCNPAMEKWTVLPDSGQAGKPSTARTARLGFDPAVSPHFHVFELEEEDQGPDLSFLARISQVSFKVYSPETGVSVYSSETGGWVHKEKRSNQFVLLTRFRPATVFLNGNLHFHAFDHKLSSCVAAVDTGAETWTNFAVPDGLLDGFIQCSQGRLHYANFEGGEDDGTACRLVVYVLEDYDSKEWILKHSVEKSYMFKGMSVCLDDLDWVAIHPEHNLIFFTVASISCSTFMSYNMNCKQLKVICNLEDGRPPYLPYMPLYAELPWDASGIPHMSSL* >Brasy7G162600.1.p pacid=40045891 transcript=Brasy7G162600.1 locus=Brasy7G162600 ID=Brasy7G162600.1.v1.1 annot-version=v1.1 MGEHACTSPSTPLPPVQGRKEQPSPRHHTMVSSPPSSKTTRPAIRIIHIIAPEIIKTDAANFRDLVQRLTGRAAAADDDSTAVTVGVAPPPTLPLSPVEEKPQKEEVAAVKKRLLPAAPALQAADFVAQQENKRRKKIKCEVVKVEDGAGFGYGGFGELGHGGDLWMDLNPGGFLSFLEEADVFQGMAHPDFLQPLGSSRMDFVGEMCASFLA* >Brasy7G206500.1.p pacid=40045892 transcript=Brasy7G206500.1 locus=Brasy7G206500 ID=Brasy7G206500.1.v1.1 annot-version=v1.1 MSSPPSAAPPTSPSPATSSHPPPSASDGPPVHSNIAPDYRLISVPTRMTSRYYRQDNSSRRGKGTRFPGPELPEDSLMPMRDAARATCVSRAFLRSWRCRPNLVFNLKTLGCSLTNVSDFESKVDDIVKNHSGIGVKTFSLEWDYCVSAKACSYLDSWLQMAVTPGIEKLTLHMCSTYSWDAEYNFLCSLLSDDGRGSLIRYLHLADCAFRPTVELGCCLRSLTTLNLCHVDITRDQLGCLLSASTALERLELRRCSEITSLKTTAPQPAAENEAPNICSFHFWGVQAKLLLGQLLQLKDLELCCSTTVSYARQELPSVAPNLETLTISSYREVPSWEDLAEDSVFREPLHLRRMPEYHHDKLRTVKIARFSHAKSLVELTCNILENAPSLESLTLDTTRGILRCSTGKSGTCYPLRTHLEAHEAVRAIRTYIEGKVPSTVMFNEELGIFTRAEGTKRAAILLNSI* >Brasy7G110700.1.p pacid=40045893 transcript=Brasy7G110700.1 locus=Brasy7G110700 ID=Brasy7G110700.1.v1.1 annot-version=v1.1 MPAALTLVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSSDARHWDTTLSNDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLIETYIRDDVEKDRLFRAVDTIPAVRRKAEWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDILRSKLDESRVREIVADAVDIEREFVCDALPCALVGMNGDLMSQYIEFVADRLLMALGCKKMYNATNPFDWMELISLQGKTNFFEKRVGDYQKASVMSNLNGGAATNHVFSIDEDF* >Brasy7G056600.1.p pacid=40045894 transcript=Brasy7G056600.1 locus=Brasy7G056600 ID=Brasy7G056600.1.v1.1 annot-version=v1.1 MDRGAAFACWLLVSGLLLGSCTNRACSSSLLLAGDDARDAASSDGDAKARVAGGGGIGKRAVARRSLGLRLTSPPSPVPNAPRANAAPGPPQ* >Brasy7G227800.1.p pacid=40045895 transcript=Brasy7G227800.1 locus=Brasy7G227800 ID=Brasy7G227800.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMEREAAGGVGGRGRRGGWGEPGGEPAGVGEGGGTRPGWGRLGGAGVGRGGWGEAGRVGGSRGGWGGGGGRGEGGGGAGGGGEGRGEAGELGGGGQWRRWQRRGAVEEGAAGMRRRRGGGGEGRGGEGAGRGRRG* >Brasy7G116800.1.p pacid=40045896 transcript=Brasy7G116800.1 locus=Brasy7G116800 ID=Brasy7G116800.1.v1.1 annot-version=v1.1 MPYSPFHPCQMWGVGVRNISTRQMSSRTSPRLALQLKSSPFLTAGLSGYHRFVSSRAADVSGVALKANNIGNSWSFWTAYGKRLRFLIRNKSSFVNPNINRGDGSVAHSLFCRYEKRQSTLAACSTIIDETSTSTSKCSESGADTKKDLLVRKNSAGSKKEVSVDMNQKKSSTKKKRSAKTSKAAAKKTEKASVNQEEMESGTSKSKKGVGSSKEKKTVNRSKRSSKAEESAGAIAPAEAKNCMKTFVDSSGGEGKPLMPLHPPIAKSVVVVESATKAKVIQKYLGDMYEVLPSYGHVRDLAGKSRSVRPDDDFSMVWEVPSAAWTHLKSIRVALKGAENLILASDPDREGEAIAWHIKEMLEQQKALGCHVTVARVVFHEITEDAIKNALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCRSAGRVQSAALALVSDRETEIEQFSPQEYWTVDTDFKIRCSDSSKGLCLTSRIKHLNSKKLDQLSISSQEEARAIEKRIYSSQFEVRGVKRSRIHKNPPMPYITSSLQQDAANKLHFSAGHTMKVAQKLYEGISLSSEEATGLITYLRTDGFHISDGAAEDVRSLVKERYGEQYASEDVRKYLKKVKNAQEAHEAIRPTSIRRLPSSLVGILDEDSLKLYALIWRRTMACQMEASRTELIQVDIGNPEGDMTFHSSASRLDFKGYQAVYDDTEASGSSENPEGEALHPDNFEALSKLEMKDLVSPVKVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMRVLQDRKYVTIKSRVLHPEFRGRMVSAFLSHHFSEIADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCGDASQWDVRKVERMLEEKFRPILFPDLDDDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARALSDEDDENEAFEETKWNFEPRLLGVMPNLNEKVFLKQGPYGYYIQVGEDRKGISQKRASLSEVKNVDSITLEDAIELLQYPKILGKHPDDEYPVLMTHSKAGFSVRHRRTIAPLPKNTDLKTITLECALKLLTGKNVKKFGRPVGKQNKSKEPLEWH* >Brasy7G147900.1.p pacid=40045897 transcript=Brasy7G147900.1 locus=Brasy7G147900 ID=Brasy7G147900.1.v1.1 annot-version=v1.1 MSPSRSSGGTGAAQGERPLFLGSTISADASAEEEKLLRIQGEAGQGRPSTISRSRSRFVQEAQRGAKKALGVARTSSPSSGSKNWNLRQATMSNQQSRLPRDFATRHHGDVSYVMKDEKDYSRPHYKRLSFSLNKKWNRVLNTKKANQRPLRERCVQCDAFDCPEPAFPISECKEPLLALIRKHPENYIVNDIVKILNQHSVNIRRMQQNGNCFYKEFLFNYMEKLLANVHKHPGHYVIEEMLKILSQHYVLRLPPGDGSCFYRSFIFTNLQERFHCGNLTSQILINSSQVLFLSIWKTRNDCIFNGTRPSLFSCRRIFKMSLHWSSTELEEKPMAHLIYGRNFR* >Brasy7G068400.1.p pacid=40045898 transcript=Brasy7G068400.1 locus=Brasy7G068400 ID=Brasy7G068400.1.v1.1 annot-version=v1.1 MARVYVGNLDPAVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRSGGSEMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSRSRSRSPRYRRSPSYSRRSYSPAGRSPRRRSVPPARGRSISRSPVRGRDESPTYGNGYRRSRS* >Brasy7G068400.2.p pacid=40045899 transcript=Brasy7G068400.2 locus=Brasy7G068400 ID=Brasy7G068400.2.v1.1 annot-version=v1.1 MARVYVGNLDPAVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRSGGSEMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSRSRSRSPRYRRSPSYSRRSYSPAGRSPRRRSVPPARGRSISRSPVRGRDESPTYGNGYRRSRS* >Brasy7G068400.3.p pacid=40045900 transcript=Brasy7G068400.3 locus=Brasy7G068400 ID=Brasy7G068400.3.v1.1 annot-version=v1.1 MARVYVGNLDPAVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRSGGSEMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSRSRSRSPRYRRSPSYSRRSYSPAGRSPRRRSVPPARGRSISRSPVRGRDESPTYGNGYRRSRS* >Brasy7G063400.1.p pacid=40045901 transcript=Brasy7G063400.1 locus=Brasy7G063400 ID=Brasy7G063400.1.v1.1 annot-version=v1.1 MSPSLARSATATQILPRTTPPSLDVPLRIRSDVAPISFRRSSLRPRSTSPSIVCSGAATPISPPRSRRLPLRPRSMPPSASAPASPRSRRHLYPTAHLIATQIPPPITPPSLDIPFPCLLRRRRPIPASTTPCSLDVPLRIRSGVAPISSPPESHRPLDRDPDPATHHSSLARRPPPSSAPAPPARPPDLAATHIPPPTTPPSLDVHPCVLFGAVPILPPTPTATQIPPPTTLIPHSSLRPHLDLAAT* >Brasy7G214500.1.p pacid=40045902 transcript=Brasy7G214500.1 locus=Brasy7G214500 ID=Brasy7G214500.1.v1.1 annot-version=v1.1 MGETMTKKNRKMEEAPPPATVGSCPVPEDVIFEILVRIKDVAALFRCAMACKRWARLVADPYFLRHRWPPETAHASAFMAGFFAWGQYGLPDSTYGRSLVPGPSRSSVFGPSCRVLESFFPGNDEDVMGGGGLLEGAVPLVARHGLLLVRLASAGNPVNEGVIVRLAVCNLLAGTCDVLPPLSCPWTWSFNHSGYAILAGADLIFSNDDQRRSLPLPAPGYSAFFFKVLIVGADTYRFVHNLHEFSSAEPSWRTPCESLFDARMPEKGIHLHHGHSVLRRRTAHWLASVARSGLHTLDMDVQTCCRLSLTKIPISITSQQYQTYNPPQLSMVASGALAMEELMDCGWISRSFKIVPFDMDLANTDGFSPLGIASRSIHDDI* >Brasy7G035900.1.p pacid=40045903 transcript=Brasy7G035900.1 locus=Brasy7G035900 ID=Brasy7G035900.1.v1.1 annot-version=v1.1 MATAAAAAGKRARTGGDDHDDLISLLPDALLGTIISLLPTKESCRTQILSRRWRPLWLSSPLNLTADFDLRATVRSRAALVSAILSAHHGPGRRFSFPSVPITDDFANNLADLDAWFRSRALGNLQELHIGYHDWRPGAPPPPHTGLPLPSSVLRLAPTIITAKIANFGFPHELLRSLRFPLLKQPTLQSVSVPAEAAFHGMISGCKVLETLLLWEVRAVGECRRLRISSMSIRSIGFRDDRLLGKGELVIEDAPRLERLLIFGPLPSGISKVQIGTDLVNQTCRWIRQMFVETCLKKVVLTNYRCCHDEHVRFAEFFIRNAKVRYDPHDPIECLETCLKKPPWTTWWVSDGARTAARGDGEGATAAAELPVTPAQSPRSVL* >Brasy7G058600.1.p pacid=40045904 transcript=Brasy7G058600.1 locus=Brasy7G058600 ID=Brasy7G058600.1.v1.1 annot-version=v1.1 MVANKNHCLFPGHGCVPHASHAWHQEGLQSLGLRSREPSILASKSVKFSFSFCVKPLLPLPSPSRSPFPIPKPSSFVCPPNQLGEQLQAPAQGLPRVAAADACAAAAYQPLWSDPAANTASMASSHPHAQRLGGGACWCSSARRAGQWSASTCAPSSWASRHSQRCSGAALVTRCRRLPPPVLQAPRATAPPHAGGRGSPDPVPTGRERAATRDRERVRNKRNRELREREGAYLREPWPRTEREGRRIHRRGGRGGRILARGRRIHRHGGRGGRIPARGDRIRRRGSPGCEGEEIEQGDCVEVGVEVAGSGGGRRRAGSGGWWRRLLREEKGRARAPAAAAGGEKGGREGNEKRGDREIGPVEKRRGEEGRSGQREERRLRGGE* >Brasy7G084600.1.p pacid=40045905 transcript=Brasy7G084600.1 locus=Brasy7G084600 ID=Brasy7G084600.1.v1.1 annot-version=v1.1 MHLVAYDSACCCMATDYSKNNPFERDVEQAIAALKKGTHLLKYGRRGKPKFCLFRLSNDETMLIWYSGRMEKQLNLIQVSKIIPGQRTAIFLRHPRPDKEYQSFSLIYGQRSLDLICKDKDEAEAWFVGLKALISGRSCKWPRETKIDKTSYRPINHSRGDSPFASSCYSTDVESKESESIQQFGTNQDARAFANFGNIFSDVILYAGQESSRVSSTGSVSTSNSILSGSADTSNGGLPGVDNNVRVSHSSAVSSCSYGSSDDFDSLGDVLLWGKGVGDGTLDHSSDLSGKSYSCSIDASLPKALESTVLLDIHGIACGSKHSVLVTKQGEMYSWGEESGGRLGHGVDTDVIHPKVISTLSGISIESVACGEFHTCAVSFCGDLYTWGDGMHNFGLLGHGNDTAHWIPKKVCGLLEGLHISSVSCGPWHTAVVSSAGQLFTFGDGVFGALGHGDRRSTNVAREVDSLRGMHTIRAACGAWHTVAIVEVVDSPSSGTSSKLFTWGDGNKGQLGHADRETRLIPTNVGSLSDPRFCQVACGYDITVALSTSGEVYTMGSNAFGQLGNPTTNGKFHTLVKGSISSSSVEEIACGSHHVAVLTSKAEVYTWGKGANGRLGHGNNFDRNTPTLVEALKDKQVKSVVCGTDFTAVVCLHKCTSGLDQSICSGCHLQFGFRRMRHNCYNCGLVYCKSCSSRKSMKASLAPNLQKPYRVCDECYAKLNTAWESKNLQSSKNLHGNPHQLSSEATDRENTVKNLRVRLSRLLTMESFKPEGKHSRSNSRFPLHHSGNLSLSGINFVGNSKELISSFNPTSMTSPLSSGPNSPHLTNRLATSGLTSPNSACTYESNKNLTEEVARLQSQVDELTHKSESLEAELDKTNNQLKEARTTADVENLKCKAAKEVISSLTTQIKSITERAPEGCTVDDNWTGQVSKLLGNHFNENNLNDVSRSPDSSVHLAHQLPCKGNSIVADTDWVEQVEHGVYITLFRSPAGHKYLRRVRFSKRQFTEQQAERWWAEHRPTLQQQYGILTGDSVIPSRTSREKG* >Brasy7G084600.2.p pacid=40045906 transcript=Brasy7G084600.2 locus=Brasy7G084600 ID=Brasy7G084600.2.v1.1 annot-version=v1.1 MHLVAYDSACCCMATDYSKNNPFERDVEQAIAALKKGTHLLKYGRRGKPKFCLFRLSNDETMLIWYSGRMEKQLNLIQVSKIIPGQRTAIFLRHPRPDKEYQSFSLIYGQRSLDLICKDKDEAEAWFVGLKALISGRSCKWPRETKIDKTSYRPINHSRGDSPFASSCYSTDVESKESESIQQFGTNQDARAFANFGNIFSDVILYAGQESSRVSSTGSVSTSNSILSGSADTSNGGLPGVDNNVRVSHSSAVSSCSYGSSDDFDSLGDVLLWGKGVGDGTLDHSSDLSGKSYSCSIDASLPKALESTVLLDIHGIACGSKHSVLVTKQGEMYSWGEESGGRLGHGVDTDVIHPKVISTLSGISIESVACGEFHTCAVSFCGDLYTWGDGMHNFGLLGHGNDTAHWIPKKVCGLLEGLHISSVSCGPWHTAVVSSAGQLFTFGDGVFGALGHGDRRSTNVAREVDSLRGMHTIRAACGAWHTVAIVEVVDSPSSGTSSKLFTWGDGNKGQLGHADRETRLIPTNVGSLSDPRFCQVACGYDITVALSTSGEVYTMGSNAFGQLGNPTTNGKFHTLVKGSISSSSVEEIACGSHHVAVLTSKAEVYTWGKGANGRLGHGNNFDRNTPTLVEALKDKQVKSVVCGTDFTAVVCLHKCTSGLDQSICSGCHLQFGFRRMRHNCYNCGLVYCKSCSSRKSMKASLAPNLQKPYRVCDECYAKLNTAWESKNLQSSKNLHGNPHQLSSEATDRENTVKNLRVRLSRLLTMESFKPEGKHSRSNSRFPLHHSGNLSLSGINFVGNSKELISSFNPTSMTSPLSSGPNSPHLTNRLATSGLTSPNSACTYESNKNLTEEVARLQSQVDELTHKSESLEAELDKTNNQLKEARTTADVENLKCKAAKEVISSLTTQIKSITERAPEGCTVDDNWTGQVSKLLGNHFNENNLNDVSRSPDSSVHLAHQLPCKGNSIVADTDWVEQVEHGVYITLFRSPAGHKYLRRVRFSKRQFTEQQAERWWAEHRPTLQQQYGILTGDSVIPSRTSREKG* >Brasy7G084600.3.p pacid=40045907 transcript=Brasy7G084600.3 locus=Brasy7G084600 ID=Brasy7G084600.3.v1.1 annot-version=v1.1 MHLVAYDSACCCMATDYSKNNPFERDVEQDETMLIWYSGRMEKQLNLIQVSKIIPGQRTAIFLRHPRPDKEYQSFSLIYGQRSLDLICKDKDEAEAWFVGLKALISGRSCKWPRETKIDKTSYRPINHSRGDSPFASSCYSTDVESKESESIQQFGTNQDARAFANFGNIFSDVILYAGQESSRVSSTGSVSTSNSILSGSADTSNGGLPGVDNNVRVSHSSAVSSCSYGSSDDFDSLGDVLLWGKGVGDGTLDHSSDLSGKSYSCSIDASLPKALESTVLLDIHGIACGSKHSVLVTKQGEMYSWGEESGGRLGHGVDTDVIHPKVISTLSGISIESVACGEFHTCAVSFCGDLYTWGDGMHNFGLLGHGNDTAHWIPKKVCGLLEGLHISSVSCGPWHTAVVSSAGQLFTFGDGVFGALGHGDRRSTNVAREVDSLRGMHTIRAACGAWHTVAIVEVVDSPSSGTSSKLFTWGDGNKGQLGHADRETRLIPTNVGSLSDPRFCQVACGYDITVALSTSGEVYTMGSNAFGQLGNPTTNGKFHTLVKGSISSSSVEEIACGSHHVAVLTSKAEVYTWGKGANGRLGHGNNFDRNTPTLVEALKDKQVKSVVCGTDFTAVVCLHKCTSGLDQSICSGCHLQFGFRRMRHNCYNCGLVYCKSCSSRKSMKASLAPNLQKPYRVCDECYAKLNTAWESKNLQSSKNLHGNPHQLSSEATDRENTVKNLRVRLSRLLTMESFKPEGKHSRSNSRFPLHHSGNLSLSGINFVGNSKELISSFNPTSMTSPLSSGPNSPHLTNRLATSGLTSPNSACTYESNKNLTEEVARLQSQVDELTHKSESLEAELDKTNNQLKEARTTADVENLKCKAAKEVISSLTTQIKSITERAPEGCTVDDNWTGQVSKLLGNHFNENNLNDVSRSPDSSVHLAHQLPCKGNSIVADTDWVEQVEHGVYITLFRSPAGHKYLRRVRFSKRQFTEQQAERWWAEHRPTLQQQYGILTGDSVIPSRTSREKG* >Brasy7G162900.1.p pacid=40045908 transcript=Brasy7G162900.1 locus=Brasy7G162900 ID=Brasy7G162900.1.v1.1 annot-version=v1.1 MTSSALPQLRSNILWPLHRRRAHTAHNPSRPSSVPNLPRRDTMAASNSGPIPAEARVALGEAIRLVFARWTALQVAVENQWGGRDSRAKADQFGESILSWFCRSKGPYFF* >Brasy7G152700.1.p pacid=40045909 transcript=Brasy7G152700.1 locus=Brasy7G152700 ID=Brasy7G152700.1.v1.1 annot-version=v1.1 MAATMVAMTAKSKNCVPASEKQNGWPIPPLPELRFPWDLHEEKSFSLSLHYSASSHGGLFASVGLKVSPAAPAVSTSPVEQEMKVPFADHCIKYVSSAVGFPVVGTVAQPVEEEVDGKARKKAKKSGLKLKIKIGNPHLRRLVSGAIAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKAEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYDNFLHCFIKIVREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLRKLYKKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQAGAVGGRQVYKNVFHALYCIMEKDGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEAEE* >Brasy7G152700.2.p pacid=40045910 transcript=Brasy7G152700.2 locus=Brasy7G152700 ID=Brasy7G152700.2.v1.1 annot-version=v1.1 MAATMVAMTAKSKNCVPASEKQNGWPIPPLPELRFPWDLHEEKSFSLSLHYSASSHGGLFASVGLKVSPAAPAVSTSPVEQEMKVPFADHCIKYVSSAVGFPVVGTVAQPVEEEVDGKARKKAKKSGLKLKIKIGNPHLRRLVSGAIAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKAEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYDNFLHCFIKIVREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLRKLYKKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQAGAVGGRQVYKNVFHALYCIMEKDGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEAEE* >Brasy7G156800.1.p pacid=40045911 transcript=Brasy7G156800.1 locus=Brasy7G156800 ID=Brasy7G156800.1.v1.1 annot-version=v1.1 MGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWDDPRRRPPTAGGAEAGADARRGGEVRELGGKRKETTFVPRILAHMKMMDDG* >Brasy7G046100.1.p pacid=40045912 transcript=Brasy7G046100.1 locus=Brasy7G046100 ID=Brasy7G046100.1.v1.1 annot-version=v1.1 MRSGSCAIIFILLLATLLAAHVAPTVSTDGMWGPIPGVDDRGILFIANWAVKEHARLSGETLTFDKVLNGSELSKGPLLISALNANGQSGSYNVEVLDGPWTNTRVLNSFAPAS* >Brasy7G079700.1.p pacid=40045913 transcript=Brasy7G079700.1 locus=Brasy7G079700 ID=Brasy7G079700.1.v1.1 annot-version=v1.1 MAKENLLLLLLLMALATNTATASVTTTRKLMFLVQPQPNLLTYHNGAVLSGDIPVSILWYGRFTAAQKAIVSDFLLSLSASPPRASSSTPATPSVSQWWSSIHKLYLSKAAAGGGKNKGEHASKISARVVLSGQVSDEACSQGKSLKLAQLPALAARARPAKGGIALVLTAQDVAVEGFCASRCARHGSASAGTAYAWVGNAATQCPGQCAWPFHQPAYGPQGPPLAPPNGDVGMDGLVINLASMVAGAVTNPFGDGFYQGDKGAALEACTACAGVYGKGAYPGYAGRLLVDAATGASYNAHGAHGRKHLLPALFDPATSACATLV* >Brasy7G168500.1.p pacid=40045914 transcript=Brasy7G168500.1 locus=Brasy7G168500 ID=Brasy7G168500.1.v1.1 annot-version=v1.1 MASFPSPSVAAAVAAPPRLAPGLSLSAAAVHQSRAFRPRSTLARRSSIALRPSAIAAPANPLRCTHRHAVTPRLRKRTQGFGAAPASAARIVGEERDGCLSCFPKSRRRGRPGLARFAPCALPHTSGLSLHSRLSGPKVRHSHILHAAGPDEPHVASPTWSEAALDKPYLDHAIRNEELEDVLDTPLPSHPKLIRGQLKNGLRYLILPNKVPADRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEALLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQILKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLPGNEATPMLNASPFGAMASLFAPKLPGGLAASLTGDRSPATDKLKPVKRERQAVRPPVEHKWSLPDVDQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNIVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHIDGVGESDFEIHPEEIIESMKAGLEEPIYPEPELEVPKELITQSQLEDLKVQHQPSFVPFGNEQDVVKVFDSETGITQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSASKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRAAQLYLSYYRSIPKSLERATAHKLMVAMLNHDERFVEPSPHSLQKLTLQSVKEAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVAQNSSSKEEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGKDLFNVIRSSSTAEVSEPANSDLTGKTHINVRNHPLFFGISLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDISCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDMNDDEPDMGLPGMVPMGGRGLSTMTRPTT* >Brasy7G168500.2.p pacid=40045915 transcript=Brasy7G168500.2 locus=Brasy7G168500 ID=Brasy7G168500.2.v1.1 annot-version=v1.1 MASFPSPSVAAAVAAPPRLAPGLSLSAAAVHQSRAFRPRSTLARRSSIALRPSAIAAPANPLRCTHRHAVTPRLRKRTQGFGAAPASAARIVGEERDGCLSCFPKSRRRGRPGLARFAPCALPHTSGLSLHSRLSGPKVRHSHILHAAGPDEPHVASPTWSEAALDKPYLDHAIRNEELEDVLDTPLPSHPKLIRGQLKNGLRYLILPNKVPADRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEALLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQILKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLPGNEATPMLNASPFGAMASLFAPKLPGGLAASLTGDRSPATDKLKPVKRERQAVRPPVEHKWSLPDVDQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNIVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHIDGVGESDFEIHPEEIIESMKAGLEEPIYPEPELEVPKELITQSQLEDLKVQHQPSFVPFGNEQDVVKVFDSETGITQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSASKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRAAQLYLSYYRSIPKSLERATAHKLMVAMLNHDERFVEPSPHSLQKLTLQSVKEAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVAQNSSSKEEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGKDLFNVIRSSSTEVSEPANSDLTGKTHINVRNHPLFFGISLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDISCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDMNDDEPDMGLPGMVPMGGRGLSTMTRPTT* >Brasy7G116500.1.p pacid=40045916 transcript=Brasy7G116500.1 locus=Brasy7G116500 ID=Brasy7G116500.1.v1.1 annot-version=v1.1 MQRSGGQARKALLAESIIAAISELMSMVATADVDQEKFMDVGSRLQRVPPATMELQRVQNSQTKAMHMIEYLAANVDLAKDLVARCSAVAQQLMDADLQSITEDLDNVIKNIGNELSRIPASAFGSDRFANTAVSPHLQVTGNRQHPYDQRSCDGYSESDMPVIVVNDRPKRRALHNGDMPRLVDFLQGMYHESHEFGGQSFSSLPEVAEYVEPLYDSFFCSLTNKVMVDPVTTESGVTYDRRTIEEYFEKFTDDSEPVICPVTNMAMQSKTLRSNAPLKSTIAEWIMRNEATRIRIARTALSLATTEAMVLEAIDELKLLARARRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDDAGKEIIGKTRAVSRTIKLLSSSSPDERHAAISFLAELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINITGSALEILIKMVHNGNASICKAALDVLVQISSHHPNSKTLVDAGAVPVMVEALFIRKIDDEPMGSKSEAAAVLANIVESGMDPEGIAVNKEGHVITSKYSVYNFAHMLKLSMPDDLNLNIVRVLLALTALPRPLSTVVSVMKEQDSSQTVIEFIASPSEALGIVATKLLTALSPQMGHTIAEKLCMAPGQLGKLIKSISQSGRITELQAVSATLLSKLPYQHLTLNLALLHRSAVSTMLTKIEEMQRGEMRASRHAKTYLEGLVGSLVRLTTTLYDPDVLLAAMDHNFTSVLTDLLVCSSGSDEVQRLAAVGLENLSHQSVNLTQVLSAEERPKKKTILRRLRTGRVHDNRKPPAHARRCPVHRGVCSPTTTFCLVEAGAVECLLGVLESNENGRVVEAVLGTVCTLLEDAVDVVSGVAVLSEHDAARHLLRALRQYRDGERGSAVLRRCFWALERFLEHGGDRCVKEVTSDRVLPSALVSAFHKGDAATKQLAESVLRSLNRMPDYSATYISVEL* >Brasy7G116500.4.p pacid=40045917 transcript=Brasy7G116500.4 locus=Brasy7G116500 ID=Brasy7G116500.4.v1.1 annot-version=v1.1 MELQRVQNSQTKAMHMIEYLAANVDLAKDLVARCSAVAQQLMDADLQSITEDLDNVIKNIGNELSRIPASAFGSDRFANTAVSPHLQVTGNRQHPYDQRSCDGYSESDMPVIVVNDRPKRRALHNGDMPRLVDFLQGMYHESHEFGGQSFSSLPEVAEYVEPLYDSFFCSLTNKVMVDPVTTESGVTYDRRTIEEYFEKFTDDSEPVICPVTNMAMQSKTLRSNAPLKSTIAEWIMRNEATRIRIARTALSLATTEAMVLEAIDELKLLARARRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDDAGKEIIGKTRAVSRTIKLLSSSSPDERHAAISFLAELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINITGSALEILIKMVHNGNASICKAALDVLVQISSHHPNSKTLVDAGAVPVMVEALFIRKIDDEPMGSKSEAAAVLANIVESGMDPEGIAVNKEGHVITSKYSVYNFAHMLKLSMPDDLNLNIVRVLLALTALPRPLSTVVSVMKEQDSSQTVIEFIASPSEALGIVATKLLTALSPQMGHTIAEKLCMAPGQLGKLIKSISQSGRITELQAVSATLLSKLPYQHLTLNLALLHRSAVSTMLTKIEEMQRGEMRASRHAKTYLEGLVGSLVRLTTTLYDPDVLLAAMDHNFTSVLTDLLVCSSGSDEVQRLAAVGLENLSHQSVNLTQVLSAEERPKKKTILRRLRTGRVHDNRKPPAHARRCPVHRGVCSPTTTFCLVEAGAVECLLGVLESNENGRVVEAVLGTVCTLLEDAVDVVSGVAVLSEHDAARHLLRALRQYRDGERGSAVLRRCFWALERFLEHGGDRCVKEVTSDRVLPSALVSAFHKGDAATKQLAESVLRSLNRMPDYSATYISVEL* >Brasy7G116500.2.p pacid=40045918 transcript=Brasy7G116500.2 locus=Brasy7G116500 ID=Brasy7G116500.2.v1.1 annot-version=v1.1 MQRSGGQARKALLAESIIAAISELMSMVATADVDQEKFMDVGSRLQRVPPATMELQRVQNSQTKAMHMIEYLAANVDLAKDLVARCSAVAQQLMDADLQSITEDLDNVIKNIGNELSRIPASAFGSDRFANTAVSPHLQVTGNRQHPYDQRSCDGYSESDMPVIVVNDRPKRRALHNGDMPRRTIEEYFEKFTDDSEPVICPVTNMAMQSKTLRSNAPLKSTIAEWIMRNEATRIRIARTALSLATTEAMVLEAIDELKLLARARRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDDAGKEIIGKTRAVSRTIKLLSSSSPDERHAAISFLAELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINITGSALEILIKMVHNGNASICKAALDVLVQISSHHPNSKTLVDAGAVPVMVEALFIRKIDDEPMGSKSEAAAVLANIVESGMDPEGIAVNKEGHVITSKYSVYNFAHMLKLSMPDDLNLNIVRVLLALTALPRPLSTVVSVMKEQDSSQTVIEFIASPSEALGIVATKLLTALSPQMGHTIAEKLCMAPGQLGKLIKSISQSGRITELQAVSATLLSKLPYQHLTLNLALLHRSAVSTMLTKIEEMQRGEMRASRHAKTYLEGLVGSLVRLTTTLYDPDVLLAAMDHNFTSVLTDLLVCSSGSDEVQRLAAVGLENLSHQSVNLTQVLSAEERPKKKTILRRLRTGRVHDNRKPPAHARRCPVHRGVCSPTTTFCLVEAGAVECLLGVLESNENGRVVEAVLGTVCTLLEDAVDVVSGVAVLSEHDAARHLLRALRQYRDGERGSAVLRRCFWALERFLEHGGDRCVKEVTSDRVLPSALVSAFHKGDAATKQLAESVLRSLNRMPDYSATYISVEL* >Brasy7G116500.3.p pacid=40045919 transcript=Brasy7G116500.3 locus=Brasy7G116500 ID=Brasy7G116500.3.v1.1 annot-version=v1.1 MQRSGGSRLQRVPPATMELQRVQNSQTKAMHMIEYLAANVDLAKDLVARCSAVAQQLMDADLQSITEDLDNVIKNIGNELSRIPASAFGSDRFANTAVSPHLQVTGNRQHPYDQRSCDGYSESDMPVIVVNDRPKRRALHNGDMPRRTIEEYFEKFTDDSEPVICPVTNMAMQSKTLRSNAPLKSTIAEWIMRNEATRIRIARTALSLATTEAMVLEAIDELKLLARARRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDDAGKEIIGKTRAVSRTIKLLSSSSPDERHAAISFLAELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINITGSALEILIKMVHNGNASICKAALDVLVQISSHHPNSKTLVDAGAVPVMVEALFIRKIDDEPMGSKSEAAAVLANIVESGMDPEGIAVNKEGHVITSKYSVYNFAHMLKLSMPDDLNLNIVRVLLALTALPRPLSTVVSVMKEQDSSQTVIEFIASPSEALGIVATKLLTALSPQMGHTIAEKLCMAPGQLGKLIKSISQSGRITELQAVSATLLSKLPYQHLTLNLALLHRSAVSTMLTKIEEMQRGEMRASRHAKTYLEGLVGSLVRLTTTLYDPDVLLAAMDHNFTSVLTDLLVCSSGSDEVQRLAAVGLENLSHQSVNLTQVLSAEERPKKKTILRRLRTGRVHDNRKPPAHARRCPVHRGVCSPTTTFCLVEAGAVECLLGVLESNENGRVVEAVLGTVCTLLEDAVDVVSGVAVLSEHDAARHLLRALRQYRDGERGSAVLRRCFWALERFLEHGGDRCVKEVTSDRVLPSALVSAFHKGDAATKQLAESVLRSLNRMPDYSATYISVEL* >Brasy7G116500.5.p pacid=40045920 transcript=Brasy7G116500.5 locus=Brasy7G116500 ID=Brasy7G116500.5.v1.1 annot-version=v1.1 MELQRVQNSQTKAMHMIEYLAANVDLAKDLVARCSAVAQQLMDADLQSITEDLDNVIKNIGNELSRIPASAFGSDRFANTAVSPHLQVTGNRQHPYDQRSCDGYSESDMPVIVVNDRPKRRALHNGDMPRRTIEEYFEKFTDDSEPVICPVTNMAMQSKTLRSNAPLKSTIAEWIMRNEATRIRIARTALSLATTEAMVLEAIDELKLLARARRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDDAGKEIIGKTRAVSRTIKLLSSSSPDERHAAISFLAELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLKNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINITGSALEILIKMVHNGNASICKAALDVLVQISSHHPNSKTLVDAGAVPVMVEALFIRKIDDEPMGSKSEAAAVLANIVESGMDPEGIAVNKEGHVITSKYSVYNFAHMLKLSMPDDLNLNIVRVLLALTALPRPLSTVVSVMKEQDSSQTVIEFIASPSEALGIVATKLLTALSPQMGHTIAEKLCMAPGQLGKLIKSISQSGRITELQAVSATLLSKLPYQHLTLNLALLHRSAVSTMLTKIEEMQRGEMRASRHAKTYLEGLVGSLVRLTTTLYDPDVLLAAMDHNFTSVLTDLLVCSSGSDEVQRLAAVGLENLSHQSVNLTQVLSAEERPKKKTILRRLRTGRVHDNRKPPAHARRCPVHRGVCSPTTTFCLVEAGAVECLLGVLESNENGRVVEAVLGTVCTLLEDAVDVVSGVAVLSEHDAARHLLRALRQYRDGERGSAVLRRCFWALERFLEHGGDRCVKEVTSDRVLPSALVSAFHKGDAATKQLAESVLRSLNRMPDYSATYISVEL* >Brasy7G190000.1.p pacid=40045921 transcript=Brasy7G190000.1 locus=Brasy7G190000 ID=Brasy7G190000.1.v1.1 annot-version=v1.1 MDRQAQDYAAAAMAYAQAQAQQQAPPPPQYGFHPQAQPQYPHHQPYAAPIPPQYSPYPRAMPPQQLYPHLPPHQQPSPYAPPHVMSTPSPPPPHPYMHPPFDSGPPPPAPPPADPELQKRIDKLVEYIAKNGPEFEVVIRDKQHDNPDYAFVFGGEGHAYYRYKLWVVRPPPVAPYPPGSMHMMPPMGPMMRGPLMHQPAYPPFYDQHQHFGPHGHGEYEAAANSFKGLSGPLPADVAAELHEVLINLNGTKESIKGAKTWFMQRAPFAPALAEALRERVFALEDSERQLHIIFLVNDILFEGLQRRANIRDLDNEAAAFQSVLGSMLARIYNNPQGRDDNQTRLEKILQFWGSKEVYDQETIVNFEREMKGGVSYPLVPRHVSPDPSTFSGAAQLASKWSSDPQEKDNTIHPVSAAPEPVPSAQFPAGVYPPLGQTIFQGSLPVQPSLLPPALPQSTAPATTNDLNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILDRVTKFFKEIGEVNPSEGPMKQGEPDDYDDYERELPARKGGACIPPPANLHVNPETGMRADGTVDSKPGSSGRLGLGASADPDEASHQYGDVYSSYRKQRSSNYHSSISSRSLAPR* >Brasy7G049800.1.p pacid=40045922 transcript=Brasy7G049800.1 locus=Brasy7G049800 ID=Brasy7G049800.1.v1.1 annot-version=v1.1 MAPLLLLFLLGGLCAFFSLASAASRAASKKCGAGNCEQGKSLVVEEEAKDDGQKEEEERAKAPERDREADLGIVFSTFDHDGDGFITAGELEESLSRLGIAVSAAEAALMVARVDANRDGLIDIHEFRELYDSIPKKRTHHPSLPSAAPADAGAAEEAVDGDDDEEEEEMDLREAFDVFDGNKDGLISAEELGTVLGSLGLRRPGPGGRRPAAAECRDMIRLVDSDGDGMVNFEEFKRMMTVVKA* >Brasy7G062800.1.p pacid=40045923 transcript=Brasy7G062800.1 locus=Brasy7G062800 ID=Brasy7G062800.1.v1.1 annot-version=v1.1 MEPVCRQLGAPQPQYGISDMLFSVEINHGGFFCGFGMNKSYNLDDGLRIIDRDIDTLGMASVVPKFQLFSLYVDHKDDLYSNIVLDDVCIVGSPTLPAVISPMKPGINIPERSSPRAKRKIFARDVEEDSSASSSDDSGSEWFDSDNELQKDDDDLFEDCVDHDLRD* >Brasy7G140600.1.p pacid=40045924 transcript=Brasy7G140600.1 locus=Brasy7G140600 ID=Brasy7G140600.1.v1.1 annot-version=v1.1 MDGESSRLDNQESILHDQTPSPDKLPLQYLKEITNCFSDERVLGEGSFGVVYKGVLQNGEMVAVKKLMALMPGFQKQFENEVYHLMRLNHSNIVRCVGYCYETQNFCLEYKGKYVFAETAERLLCLEYMAKGSLDNYLADESCGLDWHTRYNIISGICYGLHYLHEDWQTNTPIIHLDLKPANILLDDNMIPKIADFGLSRLFGEQQTRACTTTRDGTPGYMAPEYIDRGIITTKSDIFSLGVIIIEIITGQKNYPFGNGTSYDFVELVLTNWRNRLEATSCSSLETDYQQIKSCLEMGLRCLESDPIKRPATKEIIEKLTRWGGTNVDGGSDERSLTLRIPSDPSDFLCINPRMLQFFLELNKWSRCLVQLTNKTEEHVAFYFGVQRATTNYCIEPATDLKLHWIYYHEQIRISGFEFSGKTIVVRENRLTSEHTTVDSFNMSINVVHKAKLTVAYVPPAQPPPLLHVGLEEKKTLDPRQFEGFSDQENVDILIRCICQDLGFSDDRPIAVCIVYKCLLHWKSFQAGRTNVFDRIIASMFSAIKAQGNERLAYWLSNSYSLLMLMQGTMKTAGAGRFTPRRSFTAIICMVIARNREFGGSHLIGGKGGMQQIEAKRPALLFKGHLTGFFEKVYGMIIDNLTKEISPLLGCCIEAPTITSQALFDNWQRIVNILTDCLLTLKSNYVSSFLISKIFTRLFSFIDVQLFNSLLLSEFCSFRDGEYVKAGLAKLEQWCTYETEEYAGSSWEELKHIRKAAIFLTMHEKQKKTLKEITCHVCPVLSIPQLYRLCTIYQGGKYGNHNVSPLADVLSSMESLMMEDENNTEKYSLLLYDKLESNPFSVEDIPNSVAEFELIDADMPPLIRENPCFDFLYRRTD* >Brasy7G227100.1.p pacid=40045925 transcript=Brasy7G227100.1 locus=Brasy7G227100 ID=Brasy7G227100.1.v1.1 annot-version=v1.1 METAVGAASWLVGKVLKKLSDDLVAAYVASSELGLNAEQIKTDLTYTQALLHAAQGRDDNPGLKCLLEQFSEKADEAEDALDELHYFIIRDKLDGTQHATPFLGGDLREKVQHGRNAVRHTTGNWLSCFSCCRTEDAAATATSTAVTDNPQTKAISDSGNTCVHLDQFAFDRVAMSNKIKSVIEAIHSKCVPVSDLLKIQSQSSAAGTTVTLKRIPKGSTVEQKKLYGRRIIFDKTIEDITGGTYCSESLYVLPVVGPGGIGKTTFTQHLYNHERTEQHFTIRVWVCVSTDFDVLKLTQEIHNCILATEKQDININGTPNLDQLQKSITDRLKNKRFLLVLDDIWKCGSDAEWTTLLAPFTKGEAKGSMVLVTTRFPKIEERVKRSTVPINLQGLEPKEFLKFFRACAFGTESPGEQYNELIDIGTEIADKLKCSPLAATTVAKLLKKDLSWEHWSQVLENNEWKNQKNDDDIMPALQISYDYLPFHLKQCFSYFSLFPEDHRFTLLEITRFLTAIGVIDSSCKNKNYLEDLVDNGFLMKEDQCYVLHDLLHELSRSVSSQQCVNISSGSSFSADDIPQSIHHLSITMEERYVDNFRREMAKLKGRINIGKLRSLMIFRKYEKRITDILKETFEEIKDLRVLFIVMNSPDSLPKNFSKLFHLRYLRISSPYGLKMILPSALPIFYHLIFLDLKDWHGSSSLPMYISRLVNLRHFIANNKLHSNVPEVGKIKHLEELKEFHVKKETIGFEMEELGKLADLGGELCVRNLEKVASKEEANKANLALKRSLKTLTLVWGTDQGAAGATDVVGGLQPHDNLRELAIEDYGGAVGPPGWLCHDIPFKHLESLALAGVTWGTLPPFGQLPYLKIIRLKNIAGVRVIGLDLGFIHLKEVEFYGMPDLEKWVVGPNCHLFPNLESIVCKNCPKFLALPFFSDCLVPCTQDIHYPNLSVFVIAKCPQLALPPMPYTSTLRRVQQGDSLGEMNYCGTNLDLWSYGSALAWHSFAGKVESITFQGGSKIPWAELAKLTSLSRLVIEEDPSFVSTALLSNLPTSLTSLSLIDCENLTVDGFNPLIAAVNLKELVVRNRGRAGPRSVAADLLSELVAASRTKPLLPAAGCFQLETLDVDCISAVLAVPVCSLFSATLHKLYLGFDQRVESFTEEEEDALQLLTSLRTLFFWRCPGLPSLPQGLHRLSSLRELYVDGCPKIRSLPKEGLPTSLRELSVYGCRPELKEEARKWKETNSGLRV* >Brasy7G006700.1.p pacid=40045926 transcript=Brasy7G006700.1 locus=Brasy7G006700 ID=Brasy7G006700.1.v1.1 annot-version=v1.1 MGRSPAAPRHDGDSIQKGPWTPEEDKLLIDYIGAHGPGRWNSLARLSGLNRTGKSCRLRWLNCLRPDIKRVHFTDEEEKLLIHLHSTLGNRWSKIGTHMPGRTDNEIKNYWNTHLRKKLPGMGIDAQALVKASCGAGLTLEEDLRWRLARRKHLLSKAILEDPPDLDKFYFSPYSGAGAGSGSSSLSIKADPAQPPSSPFSTTSGIVFDESACVSSSDISALFDDSASASSGAMRPLVGKLGMLLEGSFSISKRVKDGMRLLKDDVEDISSYLDELSGLEDPPPMAKCWMNEVRDLSYDMEDYIDTLLFERPDRFNKKKMKKKTKLISHVKIPKRIKCCNCKQTKLKHGKTRWVCKNIHHMVTTRLSKKIVVPRMISEFRMYLQEAIERHDRYKLQCCSTLRRRFLSTGRMLPTPYEEAARIVIDGRTNEFINSLASNSAADQQQLKVVSVLGSGCLGKTTLANVLYNRIGMQSDCRAFIRVPKNPDMKRLFHDLFSQLHHKKQPLPANCNELGISDNINNYLQDKRYLIVIDGLWDASVWDIIKYTFPKGNRGSRVITTTRIEDVALTCCCDNSEHVFEMKPLDEEHSRKLFFNRLFGSESGCPQEFKQVSEEIVDMCGGLPLGIINIASHLANQQKAVSMGLLIHIRDSLRSDLRSNPTSERTRQVLNLSYNSLPDCLKTCLLYLGMYSEGSIISKDDLVKQWVAEGFTARERKEQNQDMMEWAAGNYFSELIDRRFIQPIYTNYNNKVVSCMVHDMVRDLIAQKSAEQNFIVVVDYNRKNIALSHKVRRLSLHFGEARYAKTPANIRKSQVRSLGFFGLLECMPCIREFKVLRVLNLQLYGHPGDHDPIDLTGISELLQLRYLKITGDVCIQLPNRMRGLECLETLDIMGVTRFTAVPWDIINLPHLLHLSLPVDRKLLNWIGSMSVTVMSQWSLGKLQYLQDLHVTISSTNLSCDPESCEGLGMIALRSLLEGHVNLKTVVLAHGSSAKNDSNVFTPWDDMVFPPLLQRFECSPDCSRFSRIPLGVRNLRNLCILKISVRGLDITCLRILRSLPALTALSLCLQMEPTDEIIFDKVGFSVLKYFKLRFMIGIASLKFGADAMPDLWKLKLVFDDIPEIDHQRDTPTISIEHMLGLKEISAKFGGAASDLEYASRNIISNHPSNPTIDVQFVGYSSNVDGGIKQKQQPDDIMEEPDEYIRQEIGERPSEKTYQCSL* >Brasy7G217500.1.p pacid=40045927 transcript=Brasy7G217500.1 locus=Brasy7G217500 ID=Brasy7G217500.1.v1.1 annot-version=v1.1 MSDGERRDDDVPTTSAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLTKSSPAPVDPSEQDYDEKADDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNTVFASVNTKASVFDEENYDEDEEQPNDEGPTNNNELPSDSKVSVFDEENYDEDEEPPKKHSSVEQLDMSPSNGTPATEMISGSFSPRGESMDVEYEVCQQDEVDTEEDQLESKSATSLPVLCIEDGSVILKFSEIFGIQEPVRKPKTDHHKRPVNKELHITSDIVEDDEEVFLRSTIQDLSYLKHIKMNEDVVESDSDDLISSDTFRLKDSCLSEQPMKDAYRDFPSAQQSPVCPDFYPLEHEDWENGIIWGNSPANEGQHGLKSSIVSEGSGDTQEEEQAKDYGYVSGCYDVQSKNNGSPLITEPFGCTEMPASASYHSPENSYPLLRKETPLEKNNLDETEPNNINGTAKINTMKYLNNLSLLNKELLEGSWLDNIIWDPTEDTPKPKLIFDLKDDQMLFEILDEKNGDHLRSHARAMIVSRPVKASAVEKFDHSNKSVTWSGQFNISNDNFYSNRKMSQQVKSHTKKRSSMGIKVAHSVPAQKLQTMKPKLSNKEIVNFHRPKAKWYPHENKLAAKLQGDACSHGSMTVIVMTLGGKGVKLVVNAEETPLSVKSKASKKLEFRPSEKIKLFGSGKELQDDISLAMQNVRPKSILHVVRTEVHLWPKAQKLPGEDKPLRPPGAFRKRTDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPTDQTATSLRSNSDGLGTVLAIEPADKSPFLGDIRSGSHQSCLETNMYRSPTFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYLLNRILVYVYREFRVREMPGIPSQIRGDELPIQPPLTEAIVKKRLKHCADLKKLPSGHTIWIQRPDFRIPSEEELRRLLTPEMVCCHESMQAGQHRLKRLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPDEQIDKLTRWHRIAMVRKLSSEQAASGVTIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAIEGDDNGSDTEAHSDLDSFAGDLENLLDAEEFDDEDAGTADLRSDKADGMRGLKMRRCPTQAQSNEEIQDDEAEAALVKKLLEDSSNDPKRKKQSVDLANYGTSMYNQGANKMKQGKAGQTIKSSGYVSALTPKEGTPRGAKEIEDSFTEGGLPSKLKTKQMVDANDIILVKKKNVLGKDGFKEKRQGARGDSLVCGACGQLGHMRTNKLCPRYGEDPETLEMDALDVVSHVQAKTQGKRLVAKVSSEVPETEGPESIEKIKPVKFRCGAPEKFLERNMSVAGSLVSDKSTMDATDLRSTGKVSKIKICSKVKSEDYPLDTPKPSVVIRPPAESEKDVPRKKVIIKQPKGHVDQQRTLEISSSQEPKKIRKIADLSSFEKKNREDDHLYAGEPSQMNSSNDRLGLEGNRKKKEVLGGDESWRAFKEQRERQEQRLIEARIYEANREEELQKAKKKSKKKKKHEFRDDDVLDHRPYRNERRVPERDRTAKRRNPADMTEFAPSAKRRRGGEVELSNILEKIVELLRENTAISLLFLKPVTKKVAPDYHDIILRPMDLGTIRDKARKMEYKNRDEFRHDVAQIAVNAHLYNDERHPHIPPLADSLLDLCDYLLGESADALDDAESAIEA* >Brasy7G189000.1.p pacid=40045928 transcript=Brasy7G189000.1 locus=Brasy7G189000 ID=Brasy7G189000.1.v1.1 annot-version=v1.1 MAGMAALQGAMGALSISAPGAAASTSTFWGNPLSATFSAAPPGIRFMAKTCPIEMRLKRWERKKCKPNSLPMLHKMHVRVGDTVQVIAGREKGKVGEVVRLYKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNMMLYSKEKNVTSRVGHKLLEDGTKVRYLKKTGEVLDSVENWVKVFKEGDSE* >Brasy7G125600.1.p pacid=40045929 transcript=Brasy7G125600.1 locus=Brasy7G125600 ID=Brasy7G125600.1.v1.1 annot-version=v1.1 MGWPQHRRLLGTSASEPAVGPSPSSPDGMKIMLSVLVVVIFCTLFYCVYCWRWRKRNAAVRRTQNEILRTISSSDLPLMDLPLIHAATANFSDANKLGEGGFGPVYRGVLPSGTEIAVKRLSARSRQGTIEFENEVDLIAKLQHRNLVRLIGWCSQHDEKLLVYEYLPNRSLDAFLFDTSKSAQLDWNTRHNIILGVARGLLYLHEDSLLKVVHRDLKASNVLLDSKMNPKISDFGLARIFQDECNRVNTGRVVGTYGYMAPEFVMDSVFSVKSDVFGFGVLLLEILSGQRNGISYLEEHQQSLIQDAWKLWTDGRAIEFMDPTLGQSYSREEAWGCFQVGLLCVQDDPDIRPTMSSVLLMLVGDCTSFPTPCKPLRNVPMSAPSSARKSESLKSINYASITAVQPR* >Brasy7G173600.1.p pacid=40045930 transcript=Brasy7G173600.1 locus=Brasy7G173600 ID=Brasy7G173600.1.v1.1 annot-version=v1.1 MNHLLLPSPLPLLLHRPSSSKPLLLHTLHRRHFTPKAAPDGNSGDSPTAAAPEPSTGAPPPSAAAPSSSKPTSVKDRLRSRNQSRRVQDAYLPPVEVRMMKGREKRNDSAAPRREKPQQRRRKEWDEMSLGEKALELYVGEKGALFWLNKFAYASIFIMAGAWILFRFVGPATGLYQLDAPPLAPTDVLRGS* >Brasy7G174000.1.p pacid=40045931 transcript=Brasy7G174000.1 locus=Brasy7G174000 ID=Brasy7G174000.1.v1.1 annot-version=v1.1 MVVGDRLCFLVLDEFWKDFMAVLDCHVNHNYSYSIRNICYQLLFSQLRHVLGILRSLKI* >Brasy7G142500.1.p pacid=40045932 transcript=Brasy7G142500.1 locus=Brasy7G142500 ID=Brasy7G142500.1.v1.1 annot-version=v1.1 MALVLHSGSGNKNAFKALIAAEYCGVKVELAKNFEMGVSNKTPEFIKMNPLGKVPVLETPDGAVFESNAIARYVARSKGDSPLFGGSLIDYAHVEQWMDFSATEIDSNIARWLYPRLGYIPYVPASEEIGIAGLKRSLGALNTHLASTTFLVGHSVTLADITMTCNLYHGFARLLTKTFTSEFPHVERYFWTMVNQPNFKKVIGEVKQAAAVPPVQKKPAPAKEPKAKEVKAPKEAPKPVVEVPEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYQDENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVIGTEAPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWSKVDISDEAQKERVSAMIEDLEPFEGQALLDAKCFK* >Brasy7G034700.1.p pacid=40045933 transcript=Brasy7G034700.1 locus=Brasy7G034700 ID=Brasy7G034700.1.v1.1 annot-version=v1.1 MCSAARLWDRAADPAAGVAEDAGSGGGLGRGRRIRRLRFRSCSRRAPLLAVALRRMQQPSSLQALRRRSRGGWTRAARIRRRRSRDRWGRSAGGGGRRWRRAAGGEGRRWRRGAGAPEQEVGGGGTMEDDDIAPTLAPFPLPCSPAAAAAHCSLRPSPLHCRRRSPRPSSTVVGGSSSSHPGLPFTARRRRHSRRHPRGPARSRLAFTVHPSHSSLFLSPVPFSQSISSLGLPWRRYSVFAGPFAGDEHPPESGEGNEN* >Brasy7G118800.1.p pacid=40045934 transcript=Brasy7G118800.1 locus=Brasy7G118800 ID=Brasy7G118800.1.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKFTDCLQQQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSGMLIEFTDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.6.p pacid=40045935 transcript=Brasy7G118800.6 locus=Brasy7G118800 ID=Brasy7G118800.6.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKFTDCLQQQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSGMLIEFTDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.7.p pacid=40045936 transcript=Brasy7G118800.7 locus=Brasy7G118800 ID=Brasy7G118800.7.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKFTDCLQQQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.2.p pacid=40045937 transcript=Brasy7G118800.2 locus=Brasy7G118800 ID=Brasy7G118800.2.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSGMLIEFTDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.3.p pacid=40045938 transcript=Brasy7G118800.3 locus=Brasy7G118800 ID=Brasy7G118800.3.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSGMLIEFTDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.8.p pacid=40045939 transcript=Brasy7G118800.8 locus=Brasy7G118800 ID=Brasy7G118800.8.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKFTDCLQQQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.4.p pacid=40045940 transcript=Brasy7G118800.4 locus=Brasy7G118800 ID=Brasy7G118800.4.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G118800.5.p pacid=40045941 transcript=Brasy7G118800.5 locus=Brasy7G118800 ID=Brasy7G118800.5.v1.1 annot-version=v1.1 MEAAEQLGVLGEKLGSELPASADAVAKLLEQAAEGLHVIEQSPGSSVMRTIQPCLNAVAREELLKHQDEDVKVLLATCFCEITRITAPEAPYSDDVLRTIFHLIVGTFSGLIDVHSHSYVRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIASDNHDANIAKSMQTIMAHIIDESEDIHESLLHVLLSALGRRKTGISFSARKLARSVIEHSAGKLEPYIKKFLTSSLAGDNSSSNGHIDHHEVIFDVYQCAPKVLKVVVPYITGELLADQVEMRSKSVDFLGELFSLPGVPILESFKSLFVEFLKRLTDRVVEIRLSVVEHLKKCLLSNNSRPEAPEITKALCDRLLDYEETVRKQVVAALCDVACHSFGAVPVETIKLVADRVRDKSISVKCYTMERLADIYRLYCLKGSDSSTNSSDFEWIPGKILRCLYDKDFRPESIESILSGSLFPPEFPTKERVKHWVTAVAHFDKVEMKALEQILLQKQRLQQEMLKYIGLRETRQEDAPDVQKRIVGCFRSMSRLFNDATKAEENLNMLHQLNDVNIWKIFTSLLDCSTTFNKAWSIRVDLLKKLGEEHALHDFVSTLSMRCSYLLVNKEYAKEILSEASEQKSAGNSKLISSCMNLLTAISSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRRQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILECSDDMVEVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQADSGLEKLMGILKNILTYGAISPNMISSASDKAHLRLAAAKSVLRLSRQWDHKVPIDVFYLTLRISKDDFPQMRKLFLSKVHQYIKERALDAKYACAFLLGVDDYRAPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNSLTAYPEYIISYLVHVLAHDPSCPTVEEYEDVNAFGPIYWRLHLLLSTLLGEEGSQYSVPGMKKESFMTTISIFKSIKCSKDVVDVNKTKTLHAICDLGILIAKRFCQDEINISENQTVPLPAQLYVPVRKDQDESSVESDGKTWLGCENVLAHFEALMTASTAEVESPEGKMLIDETDEFGNEIPLGKIVQILKSRGAKTAGRKQNAASSSVNAGKDDDVLGLVREINLDNEENSGELVKSKTNKRRMDTKESTEKPVDFSTPKRKRSVSKSRPHPAKDNDEILVNSANTEKTNNSLESKLKKEKSRADSTETDLVVSPTSTKTPASKGKKSAKKSHTEVLRSSAKSVDESTMGAAEPGSQNGSFKRQKPRLASGLAKCSTVDSSSTTDLVGHKIKVWWPLDKKFYEGFVKSYSSAKKLHTVLYDDGEIEELNMSKEKWRMIESNGSPMKQKKDHPGTIQGRAHDMRTTSSRKAPPSQHKSTKRPSPPMTKGKPKGLPESKRRKTAGGNMAVEVSNAGSDSSSSLAHSDRDEDVKSDGHKEKEVAVSSSQKKRTVKESKVELKEKKPVGNSLSSKEESDDETLSVWKKRTAQAT* >Brasy7G064200.1.p pacid=40045942 transcript=Brasy7G064200.1 locus=Brasy7G064200 ID=Brasy7G064200.1.v1.1 annot-version=v1.1 MKFMKLGSKPDAFQADGDSRYVLSDLPSDIVVHVQDARFYLHKFPLLSKSSLLQKLIIEASQSGGGGGGEVYIDGIPGGARAFEICAKFCYGMVVTLNAHNVVAARCAAEYLGMTEDVDKGNLVFKLEVFLASGVFRSWKDSITALQSTAALLPWSEELNLVARCTDAIASKAAASPGSVVWSYTYNRKAASSDEIVEERRRCAASQGVVPRDWWVEDLCELDVELYGRVMVAVKKSVGSRADVVGEALRAYAARWLPENCLDEDDDDGESMATYMHVLETIVWLLPKDDDDGSMSASRCCSCQFLLNLLKFAVAVGAGEPLREELMDRVARQLHEASARDLLIPARPPAQTIYDVELVEALVGRYMRRRITGVGEDGLFLSGDLDREVEADESLLTLCKLVDRYLAEVAADQNLPVSSFVGLATSMPESARPAHDGLYTAIDVFLKVRPNLGKLEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAAPPPLSVAGANEDDDGWKNQALALALPDTLPPTPAALRRQLGSLKLAGEDGGGGDGRRLARSASIANQSSRLSLSSRSRRIFDRLWVGGKMVPGGEVVSKSSDTSGSSQSPRSSAVPPNKSSSRNRRYSVS* >Brasy7G134100.1.p pacid=40045943 transcript=Brasy7G134100.1 locus=Brasy7G134100 ID=Brasy7G134100.1.v1.1 annot-version=v1.1 MGYGVVPICSLTGGLVDTDEEGATGFHMGSFNVEAQAQGRWPPEAWIRTSSEGCPQTFGNVQMPPEAAGYGWNLG* >Brasy7G180100.1.p pacid=40045944 transcript=Brasy7G180100.1 locus=Brasy7G180100 ID=Brasy7G180100.1.v1.1 annot-version=v1.1 MAAGAGELKLLGFWPSPFMHRVQMALHLKGMTGYEYVEEDLMNKSELLLSSNPAHKKVPVLLHAGKPVCESMLIVQYLDEAFPGAGPALLPADPHARARARFWASFVDTNVQTPLGHHHNLSSSRPPVLTDLAFCVVQFFGSWIKAIVATTDEERAAANGAAAAALETMEGAFEECSKGKAFFGGDGPGFVDLALGGFVAWIRAYQKVAGADCGLLVAERTPLLAAWADRFAALDAARAVVPDVGRLAEFASRDMLPLLHHLLGKAGK* >Brasy7G109200.1.p pacid=40045945 transcript=Brasy7G109200.1 locus=Brasy7G109200 ID=Brasy7G109200.1.v1.1 annot-version=v1.1 MLEKRSGICLPLPKDPVKHTVSCMYNHVYCSYGYYLSNYLMQNFLKTLPG* >Brasy7G225000.1.p pacid=40045946 transcript=Brasy7G225000.1 locus=Brasy7G225000 ID=Brasy7G225000.1.v1.1 annot-version=v1.1 MKGSKSKGAVRADTKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGERWKTLSESDKAPFVAKANKLKAEYNKAIAAYNKGESTAAAKKAAPAKEEEEDDEEESDKSKSEVNDEDDDEGSDEDEDDDE* >Brasy7G065800.1.p pacid=40045947 transcript=Brasy7G065800.1 locus=Brasy7G065800 ID=Brasy7G065800.1.v1.1 annot-version=v1.1 MPSPRPIVPPPSATALFADAGALRRSYLRLISLSSTLRHLDQLLAVSLASGHYSLDPAPASSLLLRYASLRSPPAHLLRLYRAFPHPDRFLRNALLRSLPTFRADLLFPSPDSFSFAFAATSLTSSCSHGGISPPSAASAALLPLHALAVAAGFAADNFVASALAKLYLTLSRGNDARKVFDAVPSPDTVLWNTLLAGLSGSEALEAFVQMAREGSTRPDSTTLASVLPAAAEVANMMMGRCVHAYGEKCGLAQHEHVVTGLISLYAKCGDIECARRLFDRMEGPDLVTYNALISGYSINGMVGSSVELFKDLVGMGLRPNSSTLVALIPMHSPFGHEPLAGCLHAHVVKAGFDANAPVSTALTTLYCRFNDMDSARRAFDAMPEKTMESWNAMISGYAQNGLRQMAVALFQQMQALNVRPNPLTISSALSACAQLGALSLGKWVHKIIANEKLELNVYVMTALIDMYVKCGSIAEARRIFDSMDNKNVVSWNAMISGYGLHGQGAEALKLYKDMMDAHLHPTSSTFLSVLYACSHGGLVKEGMTVFQSMTSDYGITPGIEHCTCMVDLLGRAGQLKEAFELISEFPKSAIGPGIWGALLGACMVHKNGDLAKLASQKLFELEPENTGYYVLLSNLYTSKKQYSEAAVVRQEAKSRKLVKTPGCTLIEIGDRPHVFMAGDRAHPQSDAIYLYLEKLTAKMIEAGYRPDTEAALYDVEEEEKEHMLKVHSEKLAIAFGLLNTEPGTEIRIIKNLRVCLDCHNATKIISKVMQRLIVVRDASRFHHFRDGVCSCGDYW* >Brasy7G085100.1.p pacid=40045948 transcript=Brasy7G085100.1 locus=Brasy7G085100 ID=Brasy7G085100.1.v1.1 annot-version=v1.1 MAASGTGTPTRANSRVNYSNEIHDLSTVQDGAPSLAPSMYYQDKSFADFFPPHLLKKVISEVVATFLLVFVTCGAASIYGADVTRVSQLGQSLVGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLHPITVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGAAVCITSIFAGPVSGGSMNPARTLAPAVASGVYSGLWIYFLGPVIGTLSGAWVYTYIRFEEAPSVKDGPQKLSSFKLRRLQSQRSMANVDEFDHV* >Brasy7G210400.1.p pacid=40045949 transcript=Brasy7G210400.1 locus=Brasy7G210400 ID=Brasy7G210400.1.v1.1 annot-version=v1.1 MAVPAVSSKFPPAMTEKAAAEANDEKAEASPNNPARSLGFSPVMRGKGAGAKEEKGKDKDDDEEELVVTNPVRASLIYHSFNIAFVLEVVFFIAHIIIYHNASECWWQALLAAAIVSPLFIMPLYAMPILRDVFVETYAMAPRSKYP* >Brasy7G210400.2.p pacid=40045950 transcript=Brasy7G210400.2 locus=Brasy7G210400 ID=Brasy7G210400.2.v1.1 annot-version=v1.1 MAVPAVSSKFPPAMTEKAAAEANDEKAEASPNNPARSLGFSPVMRGKGAGAKEEKGKDKDDDEEELVVTNPVRASLIYHSFNIAFVLEVVFFIAHIIIYHNASECWWQALLAAAIVSPLFIMPLYAMPILRDVFVETYAMAPRSKYP* >Brasy7G135200.1.p pacid=40045951 transcript=Brasy7G135200.1 locus=Brasy7G135200 ID=Brasy7G135200.1.v1.1 annot-version=v1.1 MRRFRGNAAATMRACGLAAEPAPAGETTRPLAGGGARGTLRPEGGGGRAGELSGWRAAAVGLGPKQSGGGGGGARVGAEVGRRCGGTAARRRIGAATRTRSQAATRRLGRTRHEYLIKG* >Brasy7G221700.1.p pacid=40045952 transcript=Brasy7G221700.1 locus=Brasy7G221700 ID=Brasy7G221700.1.v1.1 annot-version=v1.1 MPAEGTRMAGLWEREVGRLPPKRFANAVMASKDFVQSLSIHKRLRKHRGCVNTISFNSSGSLLLSGSDDKYIMLWNWEKGEPTLKFYTGHDNNVLYAQFMPFSDDRSIISSGADGQVRYLQIQEGGRVLTHKFAEMDYAVHRLAVQPGNPHTFFSCGQDGAVWFFDVRGKEATELFKCGAMHDSPFYAIDLYSIAVNPRNPWYFAVSGSDEYVRLYDTRKSYLNGDSNFGCPVEHFCPPHMIAENKDGITGLAYSQTGELLASYSYENIYIFEREHGLHFNNFEVGKKLLMDATVESSLLGEEKLPVPQTFVGHKNMKTIKGVNFLGPNCDYVTSGSDCGNVFIWRKKDGELMRVMKGDKRIVNCVEQHPSDIVIASSGIDSSIKIWAPGESENTSDDEIFTDLDLSGSTSDSDGSDYMDDSIFSYGFDTSDDDEDVDDIEGDDEEYGEEETTGDEIEKDDEGGSKDMSEDDSEGMNEDDGEDMNDD* >Brasy7G221700.2.p pacid=40045953 transcript=Brasy7G221700.2 locus=Brasy7G221700 ID=Brasy7G221700.2.v1.1 annot-version=v1.1 MPAEGTRMAGLWEREVGRLPPKRFANAVMASKDFVQSLSIHKRLRKHRGCVNTISFNSSGSLLLSGSDDKYIMLWNWEKGEPTLKFYTGHDNNVLYAQFMPFSDDRSIISSGADGQVRYLQIQEGGRVLTHKFAEMDYAVHRLAVQPGNPHTFFSCGQDGAVWFFDVRGKEATELFKCGAMHDSPFYAIDLYSIAVNPRNPWYFAVSGSDEYVRLYDTRKSYLNGDSNFGCPVEHFCPPHMIAENKDGITGLAYSQTGELLASYSYENIYIFEREHGLHFNNFEVGKKLLMDATVESSLLGEEKLPVPQTFVGHKNMKTIKGVNFLGPNCDYVTSGSDCGNVFIWRKKDGELMRVMKGDKRIVNCVEQHPSDIVIASSGIDSSIKIWAPGESENTSDDEILISPVAQAIRMALITWMILYFPMVLIPLMTMKMWMTLKVTTKSMGKKRQLEMKLRKMMRAAAKT* >Brasy7G194900.1.p pacid=40045954 transcript=Brasy7G194900.1 locus=Brasy7G194900 ID=Brasy7G194900.1.v1.1 annot-version=v1.1 MYILCASKQMVERFGFSKKVGQVAAKLQLGHLVGILSCAFFTTVWSQVSSQKYYSSVTADIVDAEVRELMEKSYSQSQATQIINILHKLTQLLIETVDREEFMSLDGGRSVCCLSDCYSRRI* >Brasy7G010300.1.p pacid=40045955 transcript=Brasy7G010300.1 locus=Brasy7G010300 ID=Brasy7G010300.1.v1.1 annot-version=v1.1 MEIRRAIEQANIPHTYVSANFFAGYSCANLCQLATLLPPKEKVIVYGDGNVKAIFVDEDDVGTYTIKSIDDPRALNKTIYLRPQENILTQNELIAMWEKLSGKSLQKIFLPSDKFLASMKDMEFHNQVGVAHYYHFFYEGCLTNFEIGDTDVEAFQLYPEVQYTRKDEYMQRYL* >Brasy7G061200.1.p pacid=40045956 transcript=Brasy7G061200.1 locus=Brasy7G061200 ID=Brasy7G061200.1.v1.1 annot-version=v1.1 MLGAQATLVATPRRAAAPGAGRSAPPRLPFPASRPAQPAPPSLPPRSTSHGPYRALLCPSGPLFAVQISIPIRLRSLIGVLSATRMTPWPRIWLLPAGRLAVGVPPPDVASIRAALLSGSGAAADRASDAVEDRVTEQGWIEVRGRHRPQQVLPSPSALGEGSSNRFAFQQKLRGRCFRCLAQDHFVSACRDPVRCLACLCSGHRQRDCRWNLPARQESRRPSPPDCLLPRSWASVVAPSAMPEKQIVPEKLLVPEVLVEPVAPAGLATAIEVALKSIIPAQAELLRVELHRMATDCLEETVQPLRDIATSMQSLVLQLGTLLERSEVTLGRLAPVPFVQVETAIATAASQAPPTMPDPPKELAINFTDEGADCLFGPCSPRFRTSAATDEVVILVAQPANLAPVLNPCSLESDVQCTPADAVMC* >Brasy7G022200.1.p pacid=40045957 transcript=Brasy7G022200.1 locus=Brasy7G022200 ID=Brasy7G022200.1.v1.1 annot-version=v1.1 MALASGTSRLLLGRLPGTARPHLSVSSSSCAPTPASSIRFAARVGGSRAVSLRASAPPAAATATPGSVAPAISLTEKALKHLNRMRAEKNEDLCLRIGVKQGGCSGMSYTMEFEKQGSANPDDSVIEYDGFTIVCDPKSLLFMFGMELDYSDALIGGGFNFQNPNATKTCGCGKSFATGKETHSTATVCSN* >Brasy7G047500.1.p pacid=40045958 transcript=Brasy7G047500.1 locus=Brasy7G047500 ID=Brasy7G047500.1.v1.1 annot-version=v1.1 MRISPVLLFVVVILAGVVFVSGLLHLLVRFLRWRARRTRTGTAAAESGAAAAEEVGDGEDSAALQRQLQQLFHLHDAGLDQAVIDALPVFTYGDAVAGAKEPFDCAVCLCEFAGDDRLRLLPPCGHAFHVDCIDTWLLSNSTCPLCRCALLDADLFDGAEAAAGDDWRPRQDEEEEEEGAVFPVRLGKFKSASRAGPVVIRDGDDPAMAAREEEDTSSGRRCYSMGSYQYVLAEASLQVSVHRRRGGGDGAERMREPRGISGGGGNPAGGCGGGGEGKRIGAGSRGDSFSIWQWPRNGKGKLPVLASDDSPALQQWPRRSVGE* >Brasy7G222100.1.p pacid=40045959 transcript=Brasy7G222100.1 locus=Brasy7G222100 ID=Brasy7G222100.1.v1.1 annot-version=v1.1 MHPAGAGAGDYSSYYPPYPAPTSAPQPPPTYHHPTASASAPPYSPYPTDFAPAAYPLPPADHLPHYAPPPPADHLPHHYAPPPAAAAAAAPPPPAPQPYYPYEPPPLPSPHNPSPSPYPSLDRAGSYGGGGYGSGLPGYGMQQDQLYPAPPPSSAAVAGGWSDDGAYAYRGGDAPEPYGARGTAPRSGSGSALFDDYGRSIGQGKERGGGGGAASPKVVRAVPKAETSEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDLEARRIRLKSNSYTTNTILDTVTAATVQFKEMGGSSMSRSKAIADAATPSEQQDKRKNFLDWRNLMKPINEEKDHWVPDEAVNKCTACAGDFSAFNRRHHCRNCGDIFCDKCTQGRTPLTSDADSQPVRVCDRCMTEVSRRLNSAKEAANRPIVHSHEDLAKKLQEAMDINKKSSSASRPSDGSSGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSSR* >Brasy7G203700.1.p pacid=40045960 transcript=Brasy7G203700.1 locus=Brasy7G203700 ID=Brasy7G203700.1.v1.1 annot-version=v1.1 MARRLCRLPRLLPFASAAPRPQQHRLPIAINPSPAALRPAFSAPTTLQPPPFPALSRLFSSSAGDSSMVVVGSADSFASIHSKVQDEKLPAVFYYTAVWCGPCRAMAPVIAKLSNQYPKIPVYKVDIDMDGFGNKLSDLKIFSVPTFHFYHKGQKTSEVVGADVKKLEVAMESLHKQQ* >Brasy7G073800.1.p pacid=40045961 transcript=Brasy7G073800.1 locus=Brasy7G073800 ID=Brasy7G073800.1.v1.1 annot-version=v1.1 MAFLRYRGLPEGEVTAEEFWAWLGQFDADHDGRISREELQRALRSLNLWFASWKARQGLRAADADRDGAVVGGDEAGRLFAFAQRCLHVKITQLGSY* >Brasy7G149000.1.p pacid=40045962 transcript=Brasy7G149000.1 locus=Brasy7G149000 ID=Brasy7G149000.1.v1.1 annot-version=v1.1 MCPVIRTTRLLGAEDSLPEPVVAPHGSHLLHRHPFRLRQEEVHERRHGRQPRREEEEYPGPHVAQHGQERLRHGHREHEAHGHRHALPRRAHLQREDLAGHQPPQRAPRPPEPGHVDGDEQHERPRPPFAHPSVVPELGGHDAADEHLRRQHLRAARQEERAAPEAVDEEHGEQRGSGAHDARDDRRQQRRRLAHADAPQQHRRVEGDRVDPRHLLEEGDHHRHHQLRPVPTLREQHPQAAPFAVSCRGCHDVVQLGVHVVRATDLPQQHGHQQPSGDGGERQRHAPAPPAPDLLRAEVDHVGRERADPDAQLEAVAERAAVPRRCHLRQVQRHGLVREAQAHPEEHPAQDHHVDVHGRGAHGGPREEAGAAQEHGRAPAAPGRDRGREEGCEEPRDVERGGEGSQELAVELAVVADAVGALHAPVHLREELFQKRLHRRHAACDPDVVAEDDAAHARGDAREHDEGGDPPAAAAGALDDADDADRHV* >Brasy7G196500.1.p pacid=40045963 transcript=Brasy7G196500.1 locus=Brasy7G196500 ID=Brasy7G196500.1.v1.1 annot-version=v1.1 MVLRWGTPAATGRAGERRRRQIPKHSGCNRTRAAAARAGERRRRQAVLLLGSGGGGPCSCSGATAAVTRAPAGEQRCRRGRTVGREREAGVAGGGRASLPRWWRREVGGAERRGGAGGGEERLGGAGGGALLVAERRGAAGAGSGGRPTRGFLAARWRRD* >Brasy7G025800.1.p pacid=40045964 transcript=Brasy7G025800.1 locus=Brasy7G025800 ID=Brasy7G025800.1.v1.1 annot-version=v1.1 MAFIPPKHPRLPSASPPFFLRRSVLAVVPSAALPLLLLLSSPRRAKSLLLCPATATAATRFYSFDVVREYPHDREAGLHRGSLVWRKWHLFESTGLYHRSSVRKVDHETGKVRLVQHQVDGNMFGEGLTLLGDRLFQVTWSTNVGFIYDRHNFSKRESFTHKMCDGWGLGGWHRCFQNNDVPYINEMEYIDGEVWANVLQVSFTFSLLANL* >Brasy7G152400.1.p pacid=40045965 transcript=Brasy7G152400.1 locus=Brasy7G152400 ID=Brasy7G152400.1.v1.1 annot-version=v1.1 MWFQWDAQDRPWLGSKIPCSDCDKLLFAACTNIQIGDGRKVSFWDDRWLQGNAPKEFAPDLYRLARKKQIRVSAAIQEGKWLHGLQRISSSQEIDQLTELWNRLQPEHLNARPDCISWKFSTDGNYSARSAYMAQFLQAIPQLIINSVWSIKVEGKVQFFMWLLLQNRLWTADRLASRGWPHNNVCSFCEQVHERAAHIFLECTFAKEMWHACGGRWPRLAVVAETSSSLRMWWRRVISGPKTETKSNEIVMAALTVWHLWKERNNRVFQQKEDKPFFYSSSTQPSSSTTLESTSFLSLPHIFS* >Brasy7G083600.1.p pacid=40045966 transcript=Brasy7G083600.1 locus=Brasy7G083600 ID=Brasy7G083600.1.v1.1 annot-version=v1.1 MASLPLPRHAKTPTASAWLLRPRGRLRHGFEFRRASAKNLSAASRLPRFRHATVAAPSPHASAQRPDGGGLLVLTVAASAVAISACFIFFSAIRSMWDCKRAAESLEMSFDFMREKLPETMASVRLVGKEVSDLSVDLSDLSQEVRKVFRSSMSVVHTADAQLRQLSTSGTPGTSPRVANQQKAVGEPLLASTVRELRGLIAEVCSGFGAAFGIASLFMWASNFGSKHQNRAK* >Brasy7G003400.1.p pacid=40045967 transcript=Brasy7G003400.1 locus=Brasy7G003400 ID=Brasy7G003400.1.v1.1 annot-version=v1.1 MADQPGRAIVPGSPPLPYAYAPLPPEDDRRRSGIGGGERWRACAAVLAASALVVLVVASALAGARTDRAALPPASETARTRGPAAGVSEKTSGAAAEEMQMVGAGADADGFPWSNAMLQWQFTGFHFQPEKNWMNDPNGPVYYRGWYHLFYQYNPEGAVWGNIAWGHAVSRDLVHWRHLPLAMVPDKWYDVNGVWTGSATVLPNGSLVMLYTGSTNASVQVQCLALPADPNDSLLRNWTKHDANPVLLPLPGIGDRDFRDPTTAWFDESDQTWRTVIGSKDNHGHAGIAIVYKTKDFIKYDLIPGLLHRVEGTGMWECIDFYPVGEKEELYVMKASMDDDRHDYYALGKYDAKANTWTPIDPEADVGLGLRYDWGKFYASKTFYDPAKQRRVLWGWVGETDSERADVAKGWASLQSVPRTVALDEKTRTNLLQWPVEEVETLRMNSTSFSGITVDHGSVFPLSLRRATQLDIEATFRLSPSAVAALTEADVTYNCSTSGGAAHRSALGPFGLLLLATPNPGEQTAAYFYVTRGTDGELRTHFCHDESRSSKANDIVKRVVGSTVPVLDGEGLSVRVLVDHSIVESFAMGGRSTVTSRVYPTEAIYAKAGVFIFNNATAGAVTVEKLVVHEMDQSYNQAFMDDDDTATDL* >Brasy7G003400.2.p pacid=40045968 transcript=Brasy7G003400.2 locus=Brasy7G003400 ID=Brasy7G003400.2.v1.1 annot-version=v1.1 MFFVCLFLSAATDRSGNSIPSMHADPNGPVYYRGWYHLFYQYNPEGAVWGNIAWGHAVSRDLVHWRHLPLAMVPDKWYDVNGVWTGSATVLPNGSLVMLYTGSTNASVQVQCLALPADPNDSLLRNWTKHDANPVLLPLPGIGDRDFRDPTTAWFDESDQTWRTVIGSKDNHGHAGIAIVYKTKDFIKYDLIPGLLHRVEGTGMWECIDFYPVGEKEELYVMKASMDDDRHDYYALGKYDAKANTWTPIDPEADVGLGLRYDWGKFYASKTFYDPAKQRRVLWGWVGETDSERADVAKGWASLQSVPRTVALDEKTRTNLLQWPVEEVETLRMNSTSFSGITVDHGSVFPLSLRRATQLDIEATFRLSPSAVAALTEADVTYNCSTSGGAAHRSALGPFGLLLLATPNPGEQTAAYFYVTRGTDGELRTHFCHDESRSSKANDIVKRVVGSTVPVLDGEGLSVRVLVDHSIVESFAMGGRSTVTSRVYPTEAIYAKAGVFIFNNATAGAVTVEKLVVHEMDQSYNQAFMDDDDTATDL* >Brasy7G046200.1.p pacid=40045969 transcript=Brasy7G046200.1 locus=Brasy7G046200 ID=Brasy7G046200.1.v1.1 annot-version=v1.1 MNYFPDRQHVRLRSLELGTYLHAAADGIEVCLHPDRASLNAAWMVHRYQNEDGNTYLLLHSAAFGRYLAAATNTRAPWGQRGYRVEQREFDEPEVNSIMWQVIKPGNFFLLRHVSARLLRANGGRRFNWNSGVTVDKFENRSAMNLWVVEPIPPLQAYPGVPNPIAEPLSSPQFMCLLFGRESPPVRLIRFQQANADGTVNEDGWTEFQFRGNSSYRLRFQLVVRMYIVHFIMCVRAGRYARLTPILTNLPRGATGDTLYIVAVHSQSPGANELRYPDMYTA* >Brasy7G220300.1.p pacid=40045970 transcript=Brasy7G220300.1 locus=Brasy7G220300 ID=Brasy7G220300.1.v1.1 annot-version=v1.1 MAPTTMAKASPAAARSGGHVRSASVPSQRHPLLRHVDDQLRALRAWTSDPARHSCPLSLAHVRALLCVLDELLLSLHFSSTALSASLLDAFLALADAYGSFLAALLALRHHAADLRAALRRRTQADDTAKLDSAARALRLAAKDLAALAARCAHTPIHHASAGEDDGGGLTGTVAEAISETAAASAAVFLQVASLAGAAAAMAMASFSSSLSSSSSPPPKKKRLATLLAHAPARRGGIKKAMEEQHRDATAAAEKLRELEQCVGELEGESEKVFRSLLHTRVSLLNIHTPTI* >Brasy7G067800.1.p pacid=40045971 transcript=Brasy7G067800.1 locus=Brasy7G067800 ID=Brasy7G067800.1.v1.1 annot-version=v1.1 MRIPNNPCLFSCTTAHPVLAQNWTTLPHVIWSSSRLYVLQFHTQTDRGTAMEEQQRTEELLQGQLELWHHALGYIKSMALKCAMDVGIPEAITRCGGAATLPDLLAATGLPPCNISYLRRLMRVLTVSGIFQQQEQKQATSAGEQEEAVYALTPASRLLVSEDGNGRGAPSFGQLPTISLLLGRTFVTPFLGMHPWIADERAAATSLFEREHGQAVWEMTRTSSSINGEFNRSMAADTGLIMHAVLTLSPAVFEGVTSLVDVGGANGTAAAAVARAFPHIKCTVLDLPHVIENAPAPDEAAGGNTVRFVAGDMFHHIPPAHAVLLKSILHDWNDDECIKILRQCKKAIPDRGGGGKVIILDSVVGSNPCDNICNETQVLFDLHIMFINGAEREEREWRKIFVEAGFTDYKITPILGFRSIIEVYP* >Brasy7G058700.1.p pacid=40045972 transcript=Brasy7G058700.1 locus=Brasy7G058700 ID=Brasy7G058700.1.v1.1 annot-version=v1.1 MPLSSCFASGGDPSSSPGTASSCTSVYSTHLGAITLTWSRSTLGLVLTADLALAGAASPSARFVLLPWLPWRRRGSKRFSFPGAGGQRRHAVAFSWDLSRARLAPRRPEPLSRYSVHVLVDGELALTAGDLPSSSPAAGVLLSRRESAGGFEYATSVAVAGEAHEVSVGVEESGGAMWIAVDGAKALQVRRLRWKFRGSERLDDLPTSCGRGHCVRVSWDLHGWLFGAPDDAAAVFVLRFDPADGVDDAEEDDDDAPLKRQGSFSFRSSPGGESWCGSDVAGERKGWRRGGPFRSGSDSSPTVSVASTSAASSSAVSAATVAGWDAAEEAALQDVGGGFSLVVLLRKKKKRRRR* >Brasy7G150800.1.p pacid=40045973 transcript=Brasy7G150800.1 locus=Brasy7G150800 ID=Brasy7G150800.1.v1.1 annot-version=v1.1 MGGEEEWSWTALALAATASCVLLHVAARVADALWWRPRRLEAHFARQGVRGPPYRLLVGCVREMVALMAEATAKPMSPPTSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVSDPELVREIFLTQAEAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLAPAFFPDNLNRLVPHVGRSVAALADRWRAMACSAGAGEVEVDVAEWFQAVAEDAITRATFGRSYESGRVVFRMQGRLMAFASEAFRKVFVPGYRFLPTKKNRMSWGLDREIRRGLVRLIGRRSDNADAAVENDGTAVKDKGNSNNKGFRDLLGLMINARDNKSMPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQDRARQEVLTVCGPGELPDKEHLHRLKTLGMILNETLRLYPPAVATIRRAKVDVTLGGDQLAIPRDTELLIPIMAIHHDARLWGPDAAQFDPARFAAGAARAASHPLAFIPFGLGSRMCIGQNLALLEAKITVAILLQRFELKLSPKYVHAPTVLMLLYPQYGAPVIFRPIDSISSSPPSD* >Brasy7G014200.1.p pacid=40045974 transcript=Brasy7G014200.1 locus=Brasy7G014200 ID=Brasy7G014200.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G059800.1.p pacid=40045975 transcript=Brasy7G059800.1 locus=Brasy7G059800 ID=Brasy7G059800.1.v1.1 annot-version=v1.1 MATTAPGPASSCCAMRRSAGSSPFKPADCPHRSSSKSGGVCGCLASPRRSFGEKENQQREEGARTPKARASGVKNFMAPTISATSKAVAASASPRKKVLGERNEQTHLLAPSSPGNIAHKPKGPPDAGPTRRLRLSFDGAAPAPLATASEASHGSRRSFGGEDTRMENPVCRNHHHAAADLVDAELSQAAVYDPKTNYTSPRPRFLHYKPNPRIEMYRHGGSGVRRLEEGFSSESSEETDTTTTEEDELAEEEQKQEQQPKHLLEEPSPLASPSEVGDAAAVHSPEEPAPGSPMSPVPISKPAPASPRADVLARDRRAISPQARARTPELELGLSSGQAPAKRSLPGFLLAAGLILFMAAAFVSVRLPPDSPVMSNAALSKVTNFLSVQELHPVELAAWLKQWSSSSLDSITSYWEALAFRQEQEFLGPRFAANLSAASSADTDNGPDFYYNFAETISMPSEEPFGDKELKIQDFVSASNTVLTAEAEGHVVVEEEPNDDDAVEEEAIDDDASYNYNVAKELDVEVISEEAPGSYGEVEEFDEEIAEEAQASYGEEMASLSQNLNIPSQSTREPEQIENLEEQDVETDESEEDHANVKEEQEAYRAPESDSSIWSGYLDKISKPAAVGVALAAVIILSTGVAALYMRKKQDQVPTNANTPPSENLEQTAAQSGSGSSEVHLNKKSSQFQSSKMEEPERFGDSGFSQYSSSLSSGHGKRRKAKEEESLSLEPMSKRESYSTSSYGSFTTYEKISAKKRNKEDEAMTPVRRSSRLRNVKAPEA* >Brasy7G046600.1.p pacid=40045976 transcript=Brasy7G046600.1 locus=Brasy7G046600 ID=Brasy7G046600.1.v1.1 annot-version=v1.1 MAYHQQKGTVSRDIKETEHTLHMYMFQIGEGRPNGNEKSIVYPHNIKPPIMFGRVVAVDWAIRDGLDQNKANVVARGRGFVVGNSMTSHGYFLSLDILFTDERLKGSSLKVLGSYENQTDNSHLVVVGGTGEFAYAQGTVGYKEVSNTGAEIIREVHICVFSRNIPKQPTVTTPAATKEGPLGGNGGNAFDVPNPPQRIESVTIRRGDVIDSFAYSYIDQVGKRQTAGPWGGSGGNPGESTYGPYGTVRGTRFSYPERSSDEIVGFFGRHGSLLDAIGVYIRPSATN* >Brasy7G073100.1.p pacid=40045977 transcript=Brasy7G073100.1 locus=Brasy7G073100 ID=Brasy7G073100.1.v1.1 annot-version=v1.1 MSPQPASLAWPWPWPWPPKFCPPAPNTPRPPPVRLPWPRATIVLPRASEGLAGEVVVAAGAEGLEVTERCRNGAGELVGVENEGLEYGGVGERGGDLILRARWR* >Brasy7G106700.1.p pacid=40045978 transcript=Brasy7G106700.1 locus=Brasy7G106700 ID=Brasy7G106700.1.v1.1 annot-version=v1.1 MDMDIYNGVNYMKRTQIGRRRGEPMATRLLYLGVLLFLAIAAAWTSGAAAFGLPRVSSEYCAWTSPFNTVNATAIHIGNTKSCISGYGGRLDPYTMYQFCIPSWVAFTANATLFGEAAMNHAAVSPGTAVSGFKRLLGVSMSNEIVKREAELVPYEFTTQLGRCGIKMETEEGGVMEILPESVAGILMGELKKTAEAWLGRQIMYAVVTVPADFNDAQKSHVTAAAELRGGFHAAKAVDEEVAAAAAYRLHEKRGDGKAILVFHLGGRTCHATKFRFQNGTARLLAERRDAYLGGDDFTGRIVDYFVKLIKEKHHRDISEDEGALRKLRADSEVLKKSLSDPERESTPYNVGSLLDGENLVERLTRSKFEELNRDLVARAMEMVDQVVMGDAPASQSQSRKDDIDEIILVGGSVRIPMVEKLVEGYFHGRGLIRDEEAMIRGAALLSRPESGRYVDECYYGGSEDLIPGHSKYSYFTSSV* >Brasy7G181000.1.p pacid=40045979 transcript=Brasy7G181000.1 locus=Brasy7G181000 ID=Brasy7G181000.1.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPKAAQLSILISGIMCLTEGLLVVIITVFARDVWGYLYSNEDEVVKYVSTMMPILATSDFMDGIQCTLSGAARGCGWQKVCSFINLCAYYAIGIPSAVIFAFVMKIGGKGLWMGIICAMSVQILALLVMILRTNWDKEAEIAPARIRVQESDGSIASA* >Brasy7G181000.2.p pacid=40045980 transcript=Brasy7G181000.2 locus=Brasy7G181000 ID=Brasy7G181000.2.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPKAAQLSILISGIMCLTEGLLVVIITVFARDVWGYLYSNEDEVVKYVSTMMPILATSDFMDGIQCTLSGNVSSARGVLLVAVVQPKMLARKLSIDW* >Brasy7G181000.3.p pacid=40045981 transcript=Brasy7G181000.3 locus=Brasy7G181000 ID=Brasy7G181000.3.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPKAAQLSILISGIMCLTEGLLVVIITVFARDVWGYLYSNEDEVVKYVSTMMPILATSDFMDGIQCTLSGSPSYIFLS* >Brasy7G181000.5.p pacid=40045982 transcript=Brasy7G181000.5 locus=Brasy7G181000 ID=Brasy7G181000.5.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISYHHSFCTRCLGLLVQQRR* >Brasy7G181000.4.p pacid=40045983 transcript=Brasy7G181000.4 locus=Brasy7G181000 ID=Brasy7G181000.4.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTWFSSKSKTRNFNFVHQPKHNVDGLYNSKWPQQCNKLSSQFLHEMSGVTCTATKMRL* >Brasy7G181000.6.p pacid=40045984 transcript=Brasy7G181000.6 locus=Brasy7G181000 ID=Brasy7G181000.6.v1.1 annot-version=v1.1 MSSQRGMSGRGEAAAAMTSSPLLLPRAPAPAPAVRDEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSAASVASSFANVTGFSVLMGMGSALDTLCGRSYGAKQYDMLGTHAQRAIFVLMLTSVPLAFALAFTGQILIAAGQNPEISYEAGLYARLLIPGLFAYGLLQCFTKFLQAQNIVHPLVVYSGVTLIVHILLCWFLVQNFGLGNRGAALATSISYWFNVILLAIYVKLSEAGRRSWHGWSWEVLKDVNMYLRLAIPSTFMTWFSSKSKTRNFNFVHQPKHNVDGLYNSKWPQQCNKY* >Brasy7G167700.1.p pacid=40045985 transcript=Brasy7G167700.1 locus=Brasy7G167700 ID=Brasy7G167700.1.v1.1 annot-version=v1.1 MEGADGMTTTKTTACVTGAGGFVASWLIKLLLSRGAGRYTVHGTVRDPGDAKNAHLAALDGAAERLRLFKADLLDYGSMAAAIAGCDVVFHVACPVPATPLSNPEVEMLAPALTGTMNVLKACWEAKVKRVVVVSSVSAVMLNPSWPQGKPMDEGCWTDVDYCRTTENWYSLGKTLAELEAFDYANRTGLDVVTVCPSLVIGPLLQPTVNASSSVIVDFFKGDSEVKSKTRNVVDVRDVADALLLVYETPEVSGRYICSSHATKISGVIDMLKSMYPTYKYADKFVQVTEEPSFCSRKLEMLGWKIRPLQETLRDSVESYKAAAVLD* >Brasy7G115700.1.p pacid=40045986 transcript=Brasy7G115700.1 locus=Brasy7G115700 ID=Brasy7G115700.1.v1.1 annot-version=v1.1 MAAPNPGGIPIKAEQDSDGSAQSTADMTAFVQNLLVQMQTRFQTMSENIISKIDEMGTRIDELEMSINDLKAEIGSDGMTPTKVKDEEPKAADSSS* >Brasy7G012100.1.p pacid=40045987 transcript=Brasy7G012100.1 locus=Brasy7G012100 ID=Brasy7G012100.1.v1.1 annot-version=v1.1 MYLYYPLIHSYVSPSYVVCLPPLPAIAPARRQNQRLRTVKTPAATRSPSADLAPAAIASPASSPSLFPPPPASARPLACRYRPSAGRPAALHPHTPTPRGGAAALPRRRVWKASARGGSPFPCLAPLRLQILSRVQRSSKRQAVKTNKARHNNSLIRLVFI* >Brasy7G030000.1.p pacid=40045988 transcript=Brasy7G030000.1 locus=Brasy7G030000 ID=Brasy7G030000.1.v1.1 annot-version=v1.1 MIINFPWLYKQLGLRDVRLVSEGQQLKIILKYKGNPNEGLCEKENELVQDEENIKFLKNRVHNEELVVFSVPLHLEAQATEIQTLNRGLKTIYNGMIMKRRSYLRLKAYKKEKTAQGEALLAKIKSFVEDAEVLIGKIEDMHGKSFPMPPVFGKPYVIIK* >Brasy7G206000.1.p pacid=40045989 transcript=Brasy7G206000.1 locus=Brasy7G206000 ID=Brasy7G206000.1.v1.1 annot-version=v1.1 MVDDSGGGKVAGGAGAAKLGILRRRRCCREVVRHRRWRQPHDIEGARKRPAAPATSPTPGSSPTRRAISRALHGNGGK* >Brasy7G160700.1.p pacid=40045990 transcript=Brasy7G160700.1 locus=Brasy7G160700 ID=Brasy7G160700.1.v1.1 annot-version=v1.1 MEHKETGCQSREGSILCINKCGFFGSAATMNMCSKCHKEMTMKQEQAKLAASSFDSIVNGSDAAVKEHLVTGNTEVAAAHVEVKTLIAQPADAAGHSEAAMLNPKGPSRCSTCRKRVGLTGFNCRCGNLYCSLHRYSDKHECKFDYRTAARDAIAKSNPVVKAEKLDKI* >Brasy7G226900.1.p pacid=40045991 transcript=Brasy7G226900.1 locus=Brasy7G226900 ID=Brasy7G226900.1.v1.1 annot-version=v1.1 MEAAIGSASRLVGSLVKQLSNELVEAYVASAQLGLNSDNIKRDLMYTQGLLHEARQRGVAVDNPGLEGLLLQLSAKADEAEDTLDELHYFIIQDQLDGTKYALPDLGDGLHGQARHGCHALRHAVGNCLACFSCSRKMQDDDHEAAAKTPFDRVAMSKKIKSVIEEIHSLCDPVSKLLNIVPRHSTTTAIANLKRPLVGSTAAHDTLYGRRDLFEQTIQDIITSATNSTEILSVLPIVGPGGIGKTTFTQHLYNDKRIEGHFPVRVWVCVSTDFDVLKLSQQILSCIEGSNTGNQTTNLNQLQISIAQRLKSKRFLVVFDDIWKCNTQGWEILLAPFKKGEAKGNMVLVTTRFPSIVDMVKTTHPIAMKGLEHDDFLTFFEAFIFGGNKPEDYEDDLSDVAKNIANKLKGSPLAAKTVGRLLKKKISREHWIGVLENNEWQKQKNDDDIMPSLRISYDYLPFYLKTCFSYFALFPEDYRFKNLEITYFWIAVGIMEKYENYMEELVDNGFLVKENYGSGKEYYVLHDLLHELSRSVSSQECLNIYSGGNFRADDIPKTIRHLSITMENKHDGNFREEMVKLRSKVDIVNLRSLMIFGEYGETVEEILKNTLEEIEGLRVLFIVVKFSESFPCYLSKLIHLRFLKISTPNYLRELTIPSAISRFYHLKLLDLSSWYGSDKLPEDISRLINLCHFFAGNELHSNVPEVGKMKCLKELTKFCIKKESAGFELSELGALTELGGKLGIYDLEKVATKEEAMKAKLVSKGDLEELTLVWGKSDVLDSRALSCDVLDGLEPHPNLQSLAIKNHGGSTGPRWLCGDISIQMLKSLHLEGLAWVTLPPFGQLPHLASLTLRDIYEVCEIRYGFGGATDKSFLQLKQIVLRGLPKLIEWVGAPNAHQFSRLEYIRCSKCPNLCTLPFLQECSAVSYNHLCKLEISECPKLFLPPMPHTSALNRVHVSASPVGCMTYTTDLLILTGYNGALALENMGSKLESIAFRGGSKFPWAELPKLTSLQGLEIREDPSFLSMALLSNLPTSLTSLSLIDCENLSGDGFNPLIAAVNLKKLMVYNRGRDGPRSIAANLLSELVVASRTKPLLPAAGCFQMEELGVDCISAMLAAPVCSLFSATLHKLYLSCDQRVESFTEEEEDALQLLTSLQTLFFWRCPGLPSLPQGLHTLSSLMELHVAGCPEIRSLPKEGLPTSLRILYLSGCPGIRSLPKEGLPTSLRELSVYDCCPELHEQAKELQGTKPNLHVEC* >Brasy7G055100.1.p pacid=40045992 transcript=Brasy7G055100.1 locus=Brasy7G055100 ID=Brasy7G055100.1.v1.1 annot-version=v1.1 MVKICSPLAAATLALLLMMATARAIRLDAETNAALSNQLLNGDDKADGVEPSSSSSSLGEVEETISEEKDRAGHQMPEIHVDYYGLRGHNPRQH* >Brasy7G115300.1.p pacid=40045993 transcript=Brasy7G115300.1 locus=Brasy7G115300 ID=Brasy7G115300.1.v1.1 annot-version=v1.1 LQSFDDFTLKGTGLSMTISKWSSASLAKARLHSIWVKITGIPDELMHYKGICEAGSPLGVVQEVDMVALLRFSLARIRVGVRDPNNLPVSNEVTTDPFIYDACYEFESVAEVGGLLDEEGQIIGVPRANTEPGGGDPKRARADIHSEQHSAGRETVFIEDGTTEPQDSDAIRKELLDKYFQEEVDKRLALKMNEIEEKAFAAALASMKSKEVVNVDGLISDVPAVQSPEGASIDEGASIDEHELVNDAQLNEWVNPEDEELDEVDYESSQDIIKIAVDNMRKKLRPQGDGTILDKARDLASKKNLDPKGISSHC* >Brasy7G136700.1.p pacid=40045994 transcript=Brasy7G136700.1 locus=Brasy7G136700 ID=Brasy7G136700.1.v1.1 annot-version=v1.1 MAAGGEQGRRYWRWSKADFFPEPSFQSWRSYGGALAATVPRLRDRLTARSSEAVEAGTLLAESENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDMAAFLAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIHVPALAEGFNLLDPIAIVVLITTSALAMSGARLTSTINSLASVVGIVIIAFVLGVGFAHFDKGNLEPSFFPFGAAGVFRAAAAVYWSYTGFDMVATMAEETKNPDRDVPLGLLSSMSAITVVYCAMSLTLVGMQRYSEIDANAAYSVAFAATGMKWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPRTGTPVYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGRTSPSQLRTFLAFLALVILSSIGLSVYYNSVYARRWPGYVVFGVGWAAGAAGLALFAKQQRTPKVYGVPLMPWLPAMSVATNLFLMGSLGSMAYLRFGICTVVMLVYYVLFGVHATYDVAHSEDAAAVAENVEQGKSVPVSMAPA* >Brasy7G004300.1.p pacid=40045995 transcript=Brasy7G004300.1 locus=Brasy7G004300 ID=Brasy7G004300.1.v1.1 annot-version=v1.1 MCLARSVVRYAPYSYALALPSSFLWCAYGVKHDMTALCLLSAGGAAIQVLYLVLFFRRAPADDERRGCAVRLLLLGALAVVVGAVAYFVVDTSREVEYGAYGWWCCFVASFAALSPFMNWHALRDMADTRTMRRMPPLIVPALALLNSVPWMIRNSPGFPSPKSAVLVVHYYYHYYCTPFRSILLLHAAFGWMGGQQLAATAAAAAAAAAAAVPVNDELHAPLIDHSQQAAGALLLNEEAAAAAHLGDIKMQANDDDYEALPGSNNNDGIVPDPALQLEIVVHDAAADLEEKKVDLKLPEEDEDKIKIVVASASASSTPAPEKTTEPEDDLTLNPTATGHDDPPQALAQAQQMGQPGDESQV* >Brasy7G058300.1.p pacid=40045996 transcript=Brasy7G058300.1 locus=Brasy7G058300 ID=Brasy7G058300.1.v1.1 annot-version=v1.1 MARPQQRFRGVRQRHWGSWVSEIRHPHLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPSTGDGDGNPSSSFLSPALVAKLHRFNMASSTSQVASAAAQRDHKQDGSSTGTSAAAAAAGWSGGFLEEQYVEQMIEELLDSNFSLEISY* >Brasy7G021700.1.p pacid=40045997 transcript=Brasy7G021700.1 locus=Brasy7G021700 ID=Brasy7G021700.1.v1.1 annot-version=v1.1 MDLMVLDHDYLYQDDQQDQPQLQEEDAMDIVLNHDYLYQDAAIDFFAAELQRQREPPRRHRFVVPDLNLPPPAEDDLAAALKDTAAPDGGVCPICLLDDDDDMAAAGEWKETACGHKFHGCCVEKWLRDNGSCPMCRRELVKTTTADPADAADIDYLAWADEDLVLLRAEALIARISFLQSMNRLITS* >Brasy7G052700.1.p pacid=40045998 transcript=Brasy7G052700.1 locus=Brasy7G052700 ID=Brasy7G052700.1.v1.1 annot-version=v1.1 MTAAAATTPAARLFLLHSCPNPSLPCPKSSPSAQTLSLPSSPRLTLSHRMAAAPPKGPAAIAGSSGESERDISASAVSAEALGAVDATHGGLEKKEPSVATILTSFDNSFDMYGALSTPLYQTATFKQPSATVNGTYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLQSGEEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKIGDVASAIGPLTKLVWLESPTNPRQQITDIKKISEIAHSHGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFQTGSLSLSKHVVETTKYFNITVSFGSVKSLISLPCFMSHASIPSSVREERGLTDDLVRISVGIEDVEDLITDLDCALRSSIEHAKSGIWSSGFWFIMRYVLVIHWLTATVLIANNKPLHEVW* >Brasy7G052700.2.p pacid=40045999 transcript=Brasy7G052700.2 locus=Brasy7G052700 ID=Brasy7G052700.2.v1.1 annot-version=v1.1 MTAAAATTPAARLFLLHSCPNPSLPCPKSSPSAQTLSLPSSPRLTLSHRMAAAPPKGPAAIAGSSGESERDISASAVSAEALGAVDATHGGLEKKEPSVATILTSFDNSFDMYGALSTPLYQTATFKQPSATVNGTYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLQSGEEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKIGDVASAIGPLTKLVWLESPTNPRQQITDIKKISEIAHSHGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFQTGSLSLSKHVVETTKYFNITVSFGTTLLLYPFYHTTHSN* >Brasy7G061900.1.p pacid=40046000 transcript=Brasy7G061900.1 locus=Brasy7G061900 ID=Brasy7G061900.1.v1.1 annot-version=v1.1 MASSQTLLAFLSFFALLPLLAYAQTEADVCADPAADGACHNVPKALRLKLIAIPTILVSSIIGVCLPLFARSVPALQPDRALFSVVKAFASGVILATGYMHVLPDSFNNLSSPCLPKKPWGDFAFTAFVAMLAALFTLMVDSLMLTFYNRKKKGGGQGPSSTAVVADHESPDEEGGGHWHGHGHGHGHGHGHGMAVAKPDDAEATQTQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGTKMKAGLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLLCFLAVLLGAGGMSVMAKWA* >Brasy7G036800.1.p pacid=40046001 transcript=Brasy7G036800.1 locus=Brasy7G036800 ID=Brasy7G036800.1.v1.1 annot-version=v1.1 MAPLLLLPTLLLLLASSAASTQAQKNITLGSTLATQGPNSSWLSPSGDFAFGFRPIEGNTTFYLLAVWFNKIGDKTVAWYAKTTTQDLSSGQDPIVVQAPSGSVLQLTMDGTLSLRDPSGKEVWNPQVTGVASASMRDIGNFVLVAADGNTKWQTFDAPSDTILPTQVLPCNQNPSKSLRARLDITDYSNGRFLLDVGTDGNLAFKLVAVPSGHQYDTYWSTNTARNGSQLVFNETGRVYFLLTNGTEINITSGVLGSMKDYFHRATLDKDGVFRQYVYPKNKKSSILGGEVWTAVSVLPQNICNAVVSEYGSGVCGFNSYCTFDGTKSQTVSCACPPQYKFFDEERKYKGCKQDFEPQSCNLDEASALAQFELIPIRGVDWPLSDYEWYEPIALPDCARLCAIDCFCALAVYNQSTRTCWKKKLPLSNGNMADYVQRTVLLKVPSSNNSQSEFDSASTKWKKNRKYWALGSSLLLGSSVLVNCLLISILVFGTYCSITGKKKSQSAQGSSKSQLPLRTFTYKELEKATDNFHEVLGSGASGVVYKGQLQDELGTYIAVKKIDKVQPETEKEFMVEVQTIGHTFHKNLVRLLGFCNEGTERLLVYEFMTNGSLNRLLFDDVQPNWNTRVHIALGVARGLLYLHEECSTQIIHCDIKPQNILLDDSLVAKISDFGLAKLLLTNQTRTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRRNVDLEVTDEEQTILTYWANDCYRSHRFELLVEGDEEAMFNIRKVERFVAVALWCLQEDPTMRPNMLKVTQMLDGAVAIPTPPDPYSFISSLP* >Brasy7G125300.1.p pacid=40046002 transcript=Brasy7G125300.1 locus=Brasy7G125300 ID=Brasy7G125300.1.v1.1 annot-version=v1.1 MKLITCASSPTLMIRFFFHSLQRLVPSFLLSLGGGARIWPVL* >Brasy7G110900.1.p pacid=40046003 transcript=Brasy7G110900.1 locus=Brasy7G110900 ID=Brasy7G110900.1.v1.1 annot-version=v1.1 MMITPSDAIIVSFEVAFTVVIVLTALFCCRRSAEADAAADRPAARLVVVQVRRPAAAAAVKLPCFPYSSVGRRAAEKLVCVICLEVFLDGAMCSEVPACRHLFHRKCIDVWMKRKTTCPLCRASIVAGSERVPVGDEMV* >Brasy7G201300.1.p pacid=40046004 transcript=Brasy7G201300.1 locus=Brasy7G201300 ID=Brasy7G201300.1.v1.1 annot-version=v1.1 MEPSSQPQPAVGAVASGSEVYAASNYSPAAAVAVAPGVIPAASQQAPSFPGNPAQLSAQNQLVYQQAQQFHQQLQQHQQGQLQQFWAERLAEIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMREEGVGLPRAGPLPLGAPADPYPYYYLPQQQVPGAAMVYAGQQGHPMTYAWQDPQEQQQQGPPAEQQSLDDSG* >Brasy7G067700.1.p pacid=40046005 transcript=Brasy7G067700.1 locus=Brasy7G067700 ID=Brasy7G067700.1.v1.1 annot-version=v1.1 MVNVEGVGEVDDNALLDDATSGGPLVDPVHPGGALREVVEASVEEEGDLHVENVDMRWLLSTRTPTSPGMLASTFKVLFLAYYEAKHGRRSRYQKKLQC* >Brasy7G054800.1.p pacid=40046006 transcript=Brasy7G054800.1 locus=Brasy7G054800 ID=Brasy7G054800.1.v1.1 annot-version=v1.1 MDWYAWLSRAGLGDAHAAEYALLFARNDLAAGDLPRLDHASLAAIGVPLAKHRLQILTLARKQPSSSSAAAAAITVLPGRATRLLAAAARSLAGRLRSAVLRRDSKAAAVAPRPVPPLRHHRGGAGGAAGAGPPWKSCRAPAADKRGGRATTTKLALVACLSKPMVTLIDGGGKGKKKRTAKPTVPGCFASTETRSDCDCDYDADEDEEYDDEMGGGRGGGCSPETPWESMFQDLNPT* >Brasy7G190600.1.p pacid=40046007 transcript=Brasy7G190600.1 locus=Brasy7G190600 ID=Brasy7G190600.1.v1.1 annot-version=v1.1 MSVLRRSARLRCAKQKVDGHVVDQLDGDQIFESKLAKRMVDHLDSDKIDGLKEGITFNHGSGSGMTGLYPQIIIVQVGEDVANKIMSFSGNGWAVCILSAIGAVSNVTLRRPASFSPQTSTFEGCFEIISLSGSYVPIELDGVSTRTGGLNIILSSTDGRFLSGGVAGPLIAASHVEVVIGRFQGDDKKELGQAVTTETN* >Brasy7G111500.1.p pacid=40046008 transcript=Brasy7G111500.1 locus=Brasy7G111500 ID=Brasy7G111500.1.v1.1 annot-version=v1.1 MSPSTESSRPKAAYQRVVDNFTAIVAVALAAVALFAMARLGPETLAGRLSELQPANVFLACFLPAAAAIVYITLRPRAVYLVDYAGFRTPHNCRIPFASFLEHAKQLPALNERSVRFMTRLLERSGLGEETCLPPAQHYIPTHKYCTLDAARAEFELVAFSAIDDLFAKTGVTPDAVDVLVVNCSLFCPIPSLVDMVINKYKLRSGIRSMQLSGMGCSAGLIAVGLARNLLQVLPRGAHALVVSTETITPNYYIGNERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMNIAGDSLKANITATGPLVLPACEQLMFAFSFVARNVIGTRVKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNGAAWECIQPARNADGPWATSIHRYPVDIPDVLQH* >Brasy7G145100.1.p pacid=40046009 transcript=Brasy7G145100.1 locus=Brasy7G145100 ID=Brasy7G145100.1.v1.1 annot-version=v1.1 MVVYMASVNTTLVDESNIDFKNLLCLKSHLSNNAKTLASWNNTLQFCSWPGVTCGKRHPSRVTALDLKSLGLDGQIPPCIGNLTFLTGIYLSDNLLSGEIPPEVGNLHRLVELYLQSNNLTGVIPNSLSTCSSLQIIDLGNNSLHGEIPPGLANCLNLEEIYLHNIVLHGNIPDGFGMLPKLSVLFAPTNKLTGNIPPSLGSRSSLRYVILPNNGLTGGIPPLLANSSALVWLDFERDELTGEIPSALFNSSALLTINLARNNFWGSIPPFSHISPLQILECGFLAQWYQCTRGQPTLDGNRSKMSDKTSMPGRVLM* >Brasy7G076100.1.p pacid=40046010 transcript=Brasy7G076100.1 locus=Brasy7G076100 ID=Brasy7G076100.1.v1.1 annot-version=v1.1 MVPCPYPVPCASSTSFPRGQAGSGQPSLPRGPPSVRPGLLHEIRRRRPLAPRGPPPPTVHRWSRAPLTSARSASALPSSGRRHLPRGQIPCAAARIGRLPEFRIRRDGPGGSSGRPAATALGINFGGLPLLLVVFRIVHLLLRIWFIRIWYRFLLLLFRCSPQKLLRIRSIWFSVLLQIWLFRIWLYSIIQSGLSNAYCYYLR* >Brasy7G076100.2.p pacid=40046011 transcript=Brasy7G076100.2 locus=Brasy7G076100 ID=Brasy7G076100.2.v1.1 annot-version=v1.1 MVPCPYPVPCASSTSFPRGQAGSGQPSLPRGPPSVRPGLLHEIRRRRPLAPRGPPPPTVHRWSRAPLTSARSASALPSSGRRHLPRGQIPCAAARIGRLPEFRIRRDGPGGSSGRPAATALGINFGGLPLLLVVFRIVHLLLRIWFIRIWFVGAEPIVPVSRPSNPECLDYTAQICPNGLGTVDEGEASIYSDATVKGSSF* >Brasy7G122600.1.p pacid=40046012 transcript=Brasy7G122600.1 locus=Brasy7G122600 ID=Brasy7G122600.1.v1.1 annot-version=v1.1 MELATAAVVSTASTHPGRNRFSSPRSCSWSNSLSPSSSRSLSTSTSRFQRRRLPVAWAAVELREAASGGGDSVRVTETPQPGSSVKFSVEVPPSICQECYETTLLEYSKRFKVPGFRPGKIVPENVLINYVGPQHVRAATVEAILRHTLPQALSSVEERALEDSVRILTKFDDMNNSFSLEDVFRYDVAVDIIPEVRWLSEDKYKNLKVVIEIDEIVDAEKAAAVELKRRRKALGLLRIVTDRGLQVGDLAVLDIFAETITTDGSKGEKIPSAESTGFHLDTEENYNLVPGFLGSLIGIRPGETRSFPIQFPESFDQESLQGVRAQFTVVCKELFFRQLPELDDLLAEKLLPGCTTMDQVRERILERCKEVEKTAIEQATDNAILDQLGQLVEVDIPRSLFQEQGQMLYGAKLLQLQAETKLDRDQLASLSSEKSVQEYLKNERENITRIIKQMLAVGEIFKAENLQYSTEQLIKEVENSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCTIEYIRR* >Brasy7G068700.1.p pacid=40046013 transcript=Brasy7G068700.1 locus=Brasy7G068700 ID=Brasy7G068700.1.v1.1 annot-version=v1.1 MYAAPPGSLQSAEDLIKNARVQAIIWDHQRLTEADRVTHLCRRNHIPVLNFSGISATSCAFWLENRVKSSRGHAKIGFTLGSDDITLLDRNRRNNNKLDMIKSGDDCRGEQSVTGYSIDIFVAAMGKLNPSPCYNFSIFSGTYDEMVGNVSLGVYDGAVGDVTINADRVAITDFTMPYTQSGVSILTLAVDEQETIQWTFVKPLDKWLWLTTIMFFFYTGFVVLMIELPRNQEYQGSILRQCSNALYFVFSTLTFSHGQNVRSPLTKVVVVIWCFVLLILVQSYTASFSSILTVKQLHPSVTDLNQLRLNGDFVGYQRDSFVRSILIKHNISESKLRNYTNEEEYADALRKGSKKGGVSAIVDEIPYLTSFLSDPRYKNDFRVVGSIYKTPGFGFAFRLGSPLVHNLSNAILSLEGENVAGSKIEAKWFGATSAPMGAGTVTNTDSAPLTLQSFSGLFVITGSVSTLMLLISIVRLVYTKCTVLRRADVESVNHNDDVGDDSRPLQNGMRDNPSPEQPSLHEADTGDSQGVHGSSQNAGDEEPGPVPCNGIHSGSVSAEHVQIEMSTV* >Brasy7G068700.2.p pacid=40046014 transcript=Brasy7G068700.2 locus=Brasy7G068700 ID=Brasy7G068700.2.v1.1 annot-version=v1.1 MIKSGDDCRGEQSVTGYSIDIFVAAMGKLNPSPCYNFSIFSGTYDEMVGNVSLGVYDGAVGDVTINADRVAITDFTMPYTQSGVSILTLAVDEQETIQWTFVKPLDKWLWLTTIMFFFYTGFVVLMIELPRNQEYQGSILRQCSNALYFVFSTLTFSHGQNVRSPLTKVVVVIWCFVLLILVQSYTASFSSILTVKQLHPSVTDLNQLRLNGDFVGYQRDSFVRSILIKHNISESKLRNYTNEEEYADALRKGSKKGGVSAIVDEIPYLTSFLSDPRYKNDFRVVGSIYKTPGFGFAFRLGSPLVHNLSNAILSLEGENVAGSKIEAKWFGATSAPMGAGTVTNTDSAPLTLQSFSGLFVITGSVSTLMLLISIVRLVYTKCTVLRRADVESVNHNDDVGDDSRPLQNGMRDNPSPEQPSLHEADTGDSQGVHGSSQNAGDEEPGPVPCNGIHSGSVSAEHVQIEMSTV* >Brasy7G068700.3.p pacid=40046015 transcript=Brasy7G068700.3 locus=Brasy7G068700 ID=Brasy7G068700.3.v1.1 annot-version=v1.1 MIKSGDDCRGEQSVTGYSIDIFVAAMGKLNPSPCYNFSIFSGTYDEMVGNVSLGVYDGAVGDVTINADRVAITDFTMPYTQSGVSILTLAVDEQETIQWTFVKPLDKWLWLTTIMFFFYTGFVVLMIELPRNQEYQGSILRQCSNALYFVFSTLTFSHGQNVRSPLTKVVVVIWCFVLLILVQSYTASFSSILTVKQLHPSVTDLNQLRLNGDFVGYQRDSFVRSILIKHNISESKLRNYTNEEEYADALRKGSKKGGVSAIVDEIPYLTSFLSDPRYKNDFRVVGSIYKTPGFGFAFRLGSPLVHNLSNAILSLEGENVAGSKIEAKWFGATSAPMGAGTVTNTDSAPLTLQSFSGLFVITGSVSTLMLLISIVRLVYTKCTVLRRADVESVNHNDDVGDDSRPLQNGMRDNPSPEQPSLHEADTGDSQGVHGSSQNAGDEEPGPVPCNGIHSGSVSAEHVQIEMSTV* >Brasy7G017700.1.p pacid=40046016 transcript=Brasy7G017700.1 locus=Brasy7G017700 ID=Brasy7G017700.1.v1.1 annot-version=v1.1 MARRGSLLALALVLVGVMLAVAGAEEYAAELPRPLVIQLPSLTEKTEARCASWRVAGEANNLAPWSAVPAECAAHVRRYVTGPAYRSDLELVAREASAYARALAASGADRAADAWVFDVDETLLSNLPYYADHGYGLELFDHREFDRWVEKGEAPAIPSSLRLYKEVRDLGFKTFLLTGRSEGHQGVTVDNLKKQGFHDWDRLILRAAADRTKTATAYKSEKRKEMEAEGYKILGNSGDQWSDLLGYSMSARSFKLPNPMYYIP* >Brasy7G150600.1.p pacid=40046017 transcript=Brasy7G150600.1 locus=Brasy7G150600 ID=Brasy7G150600.1.v1.1 annot-version=v1.1 MAAVEMDISKPSTLPGVDDPASSAAKGRAGGGEGLRQYYQQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNADSRGDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK* >Brasy7G050000.1.p pacid=40046018 transcript=Brasy7G050000.1 locus=Brasy7G050000 ID=Brasy7G050000.1.v1.1 annot-version=v1.1 MYAWPSLLSSTAFLNCICNGFCLTARVLFNKAVGNCRERPLRQLDSCGSEDAIINIVQYKFLQVKKNEN* >Brasy7G110400.1.p pacid=40046019 transcript=Brasy7G110400.1 locus=Brasy7G110400 ID=Brasy7G110400.1.v1.1 annot-version=v1.1 MVYFDSWDEFVERSVQLFRADPITTRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDAKKMEKLNNIFFALMTHGPDADISEVSGKEQAEQQQSKKGRGRRQ* >Brasy7G169700.1.p pacid=40046020 transcript=Brasy7G169700.1 locus=Brasy7G169700 ID=Brasy7G169700.1.v1.1 annot-version=v1.1 MFKNRPRFIKLLQPDDLEKMRVPGKFVQEHLTETESCPSSQTAIILSPLSKFWRVELERGQSDVLFKDGWARFLMAHDLSQGNILVFRYEGNLVFSVEVFLQNGCLKEYNRAGALILTDDPNGPRIGTPQSGKELAVATASKKRKYMKRVVSPNSANKVGLQCKLANTVRKPSFKKQINHYSLKSFLAVKGTFCSSIGLVSACTIKLQTSMDSTRSWSVPFNTANTYGYITGPRWKRFCRDNKLKEGDLCTFNVVKTTVWHVVIN* >Brasy7G169700.2.p pacid=40046021 transcript=Brasy7G169700.2 locus=Brasy7G169700 ID=Brasy7G169700.2.v1.1 annot-version=v1.1 MFKNRPRFIKLLQPDDLEKMRVPGKFVQEHLTETESCPSSQTAIILSPLSKFWRVELERGQSDVLFKDGWARFLMAHDLSQGNILVFRYEGNLVFSVEVFLQNGCLKEYNRAGALILTDDPNGPRIGTPQSGKELAVATASKKRKYMKRVVSPNSANKVGLQCKLANTVRKPSFKKQINHYSLKSFLAVKGTFCSSIGLVSACTIKLQTSMDSTRSWSVPFNTANTYGYITGPRWKRFCRDNKLKEGDLCTFNVVKTTVWHVVIN* >Brasy7G080100.1.p pacid=40046022 transcript=Brasy7G080100.1 locus=Brasy7G080100 ID=Brasy7G080100.1.v1.1 annot-version=v1.1 MSMQADRCAVCFHRRAATGADCSVCNAAPTSPFASPPPAQQPAATLFGPPTGAISFGAVPPSPAQFGSQASATSGSNPFAWRPTPTPSFFGDTPLEPFGSVLGFDDDEETADNEPSLLDDRNDTAALVTLEMHCEHAVVARGEAVDNFAVLVHAKAPPPPAAGRVRAPVDLVAVLDVSGSMHGNKLALVKDAMGFLVDKLGPADRLSIVAFSDSVSRVLPLARIYDAGRASAKLAVESLQAGGSTNIRDGLAVAAKVLDGRRHRNVVAAVILLSDGQDSFTRRYHHDLVPRSLMATRSDGDRGRSSGTPVHAFGFGADHDAAAMHAIAEATAGGTFSFVENQAVVQDAFAQCIGGVLSVAAQETWLAIACPAHRFGARVRAVKSGRYASRVVAYGRAAEVDVGELYADEETASWTRRRGGRWRWPVTTRWCAGRRRPRTTRSRRRRWRWSGSAWRRRRTWRRRAAAERGDHGEAARILDRRQDALRRSPATAGDARFAALAWELRELAARVASRAEYERSGRAFAFAGASAHAQQRASSVSLFGAMAPFASPGFASAPFTQCGTPAFALCGFAG* >Brasy7G186900.1.p pacid=40046023 transcript=Brasy7G186900.1 locus=Brasy7G186900 ID=Brasy7G186900.1.v1.1 annot-version=v1.1 MSKQGGKAKPLKAPKAAEKDYDETDLAYLQKKKDEQKALKELKAKATQKGALGGSGLKKSGKK* >Brasy7G118400.1.p pacid=40046024 transcript=Brasy7G118400.1 locus=Brasy7G118400 ID=Brasy7G118400.1.v1.1 annot-version=v1.1 MPTSGDGPTSQPHVVLLPSAGMGHLVPFSRLAVALSSAHGCHVSLATVLPTVSSAESSHLEALFDAFPAVRRLEFRLADFDASEFPNADPFFLRFEAMRRSAPLLLGPLLASASALVTDIALASVVIPVAKALRLPCYVLFTASAAMLSLCVHFPAYLDANANGLVGDVDIPGVYRVPKASVPQALHEPKHLFTRQFVANGRELAKSDGVLVNSFDAFEPKAIAALRQGAVAAAGFFPPVFSVGPLAPVSFPLGKNRADYVQWLEAQPARSVVYVSFGSRKAVARDQLRELAAGLEASGHRFLWVVKSTVVDRDDDADLGELLGEGFLERVQGRGMVTKGWVEQEDVLKQESVGLFISHCGWNSVTEAAAGGLPVLAWPRFGDQRVNAGVVARSGLGVWVDSWSWEGEEGVVSGESIAEKLKAVMGDEIVRNKVVSVRDAAAKAVADGGTSFRNLAQFAQRCRDGSVSE* >Brasy7G085400.1.p pacid=40046025 transcript=Brasy7G085400.1 locus=Brasy7G085400 ID=Brasy7G085400.1.v1.1 annot-version=v1.1 MARADLETGGLAVAAPPHRSPHRRISGVDDAAFDDDGKPKRTGTVWTAGAHIITAVIGSGVLSLPWSTAQLGWVAGPVTLFLFSVITYYTSVLLTDCYRSDNPVTGKRNYTYMEAVESYLGGWQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHAADCGVFTTMYMVVFGVSQIFFSQLPNLHEMAWLSILAAVMSFSYATIGVGLSLAQTISGPTGKTTLGGTVVGVDVVDSSQKVWLTLQALGNIAFAYSYSMVLIEIQDTVKAPPAENKTMRKANLLGVSTTTAFYMLCGCLGYSAFGNAAPGNMLTGFGFYEPFWLIDFANVCIVVHLVGAYQVYCQPIYAAVENWAAARWPRSGFVVREYPVVGNGKFSVNMFRLVWRTAFVVVSTVLAISLPFFNDILGLLGALGFWPLTVYFPVEMYIRQSKVERFSRKWLALQSISVLCFVVSAAATVASIEGITLSLKNYVPFKTKL* >Brasy7G112700.1.p pacid=40046026 transcript=Brasy7G112700.1 locus=Brasy7G112700 ID=Brasy7G112700.1.v1.1 annot-version=v1.1 MANFDVDPAPYLPRGQEIEDGGPFRLQRTFLTLGGAPTRRHESYLIALVEPSPPMAEVPAVIAQVHAYRVDVLHFEVVELRRHPAGLARFRMRSAIERDAVVNLPAVAFGPDRILRFVKHDEANNYRSTEFTRNGWIMLLGIPMDLQDDAFITQAVETFGKLEYWTQRDSTDVRVLAKVIYEDATTVPRDIVVREVMVIGGRTVSWTIPVYILNSEFADVLPPQEDQLPPNGGNPHMLPGHVPPIHPEPEWIPDHVPEPEGNWIP* >Brasy7G211300.1.p pacid=40046027 transcript=Brasy7G211300.1 locus=Brasy7G211300 ID=Brasy7G211300.1.v1.1 annot-version=v1.1 MGNVAAALGERQRPAVEERLTRPRRLLRELPDVDSARLRRLIRYGHLAPCFDAADDAAAAAAAECPICFHFYPSLNRSRCCGKGICTECFLQLIPSTTTKAVHCPFCKTASYAVEYRNDRTISEKKLEQEEEQNVKAQMRIHPKTQNTGELIQP* >Brasy7G102600.1.p pacid=40046028 transcript=Brasy7G102600.1 locus=Brasy7G102600 ID=Brasy7G102600.1.v1.1 annot-version=v1.1 MQPSTDRRRGNGGAATASSRGVWRPRSAAPISPAAAAAAPAPGQIPAYAAAPHPNNTAPPILPLPTPASDNRSRHRRGPRRPNQNNNNYRLGPPQERAPSAPANAPAPAPADAPAPAAPAGNGSVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASVSDASDPASSWRCPGCQSVHDVPARDIAYTCFCGRRRDPPNDLFLTPHSCGEPCSKPLDRTDPAAKGASPEDVASRCPHVCVLQCHPGPCPPCKAFAPARPCPCGKQSITRRCADRSTPVTCGQQCEQLLPCRRHRCEKICHTGPCGDCEVNFSAQCFCGKKTETLLCGEMVLKGKLSEKDGVFSCSDVCGHRLPCGNHDCQDVCHPGPCGECELVPAKVTTCHCGKTRLQEKRLSCLDPIPTCDKVCDKMLPCGVHRCKVTCHEGECPPCLVRVEQRCRCGSSGQMVECYKVLKEEFRCNKPCGRKKNCGRHRCSECCCPLSKPFAQHEGDNLDPHFCQIPCGKKLRCGQHGCQHLCHSGHCDPCRETIFHDLTCACGRTSIPPPQPCGTPTPSCPHQCLVPQPCGHPASHQCHFGDCPPCVVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRRCGLHACGKTCHPFPCDQPPTNGEASSSSGVKASCAQICGAPRRECKHTCTALCHPSSPCPDVRCEFRVTITCSCGRLSTTVPCSAGGPSSVDNMFDISVIQNLSMPLQPVESTGKRVPLGQRKLCCDEECAKMERKRVLAEAFDITPPNLDALHFGENTSASDLLSDLFRREPKWVLAIEERCKFLVLGKTRGNSSNNVKVHVFCHMVKDKRDAIRLIADRWKLSVQAVGWEPKRFVTVHVTPKSKVPARVLGLKPGVPVSASHPYFDPLVDMDPRLVVAMLDLPRDADVSSLVLRFGGECELVWLNDKNALAVFSDPARAATALRRLDYGSAYQGAAMFCPSNSTQASSSGNIWVAGQRDGGLAAKGSANPWKKASTSEPDPSGDWTVLGQAPGTSALGSVWRRGDTASQVMGTNRWNALESDAATSLGTSNKHKPPPRTEAGSSASAPRTGAGSSAETSARQSVSKLQPDIEVDDWEESCV* >Brasy7G160000.1.p pacid=40046029 transcript=Brasy7G160000.1 locus=Brasy7G160000 ID=Brasy7G160000.1.v1.1 annot-version=v1.1 MGVKQDHLQTSMTNLTDIMDNMNIQMNAMADVLKSLHSSTLTPNPHQKGKDGMPSTSTATQLDESVERLKSVEIAELKRKLAVEQELTKRCQMHSHIPNSARQPPPGFTSPIHRPAPTQYYDLENSIHGDQHTDFHQHTHYNHASGRNPWNTYVKDFEQDMRSQFLKSITKGPRMDFPKFNGENPVEWIRQAEKCFQMAGAPDEFKLSLSLVYIVGQADVWLRRSKILKNPPTWPKFCEMIVQRFSSYSAYSLVDTFNNLKQDLMAIVSEENPSISEDWFVRCFVNGLRDGIKYQLRPLRPVSLTEAYWQAREMEEQYPQKKPPNTYGTTGQKTTWQPNARQQAPHAAVPAQQPDPGKQQGCPPGICWRCGGPWKPGHRCQQVPALHLLQGQNEGEEEQHQQEEEQGQFQEQEQQEEPEQLMHISAQAVGDCPITSTPSWILAVIILSWI* >Brasy7G081900.1.p pacid=40046030 transcript=Brasy7G081900.1 locus=Brasy7G081900 ID=Brasy7G081900.1.v1.1 annot-version=v1.1 MAAWPNPWLPLFLVVALIAFEDWLSTPSCSGGSPALDPASGDLRAMMVADLMLLGSDAMYADRFFRNLIMSKLVTKSIETSNPDMIIVLGDVSAKGSEQNESKWVAVLEQFEGILGRYSSLPLHIVLGDKDVGGCSNLDVKFVHRMTKHLPGLDSSGCGTFEISNISFVSLNAIALLCGDNVLRSSVEKVMEKESHRSKLFNGVEHCPMGSEKGQGFGDQSWRQNCMTSGSGPVILLHIPLHRPHKSDRGAISVPMFPEGTVPDHSLASLTSKQSGADARRLYDSLHTLPANSTQYILQALKPRIIFSAHADRFSDYIHADGTREVTVPAMTWKKGGMPGFVIATFGQRGAVSVKCCWLAQEWYIMTGYSAFLFLTVLAVKWSHWI* >Brasy7G080800.1.p pacid=40046031 transcript=Brasy7G080800.1 locus=Brasy7G080800 ID=Brasy7G080800.1.v1.1 annot-version=v1.1 MKRIWRSSSYGAQCQLKCFCPRDCCRSRWVVWTFLHESGTGAHTFSSQLMSIANSSHLLICYGCNLDVFGVATTLAIDHEHII* >Brasy7G136300.1.p pacid=40046032 transcript=Brasy7G136300.1 locus=Brasy7G136300 ID=Brasy7G136300.1.v1.1 annot-version=v1.1 MPDSADPLPDSADPLPSQTSQPPQEASTASVNPDPDMPPRRSQGGSKLRKPLTGKLRAAAEERLAHLRVHLRLHPLDPPPRSLSAAASPHEAALRSLGLLDFARLDLESQPPRLDLVAQLIAYYDPSQHRSFVLGNVRLGISRTDLVRALSLPPKPASAAPPPDVDPAAVISAVLEFMQSYILPPFQGDDMCILPQEVASAEQAVKDGSAHRVDWAGLIWGLIEKEILEVPKRDDGVCYYWPYLQRLISTQKPNLFEVVKEEERGEVALEVSADMEMDEEDGDADAMSKNLEELDPLDADADVRSKIMEESGLEMVDAGARDKILEEPEIEGAALASNNLEELEAGDVDVRSKSMEELELRVVDVAANARSKTLETPNVKDEDENGTSFDDSKAGDELELGLVSLEAAAVTHQMLTSNDEVSAEVAWEGDEDASRGAAEKDASPLAEMKEDEEADGEEEKDTTGLSLGISSVNDYGSMDAEENASVENLDEDDSDNEEAKESEDDAFVGYRGGVDMDWGIGDDKGDEGTTQFSQQYDQNTFRMEFENLNKGDIGMRNEVSFDDGFSVKMGSLNGMQSTDLLHAMNSIPSTYNGMENAHDLSSGEFLAMGADAHENGMDLGPGSSIFGGNNGKRSIGEIDGYNDHMQEQQQFSLGSQQKRMRNCNDSSVSPGSSVFNTNIAGPIQNLMLEASMLYEQKDRALQDALLEKQHLVNLLHEKDAVIHSMNSARFEQENKRKEDLRRFEHDLNEMGKLISGYKAALKHTAASFGEYRKKFPCNKFRYHDVAGGGGLVLGVKELERNRLKEEGRNLAAANEMIHFFELEWFSKIDKWTERLNLLCSNMEGLARDIHFLKEKRKAKVATPATEE* >Brasy7G154100.1.p pacid=40046033 transcript=Brasy7G154100.1 locus=Brasy7G154100 ID=Brasy7G154100.1.v1.1 annot-version=v1.1 MVGVSRRVREEEESIFVGLVWSSTLAAPSCSPLFFIFLRTVGKMVMLASEKIGHCSLMYILLMYTSFS* >Brasy7G145600.1.p pacid=40046034 transcript=Brasy7G145600.1 locus=Brasy7G145600 ID=Brasy7G145600.1.v1.1 annot-version=v1.1 MELDSLREAFDRVVEKRALSSTKVQEAIDQIVTEIEQAISRMQMMNTDYMGSCDHSSILAELKAKLNEMMPLNQLEGCQKELNAALSKYLKLLEKSFNPDISKAYRNVDFEACTINSIIANHFYRQGLFDLGDSFVHECGESDGTYLKLPFQEMYGILEAMQARNLEPALSWASKNHDQLMQNSSMLELKLHQLQFVEILTTESRDEAFKYARTHFAPFVSLYQAEIQRLMACLLWADRLDKSPYAEFTSSTHWDKLAEELIHQFCSILGQSSDSPLNVAISAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHAVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLRF* >Brasy7G234300.1.p pacid=40046035 transcript=Brasy7G234300.1 locus=Brasy7G234300 ID=Brasy7G234300.1.v1.1 annot-version=v1.1 MADLVLGLAKYVVEGVMTKAQAAIEEEDSLRRSAQSNLAFISGEFEMMHSFLEVANAERVENPVVKTWVRQIRELAYDVEDCIEFVVHLDKRTRCWLLLRRMVPSCGMARPLPLDEAVGEIEQLKARVHEVSTRNTRYNLISDNGAKPIVVQQHQQPEAGVAAVGAATSNMLAEARGATCKRQKGFADLTRLIIDDTTTVLSVSKNKSDSTTSTFQVICVWGSGGDHGTTSIIRNTYNHPDICKDRDYNRAWVKLVHPFTPRDFLSSLMAQFYANSPQQQHAATIGVDGLSNTQVLEDFKKLVAEKCCLIVLEGLSNMADWDAIRTFFRCLKVGSWVIVSTQECEVATLCIGRSYQILELKMFSDQHSVCALLKGSECDGDKGKDNTRTLFGREPQMKELGAYVGKARVNSPPVMSVWGIAGVGKSALVRNLYEKRRLHSVDKDYHDEYFWVDVSHPFNLRDLYLSLLPDIYSEKDPIRQCHSLLTEHRCLVVIDELRSTKEWDLMQAALKPKKSKSVIIVITTDKSIATYCTNNNEALVFNVKALEASAAFDLFQMEVHKTFQDGVEHEVQELISKCGGIPKVIAAIASSIEMMGKMHVLSSLKQKFMHHLETNPEYDMLQDLFDWIRAYFRDCPDYLKPCIFYLSIFPQGKMIRRRRLVRRWIAEGYSRDSHNESAEENGEKHFSELLELSIIQQLASHSSSASLNVDTDVRMVFCQVNAFIREYIVSQRMEENLVFELGDKCALTTQRTGRHLVILKEWHRDKIVFERMDFSRLRSLTVFGNWESFFISKSMKLLRVLDLEDASRDVKHEDLDKVVKCLRRLKFLSLRGHDEIKYLPSSLHRMGQLQTLDIRETSIVTLPETITKLCNLQYIRAGRRRHHRQLFGVEVPRGIGKLTALHTLGVVDIGASGTKTMMKELKELTQLRKLGVSGINRKNIKKFVESVHGAHLESLSLQLDKDNQGCLDDISMPWVNLQSLKLYGLQEKLPQWRNRLPDPKWRNHLSKLRKLDLEMDILEKDDNIEFLAKLPELCILRLHVKQFQDSKLHFYAELYDEEVPIYEKVKILEISCSSRTSLQVTFGSEAMKNLELLKLDCSSGSSSYDLTGLNKGPLNNILSALKEVLLKGTNEALKTDLEQKLLDHPNKPSVKLEEQPRLS* >Brasy7G156900.1.p pacid=40046036 transcript=Brasy7G156900.1 locus=Brasy7G156900 ID=Brasy7G156900.1.v1.1 annot-version=v1.1 MGGLNMSPSYNAASSSSLPPRPPTPPTPAACSSSLPLRPASSSSSSLPLRRPPPPPFPCRRLHPPRPASAPAIQPAPAPSSFPSPAAAAASSPCPTTPAPCSRRVAAFPGPAQPPGAPPSWIPSAVASLPQRRVESSPPSPIPPLHAFEAYVLFGAILRISG* >Brasy7G020700.1.p pacid=40046037 transcript=Brasy7G020700.1 locus=Brasy7G020700 ID=Brasy7G020700.1.v1.1 annot-version=v1.1 MSTVSRAYLDLRLAVAKRCSREAAMAAAVATVAAAIPTLASVRMLPWAKAHLNPTGQALIVCTAAGMAYFVAADKTILSLARRHSFEEAPEHLRDTSFH* >Brasy7G238000.1.p pacid=40046038 transcript=Brasy7G238000.1 locus=Brasy7G238000 ID=Brasy7G238000.1.v1.1 annot-version=v1.1 MVQIARTDSNDLDDAGFNSMAAAAAEVTVVDFWCNEFGMRARLALREKRVPFEFIEEDLRVRERSELVRRMNPIHRAVPILIHAGHRPVCGSLNIVEYVDEVWSQTPRLLPADPLERAHARFWADFVDRKVYDAQTRFFTSRGGGGEEKVAAMAELLGHLRRLEAVLGDRPFFGGDEFGFLDVALVPFSAMFYGYEQHGGVDMEAECPALLRWVRRCAERKSVRDVLPSGQDMYAIHKEFYQIE* >Brasy7G063700.1.p pacid=40046039 transcript=Brasy7G063700.1 locus=Brasy7G063700 ID=Brasy7G063700.1.v1.1 annot-version=v1.1 MGSEDSKDMLKNVDWKTVGGPVTTESSQPVVKKRLPKKIRQVPECYFLPRRSLPSALLIYGSVCAAGVGAGMLLEVWINKKIKEDGGIVWEMGK* >Brasy7G237700.1.p pacid=40046040 transcript=Brasy7G237700.1 locus=Brasy7G237700 ID=Brasy7G237700.1.v1.1 annot-version=v1.1 MAASAVLAVLLASALAGAAAGGDIVHQDDQVPKIPGCSNDFVLVKVQSWINGKEGDEYVGVGARFGPKIVSKEKHANRTILTLAEPIDCCTPQKDKVSSGVLLVQRGKCKFTKKAKLAEAAGASGMLIINHGHELYKMVCEKNETELDIHIPAVLLPNDAGVDLHSFLTTGKSVSVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAVSEQDKLLKDGHEVSLNVEGGVTTGMIDINVISAIMFVVIASCFLLMLYKLMSAWFVDLLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAISYLTIAVSPFCIVFAVLWAVFRQFAYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIAVARGDRTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWTAKKSLRSGYFLWSALAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLILLGWKRRELRNLWFKGEPERVCTHMHMNMMQPQLKNISGTRSSSSSS* >Brasy7G237700.2.p pacid=40046041 transcript=Brasy7G237700.2 locus=Brasy7G237700 ID=Brasy7G237700.2.v1.1 annot-version=v1.1 MAASAVLAVLLASALAGAAAGGDIVHQDDQVPKIPGCSNDFVLVKVQSWINGKEGDEYVGVGARFGPKIVSKEKHANRTILTLAEPIDCCTPQKDKVSSGVLLVQRGKCKFTKKAKLAEAAGASGMLIINHGHELYKMVCEKNETELDIHIPAVLLPNDAGVDLHSFLTTGKSVSVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAVSEQDKLLKDGHEVSLNVEGGVTTGMIDINVISAIMFVVIASCFLLMLYKLMSAWFVDLLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAISYLTIAVSPFCIVFAVLWAVFRQFAYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIAVARGDRTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWTAKKSLRSGYFLWSALAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLILLGWKRRELRNLWFKGEPERVCTHMHMNMMQPQLKNISGTRSSSSSS* >Brasy7G237700.3.p pacid=40046042 transcript=Brasy7G237700.3 locus=Brasy7G237700 ID=Brasy7G237700.3.v1.1 annot-version=v1.1 MLVKVQSWINGKEGDEYVGVGARFGPKIVSKEKHANRTILTLAEPIDCCTPQKDKVSSGVLLVQRGKCKFTKKAKLAEAAGASGMLIINHGHELYKMVCEKNETELDIHIPAVLLPNDAGVDLHSFLTTGKSVSVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAVSEQDKLLKDGHEVSLNVEGGVTTGMIDINVISAIMFVVIASCFLLMLYKLMSAWFVDLLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAISYLTIAVSPFCIVFAVLWAVFRQFAYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIAVARGDRTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWTAKKSLRSGYFLWSALAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLILLGWKRRELRNLWFKGEPERVCTHMHMNMMQPQLKNISGTRSSSSSS* >Brasy7G222400.1.p pacid=40046043 transcript=Brasy7G222400.1 locus=Brasy7G222400 ID=Brasy7G222400.1.v1.1 annot-version=v1.1 MSPPPSRGCRRLLLMAAFASVSGLLLMQPAGAAEYVVGDGGGPNGWDTGSNYAVWAQARSFAAGDVLVFEYVKSQHNVYEVTEAAYRSCEVSGGGDVLATYGTGYDMVRLAEARAYWFICQIPGHCMGGMKLAVNVSAGPPGGSLPSSPSLPSAPSSSAPAMVGGFRSLVAWGALVVLASCSWSIMLG* >Brasy7G045600.1.p pacid=40046044 transcript=Brasy7G045600.1 locus=Brasy7G045600 ID=Brasy7G045600.1.v1.1 annot-version=v1.1 MDSSQDSPKRKNGEEAKKGEDYGNGLSRKEAAEEFIGCVVHSEEEAYRLYCDYGHRIGFSVRKGKQSYVIGTRIIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMVRFRVDDKGCWTVIRFIPVHNHQLAKPGERHMLRSAKSFAVGKSGVIDPASAESHPMNGLSDRTLDDTVEPPGYTIRDCYNHENVQGITLIGAGDCQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFFRDGKSKNDYDCFGDAVIFDTTYRTNKYSLICAPFVGVNHHWHNIVFGCAFLLDDSTASYVWLFKSFLESMGGRSPKSIFTDQDEAIMQAAEQVFPNTQHCFSYWHILKNAESHLGTVNTSQDFQNMFMKCMQGSDTEMELQESWAAMLHEYKLQDNDWLNDLYRFHNRWCLAFNKDTFDGGINSSQWGEVSNNILTGIADESSSLTKFALLLEKAVKSLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHENSCGGNLLRFEITMQGRGSKVWTVLLDTSTMEISCGCRKFERMGLLCSHALKAFSLQNVDTIPEKYILKRWTKDARRSLYKLSQEDSAQQECTEAELAYRNRAMQYAYSLIMKSQELEESRKIFWDSLETGEKALEVFFEIRSLHAQPAKDGSKTGKKKSSKGPSNKKARQALPSSSPVPGILAQANEHQFQAAQDAHGNATIGRPFYYQAFPSTPCQPNQIYIHPNTMPVCAPQDLSAYPAIRPS* >Brasy7G037500.1.p pacid=40046045 transcript=Brasy7G037500.1 locus=Brasy7G037500 ID=Brasy7G037500.1.v1.1 annot-version=v1.1 MEGRAMVSSFTGPMNSLVDKLTKLETNLPEIGELLEDLVSFKEGFFEKVAWRQETDAQVRAWMNHVREVLYKIEDRVDAELVMMEGQELEIEEEIKKFKAQIEQARELCERYNLVIKMPTHTRVASSGTTHDYPLLFEKKTRLFSVLDPTNELLEHLTAAEEETMLKVVSILGMEGLGKTTLAKEVYANLQGQFECQAFVTLGQRPSMRAALLEILRQVKLAISSHKKKVKRNTKPKGGSRTAQDLEEVITELWEYLAPKRYLIFLDGLWSTRAWKVVNCALPNKNRGSRVLITTCISDVAKCCSIRPNDVLYHMKGLRDWNSVRLDQEFREEQRPAYLHVLSSNMSEMCGGLPLVITVTDGLLAMMSEATESKMFEKSSLSSVDQYSSSERMTKILQMSYDDLSEPLKSCLLYLSIFREDYDIKKDRLIRLWLAEGFIPRRNEEISWKTGESYFDELIIRRLIQPSFDNDDDKATGCTVHGVILNFIRSLSSQSNFVTVRADLSSGIFPGSTNMIRRFSLDCYDENEDGTLASSTVHLSRVRSLTVLGDIEGTGAGRSVLTVSGKIKGKPVLPSFKLIRVLDLEDTDHLRSRHLQGIGGLVLLRYLGLGGTGIDELPQEIGKLEQLETLDLRHTTNLSILPASIAKLKMLVHLLIGGTVKLPSKILKMRGLQEVSTIGVHRSRSINSVVELLRESEQLRVLGVRLNGLHLSHGRSVWSFFQEVARSTKLISLSLDCLHFGLSGLLLELAPCHQLRRFELTISDPLLGRDIHNMASLVSITHMDIKITELEYQAVQVLGNLPNVVLLKLVSSGINSPSRNGIQGRCIVSADGFQRLKVFSFKCQFDGMELFFTPGAMRELRRLSLSFNARETLSLFGNFYFGIEHLSSLTRVHATINCKSATASDVKHAEDAITEQVRVGNFYMKPTTQFSKTHQHMMLDDGVKIKKTTPGNIIDKVTSYGRKKVAPPVVV* >Brasy7G071600.1.p pacid=40046046 transcript=Brasy7G071600.1 locus=Brasy7G071600 ID=Brasy7G071600.1.v1.1 annot-version=v1.1 MAAVSTPLLFLLLLLVLATATTTQCRPQKHHGRAAKTHIIPPPATINAICHRTPHPSSCLLASSTAHHDAIAAQLAASSSIAVPILSVALASLSGALSAVSSLSPVLASTLSSSSSGALRLGAAQDCLELHAATLRSLSRCASLLLLEGQVPAVRAHLSAALTNKATCLDGLGAGSLDALLDDAYAHVSNSLSLLSNPGGFSATVAKIIHHHRRLLQDDDDDDDEDTDEGSGSGSGDSAVATITVAKDGTGDFRTVGEAVAAAPNNSEARTVIRVRAGTYEENVEVPAYKTNVALVGDGRDVTVIAGSRSAADGWTTFRSATVGVSGEGFLARDIAFRNTAGAARGQAVALRVNADHAAVYRCAVEGHQDALYAHSFRQFYRESTVSGTVDLVFGNAAAVLQACALVARAPVPGQSNVLTAQARSSPDEDTGFAVHNCTVAASPDLLAAASGAGTQTFLGRPWGAYARAVVMESYLGPLVDRAGWVGWPGAEPARGDTVYFGEYGNGGPGAGTDGRVGWAGFHEMDYDEAAQFSVDSFIYGDDWLGATSFPYDDGLST* >Brasy7G071600.2.p pacid=40046047 transcript=Brasy7G071600.2 locus=Brasy7G071600 ID=Brasy7G071600.2.v1.1 annot-version=v1.1 MAAVSTPLLFLLLLLVLATATTTQCRPQKHHGRAAKTHIIPPPATINAICHRTPHPSSCLLASSTAHHDAIAAQLAASSSIAVPILSVALASLSGALSAVSSLSPVLASTLSSSSSGALRLGAAQDCLELHAATLRSLSRCASLLLLEGQVPAVRAHLSAALTNKATCLDGLGAGSLDALLDDAYAHVSNSLSLLSNPGGFSATVAKIIHHHRRLLQDDDDDDDEDTDEGSGSGSGDSAVATITVAKDGTGDFRTVGEAVAAAPNNSEARTVIRVRAGTYEENVEVPAYKTNVALVGDGRDVTVIAGSRSAADGWTTFRSATVGVSGEGFLARDIAFRNTAGAARGQAVALRVNADHAAVYRCAVEGHQDALYAHSFRQFYRESTVSGTVDLVFGNAAAVLQACALVARAPVPGQSNVLTAQARSSPDEDTGFAVHNCTVAASPDLLAAASGAGTQTFLGRPWGAYARAVVMESYLGPLVDRAGWVGWPGAEPARGDTVYFGEYGNGGPGAGTDGRVGWAGFHEMDYDEAAQFSVDSFIYGDDWLGATSFPYDDGLST* >Brasy7G147100.1.p pacid=40046048 transcript=Brasy7G147100.1 locus=Brasy7G147100 ID=Brasy7G147100.1.v1.1 annot-version=v1.1 MANTTTNLPAAASHAENGFPGSSGGRDHIVVFPFMAKGHTLPLLHFATALSLHREDIRITMVTTPANAAFARSRLPATVRLAVLPFPSLPPLPPGVESTDALPDASLYPTFLRATALLKEPFAEFMASLSHSPPPLALVSDFFLGFTHGVAADAGVRRVVFSGMSCFATAICKSLIVNHLSPSARAAEHHGTGARFHVAGMPEHVEITPEEIPEVVAKFADDPEDPVARFVIDDIGDSDHRSWGVLVNSFASLDEDYVAPLESFYPRPDARAWLVGPLFLAAGDMAERGEELDPEGCLPWLDDKAEESVVYVSFGTQAPLADAQLDELAHGLVRSGHGFLWAVRSGTWSPPVDPGPNGRIVRGWVPQRSVLAHRAVGGFVSHCGWNSAMESLAAGKPVLAWPIMAEQHLNANHVADVIGAGIRIDESAKAAGGVVERAEVERKVRRMMDAGSEEGRRIRERAAWAQQAAKSAVSDGGTSRAALLELVQELQGSYCDVIVGEKDALI* >Brasy7G026000.1.p pacid=40046049 transcript=Brasy7G026000.1 locus=Brasy7G026000 ID=Brasy7G026000.1.v1.1 annot-version=v1.1 MAVPDEEEEEEKATVRCLDVARYVVAATVTLLIVAVIVCAASGALRPPDVAFWIVGDSVWVRRNDSANPFNAIMHGHGDILTFRYTIRALNPTGASGRIYYTNITAKLKAKNSSGDENSFLVLRVPPMDFVRPIVLDTELQVTTVVRLPFQVEYFRALGNGDHINNAWIMISGSRTVENLPGHHNMTAVPATYYCSPVVVSTERDDDHDSATSPAPLDALCTDSPPELTGPTAPVW* >Brasy7G181700.1.p pacid=40046050 transcript=Brasy7G181700.1 locus=Brasy7G181700 ID=Brasy7G181700.1.v1.1 annot-version=v1.1 MGGKGGGGGGGGKGGGGGKCPLGGKGRNNSTVRWRTGGGGGGRGGGDGGAKSGGGGGGKAGGGSAKSGGGGMGKSSTGGGGGSGGMMKAPGADGYIARSGFESNPQGYFAGLHHGDAVK* >Brasy7G019900.1.p pacid=40046051 transcript=Brasy7G019900.1 locus=Brasy7G019900 ID=Brasy7G019900.1.v1.1 annot-version=v1.1 MASLPNGAAAAASSSAAGGAPPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNPHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNVAEHARELVRANGVADIVEVIQGTMEDIVLPEKVDVIISEWMGYYLLRESMFDSVICARDRWLNPGGVMYPSHARMWLAPIRSGLGDKKMEDFEIAMNDWNLFVEDTDAYYGVNMNALTKAYRAEHEKFYLKSSIWNNLHPNQLIGQPAVIKEIDCLTATVDEIREVRAQVMLPISQERTRLAALAGWFDVHFRGSNQNPAVQEVELSTAPDENGGTHWGQQVFLLTPSLRVNEGDNVKVSFSMVRSKENHRLMDMDFTYELHESSGKKLPAVTTKIYLE* >Brasy7G039300.1.p pacid=40046052 transcript=Brasy7G039300.1 locus=Brasy7G039300 ID=Brasy7G039300.1.v1.1 annot-version=v1.1 MSSQLASVQMDPHEERERVSSRLNNLETSNRSSFWSDRDRGYALALLQERVSQVGVFAEACRETLKFVYKSLFPLRQPPVGLEALMQKFRGGAAMADFARSLLTRGAQTALAFVVSRHPEVNMERVHELPFPPEGGVDLRPQLAIALGPARRIISYREQIQQKQVETQARSSGPSD* >Brasy7G112100.1.p pacid=40046053 transcript=Brasy7G112100.1 locus=Brasy7G112100 ID=Brasy7G112100.1.v1.1 annot-version=v1.1 MEPEAAMGIEEKKKKSRKKGGLRTMPFIFANEVAEKQAVVGFSTNMLTYLTQQMHMPLAKAATTLTNFGGTSAMTPLIGAYLADACVGRFWTIAAASLVYQLGMVLLTVSAALPQFRPGPNQEPAPWQLAVLYVSLLLNAVGAGGYRPCIVAFGADQFDESEAAERARSWGFFNWYYFCNGASMLVAVTAVVYVQDNVGWGWGLGVPAFCMALSVVAFVSGYPLYRRLDPAGSPFTRLAQVVVAAVRKRRLGKVDEPGRLYENDALDKPISMYGKLVHTDQLSFFDRAAIVTDGDMITTDAAAATSDNLPPAPVPNLWRLSTVHRVEELKSVLRMGPIWAAGILVITASSQQHTFSLQQASTMDRRVAPHLSSFQIPAGSMTVFTMLAMLATLFAYDRVLVPVARRATGLDRGISVLHRMGVGFAVSVAASLVAGFVERHRREAAAAGGTTDAGTAPLSAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTLLVAAVHRWSAGPGGSNWLPDNINRGRLDYFYWIVTLLQVMNLVYYAICARRYTFKPLQLLHGTDREGKDESVVELQEKV* >Brasy7G079900.1.p pacid=40046054 transcript=Brasy7G079900.1 locus=Brasy7G079900 ID=Brasy7G079900.1.v1.1 annot-version=v1.1 MEEEIKRAAAPHAMLFPFPCSGHINPTLKLGELLHSRGVRVTFVNTEHNHERLLRRCALRGRDDGFRFESVPDGLEDADRRAPDKTVRLYLSLRRRCRAPLVALARRLVPRVTCVVLSGLVSFALGVAEELAVPSFVLWGTSACGFLCTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWITGMPPVRLGDISGFVRTVDPTSFALRVEEEEANSCGRAQGLILNTFDELEPDVLDALRDEFPRVYTIGPLAAAMHRSRADPGPSAGLSLWEEDASCMAWLDARPAGSVLYVSFGSLAVLSLPQLAEFAWGLAGTRRPFLWVVRPGLVAGDRGMEALPADFLEETENRRLVVEWCAQEQVLRHAAVGAFLTHSGWNSTTESIWAGVPMVCAPGFADQYINSRYVCGEDEWGIGLRLDEQLRREQVTAHVEELMGEGGKKGEEMRRNAGKWKARAEAATAPGGSAHENLERLVEELRLGEESDAEHGRR* >Brasy7G113600.1.p pacid=40046055 transcript=Brasy7G113600.1 locus=Brasy7G113600 ID=Brasy7G113600.1.v1.1 annot-version=v1.1 MTGTSWLIDSQRIASKIKNVSGSLDLSKQKWVTNPTKECPRCSHIIDNSDVVHQWPGLPKGVKFDPSDQELLSHLLAKHDKAGAEPHPFIDEFIPTVEEDDGICYTHPQKLPGLKQDGSVSHFFHRTYKAYNTGTRKRRRINTGDLADVRWHKTGKTKPVMVDGKHLGCKKIMVLYMSTVKGGKPKKTNWVMHQYHLGTGEDEQNGEYVVSKLFFQQQFKPGEKNAQELTTAGALETIAAEADLPDLPEPALDEQGEEEHISSIQEVLHNNEHTADWEALPYQQQFKSGEKNAQEFTTADALENIAAEEDLPDLSEPALDEQGEEEHITSISNQEVLRNNEHIANREALPYQECRNRDITMEEKAAEEDAAYPSSEKPEDGDNPSSQDPKWWDGESQFLLTSQQLAESLPCLDEFLQSQTSGDEQDIIKPKLADYAKLPVEDLKQDLEKCQEFGLSDADYRLSQIEFSQDSCTAAWAGGNMLD* >Brasy7G113600.3.p pacid=40046056 transcript=Brasy7G113600.3 locus=Brasy7G113600 ID=Brasy7G113600.3.v1.1 annot-version=v1.1 MTSWLIDSQRIASKIKNVSGSLDLSKQKWVTNPTKECPRCSHIIDNSDVVHQWPGLPKGVKFDPSDQELLSHLLAKHDKAGAEPHPFIDEFIPTVEEDDGICYTHPQKLPGLKQDGSVSHFFHRTYKAYNTGTRKRRRINTGDLADVRWHKTGKTKPVMVDGKHLGCKKIMVLYMSTVKGGKPKKTNWVMHQYHLGTGEDEQNGEYVVSKLFFQQQFKPGEKNAQELTTAGALETIAAEADLPDLPEPALDEQGEEEHISSIQEVLHNNEHTADWEALPYQQQFKSGEKNAQEFTTADALENIAAEEDLPDLSEPALDEQGEEEHITSISNQEVLRNNEHIANREALPYQECRNRDITMEEKAAEEDAAYPSSEKPEDGDNPSSQDPKWWDGESQFLLTSQQLAESLPCLDEFLQSQTSGDEQDIIKPKLADYAKLPVEDLKQDLEKCQEFGLSDADYRLSQIEFSQDSCTAAWAGGNMLD* >Brasy7G113600.2.p pacid=40046057 transcript=Brasy7G113600.2 locus=Brasy7G113600 ID=Brasy7G113600.2.v1.1 annot-version=v1.1 MTGTSWLIDSQRIASKIKNVSGSLDLSKQKWVTNPTKECPRCSHIIDNSDVVHQWPGLPKGVKFDPSDQELLSHLLAKHDKAGAEPHPFIDEFIPTVEEDDGICYTHPQKLPGLKQDGSVSHFFHRTYKAYNTGTRKRRRINTGDLADVRWHKTGKTKPVMVDGKHLGCKKIMVLYMSTVKGGKPKKTNWVMHQYHLGTGEDEQNGEYVVSKLFFQQQFKPGEKNAQELTTAGALETIAAEADLPDLPEPALDEQGEEEHISSIQEVLHNNEHTADWEALPYQSGEKNAQEFTTADALENIAAEEDLPDLSEPALDEQGEEEHITSISNQEVLRNNEHIANREALPYQECRNRDITMEEKAAEEDAAYPSSEKPEDGDNPSSQDPKWWDGESQFLLTSQQLAESLPCLDEFLQSQTSGDEQDIIKPKLADYAKLPVEDLKQDLEKCQEFGLSDADYRLSQIEFSQDSCTAAWAGGNMLD* >Brasy7G027200.1.p pacid=40046058 transcript=Brasy7G027200.1 locus=Brasy7G027200 ID=Brasy7G027200.1.v1.1 annot-version=v1.1 MSCSSLRGVALVGASAVATAALTWSVWTLLEDYLHARRTSKLGNGILEALDASTLDPVIGRDQEIDRVVCILCRRTKNCAALVGPAGVGKTAIVEGLARRIAAGDVPGALAGARVVQLDMGAMVAGTRLRGMFEERFKEAIRTAEESDGKIILFVDEMHVLVGAGDTRDGTGDAANMLKPALARGRIRCVGATTSGEYRRYIQPDAALERRFQKVAVEEPSVEATIDILKGLKQRYQDHHGLSIQDDALVAAAQLAGRYITGRQFPDKAIDLIDEACATTKMQADRQKQVKNGQCSPMITAPKELTVGPSHVAQVVSRWTRIPLTKLDPVDKEKLTRLAKKLHERVVGQDEAVSLVAQAVLRSRAGGFGQSSQQLTSFLFLGPHGVGKTQLAKALAEEIFDGDKMLIRFDMSEYADSGSASRLIGGPPSYEEEGQLTEKVKRCPHSVILFDQVDKASPSVFKIFIQLLDEGVLADGKGRVIDFKNTIVIMTSSLGSEYLPSRMTKENTIKAARDLLMKQVQKRFEPAFIDRLSEVVIFEPLSCGELKEIVRIHMKSVVATIANKGASLLVSDAAFDVIRSESYNPLYGARPMKRWVQKNVTTVLLDMMVNGKAHQGSTIYIDATDNKKGLKYQVLEKREVDVDIIQEC* >Brasy7G237100.1.p pacid=40046059 transcript=Brasy7G237100.1 locus=Brasy7G237100 ID=Brasy7G237100.1.v1.1 annot-version=v1.1 MEAPAGAPALLLLLLLLYASSAASAANPIPRRSLAGDVVSFASSTVNARLKSLTDAFAPQVRRELGYCIKDTDREWNRTFNFSSNPAFLVDCMKDGDLPQRVCTAAELKFYFESILDSVGRKNYVRPNRNCNLTSWIDGCEPGWGCTADAGQEVDLQDATNFPPRTVDCRGCCPGFFCPHGLTCMIPCPLGAYCPQSTLNKTTGICDPYNYQPPPGKPNHTCGGADRWSDVLSTDDVFCPPGYYCPSTITKLDCSSGYYCRKGSTSQTKCLSKGSCKPNSATQDITIFGALLVGALSLVLLVIYNFSGQLLTNREKRQAKSREAAARHARETVQARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGGSGLHPPEEPDAKESGGKKNDNLTDTMHSLEENPDKDNVETGEKKKTKGRHAHTQSQIFKYAYGQLEKEKAMEQQSSSSNLTLSGVISMATDEDIKTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKIEPLRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSQADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFQGLGIVVPDRVNPPDYYIDILEGIVKPNTNEAVNVKDLPLRWMLHNGYEVPRDMLQSSSDAESSFRGSEVSHSPEADPGVWGNVRDIVGQKKDEFDYNKSSEDLSNRHTPGILRQYKYYLGRCGKQRLREARIQGVDYMILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHINTIIKPIVYLSMFYFFNNPRSSIWENYIVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLVSSEQKGTIFASLCYTKWALEAFVIANAQRYSGVWLITRCGSLSKTGYDIDDKLLCIIILVANGIVFRFIAFFCMVIFQKH* >Brasy7G237100.2.p pacid=40046060 transcript=Brasy7G237100.2 locus=Brasy7G237100 ID=Brasy7G237100.2.v1.1 annot-version=v1.1 MEAPAGAPALLLLLLLLYASSAASAANPIPRRSLAGDVVSFASSTVNARLKSLTDAFAPQVRRELGYCIKDTDREWNRTFNFSSNPAFLVDCMKDGDLPQRVCTAAELKFYFESILDSVGRKNYVRPNRNCNLTSWIDGCEPGWGCTADAGQEVDLQDATNFPPRTVDCRGCCPGFFCPHGLTCMIPCPLGAYCPQSTLNKTTGICDPYNYQPPPGKPNHTCGGADRWSDVLSTDDVFCPPGYYCPSTITKLDCSSGYYCRKGSTSQTKCLSKGSCKPNSATQDITIFGALLVGALSLVLLVIYNFSGQLLTNREKRQAKSREAAARHARETVQARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGGSGLHPPEEPDAKESGGKKNDNLTDTMHSLEENPDKDNVETGEKKKTKGRHAHTQSQIFKYAYGQLEKEKAMEQQSSSSNLTLSGVISMATDEDIKTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKIEPLRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFQGLGIVVPDRVNPPDYYIDILEGIVKPNTNEAVNVKDLPLRWMLHNGYEVPRDMLQSSSDAESSFRGSEVSHSPEADPGVWGNVRDIVGQKKDEFDYNKSSEDLSNRHTPGILRQYKYYLGRCGKQRLREARIQGVDYMILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHINTIIKPIVYLSMFYFFNNPRSSIWENYIVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLVSSEQKGTIFASLCYTKWALEAFVIANAQRYSGVWLITRCGSLSKTGYDIDDKLLCIIILVANGIVFRFIAFFCMVIFQKH* >Brasy7G237100.3.p pacid=40046061 transcript=Brasy7G237100.3 locus=Brasy7G237100 ID=Brasy7G237100.3.v1.1 annot-version=v1.1 MEAPAGAPALLLLLLLLYASSAASAANPIPRRSLAGDVVSFASSTVNARLKSLTDAFAPQVRRELGYCIKDTDREWNRTFNFSSNPAFLVDCMKDGDLPQRVCTAAELKFYFESILDSVGRKNYVRPNRNCNLTSWIDGCEPGWGCTADAGQEVDLQDATNFPPRTVDCRGCCPGFFCPHGLTCMIPCPLGAYCPQSTLNKTTGICDPYNYQPPPGKPNHTCGGADRWSDVLSTDDVFCPPGYYCPSTITKLDCSSGYYCRKGSTSQTKCLSKGSCKPNSATQDITIFGALLVGALSLVLLVIYNFSGQLLTNREKRQAKSREAAARHARETVQARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGGSGLHPPEEPDAKESGGKKNDNLTDTMHSLEENPDKDNVETGEKKKTKGRHAHTQSQIFKYAYGQLEKEKAMEQQSSSSNLTLSGVISMATDEDIKTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKIEPLRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSQADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFQGLGIVVPDRVNPPDYYIDILEGIVKPNTNEAVNVKDLPLRWMLHNGYEVPRDMLQSSSDAESSFRGSEVSHSPEADPGVWGNVRDIVGQKKDEFDYNKSSEDLSNRHTPGILRQYKYYLGSSAVQDWSSSIIFPGEDTLLERESIWHELTGILLVQRHNRSHQHDYKANRLPVHVLLLQQPKVVNLGKLHCPCCACLLRDGNRLHFCHLLPAWFCTAVVSAASGCSNFGIKRAERHHICQFMLHKMGSGSICDRKCAEVFRCVADHSMWFAVEDWIRH* >Brasy7G000200.1.p pacid=40046062 transcript=Brasy7G000200.1 locus=Brasy7G000200 ID=Brasy7G000200.1.v1.1 annot-version=v1.1 MGRGGRRKTKGSRVERELGFLFRSAAPCLPSLSRVGVSSLSISGPFSSSPLPHPSEGRVGPSRRSRRNGRGEEPARHPDGARGPRRPPRVLAGCWHRRFERDARARSRPLQGGGGGNSA* >Brasy7G010600.1.p pacid=40046063 transcript=Brasy7G010600.1 locus=Brasy7G010600 ID=Brasy7G010600.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSASFCLPHPAHLFFFVCLISLASPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSLPGLLSPFFFPTAGAWPRRGTRAAVGRGVAARRGLRWREALRLGEGRRGEALRRLWTAASGRGAAAAGRGARGSRWRARRRALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARRRRAAGGQLRRLPVRAAAAPASSGSARRGEEHGAVAAAQSRQRGSSGSVGQGGEAATASGGVKQQPDFFCFF* >Brasy7G137100.1.p pacid=40046064 transcript=Brasy7G137100.1 locus=Brasy7G137100 ID=Brasy7G137100.1.v1.1 annot-version=v1.1 MSSSDPSYQQLGLDAISTCFIPSSSMMLCSSEAAPFFHPSIVPHDASFVSGSGVGADVAAHYNYMANDAMETDEDAYAGAESCSTVHSMPMLPAAGAGPLGFFQYGGPADVTIAQPSRMAKLITGEPHCSWLYDGPSAVSIHEPYYLTPFPGAGSFPAASGLSLRLGAAQSSSVTMASLPAEQSSEVSCSGLTHANSEGFGYQQQQPPTTVKARASDDGMPMPFQLPPYPEMYSTPPQFLQVLPRSRYANIAQELLNGFAACLLNDVAEKIADGSSVSGGIGSDLRTPSSASSSNQLMLPSNEQRQDDVAWMEAQKLRSDLLRLLQLMDQRCNRCFDDIQATASRFSSMVAHSGGVGGGGAIVAAPRFAHRAVWAAYQRLRKRITGMMVVAVAQREQPSSLADKERRWESSFIQKHWALQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEELKRSSGGREVEHLGSKDVVC* >Brasy7G137100.2.p pacid=40046065 transcript=Brasy7G137100.2 locus=Brasy7G137100 ID=Brasy7G137100.2.v1.1 annot-version=v1.1 MSSSDPSYQQLGLDAISTCFIPSSSMMLCSSEAAPFFHPSIVPHDASFVSGSGVGADVAAHYNYMANDAMETDEDAYAGAESCSTVHSMPMLPAAGAGPLGFFQYGGPADVTIAQPSRMAKLITGEPHCSWLYDGPSAVSIHEPYYLTPFPGAGSFPAASGLSLRLGAAQSSSVTMASLPAEQSSEVSCSGLTHANSEGFGYQQQQPPTTVKARASDDGMPMPFQLPPYPEMYSTPPQFLQVLPRSRYANIAQELLNGFAACLLNDVAEKIADGSSVSGGIGSDLRTPSSASSSNQLMLPSNEQRQDDVAWMEAQKLRSDLLRLLQLMDQRCNRCFDDIQATASRFSSMVAHSGGVGGGGAIVAAPRFAHRAVWAAYQRLRKRITGMMVVAVAQREQPSSLADKERRWESSFIQKHWALQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEELKRSSGGREVEHLGSKDVVC* >Brasy7G137100.3.p pacid=40046066 transcript=Brasy7G137100.3 locus=Brasy7G137100 ID=Brasy7G137100.3.v1.1 annot-version=v1.1 MSSSDPSYQQLGLDAISTCFIPSSSMMLCSSEAAPFFHPSIVPHDASFVSGSGVGADVAAHYNYMANDAMETDEDAYAGAESCSTVHSMPMLPAAGAGPLGFFQYGGPADVTIAQPSRMAKLITGEPHCSWLYDGPSAVSIHEPYYLTPFPGAGSFPAASGLSLRLGAAQSSSVTMASLPAEQSSEVSCSGLTHANSEGFGYQQQQPPTTVKARASDDGMPMPFQLPPYPEMYSTPPQFLQVLPRSRYANIAQELLNGFAACLLNDVAEKIADGSSVSGGIGSDLRTPSSASSSNQLMLPSNEQRQDDVAWMEAQKLRSDLLRLLQLMDQRCNRCFDDIQATASRFSSMVAHSGGVGGGGAIVAAPRFAHRAVWAAYQRLRKRITGMMVVAVAQREQPSSLADKERRWESSFIQKHWALQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEELKRSSGGREVEHLGSKDVVC* >Brasy7G035200.1.p pacid=40046067 transcript=Brasy7G035200.1 locus=Brasy7G035200 ID=Brasy7G035200.1.v1.1 annot-version=v1.1 MADAYWRYNADPRQLQQQQQMLPPSARAPNAAAPAAAGQQQPLKRPRAAEYSDVPGSSEMAGYYPRDEERAGYAAAAAAAAARDTQALNASYERYLRTGQIQSHGAGPAGGSIRPAAGANAGYQLDDRVAIGGVEGRNVGFGTGMPEPPLPPDASNTLFIEGIPNDCERREVSHIFRPFVGFKEVRLVTKEPRHPGGDPIVLCFVDFTSAAQAAVAMEALQGYKFDEHDRTSPHLRLQFARFTGPRGQSGPGGGGRIRR* >Brasy7G119200.1.p pacid=40046068 transcript=Brasy7G119200.1 locus=Brasy7G119200 ID=Brasy7G119200.1.v1.1 annot-version=v1.1 MPVEERHGGERTTDVEDIAIEGATEEHKEWLKEMRGWLIVLATLAASVTYQAGLNPPGGFWQEDKKDKPHEIPGNPVLHDQVLKRYLTFYYFNATAFATSLVIIILLLNERFYKSEAKVAALTLTTMVDLMSLVGAYIAGSTRDMPSSIYIIVLTCFLFVCVIYISRVLPNLCFIVLFMLPGLLKVANKGWLPVTHNMKSRVERAKKRQEAEEKREKQESKTRKKNKCQCCACGRVSACVRAFKYDEETGQPAA* >Brasy7G172900.1.p pacid=40046069 transcript=Brasy7G172900.1 locus=Brasy7G172900 ID=Brasy7G172900.1.v1.1 annot-version=v1.1 MRPLYTMLLALALVLLCSDVATKAAMAKEGDGARAVPLDCKVLPPIPGACNGPKCNEDCSGAVGGRVSVGECVPAGCQCTYCLPPRGPRI* >Brasy7G025200.1.p pacid=40046070 transcript=Brasy7G025200.1 locus=Brasy7G025200 ID=Brasy7G025200.1.v1.1 annot-version=v1.1 MAAAASPSSPRQCHTREILRERAPDPARSAGSLPAGVAGRRHGGGRRLEVRVKGDRRGAVARGDGAAEGAVWRSGGRRGRRRVGGRRGEAGEVRGLGGETRGERDRRSEREREQGRERLRGWRRGEGENDRGEGWG* >Brasy7G047300.1.p pacid=40046071 transcript=Brasy7G047300.1 locus=Brasy7G047300 ID=Brasy7G047300.1.v1.1 annot-version=v1.1 MRAALGGRVRRDGAGRETELTCSRCVWFRCRPSFVGGASLAARERARRRPCPAVGKASPHLCRPFPCQSLTESGLGEDPIAPTSTAGRELAPLSIWPERGTIWPARLSSALPRPTVRRQTRSRLPPRAVFRPHQAHGLAAMSRGQASGGAALSVVVRGGGAVQGRGAARGGWAPAELRGWQEQDHVEIRGGRREKEEEGEETVM* >Brasy7G142200.1.p pacid=40046072 transcript=Brasy7G142200.1 locus=Brasy7G142200 ID=Brasy7G142200.1.v1.1 annot-version=v1.1 MEQMSILFRSLVARSPPSSRNLTRKPRQLDRATMEVLPPAEIQPTLAEMKSRTPKPMRIAYAIRYGRLPASAAAGREDVARCAAALARTYEPDMDDLMEFPEVPLKLQLGSCYLRDHDEDAHFIRAEDPGVIGVADGVGGWRAKGVDAAAFSRALMANARAEADSAVPGTPVCPYKLLERSYERTVAASTPGASTAVIVSLSGRVLRWAYVGDSGFALFRRGRMVHRSQPQQASFNCPYQLGACSNKVGEAAVGQIAVKDGDVLVVGSDGLFDNLFDSAIQQIVRICGELKFSPKMLADILAGNAYCKARSNDDSPFSAASRQQLGTSFTGGKQDDITVVVAYIVS* >Brasy7G098200.1.p pacid=40046073 transcript=Brasy7G098200.1 locus=Brasy7G098200 ID=Brasy7G098200.1.v1.1 annot-version=v1.1 MARALCSRTAAGLARRLAAGLGRRAHHTRRPVVLDVDGASTTSSAEGASALKRRLEEAIDGAMARMSEPEWAPFRPGTSYFAPPRPAGAALGLLELVTRGGGIGMLPPPPPRGLSDEEARAVAFSSRGYPCSTYFVDGCFSDEAEGSNQDAADPAEEE* >Brasy7G103300.1.p pacid=40046074 transcript=Brasy7G103300.1 locus=Brasy7G103300 ID=Brasy7G103300.1.v1.1 annot-version=v1.1 MTQVERCESLDVPASYLIINPFKLHPSTLSPLPSRSPPQAFSESTEASSTPKHVPILHLRKPNSSSMSWFLATTALPILALLAALRLQCALGGADDDYTAFVYAGCSQARYAPGTEYAADVDTALSSLTDSAGSTPYAKYTSPSTTQLAGLYQCRSDLPAAVCGVCAKAAVAKLSSLCNSAAGGAVQLRACFVRYGNDSFVGKQDTTVLFKKCGADADAGAASLDTALAALQSGSGSFRSGAAGNVQAMAQCVGDLGDKACSECVAAAASQLKAGCAAASAGEAYLGKCYARFWSGSNAAPGNDNGAGVGNGVAGGDGGGGGGTVIGGGGNGVGVVGGAGGAGPGNGYPYGFVPRTTYGDVSDGSGKTIAIIIGLVAAVAIVIIFLSFCRRARYLDSKS* >Brasy7G216700.1.p pacid=40046075 transcript=Brasy7G216700.1 locus=Brasy7G216700 ID=Brasy7G216700.1.v1.1 annot-version=v1.1 MPRAATSKWPSRWKDFGPDSGRSGHSSRWVPGPRAAAQHTLKCRIVPRLQSPPMACGSVTCRWCVWWGQIYFPSLPFILPPFKRPGRDATPPTLFNFLHLQPSRLLPLSSTPPRSTAGWKAMAEVNEEESCLAVLAEAAGIICSLRGGDLAGWTPPWTSRPPPSESCEGAAKEEGMGAWPAVTRGKRSRSRPSRRRSPPLAKAKVGSRRRARGSPSSPLDYSAASASGVSTSGGEDGAAFCSPPAPAAPSAAVPHHVPPAAAAAPAKVCSAGVRRPPPRPAAAGPRSRKKMRLPEVQQLVRSLAAENDGLREEVESLQRACSALSKENGTLETRLQHSSSKRKRTVSEEEDRRRQGKPKPQQLGQHAAEHRGARDSFVLPDLNIPAAAPDDVGSAP* >Brasy7G010000.1.p pacid=40046076 transcript=Brasy7G010000.1 locus=Brasy7G010000 ID=Brasy7G010000.1.v1.1 annot-version=v1.1 MTTTSPGVPVILFLGVSDLAGGEPTWSSTSLMNLASIDALKVAVDFIATIGNRNRHTVQIIEANMAAILTH* >Brasy7G141900.1.p pacid=40046077 transcript=Brasy7G141900.1 locus=Brasy7G141900 ID=Brasy7G141900.1.v1.1 annot-version=v1.1 MDAIFALAAAPRARVLERAAARVPGCLYLFLWAPLITACIGLIVTSHLFCLDAWIGGGAGGDRARAMFEAYRGALCAVISGCVPGWAYKEGRASMELPEPNLTAAASLQVQHQFYHEAGTKMAVFMGCDSGEIEIGLSTTLVAAAVADHVRQSLLEELLQPPPTRPSSSSSSLPSLSVGSPEYSSLIIRSMVTPPAVTSAAEPSTRAPPPGLPTQYEFPSEQAGHAAMTQAMLAAISSSSDPSIITSTPPPTPQTCSSWLARRRWSPRRSTAFKPYAAALSPRARRPGQRMIKTCIALLASAHAATRGRELAAAPHGEEGASQQQPPAPTITASQLHHMISERRRRERLNDSFQSLRALLPPGSKKDKANVLASTTEYMSKLVSQVTQLREKNLLLEAQLAGLDPTTSFGDDAGSGSSERIEVDVAATGASTSTPSQQQPREVSVKITVRAECEVSEVLISVLAWLKETGNSTVVSVEARQQQISGVALAHASLTLRIPEAGDIVDLTRLKEALTKVVEDAVTPPPRRQPESP* >Brasy7G082500.1.p pacid=40046078 transcript=Brasy7G082500.1 locus=Brasy7G082500 ID=Brasy7G082500.1.v1.1 annot-version=v1.1 MFVRTHPKLAPNLAEAWVSGQPDMSDLGPLLLFIHFSPSLARGAPLLSPSLFLCHGTVCSAPARASPLGPRRRHRCLLRPGPALPLPISLSLLPILSPHSSSTAPRIPHRRARRAAHAAPPPLRPRARRRPRAAVLHLFRRRSPPVASASATSSRIEPRCTQGGAGRGVCDCEEYCRSVEAARCCFVRCFRRSPSRPGWRWSSSTVEICHLSLCLSDG* >Brasy7G036600.1.p pacid=40046079 transcript=Brasy7G036600.1 locus=Brasy7G036600 ID=Brasy7G036600.1.v1.1 annot-version=v1.1 MKTVFKISSINMLRRPLRPNLVKCLTALTLIDNQLFIIHC* >Brasy7G011800.1.p pacid=40046080 transcript=Brasy7G011800.1 locus=Brasy7G011800 ID=Brasy7G011800.1.v1.1 annot-version=v1.1 MQLGGRFLSPFGCAAAALPILQRHRILSQRRPRRVPLPPPQTDGTCCYCLRWRLLRPVEESTWEASRGRSIRRRACAPPGGANQHGKQASLGSRQRAYTLRHGCAADCTNRVR* >Brasy7G132000.1.p pacid=40046081 transcript=Brasy7G132000.1 locus=Brasy7G132000 ID=Brasy7G132000.1.v1.1 annot-version=v1.1 MGIPNLLRFMKPFIEPVHIKKYAGQRVGIDAYSWLHKGAYSCSMELCLDPKSDGARRYISYFMHHINLLRHHKVVPVVVFDGGSMPCKSATDKDRHKKRDLSLVLAKEKLEQGNTAAAIDFFRKAVQITPSMAYQLIQILRSENVEFLVAPYEADAQLAYLATLDADQGIAAVITEDSDLIAYGCTAIIFKMDRFGNGEEFIMKKTMETVKDGLSFKDFDQNLFTGMCVLAGCDFLPSVPGIGTKRAYSLISKYKNIDRVLSTLKLGKRYSVPDDYSDSFWKTLAVFNHARVYDVKSKTLKHLKPLEEQYLNYLAGDLDILGPALAPSMARAIAEGHLNPVTMEAFENFSRTINPIEFIDTSTFNFANQHGSQEILSQESCITICSSQKSKGNIVAFAVDEITNGEQKHNKGTLALGKFLQQKHSSLAVESNEVGPKSTPDNNPFKKRKLPTDKGQAPDQNELLIDLHDEPVILCSSLSQESNGQAENLDRNESIDQAEYREQNELSAGLCWPLTQESVQSMPNQKSSKRKVFRDKTSKRVNQSSGILKFFMRV* >Brasy7G081600.1.p pacid=40046082 transcript=Brasy7G081600.1 locus=Brasy7G081600 ID=Brasy7G081600.1.v1.1 annot-version=v1.1 MATLTVPSSVPAITDDCEQLRKAFQGWGTNEALIISILGHRDAVQRRAIRRAYAETYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEGAKKWHPGSPVLVEIACARGSGQLFAVRQAYHERFKRSLEEDVAAHVTGDFRKLLVPLVSSYRYEGPEVNTRLAHSEAKILHEKIEHKAYGDDEIIRILTTRSKAQLLATFNHYNDAFGHPITKDLKADPKDEFLKTLRAVIRCFTCPDRYFEKVIRLAIAGTGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAGDTSGDYESMLLALLGKE* >Brasy7G010400.1.p pacid=40046083 transcript=Brasy7G010400.1 locus=Brasy7G010400 ID=Brasy7G010400.1.v1.1 annot-version=v1.1 MLCSAPSSSIVVPVSDHRHTCRPRRPPHAPEGGRRADFFFFTVLTAVVFNCFCAAAFPYCKMAAGRCCRHRRPRRHDVASLRQPLDCCSRPASIAPPTHPVPVPSLPPRVLIPYPAPLLLISSPPRAPSPCSLLSPKLPFLPTIPHAMDSSDLARTRPRPHHPRERVPKHRRSLVDLQPHCLSSSSHPSGLIPRLRQERHPPSIPSPPASRSFRPAADGIRVDGREAAELSAAAAAAAGIRVASYLQARLRPRLPARGRRPSVELLCTLLDLCSGGGRVRAKQGSCRRTCSRATPPCSALFSPRSPSAATAT* >Brasy7G195200.1.p pacid=40046084 transcript=Brasy7G195200.1 locus=Brasy7G195200 ID=Brasy7G195200.1.v1.1 annot-version=v1.1 MYWCIKGLHTAVMNIHLKGIMEGPPDGQAFLGPEATHLNSSTTSVDTTSSSSQHVAKLQKLLFRRMLIGVNDGRYFLGLFHCIDKQGNIILQDAVEYRSARHSPSPPTEQRCLGLILIPAACRSSCHVDCFIEEQMSLLSLDK* >Brasy7G084100.1.p pacid=40046085 transcript=Brasy7G084100.1 locus=Brasy7G084100 ID=Brasy7G084100.1.v1.1 annot-version=v1.1 MIFFHRGRHSDGSEVLNLTRRAKKFHGHGASSRSTYPPLRLTQERTVHDRPSCFNYHGSRTRDLDHQSRLPIPFLMRVFPSRFPVSPQLQIPQSRLATAVAACGTRHARRQRTVPSFFPFLPTVPTVLGIGPRARRLPRSLPSPSLIASCYTVVWMGCKGSKHSLLYGGGAPESGHVARHSVALRSSTLGTLSLERAVSFAAADGAATTAAAAAKCVQEESAGRPGRAWATTRPVSNGWLFPASSPQMEPGKKQRQIRQPPRTPTKTPARDPEEINVWELMDGLHDDDPEEEEECDGVEEEEPSPAGSPEFDPDVLSAFRDALDELSPPSPPPPDTAAAGAHAVKKNVFQQSIDGKPEEKPSLSPPAPPESARRAVVYSTSLRGIRQTYEDCWAASAILSSYGVRVDERDLSMHAGFKDELRDALATACSRLPQVFVDGRHLGGAEEVRRMHESGELAEALEACDAAPGAGEGKGEGGFAAVESCGGCGGARFVPCDVCSGSCKVFVVEDEDGAGAFRRCPECNENGLLRCHVCY* >Brasy7G093500.1.p pacid=40046086 transcript=Brasy7G093500.1 locus=Brasy7G093500 ID=Brasy7G093500.1.v1.1 annot-version=v1.1 MAASASAAGGEPQKQLLSIIRDFAAEKSHGERTVSGLKRRLDDVLAAADAATSELEAAKRAREAAETELQGSQVQASIAAASIQALEATISHLQEEISKVGSGLEVLKSKGGSERDEFFSQMQELNGRIRKFQQMAHVELAEKKGSELPSADGHNVSDKNETIDSEGILKELVDKVSNIDAEVDVLNGEYQKDLLDHEKLCQDLAAIQAKRTLMEAVVGEMKQLQELGGRVAEVEKVHASLAEELQRRYACPGGGVNNMVGMEEAPVVAN* >Brasy7G093500.2.p pacid=40046087 transcript=Brasy7G093500.2 locus=Brasy7G093500 ID=Brasy7G093500.2.v1.1 annot-version=v1.1 MAASASAAGGEPQKQLLSIIRDFAAEKSHGERTVSGLKRRLDDVLAAADAATSELEAAKRAREAAETELQGSQVQASIAAASIQALEATISHLQEEISKVGSGLEVLKSKGGSERDEFFSQMQELNGRIRKFQQMAHVELAEKKGSELPSADGHNVSDKNETIDSEGILKELVDKVSNIDAEVDVLNGEYQKDLLDHEKLCQDLAAIQAKRTLMEAVVGEMKQLQELGGYPFYQLPRQF* >Brasy7G093500.3.p pacid=40046088 transcript=Brasy7G093500.3 locus=Brasy7G093500 ID=Brasy7G093500.3.v1.1 annot-version=v1.1 MAASASAAGGEPQKQLLSIIRDFAAEKSHGERTVSGLKRRLDDVLAAADAATSELEAAKRAREAAETELQGSQVQASIAAASIQALEATISHLQEEISKVGSGLEVLKSKGGSERDEFFSQMQELNGRIRKFQQMAHVELAEKKGSELPSADGHNVSDKNETIDSEGILKELVDKVSNIDAEVDVLNGEYQKDLLDHEKDLAAIQAKRTLMEAVVGEMKQLQELGGYPFYQLPRQF* >Brasy7G184000.1.p pacid=40046089 transcript=Brasy7G184000.1 locus=Brasy7G184000 ID=Brasy7G184000.1.v1.1 annot-version=v1.1 MASPWDSSSSSLWGTLGQVSNLAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELAELMRREATRRPLEQLRGALQRCYALVSACQDCGYFRRLLLGARMADELRAAQHEIDMFIRLIPLISLVDNSTNSRRIKQPEEGVHSVVTDGSNRYIRFPTRASEFTEIRVQGTTEHCNVLEQPFVGTVDLQEKKGTVDLQEQKIFDTEELVELCTHIQETCTGFEKFNFFQIVDVTDNFSEKKVVGWGGFGTVYKGQLPDGRMVAIKRLDGPATTFDFNSELQLTKLHHTNLVKLFGWCIHGKERILVYEFIQNGSLNRYISDKTKGPPLDWSKRLKIIKGLIEGLVYLHKLSMLWIVHRDLKTDNILLDYDMNPKIADFGSSRTLSSDIAEEYTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGQKNTILEKRGDTVGDLVRNAWHMWKARRLHELVDPSLGSTYEITEITRCVQVALLCAQEDPADRPTMTDVAAMLNSESMTFPMEPKQPALLRNGSGAQDIASSCMGESSRTIEITIASSAPASTRVRIIVDPEV* >Brasy7G184000.2.p pacid=40046090 transcript=Brasy7G184000.2 locus=Brasy7G184000 ID=Brasy7G184000.2.v1.1 annot-version=v1.1 MASPWDSSSSSLWGTLGQVSNLAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELAELMRREATRRPLEQLRGALQRCYALVSACQDCGYFRRLLLGARMADELRAAQHEIDMFIRLIPLISLVDNSTNSRRIKPEEGVHSVVTDGSNRYIRFPTRASEFTEIRVQGTTEHCNVLEQPFVGTVDLQEKKGTVDLQEQKIFDTEELVELCTHIQETCTGFEKFNFFQIVDVTDNFSEKKVVGWGGFGTVYKGQLPDGRMVAIKRLDGPATTFDFNSELQLTKLHHTNLVKLFGWCIHGKERILVYEFIQNGSLNRYISDKTKGPPLDWSKRLKIIKGLIEGLVYLHKLSMLWIVHRDLKTDNILLDYDMNPKIADFGSSRTLSSDIAEEYTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGQKNTILEKRGDTVGDLVRNAWHMWKARRLHELVDPSLGSTYEITEITRCVQVALLCAQEDPADRPTMTDVAAMLNSESMTFPMEPKQPALLRNGSGAQDIASSCMGESSRTIEITIASSAPASTRVRIIVDPEV* >Brasy7G184000.3.p pacid=40046091 transcript=Brasy7G184000.3 locus=Brasy7G184000 ID=Brasy7G184000.3.v1.1 annot-version=v1.1 MASPWDSSSSSLWGTLGQVSNLAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELAELMRREATRRPLEQLRGALQRCYALVSACQDCGYFRRLLLGARMADELRAAQHEIDMFIRLIPLISLVDNSTNSRRIKQPEEGVHSVVTDGSNRYIRFPTRASEFTEIRVQGTTEHCNVLEQPFVVDLQEKKGTVDLQEQKIFDTEELVELCTHIQETCTGFEKFNFFQIVDVTDNFSEKKVVGWGGFGTVYKGQLPDGRMVAIKRLDGPATTFDFNSELQLTKLHHTNLVKLFGWCIHGKERILVYEFIQNGSLNRYISDKTKGPPLDWSKRLKIIKGLIEGLVYLHKLSMLWIVHRDLKTDNILLDYDMNPKIADFGSSRTLSSDIAEEYTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGQKNTILEKRGDTVGDLVRNAWHMWKARRLHELVDPSLGSTYEITEITRCVQVALLCAQEDPADRPTMTDVAAMLNSESMTFPMEPKQPALLRNGSGAQDIASSCMGESSRTIEITIASSAPASTRVRIIVDPEV* >Brasy7G184000.4.p pacid=40046092 transcript=Brasy7G184000.4 locus=Brasy7G184000 ID=Brasy7G184000.4.v1.1 annot-version=v1.1 MASPWDSSSSSLWGTLGQVSNLAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELAELMRREATRRPLEQLRGALQRCYALVSACQDCGYFRRLLLGARMADELRAAQHEIDMFIRLIPLISLVDNSTNSRRIKPEEGVHSVVTDGSNRYIRFPTRASEFTEIRVQGTTEHCNVLEQPFVVDLQEKKGTVDLQEQKIFDTEELVELCTHIQETCTGFEKFNFFQIVDVTDNFSEKKVVGWGGFGTVYKGQLPDGRMVAIKRLDGPATTFDFNSELQLTKLHHTNLVKLFGWCIHGKERILVYEFIQNGSLNRYISDKTKGPPLDWSKRLKIIKGLIEGLVYLHKLSMLWIVHRDLKTDNILLDYDMNPKIADFGSSRTLSSDIAEEYTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGQKNTILEKRGDTVGDLVRNAWHMWKARRLHELVDPSLGSTYEITEITRCVQVALLCAQEDPADRPTMTDVAAMLNSESMTFPMEPKQPALLRNGSGAQDIASSCMGESSRTIEITIASSAPASTRVRIIVDPEV* >Brasy7G132100.1.p pacid=40046093 transcript=Brasy7G132100.1 locus=Brasy7G132100 ID=Brasy7G132100.1.v1.1 annot-version=v1.1 MFPSPKPQTQAPSSPQSKPMEIEPYSPRPVDVAIADATARHDPASPFPVISPGGDVLPLSPVRDSPARRRPSKLLRDSSVDQGAGAAGSPRKKSRGAGEARTAASPGKNVRRARRRLENGGRREETGNEAAAEEAVGKTGRKKTAAQPPAAKGLVAIVKEKKVSGLALVPYPPTNLTQGPENVEQSDWEGLWEMVVELMMWKNVGRSAFWFGSGSMLFLSSSFSRDIDFSPIKVLCNFGVVTLGLAFFKDSISQSCNRQNMEHAMSFQLTEEDVLQVAKAVLPVANSLITMTRVIFSGDPSMTLKVLPVLLFGAKYGHLFTVWRLLATGFFGCFTLPRLFSCYSSHIHEKVGGLKTQILDAWKSCPRKKLVAAAAVTTFWNMVGVKTRIMAALVAAVTLRYYYQYGRTSKNSEGVIEEQRQATAMED* >Brasy7G132100.2.p pacid=40046094 transcript=Brasy7G132100.2 locus=Brasy7G132100 ID=Brasy7G132100.2.v1.1 annot-version=v1.1 MFPSPKPQTQAPSSPQSKPMEIEPYSPRPVDVAIADATARHDPASPFPVISPGGDVLPLSPVRDSPARRRPSKLLRDSSVDQGAGAAGSPRKKSRGAGEARTAASPGKNVRRARRRLENGGRREETGNEAAAEEAVGKTGRKKTAAQPPAAKGLVAIVKEKKVSGLALVPYPPTNLTQGPENVEQSDWEGLWEMVVELMMWKNVGRSAFWFGSGSMLFLSSSFSRDIDFSPIKVLCNFGVVTLGLAFFKDSISQRQNMEHAMSFQLTEEDVLQVAKAVLPVANSLITMTRVIFSGDPSMTLKVLPVLLFGAKYGHLFTVWRLLATGFFGCFTLPRLFSCYSSHIHEKVGGLKTQILDAWKSCPRKKLVAAAAVTTFWNMVGVKTRIMAALVAAVTLRYYYQYGRTSKNSEGVIEEQRQATAMED* >Brasy7G132100.3.p pacid=40046095 transcript=Brasy7G132100.3 locus=Brasy7G132100 ID=Brasy7G132100.3.v1.1 annot-version=v1.1 MFPSPKPQTQAPSSPQSKPMEIEPYSPRPVDVAIADATARHDPASPFPVISPGGDVLPLSPVRDSPARRRPSKLLRDSSVDQGAGAAGSPRKKSRGAGEARTAASPGKNVRRARRRLENGGRREETGNEAAAEEAVGKTGRKKTAAQPPAAKGLVAIVKEKKVSGLALVPYPPTNLTQENVEQSDWEGLWEMVVELMMWKNVGRSAFWFGSGSMLFLSSSFSRDIDFSPIKVLCNFGVVTLGLAFFKDSISQRQNMEHAMSFQLTEEDVLQVAKAVLPVANSLITMTRVIFSGDPSMTLKVLPVLLFGAKYGHLFTVWRLLATGFFGCFTLPRLFSCYSSHIHEKVGGLKTQILDAWKSCPRKKLVAAAAVTTFWNMVGVKTRIMAALVAAVTLRYYYQYGRTSKNSEGVIEEQRQATAMED* >Brasy7G044300.1.p pacid=40046096 transcript=Brasy7G044300.1 locus=Brasy7G044300 ID=Brasy7G044300.1.v1.1 annot-version=v1.1 MRAWRLLAVVALLAALLAVSAAAAARLDLGEDDDSDVLEALLAVDDEEEDEAPEGAKRAGGAEAVRRTQSMVLVLDNDNARRALEEHAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAAADVGVSGFPTVILFVNGTEHAYTGLHTKDALVTWVRKKTGTPVIRLQSRDSAEEFLKKDQTFAIGLFKNYEGAGHEEFVKAATTENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFDGAFEENAILQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESLLQEVARGFKTKIMFIYVDTAEEKLAKPFLTLYGLEGDKPTVTAFDTSKGSKYLMEADINAKNLKEFCSSLLDGTLPPYFRSEPVPQEKGLIEKVVGRTFDSSVLESPHNVFLEAHAPWCVDCEAISKNIEKLAKHFSGLDNLKFARIDASVNEHPKLQVNDYPTLLLYPAEDKSNPIKVSKKLSLKDMAKFIKVKLHISDVDIKEKEPASDVETVAATDSVKDEL* >Brasy7G023700.1.p pacid=40046097 transcript=Brasy7G023700.1 locus=Brasy7G023700 ID=Brasy7G023700.1.v1.1 annot-version=v1.1 MDQSGVLLLFRGKAYLKPDMLVDLVITSAVPQVHEAENDMRRQELRQLKKHKEDIDRKAQKQVRAILWSGYGLLMTQVEMCFRLTFWEFDWTVMGPITFFAASSFLLADYAYFLVTSSNLSYRGFMERLFLARRTKLYAKHGFDMDKYLEMERQLRCPLGGDHSQAATKAIFEEIERWLRDGQDDQVVGYDDKLLGALLESGLVRQEAEALIRGMEENRLALLVRGKAYLNHKKVVGLIRRAVPFALAPENDARKEEFKQLQEKMQEIDGLAQKHAKRVLCFGLAYFVFQFVIFFRLTFWELDWSVMEPIAFFAAGIQLILCYGYFLITSSNPTLKDLMQRLVLTRRRKLCAKRSFDMDRYLELQKHCR* >Brasy7G117000.1.p pacid=40046098 transcript=Brasy7G117000.1 locus=Brasy7G117000 ID=Brasy7G117000.1.v1.1 annot-version=v1.1 MQPTSFSPICTREENHRFGGFWFRLELKQIPTPFYPSHLIGIYPKTATSSKTSSPNRFLLSSPPLTPTPERWAHRASERRPIPDPAPSGAPPARPCRIRLVPRYLLLLRRSNPHRRPPPRLLCAGSDRAHTNDPLGCPRDRPDRRPTELRRPARVGSASSAGSSSFSAANPPRSP* >Brasy7G233500.1.p pacid=40046099 transcript=Brasy7G233500.1 locus=Brasy7G233500 ID=Brasy7G233500.1.v1.1 annot-version=v1.1 MEDATARRERLRALRAAKELLSTPDSATAPATAPSAEQQNGKRATTEEQVDQPALPDPVDAPEDASKENISPTKESDDLEDNGEIPLKFRNYLPHDERLRGGKVAPLSLPKFEDPIAADAAEPKQLENPFGNIAPKNPNWDLKRDVQKRVDKLEKRMQKALAEIALEQQKEKEALEEGSDAA* >Brasy7G078800.1.p pacid=40046100 transcript=Brasy7G078800.1 locus=Brasy7G078800 ID=Brasy7G078800.1.v1.1 annot-version=v1.1 MWVFYLIALPLTVGLVAATLRYFAGPAVPLYVLATVGYAWLCSLSFVILVPTDIYTTITGNQKSDVGFFWSWSYWSTFTLGWAIIPTIKGYEDAGDFTVKERLKTSIRANMLFYEIVGVIGFLGIIMLIIIHHDWRGAILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTRRQKNLSRTVAMMAVKLEYAHQEYCNAIAVVQATSKQMSKRDPVRPFMDIIDNMLAQMLRDDPLFNLCGGKLEENDMDYDTDGKTMAALRRRLRRAHEEYCRCKSKYANYVMEALELEDTIRNYEQRDADGWKYVSGFRENRPGTLGSFLDFTEFIWRCILRRQLLRVIAVILGCISAAILLAEATLLPTGVHLSLFSILINAAGKKEVLVQVVAFAPLMYMCVCTYYPLFRIGMMVVYSLTPGHTSSVSLLMICSMVARYAPPISYNFLNLIHLGGDAKTTFEKRMGSIDDAVPFFGRNFNRIYPLIMVVYTLLVAGNFFGYLFEFFGSWKRFKFWTEEEEDMDGFDPSGVIILQRERSWIEQARKVGEPVTPLARNFRSVSKDVESGNVLQGAEKVAMKETVHTSKMGGGAQSKSGVNVDHKYSSIREQASNHLSVKQVQNETHSTSILLEAGNSEKPSPLSVAPDSSAGTASTWISMKTGFQSFKSNMSSKRFLPLSLSRTKSSPTGSLDEIFQRLKRHQSNARVACLDDDDGTHEMNQTIGGST* >Brasy7G078800.2.p pacid=40046101 transcript=Brasy7G078800.2 locus=Brasy7G078800 ID=Brasy7G078800.2.v1.1 annot-version=v1.1 MWVFYLIALPLTVGLVAATLRYFAGPAVPLYVLATVGYAWLCSLSFVILVPTDIYTTITGNQKSDVGFFWSWSYWSTFTLGWAIIPTIKGYEDAGDFTVKERLKTSIRANMLFYEIVGVIGFLGIIMLIIIHHDWRGAILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTRRQKNLSRTVAMMAVKLEYAHQEYCNAIAVVQATSKQMSKRDPLRDDPLFNLCGGKLEENDMDYDTDGKTMAALRRRLRRAHEEYCRCKSKYANYVMEALELEDTIRNYEQRDADGWKYVSGFRENRPGTLGSFLDFTEFIWRCILRRQLLRVIAVILGCISAAILLAEATLLPTGVHLSLFSILINAAGKKEVLVQVVAFAPLMYMCVCTYYPLFRIGMMVVYSLTPGHTSSVSLLMICSMVARYAPPISYNFLNLIHLGGDAKTTFEKRMGSIDDAVPFFGRNFNRIYPLIMVVYTLLVAGNFFGYLFEFFGSWKRFKFWTEEEEDMDGFDPSGVIILQRERSWIEQARKVGEPVTPLARNFRSVSKDVESGNVLQGAEKVAMKETVHTSKMGGGAQSKSGVNVDHKYSSIREQASNHLSVKQVQNETHSTSILLEAGNSEKPSPLSVAPDSSAGTASTWISMKTGFQSFKSNMSSKRFLPLSLSRTKSSPTGSLDEIFQRLKRHQSNARVACLDDDDGTHEMNQTIGGST* >Brasy7G078800.3.p pacid=40046102 transcript=Brasy7G078800.3 locus=Brasy7G078800 ID=Brasy7G078800.3.v1.1 annot-version=v1.1 MWVFYLIALPLTVGLVAATLRYFAGPAVPLYVLATVGYAWLCSLSFVILVPTDIYTTITGNQKSDVGFFWSWSYWSTFTLGWAIIPTIKGYEDAGDFTVKERLKTSIRANMLFYEIVGVIGFLGIIMLIIIHHDWRGAILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTRRQKNLSRTVAMMAVKLEYAHQEYCNAIAVVQATSKQMSKRDPVRPFMDIIDNMLAQMLRDDPLFNLCGGKLEENDMDYDTDGKTMAALRRRLRRAHEEYCRCKRKYVSGFRENRPGTLGSFLDFTEFIWRCILRRQLLRVIAVILGCISAAILLAEATLLPTGVHLSLFSILINAAGKKEVLVQVVAFAPLMYMCVCTYYPLFRIGMMVVYSLTPGHTSSVSLLMICSMVARYAPPISYNFLNLIHLGGDAKTTFEKRMGSIDDAVPFFGRNFNRIYPLIMVVYTLLVAGNFFGYLFEFFGSWKRFKFWTEEEEDMDGFDPSGVIILQRERSWIEQARKVGEPVTPLARNFRSVSKDVESGNVLQGAEKVAMKETVHTSKMGGGAQSKSGVNVDHKYSSIREQASNHLSVKQVQNETHSTSILLEAGNSEKPSPLSVAPDSSAGTASTWISMKTGFQSFKSNMSSKRFLPLSLSRTKSSPTGSLDEIFQRLKRHQSNARVACLDDDDGTHEMNQTIGGST* >Brasy7G096100.1.p pacid=40046103 transcript=Brasy7G096100.1 locus=Brasy7G096100 ID=Brasy7G096100.1.v1.1 annot-version=v1.1 MARFACVPLMFLVILAFLAVSGEAARMLGGGDVLAPAGEAVVYGGGVMAQLIRQMYLQRLGAGPSCGTHSSNGGCPHP* >Brasy7G093100.1.p pacid=40046104 transcript=Brasy7G093100.1 locus=Brasy7G093100 ID=Brasy7G093100.1.v1.1 annot-version=v1.1 MKLLTAFLVLVLLVFVLDASGVEARGTPAGHHQKKWSNKSLFVFGDDFADNGNLPLTDPVTEFSRQWAYPYGSSYVDAAGFPRPNTPSGRFSNYKIQPDFIATILGLEEAPPAHALTAVRTCDPSGMTFAYGGAGVFDTSHKVPTLAKQVDTFQKMVKDGTISEQQLSNSVALVAISGNDYASPGVIGRSSINDINAYIGKVTTEIAANVDRLQKLGVRKVLVNNLHPVGCTPSKTRTNNYTECDIFGNYGASIHNNNLEQVMATKKNVHIVDLYTAFTNIVNGAQGSELSKQFKRKLSPCCESLDSKGYCGQQSESSELLYTVCDKSNKFFYWDGMNPTHAGWEAVMKQLQKVLKDFIDQA* >Brasy7G070400.1.p pacid=40046105 transcript=Brasy7G070400.1 locus=Brasy7G070400 ID=Brasy7G070400.1.v1.1 annot-version=v1.1 MGRYDGGKEAADTLLGYPLVGVCIDKDKNSQNALKWAIDTIVGKGQTIVLVHVNTKGVSGGVEDAAGFKQPTDPHLKDLFLPFRCFCTRKDIQCKDVVLDDADVAKSIVEFSAHGAIEKLVVGACTRGGFVRFKADIPTTICKGAPDFCTVYVINKGNKVSAQKNSIRQAPRVSPLRSQIQSMSAAAAARPPEPVPMPAAAQQQSKWSTSSRGSDNGGGETPKVDNFRSPFTRGSMGPTRKSYADLSHMSMPDSADISFVSGGGGGGRRSIDYYQQPRMSNGSSIDSYDHSFEMRTPSKWGDSFGGGGTDLSFSQSSTSSFSSIGMDDVEAEMKRLRLELKQTMDMYSTACKEALNAKQKATELQRWKAEEEQKKQDQHLTEESAMAMIEREKAKAKAAMEAAEASQRIAELEVQKRISAEKKLLKEAEERKNRGGGGSHEMVRYRRYSIEEIEHATEHFSDARKVGEGGYGPVYKGHLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRSGGPVIPWQHRFRIASEIATGLLFLHQKKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLSHHVGRALERGSFGEMLDPAVHDWPVEEAQCLAEMALRCCELRRKDRPDLGNVVLPELNRLRALGEDNMQFCGAIPFRGGGGMHSSAMFSNMPPASHAPEVMNDSHDYPMRSVFSTRTGDSGMPPRRSNV* >Brasy7G156300.1.p pacid=40046106 transcript=Brasy7G156300.1 locus=Brasy7G156300 ID=Brasy7G156300.1.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKILTAISAVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.8.p pacid=40046107 transcript=Brasy7G156300.8 locus=Brasy7G156300 ID=Brasy7G156300.8.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKILTAISAVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.9.p pacid=40046108 transcript=Brasy7G156300.9 locus=Brasy7G156300 ID=Brasy7G156300.9.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.10.p pacid=40046109 transcript=Brasy7G156300.10 locus=Brasy7G156300 ID=Brasy7G156300.10.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.2.p pacid=40046110 transcript=Brasy7G156300.2 locus=Brasy7G156300 ID=Brasy7G156300.2.v1.1 annot-version=v1.1 MLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKILTAISAVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.11.p pacid=40046111 transcript=Brasy7G156300.11 locus=Brasy7G156300 ID=Brasy7G156300.11.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.3.p pacid=40046112 transcript=Brasy7G156300.3 locus=Brasy7G156300 ID=Brasy7G156300.3.v1.1 annot-version=v1.1 MKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKILTAISAVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.12.p pacid=40046113 transcript=Brasy7G156300.12 locus=Brasy7G156300 ID=Brasy7G156300.12.v1.1 annot-version=v1.1 MGAACDGAVEQLARLMDQVEAPLKKSFQNVHEGYPTETLVRFLKAREWDATKAHKMKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.4.p pacid=40046114 transcript=Brasy7G156300.4 locus=Brasy7G156300 ID=Brasy7G156300.4.v1.1 annot-version=v1.1 MLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.5.p pacid=40046115 transcript=Brasy7G156300.5 locus=Brasy7G156300 ID=Brasy7G156300.5.v1.1 annot-version=v1.1 MKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILPTATKKFGRPISTSIKVLDMTGLKLSALNLLKVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.6.p pacid=40046116 transcript=Brasy7G156300.6 locus=Brasy7G156300 ID=Brasy7G156300.6.v1.1 annot-version=v1.1 MLVDSLNWRIQNEIDSILEKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G156300.7.p pacid=40046117 transcript=Brasy7G156300.7 locus=Brasy7G156300 ID=Brasy7G156300.7.v1.1 annot-version=v1.1 MKPIVPLELYRSIRESQLVGLSGYSKEGLPVFGIGVGLSTYDKASVHYYVQSHIQINEYRDRIILVVKPLLQERTRKKIHVLHGCGRDELLKIMDHSALPHFCRLEGSSKISLNDVNNCFSLDYPFHQELYHYMEQQALNQELVKQGSLHVDIPDQDLEDAKIVEVIKAEFHKLGKQNGSPMATVNNSVV* >Brasy7G206200.1.p pacid=40046118 transcript=Brasy7G206200.1 locus=Brasy7G206200 ID=Brasy7G206200.1.v1.1 annot-version=v1.1 MAGFMMVLLIAIFGGGIFAFFFRMCCANRFVRRCSRFAAVRRARAVVRLPAGAAGPPGGEGPRLADAAIAALPLTRLAEAAECAVCLGDLAAGELARLLPLCGHRFHVECVDTWLRSRATCPLCRQTVVDVDEMPLQATQPAQAEAQGPILLPPALPQADGRAPLAQARDGGNEQQSHISLQVLD* >Brasy7G134900.1.p pacid=40046119 transcript=Brasy7G134900.1 locus=Brasy7G134900 ID=Brasy7G134900.1.v1.1 annot-version=v1.1 MEHRGLLLLPLLVAALCLSGPAVTPCAAAKNNKKSYQAVFSFGDSLSDAGNLIVDGIPKSLTTARKPYGMTFFGRPTGRCSNGRVVVDFLAEHFGLPLPPASQAHGTDFKKGANFAITGATALEYDFFKAHGIDQRIWNTGSINTQIGWLQKMKPSLCKSEKECQDYFSKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLVDLGATDLLVPGILPIGCFPLYLTLYNTSKKSDYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPKTKIMYGDYFKAAMQFVVSPGKFGFSTALQACCGAGGQGSYNFNLKKKCGEAGASVCSNPSSYVSWDGIHMTEAAYRMVANGWLNGPYASPPILK* >Brasy7G120300.1.p pacid=40046120 transcript=Brasy7G120300.1 locus=Brasy7G120300 ID=Brasy7G120300.1.v1.1 annot-version=v1.1 MGWKATMGGKAAGIGGDKLKCPPSSAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGETWGPRVLKGWPSCLTASEEAAALAAVRPEPIVDKPALPPKRMYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYNNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYGSLRFTAEIEDLGKRVIRMLRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLVDQYNNGSLRWDEFSLLIKAAHAGRMGSASKRTVFLDRPKEEDYFYANPQECLHDPDLLHTS* >Brasy7G120300.2.p pacid=40046121 transcript=Brasy7G120300.2 locus=Brasy7G120300 ID=Brasy7G120300.2.v1.1 annot-version=v1.1 MTVGWCPYWSHLAVLGSVANLPNLTRVVRISLCGMYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYNNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYGSLRFTAEIEDLGKRVIRMLRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLVDQYNNGSLRWDEFSLLIKAAHAGRMGSASKRTVFLDRPKEEDYFYANPQECLHDPDLLHTS* >Brasy7G093600.1.p pacid=40046122 transcript=Brasy7G093600.1 locus=Brasy7G093600 ID=Brasy7G093600.1.v1.1 annot-version=v1.1 MRRPRQDLYPLPSIFPFHFSHPPPPRYLVPATPSPPFCQLLAATTRRVHGLGHHHRLLFLLADFQATVAGSRRQRQRAMGPAPRAAEPVKQRVNRCLLRLSDRDTEAMAANELDAIARGLDADELPVFLAAVSDTRPTDKTPLRRHSLRLLALLVAAHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAGAASPSPPAALGPLADAVLHEQDQCAQLAAALAAAAAVEASAPTSDLAAYLLALLPRLLKLLRSAAFKAKPALISLIGAASAATDGEAAATAVPCLRDALAGDDWAARKAAAEALALLALEHGDDLAAHKPSCIAVFEAKRFDKVKIVRESMNRMIEAWREIPDMEEDVCSSDARPSSLSPARTSLTDGASDGRYPAGSPGSNSVKSVTRNMPPASRSPPSDALRNVSNGRTSPSSLRNKKNSPPSRRDVDNKVNVTFAPDATPIKTVTEEKLLKDSNVRARLEARKMLFQKSGEKGYKKLAGLKSGSRVVPFNGDDDSEETTEVEDGSSEFQSDGPEEFPSGPEEFESAHKDEDLSKIRMQLAQIEYQQTNLLDLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPHNEPDMNTCCILSPKFWRRHDGGRYSSRYSVSDIPNYSEESRASYKWEKQKFGVQGGFVTNPLAEPNTSYVGNKVVAQEGRRQNSAQYKSRMC* >Brasy7G201100.1.p pacid=40046123 transcript=Brasy7G201100.1 locus=Brasy7G201100 ID=Brasy7G201100.1.v1.1 annot-version=v1.1 MGRPRRGRVEIKRIDNAVSRGVTFSKRRGGLLKKARELAVLCDAQVGVLVFSSNGKLADYCSPNTSWSELIQRYESRNTTTDIERQTGEDLSSLGTKEELDDLQQQLESTLGKIRERKVL* >Brasy7G024100.1.p pacid=40046124 transcript=Brasy7G024100.1 locus=Brasy7G024100 ID=Brasy7G024100.1.v1.1 annot-version=v1.1 MEEEEEGRRGVPSLLRARSPDDPQEHIASDITQLVGWTPLVELNRITRGKDAMAARVVGKLELYQPLCSVKDRSALRMIDDAEAKGAIVPGVTTLVEPTSGNLGIGVAYVAALRGYSFVAVMPADYSLDKQILLRYLGAQVILTDPALGFQGQVDRVEQLKKDLPNVHVLDQFSNAANPDAHFTWTGPEIWKDTGGKVDIFVAGSGTGGTISGVGKYLKMKNPSVKIVCVEPAESPVISGGKPARHKIQGVGPGFVPENLDTSLVDEIITVTAEDAMANARRLAREEGLLVGISSGANLAACLKVASRKENEGKMIVTVFPSGGERYMSSDLFAEAREECIAMTF* >Brasy7G055600.1.p pacid=40046125 transcript=Brasy7G055600.1 locus=Brasy7G055600 ID=Brasy7G055600.1.v1.1 annot-version=v1.1 MASDVAMAGADCLCPSKRKSAAFAGFEYERAAKRVDCSVGEKKKPARKLTRLPQEEVDWILAQSGEPVYPPFLDLKRRNPSLVPSPEEEKDEGMVLLYQAARDCYESREEYMAFQAWVRREYWSKGFVEVDLDRLLRWSCSGPGEERSS* >Brasy7G211500.1.p pacid=40046126 transcript=Brasy7G211500.1 locus=Brasy7G211500 ID=Brasy7G211500.1.v1.1 annot-version=v1.1 MPRLLASPPYRKGEAGSVPPPSERGEARSAPPPPARGEARSAQPPSGRGEARSALPPFEQGEARSAPPGLPMPWIRTAMSLYDKICAAAFRQRSPSGSDTDLCATEEKRRIPFAKPQPPAISLPAVRNASTAPPQTNATATLRPRLSHGPEIEFPSSSPSSSSSSSPSCLPSTPSSGRRSRVWSQILDLQRAGLEGGGGSCRTDKVR* >Brasy7G038500.1.p pacid=40046127 transcript=Brasy7G038500.1 locus=Brasy7G038500 ID=Brasy7G038500.1.v1.1 annot-version=v1.1 MSGAPRSNLGLVPRDMNGSIPVSTTNSSGPSIGVSSLVTDGNSSLSGGAQFQHSTSMNADSFMRLPASPMSFSSNNISGSSVIDGSIMQQSPPQEQMQKRRSSSVTSQPVIEAGGSFHAQKKPRVDLRQDDILQQHLIQQLLQGQSSLHLQGQHNPQLQALIRQHKLAHIQQQQQQQHQLSHQFPQVQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVESGLCSRRLMQYLYHKRHRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNSPVAIPQTTPDTWRCDICNTHAGKGYEATYEILPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLLLLEHTKVVQKSVYEHLHVTHEGQLRIIFTPELKIMSWEFCSRRHDEYITRKFLTPQVTHMLQVAQKYQTANESGPAGVSNNDAQNICSLFVSASRQLAKNLEHHSLNEHGLSKRYVRCLQISEVVNQMKDLIEFSHKNKLGPKEGLKNYPKQNGPKLTVQNMHEAKVIKTEMNTHVNNDVPGVGAIGTNPQSAAAQNNYQNMLRSSSTSQGLIQQDSSQNAAGLSNYHNMHRSPSAGQSMLQQEASNNAAMLNSYQTMLRSSSANQGLLQQEASSIFKGPTAMHNGIQLEASRSFRAAQLGQFQHPMSFQQAMPQHQQNNFQGLGVSPQYQQHVIHQLLQEAKNTSNRALVQQQQPPNTPSANGGLASGAAITNSAASGEHSQQHVNNGAATKGAAPMCTTGPSNLINSGAGIVQRSSSFKSVSSNPVAAAASSGGNVVTPKAESMHEMDELDHLINSELVGSGLFMEEQQGGGGYSWNL* >Brasy7G038400.1.p pacid=40046128 transcript=Brasy7G038400.1 locus=Brasy7G038400 ID=Brasy7G038400.1.v1.1 annot-version=v1.1 MRRGVAPLGLALATLATLITIVSGQGKPVTDTSGGMTEALPSKFTPKDAFFIDCGGTDPVTVEGKAFKTDAQANQLLAAQDAIRASVDKADGVSSPVYLTARIFKEEAVYNFPLTVPGWHFIRLYFFPLKNPDSDLASATFSVTTDTNVLLHSFTADPKPIMKEYLINATENHLEIKFTPLKGSAAFINGIEVVNGPDELITDTALEVLPFAEMSGLSEAAYQVIYRLNVGGPGISPGNDTLGRQWDNDEQYVQSKEMVKDVSVPTNTIKYPDTFPVSKLVAPTLVFASAAKMADMDSTVSNANFNVTWKLDVDPSFDYFVRLFFADIISKSANDLYFNVYIDGRKAISGLDLSGITGDLAVPYYKDFVVNSSITADGHLSIQIGPLGQDTGRIDALLNGAEVFKMSNSVGSLDGEFGVDGRKADDGSGSRKVVAAVGFAMMFGAFAGLGAMVVKWHKRPQDWQHRNSFSSWLLPIHTGQSFTNGKGSKSGYTFSSTGGLGRFFSFAEMQEATKNFDESAIIGVGGFGNVYVGEIDDGTKVAIKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHYGPFRDHIYGGDGNLPALSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVADFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGLQWKRKGLIEKIMDPKLAGKVNEESLNKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQEQNPPEGAAAGEGADGDSLDAGISSSSIATTSSSSSGINTVPDASTTSAGELFAQLADMKGR* >Brasy7G043300.1.p pacid=40046129 transcript=Brasy7G043300.1 locus=Brasy7G043300 ID=Brasy7G043300.1.v1.1 annot-version=v1.1 MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRNCNSNSEATATPETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLSMKELDQLENQIEISLKHIRSTKSQQSLDQLFELKRKEQQLQDVNKDLRKKIQETSAENVLHMSCQDVGPSGSTDHTNQANQQELFHPSVCDPSLHIGYQAYMDHLNND* >Brasy7G090000.1.p pacid=40046130 transcript=Brasy7G090000.1 locus=Brasy7G090000 ID=Brasy7G090000.1.v1.1 annot-version=v1.1 MAPRRRKPARPASDPEGIFRGVSAFFVPHSVQSHRLEVWKQKLVQMGGRVQEKVANAKGVTFNHVLAADAKALLRELDAAWLHRFCGSVVSFEWMEECLKSGERLPEHKFTINYEEEFKPKKAAGTGHTGASHPPKRSKMSSEDHGKHKETIVQDGEKELAVGEHQDASTHAHEGSGVDKGPSQYVCSQSSSGDTKDTIGSHGTFDIEEASSVEPTTYAPPDLNRNITEVFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKVESADQVKDLPAIGKSLKDHINEIVTTGKLSKLEHFENDEKARTVSLFGEVWGVGPATALKLYDKGHRTLDDLRKDDSLTYAQRTGLKFFDDIKQRIPWHEVSEMEKLLQDIGKDILPGVTIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLPKFVQRLKDISFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKVYPRNRYAFGLLAWTGNDVLNRRLRILADSKGYVLDDTGLYLATQSSGGKRMGRSEAIVNCDTEKDVFDTLGFPWLEPHERNL* >Brasy7G090000.2.p pacid=40046131 transcript=Brasy7G090000.2 locus=Brasy7G090000 ID=Brasy7G090000.2.v1.1 annot-version=v1.1 MAPRRRKPARPASDPEGIFRGVSAFFVPHSVQSHRLEVWKQKLVQMGGRVQEKVANAKGVTFNHVLAADAKALLRELDAAWLHRFCGSVVSFEWMEECLKSGERLPEHKFTINYEEEFKPKKAAGTGHTGASHPPKRSKMSSEDHGKHKETIVQDGEKELAVGEHQDASTHAHEGSGVDKGPSQYVCSQSSSGDTKDTIGSHGTFDIEEASSVEPTTYAPPDLNRNITEVFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKVESADQVKDLPAIGKSLKDHARTVSLFGEVWGVGPATALKLYDKGHRTLDDLRKDDSLTYAQRTGLKFFDDIKQRIPWHEVSEMEKLLQDIGKDILPGVTIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLPKFVQRLKDISFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKVYPRNRYAFGLLAWTGNDVLNRRLRILADSKGYVLDDTGLYLATQSSGGKRMGRSEAIVNCDTEKDVFDTLGFPWLEPHERNL* >Brasy7G042200.1.p pacid=40046132 transcript=Brasy7G042200.1 locus=Brasy7G042200 ID=Brasy7G042200.1.v1.1 annot-version=v1.1 MALKNKISFSIPSSESRPLNPTKRPAAFSSAAAGRSAPAPAQRFFVTSFDPSESLTPAPAPLVIPPLPNARNPTVGDGPLAFVLDAPADASSSSSLGYGLTVRSTNTAAAREPSGDLTLRRFKDDMAILPDIQGSDEYEEVPVEGFGAALLAGYGWKKGDPIGRDKSKRDAKVFERGRRFGTQGLGADPSQETRSRRPLPAGKENILDKKKQRLEQPDSTVTRSSTVRPYGVDVYY* >Brasy7G168000.1.p pacid=40046133 transcript=Brasy7G168000.1 locus=Brasy7G168000 ID=Brasy7G168000.1.v1.1 annot-version=v1.1 MLIHQTSRRRVRRCCSVRAGLLLRLRVRLSGLVGLLSRSVEELTCCPAGGRLTTISCPTPRARRPAMMSAHGGRRRGRPVPAERNQSSFYAEAIADCLEFIKSRSSYQPAVKDGKIVTSLVRILWKL* >Brasy7G002000.1.p pacid=40046134 transcript=Brasy7G002000.1 locus=Brasy7G002000 ID=Brasy7G002000.1.v1.1 annot-version=v1.1 MTSGSRRRPPPPRRRAAMPPTPMSISAPSASPPSLYRRRGVIAAAALAALLLLVAAAAVWHPDPLPAALLRSTTAPGKAATRFYSFDLVREYPHDPYAFTQGLLYGGNDTLFESTGLYDRSSVRQVDLQTGKVLVQHQMDGHMFGEGLTLLGDRLFQVTWLKKDGFIYDRHNFSKRESFTHKMLDGWGLATDGKVLFGSDGTSRLYQLDPKSLEVTKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVSWIFLHELRRQLSRSGNMHIDVLNGIAWDEEKQRLFVTGKLWPKLYEIKLRPVDGPPDGSVEKLCPKPRLYR* >Brasy7G002000.2.p pacid=40046135 transcript=Brasy7G002000.2 locus=Brasy7G002000 ID=Brasy7G002000.2.v1.1 annot-version=v1.1 MTSGSRRRPPPPRRRAAMPPTPMSISAPSASPPSLYRRRGVIAAAALAALLLLVAAAAVWHPDPLPAALLRSTTAPGKAATRFYSFDLVREYPHDPYAFTQGLLYGGNDTLFESTGLYDRVLVQHQMDGHMFGEGLTLLGDRLFQVTWLKKDGFIYDRHNFSKRESFTHKMLDGWGLATDGKVLFGSDGTSRLYQLDPKSLEVTKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVSWIFLHELRRQLSRSGNMHIDVLNGIAWDEEKQRLFVTGKLWPKLYEIKLRPVDGPPDGSVEKLCPKPRLYR* >Brasy7G002000.3.p pacid=40046136 transcript=Brasy7G002000.3 locus=Brasy7G002000 ID=Brasy7G002000.3.v1.1 annot-version=v1.1 MRDSLVRKMHGHDRSHTTLCGKSSVRQVDLQTGKVLVQHQMDGHMFGEGLTLLGDRLFQVTWLKKDGFIYDRHNFSKRESFTHKMLDGWGLATDGKVLFGSDGTSRLYQLDPKSLEVTKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVSWIFLHELRRQLSRSGNMHIDVLNGIAWDEEKQRLFVTGKLWPKLYEIKLRPVDGPPDGSVEKLCPKPRLYR* >Brasy7G144400.1.p pacid=40046137 transcript=Brasy7G144400.1 locus=Brasy7G144400 ID=Brasy7G144400.1.v1.1 annot-version=v1.1 MTYGEAREDLVHAKKKIQVLSNDCSEDLKEVQDALWREELLKQTAAYEKSKHFRAITDTEMVKEAFTCEAYSKHKTESVANMVSTETGKVVDALLCTGKTCRRYLRHEIELATDNFSDAKKIGEGGYGIVYRCTLDHTEVAVKVIQQDSSDKIDEFFKEVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGCQPLHWFMRFQIIFEVARGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLIADLVPDGFTEYRDTVIAGTLYYMDPEYQLTGTVRPKSDLFALGIIVLQLLTGKRPHGLILSAEEAIRKDTFSDILDQSQTDWPIAEAEALAKLGLRCTALKCRDRPNLESEVLPVLEDLLSRVTSSLKSRSPNVVVPSHFVCPILQEVMDDPYVAADGHTYEYRAIKAWLKKHKISPVTKHKLPNSSIIPSHSLHAAIQRWKSQSS* >Brasy7G144400.2.p pacid=40046138 transcript=Brasy7G144400.2 locus=Brasy7G144400 ID=Brasy7G144400.2.v1.1 annot-version=v1.1 MTYGEAREDLVHAKKKIQVLSNDCSEDLKEVQDALWREELLKQTAAYEKSKHFRAITDTEMVKEAFTCEAYSKHKTESVANMVSTETGKVVDALLCTGKTCRRYLRHEIELATDNFSDAKKIGEGGYGIVYRCTLDHTEVAVKVIQQDSSDKIDEFFKEVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGCQPLHWFMRFQIIFEVARGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLIADLVPDGFTEYRDTVIAGTLYYMDPEYQLTGTVRPKSDLFALGIIVLQLLTGKRPHGLILSAEEAIRKDTFSDILDQSQTDWPIAEAEALAKLGLRCTALKCRDRPNLESEVLPVLEDLLSRVTSSLKSRSPNVVVPSHFVCPILQEVMDDPYVAADGHTYEYRAIKAWLKKHKISPVTKHKLPNSSIIPSHSLHAAIQRWKSQSS* >Brasy7G218000.1.p pacid=40046139 transcript=Brasy7G218000.1 locus=Brasy7G218000 ID=Brasy7G218000.1.v1.1 annot-version=v1.1 MEFAGRSFLPLFALLLVAGAAATDQIFTTSGVPFGKNSREPRYRVEFYAVDSPYRPEIGQESEPMTNHEGKHYTCFLPVEETKTMKSIIPQNATNVIIESDRRVKPKEPDELLEILKDQCFYRHDGWWSYEFCYHGKIRQVHVEGEKVIQEYVLGEYDPDATDAYHENHTSESSDEDNHLKDISKRYHVHLYTNGTVCDLTEIPRFTEVRFVCSEPTVLISSLKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLADAGATEDEDDLPKEAQMSIIPDPNELHNYAAYAT* >Brasy7G223300.1.p pacid=40046140 transcript=Brasy7G223300.1 locus=Brasy7G223300 ID=Brasy7G223300.1.v1.1 annot-version=v1.1 MSGWGRQGRKRKRIGMPGRRRVRGGSSRAWRQGGVLRDRNFVATLPGASASSRSRRRRLPTARGGGRRRSSAAGRLEARTRAGEASRGRGASPGGGGEERESVGEGIDDGRRFRRRRGRQAREVLARAAGPTKGRRASREMRRRGSGAGEALERPGELAGIHGRGGFDGGKEIVRSCFGRGEKEKAGEVIRRRKERLQARIRTRTSGGYRRRQGGMAVSSGAVI* >Brasy7G228800.1.p pacid=40046141 transcript=Brasy7G228800.1 locus=Brasy7G228800 ID=Brasy7G228800.1.v1.1 annot-version=v1.1 MEAAIGAASGLIDGVVKLLSNELVQAYVASAELGLNAEKIKTSLFFAQDLLQQARQHGMAEDRPGLKGLVQQLSAKADQAEDALDELHYFIIQDQIDGTKYAVPDLRGQARHGCHALRHTVGNCLACFSCLPTPQDHGDGDATVDTNNLHNATKSASASGVNDGPADNLSFDRVAMSKKIKLVIEQILPLCDRVSELLKINPPHGNNTPIVSLKRPVIGSTTTQDTLYGRRDLFEQTIKDTIITSAAHSGEKLSVLPIVGPGGIGKTTFTQHLYNDKRIEEHFSVRVWICVSTDFDVLKISQQILSCIEGSNNANQTTILDQLQISIAQNLKSKRFLIVFDDIWECNRGDWEILLAPFMKGETKGNMVLVTTRFPSKAEIVKTTNPLHLKGLEDDDFFTFFEALIFDGEKPEDFQLELTDVARNIAKKLKGSPLAAKTVGRLLRKDLSREHWMGVLENNKWQKQENPNDIMASLRISYDYLPFHLKKCFPYFALFPEDYRFRNLEITHFWIAIGMVDEVENYMEELVDYGFLVRGNDYPGHHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEENFRGEMVKLRSKIDIVNLRALMIFRAYGEPIGEILKETFKEIEGLRVLLVGVDSVESLPHNFSKLIHLRFLKISKPPKLFDPEVTLPCTLSRFYHLILLDVSSWHPSTKLPKDISRLISLRHFVASKELHSNVPAVGKMKCLKELTEFCVKKESDGFELSELGALTELGGELRICNLESVATKEEALKAKLVSKGGLKKLTLVWGGDQQAAKSDVLDGLEPHPNLQALGIENHGGSTGPSWFSGGNISTVMLTSLHLEGVSWVDPPFGQLLHLTSLTLKNISGLGQIRPGFRGVTDRSFMKLKKIGLHSLPGFTEWVGSPDAQTFSGLEEIRCSSCPNLCSLLFLQECSGVTCNHLQTLEIKSCPMLFLPPMPHTSTLTKVEVKDSPVGEMTYNGIFKSLSFDGYIGEVAWHNMAGKLESVRFGGGSTIPWAELPTLTSLSKFEIRRDPSFVSMALLSNLPTSLTSLSLIDCENLTVDGFNPLIAAVNLKELRVFNTGRDGPRSVAADLLSELVAASRSKPLLPAAGCFQLKALFVDCISAVLAVPVCSLFSATLHVLYLGFDQRVESFTEEEEDALQLLTSLRTLFFWRCPGLPSLPQGLHSLSSLRELYVHGCPEIRSLPKVGLPTSLRELYVYGCSPELQEQAKELQGTKPDLRVFVGA* >Brasy7G199800.1.p pacid=40046142 transcript=Brasy7G199800.1 locus=Brasy7G199800 ID=Brasy7G199800.1.v1.1 annot-version=v1.1 MAPPSMSLAAKGVLPFAALPSVGVSQRPVSVTASLEHKTSDAKRKFLKLALGGVGLPALLGAKKALADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNNQEESGSLLFNLIGNLAFPLILIGGLFLLSRRGSGGMGGPNGPGFPLGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDPDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTGISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDASQSGDVIMRMMARNSMSEKLALDIDSAVKELSDRAYEIALKQIRENRVAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENQVPPAPQAAVAV* >Brasy7G211000.1.p pacid=40046143 transcript=Brasy7G211000.1 locus=Brasy7G211000 ID=Brasy7G211000.1.v1.1 annot-version=v1.1 MVSPLSSWPWAGLGSYKYVLYGPVVAKAVRAWRDEQGSWSPLMDSWCVHLLLLLALRSLTYQLWFSYGNMLFLTRRRRVVPDGVDFRQIDAEWDWDNMVMMQTLIGAMVMSSSPFAAAGGLRAWDPRGWAVALLLHVALSEPAFRWAHMALHRAPLFSRYHSKHHSSPVTQPLTAAYGTPLESLLLTLAMGVPLAGAFLAGAGSVSLVYGYVFVFDYLRCMGYSNVEVISHRAFEAFPPLRYLIYTPTYLSLHHKEKDCNYCLFMPLYDLLGGTLHPSSFTLQKEIDNGKNDRVPDFVFLAHVVDVVSSMHVPFAFRSCSSLPWAPHLVLLPLWPIALCLMLLQVLCSKTFTVSFYFLRGRLHQTWTIPRYSFQYFIPPMKKGINRQIELAVLRADKMGVKVLSLAALNKNEALNGGGTLFVAKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQPFLVQVTKYQAAKTCKTWLVGKWLSPREQRWAAAGTHFHQFVVPPVMEFRRDCSYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDQVWHAALKHGLSPP* >Brasy7G143800.1.p pacid=40046144 transcript=Brasy7G143800.1 locus=Brasy7G143800 ID=Brasy7G143800.1.v1.1 annot-version=v1.1 MGCIRRGARAGSTAGDGGCRGATVGWGGRRAGRRLRHGARACAARAPGRICEGGMQGEARRVAIGMPGRVGRGSRVEMDGDGWRAGGEREDRGGMRRPRGGRRRRHPQGKGRRREGRWGRARRAAGRRRRRRLQGKGWRRGPRWGRARLGGGSGGARRGRKGGGGGVEAGGVRRKKEKKNVS* >Brasy7G150300.1.p pacid=40046145 transcript=Brasy7G150300.1 locus=Brasy7G150300 ID=Brasy7G150300.1.v1.1 annot-version=v1.1 MATCLSPSRLLILPPAVAFFTTTPLRLRHPENRLAASYRRRRPRLAIAAQATPSGGAVAPAAAAGEERDASGLPPAEAERLVEFLKADLPHLFDDVGIDRSAYDDRVRFRDPITRYDDIDGYLANIRLLKLIFRPDFYLHEAKQTGPYEITTRWTMVMKFSLLPWKPELVFTGLSIMGVNPQNLKFNSHVDIWDSIQNNEYFSVEGLQDVFKQLRYYKTPDIETPSYLILKRTANYEVRRYPPFSVVEAKGEKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDDKLSDVSIQIALPMNKDLNSLPAPNTEAVTLRKVEGGVAAVKKFSGRPEEEIVVRKEKELRSQILKDGLKPEQGCLLARYNDPRTKSFVMRNEVLIWLNDFTLE* >Brasy7G167100.1.p pacid=40046146 transcript=Brasy7G167100.1 locus=Brasy7G167100 ID=Brasy7G167100.1.v1.1 annot-version=v1.1 MGGWAEYSTPCAYGLLLLMTSPDFSSSSPFGPVCRFSRR* >Brasy7G148900.1.p pacid=40046147 transcript=Brasy7G148900.1 locus=Brasy7G148900 ID=Brasy7G148900.1.v1.1 annot-version=v1.1 MGGVPSVTVARPRRWKSMFAILLKQPYLPIYEGTLILFPETNRIILLGIDGSPIDERFLPTGEVICEGGLIKFSCHQAEVGEEIAEGSSRLLSAEARPFPDKDDLVVAETEEEDEDVIGSGGVLWWLSGGLGQPVREETASPVEVLDEQFPREGAVVFPQARMHGERSLRSYLRVPPLPTLGEVLLSQLRHLDRSDVAAETNSGVVEPVASGSDREDHEGISQEGISAGSTSQTLIPAAGLAAEKRTYKEVLLTVPPRKKRRNRPTRRKKAMAGRGEQRFGHAEVRVQAPLGGGYARLSNPAPRPGSGYHGGRGSSFYTEPYWKKKQTTTGTSSSTSAAAATNKVHNPDSVVVDTVVKPVVCYKCDQEGHNSKDCTNEVLCEICDKNTHVSARCVWLTQVKPVMQPVGLGAPDLGFFRALHAKPRKIEIESTLGLIIVKKGSLHPSLVQCGLETQFPWKWRWNVVQQGKNFLAQFPSKETLSMLADFEDFKLKGTDAYIKVERATKEVEPKGRMHTIWARAEDVPNEMKNYKGICEIGSLIGAVEEVDMQILQELGIVRFKARVKSIKKVTSVQEFSIPPELFDIKFTVESVVTRGTLTEGGSGRINTKRGADGKAEASGGNRSPKKPKEGGGSGADGNGKGTMGAPPDQAPVVQTEQIQAGGEDNEEDREGLDVNVSEDSGDERLHFSQEEEVPDSQELFQTKVDGVMDTIITKKPAGTENTKELSSVSGAVQNKKECAKKE* >Brasy7G045100.1.p pacid=40046148 transcript=Brasy7G045100.1 locus=Brasy7G045100 ID=Brasy7G045100.1.v1.1 annot-version=v1.1 MAAMRGACIFTTSIFFLPMLISVNALAKDHGSSYLARGSTVDTWDGETTAILVSPNGAFACGFYRVATNALTFSVWFHASSPKTVVWTANRDEPVNGSTSLAFQTDGDLALLNYNGTAVWSTNTAATHASRAELLDTGNLVAMDLDGRSLWRSFDSPTDTLLPSQPLTRNTKLVSASARGLLYSGIYTLYFDSDNQLKLIYNGPEISSIYWPNPFNKPWVNRRNTFNSSRYGVLEQTGRFVMRRLTLDYDGNLRLYSLNPTSGNWAVSWMAFSRVCDIHGVRGKNSLCKYIPKLECSCLKGFEVIDASNWNKGCRRKAYITASWDKQRGGKANSTATQDFLFRKLAQTDFYGDMCLDNVDCQAFSYRKGQGKCFPKVYLFNGKNFPDPLDDIYLKVPKGLLPSPELASTVAHECKVHEKEAIVSSQMLKGGTSKFKFGYFLSSALTPLFVEVTLIIAGYCVVYKSERRVEIADEAYMIISSQFRIFSYRELQKATRCFQEELGSGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGRIYHMNLVRIWGFCAEKTHKLLVSEFIENGSLDRALFDSQSISPVLQWDQRYKIAVGVAKGLAYLHTECLEWIVHCDVKPENILLDKDYEPKIADFGLVKLLTRGSNTQMLSRVRGTRGYIAPEWVLNLPITGKADVYSYGVVLLELVKGLRVSRWVVEGEEGVEMAVRCSTEILKEKLAGEDQSWLMEFVDHRLDREFNHSEAILMLKIAVSCVEEERSRRPSMGHVAETLLSLVE* >Brasy7G079500.1.p pacid=40046149 transcript=Brasy7G079500.1 locus=Brasy7G079500 ID=Brasy7G079500.1.v1.1 annot-version=v1.1 MSNPESTPQAAASTSPPSHAGHTHTPLCRSCGAPAAAPTPVPWSADSSPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQAPAKRIASPDDIARFHASLHGRHFLGFVAALSASVHGRKLSDPLPCPPSPAVSALLDLISALSALVASTPPSPHNSRYGNPAFRLWHEKLSDSVNELIASITATAASSSDLAGAEVELAPYLLDSFGNGSRIDYGTGHETNFAAFLYCLARLGLINEPDYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFVFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVMYVKKVKKGPFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED* >Brasy7G200200.1.p pacid=40046150 transcript=Brasy7G200200.1 locus=Brasy7G200200 ID=Brasy7G200200.1.v1.1 annot-version=v1.1 MGISVMRSELVKPSEATPQGPFWLSNLDLGVRSGYSPTIYLFRPHGPGTFFSVDVLRTALAKALVPFYPLAGRLGTAPDGRLEIDCNAEGAVFVVAQSDAVLEDLEEGFSPASKAMRDLFVPPYPKEAGPGSPLLLLQVTLLRGGGVVLGTGMHHYALDGRSSFHFIQTWSSIARTGITGAAIEPPFLDRSSLRARSPPVVLFDHSHEYLASSSPTTANNNNNNKAPTELAGAILRVSSAHAAALRARTGGESLFRSLVAHIWRCACKARELPHDAKSRLYTVVDMRARLEPPLPGAFLGNAGARTSVEAKVGDLVAGPLSFGARRLRVATGKGDDYARSLVDYLETVMASGSGSGPGRELPDTDLRVISWMGMASHDADFGWGAPVLVAPAAMSYTWFVYSVGDGTGDVAVAVAMKEEDQLERFKELFFDEKEMAAWPDACESVGEMKAF* >Brasy7G188500.1.p pacid=40046151 transcript=Brasy7G188500.1 locus=Brasy7G188500 ID=Brasy7G188500.1.v1.1 annot-version=v1.1 MEEDPLIPLVHVWNNAAFDNSSSSANAWLAHATPVRRGEKENRRPEREEEDAVDVEAEIGHIEAEILRLSSRLHHLRTSRGFDSETTQRDAAATKLRPRPRARGLSLGPVDVISASAATNPNLLPQKQPPLAAQKLKPTKQFPAPTRGRGLSLGPLDIAAANPRVPAAQKQGPAASRILTPIKEPPMQRRRGVSLGPLEIQQGASGKPVTAATGRVKPFSNKLNAIREEGQSSRHATVPAKLWPSSNAKQTLDNKQGVPASKAKARSASMSPRSKRQSIAKGRGIAAVGAHKMVDELTPKGGMSHISNASTCRRPSGGSKVRVVPSRYSLMPGASLGLGTQEKRRKDSLPGSAGDASQREEIRTMPTEPCNDELSPESIDKVAELLPRIRTMPPPDETPRDSGCAKRVADLAGKRSFFTAAAGGGDSVLSYQARVLEVEAPEEAAAEALSNEQ* >Brasy7G088200.1.p pacid=40046152 transcript=Brasy7G088200.1 locus=Brasy7G088200 ID=Brasy7G088200.1.v1.1 annot-version=v1.1 MAVLLRTTTCATASTTGSSTLLATTFRQGRRLSRPRALPTRRAFTARASAEPLEVCAKASITVPDRLGDCPFTQRVLLTIEEKHLAYDLKLVDLANKPDWFLKINPEGKVPIVKLEEKWVADSDVITQALEEKYPQPSLATPPERASTGSKIFSTFIGFLKSKDPNDGTEQALLSELTSFNSYLEDNGPFINGGTVSAADLSLGPKLYHMEIALGHYKTWSVPDALAHVTTYMKTIFSMDSFVKTRALPEDVIAGWRPKVMG* >Brasy7G222500.1.p pacid=40046153 transcript=Brasy7G222500.1 locus=Brasy7G222500 ID=Brasy7G222500.1.v1.1 annot-version=v1.1 MAPPSLSSSHLLITASLPKPSSIRPPRFPLASKPIPALLLALAGAPALPALAVDAPAPPPAPAPQLQAEAPTPAANPFADTLLTAPQPSSASADIPDGGQWRYSEFLGAVKKGKVERVRFSKDGGVLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVAEGDAAGPGGFLAFVGNLLFPFIAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFQDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEQKRRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGRENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGSSGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKSYSRATQIINTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA* >Brasy7G173500.1.p pacid=40046154 transcript=Brasy7G173500.1 locus=Brasy7G173500 ID=Brasy7G173500.1.v1.1 annot-version=v1.1 MAQSVRCCCWLLVLTFVALGVTAAVVFVRHKNGGGGGVPGSVDPKYAEALAVALQFFQVQKCGKLVKNEIPWRGDSAVDDGQEAGLDLSKGMYDAGDHIKFGFPMAFTATMLSWSVLEYGGAMRAARQRDSALDALRWIMDYLLNAHPSDDELYIQVGDPEADHKCWERPETMSEKRPLTKITAKSPGSDVAAETAAAMAAASLVYKPINGTYSSSLLRHAEQLFAFADRHRGSYTHTFPKLGAYYNSTTYQDELLWAAGWLFHATGNGSYLSYATGENGQEFADLGNPRYFSWDDKRPGTQVLLSRVSFFASQGSGIEQDSLDGLESYKQTADAVMCILLPDSETAAPRTEGGLLYVADWNSLQHPVASAFLAAVYSDYMLTSGKTELGCGSQSFSPADLRKFAKSQADYVLGENPVKVSYLVGYGDSYPQQVHHRGASIPADVDTGCGGQEWLESPEPNPNVATGALVGGPFKNDSFVDKRDNVMQNEATTYNSALIVGLLSGILSTSPVAPSLS* >Brasy7G045800.1.p pacid=40046155 transcript=Brasy7G045800.1 locus=Brasy7G045800 ID=Brasy7G045800.1.v1.1 annot-version=v1.1 MPRRLPSLLYSLSPIQYPPSRATTRHSPSPAMPPPLSFLHLPPSPPALHPSPSFHRACHRPSLRTVPQPRAPAVAAAENPSPAAPAPADVEMFRGVDGVWTARTPTVVVLWDLDNKPPRGPPFEAATSLREAASLLGRVDSVSAFANRHAFTHLPAWVSADRRDRRALDRAERAGVVAPPVPYSCGVCGRRFPTRPDLARHFRQLHERERNKKLGRLRSLKGKKRQKFRERYISGNTKYQEAARELLTPKVGYGLDSELRRAGVHVRTVPDKPQAADQALKRQVKHAIACGVDWVVLVSDDSDFTDTVRNARDAALRMVVVGDGCQALGRVADIWLPWDSVQNGEVDEEMMRSGRVPGFGDYEDDDEEFIVDWDTSQLDDVVDDIVTTRTKVFGATAMSAFAEEDIVDGISGLGLKEDDMFWSSDDEDEDGFL* >Brasy7G121600.1.p pacid=40046156 transcript=Brasy7G121600.1 locus=Brasy7G121600 ID=Brasy7G121600.1.v1.1 annot-version=v1.1 MLQADRNWKVQLTNFLLGQIANQARLEAGSIHKDSPVAKYLLWHSVKP* >Brasy7G147500.1.p pacid=40046157 transcript=Brasy7G147500.1 locus=Brasy7G147500 ID=Brasy7G147500.1.v1.1 annot-version=v1.1 MQSLQDKASEWSGVAASDAFAIDEGNAFEALGGTPQPFVDLSTTFYTRVYEDEEEWFREIFSGSQKEDAIRNQYEFLVQRMGGPPLFSQRRGHPALIGRHRPFPVTHRAAERWLHHMQQALETTESINPDIKTKMMNFFRHTAYFLVAGNELTRQPQGVPPCKHATSKPAE* >Brasy7G131800.1.p pacid=40046158 transcript=Brasy7G131800.1 locus=Brasy7G131800 ID=Brasy7G131800.1.v1.1 annot-version=v1.1 MSQTIELRVGMSCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTAFWDAEPANKEPVASAVSS* >Brasy7G176800.1.p pacid=40046159 transcript=Brasy7G176800.1 locus=Brasy7G176800 ID=Brasy7G176800.1.v1.1 annot-version=v1.1 MRPPSPPRPVAFPTLDSLAAYLKPRLPAPALASWGTAPGTKNLLNLFLELSCGDCTLLPAAASSPPAALVVRAVHVATVRIRNRRGALLVETRQLLSDGTLRRRGPRPLSEKMRPGESPEAAAARAVREELGELVRVRILGPEAEPPRMEERDSASYPGLPARYVLHAVDAEVVEGVPEDGEFDTEEAGEGEGHDGGGATITVKRHYWAWIDDEEDRRDAAAPAAEAEAVGAQ* >Brasy7G220700.1.p pacid=40046160 transcript=Brasy7G220700.1 locus=Brasy7G220700 ID=Brasy7G220700.1.v1.1 annot-version=v1.1 MAGGGAHGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWIFYRAKQDGPVVLGMRHPWDGHDDHSHGHEHAHEHEASSSSSPSH* >Brasy7G190700.1.p pacid=40046161 transcript=Brasy7G190700.1 locus=Brasy7G190700 ID=Brasy7G190700.1.v1.1 annot-version=v1.1 MPGSCVCDDGSGRFAFNKTMPRTCVFDVLSGSGASGGTGRGGGVVARSCGSNGVAWSSSKPASRPHTSASPIS* >Brasy7G209100.1.p pacid=40046162 transcript=Brasy7G209100.1 locus=Brasy7G209100 ID=Brasy7G209100.1.v1.1 annot-version=v1.1 MERKVAPPATAAAISAVLDDDDLLIEILVRVAFPTSLVRTALVCKRWYRHASEPAFLRRFRGLHPPRLLGFYVVTLRAKTPRFVPLPQPPELAAAARRASFDLESFGSDRVEVAYWNGHYMSIFEVLDFRPARMVRFPVYPWKYCPRFLPRVPYTSNHHELLAYYGREILPGGRGGADGVSYYYLARGSKRQQTVLDVYALQDGLWVICASARIGISHIRLHLPSLLDDTKIYNVASVDGIYKLAVEIMNTELSLAVAGGSGIHLTHIKGPQLRIWLHTMDNNGVPNWFLVDTICLREICVNHMIPTCMRESNRKLHVVGVDSEFLLLEMDKVLYLFDIKRKDAKKVYEVTKEDRYLFNVIPFLMVWPPKFPVMKEISDPKE* >Brasy7G189300.1.p pacid=40046163 transcript=Brasy7G189300.1 locus=Brasy7G189300 ID=Brasy7G189300.1.v1.1 annot-version=v1.1 MSLPLLFLVSLPPVPHLFLAASGRPPAAAGRLIWLTASRRSWPPAAACISPLVASHCCDRADQVSHSHRLPPPRASCRSPLPSLATDSRLTGAKLFAGSGSCPHPDLSCWWSRTSCMGFVIRGQAHTDTPA* >Brasy7G182000.1.p pacid=40046164 transcript=Brasy7G182000.1 locus=Brasy7G182000 ID=Brasy7G182000.1.v1.1 annot-version=v1.1 MEVVGKSSVLLDVKPWDDETDMVKLEEAVRSVEKEGLTWGASKLVPVGFGVNKLQIMITVVDELVSVDDLIEDCLCAEPVNEYVQSCDIASFNKICKQSRAWLD* >Brasy7G041300.1.p pacid=40046165 transcript=Brasy7G041300.1 locus=Brasy7G041300 ID=Brasy7G041300.1.v1.1 annot-version=v1.1 MEYDFRGRPGSGSGSYAGSSGGGSSLYPRVGQPSHGGGSAPPQRPAPYLHASAIASPAPNAPAPAPSSSTSMGIQVVIKPEYRITPPPQLTPQMVEVPRSTFNFDFEYERKILAEAEKENPNWSKFVVERQTPPPPQPQPPRGPRHTTSTTSMGMQATPGDPVVQKYISMGLGREAVSFAVLNYGDNPTKVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKVIQHLLSTP* >Brasy7G034100.1.p pacid=40046166 transcript=Brasy7G034100.1 locus=Brasy7G034100 ID=Brasy7G034100.1.v1.1 annot-version=v1.1 MEGGGARVEGGAPRGWREAGREAAARAGGGGVGRVEGGAQGGSGGRQGGAKGGSGGRQGGRRGGSRERSGGWLRLGGAATECSGGGGGSARWGGRKRHLRGNLNKLSIYFPCAREVAASSLDFPARPRHIYFAPPHVHVPAAKMPACVSQLLPADTLLS* >Brasy7G128600.1.p pacid=40046167 transcript=Brasy7G128600.1 locus=Brasy7G128600 ID=Brasy7G128600.1.v1.1 annot-version=v1.1 MLLLRSQTEQPDGSSVTSQGTHAGRRAADHLRRRSCDAETAVADLAGQRGYERARIWELGWWQNVRQSRSGQRRRRRLLRRRRRRAAAIGKTARSGYGE* >Brasy7G176700.1.p pacid=40046168 transcript=Brasy7G176700.1 locus=Brasy7G176700 ID=Brasy7G176700.1.v1.1 annot-version=v1.1 MASRNPIPAFLSTPLLSDSITPTRVSNGHAHHHHEAAESVCDGGDPFAFLSEDRPPQPREASPADPFRNGTPSWGDAYGWARTLLLLPVAAARLVLFGVAIAIGYAATWVALRGWTDSRERPREGAGPMPAWRRRLMWVTRISARCILFSFGYHWIRKKGKPAPRELAPIVVSNHVSYIDPIFFFYELFPTIVSSDSHDAIPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAAGNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPIVYPPEIKQENALHFAENTSNAMAHALNVLPTSYSYADSMIMARAVEAGKENCSNYMVEMAWVKEAYGVSTTEAMELLEHFLAMNPDSNARVKAQDFWTLFGLGCNPLCKKIFHYFDFENKESITFRQFLIGCAHLRKQPLFEGACLTAFEKCKDPETSAISPVQLADALRSSMLLLADDAMLKLFQASDVDDKISKDDFIACLARFPFLIALFAARINGEVYVEIV* >Brasy7G199200.1.p pacid=40046169 transcript=Brasy7G199200.1 locus=Brasy7G199200 ID=Brasy7G199200.1.v1.1 annot-version=v1.1 MRGFERRGVRQYNRSDEPRMRWTEELHRQFIEAVECLGGQDEATPKRILHLMGVKGISISHIKSHLQMYRSSSSNSNSPANAFANRRHADDAYSRDYWNKTAAASDDKNRSTTPYTVLRRGHQHSSPYRIPSIEEVFRNWEQSKGRVPCSSGVLITTTEKATGWPRDHGDSRKLQISPMAAAGCDLTLSIGRWEEAGSSDDADGSSTTTEEAAAPPRGPAGPDLNLDLTISSSWLT* >Brasy7G202200.1.p pacid=40046170 transcript=Brasy7G202200.1 locus=Brasy7G202200 ID=Brasy7G202200.1.v1.1 annot-version=v1.1 MARPLGALLLLSLTMRLAAASDSPNTERDALRAFRAGVSDPTGALRSWNSTAHFCRWAGVNCTGGHVTSLNVSFLGLIGTISPAVGNLTYLDTLNLNQNALSGSIPASLGRLRRLSYLGLCDNVGLTGKIPDSLRNCTGLTVAYLNDNTLNGTIPEWLGTLPNLTYLTLSHNLLTGEIPLSFGNLTKLQMLKLEQNLLEGTIPDGISRLALQTFTVYQNRLSGYIPHGFFNMPSLQRASFANNNFTGSLPPYAGTGMTMLEILLLGGNRLIGTIPASLSKASGMKHLSLANNSFTGQVPPEIGTLCLWKLEMSNNQLTASDSGGWEFLDHLANCEDLEGLYLDGNNFGGTMPSSIGKLSKNLKELNLGSNSISGSIPPGIGNLIALQTLGLESNLLTGGIPEGIGKLKNLTELRLQENKLTGSVPSSIGSLTELLILVLSNNALSGSIPSTLGNLQGVTLLNLSGNALTGNVPRQLFNMSSLSLAMDLSDNQLDGPLPTNGIRLRNLALLKLSSNRFTGEIPKQLGDCQSLEFLDLDGNFFNGSIPTSLSKLNGLRKMNLASNKLSGSIPPELAQISGLQELYLSRNNLTGAVPEELANLSSLVELDVSHNHLGGHLPLRGIFANMTGLKISDNSDLCGGVPQLQLQRCPEARNPRRVNWLLHVVLPVLSVALLSAILLTIFLFYMRTRHAKATAPNVLDGKNYYQRISYAELAKATNGFAEANLIGAGKFGSVYLGNLAMQVKGSPENVAVAVKVFDLRQVGASKTFLAECEALRSIRHRNLISIVTCCSSIDARGEDFRALVFELMPNYSLDRWLHRPTPTPAKAVGSSLTVIQRLTIAADVADALHYLHSSCVPPIIHCDLKPSNILLDEDMTACIGDFGLAKLLLDPGIQDAAGSESTIGIRGTIGYVAPEYGTTGKVTTQGDAYSFGITLLEILSGRSPTDVAFRDGGLTLQDFVGAAFPDRTEEVLDATLLINKEFDGEGGSSMRSSVHGCLVSAIRVGLRCTRTAPYERPGMKDAAAELRAIRDACARACGEP* >Brasy7G218500.1.p pacid=40046171 transcript=Brasy7G218500.1 locus=Brasy7G218500 ID=Brasy7G218500.1.v1.1 annot-version=v1.1 MSSLRVLLALAVVATAACLGAAVADQPGSGEGYTIAGRVKIDAASGKGFGLPAKTSNTKVILNGGQKVTFARPDGYFAFHNVPAGTHLIEVSSLGYFFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLKEEQYYEVREPFNVMSLLKSPMGLMVGFMVLMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS* >Brasy7G163900.1.p pacid=40046172 transcript=Brasy7G163900.1 locus=Brasy7G163900 ID=Brasy7G163900.1.v1.1 annot-version=v1.1 MSNTHLDSIFKAGRQAKERFYSCRKVGERRKNSWREIRWTAQSGLTKQQSDRGPAQLGPAQQRKARPMLSRSIPSSSGGRSLTCSVGSLEFPEKEKRKRGRRSRRRPRSVMAMAPSPTTLAASPSPPCCSLQLHLVRRPALLLSPPHLRLRLHLRLRLPVRASPSPESFAGWSDGEEEEQDKDAAPGPFRGLLGPGLAGVFFLAGITFAAISIRTNASANGSRAQLETQTQTAAYDTHNHTHEEEDDAQHTLPNGSEDATPANDEDAAKKYPLSLETNQISGEAPEHDSLESSAPVPTDKQIASDQASPTDDLIAPDGTESLGPAPPMSNSAESVATAYDSSDKLSGADPFEGTPKLQETLGSEASSPENRYMDDMSTSDAIVLDSGDVVPIAKFSDTSVEAASHLSENDTVQNHQLSNEGEISPPRLPDYIEHGSADQMLPFGSNDLPAEPGKAHQTSASDQDVGESQLENQNELVKSTEPGKAFSSAGFPAPSLLSAALQVPAGQIVVPAAVDPTQGNALAALQVLKVIEPGARAGDLCTRREYARWLVVASNCLSRNTYSKVYPAMYVENVSELAFDDVTTEDPDFPFIQGLAEAGLISSKLSRFDTNNPENVQNNHYWFYPESPLSRQDLVSWKMALDKRQLPEVDKNSLYKTSGYIDIDKIDAAAWPALAADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALALSTGDSAEVVMEELARIEAEKMAEAAVNAHGALVAQVEKDINASFERELTREREKIETLEKLAEEARFELEKLRAEREEEKNALIRGRAAVESEIEVLSKLRCEVEEQLQSVLSKKVEISFEKNRIEKLQKEIENENQAAVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERQGIKVVVEGGLEDDASAGVTWANAGKEHPVDEAINRAESLLEKLKSMSADMKVRACHALERVMQHVRSFISSLKERAAEARQGCIDFGVAAASKAKKLSSEARAFGSAVGDKSKKVVEDCKEKFAHRFKTD* >Brasy7G023900.1.p pacid=40046173 transcript=Brasy7G023900.1 locus=Brasy7G023900 ID=Brasy7G023900.1.v1.1 annot-version=v1.1 MGGEKENLDVSDLNNSLPAATAALTAEDRAGLVNALKDKLQSLAGHTDLLETLSPNVRTRVDFLRGVQSQHDEIEAKFFEERTALEVKYEKLYEPLYTKRYDIVNGVVEVDAVAKEPTSDKAAEGQDSDAKGVPDFWLTAMKTNEVLTDEILERDEAALKYLKDIKWSRIDDPSGFKLEFLFDTNPFFKNSVLTKTYHMVDDDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEECESFFNFFNPPQLPEDEVDIDEDTADELQGQMEHDYDIGTTIKEKIIPHAVSWFTGEAVQAEDFEGMEDGDDDEDDDDDDDDDDDDEDGDEDEDEDEDEDEDEEEEESKPKKKTAGKSKQLPSKGGAQPNAEQPTECKQQ* >Brasy7G023900.2.p pacid=40046174 transcript=Brasy7G023900.2 locus=Brasy7G023900 ID=Brasy7G023900.2.v1.1 annot-version=v1.1 MGGEKENLDVSDLNNSLPAATAALTAEDRAGLVNALKDKLQSLAGHTDLLETLSPNVRTRVDFLRGVQSQHDEIEAKFFEERTALEVKYEKLYEPLYTKRYDIVNGVVEVDAVAKEPTSDKAAEGQDSDAKGVPDFWLTAMKTNEVLTDEILERDEAALKYLKDIKWSRIDDPSGFKLEFLFDTNPFFKNSVLTKTYHMVDDDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEECESFFNFFNPPQLPEDEVDIDEDTADELQGQMEHDYDIGTTIKEKIIPHAVSWFTGEAVQAEDFEGMEDGDDDEDDDDDDDDDDDDEDGDEDEDEDEDEDEDEEEEESKPKKKTAGKSKLPSKGGAQPNAEQPTECKQQ* >Brasy7G116000.1.p pacid=40046175 transcript=Brasy7G116000.1 locus=Brasy7G116000 ID=Brasy7G116000.1.v1.1 annot-version=v1.1 MATGVLCSRAFALFMSCTLLAVPLLLAQDPSNLSLEHYSKTCPNVEHVVRTEMECAVRDEPRNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFEVVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKAASLDLANNDIPTAQQGLVTLISKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDFEMTTKNSPVSATYLSKLKEICPLDGGDDNISAMDSHTSSTFDNAYFETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPELFFKQFSDSMVKMGNITNLAGGEVRKNCRFVNT* >Brasy7G134000.1.p pacid=40046176 transcript=Brasy7G134000.1 locus=Brasy7G134000 ID=Brasy7G134000.1.v1.1 annot-version=v1.1 MASSSSQLTIHRLEESRPRGSRFACLASSVADEAAAPSAQPSAPVGSSAVVRSVLAAGYDAEAVSSMTDVELDGRRKVRESRFWERMDSDDEAVAGVAAATAVSSGSLPPPPQVSPQGGGRGKTALLGDGEASSSNGRAPLISGGGRASPAAGGGHALPAVGGQFQHPRGQMMSGAVAAASRGAPSTQGAAPRQTVLEMPSFSALPPSIFGSGNASAGAGFTFGAGSRSSPTVASGGGVAAGLSAPRGRLPPQVLAPPPPVPRQHDNPQDQGAVAKEKKKKMICWRCNSKDHVTKECPVDVYCVVCDKRDHNELRCPIFKMPRPTARLCGYGGDKMVFFQIPEEAMAGNGVAPSISPTALVSVSGGAISADVLEAELRRMIPSRGSWTWEAIPHGNNAFVVAFPSQEELHRVANLEIRLKSQNVSLEFSEWNPDEVPAAFHLHTVWVHVKGVPPSLKHFLRMWAVGSVVGVTEDVDMRCFRKRGLVRIKVTVLDPTLFPVDVDVAVAKIGAKNSESPSQVSSPDNIPPVSSNTLTTRFEVSPGVEVDFSGWALTAHAPRPRFSVPRPSSSSVPAVEDARTPAAAVTLPRAASETKIPNLADAPVTADAVSQPVTANKAAPVTGSPAAGRAASAIPSSLGTAAAVSAGYYGTGSNLRRSERTNSPLPSGVIPADEDSMSKAMRRAQSRHPARYF* >Brasy7G225500.1.p pacid=40046177 transcript=Brasy7G225500.1 locus=Brasy7G225500 ID=Brasy7G225500.1.v1.1 annot-version=v1.1 MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFYNSLKLVTVAQSGRQLTPDIVKSALFGPAAAKIPAPRINIPTPAPQTNSVAIPPQAIQAPGPRQQSPIINGSQGHPGASVNPQVPQPGYLVRPSQAPNANTPPTQGVAPRPPVGGGLSGVNQTGSTTANISTDWFSGKRSASPLGATSQAPTRGVSPQVNLGTVGIPTQSSTPAAHTPVITTSIKANPTDLNLMPSQPAVNDSKALVPLGNGSSSNSAFGVDPFSATPQAPSVVSNGLPSSTVLGPSAGSHHPPKPIQPAPVQGISTLPSHTNQLPPSQPAPKQNQFNSMPSTPGQMTANGPGGQFPSNPKQFQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPREILRKVWDLSDQDKDGMLSFKEFCSAVYLMERFREQRPLPEVLPDGIWAEGISLPSTGQFAENPSGPVPHLSAGFASRPMQGQHPGMPPSSMKPPPRRPLPLDADDTMQAEQQKPKIPALEKHLVGQLSKEEQNALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYRSRCDNRINEVSESMSADKREVQSLAAKYEERCKKVGDVASKLSMDEATFREIQAKKLEIYNSIVKLQKGDGDDEKKLQERANQIQSELEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAAWDEEWDRFGDDGFSIIKELTVEVEPPVVKESQTTVEDGKDSSNGASTATSTEKEEKSDESAAAEQTVEPEATGSNSKAESAKGPSVSPAKSTKDGHTDEPDKKQSGTNDVSPRSADSISNRGASDSPVHGERANDRHSWGPSFDHSGDNDSLWNFGHKDGENGDSDLFFGPQGLPPIRTGGSSTSASAFGKEQKPMFDSVPGTPMEKSVFDSVPGTPLQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSSVPSTPMQKSLFDSSVPSTPMQKSVFDSVPSTPMQNSFYDSFPSTPMQRSLFDSGPSRAESPTAGSTYGNEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDNFSQLDTFGAKDNNSFGQRDSFSRFDSFGSSADLGSNNDTFGRFDSFRSNADQGGGNSFMRYDSINSNSDHDRTNAFGRFDSMKSTDSHDRGYSFDDDDPFGTGPFKPSETSSPTRHGTDKWSAF* >Brasy7G225500.2.p pacid=40046178 transcript=Brasy7G225500.2 locus=Brasy7G225500 ID=Brasy7G225500.2.v1.1 annot-version=v1.1 MPSQPAVNDSKALVPLGNGSSSNSAFGVDPFSATPQAPSVVSNGLPSSTVLGPSAGSHHPPKPIQPAPVQGISTLPSHTNQLPPSQPAPKQNQFNSMPSTPGQMTANGPGGQFPSNPKQFQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPREILRKVWDLSDQDKDGMLSFKEFCSAVYLMERFREQRPLPEVLPDGIWAEGISLPSTGQFAENPSGPVPHLSAGFASRPMQGQHPGMPPSSMKPPPRRPLPLDADDTMQAEQQKPKIPALEKHLVGQLSKEEQNALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYRSRCDNRINEVSESMSADKREVQSLAAKYEERCKKVGDVASKLSMDEATFREIQAKKLEIYNSIVKLQKGDGDDEKKLQERANQIQSELEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAAWDEEWDRFGDDGFSIIKELTVEVEPPVVKESQTTVEDGKDSSNGASTATSTEKEEKSDESAAAEQTVEPEATGSNSKAESAKGPSVSPAKSTKDGHTDEPDKKQSGTNDVSPRSADSISNRGASDSPVHGERANDRHSWGPSFDHSGDNDSLWNFGHKDGENGDSDLFFGPQGLPPIRTGGSSTSASAFGKEQKPMFDSVPGTPMEKSVFDSVPGTPLQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSSVPSTPMQKSLFDSSVPSTPMQKSVFDSVPSTPMQNSFYDSFPSTPMQRSLFDSGPSRAESPTAGSTYGNEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDNFSQLDTFGAKDNNSFGQRDSFSRFDSFGSSADLGSNNDTFGRFDSFRSNADQGGGNSFMRYDSINSNSDHDRTNAFGRFDSMKSTDSHDRGYSFDDDDPFGTGPFKPSETSSPTRHGTDKWSAF* >Brasy7G118100.1.p pacid=40046179 transcript=Brasy7G118100.1 locus=Brasy7G118100 ID=Brasy7G118100.1.v1.1 annot-version=v1.1 MIDFWVGCWVAKYRNTREEQSDGLGFLSRPSTLIQRPNRSIYRVVFDPAFVRRRRRGGAAAGGREAAPEGRASRDPPSGGVVGVDFLRGDEGERTAHIMNSNEDQALGGVLEEDKSVDLIPRRSRRKSQAGLILLAENAGVVAPGVDNMLQGPKNAEIQRRSPRGTGQEIGIKWTKLRKKKQQ* >Brasy7G105100.1.p pacid=40046180 transcript=Brasy7G105100.1 locus=Brasy7G105100 ID=Brasy7G105100.1.v1.1 annot-version=v1.1 MLLLLPAAAARVSSPALPPKSPARTAPLFFPRLSPSLHPRGCPTISLRRPATLRATGGGGSARGASSRPARDRVIDFGRHKGQMLGTLPPAYLRWVVAELDYGETAPWAALAREVLGDPVYVERVEWEHAHRFLRGDSDWDYAYDDDGEEDGPLQEMAERFGWDLSDEEGWGRLDFRLLGTSYGGRIPRKADRNQSSGGNNNSRGNLLEAGAAETDGPMGKRDERRERMRARREEQVRSAKLDVLGVGVSPGALGTRRKASIGAAAKKEILGLGRGRGSRGGDVLGERAAVPRKGGEGGNPFPGRQAFLDKVRKLKGDDS* >Brasy7G121100.1.p pacid=40046181 transcript=Brasy7G121100.1 locus=Brasy7G121100 ID=Brasy7G121100.1.v1.1 annot-version=v1.1 MKRMEREEVAAGEGEGGGGRREREAAAGERGGGRRRDLRARRLEAGRFNRRRKLSCRMGRRRPRR* >Brasy7G047000.1.p pacid=40046182 transcript=Brasy7G047000.1 locus=Brasy7G047000 ID=Brasy7G047000.1.v1.1 annot-version=v1.1 MRFLLQGSPWDETTRFLLSARQRRVGGCFALLWLPTARGQERHHQTSPLLLLKHTRPPSPSALSSAVKSSFRSTPFLACPPDHGSSFRFRPAQPVSRREERRGTAAGARERAPMHPKLSRVARRILCCGRHASGDDLTDERNGSIRWVFSLRELRSATNSFNYDNKIGEGPLGSVYWGQVWDGSQIAVKKLKDARNGTEVEFASEVETLGRVRHKNLLSIRGYCADGAERVLVYDYMPNSSLFAHLHGTHSSECLLDWRRRTFIAIGAARALTYLHHQVTPPIIHASIKTTNVLLDSDFQAHVGDFGLLRLISNEMDHDKIIGENQRGYHAPEYVMFGKPTTGCDVYSFGIILLELTSGRKPVEKSGPQKMYGIRNWMLPLAKEGRYDEIADSKLNDKYSESELKRVVLIGLACTHREPEKRPTMLEVVSLLKGESKEMLSRLENEELFRPDSTASSLGMSTPEGSSDCILKSEQVLDEA* >Brasy7G101900.1.p pacid=40046183 transcript=Brasy7G101900.1 locus=Brasy7G101900 ID=Brasy7G101900.1.v1.1 annot-version=v1.1 MKKVKTSSTTKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGCLLELPLWNSISRIFSNWLLGQVDCIDFAIVLDAARRLQFTAQNINKVFSIPCGHRDVLGPETQISDAAMAYIREQAGISMSKISLKDAEKIVLMDLSENSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLSMNKVVSNIFGCHIFLQIHYLDNLLLGPLQPRKNIYPRMVAFPTEVLNKLILTDTKPGGGYGANQFNARGTVRPANMSATTAQPLALPAGSGQSPGLLHSVPTSSGTSHQIIAATLPQFLREKYPALCNTRLANDLKQYNANMARTMNERHTAEKNHVLHQNLWLADKICSFISSSPLPPQHSASTARTQENTPKRSTSQLLDAINKKSKTHASGTSHQSMFKNMDFEPPTFDLGFNSLPIITQQDVPTFAVSDEDSKKKEAAAPLAPCSQATTKLYMEHAVVDLMMRSEHIECANRYIHPKPDGDVMISLMEWCVDTPPHYLKMPWVTTEFPRYISINGSAVRQQIVGTDVLDFEMCDLLVRRLTQLDTRMEPTSSRVRWRHLLESDFSVCAIAENDLTPFVSIQQQFIAVRATLGCNTLRPAF* >Brasy7G172600.1.p pacid=40046184 transcript=Brasy7G172600.1 locus=Brasy7G172600 ID=Brasy7G172600.1.v1.1 annot-version=v1.1 MEVFFGLRKNREEPERKPVRSRVQGKARAQGGRRSRGRGARGHGQGKEKGSAGGPGCTRARRGAAQGGPGGHAAAQRRGGGRAGGTACAHGGRREHAAARGRRRRGASGVRARRAGGQGARGRRRAGTRARRDPVGQGLADEPWSATAWPTGSRRPGHRPRRHAPPSPSSSLLPPVAREQRVDPPATARELAAGWTPDARLHGSPPSAGPPAAPSHVIASSYPTLPSKSRSSRAAVDHSYSSGGVLQTLDATKGTGSFGKRRNKTHTLCIRYGSRSFHLQKRTCSAAPHAATPQPASASLSPPFIFLSSSFRIRVDL* >Brasy7G087500.1.p pacid=40046185 transcript=Brasy7G087500.1 locus=Brasy7G087500 ID=Brasy7G087500.1.v1.1 annot-version=v1.1 MEVAPAEAVSPRSPGAAEEEERRAATCLPRLVAGVLSGVLTGLFAVAGGLTGAITGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCADRSTPQSTSSMGDFVDQLIHARFVQEQYEPSVYMAYRWQVGVAEFGNDDLYGILGEASSHGLSQDSLKKLPCYVVTDQKQEPVDENLSCPICLQDIVTGETARRLPKCSHSFHQLCVDKWLIGHGSCPVCRQDV* >Brasy7G008900.1.p pacid=40046186 transcript=Brasy7G008900.1 locus=Brasy7G008900 ID=Brasy7G008900.1.v1.1 annot-version=v1.1 MGSPRAASLPHRRHAPLPSTAPGNTATRSPRVCVAAAALPTCKTLMLLAPAASASSPACSSAAAPPTSRSATARDPRRIISAATTPLLHASLPPPASFSPAITPPPPRHRFSRSPRSPSAVILMRCPCCSPSSHAQSPTNLSSLACQDPWWRFGVGSSWGGGVQRA* >Brasy7G188600.1.p pacid=40046187 transcript=Brasy7G188600.1 locus=Brasy7G188600 ID=Brasy7G188600.1.v1.1 annot-version=v1.1 MFILPVATHGYLDSSGWLGVFPGFVRSRRLVVSPTGRKKTVDSRALRSRVWPQRNEPVQTAAGASIRPGGRPACATGGSASLHGNNGEPRARPAAAAAPRARADNEAVPTTEASAWKQQAEQREMMMPRRTAGDGAADRTAEIARTEKLLHLLLWGPN* >Brasy7G109300.1.p pacid=40046188 transcript=Brasy7G109300.1 locus=Brasy7G109300 ID=Brasy7G109300.1.v1.1 annot-version=v1.1 MWSFGVVQHVVCSRCCRADRSRRRGFGGFPRESAAGSPRVKNNRHKAAIALASCGGCLIRLDGVRWMWMHCRISLCVGTQSYAPDGLSCCLMRLIDGRHASCWLLIANGCSSCEV* >Brasy7G040700.1.p pacid=40046189 transcript=Brasy7G040700.1 locus=Brasy7G040700 ID=Brasy7G040700.1.v1.1 annot-version=v1.1 MAALKKQNNTMDMVSNTKEVKVKEEEKDNLVNDKVRRKKMETNQKREEENKKKDKEKKKAPKPKVAKYKKNKGKREMDERIKEKEHNERVDEEKEKKDKEEEQKKRKGKEREEEKSKKDIEEEQRKTKEKERKEEWPREMEKEQRVRMDNEKAKKDNEEEQKKRKEKELHEDRKRKGEQNQRNKKEREEWQRETEEKEHMEIVDKEKAKKDKEEKKRKRSEKEGQEKEVHSDRERKEEMELEVEEDEQNERNGNEEPTKNVVPNFFKVVMPGHCTEQVEIPSSLNGYLENESPGVVSLRGPSGNTWRVELAANSRGLHFAHGWKEFFNDHRIQLEYFLVFFYEGQSQFSVLVFDKSGLEAHDAFLARPRNDAVTNDDEGGMITNVDGADPHEEHIGHVAAENDGGDMGTNVGGTDQMEEDACSLAEEDKEDMDTNAGDTNPQEEDTGGEEPECSEEEDDEWHMGSNEASDTSEKNDQDDNANSAPDTSQDDGVYHGLNTRKKRFRKIDGILAEDDRRASKKRKVMGKRCVASPHSSASKITASGATMKVPDTVNTVKSIVSRRPRFQCTLFNKSNNVEQPGEVLTKVQRRPSLISQRRPVTEEEKSDALERAMRFRSPRPFTHKALTYSEVYTTYFMVIPHEFVQKYLPKHSRKMTLWDPQAKPWEVSYVYWDSCSSSGAAFSAGWGAFAAFNNLEKSDVCVFEILDDDDDDEFGIKVHIHRVVLEITPCIDPLIGGN* >Brasy7G036400.1.p pacid=40046190 transcript=Brasy7G036400.1 locus=Brasy7G036400 ID=Brasy7G036400.1.v1.1 annot-version=v1.1 MLAKAPFRPCCGGGGGRDGRWAGRPRSVGVAGGSRTGGAAGARLVAAAAAGERRAAPEAVSPPAAAAVAGGKQSVNGSAVAGIDRLVTSTVGKSTNILWHDCPIGQPERQKLLNQKGCVVWITGLSGSGKSTLACTLSHELYSRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGVICIASLISPYRSDRNACRNLVHNSSFIEVFLNVPLEVCEARDPKGLYKLARSGKIKGFTGIDDPYEPPFDCEIVIQCKVGDCATPKSMADQVVSYLEANGFLQD* >Brasy7G149200.1.p pacid=40046191 transcript=Brasy7G149200.1 locus=Brasy7G149200 ID=Brasy7G149200.1.v1.1 annot-version=v1.1 MRSAGAAAAASVTRVAQRVVAPSAPTPGGELPLSWLDRYPTQRALIESLHVFKGCAGGDDGATEGPVKAIERALAAALVSYYPLAGRLAVAADGGEVVVDCTGEGVWFLEATAGCTLEDVDYLEYPLMMPKDELLPHPTYPAADPLPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGEIARGRAGPSVKPAWGREAIPSPPAAAPVGPLPVPTELRLQYLAMDISTDYIEHFKARFLEQAGHRCSAFEVLIAKAWQARTRAARFARGTPVHVCFAMNARSALPQPRAVPDGFYGNCYYIMRVSAPAEAVSDAPLHEVVRLIREGKKRLPSEFARWSRGEMGDGRDPYRITSDRRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDEFHRDMMRLD* >Brasy7G149200.2.p pacid=40046192 transcript=Brasy7G149200.2 locus=Brasy7G149200 ID=Brasy7G149200.2.v1.1 annot-version=v1.1 MRSAGAAAAASVTRVAQRVVAPSAPTPGGELPLSWLDRYPTQRALIESLHVFKGCAGGDDGATEGPVKAIERALAAALVSYYPLAGRLAVAADGGEVVVDCTGEGVWFLEATAGCTLEDVDYLEYPLMMPKDELLPHPTYPAADPLPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGEIARGRAGPSVKPAWGREAIPSPPAAAPVGPLPVPTELRLQYLAMDISTDYIEHFKARFLEQAGHRCSAFEVLIAKAWQARTRAARFARGTPVHVCFAMNARSALPQPRAVPDGFYGNCYYIMRVSAPAEAVSDAPLHEVVRLIREGKKRLPSEFARWSRGEMGDGRDPYRITSDRRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDEFHRDMMRLD* >Brasy7G091900.1.p pacid=40046193 transcript=Brasy7G091900.1 locus=Brasy7G091900 ID=Brasy7G091900.1.v1.1 annot-version=v1.1 MTTSTPEKGSSTTTTKRATLSTPCILIIVVAGVERFAYKGVACNLVTYLTGVVEMSTSAAAKSVSIWAGVTSMLPLFSAVLADSYCWDRYSTIVASSLLYVAGLIGLTSWALLRKWMPCSSLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDEDDGIGESGSGSTSEEKGKVKSAFFQWWYFGICSGSLMGNSTMPYVQDTIGWGIGFAIPCAAMALSLAAFLCCTPLYKQQVKPPRSVDDGRSPGSIFRAFRSLLKTVSAGKIRLSSRHEDGDDDASELELQEKPLKLKVSELTDPKEALTNEAQAQPGVAKIILGLLPIWTVLLMFAVIFQQPMTFFTKQGMLMDHRVGGGGLVIPPAMLQSTITVSIILLMPLYDRMIIPMISVITRDSKGITVLQRIGVGMVVSVVAMAIAAVVETRRRRLVAMAGQMSIAWLLPQYLLLGVSDVFTVVGMQEFFYTQVPGAMRTIGIALYLSVFGVGSLVGAMLISAIEMATAGNSTSHGWFSDDPREARLDSYYWCLALLGAISFVIFTQLCKYYK* >Brasy7G091900.2.p pacid=40046194 transcript=Brasy7G091900.2 locus=Brasy7G091900 ID=Brasy7G091900.2.v1.1 annot-version=v1.1 MTTSTPEKGSSTTTTKRATLSTPCILIIVVAGVERFAYKGVACNLVTYLTGVVEMSTSAAAKSVSIWAGVTSMLPLFSAVLADSYCWDRYSTIVASSLLYVAGLIGLTSWALLRKWMPCSSLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDEDDGIGESGSGSTSEEKGKVKSAFFQWWYFGICSGSLMGNSTMPYVQDTIGWGIGFAIPCAAMALSLAAFLCCTPLYKQQVKPPRSVDDGRSPGSIFRAFRSLLKTVSAGKIRLSSRHEDGDDDASELELQEKPLKLKVSELTDPKEALTNEAQAQPGVAKIILGLLPIWTVLLMFAVIFQQPMTFFTKQGMLMDHRVGGGGLVIPPAMLQSTITVSIILLMPLYDRMIIPMISVITRDSKGITVLQRIGVGMVVSVVAMAIAAVVETRRRRLVAMAGQMSIAWLLPQYLLLGVSDVFTVVGMQEFFYTQVPGAMRTIGIALYLSVFGVGSLVGAMLISAIEMATAGNSTSHGWFSDDPREARLDSYYWCLALLGAISFVIFTQLCKYYK* >Brasy7G160100.1.p pacid=40046195 transcript=Brasy7G160100.1 locus=Brasy7G160100 ID=Brasy7G160100.1.v1.1 annot-version=v1.1 MSRRAGMAFPLVALLFLVTARWCLEVAHAGRQVFDVTDFGAVADGQTDDSKAFLRAWMKACAAPGRPAVVVPKGEYRLHPVVFRGPCKGYMELRLAGVLRAPDDLAAFRGGHEWVNFAGIDGLLVTGGGTFDGRGASSWHLNDCPRKPDCTPLPVSIKLGRVRNVTITGVTSLDSKFFHVIIIGSQDVAIHRVTIRAPRDSPNTDGVHIQGSSNVRITDTAVATGDDCVSVGPGSADITVSGVSCGPGHGISVGSLGRHPGEEDVRGLRVSNCTLAGTANGVRIKTWRGGLRPAAGVSGLVFEDIVMRKVRNPIIIDQEYCPYSSSSSSCRHESALLPSVVKISDVKFKNIRGVSATQVAVKLSCSGASPCHGLELRDIDLRYVKRGVATESRCSNVAGGVSGGTLVPPSCI* >Brasy7G212700.1.p pacid=40046196 transcript=Brasy7G212700.1 locus=Brasy7G212700 ID=Brasy7G212700.1.v1.1 annot-version=v1.1 MGTVTPADPHPSFLADKGAKVYVAGHRGLVGSAILRRLVSLGFTDVVVRTHAELDLTRQSAVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKATNAPEVVVWGSGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGSEITIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQGIGWKPKVPLKEGLVETYKWYVENVIAGKK* >Brasy7G099500.1.p pacid=40046197 transcript=Brasy7G099500.1 locus=Brasy7G099500 ID=Brasy7G099500.1.v1.1 annot-version=v1.1 MLAKFRPLMTTAAKAKPPSALSVSTAAERDEYPQYNHTDACRHLRWTAKESYEYMHARPWSRVVDFYAMLVRTGAGTAGLAEMFRKDEKDHTSDTNGEDFETSSEKQIAVASSKARGGRWERANFKIVLSYHGGSFDGWQKQPDLNTVQGLVEKHLGQFVDERKAKQLQERSLPIEGCATVAGRTDKGVTALQQVCSFYTWRNDVKPSDIKDTINEAAPDKLKSLFVSEVSRQFHPNFSAKWRKYLYIFPLDEDPEPISGKERSSIILENPEYNVTRRSFDVAKVDKIIRKLEGKLLSYKIFARDTQASRSDGPATECFMFHSRAAVSKLYSADENFKEGTMVMCIELVADRFLRKMVRVLVATAIREAAAGAEDDALLNLVEATCRRATAPPAPAEGLCLVDVGYEDFNEHKCFIVD* >Brasy7G099500.2.p pacid=40046198 transcript=Brasy7G099500.2 locus=Brasy7G099500 ID=Brasy7G099500.2.v1.1 annot-version=v1.1 MLAKFRPLMTTAAKAKPPSALSVSTAAERDEYPQYNHTDACRHLRWTAKESYEYMHARPWSRVVDFYAMLVRTGAGTAGLAEMFRKDEKDHTSDTNGEDFETSSEKQIAVASSKARGGRWERANFKIVLSYHGGSFDGWQKQPDLNTVQGLVEKHLGQFVDERKAKQLQERSLPIEGCATVAGRTDKGVTALQQVCSFYTWRNDVKPSDIKDTINEAAPDKLKSLFVSEVSRQFHPNFSAKWRKYLYIFPLDEDPEPISGKERSSIILENPEYNVTRRSFDVAKVDKIIRKLEGKLLSYKIFARDTQASRSDGPATECFMFHSRAAVSKLYSADENFKEGTMVMCIELVADRFLRKMVRVLVATAIREAAAGAEDDALLNLVEATCRRATAPPAPAEGLCLVDVGYEDFNEHKCFIVD* >Brasy7G099500.3.p pacid=40046199 transcript=Brasy7G099500.3 locus=Brasy7G099500 ID=Brasy7G099500.3.v1.1 annot-version=v1.1 MLAKFRPLMTTAAKAKPPSALSVSTAAERDEYPQYNHTDACRHLRWTAKESYEYMHARPWSRVVDFYAMLVRTGAGTAGLAEMFRKDEKDHTSDTNGEDFETSSEKQIAVASSKARGGRWERANFKIVLSYHGGSFDGWQKQPDLNTVQGLVEKHLGQFVDERKAKQLQERSLPIEGCATVAGRTDKGVSRQFHPNFSAKWRKYLYIFPLDEDPEPISGKERSSIILENPEYNVTRRSFDVAKVDKIIRKLEGKLLSYKIFARDTQASRSDGPATECFMFHSRAAVSKLYSADENFKEGTMVMCIELVADRFLRKMVRVLVATAIREAAAGAEDDALLNLVEATCRRATAPPAPAEGLCLVDVGYEDFNEHKCFIVD* >Brasy7G099500.4.p pacid=40046200 transcript=Brasy7G099500.4 locus=Brasy7G099500 ID=Brasy7G099500.4.v1.1 annot-version=v1.1 MLAKFRPLMTTAAKAKPPSALSVSTAAERDEYPQYNHTDACRHLRWTAKESYEYMHARPWSRVVDFYAMLVRTGAGTAGLAEMFRKDEKDHTSDTNGEDFETSSEKQIAVASSKARGGRWERANFKIVLSYHGGSFDGWQKQPDLNTVQGLVEKHLGQFVDERKAKQLQERSLPIEGCATVAGRTDKGVTALQQVCSFYTWRNDVKPSDIKDTINEAAPDKLKSLFVSEVSRQFHPNFSAKWRKYLYIFPLDEDPEPISGKERSSIILENPEYNVTRRSFDVAKVDKIIRKLEGKLLSYKIFARDTQASRSEISKKALWSCALS* >Brasy7G219100.1.p pacid=40046201 transcript=Brasy7G219100.1 locus=Brasy7G219100 ID=Brasy7G219100.1.v1.1 annot-version=v1.1 MACAMDGGAIGMGKLAVSKPTKRSKPTRKRKAVEAYGNEAYYYYDGFGPSCTERYFKGSSSTLEEIPPLQQEKPKQPKDNASPTPGQDQADDEDYQVATDINEPVKCDEMDGIAGWDEESSDDAFGFDGESPVVGVNGGIKRKIPVKKRSKKPMKVLLLKSLVN* >Brasy7G120200.1.p pacid=40046202 transcript=Brasy7G120200.1 locus=Brasy7G120200 ID=Brasy7G120200.1.v1.1 annot-version=v1.1 MLDRSLGPQQPAEAGAGAGEGGGKVDRVLFKDLVEMVPLVESLMDRSTNPSYSRRVSLVYTPAPAKKAADLKSAKAPQSVSAKKRRDPGDTGKKSTPDSNGENGSVVPLGLSGAENKPKDKDDIASLREQIEELQKELLQKEEALRTAESSVSEMNAVYSTIDELKCQVAEKEALIKYTNSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEISSLMTLFEKISENVSGDCYDGTIPSYELEELQSVSEIDKIEVDKIEQERVTYAEALAAARENPNKEHLNSVAEARSRLQGLVVL* >Brasy7G181900.1.p pacid=40046203 transcript=Brasy7G181900.1 locus=Brasy7G181900 ID=Brasy7G181900.1.v1.1 annot-version=v1.1 MGSSKAVVCVLAFALCGLLLAADITAAAGASCDATALSPCVGAIMLGGAVTPGCCARLKAQQGCLCQYARNPSYAGYVGSPRAMGVVKACGLPKPKC* >Brasy7G073300.1.p pacid=40046204 transcript=Brasy7G073300.1 locus=Brasy7G073300 ID=Brasy7G073300.1.v1.1 annot-version=v1.1 MAKPWGRRGVVMLLALPLLLVLYLSSGRRVLIASDSQVPRLLLTGRGTTAPDRLLGGLLADGVDDRSCHSRYQSAMYRRNAGRKPSPYLTTKLRQHEALQRCCGPGTAAYSNAVEQLRSGNKSYTDNIGPPECKYLVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMGELFCEPFPDTTWLLPPDFPLASFTNFSADTAESYGNMLKNSKGRDHQPLPAFAYIHLDHDATEQDQLFFCDEDQRHLRDIRWLVMRTDNYIVPGLFLVAGFQEELDMLFPEPDTAFHLLGRYLFHPNNRVWGLVTRYYDAYLAAADQRVGIQVRVFGAQPESPELLEQITKCTQKENLLPEVILGAGTEEPAIVAARKPPSSKAVLVTSLKSWYYEKIKSMYWEHATATGEAVGVHQPSHEEYQRFGAKSHDAKAWAEVYLLSLTDVLVTSGWSTFGYVAQGLGGLKPWVMYKPDNGSMVADPPCGRDVSMEPCFHAPPFYDCRLKRGADTGKIVPHVRHCKDVSWGLKLVHRSDRIAP* >Brasy7G111900.1.p pacid=40046205 transcript=Brasy7G111900.1 locus=Brasy7G111900 ID=Brasy7G111900.1.v1.1 annot-version=v1.1 MSSSSAKAAAGAMGSKAARACDGCLRRRARWYCAADDAFLCQACDASVHSANPLARRHERVRLRPTSPLPAGAGPRACRRWDDVVPAWFKRKPRTPRGKSVIGPLLSSRRPVVVPDEASGGERSPEEQKLFEGELLYSVPVFDPALAEFCSSSPPRHLEDASCCNEDRTVLESPTEPAAAAAPSPAVDQFFPDSVVSFVPTDAELMEFAADMEALLGRGLDEGNEEEPFSMEALGLIEPMVDVGGAGGRVKMEADGEGRGMFLACGLERGPEPEVSGNMLGIDFDDDYGSPQATPAENAASTGARFLPRSLSLNLNHEAIIDKWEKSPWADGERPDVKLDDCWPHDYSMSVWMMGGVQVGHGTEEVRTPRMKMDGGRDARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRANGVAVATACVA* >Brasy7G143200.1.p pacid=40046206 transcript=Brasy7G143200.1 locus=Brasy7G143200 ID=Brasy7G143200.1.v1.1 annot-version=v1.1 MSAGRCRCLSRRWAAVLTSASFVKLHLHQANGSDQPATTSAMPPKLFFTTAQRRFHAWRPADGLVEQLTGVLPFPHLDEPKWPLEVLTKPCHGLVLLRRLPYYGYYVCNPSSGALLPLPDSGIPSRMRSRRCAPNSTNNSASYGLGYSSCLTGSDGMEEQYKVVLSLDVSAHWRPAAQQPPLCTVRAAPAVFFNGYLHFLQDQEQSSDGCIITFNVCSEIFGSLMPPPALGRKDDVPLELTVLDGSLCAHHHHGHLCSDADPYCIWRLTDYGTGQWEKLYSIIQPQTWPKVNESAHLVLPSNWISPLAIYHEDNRRKKKIMFATGAGVLAVDLDGGSSEPEILVSPEKIMDSNSSIKTIYPMTVGLLEESLVSVRRTSDDIIFSSPSRKAWSDVFKWMPAQSIIPLIHVCKDWCAVIKSDRFTQLHNEFHVNLRKSPKIMLLQPYLGMFYSLEFCLMVQREMGELLSGHGRPSVLCSKPCHGLIVGSCMNREEGTFHDFICNPSREYYKPILCLDDDIQDTGNSLLAGRIGLGYDSRIKKHVLVRLVLYHDKDAGRDYRLECYVQLTDSLYTDWTSITPPPKPVADMQPAYADGKLYWVVDSRLEDKSASRVELLALDVGTRYCEGLHATARISHPLLSPKIEGASWSMGYHIELGEFRSMYSSEETKLLDVDPRDGRLLLSTGKALGYYDPKTRTLETICCLAEHLQAAELGLGVEGQHDNACGGGRSPERCGPPPRSRRPPGLGTAHGFQPFAAALSFARRHAPPAAASARVPILPKSRTGPPILLLLEQLHEACHPESPVVSPCTKRYFGFRSKKKRYFGLY* >Brasy7G009700.1.p pacid=40046207 transcript=Brasy7G009700.1 locus=Brasy7G009700 ID=Brasy7G009700.1.v1.1 annot-version=v1.1 MENSAAGSSWRQLPDVLIVKPTGEIIFPASDQQHETTPLSGDHPFFTAVLSRTQLRDMVIPARFQRRLPARRAAAVVRCGGRSWMMNYCGDTKVKSFDTAWAHFAADNRLQAGDACVFELVSGGGGRDLVFEVQVLRGGGLPEPEEDRVVAGKPIVIGDEDEHQ* >Brasy7G000700.1.p pacid=40046208 transcript=Brasy7G000700.1 locus=Brasy7G000700 ID=Brasy7G000700.1.v1.1 annot-version=v1.1 MSSSSAAAQQYQRYYCHQCDRTISIPRPASLDADVLCPHCSGGFVEELLQDQPNPSPPTPPPHPFFPFSSASFLDLRHPSDLAGVLGPQSPSAPRANHFDVTDFLHGHLGGILSGGATIQIVLEGSSAGPFGLSGLAGAGAGGINLGDYFMGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSATMMAADGGAQCAVCMDDFELGASAKQLPCKHVFHKDCILPWLDLHSSCPVCRHELPTDEPDHDRRQGDQRAAAASAAAAAAAEASPGTPSPRVAERRFRISLPWPLRAALGGQVESSDPSGQDASGSNNNDASGAPRSYDDLD* >Brasy7G197000.1.p pacid=40046209 transcript=Brasy7G197000.1 locus=Brasy7G197000 ID=Brasy7G197000.1.v1.1 annot-version=v1.1 MLNQGLMKHHQEIVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGRHKAHVHHRGEADVLAEYKPTIITKSLIAELKRSDKLAADALVNFKTIRNIVLYYEDVVSNRTKLTDVLDFLKLPKIKLSSRHVKIHTKRVRDHIDNWIDVYNTLNGTQYESFLNSRR* >Brasy7G053300.1.p pacid=40046210 transcript=Brasy7G053300.1 locus=Brasy7G053300 ID=Brasy7G053300.1.v1.1 annot-version=v1.1 MVVHQAQEHLVQEVAADGAPPSRYVLREHDRPTPAADADADAIPTVDVSRLAANDPGEAEKLRSALNTWGLFAVTGHGMPEELLDAILDSTRAFFHLPTHAKQKHSNLVPESPSDNNNDNKKFQPEGYGVDRVDTDEQILDWCDRLYLQVQPEDERRPQFWPTEPPNLAGLLHDYSLKSQAVAKLILRAMAKILGFEESFFVDKVGEESRMPSYARFTYYPPCARPDLVYGLKPHTDNSVVTVLLLDKRVGGLQVRQPLDSTGAGEEEGAKWVDVPVMGGHELLVVVGDEMEIMSNAAFRAPVHRVVASGEERMSLVVFYQPEPHRALEPARELVDEERPARYKTLQAKTFADGFWDAFALGERTIDFLKVKVGEDGGGVDQPTAPAAVPGA* >Brasy7G018000.1.p pacid=40046211 transcript=Brasy7G018000.1 locus=Brasy7G018000 ID=Brasy7G018000.1.v1.1 annot-version=v1.1 MDAGTMGSASDAPTTGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQSGQPATVKVASNLVRLLAYQNKSMLQAGMIVGGWDKYEGGQIYSVPLGGTILRQPFAIGGSGSSYLYGLMDHEWKEGMTQEEAEKFVVKVVSLAIARDGASGGVVRTVTINAEGVKRSFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMDH* >Brasy7G111600.1.p pacid=40046212 transcript=Brasy7G111600.1 locus=Brasy7G111600 ID=Brasy7G111600.1.v1.1 annot-version=v1.1 MNPSAEGCHCRRLTILRRTERHRKKLSHQEYTLTFRVLFRQPIAQSVDHNSSILRSNSTPSESSSEDRVVLGCYFVSSLHQGGHHLLAAARRPPQSGLPNLWSLPVPLH* >Brasy7G226100.1.p pacid=40046213 transcript=Brasy7G226100.1 locus=Brasy7G226100 ID=Brasy7G226100.1.v1.1 annot-version=v1.1 MSHPPLFGTYFSDPIFFSPFEGRNCLNIQVVDYLLMVLVISPWYYQLPTRSVAYRMMFHWHPSEFRLLPSRFTYDQPKVLQNHCSQLSILRLAFRTPTNLLHPNNNGNGSICLDVLKELCSSASTIISKVVSSRPVDEP* >Brasy7G232100.1.p pacid=40046214 transcript=Brasy7G232100.1 locus=Brasy7G232100 ID=Brasy7G232100.1.v1.1 annot-version=v1.1 MAESVLGAVLGNVNTLAVQETTLLCGVTLEVEFLKDELKRLQGFLSDADKKRNLGDEGAAILVSQIRDAAYEADNAIETAEYMHKRNCLKKGFIGTIARYARIPSDLSTLHKVGVEIQRIRRKISEIFESANRFKIVDLGNTSIENVHVDDGFPQDYVHLHQNFEDIVMVGFEDEYEEIVDKLVDTHNNILSAVSIFAMGGAGKTTLARKVCTSSRVKQHFQKIAWVTVSQKYKGIDLLKDIMKQIMEVRDESIHSMNEYQVGKGIHDFLLQKRYLVVLDDVWEPNTWDQLNRTVKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWKLFSSRALPSYKRYAIRDVDQYEELGRKLAKKCDGLPLALAVLGGYLSKNLNTQAWSSILSDWPSTKNGQMMRNILARSYKDLPNHYLRSCFLYLASFPEDYEIGVLDLINLWIAESFIPYTPNHTLEETARKYVTELVQRSLVQIVDETRELGQIDSIRIHDVLHDWCIEEARKDGFLDVIDKTTGPAGASSLDKLISYRSCFQTSSEEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLRIESSRLEDFCRVIGGCIHLRYLGLLNCEGVTLPSSIGQLLYLQTIDLSGTDLHSVVPNSLWDIANLRHVFLEEGFSPPPHARTLRRQQQNKLQTFYLDHGSIDTEYRYYDMVVFLGQMNQLTRLNLYMIPVPAEIINIFANMPHLVEIYVSHFDVLDKLPDNFPQSLQSLVLNADVIEQDPMPILEKLPRLVLLDLEGYQGQTMTYSAKGFPRLQWLELDNFSTGEWTMEDGTMPKLSCLLLRSLEKMIKLPQGLMLLPSLNKLELLHMPQISEDDSTIKELQRKGCEYYLVTCGVSVHIQVVSNIKARVRVYKSIMLILAASNTYYSVLAFV* >Brasy7G202000.1.p pacid=40046215 transcript=Brasy7G202000.1 locus=Brasy7G202000 ID=Brasy7G202000.1.v1.1 annot-version=v1.1 MLPRLAAPVTRAIRPPPHSARAPPLLASPTPILPQLPPATSISFIRHPPLPRLALPFDPVWFSPHPQAPFLFALASPSLSSLLPPPLPPPTRASSPAVISRPATEAVMQSAIGPFSTLPVAATYADGCPLRRPDHGRAVGQPMYAAERRLESSALVLYVAGTRPILRLKTSSPPFSRHCRPCLTTSAASTSSTRMPSCLIVFSHYGWKEELRSLGVIHFHGTPLTIRPWTRRHFANQIRYRHFVRVFIEGLPAHAFSVANARRILPNAQITGIAESCTNDADFSYYVVQAWVEDANAIPKEFSLEVPEPPRDGIGSSVALSLAKWRKSSLSAPPPPQAENNQTSKVRDIKSTKDFWRTHFLPGNKILCASRRI* >Brasy7G230400.1.p pacid=40046216 transcript=Brasy7G230400.1 locus=Brasy7G230400 ID=Brasy7G230400.1.v1.1 annot-version=v1.1 MPGALEVTDKSLKSTSHMQCSRSLNRNNDNCFSEDQLINRSVTVFPENRDSRFTERHSSDWKLALFSSSIQEVNKASYSTTESAATEVFELLSPQPTIVYWLGQPDEKNMHYQDDSSRPLPNGGSTLGQHRHRKKVKNSLTTCLPCHVVANTSSSPVTAPLRSDSDVLHDDDKPPKKNSKKKVSKKGKQYRQGEKLDLLPEILCAEHIDAASPVEVLLPDLLAEKLSDTSSSTSSLVRDVNLGKDNGENNNEYVEGGTILTLSTLGGDAMGGSEFAGSANETVGERLSCNGAPYLNDGANTAGSSEFGTSAVTEHVCSYVGEERGAIKDENKRDGLRHGASTGLDNVEKPCYLTGVHLNVTCAGDSNDPFGSSSCCSKDVTDSTSHTERVQCSSEACSSKTFLPVSSGRSSKKSRKTSSCSNLTATTRVVGANRQRQSGKDNSVSVWQKVEKLDKENPSRAGRVVASAVQDTIALEDSKHNPNRSVDKQQCGKSWKQHSPDDVVETRLTKENDTLCQPFSRGIHKKQMPFQQTSVSPKKGCSQSPRNHYAPKNGIPKTSKIHTQQIEGLPMLQPVCARDTSDRSISTSFLADEFPPNGVVSNSLTEGNESSQSGVEEAVLASYNMDCHLVPQATSKEACLLVIQGNTHSLCNGNKVISAGLDSRSLCSDPCASEMAETPCANLITENTSQESCKLYSAAGHMSHKWVPVGKKDMIHLNVLDPSVAEASVPANDISVSAHSEDCKEATEWMTAKSNSSGQLDWKCQGHTETGAAFSKIREAVSDAFRAQQRAEDIQLRIGRPLADFEQFIHSASPVLHCSSCPTGGNSYSQEIVRDGLCFDQTMDISLGSIWQWYEEPGCYGLEVKAQDLRRSKGFWNRHHQFTAYFVPYLSAVQLFGQPKRTINKEVADMDAKSKTSPCMNSLPILAKLLPQESSQRSSNSPLHIKDDQQLGSVELIFEFFESEQPFWRRQLFDRVKELIDGAKQSNCQISGDPKNLELNLHDLHPASWYCVAWYPIYRIPDAKFQAAFLTYHSLGHGVHQRSSSDQDGHTHVVLPVMGLQSYNDKGESWFQMSKSGSEDTESLSGQPSKILRERLSTLNQAAAAMARADVFKKDQMRKNRHPDYEFFLTRNR* >Brasy7G230400.2.p pacid=40046217 transcript=Brasy7G230400.2 locus=Brasy7G230400 ID=Brasy7G230400.2.v1.1 annot-version=v1.1 MPGALEVTDKSLKSTSHMQCSRSLNRNNDNCFSEDQLINRSVTVFPENRDSRFTERHSSDWKLALFSSSIQEVNKASYSTTESAATEVFELLSPQPTIVYWLGQPDEKNMHYQDDSSRPLPNGGSTLGQHRHRKKVKNSLTTCLPCHVVANTSSSPVTAPLRSDSDVLHDDDKPPKKNSKKKVSKKGKQYRQGEKLDLLPEILCAEHIDAASPVEVLLPDLLAEKLSDTSSSTSSLVRDVNLGKDNGENNNEYVEGGTILTLSTLGGDAMGGSEFAGSANETVGERLSCNGAPYLNDGANTAGSSEFGTSAVTEHVCSYVGEERGAIKDENKRDGLRHGASTGLDNVEKPCYLTGVHLNVTCAGDSNDPFGSSSCCSKDVTDSTSHTERVQCSSEACSSKTFLPVSSGRSSKKSRKTSSCSNLTATTRVVGANRQRQSGKDNSVSVWQKVEKLDKENPSRAGRVVASAVQDTIALEDSKHNPNRSVDKQQCGKSWKQHSPDDVVETRLTKENDTLCQPFSRGIHKKQMPFQQTSVSPKKGCSQSPRNHYAPKNGIPKTSKIHTQQIEGLPMLQPVCARDTSDRSISTSFLADEFPPNGVVSNSLTEGNESSQSGVEEAVLASYNMDCHLVPQATSKEACLLVIQGNTHSLCNGNKVISAGLDSRSLCSDPCASEMAETPCANLITENTSQESCKLYSAAGHMSHKWVPVGKKDMIHLNVLDPSVAEASVPANDISVSAHSEDCKEATEWMTAKSNSSGQLDWKCQGHTETGAAFSKIREAVSDAFRAQQRAEDIQLRIGRPLADFEQFIHSASPVLHCSSCPTGGNSYSQEIVRDGLCFDQTMDISLGSIWQWYEEPGCYGLEVKAQDLRRSKGFWNRHHQFTAYFVPYLSAVQLFGQPKRTINKEVADMDAKSKTSPCMNSLPILAKLLPQESSQRSSNSPLHIKDDQQLGSVELIFEFFESEQPFWRRQLFDRVKELIDGAKQSNCQISGDPKNLELNLHDLHPASWYCVAWYPIYRIPDAKFQAAFLTYHSLGHGVHQRSSSDQDGHTHVVLPVMGLQSYNDKVRWLSCLLRQNMLTKYG* >Brasy7G133800.1.p pacid=40046218 transcript=Brasy7G133800.1 locus=Brasy7G133800 ID=Brasy7G133800.1.v1.1 annot-version=v1.1 MGRAGRVARAGPASAAQVSGTHPGSRRRRGGWFRLRAGATTAAVAASARGTPGPIAKVDLTLQSGYDTSKARI* >Brasy7G168100.1.p pacid=40046219 transcript=Brasy7G168100.1 locus=Brasy7G168100 ID=Brasy7G168100.1.v1.1 annot-version=v1.1 MRKEATGGGIAAAASPAPALLCFDIKPFLAALTVVTLLAAAWQLRPYQYHSILASSPFPACPDPPPSSSPPRPLAIDGKTSKSNASSSSLPLPLPKQDERPAAPDPNRREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWVANNGNSTSRAGKAYHMLPDWGYGRVYTVVVVNCTFSSFGPNADNGGGKLLLNAYYGPSPARYERIVALEEAPGAYDAAAFRSTPPLHRYDYLYCGSSLFGELSAARVREWMAYHARFFGARSHFVFHDAGGVMSPAVRAALEPWVRAGRATVQDVRAQAEYDGWYHNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFIPGGRTLESVMAELEPYTQFTIEQNPMSSRLCARDPGDPKADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPVPPKGGVTWSEKTPWYYDESMKRVANAVREFERNTIGNVRV* >Brasy7G168100.2.p pacid=40046220 transcript=Brasy7G168100.2 locus=Brasy7G168100 ID=Brasy7G168100.2.v1.1 annot-version=v1.1 MRKEATGGGIAAAASPAPALLCFDIKPFLAALTVVTLLAAAWQLRPYQYHSILASSPFPACPDPPPSSSPPRPLAIDGKTSKSNASSSSLPLPLPKQDERPAAPDPNRREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWVANNGNSTSRAGKAYHMLPDWGYGRVYTVVVVNCTFSSFGPNADNGGGKLLLNAYYGPSPARYERIVALEEAPGAYDAAAFRSTPPLHRYDYLYCGSSLFGELSAARVREWMAYHARFFGARSHFVFHDAGGVMSPAVRAALEPWVRAGRATVQDVRAQAEYDGWYHNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFIPGGRTLESVMAELEPYTQFTIEQNPMSSRLCARDPGDPKADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVPVPPKGGVTWSEKTPWYYDESMKRVANAVREFERNTIGNVRV* >Brasy7G190400.1.p pacid=40046221 transcript=Brasy7G190400.1 locus=Brasy7G190400 ID=Brasy7G190400.1.v1.1 annot-version=v1.1 MVSPDTIRTAIGVIGNGTALVLFLSPVPTFYRIWKKRSVEQYSAVPYLATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELAYVALFLAFSAGAARRRVLLILAAEVAFVAAVAALVLNLAHTHVRRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVLFAVGQVILYAIYYKSTQQILEARKRKAVAMTEVVVDGNATSDGANAAARH* >Brasy7G095400.1.p pacid=40046222 transcript=Brasy7G095400.1 locus=Brasy7G095400 ID=Brasy7G095400.1.v1.1 annot-version=v1.1 MDNCSRFSFHLSTIEQEYQNGSFGEREPVERVSIKELQKMVQALPQFSDQIDKLSRRVEIADKLSGMIKHQYMKDVG* >Brasy7G085800.1.p pacid=40046223 transcript=Brasy7G085800.1 locus=Brasy7G085800 ID=Brasy7G085800.1.v1.1 annot-version=v1.1 MWVGEERKAKAKAISVRNPSAVWSRFAACVISLSDKGTGSRSSSAD* >Brasy7G232300.1.p pacid=40046224 transcript=Brasy7G232300.1 locus=Brasy7G232300 ID=Brasy7G232300.1.v1.1 annot-version=v1.1 MPVLEKLPCLVLLDLEGYQGQTMTCYAKGFPRLQSLTLDEFSTEEWTMEEGTMPKLSCLLLWTLSKMIKLPQGLLHLPSLNKLELLGMPKITEDDSIVKELQRKGCEVERP* >Brasy7G096900.1.p pacid=40046225 transcript=Brasy7G096900.1 locus=Brasy7G096900 ID=Brasy7G096900.1.v1.1 annot-version=v1.1 MPGLIWPSSLTWTVLHVLVKKCADQFSELLSCFGWLWVLCDSSHLPSRAPEWKRTAHASESSYYAPWKPVKEKKLRRFEGYPSAFRRLPKPSRLSAKKEAQQASLADGPRPASYSGPARGRDPPDVNGRISPYGSRFALASLSNLNLLLAATSTTCLPFLFPPRLPRSLFCSSPNWRGRAAASTPRVSPPPPQPPPARSSAASPGFPPSQSAALPLPSHPGERPRRPLSRPLPACLVLYLQPPASSLSSGSAPRRWLTGRVW* >Brasy7G209900.1.p pacid=40046226 transcript=Brasy7G209900.1 locus=Brasy7G209900 ID=Brasy7G209900.1.v1.1 annot-version=v1.1 MAKAIPQIFPRSLHKLCRWHIMRKHKDSLGKLYKLFPDMKDQLAAVLNHPLMPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCARMTSTQRSESMNHVLKKGFQVNNCIQTRRESEAAEATASMGVMKPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWEHTCLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFNVGMRAFKEVNSRMDEEGVETNAGADHHTEECYPEGLAVSDEIPTTNHTKDDTVKEATKMYAHAQPPKVAKTKGSRNKNKDEAPAPATAAARSEPELDANGNPKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATDRVKICIRNVLAKQGVGIADNEQLLDTDEDEDYEDQTDDDENEDDVEDYGENEDDQGGEGEEEEQCHTEVTNEQTLEATENSKPTPAVPEGQRTCSICKKNASHNSRTCPDKDEILKKQLEEQQNSGDKDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNSGRTTPRADGVGITEDCSREKPRRAGTTNACHKT* >Brasy7G023100.1.p pacid=40046227 transcript=Brasy7G023100.1 locus=Brasy7G023100 ID=Brasy7G023100.1.v1.1 annot-version=v1.1 MEVDPKSKPDKKKRGMSKKVRKMAKLAVQSLETYYGDRAYRFLLDSVADFFADLLASDLEQMAPGGKQRKIGLAAKWCPTPGSSFDRTTLLCEAIGRRLFPRDSDPEYAELSEEHYTYRVLHRLRREVLVPLRELLKLPEVYMSSQRWDELPYARVASVAMKRYKTLFKKHDEERFAKYLEDVEAGKAKIAAGALLPHEIAAAAFRGENDEVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMEVCVALGVLTSELSEAPWAGKVITFSATPEIHLIKGKTLREKMRFVKTMQWGMNTNFQAVFDRILSTAVGVRLAPEKMIKTVFVYSDMEFDEASGRGGGHYYGYGARVQAGPWETDYKVICKKFSDAGYGDAVPQIVFWNLRDSKSTPVTSTQPGVAMVSGFSKNMLKIFLQNDGVINPEAIMNQAIAGDEYQKLAIFD* >Brasy7G006900.1.p pacid=40046228 transcript=Brasy7G006900.1 locus=Brasy7G006900 ID=Brasy7G006900.1.v1.1 annot-version=v1.1 MEAAAATAFVGRIAPKLLEFLDANRKLRQNLEHDITYIRNEFVLISAAIQQDDDRRWRSCGGDDHVQRAWIQIIRDLAHAIEDCIDRFMHRATSMSKTSWIRQAVHRVHTASVRTEFAKAIRELKKISQESSKLRETYYNAGSIVIGAGTSSSVAFETARDDTISARSPVGMDAARDELLELIQQQLQQLKVISIVGFDGIGKTLLARHVYESLMSQYEARAWVCAALQGSAMDVVKEILRQLGIPTSTHGGGSLSKLCTNLRGCIETKRFFIVIDDMQTEFWHDIKDAFVGLSGRVMVTTAIQSVANACSSSAAHDHVYSMKTLGAEQSRQLFFKEACHEDDPPVDKEDQLGSEALTKCDGLPLALVTTARYFQSTGNPTRENWATLCHNLGAHLETKEILAKMKRVLVHSYNSLAKHDVKTCLLYLGIYPRCRAVRRGSLIRRWLAEGFIQGDYICSALDAAISNFNELINRSIIQLTDASSKNSAGGVKTYHTHGMMLEFILHISKCDNFITLLYDQLAPPPSKIRWLSLHDASTKVVNDLSLVRSLTIFSRAHESVLDFSKYELLRVLDLEECSNQLGDKHLREICNLLLLRYLSLGDAVTVTVLPKEIKKLQLLETLDIRRTKIEILPTQVMELPCLIHLFGKFKLQHDVQSRRMLNLQTWLSEESKLETVAGFVVDNNKSQGFAQLVEHMKHLRKVKIWFEQSNNNSKDPTASSSSSTTTTTNYTYLSKAIKGFIKRSTDVKKAHSLSLNFSDEWFQDLLVNLSLEKEEASSCYLSSLKLQGDNICSLPPFVTMLGGLTKLCLSSPHHQLSGDILVAVSRVRCLAYLKLIASRLDKLVIIKGALGSLRRLCIVVEVMTELEVKEGALPLLESLQLLCKDLNGFCGMTNQSLRRLKEVVLHDGVSDETKNKWGETAKNHPRRPKLLFLKTAEEVDMVSEPAEDPESPMAPITDKTLSVTTLHDAISTGQSDGDGHDDDDILEGFAKKTNLGPPMNKGSSEQEMEGVVANLEDQEIGMVDLDDQQMVDVTKYINQSDQNQVPLLVGKSRRKRGRAVGEDNSMDKVVDRVKRKNPQDVRVGL* >Brasy7G123700.1.p pacid=40046229 transcript=Brasy7G123700.1 locus=Brasy7G123700 ID=Brasy7G123700.1.v1.1 annot-version=v1.1 MSTKRVLCKFFVHGACLKGEYCEFSHDWSDQANNVCTFYQKGSCSYGSRCRYDHVKVSRNPPVPPPASSSTPTRNSPVPLPPSSTAARVVSTSLQPTSAGRPVHIGHQTNPNNQRQQISMDMLAHSESKPAWRNEFQLDSVSEDGIDWSSNRTVQNQTSKKLADMPICSFAAAGNCPYEEECPSMHGDLCTTCGKMCLHPYRPDEREEHMKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKMEIIDNYKSKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVILRHLDAEDGTTLIAKNIRLSDFLTRLHL* >Brasy7G123700.3.p pacid=40046230 transcript=Brasy7G123700.3 locus=Brasy7G123700 ID=Brasy7G123700.3.v1.1 annot-version=v1.1 MSTKRVLCKFFVHGACLKGEYCEFSHDWSDQANNVCTFYQKGSCSYGSRCRYDHVKVSRNPPVPPPASSSTPTRNSPVPLPPSSTAARVVSTSLQPTSAGRPVHIGHQTNPNNQRQQISMDMLAHSESKPAWRNEFQLDSVSEDGIDWSSNRTVQNQTSKKLADMPICSFAAAGNCPYEEECPSMHGDLCTTCGKMCLHPYRPDEREEHMKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKMEIIDNYKSKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVILRHLDAEDGTTLIAKNIRLSDFLTRLHL* >Brasy7G123700.2.p pacid=40046231 transcript=Brasy7G123700.2 locus=Brasy7G123700 ID=Brasy7G123700.2.v1.1 annot-version=v1.1 MSTKRVLCKFFVHGACLKGEYCEFSHDWSDQANNVCTFYQKGSCSYGSRCRYDHVKVSRNPPVPPPASSSTPTRNSPVPLPPSSTAARVVSTSLQPTSAGRPVHIGHQTNPNNQRQQISMDMLAHSESKPAWRNEFQLDSVSEDGIDWSSNRTVQNQTSKKLADMPICSFAAAGNCPYEEECPSMHGDLCTTCGKMCLHPYRPDEREEHMKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKMEIIDNYKSKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVILRHLDAEDGTTLIAKNIRLSDFLTRLHL* >Brasy7G123700.4.p pacid=40046232 transcript=Brasy7G123700.4 locus=Brasy7G123700 ID=Brasy7G123700.4.v1.1 annot-version=v1.1 MSTKRVLCKFFVHGACLKGEYCEFSHDWSDQANNVCTFYQKGSCSYGSRCRYDHVKVSRNPPVPPPASSSTPTRNSPVPLPPSSTAARVVSTSLQPTSAGRPVHIGHQTNPNNQRQQISMDMLAHSESKPAWRNEFQLDSVSEDGIDWSSNRTVQNQTSKKLADMPICSFAAAGNCPYEEECPSMHGDLCTTCGKMCLHPYRPDEREEHMKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKMEIIDNYKSKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVILRHLDAEDGTTLIAKNIRLSDFLTRLHL* >Brasy7G151700.1.p pacid=40046233 transcript=Brasy7G151700.1 locus=Brasy7G151700 ID=Brasy7G151700.1.v1.1 annot-version=v1.1 MAAASTWDDLAEGEWTTVTRSARPTYTAPAGRSTYTAPAAPRRVAATKPAAPTVGEVGEGMAGLGIEGGSRRLDKYDIPVEVSGEAAPAPAVGFEEAGLAEPVLRNVARCGYESPTPVQRYSMPIVLAGRDLMACAQTGSGKTAAFCLPVVSGLVVAASEAARGGGGGRGDREAYGYGGRAATPRALVLAPTRELAAQIYEEAKKFSHLTGLRVKVAYGGTPMYQQLRDLEKGVDVLVATPGRLVDMVERAKVSLEAIKYLVMDEADRMLDMGFEPQIRKIVDGMGMPRKSVRQTMLFSATFPPQIQRLASDFLSKYIFITVGRVGSSTDLITQKVEFLSDGEKRIYLLDLLQKQSVGSSDGKQPLTLVFVETKREADSLRYWLYNKGFPATAIHGDRTQEERESALRSFKSGLTPIMVATDVASRGLDVPNVGHVINYDLPKSIEDYVHRIGRTGRAGNAGCATAFFTESNQPLAKGLLELMTEAKQSVPDWLEEYAARPCYGGSSYGGRSRRSSGGSSFGGRDYRSAGDYSGYSGGGGGNSYSGGGDHSSYSGGGGNSYSGVGGGVSSYSGGGGRSSYSGGGGGRSSYSGGGGDRSSYSGGGVSSRSSAPPPRYYPSYPMGTSNINASGWD* >Brasy7G125200.1.p pacid=40046234 transcript=Brasy7G125200.1 locus=Brasy7G125200 ID=Brasy7G125200.1.v1.1 annot-version=v1.1 MESYQRLELLEGRRRERSTEHGPPSQVASFYLSASFQHPSLRHSHCATNRSPHFNRLKHTVTLSAGRTNQPTMPRLHCTIVLLLSVTGLLLQHAAAALPSLPDPASLEPSLLFPSASATQAALQPTGASSTIPAFPEQSEAAATSSVCQLAPSPPLLPAVLVSCNAAGRGKKGALPPRLQCCPPLAAWLYAAYAPTALGLLGGARAAPAEEERPAAAADVADLLPLLPDDAEECAGAADRALRASGATLPPPQVNNGNGTSATSSCDVAFCYCGIRLRRPVCAVPAGRAARRLERDCARPGLAACSRCLRALNLLNAGGDHKNATSSKPKQDGRSRQRDCQLMGLTWLLQRNATRHRVAATAVLQALMAADEAGATSPPATCSLPVDDMPLAVASSEINGAADLSAVGRLLHVLLGASAVIFSWCI* >Brasy7G176900.1.p pacid=40046235 transcript=Brasy7G176900.1 locus=Brasy7G176900 ID=Brasy7G176900.1.v1.1 annot-version=v1.1 MSGTAPIHGSLPPPFSEFNKQIELWRLMRYRKKELELESKMKSRINGRMQLRSGKHNDDSRDQRFVSKIEQDRSYATSSSSRDSGASSYTDQEDGLGDNEIEEFLRSRVKRGRGAIGSRMDEPGPYLTAPPSGRRDNSSSPDVPVEECKRRVHGPEKPLFLRSKSSHESQSTSKQQRGEKRKTGSNREREEKEKRRSKHHHHQHKKRRE* >Brasy7G176900.2.p pacid=40046236 transcript=Brasy7G176900.2 locus=Brasy7G176900 ID=Brasy7G176900.2.v1.1 annot-version=v1.1 MSGTAPIHGSLPPPFSEFNKQIELWRLMRYRKKELELESKMKSRINGRMQLRSGKHNDDSRDQRFVSKIEQDRSYATSSSSRDSGASSYTDQEDGLGDNEIEEFLRSRVKRGRGAIGSRMDEPGPYLTAPPSGRRDNSSSPDVPVEECKRRVHGPEKPLFLRSKSSHESQSTSKQQRGEKRKTGSNREREEKEKRRSKHHHHQHKKRRE* >Brasy7G224200.1.p pacid=40046237 transcript=Brasy7G224200.1 locus=Brasy7G224200 ID=Brasy7G224200.1.v1.1 annot-version=v1.1 MDPYKHRPTSGANAGFWTTNSGAPVWNNNNALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKVHLVKFHWKPTCGVKCLLDDEAVTVGGTCHTHATKDLTDSIAAGNYPEWKLFIQTIDADHEDKFDFDPLDVTKTWPEDIIPLQPVGRMILNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGFMNFIHRDEEVNYFPSRFDPARHAQKYPIPTRVLTGCREKCIIEKENNFKQAGERYRSFDPARQDRFIQRWVDALSDARVTHEIQGIWISYWSQCDTSLGQKLGSRLKAKPNM* >Brasy7G016900.1.p pacid=40046238 transcript=Brasy7G016900.1 locus=Brasy7G016900 ID=Brasy7G016900.1.v1.1 annot-version=v1.1 MARAPATFQGSPVLLLFLLAAVASGARLPVRPGAAGRAQPRGASAAAVFALGSFWRSEAAFGCLPGVLRTSVGYAGGSKASPEYRNLADHAECVKVEYDPRLIQYKQLLDVFWASHDPREVFGQGPDVGNQYRSIIFTNGTLEARLAALTKEREQAKDRTSVITTEIHPVGAFYPAEPEHQKFELRRKPFLVQLIGNLPEEELMSSTLAAKLNAFAAELCPPKTQKRISAKIDEITKKGWPILRDI* >Brasy7G177100.1.p pacid=40046239 transcript=Brasy7G177100.1 locus=Brasy7G177100 ID=Brasy7G177100.1.v1.1 annot-version=v1.1 MSRSGAPPLFLAALLLVFAAAAASSSSPAKGGGATAAATDFIRKSCRATQYPSVCVQSLSSFSKPPPRSPRELARAALSVSADRARSASAYVGRLRGSGGGPGAGPARDCMENMADSVGHLRDAARELGSGLGRAGSAGFRWHLSNVQTWCSAALTDENTCLDGLSSSSTSRGADAGTRAAIRGKVVEVAQVTSNALALVNRVGPGY* >Brasy7G162700.1.p pacid=40046240 transcript=Brasy7G162700.1 locus=Brasy7G162700 ID=Brasy7G162700.1.v1.1 annot-version=v1.1 MRICVPTRSRSRGKLLLSNPLLAVSSVSHSHPTKPRALSGPLFSSDEQSEPDAGRPARVRTLLVHTAAAESIGFARAIDVTPAAVGGPAPRKRLAHLPALQSLPVRRRPIWSSVTLLAAYMSVFRARGPN* >Brasy7G016800.1.p pacid=40046241 transcript=Brasy7G016800.1 locus=Brasy7G016800 ID=Brasy7G016800.1.v1.1 annot-version=v1.1 MPGVKRKNGQAAPAVPLQPVPMPMPHVKVFRLNDEHRWDDCGEGHVTIDYLEGSKGEVVLAVLDAEDDETMLLHVITPDDIYRKQEETFISWCDPDAGMQLSLSFQDAAACSQVWDTVCKVQRKQRLGPCDSD* >Brasy7G058000.1.p pacid=40046242 transcript=Brasy7G058000.1 locus=Brasy7G058000 ID=Brasy7G058000.1.v1.1 annot-version=v1.1 MSNPKTFPLDREKSPTTLPSTATQPLRKRKFNAGTPLASSSQPGFDLDGSASSQPPPARAPPPLQHFAPRRQLPRPDPEYRSEGYRRRRRPLFGRRRTFASPPAAAPPTAAESPLRTPTAPPSPFLLDAAPSQIRRPRSSSDHPIAEAAPCGSPSTFVKSIRNAPGPPPARVRAPCTSDLHGASPCSDLEVY* >Brasy7G018500.1.p pacid=40046243 transcript=Brasy7G018500.1 locus=Brasy7G018500 ID=Brasy7G018500.1.v1.1 annot-version=v1.1 MLILEVGMWLLPFTLVLAPVRRTVRLVQELQRILLLLACSSSGGGGGLGEVWSRLDRLDSATVIIA* >Brasy7G023800.1.p pacid=40046244 transcript=Brasy7G023800.1 locus=Brasy7G023800 ID=Brasy7G023800.1.v1.1 annot-version=v1.1 MEIRPMVALRAALVGGVAAFAKIAGAMKAAGSVKVGAAAAAMTAAATAAVSGKDTNKDNQKPGAK* >Brasy7G191000.1.p pacid=40046245 transcript=Brasy7G191000.1 locus=Brasy7G191000 ID=Brasy7G191000.1.v1.1 annot-version=v1.1 MATKLVVFAAIVAALVAASSAQDVAGLSTQCQRELQERPLDACREVVDSLLAGGVPDFSRERPLSQWITEAPQTGCCQQLQLVSSSCRAAAIRGIVRKEEESHFSQGDLEEQPGQGPPFNFRYPDDYAKQMHKFIRERLARVRQFAQQLPARCGLESGGSWYPAGK* >Brasy7G205600.1.p pacid=40046246 transcript=Brasy7G205600.1 locus=Brasy7G205600 ID=Brasy7G205600.1.v1.1 annot-version=v1.1 MAEGGGTTTLPRDVIFDILSRTPVKSVCRFRCVSKEWQSRISDPAFIAAHRSHANPEQFLVGTAQDALLLMNMDGKIVRKIEGLGVLPTFRSTLDDLICVCSTDSTTRVLDMVTGKVLLTCRMPDGGRIYAVGLGRAAGSGSVKAVRLTEGLRYDGPSDQACEVLTLGGASAAQWRPAPSPPFLACLYAFAGAGTTANGAVHFLTQNVARVDDMPVDAVLRFDLESEQWRGTIQGPLLKGGRDDAGSSWMKTGQIRLGKLGGDALCMVQTEVRRADGMYWTDIWLLDDADRGVWVKAYAIPMHLSSDMIEPLRVMPGDGVRLLFHYFNPAVQVPWLKVYDPRDETCTEGVQMLHTSGRVGLCSLHLECFVAPIKTSL* >Brasy7G031300.1.p pacid=40046247 transcript=Brasy7G031300.1 locus=Brasy7G031300 ID=Brasy7G031300.1.v1.1 annot-version=v1.1 MTGNYITGSWVTMNLCGRSPICSNDKDALFSCALKEIFDSSTCMNHLVVIGIVTPLALVLLLRLLIQARKSRASARRWLLTVRSKLQLASVAFNGCLGLVYLGIGLCVLGNDFSHASASLPRWWLVTLSQGFNLVLAAFATGHQLLGAAFLRLWSVFLTIYAAFICFSSVIGIITEKAITIKGCLDVLSLPGAVLLLVYSVRQYSHDEESHEGIRNDLYETLKEYVNTAIAKGVTCGLSESANEMSFWWLNPLMKMGYEKPLDDKDMPLLGPADRAQSQYMMFLEKLNKNKQSQSHAMPSIFWTIVSCHKRGIMVSGCFALLKVLTLSSGPLLLRAFINVSLGKGTYKHEGYGLAAIMFVCKCCESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQNLSNSAKMKHSSGEIMNNVTVDAYRVGEFPYWFHQTWTTCVQLCIALAILYDAVGPSMVSSLVVIVITVLCNAPLANLQHKFQSKLMEAQDMRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLSRAYNSVLFWSSPVWVSAATFLTCYLLKIPLDASNVFTFVAILRLVQDPIRSIPEVIGVVIQAKVAFTRIEKFLGAPELNGQVRKKCLVGSDYPVAMNSCRFSWYENPSKPTLNNINLVVKAGEKVAICGEVGSRKSTLLAAVLGELTKTEGTIRVSGEIAYVSQTAWIQTGTVQDNILFGSSMDGERYQETLRSCSLVKDLEMLPHGDRTQIGERGVNLSGGQKQRVQLARALYRNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLHVFDSILLMSDGEVIRSAPYQDQLADCQEFKDLVNAHRDTTVVSDLNNNANETDNIRGSRYTEPVKPSPADQLIKKEEKETGDTGVKPYMLYLRQNKGFIYASLCVISHMIFVAGQIAQNSWMAANVQNPEVSTLSLISVYIVIGVCTMFFLLSRCLLVVVLGVQTSRSLFSQLLDSLFRAPMSFFDSTPLGRVISRVSSDLSIIDLDVPFAFMFSLSASLNGYCNLGVLAVVTWQVLFLSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGATTIRAFEEEGRFFATNLDLVDKNASPYFYNFAATECETDYFCSYMCTGFVGMALSYGLSLNMSFVSSIRKQCNFANQIISVERVNQYMEIQSEAAGVIEDNRPAHDWPQIGTVELRNLKIRYRKDVPFVLHGISCVFKGGDKIGIVGRTGSGKTTLVAALFRLVEPAGGKILMDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEALQEKEQGLDSLVAEDGLNWSLGQRQLFCLGRALLRRCRILVLDEATASIDNGTDVLQKTIRAEFKYCTVLTVAHRIPTVMDCNMVLAMSDGKVVEYDKPKNLMENEGSLFRELVKEYWSYT* >Brasy7G096700.1.p pacid=40046248 transcript=Brasy7G096700.1 locus=Brasy7G096700 ID=Brasy7G096700.1.v1.1 annot-version=v1.1 MGRGLGSKRRVAGEEAEEVEEEEEYEVEVVPDRLKSSRNSRLALFGSELRLDRFRPRRRRRRRAAADGEDGFFHDLIIHPENKWYRIWTRFILVWAVYSSFFTPFEFGFFRGLPKRLFFLDIAGQIAFLIDIVLKFFVAYRDPDTYRIVYNPTSIALRYCKSSFIFDLLGCFPWDVIYKACGSREEVRSLLWIRLTRALKVTEFFKDLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLKLGDYSYSNFREIDLAKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESTPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQIYFVCHGELEGVGIGEDGQEETLLMLEPESSFGEIAILCNIPQPYSVRVCELCRLLRLDKQSFTNILEIYFVDGRKILSNLTENNEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLHQLKGLIRAGADPKNTDYDGRSPLHLAASKGYEDVAQFLIHEGADINLIDKFGNTPLLEAVKQGHDRVATLLFRKGAILNLQNAGSHLCSAVSKGDSDFIRRALACGADPDSKDYDHRSPLHIAAAEGLYMMAKLLVEAGASVFATDRWGTTPLDEGRKSGSKPLMMLLEQAKAEELSKFPARGEEVRDKMHPRRCSVFPNHPWDTDGKRKEGVTLWIPHTMDGLVRSAQEKLGLSGPCLRLLGEDGARVQDVDMVNDGQKLYLVGDEDVGRSE* >Brasy7G159200.1.p pacid=40046249 transcript=Brasy7G159200.1 locus=Brasy7G159200 ID=Brasy7G159200.1.v1.1 annot-version=v1.1 MPSVVPSFVFPPLTIEPPHLRPRPLVLCVRAMATAAASPRCCRSSSGLLLLMMHLAIIAAAGSSADRVVLRVESLFPGPSSCPTTQERKPSAATSSSARVPIVHQHGPCSPLAGAHGKAPPSHAEILAADKNRVDSLHHRVSATTTSLGGKPHTKKKKTPGHPSVPASSSSSSFLSSVPASSGLSLGTANYVAPIGLGTPPSRFTVVFDTGSDTTWVQCRPCVVSCYKQKDRLFDPAKSSTYANVSCADPACADLDESGCSGGHCLYGIQYGDGSYTVGFFAKDTLAVAQDAIKGFKFGCGEKNRGLFGQTAGLLGLGRGPTSITVQAYEKYALLQNGLYLTGYH* >Brasy7G140800.1.p pacid=40046250 transcript=Brasy7G140800.1 locus=Brasy7G140800 ID=Brasy7G140800.1.v1.1 annot-version=v1.1 MQIMWSVHTREKIYQCDAVMLAWTFSLPSQWPRKLSPPIIIADVSKITRERMLESMLFGDTRLLVSSLKIMWPCIVSCDTHPQPFLCYKSVLCRQQREQHDLF* >Brasy7G158300.1.p pacid=40046251 transcript=Brasy7G158300.1 locus=Brasy7G158300 ID=Brasy7G158300.1.v1.1 annot-version=v1.1 MGTAAAAEPARRLLPLLPVLLFLCSPLPAASVTPSYIVYMGGRSGRAGGVSMEEASVMATESHYDLLGSVLGDREKARDAIFYSYTKNINGFAATLEPHVAAAIAKQPGVVSVFPNGGRRMHTTRSWEFLGMEKQGGEIPPWSAWETARYGEDTIIANLDSGVWPESLSFNDGEMGPIPDGWKGVCQNEHDPKFKCNSKLIGARYFNKGYAAAAGVPPVAPSLNTPRDDVGHGSHTLSTAGGSAVNGASAFGYGNGTARGGSPRARVAAYRVCFEPAVDDTECFDADILAAFEAAIADGVHVITASVGSDPQDFRDDAVALGSLHAVKAGIAVVCSASNSGPDPGTVTNLAPWVITVAASTTDRDFPAYVVFNRTRVPGQSLSEAWLRGKAFYPLVASTDVVANGRTSDDAQVCALGSLDAAKVKGKIVVCVRGANRRVEKGETVRRAGGAGMVLVNDEVGGTTVIADPHVLPALHITYADGLRLLAYIKSTSAPSGFITKARTKVGTKPAPVMAAFSSQGPNVLQPEILKPDVTAPGVDIIAAWSGMAAPSDRPWDQRRVAFSIQSGTSMSCPHIAGIAGLVKTLHPDWSPSAIKSAIMTTATDTDMDRRPILNPFRAPSTPFGYGAGHVFPQRVLDPGLVYDASTEDYLDFLCALGFNATSVATFNHEKPYRCPAVAVALQDLNYPSIAVPDLAAPTTVRRRVKNVGPAQRGVYTAAVVREPEGVRVTVDPATLEFVAVGEEKEFRVSFAAKVPAVPVPEGAGGYAFGAIVWSDGAGNHLVRSPLVVKRRA* >Brasy7G203300.1.p pacid=40046252 transcript=Brasy7G203300.1 locus=Brasy7G203300 ID=Brasy7G203300.1.v1.1 annot-version=v1.1 MIFIRKFLEDLEVESYVPFNIPVSRSYSRAARWTPPPPDFWKINVGAAGLRKSGGKGAVGAVCRDPKGKCEGASAVVWNDVVNPTILEAVACSEALSLAQDLRMEKIIVVC* >Brasy7G212400.1.p pacid=40046253 transcript=Brasy7G212400.1 locus=Brasy7G212400 ID=Brasy7G212400.1.v1.1 annot-version=v1.1 MAATAAACRRAVSYTLLGPPAPALSAITARAAAAVAAAPTTGDPLVDLLDTNFNKPPPPPPPAKARTENNSPTFVSSGDPCLDFFFHVVPDTPPSSVTSLLADAWAAEPPTALRLACNLRGVRGTGKSDREGFYAAALWMHETHPATLALNAGPVADFGYLKDLPEILHRIIHGGVSTRTPGKQARLAEDGGFVHRVRSVCGRSSVNKGHSGTTRDPSTREARVAASNERDRNFSAQASVDRKRKHAELAARVLERYARDPNYRLLHDCTADTFAELLAADMKKLAGGEIKDLSLAAKWCPSLESCYDHSTLLCEAIARRLFPKGSAPDLPEDLPEAHYAYRVWDRLRKEALVPLRHALELPEVFMSARAWGELVYRRVASVAMKNYKDIFLERDAERFNKYLADVESGKEKIAAGALLPHQILERAEDHDNVANLQWKRMVDDLLALGKLNNCLAVCDVSGSMEGRPMDVCVALGLLLSELCDEPWRHRVITFSERPQLHHICGETLWEKTSFIREMEWQMNTDFQAVFDQLLRVAVAGNLPPERMVKKVFVFSDMEFDEASSRPWETDYEAITRKFSEAGYGNAVPQIVFWNLRDSDSVPVTAQQKGVALVSGFSKNMIKLFLDGEHIVTPRAVMEKAIAGPKYEKLVVFD* >Brasy7G229900.1.p pacid=40046254 transcript=Brasy7G229900.1 locus=Brasy7G229900 ID=Brasy7G229900.1.v1.1 annot-version=v1.1 MADAALIQVIFKLTELAAIEAKKIFGVRRDIELLIDQLGWLHGFVRAADRRRRTGIVDDELNGVLARQTREVAFVAEDALYDFFQGEHKCSLFSCIWSIHMKTTGCQSIQQGKLRRNILKQFKR* >Brasy7G189400.1.p pacid=40046255 transcript=Brasy7G189400.1 locus=Brasy7G189400 ID=Brasy7G189400.1.v1.1 annot-version=v1.1 MNPQIAAGIMEYRATMNKTCLLLFLLQIFACNAVSPRFEAQAKALLKWKSTLLFSDANSSSPLASWSPYSSTCCSWSGIKCNSIGHVAELTIPSAGIVAGTIATTFDFAALPALTSLNLSRNHLAGAIPTDVSLLRSLTSLDLSDNNLTGGIPVALGTLHGLQRLVLRSNSLSGAILTELGDLRDLHLLDLSRNNLCGGLPPSFSGMSKMREFYLSRNKLSGRIPPDLFTNWPEVTLFYLHYNSFTGSIPLEIGNATKLQLLSLHTNNLTGVIPVTISSLAGLEMLNLARNLLSGQIPPSVGNLKQLVIMSLSFNNLTGIVPLEVGTMSALQSLDLDDNQLEGELHPTISSLKDLYNVDFSNNKFTGTIPEIGSTKLLFVAFGNNNFLGSCPLVFCKMTSLQILDLSSNQLSGELPSCLWDLQDLLFIDLSNNALSGDVPSTGSTNLSLQSLHLANNKFTGGFPVTLMNCNKLIVLDLGGNYFSGQIPSWIGSSFPLLRFLRLRLNLLSGSIPWQLSQLSHLQLLDLASNNLSGSTSRRLPYIC* >Brasy7G001700.1.p pacid=40046256 transcript=Brasy7G001700.1 locus=Brasy7G001700 ID=Brasy7G001700.1.v1.1 annot-version=v1.1 MPSPASMAVRVVSTRTVRPPPPATPSSPPRLGRIPLTTWDVSFLSANYIQKGLLFPPPPVAMSSTAAVADHLAAALSETLGAYYPVAGRFVTDKHPESGGCSVSIDCGGQGVELTHAVADGVAVADVIPPDADVPLLVQSFFPLDGAVNHDGHELPLLAVQITELAADGAVFLGFAYNHALSDGTAFWAFLNAWAQTARSILSGSPPPPPSRPLLDRWSPDGGPARPVVLPCADVSAAFVERLPPPLLRERMLHFSAESLAALKQRAREELLAAGDSAGAAALTRFQALSSLLWRSITRARNLPATQPTVCRVAINNRGRLSPPLPSAYFGNSIYAIGTEPAISSELVEEHGRAAAAVGRAVAAHTDGEIRAKVKAWMEKPVVYNLRFFDRAWTMMGSSPRFDMYGCDFGWGRPLAARSGKGNKSDGKTSLYPSGRDEGGMDAELTLTPEHMAALEMDEEFWAAVSPDEKPPVLTTKQRQQQKLDLAAFQS* >Brasy7G198100.1.p pacid=40046257 transcript=Brasy7G198100.1 locus=Brasy7G198100 ID=Brasy7G198100.1.v1.1 annot-version=v1.1 MSLDASPARPSRYESQKRRDWHTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPAAAAQKAKVKAEPAPEKMNVVPPPPAQEAADQGHRFFVPHPYHHHHHAQFMHHHHHGGAHFLLAPVGGGDASSVTASNNDSSGNSGGDEMAMAMAAAAEAHAAGCMLPLSVFN* >Brasy7G167000.1.p pacid=40046258 transcript=Brasy7G167000.1 locus=Brasy7G167000 ID=Brasy7G167000.1.v1.1 annot-version=v1.1 MLATRLLVEQRPAAGSQRRLGSARPQGSSAAARNGQAARGRVVAAPDVGARGGGHGGVAPDNGGPDSGGAAPDDGDHGGGWGSAPPARGGSTQWRGGRTSKMPRGQSLCHLS* >Brasy7G228600.1.p pacid=40046259 transcript=Brasy7G228600.1 locus=Brasy7G228600 ID=Brasy7G228600.1.v1.1 annot-version=v1.1 MPHTSTLTKVEVKDSPVGEMTYNGIFKSLSFDGYIGEVAWHNMAGKLESVRFGGGSTIPWAELPTLTSLSKFEIRRDPSFVSMALLSNLPTSLTSLSLIDCENLTVDGFNPLIAAVNLKELRVFNTGRDGPRSVAADLLSELVAASRSKPLLPAAGCFQLKALFVDCISAVLAVPVCSLFSATLHVLYLGFDQRVESFTEEEEDALQLLTSLRTLFFWRCPGLPSLPQGLHSLSSLRELYVHGCPEIRSLPKVGLPTSLRELYVYGCSPELQEQAKELQGTKPDLRVFVGA* >Brasy7G017500.1.p pacid=40046260 transcript=Brasy7G017500.1 locus=Brasy7G017500 ID=Brasy7G017500.1.v1.1 annot-version=v1.1 MGSVSLKLPASRRRQGPRVLSCLCSPAPLNLLMLLSLVSTNLLALLAFFSTPGNPPATATQTPSSNISAHVAAIAREIGTGASSTNLPPGLPPELLLFLTPHALPLGRDARSGLTHMPASVGSVCLRSPSALALLSQFMSYAPHAACPLNASTSTLPRRLVSKGCEPLPRRRCLTRRPPLRPSSITALEPRRWVTPARSSNNRHEFLIDDVIRLAQIRIGLDVSGGGGDFAARMKERNGATVVTTVLEPTTSELVAARGLFPLQLSPAHRLPFYDGVFDLVHTAALDGAGAPAMGLAGTPEALEFFLFDVDRVLRVGGLLWIDSYPCQSDDRRRVLVKLIERFGYKKLKWVVGEKPGAKASVYLSAVLRKPARS* >Brasy7G018100.1.p pacid=40046261 transcript=Brasy7G018100.1 locus=Brasy7G018100 ID=Brasy7G018100.1.v1.1 annot-version=v1.1 MEPAEAGGGIAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVHHFVRRTTKRAPIINRGYYARWSVLRKLMRQFLDAGNGSTDQKRKQILSLGAGFDTTFFQLQDEGLAPYLYVELDFKEVTSKKAAIINHYNEMKQKLGPEPSISIEKGEVTSAHYKLFSADIRDIPKLDSVIRMAEMDPSLPTFIIAECVLIYLDPTATDTIVSWASEKFSTAIFFLYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLDHGWKRAVAQDMLKIYNDFIDSGERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDDFGFTKE* >Brasy7G175200.1.p pacid=40046262 transcript=Brasy7G175200.1 locus=Brasy7G175200 ID=Brasy7G175200.1.v1.1 annot-version=v1.1 MWDLTPSVSPIRTPDSCLSRVLPHRSTANQHLALPPLTAPPSALATNPARSAPTSHAAGPPPFVAVDSEEVASRERRKKVARAGDLPCGGKTQPRSRLASTNTGAIEFAAGAYAGEISSTADRKVSKYSGETTQITGFFLPNLSFGVMVH* >Brasy7G199400.1.p pacid=40046263 transcript=Brasy7G199400.1 locus=Brasy7G199400 ID=Brasy7G199400.1.v1.1 annot-version=v1.1 MDRSKEEFLEQFGADYGYPDAPRGVDELRAADFKRLEGTVYLDHAGATLYSEAQMADVLKDLTSNVYGNPHSQSDSSMAATDLVTAARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEDGDLEKNHGSPSPSMFKISRHSNQRRGENVLSHNCGNGSLSAIPGNNWNLFAFPSECNFSGQKFNLNLVKLIKEGKIAELPSQQQGQWMVLIDAAKGCATEPPNLGVYPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFAKQRTNIEQVLEDGTISFLSIASLRHGFKIIDMLTTSAIARHTASLATYVRKKMLEMNHNNKKNVCIIYGQQASKVADLKTSPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYISTYQDAEEFLKFLESSFLSKPIAFNNGYTLNMSTLNLVDNRSQQVVPDVCLKSIIIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEILTQKRVPELGSIRTLINLELGKLFVESPKRKDKLQISLLENLTHLTAEVDVYGQRYEVESYDDKVNTWFSEVIGRHCTFVRCSNSKKRSCTFAGKNGRLCRDTRSKLSFVNEGQLLLISEQSVSDLNSRLSSSNGNGKQRMLVDAMRFRPNIVISGSMPYEEDNWKELCIGEAYFTSMGGCNRCHMINLHQSSGQVIKSKEPLATLASYRREKGKILFGVLFNYEDGLDGEEETVAERWLQVGQEVHPSTW* >Brasy7G199400.3.p pacid=40046264 transcript=Brasy7G199400.3 locus=Brasy7G199400 ID=Brasy7G199400.3.v1.1 annot-version=v1.1 MDRSKEEFLEQFGADYGYPDAPRGVDELRAADFKRLEGTVYLDHAGATLYSEAQMADVLKDLTSNVYGNPHSQSDSSMAATDLVTAARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEDGDLEKNHGSPSPSMFKISRHSNQRRGENVLSHNCGNGSLSAIPGNNWNLFAFPSECNFSGQKFNLNLVKLIKEGKIAELPSQQQGQWMVLIDAAKGCATEPPNLGVYPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFAKQRTNIEQVLEDGTISFLSIASLRHGFKIIDMLTTSAIARHTASLATYVRKKMLEMNHNNKKNVCIIYGQQASKVADLKTSPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYISTYQDAEEFLKFLESSFLSKPIAFNNGYTLNMSTLNLVDNRSQQVVPDVCLKSIIIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEILTQKRVPELGSIRTLINLELGKLFVESPKRKDKLQISLLENLTHLTAEVDVYGQRYEVESYDDKVNTWFSEVIGRHCTFVRCSNSKKRSCTFAGKNGRLCRDTRSKLSFVNEGQLLLISEQSVSDLNSRLSSIHGRM* >Brasy7G199400.2.p pacid=40046265 transcript=Brasy7G199400.2 locus=Brasy7G199400 ID=Brasy7G199400.2.v1.1 annot-version=v1.1 MFKISRHSNQRRGENVLSHNCGNGSLSAIPGNNWNLFAFPSECNFSGQKFNLNLVKLIKEGKIAELPSQQQGQWMVLIDAAKGCATEPPNLGVYPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFAKQRTNIEQVLEDGTISFLSIASLRHGFKIIDMLTTSAIARHTASLATYVRKKMLEMNHNNKKNVCIIYGQQASKVADLKTSPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYISTYQDAEEFLKFLESSFLSKPIAFNNGYTLNMSTLNLVDNRSQQVVPDVCLKSIIIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEILTQKRVPELGSIRTLINLELGKLFVESPKRKDKLQISLLENLTHLTAEVDVYGQRYEVESYDDKVNTWFSEVIGRHCTFVRCSNSKKRSCTFAGKNGRLCRDTRSKLSFVNEGQLLLISEQSVSDLNSRLSSSNGNGKQRMLVDAMRFRPNIVISGSMPYEEDNWKELCIGEAYFTSMGGCNRCHMINLHQSSGQVIKSKEPLATLASYRREKGKILFGVLFNYEDGLDGEEETVAERWLQVGQEVHPSTW* >Brasy7G199400.4.p pacid=40046266 transcript=Brasy7G199400.4 locus=Brasy7G199400 ID=Brasy7G199400.4.v1.1 annot-version=v1.1 MFKISRHSNQRRGENVLSHNCGNGSLSAIPGNNWNLFAFPSECNFSGQKFNLNLVKLIKEGKIAELPSQQQGQWMVLIDAAKGCATEPPNLGVYPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFAKQRTNIEQVLEDGTISFLSIASLRHGFKIIDMLTTSAIARHTASLATYVRKKMLEMNHNNKKNVCIIYGQQASKVADLKTSPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYISTYQDAEEFLKFLESSFLSKPIAFNNGYTLNMSTLNLVDNRSQQVVPDVCLKSIIIYPVKSCQGFSVQSWPLTTGGLKYDREWLLQGSGGEILTQKRVPELGSIRTLINLELGKLFVESPKRKDKLQISLLENLTHLTAEVDVYGQRYEVESYDDKVNTWFSEVIGRHCTFVRCSNSKKRSCTFAGKNGRLCRDTRSKLSFVNEGQLLLISEQSVSDLNSRLSSIHGRM* >Brasy7G076600.1.p pacid=40046267 transcript=Brasy7G076600.1 locus=Brasy7G076600 ID=Brasy7G076600.1.v1.1 annot-version=v1.1 MADTRGGWSWDVPGFQPAASASAAAMPLAPPTAMPRAPPTAMVARSSEGPPRAAGAMPVADRLDQLVDSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETETRITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGPSAVEFPDDLTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNISIFAYGQSRSGKTHTLEGSSHDRGLYLRCFEELFDLSNSDTTSTSHFNFYFTACELYNDQVWDLLSESRSTVPKVRMGVQESFVELVQEKVENPLEFSGALKMALQNQSVNSMKTIVSHLIITIHIHYRNCVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDLLHVSKSLSALGDALASLSAKKEPVLSGNSRLTQILADSLGSSSKILLVVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRKVLHEKEKEVSDLRQEALGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQTDLKSENLMLIDEHKIEKEQNNQLREQISRLLEVEQKQKIKMQERDLTIQSLQAKHKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGNSPQAPSPSSNQATNAQGRDIGRSNSAKIQSPDVFQSALSQDKTGNSGAIVKSSNELAKTTPAGEYLTSALMDFDPNHFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPIERFLEKSNTGRSRSSSRGSSPGRSPVYHHDHGSRIAVIDEHVHGFKVSIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGGATGQLELLSTAIMDGWMAGLGTAQPPSTDALGQLLSEYAKRVYTSQLQHLKDIAGTLATEEADDPVHVSKLRSALESVDHKRRKIMQQMRSDTALLTKDEGGSPIRNPPTAAEDARLASLISCDNILKQVKEVIKQSSTRPLRKSKKKALLDSLDDLLAQMPSLLDIDHPCAQKQIMEARNAVESLQEDPDPVPDPNSSSNPLGESEVSQWNVLQFNTGTTAPFIIKCGANSSCELVIKADQRVQEPKGGEVIRVVPRPSVLAEMGFEEMKGVFEQLPEAVSLLALARSADGTRARYSRLYRTLASKVPALKEIVAEMESGGVFKDVRS* >Brasy7G169900.1.p pacid=40046268 transcript=Brasy7G169900.1 locus=Brasy7G169900 ID=Brasy7G169900.1.v1.1 annot-version=v1.1 MRPVIRGSGVMAVGGGGGDGTVQAPVGAHGHPAGLWRTPTPYLFLGFAVMMVLIAAALLVLVCTRRKPSSRRQGEDEEAAARAMAMAPLDREPKVVVIMAGDRLPSFLASARPFAAPAAMADAA* >Brasy7G046300.1.p pacid=40046269 transcript=Brasy7G046300.1 locus=Brasy7G046300 ID=Brasy7G046300.1.v1.1 annot-version=v1.1 MGHVYPFPWPASPLQKPARRPSNTPQFALAPNVTVSILIQQATANSLSSSSSLSPEPQEEPIPKSPTLAPSPPRLRSSLQKQPATMDQFPDRQHVRLRSLELGTYLHAAADGIEVVLHPDRASVTAAWTVHRYQNEHGNTYLLLHSAASGRYLAAATNTRAPRGQSGFRVEQREFDEPEVASIMWQVIRPGNFVLLRHVGANFLRSNGRRLFNWNSGVTVDKYQTMGTMMRWVVEPIPPLQAYPGVPAPIAEPLSSPQFTCILFGRDPPPVRAIRFQRANDDGTFNEDGWREFQFTGTSSYRLLYELIVRLDIVNFVMCVRAGRYARLTPLLSNLPSGTGDTLYIVVIHNMTPGADELRFPDMGAA* >Brasy7G033100.1.p pacid=40046270 transcript=Brasy7G033100.1 locus=Brasy7G033100 ID=Brasy7G033100.1.v1.1 annot-version=v1.1 MGFLITTIIFFLAGFVASCLALVCCNRGPSTNLFHVTLVITATICCWMMWAIVYLAQMKPLINPILSGE* >Brasy7G080500.1.p pacid=40046271 transcript=Brasy7G080500.1 locus=Brasy7G080500 ID=Brasy7G080500.1.v1.1 annot-version=v1.1 MQVATGAENVRRAFPAAGAGDEVLPVLLATAEDRPVDPVIWGDEQRMKRELMAWAKAVASTALDVVSSPAPPSASVRRHGTECTA* >Brasy7G167200.1.p pacid=40046272 transcript=Brasy7G167200.1 locus=Brasy7G167200 ID=Brasy7G167200.1.v1.1 annot-version=v1.1 MEAAARKTVCVTGAGGFIASRLVKLLLSRGHHAVRGTVRDPGDGKNAHLKVLEGAEERLQLVKADLLDYDSLASAVAGCEGVFHVASPVPSSRSNNPEAEVIAPAVTGTLNVLKACYEAKVKRVIMVSSVAAVAVNPNWPKGKAFDEDSWSDVDLCRKNEDWYFLSKTLAEHEAFAYAAKTGLNIVTILPSLVIGPLMQSTVNSSSKILLNYFKGEHETVENKLRNIVDVRDVADALLFTYENIEASGRYICSSSPIKVSDMINILKTLYPTYTYPKNFAEVEGNIVFSSEKLQKLGWTFRPVEETLRDSVESYRASGILN* >Brasy7G144000.1.p pacid=40046273 transcript=Brasy7G144000.1 locus=Brasy7G144000 ID=Brasy7G144000.1.v1.1 annot-version=v1.1 MEYQGQHGQATNRVDEYGNPVAGHGGTTAGIGTGAAAGGHFQPSREEHKTGTGILHRSGSSSSSSSEDDGMGGRRKKGIKDKLPGGGHKDGQQTTATGGTGTYGQQTGHTGIAGTGAHGTTAGTGGTYGQHGHTGVTGTGTDGTGEKKGIMDKIKEKLPGQH* >Brasy7G095500.1.p pacid=40046274 transcript=Brasy7G095500.1 locus=Brasy7G095500 ID=Brasy7G095500.1.v1.1 annot-version=v1.1 MICHVQLTGIGICCCCSPSLLPGSLSISSNWNFTCNMGCNGWSRFLPQDLSQVILEFLMRLGMAHNGTTLTFMEKLRWYRTDCQLLKLIELSILYIEQVLHSEFFTNAMVYYIIV* >Brasy7G053100.1.p pacid=40046275 transcript=Brasy7G053100.1 locus=Brasy7G053100 ID=Brasy7G053100.1.v1.1 annot-version=v1.1 MSCSGCNRPGAPGVHMAAAASQLLAAVALFSVFVLLNVGAGAEAVSASSPPSSSPQLTTDLSGAGTARRRPSVERELDAARAAIRRAARRRHADHGGGGNASSAPEFQDWFRGADSAEHARLARVYRNPAAFHRSYVEMERRFKVHVYPEGEPPILHAGPCKNIYTIEGRFIEQLELMAPGGAGVRTWDPERAHAFFLPFSVSQMVQFAYVPLSHDRAPLRALVADYVRVVAARHRFWNRSSGADHFMLSCHDWGPEASRGDPELYGNGIRALCNANTSEGFRPGKDVSIPEINLYDGDTPRQLLLPAPALAERPYLAFFAGGRHGHVRDLLLREWKGRDPATFPVYEYDLPTTTTTTAGGGADKQHDYYSYMQRARFCLCPSGHEVASPRVVEAIHAGCVPVLVSDGYAPPFADVLRWEGFSVSVPVADIPRLREVLESIPAAEVERLRDGGRLVKQHFTLRQPPERLDMFHMILHSVWLRRLNFRLNRLSS* >Brasy7G092300.1.p pacid=40046276 transcript=Brasy7G092300.1 locus=Brasy7G092300 ID=Brasy7G092300.1.v1.1 annot-version=v1.1 MSLGMASPTSFLALTTLQHKSASAMEVDGGEHGGDDEDGGRGGGGRHGADGFCERVERRDAGGGEAEVEAGEDAVGVGSRDTVSGIKDEQEVRVGGEVGEGGSGGGEERDGVEVAKVRAAADGEDRPERGGEGREERRGGRGGVREGGGERGEGGGDGGAVATESRRGGHRGEERGEEAGTTIGSGDFQGQYAKLSLFS* >Brasy7G079600.1.p pacid=40046277 transcript=Brasy7G079600.1 locus=Brasy7G079600 ID=Brasy7G079600.1.v1.1 annot-version=v1.1 MDERKGSSSPLLFLVLLAAVLHLPGAPRCATAATNHPRMLFLVKPDPIVLHDHGGGLLTGNVTVNILYYGRFAPAQRAIVADFVRSLSAADAPHPHAANAAAPSVASWWRATSLYRGGGARLRLGRQQLILDDKQRSLSPENFTAMARRAGHHRGGSITAVLTAADVRVTSFCASRCGVHDHARAGAHGKARFAFLWAGNPAQQCPGQCAWPFHRAPAQTAGPPPLVPPNGDVGMDGLVISLAALLAGTVTNPYGDGYYQGEAGAGLEAATACAGVFGSGSYPGYPGKLLKDRATGASYNAVGLGGRKYLLPALWDPKTSQCRTLG* >Brasy7G159300.1.p pacid=40046278 transcript=Brasy7G159300.1 locus=Brasy7G159300 ID=Brasy7G159300.1.v1.1 annot-version=v1.1 MGVAGHNDPLLGETTCGSLLQQLQLIWDEVGESDDDRDKMLLQLEQECLNVYRRKVDQASTSRARLLQQLANSKSELTRLLSSLGELSISGVIIPDKTTGTIKEQLAATSPFLEQLCRKKEKRVKEFADVQLQIQTIRGEIAGSLQVGDHLEMPHVDEDDLSMKKLNEYLFELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTAVHPSLNDSVGADSKSISDETISRLSKMVIELKEEKVKRLDKIQALASQLTDLWNLMDTTVEERQHFDHVTCNMSSTSDRVTVPGALALDVIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYARAHIAVDTSAARDRILSVIDSSMFEPSELLADMENQILKAKEEAFSRKDILEKVDRWMLACEEESWLEDYNRDDNRYSATRGAHLNLKRAEKARVLVIKIPGIVDTLVAKTHAWEQEHMTTFTYDGIALLAMLDEYRILRQEKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSAKKVAGPRANGGAVNGTPNRRLSALQNNSRSASRDGRRDIARPVAPVNYVALAKDDVASQASST* >Brasy7G195800.1.p pacid=40046279 transcript=Brasy7G195800.1 locus=Brasy7G195800 ID=Brasy7G195800.1.v1.1 annot-version=v1.1 MPSWRDGSSDDSYSFLDHCRRSDSSDSEGGGRQVEDGWPDWIRDPDYNGEETEVEMQCFCDLPVCRYVAFHGTNLGRKFLGCPHEDKKRCTFVRWVDHVWCPQLKRSLRKLWWMLQDKDLEKETAVWDGNAKVSALMVSMEDEKMKMQIEEADRLMVLEARNAILMQMVRAEVEKYKRWSAFAFGVAVCIAAIIAVFMLKNA* >Brasy7G031000.1.p pacid=40046280 transcript=Brasy7G031000.1 locus=Brasy7G031000 ID=Brasy7G031000.1.v1.1 annot-version=v1.1 MASLRPLDPSLATAAAARPRGGPVAPPSAALPLRRGGALRGRRRGLLSCRAASPSAAEITKDKNRTDPSKVLLRVFLEHQVKFGEHVGVIGSTKELGSWKKHVELVWTQDGWVGQLELPGETPVEFKFVIILEGGKGKTWEDGNNRVIELPKGGTFDIVCHWNMTEEPLDLSGTSKVHRVSRNTAPKEVGDVSVTGNGDLTPETDSSNFGGQWQGSDAVFMRSNEHHNKSSDRMWDTSGLGGMTLKLVEGDKVSRNWWRKLELVRELLSEPVDDQSRLEALICSAIYLKWIYTGQISCFEDGGHYRPNKHAEISRQIFRELEKMYYTKGISAEDVLVIRKIHPCLPSFKSEFTATVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRSAGPEDLIATEAMLNRVTKNPGEYNEAFVEQFKIFYSELKDFFNAGSLFEQLESIKESLNESGLEALSSFVKTKKSLDQVKDVKDLEVLMKTLQSLSSLRSVLMKGLESGLRNDATDAGIAMRQKWRLCEIGLEDYSFVLLSRYINGLEALGGSASLAQCVGRDTSVWDDALDALIIGINQVGFSGWKPAECIAIGNELLSWKQKGLSENEGSEDGKYIWALRLKATLDRARRLTEEYSEALLSIFPGNVEVIGKALGIPENSVRTYTEAEIRAGVIFQVSKVCTVLLKAVRSVVGSSGWDVLVPGVAHGALIQVERIIPGSLSSSIKGPVVLLVNKADGDEEVKAAGENIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIADLRLLEGKHVRLGASSSDVDLSVVSDENVDDISSEPSSTQKHSNEFSLPVATDKLSYMPEPKSYTSGENGSSSVLELAEASIESSGAKAKSCGTLSVLASLSNKVYSDQGIPAAFKVPSGAVIPFGSMEDALKKSGSVESYTSLLERIEAAEVESGELDSLSSELQATVSLLSPTEQTVESLKTIFPEDARLIVRSSANVEDLAGMSAAGLYDSIPNVSLSDTKSFGAAVARVWASLYTRRAILSRRVAGVPQRDAKMAILVQEMLEPELSFVLHTVSPSDHDTRVVEAEVAPGLGETLAAGTRGTPWRLSCDKFDTNVTTVAFANFSEEMVVLNSGPADGEVVHLTVDYSKKALSVDGTFRKQFGQRLAAIGQYLEQRFGSAQDVEGCLVGEDVFIVQSRPQP* >Brasy7G031000.2.p pacid=40046281 transcript=Brasy7G031000.2 locus=Brasy7G031000 ID=Brasy7G031000.2.v1.1 annot-version=v1.1 MSCCFLLIYMLLMKRDLTMRGTPRRGNRTKDKNRTDPSKVLLRVFLEHQVKFGEHVGVIGSTKELGSWKKHVELVWTQDGWVGQLELPGETPVEFKFVIILEGGKGKTWEDGNNRVIELPKGGTFDIVCHWNMTEEPLDLSGTSKVHRVSRNTAPKEVGDVSVTGNGDLTPETDSSNFGGQWQGSDAVFMRSNEHHNKSSDRMWDTSGLGGMTLKLVEGDKVSRNWWRKLELVRELLSEPVDDQSRLEALICSAIYLKWIYTGQISCFEDGGHYRPNKHAEISRQIFRELEKMYYTKGISAEDVLVIRKIHPCLPSFKSEFTATVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRSAGPEDLIATEAMLNRVTKNPGEYNEAFVEQFKIFYSELKDFFNAGSLFEQLESIKESLNESGLEALSSFVKTKKSLDQVKDVKDLEVLMKTLQSLSSLRSVLMKGLESGLRNDATDAGIAMRQKWRLCEIGLEDYSFVLLSRYINGLEALGGSASLAQCVGRDTSVWDDALDALIIGINQVGFSGWKPAECIAIGNELLSWKQKGLSENEGSEDGKYIWALRLKATLDRARRLTEEYSEALLSIFPGNVEVIGKALGIPENSVRTYTEAEIRAGVIFQVSKVCTVLLKAVRSVVGSSGWDVLVPGVAHGALIQVERIIPGSLSSSIKGPVVLLVNKADGDEEVKAAGENIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIADLRLLEGKHVRLGASSSDVDLSVVSDENVDDISSEPSSTQKHSNEFSLPVATDKLSYMPEPKSYTSGENGSSSVLELAEASIESSGAKAKSCGTLSVLASLSNKVYSDQGIPAAFKVPSGAVIPFGSMEDALKKSGSVESYTSLLERIEAAEVESGELDSLSSELQATVSLLSPTEQTVESLKTIFPEDARLIVRSSANVEDLAGMSAAGLYDSIPNVSLSDTKSFGAAVARVWASLYTRRAILSRRVAGVPQRDAKMAILVQEMLEPELSFVLHTVSPSDHDTRVVEAEVAPGLGETLAAGTRGTPWRLSCDKFDTNVTTVAFANFSEEMVVLNSGPADGEVVHLTVDYSKKALSVDGTFRKQFGQRLAAIGQYLEQRFGSAQDVEGCLVGEDVFIVQSRPQP* >Brasy7G047900.1.p pacid=40046282 transcript=Brasy7G047900.1 locus=Brasy7G047900 ID=Brasy7G047900.1.v1.1 annot-version=v1.1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQSSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEAIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFGGWDKKHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPDAMGKLLAKAGLTQPAPDA* >Brasy7G004100.1.p pacid=40046283 transcript=Brasy7G004100.1 locus=Brasy7G004100 ID=Brasy7G004100.1.v1.1 annot-version=v1.1 MMEVVVESKKPWDQFKEDVEIMEEETAAGTLLTRETKKKLLVDLGANANGEEEEGGELKAEEVLAEDHQQQQQQHKSIFFDPTKVPEIITEAMRFGAQNEDADATPSPKGKELEAHENGNAQEINDTPNNGKLENGSHSNGSHQVSNGSDTKAAHMFERIGRSEINPTEAEIEAHENGTGQEVIHTPNNYELEHESYSSDSHQVSNGSETKIAYVFETRREQIYPTEADKGEHVTEAKPHIEEYDLEKIIDQQETHDLYCPNCNSCITRRVILKKRKRTPRIPDEPTKKPHTEEQPSTAAPERDGQESPEVFRCLSCFSFFIPTGCGLNIFRIFGGRDLHQQADVQQPPAPEQIPQSENCASWLLSCFQPGDGPNQAYADAGSATTPLLPDKQSSSGTITTAATSTSVHSHGTVGKPDQSTESSSQVQTTTTTTITTTTATGSTSSTTTSEAASSSSHTTSATGFLQTEVIEAGTGQILLPKPAGVAMTDATHLPGKEGTETAIFQNDPFPRPTIPTSGFGEIPSIIPRGDKPIPQAADAPHHLALPVFDAPATENRPLVPTSGQRDEWDILKSIVYGGLVESVTSLSIVSAAAASGAKTLDIFILGIANLIGGIPLIFHNITDLRNLGDVNGNDEQVGHYWLQLGRRSKFRLHMVLALLSYIMFGLLPPVLYGLSFRKSDDRENKMMTVAAASLACVALLALGKAHVSRPRTYFKTLMYYLTIAVSASGLSYVAGVLITRLLVHFGIIDQGTSAPPAPPSLSSSLPYAVGAETSAWASF* >Brasy7G195000.1.p pacid=40046284 transcript=Brasy7G195000.1 locus=Brasy7G195000 ID=Brasy7G195000.1.v1.1 annot-version=v1.1 MRLSSPAGSVLSGQAAASPEDVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIESQIPNYPNLPPQLICQLHNVTMNADPETDEVYAQMTLQPLNPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTLQPPAQELFAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPTPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDGANPGFQSLNFGGVGMSPWMQPRLDASLLGLQPDIYQTIAANAFQDPTKMSPTMLQFQQPQNIVGRAMPLLQSQILQQVQPQFQQQPYLQNINGATIQGQAQSEFLQQQLQCCQSFNEQKPQIQHQQEPHQQQQSQSMQVPQHQHMQPQKNMANYQSSFSQLSSASQSSPTTLQPVLPFSQPQSFSDTNMSSLSPSSASAMHNTLGPFSPEAASHLGMPRPTAVPVPDPWSSKRVAVESLLTSRPQATSNIEQLDSTPPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLHDENDSTTIPYSTSNFLSPSQNDFSLDQTLNSPGCLDESGYVPCSHNPDQVNQPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPSRSGWQLVFVDREEDVLLVGDDPWQEFVNSVFCIKILSPQEVQQMGKQGLELLSSAPSKRLGSSCDDYASRQESRSLSTGIASVGSVEF* >Brasy7G180600.1.p pacid=40046285 transcript=Brasy7G180600.1 locus=Brasy7G180600 ID=Brasy7G180600.1.v1.1 annot-version=v1.1 MEVELPARAASQPGKARETPPPSPVAAAASPAEDAPLLPGGEGVRRRPVSERFRQRSASLRRDVGRAAAETFLLSRLALILLRYLGIGYRWIRQFLALCCYALLLMPGFIQVLYYYFFSNRVHRSVVYGEQPRNRLDLYIPTDTTESRPVVAFITGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVKDASQGISFICNNISSYGGDPERIYLVGQSAGAHIAACALLNQAIKECGEGDTSTWSIAQLKAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLKKFSPQVMVKESASRSALPLLPHIFLFHGTSDCSIPCAESQAFLDALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIVAAIHNDDPGESAQHLPVPVARRLVPEIMLILARRVSPF* >Brasy7G180600.2.p pacid=40046286 transcript=Brasy7G180600.2 locus=Brasy7G180600 ID=Brasy7G180600.2.v1.1 annot-version=v1.1 MEVELPARAASQPGKARETPPPSPVAAAASPAEDAPLLPGGEGVRRRPVSERFRQRSASLRRDVGRAAAETFLLSRLALILLRYLGIGYRWIRQFLALCCYALLLMPGFIQVLYYYFFSNRVHRSVVYGEQPRNRLDLYIPTDTTESRPVVAFITGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVKDASQGISFICNNISSYGGDPERIYLVGQSAGAHIAACALLNQAIKECGEGDTSTWSIAQLKAYFGISGGIMEGEESLKKFSPQVMVKESASRSALPLLPHIFLFHGTSDCSIPCAESQAFLDALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIVAAIHNDDPGESAQHLPVPVARRLVPEIMLILARRVSPF* >Brasy7G085200.1.p pacid=40046287 transcript=Brasy7G085200.1 locus=Brasy7G085200 ID=Brasy7G085200.1.v1.1 annot-version=v1.1 MDGKPRQEKQARPSWGASVTGVADKSSFVSTPLPVPRLQPAADLAACAAAGDALLERCGCRLPRARRRWRLSLSPSSFPWSSYRSPSRRCRAPASWRARICRRHHLQFPARSGRPPSQPAPPSSCSALRPPPSHPVSTAGLRVRASAAVPDSQPAAATALPVLRRRG* >Brasy7G061100.1.p pacid=40046288 transcript=Brasy7G061100.1 locus=Brasy7G061100 ID=Brasy7G061100.1.v1.1 annot-version=v1.1 MPSLAFPVSTTAGLLTLAIWTRTAAGADDSPCYARDRGTGTVLTYKPCGMPSCSASDNYTAGTSYDRSLRGLLAAVPTNATAGGFFNGTAGSGPEDTAFALATCQADLPPSECARCLRSASANLTGYCPYSKTVNAAYVGCLLRYSDQPFFGVAVTDLLFYGDVAGAGVLDRDQAAFARVRNTLLDELRAAAAAASPALAAAGNLPYNSTHRMYSLAQCTRDLAAGECSRCLVALATNLPAPGARPGLDDVPWTEGASAKAYSCYVRYNLRPFYVSDIAAPSSPLSQPSEGNRISEARDAGVVIAIVLGVVVIFLSALVVYLCTKAKGKQYAEKNEDSGSLLFDLPTLRKATANFAEENKLGHGGFGAVYKGFLPNGREIAVKRLDKASEQGVQQLRNELILMAKLRHNNLAKLLGVCLKGREKLLVYEYLPNRSLDTFLFEIEKRKLLDWARRYNIIYGTARGLLYLHEDSQIKIIHRDLKASNILLDASMIPKISDFGLARLFSGDKTTSITSQIVGTLGYMAPEYAVLGHLSVKLDIYSFGVLVLEIVSGRRSTDLLESMEEGEEESNTLLSYVWANWSRGTPLEIIDPAIDRCHAPEGEVLRCIHIALLCVQANPADRPTMLGVLVMLHGEISGFPAPSKPAFIFSQISDAISGSQDYRRELAISVNEMSDSEFRPR* >Brasy7G061100.2.p pacid=40046289 transcript=Brasy7G061100.2 locus=Brasy7G061100 ID=Brasy7G061100.2.v1.1 annot-version=v1.1 MPSLAFPVSTTAGLLTLAIWTRTAAGADDSPCYARDRGTGTVLTYKPCGMPSCSASDNYTAGTSYDRSLRGLLAAVPTNATAGGFFNGTAGSGPEDTAFALATCQADLPPSECARCLRSASANLTGYCPYSKTVNAAYVGCLLRYSDQPFFGVAVTDLLFYGDVAGAGVLDRDQAAFARVRNTLLDELRAAAAAASPALAAAGNLPYNSTHRMYSLAQCTRDLAAGECSRCLVALATNLPAPGARPGLDDVPWTEGASAKAYSCYVRYNLRPFYVSDIAAPSSPLSQPSEGNRISEARDAGVVIAIVLGVVVIFLSALVVYLCTKAKGKQYAEKNEDSGSLLFDLPTLRKATANFAEENKLGHGGFGAVYKGFLPNGREIAVKRLDKASEQGVQQLRNELILMAKLRHNNLAKLLGVCLKGREKLLVYEYLPNRSLDTFLFEIEKRKLLDWARRYNIIYGTARGLLYLHEDSQIKIIHRDLKASNILLDASMIPKISDFGLARLFSGDKTTSITSQIVGTLGYMAPEYAVLGHLSVKLDIYSFGVLVLEIVSGRRSTDLLESMEEGEEESNTLLSYVSDL* >Brasy7G158000.1.p pacid=40046290 transcript=Brasy7G158000.1 locus=Brasy7G158000 ID=Brasy7G158000.1.v1.1 annot-version=v1.1 MQAWFSGSGPSPSSSSAASSQAPSLLAEWNSYASARSAEEEAGGGFGIDIEAAVRSANDRVAGTFGVVSKGVRGLPSSFPSTTSSVPSGKSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTMGCAFIIGSFFALKGPKNQLYHMISRERLPFTIGFVGSMFSTIYVSMVLHSYILSVFFSCLQILALAYYAISYFPGGSAGMKFLSSALVASVLRCFGR* >Brasy7G158000.2.p pacid=40046291 transcript=Brasy7G158000.2 locus=Brasy7G158000 ID=Brasy7G158000.2.v1.1 annot-version=v1.1 MQAWFSGSGPSPSSSSAASSQAPSLLAEWNSYASARSAEEEAGGGFGIDIEAAVRSANDRVAGTFGVVSKGVRGLPSSFPSTTSSVPSGKSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTMGCAFIIGSFFALKGPKNQLYHMISREVSVPFPCELIPLRSTILTSIVKHCSTELLQFYCTINWSY* >Brasy7G026900.1.p pacid=40046292 transcript=Brasy7G026900.1 locus=Brasy7G026900 ID=Brasy7G026900.1.v1.1 annot-version=v1.1 MIYMHAADQATIRFCYRQTHTARLAKTYLARDLVLRRDLLPAQDESGQDRAPRPGIADGAHAQQQQRAVRSLATLDAGSADPVIGRDAELDRVVCILCRRTKNCAALVGPAGVGKTAIVEALARRIAAGAVPAALAGARVVQLNVAAMLAGTKWRGMFEARLRSAIKRAEESDGRIILFVDEMHMLVGAGDYRDGTGDAANMLKPALARGRIRCVGATTDEEYRRYIETDAALERRFQKVGVEATVAILRGLKQRYQDHHGVEIQDDALVAATHLADRYIAGRQFPDKAIDLLDEACAGVKLHVEKQKQVEDEQNSSSVNAPKAGIVGTGHVAQVVSRWTKIPCTILDQEDNEKLIDLPEKLHERVVGQDEAVNLVARAVLRSRVGIGQSGQPIASFVFLGPVGVGKTQLAKALANKGVSLFATDAALDVIWSESHDPVYGARPVKMWVQKNVTTTISDMLINGEARQGSTISMDASHDKKELKYRVVKQGDQFQLPDLGGGQS* >Brasy7G051600.1.p pacid=40046293 transcript=Brasy7G051600.1 locus=Brasy7G051600 ID=Brasy7G051600.1.v1.1 annot-version=v1.1 MAILYAVVARGTAVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPSADRINRMRGEISQVRSVMIDNIDKVLERGDRLDLLVDKTANMQGNTVRFRRQARRYRSSVWWRNVKLTAALILLLLVIIYIALFFVCHGFTLPTCIR* >Brasy7G042000.1.p pacid=40046294 transcript=Brasy7G042000.1 locus=Brasy7G042000 ID=Brasy7G042000.1.v1.1 annot-version=v1.1 MLIDAPPSPQAPPSAPSSPAIRGLEGMEIDDDLASIISDELLSSSGISKAILVWRIMNAAWRCSSLNHLVETLVDIGFDPSEATDNFAFQIYRSVPHHPHQYREGGDEALSVRGAGGGGGGAGQDLSFRQMEAFLNSPIHKAEGGQVMLANVVELLTEAVEAQNLLHEDLDRLTQLMPLVAISSVA* >Brasy7G054700.1.p pacid=40046295 transcript=Brasy7G054700.1 locus=Brasy7G054700 ID=Brasy7G054700.1.v1.1 annot-version=v1.1 MLGAGAAVKAGSRPPWLGLGAAVWVQAAGGTSSAFALYSHALKVALGADQRRIALLGVACDVGDSLGLLPGVFCNKLHPALLLLVAAASGVLGYGATWLAVSGVTPALPYWLLWIALCLASNSGAWMSTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTAIYTGVLHGSAANLLLFLTLGVAAVCLLAMYFVKPCEPSLVENSSERVHFLFVQIGSALLGVYLVAATALDHVVTLTHALNYSLIAVMVLLLFAPLAIPLKMTLFRSNRVKGSSAADNNPTEPFLPPSYSGSNFGNIEDEDAADIDVLLAEGEGAVKQKRRRPKRGEDFRFREALLKADFWLLFAVFFIGVGSGVTVLNNLAQVGIAAGAVDTTISLSLFSFGNFFGRLGGGAVSDYIVRSRTLPRTVLFTCTQVVMIITYLIFALGLHATLYISVAVLGVCYGVHFSVMVSTSSELFGLKQFGKIYNFIMLANPLGALLFSSLAGYIYDLEAAKQHSTLAAVGLAAAGSDHVTVCHGPSCFRITFCVLSGMACLGTLLSVVLTVRIRPVYQMLYAGGSSSQLRSSAH* >Brasy7G044500.1.p pacid=40046296 transcript=Brasy7G044500.1 locus=Brasy7G044500 ID=Brasy7G044500.1.v1.1 annot-version=v1.1 MAAEKWTAYAAFLPLLLLLALRILPPDSPSGGGGGGETLAAPAARYVVLFVEYRPADEHRYYLEDGLREPPSPPAGSWRWVERPNPAAAYPTDFAVLEIRDAHRHAVVAAVRELGRVRDVHVDTSYSRGVLSVDRPQQQQRGKRFTAMSFECREGGGDKEAVSSSKSNSSSGSWRRKLHAQKSHVTSRFGAERLWGRGFTGKKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPASMKQALVEGASKLLGPNIYEQGAGKLDLWQSYEILENYQPRASVFPNKLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHMQVKDEGSEFSGIISGNVTLSIYSPAAQGESSPRSSMCVLYLKIMVVPTPVRSRRILWDQFHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPFTCFDASNYGTLLMVDLEDEYFNEEIQKLRDDVVHKGLGLAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANIPALNELLAPFGIAFGDKILTGDFSIDGEQSHYASGTDIVQFPAGGFLHSFELQENSKTSQDRSTPDTQNSQSQEKSKLSSILGMVEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKIVEFAGNKVRDPVLFSEAVQLKLPVSENNQQPLRRPDVNFSTYSTVTGKELICHKDSRFEVWGTKGYGIQLTGTTRKLPEYQNRGFSSTPNLTIKASDNRQDEAGSQETLSTPNANKFDDKRDYFGFIGHEEVDIGMLVASQWMVPCFAATTCLMVYLSCRVQQKRRRRRKGSATGRLTSLV* >Brasy7G044500.2.p pacid=40046297 transcript=Brasy7G044500.2 locus=Brasy7G044500 ID=Brasy7G044500.2.v1.1 annot-version=v1.1 MAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPASMKQALVEGASKLLGPNIYEQGAGKLDLWQSYEILENYQPRASVFPNKLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHMQVKDEGSEFSGIISGNVTLSIYSPAAQGESSPRSSMCVLYLKIMVVPTPVRSRRILWDQFHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPFTCFDASNYGTLLMVDLEDEYFNEEIQKLRDDVVHKGLGLAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANIPALNELLAPFGIAFGDKILTGDFSIDGEQSHYASGTDIVQFPAGGFLHSFELQENSKTSQDRSTPDTQNSQSQEKSKLSSILGMVEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKIVEFAGNKVRDPVLFSEAVQLKLPVSENNQQPLRRPDVNFSTYSTVTGKELICHKDSRFEVWGTKGYGIQLTGTTRKLPEYQNRGFSSTPNLTIKASDNRQDEAGSQETLSTPNANKFDDKRDYFGFIGHEEVDIGMLVASQWMVPCFAATTCLMVYLSCRVQQKRRRRRKGSATGRLTSLV* >Brasy7G044500.3.p pacid=40046298 transcript=Brasy7G044500.3 locus=Brasy7G044500 ID=Brasy7G044500.3.v1.1 annot-version=v1.1 MIFLPKTLSGGLYFLLKGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPASMKQALVEGASKLLGPNIYEQGAGKLDLWQSYEILENYQPRASVFPNKLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHMQVKDEGSEFSGIISGNVTLSIYSPAAQGESSPRSSMCVLYLKIMVVPTPVRSRRILWDQFHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPFTCFDASNYGTLLMVDLEDEYFNEEIQKLRDDVVHKGLGLAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANIPALNELLAPFGIAFGDKILTGDFSIDGEQSHYASGTDIVQFPAGGFLHSFELQENSKTSQDRSTPDTQNSQSQEKSKLSSILGMVEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKIVEFAGNKVRDPVLFSEAVQLKLPVSENNQQPLRRPDVNFSTYSTVTGKELICHKDSRFEVWGTKGYGIQLTGTTRKLPEYQNRGFSSTPNLTIKASDNRQDEAGSQETLSTPNANKFDDKRDYFGFIGHEEVDIGMLVASQWMVPCFAATTCLMVYLSCRVQQKRRRRRKGSATGRLTSLV* >Brasy7G066700.1.p pacid=40046299 transcript=Brasy7G066700.1 locus=Brasy7G066700 ID=Brasy7G066700.1.v1.1 annot-version=v1.1 MSGASRCLGLAVLLLLAVSGAAAAEGSSSWRGEQERDRVPRVPGQGFNASFAHYAGYVTVSEERGAALFYWFFEAADDPASKPLVLWLNGGPGCSSIAFGLGEEVGPFHINADGKGVHMNPYSWNRVANILFLDSPVGVGYSYSNTSGDILSNGDEKTAKDSLVFLTKWLERFPQYKGREFYLTGESYAGHYVPQLAQAIKRHHEASGDKSINLKGYMVGNALTDDFHDHYGIFQYMWTTGLISDNTYKLLNIFCDFESFIHSSPQCDKILDIASTEAGNIDSYSIFTPTCHASFASSRNKVMKRLRSVGKMGEQYDPCTEKHSIVYFNLAEVQKALHVNPVIGKSKWETCSGVINNNWGDSERSVLHIYHELIQYGLRIWMFSGDTDAVIPVTSTRYSINALKLPTVTPWHAWYDDDGEVGGWTQGYKGLTFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVLSDLHSDM* >Brasy7G124500.1.p pacid=40046300 transcript=Brasy7G124500.1 locus=Brasy7G124500 ID=Brasy7G124500.1.v1.1 annot-version=v1.1 MREIDRAMGARLLQAVAALMSTCTRRVQRAARRMGSASGNRVAAVPWRKAFSLPSAKSGKKGKEDGGGGGGLWRKEILMGERCQPLEFSGVIYYDADGRILAQPPRSPMRSPRPAYVANAGLH* >Brasy7G186600.1.p pacid=40046301 transcript=Brasy7G186600.1 locus=Brasy7G186600 ID=Brasy7G186600.1.v1.1 annot-version=v1.1 MECSGGKCSGGKSPGADEAGLASSFRETGMDSDAAPRWNRKNTRTCKLTTKELADKLSNIQTDEQEDDTSQSDNTTGKRKNATSRSSAKRVAPVVGKFCDTKIRLLRQIGFEDSVHIPGITKMDRAYTVDLMMSVDCDKRELQIDANTRIKLCDADVKRIFSIPCGRNKVRGIDSDEPEDTLDFIRLCIGTRGKDSYNSLEAAEYNVTREYSHPMSKEEEENFQVSFVVWIMNRFYSPTCQPNTGCRTFWGALEKPHEIVGFNWCAHVLDGTIEAARKAQYDMAAKKFVANVGGCPLLLQVKSFVGQLFFVVGLHVLV* >Brasy7G146500.1.p pacid=40046302 transcript=Brasy7G146500.1 locus=Brasy7G146500 ID=Brasy7G146500.1.v1.1 annot-version=v1.1 MAFFLVAITVLLQIWPPRIPLPRLRQRRPLPRMAIAGTPLHRIRRCHGLPRPSRARGRRDEQLFGAAAPPRVGFATTPSGRGSSGGSGMAAGWRRGGSRAGADSGGQGGRRKSGRRRRSGERGVSWQEREGKSTGKPSFCRKAQFRHQIGLRAELTKT* >Brasy7G221400.1.p pacid=40046303 transcript=Brasy7G221400.1 locus=Brasy7G221400 ID=Brasy7G221400.1.v1.1 annot-version=v1.1 MSTFSRYTKELRELIAGSSTTGPASVHYEGKLREVLSILLRDHVLPSPTANKRETTVVLKLLDYTARRNPGVFFNGRAAEVIRVIGRIFPFFADPDFGSITFDAVWSLLSLLRTGDREAYRQFFLDSMVAIEDLLYVASRRAEKPCGVPSRCLVKCLCGSFSDILESPGIFSELPHSCQPKNGPGVLVDLTGDMRWCPFATSLITLINKCLTDGTLYVEGLVSMQFVSSACSIICYGDESLHKVCFDFARIVATVMKVEILPMETIIRSITCILNQDVDDLSMFRDADYDFSMGACLHALHSSCPACIVESTAVDIVNVFASAMQTSKSSELQAAMCSAYKRIVEVCSPLLWKPEILLELLYLPKPYDKLIECIRLVVDKFGRSFICVDDNDDQNSFLRNSEGFELPKAGQKRIAQNHENISSKRQKMSESRFSAGSFMTTEFSAGIGYEVANDYAYDLRLSVESHIKFLSPDNQKAYPLEPDIAIQVLSLLCLSFGVKPETSLFIRISKQVLSWIPWICKQATKKCLFSFDISLYFEALHIVMLLQSFHPGDTKLLGNDAQFIGESSADFFHSIYVDLISLVKWVWSDGHVSAEACSDWKLKCLLVQVIATISNRLNAECDLEVLELAMHSETVEVQSEALMSLPIIVLYSGPRMLGVMFRKLESVSTLGLEKVWKSIAFSLGFLSCLNGSTNATDKVGNHCKLFLDKHFRQPISTSDLLLRGFRCPRCDIRAVHTKEQISVVDIAVLQDENVDFKINMSKAHFLFFKFLYADTSKECTISMVEVLPRILRHSSREVLLETKIEWVKCIDFLLLHGLKAVRDAFSGVVSCFLENSVMDILFSDGLGMNGGTKELNFLDKIKHAFMEAEDSHVLLTLLESTATIMKASDTQGEVFFGSFVLLIAQLDNHNHIIKMATSRLLYRCCIYCFEEGIEVFLSKHFHVRDNLYDYLSSRLLTHPRMISEFAEAIIGVKTEELIRRMVPSVIPKLIVSHPNNDQVVITLDELANHLNTGLVPLIVNLLPQVISFTLFYEDGQHLPSVLQFYHTETGTDTKEIFAAALPALLDEIVCFPGESDQTETDRRTTRISPTIQNIARILTGNDTLPEFLKNDFVRLLNSIDKKMLRSDDTKLQKLALQRIRKLVEMMGPYLSTHAPKIMVLLIFSIDKEALQMDGLDVLHFFIKQLTEVSPTSIKYVMSQVVAAFIPSLERCRECPFAHLSKIVEILEELVLKNSILLKQHIRELPLLPSLPSLSGVNKVIQEARGIMTLQDHLKDAVDGLNHESLNVRYMVACELSKLLKTRREDITALIIGEDVADLDVISALIMTLLKGCAEESRTTVGQRLKLVCADCLGALGAVDPAKFKVISSERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAAFAIQELLKLAGCQSLPNEDNGNDPSSCEISKRGQKLWGRFSGYVKEIIAPCLTSRFQLPNVNDAALPSSIYRPTMSFRRWLYYWIRKLTSHATGSRCGIFSACRGIIRYDMPTALYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSEAIVHGITQGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQPSHVMAGKEAGKSKDENHSIYVQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAYARALTYFESHVREKSGSSNPAAECSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSNLQDQLIINEKAGNWAEVLTLSEQALQMEPDSVHRHCDVLNCFLNMCHLQAMVAHVDGLVYRIPQNKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVCSTSENNASFDMGLAKIFKAMMNKDQYMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYVVKLHMLCELEDFNTLLGDESFLDKSFRADDPNFLKLTTDWENRLRCTQSSLWAREPLLAFRRMVYNMSHMNAQVGNCWLQYAKLCRLAGHYETAHHAILEADASGAPNAHMEKAKHLWNIRKSDSAIAELQQTLLNMPAEVLGNAVISSLCSLSLALPNAPVSATQASKENPDVSKTLLLYTRWIHNTGQKQSDDIKSHYNRVTELRPKWEKGFFCMAKFLDDLLVDARKRQEDKRFTSGVVAVPPSSAGSSKAPAEEKPWWDSLPTVALYYAKGLHKGHKNLFQALPRLLTLWFEFGNIYNQASSDAMKNIHHRMIGIMRGCLKDLPTYQWLTVLSQLISRICHQNAEVVKSVKRIITSVLQAYPQQALWMMAALSKSTVSARRDAAAEILQSAKKSCRRGSDNGALFVQFPSLIEHLIKLCFHPGQPKARAINISTEFSALKRMMPLGIILPVQQALTVTLPSYDSNMSDQSSFHPFSVSEHPTIAGIADDAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKYDRVKTIAQIKRIYDVCHASKIHEDVMLKTKILPMFPPVFHKWFLMTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPEVVPFRLTQNMIDGLGIAGYEGVFLKVCEITLSVLRSHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHKNLGKMYIWWMPWF* >Brasy7G119800.1.p pacid=40046304 transcript=Brasy7G119800.1 locus=Brasy7G119800 ID=Brasy7G119800.1.v1.1 annot-version=v1.1 MRRRRRGGSGGRPELRLAYGARARPLGRAIIALLPPPPPPNSACLACRGASSGCLACRRLDYLLRDDDPAAYRRLVTRAICAVAPVGSAPPPPRYSPGNAGNSQAKLVRETIKWILTDRYCRTKNVLCNGCREGAQAKCVSDLVSSPSWDILLHRIGDLLMCYILRHSSIFLPVKKSDYFQVTGVPLNVVLQKPIFASAMARNQQSRPTKGKCLMCYVWRNAVTVQNISGGNHGNGSKLGLYCSDNTQKIDALQSSGSCAVKIIKTKCSSDGCNCSNCTQKPRKRKRLYSWQRRSKQKDRSTELSKLNTSNYRVSDLLSDGSAPESNDRTHPLEPTVDKNSPAINNGVNFSAVEEPCNIHVMSSKKSPSSVMNISPSQGLYCGNSTSGIQCRSPQVPLPSYLQLNSGHFCFNCLMLNASKCVSVDSVISRQAIFYNRKISENVFRRSNLTNKRKRPDALSLIKRIFGIKGCCTKFFQCDCHGLSATNSNCLCHRLVQLVKNLIRNAKRCQYKKLFLRHCSVKSKALNDGFPSNLAKNEDNIQYSMAGKSACGDKSFAQLEAYSTYQQVVSFVWAVLTRIIPQPLLGNASSKRCLRLNIWNFIKLRRFETFQVTDCIGELNVSNYSWLSEIGFAGCFCSALIKETGLLSSTEEQKQKNLLCCWIRWLFSHIIIPLISTYFYVTERETKRYDVFYYPKSIWRDLTSNTIASLSLQNFRILHGTSRRAIKHLYRASRVRFLPKLKDMRPLVNFKTQSKDVVLNKCHLIIKKLRDENPEVFGSSVFDYDGVHKNLSSFVSSVRSKLKKFKIYIVVADVSKAFDCVNHDVLLKIMDDVLKSDEYVLRKCTKVIYSSSKNAVYRFDSNVSVSKGNNSLDFSIQPTSSGGVLVDQGTVSTIRKEELRRVLFEQVKCNIVKFGQNFYLQQVGIAQGSKLSPNLCSLYYGHLENSVLLNLLHDGKTYSGEDVSVPEYLLMRFIDDFVFISFSKQHALNFFNRMRRGFGYYNSYMNDSKYSFNFDVGYSEHCNNRLYRGDDGVSFIPWSGLLINCENLEIQADYTRYLDITIISTITVKMHSSTKYLSSKLCHYMRPKCHPIFYDSIINSPGTVRLNIYQAFLLCAMKFHCYIWSMADSSISKPELLHILKRTFRYMHGLIVNRTKDVGLQSDVRPVLKLRRKETIWLGLSAYIRVLQKKQSRYKDLLALLTAEVGRYGQMDRCSDSLRYAVDDSHSSMFWKFKY* >Brasy7G119800.2.p pacid=40046305 transcript=Brasy7G119800.2 locus=Brasy7G119800 ID=Brasy7G119800.2.v1.1 annot-version=v1.1 MCYILRHSSIFLPVKKSDYFQVTGVPLNVVLQKPIFASAMARNQQSRPTKGKCLMCYVWRNAVTVQNISGGNHGNGSKLGLYCSDNTQKIDALQSSGSCAVKIIKTKCSSDGCNCSNCTQKPRKRKRLYSWQRRSKQKDRSTELSKLNTSNYRVSDLLSDGSAPESNDRTHPLEPTVDKNSPAINNGVNFSAVEEPCNIHVMSSKKSPSSVMNISPSQGLYCGNSTSGIQCRSPQVPLPSYLQLNSGHFCFNCLMLNASKCVSVDSVISRQAIFYNRKISENVFRRSNLTNKRKRPDALSLIKRIFGIKGCCTKFFQCDCHGLSATNSNCLCHRLVQLVKNLIRNAKRCQYKKLFLRHCSVKSKALNDGFPSNLAKNEDNIQYSMAGKSACGDKSFAQLEAYSTYQQVVSFVWAVLTRIIPQPLLGNASSKRCLRLNIWNFIKLRRFETFQVTDCIGELNVSNYSWLSEIGFAGCFCSALIKETGLLSSTEEQKQKNLLCCWIRWLFSHIIIPLISTYFYVTERETKRYDVFYYPKSIWRDLTSNTIASLSLQNFRILHGTSRRAIKHLYRASRVRFLPKLKDMRPLVNFKTQSKDVVLNKCHLIIKKLRDENPEVFGSSVFDYDGVHKNLSSFVSSVRSKLKKFKIYIVVADVSKAFDCVNHDVLLKIMDDVLKSDEYVLRKCTKVIYSSSKNAVYRFDSNVSVSKGNNSLDFSIQPTSSGGVLVDQGTVSTIRKEELRRVLFEQVKCNIVKFGQNFYLQQVGIAQGSKLSPNLCSLYYGHLENSVLLNLLHDGKTYSGEDVSVPEYLLMRFIDDFVFISFSKQHALNFFNRMRRGFGYYNSYMNDSKYSFNFDVGYSEHCNNRLYRGDDGVSFIPWSGLLINCENLEIQADYTRYLDITIISTITVKMHSSTKYLSSKLCHYMRPKCHPIFYDSIINSPGTVRLNIYQAFLLCAMKFHCYIWSMADSSISKPELLHILKRTFRYMHGLIVNRTKDVGLQSDVRPVLKLRRKETIWLGLSAYIRVLQKKQSRYKDLLALLTAEVGRYGQMDRCSDSLRYAVDDSHSSMFWKFKY* >Brasy7G119800.3.p pacid=40046306 transcript=Brasy7G119800.3 locus=Brasy7G119800 ID=Brasy7G119800.3.v1.1 annot-version=v1.1 MLNAASIRNYFCGIVLSSLSYLLINLGPQSSAKGWQDTFHVGPLPLMQHNCYLEALNDGFPSNLAKNEDNIQYSMAGKSACGDKSFAQLEAYSTYQQVVSFVWAVLTRIIPQPLLGNASSKRCLRLNIWNFIKLRRFETFQVTDCIGELNVSNYSWLSEIGFAGCFCSALIKETGLLSSTEEQKQKNLLCCWIRWLFSHIIIPLISTYFYVTERETKRYDVFYYPKSIWRDLTSNTIASLSLQNFRILHGTSRRAIKHLYRASRVRFLPKLKDMRPLVNFKTQSKDVVLNKCHLIIKKLRDENPEVFGSSVFDYDGVHKNLSSFVSSVRSKLKKFKIYIVVADVSKAFDCVNHDVLLKIMDDVLKSDEYVLRKCTKVIYSSSKNAVYRFDSNVSVSKGNNSLDFSIQPTSSGGVLVDQGTVSTIRKEELRRVLFEQVKCNIVKFGQNFYLQQVGIAQGSKLSPNLCSLYYGHLENSVLLNLLHDGKTYSGEDVSVPEYLLMRFIDDFVFISFSKQHALNFFNRMRRGFGYYNSYMNDSKYSFNFDVGYSEHCNNRLYRGDDGVSFIPWSGLLINCENLEIQADYTRYLDITIISTITVKMHSSTKYLSSKLCHYMRPKCHPIFYDSIINSPGTVRLNIYQAFLLCAMKFHCYIWSMADSSISKPELLHILKRTFRYMHGLIVNRTKDVGLQSDVRPVLKLRRKETIWLGLSAYIRVLQKKQSRYKDLLALLTAEVGRYGQMDRCSDSLRYAVDDSHSSMFWKFKY* >Brasy7G020600.1.p pacid=40046307 transcript=Brasy7G020600.1 locus=Brasy7G020600 ID=Brasy7G020600.1.v1.1 annot-version=v1.1 MTPLGTRCFYQELLTSYKTYQLPISSNPSSDGGPASTHAGPAPRAHAGQHAAARGRVCRRLKEEEPLPKLQSKEGGWCIFAPTKGTCVLTS* >Brasy7G216900.1.p pacid=40046308 transcript=Brasy7G216900.1 locus=Brasy7G216900 ID=Brasy7G216900.1.v1.1 annot-version=v1.1 MAFHYQDHAAAALAMDAAAAASAGVNPNRSSSFGAGGVGGWEREKAAVEAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPAPVPPAAAAAGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNPIDTESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLIDIREEILRKRRAGKLPGDTASTLKAWWQAHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSSSDKSKRKRNNAGDGNAEQSW* >Brasy7G216900.2.p pacid=40046309 transcript=Brasy7G216900.2 locus=Brasy7G216900 ID=Brasy7G216900.2.v1.1 annot-version=v1.1 MAFHYQDHAAAALAMDAAAAASAGVNPNRSSSFGAGGVGGWEREKAAVEAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPAPVPPAAAAAGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNPIDTESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLIDIREEILRKRRAGKLPGDTASTLKAWWQAHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSSSDKSKRKR* >Brasy7G077200.1.p pacid=40046310 transcript=Brasy7G077200.1 locus=Brasy7G077200 ID=Brasy7G077200.1.v1.1 annot-version=v1.1 MKPPPGSAKAPLPWISPLLYRSPTRAAPSPPPPPPSPPPRYVDHPDLARLIASSDSGQRALDLFNAAAGQRGFSHTAATFSALLIRLARARLPSAAAAILRRAASTPCRFLEPHFLPLLRLLPPDHALALLRFLPSLLRRRRVSHKALAVCLDRLVSSRCPDVLSDLIADLRDPRNKYLPTPNTCVYNILIKHYVKNGDSETAFRVLDEMREYTCGDVRPNLVTYSTLIGGLCRAGKMKEAFELFEEMIEKDHIVPDQLTYNVIIDGFCRLGQVDKARTIFGFMRKNECEPNAFNYATLMNGHSRKGEVENVRMVFEEMKSAGVEPDAVSYTALIGCLCRHGSVNEGIDLVREMREKGCKADVVTYNLLIEGLCKDKRMVEAMDLLRSLPLEGVQLNVASYRIVMNSLCSCGDMEKAVGLLGLMLGRGFLPHYAASNDLLIGLCGVGRVADATVALYGLASVGFKPDTGCWGKLVEAVCRVRKLRRSVELLDVLISEG* >Brasy7G206700.1.p pacid=40046311 transcript=Brasy7G206700.1 locus=Brasy7G206700 ID=Brasy7G206700.1.v1.1 annot-version=v1.1 MAVREEVHKRNPRVKDESVLHTPVRVYAARYLDEMMVVTSGSSKMLTLEVRDRILAVLERDLEVKVDRLGSSVHSAVSEKMDFLGMEFQAVPQSVLHPPMSEKAKRARKMYLKRKAAQAQELKNARETRRKKLGLKILNYLFKKVRRGHEFEFGFRIEDEVRQQFKGWAEETVAEYFKSQEHCRYWHRLLTSGDFLSLNRVRDQLPPALVDSYDKLQETLNRFLMPMKGHDMAEDEERLEEEEDERQYEKRTVEDLTELKMRVNAPMELVRKAVKLAGCTNSMGRPRPIKLLLCLDDADIIKWYAGVGRRWLDFFCCCRNFRMVKIVVSYHLRFSCFLTLAEKHECTKRQAISHYTKDLKVTNEDGAAEVYFPTEREIKMMGDKNLSDPKPVDGALTMILVRFAVDDTSYPCLAHFCTGTDTLLYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCAMHASDLLLGKITLQDIDCTQFVDVE* >Brasy7G010200.1.p pacid=40046312 transcript=Brasy7G010200.1 locus=Brasy7G010200 ID=Brasy7G010200.1.v1.1 annot-version=v1.1 MLGMEEKSRVLVVGGTGYIGKRIVKASLAQGHPTYVLLRPEIGLDIEKLQVLLSFKALGARLVEASLDDHRSLVAAVKQVDVVVSAMSGVHFRSHNLLLQLKLVEAIKEAGNVKPEAAAAREPRALQAAARAALRSSTTPAMTMGWMRPWLLLMRRSPSLSERTIRG* >Brasy7G211400.1.p pacid=40046313 transcript=Brasy7G211400.1 locus=Brasy7G211400 ID=Brasy7G211400.1.v1.1 annot-version=v1.1 MTAQNKKRGVVENGDGGIGTALASFIANNEDLGPIVRRAFESGNPDALFQNLRSIAKRKEVEIEELCRLHYEEFILAVDELRGVLVDADGLKGTMAGENLRLQEVATALLQKIDEHLELDEVNKNVGEALATLKICLQVTSLCHMCNKDITQGNFQTALKTLELIEKDYMQKIPLERLQNVVQKKVPMIKLYIEKKVCGEFNEWLVYIRKFAMEIGQASIRQVSLDRQKDEGMRARQREADDYGYVGFDEHAYSLDLEDVGDEATLEFDLTPVYRAYHIHKCLGLGEKFQEYYYSNRLMQLNLDMQISTTQPFIESHQYFLAQVAGFFIVEDRVLRTADGLLSDSQVETMWEIAVSKVTSILGEQFSHMEAGNHHLLVKDYVSLLCASMKNYGYQTTSLLEVLDNNRDRYHELLLSDCRKQIEGVFAKDSYERMVIKNENEYNMNVSTFQLENIGLVPDFPYTAPFSSSVPSACRSVHFFVEASVSYMSHCGAINCYDVVKGYLEKLLKVLNDDLLKLIHVGSVEIAQMVQIAGNIAILERSCDMFLRQAAQLCGLPRRLLEMPHSGLTGRALLKASQNAAYTGLITLANSKIDEFVLLLTSINWTSEETPEHANDYMNEILIYLDMLVSAAQPILPKEALFKVISTALSHISDSIITVLLSDWVKRFNINAVVGIDIDLKMLEEFADDRFHSTGLSDLRKETSLKDCLVEIRQLTNLLLSNQPESFMNAVIRENNYGSLDHKKVTIICEKFRDAPDSLFGSLSGRSMVQNARKKSLDVLKRRLKDFS* >Brasy7G215800.1.p pacid=40046314 transcript=Brasy7G215800.1 locus=Brasy7G215800 ID=Brasy7G215800.1.v1.1 annot-version=v1.1 MAAKKKELWEGMRVLAVDEDRVCLKILEAKLRRFNYDVTPAKDGKTALRTLGKAREPFDLVITELHMPDMDALDLIRRIAIGMRIPVIVLSAYEDTKTVRNALIRSRACSYLAKPVCADELKTIWQHVIRRKNELARNHKSRGNTVADQRVQTGIAEAEQGAKSTRKNSRTKRNDGHDSNSGKKPRLRWTKELHGKFVEAIDRLGTGTNKVAPSGIFSMMNVDYMSTKNIGSHLQKYRTHLKRSSHPKARAAIKWTSCYNTSNDPAEISRHHHEHQMWPASSIFASSSSNNRFATRSIQPMTINGGSTFKGVLPMMPSETCKSKDHAEALRGLLVKTNQGKASSHLEYSFGNLIDERMLEPVNPFRPVQLPQLVNQSSFQKENAPATSSVGALGDASKFPNLVGNFNNFWQTAASSKFHDLLHKDGTSLGPSKANANIPKINQHTSFFAGAPSGQIQMSLNGLQNQQMPNAMTAFISNTTPVAGFNQQITPLAIASSTSSVEMSNGNFAPGSSTSSTSLPSLQTGNSVTLTQLVNGLSNKDALPNIEANSSVVPAQMLNAGDIVGTLHVQEGTADQQAALDGQLNDNDEYSMDDIFSFLDQNVIEEDPLLQNSIEDDALLKNSIEDADLLKNSIEDDALPKSFLEDDGFLKNSIGDHDALLQNFNEDDDLFNNFIEDDALIKNFNEDDLLLNGDWF* >Brasy7G215800.2.p pacid=40046315 transcript=Brasy7G215800.2 locus=Brasy7G215800 ID=Brasy7G215800.2.v1.1 annot-version=v1.1 MAAKKKELWEGMRVLAVDEDRVCLKILEAKLRRFNYDVTPAKDGKTALRTLGKAREPFDLVITELHMPDMDALDLIRRIAIGMRIPVIVLSAYEDTKTVRNALIRSRACSYLAKPVCADELKTIWQHVIRRKNELARNHKSRGNTVADQRVQTGIAEAEQGAKSTRKNSRTKRNDGHDSNSGKKPRLRWTKELHGKFVEAIDRLGTGTNKVAPSGIFSMMNVDYMSTKNIGSHLQKYRTHLKRSSHPKARAAIKWTSCYNTSNDPAEISRHHHEHQMWPASSIFASSSSNNRFATRSIQPMTINGGSTFKGVLPMMPSETCKSKDHAEALRGLLVKTNQGKASSHLEYSFGNLIDERMLEPVNPFRPVQLPQLVNQSSFQKENAPATSSVGALGDASKFPNLVGNFNNFWQTAASSKFHDLLHKDGTSLGPSKANANIPKINQHTSFFAGAPSGQIQMSLNGLQNQQMPNAMTAFISNTTPVAGFNQQITPLAIASSTSSVEMSNGNFAPGSSTSSTSLPSLQTGNSVTLTQLVNGLSNKDALPNIEANSSVVPAQMLNAGDIVGTLHVQEGTADQQAALDGQLNDNDEYSMDDIFSFLDQNVIEEDPLLQNSIEDDALLKNSIEDADLLKNSIEDDALPKSFLEDDGFLKNSIGDHDALLQNFNEDDDLFNNFIEDDALIKNFNEDDLLLNGDWF* >Brasy7G193500.1.p pacid=40046316 transcript=Brasy7G193500.1 locus=Brasy7G193500 ID=Brasy7G193500.1.v1.1 annot-version=v1.1 MRDPFNAPVDFIDADHRAGNELTRTNVTLSVRDYGLQNGDAKPFLPNSDTLVRNQLQGASLHNDLIAEDPSTRLMDPETKELYFRSRTQEEEILLLRKQIADASLKELQLLSEKHILERKLSDLRMAVDERQEDAISGALKQLSEKKNHLEENMRLGNDLKAEEEELYLFTSSLLGMLVEHNVRPPQIYASTITTATKRLYQQMQWKIRSLNDSLGNITQPGNIYSSNHQEATPLRNGPSSSYNVEANRNTFPQYAQDPNDRHAEYHGSTFNQDIVATAPSNYFEENAGPREVKLDDDSQFYRNDNQEYSADGDPLPGIEGFQIIGDPRPGSTLRACGFSTNGTTLCNFQWVRYLENGTRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVREFANSENKITCDQEMQNEINMYISKGRADFDVYVLPGYSPDEWEQATLVLRRTGYQINFGHKDEAGIDEKYSPNIQTKIPNGRTTQFVLVSSGGVNVPFNTQGITEPQNEDNDVRLRDLIVLVMRAFQNKALDAKRKGKA* >Brasy7G162000.1.p pacid=40046317 transcript=Brasy7G162000.1 locus=Brasy7G162000 ID=Brasy7G162000.1.v1.1 annot-version=v1.1 MAEGCGAEAEPATPAQDGTTQGLEDRRLLRSQYLAVKGQINDEKDEMASADSVKFRAIFTQVESLHQLVQRPREQIADAEALLDIATSLVTSVRSHSALGITPSHLVAGLLKKFGSQGDVDGEGASLSWGDVGLATSHVFMAVPGCCTMVGPMKAEVKPRLVRTIRKRTARSLKNACPEQLADPSETSKTDTDRNMAVLFDVLRKKKNARLESLILNRKSFAQTVENIFALSFLVKDGRVEINVNDEGHHIVYPRNAPAASAIASGEVVYNHFVFRFDFKDWKLMKEIVVEGEELMRHRFSRSTAQGNNHPEMPAQSSFGGYSDPDMPAQRSFGGNSDPEMPAAQSTFGGNNDPEMPEHTTPIRKLCRNRGLVKHARQDETTAADNQEMDVEDKTVSIDTSTLEAMVNKEFIEYRHETAKTYKRRRLFQD* >Brasy7G235500.1.p pacid=40046318 transcript=Brasy7G235500.1 locus=Brasy7G235500 ID=Brasy7G235500.1.v1.1 annot-version=v1.1 MPGSCVCDDGSGRFAFNKTMPRTCVCDVLSGSGASGGTGRGGGVVARSCGSNGVAWSSSKPASRTHTSASPIS* >Brasy7G091200.1.p pacid=40046319 transcript=Brasy7G091200.1 locus=Brasy7G091200 ID=Brasy7G091200.1.v1.1 annot-version=v1.1 MLSPAYLVFVAVLLLLLRLPAPAVAARPLIDRKPAPSEAAATARWLAGENTWGVLSTISSDLSGAPFGNVVSYSDGVPGKSHGIPYFYLTTLDPTARDVLEDDRTSLTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLVDRQSSEADLAKAALFGKHPEMEGWPKNHHFEIFKLEIENIFLIDWFGGPKPISPSEYLEYGRNLQSSLMIS* >Brasy7G006600.1.p pacid=40046320 transcript=Brasy7G006600.1 locus=Brasy7G006600 ID=Brasy7G006600.1.v1.1 annot-version=v1.1 MAAAMAGCGVPAAAVQPRWRATRTTRMRCRCCEDTLGVPRPRRRAPSAGDESQQPNQLYFPVLRPSSPSPPPRPRRIVLVRHGQSEGNVDESAYTRVPDPLIGLTPKGRRQAEDSGRRLHRLFSSSPSSGSESDDESSEENWKVYFYVSPYRRTLETLRGLGRAFSAPRIAGVREEPRLREQDFGNFQDREKMRVEKEARRRYGRFFYRFPDGESAADVYDRITGFRETLRADIDIGRFQPPTPSPATAPEMNLVLVSHGLTLRVFHAVVQVDGEPVRGARQPRQWRRGGDADRGGGEVQLAGASLRRGAPGDGADGGDDRGPGASEENIRILFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNVRSDGSDLDSQSSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDETARQQILKMLAKNLTLEAEGQFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIIARKKLLGDDENNEQDWWRLPWNESEMENLCIAMDDFENAVTMVQPSLRREGFSSVPDVTWEDVGGLDSLRKEFYRCIVRCIKYPEDYKEYGVNMQAGFLLFGPPGCGKTLIAKAVGHDAGASFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRHGVYVIGATNRIDVIDEAVLRPGRFGKKHFVPLPGADERVSILKAHAKNKPVSADVDLDTLARRQECNNLSGADLASLVNEAAMAALGEKIEFLENGTASRSLSFTREIELVHFEHALSKVKPSVSEQQRKHFDMLSKKYSAD* >Brasy7G098700.1.p pacid=40046321 transcript=Brasy7G098700.1 locus=Brasy7G098700 ID=Brasy7G098700.1.v1.1 annot-version=v1.1 MTVMPPQRHRPAAKKPMWIIVLLCMVCVMLIGAYVYPPHKYSQCYLSASSVCTSFKDWLPSIGRRERSDEEIISSAIMRDILAMPMSASKSPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFVGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQWFAITRRHALLILADNLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAEDVTYDLLKNITAVDENFHVTSDDQKLVTQKPCLWNGSKSPCYLFARKFYPETVDNLLKIFTSYTSV* >Brasy7G098700.2.p pacid=40046322 transcript=Brasy7G098700.2 locus=Brasy7G098700 ID=Brasy7G098700.2.v1.1 annot-version=v1.1 MTVMPPQRHRPAAKKPMWIIVLLCMVCVMLIGAYVYPPHKYSQCYLSASSVCTSFKDWLPSIGRRERSDEEIISSAIMRDILAMPMSASKSPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFVGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQWFAITRRHALLILADNLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAEDVTYDLLKNITAVDENFHVTSDDQKLVTQKPCLWNGSKSPCYLFARKFYPETVDNLLKIFTSYTSV* >Brasy7G098700.3.p pacid=40046323 transcript=Brasy7G098700.3 locus=Brasy7G098700 ID=Brasy7G098700.3.v1.1 annot-version=v1.1 MTVMPPQRHRPAAKKPMWIIVLLCMVCVMLIGAYVYPPHKYSQCYLSASSVCTSFKDWLPSIGRRERSDEEIISSAIMRDILAMPMSASKSPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFVGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQWFAITRRHALLILADNLYYKKFKLYCKMVDPGGIANWSVTHVDWSEGKWHPRSYRAEDVTYDLLKNITAVDENFHVTSDDQKLVTQKPCLWNGSKSPCYLFARKFYPETVDNLLKIFTSYTSV* >Brasy7G098700.4.p pacid=40046324 transcript=Brasy7G098700.4 locus=Brasy7G098700 ID=Brasy7G098700.4.v1.1 annot-version=v1.1 MTVMPPQRHRPAAKKPMWIIVLLCMVCVMLIGAYVYPPHKYSQCYLSASSVCTSFKDWLPSIGRRERSDEEIISSAIMRDILAMPMSASKSPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFVGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVYNYLMGTNISFVDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAEDVTYDLLKNITAVDENFHVTSDDQKLVTQKPCLWNGSKSPCYLFARKFYPETVDNLLKIFTSYTSV* >Brasy7G157500.1.p pacid=40046325 transcript=Brasy7G157500.1 locus=Brasy7G157500 ID=Brasy7G157500.1.v1.1 annot-version=v1.1 MACLARFYSTTPISLLSLRLRLRISSLCWDPTAAFAAATERFRAGTLSPEDAHHLFDELLQQATLVPSRSLDAFFAELARAPASDACRDGPALVVALFNRVLPCPRVAPLTVYTYGILMDCCCRSRRPELGLAFFGRLLTTGLKADVVVGSSPVPSSSAYATLNGQMKL* >Brasy7G175700.1.p pacid=40046326 transcript=Brasy7G175700.1 locus=Brasy7G175700 ID=Brasy7G175700.1.v1.1 annot-version=v1.1 MSPRRLAPLLPNPRLLLRSLNPSLDMSPAAAAHLTTDADPDEDICSSTVEAAAASEEIAPPVPLPPPPASAEERVERAWAHWRRLGAPRLVVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKNRNMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPHCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYARMLEEAGASLVAVHGRTRDEKDGKKYRADWDAIKAVKDALRIPVLANGNIRHMEDVKNCLEHTGADGVLSAEPLLENPALFAGFRTKEWKEDEDKDSGLDQTDLAIEYLKLCEQYPVPWRMIRSHVHKMLGDWFKVHPQVREEFNAQPILTFEWLHDMVKKLKELGGGIPLYRGIPIQSHSDTIGGGLAASKA* >Brasy7G138800.1.p pacid=40046327 transcript=Brasy7G138800.1 locus=Brasy7G138800 ID=Brasy7G138800.1.v1.1 annot-version=v1.1 MIDFLCKEGDLAEARTLLSRMKAIGCSPDVVTYNSLIDGYGKCGELEEVEKLVGEMRGCGCRPDVVTYNALVNCFCKFGRMERAYSYFAEMKREGVMANVVTFSTFVDAFCKKGMVREAMKLFAQMRMKGMKPNEVTYTCLVDGTCKAGRLDDALVLTNEMVQQGVPLNVVTYTVLVDGLCKEGKVAEAEDVFRLMERAGIRANELLYTTLIHGHFVYKNSERALSLLSEMKDKGMELDVSLYGALIWGLCNLQKLDEAKSLLNKMDECGLKPNNVIYTNIMDACFKAGKELEAIALLQKMMDSGFRPNIVTYCALVDGLCKAGSIDEAISHFNKMVDLGLEPNAQAYTALIDGLCKNGRLDKAVLLLDEMVDKGMSLDNVVCTSLMDGHLKQGNLQDAFALKAKMINSGLQLDLYGYTCFVWGFCNLNMIQEAREVLSEMIENGITPDAVVYNCLINKCQKLGNMEEAAILQNEMESLLSCTNGDTASGSDG* >Brasy7G030300.1.p pacid=40046328 transcript=Brasy7G030300.1 locus=Brasy7G030300 ID=Brasy7G030300.1.v1.1 annot-version=v1.1 MGCEMLHETKAPAASAAVISAADVHNKPLSSLVPRSNGKYRLSKSSIRDIISLKPQTLPTTDYMDTLAPLFPPEWLEEKKREHEEDVVRCRQMNAEREEFQQQVIESVMKNGYFEVDQEFLASMKASHESNMKVWASIDFSRVQIATPEEEILNAEGYHYPDDDDDALLSEDEEVEYICSLVTED* >Brasy7G155000.1.p pacid=40046329 transcript=Brasy7G155000.1 locus=Brasy7G155000 ID=Brasy7G155000.1.v1.1 annot-version=v1.1 MRLLSSGLKMEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.2.p pacid=40046330 transcript=Brasy7G155000.2 locus=Brasy7G155000 ID=Brasy7G155000.2.v1.1 annot-version=v1.1 MRLLSSGLKMEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.3.p pacid=40046331 transcript=Brasy7G155000.3 locus=Brasy7G155000 ID=Brasy7G155000.3.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.4.p pacid=40046332 transcript=Brasy7G155000.4 locus=Brasy7G155000 ID=Brasy7G155000.4.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.5.p pacid=40046333 transcript=Brasy7G155000.5 locus=Brasy7G155000 ID=Brasy7G155000.5.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.6.p pacid=40046334 transcript=Brasy7G155000.6 locus=Brasy7G155000 ID=Brasy7G155000.6.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.7.p pacid=40046335 transcript=Brasy7G155000.7 locus=Brasy7G155000 ID=Brasy7G155000.7.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G155000.8.p pacid=40046336 transcript=Brasy7G155000.8 locus=Brasy7G155000 ID=Brasy7G155000.8.v1.1 annot-version=v1.1 MEGAEKTALGFPELPKMEMHSPPLSHSDDDDETEADDSDGEEEEGGAGVGSDGGGGCGAGVGRPPLKKGPWTPDEDKRLKTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQMVIELHARHGNKWAKMAGYLEGRTDNEIKNFWNTRSKRLSKAGKDLYPDGLLSRVANQDMNCHSPDDSHGKKRQNELSQGNGRDFDDIIFETLDYKKTENFLAPFYTGPLTVDAMTPLKCQASSSSIGSGYNGSLTCEQYLEEPEKTYHSIDMNSGIIKNQFASGSPILHSSFSTPGTFQRPVKIELPSFQCGTEVIGSCGTWTRGPFNAPRVFFFNLWCQSP* >Brasy7G022400.1.p pacid=40046337 transcript=Brasy7G022400.1 locus=Brasy7G022400 ID=Brasy7G022400.1.v1.1 annot-version=v1.1 MADPYRSYLPSSSYERVTQGNYPGYPPAEDYYTSRMAALRGVSSIPRSDVPVQSRAYGLEPRAYGLDGPTGVSHPALAGLGALPAGARPLETSPLELPAVARPRGASPLEDPALVRRSSSLGRSATIPDIERPNPVLNVDRPSEDESNILFVDGLPTDCKRREVAHLFRPFVGFKDLRLVHKEPRRSGDKAYVLCFVEFNDAKCAFTAMQALQEYRFDERKPDAPVLKIQFTRFPFRLPAAHDDRRLPSAR* >Brasy7G064100.1.p pacid=40046338 transcript=Brasy7G064100.1 locus=Brasy7G064100 ID=Brasy7G064100.1.v1.1 annot-version=v1.1 MTIVGNSAAAAANTGEGQTVCVTGAGGYIGSWIVKLLLDKGYAVRGTVRNPDDAKNAHLRALEGAAERLVLCKADLLDGAALRRAIAGCHGVFHTASPVTDDPEEMVEPAVQGTRHVIAAAAESGTVRRVVLTSSIGAVAMDPSRSPDAVVDESCWSDLDFCKNTKNWYCYGKTVAEKEAWEAAAERGVDLVVVNPVLVEGPALQPAVNASLMHVLKYLDGSAKTYANAVQAYVHVADAAAAHVAVFEAPAAAGRYLCAADGGVLHRGDVVAILTKLFPQYPVPQRCSDEVNPRKEPYKISNQRLRELGLEFRPVAQCLYETVVSFQEKGILPAPAQP* >Brasy7G015100.1.p pacid=40046339 transcript=Brasy7G015100.1 locus=Brasy7G015100 ID=Brasy7G015100.1.v1.1 annot-version=v1.1 MAAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNAIIGPALIGKDPTEQVDIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYSEKDQTYDLNFKEENNDGSHKISGDSLKDMYKSFVSEYPIVSIEDPFDQDDWTTYAKMTAEIGQQVQIVGDDLLVTNPTRVAKAINEKTCNALLLKVNQIGSVTESIEAVSMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGANFRAPVEPY* >Brasy7G210500.1.p pacid=40046340 transcript=Brasy7G210500.1 locus=Brasy7G210500 ID=Brasy7G210500.1.v1.1 annot-version=v1.1 MPGGVGGGGSGAGIDFAAVIQAAVVGFVLFTAAVAAVRRAASRYFVVDAAGFASAYDDHHHINSGSGDAYPMPPQENHRQKQQPMAQGQGQGQAAADGGGEMGLCAECGRASIKRCSGCKRMRYCSGECQSKHWRSDHKFKCKQMKLDSVDKLPCGGEASSKKSSVFGRISLVPGHRKLNKVIFPYDEFLKLYNWRDHDFLPCGLVNCGNSCFANVVLQCLSCTRPLVAYLLWKDHTRECSRRPEDWCFLCELQSHIQRATDSLHPFAPMNILSHLPNIGGNLGFGKQEDAHEFMRFAIDKMQSACLDEFGGEKVVDLSIQETTIIQHIFGGRLRSQVQCTACGVVSNRYENMMDLTVEIHGDAESLEECLNQFTAVEWLDGDNKYRCDGCSDYVKAQKHLTVHQAPNILTVTLKRFQVGRGRFGKLNKRVTFPTKLDLTRYMSTTDGSDQYDLYAVVVHLDMMNASYFGHYICYIKDFRGRWRKVDDCKVMIVDEEEVHAQGAYMLLYSRRTARPGPLLTVREPVKQEKQCGVPPSDGQNHLLPMDVTLKCESLSKPLEDLREDSESSNESLHKMSIDQESDLDLHINIERDKFITNQSLHLPVTSASHVLEEATRGSGSLLEDNATMRSDQFGNSACESSSVHSSEEESKEPAPEADYMDIDTEAGAEVERRNVQEQSVTVLSDSAGVIGNKTLVPTFENGTVRKPKPLFSPGFLDKPSRKKSSFLGKSQNGGNIAAASQTTNGHWNERLSTPEQGHIANSGGQLTSSATGSVHCNGDMFATPSNGVLVNDDTRSGNHSLHAAKRDAPSVNGFNPRPHRSPSSSNPSRNNTSNGKPFLQRGFLERPCSREKSVKGDDGLSCSNGTSSSSANGNKISNSNISSRSSKGGIGMSPGFLTKRCRESAAMGTTASFMHDPLTGNISKEQDPVGAAALPDQVQENGIDVSDHGDENGYAALGTKNASYGEESCCNGTKNASYGEESCCDGAVDMHDSSCQRDDAPAALVAENGVGSENADHLKSISPTLGHDGLRRRLTSKYFEQNSVDAQ* >Brasy7G062400.1.p pacid=40046341 transcript=Brasy7G062400.1 locus=Brasy7G062400 ID=Brasy7G062400.1.v1.1 annot-version=v1.1 MAPSSRTALAFLSFLALLPLLAYAQSTAPPDVCADPAADGACHNVPKALRLKLIAIPTILISSVIGVCLPLFARSVPALQPDRALFSVVKAFASGVILATGYMHVLPDSFNNLSSPCLPKKPWGDFPFTAFVAMLAAVFTLMVDSLMLTFYNRKKKGGGQGPSSTAVVADHESPEQGGGHWHSHGHGHGHGHGMAVAKPDDAEAAQMQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGTKMKAGLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLLCFLAVLLGAGGMSVMAKWA* >Brasy7G088700.1.p pacid=40046342 transcript=Brasy7G088700.1 locus=Brasy7G088700 ID=Brasy7G088700.1.v1.1 annot-version=v1.1 MDNNDAPKRKRGRKPKPPAVAAPDNGNHDSAPSPKPKRGRKPKPPPAASPDNDHSASPLAAASDTPDPASSSGHRGRGRKSRRGRHEPPSDADAAPRAPPSPPRRGSQKGVANIKLDAPAVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSMPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGNECDIAMLTVDDDEFWKGVLPLQFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKADQKGVRIRRIEPTAPESGCMQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKAHVKVLRSSKIQEFKIKLAIHKKLIPAHIKGRPPSYYIVAGFVFMVVSVPFLRSEYGKDYEFDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEELVNTQVLAFNGKPVNNLKQLATMVEDCKEEFLKFDMDYDQVVVLETKTAKAATQDILATHCIPSAMSDDLKA* >Brasy7G207900.1.p pacid=40046343 transcript=Brasy7G207900.1 locus=Brasy7G207900 ID=Brasy7G207900.1.v1.1 annot-version=v1.1 MFDVWSVVGWWENWQLRILVLGSLGLQWFLMVAAPMRKYTVRPCFRLCIWLAYISSDALAIYALATLFNRHARATASCEGIAGDKARILEVLWAPVLLIHLGGQEELTAYTIEDNELWTRHAVTLVSQVAVAMYAFYKSWPNPSDWKLLASAILLFVIGVVSFSEKPWALKKASINRLASVSATIQGTDKRTRLAVYLDDLLFSDWYDCFSRSRSEPGHLPEGVSWYNYCFSSTAESAAAKKKKGDKQLQEDNVGLSDADKVYMLLSDMSLSAAADDLVRRGSAENVHEVLRPLGIKADKELKRWLRGAFGLIYTRANLVFTRAYLVYHVLVVPVLHMAALTLFVTSDKHAYDRTDVKITYVLLCLTAALDVLAVFIRQLLYQAMSATSIPALCETVPGYNLMGAVLRRRNKTVGWLVRCATSMGCKEEYFDYCSCKCKGGQDDYNDVSKMVLADLVDSQGRDLANYRVFTVPYAADQRQHVDAAAAGGSVEIICSQLEEEDRRLQLGSTKQSNWALSEEQQKVCGPKIRSTLRGSFDRSVLVWHIATDLCCRVEGDRPNDDENEAHWLRIKCTEAISSYMARLLNSHPDMLLTGSRQHLVSEAMDEFESILGRTMLDDNSSSKPLSKDDLIKIIDDGDDGRFHLEVPIVAHTDKDDQYKELQFRQVPIAAQESLFHIPEACSLAKELLALEAPTRWSVMYRVWLGMLFYSASMCRGYLHAKSLGEGGEFLSYVWLVLSLKGAKTLADKLQMLEGSDQDDEEEPTPHPRPPLTGLEIQLNPPDASIRSPMP* >Brasy7G144600.1.p pacid=40046344 transcript=Brasy7G144600.1 locus=Brasy7G144600 ID=Brasy7G144600.1.v1.1 annot-version=v1.1 MPSHSDLDRQISQLRECKFLPDAEIKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWAQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL* >Brasy7G204200.1.p pacid=40046345 transcript=Brasy7G204200.1 locus=Brasy7G204200 ID=Brasy7G204200.1.v1.1 annot-version=v1.1 MGPVKTKMGVHPVMLTGIEDVRAEVMPDGVKVFSRAGFWAAPATRSMPMTRSRTRQESPLAGLPEEIQQEILVRLPAKSVLRCRAVCRCWRRLTSAPKFLLEHHSHQPQLPLVATTVGGDDKPRPVKCSLTPVEHELVGGMGPSIFGAPVLLHSCLHVHWRGRRRGLGPWYHKILVFDTVAESFRQMRPPAVNPHHEMQLLDMGGTLAATSATYRMTELRIFMLQDHQQQQDVWAFQYRIKLPVMDIRRFQEEGDWWAKVVSKDGDVLVSCFGRLLHCDRNGKLVADFKYDDDLPVVIPHRLKESLIQHPFLQKKEN* >Brasy7G069900.1.p pacid=40046346 transcript=Brasy7G069900.1 locus=Brasy7G069900 ID=Brasy7G069900.1.v1.1 annot-version=v1.1 MEVGDLHKVWEIRALKRKPDEPSARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVNGGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELAHIARGPHDAQFYKLWDELRKECEELVSKGITGPGQGFDGTGRRLGGFSIHPPPPSLRQATLTAAQKRARNGALLPSGPRKLGGNNDIMSALSPIQAAAMAAERRMHDDLWCGSHDQSGIDDSEDVVILEKPPNWPTRDRKDTEDGKNAKGVSSSGSGESSTSSGFQVGAQGDSSSCRTTDASISSLWECSSCTLLNQDYSFGQAPAAMSIDYRTSSSCLCYHCISSLPGCRKEHLVVFCVPINLAPNSFLEALNHGYAPPR* >Brasy7G069900.2.p pacid=40046347 transcript=Brasy7G069900.2 locus=Brasy7G069900 ID=Brasy7G069900.2.v1.1 annot-version=v1.1 MEVGDLHKVWEIRALKRKPDEPSARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVNGGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELAHIARGPHDAQFYKLWDELRKECEELVSKGITGPGQGFDGTGRRLGGFSIHPPPPSLRQATLTAAQKRARNGALLPSGPRKLGGNNDIMSALSPIQAAAMAAERRMHDDLWCGSHDQSGIDDSEDVVILEKPPNWPTRDRKDTEDGKNAKGVSSSGSGESSTSSGFQVGAQGDSSSCRTTDASISSLWECSSCTLLNQPLAPICEVCGTAKPKLAKAKYTTWSCKFCTLENNTKLEKCSACDQWRYSYGPPVATYGSSYD* >Brasy7G132900.1.p pacid=40046348 transcript=Brasy7G132900.1 locus=Brasy7G132900 ID=Brasy7G132900.1.v1.1 annot-version=v1.1 MKKTQIKRWPWSSISCSGSRKDRPPLLEGAQPPTATGAAAGFAVRGRLESKMGRMARQPVSRRDCPWIESKI* >Brasy7G177600.1.p pacid=40046349 transcript=Brasy7G177600.1 locus=Brasy7G177600 ID=Brasy7G177600.1.v1.1 annot-version=v1.1 MGDEARGRAVNPNCPNAVNPFHRCADYCPVAAPAVKARTPPRGRAAQNGTVYSDGEEAERGGRQRGAVNPDCPNAANPFHRCADYCPVAAPAVKPPPPPPFRAAQNGTVHSDGEEAERGEVYPDRPNAAPAVKPPPLPPGVAAQNGGSAHSDGELQPKTRRRDRAGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMVEAGRSSEGGKSPISLFSRRSNRSTSSSEDGSVKSGGSKKTDPKCPNAGNPFHECGEHCTAKMKEVEKQKRTDKKSPRRKGGKDVAVMPNWKVDPRCPNAGNPFHICAQYCFDHLNEAAAKPSSKPDTKGKAVMKATQTGEINPDCVNASNPYHKCGEYCKRNGNR* >Brasy7G072700.1.p pacid=40046350 transcript=Brasy7G072700.1 locus=Brasy7G072700 ID=Brasy7G072700.1.v1.1 annot-version=v1.1 MDTECGKEPGIEEASPLAVKKRSKKKASVDARRWSSVGNAVVVALIMAMPPVVLILSGRLGAPSVWIQSTVAGLGAKRAAESKKDVLLGGLLLPGFDEQSCASRYQSVHYRKNMTRSPTPYLIKRLREQEALQRRCGPGTDQYRRATERLRSGQKDVETVDGCSYLVLLSYRGLGNRVLATVSAFLYAVLTSRVLLVDRGKTMGDLFCEPFPGTTWLLPLDFPLRGYKDLGEEAAESYGNVTLRGETGPASAHRFVYVHLDHAASKADRLVYCDDHRQFLHRVRWVVMRSDGYNAPGFFLNPAYQEELHRMFPRKDSVFYVLSRYLLHPTNDVWGMVTRFYDAYLKNADERLGIQVRVFDYDDVHFQHILDQILACTSQERLLPGVVSSTGPAAGARPPTPARSKAVLMTGLNSWYHDSIREMYWRSASASGELVSVHQPSHEEHQTFGKSIHDQKALAEMYLLSMADKIVTSGWSTFGYVGTALGGLTPYIMMKPENQTVPDTPCRKAMSMEPCAQGPPYFDCTRKEDTDAGNLVPHVRACEDMFWGLKLTDPVTEN* >Brasy7G170700.1.p pacid=40046351 transcript=Brasy7G170700.1 locus=Brasy7G170700 ID=Brasy7G170700.1.v1.1 annot-version=v1.1 MSFFLASSRGRLLRDISRHRPPQLGGYTACFRRFKAHFLLDGMEDAAENAVESQQPPVSLAKSLASLAEESTLAAQRQRKPLSRMEQKRLAELRIKKRVKAQYLNGKFYDLMGKVVATADTLEDAYDIVRLNSNVDLASARDDVCFTALAEQLRSREFDIAANAFKVTAKRRGGEHLVLPRLNLKVIQEAVRVVLEVVYRPQFSRISHGCRSGRGYHSALRFISNEIGVPDWCFTVPLYKEVDRNVVSKLISQIQEKIVDDHLVTFMQDMFDAEVINLVFGGFPKGHGVPQEGVLAPILMNIYLDSFDHEVFRICMKHEGLCSEATNVADNQGSSLRLWFRSQLKDRDVNYEEQREVSPNIRLYACRYMDEIFVAVVGSRDVADNIKSEIVDYLRKSLYLNVDDGLYLMPVKKNSRGLQFAGTVVRVTTKESAALKSVHKLKQKVNSFACQKQEIWDAMNTRLGKKWLAYGLRRIKESEIKPLGLSTPLLDHIAQFRKEGMKTDHWFKTLLKVWMQDINAKNELHEEVLLSKYIAEPALPQELRDAFNNFQKQAKDYISSETAATEALVSSLKNTESINTCTDGTAIKIHAPLSYIQMCLNRYGVVNLEGFPRHVSALVLQDDELIVSWFSGIIHRWVRWFSEVDNFKELQLMFVESVRKSCIRTLSAKYRMYEKLTEKQFELDDYGIPMVEDFEAVMAQLESSSPLVSTDEALMYGISSSGLCVLTLSRVSVYARKFNCFVMGCQSSSPSMYIIHVKEKQRFPGWRTGFSSSIHGSLNGRRLGLCTQHVKDLYLGQISLQSVDFGVLIR* >Brasy7G190500.1.p pacid=40046352 transcript=Brasy7G190500.1 locus=Brasy7G190500 ID=Brasy7G190500.1.v1.1 annot-version=v1.1 MSIRILPDPDSPRRPALFSPGASRPPSCFPSLLAARNQLRDVNVILFSSAHVLPPPDLPYNTEVSSNRSLLHSNVDNTANQLALLASVNRDPLLVVYLVLSHIPQGIQLAPRPDKTISKTIKGKSNYLLLHSSL* >Brasy7G178900.1.p pacid=40046353 transcript=Brasy7G178900.1 locus=Brasy7G178900 ID=Brasy7G178900.1.v1.1 annot-version=v1.1 MYEKIPIDVSFAIFFLRKLKQRSTCFNDLFSLNPIYYRSVVESKKSDEFEKYLYFLAPDGKELLPGGRNMHVTKNNIISYMHLIADYLNNQTREQAAQFLSGFQQVIPKVWTDMFSERELQVVISGPARFDVDDLRLNTNYGGEYHDDHEVIKLFWKVLEDFDLPNLRQFLMFVTGCSRSPVAGFQALDPHFGIMSDGVHGGDPNWLPTASTCINQLRLPSYLSEEQMKMKLLMAITSKASFDLE* >Brasy7G184500.1.p pacid=40046354 transcript=Brasy7G184500.1 locus=Brasy7G184500 ID=Brasy7G184500.1.v1.1 annot-version=v1.1 MGHTPRRLVAALYAKPPWVPVEVRIDQKWKRANVLRQADHSGLCLVRVRGGDPEPIMVPLSTIRIPTNPPVAKRRLDTRPQMQVKKPRVESDVEGGNE* >Brasy7G036500.1.p pacid=40046355 transcript=Brasy7G036500.1 locus=Brasy7G036500 ID=Brasy7G036500.1.v1.1 annot-version=v1.1 MDFHCPGFVQTRYWPGSLFTELRDGNANIAVFGGYVWGPMHDPPEMGSGHFANEREGKVAYARNIKRVDMRNTLADLDFAKTFAYSTKPPCYTVDSYNHNANGAYVYYGGPGGCHPYPSVR* >Brasy7G200500.1.p pacid=40046356 transcript=Brasy7G200500.1 locus=Brasy7G200500 ID=Brasy7G200500.1.v1.1 annot-version=v1.1 MEKACKNITNVVRSVKLLKIDGYCTTKTMSSVDCIKSRWSVGGYDWEICVYPRKIAPDLNGTLSPWVAVKLVFLSETCPSIVRADLSCCLVDPRGVLRPSPEKSVSWIFNQSWIYRFIKMCSPFVNEDCSLPVYLVSTRELVASGQLRNDSFTVQCTISVLKDDSPAARIPVKDVSVSSPSLQHHLAELLHSKTGADVTFLVSGKSFAAHKLILAARSPVLMAEFFGHMKETSSQHVEINDIEAVVFKALLYFIYTDSVLEFNMQHEEVTMLAQHLLAAADRYGLDRLKEICEGKLSDGISVDTAATTLALAEQHNCPQLKAKCVEFIVSTPAILDAVLATDGYKHLEASCPTVLPDLLKSARGRNS* >Brasy7G179900.1.p pacid=40046357 transcript=Brasy7G179900.1 locus=Brasy7G179900 ID=Brasy7G179900.1.v1.1 annot-version=v1.1 MALSGWRRSPAARRPASLCLWIALVAATLVLAQAKKNADLSTVTHKVYFDIEIEGKPAGRVVMGLFGKAVPKTAENFRALCTGEKGTGKSGKPLHYKGSSFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKLKHTGPGFLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVIYKVEAEGQQTGTPKNKVVIADSGELPL* >Brasy7G004500.1.p pacid=40046358 transcript=Brasy7G004500.1 locus=Brasy7G004500 ID=Brasy7G004500.1.v1.1 annot-version=v1.1 MGYSANLRLLPQISPRPHEATSPRPLRLCSSSSRPPVAAVPLPLLLPSLRLPPALLNLASALLCSVALATLRPATGASPVCSAGAAPFCRRRRSYLPRGHCSFPPPALLPYAPPPALLPPS* >Brasy7G143100.1.p pacid=40046359 transcript=Brasy7G143100.1 locus=Brasy7G143100 ID=Brasy7G143100.1.v1.1 annot-version=v1.1 MSGGVLLLALLVAAAAVFASPANAAVSYDHKAIVINGQRRILMSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFGDRYDLVRFVRLAKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKAEGLFEWQGGPIILAQVENEYGPMESVMGGGAKPYASWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNSNGKPNMWTEAWSGWFTAFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALISGDPTIQSIGNYEKAYVFKSSAGACAAFLSNYHTSSPAKVVYNGRRYDLPAWSISILPDCKTAVYNTATVKEPSAPAKMNPAGGFSWQSYSEDTNSLDDSAFTKDGLVEQLSMTWDKSDFLWYTTYVNIDSSEQFLKSGQWPQLTINSAGHTLQVFVNGQSYGAGYGGYDSPKLSYSKYVKMWQGSNKISILSSAVGLANQGTHYENWNVGVLGPVTLSGLNQGKRDLSNQKWTYQIGLKGESLGVHSITGSSSVEWGSANGAQPLTWHKAYFSAPASGAPVALDMGSMGKGQIWVNGRNAGRYWSYKASGNCGGCSYSGTYSETKCQTNCGDISQRWYHVPRSWLNPSGNLLVVLEEFGGDLSGVKLMTRTT* >Brasy7G061500.1.p pacid=40046360 transcript=Brasy7G061500.1 locus=Brasy7G061500 ID=Brasy7G061500.1.v1.1 annot-version=v1.1 MTDKHKTESEELQEYVGGISMSDCANYGQRKRRRAATSPCPRNPSLPRRKTKKLMQRALARVGEELKIPTMWSTDF* >Brasy7G195300.1.p pacid=40046361 transcript=Brasy7G195300.1 locus=Brasy7G195300 ID=Brasy7G195300.1.v1.1 annot-version=v1.1 MHGQPRRPAKPEDDAAAAAKAAKLRDLQAQVLQNHHSRTYTEEALGLSFKLLEINPEAYTAWNYRKLALQHNLRELSDPEAIKSSVDDELRVVEIALRQNPKSYGAWYHRKWLLNQKLTPVDFKREFGLLDKLLKVDARNFHGWNYRRFFAKFMRVPEEEELKYTMDKICDNFSNYSAWHNRSILLSNLLTQQRKGFESKQKICSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSNPENPQLISSWPCNGAKLSLSLVGKKDDLSTASSSLKEGIVPIVLYFNEPVKGLSPSTVKLNSDLVIFKNIQWRPLLITDSGHSNCWAAYLEITNKEYSSSQQFSVEVSIPYSDDIVSRSGSHCNCPVHFMFTIELGNNDDKARDIDLFHDPISWNGSESLQSHGNPSSVPFDQLNITDALVQEESKWHLEALSEQIDLFRELPDENSKFAKLTLARLLLACAAIKSRGSSLIERKGYCEEALGFFSDLIYLDPSHKRFYEDERSLVLMDKLTCNMGTFMEHCSVQVQSNLSPLNHVQLCRLSLTRIGFPERLLWVQMLDLSHNSLRSVEGLEALQQLVCLNISNNQISGFTSLEPLTKIISLKVLDLSSNEIGAHSIDTARYICLSPFSHKVEPCEAFEECRKKNINIEEFWDAILFFKSMNLVQLDIKGNAVANKDTFRTVVMTLSASLKFLDGTRVH* >Brasy7G061400.1.p pacid=40046362 transcript=Brasy7G061400.1 locus=Brasy7G061400 ID=Brasy7G061400.1.v1.1 annot-version=v1.1 MAGAGSAGEDDAAWERAINAAVKSAPLSPFSAPKTLTLDGAVKSSTGRLPSSALFDRFPSLEELSIAGARLSSLGGLPRLPALRRLSLPDNRLSGADSLAAVAESCGGTIRHLDLGNNRFSKVEELAPLAPIQVESLDLYQCPVTKVKDYREKVFTLIPSLKYLDGADVEGNDRVETDEEEDEEDEDEEGDEEEVEGGEDGEEEGEEEDGEEEEGDEEDGDEEEGVEEEDGDEVEDEAGEAEDNERDSGAHDKSKVTNGSKESAGSTLPSKRKRVTKDDAEGDN* >Brasy7G124100.1.p pacid=40046363 transcript=Brasy7G124100.1 locus=Brasy7G124100 ID=Brasy7G124100.1.v1.1 annot-version=v1.1 MRPWAEAVRRARGRRRLGTRRADLQPEEVGDPAAGSAARGRGPGGRICTRRRSGTWRPDLHPEEVRDSAVGAPRAGASVAETGVKGGVGALGGGGEPRLLVRWDVKLGARYR* >Brasy7G122500.1.p pacid=40046364 transcript=Brasy7G122500.1 locus=Brasy7G122500 ID=Brasy7G122500.1.v1.1 annot-version=v1.1 MAFPLLLCVLLCSYYSVALGGNGHGFVVVPTSSFVPAAACSTPIGVGNPDPSRASVPLAHRHGPCAPKGASATDKKKPSFAERLRNDRARADHILRKASGRMTTTLSEGGGASIPTYLGGFVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCNASDCYPQKDPLFDPSKSSTFATIPCASDACKQLPVDGYDNGCTNGTGPPQCGYAIEYGNGAVTEGVYSTETLTLGAGVSVKGFGFGCGSDQHGPYDRFDGLLGLGGAPESLVSQTASVYGGAFSYCLPPLDSGAGFLTLGAPNSSNSGFAFTPMRAFSPKIATFYVVTLTGISVGGQALDVPPAVFAKGNIVDSGTVITGIPAAAYKALRTAFRSAMAEYPLLPPPEDSFLDTCYNFTGHGTVAVPKVALTFVGGATVDLDVPSGVLVEDCLAFHDSGDGSFGIIGNVNTRTFEVLYDSGKGHLGFRAGAC* >Brasy7G142600.1.p pacid=40046365 transcript=Brasy7G142600.1 locus=Brasy7G142600 ID=Brasy7G142600.1.v1.1 annot-version=v1.1 MANGERRTPRASAAMAAPCSDLALPLLCWPARRGRDISLLRSISLQRAGGNIHS* >Brasy7G019800.1.p pacid=40046366 transcript=Brasy7G019800.1 locus=Brasy7G019800 ID=Brasy7G019800.1.v1.1 annot-version=v1.1 MLLPMRGLAAAPSLSHAPAPPSLPALRRRPSPSRRSSKVVSYYALTTPPYKPEALEPYMSKRTVELHWGKHQQDYVDGLNKQLATSPFYGYTLEELVKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPDGGGSPEGGVLQQIEKDFGSFFNFREEFVRSALSLLGSGWVWLVLKRNEKKLAVVHTRNAISPLAVGDIPIISLDLWEHAYYLDYKDDRRAYVSNFMDHLVSWNTVTLRMMRAEAFVNLGEPNIPEF* >Brasy7G019800.2.p pacid=40046367 transcript=Brasy7G019800.2 locus=Brasy7G019800 ID=Brasy7G019800.2.v1.1 annot-version=v1.1 MLLPMRGLAAAPSLSHAPAPPSLPALRRRPSPSRRSSKVVSYYALTTPPYKPEALEPYMSKRTVELHWGKHQQDYVDGLNKQLATSPFYGYTLEELVKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPDGGGSPEGGVLQQIEKDFGSFFNFREEFVRSALSLLGSGWVWLVLKRNEKKLAVVHTRNAISPLAVGDIPIISLDLWEHAYYLDYKDDRRAYVSNFMDHLVSWNTVTLRMMRAEAFVNLGEPNIPEF* >Brasy7G228900.1.p pacid=40046368 transcript=Brasy7G228900.1 locus=Brasy7G228900 ID=Brasy7G228900.1.v1.1 annot-version=v1.1 MAAPGRRADFSSSSAQSPGPSPAGASGGGRRLLRTQTVGGNMGESIFDSEVVPSSLVEIAPILRVANEVEAGNPRVAYLCRFYAFEKAHRLDPNSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIHALQSAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKIEEKKKLYVPYNILPLDPESTDEAIMQYPEIRAAVYALRNTRGLPWPKENEKKPDEKKTDKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQSKLDDRALDAVMKRLFKNYKMWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKIVTPIYKVIEEEAHRSKTMKSKHSHWRNYDDLNEYFWKVDCFRLGWPMRADADFFKTPKLAYPNRLNGEERSAGSVHWMGKINFVEIRSFWHIFRSFDRMWIFLILSLQAMVIIAWNGGTPSDIFDTGVLQQVLSIFITAAVLKLGQATLDIVFGWKARTNMSFARKLRYVLKLVSAAAWVVILPVTYAYTWTNPTGLARTIKDWLGNGHQPSLYILAVVVYLAPNMLASGLFLFPCIRRYLESSNFKVITFMMWWSQPRVFVGRGMHEGPFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMGTPIRTFQWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAVFSTLVGGIYGACRRLGEIRTLGMLRYRFESLPDAFNKWLIPSDAHKRKGFRAAFSTKPSKSPSDEQEIEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLNKRMGSDPYFSYAIRECYASFRNIINTLVSGQREKVVMQEIFTVVEKHINEGTLIKDLHMRNLPALSKKLIELLELLQTNKEEDKGQVVILFQDMLEVVTRDIMEDQELGGVLDSIHGGNSRKHEGMTPLDQQDQLFTKAIKFPVVESNAWTEKIKRLQLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPEVRNMLPFSVLTPYYKEDVLFSLHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKTEEEVREDETLEDELRLWASYRGQTLTRTVRGMMYYRKALELQGFLDMAKDDDLMKGYRATELMSEESPLMTQCKAIADMKFTYVVSCQQYGIQKRSGDPCAHDILRLMTTYPSFRVAYIDEVEAPSQDRIKKIDKVYYSVLVKASVTKSNDPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFLEKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLRGGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFVHNSPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLVVYEIFGQTYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIHNRGGIGVAPEKSWESWWDKEQGPLRHSGKRGTVVEILLALRFFIYQYGLVYHLNITKQYNKSVLVYGISWVVIFSMLLVMKTVSVGRRRFSAEFQLVFRLMKGLIFISFISTIVILIALAHMTVLDIFVCILAFMPTGWGLLLIAQAIKPVVEMVGLWGSVKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE* >Brasy7G129500.1.p pacid=40046369 transcript=Brasy7G129500.1 locus=Brasy7G129500 ID=Brasy7G129500.1.v1.1 annot-version=v1.1 MRGKPLLCRRLNFFPVPVAAVRRCEAFWTLPPQLLPVVLVALLHAVPILSTKRHADLLIFFFETDVFDLLNHVFA* >Brasy7G031200.1.p pacid=40046370 transcript=Brasy7G031200.1 locus=Brasy7G031200 ID=Brasy7G031200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFEST* >Brasy7G184200.1.p pacid=40046371 transcript=Brasy7G184200.1 locus=Brasy7G184200 ID=Brasy7G184200.1.v1.1 annot-version=v1.1 MASSSPMSEFIADPIKCCLLSVDEKRELVRELSKYPDSALELLHEWTRRDIIQILCSVFRRGRTFNGASKREILNSLMKAVNGKSSGCRKRVKESDPEPNSSNLQFPYKRQKKNVVPVPPVTKSTPVADGVSAATNKAHLCQNSACRAGLNPADKFCRCCSCCICFKYNDNKDPSLWLFCNSDQPPLEESCGLSCHFECAFKDERSGILQRGQAKKLDGGYYCINCGKQNDLLGCWKKQLLIAKDARRLDVLCHRILLSHKILISTEKYMVLHEFVDKAMKKLEGEFGPMTGLPDMGRRLVGRLAVAVEVQKLCTCAIETLESISDALTADLQIQRSSMVPSNFIKLEDISHGTITVVFDLNICPTLPQGLIGFNLWHRKASIEDYPSNPTGIILMPSTSLVVRGLAPCTCYVIKVIAFTNSKEVGSWEVRTKTICCPNKVDAKISMAVDAGTDPNNRSLNADISSDVSNPSSGDAKSDNDCTAYADPNRSLKSHVEYCTNIDILYSEKSSLHCSETTSNSRDLKIGVAGVTKVGELEEANKVSASGLDDNEEEPGSAAQAALLKRSSELMVHSHGALKQNQATIGSQFASHAHTVQKPVSQPESSGLLLTIIQEGAENCKGVSGRTFEAKSSQGTLKDKTDLVSLPCKRTPGRIEEGGHNEGPSEPNTSAQVSSLRKSSNLVPHKQGILLENPSCSLVPIAAGSEIIGRSSKSNNDDHVPQRGPLKPGIEPRNSSNENTTGKPEEIDHEDELASCVKVIRWLECKGYIEANFRLKFLTWFSLGATQQERRIVSVFVDALIDDPVSLAGQLRDTFSDAIYSKRPCVAPSSH* >Brasy7G097700.1.p pacid=40046372 transcript=Brasy7G097700.1 locus=Brasy7G097700 ID=Brasy7G097700.1.v1.1 annot-version=v1.1 MELATGSGGDPPAAPAVDQPRAAQEAPPAVDQPGPPAVPPSTRPRPPGRPKEGGGGSGSAFSGTPDKPRPKAAGKPVAR* >Brasy7G047100.1.p pacid=40046373 transcript=Brasy7G047100.1 locus=Brasy7G047100 ID=Brasy7G047100.1.v1.1 annot-version=v1.1 MWNGAPPPPMPMAAAPPPPGTSGAAPPPPPPASAPPQAGQPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDPEEDGAVYKWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKIDRRDRKATEEEDEEDFCLPTGVEPLLKETPLYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFLTYTDAKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMSGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRLVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQVAGFLYGLSPQDNPQVKEIRCISIPPQHGTHQMVTLPANLPEHEFLADLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNYNFMGVKHDPLMKYSMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS* >Brasy7G126100.1.p pacid=40046374 transcript=Brasy7G126100.1 locus=Brasy7G126100 ID=Brasy7G126100.1.v1.1 annot-version=v1.1 MASEEFISLGAPCEVDPKDEHRGDLQTEVLSATAVEPVTSEHQPEDAHTAMDSTKASVEVIDLEEGQVAGKADNKDLQEGNLQSETLNVNGGEPLASEHQPEDGPSTVGSKKAADEIIDLEEGQIEDMDLTDDDLVVSKHQPLAASLQSQTSVASVTTSHCVSVELDKGNGPENALIHASSSILIDESPTRGVKRARTESTEPSVRVTYNFLTRESKRKLMELMQQWSEWQARKQHTLTDSSEEVLEGGEEIYYPALHVGSERSCAVSFWVDNQAQGNVAMDDDVVPLYDREFTLGSTPLGDLSNTESKKDKDDSRCFNCGSYSHALKECPKPRDHAAISNARKQHNLKRNLSNVNRGQNRYYQKTPGKFDDLKAGVLGSETRECLGLRENDPPPWLHRMRELGYPPGYLDEVDDEDKPSGITIFGDREVKADHEEGELPEHGEPSPPRKRMTVEFPGINAPVPENGDPWLWSSTPPQSSGRHHSSDSREQRDRGPPGIEHHSSRYHSYDYGPATPGLGRPHSDRGWRSSSGYENLPADDSARTPHGYSSRQYSGQNSPSSEMSSRHSRERERERDRDRDRDRHDRHYYNSRR* >Brasy7G126100.2.p pacid=40046375 transcript=Brasy7G126100.2 locus=Brasy7G126100 ID=Brasy7G126100.2.v1.1 annot-version=v1.1 MASEEFISLGAPCEVDPKDEHRGDLQTEVLSATAVEPVTSEHQPEDAHTAMDSTKASVEVIDLEEGQVAGKADNKDLQEGNLQSETLNVNGGEPLASEHQPEDGPSTVGSKKAADEIIDLEEGQIEDMDLTDDDLVVSKHQPLAASLQSQTSVASVTTSHCVSVELDKGNGPENALIHASSSILIDESPTRGVKRARTESTEPSVRVTYNFLTRESKRKLMELMQQWSEWQARKQHTLTDSSEEVLEGGEEIYYPALHVGSERSCAVSFWVDNQAQGNVAMDDDVVPLYDREFTLGSTPLGDLSNTESKKDKDDSRCFNCGSYSHALKECPKPRDHAAISNARKQHNLKRNLSNVNRGQNRYYQKTPGKFDDLKAGVLGSETRECLGLRENDPPPWLHRMRELGYPPGYLDEVDDEDKPSGITIFGDREVKADHEEGELPEHGEPSPPRKRMTVEFPGINAPVPENGDPWLWSSTPPQSSGRHHSSDSREQRDRGPPGIEHHSSRYHSYDYGPATPGLGRPHSDRGWRSSSGYENLPADDSARTPHGYSSRQYSGQNSPSSEMSSRHSRERERERDRDRDRDRHDRHYYNSRR* >Brasy7G126100.3.p pacid=40046376 transcript=Brasy7G126100.3 locus=Brasy7G126100 ID=Brasy7G126100.3.v1.1 annot-version=v1.1 MASEEFISLGAPCEVDPKDEHRGDLQTEVLSATAVEPVTSEHQPEDAHTAMDSTKASVEVIDLEEGQVAGKADNKDLQEGNLQSETLNVNGGEPLASEHQPEDGPSTVGSKKAADEIIDLEEGQIEDMDLTDDDLVVSKHQPLAASLQSQTSVASVTTSHCVSVELDKGNGPENALIHASSSILIDESPTRGVKRARTESTEPSVRVTYNFLTRESKRKLMELMQQWSEWQARKQHTLTDSSEEVLEGGEEIYYPALHVGSERSCAVSFWVDNQAQGNVAMDDDVVPLYDREFTLGSTPLGDLSNTESKKDKDDSRCFNCGSYSHALKECPKPRDHAAISNARKQHNLKRNLSNVNRGQNRYYQKTPGKFDDLKAGVLGSETRECLGLRENDPPPWLHRMRELGYPPGYLDEVDDEDKPSGITIFGDREVKADHEEGELPEHGEPSPPRKRMTVEFPGINAPVPENGDPWLWSSTPPQSSGRHHSSDSREQRDRGPPGIEHHSSRYHSYDYGPATPGLGRPHSDRGWRSSSGYENLPADDSARTPHGYSSRQYSGQNSPSSEMSSRHSRERERERDRDRDRDRHDRHYYNSRR* >Brasy7G066100.1.p pacid=40046377 transcript=Brasy7G066100.1 locus=Brasy7G066100 ID=Brasy7G066100.1.v1.1 annot-version=v1.1 MERSSIACCSRAQLNVMEPWACGRLSMAMKPQEEKKSQSNTGHRGQGKGITLNLMTTGLKRDPNMPLQC* >Brasy7G218800.1.p pacid=40046378 transcript=Brasy7G218800.1 locus=Brasy7G218800 ID=Brasy7G218800.1.v1.1 annot-version=v1.1 MDQESCEREAAALSEPEPETTKVQGGGKKRRSKQQQQRRSSSEGGAGRKNHGEKEYRLVSYEELPEYMKENEFILNYYRSEWPVLNAALSLFSWHNETINIWTHLVGFMVFLGLTVWHLAQYFPQVAHLIGHLSWPISKVAENVSSNIGDAISGAASFMQANPGLAEAFAGAGVGPTTRWPFFVFLAGAMFCLLSSCACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQLAYLSAISVAGAGTVYALMSPRLSAAKYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPRRNLTLAYEGAMASSYLVGTAFYLTRVPERWRPGMFDLAGQSHQIFHALVIAGALAHYGAAIVFLRVRDEMGCPAK* >Brasy7G063000.1.p pacid=40046379 transcript=Brasy7G063000.1 locus=Brasy7G063000 ID=Brasy7G063000.1.v1.1 annot-version=v1.1 MQVVHGALVIGLTLAYCFFSWHILEATSGAAASAMDAALAHLAGGMRPLLEANRSALAVANALPPITTNQSSLSYVGTTLFTAFAMQPAVAEMSYYAGPDGAAAFTYYRAADGQPRAMFAGGRRRWFTQAADPATGRLVGPASPVARPHRLPNYTAQLITDIKAGSPRASLGAGWARPGVRMVVFSAQAAGGVVSADVPVDDVVLAGAAAAARITSGFRLLHVYYALSDSRGTPADGYRSLVPESRSHSAEEMEGLFEKVKCTASAIDAPKLELHDVGPHTYSVACTNFNVSGVNLGIRLVLRNWHEAAMFRRMGIAVACLVCVTVALAVVALLVMGRSMRRSAAREAALGADLARHKEALQQAERKSMNKSNAFASASHDIRSALAAVAGLIAVSRPEARANPVLADNLSQMDVCTNKLLDILNSILDMGKVESGKMQLEEVEFSMAQVLEESVDMANVTGMAKGIEVVWDPCDFSVLRCGAVVGDCKRVKQILDNLLGNAMKFTHEGNVLLRAWANRPIASAAGADGDSGCGGAPSRLAGGLFRRWKKDGGCADQQSSAGPKSLRNHPDSVEFYLEVVDTGVGIPMEKRQSVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKDKEPGEAGTCFGLNVFMKLKERPGETVEIMVDQGPSSSSSDAYDSRLFREASCFKGLHCVLLVHGGETRRILQAWMESLGVKVWLVPAAELLAAALDKATIGASHAAAPDPAAATDLCFIPREMLPMARRTSSGGHHHAFGLLVIVDVTDGGFDAVCRGAAAMVARIKDQKSPCRIVCLTDAKTSSRELLRFKQAVSCDLELRKPIHGSRLSKILATMKDLHQSYYSPSQVSPGIIKGKAAVDQVQASAAASSEIEELPVEPPRPKVDAERKPLEGMRVLLAEDTRVLQAIQKKVLNLLGATVEIARDGAVAVAMFKAALEEAIGASSQGSAGAPALPYDVVFMDCHMKEMDGYEATRRIREEESRYGIRTPIIALTAHSLEEGLQEAIEAGMDLHLTKPADKEKIVEAVYQICN* >Brasy7G129600.1.p pacid=40046380 transcript=Brasy7G129600.1 locus=Brasy7G129600 ID=Brasy7G129600.1.v1.1 annot-version=v1.1 MTSVGRRPGPSCGSDILLLPAYGDGGAWSVDSLASMWPLRFNPIGHHDAREAVAAVAEVALPLHSDRSGRWGGTTGACFASAPAGDGQTYDASVMRQAATRATTTRRRWSMASTVLGEEGLDGATPTVVQRGADGKGNGSQCASSSLSHCPLAVASSTVFLGEAAALNGRTQVGRVSTLQDPEM* >Brasy7G206600.1.p pacid=40046381 transcript=Brasy7G206600.1 locus=Brasy7G206600 ID=Brasy7G206600.1.v1.1 annot-version=v1.1 MAAPGSAASKHTFSSLLKQKSLKTSPTKSRGTMAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGKFFTGDSYIILKTTALKNGSFRNDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEMNEREHVTRLYVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDAAFASKLLCVNKGQTVSVDCEVLTRALLDTTKCYLLDCGSEIYVWMGRETALEDRKRAGLAAEELLREGNRPKSHIIRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKGIAKAAPVKEEPQPQIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYTGDDGEECLIGTWFGKKSIQEERTSAISLADKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIEDDTYSENGVALFRIQGSGPDNMQAIQVDTAAPSLNSSYCYILHDGDMVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRDQESDAHLFCCTFSKGVLKVREIFNFAQDDMMAEDIFILDCHSSVFVWVGQHVDTKIRTQALSIGEKFIELDILMEDLSRETPLYVITEGSEPQFFTRFFTWDSAKSALHGNSFERRLSIVKDGLKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSASFSPDRVRVRGRSPAFNALAANFENSNTRNLSTPPPAARKPFSKSSPDPAKPPQRAASIAAMSASFERPRPTLIPKSIKASPDVNKPQSEASKPKPEVNAKESTPAVKDGQTVTSAIQEGAKEGQPEDEEGIPAYPYDRLRTSSTNPVKDIDQTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF* >Brasy7G206600.3.p pacid=40046382 transcript=Brasy7G206600.3 locus=Brasy7G206600 ID=Brasy7G206600.3.v1.1 annot-version=v1.1 MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGKFFTGDSYIILKTTALKNGSFRNDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEMNEREHVTRLYVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDAAFASKLLCVNKGQTVSVDCEVLTRALLDTTKCYLLDCGSEIYVWMGRETALEDRKRAGLAAEELLREGNRPKSHIIRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKGIAKAAPVKEEPQPQIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYTGDDGEECLIGTWFGKKSIQEERTSAISLADKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIEDDTYSENGVALFRIQGSGPDNMQAIQVDTAAPSLNSSYCYILHDGDMVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRDQESDAHLFCCTFSKGVLKVREIFNFAQDDMMAEDIFILDCHSSVFVWVGQHVDTKIRTQALSIGEKFIELDILMEDLSRETPLYVITEGSEPQFFTRFFTWDSAKSALHGNSFERRLSIVKDGLKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSASFSPDRVRVRGRSPAFNALAANFENSNTRNLSTPPPAARKPFSKSSPDPAKPPQRAASIAAMSASFERPRPTLIPKSIKASPDVNKPQSEASKPKPEVNAKESTPAVKDGQTVTSAIQEGAKEGQPEDEEGIPAYPYDRLRTSSTNPVKDIDQTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF* >Brasy7G206600.5.p pacid=40046383 transcript=Brasy7G206600.5 locus=Brasy7G206600 ID=Brasy7G206600.5.v1.1 annot-version=v1.1 MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGKFFTGDSYIILKTTALKNGSFRNDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEMNEREHVTRLYVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDAAFASKLLCVNKGQTVSVDCEVLTRALLDTTKCYLLDCGSEIYVWMGRETALEDRKRAGLAAEELLREGNRPKSHIIRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKGIAKAAPVKEEPQPQIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYTGDDGEECLIGTWFGKKSIQEERTSAISLADKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIEDDTYSENGVALFRIQGSGPDNMQAIQVDTAAPSLNSSYCYILHDGDMVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRDQESDAHLFCCTFSKGVLKVREIFNFAQDDMMAEDIFILDCHSSVFVWVGQHVDTKIRTQALSIGEKFIELDILMEDLSRETPLYVITEGSEPQFFTRFFTWDSAKSALHGNSFERRLSIVKDGLKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSASFSPDRVRVRGRSPAFNALAANFENSNTRNLSTPPPAARKPFSKSSPDPAKPPQRAASIAAMSASFERPRPTLIPKSIKASPDVNKPQSEASKPKPEVNAKESTPAVKDGQTVTSAIQEGAKEGQPEDEEGIPAYPYDRLRTSSTNPVKDIDQTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF* >Brasy7G206600.4.p pacid=40046384 transcript=Brasy7G206600.4 locus=Brasy7G206600 ID=Brasy7G206600.4.v1.1 annot-version=v1.1 MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGKFFTGDSYIILKTTALKNGSFRNDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEMNEREHVTRLYVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDAAFASKLLCVNKGQTVSVDCEVLTRALLDTTKCYLLDCGSEIYVWMGRETALEDRKRAGLAAEELLREGNRPKSHIIRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKGIAKAAPVKEEPQPQIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYTGDDGEECLIGTWFGKKSIQEERTSAISLADKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIEDDTYSENGVALFRIQGSGPDNMQAIQVDTAAPSLNSSYCYILHDGDMVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRDQESDAHLFCCTFSKGVLKVREIFNFAQDDMMAEDIFILDCHSSVFVWVGQHVDTKIRTQALSIGEKFIELDILMEDLSRETPLYVITEGSEPQFFTRFFTWDSAKSALHGNSFERRLSIVKDGLKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSASFSPDRVRVRGRSPAFNALAANFENSNTRNLSTPPPAARKPFSKSSPDPAKPPQRAASIAAMSASFERPRPTLIPKSIKASPDVNKPQSEASKPKPEVNAKESTPAVKDGQTVTSAIQEGAKEGQPEDEEGIPAYPYDRLRTSSTNPVKDIDQTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF* >Brasy7G206600.2.p pacid=40046385 transcript=Brasy7G206600.2 locus=Brasy7G206600 ID=Brasy7G206600.2.v1.1 annot-version=v1.1 MAVSMREVDPVFQGAGQKDGLEIWRIEKLQAVPVPKESHGKFFTGDSYIILKTTALKNGSFRNDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHTEMNEREHVTRLYVCRGRHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSELNGKDAAFASKLLCVNKGQTVSVDCEVLTRALLDTTKCYLLDCGSEIYVWMGRETALEDRKRAGLAAEELLREGNRPKSHIIRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKGIAKAAPVKEEPQPQIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYTGDDGEECLIGTWFGKKSIQEERTSAISLADKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIEDDTYSENGVALFRIQGSGPDNMQAIQVDTAAPSLNSSYCYILHDGDMVFTWVGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRDQESDAHLFCCTFSKGVLKVREIFNFAQDDMMAEDIFILDCHSSVFVWVGQHVDTKIRTQALSIGEKFIELDILMEDLSRETPLYVITEGSEPQFFTRFFTWDSAKSALHGNSFERRLSIVKDGLKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSASFSPDRVRVRGRSPAFNALAANFENSNTRNLSTPPPAARKPFSKSSPDPAKPPQRAASIAAMSASFERPRPTLIPKSIKASPDVNKPQSEASKPKPEVNAKESTPAVKDGQTVTSAIQEGAKEGQPEDEEGIPAYPYDRLRTSSTNPVKDIDQTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF* >Brasy7G143300.1.p pacid=40046386 transcript=Brasy7G143300.1 locus=Brasy7G143300 ID=Brasy7G143300.1.v1.1 annot-version=v1.1 MGRVGARVGGGGGSRRHCGARGRGLTVSGGRLGRDSGREEKKRFHARTYGDDVCPIATDSNLYQSIKLGLMVMRPPPLLVASTSSCASAGSVCGRRFRTRGFVARPDRRLHRLVHAGGSPRDLDGDGMSLRPGWRWPCEAAGTAAARAISGPPNGQWGAATSGRTRCVGGARARRTGGQGCERAQAGGAQAYRAGGGSRIERQESTGGGGAHKRAAAHGGAMFGGGMNQDGERRSLYSGWTERIVTGRAREIGIRDLRN* >Brasy7G081500.1.p pacid=40046387 transcript=Brasy7G081500.1 locus=Brasy7G081500 ID=Brasy7G081500.1.v1.1 annot-version=v1.1 MGYLPSLGGKAAHLVSDLATVILNPVSGHEREPCSYLPEATEGQENLYDCEDSEIPGPDTSSFRAFLMSFMSSSSSSNDSMEIIPEQNLDMEYPTLTPVGRGNKERKGLLSRGKHSVGKIFSKAGRLGGFRQKPSHGIKSEIASQTESVSVGFDPKRSMESASNDKLPTMSEPSMLLSEMMRTVLYTSLPVLVQGRKWMLVYSTWRHGISLSTLYRRSMLCAGDSLLVVGDKKGAVFGGLVEAPLQPIIQRKYQGSNNCFVFTNVVGRPVIYRPTGANKYFTFCSPDYLAMGGGGHFALYLDEDLLNGSSSTSETFNNPCLSRSQDFEVKHIELWGFVNASKYDEMLTLCRTEKPGIWNL* >Brasy7G081500.2.p pacid=40046388 transcript=Brasy7G081500.2 locus=Brasy7G081500 ID=Brasy7G081500.2.v1.1 annot-version=v1.1 MSFMSSSSSSNDSMEIIPEQNLDMEYPTLTPVGRGNKERKGLLSRGKHSVGKIFSKAGRLGGFRQKPSHGIKSEIASQTESVSVGFDPKRSMESASNDKLPTMSEPSMLLSEMMRTVLYTSLPVLVQGRKWMLVYSTWRHGISLSTLYRRSMLCAGDSLLVVGDKKGAVFGGLVEAPLQPIIQRKYQGSNNCFVFTNVVGRPVIYRPTGANKYFTFCSPDYLAMGGGGHFALYLDEDLLNGSSSTSETFNNPCLSRSQDFEVKHIELWGFVNASKYDEMLTLCRTEKPGIWNL* >Brasy7G124600.1.p pacid=40046389 transcript=Brasy7G124600.1 locus=Brasy7G124600 ID=Brasy7G124600.1.v1.1 annot-version=v1.1 MAMATATTYTLRHTPPSPPPRLHAPLLPQLRRRATAKVAASWVTAGGGNSDDGLGGWWLPEQPVEHGRAGIAFAGFGRALAVGLGTSAAIALAGITWKSPSSRKCLQQLIGVPVQYVQEKLSLSESAEIPKDEANVKESDAIDVLGITVDESSDASTDDSSRHRIPAGGDRVSCRIPADPMHEESLSILKKLQIIENDAGSSEFCTRREFARWFVKLCSRLERKRRHRIIPNLLTSGSVESAFDDVNFDDSDFLYIQSLGESGIVPSKLSSFCGTFTSDSLSANRNANFQPDSYLSRLDLVNWKVLVEHPFASELDQKMLSKNVHTLDLSAWPDVSASILTDLICGDHSVISKVFGNTRRLQHHKPVTKAQAAAALTTGRMEEVILDELKRLEVENESRLSVMGEMMEELIERGDIRQYWDCKMKKEQDRGLEVEKHLQDVLHELANERTDREKELAVLLKERTALEHQNQELVSLRSEVDSMYDRLANESIEIMADEQNLEKLSSDMSSKHQAVTEAKSYLEAEKEALTMLRSWVETEAARVHERAEVLEKAVRRWRIPADSDCN* >Brasy7G124600.2.p pacid=40046390 transcript=Brasy7G124600.2 locus=Brasy7G124600 ID=Brasy7G124600.2.v1.1 annot-version=v1.1 MAMATATTYTLRHTPPSPPPRLHAPLLPQLRRRATAKVAASWVTAGGGNSDDGLGGWWLPEQPVEHGRAGFGRALAVGLGTSAAIALAGITWKSPSSRKCLQQLIGVPVQYVQEKLSLSESAEIPKDEANVKESDAIDVLGITVDESSDASTDDSSRHRIPAGGDRVSCRIPADPMHEESLSILKKLQIIENDAGSSEFCTRREFARWFVKLCSRLERKRRHRIIPNLLTSGSVESAFDDVNFDDSDFLYIQSLGESGIVPSKLSSFCGTFTSDSLSANRNANFQPDSYLSRLDLVNWKVLVEHPFASELDQKMLSKNVHTLDLSAWPDVSASILTDLICGDHSVISKVFGNTRRLQHHKPVTKAQAAAALTTGRMEEVILDELKRLEVENESRLSVMGEMMEELIERGDIRQYWDCKMKKEQDRGLEVEKHLQDVLHELANERTDREKELAVLLKERTALEHQNQELVSLRSEVDSMYDRLANESIEIMADEQNLEKLSSDMSSKHQAVTEAKSYLEAEKEALTMLRSWVETEAARVHERAEVLEKAVRRWRIPADSDCN* >Brasy7G060900.1.p pacid=40046391 transcript=Brasy7G060900.1 locus=Brasy7G060900 ID=Brasy7G060900.1.v1.1 annot-version=v1.1 MHGAGKLYGGRIWRHLFHFPPRRLPIYLPEQPRRLNSSNPAPPPQLPFSRSRRPRIPTYVAMAATNGAAADSVHEPPHKITKIAPLLKVKKLSEKAILPSRGSASAAGYDLSSAADAVVPARGKALVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVVLFNHSEVDFVVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV* >Brasy7G060900.2.p pacid=40046392 transcript=Brasy7G060900.2 locus=Brasy7G060900 ID=Brasy7G060900.2.v1.1 annot-version=v1.1 MHGAGKLYGGRIWRHLFHFPPRRLPIYLPEQPRRLNSSNPAPPPQLPFSRSRRPRIPTYVAMAATNGAAADSVHEPPHKITKIAPLLKVKKLSEKAILPSRGSASAAGYDLSRGKALVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVVLFNHSEVDFVVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV* >Brasy7G063600.1.p pacid=40046393 transcript=Brasy7G063600.1 locus=Brasy7G063600 ID=Brasy7G063600.1.v1.1 annot-version=v1.1 MAGGGGDGGDGAQDDFFDQMLSTLPSAWGDLGAGGKSPWELAAGGEDLSAFDESALLASRLRQHQICGGGGEAKPVMLQLTDLQRQQGEESGGFSPLPLFTDRSPPPSAREDMDGGFKSPNNATGGDHAMFNGFGAHGGAGAVQPPFGQGGSMSGQSFGGTAASGGTAGGAAPPRQTRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEANSSNAKSGGAGAAATPNSNGNGNGGEKSGGGAGLRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATTTTGASPASLLARPPPMRPSANGAAGAGDDAVTRPVKVDANGGKQ* >Brasy7G021100.1.p pacid=40046394 transcript=Brasy7G021100.1 locus=Brasy7G021100 ID=Brasy7G021100.1.v1.1 annot-version=v1.1 MSSTTVSRAYLDQRLAAAKRCSKEAAMAGAKAAAVATVAAAVPTLASVRMVPWARAHLNPTGQALIISTVAGMAYFIVADKTVLSMARKHSFDDAPDHLKDTSFKSAGAPLASTTRRWASFRH* >Brasy7G088300.1.p pacid=40046395 transcript=Brasy7G088300.1 locus=Brasy7G088300 ID=Brasy7G088300.1.v1.1 annot-version=v1.1 MEAGDGGGGGHGGGAGTGIDDADAAFFSRGRYRCCCFSAPWQSSSYARRAVAGPDEEWWHGVGEGGAGAGAERRKWWRRGVDAFMKVREWSELVAGPRWKTFIRRFRRGPTRHGSGGGGGRKLNYDALNYALNFDEGHPASPEPGGDFAGYRDFSTRFAAPPGSARSSMDLGGRDAPSLFHHPPPRTPPAAAAVAARG* >Brasy7G224300.1.p pacid=40046396 transcript=Brasy7G224300.1 locus=Brasy7G224300 ID=Brasy7G224300.1.v1.1 annot-version=v1.1 MWWRVSPASRWHLIGSRCLVLLLLLSTMGMTMCATVLSSAGHYDDDERALVAFKEMISSHSGVLASWNRSVSYCTWEGVRCSQRHRSRVVALDLNSQGLAGTISPAIGNLTFLRYLNLSLNPLHGEIPPSIGSLRRLEYLGLQRNMLTGAIPSNISRCTSLRSLTIADNKGLQGSIPAEIGAMLSLSVLHLYNNSLTGTIPLSLGNLSRLTILSLAANHLQGSIPEGIGNNPSLGFLQLALNNLTGLLPLSLYNLSSLHRFYVTDNNLQGRLPDDFGRSFPSMQEFGFGNNQFTGVVPPSITNLSRLQDFDVPNNRFSGVFPSAMGRLQYLQWFNLVGNMFEANNEQEWQFLTSLTNCSRLQFMSIEQNRFSGQLPTSLSNLSTNIQEINIFANNISGTIPTDIGNLIGLEVLVLGHNLLAGIIPESIGRLTQLKELYLGSNKLSGYIPSSIGNLTGLSNLGASFNSLEGPIPSSIGKLTKLIQLGLSRNRLTGSIPNEIMQLSSISIYLDLSYNLLEGPLPSEVGNLVNLEKLLLSGNQLSGEIPATIGGCVVLETLLMDGNLFQGNIPPNLKNIKGLAVLNLTKNKLNGSIPGDLRNIASLQELYLSHNDLSGSIPKLLGCSTSLIHLDLSFNNLQGEVPTEGVFRNLTGLSIIGNNELCGGIPQLQLPKCPSPNKGMPKSLRIAFLTTGGIVVLLAALAITGFLYRKFKAGLKKELMPPQLTETDLPMVSYNEILKATDAFSEANLLGKGRYGTVYKGALENFPAAVKVFNLQQPGSYKSFQDECEALRRVRHRCLVKIITCCSSINHQGQDFRALVFELMPNGSLDRWIHPNIETQNRNGTLSLSQRLDIAVDLVDALDYLHNGCQPSVIHCDLKPSNILLTQEMRARVGDFGIARILNEAASEASVCSLSSIGIRGSIGYVAPEYGEGLSVSTYGDVYSLGITLIEMFTGRYPTDDMFRDGLSLHYFAEAAALPGKVMEISDSNIWLHDEANDSNDTKYITGAKECLAAIMQLGVLCSKQLPRERLSTSDAAAEMHAIRDSYLSNQ* >Brasy7G157000.1.p pacid=40046397 transcript=Brasy7G157000.1 locus=Brasy7G157000 ID=Brasy7G157000.1.v1.1 annot-version=v1.1 MDASGGTIFPSPVTEDETIAQKRSRRVSFADTTNVHFFERDEDFETPPEERPASPSPSPGRPLAGDAAEGDDTEGEEEFVRPPFGFLGDVDVGSSSPGSAAGSISSFDGDGNFFGVVSTSFIQSGRPSDSGISEDDGHDVTLDSRTFSMHFNNVIPPDDFSAHSAGSLRILNSESTTPLMDSNGSQSVKSSTGRDALTDMSVCADNSDRYDYTKLSPTLNNLLQEAQEQKSIKDGTNFVNPDHALSLPASKEQREEKSCVDMSFDELGTVNSLEGHISICNPVCSSSDLIQEDDAMIADIHDKYQTRLQENCNDDHISHPDANTVKTPMLLSPPPPYGSFMSNIDLQPPVLDQPSKDQPTGANQIASPSILSSAVPTFSVSDAEQMHQQNQNMNSETGLLTPRILVQPLQLTHGSVSSLRSKRQQLFSPIAPSPEACPLGSAFLKHANRISALDHVLKFRLHESPHNLRLPMIERNELVLEPNNTFSKAEDHGSTVSVSSNSVAPQQLKKTGQTSILDASSRQELNEATEVQDTSCNALPLDGLPNHECSSLLDLDGSRRKRSAEEHGCAEQQLNEKRAKGPRSPITAEKQLPCVSLSSRMTEENQSAASESEQSISDDWNKVVFTVSNSIKQMQICPASMRKLNPQQLDMLEDMLGKIHVARKYKRLSTAVRIQDSRLAEARSLHDKLLYEKAKLQINHAKLDKLRNKTQLCQVGIQECCYLKSKISQLLRPTAGAAQMKGNPLHAETLINASDRQEGHARITEKKLVLGMIQQKVEDLKTLLEQFCNIKGDISEVIRVAKKQLEMRNQCQIINQQASLWELNGIFKRENKRDVILNYHNLLFQRVILNISDMSSIFVNNSLSGTKIEQAFPNLNASVAFSFVFKAEENQSVSDLRSLQKKTMETSLLLGNLIDVLEEIEDAKRELLNLISADFGVDSQTGQLAFSLRFISCKSTKRVVFSIDMTDLTRAVYPSEPCELPIKVSQAETTLSQPSLDKLTVSIRDLQPGRVMILRLCRMVSRLVNSLPG* >Brasy7G128800.1.p pacid=40046398 transcript=Brasy7G128800.1 locus=Brasy7G128800 ID=Brasy7G128800.1.v1.1 annot-version=v1.1 MSRNLAASPSSSEDAGDEQSNDSNAGPPPQGSRSGSSSNRNNNGPPPSSSSGSGGSRSSSSSSSNNSQSSRSSNSPPQSSESDSSPSPSGSPSRSSSNDENSDSSSPPAPPSDSSGDNDDSNSSSPPPPRSQQSEGSKPPPSPPLRRSPPAPSSSEASPSPPPPQSSKQQAESPPSGAQPSPRPPANHSVVFIPIVSSSSSHPGAPPGLVTTMAPPGAVGVMSTHLPGSMPAGQSVTDNGAMQAAAGSSGTASSSSSQHSPVDSSPAVSGQVAAAIAGAAVAGLLFVIIAIVFIVTRKRRKLDGLVYHSDGSFYMHSSGQLVGSSRHPSGVLYAAPPGASGGPFSYGPPGTMSDSFRGGASGGYYRSGSMEQAAAAAAGSKSSFSYEELTSITSNFSRDNVIGEGGFGCVYKGWLADGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCVAQHHRMLIYEFVPNGTLEHHLHGRGVPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLDYSFEAQVADFGLAKLSNDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQDRPLGEESLVEWARPVLASALETGNLDELTDPRLEARGGYNKAEMTRMVEAAAACVRHSAPRRPRMVQVMRALDVDVDEGSMSDLSNGVKVGQSQVYSRTAGQQEAAIEQLRRTAFASEEFTGEFEQSGGYEYDDSDTTTRRRPPAG* >Brasy7G195400.1.p pacid=40046399 transcript=Brasy7G195400.1 locus=Brasy7G195400 ID=Brasy7G195400.1.v1.1 annot-version=v1.1 MGGLGWRVGSKVQRWGWENCLRSFSSAAVPSQMESVGFIGLGNMGAHMARNLVMAGYKVSVHDINESAMKKFSDDGIPAKQSPLELSESSDVIITMLPSSAHVLDVYNGRNGLLGDGRRVGPWLYIDSSTVDPQTSRKISMDISRCNLKEKKGYVEKPMILDAPVSGGVPAAEAGTLTFMVGGLEEAYVAAKPILLAMGKKLIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLSNIFNCSSARCWSSDTYNPVPGVMAGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPMGSEAVEIYRKLCDGGCESKDFSCAFRHYYTGKDEE* >Brasy7G007500.1.p pacid=40046400 transcript=Brasy7G007500.1 locus=Brasy7G007500 ID=Brasy7G007500.1.v1.1 annot-version=v1.1 MGFPAVGYSGMPRLLLHLLSFLSLLRRLSSWLLLLTGAAALDIDYHHYDPADGLQEELDAHSPAVRFDALRRIPIVSGEILPEGCAVCLADFHGAARVRRPRACRHVFHRDCLDRWASRGQSTCPLCRAPLLPLPPTATIGGH* >Brasy7G109100.1.p pacid=40046401 transcript=Brasy7G109100.1 locus=Brasy7G109100 ID=Brasy7G109100.1.v1.1 annot-version=v1.1 MEGATSSSSPQPKRQRVDPVAPPEGIHSQSAVTVVCLVDKKEFMFGTGSILMSDANHTYIFASSFLFRPEKSGNIRCTSRNDKQELEQDAKIRIYFHNRDMKEAQVIAAKANSRFIILAAKDDVSRQSIISNLGSPPSGEVVEVIAAMGGTAMHPGAKALPELIGVLGHYKGLIITPSCKARKHDGEFQKGSEDYFLFSLSFQDKLILLDTIDTKHRRSNTMIAAPIFRKNGELVGIIHGVGTWMDMKVGFHVPALKEEIFFLCCSSTRDQAKQVRKMSWEALLDCVKELLQKGIDIK* >Brasy7G008600.1.p pacid=40046402 transcript=Brasy7G008600.1 locus=Brasy7G008600 ID=Brasy7G008600.1.v1.1 annot-version=v1.1 MENGEIAEGLPVPAPPNGRRYRPVDLNDRAVIQMASMETGPSSDDGAATPQPPRNLKPGANLTIDPSTQEGSSDHATSSGSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIWQSLVLVSFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQESVTVVNNTLLNGTATAGTATIATPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSIASLRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALSTREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHAATLFTALICIGCVIIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVISLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLILCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSTIDGDYHELAEDAKTACHQLEAYIEYKRCEGVAEIIVASSMSEGFRSIVQTMGLGNLKPNIVVVRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKTDVKKFLYDLRMHAEVIVVTMKSWESHVESSSSGAQPDDSQEAYTSAQRRISTYLSEMKETTQREGRPQMEDGKQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT* >Brasy7G077600.1.p pacid=40046403 transcript=Brasy7G077600.1 locus=Brasy7G077600 ID=Brasy7G077600.1.v1.1 annot-version=v1.1 MQPAAEMQPPAGSPTASQSDTPQPPPPSDPSPPPSPAPAPAPAPEAADPPAPAPALAPVQLQAQQKSVTWSEKLTSESPTHVPAAAAAEASQYVSRGPASSSSKGAVEAMKDTLSRWGKSMGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEVLPDEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKVGVTHFLVVSLLDYEINQVVIPLHQLRTANPSVSKVNSAEKYIQVVSVEGHEFWFMGFLMYDKAVATLQEALDISRSCNHRYEMEVSVV* >Brasy7G015500.1.p pacid=40046404 transcript=Brasy7G015500.1 locus=Brasy7G015500 ID=Brasy7G015500.1.v1.1 annot-version=v1.1 MDPVAAHGGGGRHHFGPPVGSPFHSPFHGGAGQFHQPQFQQPYVDLHGHQAQMLANSMSGGGGNSGSSMLAKQELVDESTINSGGSNSAGEQGMRSAEPQIMGQQQGGGGGEDPHGSGPGLRGGVMRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVAPGCDVVDAVAGFARRRQVGVCVLSATGSVAGISVRQPGGGGPNGNGNGGVVSIAGRFDILSLSGSFLPAPAPPSATGLTVYVSGGSGQVVGGAVAGALVAAGGPVVIMAASFGNASYERLPLDAADDAPQSAAPDLAPLPAGLHQQQQQSLAMMNAIQLPGDEDDAGGYGGWASAGAGAGSSRVAPY* >Brasy7G153600.1.p pacid=40046405 transcript=Brasy7G153600.1 locus=Brasy7G153600 ID=Brasy7G153600.1.v1.1 annot-version=v1.1 MAPAAAASLATPHTLVAPRVSVSVAVAGRNSSGLSLSVGVRRLPGVSAVLSRRRMVATAAATEMAPAAKGEEGGKAFVEEMRAVAMRLHTKDQAREGEKEPQAPAVAKWEPTVEGYLRFLVDSKLVFQTLEDIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFRQQGHTIPEPSAPGTTYASYLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVAEKILNKKELEFYKWEGTLSQLLQNVRNKLNQVALSWSREEKDHCLEETEKSFAYSGNLLRQIFT* >Brasy7G094300.1.p pacid=40046406 transcript=Brasy7G094300.1 locus=Brasy7G094300 ID=Brasy7G094300.1.v1.1 annot-version=v1.1 MMAGAVALLFLATAVVLVSGEAGAALPRFAEAPEYRNGEGCPAAAAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFMAAAPGDAELRRAVAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLADLLPPCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPELGARVFADRRRPPCYFNTGVMVIDLRRWRAGNYRRRIERWMEIQKDKRIYELGSLPPFLLVFAGEVEAVDLRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIGGDGSSAATPASGPALSSW* >Brasy7G079000.1.p pacid=40046407 transcript=Brasy7G079000.1 locus=Brasy7G079000 ID=Brasy7G079000.1.v1.1 annot-version=v1.1 MPQQPRESEELRWEQEFAPPQLASPQARKLDGANDGAAKKRLWLPAPSRRFVPCVTRSPEYRRPGASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWLDTSNFSDVFDEEHFIRSLANDVKVEKKLPKELAKAPKSVRHFKSWSGVDYYQDEISPLWEHRQVIRAAKSDSRLANNLLPPEIQKLRCRAFFQALRFAPPIEALGNLLVERMKSFGPYIALHLRYEKDMLAFSGCTYGLSQSESEELSMIRQNTTYWKVKEIDPLEQRSHGHCPLTPKEVGIFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYASQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFHGHKKTVSPDRKALVRLFDKVDSGLLDEGERLSQRITEIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQSTGDSIVSI* >Brasy7G079000.3.p pacid=40046408 transcript=Brasy7G079000.3 locus=Brasy7G079000 ID=Brasy7G079000.3.v1.1 annot-version=v1.1 MRAGISDMVAVARILNATLIIPELDKKSFWLDTSNFSDVFDEEHFIRSLANDVKVEKKLPKELAKAPKSVRHFKSWSGVDYYQDEISPLWEHRQVIRAAKSDSRLANNLLPPEIQKLRCRAFFQALRFAPPIEALGNLLVERMKSFGPYIALHLRYEKDMLAFSGCTYGLSQSESEELSMIRQNTTYWKVKEIDPLEQRSHGHCPLTPKEVGIFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYASQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFHGHKKTVSPDRKALVRLFDKVDSGLLDEGERLSQRITEIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQSTGDSIVSI* >Brasy7G079000.2.p pacid=40046409 transcript=Brasy7G079000.2 locus=Brasy7G079000 ID=Brasy7G079000.2.v1.1 annot-version=v1.1 MRAGISDMVAVARILNATLIIPELDKKSFWLDTSNFSDVFDEEHFIRSLANDVKVEKKLPKELAKAPKSVRHFKSWSGVDYYQDEISPLWEHRQVIRAAKSDSRLANNLLPPEIQKLRCRAFFQALRFAPPIEALGNLLVERMKSFGPYIALHLRYEKDMLAFSGCTYGLSQSESEELSMIRQNTTYWKVKEIDPLEQRSHGHCPLTPKEVGIFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYASQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFHGHKKTVSPDRKALVRLFDKVDSGLLDEGERLSQRITEIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQSTGDSIVSI* >Brasy7G145400.1.p pacid=40046410 transcript=Brasy7G145400.1 locus=Brasy7G145400 ID=Brasy7G145400.1.v1.1 annot-version=v1.1 MEGFQWGRRAGSFVLYAAFFFLSASAPVSEANIGDFDEHWQQRKAAARAAAEAIYQSDPLEVANSLNRAVHRATKEDDAAGARRSMLGKHKKFAGPCRATNPIDRCWRCRKDWATDRKRLARCAQGFGRNATGGLAGKFYIVTDGSDDDVLAPRPGTLRWAVIQTEPLWIIFARSMLIKLKEELLIGSDKTIDGRGAQVRIADGAQVTVQYAHNVIIHNIHINDLIVGKGGMIRDSPAHFGFRTQSDGDGVNVFGSTSVWLDHLSLATCQDGLIDVIAESTGVTISNCHLTNHNDVMLFGSSDSNPKDVIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKDPTILSQGNRYIAPPNLAAKQITKQIGAAEPEWKNWVWHSQEDLLMNGAFFTETGGDIKRKFDKDDLIKPKPGTYVTRLTRYAGCIPCRPGKPC* >Brasy7G036100.1.p pacid=40046411 transcript=Brasy7G036100.1 locus=Brasy7G036100 ID=Brasy7G036100.1.v1.1 annot-version=v1.1 MDREQMKMAILEQEQTFRQQVHEMHRVYHVQKQLMREMQTAGLNRAHTETKPKLDVWRNEKVTNRQQLYSRSPVSEEECNLELTLATGSRSSGSSRSQKGKQVAKSSNSDSGTAVSSTSTESELAQFKEFGPTTVRFQSESKRFAVADETNQSPWLHQYVSLRMA* >Brasy7G015200.1.p pacid=40046412 transcript=Brasy7G015200.1 locus=Brasy7G015200 ID=Brasy7G015200.1.v1.1 annot-version=v1.1 MRCGIVGARGGRPDGSEQQPGRARPAAGRRPAAGHARCRGRRRALRAEAGGGALRAKAGSRRGHAGGGRQQAGARGQRPAAGRARQQAELHRTWGRKAGGRRKTCYWVGSDFFRVSFIRQKLRQIANSWCLGRAVTFGPEWSWRSG* >Brasy7G164600.1.p pacid=40046413 transcript=Brasy7G164600.1 locus=Brasy7G164600 ID=Brasy7G164600.1.v1.1 annot-version=v1.1 MAAAVHHHHLLRLLSPPPPRPPGRLLFRPAPPHPPPPFSGRLRSSLPAPSCHLAAVADQHFVEDEFVVVTFYKLVPVEDPRAEVAKHLHFLQGRDVHGRIYMNEQGINAQYSGPRKDAMAYADWLRRDHRFSDILVQVSPALCGHAFPRLKLRYKPSLVQLEGGSCHLPLVESSMRASPLTPSEWREKLEARKRLESEPAGDTSGRNVLLLDVRNGYEWDIGHFEGAKRPNVDCFRSTSFGLSEQEMDLSDPLHGVDKENTDILMYCTGGIRCDVYSTILRKKGFGNLYTLKGGVSNYLTSEGCAEWVGNLFVFDDRLSLPPAKFAEEVEREDGVEEEGGIDNGRSSRSRWLGRCYVCGSEVEELKHRNCASIDCNRLYLCCGWCLEELRGCCCLECRSAPRLRPLLPGHQRYDKWHLYRDATSSTLHL* >Brasy7G164600.2.p pacid=40046414 transcript=Brasy7G164600.2 locus=Brasy7G164600 ID=Brasy7G164600.2.v1.1 annot-version=v1.1 MAAAVHHHHLLRLLSPPPPRPPGRLLFRPAPPHPPPPFSGRLRSSLPAPSCHLAAVADQHFVEDEFVVVTFYKLVPVEDPRAEVAKHLHFLQGRDVHGRIYMNEQGINAQYSGPRKDAMAYADWLRRDHRFSDILVQVSPALCGHAFPRLKLRYKPSLVQLEGGSCHLPLVESSMRASPLTPSEWREKLEARKRLESEPAGDTSGRNVLLLDVRNGKLFSAMNGTLDTLKEPRGLMWTASEALRLGCRSRRKKGFGNLYTLKGGVSNYLTSEGCAEWVGNLFVFDDRLSLPPAKFAEEVEREDGVEEEGGIDNGRSSRSRWLGRCYVCGSEVEELKHRNCASIDCNRLYLCCGWCLEELRGCCCLECRSAPRLRPLLPGHQRYDKWHLYRDATSSTLHL* >Brasy7G164600.3.p pacid=40046415 transcript=Brasy7G164600.3 locus=Brasy7G164600 ID=Brasy7G164600.3.v1.1 annot-version=v1.1 MLSGPRKDAMAYADWLRRDHRFSDILVQVSPALCGHAFPRLKLRYKPSLVQLEGGSCHLPLVESSMRASPLTPSEWREKLEARKRLESEPAGDTSGRNVLLLDVRNGYEWDIGHFEGAKRPNVDCFRSTSFGLSEQEMDLSDPLHGVDKENTDILMYCTGGIRCDVYSTILRKKGFGNLYTLKGGVSNYLTSEGCAEWVGNLFVFDDRLSLPPAKFAEEVEREDGVEEEGGIDNGRSSRSRWLGRCYVCGSEVEELKHRNCASIDCNRLYLCCGWCLEELRGCCCLECRSAPRLRPLLPGHQRYDKWHLYRDATSSTLHL* >Brasy7G164600.4.p pacid=40046416 transcript=Brasy7G164600.4 locus=Brasy7G164600 ID=Brasy7G164600.4.v1.1 annot-version=v1.1 MWACFSSLETALEGGSCHLPLVESSMRASPLTPSEWREKLEARKRLESEPAGDTSGRNVLLLDVRNGYEWDIGHFEGAKRPNVDCFRSTSFGLSEQEMDLSDPLHGVDKENTDILMYCTGGIRCDVYSTILRKKGFGNLYTLKGGVSNYLTSEGCAEWVGNLFVFDDRLSLPPAKFAEEVEREDGVEEEGGIDNGRSSRSRWLGRCYVCGSEVEELKHRNCASIDCNRLYLCCGWCLEELRGCCCLECRSAPRLRPLLPGHQRYDKWHLYRDATSSTLHL* >Brasy7G098500.1.p pacid=40046417 transcript=Brasy7G098500.1 locus=Brasy7G098500 ID=Brasy7G098500.1.v1.1 annot-version=v1.1 MGMEHWMSAVNPPSGLGRHVDGTMEETKELQKQTAETTMEAHQSRDVAIRCPIPCKSRRPYMEYDLRSAQDLSDLIVSKASPPYFMGSPPVRATNPIVHDTQFRAWKVQSIDQSLGIPIPTKGYSVGYHAKEGSPYKP* >Brasy7G098500.2.p pacid=40046418 transcript=Brasy7G098500.2 locus=Brasy7G098500 ID=Brasy7G098500.2.v1.1 annot-version=v1.1 MGMEHWMSAVNPPSGLGRHVDGTMEETKELQKQTAETTMEAHQSRDVAIRCPIPCKSRRPYMEYDLRSAQDLSDLIVSKASPPYFMGSPPVRATNPIVHDTQFRAWKVQSIDQSLGIPIPTKGYSVGYHAKEGSPYKP* >Brasy7G204100.1.p pacid=40046419 transcript=Brasy7G204100.1 locus=Brasy7G204100 ID=Brasy7G204100.1.v1.1 annot-version=v1.1 MVVLCPIEYFVLAVGSDKPRPVKCSLTPVEHELVGGMGPSIFGAPVLLHSCLHVHWRGRRRGLGPWYHKILVFDTVAESFRQMRPPAVNPHHEMQLLDMGGTLAATSATYRMTELRIFMLQDHQQQQDVWAFQYRIKLPVMDIRHFQEEGDWWAKVVSKDGDVLVSSFGRLLHCDRNGKLVADFKYDDDLPVVIPHRLKESLIQYPFLQKTKEN* >Brasy7G022000.1.p pacid=40046420 transcript=Brasy7G022000.1 locus=Brasy7G022000 ID=Brasy7G022000.1.v1.1 annot-version=v1.1 MIKGETWRQLGSHEDRLKGEMKDDHRVSDDSFFKTLQNQSSTTKEVQHDKLASTRAEMGEVRQENERLKTMLSRIIEDHRSLQKHFNDVLQQGREKKLAGGRSPADVEEAELVSLSLGTSTSSRWHTTEEKSGTIEEGHGRERLGLLKIRQGAAGISLGLSAGSQLLAGATDQKVPQPDVLLSLSPEGSSEEATKDADDTTASMEQWPALSQTAKNSRSGAGAGGTETEDDVAPQAPMVKKARVSVRARCDAPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAAGCPVRKQVQRCAEDMSILISTYEGKHNHPLSASATAMACTTSAAASMLLSGSSSSSSSLGLYPFPAASPSLSFGGLPATSITAAPSASSHPTITLDLTSPPPTTASHSPFSFSSNRFSSSLFSNSNRYPPSTSFSFSGSGATNNATTTAAWPAAGGYLSYGLSSSSSAGASSYNNAGNGNGMVVQGRQLQQQQQQQGGSTLLYQQQRLAVPPQVDTIAKVITSDPGFHKALAAAITSYVGTKPAVPPVPAGGGGGLEWGEHLGLGPASSAASGKVSFLQPSSLALSGSTSGTASMSPVKNREHTLAEGL* >Brasy7G022000.2.p pacid=40046421 transcript=Brasy7G022000.2 locus=Brasy7G022000 ID=Brasy7G022000.2.v1.1 annot-version=v1.1 MKDDHRVSDDSFFKTLQNQSSTTKEVQHDKLASTRAEMGEVRQENERLKTMLSRIIEDHRSLQKHFNDVLQQGREKKLAGGRSPADVEEAELVSLSLGTSTSSRWHTTEEKSGTIEEGHGRERLGLLKIRQGAAGISLGLSAGSQLLAGATDQKVPQPDVLLSLSPEGSSEEATKDADDTTASMEQWPALSQTAKNSRSGAGAGGTETEDDVAPQAPMVKKARVSVRARCDAPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAAGCPVRKQVQRCAEDMSILISTYEGKHNHPLSASATAMACTTSAAASMLLSGSSSSSSSLGLYPFPAASPSLSFGGLPATSITAAPSASSHPTITLDLTSPPPTTASHSPFSFSSNRFSSSLFSNSNRYPPSTSFSFSGSGATNNATTTAAWPAAGGYLSYGLSSSSSAGASSYNNAGNGNGMVVQGRQLQQQQQQQGGSTLLYQQQRLAVPPQVDTIAKVITSDPGFHKALAAAITSYVGTKPAVPPVPAGGGGGLEWGEHLGLGPASSAASGKVSFLQPSSLALSGSTSGTASMSPVKNREHTLAEGL* >Brasy7G013100.1.p pacid=40046422 transcript=Brasy7G013100.1 locus=Brasy7G013100 ID=Brasy7G013100.1.v1.1 annot-version=v1.1 MTSPIFLVFYYNLNLSWEDSSKMGNVRDYGRSAQTKRAAATRKRVRTFWEMRTDTPVSYLRLSEGAPRKPGSALATHFPLTKTQRANDGKATIALISWVPDASFPLVITAAFGIAVDFHNFFPFFVYLRSKL* >Brasy7G100000.1.p pacid=40046423 transcript=Brasy7G100000.1 locus=Brasy7G100000 ID=Brasy7G100000.1.v1.1 annot-version=v1.1 MAGAATLLSVLCKILVIALASSALLSSPGESSYVCQGKCTDIPDCDNWCKSPGGYPKGGRCVPPLYQFCCCIQ* >Brasy7G163600.1.p pacid=40046424 transcript=Brasy7G163600.1 locus=Brasy7G163600 ID=Brasy7G163600.1.v1.1 annot-version=v1.1 MMMRLRGSKDPDSSFYQLRPDCTHNVPDTKFKIKAGKTLSVRKWHAAFTHQGFLDIASVLNRIQSGGVHPAIRGEVWEFLLGCFDPESTFDEREQIRHTRRIRYARWKEQCKEMDSHVGSGKIITAPIITEDGVPIKDPLVLLEATSDQSTPQGGSASSRNGNEVDESVNRVMDKQTIEWKLTLHQIGLDVLRTDRSMVFYEKKENLSRLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYKETGDATDRTQGHKPKVKSTRQFGKYERANMKNGTNGVDGPVPISVFLVASVLKENSQKLLQEARGIDDVITILNNVNGNLDAKKACAVALKLHVKYLRKMQGKKP* >Brasy7G182300.1.p pacid=40046425 transcript=Brasy7G182300.1 locus=Brasy7G182300 ID=Brasy7G182300.1.v1.1 annot-version=v1.1 MATVLPDHVIEDILARLPAKSAARCRCLSRFWAATLSSNHFADLHHRLAKKRQDGPRILFLHVPPRDDGTRPKVHGWSPDHPGGATLPSDVDRRRRRYNDMVVAPRLVTQQCRGLVLLEAARAGTCHVFSPTTGQIAALPEGRSTAGPGRRALRPRDEAEQNHASFGIGYDARAMTHKVVRVYYRGCDDGTKKVIPGHAGCEVYVVNGPTGLWRPAAGGAPEEPAGWIKQDETSVFAQGHVHWFAKRRLDSPPQESFLVSFSIGDERFGTISLPPLDTGVHRLLSHQLTELGGRLCLCREVDRKLSGWPRWNNSYIVWLLRGHETGGTWDLHYRISLDTAPREVARFLYCRGTVIPVTGTDRVRRIVQIEPRCRFGFKTCAAPENGDLEDILGCHGGLLSNRFFRSGHAALYEESVVSLGQPCEDIIFAMSLVLLKLSTRTLVRLKLVCRSWRAMIEHKDFRKTRRRMAPSGFIF* >Brasy7G056300.1.p pacid=40046426 transcript=Brasy7G056300.1 locus=Brasy7G056300 ID=Brasy7G056300.1.v1.1 annot-version=v1.1 MEVEPAAAPPSRADLHSGHAAARGFRSRQYAALALTFAAYAAFHASRKPPSIVKAVLSAEWAPFSGPRGTHRLGELDVAFLSAYAGAMFAAGHLADRADLRVLLAAAMVASGAASAALGAAYFLDVHSFPFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASHRGTIMGLWTSHTSVGNIAGSVLAAAVLEFGWGWSFMVPAFVIAALGVVVLVFLVAHPNDAGLELDKMEIETNGDGGEEVELLVEEKKVVEGDDGELELEMGCQLPRAIGFLEAWRLPGVAPYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGVSAGFLSDAIGARAVTSALFLLLSIPALILYRTFGSISMRHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIMSISIALMFLIRIARDEIVSKIGARH* >Brasy7G185600.1.p pacid=40046427 transcript=Brasy7G185600.1 locus=Brasy7G185600 ID=Brasy7G185600.1.v1.1 annot-version=v1.1 MSWSNGDAEPPPSYDGCRSDGESEARSRPKVIHDSDWDGYEIERRIGCRHGLFARRLVSWEGTNIGRRFLGCGDLELGGDCGFIEWIDPEWSSSLKKAVAKLWSHVAEQRLILEDTREKLMSDRVDQDLDRALTAAEFLSIQRERERLLAIGIAMKAAAEEASRRRDQALAESKRRGVVLGYSVAVGATIACVLAYVLNMIVR* >Brasy7G214300.1.p pacid=40046428 transcript=Brasy7G214300.1 locus=Brasy7G214300 ID=Brasy7G214300.1.v1.1 annot-version=v1.1 MYTLRLAGTGSLSKTKTEAEDYIETTAAAAATVGSRPVPEDVICEILLRIKDVPTLFRCAATCKRWAHHVASPYFLGRRWPLETADTSSSLSFMAGFFVWGRHGRPDFTYARSLIPGPSRSSVFGPARRLLESFFPCKEYNVMVGGGGGIFDGAVPLVARHGLLLVRLATAGGRTVNEASIVRLTVCDLLADTYDVLPPLSCLRALCFEHSGYAILTGADMIFSNDEQRRSPLGYSAFFKVIIIGADICQHVHNLHEFSSAEPSWRTHTISLFDARMPEEILHLVLKHSGAVLRRGTAHWLASLSYFGLHTRDVDVQTCCRVSLTKIPISITSKQYGTYNKLQLSVVASGALSLLCLQRASLRLDIWTRQDGGIESGDGGVARWFCTSVIELKLPKQIHIKGGLQLFVFGEKSGTLIVKDNHRHIYAADLETGEMEELMDCGWIEDGRDGAGGHRVPASVARGRHLRDTRTHKGRFYPLPSRHGMQAVGAPHGRPLFPPPPEAAHTSAFMAGFFAWGRHGLPDSTYGRSLVPGLSRSSVFGPSRRLLESFFPGNDDDVMGGGGLLDGAVPLVVRHGLLLVRLASAGGGPVIEGCHIAHLASFNHSGYTIVTGADLIFSSDDRERSLPPPGYSAFFKVLIVGADTYRFVHNLHVFSSAEPSWHTPCKSLFDARMPEKGIHLELGHSCAVLRHGTAHWLTSLSRSGLHTLDVDVRTCCHVSLTKMSFRITSKQYQTYNQPQLSVVAMPAKGRLAIGYLDEA* >Brasy7G111400.1.p pacid=40046429 transcript=Brasy7G111400.1 locus=Brasy7G111400 ID=Brasy7G111400.1.v1.1 annot-version=v1.1 MSSSSPQLMKRLKPAYQFVVNNFIVVLAVPLAVAGIVSAARVGPDELLGRARALRPAHVFLAAFLPAAAATLYLMLRPRSVYLVDYACFRTKPSCRVPFGTFLEHAKLVTYIEGASIDERSIRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASREEVELVIFSAIDDLLAKTGVSPGAIDILVVNCSLFAPVPSFTDMIVRKYKMRSDVRNVHLSGMGCSAGLVSVGLARNFLQVAPRGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAALLSTSRAKARFRLSRVVRTLTGAQDGAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVFGNTRVKPYLPDFRTAFEHFCIHAGGRAVIDELQTSLGLSDEHVEASRMALHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARSADGPWAESVCRYPVYIPEVLKH* >Brasy7G143900.1.p pacid=40046430 transcript=Brasy7G143900.1 locus=Brasy7G143900 ID=Brasy7G143900.1.v1.1 annot-version=v1.1 MEAWLPLFRHLLTSPAPNAAAFSSSDQCPSSLPPAAALLRILLSPASTLPAADPPTVLFQTLPPLLQSQALFFLSSSAPLLDPLQLRSLASRVIYAPTGQYEFWVCRGAHHLLDRLRHRGVPDVSEGFIEEFHEPPRWLKEEAERARPVLPWLPIDCRSVTASSTLGGGDCLDGSGLESFVLEQDDDPLLQEAGCVPPHPAPPLGNLFVQRALSLQKEIVMAESVLEAQQAAKGLQDICVESGNAEAVLSLARPWEADDDTVRVLLSNLVLEEDGMHSKGPALVLCSLVLPKLLGLQRAASSVLISAALGICKHHPAAALEAILLPLVLRKEGLNVPQCDVLTQIVKDCMHPLHVTAFCHRLLSGEERERKPVCMPEHRENIGGNLIWTESLFALFNSILNQDICLTPCAIVKLVSVIDEMATKFSRSLKFGNFLLCFISKCWHESCKLKWCLEVYMFIQINKDVISCIMEKRKCSWPLLAMQLIHLYNWNFHFLKHWSREVEPNVLLFSG* >Brasy7G215500.1.p pacid=40046431 transcript=Brasy7G215500.1 locus=Brasy7G215500 ID=Brasy7G215500.1.v1.1 annot-version=v1.1 MAAPPPPASLTFFLLLLGALVSAAPARRPPPFPAGTPPLLRASSSSSGGRYAASAVASGGKAAPEPEKKNPFRAHYFPQELDHFTFTPNASRVFYQKYLVNDTFWRRPTGKGRGAAGPVFVYTGNEGDIEWFATNSGFLFDIAPKFSALLVFIEHRFYGESKPFGNESYGSAATLGYLTSTQALADFAVLITSLKHNLSAPAAPVVVFGGSYGGMLASWFRLKYPHVAMGALASSAPILQFDDITPWSSFYDAASQDFKSESKNCFSVIKAVWDVLDERGSNDKGLLQLSKTFRACKTVKYVDSLSNWLWTAFVYTAMVDYPTPANFLMNLPAYPVKEMCKIIDAFPPGADIVDKAFSAASLYYNYTGDQKCFDLEGGDDPHGLSGWGWQACTEMVMPMTVSNKSMFPPSSFSYEEKSEGCLASYGVRPRMHWITTEYGGHKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRTATKDDPDWVIEQRRQEVEIIQGWIDQYNKDIAHMSQ* >Brasy7G130700.1.p pacid=40046432 transcript=Brasy7G130700.1 locus=Brasy7G130700 ID=Brasy7G130700.1.v1.1 annot-version=v1.1 MAVGVTSQLFQGVTATNRLNQTNKSGVRSRIASHEMRRSLLPSTSLPSVAYGKASSVHNLLERNYMPMLDVPYRYRALSVRSFALPVALKDIPLVKSTSSVLTRSCDTLLANPATAFVVPAIGICVFALWGFLPLMKDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRGLDPVMLPSAASQAVKTRLLTFVRSLSTVLVTAYILTSLIQQVQKFLVDIRSPNDTRTMGLDFTMRAVYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMLNATRPFVVNEWINAKIDGVEVSGIVEHVGLWSPTIIRGDDREAIYIPNHKFTMSILRNNTRRSHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNHQIEQQKLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQETVMLDLLTIVGHHRARLATQIRTVQKSYGNADIDNIPFGEDIYSRVRGRPLLIDTSARISSDDKSKPRPVSREDQKVKTSVSVETKSASPDGASLSNSEKVKTSVSVETKSASPDGASLSNSDKQEQKKLVPEDGRTKNSKNDQVKSTIPSPSTPWAENMDPVTSTSKADRGKAQGSESQGDSSVSVANQKKESRPVFEDNIVLGVALDGSKRTLPIDEGMNPHLSLSEPEQDTVEAASSPKKGQSHTLSGQEKADQRNLDR* >Brasy7G093800.1.p pacid=40046433 transcript=Brasy7G093800.1 locus=Brasy7G093800 ID=Brasy7G093800.1.v1.1 annot-version=v1.1 MLLPMRRLPTAPSLPSVYPHISPARPSLVLRRRHGRGSLSRRTSAAPVVCCSGSLPVANPVTVADTESSAEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKDAIKWAWELTTKEYGLPPERLWISVFEDDDEAFSIWRDVVGVPEERIKRLGEDDNFWTSGATGPCGPCSEMYYDFHPERGSSTADLADDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQNVPNNYETDLIFPIIKKAASMALVSYSTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRIIGMRSDGPENSESAFLPSLAEAVISLSSEIDPDVGSRRKSIIGELQREELRFVQTLGRGEKLLDELLDEALVSAGDNGDNPCLSGKDVFLLYDTYGFPVEITAEIAGERGVTVDMKGFDIEMENQRKQSQAAHNVVKLSVGNENEIVKSIPDTEFLGYDSLSATAVVKGLLINGNPVEHVSEGSDVEILLDRTPFYAESGGQVGDNGFLYVYEEEGGKQNTVIEIKDVQKSLGNIFVHKGTIKQGSVDVGKKIDAAVDGKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFSFHRPLSEKELLEIESLVNQWISNATHLETNVMALQDAKNAGATAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKVISEQGIASGIRRIEAVAGDAFVEYVCSRDNYMRHLCSTLKVKAEDVNSRVETVLEELRTARNEVSSLRSKIAVLKAASLASKAATIEPHNVRILVENMGDVDADGLKSAAEHLIGTLQDPAAVILGSSPGDGKVSLVAAFTPGVIKMGMQAGKFVGGIAKLCGGGGGGKPNFAQAGGRQPENLPEALEKARAEIVASISSKSS* >Brasy7G093800.2.p pacid=40046434 transcript=Brasy7G093800.2 locus=Brasy7G093800 ID=Brasy7G093800.2.v1.1 annot-version=v1.1 MRYGLPPERLWISVFEDDDEAFSIWRDVVGVPEERIKRLGEDDNFWTSGATGPCGPCSEMYYDFHPERGSSTADLADDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQNVPNNYETDLIFPIIKKAASMALVSYSTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRIIGMRSDGPENSESAFLPSLAEAVISLSSEIDPDVGSRRKSIIGELQREELRFVQTLGRGEKLLDELLDEALVSAGDNGDNPCLSGKDVFLLYDTYGFPVEITAEIAGERGVTVDMKGFDIEMENQRKQSQAAHNVVKLSVGNENEIVKSIPDTEFLGYDSLSATAVVKGLLINGNPVEHVSEGSDVEILLDRTPFYAESGGQVGDNGFLYVYEEEGGKQNTVIEIKDVQKSLGNIFVHKGTIKQGSVDVGKKIDAAVDGKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFSFHRPLSEKELLEIESLVNQWISNATHLETNVMALQDAKNAGATAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKVISEQGIASGIRRIEAVAGDAFVEYVCSRDNYMRHLCSTLKVKAEDVNSRVETVLEELRTARNEVSSLRSKIAVLKAASLASKAATIEPHNVRILVENMGDVDADGLKSAAEHLIGTLQDPAAVILGSSPGDGKVSLVAAFTPGVIKMGMQAGKFVGGIAKLCGGGGGGKPNFAQAGGRQPENLPEALEKARAEIVASISSKSS* >Brasy7G165900.1.p pacid=40046435 transcript=Brasy7G165900.1 locus=Brasy7G165900 ID=Brasy7G165900.1.v1.1 annot-version=v1.1 MAAMNNDLGGLSGRPTTAQANPFESALYGAGPGLIRTGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNNQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFATYVLVAGYALGVLGRFTPETLTLQFTKGLLGWFFQVILIKGLLYSLGSGESPLLDIVAYAGYGFAGTSLAMLVRIFWSYSYYFVLPWFCICTGVFLVKTMKRVLLAAARSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG* >Brasy7G230800.1.p pacid=40046436 transcript=Brasy7G230800.1 locus=Brasy7G230800 ID=Brasy7G230800.1.v1.1 annot-version=v1.1 MTPTVGSRERAAPALRPPPTGLPATAASAFPAEPAPNVVLGSAPSVPRAVPACNAAPGPVSSVCAVPVANAVPPNVVLGSVPSAPRDVPVANAVPGLGAASGSSPALVDFWVKAASDLQVAYDFLTPPSRRRPSTSSPGSYAEFFADLRDADYSEADAFHSICLEDASRRAAGVVTRPDMASFLRVHPEFLLQHSLPGPPPGSPASAMVHGFDEEDGRKFARSIFNTHRQPVHPLSRDASFLLVASFGRADFRLEVSAGRPGHSSSSP* >Brasy7G032400.1.p pacid=40046437 transcript=Brasy7G032400.1 locus=Brasy7G032400 ID=Brasy7G032400.1.v1.1 annot-version=v1.1 MSTSKRAKLSHGTSNLATKQQSDDPFENLPQDVVCLILSKLPLHEAARTSVLSTKWRHTWKSRPKLRIDGATMYYIPADINSQELYTESFIQTANAILDQCSSASTTAAVEEFQIKYPFDIIKYPSDSMLVGHLDNWVKFAVSARTKSLVLDLEPEGLKCCKCWYSFPFELFDKLCLSRLEAIRLSVVSLRAPPPGFIGFPNLKSLYLRFVDLSSDDLVQLVLSGCFCLERLCIVSCHLHDDELRVPSAPSLRYLLVANSSINKIRLTAENLTTFVYDGSVVPLDVSEALELRHAELDFSSLLTLEHAITELPRAIPHAQNLHLIAPMTLEVLHLPECVSKFAHLKHLELTLCLFGPRCENNLLSLASFLEAAPLLEGLDINFENSWLPMQVYELPAIRSLPPCPHDHLKRLRIGGFCGTLGEIELAAHVVDNSPRLESLVIDPAMRHVDDYTCHFTPSGRAKFLGEARGYANIYLAGRVAQQGARLDVLW* >Brasy7G127100.1.p pacid=40046438 transcript=Brasy7G127100.1 locus=Brasy7G127100 ID=Brasy7G127100.1.v1.1 annot-version=v1.1 MDTLASHAAHISTHYPMAPMETSTHYHSSSRSELHCCSLEPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKTLQAAAAADQGISGAAAPAPTTAVASHWVMRLPFLNPHGNHKEPGTIQVHRKLASAGDGGAVAGEVYETEVLDAAGLPGVEAKAFFGRLDEQLNKVNRFYEKKQEEFLERGESLRRQLQILVELKAAVTEARRRGGSPGSADAEDPSVSCSILHGDQSLRGIAEQEHGGQGKLTKDAIAKVTNEEGEGQFSFSEGLGESERIEKPREEVAQKLRTLSGKEVTCQGRSVRINIPVTTPSRTVIAIRDLLFDDMLSQSRKNGGNVGDGCEKLSINKKKVHQAEKMIRGALVELYKGLGYLKTYRSLNMLAFVKILKKFDKVTAKEVQTIYLKVVESSYFNSSDKAIRLMDDVEELFVRHFANGDKRKAMKYLKPNQKEESHSTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMSTSYPVLSMFSLFFLHLFAYGCNVFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVVGVMFAHLTLIVKGYSSNAVQAIPGCLLLVFLLMLVCPFKILYRSSRYDFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPVLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHLVNLGKYVSAMLAAGTKLAYENDNSAGWLSLVVIVSSVATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMALNLLLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGRFRAVKVVPLPFHEVEED* >Brasy7G127100.2.p pacid=40046439 transcript=Brasy7G127100.2 locus=Brasy7G127100 ID=Brasy7G127100.2.v1.1 annot-version=v1.1 MDTLASHAAHISTHYPMAPMETSTHYHSSSRSELHCCSLEPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKTLQAAAAADQGISGAAAPAPTTAVASHWVMRLPFLNPHGNHKEPGTIQVHRKLASAGDGGAVAGEVYETEVLDAAGLPGVEAKAFFGRLDEQLNKVNRFYEKKQEEFLERGESLRRQLQILVELKAAVTEARRRGGSPGSADAEDPSVSCSILHGDQSLRGIAEQEHGGQGKLTKDAIAKVTNEEGEGQFSFSEGLGESERIEKPREEVAQKLRTLSGKEVTCQGRSVRINIPVTTPSRTVIAIRDLLFDDMLSQSRKNGGNVGDGCEKLSINKKKVHQAEKMIRGALVELYKGLGYLKTYRSLNMLAFVKILKKFDKVTAKEVQTIYLKVVESSYFNSSDKAIRLMDDVEELFVRHFANGDKRKAMKYLKPNQKEESHSTTFFIGLFTGGFVALFIGYCIMAHIAGMYTQQSNKVYMSTSYPVLSMFSLFFLHLFAYGCNVFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVVGVMFAHLTLIVKGYSSNAVQAIPGCLLLVFLLMLVCPFKILYRSSRYDFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPVLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHLVNLGKYVSAMLAAGTKLAYENDNSAGWLSLVVIVSSVATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMLLR* >Brasy7G039900.1.p pacid=40046440 transcript=Brasy7G039900.1 locus=Brasy7G039900 ID=Brasy7G039900.1.v1.1 annot-version=v1.1 MQQLLSILAEGEEQARRLLLHDGDGKECCRAVAGQLGRTLGKAMAVAKAVQAAGGAASAGTDDRSDSPRSADESSAAQAQVQERQGVCKRRKGLPKWTEKFRIPDTNLEYTPDDGFSWRKYGQKDILGAKFPRGYYRCTYRTAQGCLATRQVQRSDADFAVFDVTYQGAHTCHQIAHRHPVPAPPPPSAPALDGIHPPPPPSQNPDLQLLATFKDGLKVETDHGPSPSTSSFQIHGLHHHGASFPYAAAAGASPARGLSRRGPRRRAGRATSLPRRRSSARSSPRRPHHRRRRRWIPPLGSTTRCSSTTSTTSCSKTISTRTCSSRPCLAATLRPLLLPLANTTETGKSVVKRRRS* >Brasy7G142100.1.p pacid=40046441 transcript=Brasy7G142100.1 locus=Brasy7G142100 ID=Brasy7G142100.1.v1.1 annot-version=v1.1 MAISRPRSRAVPLLPLLLLILLAPLIYSLSRQRLSWATNRDLNLPRPAALNRPDRLVLGPAAGQGRPDRLQCQGLKAVNKIILSSERTHYGEHVSFVTVFTTYNSDPDKASKMSSGLVTVGNHSYSKVERSIAVLNTFISFIQVSMPRSNAIILTDPKSNLSIDQGNAVILAIEGNYSRGNLMLQRIKSYIAFLELKFVELQRVDKFTHFVFTDSDIAVVEDLGHIFKRYPHCHLALTFRNNKGQPLNSGFVAVRGTSDGISKAMEFFKEVLEAYNSKYMKASRMLGDQLALAWVVKSYLPSAFGKFSRHEEFTGEVNGASILFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSHLSDMLCLILKSGRTKYDF* >Brasy7G142100.2.p pacid=40046442 transcript=Brasy7G142100.2 locus=Brasy7G142100 ID=Brasy7G142100.2.v1.1 annot-version=v1.1 MSSGLVTVGNHSYSKVERSIAVLNTFISFIQVSMPRSNAIILTDPKSNLSIDQGNAVILAIEGNYSRGNLMLQRIKSYIAFLELKFVELQRVDKFTHFVFTDSDIAVVEDLGHIFKRYPHCHLALTFRNNKGQPLNSGFVAVRGTSDGISKAMEFFKEVLEAYNSKYMKASRMLGDQLALAWVVKSYLPSAFGKFSRHEEFTGEVNGASILFLPCAVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFYNSTSHLSDMLCLILKSGRTKYDF* >Brasy7G232600.1.p pacid=40046443 transcript=Brasy7G232600.1 locus=Brasy7G232600 ID=Brasy7G232600.1.v1.1 annot-version=v1.1 MAPSPSASAADGEILPSLAAADDERWLAALSEPELDFLISLKKLAATRAQTAGHPHLADQFDVRTLRSLGVVLLGSLKERLKETSVDPNILDTLALSRDTDADFSASGSGSEVFRRGKDQPSQNGGEKKRKQMQDDECHEGAVQSNKKRKMSKKVAASIDYHDFLTSSDPANTQGC* >Brasy7G056100.1.p pacid=40046444 transcript=Brasy7G056100.1 locus=Brasy7G056100 ID=Brasy7G056100.1.v1.1 annot-version=v1.1 MLRPCTPSLTAGLLCLLIIICSEPFLPRCAACTLDDRTALLDIRNYFFHQDADFYQPDWSSRDCCRWDGVTCNSRTGRVTGLDLGNFEPYSPSLLNATLFLPLQELRNLSLRDDLVKGCIPGAGFEVWSKLQKLESLDLSGNVLNDTSISSLVALSSIRSLLLNDMVLSAARTIQRLSTMKLETLDLCGNGIYGSLSTDVCNMASLQELHLCNNFLSGELPRCMQNLTSLKILDLSNNHLTVKFPSVIFSSLTSLVKLSLSNNDLQGLLFPSSLLNNSQLTHLRLASSGNNFQMGMENQATVLSARLQVLVLRNCNLNGNSAVIRSLLLHQHALYFVDISNNNQTGSFPSWLIENNVDLSYLLLRGNSFSGPLLLPSKAHINLAVLDASCNSLHKLPMEINITFPNLHFLNLSANSFQGVFPSALSYMALESLDLSYNNFSDNIGATLVRSMPFLILSHNQFYGSLPRELSASSFSNLLLNNNRISGEIPKNICYIRGLIWIDFSNNDLTGSVPTCIYTLPDLAILNLRENSLVGSIPPEICKPKNLTFLDVSKNNLSGPIHCMPDAGYIHLSENQFNGTFPFPLSLNTSIQSMDLRGNQFSDVDLFVFDYQNANYSGEQFEFDRGSYVEIPYIFEEEPDQEEFMTKSRQDNYKGKILNYMSGLDFSSNQLIGSIPQSIGDMKWLRALNFSDNYLDGSIPKSFSNLRYLESLDLSYNKLTGKIPPELVALFSLEVFSVAYNNLSGPTPGTKGQFSTFDPSSYEGNPYLCGPPLSKSCTMVPSIPQTEEHGEEDDDKIGDIILFGCSALFYVVGFWTSLGMIYFKASWRWSWFSAVDRFADFVTVKLAIITRKIRRTN* >Brasy7G235200.1.p pacid=40046445 transcript=Brasy7G235200.1 locus=Brasy7G235200 ID=Brasy7G235200.1.v1.1 annot-version=v1.1 MLAGVSRLRARRPSLSVFHLLDPPRHLMNGFRSLVQPSSLVGRPQSIHPWLLSSSTEYSRGVGQLSCSTECLCGYAPSLPVFRRTNPTWVWIHTLLCRQPAMHSLQSWSASHQWCTMDFNRCNAQINAFSNKSVDENVTASFSTIHFCYLVGSYLSVLLSKPNHKAWPLMDWAILYVQIFSETSWKTMP* >Brasy7G216100.1.p pacid=40046446 transcript=Brasy7G216100.1 locus=Brasy7G216100 ID=Brasy7G216100.1.v1.1 annot-version=v1.1 MGGLQEGVWAWWWAAAAMAMAVVVDWAVRRAHGWWWTKGMGEGKKGRLPPGDMGWPLVGGMWAFLRAFKSGDPDAFIESFHRRFGRTGMYRAFMFSSPTIMVTLPEVCKRVLMDEVTFTNGWPKATVTLIGAKSFLSMPDDDHRRLRKLTAAPINGFDALSDYLSFIDNTVVSTLRRWSASGTPIEFLTELRRMTFRIIIQIFMSGAGERTMEDLERSYTDLNHGVRAMAIDLPGFAYRRALRARRKLVAVLQGVLDERRVAKTAGSRRGVDMMDRLIEVEDGHGRRLEDEEIIDLLVTYLNAGHESSAHITMWATIFLQENPDILTRAKAEQEEITRNIPPTQKGLTLRDFRKMNYLSQVVDETLRFVNISFVSFRQATQDVFVNGYLVPKGWKLQLWYRSVHMDPQVYPDPKKFNPSRWEGPPPRAGTFLPFGLGSRICAGNDLAKLEISVFLHHFLLGYRLERENPNCRVRYLPHPRPVDNCLAKIISLPSNDGY* >Brasy7G234200.1.p pacid=40046447 transcript=Brasy7G234200.1 locus=Brasy7G234200 ID=Brasy7G234200.1.v1.1 annot-version=v1.1 MRCRLCAISHSGLRVNSPADGLTLLWPPPATAACTSLLAPPHNIHPRSSFRSGDLRFQIQPTSETKKPASSRSFRTSLSWFNLRRHARTATRMRRRSVRAGDG* >Brasy7G086800.1.p pacid=40046448 transcript=Brasy7G086800.1 locus=Brasy7G086800 ID=Brasy7G086800.1.v1.1 annot-version=v1.1 MAGEGAAASAAAAEVAAEWLDGWRGGGELLGAWEAVRAGAVAPALAAVSRVCLAMSAMLLVEAVFLAAASHARRRPERRYRADPLGGGDGEDEEAGLLGYPMVLVQIPMFNEREVYKLSIGAACGLSWPLDRIIIQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNTREGYKAGALKEGMLHDYVQQCDFLAVFDADFQPDPDFLMRTIPYLARNPQISLVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGSSTFAFFGFNGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEILLTKEVSFWRKLYLLYSFFFVRKVVAHVVPFMLYCVVIPLSVLVPEVTIPVWGMVYIPTAITLLYAIRNPSSIHFIPFWILFENVMSFHRTKAMFIGLLELGSVNEWVVTEKLGSSTNTKPISQILERPRCRFWDRWTMSEILFAAFLFFCATYNLVHGGDFECIYIYLQAITFLIVGTGFCGTFNS* >Brasy7G086800.2.p pacid=40046449 transcript=Brasy7G086800.2 locus=Brasy7G086800 ID=Brasy7G086800.2.v1.1 annot-version=v1.1 MAGEGAAASAAAAEVAAEWLDGWRGGGELLGAWEAVRAGAVAPALAAVSRVCLAMSAMLLVEAVFLAAASHARRRPERRYRADPLGGGDGEDEEAGLLGYPMVLVQIPMFNEREVYKLSIGAACGLSWPLDRIIIQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNTREGYKAGALKEGMLHDYVQQCDFLAVFDADFQPDPDFLMRTIPYLARNPQISLVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEILLTKEVSFWRKLYLLYSFFFVRKVVAHVVPFMLYCVVIPLSVLVPEVTIPVWGMVYIPTAITLLYAIRNPSSIHFIPFWILFENVMSFHRTKAMFIGLLELGSVNEWVVTEKLGSSTNTKPISQILERPRCRFWDRWTMSEILFAAFLFFCATYNLVHGGDFECIYIYLQAITFLIVGTGFCGTFNS* >Brasy7G086800.3.p pacid=40046450 transcript=Brasy7G086800.3 locus=Brasy7G086800 ID=Brasy7G086800.3.v1.1 annot-version=v1.1 MEAIVGLNGTGFEPLDPTVYKLSIGAACGLSWPLDRIIIQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNTREGYKAGALKEGMLHDYVQQCDFLAVFDADFQPDPDFLMRTIPYLARNPQISLVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGSSTFAFFGFNGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEILLTKEVSFWRKLYLLYSFFFVRKVVAHVVPFMLYCVVIPLSVLVPEVTIPVWGMVYIPTAITLLYAIRNPSSIHFIPFWILFENVMSFHRTKAMFIGLLELGSVNEWVVTEKLGSSTNTKPISQILERPRCRFWDRWTMSEILFAAFLFFCATYNLVHGGDFECIYIYLQAITFLIVGTGFCGTFNS* >Brasy7G086800.4.p pacid=40046451 transcript=Brasy7G086800.4 locus=Brasy7G086800 ID=Brasy7G086800.4.v1.1 annot-version=v1.1 MEAIVGLNGTGFEPLDPTVYKLSIGAACGLSWPLDRIIIQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRNTREGYKAGALKEGMLHDYVQQCDFLAVFDADFQPDPDFLMRTIPYLARNPQISLVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEILLTKEVSFWRKLYLLYSFFFVRKVVAHVVPFMLYCVVIPLSVLVPEVTIPVWGMVYIPTAITLLYAIRNPSSIHFIPFWILFENVMSFHRTKAMFIGLLELGSVNEWVVTEKLGSSTNTKPISQILERPRCRFWDRWTMSEILFAAFLFFCATYNLVHGGDFECIYIYLQAITFLIVGTGFCGTFNS* >Brasy7G211800.1.p pacid=40046452 transcript=Brasy7G211800.1 locus=Brasy7G211800 ID=Brasy7G211800.1.v1.1 annot-version=v1.1 MESGGRKEEETPAPAAKAQRGAASLGVSVQEGLQHAKATMQGLVQKATARSEEEAAQADMRAAKAQVEATDEAEAKKKQLTTG* >Brasy7G183900.1.p pacid=40046453 transcript=Brasy7G183900.1 locus=Brasy7G183900 ID=Brasy7G183900.1.v1.1 annot-version=v1.1 MGARMADDLRAAQHEIDMFIRFIPLIALVDNSTNSRRVKAKEGLCSVVIGSSDRHIRFPTRALEFTEIPIQGDTELCNVGKQAFVDRTKGPSLDWSKRFKIIKGLIEGLVYLHKFSMLWIVHRDLKTDNILLDCDMNPKISDFGSSRILGSEIAEERTSRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRKNTIHEKQGDVVGDLVRDAWHMWKEQRLHELVDRSLGNGYETAEITRCAQVALLCAQEDPADRPSMTDVAAMLNSESMSLPMEPKQPAVLSKGSADEDTTSTYMNQSSRTIDITITSSALMLTRVRIILDPEV* >Brasy7G183900.2.p pacid=40046454 transcript=Brasy7G183900.2 locus=Brasy7G183900 ID=Brasy7G183900.2.v1.1 annot-version=v1.1 MISAPRSTRSTCSSASSRSSPSWTILQTVVVLRFPTRALEFTEIPIQGDTELCNVGKQAFVDRTKGPSLDWSKRFKIIKGLIEGLVYLHKFSMLWIVHRDLKTDNILLDCDMNPKISDFGSSRILGSEIAEERTSRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRKNTIHEKQGDVVGDLVRDAWHMWKEQRLHELVDRSLGNGYETAEITRCAQVALLCAQEDPADRPSMTDVAAMLNSESMSLPMEPKQPAVLSKGSADEDTTSTYMNQSSRTIDITITSSALMLTRVRIILDPEV* >Brasy7G183900.3.p pacid=40046455 transcript=Brasy7G183900.3 locus=Brasy7G183900 ID=Brasy7G183900.3.v1.1 annot-version=v1.1 MLWIVHRDLKTDNILLDCDMNPKISDFGSSRILGSEIAEERTSRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRKNTIHEKQGDVVGDLVRDAWHMWKEQRLHELVDRSLGNGYETAEITRCAQVALLCAQEDPADRPSMTDVAAMLNSESMSLPMEPKQPAVLSKGSADEDTTSTYMNQSSRTIDITITSSALMLTRVRIILDPEV* >Brasy7G086100.1.p pacid=40046456 transcript=Brasy7G086100.1 locus=Brasy7G086100 ID=Brasy7G086100.1.v1.1 annot-version=v1.1 MISAQVPKDSLILSRIMVKIFKGIPSVFCFLLHHNFSYDIYCHIIRSNAKPDANCDNVNKRHKQRCKSMCRAVDE* >Brasy7G001000.1.p pacid=40046457 transcript=Brasy7G001000.1 locus=Brasy7G001000 ID=Brasy7G001000.1.v1.1 annot-version=v1.1 MTLSRRFLNLIVDNRIPGAISLRCIDLTRQHFFNTATPPQAPNGSGSESEDWAPAAGTGNQKNNRAGEVASRVERIQLPSPLYNLRAATMADRWAMHCFPLAGREVLCADQSGRTFLFDADKRHVLTLPDLYMPKKWPLSLFVPSAGADDSGGTLYIMESLPRLETTRCSGQHSHQFEAFIYHKATSTFFSQPLPPPPFVLDPKYRDIRTLISSYAVIEGDGGNQICISVEGAGTYCLHMVKHTWTHLGDWTLPFHGRVEYVPELKLWFGLCAKTKDLAAADLSALDSQPKLMETWKELYRHKNWRDSQDSQLVNLGSGRFCIARFFHIRTFLGFYPDQTVEDDFVVLTCVDVAPCLHDGNGSATGSSGKVKLQMIKHKSKFHMSSSDGKIKSVF* >Brasy7G207300.1.p pacid=40046458 transcript=Brasy7G207300.1 locus=Brasy7G207300 ID=Brasy7G207300.1.v1.1 annot-version=v1.1 MRCDSPRSAQMNCKPSRLQVMEKAPRAILFLLLKGDEFHVGVILDLGTLVGKVARTSVSLAVEDFYSVHQNHRTKLVLHIRDSMGSDVQAASAAIELLENYKVQAIIGPQKSSEALFISNIGNITQVPTVSFTATSPSLTSDSMPYFVRATLNDSAQVNSIALLIKAYGWREVVPVYEDTDYGRGILPSLIEALQEIDARVPYRSVIPSSATSEIITQELYKLKAMQTRVFIVHMSPTMTSLLFTKAKEVGMMNKGFVWITTDGVANIIDSLDPSVIEAMNGVLGVRYHVPKSQELDSFTIRWNRMYQKDNPDESPFNKLSIVGLWGYDMIRALAQAAEKAGISNATNKQPRSIKNSTCLNSMVISTNGPDLLTAIVQNKFRGISGDFDLTNRQLKVSVFQIINVVGRGWREIGFWTVNSGLSRQFNQTGLKITKPASMIDLNPVIWPGESTEIPRGWEIPTIGKKLRVGVRTSIYPEFIETFKDPATDETRASGLSVDIFEEAVKRLPFALTYEYLAFDTADPPSTGSYDDFVNQVYLQKYDIAVADTTIRYNRSLFVDFTVPYTESGVGMIVPVKENMIKNMWIFLKPLSAGMWFGSIIFFMYTGVVVWLLEYLNGNEHVHGPFSLKQLGITMFFSISEENEKLERFLSRIVLRVWMFVLLVLASSYTASFASMLTVQQLSPTVTDVHELQKKGEYVGFHNGSYIEGLLLDIGFDRSKIRPYDTPEDLYGALSNGSKNGGIAALVLEVPYIKLFLAKYNKGYTMVGPIYKSAGFAFVLPKNSPLRAEISRAILNITENATIIQIEKKWVDSNSHQNDDKTDGSDAITFESFGGLFLLTGIVTACSLFVAVLMNGYKKYQQNAGSKGDNQNECGHEKQGDSGDSQGEQRDQNINDMEKPATLPVSHSSNTNGDQLPDRETKVLGHQVAQS* >Brasy7G127700.1.p pacid=40046459 transcript=Brasy7G127700.1 locus=Brasy7G127700 ID=Brasy7G127700.1.v1.1 annot-version=v1.1 MAFDDDDQDLVVYGTPIEREEDISARKRRAVADAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTAGSKEGWTPQTFTSSRKSRAEHKKQSIYNFLDEEDIKDMGGNALETSQQYDTFGFTAAEHARKQASKEQSERPSAIPGPVPDELVVPGTTSIGVKLLMKMGWRQGRTIKDAHADSLYESRREARKAFLALSGISNVEDQDQIASHTSRVDENVAESFDKIRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSLNRDGNRSDVSVRGSLLLSNSGQYAPGFGIGALEELDVEDEDIYASGFSYEQTEVDIEPSKTIGDGKFKVEDRRRGVFLTFKMALNSEYKLERFHPPEIPADFDGHHKFSSPIQAADKFSDLAPPEVPPPEDATLRLLVEGCAAMVARCGKHIEDFYKEKSKASPQFIFLDGGDGCGYYTRKLWEHQQKFIDQQKPDIVKSKPSSEKLTAENRGKILGERPLDRSSKSSSSFSAKDAVQLQSNLGDTFVKPISIDGVPESKKPFRNEPAKQARFEQFLKEKYQGGLRLANLVPTSTMSEADRARERLDFEAAADAIEKGKEYKAIDPFSILGLPGLNEQRFVSSTQLESSVVPRDEKPAYPQREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKKTNDDVESSSRTLQHASVPGTEESKAQGTADNPDIVSSSMQRPVDLYKAIFSDDSDDDMDEPLNNQPVDSVKTSEGANMALNRLVAEDFLESLGKELGLDVPPEKPALPANALFRSEILPMADRTVSSRNGKTTICRELKENESNLGLVEAAIAKEDGPSSNVETLDLKCEKQEHRAEKGRSHSSRHQIQNCSLDSDTSGERHRSRKRRSHHRNQSEMPDSDSSSERRRSKKRKSRSRHRTGRSRTPDADSSCDGQKNERKRQEKRSHRTRDTDSSDHEYKERYASSSRRSSDKDRSRKRSRHRSHRRKDPA* >Brasy7G127700.2.p pacid=40046460 transcript=Brasy7G127700.2 locus=Brasy7G127700 ID=Brasy7G127700.2.v1.1 annot-version=v1.1 MAFDDDDQDLVVYGTPIEREEDISARKRRAVADAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTAGSKEGWTPQTFTSSRKSRAEHKKQSIYNFLDEEDIKDMGGNALETSQQYDTFGFTAAEHARKQASKEQSERPSAIPGPVPDELVVPGTTSIGVKLLMKMGWRQGRTIKDAHADSLYESRREARKAFLALSGISNVEDQDQIASHTSRVDENVAESFDKIRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSLNRDGNRSDVSVRGSLLLSNSGQYAPGFGIGALEELDVEDEDIYASGFSYEQTEVDIEPSKTIGDGKFKVEDRRRGVFLTFKMALNSEYKLERFHPPEIPADFDGHHKFSSPIQAADKFSDLAPPEVPPPEDATLRLLVEGCAAMVARCGKHIEDFYKEKSKASPQFIFLDGGDGCGYYTRKLWEHQQKFIDQQKPDIVKSKPSSEKLTAENRGKILGERPLDRSSKSSSSFSAKDAVQLQSNLGDTFVKPISIDGVPESKKPFRNEPAKQARFEQFLKEKYQGGLRLANLVPTSTMSEADRARERLDFEAAADAIEKGKEYKAIDPFSILGLPGLNEQRFVSSTQLESSVVPRDEKPAYPQREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKKTNDDVESSSRTLQHASVPGTEESKAQGTADNPDIVSSSMQRPVDLYKAIFSDDSDDDMDEPLNNQPVDSVKTSEGANMALNRLVAEDFLESLGKELGLDVPPEKPALPANALFRSEILPMADRTVSSRNGKTTICRELKENESNLGLVEAAIAKEDGPSSNVETLDLKCEKQEHRAEKGRSHSSRHQIQNCSLDSDTSGERHRSRKRRSHHRNQSEMPDSDSSSERRRSKKRKSRSRHRTGRSRTPDADSSCDGQKNERKRQEKRSHRTRDTDSSDHEYKERYASSSRRSSDKDRSRKRSRHRSHRRKDPA* >Brasy7G127700.5.p pacid=40046461 transcript=Brasy7G127700.5 locus=Brasy7G127700 ID=Brasy7G127700.5.v1.1 annot-version=v1.1 MGGNALETSQQYDTFGFTAAEHARKQASKEQSERPSAIPGPVPDELVVPGTTSIGVKLLMKMGWRQGRTIKDAHADSLYESRREARKAFLALSGISNVEDQDQIASHTSRVDENVAESFDKIRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSLNRDGNRSDVSVRGSLLLSNSGQYAPGFGIGALEELDVEDEDIYASGFSYEQTEVDIEPSKTIGDGKFKVEDRRRGVFLTFKMALNSEYKLERFHPPEIPADFDGHHKFSSPIQAADKFSDLAPPEVPPPEDATLRLLVEGCAAMVARCGKHIEDFYKEKSKASPQFIFLDGGDGCGYYTRKLWEHQQKFIDQQKPDIVKSKPSSEKLTAENRGKILGERPLDRSSKSSSSFSAKDAVQLQSNLGDTFVKPISIDGVPESKKPFRNEPAKQARFEQFLKEKYQGGLRLANLVPTSTMSEADRARERLDFEAAADAIEKGKEYKAIDPFSILGLPGLNEQRFVSSTQLESSVVPRDEKPAYPQREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKKTNDDVESSSRTLQHASVPGTEESKAQGTADNPDIVSSSMQRPVDLYKAIFSDDSDDDMDEPLNNQPVDSVKTSEGANMALNRLVAEDFLESLGKELGLDVPPEKPALPANALFRSEILPMADRTVSSRNGKTTICRELKENESNLGLVEAAIAKEDGPSSNVETLDLKCEKQEHRAEKGRSHSSRHQIQNCSLDSDTSGERHRSRKRRSHHRNQSEMPDSDSSSERRRSKKRKSRSRHRTGRSRTPDADSSCDGQKNERKRQEKRSHRTRDTDSSDHEYKERYASSSRRSSDKDRSRKRSRHRSHRRKDPA* >Brasy7G127700.4.p pacid=40046462 transcript=Brasy7G127700.4 locus=Brasy7G127700 ID=Brasy7G127700.4.v1.1 annot-version=v1.1 MGGNALETSQQYDTFGFTAAEHARKQASKEQSERPSAIPGPVPDELVVPGTTSIGVKLLMKMGWRQGRTIKDAHADSLYESRREARKAFLALSGISNVEDQDQIASHTSRVDENVAESFDKIRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSLNRDGNRSDVSVRGSLLLSNSGQYAPGFGIGALEELDVEDEDIYASGFSYEQTEVDIEPSKTIGDGKFKVEDRRRGVFLTFKMALNSEYKLERFHPPEIPADFDGHHKFSSPIQAADKFSDLAPPEVPPPEDATLRLLVEGCAAMVARCGKHIEDFYKEKSKASPQFIFLDGGDGCGYYTRKLWEHQQKFIDQQKPDIVKSKPSSEKLTAENRGKILGERPLDRSSKSSSSFSAKDAVQLQSNLGDTFVKPISIDGVPESKKPFRNEPAKQARFEQFLKEKYQGGLRLANLVPTSTMSEADRARERLDFEAAADAIEKGKEYKAIDPFSILGLPGLNEQRFVSSTQLESSVVPRDEKPAYPQREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKKTNDDVESSSRTLQHASVPGTEESKAQGTADNPDIVSSSMQRPVDLYKAIFSDDSDDDMDEPLNNQPVDSVKTSEGANMALNRLVAEDFLESLGKELGLDVPPEKPALPANALFRSEILPMADRTVSSRNGKTTICRELKENESNLGLVEAAIAKEDGPSSNVETLDLKCEKQEHRAEKGRSHSSRHQIQNCSLDSDTSGERHRSRKRRSHHRNQSEMPDSDSSSERRRSKKRKSRSRHRTGRSRTPDADSSCDGQKNERKRQEKRSHRTRDTDSSDHEYKERYASSSRRSSDKDRSRKRSRHRSHRRKDPA* >Brasy7G127700.3.p pacid=40046463 transcript=Brasy7G127700.3 locus=Brasy7G127700 ID=Brasy7G127700.3.v1.1 annot-version=v1.1 MGGNALETSQQYDTFGFTAAEHARKQASKEQSERPSAIPGPVPDELVVPGTTSIGVKLLMKMGWRQGRTIKDAHADSLYESRREARKAFLALSGISNVEDQDQIASHTSRVDENVAESFDKIRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSLNRDGNRSDVSVRGSLLLSNSGQYAPGFGIGALEELDVEDEDIYASGFSYEQTEVDIEPSKTIGDGKFKVEDRRRGVFLTFKMALNSEYKLERFHPPEIPADFDGHHKFSSPIQAADKFSDLAPPEVPPPEDATLRLLVEGCAAMVARCGKHIEDFYKEKSKASPQFIFLDGGDGCGYYTRKLWEHQQKFIDQQKPDIVKSKPSSEKLTAENRGKILGERPLDRSSKSSSSFSAKDAVQLQSNLGDTFVKPISIDGVPESKKPFRNEPAKQARFEQFLKEKYQGGLRLANLVPTSTMSEADRARERLDFEAAADAIEKGKEYKAIDPFSILGLPGLNEQRFVSSTQLESSVVPRDEKPAYPQREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKKTNDDVESSSRTLQHASVPGTEESKAQGTADNPDIVSSSMQRPVDLYKAIFSDDSDDDMDEPLNNQPVDSVKTSEGANMALNRLVAEDFLESLGKELGLDVPPEKPALPANALFRSEILPMADRTVSSRNGKTTICRELKENESNLGLVEAAIAKEDGPSSNVETLDLKCEKQEHRAEKGRSHSSRHQIQNCSLDSDTSGERHRSRKRRSHHRNQSEMPDSDSSSERRRSKKRKSRSRHRTGRSRTPDADSSCDGQKNERKRQEKRSHRTRDTDSSDHEYKERYASSSRRSSDKDRSRKRSRHRSHRRKDPA* >Brasy7G090800.1.p pacid=40046464 transcript=Brasy7G090800.1 locus=Brasy7G090800 ID=Brasy7G090800.1.v1.1 annot-version=v1.1 MTRKPKRKLSASPARSDSSSLETYRDHASPSPAQCLAVRDALLSFHGFPDEFAPFRLLRLGLSPEDEADPPAPLPTVLDGLVITLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGKGLEDAIRCGGLAATKATRIRAMLRGVRERRGAICLEYLRELSVDEVKRELSQFKGIGPKTMACVLMFYLQKDDFPVDTHVLRITKAIGWVPSTATREKAYIHLNKKIPDDLKFDLNCLFVTHGKLCPSCAKKLGAQKLKVANATCPLASYCCIAEKMQQ* >Brasy7G227300.1.p pacid=40046465 transcript=Brasy7G227300.1 locus=Brasy7G227300 ID=Brasy7G227300.1.v1.1 annot-version=v1.1 MGGLQLEGPAAAAGRPAAAAGRKGGGPPRSRPAPLAPRARCHAGRSGPPATEPPAIEHPTSAPAPRTGRPHHFHHAPPRSEREKPQPPERAASAPPPHHAARAPLTRAAATPRHLRARFRAQQRRRPRRRRRHTSFARRRPPAAARGGGEGRGGAWDWSLGFRPCRPFGATRGRFSMSRTLTLLCHVSVLNC* >Brasy7G143000.1.p pacid=40046466 transcript=Brasy7G143000.1 locus=Brasy7G143000 ID=Brasy7G143000.1.v1.1 annot-version=v1.1 MAGATATATSRATATATATSRAAASASIGSTGTTGGEARTGGRSSALLAGEGVGTVAGADITKGLHAHTPSSSIGSNSSRVVARVASSKEASKSTSATKMWRRRTGERERRRRAGDGVRERLEPLASWRQALWPCLCRGRWDPRPRPSSSWCRGPKRLCTSPGARRCGGAGRQASAEGAGNGSGGARRSGQWRAM* >Brasy7G060700.1.p pacid=40046467 transcript=Brasy7G060700.1 locus=Brasy7G060700 ID=Brasy7G060700.1.v1.1 annot-version=v1.1 MEAESRKPLLVVPILLCCFLGISAAQSYIGVNYGEVADNLPSPDETVKLLKSTTISKVRLYGVDAGVIRALAGTGISLVVGVANGDIPALAADPNAASGWLAANVLPFIPASTISVVAVGNEVLESGDASLAAALLPALQNLRAAAVAAGDAAAGIKFSTVNTMAVLAQSDPPSTGAFRPEAAAQLQQILGFLSKTSAPFMINPYPYFAYQSDPRPDTLAFCLFQPNAGRVDGGSRIRYTNMFDAQVDAVRSALGRAGYGAVEIVVAETGWPTKGDPTEAGASVDNARAYVANLVAHLRSGAGTPLMPGKAVDTYLFALYDEDLKPGPASERSFGLYHTDLSMAYDAGLAASAASGNGQGAAVQPKGGASGWCVASVAATDAQLQTDMDYACSQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYHAAGGHPWNCDFRQSATLTSSNPSYGSCVFTGGQ* >Brasy7G000500.1.p pacid=40046468 transcript=Brasy7G000500.1 locus=Brasy7G000500 ID=Brasy7G000500.1.v1.1 annot-version=v1.1 MAAQLSSAAVTLPSMVAAAPRSSRLVVRASSAVGGFRKAAGTAAVAVAASAMLAGGAMAQDVLLGANGGVLVFEPNEFSVKAGETITFKNNAGFPHNIVFDEDAVPTGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN* >Brasy7G223200.1.p pacid=40046469 transcript=Brasy7G223200.1 locus=Brasy7G223200 ID=Brasy7G223200.1.v1.1 annot-version=v1.1 MERRQWRRHGRARGRRGEGVGEGREVAGGGEGGGGRWPAGREGGGGEEGRRGGSGGGGEGGWRRGGGEEAGAGGEGGGRRGGGEEAGPAGREGGGGGRRGGRGEAGAGVEGGGRGEEGRKRGRRGGRGRGEEGRKRGRRGGWGEAGAGGEGGRRRGPAGRPGAAGRAGGGGEGGGEPGAAGGREAGREGGGGGRRGGPGAAGRVGGAGCGGGGGGGGEGGGEPGAAGNGRGGRGREREGESKVLGGFYFF* >Brasy7G128300.1.p pacid=40046470 transcript=Brasy7G128300.1 locus=Brasy7G128300 ID=Brasy7G128300.1.v1.1 annot-version=v1.1 MARLTVVVFLVLVISMAASVASKQPVCSPDIADECNTWCQSSACRGGECKQRNNLHYCHCYC* >Brasy7G079800.1.p pacid=40046471 transcript=Brasy7G079800.1 locus=Brasy7G079800 ID=Brasy7G079800.1.v1.1 annot-version=v1.1 MASCNLMGAQAILMATLVVLLSLTRLSLGAARRLPEPLQQITPVGDELSYHGGSVLRGDIHVSIVWYGRFKPAQRAIVVDFILSLTPSGSTPHAEAARTPSAAQWWRIIDESYLSKPNATANATRVLLANQATDEQYSLGKSLTLAQISQLAAAAAGARAKEAEAGAHALVLVLTDRDVVVEGFCSARCGLHGAGPASASASSYAYAWVGDAERACPGQCAWPFARPAYGPVTDQDNTLLAPPNGDVGADGIVATLASVVAGAVTNPFGDGFYQGDKDAALEACTACAGVFGAGAYPGYAGKVLLDETTGGSYNAVGVNGRKYLLPAVYDPAKSGCSVLV* >Brasy7G165600.1.p pacid=40046472 transcript=Brasy7G165600.1 locus=Brasy7G165600 ID=Brasy7G165600.1.v1.1 annot-version=v1.1 MASKSRFVVGSHVWIEDPDEAWMDGLVEEINGEELVVNCTSGKKATVNVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAITDRAYRLMINDRISQAILVSGESGAGKTESTKSLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPQDREKYKLGEAKSFHYLNQSNCIELNGMDDSAEYVETRRAMGIVGISSDEQDAIFKVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLKTAAELFMCDQQGLEESLCKRVMATRGESITKNLDPKAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPESKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTVHHYAGNVTYQTDLFLDKNIDYAVNEHQILLHASKCPFVSSLFPPCEDSTKATKFSSIGTSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLSRSHDEITATKMLLEKTNLVGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQTKVRSFLSRKKYIQMRICATQLQAVCRGTIARRCYENLRREAASLKIQTFYRMRHERKKYRNICSASTTIQSGLRGMGARNKLRFFRQTKAAVIIQSQCRCHLARSRYVRLMKATITTQCAWRGKVARRELRQLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRSDMEEAKTQENKKLQLQLQELQLQFKDTKESLKREQEAAKAASEKAALVPEIRVDATQVNELTAENERLKTLVSSLETKIQETEQRFEETDKVREEWLKKATDAESQINELRNTVHSLREKLNTAEADNTVLRQQAMKARPDMPLLNMHRKSNLANGSLPGDEQTPHATPMEFGRRSIIERQQESVEALINCVVENIGFSDGKPVAAITIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQDSNADLAYWLSNSSSLLIILQKSLKPAGSAITTPLKRTTTQTSFLGRMVFRASSITVDMDLVRQVEGKYPAFLFKQQLTAFVEGLYGMIRDNVKREVSSVLSLVIQVTPSITSPQAPRTAKAGLITDQSSYWQAIVSHLNDLLKILQENCVPTIFSRKIFTQIFAFINAQLFNSLLVRRECCSFSNGEYVKQGLEELEAWCSQAKPEYAGSAWDELKHISQAVGFLVIFKKFRVSYDEITSDLCPILSVQQLYRICTQYWDDKYNTESVSEEVFNEMKTLIDEGAGQGKSDNTYLLDEEISMPLSLDEIASSMDAKEFQNVVPPQELLENAAFQFLKS* >Brasy7G165600.2.p pacid=40046473 transcript=Brasy7G165600.2 locus=Brasy7G165600 ID=Brasy7G165600.2.v1.1 annot-version=v1.1 MASKSRFVVGSHVWIEDPDEAWMDGLVEEINGEELVVNCTSGKKATVNVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAITDRAYRLMINDRISQAILVSGESGAGKTESTKSLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPQDREKYKLGEAKSFHYLNQSNCIELNGMDDSAEYVETRRAMGIVGISSDEQDAIFKVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLKTAAELFMCDQQGLEESLCKRVMATRGESITKNLDPKAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPESKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTVHHYAGNVTYQTDLFLDKNIDYAVNEHQILLHASKCPFVSSLFPPCEDSTKATKFSSIGTSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLSRSHDEITATKMLLEKTNLVGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQTKVRSFLSRKKYIQMRICATQLQAVCRGTIARRCYENLRREAASLKIQTFYRMRHERKKYRNICSASTTIQSGLRGMGARNKLRFFRQTKAAVIIQSQCRCHLARSRYVRLMKATITTQCAWRGKVARRELRQLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRSDMEEAKTQENKKLQLQLQELQLQFKDTKESLKREQEAAKAASEKAALVPEIRVDATQVNELTAENERLKTLVSSLETKIQETEQRFEETDKVREEWLKKATDAESQINELRNTVHSLREKLNTAEADNTVLRQQAMKARPDMPLLNMHRKSNLANGSLPGDEQTPHATPMEFGRRSIIERQQESVEALINCVVENIGFSDGKPVAAITIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQDSNADLAYWLSNSSSLLIILQKSLKPAGSAITTPLKRTTTQTSFLGRMVFRASSITVDMDLVRQVEGKYPAFLFKQQLTAFVEGLYGMIRDNVKREVSSVLSLVIQAPRTAKAGLITDQSSYWQAIVSHLNDLLKILQENCVPTIFSRKIFTQIFAFINAQLFNSLLVRRECCSFSNGEYVKQGLEELEAWCSQAKPEYAGSAWDELKHISQAVGFLVIFKKFRVSYDEITSDLCPILSVQQLYRICTQYWDDKYNTESVSEEVFNEMKTLIDEGAGQGKSDNTYLLDEEISMPLSLDEIASSMDAKEFQNVVPPQELLENAAFQFLKS* >Brasy7G202500.1.p pacid=40046474 transcript=Brasy7G202500.1 locus=Brasy7G202500 ID=Brasy7G202500.1.v1.1 annot-version=v1.1 MDSLPPSSYWLKIWTVDQHVIPNFRDETLLNHQAKLRKNMSQNTSLTPKQVEENHYFHNNQFCHMETSKDGDAPVPCKHGDAPVSKPNINTMTDSGNTTMTPGCASTQGPSVPSIVSEKKNDSNPLQATIMPNVGTTVLSEEMEYINYIKSKSAVQEEELIKIHDLSLNYKILRSLTDDRAWLEGDVISAYIYDLRTRKNMLTRAGGKVYLETSITSAMLKRDGGNNEDGTEIDVNPSKRKRQLIVKLILEYLDNDMVFLPVNIKDSHWYLANINAPKRVVQVLDSFGAIMNRNDLHKTLKGLSKYIKIVQETIPDLTCNRWPDMDVTKWAVEEMLQHKTQTDSSSCGLFMLKYMEHFMGHEVSEPVKQSDMSTFRRKMPFILFDTELNTNPRIFFECDQDPTPEITEPPVTTKRSSMGVVPGTSKITDPLVTTKKCTSGVFPGISEVTRKQISDGLEPDMIIVVGIVRNLGWGEGYAHFNIDDCTGPGMLSFRRWIQNDADEKQAAAIKDCKYFSVIGTLRPLKTMGHATAYNVRCIRAEIERTSKKKEAVENIHKHQ* >Brasy7G147400.1.p pacid=40046475 transcript=Brasy7G147400.1 locus=Brasy7G147400 ID=Brasy7G147400.1.v1.1 annot-version=v1.1 MASSARASRFLLLLQIALFVAAVLMGGSVCQGARDFSRLPFPLPHPRPVSAGGRPCTKSNRCEPGEPYSRPSVYPTPPPPYSGGTGQP* >Brasy7G071800.1.p pacid=40046476 transcript=Brasy7G071800.1 locus=Brasy7G071800 ID=Brasy7G071800.1.v1.1 annot-version=v1.1 MDYSAGSFFSSWPANSASENYSFVDGSVGSYAEEGSMTPAGYFMRAGSDHNLMFNEHEKNPAVLTNGCLPYNAQTDLLSSEILSTGKPSNSIVEFQQFQDNGNLQSNLIPQGTLHCNPTPGTFDPPLDTSGLLELPHALSSSIESNDSEISAFLADVQAVSSASTLCSTFQNVSSYMEPVNVEAFGFQGVQNAAMFNKTSHSNGNLPVLDKATMASIHDCREFVNGSISSFATAQQSQLATGGFKAEQQEQNTMCNIPLPSFISGGQMAVTEAQQALIPSKITSLIHNNKSEYPVPIGHSSDVQPQANSAHGNSVSTKPRARARRGQATDPHSIAERLRREKISERMKNLQELVPNSNKADKSSMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTEGHSNSSVSGTTISQGLLDVADPEDSVVFEQEVIKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGVSAAAIPPEQ* >Brasy7G223700.1.p pacid=40046477 transcript=Brasy7G223700.1 locus=Brasy7G223700 ID=Brasy7G223700.1.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESYISLVNAPISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDAPLLGHASEQRYVNHPFPMNYMLNPWPIGEWFYVIVKFGLVQYMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKIHRISQNMKKHEKEKKQTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKKARRHRRRSGAESGGESSDHHGGLGGYEIRGHRWITRE* >Brasy7G223700.2.p pacid=40046478 transcript=Brasy7G223700.2 locus=Brasy7G223700 ID=Brasy7G223700.2.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESYISLVNAPISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDAPLLGHASEQRYVNHPFPMNYMLNPWPIGEWFYVIVKFGLVQYMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKIHRISQNMKKHEKEKKQTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKKARRHRRRSGAESGGESSDHHGGLGGYEIRGHRWITRE* >Brasy7G223700.3.p pacid=40046479 transcript=Brasy7G223700.3 locus=Brasy7G223700 ID=Brasy7G223700.3.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKIHRISQNMKKHEKEKKQTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKKARRHRRRSGAESGGESSDHHGGLGGYEIRGHRWITRE* >Brasy7G223700.4.p pacid=40046480 transcript=Brasy7G223700.4 locus=Brasy7G223700 ID=Brasy7G223700.4.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKIHRISQNMKKHEKEKKQTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKKARRHRRRSGAESGGESSDHHGGLGGYEIRGHRWITRE* >Brasy7G223700.5.p pacid=40046481 transcript=Brasy7G223700.5 locus=Brasy7G223700 ID=Brasy7G223700.5.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESYISLVNAPISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDAPLLGHASEQRYVNHPFPMNYMLNPWPIGEWFYVIVKFGLVQYMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYLN* >Brasy7G223700.6.p pacid=40046482 transcript=Brasy7G223700.6 locus=Brasy7G223700 ID=Brasy7G223700.6.v1.1 annot-version=v1.1 MELAEQLLSALRSYAPPLWATLTAGIFVVISLTLSLFLLFNHLSAYKNPEEQKFLVGVILMVPFYAIESYISLVNAPISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDAPLLGHASEQRYVNHPFPMNYMLNPWPIGEWFYVIVKFGLVQYMIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYTAIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASVIHLYVFPAKPYELMGDLFTGEVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDNVRCSTGIKESVRDVVLGGGEYLN* >Brasy7G169800.1.p pacid=40046483 transcript=Brasy7G169800.1 locus=Brasy7G169800 ID=Brasy7G169800.1.v1.1 annot-version=v1.1 MDGTSSCLPPPCASPSHGRRRRWTEPDRAGCRQVFGAGFRAEPSRAGSASTRTSMDWWKNRWHMVKASLSEKPHSASCGAAPGDCATYQSSATCLAESLAHLH* >Brasy7G204700.1.p pacid=40046484 transcript=Brasy7G204700.1 locus=Brasy7G204700 ID=Brasy7G204700.1.v1.1 annot-version=v1.1 MAVDGITDDILVEILSCVPAKSLCRFKCVSKHWLGLTNDRNYRKRLPQTLTGFFYSGSKRVERFLGSPVGFTSLSGSRCRPPIDTSFAFLPSHRRLDLLDCCNGLLLFRWYDVSTQGGEFRYVVCNPATEKWTALPDSCQADKLRTALYPKEQTTKTARLGFDPALSPHFHVFELAEEQDSTATFFSIFSVHSTETGVSVYSSETGGWVHMEKRSNQLTRLTNGCSATVFRNGNLHFDAFDHELSCCLASVDTEAKTWTNFGVPGGFIDGFIQWSQGRLHYANFRGPEDAIRLVVYVLEDYESKKWILKHSVEKSYIFKGMSVCLEDLDWIAIHPEHNLIFFTVGWRDPKFMSYNMDRRQVKVICNLEDARPPYLPYVPLYAELPSLHVGDTSGTPQMSSL* >Brasy7G033600.1.p pacid=40046485 transcript=Brasy7G033600.1 locus=Brasy7G033600 ID=Brasy7G033600.1.v1.1 annot-version=v1.1 MVSSGGDGLGTLKSTSINGVKLYSVTGKNYVAPWVLAKKKRSLRKDADYQRRLELIHDLRFETATTRIKATPDGQYVIASGIYPPQMKVYELKELSMKFERHMISEIVDFEVLGDDYSKLAFLCADRSICLHAKYGSHYSLRIPRMGRHLAYDCWSCDLLCAASSPDLYRINLEQGRFLASFSSQSPAINVVTRSMIHGLVACGGEDGAVECFDMRRKSSVGRINTASSSEDSDQEVTSLQFDENQGYFMAVGSSIGKVSIYDIRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFPNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKQEATLYDDYKFLTEEEMERLGLSQYKDSNAVRAHLHGYVIRYDLFKEQKAKLEIADYETLKKEMKEKKLEAQRKSRITQVVKIPKVNRHILDSIRTEEEEMEADLDNVDKSSTRKKKRKLEMHKALLTDPRFGEMFENKDFEIDEQSREYLALHPQVVTKEPRLIEEHFESVSEDEEQQDAGSSDASDSDDDTHNSKRIRLYEIKDDRHAEAFLNSVSLGNEEALPLGDRVAALERQQNSRGLDKVKYGPGGSREISFMARSSRRRNEEDEEHSDEEPKDFKRRGVQSLGLKQGKAEYYMFGGSRGRGGGGGRGRGGGGGRGRGGGGRGRGGGGRGGSEFGGRGGGGGGGEFGGGGRGGGRGRGRGMSRGRGRGRGRG* >Brasy7G070000.1.p pacid=40046486 transcript=Brasy7G070000.1 locus=Brasy7G070000 ID=Brasy7G070000.1.v1.1 annot-version=v1.1 MRPGGSLPSPQPGTPGRPRRRPDLTLPMPQRPDVSSSLAVPLPLPPPSSIGAGAGPGGLAQPPAAAAVAAAPPPPPLGELERVRRVGSGAGGTVWMVRHRPTGRCYALKQLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIAAEGFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWAALMVAICYNDPPEPSAAASPEFRGFISCCLQKNPAKRLSAAQLLQHPFVAGPQPLPLAAPPS* >Brasy7G037600.1.p pacid=40046487 transcript=Brasy7G037600.1 locus=Brasy7G037600 ID=Brasy7G037600.1.v1.1 annot-version=v1.1 MPTERLCHDLSSRAPPPNPASSAPPPPRRSPSSRSPSSSPSPRSTAPPLRTARPPKRRRPHFKAPAASPTTPRRSRSNGDALEKETTEPLCCSAAGRPSFPTRFRSSSADPCRRRGEELVGRERRPAAGREDRRRERIRHRRC* >Brasy7G060500.1.p pacid=40046488 transcript=Brasy7G060500.1 locus=Brasy7G060500 ID=Brasy7G060500.1.v1.1 annot-version=v1.1 MITRSKLVEQLRDYQIRSQHKRDIIGAISWGLLCCFLIVSSYMMLYFRHFWLSAVIISVGILLPAGLYILRQRKLAKKRERRLLLPLSM* >Brasy7G133200.1.p pacid=40046489 transcript=Brasy7G133200.1 locus=Brasy7G133200 ID=Brasy7G133200.1.v1.1 annot-version=v1.1 MASTQRSPLPHDLLPSCAMGRVLPPSAGPPRRSFSRLLDAAAPTYLAAPHLPSCSPRPQPCAAARLLEQRERGGQTGASAASHEAGADLLGLLRRRIPGAPWARSPARPRAAVSVPAGSVVFFVVSSLNLVFFF* >Brasy7G067000.1.p pacid=40046490 transcript=Brasy7G067000.1 locus=Brasy7G067000 ID=Brasy7G067000.1.v1.1 annot-version=v1.1 MVQLPGMGKRQHAESAGEPALAPPPATVKLEVEEQLLRDHDRGPLGKRAKAAQPAPPPQQDMYQNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSNAGQSSTDNCSGESPKKKDVKSGTSSAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKVTCPENGQGSLEVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSTLLGKNFEKLVQCDQRLNQLSQQADIILDSPVFEPRSSIFEDPVESKCDFANLKDEPEDLPGFSGSVSPCAGSSMSAKNDANDHFGKQPEFLAQTINPGASTSNAQAVCRNVNGVSQEFNIPSWWSQLKVPGLRPSMSVDDLVSHLGNCINEQITSGNPSLTNDEVPTKESLEEIAQYLLGDTQGPQAPASDERLMARVDSLCCLLQKDSAPTTKPKPEPNDSESIGGEDSGGSDDEFSSASTRKTADTSQPPAMSRKDSFGELLMNLPRIASIPQFLFKIPEDSEN* >Brasy7G196700.1.p pacid=40046491 transcript=Brasy7G196700.1 locus=Brasy7G196700 ID=Brasy7G196700.1.v1.1 annot-version=v1.1 MNSLSMVEARLPPGFRFHPRDDELVLDYLARKLVGGGAAAPTVYGCPTMVDVDLNKVEPWDLPEIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRKEGQGDPMKLPFKEDWVLCRVFYKSRATIAKPPTGNNYNIGSAAATSLPPLIDNYIAFDHSGMSVQNLEGYEQVPCFSNNPSHPFSSTSMSMNIPVTAGAPTADQEQQHVGKAIKDVLSQFTRFDQGNVKREAPPQGGLFAQDGFEYLAESGFSQMWNSLN* >Brasy7G124300.1.p pacid=40046492 transcript=Brasy7G124300.1 locus=Brasy7G124300 ID=Brasy7G124300.1.v1.1 annot-version=v1.1 MMVRHCKSRGQRLVQRQPFHGGNPARRRRRAPAGGSRRAQQRVAALNSLNQPAVVYDVMTPPHRISPCRGRRRRAPLPKVVRGCSRGTRCRTASIWGGGGWISGAGSQSGGSGAQQRPADLPPRRRGGLGSSPAAWRGAGSGASRPDLELVACDCEAPWLDGAGQFVGQRLRTNGLAARWSRCPGGAGRRRWRVGATSAARRPGRRPRDVSGSRVGVLLVRSCPG* >Brasy7G124300.2.p pacid=40046493 transcript=Brasy7G124300.2 locus=Brasy7G124300 ID=Brasy7G124300.2.v1.1 annot-version=v1.1 MEGILHGEGAELRREAVAERSSGGRRRRAPLPKVVRGCSRGTRCRTASIWGGGGWISGAGSQSGGSGAQQRPADLPPRRRGGLGSSPAAWRGAGSGASRPDLELVACDCEAPWLDGAGQFVGQRLRTNGLAARWSRCPGGAGRRRWRVGATSAARRPGRRPRDVSGSRVGVLLVRSCPG* >Brasy7G230600.1.p pacid=40046494 transcript=Brasy7G230600.1 locus=Brasy7G230600 ID=Brasy7G230600.1.v1.1 annot-version=v1.1 MKRLMFSTSFFLFLFSSSGLLILLILTQTTTWPNFATVAPPLPARSFGFLLSFLLQSGPSIPPSLFFVFIFLSRANTWPEVLEWYALYLSTLDCKFQESF* >Brasy7G077500.1.p pacid=40046495 transcript=Brasy7G077500.1 locus=Brasy7G077500 ID=Brasy7G077500.1.v1.1 annot-version=v1.1 MTGLVIKAKERREQLLRRHADEAAKMVLDDVLRAMRSKKSKSTKVAADREVGGGARVALDTASTGGVAGSTQDEKLLNQAKGTHSVFAANDDHVYSGRPFASESTSHDGDADEQQGKVPEKDRSQPDKGISGAPEKAGGKRERPAELDEPPEQEDDPFDLEQFLNKFSCLGMEDFDLDELLGSMLNISES* >Brasy7G140100.1.p pacid=40046496 transcript=Brasy7G140100.1 locus=Brasy7G140100 ID=Brasy7G140100.1.v1.1 annot-version=v1.1 MAFFEGMESYAPYFRTHLHQFAASVSGASCDRAAADEECRDGAAALRLKMVAVASILVAGAVGVAIPLVARKRRGGSGGGGGTFVLAKAFAAGVILATGFVHMMHDAEDKFADPCLPSTPWRRFPFPGFVAMLAALGTLVVDFVGTSFYERKHRRDQDASAARDESEATPLLLEDGVPSSQIAGAAMGGGDEKQDAMHIIGIRAHAAAHRHSHAHGHGACDGGAVFDGHGRGHDHGRADEEGPSQARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPAGITVGAGIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVCSYVALFLGAMAMSSLAIWA* >Brasy7G140100.2.p pacid=40046497 transcript=Brasy7G140100.2 locus=Brasy7G140100 ID=Brasy7G140100.2.v1.1 annot-version=v1.1 MQGMESYAPYFRTHLHQFAASVSGASCDRAAADEECRDGAAALRLKMVAVASILVAGAVGVAIPLVARKRRGGSGGGGGTFVLAKAFAAGVILATGFVHMMHDAEDKFADPCLPSTPWRRFPFPGFVAMLAALGTLVVDFVGTSFYERKHRRDQDASAARDESEATPLLLEDGVPSSQIAGAAMGGGDEKQDAMHIIGIRAHAAAHRHSHAHGHGACDGGAVFDGHGRGHDHGRADEEGPSQARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPAGITVGAGIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVCSYVALFLGAMAMSSLAIWA* >Brasy7G140100.3.p pacid=40046498 transcript=Brasy7G140100.3 locus=Brasy7G140100 ID=Brasy7G140100.3.v1.1 annot-version=v1.1 MLIQASVSGASCDRAAADEECRDGAAALRLKMVAVASILVAGAVGVAIPLVARKRRGGSGGGGGTFVLAKAFAAGVILATGFVHMMHDAEDKFADPCLPSTPWRRFPFPGFVAMLAALGTLVVDFVGTSFYERKHRRDQDASAARDESEATPLLLEDGVPSSQIAGAAMGGGDEKQDAMHIIGIRAHAAAHRHSHAHGHGACDGGAVFDGHGRGHDHGRADEEGPSQARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPAGITVGAGIASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVCSYVALFLGAMAMSSLAIWA* >Brasy7G065200.1.p pacid=40046499 transcript=Brasy7G065200.1 locus=Brasy7G065200 ID=Brasy7G065200.1.v1.1 annot-version=v1.1 MQLPPGRAFLRPCARPTSALLRPCVPPAAVLLRPCAPPPPSALRLTLPPSILQSGCVHGGSAGRRSSDVGVPVLPLLTVAPAAGRDGWPWRRLRGLNGASRSSFGNSFFSFFPPRSVAYQSSFDYILIISW* >Brasy7G158700.1.p pacid=40046500 transcript=Brasy7G158700.1 locus=Brasy7G158700 ID=Brasy7G158700.1.v1.1 annot-version=v1.1 MAAVRSSTRRDPFAAAGEGGGGGSATRGRASDGSGGGGSLRRSRSLSRFLPPSPSPDDAPTPSSRFVNKVRGGGLAGGFPEISLDDLADEFFRARVESEDDDEEVRSRGEDSRGRLRFPAPAERGCGGGRRSSTARYARETESSRQRERSVSRPPAERRGGAAAAEKGGADVRRQRYASMDRHASIGRQRYASVDRRGSIDRRRWCDSDNDMDFPHRSGSRGINTKSSSGNSMQHSFNKSTKVNQALKRSTSQKDFVHIRDSGSSHSSLTDDESRDTHSFHSGNHNGTRAVYAQEKQPIDDEDSNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKANSDDAQPAEVIGELRRSYTSKLEESEKRKQELLAQLAAEEQRGHQLTKIVKELLPTTKKIMKSERQPQRRRRSNDRARMSKCLTEEAELYFEDFLSNVEDTDFSSFDGERSDTSSTRRDVLLHAMVEAPVALPKVVPPPLSDGVVLPWLQWETSNDLHASPCTIKTQDASTACSTSNPTMSSRGSWSPGDYDSSAGSKDGLLPKFDEAATHRSSCLDNNRSKSFHMDDYLHLQRSEDLLFERLKQKQRIDYGGLTLCGRSTIM* >Brasy7G158700.2.p pacid=40046501 transcript=Brasy7G158700.2 locus=Brasy7G158700 ID=Brasy7G158700.2.v1.1 annot-version=v1.1 MAAVRSSTRRDPFAAAGEGGGGGSATRGRASDGSGGGGSLRRSRSLSRFLPPSPSPDDAPTPSSRFVNKVRGGGLAGGFPEISLDDLADEFFRARVESEDDDEEVRSRGEDSRGRLRFPAPAERGCGGGRRSSTARYARETESSRQRERSVSRPPAERRGGAAAAEKGGADVRRQRYASMDRHASIGRQRYASVDRRGSIDRRRWCDSDNDMDFPHRSGSRGINTKSSSGNSMQHSFNKSTKVNQALKRSTSQKDFVHIRDSGSSHSSLTDDESRDTHSFHSGNHNGTRAVYAQEKPIDDEDSNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKANSDDAQPAEVIGELRRSYTSKLEESEKRKQELLAQLAAEEQRGHQLTKIVKELLPTTKKIMKSERQPQRRRRSNDRARMSKCLTEEAELYFEDFLSNVEDTDFSSFDGERSDTSSTRRDVLLHAMVEAPVALPKVVPPPLSDGVVLPWLQWETSNDLHASPCTIKTQDASTACSTSNPTMSSRGSWSPGDYDSSAGSKDGLLPKFDEAATHRSSCLDNNRSKSFHMDDYLHLQRSEDLLFERLKQKQRIDYGGLTLCGRSTIM* >Brasy7G158700.3.p pacid=40046502 transcript=Brasy7G158700.3 locus=Brasy7G158700 ID=Brasy7G158700.3.v1.1 annot-version=v1.1 MAAVRSSTRRDPFAAAGEGGGGGSATRGRASDGSGGGGSLRRSRSLSRFLPPSPSPDDAPTPSSRFVNKVRGGGLAGGFPEISLDDLADEFFRARVESEDDDEEVRSRGEDSRGRLRFPAPAERGCGGGRRSSTARYARETESSRQRERSVSRPPAERRGGAAAAEKGGADVRRQRYASMDRHASIGRQRYASVDRRGSIDRRRWCDSDNDMDFPHRSGSRGINTKSSSGNSMQHSFNKSTKVNQALKRSTSQKDFVHIRDSGSSHSSLTDDESRDTHSFHSGNHNGTRAVYAQEKAVTKSEPSEKANSDDAQPAEVIGELRRSYTSKLEESEKRKQELLAQLAAEEQRGHQLTKIVKELLPTTKKIMKSERQPQRRRRSNDRARMSKCLTEEAELYFEDFLSNVEDTDFSSFDGERSDTSSTRRDVLLHAMVEAPVALPKVVPPPLSDGVVLPWLQWETSNDLHASPCTIKTQDASTACSTSNPTMSSRGSWSPGDYDSSAGSKDGLLPKFDEAATHRSSCLDNNRSKSFHMDDYLHLQRSEDLLFERLKQKQRIDYGGLTLCGRSTIM* >Brasy7G158700.4.p pacid=40046503 transcript=Brasy7G158700.4 locus=Brasy7G158700 ID=Brasy7G158700.4.v1.1 annot-version=v1.1 MAAVRSSTRRDPFAAAGEGGGGGSATRGRASDGSGGGGSLRRSRSLSRFLPPSPSPDDAPTPSSRFVNKVRGGGLAGGFPEISLDDLADEFFRARVESEDDDEEVRSRGEDSRGRLRFPAPAERGCGGGRRSSTARYARETESSRQRERSVSRPPAERRGGAAAAEKGGADVRRQRYASMDRHASIGRQRYASVDRRGSIDRRRWCDSDNDMDFPHRSGSRGINTKSSSGNSMQHSFNKSTKVNQALKRSTSQKDFVHIRDSGSSHSSLTDDESRDTHSFHSGNHNGTRAVYAQEKPIDDEDSNVLYDVMRKEVRQAVEEIRTQLEKSEKRKQELLAQLAAEEQRGHQLTKIVKELLPTTKKIMKSERQPQRRRRSNDRARMSKCLTEEAELYFEDFLSNVEDTDFSSFDGERSDTSSTRRDVLLHAMVEAPVALPKVVPPPLSDGVVLPWLQWETSNDLHASPCTIKTQDASTACSTSNPTMSSRGSWSPGDYDSSAGSKDGLLPKFDEAATHRSSCLDNNRSKSFHMDDYLHLQRSEDLLFERLKQKQRIDYGGLTLCGRSTIM* >Brasy7G158700.5.p pacid=40046504 transcript=Brasy7G158700.5 locus=Brasy7G158700 ID=Brasy7G158700.5.v1.1 annot-version=v1.1 MAAVRSSTRRDPFAAAGEGGGGGSATRGRASDGSGGGGSLRRSRSLSRFLPPSPSPDDAPTPSSRFVNKVRGGGLAGGFPEISLDDLADEFFRARVESEDDDEEVRSRGEDSRGRLRFPAPAERGCGGGRRSSTARYARETESSRQRERSVSRPPAERRGGAAAAEKGGADVRRQRYASMDRHASIGRQRYASVDRRGSIDRRRWCDSDNDMDFPHRSGSRGINTKSSSGNSMQHSFNKSTKVNQALKRSTSQKDFVHIRDSGSSHSSLTDDESRDTHSFHSGNHNGTRAVYAQEKPIDDEDSNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKANSDDAQPAEVIGELRRSYTSKLEESEKRKQELLAQLAAEEQRGHQLTKIVKELLPTTKKIMKSERQPQRRRKQ* >Brasy7G056500.1.p pacid=40046505 transcript=Brasy7G056500.1 locus=Brasy7G056500 ID=Brasy7G056500.1.v1.1 annot-version=v1.1 MRLAPPPSRGLCSCGWRRGAGTGREGGRGDAVSAEGDVVAAVAGAEHAEVQRGAGSPATVGVAVAESRPSDRLIPSSRRVRSIL* >Brasy7G056500.2.p pacid=40046506 transcript=Brasy7G056500.2 locus=Brasy7G056500 ID=Brasy7G056500.2.v1.1 annot-version=v1.1 MRLAPPPSSCGWRRGAGTGREGGRGDAVSAEGDVVAAVAGAEHAEVQRGAGSPATVGVAVAESRPSDRLIPSSRRVRSIL* >Brasy7G044400.1.p pacid=40046507 transcript=Brasy7G044400.1 locus=Brasy7G044400 ID=Brasy7G044400.1.v1.1 annot-version=v1.1 MSQRRRHLCAWGNDAASEVIPRRVNCWLKTISKPPLWPLWATENFWKGSLSSERVKPYNFNSTIVYWFGS* >Brasy7G038900.1.p pacid=40046508 transcript=Brasy7G038900.1 locus=Brasy7G038900 ID=Brasy7G038900.1.v1.1 annot-version=v1.1 MPGFGIVPDVVTYTTVLSAYCAKGDLKGAHRLFGDIIASRRRPDVTMYTVLIDGYCRSGKIQDAARIMDEMEAAGVKPNEVTYSVVIEACCKEGKSAEACNLMHEMLGAGYTPDTPLGAKVVDVLCQDGKAEEANQIWRWMVKKNVPPDNTITSTLIYWLCKSGMVQEARKLFDELERGFKPSLLTYNSLISGLCDNGELQEAGQVWDDMVERRYEPNAITYEALIKGFCKIGKPDEGAAVFTEMVTKGCTPSKSLYQVLVDSLSEPIHDDIVCKILETAASSGQDFLDGDSWEIFVRKVLSTCNTWNKHLNLVLDA* >Brasy7G233000.1.p pacid=40046509 transcript=Brasy7G233000.1 locus=Brasy7G233000 ID=Brasy7G233000.1.v1.1 annot-version=v1.1 MALTPSSSSSDGLYRWHLPDPRACGDSSNKSQTVVVLLVWLGSKQKYLKRYADWYTSRGFHAVTFTLPMSDIISYNAGGKAEKNVEMLSEHLADWVSDEKNLQKKDPEAIDKIKGCVLDSAPVAVWALGFSAAIMKKHSVATKGAVSNDTRSDVVVVDSHRDIKPAATEAVLLSALEKVFDVILNYPAINRRLSGLRPAKSVESFVERRRRAGCEVRSCDFMSSPHVDHYRSNPGIYTSRLTNFLEDCVLSRREDSSRSSSPA* >Brasy7G131600.1.p pacid=40046510 transcript=Brasy7G131600.1 locus=Brasy7G131600 ID=Brasy7G131600.1.v1.1 annot-version=v1.1 MGQKLQIRPKNKLPAFPTKPQQRRHKRPHSRHAPQEARRGCRCRRSCHAPPSSGAQPRSSPRPPSQSEQRAVAVLAVAAAALRPTRQRRPSCATAALLQCVVLAVAAAALRPTRRRHRSPPPVRAVAVRRHPPRIPSQRPLLILLTG* >Brasy7G102400.1.p pacid=40046511 transcript=Brasy7G102400.1 locus=Brasy7G102400 ID=Brasy7G102400.1.v1.1 annot-version=v1.1 MADGGEEGNVAAPRGFARRRGTVRASLDADELMALMHGSDPVRVELTRLENELRDKERELGEAHTEIRALRLSERAREKAVEEFREEWEKMDEKLKLTESHLENKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQDLMKQIEICHEENKILDKLHRQKVAEVEKLSQTVRELEEAVLKGGAAANAVRDYQRKVQEMNDERKTLDRELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPMEGKSFSNGPSRRLSLGGADNMSKLSPNGLLTRRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRGKIHGNGSHLLNRCTDAVRDQEANDTWKGTVDESTNGSANSNADETSNGTMNSNSVEMVSGFLYNMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQEIKARRLGNSKGPGSSQLLPGRNAPRSGLMRSFQ* >Brasy7G159900.1.p pacid=40046512 transcript=Brasy7G159900.1 locus=Brasy7G159900 ID=Brasy7G159900.1.v1.1 annot-version=v1.1 MATAQVHQLITAPALHDKACLKEEDANRKMIQADTPCAEVEEEQAHHVEVINNGGSTDEGVIPAMGTDDQDQGLGPELEAKLSTEHCPVEAEAKEPKEDRRLRSGKKAEKAAAKGAVVPIDFETDDDDEVAATGVANKTEKVAAKGAVVPADFETNDDEVAVAAVVSKKTEKVAAKGAVVPVDFETNDDEVALAAVVSKKTEKTAAKVEVVPVDNEVFTLADQAPATALVALDQEPVAAYEEATEGDYAPQDVCEKKAREQ* >Brasy7G105300.1.p pacid=40046513 transcript=Brasy7G105300.1 locus=Brasy7G105300 ID=Brasy7G105300.1.v1.1 annot-version=v1.1 MARTKSPAKRKPVGAATPKLTVYSRNCESTWKDTVSSSKSSLGCRWKEQRRRWMQWTGNCSRWAFLDGNVLNPGSIL* >Brasy7G002800.1.p pacid=40046514 transcript=Brasy7G002800.1 locus=Brasy7G002800 ID=Brasy7G002800.1.v1.1 annot-version=v1.1 MPSCLVGLAPPPAALHLLLRRRCMASSSAPSRAFQLRLNPLTGDSEWLVVDEAEADGEVPAPQTANSQRQLLATTSYLDMLNDAARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMSARALANVRGEGTGSVSACESYLPMGKLTRKVLRANGMENKVKVFHKRSDELKVGVELDSPADILVSEILDSELLGEGLIPTLQQAHDELLVKNPKTVPYRATTYGQLVESTSLWKMHDLHNNEANAADGIWLTPDETERVVSVKPQQHAMQCDALEDEIRLLSEPFKVFEFDFWERPESHRETKISIKTTGDGCVHAIISWWVLQLDSAGSIFYSTAPRWVRQSSIEDLPQDTKDWCDHWKHCVWFTQGRGAPVMKDQILSLRASHNLTSISYELLNATDEAWSGNLKGDHLTLSPERIALYGDRYWRSALITVVKNALSDRSSPTCIVADDSIFLTLLVSSLSPSSKVIAMFPGLREKGATYIQAVADANNLSMDQIQVIGKKAASLTPDDLKQKKVNLLVGEPFYYGSEGMLPWQNLRFWNARTLLDSMLSKDALIVPCKGILKLCAMSLPDLWRSRRSLKDIEGFDHSVVNEILGACGNLPGEQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFADAGICHGFAVWIDWVLDEKNSIALSTGPDNRYWKQGVQLLSKPVEVSPANSAVHVEASFDPDSGELTFHSSFS* >Brasy7G106600.1.p pacid=40046515 transcript=Brasy7G106600.1 locus=Brasy7G106600 ID=Brasy7G106600.1.v1.1 annot-version=v1.1 MAAQSGGGFCPIVFLGGVLAASFTFVCRMKFFSQYGATQELSPCNMGYLKLVFLLLVLAPSMSSCTEDCSSSTFDHVVSSDGALEFPLFHRDHPCIQNHLNHPNSSGFSAKKDLPIDLIQDDQINNFLYLMPIKLGTPPVWNLVAVDTGSILSFVQCQPCLSCHDQGRAGQIFAPNKSESLRYVGCSEESCRTVHSALRLQSKACAEKENICLYSMTFGGASTYSVGKLVTDRLAIGQNNSGYSVPNFLFGCSLDTEYHQREAGVFGFGAESFSFFEQLAPLVGYKAFSYCWPSDKSKTGYLSIGKYNRVGSASYTPLFQANRRPVYALKLVKVVANGITLVSTGSEMIVDSGAKWTVLLSDTFDQLNTVITKALDPLGYHPTTYRGPNYMCFEDQFFKFFSNWSALPAVELSFDMGGTLALQPKNSFYFDQTYGLCTYFLRDAAVAKGVQILGNSATRSVGVTFDIQRRRFAFRNGDC* >Brasy7G086500.1.p pacid=40046516 transcript=Brasy7G086500.1 locus=Brasy7G086500 ID=Brasy7G086500.1.v1.1 annot-version=v1.1 MKKWHGGSVIVSLFVILMLRYVILDSPLAERSLQYVFQQNSTTQLHWLEVPNPPAVQNPENFSQVISTELLASNLSITRNLSDREIQSLHSWNHLRDLVSNAHILPDGLDAIKEAGVAWSKLNAALEQDDSIVSVNGSTQHKDKEKQCPYSIRRMNATRLGDRYVLKIPCGLIQGSSITIIGTPGGLLGDFKIDLTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEHRCPSPDSDAKDSAKVDDLEKCSSMVGNDQKQILASKLHSNASSMQPTRKMTAEPKKYYPFRQGYLAIAILRVGAEGIHMTVDGKHVTSFALREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKLVDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLHQANISHGLLYGRVNSNSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYVVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKEGLDVKYQNDGRILVEGCEDGYVVAHYQEPRQMMCLWDKFQKTKRGSCCKE* >Brasy7G086500.2.p pacid=40046517 transcript=Brasy7G086500.2 locus=Brasy7G086500 ID=Brasy7G086500.2.v1.1 annot-version=v1.1 MKKWHGGSVIVSLFVILMLRYVILDSPLAERSLQYVFQQNSTTQLHWLEVPNPPAVQNPENFSQVISTELLASNLSITRNLSDREIQSLHSWNHLRDLVSNAHILPDGLDAIKEAGVAWSKLNAALEQDDSIVSVNGSTQHKDKEKQCPYSIRRMNATRLGDRYVLKIPCGLIQGSSITIIGTPGGLLGDFKIDLTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEHRCPSPDSDAKDSAKVDDLEKCSSMVGNDQKQILASKLHSNASSMQPTRKMTAEPKKYYPFRQGYLAIAILRVGAEGIHMTVDGKHVTSFALREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKLVDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLHQANISHGLLYGRVNSNSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYVVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKEGLDVKYQNDGRILVEGCEDGYVVAHYQEPRQMMCLWDKFQKTKRGSCCKE* >Brasy7G100900.1.p pacid=40046518 transcript=Brasy7G100900.1 locus=Brasy7G100900 ID=Brasy7G100900.1.v1.1 annot-version=v1.1 MSNGHWNFIYPSIFQDSSDLLSKKRRNRLAIPLQYHQEQEKEFISCLGISIEIPFMGVLRRNTIFAYFDDPQYRKDKKGSGIVKFRYRTLEDEYRIREEDSEDKYETLEDEYETLYKDEILQDEYEYETLEDAYGSPENEYRNPENEYRTLEKDSEHEYRSPESKYRTREDKYGTLEEDSEDEYGSPGESSEDKYGRTLEEDSEEDSEDEYESPEEDSIFKKEDLIDHRGTKEFSIKYQKEVDRFFFILQELHILPRSSSLKVCDNSIIGVDTQLTKNTRSRLGGLVRVKRKKKPYGTQNIFRRYSVS* >Brasy7G182400.1.p pacid=40046519 transcript=Brasy7G182400.1 locus=Brasy7G182400 ID=Brasy7G182400.1.v1.1 annot-version=v1.1 MPPAPWPTPRSVRQAAELHAVLVASGRLLHPPSASHLLNSLVNCFTPADPLHLRYALCLFDRMPCSTFLFDTALRACFRASSGPESPLILYRRMRHTGVCPDAFTFHFLFKCCARARAHVLLCRMLHAACFRTILPSAVPLVSNPIIHMYVELGLARDARRAFDEIPVKDAVAWTTVISGLAKMGLLDDARFLLRHSPSRNVISWTGLISGYSRAGRAAEAVDCFNIMLSDGIEPDEVTVIGLLSACAQLKDLVFGRSLHRLVGEKGMLLSGKLVVALIDMYAKCGDIGRAQEVFDALGRGRRPQAWNAMIDGYCKLGHVDVARYLFDQMEDRDLVTFNSLITGYIHGGRLREALLLFMQMRRHDLRADNFTMVSLLTACASLGALPQGRALHACIEQRLVEADIYLGTALLDMYLKCGRVEEASLVFQAMSVRDVHTWSAMIAGLAFNGMGKAALEYFFWMKVDGFQPNSVTYIAILTACSHSCLLDEGRLYFEEMRLLHNIRPLIEHYGCMIDLLGRSGLLDEAMDLVRTMPMQPNAVIWASILSACRVHKDANLARNAAEHLLKLEPDEDAVYVQLYNIYIDSRQWEDASQIRRLMEERGVKKAAGYSSIAVAGQVHKFIVCD* >Brasy7G046000.1.p pacid=40046520 transcript=Brasy7G046000.1 locus=Brasy7G046000 ID=Brasy7G046000.1.v1.1 annot-version=v1.1 METESATAFYHQPPPAVPAPMPLPLPAKAPSGTGGVARGAAAARQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGATAALKLEDGGLTAATIRKKAAEVGARVDALHSGIGCGAPPPPPPPSPSPSQRRRTKYPDLNREPTPDTDDGE* >Brasy7G024500.1.p pacid=40046521 transcript=Brasy7G024500.1 locus=Brasy7G024500 ID=Brasy7G024500.1.v1.1 annot-version=v1.1 MPSDGPDPTRHRFKSSTELLLLRKRKKKKKNSTTGEEMARGSAVALAVAAVLLLHADWAAAAGPKKPATAARREDVPYIRCQVCERIAREISAQVARKQQALSPSKKVPEIEIIEIAENVCNLKKQEADWMLRVDIVEKGDRLELVDQDEEGHCNSECKTIERACQEVMGYADTDVAEFVYTNKPSLDQLVKFVCKDITKACAVDPPPVPKDRVPGEPFAAKPSKDAEMEKIMRSMGDIPGAPSMKMYSRDDLMQNNFGAGGDDDEDDDEDEEDNFPKNLGKALKDKPPQKNDLKEQVVKQIKDTGKKLKGHVSKVSKVVKKWWQAKKKPTKSGKSEL* >Brasy7G136000.1.p pacid=40046522 transcript=Brasy7G136000.1 locus=Brasy7G136000 ID=Brasy7G136000.1.v1.1 annot-version=v1.1 MAQLPPGFPYISGPPPPWHPPPAPRPYRTPPSRTSRDEGPSQGGIIAGLVIGFIASLFLFAIVCSICKGQRSSRARARTVAAAAAAAAAVPRPWPTLERSRDDDGNDDDERRQRRRDSTAARLPAFTYSPSVKHNVTGAGEEAATCSVCLGAFQLEETVRLLPVCLHLYHVECIDPWLDAHSTCPLCRSDTDPAMDVPGRLPPV* >Brasy7G014500.1.p pacid=40046523 transcript=Brasy7G014500.1 locus=Brasy7G014500 ID=Brasy7G014500.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G031800.1.p pacid=40046524 transcript=Brasy7G031800.1 locus=Brasy7G031800 ID=Brasy7G031800.1.v1.1 annot-version=v1.1 MAAVYWDQLPDDIIRCIHDLLPCPIDGVNMALVCTQWRRATNNKKQGRRQLPWLLLPPLSGSAGPSFACIMGGGHHVLDLPEDARCARFFGSYEGRWLFLRTEHREQGHVHVLLNLRTRERIPLPDVEHGEIRLFVSGAIRRLVRGPPRPVSMLAATLSTAPGSPGGCVAAAILTMQPATRWRYVCFWRLGSRVALEGEEVNDACGTSPQDVAYFRGRFFVLTKGEHLRAYTVLLDQPFDPFRGGDLRVQCRLLIAGRDKGIQDGEPRAGYLVESRGELLMVRARKGVYFLDDRTYYNTTCFGAYFDLPTAVGTGEFACTDNGRWENLIQTCPDHCFPRKNPEEQGLSSSYSPPVWLLH* >Brasy7G150700.1.p pacid=40046525 transcript=Brasy7G150700.1 locus=Brasy7G150700 ID=Brasy7G150700.1.v1.1 annot-version=v1.1 MALSNTPPVDYPCFKLVLVGDGGTGKTTFVKRHITGEFEKKYEPTIGVEVRPLDFHTSHGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDICRVCENIPIVLCGNKVDMKNRQVKAKMVTFHRKKSLQYYEISAKSNYNFEKPFLYLARKLSGDMNLRFVEETALLPADVTVDLIAQQQIEAEIAAAAAMPLPDDDEDNMD* >Brasy7G189700.1.p pacid=40046526 transcript=Brasy7G189700.1 locus=Brasy7G189700 ID=Brasy7G189700.1.v1.1 annot-version=v1.1 MGSSAAGAAGSPEQDAAPAVCVTGATGYVGSWLVRTLLRRGRRVHATARDPGKAWQVLSAVEGKDRLSVFRADMAEEGSFDDAVRGCAALFHVAASMDLHLHSPDDRHDAEEERVRSQVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLAAAGPEAAIVDESCLRALDDVWATKPIGWIYILSKRMAEEAAFGFARENGIHIVSVVLPTVAGPFLTPTVPTSVQLLLSPITRDPKLHALLGSVHSRFGCVPLAHVQDACDAHVFLMDAPGADGRYLCAGESYSMAQIARILSSHYLPFQPGKRLSEDSDASSSTSSVVSSKRLLDLGFRFQHDAGDVLRDVVALCVDRGFLEQPRDCN* >Brasy7G189700.2.p pacid=40046527 transcript=Brasy7G189700.2 locus=Brasy7G189700 ID=Brasy7G189700.2.v1.1 annot-version=v1.1 MGSSAAGAAGSPEQDAAPAVCVTGATGYVGSWLVRTLLRRGRRVHATARDPGKAWQVLSAVEGKDRLSVFRADMAEEGSFDDAVRGCAALFHVAASMDLHLHSPDDRHDAEERVRSQVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLAAAGPEAAIVDESCLRALDDVWATKPIGWIYILSKRMAEEAAFGFARENGIHIVSVVLPTVAGPFLTPTVPTSVQLLLSPITRDPKLHALLGSVHSRFGCVPLAHVQDACDAHVFLMDAPGADGRYLCAGESYSMAQIARILSSHYLPFQPGKRLSEDSDASSSTSSVVSSKRLLDLGFRFQHDAGDVLRDVVALCVDRGFLEQPRDCN* >Brasy7G189700.3.p pacid=40046528 transcript=Brasy7G189700.3 locus=Brasy7G189700 ID=Brasy7G189700.3.v1.1 annot-version=v1.1 MGSSAAGAAGSPEQDAAPAVCVTGATGYVGSWLVRTLLRRGRRVHATARDPGKAWQVLSAVEGKDRLSVFRADMAEEGSFDDAVRGCAALFHVAASMDLHLHSPDDRHDAEEERVRSQVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLAAAGPEAAIVDESCLRALDDVWATKPIGWIYILSKRMAEEAAFGFARENGIHIVSVVLPTVAGPFLTPTVPTSVQLLLSPITRDPKLHALLGSVHSRFGCVPLAHVQDACDAHVFLMDAPGADGRYLCAGESYSMAQIARILSSHYLPFQPGKRQATRADATELQTVERGFRCFQLDVVRGVVQEAVGSGF* >Brasy7G189700.4.p pacid=40046529 transcript=Brasy7G189700.4 locus=Brasy7G189700 ID=Brasy7G189700.4.v1.1 annot-version=v1.1 MGSSAAGAAGSPEQDAAPAVCVTGATGYVGSWLVRTLLRRGRRVHATARDPGKAWQVLSAVEGKDRLSVFRADMAEEGSFDDAVRGCAALFHVAASMDLHLHSPDDRHDAEERVRSQVLEPATRGTINVLRSCVRAGTVRRVVFTSSVSTLAAAGPEAAIVDESCLRALDDVWATKPIGWIYILSKRMAEEAAFGFARENGIHIVSVVLPTVAGPFLTPTVPTSVQLLLSPITRDPKLHALLGSVHSRFGCVPLAHVQDACDAHVFLMDAPGADGRYLCAGESYSMAQIARILSSHYLPFQPGKRQATRADATELQTVERGFRCFQLDVVRGVVQEAVGSGF* >Brasy7G107100.1.p pacid=40046530 transcript=Brasy7G107100.1 locus=Brasy7G107100 ID=Brasy7G107100.1.v1.1 annot-version=v1.1 MATAVGGAAEITSDEGNEIPVAVNSPAEAIEWSSNLLFDDFKGSGEGLDDSALIQELLATLECEKKSACDAAPGGGDEDDDCVMLDGDPFKAAAVANEKKLGKDGSEEELQIVAEKGEVACRDFPHPRHLCARFPFKTGSDASYCTMCHCYVCDSPAPCPRWGKGTLSTDHCHATDKDEKWKKLRQSLKRKSLPPSQRGSIKKFGRSTLTAPSSEQYTGHQVSGPQLFPPLGTTVNQPSVQRVPATSNVSKNQQMRPSIRAAQNLGQVVNQPKASGAVNQPFVGRVPVASNANQNQQMHSSIRAAQNVGRVVRLPKASARGPQISGKRLKTSGAAPTVLMPSNGYNSNRALPNDPTLPPASSRVFQTAPVAPGSNITQWRPSQRFLDAPVLISPGLHVRPSSHLQVDPNRAAGTGLQTFQSLAPTTQGAKCVQNSQAIKNAWQVTLDNLASQLGVSDYNINDLHGRESASTQYLHPSQLITPVKASQGVEQHRSSVPATAQMRPANGLLPNRQSGDNVVMQKNSSVAHTEFPVKLPSETS* >Brasy7G107100.2.p pacid=40046531 transcript=Brasy7G107100.2 locus=Brasy7G107100 ID=Brasy7G107100.2.v1.1 annot-version=v1.1 MATAVGGAAEITSDEGNEIPVAVNSPAEAIEWSSNLLFDDFKGSGEGLDDSALIQELLATLECEKKSACDAAPGGGDEDDDCVMLDGDPFKAAAVANEKKLGKDGSEEELQIVAEKGEVACRDFPHPRHLCARFPFKTGSDASYCTMCHCYVCDSPAPCPRWGKGTLSTDHCHATDKDEKWKKLRQSLKRKSLPPSQRGSIKKFGRSTLTAPSSEQYTGHQVSGPQLFPPLGTTVNQPSVQRVPATSNVSKNQQMRPSIRAAQNLGQVVNQPKASGAVNQPFVGRVPVASNANQNQQMHSSIRAAQNVGRVVRLPKASARGPQISGKRLKTSGAAPTVLMPSNGYNSNRALPNDPTLPPASSRVFQTAPVAPGSNITQWRPSQRFLDAPVLISPGLHVRPSSHLQVDPNRAAGTGLQTFQSLAPTTQGAKCVQNSQAIKNAWQVTLDNLASQLGVSDYNINDLHGRESASTQYLHPSQLITPVKASQGVEQHRSSVPATAQMRPANGLLPNRQSGDNVVMQKNSSVAHTEFPVKLPSETS* >Brasy7G197800.1.p pacid=40046532 transcript=Brasy7G197800.1 locus=Brasy7G197800 ID=Brasy7G197800.1.v1.1 annot-version=v1.1 MLVLTVIMLSALVPMVSVFRTRWHDLGFVLYCSALSTGGVLCSSLFGTMNTNEIRTQRNGNGRP* >Brasy7G219700.1.p pacid=40046533 transcript=Brasy7G219700.1 locus=Brasy7G219700 ID=Brasy7G219700.1.v1.1 annot-version=v1.1 MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHGKLYLGLSGLATDARTLYQRLVFKHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPIIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALLSSVDRDCLSGWGGYVLVVTPTEVQERVLKGRMD* >Brasy7G137000.1.p pacid=40046534 transcript=Brasy7G137000.1 locus=Brasy7G137000 ID=Brasy7G137000.1.v1.1 annot-version=v1.1 MEAHMERALREGLTEAERASLEGAVRAHHTFPGRSRAGPGAGGAPTTCTSLVAQRVAAPVRDVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEILDDDRHILSFSVVGGEHRLRNYRSVTSVTEFFNDAGAPYCVVLESYVVDVPPGNTEDDTRMFTETVVKLNLQKLASVAEESGSRARD* >Brasy7G041400.1.p pacid=40046535 transcript=Brasy7G041400.1 locus=Brasy7G041400 ID=Brasy7G041400.1.v1.1 annot-version=v1.1 MKEEQIDQAAAMPPRILRISCEVSCKKDPDVTDSSDDDVDSVVLRLPLPALPSSSAAAPASSSVIGAKKRRPAGAGGASGAPMAAGVRKYRGVRFRHWGKYAAEIRDPRSRARVWLGTFDTAEEAAMVYDSAALRLRGASAVTNFPAVPPPSPSTAGDQSSDDSQLSGSPASVLRPLIPPPKLEPPAKAAPDKPNLSSCGGLLGDDHPCCGGGGFSTFLWPDVEDCMFAAGILPGVADGDDGMLSRLESYVTAAVEPVTASLADLGELPMWSEVDGLFFGDDATN* >Brasy7G084500.1.p pacid=40046536 transcript=Brasy7G084500.1 locus=Brasy7G084500 ID=Brasy7G084500.1.v1.1 annot-version=v1.1 MSGRRSSTSRSNSVSEEEINELISKLQSLLPASARRRGSSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR* >Brasy7G063300.1.p pacid=40046537 transcript=Brasy7G063300.1 locus=Brasy7G063300 ID=Brasy7G063300.1.v1.1 annot-version=v1.1 MSSLVERLRVRSEKRPRYTLDESDDDLPPLGANGKGRDRPGDAPAQQIEREDAKEDSCRKCGLNENLVSCSTCTYAFHRKCLTPCLNVTSDKWSCPECVSPLTEMEKILDCETTNVVPGKTSSSVSGSKNKPVKRYLIKWKGLSHIHCTWVPEDEYLDAAKMHPRLKTRLNNFNRHFDPIDKSDDDFAAIRPDWTTVDRVLSSRKNSTGEREYYVKWKELTYDDCTWENESDISVFQPQIERFNEIQSRRKKSIERSKSANREMRHVDGTPEFLSGGTLHPYQLEGLNFLRYSWSINKRVILGDEMGLGKTIQSIAFLASVSEDNFGPHLVIAPLSTLRNWEREFATWAPQMNVVMYSGSSAARENIRKYEFYFPKDKPKKLKKKKSSSSNDEKKQSRIKFDVLLTSYEMINMDSAVLKTIEWECMIVDEGHRLKNKDSKLFGLLKDYPTQHRVLLTGTPVQNNLDELFMLMHFLEGETFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKDLPPKKELILRVELTSKQKEYYKAILTKNYAVLSRRGGGHVSLINVVMELRKLCCHAFMTDEPDTDPESPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRNWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVCKGTIEERMMQLTKKKMVLEHLVVGRLTKASNVNQEELDDIIRHGSKELFDDDNDDEAGKSRQIHYDEISIDKLLDRDQVDAEEVLEDEEDDEFLKGFKVANFEYIDEAKALAAKEEARRKAEAEAASRKANYWDELLKDRYVEQNVEEHTAMGKGKRSRKQMAAADEDDITGLHESSEDEDYSYDDDVSDNDTNLQGNISGRRGQYAKKNSRNVDSLPLMEGEGRSLRVYGFNQIQRTQFLQTLMRYGFQNYDWKEYLPRLKGKSLEEIQKYAELVMAHLVEDMNESTTYADGVPKEMRNDETLVRLAKISLLEEKVAAMEQGKITKLLPNYLLYEFPSLSSGRIWNGEHDLLLLKALIKHGYARWQYISDDRDNGLFEAARQELNLPSANELISSQSNNDTNGNLESTQEVQANPSSLSQYRDIQKKIVEFIRKRYHILEKCLDTEYAVIKTKTPVPDDLTEQNVPGGHGPSVPDISEVSRELPPLVPISAKEVVSDGATDQSQVPHLYNKMCSVLEDSGVHALNSFFGDKAASSSLANSLHQFETVCEDVDRILRVQQNGTTCRDQVQDASVEEATPPHDPVTQAANGDGPLTVEPEDKMDLDG* >Brasy7G095800.1.p pacid=40046538 transcript=Brasy7G095800.1 locus=Brasy7G095800 ID=Brasy7G095800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDRFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENAVRYPPRPPAPELTKVFQVNGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G091400.1.p pacid=40046539 transcript=Brasy7G091400.1 locus=Brasy7G091400 ID=Brasy7G091400.1.v1.1 annot-version=v1.1 MASGGMKIRPSPFVGEAPDGRGLGKRMPTYIDDLPTQQAEPGSGLVCRHGLLPLTRVAFEGESTGRRFYGCPFEEVDDCGYVYWIDPKWPPHLENALRELWAKFDAFPKLSLFDVQFMQQDLKDALAEKARAVDDRMRLELLLADLRCEMEKDAKLKKRK* >Brasy7G085300.1.p pacid=40046540 transcript=Brasy7G085300.1 locus=Brasy7G085300 ID=Brasy7G085300.1.v1.1 annot-version=v1.1 MYLELYLVAIDFMILEGDNLDKLFPSVNFHVVGLKIGGKQGFVLIFSLLVLPTTWFRSLNALAYVSLGGIFASIVLIASVTWVGAFDGVGFHEKGVLVNWAGVPTAMSLYSFCFSGHAVFPMIYTGMSDRKKFPTVLLLCFIVCTLGYGLMGVVGYLMFGESLRSQVTLNLPTRNWSSSIAIYTTLINPFTKFALLVTPIAEAIEDSLHVGKNKAVSVTIRTALVVSTTIVALAVPFFAYAVALTGSFLSGTATMLLPCACYLKIRSRTCRKLGFEQVVCLGIIVVGVGVVVVGTCSSLKQIVQSL* >Brasy7G216800.1.p pacid=40046541 transcript=Brasy7G216800.1 locus=Brasy7G216800 ID=Brasy7G216800.1.v1.1 annot-version=v1.1 MYLSFFESMDWTLHEALAVMPLGFAGLNLHSFSLLVDIIFTQIRRSRPAGSSS* >Brasy7G216300.1.p pacid=40046542 transcript=Brasy7G216300.1 locus=Brasy7G216300 ID=Brasy7G216300.1.v1.1 annot-version=v1.1 MVRIPADFLSLSFSLSLSPAPGAAASRRRPHGRACQQADRRRLRRRTLKTATELDNGRSPPPSTTSRRRRHGGRSSTTAAARRRQQQHDIDTMVDGVSRRLEPITTPPESVIMPSSRARRRHHHVRARRLHQDLGGLAHGQELAAPLHHASSWSVETGYIPEFTMASREDETRGRAPTPTTTAMSTWRPTEGVAAGCADHADKRAPAWWCPPCRCRT* >Brasy7G015700.1.p pacid=40046543 transcript=Brasy7G015700.1 locus=Brasy7G015700 ID=Brasy7G015700.1.v1.1 annot-version=v1.1 MAHTSSSPPPGPPPATPTAPAPSPSPAPAPASESPTQAAAGPATEPALTAAQKALRSKPSRSPEDLEKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVFIRSATNEDLSVIVKRAVFQLHPSFTNPTRVIEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDAGPQSTKKPVVVETYDEVVFPEPTEAFFLRVQNHPAATVPRLPPGITLSSQGPMELMLHDKKRYDNKDHPLSQWFSNFSEADELLKLAAARQQVQTRIAKLRRQLSMIEGMPQQPKAVSVLSQQFGHS* >Brasy7G015700.2.p pacid=40046544 transcript=Brasy7G015700.2 locus=Brasy7G015700 ID=Brasy7G015700.2.v1.1 annot-version=v1.1 MAHTSSSPPPGPPPATPTAPAPSPSPAPAPASESPTQAAAGPATEPALTAAQKALRSKPSRSPEDLEKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVFIRSATNEDLSVIVKRAVFQLHPSFTNPTRVIEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDAGPQSTKKPVVVETYDEVVFPEPTEAFFLRVQNHPAATVPRLPPGPMELMLHDKKRYDNKDHPLSQWFSNFSEADELLKLAAARQQVQTRIAKLRRQLSMIEGMPQQPKAVSVLSQQFGHS* >Brasy7G097000.1.p pacid=40046545 transcript=Brasy7G097000.1 locus=Brasy7G097000 ID=Brasy7G097000.1.v1.1 annot-version=v1.1 MATNENLPPNVIRQLAKELKNLDDSPPEGIKVIVNDDDFATIFADIEGPSGTPYENGIFRMKLILSRDFPHSPPKGFFTTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLFTGIHALKPKNKPKIGAISESNTALNKDQSNTVLNEISTSSASKAFGSNLAQNTAPSAVLGGKSAVVKKDGLLTVKGPVDKKKMDARKKSLKRL* >Brasy7G020300.1.p pacid=40046546 transcript=Brasy7G020300.1 locus=Brasy7G020300 ID=Brasy7G020300.1.v1.1 annot-version=v1.1 MVPVVISPKVMFPAASPSVTFLRTSALPSAMFSTPSRADTVLLGLPSRTVASSFSHMLFH* >Brasy7G010700.1.p pacid=40046547 transcript=Brasy7G010700.1 locus=Brasy7G010700 ID=Brasy7G010700.1.v1.1 annot-version=v1.1 MAAHSVAAAHATMAAPAGSASSAGSAPAERLGFRLSSLAGRGLRLPARPSSAASSSSSRRTHRVRAAASVETVQGQAATGALLDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVKEADLKQFRQWGSSTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCIVGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSTRFEALGWHTIWVKNGNDGYDEIRKAIQEAKSVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGTNEVEATRQNLGWPYEPFFVPEDVKSHWSRHVPEGAALEADWNSKFAQYEKKYPEDAATLKSIITGELPAGWADALPQYTTESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIGLHTPGLIPYCATFFVFTDYMRGAMRISALSETGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNMLMFRPADGKETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVEKGGYTISDNSTGNKPDLIIMSTGSELEIAVKAAEELTKEGKTVRVVSFVCWELFDDQSDEYKESVLPEAVTARISIEAGSTLGWQKYVGSKGKTIGIDKFGASAPAGIIYKEYGITAESVIAAAKSL* >Brasy7G085000.1.p pacid=40046548 transcript=Brasy7G085000.1 locus=Brasy7G085000 ID=Brasy7G085000.1.v1.1 annot-version=v1.1 MASRASVSTRNRASWALYHRTPSAAPPSTVPRPRRLHPPRRPPRSPAAGLVSSASPAAPSPASSSASPRRRPRLLRVARRATRRRPRLLCIWLRLDLSSGAGRQMQEALAATAPLQASSGPGGSVPQQAAAASGVLEAGLRLG* >Brasy7G173900.1.p pacid=40046549 transcript=Brasy7G173900.1 locus=Brasy7G173900 ID=Brasy7G173900.1.v1.1 annot-version=v1.1 MAHLFARPGSTSLSSRSFLFLPTEAILCGTCRYRAAGTPPSQRAAAGRSPLPSPSLLPTLPLSFALDPRPSVLLRHRSRLLAGRLSRPLPCSRGLPSSCAMAGRGRIRPPSALPTPDPAFLGPVDAGSGRARRDEVGRRRRRPPPPPSPPVPRCTFLTSCT* >Brasy7G156000.1.p pacid=40046550 transcript=Brasy7G156000.1 locus=Brasy7G156000 ID=Brasy7G156000.1.v1.1 annot-version=v1.1 MPRPGSKSRNEPRLACPSDAAAGSGARRDGGSCSRPGDCGGLAMMMVMGRGRCAHWMVPLFCCLLLAFPSHGPRAVEAFVGAYGINYGRIANNIPSPDKVVALLRSSKIRNVKIYDADHSVLDAFKGTGLNLVIAVNNGLLKDFAANESSAIDWLKDNVQLYLPQTRIVGITVGNEVLGGDPSLAEPLIGAVKNVYSGLKKLHLEDKIELFSPHSEAVFANSYPPSACVFNDNVMVYMKQLLDFFSRIGSPFYVNAYPFLAYISDPEHIDINYALFQPNPGILDPNTSLHYDNMFDAQVDAAYAALKAAGYNDMEVRVAETGWASSGDQNEVGASVENARTYNFNLHKRLFLRKGTPLKPKIPVKAYIFALFNENSKPGPSSEKHYGLFNADGRISYDIGYSGLLPSSAPSSLLSMKKMRAGDWIVHCLAAVFYFLLLALVT* >Brasy7G185200.1.p pacid=40046551 transcript=Brasy7G185200.1 locus=Brasy7G185200 ID=Brasy7G185200.1.v1.1 annot-version=v1.1 MQSLTAPAARPLLPRSAHLLRISSPPPFPHLRRRCSPHLPPKSLSLSSRPPLILAGRSLPFTPRAHGDHHHHHDHSHNHSHGHHGVGVREGGGSAAVMRFARAIGWAAVADALREHLQVCCISLGLLLMAAVCPHVALLNSVRRLQAALISVAFPLVGVSAALDALLDIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKSMYDVRELKENHPEFALLLETSGDESAQFSNLMYTKVPVHDLQVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDSIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSKVVVALSLVVALLGPFLFKWPFFDNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHLGLNNGLNTHSCCTPNCESEALAVAAAMEKGTTHPIGRAVLNHSVGRDLPVVAVESFESLPGRGVVATLSGIKARNTENELAKASIGSVEYISSLYRSNGESEQIKEAVKSSAFGPEFVQAALSVDKKVTLFHFEDEPRTGVCEVIYTLREKAKLRIMMLTGDHESSALRVAKAVCIDEVHWSLKPEDKLNKVKAVSREGGSGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNLCVVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSWADDIRQLFDAIRKFMSEKLNSSSSNCSAKTVPL* >Brasy7G185200.2.p pacid=40046552 transcript=Brasy7G185200.2 locus=Brasy7G185200 ID=Brasy7G185200.2.v1.1 annot-version=v1.1 MQSLTAPAARPLLPRSAHLLRISSPPPFPHLRRRCSPHLPPKSLSLSSRPPLILAGRSLPFTPRAHGDHHHHHDHSHNHSHGHHGVGVREGGGSAAVMRFARAIGWAAVADALREHLQVCCISLGLLLMAAVCPHVALLNSVRRLQAALISVAFPLVGVSAALDALLDIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKSMYDVRELKENHPEFALLLETSGDESAQFSNLMYTKVPVHDLQVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDSIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSKVVVALSLVVALLGPFLFKWPFFDNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHLGLNNGLNTHSCCTPNCESEALAVAAAMEKGTTHPIGRAVLNHSVGRDLPVVAVESFESLPGRGVVATLSGIKARNTENELAKASIGSVEYISSLYRSNGESEQIKEAVKSSAFGPEFVQAALSVDKKVTLFHFEDEPRTGVCEVIYTLREKAKLRIMMLTGDHESSALRVAKAVCIDEVHWSLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNLCVVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSWADDIRQLFDAIRKFMSEKLNSSSSNCSAKTVPL* >Brasy7G142900.1.p pacid=40046553 transcript=Brasy7G142900.1 locus=Brasy7G142900 ID=Brasy7G142900.1.v1.1 annot-version=v1.1 MGASNCSITACINFLALLCTIPIVITGIWLASNQGGGEECARLARWPVAILSGLLFLVALAGFAGACWNRQGLLAAYLFAMGGLTTLLLALLVFAFAVTRGSGAYSVPGRAYGEYRLEGFSAWLRGYVTGDPRRWGRIRACLAASDTCGKLAGERESAFFVAPEQFYQSDLSPLQSGCCKPPTACGYAYVAPTVWTSPASPGADADCGLWSNDPGQLCYGCGSCRAGMLGALRGQWRRASAALVAAAVALIVVYVIGCSAFKNARTEDLFRRYKWPNNT* >Brasy7G095200.1.p pacid=40046554 transcript=Brasy7G095200.1 locus=Brasy7G095200 ID=Brasy7G095200.1.v1.1 annot-version=v1.1 MYFVPIMYFVFVLLVYHIIRAQYKSIGTCISASFVFQIYVLFTRLDDMYLKGFIRHIMYFGCTLGLSYNQ* >Brasy7G120400.1.p pacid=40046555 transcript=Brasy7G120400.1 locus=Brasy7G120400 ID=Brasy7G120400.1.v1.1 annot-version=v1.1 MPRNLESPVQTQMAVSALKSSLIGDYSDKTRSEGRGGGWKRVFVQTDTGFVLAVQLDRGDNAHTVKRKLQLALNVPTGESSLTFGDHVLKNDLSNVRNDSPLLLTKNFMHRSSSTPCLSPTSKDLQQQRDKGGPIEVLVCPSRCFRTKQLVKDVGRAIRNGVDPIPVNSGLGGAYYFRNCKGENAAIVKPNDEEPFAPNNPKGFTGRALGQPGLKRSVRVGETGFREVAAYLLDYDNFANVPPTVLVKIAHPVFNVNEGVRSTKKKASEGDPQAVSKIASFQQFAPHDFDASDLGTSGFPVSSVHRIGILDIRIFNTDRHAGNLLVRKLTGAGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDADMLRMELPMIREACLRVLMLSTIFLKEATSFGLCLAEIGEMMSREFTGAEDQPSELELVCMEARRLATEREECSTENESGDEDVTQFQLDYEDHHEMPKGPSAYHFGFKGGSCRNPLSKLDEAIEEEEDDTEAEEEESNAEKLACPKPVNKWQPNILKLSTSLSSFSLTDKSQRQLPAVPKGTDPGNWRSANEQLPTSASFVKLADMGMETWGLFLEKFHELLPGAFNLRKCGATGQRAKQRLGTSCQF* >Brasy7G100600.1.p pacid=40046556 transcript=Brasy7G100600.1 locus=Brasy7G100600 ID=Brasy7G100600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G107500.1.p pacid=40046557 transcript=Brasy7G107500.1 locus=Brasy7G107500 ID=Brasy7G107500.1.v1.1 annot-version=v1.1 MSVERTWTGDDPLNVQVVEPQDLHQNARELLPKKTRTLIRPRGLLLSSWADLFFSREPRCVPSLSQVRDGRNPRDPSLSRARDGAVLSPASLPVAAPPLAAAPAAQRESWIPPPPALHPGRRLPWAPLLRTVRLSRARGLPHRQHDRPPPLVAAQRHGATRPKRRRRRSPSADLSLPNPERAAAVLGISWGAYIRLPKTAKKRKHKLITDDRNAQ* >Brasy7G107500.2.p pacid=40046558 transcript=Brasy7G107500.2 locus=Brasy7G107500 ID=Brasy7G107500.2.v1.1 annot-version=v1.1 MSVERTWTGDDPLNVQVVEPQDLHQNARELLPKKTRTLIRPRGLLLSSWADLFFSREPRCVPSLSQVRDGRNPRDPSLSRARDGAVLSPASLPVAAPPLAAAPAAQRESWIPPPPALHPGRRLPWAPLLRTVRLSRARGLPHRQHDRPPPLVAAQRHGATRPKRRRRRSPSADLSLPNPERAAAVLGISWGAYIRLPKTAKKRKHKLITDDRNAQ* >Brasy7G107500.4.p pacid=40046559 transcript=Brasy7G107500.4 locus=Brasy7G107500 ID=Brasy7G107500.4.v1.1 annot-version=v1.1 MSVERTWTGDDPLNVQVVEPQDLHQNARELLPKKTRTLIRPRGLLLSSWADLFFSREPRCVPSLSQVRDGRNPRDPSLSRARDGAVLSPASLPVAAPPLAAAPAAQRESWIPPPPALHPGRRLPWAPLLRTVRLSRARGLPHRQHDRPPPLVAAQRHGATRPKRRRRRSPSADLSLPNPERAAAVLGISWGAYIRLPKTAKKRKHKLITDDRNAQ* >Brasy7G107500.3.p pacid=40046560 transcript=Brasy7G107500.3 locus=Brasy7G107500 ID=Brasy7G107500.3.v1.1 annot-version=v1.1 MSVERTWTGDDPLNVQVVEPQDLHQNARELLPKKTRTLIRPRGLLLSSWADLFFSREPRCVPSLSQVRDGRNPRDPSLSRARDGAVLSPASLPVAAPPLAAAPAAQRESWIPPPPALHPGRRLPWAPLLRTVRLSRARGLPHRQHDRPPPLVAAQRHGATRPKRRRRRSPSADLSLPNPERAAAVLGISWGAYIRLPKTAKKRKHKLITDDRNAQ* >Brasy7G164800.1.p pacid=40046561 transcript=Brasy7G164800.1 locus=Brasy7G164800 ID=Brasy7G164800.1.v1.1 annot-version=v1.1 MAPSGCTACSNGNNGNDNDSNSNNNGKGYLDPPPALLLDAGELGKWSLYRAAIAEFTATLLFVYIAIATVIGHNHQSSTACSSGAGILGIAWSFGGTIFVLVYSTAGISGGHINPAVTFALLLARKLTLLRAVFYIIAQCAGAVFGAAIARAVHGRARYLLHGGGANELAPGFSAAAGLGAEIVGTFVLVYTVFSATDPKRKARDCHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVAYNGDKAWSEHWIFWVGPLLGAALAMVYHEFVLRGKAMASFRRSNYAAAAY* >Brasy7G133000.1.p pacid=40046562 transcript=Brasy7G133000.1 locus=Brasy7G133000 ID=Brasy7G133000.1.v1.1 annot-version=v1.1 MASEGIRRLTQLSLVSKVCSELEAHLGNGERGLAEFIVHLGRASPSVADLDAKLKAHGCEVPDYLARTLHTVIHAIPADPSAPQNPSSSTRSFGDKEEEEEEEEEDPELYQVFHGRVIRVVDTGCFVRLDGAGGREGLVHVSRLPGGCVSVKRGQEVFVKVISVQWGNLEFSMRDVDQDTGRELPPMLTDVPRANPKPGRAGASGKNGIGLSGIVIPEEHGAGSARRRPVRRMSSPERWELNQLIASGVMKDYPLFDEDDENIHHQEEEVEEELEIELNEDEPAFLCGQGRSSIELSPVRISKNPEGSLSRAASLQTALVKERRDIRSQEHRALLDSIPKDLNRPWEDPVPDAGGRYLAHELRGIGLSAQCMPEWKKEAYGKTVTFGKRSRIPIQEQRQSLPIYRLKKELMEAVHRNQVLVVIGETGSGKTTQVTQYLAEAGYTTGGKIACTQPRRVAAESVAKRVAEEFGCRLGEEVGYSIRFDDNTGPGTVIKYMTDGMLLREIMVDSNLSSYSVVMLDEAHERTIYTDILFSMLKQLIRRRTDLKLIVTSATLDAEKFSGYFFDCNILTIPGRTYPVEILYAKEAESDYMDAALITVLQIHLSEPEGDILLFLTGQEEIDHACNSLHERMKVLGKDVPDLLINPVYSALPTEMQSKIFERAPPGKRKVIVATNIAEASITIDGICYVVDPGFAKLNVYNPKRGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGWTVLNMKAMGINELVSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTRLGRRMAEFPQEPPLSKMLLASVDLGCSDEIVTIIAMVQTGNVFYRPREKQAQADRRRGNFFQPEGDHITLLTVYQAWKAKQFSGPWCFENFLQITSLRRAQDVRKQLLEIMDRHKLDVVSAGNDLMKVRKAITAGFFFNAARKDPQEGYRTLADHQQVYIHPSSALFHQQPEWVIYNEIVMTTKEYMREVTAINPAWLVELAPRFYRSVDSTKMSKRKRQERIEPLYDRYNEPNSWRLSKRRG* >Brasy7G114900.1.p pacid=40046563 transcript=Brasy7G114900.1 locus=Brasy7G114900 ID=Brasy7G114900.1.v1.1 annot-version=v1.1 MVSPSAALSIAALAAGVTLMLLVHVLVIFWALRRDRDDTDAAEERGAENGSAGEVNKVLTATELETLPCYDFDGSAGGGGDCAVCLEAFEAGDRCRRLPRCDHSFHAPCVDSWLKKSRCCPVCRADVVGGPGELKVKAVAEGGEMPRPLPLETAERSGGSPVDALEVVSERMREHSRESIPDGG* >Brasy7G217900.1.p pacid=40046564 transcript=Brasy7G217900.1 locus=Brasy7G217900 ID=Brasy7G217900.1.v1.1 annot-version=v1.1 MEDVCEGKDFSFPAEEERVLKLWAELDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVARRFGWDCHGLPVEFEIDKQLGITNRQQVLDYGIARYNEACRSIVTKYVKEWEAVVTRTGRWIDFKADYKTMDINFMESVWWVFGQLWAKDLVYKGFKVMPYSTGCKTALSNFEAGLDYRTVPDPAVMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSNGTVYIVAESRLDQLPVKAKASGKKQAPPKGSTPDGGQGGLDTESYELLAKIPGSSLVGLKYTPLFDFFLELKETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKITEFRGRHVKEADKDIISAVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFIKVEKIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPVWISQDGEEIVVMDSIEKLEKLSGVKVTDLHRHHIDDITIPSSRGPEFGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKQNYPSPVGVINEYGADALRLYLVNSPVVRAESLRFKRIGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAAFSPIDQDSLRKSSNVLDHWIHSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRISLSTLYHALVTTCVVMAPFTPFFTEALYQNLRKVSSKSEESIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHSKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMMYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMSQEQILTFEKSGEISFYGHCLKLDDIKVVRQFKRPADVSEKEIDAAGDGDVLVILDLRADQSLFEAGVAREVVNKIQKLRKTAQLEPTDLIDVYYKSVNNDSNALEEIVQSQDQYIRDVLGNSLVPKAMAPSDMVVICEESHIVHDMSFVIYIARCIPVLTPELLPHASGNSDHVDALRVYLLSRSIYRLKNEFQTGNGKITVNCIEGYPPIDLHLGKHVFLSAGDFYQANHRS* >Brasy7G097500.1.p pacid=40046565 transcript=Brasy7G097500.1 locus=Brasy7G097500 ID=Brasy7G097500.1.v1.1 annot-version=v1.1 MALLAATGSAAAAPAAVDPSAAHETRRPPGWYVLVAGLVSSLLSVLGLGLDESPAVDPPQRLGPPPVVPPSFSATIFQAPRSKYRNLRRR* >Brasy7G161000.1.p pacid=40046566 transcript=Brasy7G161000.1 locus=Brasy7G161000 ID=Brasy7G161000.1.v1.1 annot-version=v1.1 MLVARGFRRVAVSSLVRWDMSVVAARLAASSLAVPGADARFHDYDAAITACVERRALGEGRQVHAHMVKARYLPPVYLATRLIVLYVRCGALDDARNVLDGMPERNVVSWTAMISGYSQSGRHAEALELFIRMLRAGCKANEFTLATVLTSCPVHQSIQQVEQVHSLVVKTNFESHMFVGSSLLDMYAKSGNIQEARKVFDMLPERDTVSCTAIISGYAQLGLDDEALDLFRQLYSSGMQCNYVTFTTLLTSLSGLASLDYGKQVHGLILRKELPFFIVLQNSLIDMYSKCGKLLYSRRVFDNMPQRSAISWNAMLMGYGRHGIGQEVVQLFRTMTEEMKPDSVTLLAVLSGCSHGGLVDEGLDIFDLIVKEQNAVIHIGHYGCVIDLLGRSGQLQKALDLIEHMPFEPTPAIWGSLLGACRVHINVSVGEVVAQKLLDMEPGNAGNYVILSNIYAAAGMWKDVFRVRKLMLENTVTKEPAQSWIILDKVIHTFHSSERFHPRKKDINAKIKEVYVDVKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIQVMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLLKDGACTCEDYW* >Brasy7G024200.1.p pacid=40046567 transcript=Brasy7G024200.1 locus=Brasy7G024200 ID=Brasy7G024200.1.v1.1 annot-version=v1.1 MAAGKEELGTARRGVPSLLLSGGGIGQEEHIASDVTQLIGWTPLIELKRIAAKDGLDARIVGKMEAYQPLCSVKDRSALRMIEDAEEKGLVSPGVTTLVEPTSGNLGLGLVLIALSKGYRFVAVMPGQYSLDKQILLRYMGAELFITDPALGFPGITDKVEQLKKELPNVHVLDQFVNPANPDAHVRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPNVKIICVEPTESPVISGGEPGKHKIQGIGPGFLPEVLNTSVIDETVTVTTDEAMANARRLAMEEGLLVGISSGANFAACLKVAAREENKGKMIVTMFPSGGERYMNSDLFATVREECIAMTF* >Brasy7G033300.1.p pacid=40046568 transcript=Brasy7G033300.1 locus=Brasy7G033300 ID=Brasy7G033300.1.v1.1 annot-version=v1.1 MGNALGCVGLGERLAAAARDGDAAAVRRLLAADPGLPRCSGATFGSLSSPLHLAASKGHHEIAALLLENGADANARNLCGQTPLIQACRSGHWEVIQTLLAFGCNVWRADGLSGRTALHVAAAGGHVRCLRLLLAGAGAGDGDDEERVRYVNKAAGGGGVTALHLAALQGHVECVHLLADERARLDAQTLPCAAAPMASVGAGSTPLHYAASGGEVKCCQILVSRGADRMAVNSNGWLPVDVARIFARNWLEHVLSPKSPLSIPKFPPSPHLSLPLPSLLAIAADHAARLLLTTTSVAVAGEDDEAEEDEEEACSVCLERPCTVAAEVCGHELCLKCALDLSTVMKAYEVPGLAGAVPCPLCRSGIASFRKAAAPDDDDDKCGGHQEAPAGAQPEEEEKKANCAHATAALHLAPFSAAVAS* >Brasy7G033300.2.p pacid=40046569 transcript=Brasy7G033300.2 locus=Brasy7G033300 ID=Brasy7G033300.2.v1.1 annot-version=v1.1 MGNALGCVGLGERLAAAARDGDAAAVRRLLAADPGLPRCSGATFGSLSSPLHLAASKGHHEIAALLLENGADANARNLCGQTPLIQACRSGHWEVIQTLLAFGCNVWRADGLSGRTALHVAAAGGHVRCLRLLLAGAGAGDGDDEERVRYVNKAAGGGGVTALHLAALQGHVECVHLLADERARLDAQTLPCAAAPMASVGAGSTPLHYAASGGEVKCCQILVSRGADRMAVNSNGQVAPGGRGEDLRAELAGARAVAQVAALHPQVPAFPSPLPPAPLPPRHRRRPRRPPPPNHHLRRRRRRRRRSGGG* >Brasy7G216400.1.p pacid=40046570 transcript=Brasy7G216400.1 locus=Brasy7G216400 ID=Brasy7G216400.1.v1.1 annot-version=v1.1 MEIPEPPPPPLPLLRFSVFLFLLLLSSCSLATGRIALSSPGPSSAPTAQVPAAVNGTAASSSSSSSSSPVVPAAPPVVITVVRHHHYHRELVIATVLASVATAMILLSTLYAWTMWRRSRRTPHGGKGRGRRSGITLVPILSKFNSVKMSRKGGLVAMIEYPSLEAATGKFGESNVLGVGGFGCVYKAAFDGGATAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHGGNHYIVYELMEKGSLETQLHGPSHGSALSWHVRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTGGNLDKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVSWAMPQLTDRSKLPNIIDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPAELGGTLRVAEPPSPSPDQRHYPC* >Brasy7G216400.3.p pacid=40046571 transcript=Brasy7G216400.3 locus=Brasy7G216400 ID=Brasy7G216400.3.v1.1 annot-version=v1.1 MILLSTLYAWTMWRRSRRTPHGGKGRGRRSVTATGITLVPILSKFNSVKMSRKGGLVAMIEYPSLEAATGKFGESNVLGVGGFGCVYKAAFDGGATAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHGGNHYIVYELMEKGSLETQLHGPSHGSALSWHVRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTGGNLDKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVSWAMPQLTDRSKLPNIIDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPAELGGTLRVAEPPSPSPDQRHYPC* >Brasy7G216400.2.p pacid=40046572 transcript=Brasy7G216400.2 locus=Brasy7G216400 ID=Brasy7G216400.2.v1.1 annot-version=v1.1 MILLSTLYAWTMWRRSRRTPHGGKGRGRRSGITLVPILSKFNSVKMSRKGGLVAMIEYPSLEAATGKFGESNVLGVGGFGCVYKAAFDGGATAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHGGNHYIVYELMEKGSLETQLHGPSHGSALSWHVRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTGGNLDKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVSWAMPQLTDRSKLPNIIDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPAELGGTLRVAEPPSPSPDQRHYPC* >Brasy7G102200.1.p pacid=40046573 transcript=Brasy7G102200.1 locus=Brasy7G102200 ID=Brasy7G102200.1.v1.1 annot-version=v1.1 MVDQAMARAREASGGRGEMAAAVERAIRCLGRGVDMAGDLRLKHCKEDGGCLVARSGGKAAAVAVPGIGVVADVPADVKCGKGDRTRLRSDVLEFNKMSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASSFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDERYYEPVQWRMFAHVCTMPVKYDPRWCGANRQTAYIVSGAQLHVMAHDSTNILHLRLLYTELLGYTVVQSKWAHSTARLSGKGSFLSKSSGQSSVAAEKERQEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDAPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS* >Brasy7G102200.2.p pacid=40046574 transcript=Brasy7G102200.2 locus=Brasy7G102200 ID=Brasy7G102200.2.v1.1 annot-version=v1.1 MVDQAMARAREASGGRGEMAAAVERAIRCLGRGVDMAGDLRLKHCKEDGGCLVARSGGKAAAVAVPGIGVVADVPADVKCGKGDRTRLRSDVLEFNKMSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDERYYEPVQWRMFAHVCTMPVKYDPRWCGANRQTAYIVSGAQLHVMAHDSTNILHLRLLYTELLGYTVVQSKWAHSTARLSGKGSFLSKSSGQSSVAAEKERQEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDAPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS* >Brasy7G102200.3.p pacid=40046575 transcript=Brasy7G102200.3 locus=Brasy7G102200 ID=Brasy7G102200.3.v1.1 annot-version=v1.1 MSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDERYYEPVQWRMFAHVCTMPVKYDPRWCGANRQTAYIVSGAQLHVMAHDSTNILHLRLLYTELLGYTVVQSKWAHSTARLSGKGSFLSKSSGQSSVAAEKERQEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDAPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS* >Brasy7G178100.1.p pacid=40046576 transcript=Brasy7G178100.1 locus=Brasy7G178100 ID=Brasy7G178100.1.v1.1 annot-version=v1.1 MAIPLKAVTIFVLLLSTTFCQLPVPVHARRLEVRAPTVDMHPPCTGRSTLEASAVLADSTTPGHSPSIGHNSPPN* >Brasy7G080200.1.p pacid=40046577 transcript=Brasy7G080200.1 locus=Brasy7G080200 ID=Brasy7G080200.1.v1.1 annot-version=v1.1 MVLRRMRLTPMNASSPVIGRARCGISSFSRFSSPPPLATELSSTSNDGDNGRKKERKSNAHKKEVRAGRVWRRHRRVGKPEVASRRWKQLQASREGRRRCVAAAGRGGRRRRRPQGKGRRRGWGRARQAEAGRRRRRRRPRGKGRRRRRCPRLAGYPGPFPRNSLGEASTSREVGEENLASLSLATERCPGGGGGGGATPPRPRSNSFAEEQAGRTPPSRSTASVRRPFPRDPFLLLTGRLALASLTPLATGRPGGVRLSHLHLSSRSVSVRLVLG* >Brasy7G043000.1.p pacid=40046578 transcript=Brasy7G043000.1 locus=Brasy7G043000 ID=Brasy7G043000.1.v1.1 annot-version=v1.1 MEATAAPRVQAFADAGVAHLPAQYIQPPDLRADPSGRPAAAAAASIPVVDLSATASAADAVRRACADWGAFHVVNHGVPPALLDEMRGAGLAFFRAPMGEKLRFGCDPAKGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPARWPDFVPGYRDTVVRYSNSMKGLAQSLLRIISESLNLPPSYMEEAVGEPYQNITISYYSPCPQPDLALGLQSHSDFGSITLLIQDDVGGLEVLKDGMWIPVPPLSDGILVILSDQTEIITNGRYKSAVHRAVVNADRARLSVATFYDPSKSRKICTAPQLVSKEHPQKYRDVIYGDYVSSWYIKGPEGKRNIDALLIK* >Brasy7G120000.1.p pacid=40046579 transcript=Brasy7G120000.1 locus=Brasy7G120000 ID=Brasy7G120000.1.v1.1 annot-version=v1.1 MSSSAILLFLVALFLSASPACHGAAAGQQPGHNITDILLAANANFADFSSALAGANLTAQIDGRRTVTVLAVDNAAVAQLKGRRLAPDSLAHVLSLHVLLDYYDDARLRQLNGSGTSNLVATLYQASGAAPGSAGLVNVSAAGGGRVSFLPSGAADPVFYQKSIKESGYDISVLQVSGVFSSPAAEGKAAPATPPSSQTPAPSPAPVAAAAPAPVAKPAPSPAPAPAPKTEAPAPSPKGTHPAPPPKQAPDAPAPADAPADEPPADQQKNAASGTEDWSLGAPVAAVVLAVVFLMW* >Brasy7G003000.1.p pacid=40046580 transcript=Brasy7G003000.1 locus=Brasy7G003000 ID=Brasy7G003000.1.v1.1 annot-version=v1.1 MASARAALLLRRATTSSGAANPVLFSAHGLRYRKLEVILTTTIDKLGKAGETVKVAPGHFRNHLMPKMLAVPNIDKFAILIREQRKLYQREEEEVVKEVTKEDDDARRQQEQLKEYQAAAKRLDNALLVLRRFISEGNELRNPVTKDEIVSEVARQLNINIHPDNLHLLSPLSSLGEFELPLRLPRDIPRPEGKLQWTLNVKIRRP* >Brasy7G075500.1.p pacid=40046581 transcript=Brasy7G075500.1 locus=Brasy7G075500 ID=Brasy7G075500.1.v1.1 annot-version=v1.1 MEVITRRPASPPSLPRGEFRFDDSAYATAPCSPHRRLPSLTAPPSPDPFDLLPPSTPRLTGANPFDLFQHFTSAPASPRRAAAIYAHFADANRDGSAQEHEKDDEEEEEEFQNRSSYSTAASAVPFDWEETPGTPKAGIGIGMGGAAPAWDDDDDTGDFEFGTVVDKAAPTECTLTTADELFEKGRIRPLKPLLKTPSDMSDKGSGSGKMMRPLKPPPGLLDGGTSVGSSPRSPMAVLRSPRRRSRVGSGTDFDPFAAALLEASKAAPAPSLSPLGTTKEANGMVKPKTMTASKSAGWRRWRLSDLLLFRSSSEGGRINNNRDSIFRCSPGKANGKVGSVDDKPKMKQGGAAVAEGVVGCARLSPLQRLARGLGGHSWHHGRGMAATGTKG* >Brasy7G191600.1.p pacid=40046582 transcript=Brasy7G191600.1 locus=Brasy7G191600 ID=Brasy7G191600.1.v1.1 annot-version=v1.1 MSKRKTREPKEENVTLGPAVREGEFVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAARCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL* >Brasy7G009800.1.p pacid=40046583 transcript=Brasy7G009800.1 locus=Brasy7G009800 ID=Brasy7G009800.1.v1.1 annot-version=v1.1 MAPPSSSDHPAAAAVEATGAISKVLVVIAMQTEALPLVNKFQLVEAPTDESVFPKGAPWTRFHGNYNGLHIDLVWPGKDPVLGVDSVGTVSAALVTYASIQLLKPDIIINAGTAGGFKAKGAGIGDVFLATDVAFHDRRIPIPVFDSYGIGARKTFATPNIVKELSLKVGKLSTGDSLDMSPHDESAILSNDATIKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLIAVTMALDVAVTKVVDFISGKCISEL* >Brasy7G009800.2.p pacid=40046584 transcript=Brasy7G009800.2 locus=Brasy7G009800 ID=Brasy7G009800.2.v1.1 annot-version=v1.1 MAPPSSSDHPAAAAVEATGAISKVLVVIAMQTEALPLVNKFQLVEAPTDESVFPKGAPWTRFHGNYNGLHIDLVWPGKDPVLGVDSVGTVSAALVTYASIQLLKPDIIINAGTAGGFKAKGAGIGDVFLATDVAFHDRRIPIPVFDSYGIGARKTFATPNIVKELSLKGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLIAVTMALDVAVTKVVDFISGKCISEL* >Brasy7G218200.1.p pacid=40046585 transcript=Brasy7G218200.1 locus=Brasy7G218200 ID=Brasy7G218200.1.v1.1 annot-version=v1.1 MGIFEPFRAIGYITTGGVPFSLQRLGTETFVTVSVGKAFQVYNCAKLNLVLAGPQLPKKIRALASFKDYTFAAYGSDIAVFKRTDLVVTWSRHEEKVNMLYLFGEYILSADIKGDVFIWAFRGAELSSEPVGNISLGDKFIPTCIMHPDTYLNKVIIGSEEGPLQLWNISTKKKLYDFKGWDSSVRCCVSSPALDIVAVGCSNGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFLANEPILMSSAADNSIKMWIFDSNDGDARLLRFRSGHSAPPRCIRFYGNGKYILSAGQDRAFRLFSVVQEQQSRELSQRHVTKRAKKRRVKEEEIKLKPVITFDCAAIRERDWCNVVTCHMDTPKAYVWRLQNFVIGEHILTPSSGTESPIKACAISACGNFTVLGTEGGWIEKFNLQSGISRGTYIDSSLTMQCGHEGEVVGLACDATNGSLISAGYRGDIKVWDFKSCKLKSRFNVGKSITKIAYHRSNGLLATVADDMLLILFDTVSMKMVRRFEGHTDRITDLCFSEDGKWFISSSMDGTLRIWDISLARQIDAMHVDVSITSVSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDSYASGKHVRNVILPSVSSAERSEEEPIQNSQDPNQSVIKPFVIMDHQIPNMITLSLLPRSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASKETDSSTTENINHKTMADLSSHFSRLLHSCGELQNYSAFTDYLKGLSSSSLDMELRMLQIIDEDELENLEPRPELKSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKMFQSARCMVTFLSNSQF* >Brasy7G071000.1.p pacid=40046586 transcript=Brasy7G071000.1 locus=Brasy7G071000 ID=Brasy7G071000.1.v1.1 annot-version=v1.1 MPSFSSGASPQKQQHNARRKQLIRQQRKSLPIASVEKRLVEEVKKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDEKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSICANKNGNTSSDRQDHSQRSTLKACQGIKYAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDTLYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIQERARQLPPDSTKIWTTPIYSSLPSEQQMNAFKPAQAGARKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQECEFDKLAESTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTSILKSLEQLILLGALTDDYKLSDPVGKQMARLPLDPMYSKALIVSNEFKCLEEMLIVVSMLSVESIFFTPREKLEEARAARKSFESCEGDHITLVNVYRAAVECLEKSKNANAKEKTMEKALNRWCWDNFINYRSLRHARDVHSQIQGHVQQMGLYLSSCGDDMVQFRRCLTAAFFLNAAMRQPDGSFRALATGQSVQMHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED* >Brasy7G175800.1.p pacid=40046587 transcript=Brasy7G175800.1 locus=Brasy7G175800 ID=Brasy7G175800.1.v1.1 annot-version=v1.1 MVGVGRGSRAAGKRGPGGASASSSSSSAAASACVYYATTGVLVALCVAGACFLTSTSSGPVDDAGGGEKGGAASVTAYRHTTRSSFAYEVTREKTAPSPPRGGADGGGKASVEEAEEDEKPRAVVLVDVEDPHAKLDLEDSRRVSGGEDEGDALPKSVDSASAETTGEERVAGAEDEEGDQEAAITERGEQDQEAQAESEGEDEPRGRAQMMPRVAVVEERSLDGGIEEESKQARQRESDEEERAMMSAGDEQLGGVILRREAQEEAGDEASSAAAAAEEERSSDQSQAEEDAAAAAEAINGHGQEDSDDKASAVTDDLDGNGNGAASGVVVDSLQDRGDQEDSTAASGATGGSGEQQISAWATQADESHREKDRREEDAAEGTQNEEQHEWRTCNVKAGPDYIPCLDNEKAVKKLRPENFRRYEHRERHCPDEGPTCLVPLPRAYRRPVEWPKSRDRIWLSNVPHTKLVQVKGRQNWVKVSGQHLTFPGGGTQFIHGALHYIDFLQQSVRGGGGIAWGKRTRVVLDVGCGVASFGGYLFERDVATVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKSFDLVHCARCRVPWHADGGALLLELNRVLRPGGLFVWSATPVYQKLPEDTEIWKAMSALTKSMCWELVTIKKDRLNGVGAAFYRKPASNECYDSGRRRQAAAPMCGDEDDPDAAWYVPLNSCMHRVPTGPSERGAKWPAEWPRRVRTPPNWLNSSRPGVYGKPAPEDFAVDYQHWRRVIDKSYLNGLGVDWSRVRNVMDMRAAYGGFAAALRDQKIWVMNVVNVDAPDTLPIVYDRGLFGMYHDWCESFSTYPRTYDLLHADHLFSKIKERCPILPVIVEVDRIVRPGGSIIVRDESGAVGEVEKLLRSLHWDVRLTFSKNNQGVLFAEKSDWRPEMVDEPT* >Brasy7G010500.1.p pacid=40046588 transcript=Brasy7G010500.1 locus=Brasy7G010500 ID=Brasy7G010500.1.v1.1 annot-version=v1.1 MEVLSASEGALGPLLGKLTALLADEYSRLKGVRGEIRSLKSELTSMHGALKKYTMIEDPDVQVKTWISLLRELAYDTEDCFDKFIHHLGSGGANHGGCKEFFRKIARSLKTLGHRHGLADQIDDLKARIKEVKELKSSYKLDDIASTNSSHGGAVDPRLGARFNDNLVGVEGPTNDLAKWMMEENGSSTKLRRKVLSIVGFGGLGKTTLANEVCLKIEGHFDCRAFVSISQNPDMKKIVKDLIHKVPCPKDFTKGIDTWDEITSIEKLRILLQDKRYLIIVDDVWSISAWNAIKCVFPENNRSSRIIATTRILDVARSCSLGTDDHIYELKPLNCFHSERLFLKTIFGSEDGCPDMLREISQEILKKCGGLPLAINSISGLLARIPTNKQEWEKVKRSIGSDLSRSQSLEGMKNILSLSYNVLPGYLKTCLLYLSIFPEDYVIDKERLVRRWIAEGFIAEERGQSKQDVAEKYFYELINKNMVQPVDIGHDGKARACRVHDMMLELIISKSAEENFITVVGSGQKVLANRQGFIRRLSIQDIDQEVASVLENEDLRHVRSLTVTRSGCIKYLPSLDKFEALRVLDFEDCEDIEEYDMSPMDKLFRLKFVSFKNTYISELPSGIVTLHGLETLDLRNTSMDELPAGIDQLIKLQHLLTESGPYRYRYRHGRMKVPKGIGNMRSLQVVSGFNISLSSVGAVEELGNLNTLNELHVQLDDADNRCADMLLSSICRLGKCKLQCFWISTEDSTSLEFLDSWSPVPSSLQVFGMTTNYYFPKIPKWITPALTNLTYLLLIVSDVTQEELHMLGELAGLLYLELWLERGQKRTLAVQGRGFQCLKELHFRVSYYAAATINFVFMEGALPNLEKLDVPLSAATETGYYFGIVHLASLKDAKFRLDTMGATYSELKAASVAIRNETDAHRNRLRVTIVGVGDESDDECDDE* >Brasy7G167400.1.p pacid=40046589 transcript=Brasy7G167400.1 locus=Brasy7G167400 ID=Brasy7G167400.1.v1.1 annot-version=v1.1 MVGRGDAHGAPARGGALPPTGVGGRGVALPAIGGFFPQNPAGRGGAAAAAAPLQVPLFSAFFSSAAGPGSVAAGAFSFGAGPSRVGEGAAAGGGADRRRRPPPMAGRPQGPALAGAPSQASLDQAGEAITASVGAQGVAALARPPAAPAPGKGKRTKIYCYKCKSKDHFATECTAELYCVICDKHDHNSDRCPILKTPKPSAQLCGYGGPNMGFHYLPDELAIDPKTGSSPTALVSVSGGSLSSAVIESEIRRLVPVQDYWNWEAIRHGDGEFLVVFPSAEALARVVDVEMHLKAHGVTLGFTEWKDEVSDPAFELESVWVHALGVPPRLRHYLGLWAVGTLVGATREVDMVSLHRRGICRIRVGALNTRSFPVETDLTPTPMDDDSGGNDKGASGPREGSHSDKAPAPLAPGSTGSNNSKSSTPAPTPPASGSAGCSVRHAVVQAALSPAPSVPPFVRTSSASSSPTPCSPSSSPPAPLSSHPRVSRSRPSSSGLTPRHMSAPTSGWVATLDAGEVAALSAAIANSPPPSVAAPTSPPTMRPAPSATAGSKGAVAPPSVMPRRSLRHAPDVNGVAPTDEDSLVRAMKRAAAWNLDPSQGNPPPPRKFFSAFVFLLHRL* >Brasy7G206300.1.p pacid=40046590 transcript=Brasy7G206300.1 locus=Brasy7G206300 ID=Brasy7G206300.1.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKTVFKELQLKKDPYVVELDQREDGGEIQDALSDMVGRRTVPQVFVRGKHLGGSDDTVDAYESGELAKLLNISVKEDL* >Brasy7G206300.2.p pacid=40046591 transcript=Brasy7G206300.2 locus=Brasy7G206300 ID=Brasy7G206300.2.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKTVFKELQLKKDPYVVELDQRGIIFNIYCYVLYFRKYRRLCLSVLRIW* >Brasy7G158900.1.p pacid=40046592 transcript=Brasy7G158900.1 locus=Brasy7G158900 ID=Brasy7G158900.1.v1.1 annot-version=v1.1 MPSRSTASPCLVCVVLAVGITAAAAMPAWSLRVNGSAILQQRRAGKGSGVEHDNGFCQSFDCQVWFEVLSARGLGSFSPSSSDCLRSWWERTLLAWLIVSRKSFGGIILLTLRSLWLERNRRIFHDHSLPERQLLKDIDEERKRWMTVGLLRE* >Brasy7G090300.1.p pacid=40046593 transcript=Brasy7G090300.1 locus=Brasy7G090300 ID=Brasy7G090300.1.v1.1 annot-version=v1.1 MAASNVQPWLLLLLILLPAAVVSPQTAAAPPQPTPAFDENYVPSYGQDGYHLVDMGTEIRLTLDRRNGAGFVSKLKFGSGFFHMRIKVPSGYTAGVVTAFYLASDSSAPDRDEMDFEFLGNVDGKPITLQTNVFVNGHGDREQRLSLWFDPAADFHDYRILWNLFQIVLFVDETPVRVLRNLSGRVPEYEFPEKQMTVRGSVWDGSDWATDGGRTKVDWSRAPFAAEFKGFDVAGCANTSSTPCDSQSSPDMWWNGGGYRSLSAEQHAAYENVRNKYMNYDYCTDKGRFKNKLPAECSYA* >Brasy7G216500.1.p pacid=40046594 transcript=Brasy7G216500.1 locus=Brasy7G216500 ID=Brasy7G216500.1.v1.1 annot-version=v1.1 MATSPPSQSPRRTASTCTPETARGTHTFRIEGYSLFRDLGIGKCVQSATFSVGGYDWSICFYPDGDREEEGSKDWASAYLVLKTNDAEVRAIYDLTLVDQATTPPPSAFRWPNPSLVDPVVFDNRDVGSDCTAWGYNNFIRKSELVKDSPYVLDDAIVIECSLVVPKLRDSIVAAKAKMNTETILQVPPSALVDNLSALLDATEGSDVSFNVKEEVFPGHKIILAMRSPVFRAEFFGPMSKEGRRTVTIEDMQPAVFRGLLHFIYKDSLPSMSDLNVDEHEEMVRHLLVAADRYAIERMKSMCESVLCKSLYAKTVATTLALADQHHCSKLKDACIEFMNSLVRMDDVVASKGYQHLKRSCPAIIVDIWERTTKARRN* >Brasy7G141600.1.p pacid=40046595 transcript=Brasy7G141600.1 locus=Brasy7G141600 ID=Brasy7G141600.1.v1.1 annot-version=v1.1 MVAQFSSISTRKLPKALSILTRDKTMVATSDYGDFHKMVKRYVMAGMLGSAAQRQFRDTRNMMIDNMLNTYHTLVTDDPHAPLNFREVFKDELFRLSLIQSLGEDVSSVYVKEFGREISKEEIYQVTVVDMMMCAIEVDWRDFFPYLRWIPNKSFETRVFTAESRRTAVVQALIHQQKKRIARGEAKVCYLDFLLAENTLTDEQLAMLVWEAIIEAADTTLVTTEWAMYELAKNPEKQDRLYQEIQEVCGKETVTEDHLPRLPYLNAVFHETLRYHSPVPLVPPRFVHETTKLAGYDIPAGTEMIINLYGCNMNNKDWEEPEEWRPERFLDGRFDAADMYKSMAFGAGRRVCAGSLQATNISCTAIARFVQEFAWRLKEGDEDKVDTIQLTSYKLHPLHVYLSPRVRE* >Brasy7G069800.1.p pacid=40046596 transcript=Brasy7G069800.1 locus=Brasy7G069800 ID=Brasy7G069800.1.v1.1 annot-version=v1.1 MESSTRRLLAGAGVLSLLMLWSTAGSAAPVPVRVGVVLDLTSETGRKSLTCISMALDDFYLKHPNHTSLVKLRVRDSRGELATAAYAAEELTNKNAQVQAIISPDHQLTSSPEADLLASIATTKRADIPILSLSATTATPASGSLVRTAADSASQQAAPIAAILDSFAWRAAVVLHEDSFHGISILPALVHAFQQLGLGHRHAARGVTDSVAVPADAADSRLDAALLAARRNPARVYVVHMPAALAARLFRRATAAGMMSEGHVWIATTGVGNAADRPGYGDYMQGVVSLRPYVQATGQARSFSRRFNARFGQQATDDENTSLVPVWLLWLYDTAWAIATAAEATTRGTALVLLDALPNTRFVGLQTSAYEIVNIVGKGARTVGFWTAPDEYDGSAAGIISTSLNPRTGNKLKQILWPGETATVPIGWSESLDGGPLLVAVPVKRGFGQFVNISGANLTITGYCIDVFHAVMTTKLAYSLAYQYEPVDDASTGSYDALVNLVYEKKADVLVGDVTITASRMKHVSFTVPFADPGWSMIVAEEDKNNNNMWIFLKPLTPGLWFTSLAFFFFTGFVVWAIEHRINPRFRGTSWKQFGVLFYFAFSTLVFSHKEKLESNLSKLVVITWVFAVLILTQSYAASLSSILTVRQLQPAINLLTERDYVGHQNGSFIADFLKEMGFDEARLRGYNTMEEYADALKRGSAKGGVTAIFDELPYLRLFLSRYCEGYAMAGPTYKSGGFGFAFPMGSPLVLDVSRAILELAEEEKLARIENKWFGHPGACVGKSSSDSAGDESSRLGLSRFGGLFLVIAVVFGLVLLVHFASVVSRERGSELITGAAATDSGPGALLWLRGWLRRFDAFDGPRGPRGDESLAARNGSSTEEPNGQGLALAAGRAEQELAAMRDFAYDASGSERRAANSAPVSEEISAPLGGREFDPALVREPAA* >Brasy7G059500.1.p pacid=40046597 transcript=Brasy7G059500.1 locus=Brasy7G059500 ID=Brasy7G059500.1.v1.1 annot-version=v1.1 MAVCGNVSDGGVDDSNIILNPEFESGLDNWSGSGCKIELHDSLDGGKVLPASGKYFVAATGRTDTWNGVMQDVTSRLQRKTAYEATATVRLSAGAAVSPCEVRATLAVQTADGRQQYIGVGKLQASDKDWVQVQGKFLLNSTVAKATIYIEGPQAGVDLLLDCLVVKHAQKAPPSPEPDFENLEYGANIIQNSNLDDGLNGWFPLGPCALAVGDGSPRVLPPMAQESLALDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLHATYQVSAWVRVVAGSGKQIMSTTPQNINVALAVDSQWLNGGQVLARDERWYEIGGSFRVESKPASRVMVYVQGPDAGLDLMVSGFQVFPVDRKARVKHLRKLTEKVRKRDVVLKLTVSGADGAAKPAAAAGDGVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVSYADADDLLKLCADNGMCVRGHCIFWEVDSMVQQWVKALPTDELSAAVKSRIEGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRATMFRSAGELDPDALLFVNDYNVEAMCDVRATPEAYIEQIVGLQEQGAPVGGVGLQGHVSNPVGPVVRSVLDRLAVLGLPLWFTELDVSAANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDCSHLVDAEGGVNEAGRRLLQLKKEWLTHAHGRADENGEFKFRGHHGSYRIEVVTPAGKVSQEFTVDKDDSPMVLNINV* >Brasy7G198600.1.p pacid=40046598 transcript=Brasy7G198600.1 locus=Brasy7G198600 ID=Brasy7G198600.1.v1.1 annot-version=v1.1 MGVEVVVSGGGVVEEAAPAKEEMAVSVKEEAAVVAKNPSFREESNSVGDLKESEKKALAELRAKVEAAIVEGTLFEDTSKVKAEEKKVGKKESKKKAEPVVEEEKKAAAVEEAGEEKKTEVEGEEKKKEEEAEVAEEKKGGEEGDQEAATVKDEAGDDGEKETAVVKDEAGDNGEKEAAVVKDEAGDNGEKEAAAAVVVVDKEISLWGIPLLPSKGDEATDTVLLKFLRARDFKAGAAFEMLRRTLRWRREWRSLAATASDSDEELFPATACFLVGLDREGHPVCYNDLGALADEAVYRKALGDEAGKARFLRWRVRAMDRHVAELDFRGAGGVTSLLQVTDLKNSPGPAKKDFRVSMKQLLDLFQDNYPELVARNILINVPFSYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIESIPVKYGGLKRDGDTEFSAEDGEVTEVVVKGSSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGASEAAVRNSFRANEPGKVVITVENPTRQKKKVLFRHKAKSFSAKKC* >Brasy7G172200.1.p pacid=40046599 transcript=Brasy7G172200.1 locus=Brasy7G172200 ID=Brasy7G172200.1.v1.1 annot-version=v1.1 MARPTPPALLPLLLLVTAAAAAAASYSTTAPAPAPKKKKPAVPAVVVFGDSTVDTGNNNAIGTVLKSNFPPYGRDLRGGATGRFCNGRLPPDFVSEALGLPPLVPAYLDPAYGIKDFATGVCFASAGTGLDNATASVLAVIPLWKEVEYFKEYQRRLAKHAGRGRARRIVADAVYIVSIGTNDFLENYYLLVTGRFAEFSVGAYQDFLVARAEEFLAAIYGLGARRVTFAGLSAIGCVPLERTLNLLRGGGCVEEYNQVARDYNVKVKAMIARLRAGLPGFKLAYINVYDNMINLINNPSKLGLENVSEGCCATGKVEMGYLCNDKSPLTCEDADKYFFWDSFHPTEKVNRFFARSTTELVLKELL* >Brasy7G221200.1.p pacid=40046600 transcript=Brasy7G221200.1 locus=Brasy7G221200 ID=Brasy7G221200.1.v1.1 annot-version=v1.1 MATTSPTSSRSIYLGFERSPVAYLALKSRNYLIPSAMDRGVNFASAGAGILDSTNAGKNIPLSQQVRYMASTKAAKGTRKVSKLLAESFFLLGIGSNDVILSTPKTPADIAALLTSLVSNYTAAITDLYGMGARKIGMINVGPVGCVPLVRVLNATGACNDGMNRLAMVLAAKIKSAVASLATNLPGLSYSLGDSFAFFQPIFANPQASGFLSADSACCGRLGAERVCTRNSTLCRNRDAYMFWDWLGPLHPAGRRDGGPGAFP* >Brasy7G152600.1.p pacid=40046601 transcript=Brasy7G152600.1 locus=Brasy7G152600 ID=Brasy7G152600.1.v1.1 annot-version=v1.1 MDSLPQIRQTLDKIRARTPEPLRLAFRIHFGSLPASAAGARPDVAWYLSALSNMYEPEDLMEFDEVPLRMELASCYLPDHDEDAHFIHAEPGVIGVADGVGSCRGKGVDAAAFSRKIMEHARAEVESSVPGTHICPYGLLERSYLRTVAARTPAASTAIILSLTGRFLKWAYVGDSGFAVFRRGKIVHRSQPQQNYFNCPYQLRSEGGNKVSDAAVGEVRVKAGDVVVVGSDGLFDNVFDSGLERIVQMGKAVKLPPDLLANVIAEDAYVEARSSGDSPFSVSCREHTGTSCKGGKKDDITVVVAYILE* >Brasy7G174300.1.p pacid=40046602 transcript=Brasy7G174300.1 locus=Brasy7G174300 ID=Brasy7G174300.1.v1.1 annot-version=v1.1 MDAAVSLHHGAPPLPSASSIQPPLHHHRALHLRHPPPSSISARLTATAAPRPRAPAARSSSTAAPASLPAQYSSTRAATGYAAALADASIRAGTLLAASRHARALLQPPRARGRHDLEEELFDARVGALVRMLVRKGKAGLVAEVMAEFAAICDHQLVLRPSSSSGPARAY* >Brasy7G077000.1.p pacid=40046603 transcript=Brasy7G077000.1 locus=Brasy7G077000 ID=Brasy7G077000.1.v1.1 annot-version=v1.1 MFLGPRPAVWHVSGFACVRDRGVFITRGREQPPLVFVSTYIAIFVLVRGVVEGKVPHAPEQGFAVSS* >Brasy7G088000.1.p pacid=40046604 transcript=Brasy7G088000.1 locus=Brasy7G088000 ID=Brasy7G088000.1.v1.1 annot-version=v1.1 MITGTDFYHVMTAMVPLYVAMMLAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKLIVLALLALWSHLSRNGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDESGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRRDMIETEAEVKEDGRIHVTVRRSNASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDVFGGPGPVVRTGATPRPSNYEEDKAAAAGGNKYPAPNPAMAAAPPKPGKKQQQQGKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNVDAAAVKDVRVAVASPRKGDGRKEKGEDYVERDEFSFGNKGMAGERDAEAGDEKDPGNASGGVVAATAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVCFRWNFEMPAIIMKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKRASFAMAVRFLTGPAVMAAASIAVGLRGKLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL* >Brasy7G028000.1.p pacid=40046605 transcript=Brasy7G028000.1 locus=Brasy7G028000 ID=Brasy7G028000.1.v1.1 annot-version=v1.1 MEMLFSTFLGDSASSENFFGHPDVERCPFLKNINGATTFSFSSALPVAARGGKGPIFEDGPGFESAFKLFHGQDGIVPLSGRSYVPDENRSESVDVQPEPAMPFNPLAARAATISLSAFGPFGFNFFNGKGKKQNKKPNNLDQSQKKPNNPDKNSMKQKGGNPSSHEALSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKIKCPPAIVAARAALARTALVKSLRPQPLPAKMVAIAMLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVNMPRTAMAFTIAASIVGQTIGSRAERIRLKALAAKSTADPTATNTTMYLNKNGNCSDAEGKAWDHLALKMAGSASTGAPAPTPSMCF* >Brasy7G028000.2.p pacid=40046606 transcript=Brasy7G028000.2 locus=Brasy7G028000 ID=Brasy7G028000.2.v1.1 annot-version=v1.1 MEMLFSTFLGDSASSENFFGHPDVERCPFLKNINGATTFSFSSALPVAARGGKGPIFEDGPGFESAFKLFHGQDGIVPLSGRSYVPDENRSESVDVQPEPAMPFNPLAARAATISLSAFGPFGFNFFNGKGKKQNKKPNNLDQSQKKPNNPDKNSMKKGGNPSSHEALSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKIKCPPAIVAARAALARTALVKSLRPQPLPAKMVAIAMLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVNMPRTAMAFTIAASIVGQTIGSRAERIRLKALAAKSTADPTATNTTMYLNKNGNCSDAEGKAWDHLALKMAGSASTGAPAPTPSMCF* >Brasy7G096800.1.p pacid=40046607 transcript=Brasy7G096800.1 locus=Brasy7G096800 ID=Brasy7G096800.1.v1.1 annot-version=v1.1 MEGSSSQKKEMERGAAAAAKGAVAKKVCGKEEKVVGRKKAPGSCPYCGGGVAATDVEAKLLLCFLPLCRRAKRRFACTACARRLVTYPAILHD* >Brasy7G077400.1.p pacid=40046608 transcript=Brasy7G077400.1 locus=Brasy7G077400 ID=Brasy7G077400.1.v1.1 annot-version=v1.1 MASKYLLPLGVVLACLLLLSQAVAHARELTDAKESDEKNVKPSRGPGLNNEKWGGGSKNGGGYGNNGGGYQHGGGYGNNGGGYGNNGGGYGNNGGGYGNNGGGYGNNGGGYGNNGGGYGNNGGGYGNNGGGYGHNGGGYGPGYGGGGYGPGYGGGGYNNPGYGGGNNGGYGSGSGNGYGSGSGYGGGGGGGYGGGSGGSGGGYPGGGYGGRGQHGG* >Brasy7G128000.1.p pacid=40046609 transcript=Brasy7G128000.1 locus=Brasy7G128000 ID=Brasy7G128000.1.v1.1 annot-version=v1.1 MATATPAVPLPDYQLSGAAAHQRNGPGSSSVGLGPFFGVLAAVLLLTALSCFFGRVCAAHAEGPDEWYDCTRLTAGRRRCWWWRRWRAPRRPAEEAKQPPAAPPPPPA* >Brasy7G116100.1.p pacid=40046610 transcript=Brasy7G116100.1 locus=Brasy7G116100 ID=Brasy7G116100.1.v1.1 annot-version=v1.1 MNYNFNSSVYKEVVRREGRCPWARPCDGCCALPSVVYCHADSAYLCASCDARVHAANRVASRHERLRLTEASEHTPAVLECSADAAALCSAYEAQVHYANLLTGMHQCVPVVSLPTAYIPAASLLAEAAATATFLRHKEEEVSWLLLSKESDNHNCSGNNSRSSMHFNEVDEYFDLVRYNSYYDSHIYNNQEQYGMQEQKQLQEMQKDPSEKEGSECVVPLQAAMVSKSLQSGYGLVGAEQSASVTAGVSAYTDSNNNSISFSSMEMGIVPDNTVVDMKNSSIQTPAGAIDLFSGPSLQMPHHISSMDREARVLRYKEKKKTRKFEKTTRYATRKAYAEARPRIKGRFAKRSDAEIEVDQMFSTAALSDGGYSTVPWF* >Brasy7G226600.1.p pacid=40046611 transcript=Brasy7G226600.1 locus=Brasy7G226600 ID=Brasy7G226600.1.v1.1 annot-version=v1.1 MSAKSTQQDTSFAPWSSWVKSSKCKTEEDRAEAMKETLVAVEALEGAPRVECSKGFFFGGDSVGLVDIALGSLLSWLRATEVISGTKMFDPAKTPLLAAWMERFLELDAAKAVLPDVERVVQYAKKMYV* >Brasy7G108500.1.p pacid=40046612 transcript=Brasy7G108500.1 locus=Brasy7G108500 ID=Brasy7G108500.1.v1.1 annot-version=v1.1 MSSQESFLLSASSSSSVNGRSPAHSEEVNRSTDDEIKFQSDLDDESDFEHEFQVDLVHPVDAPYFVDLQEEVVENEMYVPSGNQQQEGTGRKDGNAGHLSDEGSSTERTKKVGVEGKVVGTDVGDMKRRKME* >Brasy7G110600.1.p pacid=40046613 transcript=Brasy7G110600.1 locus=Brasy7G110600 ID=Brasy7G110600.1.v1.1 annot-version=v1.1 MRRRRRWKGMGAPATEMEGDGCAGDEDGPEKATNWAEDGQDWSAVGRGAEEAGGELHGKAGELHRGRNGAAKNSRTGLSGINACVGVRAKNRRLRKNRSFLFSQ* >Brasy7G042100.1.p pacid=40046614 transcript=Brasy7G042100.1 locus=Brasy7G042100 ID=Brasy7G042100.1.v1.1 annot-version=v1.1 MSGSSAAPYLSSTRELGPQDAVYREIDAVSPNSDHCFVADQLLRLPEPRRPPFFGEFPASPSRADVFCSPPATGQAAWQYCCLRTHLSLAVAALALSDKNPSYEVELEQDTTEVQEFDQKGKQNPFLVVKPILCRCYYIVASMPCIPSTPCMTRVACLA* >Brasy7G034300.1.p pacid=40046615 transcript=Brasy7G034300.1 locus=Brasy7G034300 ID=Brasy7G034300.1.v1.1 annot-version=v1.1 MLKRRHYRLDSGWNCILCPSPPEESLEHLFFACPFSQRCWAAIGIQWNLQTNLSDRLLMARTLWPRGLFGEIFTLAAWSIWGERNAKIFHDVEPSLAYWRARLRVELQRLYHRSAKDAFRAKLTIILRDCF* >Brasy7G220200.1.p pacid=40046616 transcript=Brasy7G220200.1 locus=Brasy7G220200 ID=Brasy7G220200.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEEEEEEEEEKEEEEEEEEEEEEPCSQDSGGGRDQEMHLEYYNYKTASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPWPHARPRQLHRQARRRRRTRGGAAEALLRRGPV* >Brasy7G128700.1.p pacid=40046617 transcript=Brasy7G128700.1 locus=Brasy7G128700 ID=Brasy7G128700.1.v1.1 annot-version=v1.1 MEHAPRSRGYPTDPKEYKLYEEVGEGVSATVYRALCVPLNVLVAIKVLDLEKCSNDLDGIRREVQTMSLINHPNLLRACCSFANEHQLWVVMPFMAAGSALHIIKTNFPDGFEEPVIATLLWEVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSVCMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFRDLVAACLVKDPQKRPSSEKLLKHSFFKQARSAEFLARSILEGLPPLGDRFRALKAKEADLLLNNKLGPESKEQLSQKEYIRGISGWNFNLEDLKTAAALLDSSNGTYSFNGTNKRDVNGLREAYNEAENDYQERVNHGASARHVQDEIQEVEDLNGELASSFPTRPLEALKSCFDVCGDGVLDPTATNLRVQPSAEPISPVQQSSQIEHDRSSNCNGESLERSVSVPSNLGNSVYQKFSSGSLIPEHVLSPYRNVGNDSQRSEFHQRSQSTRNPSGPLLFRQMKDSRPHPSVAPDEPSEGNVVHRRGRFQVTSDNPAQKVAPLASSSRVNLASGVTRPISNSYTVLPTLQFLMQQNTMQKEVLSRLISSIEETSDASDASIVGPSQSSGTHSKEKELESYVVNLQQSVTELAEEVQRLKMRNNQLEKQINALSKKDERLRREDTKQ* >Brasy7G128700.2.p pacid=40046618 transcript=Brasy7G128700.2 locus=Brasy7G128700 ID=Brasy7G128700.2.v1.1 annot-version=v1.1 MEHAPRSRGYPTDPKEYKLYEEVGEGVSATVYRALCVPLNVLVAIKVLDLEKCSNDLDGIRREVQTMSLINHPNLLRACCSFANEHQLWVVMPFMAAGSALHIIKTNFPDGFEEPVIATLLWEVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSVCMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFRDLVAACLVKDPQKRPSSEKLLKHSFFKQARSAEFLARSILEGLPPLGDRFRALKAKEADLLLNNKLGPESKEQLSQKEYIRGISGWNFNLEDLKTAAALLDSSNGTYSFNGTNKRDVNGLREAYNEAENDYQERVNHGASARHVQDEIQEVEDLNGELASSFPTRPLEALKSCFDVCGDGVLDPTATNLRVQPSAEPISPVQQSSQIEHDRSSNCNGESLERSVSVPSNLGNSVYQKFSSGSLIPEHVLSPYRNVGNDSQRSEFHQRSQSTRNPSGPLLFRQMKDSRPHPSVAPDEPSEGNVVHRRGRFQVTSDNPAQKEVLSRLISSIEETSDASDASIVGPSQSSGTHSKEKELESYVVNLQQSVTELAEEVQRLKMRNNQLEKQINALSKKDERLRREDTKQ* >Brasy7G204000.1.p pacid=40046619 transcript=Brasy7G204000.1 locus=Brasy7G204000 ID=Brasy7G204000.1.v1.1 annot-version=v1.1 MAHLQLSAVAGGGRAAAAGGGGDDMEDVALLGSYDEEMGAPPAGGGGEEDEEEAQVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRARVVFDPALVKVEDIIEAIEDAGFEAEMLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G204000.6.p pacid=40046620 transcript=Brasy7G204000.6 locus=Brasy7G204000 ID=Brasy7G204000.6.v1.1 annot-version=v1.1 MQVEDIIEAIEDAGFEAEMLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G204000.5.p pacid=40046621 transcript=Brasy7G204000.5 locus=Brasy7G204000 ID=Brasy7G204000.5.v1.1 annot-version=v1.1 MLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G204000.4.p pacid=40046622 transcript=Brasy7G204000.4 locus=Brasy7G204000 ID=Brasy7G204000.4.v1.1 annot-version=v1.1 MLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G204000.3.p pacid=40046623 transcript=Brasy7G204000.3 locus=Brasy7G204000 ID=Brasy7G204000.3.v1.1 annot-version=v1.1 MLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G204000.2.p pacid=40046624 transcript=Brasy7G204000.2 locus=Brasy7G204000 ID=Brasy7G204000.2.v1.1 annot-version=v1.1 MLPDSAVSQTKSHKTLSAQFRIGGMTCANCVNSVEGILKKLPGIKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKIFLGLTGLHTESDVDILHDILKKMAGLRQFSVNTALSEVEIVFDPEAVSLRAIVDTIEMGSNGRLKAHVQNPYTQGASNDAQEASKMLHLLRFSLLLSIPVFFIRMVCPSIPFISTLLLMHCGPFLMGDLVNWILVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFRPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPSDGIVVWGTSHINESMITGESAPIPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFFCGWLGAYPHSWFDGTSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEILSRWLLEVEDFAAVPGKGVQCLINEKKVLIGNRTLMSENGVSVPPEAESLLVDLEMNAKTGILVAYDRAFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE* >Brasy7G194500.1.p pacid=40046625 transcript=Brasy7G194500.1 locus=Brasy7G194500 ID=Brasy7G194500.1.v1.1 annot-version=v1.1 MNIVRGVADLLRKSPNPAAPPTPVTPSVRGGSFRGADIDDAPAPRVVFGDSPEEGVLNTLWQEYENALDKDEKEKSLQIFLLQFVQTFRDWGPYHIEQLVDQELGSDETVVGCSHGHPSEVILILIQEISLITSTITESGTSPESSPKHSDQPEPLELSAERLHVLECLAILTRSVHNCRVFSYYGGVQKVTALLKAAVDQLKTLNSLHAVDDQSSGQAVENTRMMLKILICIITIISNFIKLEPTVTRDPRFVDTTKYAPSNSHLAAVTPSTSENSISDALQHWQQKAIVLVVEAGCVNRLVELLRVIQRLNLKEQWTDLSLHFTTLCTLRSTISGTRAQNHFRSIGGLEILLDGLGLPSNKFSVSKHSSISKDERGEILLLQLLYLEIMSEAVFGNVSNLQFLCENAWVHKFANSISWPAFMIQEFHRQKDNSRTSLALDSISGPIHFLDITEWNDYSVKLSTTLCSFILPSNIVKCCSDEIAISQISVTIPSAYQEQSVRWMIRVLLTVFLCIKACTSETELPNHIKILAKTIQLYMIRTFRRVLVSAPALLTAFREEGVWDLIFSKDCFYIGSSVSVEDIQFHIVRDDHNDNVQNNRKATDHESSYRTDVNILQVEAISFLEFAATLNENTYNLPECSALLDALEHCVSDAVVASILLKCFRVILQLATEQTLASIKSLDAITRVLKVACYQAQGLQNSRALPRPDIIITTEGSQIKNTEMSLPEDRTDHTLKCLELGLSLLKDYVTISSDGRVLVLHNGECIECLFNLFQEENLRKHVLEQVLALFRLPPTSAQDHAAKLQLCSKYLENFTQANEKEKVNSELLIDLLVSMREIILMDCVYYQNLFRNGGCFLHIISLLNGTFDEATCERLVLNVLETLTLLLEGNNASKAAFRVLVGVGYQTLQSMLLDFYKWLPSERLLGALLSMLVDGKFEINEKTTIKNEDVVVLFLNVLQKSSTSLQHYGLVVLQQLLKQSITNRTYCFRAGLLSVLLDWFSTEEKDDTVLGIAELIHIIGAHSICGKDIRKIFALLRSEKIGAKQKHTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCAAMLSKSALVYESINQKHQSVLLQLNLPPKEWRFLSVTHTIGRAFSGGSQLRCYVDGELVSSEKCRYAKVNEVMTRCTIGTQLIPVGEEPLSIGFERTFAFTGQMGPVYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGLNAQASESKTLFSVSSALDSADKSTVEATIIGGTKLCSRRLPQDIIYCVGGVSVFFPLFTQFCDVVSCHTSVINDKLAAEVIELVASVLDGNVSNQQQMYLLSGLSILGFLLQAATPQLLNMKTLPAMKYMFDVLRNCGMSKVLLKDAISQVYLNPEIWLYASYEVQRDLYMFLIQYFETDGRFLPLLCGLPRIIDIMRQYYWEKIDSRYVVGSKPLLHPITKQVIGERPKIEEIRKLRLLLLSLAEMSIKLKVSPDDIRALVSFFERSQDIACIEDILNMIIHALSHSSLLSSFLEHVNVLGGCCIFLNLLKREFEPIRLLGLQLLGKLLVGIPSEKKEAKLFTSQIGQSRPLSENLRKEIASAPQLFFYTISERLLKFPLSDNLCATLFDVLLGGTSTKQVLQEHSQSDPSKDRNCNPSSLVYFSVPQILASIFKCMQYCQDSSARTRILIDLLGLLYSNPINIEALMEHGWNSWLETSTNLDVIKDYKSASKGKLDNVEINELIQVRNLFSLVLSYYLSSVKGGWHQLEDTAHFFLLKFDQGQLSSSYFLRDILDDIVGSLLQTSLEENIFLSQPCCDNVLHLLKLIQELLVNQIGIKLLFPSPSTTEESSSDDKWKNDIKFAVNEILNAESNGHYRSFPWSSCQFADGDEISEDWWIFFDKVWNIICDLNGKGPSKLVPKSPNVGVPSLGQRARGLVESLNVPAAEMAAVVVSGGIGTALGAKINIFADRATLLREEILPRIFFHLVILYLCKAGLENASKCVLQFMSLLPVLIAEDELSKNTLHFLIWSLLIVRSQYGQLDDGARFHVLSHLILETIIYGKSMLVTNILGRDDSMDINSNQEAGFILSFIQKDRVLATAIYEVKHMKSVQADRLRQLQELHSKLNECTTKETQLMQIINEQIHLSVSSAVSADDSRKTAFLLAFDEDQQIVADKWIHIFRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRFKLKRNYMFDERLCQPSCSRIENAEPSVDLPSFSTKIPEKMRRFLLKGVRGITEDSGYGPSEDTNDMSESSHSPSERQNQNNAADSSDYRNNVQNKREASSANVDNDYSKVLCSVHCVLVTPKRKLAGQLNITRTVLHFSFGFLVEGTGGSSVFSKFKDKKDSDGKNEMGGAERLYGCRDSLIRINGGLMQNQSNKIKNHRRWNITKIKGVHWTRYLLQYSAMEIFFDDSNAPIFLNFSSQKDAKSAGSLLVSLRNDALFPKGSIKDKNSVISFVDRRVALEMAENAKERWRRREISNFEYLMILNTLAGRSYNDLTQYPIYPWVLADYTSEKLDFNKSSTFRDLSKPVGALDEKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYRNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLQKYAIEDQIANFGQTPIQIFRVKHPRRGPPIPIAHPLYFAPQSITLTSSISSTASHMSAVLFIGLLDNTVILMNEGLVLSVKLWLPTRLQSGGNFTFSGPQENFCGIGSDIISPRKIGTFLAENVKFGRQFLATMQINSDKYLILCGNWENSFQIISLCDGRIVQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMIWHVFRGRPSEKKFRPTNLELSENDHVIMERPVHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASRHGRVVFYSESDLSLHMHSINGKHIASSASNGRLNCMELSCCGEFMVCAGEHGQIVLRSMHSLDIVWKYDGAGKTITSLAVTPEECFLAGTKDGSLLVFSTETPLLRRGSMPRTRPKPSATG* >Brasy7G194500.2.p pacid=40046626 transcript=Brasy7G194500.2 locus=Brasy7G194500 ID=Brasy7G194500.2.v1.1 annot-version=v1.1 MNIVRGVADLLRKSPNPAAPPTPVTPSVRGGSFRGADIDDAPAPRVVFGDSPEEGVLNTLWQEYENALDKDEKEKSLQIFLLQFVQTFRDWGPYHIEQLVDQELGSDETVVGCSHGHPSEVILILIQEISLITSTITESGTSPESSPKHSDQPEPLELSAERLHVLECLAILTRSVHNCRVFSYYGGVQKVTALLKAAVDQLKTLNSLHAVDDQSSGQAVENTRMMLKILICIITIISNFIKLEPTVTRDPRFVDTTKYAPSNSHLAAVTPSTSENSISDALQHWQQKAIVLVVEAGCVNRLVELLRVIQRLNLKEQWTDLSLHFTTLCTLRSTISGTRAQNHFRSIGGLEILLDGLGLPSNKFSVSKHSSISKDERGEILLLQLLYLEIMSEAVFGNVSNLQFLCENAWVHKFANSISWPAFMIQEFHRQKDNSRTSLALDSISGPIHFLDITEWNDYSVKLSTTLCSFILPSNIVKCCSDEIAISQISVTIPSAYQEQSVRWMIRVLLTVFLCIKACTSETELPNHIKILAKTIQLYMIRTFRRVLVSAPALLTAFREEGVWDLIFSKDCFYIGSSVSVEDIQFHIVRDDHNDNVQNNRKATDHESSYRTDVNILQVEAISFLEFAATLNENTYNLPECSALLDALEHCVSDAVVASILLKCFRVILQLATEQTLASIKSLDAITRVLKVACYQAQGLQNSRALPRPDIIITTEGSQIKNTEMSLPEDRTDHTLKCLELGLSLLKDYVTISSDGRVLVLHNGECIECLFNLFQEENLRKHVLEQVLALFRLPPTSAQDHAAKLQLCSKYLENFTQANEKEKVNSELLIDLLVSMREIILMDCVYYQNLFRNGGCFLHIISLLNGTFDEATCERLVLNVLETLTLLLEGNNASKAAFRVLVGVGYQTLQSMLLDFYKWLPSERLLGALLSMLVDGKFEINEKTTIKNEDVVVLFLNVLQKSSTSLQHYGLVVLQQLLKQSITNRTYCFRAGLLSVLLDWFSTEEKDDTVLGIAELIHIIGAHSICGKDIRKIFALLRSEKIGAKQKHTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCAAMLSKSALVYESINQKHQSVLLQLNLPPKEWRFLSVTHTIGRAFSGGSQLRCYVDGELVSSEKCRYAKVNEVMTRCTIGTQLIPVGEEPLSIGFERTFAFTGQMGPVYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGLNAQASESKTLFSVSSALDSADKSTVEATIIGGTKLCSRRLPQDIIYCVGGVSVFFPLFTQFCDVVSCHTSVINDKLAAEVIELVASVLDGNVSNQQQMYLLSGLSILGFLLQAATPQLLNMKTLPAMKYMFDVLRNCGMSKVLLKDAISQVYLNPEIWLYASYEVQRDLYMFLIQYFETDGRFLPLLCGLPRIIDIMRQYYWEKIDSRYVVGSKPLLHPITKQVIGERPKIEEIRKLRLLLLSLAEMSIKLKVSPDDIRALVSFFERSQDIACIEDILNMIIHALSHSSLLSSFLEHVNVLGGCCIFLNLLKREFEPIRLLGLQLLGKLLVGIPSEKKEAKLFTSQIGQSRPLSENLRKEIASAPQLFFYTISERLLKFPLSDNLCATLFDVLLGGTSTKQVLQEHSQSDPSKDRNCNPSSLVYFSVPQILASIFKCMQYCQDSSARTRILIDLLGLLYSNPINIEALMEHGWNSWLETSTNLDVIKDYKSASKGKLDNVEINELIQVRNLFSLVLSYYLSSVKGGWHQLEDTAHFFLLKFDQGQLSSSYFLRDILDDIVGSLLQTSLEENIFLSQPCCDNVLHLLKLIQELLVNQIGIKLLFPSPSTTEESSSDDKWKNDIKFAVNEILNAESNGHYRSFPWSSCQFADGDEISEDWWIFFDKVWNIICDLNGKGPSKLVPKSPNVGVPSLGQRARGLVESLNVPAAEMAAVVVSGGIGTALGAKINIFADRATLLREEILPRIFFHLVILYLCKAGLENASKCVLQFMSLLPVLIAEDELSKNTLHFLIWSLLIVRSQYGQLDDGARFHVLSHLILETIIYGKSMLVTNILGRDDSMDINSNQEAGFILSFIQKDRVLATAIYEVKHMKSVQADRLRQLQELHSKLNECTTKETQLMQIINEQIHLSVSSAVSADDSRKTAFLLAFDEDQQIVADKWIHIFRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRFKLKRNYMFDERLCQPSCSRIENAEPSVDLPSFSTKIPEKMRRFLLKGVRGITEDSGYGPSEDTNDMSESSHSPSERQNQNNAADSSDYRNNVQNKREASSANVDNDYSKVLCSVHCVLVTPKRKLAGQLNITRTVLHFSFGFLVEGTGGSSVFSKFKDKKDSDGKNEMGGAERLYGCRDSLIRINGGLMQNQSNKIKNHRRWNITKIKGVHWTRYLLQYSAMEIFFDDSNAPIFLNFSSQKDAKSAGSLLVSLRNDALFPKGSIKDKNSVISFVDRRVALEMAENAKERWRRREISNFEYLMILNTLAGRSYNDLTQYPIYPWVLADYTSEKLDFNKSSTFRDLSKPVGALDEKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYRNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLQKYAIEDQIANFGQTPIQIFRVKHPRRGPPIPIAHPLYFAPQSITLTSSISSTASHMSAVLFIGLLDNTVILMNEGLVLSVKLWLPTRLQSGGNFTFSGPQENFCGIGSDIISPRKIGTFLAENVKFGRQFLATMQINSDKYLILCGNWENSFQIISLCDGRIVQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMIWHVFRGRPSEKKFRPTNLELSENDHVIMERPVHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASRHGRVVFYSESDLSLHMHSINGKHIASSASNGRLNCMELSCCGEFMVCAGEHGQIVLRSMHSLDIVWKYDGAGKTITSLAVTPEECFLAGTKDGSLLVFSTETPLLRRGSMPRTRPKPSATG* >Brasy7G174200.1.p pacid=40046627 transcript=Brasy7G174200.1 locus=Brasy7G174200 ID=Brasy7G174200.1.v1.1 annot-version=v1.1 MKEVASTAAAAAAAAVGEEEERYVKVASRFFRVKPAAGETRPRRRHYLDSCFLCKNCILRSRDIFMYKGDAAFCSEECRQEQMDMDDALHAVARQHSRRLLKSEPPAGTARREPSAAAVAASRPPAMMHRRPTIANLGAARTPVAAS* >Brasy7G105200.1.p pacid=40046628 transcript=Brasy7G105200.1 locus=Brasy7G105200 ID=Brasy7G105200.1.v1.1 annot-version=v1.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIIRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPVGKECADLWPRIASNANAIV* >Brasy7G099600.1.p pacid=40046629 transcript=Brasy7G099600.1 locus=Brasy7G099600 ID=Brasy7G099600.1.v1.1 annot-version=v1.1 MGGCFSLEEQRLQSRTGTSAEAGGPDGLRKCKSDSKAISSVLAPPKDVEDLQTEGYGNVNIFTYNELRASTKNFRPDQILGEGGFGVVYKGVIDENVRMGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVHSMPECQDIILQNCMTGAVTLYEVPKEPVEHVETEKVKQEPTAKTEAVAVVPVNGKPVPQSRRTRPANGRSKSEPSLECKMYIPSPDSDGLQPGLEALASPSRTDSMRGPPPDEDLYKI* >Brasy7G237200.1.p pacid=40046630 transcript=Brasy7G237200.1 locus=Brasy7G237200 ID=Brasy7G237200.1.v1.1 annot-version=v1.1 MSKSNEVRYTARSITPPADRDSSSKSPPPKRRAASRSPPLPPPPPLPKGMHIIARSSPRKRRSVSRSPPPRRRSVSRSPPPKRRGRSRSRSRSWNRSRSRSGDEVRNPGNNLYVTGLSTRTSGDDLEKFFSKEGKVKDCHVVIDPRTKESRGFAFVTMDNIEDARRCIKYLHRTVLEGRLISVAKAKRTRKRTPTPGEYCGPRGGRSQGRARSPSPPRSRRRSRDRSRSPGGRRDRNGKHN* >Brasy7G195700.1.p pacid=40046631 transcript=Brasy7G195700.1 locus=Brasy7G195700 ID=Brasy7G195700.1.v1.1 annot-version=v1.1 MSVCVSGRKGFHGSSGWINSLPVSLCLGWTFFFREGAGLWWATHDLFGPIANFQFRSATFRTARRPTFLSKKKSSPAHLSFFWPGHVHASLIAGSAMASRQITIGQWTKGSPLQKEDLLRQSRAGGTRGRCRPGSGCDLF* >Brasy7G047400.1.p pacid=40046632 transcript=Brasy7G047400.1 locus=Brasy7G047400 ID=Brasy7G047400.1.v1.1 annot-version=v1.1 MLDSLISQDLKMEKEKQPDEMVTTGVLESLQNFVRKCIVAVLSYGPMPKHIAFIMDGNRRYAKSRSIKEGAGHRVGFSALMASLLYCYEMGVKYITVYAFSIDNFKRDPAEVQTLMELMEEKINELLENRNVINKVNCKINFWGSLDMLSEPVRLAAQKLMASTAGNAGLVLSVCMPYNSTSEIANAVNELCAERRDMMQREHAGSSNGHAPGSGACSDISVADLDRHMYTAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPEPLWPEFSWRHLVWAILQYQRVYPYIEQNRIQAKKQL* >Brasy7G099300.1.p pacid=40046633 transcript=Brasy7G099300.1 locus=Brasy7G099300 ID=Brasy7G099300.1.v1.1 annot-version=v1.1 MASPPPPPPPATLSGVGVRSRAPAATDSEDVLRQIFLRLDNPADLARACAASPFFRRVITDPSFLRRSRALHTPPLLGVVVSGAFIPAEPPHPSAPAARAFLDAGAAESILQHFFSTPSPSLLAPAPGPSQFREYLDGRVLLAGITRGGVECCYNRRILGGTVAVCDPLRGGRCLVLPAIPDDVTAAVGDDPDALDICEPFLAPPAEDVDDCSDPSPPPFRVMSLAECADKLVLFVFSSSSSSGAGTGGQWRAVSFDGWSAVSSESPWFHPQLLRRSYAHGRFCWTIYPFDKLLVLDARRSTPEFSVINLPQGTSGGHRGGRRRRG* >Brasy7G112400.1.p pacid=40046634 transcript=Brasy7G112400.1 locus=Brasy7G112400 ID=Brasy7G112400.1.v1.1 annot-version=v1.1 MAYNASRARLSPPAPAVPRPRKRGRSPSAPGAAAWCASPENAAPFDFRRRWQNPAGAPGRVWQGYRAPQTPVPFRQWVFADEASTSSGDACTIMSYNILADNNARNHPDLYLDVPWDAMRWDSRRRLIIREIRHWDADLVCLQEVDRFREISAEMKNRGYECRFKGRTGDARDGCATFWKSERLRLLEEDSIDFSEFNLRNNVAQILVLELNGTHKLVLGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWDGIPIVLAGDFNSTPDSAIYKFLSTMKLNISLHDRKQLSGLDSSDFGLYELCSLLNYQWTDEEVRNATGYSNVTVARHPLRLSSSYAMLKGNSSNRGHHGEPQATSYHKKFLGTVDYLWYTPGLECSRVLDILPMGALRGTRGLPTREMGSDHLPIVAEFVFMEPIQDDSPQEDESEQDDESAQAAGTAKHIYFSSESDSSVDAS* >Brasy7G048100.1.p pacid=40046635 transcript=Brasy7G048100.1 locus=Brasy7G048100 ID=Brasy7G048100.1.v1.1 annot-version=v1.1 MAEEAAASSEIKPEQVQAAMMDRTRLIRGLDAQIARCPGETRQQLLDLANTRMLKETVSAELSDLGRILDGVTRGDLSVMEELPPSRLDDLLGVRTERVARYRGVPIGRRMDFEAARRASLLVFSAQKGGGMVMAASPDLPNAAAATAAAEVDAGDAAASLAALELGSASTRSPHMLRPEGPIDAIKIALMPRYKRASAAQKEVIPFSASNSKATTA* >Brasy7G117200.1.p pacid=40046636 transcript=Brasy7G117200.1 locus=Brasy7G117200 ID=Brasy7G117200.1.v1.1 annot-version=v1.1 MDTGRSGRWRRPLSTPGATASPGFLRPATSQSPSRRQVPPLPRFSPISFGSSSNRQGDALRRCHGAGEERVAQGQELVTPRGRCSTRRWRPRCGYEGAGISSAYARRAGLRVRRAWWPWSGRPCGGGGGGGRRRGWWTAPRFGTAPDLDCGWLKMGWKDGAAPRFSTASWRWMEGWLEMGWKDGAARRWMVIHIRDMCRDLN* >Brasy7G056400.1.p pacid=40046637 transcript=Brasy7G056400.1 locus=Brasy7G056400 ID=Brasy7G056400.1.v1.1 annot-version=v1.1 MAAPCGRGALPLVLIALSAAFLTYNSLLSSRSFLPLPSTSFPGATTASSSSRRLAGGRRLFHTAVTASGSVYNTWQCRVMYHWFKEARRRQGRGGEMGGFTRILHSGKPDEFVDEIPTFVADPLPEGTDQGYIVLNRPWAFVQWLQKADIQEEYILMAEPDHLIVKPIPNLSKDGRAAAFPFFYIEPKKYEKVLRQYFPENEGLITNIDPIGNSPVIIEKESLSRIAPTWMNISIAMKKDPEADKSFGWVLEMYAYAVASALHGVGNILHKDFMIQPPWDLDIGDSFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRYYENKPPPRNLPLPPNGVPQSVITLVKMVNEATANIPNWESYAAD* >Brasy7G135800.1.p pacid=40046638 transcript=Brasy7G135800.1 locus=Brasy7G135800 ID=Brasy7G135800.1.v1.1 annot-version=v1.1 MDFPWLDLPFTFLTLLLATRLAYDYYGVVAATFAGSFSLQIFLFYCFARWYRHTMDGRADQAVPSTSSRQHDDDPPVLTPLVETTTAAGAGAAAAAGALLANRCLAFVFMVFVPLIIVVFERSQADVVAYALCLANIVVMVVWLSPDSASSSMSAAKSFLRLSDDEDDDSGSGGAGAGAEDDKCCVCLASMREEQALRDLPRCGHRFHDKCIGKWLKGHPTCPVCRAFAMPPPVGYADDSVSPV* >Brasy7G017400.1.p pacid=40046639 transcript=Brasy7G017400.1 locus=Brasy7G017400 ID=Brasy7G017400.1.v1.1 annot-version=v1.1 MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNSSNNELVRTQTLVKNAIVQVDAAPFKQWYLTHYGVDIGRKKKTPAATKKDATEDGDAAAAAAEETKKSNNVQRKLEKRQQGRTLDPHIEEQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA* >Brasy7G160600.1.p pacid=40046640 transcript=Brasy7G160600.1 locus=Brasy7G160600 ID=Brasy7G160600.1.v1.1 annot-version=v1.1 MEMKKMAFAALLLAFVVAAASATAALASEAAATAEAPTAAGAAAPGGEGAAGGAAAGPATSAASALAAAPVALLASFLAYYLH* >Brasy7G092800.1.p pacid=40046641 transcript=Brasy7G092800.1 locus=Brasy7G092800 ID=Brasy7G092800.1.v1.1 annot-version=v1.1 MPVDDVHRGVRSRRAQVGCGRAMARRSTAVEYSSPRRTAVPLYRYANVPGQQKNYYSSDGYSDLSSSRDMALPRVCIRVFPYWPSPKRSEQCGS* >Brasy7G092800.2.p pacid=40046642 transcript=Brasy7G092800.2 locus=Brasy7G092800 ID=Brasy7G092800.2.v1.1 annot-version=v1.1 MPVDDVHRGVRSRRAQVGCGRAMARRSTAVEYSSPRRTAVPLYRYANVPGQQKNYYSSDGYSDLSSSRDMALPRVCIRVFPYWPSPKRSEQCGS* >Brasy7G092800.3.p pacid=40046643 transcript=Brasy7G092800.3 locus=Brasy7G092800 ID=Brasy7G092800.3.v1.1 annot-version=v1.1 MPVDDVHRGVRSRRAQVGCGRAMARRSTAVEYSSPRRTAVPLYRYANVPGQQKNYYSSDGYSDLSSSRDMALPRVCIRVFPYWPSPKRSEQCGS* >Brasy7G097900.1.p pacid=40046644 transcript=Brasy7G097900.1 locus=Brasy7G097900 ID=Brasy7G097900.1.v1.1 annot-version=v1.1 MKLLSWNCRGLKKPAAVTSLLDLQGRVRADVIFLAETHLNKVKADVIRRKLGFDFMLVEESNGRAGGLILRHLSWDCLRELHGRASMPWLVVGDFNEILYHHEKEGGNPRPSKMLDDFGECLSDCGLDDLGFIGDRFTWSRGDIRERLDRAVANADWINLFPFFGVVNEEHHRSDHRPILLNTDQYDASLIKARSGGRKFEARWLSEEGVEEIVRTSWLRAINSGTAPDLAKRTAAVHADLHRWDRKVLKGPKNRIDKLKKELEKLRRDTPNQEILGRMKEVQLLIENLMDQEEVTWCQRGRANWLLHGDRNTNFFHNSATARKKRNQIKKLLDDTGVWKEGTEDLNKLITSYFSSLFTCGFSGDE* >Brasy7G168800.1.p pacid=40046645 transcript=Brasy7G168800.1 locus=Brasy7G168800 ID=Brasy7G168800.1.v1.1 annot-version=v1.1 MGSTSLARTLRSLCIRGELSQAVSLLHLSTACPSSRTYALLLQECVNQRDARVGKRIHARMISTGFNCNDYMATKLLIFYAKIGELGVARNLFDGMPRRGVVAWNALISGCTRGGLEAQALEMFGSMRAEGLRPDQFTFSSVLCACARLAALEHGRRVHAVMVKSDVVGGNVFANSALVDMYLKCSSTEDARRAFAAAPERNVTMWTAVISGHGQHGHAGEALALFDQMTRDGFRPNDVTFLAVLSACAHGGLVDEGLGHFSSMPLDYGLTPKGEHYAAAVDMLARVGRLHDAYELVKNLPDCQEHSVVWGALLGACRKHGNVRLVELAARRFFRLQPGNAGKYVVLANTYAACEMWDSVASTHETMKSLGIRKDPAWSAVELQGKKHIFLSGDLYHDECSAIYEACIALAGAIIHTEQSVQVTDGVNHCSWLEM* >Brasy7G110100.1.p pacid=40046646 transcript=Brasy7G110100.1 locus=Brasy7G110100 ID=Brasy7G110100.1.v1.1 annot-version=v1.1 MVCLVRLVEQPRGRTYMRVKDLEWSHAVSVFPEFVSPSFALRGSYGRHSPAIGLPETFAHERRRSVLRTSRICASRVTPRPMASAGDPAACAAPAPGPDAEARALLRPPPDDEDPEEESAFDPAEKIIVSISGADEDRFSATGRVPPFSWRKLWLFTGPGFLMSIAFLDPGNIEGDLQAGATAGDTLLWLLLWATAMGLLVQLLAARLGVATGRNLAELCRDEYPDWVRRALWLMAEVSMVSADIQEVIGSAIAIKILSRGFLPIWAGVVITALDW* >Brasy7G132400.1.p pacid=40046647 transcript=Brasy7G132400.1 locus=Brasy7G132400 ID=Brasy7G132400.1.v1.1 annot-version=v1.1 MEGGGGKTWRFASPNATLAAAGEMSLLKCLLQIHACLDERGPRPVIPLSHGDPSSAPSFRTAPEAEEAVVAALRSGEFNGYPSSAINLLARRAVAEYVSRDLPYKLAHDDILLTCGGTQAIETVMSVFGQPDVNILLPRPGYPKHEAHAMFHRMEIRHYDLVPERGWEVDLEAVEALADENTVAIVVTNPNNPCGSVYSYEHLAKIADIASKLGILVIADEVYGHLVYGSTSFVPMGVFGETVPILTLGAISKRWAVPGWRLGWIATCDPKGILRKTKVQDSLRSFVHLVSDPPTFLLGAIPHIVKNTNDEFFSNIVNLLKETAEICYGEIMEIKCITCPHKPEGSFFMMVKLDVSQLSGISDDEDFCSKLAKEESVILLPGKALGMENWVRITFATEPTTLKQGLERVKAFCRRHESQGN* >Brasy7G132400.2.p pacid=40046648 transcript=Brasy7G132400.2 locus=Brasy7G132400 ID=Brasy7G132400.2.v1.1 annot-version=v1.1 MEGGGGKTWRFASPNATLAAAGEMSLLKCLLQIHACLDERGPRPVIPLSHGDPSSAPSFRTAPEAEEAVVAALRSGEFNGYPSSAINLLARRAVAEYVSRDLPYKLAHDDILLTCGGTQAIETVMSVFGQPDVNILLPRPGYPKHEAHAMFHRMEIRHYDLVPERGWEVDLEAVEALADENTVAIVVTNPNNPCGSVYSYEHLAKIADIASKLGILVIADEVYGHLVYGSTSFVPMGVFGETVPILTLGAISKRWAVPGWRLGWIATCDPKGILRKTKGAIPHIVKNTNDEFFSNIVNLLKETAEICYGEIMEIKCITCPHKPEGSFFMMVKLDVSQLSGISDDEDFCSKLAKEESVILLPGKALGMENWVRITFATEPTTLKQGLERVKAFCRRHESQGN* >Brasy7G215300.1.p pacid=40046649 transcript=Brasy7G215300.1 locus=Brasy7G215300 ID=Brasy7G215300.1.v1.1 annot-version=v1.1 MREGHPRAAGAGPGGGRTGSSLLGASPPAAPPAHRLGSPSGLPPVSMASAAVRVGDRAAGVRGGFRSRVWSATAEYEPPLMVFSQFGCILVWIRCVSIPLSLCARAVLTPKPKP* >Brasy7G145200.1.p pacid=40046650 transcript=Brasy7G145200.1 locus=Brasy7G145200 ID=Brasy7G145200.1.v1.1 annot-version=v1.1 MASSSIHPSRLTKPFSLAVFLVIVSCSTHFMVAAREVSTAGDDKAMKERHEKWMAEQGRTYKDSTEKARRFEVFKSNAHFIDSYNAASGPGGKSRPRLTTNKFADLTEDEFNNIYVGPSRTQPSSTLLGEIGPSGSTFMYGNLSLSDVPANVNWKERGAVTSVKDQGISCDSCWAFSAVAAVEGIHGIRTHNHVSLSAQQLVDCSTGGNNHGCNLGDTDEAFRYIVQNGGITSDQAYGYKAKHTTRNDKFLTARNRQKKAKNRQEHLFLTATVRSRLSGMKAFPDGVRHERTFLTDAMRHENEQT* >Brasy7G114100.1.p pacid=40046651 transcript=Brasy7G114100.1 locus=Brasy7G114100 ID=Brasy7G114100.1.v1.1 annot-version=v1.1 MLLSKLPNPPRPWRPRSLETHPRRHRQLPFPNVPVMPVPRRRQLLSPSAAASDYLPEDPELPPAKRFSSKKALGESGRWKVVPPKTPKPSTPDPQEPPSWFTVRRQAHAAWRKVTLLVPSRARSVILLNLVVLIFASNISVVKEAQSMLDPDLFNVLRFSIAAIPFVPFLLKSLRDMQVFIRGVELGIWVTLAFLAQSIGLVTADAGRASFISALTVIIVPLLDGILGAEIPIYTWLGALLSMVGVGILELSGSPPCVGDLLTLLSAFCFAIHMLRTEHISRNMKKDNFLALVGCQVLVLALVSAASFIVKCFLQSMVHWNLKSQTPTQLFSMMASFPWLAILYTGILSTTFCLWAEVVAMRDVSATETAIIYGLEPVWGAAFAWAIHGERWGVTGLIGAIFIIAGSLMVQILGSFLDVSGEDSYQMNS* >Brasy7G114100.2.p pacid=40046652 transcript=Brasy7G114100.2 locus=Brasy7G114100 ID=Brasy7G114100.2.v1.1 annot-version=v1.1 MLLSKLPNPPRPWRPRSLETHPRRHRQLPFPNVPVMPVPRRRQLLSPSAAASDYLPEDPELPPAKRFSSKKALGESGRWKVVPPKTPKPSTPDPQEPPSWFTVRRQAHAAWRKVTLLVPSRARSVILLNLVVLIFASNISVVKEAQSMLDPDLFNVLRFSIAAIPFVPFLLKSLRDMQVFIRGVELGIWVTLAFLAQSIGLVTADAGRASFISALTVIIVPLLDGILGAEIPIYTWLGALLSMVGVGILELSGSPPCVVAMRDVSATETAIIYGLEPVWGAAFAWAIHGERWGVTGLIGAIFIIAGSLMVQILGSFLDVSGEDSYQMNS* >Brasy7G110200.1.p pacid=40046653 transcript=Brasy7G110200.1 locus=Brasy7G110200 ID=Brasy7G110200.1.v1.1 annot-version=v1.1 MRPGKRRGEQHLLLLRLLLLLQRLLLLLPRLRGLTVPLSLRCPFIFLSLENYGMRKLEALFAVLIAIMAWSFAWMFVETKPSGKDLINGILFPKLSSRTLRQAVGIVGCVITPHNVFLHSALVQSRKIDPNKEYQVREALRYYSIESTMALVVPFMINLFVTTVFAKGFYGTEEAGTIGLENAGQYLQKKFGGDYFPILSIWGVGLLAAGTSSTITGTYAGQFIMDGFLNWRLKKWIRAMITRSFAIVPTITVALFFNTSESALDVLNEWLNVLQSVQIPFSLIPLITLVSKEQVMGVFKIGLRTQIATWTVASLPIIINGYLLLDFFSSEIRGPISGTCLCVAVLAYGTFLLYLILRCTERSNHGFTAVNKNSLT* >Brasy7G038800.1.p pacid=40046654 transcript=Brasy7G038800.1 locus=Brasy7G038800 ID=Brasy7G038800.1.v1.1 annot-version=v1.1 MASSSSSHQELQQAQEDEEEDHHPQLGSHGDDQITSPLLLQKPPPLSRGLAAPEENSPIEQVALTVPVGDDPTTPVLTFRMWVLGTASCVVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAALLPSRPFFSGRSYEFTLNPGPFNVKEHVLITIFANAGAGSVYAIHVVTAVRVFYGKELTFFVSLIVVLTTQVLGFGWAGIFRRYLVEPAAMWWPTNLVQVSLFRALHEKEKQSKGGLTRSQFFMVAFVCSFAYYVFPGYLFQMLTSLSWICWVYPNSVFAQQLGSGLKGLGIGAIGLDWASVSSYLGSPLASPWFATANIAVGFFTIMYVFTPIAYWFNFYKARNFPIFSSGLFTESGQKYNITTIVDEHFHFDTQAYEKNGPLYLSTFFSVTYGVGFASLTATIVHVLLFHGSEILQLSKSAFQEKKLDVHTKLMRRYKQVPEWWFICILIANIAITVFACEYYIEQLQLPWWGVLLACAIAFFFTLPVGIITATTNQTPGLNIITEYVIGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTVPNICNTELLSSGSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGTYSAINWFFLGGAIAPLLVWFAHKAFPNQTWILLINMPVLIGSTSSMPPATAVNYIAWIFVGFMSGYVVYRYRRNWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLDWWGNDLDGCPLAPCPTAKGVFVKGCPVYN* >Brasy7G108100.1.p pacid=40046655 transcript=Brasy7G108100.1 locus=Brasy7G108100 ID=Brasy7G108100.1.v1.1 annot-version=v1.1 MDAISSLVLQGGWRKGPWTELEDRLLTEYVQQQGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQQQHRQYLHLLQQQQVMEQNQQQILQQQQQQQQEKQLLQSPQEDDLQEMLMSIDIQGTPTANECYCPCPATTPDDLLAAAADDDDALWDSLWRLVDGDGRSSGGDSGEY* >Brasy7G032700.1.p pacid=40046656 transcript=Brasy7G032700.1 locus=Brasy7G032700 ID=Brasy7G032700.1.v1.1 annot-version=v1.1 MEMVKEAVHGCKFADETTSDVRVCFKRADEQPEWFPCHSSVLSGGSKYFADLLGQGDIPSSIEVECPRAEYGSHVKVLKLLYLPSESILESFDSVKSAVGVLRASNALRCELITRFCIEYLESASWDEKEEEEVLEAARSLGSEAEPLLARLQAPSTDAVKNVFVSAMRFATSLESPFPPFLGDLTTSAQEQIDFMLHEGDDPALVTMDEDVRSVVREGLTKLLSTLRAGLDLLASEFDELPEQAEQRIIRSLVDIDWMATVLTKIEMMNEFVSGWSEISRHVLSVVQDKKYSSGLWAVKAKLIEVTGKALDAVGYGSVIIPSTSRAHLLKTWIPYIRTTKRLLDGKTKDEAFPQMDADFCQNIESAMVSMVLALPSSDQSDILSEWMMNADQFRYPDLTEAFEMWCYRSKTAIRRLKGGGLNKARNPTISL* >Brasy7G032700.2.p pacid=40046657 transcript=Brasy7G032700.2 locus=Brasy7G032700 ID=Brasy7G032700.2.v1.1 annot-version=v1.1 MEMVKEAVHGCKFADETTSDVRVCFKRADEQPEWFPCHSSVLSGGSKYFADLLGQGDIPSSIEVECPRAEYGSHVKVLKLLYLPSESILESFDSVKSAVGVLRASNALRCELITRFCIEYLESASWDEKEEEEVLEAARSLGSEAEPLLARLQAPSTDAVKNVFVSAMRFATSLESPFPPFLGDLTTSAQEQIDFMLHEGDDPALVTMDEDVRSVVREGLTKLLSTLRAGLDLLASEFDELPEQAEQRIIRSLVDIDWMATVLTKIEMMNEFVSGWSEISRHVLSVVQDKKYSSGLWAVKAKLIEVTGKALDAVGYGSVIIPSTSRAHLLKTWIPYIRTTKRLLDGKTKDEAFPQMDADFCQNIESAMVSMVLALPSSDQSDILSEWMMNADQFRYPDLTEAFEMWCYRSKTAIRRLKGGGLNKARNPTISL* >Brasy7G202100.1.p pacid=40046658 transcript=Brasy7G202100.1 locus=Brasy7G202100 ID=Brasy7G202100.1.v1.1 annot-version=v1.1 MEGAAFTGLPPGPTNCQPRPARASPGTAWRGPPAELLDGGEGQQRGRPWRPLRARGPKRKDGSSSRGRRAENLDSGSRRSTDLSKGCSRRPDRHGRRIQQASRPGSILESLARRRAV* >Brasy7G142000.1.p pacid=40046659 transcript=Brasy7G142000.1 locus=Brasy7G142000 ID=Brasy7G142000.1.v1.1 annot-version=v1.1 MSSSPSASSASGASPADLLRHNRILSSKLYLDVPRSKVPVIYSTAYDISFLGIEKLHPFDSAKWGRICKFLTKEGHLEKNRVVEPLEASKEDLLVVHTQSYLNSLKTSFRVATIMEVPPVSLIPNWLVQQKLLFPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFDRLNISRVMIIDLDAHQGNGHEKDFADDGRVYILDMYNAGIYPFDHAAKRYIDQKVELVSGTKTDDYLDQLDQALEVAQTRFHPQLIVYNAGTDILDGDPLGRLEISPEGVVTRDEKVFRFAVDQNIPLLMLTSGGYMKSSARVIADSIINLSQKELIQLSSQLE* >Brasy7G098100.1.p pacid=40046660 transcript=Brasy7G098100.1 locus=Brasy7G098100 ID=Brasy7G098100.1.v1.1 annot-version=v1.1 MASGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRKLLYATSVNRPRADVAYCICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKDDFLTYSYRGNILQIPHFKDDSSPLAWDCSAWVRLYAFYLNERVECYRVLKYDVEADRLMRLPQASGKAHSRTRTLPCRDLLDQLPALQKLLLRLISCQPDGSACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMPKVDAIKALEIYKRAGQQAERLSAFYDHCKRLDLARTFQFPTLRQPPSSFLITMEEYIREAPRTSITNKGVEKEEQSLPSDHEDEAPQETEKPAEEEKEDLAEPEEEPQLTAELSEDEPESFPTTGDLLNLDEELHPMIANLEQSNALALAIVAPGSENQASTSQDLFAIDKSGWELALVMAPSNHTSQPGGIQLAGGFDKLLLDSLYEDEARRQQIASVTYTGGLVANPFDPNDPFAMSNSFAPPSNVQLAMMAQQQQYYQAQQQEYFQVQQQQQMVMMPPQTYQQQQAQYSTNAGLSNPFGDPFSALVTMANPPKQSNSNLA* >Brasy7G059700.1.p pacid=40046661 transcript=Brasy7G059700.1 locus=Brasy7G059700 ID=Brasy7G059700.1.v1.1 annot-version=v1.1 MTASCVASATQQIREAQRADGPASVLAIGTANPAICVRQDEYADYYFRLANAEAEHLTKLKSKLNRICQSSGIEKRYFHHTEEMLRGHPELTDRKLPSLDTRMRILATAVPELAAAAAAKAIAEWGRPATDITHLVVSTSSGAHVPGADLRVASLLGLAPTVRRAMLYLSACNGGTTALRIAKDMAENNRGARVLVACAEITLVWLRAPDGDADSDTVIMQALFGDGAGAAIVGADPEPEGVERPLFEMVAAWETVIPGSEHVAAGRLGEDGVVFRPSVELPSLVRANVEQCVADALMATLGLSVGDWNDLFWAVHPGGRAILDVVEAELRLGPEKLAASRRVLREYGNMSGPTVIFVLDEIRRRHGLGVMLGIGPGITVETMVLRATGGNY* >Brasy7G020200.1.p pacid=40046662 transcript=Brasy7G020200.1 locus=Brasy7G020200 ID=Brasy7G020200.1.v1.1 annot-version=v1.1 MRHRGVGRHPHKVVVYCTLTVWVIVYILDAIFLIKFFVLSEFFSFQINIIRSEGLTGLQFLLIVRKQLNVATCIGYLTLSREFLMRQEICYFWTIPCYIRKQFNKLTSSWVTLQNTDCYLYTVEVKVLVKTTVL* >Brasy7G071100.1.p pacid=40046663 transcript=Brasy7G071100.1 locus=Brasy7G071100 ID=Brasy7G071100.1.v1.1 annot-version=v1.1 MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSNSIKKVEKEIKEKAKTINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN* >Brasy7G029600.1.p pacid=40046664 transcript=Brasy7G029600.1 locus=Brasy7G029600 ID=Brasy7G029600.1.v1.1 annot-version=v1.1 MDIISQLQEQLNEMAMVAVNTFVTLQRDASPVRLCTSYPDPLNPNPSPAASRSASPASGAPAVPMPVVPAPPTQAQPQPAIDLAGQPSSSATRSSPTPRRQLNTCWRQECQH* >Brasy7G129800.1.p pacid=40046665 transcript=Brasy7G129800.1 locus=Brasy7G129800 ID=Brasy7G129800.1.v1.1 annot-version=v1.1 MPGRACKSPTTYSKGEEAVAHWSSERRPSHGGRSRGAVTRLLEETRETVSSFAMSKATCPFLSVLDRIMNLSFMYMKWYITIFK* >Brasy7G168400.1.p pacid=40046666 transcript=Brasy7G168400.1 locus=Brasy7G168400 ID=Brasy7G168400.1.v1.1 annot-version=v1.1 MASSIFHLTSAAIHSSFDGYLKRRQVSAALSASLALSAFRFWVHKNANSSKEQFVKYCILCKKVATMPPDCGSDVRVGIVQPTVEVRFEHLKADAEVCVGNRGLPTILNSVNNIFEEAANALHILPSTKQTMPILHGISGIIKPCRMTLLLGPPGSGKTTLLLALAGRIGNDLKVSGKVTYNGHEMDDFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGHLYDLLLELLRREEASNIKPDADIDVFMKAAAFGGQEANMVIEYILKILGLEVCADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSGTAVISLLQPAPETYNLFDDIILLSDGQVVYHGPRKDVLDFFESVGFKCPERKGIADFLQEVMSRKDQKQYWAWHNQPYQYVTVKEFAEAFNLFHVGQTLANEIAVQFDKSTSHPLALTTSKYGVSTKELLKANVDREFLLMKRNSFFYVFRIVQLILLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGFSELPLTIFKLPVFFKQRDLLFYPAWTYTVPSWILKIPITFVEVGGFVFVTYYVIGFDPDVIRLFKQYLLFLAVNQMASSFFRFIAGAARNMIVAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSTEPLGVLVLKSRGVFPEAMWYWFGFGMLLGFTLLFNSLFTFCLAYLKPYGHSYPSVSEEVLSEKHANLIGSAHQASGSYNETESSMVDPNSMPAQKGMILPFVPLSLSFNNIQYSVEIPWEMKAQVLEDKLELLRGVSGYFRPGVLTTLMGISGAGKTTLLDVLAGRKTSGYVKGNISLSGYPKKQETFARILGYCEQNDIHSPHVTVYESLLFSAWLRLAEDVDSSIRKVFIEEVMALVELTPMRNALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDVFEAFDELFLLKKGGEEIYVGPLGRHSSELIKYFEAIEGVSKITDGYNPATWMLEVTTVSQEQILGIDFSDMYKKSELYQRNKALIHELSTPPTGSGALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTSIIALLFGTIFWGLGTKREKPQDLFNAMGSIYAAVLTIGVLNSASVQPVVAVERTTFYREKAAGMYSAFPYAFGQVVIEIPYTLVQSGIYAVIVYPMIGFEWSVPKFFWYLFFIYFTLLYFTFYGMMAVGVTENHTIASIVSSSCYAVWSLFSGFVIPRTKIPIWWRWYYWLCPVAWSLYGMVVSQYGDVDDPLYDGVTTTTVAGFVSDYFGFEHNSLMVVGVVVVAFGLLFAFLFGLAIMKLDFHRK* >Brasy7G168400.2.p pacid=40046667 transcript=Brasy7G168400.2 locus=Brasy7G168400 ID=Brasy7G168400.2.v1.1 annot-version=v1.1 MASSIFHLTSAAIHSSFDGYLKRRQVSAALSASLALSAFRFWVHKNANSSKEQFVKYCILCKKVATMPPDCGSDVRVGIVQPTVEVRFEHLKADAEVCVGNRGLPTILNSVNNIFEEAANALHILPSTKQTMPILHGISGIIKPCRMTLLLGPPGSGKTTLLLALAGRIGNDLKVSGKVTYNGHEMDDFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGHLYDLLLELLRREEASNIKPDADIDVFMKAAAFGGQEANMVIEYILKILGLEVCADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSGTAVISLLQPAPETYNLFDDIILLSDGQVVYHGPRKDVLDFFESVGFKCPERKGIADFLQEVMSRKDQKQYWAWHNQPYQYVTVKEFAEAFNLFHVGQTLANEIAVQFDKSTSHPLALTTSKYGVSTKELLKANVDREFLLMKRNSFFYVFRIVQLILLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGFSELPLTIFKLPVFFKQRDLLFYPAWTYTVPSWILKIPITFVEVGGFVFVTYYVIGFDPDVIRLFKQYLLFLAVNQMASSFFRFIAGAARNMIVAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSTEPLGVLVLKSRGVFPEAMWYWFGFGMLLGFTLLFNSLFTFCLAYLKPYGHSYPSVSEEVLSEKHANLIGSAHQASGSYNETESSMVDPNSMPAQKGMILPFVPLSLSFNNIQYSVEIPWEMKAQVLEDKLELLRGVSGYFRPGVLTTLMGISGAGKTTLLDVLAGRKTSGYVKGNISLSGYPKKQETFARILGYCEQNDIHSPHVTVYESLLFSAWLRLAEDVDSSIRKVFIEEVMALVELTPMRNALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDVFEAFDELFLLKKGGEEIYVGPLGRHSSELIKYFEAIEGVSKITDGYNPATWMLEVTTVSQEQILGIDFSDMYKKSELYQRNKALIHELSTPPTGSGALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTSIIALLFGTIFWGLGTKREKPQDLFNAMGSIYAAVLTIGVLNSASVQPVVAVERTTFYREKAAGMYSAFPYAFGQVVIEIPYTLVQSGIYAVIVYPMIGFECRGDREPHHSIHCIIFLLRRMESLLRVCDSQN* >Brasy7G168400.3.p pacid=40046668 transcript=Brasy7G168400.3 locus=Brasy7G168400 ID=Brasy7G168400.3.v1.1 annot-version=v1.1 MASSIFHLTSAAIHSSFDGYLKRRVGIVQPTVEVRFEHLKADAEVCVGNRGLPTILNSVNNIFEEAANALHILPSTKQTMPILHGISGIIKPCRMTLLLGPPGSGKTTLLLALAGRIGNDLKVSGKVTYNGHEMDDFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGHLYDLLLELLRREEASNIKPDADIDVFMKAAAFGGQEANMVIEYILKILGLEVCADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSGTAVISLLQPAPETYNLFDDIILLSDGQVVYHGPRKDVLDFFESVGFKCPERKGIADFLQEVMSRKDQKQYWAWHNQPYQYVTVKEFAEAFNLFHVGQTLANEIAVQFDKSTSHPLALTTSKYGVSTKELLKANVDREFLLMKRNSFFYVFRIVQLILLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGFSELPLTIFKLPVFFKQRDLLFYPAWTYTVPSWILKIPITFVEVGGFVFVTYYVIGFDPDVIRLFKQYLLFLAVNQMASSFFRFIAGAARNMIVAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSTEPLGVLVLKSRGVFPEAMWYWFGFGMLLGFTLLFNSLFTFCLAYLKPYGHSYPSVSEEVLSEKHANLIGSAHQASGSYNETESSMVDPNSMPAQKGMILPFVPLSLSFNNIQYSVEIPWEMKAQVLEDKLELLRGVSGYFRPGVLTTLMGISGAGKTTLLDVLAGRKTSGYVKGNISLSGYPKKQETFARILGYCEQNDIHSPHVTVYESLLFSAWLRLAEDVDSSIRKVFIEEVMALVELTPMRNALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDVFEAFDELFLLKKGGEEIYVGPLGRHSSELIKYFEAIEGVSKITDGYNPATWMLEVTTVSQEQILGIDFSDMYKKSELYQRNKALIHELSTPPTGSGALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTSIIALLFGTIFWGLGTKREKPQDLFNAMGSIYAAVLTIGVLNSASVQPVVAVERTTFYREKAAGMYSAFPYAFGQVVIEIPYTLVQSGIYAVIVYPMIGFECRGDREPHHSIHCIIFLLRRMESLLRVCDSQN* >Brasy7G168400.4.p pacid=40046669 transcript=Brasy7G168400.4 locus=Brasy7G168400 ID=Brasy7G168400.4.v1.1 annot-version=v1.1 MVSGKVTYNGHEMDDFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGHLYDLLLELLRREEASNIKPDADIDVFMKAAAFGGQEANMVIEYILKILGLEVCADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSGTAVISLLQPAPETYNLFDDIILLSDGQVVYHGPRKDVLDFFESVGFKCPERKGIADFLQEVMSRKDQKQYWAWHNQPYQYVTVKEFAEAFNLFHVGQTLANEIAVQFDKSTSHPLALTTSKYGVSTKELLKANVDREFLLMKRNSFFYVFRIVQLILLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGFSELPLTIFKLPVFFKQRDLLFYPAWTYTVPSWILKIPITFVEVGGFVFVTYYVIGFDPDVIRLFKQYLLFLAVNQMASSFFRFIAGAARNMIVAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSTEPLGVLVLKSRGVFPEAMWYWFGFGMLLGFTLLFNSLFTFCLAYLKPYGHSYPSVSEEVLSEKHANLIGSAHQASGSYNETESSMVDPNSMPAQKGMILPFVPLSLSFNNIQYSVEIPWEMKAQVLEDKLELLRGVSGYFRPGVLTTLMGISGAGKTTLLDVLAGRKTSGYVKGNISLSGYPKKQETFARILGYCEQNDIHSPHVTVYESLLFSAWLRLAEDVDSSIRKVFIEEVMALVELTPMRNALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDVFEAFDELFLLKKGGEEIYVGPLGRHSSELIKYFEAIEGVSKITDGYNPATWMLEVTTVSQEQILGIDFSDMYKKSELYQRNKALIHELSTPPTGSGALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTSIIALLFGTIFWGLGTKREKPQDLFNAMGSIYAAVLTIGVLNSASVQPVVAVERTTFYREKAAGMYSAFPYAFGQVVIEIPYTLVQSGIYAVIVYPMIGFECRGDREPHHSIHCIIFLLRRMESLLRVCDSQN* >Brasy7G168400.5.p pacid=40046670 transcript=Brasy7G168400.5 locus=Brasy7G168400 ID=Brasy7G168400.5.v1.1 annot-version=v1.1 MVSGKVTYNGHEMDDFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGHLYDLLLELLRREEASNIKPDADIDVFMKAAAFGGQEANMVIEYILKILGLEVCADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSGTAVISLLQPAPETYNLFDDIILLSDGQVVYHGPRKDVLDFFESVGFKCPERKGIADFLQEVMSRKDQKQYWAWHNQPYQYVTVKEFAEAFNLFHVGQTLANEIAVQFDKSTSHPLALTTSKYGVSTKELLKANVDREFLLMKRNSFFYVFRIVQLILLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGFSELPLTIFKLPVFFKQRDLLFYPAWTYTVPSWILKIPITFVEVGGFVFVTYYVIGFDPDVIRLFKQYLLFLAVNQMASSFFRFIAGAARNMIVAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSTEPLGVLVLKSRGVFPEAMWYWFGFGMLLGFTLLFNSLFTFCLAYLKPYGHSYPSVSEEVLSEKHANLIGSAHQEMKAQVLEDKLELLRGVSGYFRPGVLTTLMGISGAGKTTLLDVLAGRKTSGYVKGNISLSGYPKKQETFARILGYCEQNDIHSPHVTVYESLLFSAWLRLAEDVDSSIRKVFIEEVMALVELTPMRNALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDVFEAFDELFLLKKGGEEIYVGPLGRHSSELIKYFEAIEGVSKITDGYNPATWMLEVTTVSQEQILGIDFSDMYKKSELYQRNKALIHELSTPPTGSGALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTSIIALLFGTIFWGLGTKREKPQDLFNAMGSIYAAVLTIGVLNSASVQPVVAVERTTFYREKAAGMYSAFPYAFGQVVIEIPYTLVQSGIYAVIVYPMIGFECRGDREPHHSIHCIIFLLRRMESLLRVCDSQN* >Brasy7G044600.1.p pacid=40046671 transcript=Brasy7G044600.1 locus=Brasy7G044600 ID=Brasy7G044600.1.v1.1 annot-version=v1.1 MAVTSSLRCATAAAAAALALHHQQPAFLAAVRLRLRRIPTRRAMSSSSAAAPHLAGSWYAVPDLSLRDHRFTVPLDHSSAGSAPTITVFAREVVAAGKEEAPLPYLMYLQGGPGFESPRPMEASGWVKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAVEQVEYLKHFRADNIVKDAEFIRLHLVPDAKPWTILGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGENCTANTVYRACFKQVQQQNEKYYKRYPQDIQVIHEVVRYLSESEGKGVLLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPILVPGIKKNISYYFLKEFEMWLGFDQNPLYALLHESIYCEGSSSKWSANKICHEHGSLFDPVKATEEGRPVYFIGEMVFPCIFDEIHALRSLKEAAHLLAEKEDWPPLYDINVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGLRDGGSHVFEHLMGLLNGKKPLF* >Brasy7G073900.1.p pacid=40046672 transcript=Brasy7G073900.1 locus=Brasy7G073900 ID=Brasy7G073900.1.v1.1 annot-version=v1.1 MWPGCGGRFYWAPAPPSEARGVVVVFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAATLASGVICELAKELKVKPLPTVFASFSGGSKGCMYKVIQLLDGKCEGNATTTDYRLVRNCISGQIFDSGPVDFVSDVGTQFLQNPVIGTSSQSSMIRSWMAKALASGMDTLFPSRIEAQHAEYWHTLYSSAGLGSVLIFCSEEDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYKSHEVEYRKAVDDILKKALVTFCHRSQLNGASAAAGDKEYKIAHCVCSLHNVAANSNESLRRVANSPSDHFFLPSSKDHNESRESDPLIEQQRRQLSHPASMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPTGRPTLASARQLGPFNPIKYFRRSRL* >Brasy7G073900.2.p pacid=40046673 transcript=Brasy7G073900.2 locus=Brasy7G073900 ID=Brasy7G073900.2.v1.1 annot-version=v1.1 MYKVIQLLDGKCEGNATTTDYRLVRNCISGQIFDSGPVDFVSDVGTQFLQNPVIGTSSQSSMIRSWMAKALASGMDTLFPSRIEAQHAEYWHTLYSSAGLGSVLIFCSEEDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYKSHEVEYRKAVDDILKKALVTFCHRSQLNGASAAAGDKEYKIAHCVCSLHNVAANSNESLRRVANSPSDHFFLPSSKDHNESRESDPLIEQQRRQLSHPASMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPTGRPTLASARQLGPFNPIKYFRRSRL* >Brasy7G154600.1.p pacid=40046674 transcript=Brasy7G154600.1 locus=Brasy7G154600 ID=Brasy7G154600.1.v1.1 annot-version=v1.1 MPGAAAHAVEPRGPAASPPPVAATAATATAAAGGGCEPARKAGPVTMEHVLLALHETEAEREARIRDMFGFFDTSGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYEDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLMLYPNEATIENIYHHWERVCLVDIGEQAAIPEGLSKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVAHAVKDIFIRGGLLGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMKSKGENKSAVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTFSCVGGKVPSLGTLSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDASRTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGISGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD* >Brasy7G031500.1.p pacid=40046675 transcript=Brasy7G031500.1 locus=Brasy7G031500 ID=Brasy7G031500.1.v1.1 annot-version=v1.1 MKTTDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQPAITAKTQKENRPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNENLTGLDVRQSQHSRLVIP* >Brasy7G139400.1.p pacid=40046676 transcript=Brasy7G139400.1 locus=Brasy7G139400 ID=Brasy7G139400.1.v1.1 annot-version=v1.1 MDIEDSDSEVDMNRNVLFVPDSSDDEPDHELDCLHELEKADDSDNQHKKFFNDVTNMVLKGLKRKRIRKTIRKENRKRSKIGNQAGMDSGDDVAPKAYSRCNVSYLYEIIKSFSKCERRCGLIRSAGFGDILEFDGCAVPRSFVQWIADNTNVSTEEVCIEGKSIRLGAKFVTAIVGTPAGDVIIQSGEDGKARFLACFGLTELPPIKFFENKITKEDLSDEMFVRCFLVVVLSTFLCPTSSTYPSPKYLGALVDIDKIKDKNWSKLTHDWMINAIKKYQKQKGKLIRVSCTLGGFIYGLAVRCLDQFDFGDTDLPQIFPRILVWKREMISDFCKIKLTCKKMANMA* >Brasy7G089200.1.p pacid=40046677 transcript=Brasy7G089200.1 locus=Brasy7G089200 ID=Brasy7G089200.1.v1.1 annot-version=v1.1 MGTNPSQNHHLQITQFSLPKKQFVTYGLYALIPLALLHYLLFYPLATAKPPVQVPQRQEEVAAIVPSVHGYAPVNADEQLPPSSPHRQDEVLGNSPAGEAVSASPPCDYADGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPQRCDLPAFSPAAFLRWLRNRHLAFVGDSLARNQGESLLCLLSSHSSPELVYRDGEENKFRRWVFREYNATVSIFWSPLLVKVVEKAEHAGVRHNNVFLDAFDERWMSQLGALDAAVLSVGHWFLIPGIYHDAGNVVGCHDCAGLNHTETDFFGVFKEAVHRTLAEVTRRHAADTNSKKKKVIAFTTFSPAHFEGDWDKAGACSEKRPYKEKAVGYTETAMRKTVVEAVAAANADAGGLRFAALDVTKLANLRPDGHPGPYMRNDPFAGGGADARVQNDCVHWCMPGPIDTFNEILLQTIVG* >Brasy7G144800.1.p pacid=40046678 transcript=Brasy7G144800.1 locus=Brasy7G144800 ID=Brasy7G144800.1.v1.1 annot-version=v1.1 MVEFSWLTALGFAFLTFNSGMAIYRSNRDAGSVLFVAVSYLDLVALFACLRLYERLDRHSPRRDTVKAAVWALTTLLTFMFSYKVAELMPVAVKVLVWAMAAATTCGGFYAFFIHDDKQYQQLQQQEAPAAAERRDASNE* >Brasy7G146700.1.p pacid=40046679 transcript=Brasy7G146700.1 locus=Brasy7G146700 ID=Brasy7G146700.1.v1.1 annot-version=v1.1 MAPLLRLLAGAAALLLAVSPASAGSSAVDVGVNWGSQLTHPLLPSSVVKMLKDNGIMKVKLFDADPWPVEALLDSGIEVLLGIPNDMLEIMSSYGSAQDWVKENVTAYGDKIKLKYVAVGNEPFLKSYNGTFMKTTVPALKNIQKALDEAGLGDKVKATVPLNADVYVSPDDKPSSGQFRPDIDDVMTDMVKFLHDHGAPFVVNIYPFLSLYQSDDFPFEFAFFDGGRNIQDKDGVSYSNVFDANYDTLVSALKKAGVGGLKVVVGEVGWPTDGNKNANVNLARRFYDGLMKKLVKNEGTHLRPGKLDVYLFGLFDEDLKSIAPGDFERHWGILTYDGKPKFPMDLSGQGHDELLAGVSGVQYLPHQWCVLDDEAKALDKLPGNIQYACAGGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITDKNASTNGCLFPVQIISGRTVPPALGWTALLALAAHVLVMGFIV* >Brasy7G180300.1.p pacid=40046680 transcript=Brasy7G180300.1 locus=Brasy7G180300 ID=Brasy7G180300.1.v1.1 annot-version=v1.1 MLPPKGITSTERPPTRNQYPLGGGGGSSSWVERQRAEAARAFQQYLDHTAGWWAGTLVATVVYALRVYYVQGFYVVSYGLGIYLLNLLIGFLFPMVDPEVEALDAGPALPTRGNDEFKPFIRRLPEFKFWYAVSAALF* >Brasy7G194800.1.p pacid=40046681 transcript=Brasy7G194800.1 locus=Brasy7G194800 ID=Brasy7G194800.1.v1.1 annot-version=v1.1 MDESWRCTMGAAVPRQRSPAAGVQQSQLAADDFRDVFGGPPRTVLLSSFYGEPADQHVHAAAGSYYYGDRRPCADGRGGGVRMAASAVPTEEGFFDDIFSARARHVRSRSSRSKSTKSSSVVSSDDLGSGRRARPDAALSSFASKLRPIAIPSRRYDSSPPSTRGDHQYYQSQSSFACSTAAYPACRYYYGGESKSKPSGSSSAASASSSGRNHKQQRASGGGFCCFTSNPGETSSHEPSFRRTTRTHHGAEADDDGDCYSPSSSAASSSLFGNPLQSRTTPRRRLEEEAAMMMMMEVRERAPLLMDDDDDDIDSVGAAAVDEAIAWAKERFWSHDQ* >Brasy7G008500.1.p pacid=40046682 transcript=Brasy7G008500.1 locus=Brasy7G008500 ID=Brasy7G008500.1.v1.1 annot-version=v1.1 MVGIQACKIMIEPMDSEGKAQLVADNELYYDTSNTVAYPTFTNIPSVDSLKQSTYRYIFSLSIKMSVCQFSTLLSFELQPLIRKNPGFIFCLVSWLLQVGKLNKYSRLLAYMVLLLFITVTCKKCPTIKAVLSLSLWSLYDVLPDEAILSEAVESHPVQDWFNTTAGVGDPDALFLALKFQEINNVQRDIFWKLLPYNIHSILTIFSLKCIFYLLLLVSR* >Brasy7G074100.1.p pacid=40046683 transcript=Brasy7G074100.1 locus=Brasy7G074100 ID=Brasy7G074100.1.v1.1 annot-version=v1.1 MAEITGGGRGGGGQGGRRTVGLLASAAKRKDGFVQLLLMSGVLMMSLRSLSQKHRVRDLAEDAAELRREQEQISLRVRDLQDSLHREASADASGTLASHLRRIFAAHPATPVNPAAATDDK* >Brasy7G019000.1.p pacid=40046684 transcript=Brasy7G019000.1 locus=Brasy7G019000 ID=Brasy7G019000.1.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKPEPNELKPIAAEGVITCLQDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.2.p pacid=40046685 transcript=Brasy7G019000.2 locus=Brasy7G019000 ID=Brasy7G019000.2.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKPEPNELKPIAAEGVITCLQDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.3.p pacid=40046686 transcript=Brasy7G019000.3 locus=Brasy7G019000 ID=Brasy7G019000.3.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.4.p pacid=40046687 transcript=Brasy7G019000.4 locus=Brasy7G019000 ID=Brasy7G019000.4.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.5.p pacid=40046688 transcript=Brasy7G019000.5 locus=Brasy7G019000 ID=Brasy7G019000.5.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.6.p pacid=40046689 transcript=Brasy7G019000.6 locus=Brasy7G019000 ID=Brasy7G019000.6.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.7.p pacid=40046690 transcript=Brasy7G019000.7 locus=Brasy7G019000 ID=Brasy7G019000.7.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.8.p pacid=40046691 transcript=Brasy7G019000.8 locus=Brasy7G019000 ID=Brasy7G019000.8.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKPEPNELKPIAAEGVITCLQDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.9.p pacid=40046692 transcript=Brasy7G019000.9 locus=Brasy7G019000 ID=Brasy7G019000.9.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDAIETLDQGNDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.10.p pacid=40046693 transcript=Brasy7G019000.10 locus=Brasy7G019000 ID=Brasy7G019000.10.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKDIQGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.11.p pacid=40046694 transcript=Brasy7G019000.11 locus=Brasy7G019000 ID=Brasy7G019000.11.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKGVADQWSFVPHVVDELVRLDRRRSGGLLSKKQLTQLAITCKNIYQAEFARMDSDDKKGKEHQSNSPTVDRPGKIRSDDAQYDSDDTIEMTEEEIDLACRQFSGISS* >Brasy7G019000.12.p pacid=40046695 transcript=Brasy7G019000.12 locus=Brasy7G019000 ID=Brasy7G019000.12.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKSQTN* >Brasy7G019000.13.p pacid=40046696 transcript=Brasy7G019000.13 locus=Brasy7G019000 ID=Brasy7G019000.13.v1.1 annot-version=v1.1 MPESSSNPGNGKGGKRSLPSWMGSGDGGEGSSPGKKKHTEESHERDQRGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSPDCTLLVCAFASTPKFRQVQSENGTIVSKEWISESHSQRKLVDIEPYLMHAGKPWRQNKELAESSQDRKKPHKEHEKQLEQSHVKSPPAAKAGHSDATSKHFSPSNIKQWALDDFAKTVSWLESQEEKSQTN* >Brasy7G049000.1.p pacid=40046697 transcript=Brasy7G049000.1 locus=Brasy7G049000 ID=Brasy7G049000.1.v1.1 annot-version=v1.1 MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKAAAGIDYDSSYGKARPNDTILKLQRTSPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLDNRVTEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPRADDDEAGRQGHVSHGGLLPRAVISQQHSSDQPQPPGMEGQQQAAPASYYFNIPAPPATERTMYPSMDPQRMGAVVRSQEGDSGPQHAGQAQPSSSSGQGYPMRPPVAYYHGQYPPYYPPPPPYGGYTQPPRMPYPPQYPPYQPMLAPPAQPQQASSSQQPAPGPAGQQQPAQAPPTQQPAAQN* >Brasy7G005700.1.p pacid=40046698 transcript=Brasy7G005700.1 locus=Brasy7G005700 ID=Brasy7G005700.1.v1.1 annot-version=v1.1 MAVMGGGVVPVLVMVALNMVAAVMVTLVKVAMDGGMDPLVLVTLQQLTAALFLGPIAYFRESKSRPKMTLEIFAYLFVSAALGAALRQYMIFIALRYTTATFVAAFSNVAPVLTFLLAVATRSETLRLATAPGVSKLVGTLVSLGGAMVLTFYKGVPITHVHASAAAIGSHPSSSSPAPAHGNAQWTLGTVAILGNCVCLSCWFLVHGRLSKKYPHVYSCNAFMSALSFLQVAAVGLVARRSLAAWTITSKFQILTVLYAGVVGCGVSFVLLTWCIEKRGPVFVAAFIPVVQIIVSIIDFTVLHEQLYLGSVLGSVFVIGGLYLLLWGKRQEALKQQQQQQAPKADQDDKEKQELQLQQVQVQQP* >Brasy7G046400.1.p pacid=40046699 transcript=Brasy7G046400.1 locus=Brasy7G046400 ID=Brasy7G046400.1.v1.1 annot-version=v1.1 MDQFLDGHHVRLRSLELGTYLHAAADGIGVFLDPNRASVNAAWTVHRYQSVLGNAYLLLHSAAFGRYLSATNTRAPWGQRGYRVEQREFDEPEVYSIMWRVISVDNFVMLSHAVTNFLRANGRRRFNWNSGVTVDNMSTMMHWVVEPIPPSQVYPGIPGPIAETLSSPQFACLLFGREQPLSRVIRCQQANADGTFNEDGWTEFQFRGNCGYLLRFELIIRLGIVSFIVCVRAGRYARLTPMLANLPTGTGDTLYIVAIRSQSPAADELRYPDMDAA* >Brasy7G169000.1.p pacid=40046700 transcript=Brasy7G169000.1 locus=Brasy7G169000 ID=Brasy7G169000.1.v1.1 annot-version=v1.1 MCLVPSHDAAAAYASLRLRPHGTLRVSAVQSLVAVMVQQPQSSIAGAVEKNRPNVDVFVGLGLRGRRVATRRARGAARAGAQADGTGARVTRLLVHDSGVSRRCQPVTTLSLSRAILVRLTFLFPTHYLISFPPLPSLSLSLSLSLSPFSLPPSLSASRLAARVADASAPPAAEQKTPAVPDPTPRAAPLTPPRRK* >Brasy7G141700.1.p pacid=40046701 transcript=Brasy7G141700.1 locus=Brasy7G141700 ID=Brasy7G141700.1.v1.1 annot-version=v1.1 MTPKWRPMEMPNNIAGEWPCGSVLIYTARRPLPRPLRALFPRVADSAPGIRPRTVTYGCRLPCVIDPSIPPSMESFLQAGASGGAAAAAAAAVGGLVAAAAFAERLSVKGPRSQLNAPPGDQK* >Brasy7G097300.1.p pacid=40046702 transcript=Brasy7G097300.1 locus=Brasy7G097300 ID=Brasy7G097300.1.v1.1 annot-version=v1.1 MVTNTCYKGREEKGPKPGPGKTSYEPTHEPREPPLDPGILVQQRQLAQAGRDRQGLIPCTCTLPPPHCSIRAPPWTWTSRRPQRISQAPRHPDPANARRPPDKTPPLSFPSLHLPFAPPHVSPISYSTLACSPPLCCLLFLLLVSSRLAAGPLLLRAAPAQIRWRFRSDRAPRVRCGAGGWFVVWGGRQVG* >Brasy7G225600.1.p pacid=40046703 transcript=Brasy7G225600.1 locus=Brasy7G225600 ID=Brasy7G225600.1.v1.1 annot-version=v1.1 MASSMALAEEKDGLDSSCLPINNGQSSDVVKSPMMDDSIAMQSKEEGMGDEHPVKARKPYTITKQREKWTEAEHERFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGAKIQIEIPPPRPKRKPLHPYPRKRANSCNGANPVAGQPKLDPLSSSSGSDQENGSPVSVLSAMQTDAFGSSMSNPSTQCNSPASSDDENNVPPLMSADENVPCQQTGVDQSHKEIDQDNKDTGMSEEDSSDEVQETSLKLFGKTVVITDPRKRCSPDTGHENGEQNSQSSNQRTSQSPPVGEVPAYTATNGWLLPYNSFPLHFCEPADARMAPLHIYWPYYGFPIGHPREGTNTVAHNEATNENDTGKSHPVESSSDTEDNAQAASKNREVTEPHGRIQFSWSTPNFELKPSTNSAFVRVQPNSGGNQPARGFVPYKRCRVE* >Brasy7G225600.2.p pacid=40046704 transcript=Brasy7G225600.2 locus=Brasy7G225600 ID=Brasy7G225600.2.v1.1 annot-version=v1.1 MASSMALAEEKDGLDSSCLPINNGQSSDVVKSPMMDDSIAMQSKEEGMGDEHPVKARKPYTITKQREKWTEAEHERFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGAKIQIEIPPPRPKRKPLHPYPRKRANSCNGANPVAGQPKLDPLSSSSGSDQENGSPVSVLSAMQTDAFGSSMSNPSTQCNSPASSDDENNVPPLMSADENVPCQQTGVDQSHKEIDQDNKDTGMSEEDSSDEVQETSLKLFGKTVVITDPRKRCSPDTGHENDARMAPLHIYWPYYGFPIGHPREGTNTVAHNEATNENDTGKSHPVESSSDTEDNAQAASKNREVTEPHGRIQFSWSTPNFELKPSTNSAFVRVQPNSGGNQPARGFVPYKRCRVE* >Brasy7G225600.3.p pacid=40046705 transcript=Brasy7G225600.3 locus=Brasy7G225600 ID=Brasy7G225600.3.v1.1 annot-version=v1.1 MVGPGVRYKVVREPGAKIQIEIPPPRPKRKPLHPYPRKRANSCNGANPVAGQPKLDPLSSSSGSDQENGSPVSVLSAMQTDAFGSSMSNPSTQCNSPASSDDENNVPPLMSADENVPCQQTGVDQSHKEIDQDNKDTGMSEEDSSDEVQETSLKLFGKTVVITDPRKRCSPDTGHENGEQNSQSSNQRTSQSPPVGEVPAYTATNGWLLPYNSFPLHFCEPADARMAPLHIYWPYYGFPIGHPREGTNTVAHNEATNENDTGKSHPVESSSDTEDNAQAASKNREVTEPHGRIQFSWSTPNFELKPSTNSAFVRVQPNSGGNQPARGFVPYKRCRVE* >Brasy7G225600.4.p pacid=40046706 transcript=Brasy7G225600.4 locus=Brasy7G225600 ID=Brasy7G225600.4.v1.1 annot-version=v1.1 MVGPGVRYKVVREPGAKIQIEIPPPRPKRKPLHPYPRKRANSCNGANPVAGQPKLDPLSSSSGSDQENGSPVSVLSAMQTDAFGSSMSNPSTQCNSPASSDDENNVPPLMSADENVPCQQTGVDQSHKEIDQDNKDTGMSEEDSSDEVQETSLKLFGKTVVITDPRKRCSPDTGHENDARMAPLHIYWPYYGFPIGHPREGTNTVAHNEATNENDTGKSHPVESSSDTEDNAQAASKNREVTEPHGRIQFSWSTPNFELKPSTNSAFVRVQPNSGGNQPARGFVPYKRCRVE* >Brasy7G001800.1.p pacid=40046707 transcript=Brasy7G001800.1 locus=Brasy7G001800 ID=Brasy7G001800.1.v1.1 annot-version=v1.1 MDDPRRQRHLACSNCAAEQAVVFCAADAARLCLECDGAVHAASALAANHSRAPLCDSCFAAPAAIRCAHGDTEDAQFTFCSGCAGHRAPPEGWAASCPVAHYTGCPTPADVLRLLSVDAPPAQEDFDAWLADKLPQILQDVVQDGSQICEGGSTAPSTTATITGAERSSGGGAGVNGSSFVGPNSDHDWNITTNTATTGTVDHHAAAAGGGADHNNSLAFEPTPSSSVCHIFSSSSPYCSSSLSCLQQQPAMASATSLLHSLDPQLQLLDGGAAAAAGCSFVLPPAVAAANFLTISPPRPPPPDQINGGEGSSTMSAAQQQDPMAMTKKREERDRAKLRYNEKKKNRKFCKQIMYASRKARADTRKRVKGRFAKATNEHQHILHSADSP* >Brasy7G060600.1.p pacid=40046708 transcript=Brasy7G060600.1 locus=Brasy7G060600 ID=Brasy7G060600.1.v1.1 annot-version=v1.1 MQLLSLPNVRRRASNSWSAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSFRHMQQAKIDQRLHSIEQSSWDTHKVEHDEIKKIVTSNNILAPQLV* >Brasy7G089000.1.p pacid=40046709 transcript=Brasy7G089000.1 locus=Brasy7G089000 ID=Brasy7G089000.1.v1.1 annot-version=v1.1 MRDSWIASAIAYAKPGDERWAVMDQECCRSLRVSPQCSSVLTLRGRVYYATPEGNILETRLRPKPGLAPVVVNQPLNLWRNVRSFLVPPDDQHRSVLMVFEVNLDGKNLLPVDYIGRHRAVFAGEVACFSLSTTAGFPCISGNAVYPGVSGYRFPPIGVRYLTNKTIDPPFEFTMDDKQLKVAGRELKTFRQSFPDLNLVPLARPCTLPEYLVCCAGLNGGLKD* >Brasy7G107600.1.p pacid=40046710 transcript=Brasy7G107600.1 locus=Brasy7G107600 ID=Brasy7G107600.1.v1.1 annot-version=v1.1 MLKCERQYHVKCISGKAFCNEEGGLGTWFCGRRCQRIYTSLRPRVGIPDHRDDGFSCTILCNNGDQKVHAAADITLLDECYMKLIIASSIVS* >Brasy7G208000.1.p pacid=40046711 transcript=Brasy7G208000.1 locus=Brasy7G208000 ID=Brasy7G208000.1.v1.1 annot-version=v1.1 MDMDMSSTTHPHHWLSFSLSNNYHHGLLEALSSSSSAHQLGDQEGTVDETPKMEDFLGGVGSAAGTAAVDQIGCGELGSIAAGFLHRYPAPAGIPENHGAVTVAAAATDLQVESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYETELEEMQSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILSSDLPVGGGAATRASKFQADPSLPPQNAGVVSPDMLPPLEKDYWSQLALHYQQQQQQQQHQQQQHQQQFHASAFQTYGSGVNVDFTMGTSNHSSSNGGAMWGAMGQQDDGNRQSNSYSSDIPYAAAAAAMVSGSAAVYEGSTGNNGTWVTSNPSTAPQFYNYLFGME* >Brasy7G183600.1.p pacid=40046712 transcript=Brasy7G183600.1 locus=Brasy7G183600 ID=Brasy7G183600.1.v1.1 annot-version=v1.1 MQLSRRRRPCCHRFTISSGACVFLLLVLASLCGRAGACVDEGERAALLSFLADLSPRPGDGIFSSWQGGSPDCCSWEGLACDGDGSGGAVTRVSLPGRGLGGRISPSLANLTALTHLNLSGNSLAGPFPLALLSLPNAAVIDVSYNRLSGSLPDVPPAAGLRLQVLDVSSNHLSGPFPSAVWRLTPSLVSLNASNNSFGGPIPVPSLCAVCPELAVLDFSVNAFGGAISPGFGECSKLRVLSAGRNNLTGELPDDLFDVKPLQQLSLPSNQLQGRLDRLRIAELTNLVKLDLTYNALTGELPESIGELLKLEDLRLGKNNLTGTLPPALSNWTGLRYLDLRSNSFVGDLGAMDFSGLADLAVFDVASNNFTGTMPPSIYSCTAMTALRVAGNELSGQLAPEIGNLRQLQFLSLTVNAFTNISGLFWNLRGCKDLAALLVSYNFYGEALPDAGWVGDHLSSVRLMVVENCDLTGQIPPWLPKLQDLNVLNLAGNRLTGPIPSWLGGMKKLYYVDLSDNNLSGEIPPSLMELPLLTSEQAMADFNPGHLPLVFTLTPNNGAEIRRGRGYYQMSGVAATLNLSDNYFSGAIPAEVARLKTLQVLDLSHNNLSGGITPEFSGLTKLEILDLRQNRLTGPIPQSLNKLHFLSSFNVAHNDFEGPVPTGGQFNAFPSSSFAANPKLCGPAISVRCGKKSTTETGSKLSSSRRTIGKRALVAIVLGVCLGVIALVVLLGLAVIGIRRVMSNGSVSDGVKCAEASLFADSMSELHGEDSKDTILFMSEEAGTAAQRITFTDIMKATDNFSPSRIIGTGGYGLVFLAEMECGARLAVKKLNGDMCLVEREFRAEVEALSLTRHENLVPLQGFCVRGQLRLLLYPYMANGSLHDRLHDDHDNGSVMGWAARLRIARGASRGLLHIHERCTQQIVHRDIKSSNILLDEQWQARVADFGLARLISPDRTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVLLELLTGRRPVEVGRQSGDLVGWVMVMRAEGKQAEALDPRLKGDEAQMLYVLDLACLCVDAMPFSRPAIQEVVSWLDNVDTVSSKSASPGGNI* >Brasy7G035800.1.p pacid=40046713 transcript=Brasy7G035800.1 locus=Brasy7G035800 ID=Brasy7G035800.1.v1.1 annot-version=v1.1 MLGVIPKSRRAAAAVELGSPPTMKKKTSPPSPSPATVAAGGKVVAEEVWEVRPGGMLVQKREAGADEKAQPPLSSVKPVPTIRVKVKQHGGATHEIYISAEATFGELRKMVAERTGAHPEDLKVSYKDKARDPKAFLDMAGVKDRSRIAVADDPEARARRLVEERREGHLRRASAAVNAVAAEVDRIAPKVEAMEASVRKGEKVAEKDLVTVTELLMNELLKLDAVVAGGDVKAQRRVQVKRVQKYVETLDAVAAKNAAIVCKPAAGKQKPQPQPQSRRQQPPPQQQQSQRQQQQQTHRQQQQQQQQQQPTRWEMFDLLSSLPSTSSAASSTTTVSSTASSGAPPPAANRLDWML* >Brasy7G123000.1.p pacid=40046714 transcript=Brasy7G123000.1 locus=Brasy7G123000 ID=Brasy7G123000.1.v1.1 annot-version=v1.1 MAAHHHLLPLLLLLLIPFASAAATGDEAHVSAVIADKGLTFAKDVLIGEAVRSLTPLRLPGAEKAVRLPFLGGIRVAVSNITLFHLDVGEDSAIRPGDSALVIVASGIRANISMAWSYSYDSWYFPIEISDSGTASILVQGMEVGITMEIKNYNGSLALSVSKCGCYVKDLVISLDGGASWFYQGFINAFEDHIRAAVEKVIPENIIEGTSKLDLLLRSLPRSVNLDNVTALNMTFVNDPQYGNSSIEFDIDGLLTSAVARTSNFQKNPQLSLSCGGASQMLLLSLDEAVFNSALEVYFKAGSMHWVVDKVPDQSLLNTASWKFIIPRLYWSYPNDAMVLNISMASSPIMRIMSDKIDATINADMIVDVLHGTETVPVACISIVVSASGVVKTSGNIVYGSVELDNFSLALKWSEIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGFILPVVRGFTLKDVYVVASTQQLTLCSDITFTNASSLASLQIL* >Brasy7G123000.2.p pacid=40046715 transcript=Brasy7G123000.2 locus=Brasy7G123000 ID=Brasy7G123000.2.v1.1 annot-version=v1.1 MEVGITMEIKNYNGSLALSVSKCGCYVKDLVISLDGGASWFYQGFINAFEDHIRAAVEKVIPENIIEGTSKLDLLLRSLPRSVNLDNVTALNMTFVNDPQYGNSSIEFDIDGLLTSAVARTSNFQKNPQLSLSCGGASQMLLLSLDEAVFNSALEVYFKAGSMHWVVDKVPDQSLLNTASWKFIIPRLYWSYPNDAMVLNISMASSPIMRIMSDKIDATINADMIVDVLHGTETVPVACISIVVSASGVVKTSGNIVYGSVELDNFSLALKWSEIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGFILPVVRGFTLKDVYVVASTQQLTLCSDITFTNASSLASLQIL* >Brasy7G193600.1.p pacid=40046716 transcript=Brasy7G193600.1 locus=Brasy7G193600 ID=Brasy7G193600.1.v1.1 annot-version=v1.1 MRGGGGGDGVVARRWVLLLCAGSFCLGLLFTTGMWTLPEATEVVKPNERRSGKEIALTAGDCNSAKVREKHDYRDMLQLQALDSHHAVQTLDKTIANLETELSAARTLQESFLNGSPVSEEYKASESSGRRKYFMVIGINTAFSSRKRRDSIRNTWMPQGEKRKKLEEEKRIIIRFVIGHSAIAGGIVDRAIAAEERKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDADFYVKVDDDVHVNIATLGQILSKHVSRPRVYTGCMKSGPVLSEKDVRYYEPEHWKFGDKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVENIQGVHNRCGESEKALWTSSF* >Brasy7G193600.2.p pacid=40046717 transcript=Brasy7G193600.2 locus=Brasy7G193600 ID=Brasy7G193600.2.v1.1 annot-version=v1.1 MRGGGGGDGVVARRWVLLLCAGSFCLGLLFTTGMWTLPEATEVVKPNERRSGKEIALTAGDCNSAKVREKHDYRDMLQLQALDSHHAVQTLDKTIANLETELSAARTLQESFLNGSPVSEEYKASESSGRRKYFMVIGINTAFSSRKRRDSIRNTWMPQGEKRKKLEEEKRIIIRFVIGHSAIAGGIVDRAIAAEERKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDADFYVKVDDDVHVNIGMLDITNPSTGNLGISISDMPPANSMLFRKIWQPTSP* >Brasy7G226400.1.p pacid=40046718 transcript=Brasy7G226400.1 locus=Brasy7G226400 ID=Brasy7G226400.1.v1.1 annot-version=v1.1 MEAAIGAASGLIDGVVKLLSNELVQAYVASAELGLNAEKIKTSLFFAQDLLQQARQRGMAEDRPGLKGLVQQLSAKADQAEDALDELHYFIIQDQLDGTKCAVPDLGDDLRGQARHGRHALRHTVGNCLACFSCSPTPQDHGDGDATVDTNNLHNATKPASASGVNDGPVDNLSFDRVAMSKKIKLVIEQILPLCDKVSELLKINTTHGNVTATVSLKRPVIGSTTTQDTLYGRRELFEQTIEDIITGLSNSSEKLSVQPIVGPGGIGKTTFAQHLYNDRRIEEHFSVRVWICVSTVFDVLKISQQILSCIEGNNNANQTTSLDQLQISIAQNLKSKRCLIVFDDIWGCNSQSWENLLAPFMKGGAKGSTVLVTTRFPFIAEMVKSTNPIPLKGLEPDEFFTFFEAFIFEGEKPEDYQHSLIDVARNISKKLKGSPLAAKTVGRLLRKDRSWEHWMGVLESNEWQNQKNDDDIMPSLKISYDYLPFHLKKCFPYFSLFPEDYMFNKLEITYFWIAIGVIDKDVEYMEELVDNGFLVKGNDHSGEHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSFTMEDRYEDNFRGEMVKLRSKIDIVNLRALMIFRAYGEPVGEILKDTFKEIEGLRVLLVEVKSLESLPHNFSKLIHLRFLKISKPPHILDPEVTFPSTLSRFYHLILLDVSNWRHSSTKLPKDISRLISLRHFVARKELHSNVPAVGKMKCLKELTEFCVKKESDGFELSELGALTELGGELRICNLESVATKEEALTAKLVSKGGLKKLTLVWGGEQQAAKSDVLDGLEPHPNLLALAIENHGGSTGPSWFCGSNISTVMLTSLHLEGMSWVDPPFGQLLHLTSLTLKNISGLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEEICCSSCPNLCSLPFLQESSAVTCNHLTSLEISKCPMLFLPLMPHTSTLTEFSVKDSPVGKMVYIGIFKLLSFDGYIGEVALHNMAGKVESIRFVGGSKIPWAELPKLTSLSTLVIEKDPSFVSMALLSNLPTSLTSLSLIDCENLSVDGFNPLIAAVNLKKLMVYNRGRDGPRPIAANFLSELVVASRTKPLLPAAGCFQLETLDVDCISAVLAAPVCSLFSATLHNLFFSFDQRVESFTEEEENALQLLTSLRTLYFGRCPGLPSLPQGLHSLSSLRELHVYKCPEIRSLPKVGLPTSLLELDVYGCSPELQEQAKELQGTKPDLRVRC* >Brasy7G140200.1.p pacid=40046719 transcript=Brasy7G140200.1 locus=Brasy7G140200 ID=Brasy7G140200.1.v1.1 annot-version=v1.1 MLEAAIPALLSTVHGWFTPAVLFVVLNIVIGTIAVTSKVAAASGSRDGAAADGAGAGAGAGGEKRGLSRVPSMALDRLRSFNLSSFAAPAPEPAVTGVLDLGLDEQIPALETDDDEGEPEHAHMERSMSEAAAEAELQRLPARLRKSASEKSAFAHFVAEEDTEAVEARRPATTRDAGRRRTLAAEPEEPAWGEEIEEAAAEVDARADDFINKFRHQLKLQRIDSFIRYRDTLRRGQQAAMAGAEQ* >Brasy7G123100.1.p pacid=40046720 transcript=Brasy7G123100.1 locus=Brasy7G123100 ID=Brasy7G123100.1.v1.1 annot-version=v1.1 MRRERRLYSLLGFLLLFAVVYLNCFPGRDPAAPGGGLKLPVPWLQPRMAFAGRNGTHFVDAATGAPLYVNGWNSYWLLSSRSPALVAEMLRRGRRMGLGVCRTWAFSDGGPDALQISPGRFSEAVFQVLDYVIYEARRNNIRLILCLVNNLDNFGGKAQYVKWAQAAGANLTNSTDSFFYHPTIKGYYKDYVKAMLTRKNSYSGIRYCDEPAIFAWELMNEPRCVSNSSGPHLQAWITEMAAYAKSLDNNHLVTVGIEGFYGPGIAERLGFNPGDWAASLCCDFMQNSAVKHIDFASVHAYPDSWLPKASMEEKVRYLSGWVDSHLNDSENILKKPVLFSEVGYLQHADANTTVDRDILLRVVYDKIYDSARKLQAGGGALIWQLMVKGTHMYHDNFSLVARDHPSTYKLIKEHSCRLQMLHKKEGDPGWQCTIPP* >Brasy7G003700.1.p pacid=40046721 transcript=Brasy7G003700.1 locus=Brasy7G003700 ID=Brasy7G003700.1.v1.1 annot-version=v1.1 MHACIAWLLVVKLCGSFLHALYKPASQPEAKLVGGMHVFGCLSCMFAIPLKQRLPCLRAPAGPSLVPLDPIRGRYLISSFLVPNAVIYLLLSFTSSLAHNHQRVLCGLCLRERKN* >Brasy7G089300.1.p pacid=40046722 transcript=Brasy7G089300.1 locus=Brasy7G089300 ID=Brasy7G089300.1.v1.1 annot-version=v1.1 MKFVAAYLLAHLAGNPSPTKDDVRKILDSVGAEVEEGKLELLFKEVQGKDLAELLAAGREKLAFAPSAGAAVGAAVGGGAPAADEEKNKKKEEKADEKLELEEEDDENMFSLFD* >Brasy7G178400.1.p pacid=40046723 transcript=Brasy7G178400.1 locus=Brasy7G178400 ID=Brasy7G178400.1.v1.1 annot-version=v1.1 MSSSARLHQPPSCRRPAALANLQSFHASPTTATCRLRHQYRNPLAAAPLRLRAVPVRATDAARQPFDHLSGAVEGEENTHPRLKIAIVGFGNYGQFLARTMVQQGHTVLAHSRSDHSAAAGSIGASFYADPHDLCECQPDVVLLSTSILSAEAVLRSLPVHRFRRSTLFADVLSVKEFPKNLLLAYLPGDFDVICTHPMFGPESARDGWAGLPFVFDEVRVGDGPARRARADAFLGVFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLATLDLKSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNNNATELLHRLESAMDSVKRRLFDGLHEVLRRQLFEGSPPLNRDSSFPAESSLDDGR* >Brasy7G052500.1.p pacid=40046724 transcript=Brasy7G052500.1 locus=Brasy7G052500 ID=Brasy7G052500.1.v1.1 annot-version=v1.1 MARGLRGVRDDLSELGRHLLDIACFLHPLLSPAHTDSPPLTPTAHAARRNRNRSPSPRPASPPSSPSLLAGILADLAEIGGSFRVGFSPRGALPDRPPPARPLPGPDSPPRATAGAAAGIADGVVGAARSLAARPEAWIDFPVLALDENSTISDIERDHMKVIEELVPDLASLRARLCPSYMDEDVFWKIYFRLLESNLIEQNSEEDNQNVPRHHVNEIESDSAPHVCEIESEKSIQEGYQSSESHALSKTRSEQSIDQWVFAKSKSEQSMDQWSEIPSDVESFREGKRYLSSEAEEMSDADSSNVVVMDKYMDSLLPDRRSLSYASSSVRRDSVRRKPASSPEYSHRPPQPTQHASLSKKESWDVIEDSEFDILDS* >Brasy7G085500.1.p pacid=40046725 transcript=Brasy7G085500.1 locus=Brasy7G085500 ID=Brasy7G085500.1.v1.1 annot-version=v1.1 MRRWPLPIPGVANHRALATAAVASPTDELVRQHNRSLVALLRRGRLAAARRLFDALPARSVVTWNSFLAALARSRDVAAAREFFASMPVRDAVSWNTLLAAYSRSTHSDHLAAARRLFDEMPQRDAVTWNTLLGAYVRRGLMVEAEKLFGDMPQRNVASWNTMVTGFFSAGQVNKALDMFDAMPVKDSASLGTLVSGFIKNGRLHEAEELLTKRLRVMDMDKAVDAYNTLIAAYGQVGRVSDARRLFDMIPRGQYQRKTNNMRVFARNVVSWNSMMTCYIRTGDFCSAREIFNEMPDKDLVSWNTMIAGYTKVSDMEEAEKLFWEMPDPDIVSWNLIIRGFTQKGDVEHARGFFDRMPERGTISWNTMISGYEQNGHYDGAIELFTKMLEAGGTPDRHTFSSVLAACASLPMLRLGAQLHQLIEKSFLPDTATSNALMTMYSRGGALTDAEAIFKQMPQKDLVSWNALIGGYEHHGRATEALQLFEDMRSARVMPTHITFISLLSACGNAGLVSEGWMVFDTMIHEYGIAARIEHYAALVNLIGRHGQLDDALEVINSMPIAPDRSVWGAFLGACTAKKNELLAHMAAKALSKIDPESSAPYVLIHNLHAHEGRWGSASVVREEMEQQGIHKHPGYSWIDLHNKCSCLLAFRGVPVSQSKKHRDEPGNSTRGLIGQVFGLIVTCLKRRHSFPVFPSQAPIANHYALLDPQLHIAAICKVSLIPSLMMMC* >Brasy7G086200.1.p pacid=40046726 transcript=Brasy7G086200.1 locus=Brasy7G086200 ID=Brasy7G086200.1.v1.1 annot-version=v1.1 MGKHTPWSHFLAGEEYGRRRERSSGGGGRGARPEKGEEQGRRRERRPGEGGLVVRRTAAAWSSAGGGRGAQAEEGEEQGRRRERSPGGRGKGARPEEGEEARRRRERRPGGGGLVVRRAAAAAAPGCKPYAEERRRGRGELDGGTGRSGGRKKRKRIAKRYAVAISM* >Brasy7G172500.1.p pacid=40046727 transcript=Brasy7G172500.1 locus=Brasy7G172500 ID=Brasy7G172500.1.v1.1 annot-version=v1.1 MLVKWPRQPLSFETLDRIEKQPLARSPHNNMRALTILTTSAAFLLMITTTISPRAGVALAASDDDQHRRGSILWRGSSLAVGHAPVNGMGSRAELRGDDGALLVLQDCLDDCNCHASGCKKGGTGKCHPEIAVWNVRGSRGPDTTSTSVRIGPSSRYLRTSGGGGEINFGYFYRFLAGLFVVEAIFILIGYLFIFVLADPSASPSRRVHGEEGYGLVPGDFRRFAYDELSRATWDFAEELGRAVYKGVLGDGRAVSVTRLAEAADGELFMSELGDPGVIGRISHMNLAKIWGFCSEGPHRLLVCEYVENGSLAEALFGGENETPLAWHSRYKVAVGAAKGLAYLHHECILHCDVKPENILLDADLEPKITGFGLVKLLSREDNADDATGSHVLPWSLAQGANRGYVAPESALGQPVTGKADVYSFGVVLLELLSGQRVSEWLVMEGERTGYQRLVAFLNDEMESQYRTSVPEWLPEFVDVRLLGDFSHMQAAAMLDLAVTCVHDDPGRRPGMNVVVQNLISLQ* >Brasy7G043200.1.p pacid=40046728 transcript=Brasy7G043200.1 locus=Brasy7G043200 ID=Brasy7G043200.1.v1.1 annot-version=v1.1 MNKAVVDDASSIIHNSESHLPLLMPKIEVSHLMESGLRSYKTYEMSSRFIPRKKSSSKALKKTNVVKGQWTLEEDRKLVKLVEQFGLRKWSQIAQMLPGRVGKQCRERWHNHLRPNIKKDTWSEEEDMILIQTHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRTRSSAKNPKSGTLLQSYIKSLGIGCSKVIAPLDPKEPPLSPSSPPSPTQNLVHVNDNLLESNSSNIIVTQGIFSTEDIQTHSCEEILVPMCDDFSVDMCDGLFDAKEEAQYQVYNIDDDVDMDSIFNHIDYAIKIDHGIDMDMTWDDNALINIESESSPLVTPTGPAEIKTVKEEMDLVELVAHTQSCN* >Brasy7G165400.1.p pacid=40046729 transcript=Brasy7G165400.1 locus=Brasy7G165400 ID=Brasy7G165400.1.v1.1 annot-version=v1.1 MHMRREDRTGPCVQPMISSGSQSSWMPFNLCLFSCACRIHSATLFCCIQSLHCTSGRSVSAYIQQRFTALQIHQRRIDRSMASYRPALLQLSSASASALCTAHSIDVRAPQRS* >Brasy7G138500.1.p pacid=40046730 transcript=Brasy7G138500.1 locus=Brasy7G138500 ID=Brasy7G138500.1.v1.1 annot-version=v1.1 MGRGDELWGRAVRAAAAGKGEAASAARKREGGAAGESSGGGGMEIERGWLRIEWCEEEEFGEEVRGEGGGGVIRDGPRRHDLGAGPLGQMLGRAMALAARSGFGGRRNRTRGSKIEKEWWAGPRGRRAQGRRAVAACAGEGEGGIRG* >Brasy7G040600.1.p pacid=40046731 transcript=Brasy7G040600.1 locus=Brasy7G040600 ID=Brasy7G040600.1.v1.1 annot-version=v1.1 MSIRPWFLGASFVRFWSVLVTMYAAFICCSSVVDIVAEKAITIKACLDVLSLPGALLILLYGIQHSHDEEGYEGIGNAVYKPLNTEADGEIIESESEVTPFAKAGVFSKMSFWWLNHLMKMGYDKPLEDKDVPDLQTTDRAHNQYLMFLEKLNSKQSHSHAKPSIFWTIVSCHKRGIMVSGFFALLKVLTLSLGPLLLKAFINVSIGKGTFKYEGFVLAATMFVCKCCESLAQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLRHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGAATVSSLLVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREAEYKWLSAFLLRRAYNSLLFWSSPVLVSAATFLTCYVLEIPLDASNVFTTVATLRLVQDPVRSIPDVIAVVIQAKVAFTRISKFLDAPELNGQVRKNYCVGMDYPIAMSSCGFSWDENSSRPTLKNINLVVKAGEKVAICGEVGSGKSTLLAAILGEVPKTGGTIQVCGKIAYVSQNAWIQTGTLQDNILFGSLMDKQIYQETLVRCSLVKDLELLPFGDQTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGVLSDKTVILVTHQVDFLPVFDSILLMSDGEVIRSAPYQDLLVDCQEFIDLVNAHRDTAGVSDLNNMAPDRALEIPTKETDHVHGNKYIESVKPSPVDQLIKKEERESGDSGLKPYMLYLRQNKGFLYASLSIISHIVFLAGQISQNSWMAANVQNPHVSTLKLISVYVVIGVCTVFFVLSRSLFVVVLGVQTSRSLFSQLLNSLFRAPMSFFDCTPLGRVLSRVSSDLSIVDLDVPFGFMFCLSASLNAYSNLGVLAVVTWEVLFVSLPMIVLAIQLQRYYLASAKELMRINGTTKSALVNHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPYFYNFAATEWLIQRLETMSALVLSSSAFVMAILPQGTFSPGFVGMALSYGLSLNNSFVNSIQKQCNLANQIISVERVNQYMDIQSEAAEVIEENRPGPDWPQVGSVELRDLKIRYRRDAPLVLHGISCKFQGTDKIGIVGRTGSGKTTLIGALFRLVEPVGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIREVLDKCQLLEAVQEKEQGLDSVVAEGGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPTKLMETEGSLFCDLVKEYWSYTSNGNI* >Brasy7G008200.1.p pacid=40046732 transcript=Brasy7G008200.1 locus=Brasy7G008200 ID=Brasy7G008200.1.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.9.p pacid=40046733 transcript=Brasy7G008200.9 locus=Brasy7G008200 ID=Brasy7G008200.9.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.13.p pacid=40046734 transcript=Brasy7G008200.13 locus=Brasy7G008200 ID=Brasy7G008200.13.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.2.p pacid=40046735 transcript=Brasy7G008200.2 locus=Brasy7G008200 ID=Brasy7G008200.2.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.6.p pacid=40046736 transcript=Brasy7G008200.6 locus=Brasy7G008200 ID=Brasy7G008200.6.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.10.p pacid=40046737 transcript=Brasy7G008200.10 locus=Brasy7G008200 ID=Brasy7G008200.10.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.3.p pacid=40046738 transcript=Brasy7G008200.3 locus=Brasy7G008200 ID=Brasy7G008200.3.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.14.p pacid=40046739 transcript=Brasy7G008200.14 locus=Brasy7G008200 ID=Brasy7G008200.14.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G008200.7.p pacid=40046740 transcript=Brasy7G008200.7 locus=Brasy7G008200 ID=Brasy7G008200.7.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.15.p pacid=40046741 transcript=Brasy7G008200.15 locus=Brasy7G008200 ID=Brasy7G008200.15.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.11.p pacid=40046742 transcript=Brasy7G008200.11 locus=Brasy7G008200 ID=Brasy7G008200.11.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.4.p pacid=40046743 transcript=Brasy7G008200.4 locus=Brasy7G008200 ID=Brasy7G008200.4.v1.1 annot-version=v1.1 MAGASKQDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.5.p pacid=40046744 transcript=Brasy7G008200.5 locus=Brasy7G008200 ID=Brasy7G008200.5.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.8.p pacid=40046745 transcript=Brasy7G008200.8 locus=Brasy7G008200 ID=Brasy7G008200.8.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.12.p pacid=40046746 transcript=Brasy7G008200.12 locus=Brasy7G008200 ID=Brasy7G008200.12.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.16.p pacid=40046747 transcript=Brasy7G008200.16 locus=Brasy7G008200 ID=Brasy7G008200.16.v1.1 annot-version=v1.1 MAGASKDNVGSFPPGKKITVVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEVKSDTEQGTMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQVASTLKREGTEKC* >Brasy7G008200.17.p pacid=40046748 transcript=Brasy7G008200.17 locus=Brasy7G008200 ID=Brasy7G008200.17.v1.1 annot-version=v1.1 MIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENRQAYENIVKIDPEFVLFIDCSLEEMERRILNRNQGRDDDNITTVRKRFGVFQESTLPVIQHYEKLGKLQRVDGDRQPDIVFEDVKAVFTQLNIQTNQASHVSRAQTNPFKRWFLDLCCGCFDAQERRN* >Brasy7G164400.1.p pacid=40046749 transcript=Brasy7G164400.1 locus=Brasy7G164400 ID=Brasy7G164400.1.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G164400.3.p pacid=40046750 transcript=Brasy7G164400.3 locus=Brasy7G164400 ID=Brasy7G164400.3.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G164400.5.p pacid=40046751 transcript=Brasy7G164400.5 locus=Brasy7G164400 ID=Brasy7G164400.5.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G164400.4.p pacid=40046752 transcript=Brasy7G164400.4 locus=Brasy7G164400 ID=Brasy7G164400.4.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G164400.6.p pacid=40046753 transcript=Brasy7G164400.6 locus=Brasy7G164400 ID=Brasy7G164400.6.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G164400.2.p pacid=40046754 transcript=Brasy7G164400.2 locus=Brasy7G164400 ID=Brasy7G164400.2.v1.1 annot-version=v1.1 MDAQRALLDALMGTSRNLTDEEKKDHREVLWDDPDVCGPFMARFCPHDLFINTKSNLGTCPKIHDPNLKLSFENSPRHETCLQKYEAELAHRCEKLVQDLDRKIRRGRDRLAQDVEVVPPPIPGDKSEQLSVLEEKIKKLLEQIEQLGETGKIDEAEALMRKVDLLNIEKAALNNQAENKAAMLPQEKKMELCETCGSFLVINDVLERTQSHVTGKQHIGFGMVRDFLVEYKAAREKRLAKEKEAEERRNQREKGYDNRGQWNDPRERPREHDRERYYERSRDRERPYEQGGRGSDYRSSSYNNRRDSERGRHRDWNGDTRRNPGRMRSRSRSPSRHGY* >Brasy7G196400.1.p pacid=40046755 transcript=Brasy7G196400.1 locus=Brasy7G196400 ID=Brasy7G196400.1.v1.1 annot-version=v1.1 MRFALPLFLTLAFLVLASDARGGRGEHCMITTEGRTDVCTASSCDRDCNQRKINFCGPPYNIDCAFQGTCIGTKCQCKLCIQMRENVLEPSAITRDGPSSQVQQAQAPAIEM* >Brasy7G155700.1.p pacid=40046756 transcript=Brasy7G155700.1 locus=Brasy7G155700 ID=Brasy7G155700.1.v1.1 annot-version=v1.1 MLLRSASSPLLNAARAPAEHVVVAGGHLAVVPAVPRQGPRLCRALSEADLLAPAAAVMTAKKDDARGRISAFIEEEEEVAAAEEEEEDGDQDAAPIRRLLMTSTGLDLAAAGPAAQMALLEGVGGGGGRGTVCGGGRRGGGGGDDNQGATDAHYRRMIRANPGNSLPLGNYARYLKEVAGDSAKAQEFCERAIVTNPGDGDALALYAGLVWETTGDASRADAYYSRAVQAAPDDCYVLGSYAGFLWDADEEDDDIDDGHPPPAATPPFFGVAAQPPSITAAS* >Brasy7G031900.1.p pacid=40046757 transcript=Brasy7G031900.1 locus=Brasy7G031900 ID=Brasy7G031900.1.v1.1 annot-version=v1.1 MSTRYFFASVPVECTSDDPADAAKAANALWERLVEGPLNLLDPKTYRFFVPSHLPGSTIDSLLNVTSELPESNSVMESLSRRVCREIQELEKYMGCRSSAFTLEGVASEAYVSSFIWDQEKYPVTSSLDSVYVTLQADIAKLIKFESIAERISDVRNAHREMNSNHTKRLHVRDLSSLVNPSDFTFSDFLTTVLVVMPKNSQQKWLETYELMDREFVVARSSELLYEDEQDALYNVTMFTHVLDKFKVIAKENGFMVRDEYNLQVLQSKQEELENLSSKSNKLLHCLHTLYSEVVPSWIHVCALLVYAESRLLFGRSFLAFAGLSTPRNEATLKQFFAEDFAGSSAMGREPFHHVINLV* >Brasy7G155200.1.p pacid=40046758 transcript=Brasy7G155200.1 locus=Brasy7G155200 ID=Brasy7G155200.1.v1.1 annot-version=v1.1 MPPFHDYRDGGLLVLEPAAALFGGVRQRKRARVTAVPPCVYAAAAALAAAAKKQKLRETPSLDALPDECLFEILRRVQGGRARGASACVSRRWLSLLGGIRASEIKRAEAPAVPDLNQVFVGEDEDEAALSRPGCSERSLEGEGATDVALTAAAVANSHLESVVIRGSHPTRGVTDSGLSAVARGCPSLRSLALWDVPQVTDAGLAEIAAGCPSLEKLDITGCLLITDKGLAAVAQGCPELKTLTIEACPGVANEGLKAIGRCCPKLQAVNIKNCAHVGDQGVSGLICSSTASLAKVCLQGLSITDASLAVIGYYGKAITNLTLARLPTVGERGFWVMANALGLQKLRCMSVTSCPGVTELALVSIAKFCPSLRQLYLRKCSQLSDGLLKDFAESAKVLENLQIEECNRVTLMGILAFLLNCSPKFKALSLVKCIGIKDICSAPTQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPHLENVDLSGLAAVTDNGLLPLIKSSESGLIHVDLNGCENLTDASISALVKAHGNSLTHLSLEGCSKISDASLFAISESCCELAELDLSNCMVSDYGVAVLASAGQLKLRVLSLSGCFKVTQKSVPFLGSMPVSLEGLNLQFNFIGNHNIASLEKQLWWCDILA* >Brasy7G199900.1.p pacid=40046759 transcript=Brasy7G199900.1 locus=Brasy7G199900 ID=Brasy7G199900.1.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKVEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.3.p pacid=40046760 transcript=Brasy7G199900.3 locus=Brasy7G199900 ID=Brasy7G199900.3.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKVEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.2.p pacid=40046761 transcript=Brasy7G199900.2 locus=Brasy7G199900 ID=Brasy7G199900.2.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.4.p pacid=40046762 transcript=Brasy7G199900.4 locus=Brasy7G199900 ID=Brasy7G199900.4.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.5.p pacid=40046763 transcript=Brasy7G199900.5 locus=Brasy7G199900 ID=Brasy7G199900.5.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.6.p pacid=40046764 transcript=Brasy7G199900.6 locus=Brasy7G199900 ID=Brasy7G199900.6.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKVEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKRMSYCSSLKNAVCLHHHSAY* >Brasy7G199900.7.p pacid=40046765 transcript=Brasy7G199900.7 locus=Brasy7G199900 ID=Brasy7G199900.7.v1.1 annot-version=v1.1 MDEISGGRFLRCGDGEVDLAAMACEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGKKAVRVGKCVKDKAGLASNTFWREKGYVDWWMRLEPPVRARIMAAFFRKGAIALANEIGEETDVASSDKFCLSLGESGSFVGDSFYGSTRQSFFRKRRPGCTDVTSILSCKKKPTFAKELKRLLLVQEIACLKSNIAYCGGDAIFLTSLMSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKRMSYCSSLKNAVCLHHHSAY* >Brasy7G199900.8.p pacid=40046766 transcript=Brasy7G199900.8 locus=Brasy7G199900 ID=Brasy7G199900.8.v1.1 annot-version=v1.1 MSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKVEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.9.p pacid=40046767 transcript=Brasy7G199900.9 locus=Brasy7G199900 ID=Brasy7G199900.9.v1.1 annot-version=v1.1 MSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTEECCMPASSFGLLKKIIPSIDSNEL* >Brasy7G199900.10.p pacid=40046768 transcript=Brasy7G199900.10 locus=Brasy7G199900 ID=Brasy7G199900.10.v1.1 annot-version=v1.1 MSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKVEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKRMSYCSSLKNAVCLHHHSAY* >Brasy7G199900.11.p pacid=40046769 transcript=Brasy7G199900.11 locus=Brasy7G199900 ID=Brasy7G199900.11.v1.1 annot-version=v1.1 MSAGTVADHILLRLRRLLMVVSTESINLELIGDGTSNTPKKKAVERSSGGSRKGKKKSSSSLKKLTASSKSAKENGCSSTESQNSRVVSKSNQRTLSVGDTTIEPASEETPCKEIIAQRPKEQAILFGECNSHCSKKKNKRKGKTKRSDLVRAENSVSGKLKTAVPHVATEGLHNSAEALDAPPPLPSYVRSSRSDIPEAVSCSDSSSTFDGTEGKGIRSSRKMEDKLDVITTDYYQCAQKSDTFSMNEQVPSHSSQNESMVPSHSSQNESMVQPSSCLPSRSDNGLSGNPCRNSTDSLVGSTQDMTCFNITQGALPALAPGVTTGYEKHMDHKFHNSVVTTDKVLPPVIPANILQSAINDNSTVMKNGGGEYYVFKRNLLGGTSYEWPSVAPHFVSPEIQQRPAAADRLHLDIGYRWPTQFDQPFLPTNHQLRSPPIESGCNQMLSSLAVPLSFDWPPVFRGYGKLSQNAALSYDPVYAPQMQSSAWPGFPAQLMQRGGFCSEKDRKYFADSDPRNTSDVGDDTESYWCSEEESDGRAVSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLGVGIPSAYNAGASSPPSTPSCSQNESSDPSPQPLCHSMVGNDVNNEALHSSSSTQDSPEDKTTSAARSPSSVSEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSTRRDIPVVRRPPSPVVLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFSDDKIFNRAQFCLDGPEVVWPSWVNKGTYAGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMSNCSSPKASLSLMLNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTVENTAIPVIMLVAQVPCDTNMSIEYSSVLDSSQDNSVNVLAEQASPPRSDNSSSEGSNTLMGSKMNKDDCDAVKSIRLDISFKSPSHTGLQTTELVCELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLIIRFLQHEHHLGRPMNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKRMSYCSSLKNAVCLHHHSAY* >Brasy7G095700.1.p pacid=40046770 transcript=Brasy7G095700.1 locus=Brasy7G095700 ID=Brasy7G095700.1.v1.1 annot-version=v1.1 MASPDWPVRRIREVFFSHFDIWGYTRLSPDPLISVDDSTPLIETYLNEFKRKVNEEEKIDSRRNSSYSLRCISFRSDEDVIEKYCNDSSHHCFTEVLGCWASGEQKESVIRLSWELLTKTYKLPRDKIYVKYFAGNEESCLDLDVESLDMWKKYVAEERILPSTSKADFWRAGDTGPCGPTIGIFFDLDDILIEIWRFVFVQFSKHNDGSLRTLDVRHLIAGMNVEIMAFILQKKRSHYDSDIFSGILGVIHYAAGDGTAKYSGKVGNDDSSRVDTAYRVIADHLRIVSAATSYCAQHGLETAHREDLLKHADRCAVVFGHKILDTKLRDYVVIVNTALRMSSFYPTQIEAKIDSIVQDEVKIYQKIRAKDEQGHVDWNPAMTDLNLHPSLPQKKKEKKNNRGQRGKQQQQQQKKRPPQLPPASVENEDDTAQLPHASVENEDDTAQLPTASVENEEDMLDEIDGPVTTIGGPEMEISCSPKSVASVHSTGVVYPMRQIDFFGHSTHIIYQDKNGPCPLISICNCLLLKGKIKFECDLIEMPMERLVQIVQDLVKERLKIKVCYSFAEEPILDIVPTLAKGLDIDVKFNRTDGFTATPGTMLFHCLEIPLCHGWLPDEEDMAGASSYSFETLTWAVREPHFPNVEKLKKFLKGHQLTAYGLACLHKDVIENVPSVHYRNMHFSTIIKYNGALYTLVTDAGYLKSNVVWQTLNDINGGGSYMCSDFTPIDSDLGATPSGRGILAETYFKSAPRHEMSLKKFILERGNEFSVKRTLCSAGTPLDVADTTRTGRIIALDILRDLIGVHSSNLSYNGAFGLSSIYVHNGHAAIRASFCGNCTDDTKSKDFETYISDILEKFRIDKVGLPAHFTHLINLLGRPGSFRHPRYFWDCVLTTPALKSPLSRAGLFQGIHRIRRLAPNEVQTSLKSVLARCPRRDWREHILARGHPLLKKVLLHVPKSLEDKGVQAAEGGGGKRYGLYKPNLYSLSVFPRQVNEHGKPKVEGGKVEGSKQEEGEKPGSKQPEEGAESGGQVEGTKKQVEEELEEPFELLSDLDLLYAHDLDLTLPMVLEELLCSPDMTGELWKLVREVLQEYDKPEAMDPAPWDR* >Brasy7G095700.2.p pacid=40046771 transcript=Brasy7G095700.2 locus=Brasy7G095700 ID=Brasy7G095700.2.v1.1 annot-version=v1.1 MASPDWPVRRIREVFFSHFDIWGYTRLSPDPLISVDDSTPLIETYLNEFKRKVNEEEKIDSRRNSSYSLRCISFRSDEDVIEKYCNDSSHHCFTEVLGCWASGEQKESVIRLSWELLTKADFWRAGDTGPCGPTIGIFFDLDDILIEIWRFVFVQFSKHNDGSLRTLDVRHLIAGMNVEIMAFILQKKRSHYDSDIFSGILGVIHYAAGDGTAKYSGKVGNDDSSRVDTAYRVIADHLRIVSAATSYCAQHGLETAHREDLLKHADRCAVVFGHKILDTKLRDYVVIVNTALRMSSFYPTQIEAKIDSIVQDEVKIYQKIRAKDEQGHVDWNPAMTDLNLHPSLPQKKKEKKNNRGQRGKQQQQQQKKRPPQLPPASVENEDDTAQLPHASVENEDDTAQLPTASVENEEDMLDEIDGPVTTIGGPEMEISCSPKSVASVHSTGVVYPMRQIDFFGHSTHIIYQDKNGPCPLISICNCLLLKGKIKFECDLIEMPMERLVQIVQDLVKERLKIKVCYSFAEEPILDIVPTLAKGLDIDVKFNRTDGFTATPGTMLFHCLEIPLCHGWLPDEEDMAGASSYSFETLTWAVREPHFPNVEKLKKFLKGHQLTAYGLACLHKDVIENVPSVHYRNMHFSTIIKYNGALYTLVTDAGYLKSNVVWQTLNDINGGGSYMCSDFTPIDSDLGATPSGRGILAETYFKSAPRHEMSLKKFILERGNEFSVKRTLCSAGTPLDVADTTRTGRIIALDILRDLIGVHSSNLSYNGAFGLSSIYVHNGHAAIRASFCGNCTDDTKSKDFETYISDILEKFRIDKVGLPAHFTHLINLLGRPGSFRHPRYFWDCVLTTPALKSPLSRAGLFQGIHRIRRLAPNEVQTSLKSVLARCPRRDWREHILARGHPLLKKVLLHVPKSLEDKGVQAAEGGGGKRYGLYKPNLYSLSVFPRQVNEHGKPKVEGGKVEGSKQEEGEKPGSKQPEEGAESGGQVEGTKKQVEEELEEPFELLSDLDLLYAHDLDLTLPMVLEELLCSPDMTGELWKLVREVLQEYDKPEAMDPAPWDR* >Brasy7G046700.1.p pacid=40046772 transcript=Brasy7G046700.1 locus=Brasy7G046700 ID=Brasy7G046700.1.v1.1 annot-version=v1.1 MEIERLPEELLTEVISRTSPQDACRAATVCPALRAAADSDAVWSRFLPRDLPRFARKEIPSAPMSSKGLFQRLAAQPAFLPGKLVSMRLDRGTGAKCYTLSARALNISWGETKRYWRWIPADVDDYYITRNRFLDAAQLLHVCWLEIRGRMHSKMLSKNTEYTARMVFKLTDDTRNLDDPFQEASVSVGGISGSTRQVCLQPPAPNHTLPPIGWRSPSTVTPPGPGEQDVQLPRRRADGWMDVELGRFYNEEGDDGEVSIGLMETKSRAWKSGLIVRAIEITTY* >Brasy7G046700.2.p pacid=40046773 transcript=Brasy7G046700.2 locus=Brasy7G046700 ID=Brasy7G046700.2.v1.1 annot-version=v1.1 MEIERLPEELLTEVISRTSPQDACRAATVCPALRAAADSDAVWSRFLPRDLPRFARKEIPSAPMSSKGLFQRLAAQPAFLPGKLVSMRLDRGTGAKCYTLSARALNISWGETKRYWRWIPADVDDYYITRNRFLDAAQLLHVCWLEIRGRMHSKMLSKNTEYTARMVFKLTDDTRNLDDPFQEASVSVGGISGSTRQVCLQPPAPNHTLPPIGWRSPSTVTPPGPGEQDVQLPRRRADGWMDVELGRFYNEEGDDGEVSIGLMETKSRAWKSGLIVRAIEITTY* >Brasy7G170800.1.p pacid=40046774 transcript=Brasy7G170800.1 locus=Brasy7G170800 ID=Brasy7G170800.1.v1.1 annot-version=v1.1 MAAHSLSFSLPTRTPLLRRRRSLPLPSSAAPASRGLCSSPATPTRRFFLGPGGAGRRQGGGVGSRTMSTSMNLTPVAESATVKTGGDVELLPFVNDKHGGVIIEMTAPMDPQVFSSSLKSALSKWREQGIRGVWIKLPINLANLIQSAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINIGAVREVKEETGIDTEFIEVLGFRQSHKAYFEKSDLFFVCILRPLSFDITKQESEIEDAQWIPVEEFAAQPFVQKHELVKYILDVGLAKVDKEYAGFSPILIKSAFTDKKSFFYMNRRDLDKASGSNGTQQNC* >Brasy7G111000.1.p pacid=40046775 transcript=Brasy7G111000.1 locus=Brasy7G111000 ID=Brasy7G111000.1.v1.1 annot-version=v1.1 MGRQPCCDKVGLKKGPWTAEEDQKLVRFLLTHDHCCWRIVPKLAGLMRCGKSCRLRWTNYLWPDIKRGLLSDEEEKLVIDLHTQLGNRWSKIAAQLPGRTDNEIKNHWNTHIKKKLRRMGIDPVTHPPLQEIPPLAQPPPPQQNSAELSLRPDDEDDKAAVPMIQPPQEITVVPPPKGNCSASPTSVISLSYSSSASVASTAFGMDVVDWPEPMGLFGISMDGITDAGWGGFANGGLGVDPFNQYYPGDGFDPTVSDAILATLHID* >Brasy7G017800.1.p pacid=40046776 transcript=Brasy7G017800.1 locus=Brasy7G017800 ID=Brasy7G017800.1.v1.1 annot-version=v1.1 MFNRSKKYHCSHALLGILLCSLLAGAATGEPSPDPTYKDCLPGDKAAVLAINAAFGSYFSKWWGAPETPCCDWYGVECDPFIAGRDDFNGTIPDAIGGLAHLERLFLHHLPGLSGPIPPAIGKLSNLSLLIISWTGLSGPVPPFLGAPKKLYHLDLSFNSLTGSIPASLGSIPGLSGINLSRNRLYGAVPMFLSKSADDVYLWLSHNNLTGPVPAEFAAVRFTHLDLSRNAFTGDASGLFGKGQEDLLYVDLSRNAFDFELSGLVFPEKLNSVDMSHNAIRGGVPRNMANVQFFNVSYNRLCGQVPTGASRFGFYSFQHNRCLCGAPLPPCKK* >Brasy7G139300.1.p pacid=40046777 transcript=Brasy7G139300.1 locus=Brasy7G139300 ID=Brasy7G139300.1.v1.1 annot-version=v1.1 MARRCSACNEFDVVCKRPDVCLSAFTIIMADGCGFTVVPQRFKDRLNDFAENFLVVVDCHGFKYDVHIQIGNDATLLCGAYWRLFAKTHKLKAGDSVCFSAAEYGAAFKAMLSSLSSGAGEAVVCKGQGKGEGRGGLRRVVGEEWCGGRAVPPRNQRRVKGRRPRGRAVRVRQEVAELTARSGGREESEEDSDESVKLYYEGAN* >Brasy7G135000.1.p pacid=40046778 transcript=Brasy7G135000.1 locus=Brasy7G135000 ID=Brasy7G135000.1.v1.1 annot-version=v1.1 MAPSRMATVKVVVLLLLVCTLGLTRKSEAARMMMQRGELLVAASEGNAADAGTGQDQDVAAPSSELGGDGAKREVPGGPDPIHHGSKPPASVDAP* >Brasy7G046800.1.p pacid=40046779 transcript=Brasy7G046800.1 locus=Brasy7G046800 ID=Brasy7G046800.1.v1.1 annot-version=v1.1 MEIERLPEDLLTEVISRTSPRAACRAAAVSAALRAAADSDFVWDRFLPRDLPRFAEEEIPSAPLSSKGLFQRLAALPALLPGELVSMRLDRATGAKCYTLSARVLNISWGDTASYWRWIHDYYATRGKRFSEVAELLHVCWLEIRGRIPSKMLSENTEYTARMVFKLTDDTRYLDHPFQEASVSVGGSESTRHVCLQARVNEDADAVAAGAPRYHICLPPASWRSPSTVIPEFGQEDDVLIPRRRADGWMEVELGSFYNEEGDDGEVSISLKEIEARTGKSGLVVRAIEITTKQQA* >Brasy7G046800.2.p pacid=40046780 transcript=Brasy7G046800.2 locus=Brasy7G046800 ID=Brasy7G046800.2.v1.1 annot-version=v1.1 MRLDRATGAKCYTLSARVLNISWGDTASYWRWIHDYYATRGKRFSEVAELLHVCWLEIRGRIPSKMLSENTEYTARMVFKLTDDTRYLDHPFQEASVSVGGSESTRHVCLQARVNEDADAVAAGAPRYHICLPPASWRSPSTVIPEFGQEDDVLIPRRRADGWMEVELGSFYNEEGDDGEVSISLKEIEARTGKSGLVVRAIEITTKQQA* >Brasy7G174900.1.p pacid=40046781 transcript=Brasy7G174900.1 locus=Brasy7G174900 ID=Brasy7G174900.1.v1.1 annot-version=v1.1 MALNNSNTRALCLVALIAMSITLLSSKTPWQQCSAFKNCTLDACLERCENIGWDETECHCIKDYCCYNHD* >Brasy7G012800.1.p pacid=40046782 transcript=Brasy7G012800.1 locus=Brasy7G012800 ID=Brasy7G012800.1.v1.1 annot-version=v1.1 MLHCIRHYNGDELEQPLTLKGYTRMQHTFLFELLSIENNNTRLPVPVLKIIGEPKEF* >Brasy7G193300.1.p pacid=40046783 transcript=Brasy7G193300.1 locus=Brasy7G193300 ID=Brasy7G193300.1.v1.1 annot-version=v1.1 MSRRLRSPLGGSDERHRFLRPGALARLRDSKIVARSLRSASAASRAPPLLPPCSPPRPTTPSLSAEAGGVPGPRYPLRKKLAAARGVVFLPPPPMSPDAAADAFFGAFAAAPSEMVSAH* >Brasy7G135500.1.p pacid=40046784 transcript=Brasy7G135500.1 locus=Brasy7G135500 ID=Brasy7G135500.1.v1.1 annot-version=v1.1 MPGSSASTTLQYTGIGLFVAIVLLGLIYFGRSIRAGVLAHRNDAAAGPDVGTASPDSEQQQLGLGLAPEDVAVLPTFTYPAISPADRWCGGGDEAKAKAATAGADSCAVCLDELREGALVRMLPSCKHYFHASCVDVWLLSHASCPVCRASPVPALAPEGVHLGVAPLSPPPTQLRRCGASSKGSGDTSRVDNSGASRSSPPVIRSPAHSELLIYTTDVNSVMSPSATWPRTPAVKFHGLIRFLR* >Brasy7G179800.1.p pacid=40046785 transcript=Brasy7G179800.1 locus=Brasy7G179800 ID=Brasy7G179800.1.v1.1 annot-version=v1.1 MWLMLSSESSLRRMHLLRRLPPPRCSDADLKRARSSKCWMPRSEYETDVARSPGHAHYRRRVLPWPTRRASGRCSPRLELRKHHAAEGPVTLLQPVAYHSTRDCNMDELDGTEVAVDGINTGSGPNQALHCQPRGRRQMSLENDEAKQTG* >Brasy7G233900.1.p pacid=40046786 transcript=Brasy7G233900.1 locus=Brasy7G233900 ID=Brasy7G233900.1.v1.1 annot-version=v1.1 MSTRGIKPIPELSSDLLHKFTKNFSEKICEDQFGILYKGKKEDGTEIAVKELTKSTEKIFKEEVQNMMAPEHKNIARLVGFCSEGRSVHGRPLLCYEYLPKILMTLDCYLFAEGSASSSAEHGIHWDERFTIVKGICQGLCCLHNLSVIHLDLKPANIWLDENKVPKIGCFGLYRFFGDEEIKKFAKSVAGSNGYMAPEYRHDVIILAEADIYSLGLMIIEITTGVKTSEATDHASARRYIEKIRKEWTPEHIASQYPSFDAERLQQVYACIKVGLECVQLDREKRPLIGAIVDRLNAS* >Brasy7G233900.2.p pacid=40046787 transcript=Brasy7G233900.2 locus=Brasy7G233900 ID=Brasy7G233900.2.v1.1 annot-version=v1.1 MSTRGIKPIPELSSDLLHKFTKNFSEKICEDQFGILYKGKKEDGTEIAVKELTKSTEKIFKEEVQNMMAPEHKNIARLVGFCSEGRSVHGRPLLCYEYLPKILMTLDCYLFAEGSASSSAEHGIHWDERFTIVKGICQGLCCLHNLSVIHLDLKPANIWLDENKVPKIGCFGLYRFFGDEEIKKFAKSVAGSNGYMAPEYRHDVIILAEADIYSLGLMIIEITTGVKTSEATDHASARRYIEKIRKEWTPEHIASQYPSFDAERLQQVYACIKVGLECVQLDREKRPLIGAIVDRLNAS* >Brasy7G233900.3.p pacid=40046788 transcript=Brasy7G233900.3 locus=Brasy7G233900 ID=Brasy7G233900.3.v1.1 annot-version=v1.1 MSTRGIKPIPELSSDLLHKFTKNFSEKICEDQFGILYKGKKEDGTEIAVKELTKSTEKIFKEEVQNMMAPEHKNIARLVGFCSEGRSVHGRPLLCYEYLPKILMTLDCYLFAEGSASSSAEHGIHWDERFTIVKGICQGLCCLHNLSVIHLDLKPANIWLDENKVPKIGCFGLYRFFGDEEIKKFAKSVAGSNGYMAPEYRHDVIILAEADIYSLGLMIIEITTGVKTSEATDHASARRYIEKIRKEWTPEHIASQYPSFDAERLQQVYACIKVGLECVQLDREKRPLIGAIVDRLNAS* >Brasy7G148000.1.p pacid=40046789 transcript=Brasy7G148000.1 locus=Brasy7G148000 ID=Brasy7G148000.1.v1.1 annot-version=v1.1 MSPIPIRMSCLASPLATPEATPPKGDRHPDPVSSAEKLDWGSFGDDDGMESPSLAGGSTLAPAYSSARPAANSNSDETWHTVKSKYWWRELKSGKLVGPAAASRPQLPPAGASSNLYNTTLRGMCLRCLATDHKLADCRDPIKCLRCRLSGHIARECRRFPSRRPTSRPSLPAVPPPPPSPASPPLASTASLLPAMASDYIIGHPSQRPTTTESASVVTREMEDEIHRLRTKAVVVRVVGDGPRPPSTVVARAIQVQLRFPLRDILALRYTPGDYLVEFQTLRQREVAVAARRIALDGVCFGIAPWTTELGSGHTSWPFRTLICFENLPPQLCRPEVVERIVGGNCMFDRVDPVSRGPKRNAAIWSVWMWVENPDSIPKEHFHSAMDSADCVVIPPPGCLPDSPGTGTCREGQRRRILVHLSIVEDYVTSNRSPESHQSGMPSDFEEDFPKREHFYWVDKLLDGEAPPPRRAPVPVHSRIGPRCNDDDDNQGGGRRGRNWRGWFRRRRNDRGGGAGPSTGPSELGGSGGCYQSPSPDRHHRRRCASVGFSYRRVRSRSPPRRLLDAPGSPVSPLQLMPLVPEVDLLAVLLSGANIASPAPDPMLAEVNDTVQPHVSPVTLLPADAATACMIPTVVCTARDLLDPMCLEASLPLPVFSATNAAPALLPADVVLPATTVLLPAMIPAIQVTQKCPESLLRAPAIPPPPASIRRSGRLCEKNKACKIPTAKRAEVKLMEAFGEVASPGDETDPDKAAAAKMKAYLQMYSQPLTIKVIEAMRILAGVKDKAKIDLSALGFTAADLDALGKEVVVV* >Brasy7G007600.1.p pacid=40046790 transcript=Brasy7G007600.1 locus=Brasy7G007600 ID=Brasy7G007600.1.v1.1 annot-version=v1.1 MAYSPTASHVIIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVFYVAYAEGLVRAYNVHTYAVHYTLQLPVDSTIKLMGAGAFGFHPTLEWVFIGDRGGTLLAWDVSTERPNMIGITQAGSQPITSVSWLPTLKLLVTISKDGTLQVWKTRVMINANRQPMETHFFERAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSATEAAKNKAAYTREGRRQLFAVLQGARGSTASVLKEKLSALGSSGILAEHQLQAQLQEQHLKGQSKLTISDIARKAFLHSHFMEGHAKSGPITRLPLVTISDSSNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGSDNLYKKLYSTVPSNMECHPKYISYSPKQHMFLVVFELSGLSGVVHEVVLYWEQTDLQTVNTKGNSIRGRDATFLGPDDNQYAILEEDRTSLNLYNLKAVATKEALENNAAVLEENTFAENPTANPTQKQGPVQFTFESEVDRIFSSPLESSLLYVISGKHIGLAKLLTGYRLSTDNGLSVTTKTDGKKFIKLKPNETVLQVHWQTTLRGPVAGILTNQRVLIASADLDILSSSSTKFDRGLPSYRSMLWVGPALIFSSATAISMLAWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLVGLLEPLLIGFATMQQHFAQKIDLSEVLYQITSRFDSLRVTPKSLDILSKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALQFSAALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESGTDPELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTSMKSIPQWELAGEVMPYMKTTDAAIPSVIADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSGNAQPASSELAGKNKINATGDTVGDSLARQLGVQIASADEQAKAAEEFKKTLYGVVDDGSSDEDESTSKSKKIHIRIRDKPAAPAIDVNKLKEATKQLGLVAPPISRTRSLSGTPQEFNQPAGPATPGAPTMPSGAVDFFGTNTMVSTQAPAGAMGPVISGMGVTAGPIPENFFQNTIPSQQLAAALPPPGLILSRMAQPGPGINAARPVVPNQNMMGNVGLPDGGVPPQAPQHNQFPQQQGIPMNPVGLPDGGVPPQSQALPIQQQGFQPVVPTVSQPIDLSALEGPGSARQAPQPPAPTAVRPGQVPRGAPAAECYRMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGTLSAKEEMGRLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVVCGMGSIKRSDALAGAGPVASPFG* >Brasy7G218300.1.p pacid=40046791 transcript=Brasy7G218300.1 locus=Brasy7G218300 ID=Brasy7G218300.1.v1.1 annot-version=v1.1 MASEIEVLEDTTTTTTVAVAVEPAAVAENGAGPSKEDEPLKDDVYTAAAYGDLEKLQRLVEAEGRPVAEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSSVRGHVQVAELLLKEGAKVDAADLYGYQSTHVAAQYGQTAFIYHVVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAYRVRQDKEGCTPLHWAAIRGNMEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVHDRGCNGNATFAKLSKLGLAPLLWCIAIVLLATYIHSVIAGQYIIDMTAPFVLFAWSGVFLATAGLIMFYRCSRKDPGYISANIRDSQNQRDDEPLLKLELDDPALLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFMFITLEVFAMIITGSAAIIRTVRDPASPASFGAWLGYSAVHHPGAVSFFFMDLFLFCGVAGLTVVQASQIARNITTNEMVNSMRYSYLRGPGGRFRNPFDHGVRKNCTDFLLNGYNEDVERLEHTSHTDEEIGMIQMTSSVSQNGEGSSHHVDHACVDSHGSSNSQSQASSSQCCDHSKKNDRTPFGLGLGLGRNSASRQYVRSLLPL* >Brasy7G004200.1.p pacid=40046792 transcript=Brasy7G004200.1 locus=Brasy7G004200 ID=Brasy7G004200.1.v1.1 annot-version=v1.1 MASDSDDADFFDKLVDDDDEVPPAAAAAQAAAADISSLSLADDAVDEPELPAAATAPADAADPPPALTPPKAGDDMPSDAEVLAALPEVKAAAAAVVPKTEAVATPEGGSPGSGKAVRTTVKQVQWSVFGADSSADADPFGDLLADGGGGDAFFGAGAAQVQEPWGSVAAAGSVGAAHAGHGFFSGVGNNAGWGTGAGDFLADGAAGDKAAFFGASVVEDHGVQTGSVAATSGVGAADHGFFNGVDGSANSSQSYMGASAFESVDQNNVQSEWASGSVNSSDPNYLENRYPGWKFDEATQSWYPVATTSAQGIADYGGAVTAVGSDNVQQLQQQLSGSYLHNSSQAGLETIAEEGGTTVMSWGQDQSSAVAAEYPPNMLFYAEYPGYYFDTNTQEWHTLESYQQGFAQVATTIAASDAFVGAGHNVGNAMNSQASNFNQQNQWQQSSYSSHQHTETQITKQANTEPLEPSTNYLTGINTFVPSLEPSMNHSTSVSTFVPSTSQYSSGESHQVSHKGFEPTSYQSVQKGFEPYKSNQSTFTNHDSGYKVSEHSTGHQGFKPVASNHKSTGFVPSTGHQIAHKEFEPSKDNQAYHVPHEPSVAHGYVNSNGFAEPQTFVPTTTASMYQTQTHIDPAAHMHLTNNYLISENSMNFAQQQPLPQQFGYSPHQERSSAGRPPHALFAFGFGGKLVVMKETSSMTTSFDSGNQGNSRGMVSIHNISEIVADKIDQSSITNGSALSYFHALCRRPIPGPLVGGSPATKDVSKWLDEIIGSYESSVREFQGGDVQKLLVSLLKISYQHYGKLRSSFGSDPLQEGMDGPDTAVTTLFSSCNSNSAHIREYGAITHCMKNIPSENQIQATAQNVQSLLVSGRRKDALQYAQEGQLWGPALVLALQLGDKFYADTVKKMAYSHFMSGSPLRTLCLLIAGQPADVFHAENPIDANYGTLHRPQEAAEGTPKGMLDDWQQNLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDAYSETARMCLLGADHLRCPRTFTSPEAIQRTELYEYAKVLGNSQYILLPFQPYKLVYAYMLAEVGKISDSLRYCQASLKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPTSLPPLAQPMPPLAQGSSTERDLYSAPPATNFVNNNQSAMPMSFSASEQSMSDMTGNSGPDKKGTHNRSVSEPDFNRAPQQGARSDNPQSTSGSGNSRFGWLLQKTMGLVSKSPHQAKLGEQNKFYYDEKLKRWVEQGAEVPAAEEPPLPPPPTKASFQNGVPDYNLTGPSIGGYTANGFAETKPPNPSELMTGMPPMPPSQNQFSARGRAGVRSRYVDTFNKGGAATAASYNKPAMPSMKPLPGAGFFVPTPAADEPKQTTESTADVHSATAHQDGPSSSPVVERSFASPPPPLVPMQSSIQRHPSMDNILTPSGSGNSSFSKSRAASWSGTYSDQLSTTAASRSPDGQTMQSPVLMSPGRQPSHSRSHSNSSIQLNGLGEDLQEVEL* >Brasy7G231800.1.p pacid=40046793 transcript=Brasy7G231800.1 locus=Brasy7G231800 ID=Brasy7G231800.1.v1.1 annot-version=v1.1 MAQSAVSTVLGGMGNLAVEETRFLCGVTLQVSFLKDELMRLQAYLKDADTKWRSGNLRVAVLVSQIRDAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPSDLVALHKVGAEIQRVKEKLDRIFASAENLKIDLDNTGVVEDAFPQDFGVTYQNSQDDVVMVGFEDEHKELVDKLIDNDESMLSAVSIVAMGGAGKTTLARKIYTSSRLKEHFDTIAWVTVSQTFKGIELLKDIMKQITGKKYESLHQMLEHEVGKEIHDFLLQKKYLVVLDDVWETDTWEQLNRTAKAFPNATNGSRVLLTTRKEDVAKHVQMPTHVHLLKKLDEEKSWQLFSIKALPPYRRSGIRDVDEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLAQIVDETRELGRIDIIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDLNGTKLDSVVPNSLWDIPSLRHVFLGGNGFSPPPPARSLRRQQQNKLQTFWLGPGSDDTEYRYHDMVISLGQMNQLTRLMLYVIPVPAEMINIFANMPHLVQISVGPFDLLAKLPDNFPESLQTLCLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSAKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSRLELWRLKKMVKLPQGLLHLPSLNKLKFGGMPQITEDDSTVKELLRKGCEVSNGERKKLPLVLQRFLG* >Brasy7G231800.2.p pacid=40046794 transcript=Brasy7G231800.2 locus=Brasy7G231800 ID=Brasy7G231800.2.v1.1 annot-version=v1.1 MAQSAVSTVLGGMGNLAVEETRFLCGVTLQVSFLKDELMRLQAYLKDADTKWRSGNLRVAVLVSQIRDAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPSDLVALHKVGAEIQRVKEKLDRIFASAENLKIDLDNTGVVEDAFPQDFGVTYQNSQDDVVMVGFEDEHKELVDKLIDNDESMLSAVSIVAMGGAGKTTLARKIYTSSRLKEHFDTIAWVTVSQTFKGIELLKDIMKQITGKKYESLHQMLEHEVGKEIHDFLLQKKYLVVLDDVWETDTWEQLNRTAKAFPNATNGSRVLLTTRKEDVAKHVQMPTHVHLLKKLDEEKSWQLFSIKALPPYRRSGIRDVDEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLAQIVDETRELGRIDIIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDLNGTKLDSVVPNSLWDIPSLRHVFLGGNGFSPPPPARSLRRQQQNKLQTFWLGPGSDDTEYRYHDMVISLGQMNQLTRLMLYVIPVPAEMINIFANMPHLVQISVGPFDLLAKLPDNFPESLQTLCLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSAKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSRLELWRLKKMVKLPQGLLHLPSLNKLKFGGMPQITEDDSTVKELLRKGCEVSNGERKKLPLVLQRFLG* >Brasy7G011400.1.p pacid=40046795 transcript=Brasy7G011400.1 locus=Brasy7G011400 ID=Brasy7G011400.1.v1.1 annot-version=v1.1 MPLPLSHLARRLLPLRRRFLHTSPPTDPGVLACRLASRAVVRFRGPEAARFLNSLLTNDLLASRFSSSAASSQPQRYAPTPNAPARAPPPAYAALLTPQGRFLYDLFLYRPAPRSQMLDRTGSAPDTGEAPHGEREEDGGEVLADVDANEVDELLASFKRYRLRNKVEIDNVSEEFLCWQRFGSNVAHSKPSTQEPEAESIGWGQGTDLAAESSAQGNGHGWQWLEDPRLDYLGYRGIFPADTIPPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNLAGLNAISFDKGCYIGQELIARTHHRGVIRKRLMPLKFVDENDKELEQAVAPGSDVVDEVSGKKVGTVSTALGSRGMGLLRLEEALKHNSSLAISDNREVRVKAIKPDWWPAEWTQALEQQSAVA* >Brasy7G188200.1.p pacid=40046796 transcript=Brasy7G188200.1 locus=Brasy7G188200 ID=Brasy7G188200.1.v1.1 annot-version=v1.1 MHLTLEFGGGLELLLEKSNKVHKVDFQPAMDGSEGGKATMKGLLSWVKSNLIKERPEMFIKGDSVRPGVLVLINDCDWELCGGLDAELEDKDVVVFISTLHGG* >Brasy7G135900.1.p pacid=40046797 transcript=Brasy7G135900.1 locus=Brasy7G135900 ID=Brasy7G135900.1.v1.1 annot-version=v1.1 MASFGPTSLPGSEHQHHTNKATVIFSYTCVALTSTALFSVLFFFCYQVRNRAPVAAAGTGPAGQGARRGSVDLAKLPEFAYTPSARHSARGGCGGDGAQCSVCLGTVQAGEMVRLLPLCKHLYHVECIDMWLASHDTCPVCRSEVEPPGDGEPAMTAEQLPV* >Brasy7G070900.1.p pacid=40046798 transcript=Brasy7G070900.1 locus=Brasy7G070900 ID=Brasy7G070900.1.v1.1 annot-version=v1.1 MAPSAMNMTKEQKDQQDIDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAAMILSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVSVCIVYMVTGGKSLKKFHDVVAPAGAAPIRTSYFIVIFGSAHLLLSQLPNFNSITVVSLAAAVMSLSYSTIAWVASLEHRRHGGGGHVVDYGMTASTSAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWLGVMVAYLVVAVCYLPVAFVGYYVFGNAVDDNILITLEKPRWLIAAANMFVVVHVIGSYQIYAMPVFDMLETFLVKKLRFRPGWPLRLIARSLYVVFTMIVGIAIPFFGGLLGFFGGFAFAPTTYFLPCIMWLIIMKPKKFGFSWCTNWICIIIGVLLSVMAPIGGLRSIIINAKTYKFFS* >Brasy7G204400.1.p pacid=40046799 transcript=Brasy7G204400.1 locus=Brasy7G204400 ID=Brasy7G204400.1.v1.1 annot-version=v1.1 MDTLQLPFIQMAIALLLFTQAKSAIETSAHTNHTNTSRTAGERSALLAFLAGLSQRPANLLSSWKGEDCCRWKGVYCSNNAGHVVRLDLYGDYWDGNDYVIGGNISSSLLGLQHLWYLDLSCNRFDQVEIPEFIGSLDKLRHLDLSMSGFIGRIPPQLGNLSNLQYLNLENGVDMYNDVDMYHAGTYSRDITWLPRLTSLEHLDMSYVNLSTIVHWLPVVSTLPSLKVLYLSGCDLTHSPDSLEYSNLTSLETLDISSNNFHARSTPNWFWDLTSLKYLHMGMNNFYGPFPDEIGNMTSIVELDLFYNNLVGMIPSNMANLCNLEIFHSSGNNINGSITELFERLPRCSWNKLRELTLAGSSNLTGSLPTRLEHLSNLTFLDLSYNKLTGHVPLWIGELTKLEVLDLGSNNLVGVMHEGHLSQLESLSILRLSYNSIAITASPAWVPPFNLREIELQSCQLGPKFPIWLKWQTQVFILDISNTSISEVVPDWFWITASSVSSLSVRNNEITGVLPSTMEFMRGIEMDFSSNNLGGPIPKLPVNLTNLDVSRNNLVGPLPLDFGAPGLGTLFLFDNMISGTIPPSLCKLQSLQMLDLSGNKLSGSITDCLVNGSTTNMTSLSIVNLSLRNNNLSGEFPLLLQTCPQLIVLDLTQNQFSGTLPAWIGEKLTSLSFLRLRYNMFYGHIPDELTKLVHLQYLDLAYNNLSGSIPKIIVQFADNAAELTYALIYEEVSLDDNDNYTENFAVLTKEIIYMVNLDLSCNNLSGSIPVEIGALVSLKNLNLSWNAFSGKIPDKMGALVQVESLDLSHNELSGKIPASLSSLTSLSRLNLSYNNLIGEIPSGNQLQILEDPEYIYIRNPGSSGFVKFTRNPGLCGPPLSRNCSQPETIPANIENHEDASDVVSFFLAMGCGCVMGIWIVFCTLLFKKNWRVNWYSLCDSLYDRVYVQVVVTWASWSRNDV* >Brasy7G117400.1.p pacid=40046800 transcript=Brasy7G117400.1 locus=Brasy7G117400 ID=Brasy7G117400.1.v1.1 annot-version=v1.1 MSNGMHSVVAIDPINTTPTQELGNDCSGDIKGEFSMGTGSNRQDSIARSSSRSGSQLESSIAAEFDLLWRLRKYLVLLGVLAVSVTYNAGLTPPGGFWALNKDGHDAGDPVLHVFYAARFEVFFYCNATAFAASLVLVILLLSKSVTRHRIWLRSMQLTMILDLFSLLGAYAAGSCRAPKSSIYIWILVFAVFVYVGIHILISVRVIPETFSEKVGTVVKGILSKWGVRDMQVRRSHLEKDVFPKGLEKDVEDARKFILMLATFAAIITYQAGLNPPGGFWAENEHGSNKLQLALPPYTHPPATSVLRSKYLHRYNIFVSFNSTSFVASLVIIILLLSPELSRQGIRSKAVNVCVIADLLGLIVAYAAGCCRSVHTSFYVMLITVIVWICFALLAGAFVCRPGADWLKRIKDLNCVDTFGRIFSLDLGRNKPGNAAQDNSRSIGQHTSDLETNSPEEDNASEPEHGVPKIKEDESHGEHHRADRQQTENIEEDVPSSEHHLVKDQHSGKLEDQFTDDQSVAKDAMPNTGHLSIQCQQATNTEDLEDQFTDHQSVAKDAIANTGHSSCQQATKTEGGVSSSENQSADKQQVANKMEQSSPTDEPASCTEVTNNLAVQELPCDDIRSSEIELVETKTTIMPSENGNIGSNEGDPSQDINKENADGDPTPEHLKKTRTYILLLAILAVSLTYQSGLNPPGGFWSRTENNHTAGDPILEDTHHRRYIAFFYLNAVAFVASLVMLIMLLNKRMSNKVTKRFALQTAMIVDLLALTGAYVMGSSRKTSNSIYISLLVCLVLAYVAIHVLIATHVIPNEWKELVAQNIKHFWWPKSHQLGQNQTGDDGKDWERRRNLLLTLSVVAATVTYQAGMNPPGSVWSDDKEVSGTPGDPILQHNHSKRYDVFYYSNSVTFVSSVVITILLVNKESCEHGIKSYALRVCLVVGLVGLLIAYAAGSCRKAKESIYLIIIAVAVLMSLVIQVLLLSSTHDTVGGPLSKLVKSLLKRLFGLKEVSQETVSERQGSSDRHEKKKKKRHKYLMLLAILAASITYQAGLNPPGGFWPDGSNHVAGNPVLHDIHPWRYRTFFCFNNISFMASIVVIMFLLKKSVRKKDVLLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVVAVLVYLVFAIGVSSSIAKWTKRKKQTFLRRHPERA* >Brasy7G117400.2.p pacid=40046801 transcript=Brasy7G117400.2 locus=Brasy7G117400 ID=Brasy7G117400.2.v1.1 annot-version=v1.1 MSNGMHSVVAIDPINTTPTQELGNDCSGDIKGEFSMGTGSNRQDSIARSSSRSGSQLESSIAAEFDLLWRLRKYLVLLGVLAVSVTYNAGLTPPGGFWALNKDGHDAGDPVLHVFYAARFEVFFYCNATAFAASLVLVILLLSKSVTRHRIWLRSMQLTMILDLFSLLGAYAAGSCRAPKSSIYIWILVFAVFVYVGIHILISVRVIPETFSEKVGTVVKGILSKWGVRDMQVRRSHLEKDVFPKGLEKDVEDARKFILMLATFAAIITYQAGLNPPGGFWAENEHGSNKLQLALPPYTHPPATSVLRSKYLHRYNIFVSFNSTSFVASLVIIILLLSPELSRQGIRSKAVNVCVIADLLGLIVAYAAGCCRSVHTSFYVMLITVIVWICFALLAGAFVCRPGADWLKRIKDLNCVDTFGRIFSLDLGRNKPGNAAQDNSRSIGQHTSDLETNSPEEDNASEPEHGVPKIKEDESHGEHHRADRQQTENIEEDVPSSEHHLVKDQHSGKLEDQFTDDQSVAKDAMPNTGHLSIQCQQATNTEDLEDQFTDHQSVAKDAIANTGHSSCQQATKTEGGVSSSENQSADKQQVANKMEQSSPTDEPASCTEVTNNLAVQELPCDDIRSSEIELVETKTTIMPSENGNIGSNEGDPSQDINKENADGDPTPEHLKKTRTYILLLAILAVSLTYQSGLNPPGGFWSRTENNHTAGDPILEDTHHRRYIAFFYLNAVAFVASLVMLIMLLNKRMSNKVTKRFALQTAMIVDLLALTGAYVMGSSRKTSNSIYISLLVCLVLAYVAIHVLIATHVIPNEWKELVAQNIKHFWWPKSHQLGQNQTGDDGKDWERRRNLLLTLSVVAATVTYQAGMNPPGSVWSDDKEVSGTPGDPILQHNHSKRYDVFYYSNSVTFVSSVVITILLVNKESCEHGIKSYALRVCLVVGLVGLLIAYAAGSCRKAKESIYLIIIAVAVLMSLVIQVLLLSSTHDTVGGPLSKLVKSLLKRLFGLKEVSQETVSERQGSSDRHEKKKKKRHKYLMLLAILAASITYQAGLNPPGGFWPDGSNHVAGNPVLHDIHPWRYRTFFCFNNISFMASIVVIMFLLKKSVRKKDVLLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVVAVLVYLVFAIGVSSSIAKWTKRKKQTFLRRHPERA* >Brasy7G222900.1.p pacid=40046802 transcript=Brasy7G222900.1 locus=Brasy7G222900 ID=Brasy7G222900.1.v1.1 annot-version=v1.1 MAASRYFPRLTLSSSSSSSSSSSSSGVGDGDKLPRYYPMHVKSAHELDEGIIDPLQRNFECAICDQTFSTVKAIRRHILRSHPEADPVPPPPIRRYMCEHCGERFVTWQALGRHRPSHFGKKEFSLLSKQERAEDIPTMAAAAARAPPAIVRDFDLNEPAPEEEHEENDPAPAAN* >Brasy7G150500.1.p pacid=40046803 transcript=Brasy7G150500.1 locus=Brasy7G150500 ID=Brasy7G150500.1.v1.1 annot-version=v1.1 MEKKKATVSKVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKIWDALTGDELHSFEHKHIVRACAFSENTQLLLTGGVEKILRVYDMNRPDAAPRELDKAPGSVRTVAWLHSDQSILSSCTDMGGVRLWDVRSGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFITGGEDMWVHVFDFFTGEEIACNKGHHGPVHCVRFAPVGESYASGSEDGTIRIWPLNPANADDSEAPNANGKPKDSVNEVARKVEGFHISKEGQTEA* >Brasy7G038200.1.p pacid=40046804 transcript=Brasy7G038200.1 locus=Brasy7G038200 ID=Brasy7G038200.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEQFVEKIKSKDRRVEDWKAEYMYQAMYHSKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy7G137400.1.p pacid=40046805 transcript=Brasy7G137400.1 locus=Brasy7G137400 ID=Brasy7G137400.1.v1.1 annot-version=v1.1 MAAFSSSASRLNQTAPPFFPQALLPAPAVAPPWCFQPPQLHMPPAYGWPVACGRCWCRSAMFPSVSGVWTCAFPPPPPQLLCHPGFYFFPAPPPPPQRPTCVIRDITDQEPGSEEELSPRSVLPARNGCKELPNSPLRRRAALRPLPLPASDGAKKARPSARPRCPRLAFDPAADVTSLMIRNIPNSFTKRRFIAILDQHCSEENAKLGGGGDDGVKSEYDFLYVPIDFGTGSNKGYAFVNMTTAAAARRLHAHLDGHRWQVGRRSKVCDVVHARVEGHDGLVEHFSGSRFPSYGDKEFLPVRFDPPRDGVRETAEHGVGRLLTRPR* >Brasy7G032600.1.p pacid=40046806 transcript=Brasy7G032600.1 locus=Brasy7G032600 ID=Brasy7G032600.1.v1.1 annot-version=v1.1 MSRKEATASGGEGSSPRTEGSGDGDSELVGALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASAEGDSQLLLFRHSQSIPDLQEFITSNKGRSCCDIGSYCWSPTNEDYKPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQIDFEEYNGLEKVAELLKDVQVEEHIRLKCGEFLLLLIGHVYVKENTPIHEQMKNLFGEQCASLIWAASRFGSTLDSEQRHMTLEIQARRVVESLEPY* >Brasy7G032600.2.p pacid=40046807 transcript=Brasy7G032600.2 locus=Brasy7G032600 ID=Brasy7G032600.2.v1.1 annot-version=v1.1 MSRKEATASGGEGSSPRTEGSGDGDSELVGALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASAEGDSQLLLFRHSQSIPDLQEFITSNKGRSCCDIGSYCWSPTNEDYKPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKDFEEYNGLEKVAELLKDVQVEEHIRLKCGEFLLLLIGHVYVKENTPIHEQMKNLFGEQCASLIWAASRFGSTLDSEQRHMTLEIQARRVVESLEPY* >Brasy7G121800.1.p pacid=40046808 transcript=Brasy7G121800.1 locus=Brasy7G121800 ID=Brasy7G121800.1.v1.1 annot-version=v1.1 MASLVPRLLRRRTLQPAQALRSLCDSWMRLRGASSPRPAPLLHCSRGDAGRVLAARSWWFYSPVRYGSTAVTLNTDGGFARFSVGDSGTRQNGGQKQPPAKKKNMSRKSKVNQLKWFRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRVPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETMKVVCKPCRPGQVYEYAEELARLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEQLEKELEDYQKHVILFKNRDRAIPEEISNKDAIVDDLTTSSDTE* >Brasy7G026500.1.p pacid=40046809 transcript=Brasy7G026500.1 locus=Brasy7G026500 ID=Brasy7G026500.1.v1.1 annot-version=v1.1 MSSQPLPELRKKLVLVSQVFDISPESIARVREEYFQLTKESCTAFDALTAMVFKCRAMALSTDRTTHELRLGFAVGTQRLVLPGVDGYYGNLGNTARVQFPATKAIALPLPELVLAVREAKEKLEARVAEWITRGSGEYFDPAPWPADYGTVAVSDARRLGYNEVDYGFGEPSYVFPANYYLGFGTVFYVKPPKPKPGVRLVLRCVNGHHAAAFAAELAKFGSPAAASDVVCSTHRSRI* >Brasy7G050300.1.p pacid=40046810 transcript=Brasy7G050300.1 locus=Brasy7G050300 ID=Brasy7G050300.1.v1.1 annot-version=v1.1 MSSPAPQATAAAAPMAVDDAEDDQLASMSTEDIVRASRLLDNEIRVLKDEVQRTNLELESLKDKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDTLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDVGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA* >Brasy7G046900.1.p pacid=40046811 transcript=Brasy7G046900.1 locus=Brasy7G046900 ID=Brasy7G046900.1.v1.1 annot-version=v1.1 MEIERLPEELLTEVISRTSPRDACRAAAVSPALRAAADSDAVWSRFLPRDLPRLAEKEIPSAPLSSKGLFQRLAAQPALLPGKLVSMRLDRATGAKCYTLSARSLHISWGDTACYWRWIHVDVDDYCATRGKRFSEAAQLVGVYWLEIRGRIESKMLSKNTAYTARMVFKLTDAPYRLDHPFQEGSVSVGRRKSTRKVCLQACVNGDADAVAAGAPPYHQLVGDLECCSLEEGLTVGWRWS* >Brasy7G235700.1.p pacid=40046812 transcript=Brasy7G235700.1 locus=Brasy7G235700 ID=Brasy7G235700.1.v1.1 annot-version=v1.1 MNEFPSLMQSSSLPKSIHTPTMAAVSEHTRVAGQLNCSTECLDGSLFLPARSVVDQCKFGFRYTLYAVAVAQPASLGISTY* >Brasy7G078900.1.p pacid=40046813 transcript=Brasy7G078900.1 locus=Brasy7G078900 ID=Brasy7G078900.1.v1.1 annot-version=v1.1 MKTLCWNCREIGDPATVKELLCIVETQLAKSRVEGLAGFDMSFGVSSCGQSGGLCMYWKNNINVTLKTYSRFHIDVIVQEEEIDPWRLTCFYGEADRSKRYLGWEMLRFLRGESTQPWMCMGDFNEVLRREEHMGVNEREGWQMEAFREAVDICGLCDIGYIGLDWTFEKRVAGGSYCRVRLDRAFASADWSEMFPFATLQHLIAAKSDHAPILLMNEQEASNRRIAIDKPFRYELMWEKHENFKAVNSGRS* >Brasy7G122800.1.p pacid=40046814 transcript=Brasy7G122800.1 locus=Brasy7G122800 ID=Brasy7G122800.1.v1.1 annot-version=v1.1 MAGDITCGSLLQKLQFVWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAITRTPEKTSGTIKQQLAAIAPTLEQLNKKKNERVREFVSVQSQIDQICGEIAGTTEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSIVHDLCTVLGMDFLNTVTEVHPSLDDSIADNCKSISNDTLSKLDKTVATLNEDKKLRLCKLQELAGQLYDLWDLMDAPMQERNMFDHVTCNRSASVDEVTASGALALDLIEQAEIEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHLKLLALIEAGNIEPTELIADMEGQIAKAKEETLSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYAMLREEREEDKKRMREQKRYVEQKLNTDHEGPFGSRVSPNRPVTAKKLPGPKSNGTSINGTPPNRRLSVSGQQNGSRSGGKDSKRDAAKAVSSPGNGATAAKEDASSHISGTDLAPSTP* >Brasy7G021600.1.p pacid=40046815 transcript=Brasy7G021600.1 locus=Brasy7G021600 ID=Brasy7G021600.1.v1.1 annot-version=v1.1 MDFATALGHELRRYPSLLELHHQLTAAAPSTGDNDLVASALKETASDGSACPICLLDDNDDDDTAMAEGEWKETACGHSFHGPCIEKWLRAKGSCPLCRRQLLETTKPATTVAPEDLDLVWNDLLGLYGEELVADLSFVEFLDQNLG* >Brasy7G134400.1.p pacid=40046816 transcript=Brasy7G134400.1 locus=Brasy7G134400 ID=Brasy7G134400.1.v1.1 annot-version=v1.1 MAAPFAPARPWTLLPALLCILLCSEVCLRQCGAAIGINYGQVGNNLPTPTQVVSLLSSLRVGKVRIYDVNPQVLSAFSGTGIELIVTVPNDLVQPMAASAGQALQWVTANIKPYFPATRFTGVAVGNEVFTDDDEALKASLVPAMRNLHAALAQLGMDGYVHVSTASSLGVLATSYPPSQGAFTQECAQLMLPFLRFLAETNAPFWINAYPYFAYKADPTKVSLDYALSNPYHVGAVDPFTRLQYTSMLYAQVDAVSFAAARLGYGGIPVFVSETGWPSKGDADEVGATVENALAYNRNLLVRQTGNEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRMVYNVGFAQQQQRTSAASLSLAAASAPPATTDVRNDFTRLCLFSSLAILLTSQAFLLG* >Brasy7G078500.1.p pacid=40046817 transcript=Brasy7G078500.1 locus=Brasy7G078500 ID=Brasy7G078500.1.v1.1 annot-version=v1.1 MAPPHGVFADADPDPEAEKRAEYVHMLCKFLRKLHSDDVRRILLHPEPNLHFPLVIDFADLLEFDPGLANILYTNPKGFLPLFDEAAQLAQSEELGVLNKSEAFGNPDELKPAAAQKDFVHVRIDVTGSPLEFPESSPSIGKVRVKHRGILLTLKGTVIRSGGVKMIEGEREYQCRKCNHRFYCHPELEAGNRITLPASCPSTSSRGCQSASFQLIEDSITCHDYQEIKIQESVQLLEVGSIPRSIPVILMDDLVDIVKAGDDVIVTGILSAKWSSDVKDVRCNLDPMFIANYVRRTNELKSGIDIPEEIVKEFDLFWAEYGATPLKGRNKILEGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTKTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKDLDKIISSHILAQNTTPEKENISDSGVRSTLPPVIKKWTLPLLRRYIHYIKQRFKPVLTKEAERAISGYYQLQRRSGTQNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAIAAILCIESSMTTSAIIDDAGNALNSNFQENPDQEYIEQEKIILGKL* >Brasy7G078500.2.p pacid=40046818 transcript=Brasy7G078500.2 locus=Brasy7G078500 ID=Brasy7G078500.2.v1.1 annot-version=v1.1 MAPPHGVFADADPDPEAEKRAEYVHMLCKFLRKLHSDDVRRILLHPEPNLHFPLVIDFADLLEFDPGLANILYTNPKGFLPLFDEAAQLAQSEELGVLNKSEAFGNPDELKPAAAQKDFVHVRIDVTGSPLEFPESSPSIGKVRVKHRGILLTLKGTVIRSGGVKMIEGEREYQCRKCNHRFYCHPELEAGNRITLPASCPSTSSRGCQSASFQLIEDSITCHDYQEIKIQESVQLLEVGSIPRSIPVILMDDLVDIVKAGDDVIVTGILSAKWSSDVKDVRCNLDPMFIANYVRRTNELKSGIDIPEEIVKEFDLFWAEYGATPLKGRNKILEGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTKTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKDLDKIISSHILAQNTTPEKENISDSGVRSTLPPVIKKWTLPLLRRYIHYIKQRFKPVLTKEAERAISGYYQLQRRSGTQNAAHARLMFRNEVTQLDAIAAILCIESSMTTSAIIDDAGNALNSNFQENPDQEYIEQEKIILGKL* >Brasy7G078500.3.p pacid=40046819 transcript=Brasy7G078500.3 locus=Brasy7G078500 ID=Brasy7G078500.3.v1.1 annot-version=v1.1 MACSPTPTPTPRLKSVRNTCTCSASSSANSTPTTSAASFSTRSRTSTSPSSSKSSPSIGKVRVKHRGILLTLKGTVIRSGGVKMIEGEREYQCRKCNHRFYCHPELEAGNRITLPASCPSTSSRGCQSASFQLIEDSITCHDYQEIKIQESVQLLEVGSIPRSIPVILMDDLVDIVKAGDDVIVTGILSAKWSSDVKDVRCNLDPMFIANYVRRTNELKSGIDIPEEIVKEFDLFWAEYGATPLKGRNKILEGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTKTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKDLDKIISSHILAQNTTPEKENISDSGVRSTLPPVIKKWTLPLLRRYIHYIKQRFKPVLTKEAERAISGYYQLQRRSGTQNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAIAAILCIESSMTTSAIIDDAGNALNSNFQENPDQEYIEQEKIILGKL* >Brasy7G078500.4.p pacid=40046820 transcript=Brasy7G078500.4 locus=Brasy7G078500 ID=Brasy7G078500.4.v1.1 annot-version=v1.1 MACSPTPTPTPRLKSVRNTCTCSASSSANSTPTTSAASFSTRSRTSTSPSSSKSSPSIGKVRVKHRGILLTLKGTVIRSGGVKMIEGEREYQCRKCNHRFYCHPELEAGNRITLPASCPSTSSRGCQSASFQLIEDSITCHDYQEIKIQESVQLLEVGSIPRSIPVILMDDLVDIVKAGDDVIVTGILSAKWSSDVKDVRCNLDPMFIANYVRRTNELKSGIDIPEEIVKEFDLFWAEYGATPLKGRNKILEGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTKTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKDLDKIISSHILAQNTTPEKENISDSGVRSTLPPVIKKWTLPLLRRYIHYIKQRFKPVLTKEAERAISGYYQLQRRSGTQNAAHARLMFRNEVTQLDAIAAILCIESSMTTSAIIDDAGNALNSNFQENPDQEYIEQEKIILGKL* >Brasy7G098400.1.p pacid=40046821 transcript=Brasy7G098400.1 locus=Brasy7G098400 ID=Brasy7G098400.1.v1.1 annot-version=v1.1 MPEPPARPRFSGLRGARWRADLGVIPSSPAVPTNQLRRAAADSRRRYANLRRRLLIDPHHSKDEEGAPDLVVENPLSQNPESTWGQYFKNAELEKMLNQDLSRLYPELGDFFQTITCQAMLERILLVWSLRYPEFGYKQGMHELLAPLLYVLHVDVQHFKEVRGLHEELFDDDFDGQTFPDRLKLHRSDRTNTFEGSAAKIRSLDDLDPDTRDLLLINDEYGAEGELGIILSEKFMEHDAYCMFENLMNGLVNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHPYCTDIKSPGEYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDIDLMNLIEKAKLLQPFALESNLLSSPLKGKNPLTPPNYWEETWKMLQMSGDKRSGGPVIRLKGRGLLRRNVSNTESDISATKDANLENSLTSTSQSIVDELHDTDIVPAKLVNSLPPMPIEHRNDCVGQGTAEISRSNSNGVWEAGHGYYPTSNEIRDPLGATSGYLSRDSSTSLSCGTDYDHDTNRAEEPCFSHDQKVVNEPDPPSVHNGRIDESRPRNGKIDEAAITNQTVGSVDYQQHKLCFDVGSSSSVADKELTGKLRSLGESMVENIQVIEVFFQPNLRLASADKSRENVPGSTEQAKALAALEELRRISDLLRRI* >Brasy7G235300.1.p pacid=40046822 transcript=Brasy7G235300.1 locus=Brasy7G235300 ID=Brasy7G235300.1.v1.1 annot-version=v1.1 MAEFALGLTKTAVAGTVSRVKSAIEEEAEIKERVQNDLVFITEEFEMMQSFLNAAHAGEHAAKNHVVRSWVRQLRRLAFEVEDCVDFVLHLEPPRWTNWLWRVVPSCIAPPLPMDQAVAEIQRLKARVADVSQRNTRYNLMVGGTTSSTADQQLIMLPPPTSSINATSTAAFDVLIEVWKAAGNLRTTGGTHLKELISSTDGDNRLQVVSLWTSPGPGPGGAAHHLGASHSHFIREVYHDPEICQEFTTRAWVKLTPMLAFNPDEFLNGLLAQFYQLPATAATASNTHKAKLLQQFNTNRYLLVLQGVSSVVDWDAIRTYLPDNNNGSRIVVSTHHFRIALLCTGDPYQVSLLTRFSDGQSICAFSKSSVLRSDMGELNWQIRRGGVISLLGDARTDRMRQLYKGIMHKRKEFDGVVEFKEQSWVDVPDPFNLEAFCVRLFLDFQSRDFQAKEIGAVGMMGPNALAERCLEYLREDDCLLVINGLQSKEHWDEINKTFLLSGPPTTKGTSIIVITEEEGVAKHCVDHQQHRVIDSNSVDMAHDGLMKDYRCCGIGKEDSRRAHLLFHDPHEAYYWVNNFKLDGRRNEVATIHEEIRDPGVISVWGHSGVGKSVIVKKVYYEQMYERRQLFECFSFVDVPDRDPFDLTDFSWHLLLDFYSRNPLPKQNVVISLFHGRQDPIQACCWFLQQTKCLVVIDGLRSEGDWDKIRDTFLSSDQPTRGCIVVITNEENVARHCAVDDRVLGVKRLRVFRHRPLTEQDPPGRFLYDREEEAHTSWANEFHLVGRREDSSLFDTLIREDVLIRGGVFSVWGVAGVGKSALIRDYFRRNQGCICVDVPVPFHLTEFSWRLLLGFHSNDLQAKEIAAIGVMEGQDTVHKCSEIIRDNPCFLVIDGLRSTHDWDLIRASFLPEHTDQRKAIIVISNEASVAKHCASHDKSRVLNVKGLNAADSFRLFRKITPLLTCFS* >Brasy7G041500.1.p pacid=40046823 transcript=Brasy7G041500.1 locus=Brasy7G041500 ID=Brasy7G041500.1.v1.1 annot-version=v1.1 MATSMLKSAAYERMRAESPSEFVPRSVFFARGDGSEVDRRSSLWVKAALVYESITGRHVDDGAKPVATALLLRLAQACSSSIHGVASKDDTTGGEKSDDNKRVIDAIFLVVGFLPRLLPVGGASNKASRDAVDEAFKSTHMQDIVTDVIKLENQLPLRDLLRVADHVEAAIHAVAGNLGKELEGLEEARLKGYKLGFSRATFLHDVVRPFCWYYSPFFSKLPASAPQDGGTIADDSLTLLDLLHASVVPAPEKKKEKGGTGGSGGKTSRMPTARELSRSGVRIAAVEDGRATVEFDEQSCTLRLPALAHDFKLATVGRNLLAREHGQPGDGQSKKPVTRYFQMMNELVEEAADVRVLLRAGAVRCGGGGAGSGAAQEVRELVKRIDGYATYPSVFMAMDVQIEKVRRFHDKRMQSFFVRYRPGVIWASSVAAVSVVAIVAARRNRG* >Brasy7G171700.1.p pacid=40046824 transcript=Brasy7G171700.1 locus=Brasy7G171700 ID=Brasy7G171700.1.v1.1 annot-version=v1.1 MANDWSSLPYDVHRKISELVLAKEDLDHYVVFRAVCPQWRSATADPSKAGGFELSNWMMLERERDHRSTLDPEATGVVTFVNLGTGRFLRKSMPRLRSRYFFVGAAAGCGLLVLGEKAPPHRTLVLNPFTGAEIQFRAPIPAEQVNAVAVTGTSSLLGISHERHGHSVRWADERSRSFREAGYMARWPEPGFLLQHMAASAGAVHATNTYGSIISSTDIVAEEEDEEDQSERNIITMAAAIPGPSLVEGNNNSSEPDDHRYYLVRSEGELLLVRGEYRRTGPVVYKVDSVNKVLVPLRSLGSRALFVSDLRCLAVDASKFPTVQPGIIYYAGASMVTACDYENVAGGWTELAEDLDTECLECCCRPVTLPQIFASYCRAFDQHSELEKVLLFGDDGYSYSEWNEMDGNGE* >Brasy7G043900.1.p pacid=40046825 transcript=Brasy7G043900.1 locus=Brasy7G043900 ID=Brasy7G043900.1.v1.1 annot-version=v1.1 MKQSADQNRSFREFQEEAGGAQERDEGVAASRGVAVSSAAARLDLGEDDDSDVLEALLAVDDEEEDEAPEGAKRAGGAEAVRRTQSMVLVLDNDNARRAVEEHAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERFPKAAAEVGVSGLPTVILFVNGTEHAYTGLHTKDAIVTWVRKKTGTPVIRLQSRDSAEEFLKQDQTFAIGLCKYYEGADHEEFVKAATENEGLPPIHKKYGAFEENAILQFVELNKFPLIAVFTDLNSSKVYASAIKLQVFTFAEAYDFEDLESLLQEVARGFKTKIMFIYVDTAEENLAKPFLTLYGLEGDKPTVIAFDTSKGSKYLMEADINAKNLKEFCSSLLDGTLPPYFTSEPVLQEKGLIEKVVARTFDSSVLESPHDVFLEAHAPWCVDCETINKNVEKLAKHFSGLDNQS* >Brasy7G163700.1.p pacid=40046826 transcript=Brasy7G163700.1 locus=Brasy7G163700 ID=Brasy7G163700.1.v1.1 annot-version=v1.1 METAAAVSASASRRRSTSWGSSMTHSFRHQADTEDPFGRAQSQQGHDDDEENLRWAALEKLPTYDRMRRAIINDLHHDDLDGQQLLDIEKVAGGEGGRALLERVFQDDSERFLRRLRDRVDRVGIDLPAIEVRYADLTVDADVFVGSRALPTLWNSTTNFLQGLIGRFGPSNKRTINILQHVHGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGNITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGARYDMLAELAKREHDAGIKPDPEIDAFMKATAVQGQETNIITDLTLKVLGLDICADIIIGDEMTRGVSGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVSSKKDQRQYWYLEQEQYRYVSVPEFAERFKSFHVGQQMLKELQIPFEKSKTHPAALTTSKYGQSSWESLKAVMLREQLLMKRNSFIYIFKVTQLIILALMAMTVFLRTEMPVGKISDGTKFFGALAFSLITILFNGFAELQLTIKKLPVFFKHRDFLFFPPWTFGLANIILKVPVSLVESAVWVVLTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRFLGAILKTMVVANTFGMFVLLIIFIFGGFIIPRGDIRPWWIWGYWASPMMYSQNAISVNEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTEEWGFWVSTGAIVGFTILFNILYLLALTYLSSSSGSNTLVSDEENETNGEEMSTMPSSKPMDTNGAANRPTQSGIVLPFQPLSLSFNHINYYVDMPAEMKEQGFAESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTTGAIEGSIELSGYPKQQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDDSTRKMFVEEVMALVELDVLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGHVIYAGELGRHSHKLVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARLDINFAEIYANSVLYTKNQELIKELSVPPPGYQDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPYNAMRYLMTLLNGIVFGTVFWQKGKNLDSQQDLFNLLGATYAAIFFLGATNCITVQPVVAIERTVFYREKAAGMYSPLSYALAQTGVEVIYNILQGILYTLLIYVMIGYEWRADKFFYFLFFIVASFNYFTLFGMMLVSLTPSALIANILISFVLPLWNLFAGFLVVRMAIPIWWRWYYWANPVSWTIYGVVASQFGENGGSLAVPGGNPVVVKQFLEDNLGIRHDFLGYVVLAHFAYIIAFFFLFGYSIKFFNFQKR* >Brasy7G163700.2.p pacid=40046827 transcript=Brasy7G163700.2 locus=Brasy7G163700 ID=Brasy7G163700.2.v1.1 annot-version=v1.1 MTLLLGPPSSGKSTLMRALTGKLDKSLKVSGNITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGARYDMLAELAKREHDAGIKPDPEIDAFMKATAVQGQETNIITDLTLKVLGLDICADIIIGDEMTRGVSGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVSSKKDQRQYWYLEQEQYRYVSVPEFAERFKSFHVGQQMLKELQIPFEKSKTHPAALTTSKYGQSSWESLKAVMLREQLLMKRNSFIYIFKVTQLIILALMAMTVFLRTEMPVGKISDGTKFFGALAFSLITILFNGFAELQLTIKKLPVFFKHRDFLFFPPWTFGLANIILKVPVSLVESAVWVVLTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRFLGAILKTMVVANTFGMFVLLIIFIFGGFIIPRGDIRPWWIWGYWASPMMYSQNAISVNEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTEEWGFWVSTGAIVGFTILFNILYLLALTYLSSSSGSNTLVSDEENETNGEEMSTMPSSKPMDTNGAANRPTQSGIVLPFQPLSLSFNHINYYVDMPAEMKEQGFAESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTTGAIEGSIELSGYPKQQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDDSTRKMFVEEVMALVELDVLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGHVIYAGELGRHSHKLVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARLDINFAEIYANSVLYTKNQELIKELSVPPPGYQDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPYNAMRYLMTLLNGIVFGTVFWQKGKNLDSQQDLFNLLGATYAAIFFLGATNCITVQPVVAIERTVFYREKAAGMYSPLSYALAQTGVEVIYNILQGILYTLLIYVMIGYEWRADKFFYFLFFIVASFNYFTLFGMMLVSLTPSALIANILISFVLPLWNLFAGFLVVRMAIPIWWRWYYWANPVSWTIYGVVASQFGENGGSLAVPGGNPVVVKQFLEDNLGIRHDFLGYVVLAHFAYIIAFFFLFGYSIKFFNFQKR* >Brasy7G012500.1.p pacid=40046828 transcript=Brasy7G012500.1 locus=Brasy7G012500 ID=Brasy7G012500.1.v1.1 annot-version=v1.1 MEADDESSSACSAARTVYIAAGRTNGTDRGIHPPPFMEGSYEEWSEIGASVEESGVSASSRRKKFEAGPSARPPVPGRASALEKSLRGFAHRTTDNILCPEIGQCFDSLPEAYEYFNLYSWEIGFGIRYGRSHNYKCKSGPKKDVIYRTMQNIVCGCEGFPKKKNTSSVRCGCLTFIRLLRSDDHGWYIKDLKLEHNHALSRNSGEKLCWPSHKHIDRYTRELVRNLRENNVPLTKVYSILGTYFGSTDDIPLNKRSLENLCKQLSKAEANDDVRKTMELFTKMRDEDPSFVYTADLDSDGRIRTLIWVNGKSKLDYRYFGDAITFDTTYKTNLYGMPFGLFVGVNNHFQSIIFSGVLMRQETIQSFEWIFREFTSLMGGKAPRTILTDQCRAMEVAIERVFPNTTHRWCKWHVLKMAKEKLGSVYSKYSNFKSEFHKLINYSITIVEFESAWEELIDKYDLREHHFLTPIYECRHRWAKPYFSGVFCAKMTSTQRSESANHMLKGYVPPGAPMHLFVKQYNKLIADRISKEDYENQRTRMGGVVLKTGWPIEKHAATIYTSAMLEMFSDHIFDSAAYNVIEVVPNKKYLAVHSDAAKRQKWSKVHYEVTISDDAGHYKCECGLAEHMGMLCCHAIRVMLRLGIDKVPDLHILKRWTKDANDVLPDHLAHLQKDRGSLRSQSYRHASLHVAALELANIGDKNIECYHEVLKYIMDGKKKFDEMNQDTDGRSLQELLAETVLPDPGTADSGQLHVGVIAPVRKRSAGRPTNTRDKPCYELKRKRTKFCTICKLSGHNRGACKSNIDKVAVKRTEPKCGKCGVAGHRRNVCGTSVDVQMVEFQLGL* >Brasy7G012500.2.p pacid=40046829 transcript=Brasy7G012500.2 locus=Brasy7G012500 ID=Brasy7G012500.2.v1.1 annot-version=v1.1 MEADDESSSACSAARTVYIAAGRTNGTDRGIHPPPFMEGSYEEWSEIGASVEESGVSASSRRKKFEAGPSARPPVPGRASALEKSLRGFAHRTTDNILCPEIGQCFDSLPEAYEYFNLYSWEIGFGIRYGRSHNYKCKSGPKKDVIYRTMQNIVCGCEGFPKKKNTSSVRCGCLTFIRLLRSDDHGWYIKDLKLEHNHALSRNSGEKLCWPSHKHIDRYTRELVRNLRENNVPLTKVYSILGTYFGSTDDIPLNKRSLENLCKQLSKAEANDDVRKTMELFTKMRDEDPSFVYTADLDSDGRIRTLIWVNGKSKLDYRYFGDAITFDTTYKTNLYGMPFGLFVGVNNHFQSIIFSGVLMRQETIQSFEWIFREFTSLMGGKAPRTILTDQCRAMEVAIERVFPNTTHRWCKWHVLKMAKEKLGSVYSKYSNFKSEFHKLINYSITIVEFESAWEELIDKYDLREHHFLTPIYECRHRWAKPYFSGVFCAKMTSTQRSESANHMLKGYVPPGAPMHLFVKQYNKLIADRISKEDYENQRTRMGGVVLKTGWPIEKHAATIYTSAMLEMFSDHIFDSAAYNVIEVVPNKKYLAVHSDAAKRQKWSKVHYEVTISDDAGHYKCECGLAEHMGMLCCHAIRVMLRLGIDKVPDLHILKRWTKDANDVLPDHLAHLQKDRGSLRSQSYRHASLHVAALELANIGDKNIECYHEVLKYIMDGKKKFDEMNQDTDGRSLQELLAETVLPDPGTADSGQLHVGVIAPVRKRSAGRPTNTRDKPCYELKRKRTKFCTICKLSGHNRGACKSNIDKVAVKRTEPKCGKCGVAGHRRNVCGTSVDVQMVEFQLGL* >Brasy7G012500.3.p pacid=40046830 transcript=Brasy7G012500.3 locus=Brasy7G012500 ID=Brasy7G012500.3.v1.1 annot-version=v1.1 MQNIVCGCEGFPKKKNTSSVRCGCLTFIRLLRSDDHGWYIKDLKLEHNHALSRNSGEKLCWPSHKHIDRYTRELVRNLRENNVPLTKVYSILGTYFGSTDDIPLNKRSLENLCKQLSKAEANDDVRKTMELFTKMRDEDPSFVYTADLDSDGRIRTLIWVNGKSKLDYRYFGDAITFDTTYKTNLYGMPFGLFVGVNNHFQSIIFSGVLMRQETIQSFEWIFREFTSLMGGKAPRTILTDQCRAMEVAIERVFPNTTHRWCKWHVLKMAKEKLGSVYSKYSNFKSEFHKLINYSITIVEFESAWEELIDKYDLREHHFLTPIYECRHRWAKPYFSGVFCAKMTSTQRSESANHMLKGYVPPGAPMHLFVKQYNKLIADRISKEDYENQRTRMGGVVLKTGWPIEKHAATIYTSAMLEMFSDHIFDSAAYNVIEVVPNKKYLAVHSDAAKRQKWSKVHYEVTISDDAGHYKCECGLAEHMGMLCCHAIRVMLRLGIDKVPDLHILKRWTKDANDVLPDHLAHLQKDRGSLRSQSYRHASLHVAALELANIGDKNIECYHEVLKYIMDGKKKFDEMNQDTDGRSLQELLAETVLPDPGTADSGQLHVGVIAPVRKRSAGRPTNTRDKPCYELKRKRTKFCTICKLSGHNRGACKSNIDKVAVKRTEPKCGKCGVAGHRRNVCGTSVDVQMVEFQLGL* >Brasy7G075100.1.p pacid=40046831 transcript=Brasy7G075100.1 locus=Brasy7G075100 ID=Brasy7G075100.1.v1.1 annot-version=v1.1 MAATESPEPRKTAASGRLPGVTRGCGFVYKVCGDEASSRAFSLGSEERWRRPSTGSSGAGGGAPWQGHLEQPGRRERAAANSQERPPESRPGSLGRSGSGAAALEESRPDFGKTSIAAPAPAPRSSQKRPSTAIARTQARSRGPARSSAA* >Brasy7G169300.1.p pacid=40046832 transcript=Brasy7G169300.1 locus=Brasy7G169300 ID=Brasy7G169300.1.v1.1 annot-version=v1.1 MGASKSTRINPIDVFFFLTARSQIYNCLCLQTLFFYSLRSDPVTAHDLLRLTMTRKTPKKFLQKASRVRKCLQTGCTLSAI* >Brasy7G023200.1.p pacid=40046833 transcript=Brasy7G023200.1 locus=Brasy7G023200 ID=Brasy7G023200.1.v1.1 annot-version=v1.1 MLMESDAAAAVPSLITHPAHPEHALKLVATGGALFRCDGCRQLGPADERRYRCDPCDFDLHECCAPQQQVLTHPLLEGQVLAFLHSPPAVPPGCSGRSCDACGEPVLGFLHHNGERQTDLHPQCASLPERIVDEDDGGVFELYVAAPVGRGSCGLCGQGQGGSRARFWCYRYNGGDGQPLYMHMACIMEAACSRGQVSAPVAGSGEVSAPATVSGETLSAPATGSTEVGAPGASSRRSGKFKRFCKVAWTITEVTISIASLDPVGVITAIADSL* >Brasy7G123600.1.p pacid=40046834 transcript=Brasy7G123600.1 locus=Brasy7G123600 ID=Brasy7G123600.1.v1.1 annot-version=v1.1 MATETTSSGSSRRGAAVAVTQAAAGAVGAAAAARAAATCAEGRGSEQQQQTRRGAATRAAAGGAEGSGGGDASSNRRGGERRRPKHRLEGSSRRGGERRRQRREQQMARKGVAAARLGLRENVN* >Brasy7G138400.1.p pacid=40046835 transcript=Brasy7G138400.1 locus=Brasy7G138400 ID=Brasy7G138400.1.v1.1 annot-version=v1.1 MERSRSFSISEKSSNKDHGSSSTKTELRCYSASYVTAKKAPAAAGTTWPPSATSSSTTSAAACSKAKKWSSGFVAPSELRRKRRVAGYRVYGVEGKVKVSLKSGVRWLKGKCTQVVDGLW* >Brasy7G148200.1.p pacid=40046836 transcript=Brasy7G148200.1 locus=Brasy7G148200 ID=Brasy7G148200.1.v1.1 annot-version=v1.1 MAAQCRGLTLLYDAVPPAYYVLNAATRAVTRLPRCTDVLHSSAGLGFDSSTKEFKVVRLFKELCYDEKLMNGFVHIKCETYTLGGKHGDEWRPAAGGGVLPSSFHQDAQLALANARLHKVPPVLANGSLHWLLLPIISQATSPRVAVLSFSITEETFTWVQSPPFVTSGAHLVELDGRLCIARDLRSGSPDGRRSTLEIWKLQDSTSGAWSMNYQICFSGPAARDIIEPLVVRVLGVVGNGRSGHKIIIGTSRHKVRAYDAISGTFETVLSIEDTHVGYQTEKKSPLRISLFKQSLMAVHRTNEEISLSCPVAKAVKQILLQLPAKSVIQFKAVCNQWRRLIEGDIFMNSYFARKKMEKGPKIMLVSKGSGQSCFQFTPLKKWLSEGSRTSQGSRLDTKMVCSKPCHGLNLISTVEKDYLYNPCTGFYKTNSYPGSFIHTPWRTDGCSIKESGFSVGNKNVGLGFNSLEQGHVAVVMLYQRKDYKSREYSLTCSVWHCSSDAFQEGFLPPLPVNDMPPAYVAGVLFWMSDPLLGAITQQFIVAFDIAREEFDVIHCPSRVANWCGKNHRYGFVVELQGMLCAVLSDPMANELEIRKRDRAYTICFTAWPDYSLLSNIVVPLSIDPQDGRILLGTGRKVGLYDPIDTTLQRLCALDEMPFFTSKKRHLSTASQGLRLRKNPCLASNVPRCCKKLPEKQYTLQPPSLNKCSNKWSSELNAISQTEFMPLVPMLYEESLVCYPLGLKRRLLE* >Brasy7G006400.1.p pacid=40046837 transcript=Brasy7G006400.1 locus=Brasy7G006400 ID=Brasy7G006400.1.v1.1 annot-version=v1.1 MMAHHHHLLDFSSSSSSPPAFHHTETTPWPPPTHVPPYYTAPPTTTTMPAMTQPLWLNSSRYLGPARELLAELCSLTDHAARTPKGGGQWDVETNYSASWEDSNPGLLSSMDLLALQRRKARLLSMVQEVDRRYRRYREQMRSTELSFDAVAGAGAAQVYTKQATRAMSRHFRSLRDALVGQVRALRKTMGEGDTTGGLLAALGASRGDTPRLRVLDQCLRQQRAFQQSGGATESYPWRPQRGLPERAVAVLRSWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEEMYAEEETKQQGGGDGGGGKPVVVDDRHGNGNKAAAWTTMRPATGEIRDPSSCFIPAPIIPANEDDQQLFHSYLSSNNGGCGEAVSLTLGLQQRQQPAMMIMQQRPSALMLGAGDQEEEQDVVLPYRNLMGSELLHDLPDPTVYN* >Brasy7G006400.2.p pacid=40046838 transcript=Brasy7G006400.2 locus=Brasy7G006400 ID=Brasy7G006400.2.v1.1 annot-version=v1.1 MPPQLHRVYQEVDRRYRRYREQMRSTELSFDAVAGAGAAQVYTKQATRAMSRHFRSLRDALVGQVRALRKTMGEGDTTGGLLAALGASRGDTPRLRVLDQCLRQQRAFQQSGGATESYPWRPQRGLPERAVAVLRSWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEEMYAEEETKQQGGGDGGGGKPVVVDDRHGNGNKAAAWTTMRPATGEIRDPSSCFIPAPIIPANEDDQQLFHSYLSSNNGGCGEAVSLTLGLQQRQQPAMMIMQQRPSALMLGAGDQEEEQDVVLPYRNLMGSELLHDLPDPTVYN* >Brasy7G048700.1.p pacid=40046839 transcript=Brasy7G048700.1 locus=Brasy7G048700 ID=Brasy7G048700.1.v1.1 annot-version=v1.1 MPPPKHRAERGGCGCWAAVARGLRGACFRPAAAAAAERSPAGAAVKGSLVYDAAEMRYLNASNRDLADHFEKKLDDENGVDASIEKKTPPKLLEFTFQELKSATVNFRPDSILGEGGFGYVFKGWIEPNGTAPAKPGTGLTVAVKSLKQDALQGHREWVAEIDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRTLPLPWPCRMKIVLGAAKGLAFLHVGPKPVIYRDFKTSNILIDSEYNSKLSDFGLAKAGPQGDKTHVSTRVLGTYGYAAPEYVMTGHLTTKSDVYSFGVVLLEVLTGRRSVDKKRPPGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICHHCLSRDSKSRPMMDEVIKHLTPLQDLNDMASASYRPRPSPRGKARR* >Brasy7G091000.1.p pacid=40046840 transcript=Brasy7G091000.1 locus=Brasy7G091000 ID=Brasy7G091000.1.v1.1 annot-version=v1.1 MQLEHAIQSFDVECRVLRMVRHRNLVKILSTCCNLDFRALVLQYMPNGSLETLLHQSHSIGRLGFLARMDIMLDVSMAMEYLHHEHYELILHCDLKPSNVLFDWEMTAHVADFGIARLLLDENSVTCSSMPGTVGYMAPEYGSFGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGAELSLRQWVNQAFPTELVQVIDGHLLQDSSLSGCSLDNGFLVSVIKLGLLCSSDLPEQRMTMRDVVVTLKKIKTEYTKWIATTLHSGAK* >Brasy7G068300.1.p pacid=40046841 transcript=Brasy7G068300.1 locus=Brasy7G068300 ID=Brasy7G068300.1.v1.1 annot-version=v1.1 MDASASSSSAAAPPAHPSVLDTIQTKLSPGVLLVVAILAMVFFIFGLLNLLVQNILRLRRARRRRLRVGDADGSPTALQGQLQQLFHLHDAGVDQAFIDALPVFLYRDIVKGAGIGKEEEDGPFDCAVCLCEFAMDDGLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSVLADLDLAPELSSPTLLVLEPDSAMEAPGVDNEGEPKEGEEEVVEVKLGKLRCVDGIGNGGVTGDLAVETTSRSSDDNGRRSLGQRRCLSMGSYEYVMADRAALRVAIKTPKKLQSASRSRRRRHALSDFDFGFGNAKKLGAPAWEAAMRDHQAADAVAAGSGSMRCGGDGAAASRLKKDSFSVSKIWMVPAGRKEDGRALMGGGSRRSVSFRWPAIAAEASRNNEGGGNEERRDVEAQTAQPGTGSPFARTAPLWAAGGWQPSSSAGSHP* >Brasy7G049600.1.p pacid=40046842 transcript=Brasy7G049600.1 locus=Brasy7G049600 ID=Brasy7G049600.1.v1.1 annot-version=v1.1 MAAAVRDSAGHVGMDDDRDGWASDGEMDVDMAEAADSESQQHGRDDADQRRDGEGEGDDEYALLTRITDTSAAEARAGKDIQGIPWGRLEINRQDYRKARLEQYKNYENFPQSGEVMDKLCKQVERNSKYYEFQYNTRLVRPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHKKSDILDFSGRVAPAQKHPGSLLEGFTGVQVSTLAVNEGLLVAGGFQGELVCKSLGDRDVKFCARTTLSDNAITNAIDIHRSTSGCLRITVANNDSGVREFDMERFQLLNHFRFNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVASTLVGHLDYSFASAWHPDGVTFATGNQDKTCRVWDIRNPSTSLAILRGNIGAIRCIRYSSDGQFLVFSEPADFVHVYSAAAGYRKRQEIDFFGEISGVSLSPDNESLFVGVCDRVYASLLRYRMVHSYGYLDSYM* >Brasy7G049600.2.p pacid=40046843 transcript=Brasy7G049600.2 locus=Brasy7G049600 ID=Brasy7G049600.2.v1.1 annot-version=v1.1 MAAAVRDSAGHVGMDDDRDGWASDGEMDVDMAEAADSESQQHGRDDADQRRDGEGEGDDEYALLTRITDTSAAEARAGKDIQGIPWGRLEINRQDYRKARLEQYKNYENFPQSGEVMDKLCKQVERNSKYYEFQYNTRLVRPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHKKSDILDFSGRVAPAQKHPGSLLEGFTGVQVSTLAVNEGLLVAGGFQGELVCKSLGDRDVKFCARTTLSDNAITNAIDIHRSTSGCLRITVANNDSGVREFDMERFQLLNHFRFNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVASTLVGHLDYSFASAWHPDGVTFATGNQDKTCRVWDIRNPSTSLAILRGNIGAIRCIRYSSDGQFLVFSEPADFVHVYSAAAGYRKRQEIDFFGEISGVSLSPDNESLFVGVCDRVYASLLRYRMVHSYGYLDSYM* >Brasy7G149500.1.p pacid=40046844 transcript=Brasy7G149500.1 locus=Brasy7G149500 ID=Brasy7G149500.1.v1.1 annot-version=v1.1 MAGSIAASAFFPGSLSPAAPASALGERPDSLDVRGIAAKPASSSSAVKANKTRAHAAVPKVNGSKSALADGEHETVSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTILDWKPKRPDMLVDTFGFGRIIHGGFMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRNLFWVVSQMQTIVERYPCWGDTVEVNTWVGAHGKNGMRRDWHIRDSMTGHTVLKATSKWVMMNKLTRKLARIPDEVRAEIEPYFFEHSAIEDEDNRKLPILPEHERATAAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILENHELASMVLDYKRECGRDSVLQSHTTVYTDCTKESGETTLHCEHLLSLESGPIMVKARTMWRPKATNSQETTALSW* >Brasy7G158100.1.p pacid=40046845 transcript=Brasy7G158100.1 locus=Brasy7G158100 ID=Brasy7G158100.1.v1.1 annot-version=v1.1 MGQKDSKPSYNSTYDYGNSSSGYNSRYSAPAPSGYNARYSTSAVNNVQQPEAQARLQRKYSRIGDDYRSVNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIADSTGGQYHVLLIIADGQVTRSVDTESGQLSPQERDTIDAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQGIPPRVPLPPPTRTAYSRSTSFDQQSGVYSRSSSFGQQTSGFQQSDSFKQRQSAATRRSDSYASSQPTPTRIPDTYASETSESSSENRISCPICMDKSKDLAFGCGHQTCYDCGKGLVRCPICQQHITTRIRLY* >Brasy7G158100.3.p pacid=40046846 transcript=Brasy7G158100.3 locus=Brasy7G158100 ID=Brasy7G158100.3.v1.1 annot-version=v1.1 MGQKDSKPSYNSTYDYGNSSSGYNSRYSAPAPSGYNARYSTSAVNNVQQPEAQARLQRKYSRIGDDYRSVNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIADSTGGQYHVLLIIADGQVTRSVDTESGQLSPQERDTIDAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQGIPPRVPLPPPTRTAYSRSTSFDQQSGVYSRSSSFGQQTSGFQQSDSFKQRQSAATRRSDSYASSQPTPTRIPDTYASETSESSSENRISCPICMDKSKDLAFGCGHQTCYDCGKGLVRCPICQQHITTRIRLY* >Brasy7G158100.2.p pacid=40046847 transcript=Brasy7G158100.2 locus=Brasy7G158100 ID=Brasy7G158100.2.v1.1 annot-version=v1.1 MGQKDSKPSYNSTYDYGNSSSGYNSRYSAPAPSGYNARYSTSAVNNVQQPEAQARLQRKYSRIGDDYRSVNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIADSTGGQYHVLLIIADGQVTRSVDTESGQLSPQERDTIDAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQGIPPRVPLPPPTRTAYSRSTSFDQQSGVYSRSSSFGQQTSGFQQSDSFKQRQSAATRRSDSYASSQPTPTRIPDTYASETSESSSENRISCPICMDKSKDLAFGCGHQTCYDCGKGLVRCPICQQHITTRIRLY* >Brasy7G114500.1.p pacid=40046848 transcript=Brasy7G114500.1 locus=Brasy7G114500 ID=Brasy7G114500.1.v1.1 annot-version=v1.1 MDAESGGGSGGWRAPRRKPWRAELLLAYQSLGVVYGDVATAPLYVFKSAFGGGDIEHSVGNEEIYGALSLVFWTLTLVPLLKYVLLVLRADDHGEGGTFALYSLICRRVRAGLLPGGDDIAGAAEREGAAPALLSRARAALERHRVLQRMLLLLALLGTCMVIGDGVLTPAVSGGALLLLPDKFG* >Brasy7G005300.1.p pacid=40046849 transcript=Brasy7G005300.1 locus=Brasy7G005300 ID=Brasy7G005300.1.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVPKLPESISSEVATILFTCSGWVNRFANAGDAALEAAETTAVKLKQAKKEIVTACKAASTYLTGAPSGTPSNAEDTSGSASNTLFEGTWIRSIIGISMKPSRSLMNDASSSSSDESSDDESESDGKQPDSGQAEDQKDGTQEHNTESENGPQNHP* >Brasy7G005300.5.p pacid=40046850 transcript=Brasy7G005300.5 locus=Brasy7G005300 ID=Brasy7G005300.5.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVPKLPGWVNRFANAGDAALEAAETTAVKLKQAKKEIVTACKAASTYLTGAPSGTPSNAEDTSGSASNTLFEGTWIRSIIGISMKPSRSLMNDASSSSSDESSDDESESDGKQPDSGQAEDQKDGTQEHNTESENGPQNHP* >Brasy7G005300.2.p pacid=40046851 transcript=Brasy7G005300.2 locus=Brasy7G005300 ID=Brasy7G005300.2.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVPKLPAKTSKKGDCYCMQSSFHIFDRCTVRYTFKCGGYKWLSKQHSFRGDVDQKYHRHQYEAIKESNERCIIKFIR* >Brasy7G005300.6.p pacid=40046852 transcript=Brasy7G005300.6 locus=Brasy7G005300 ID=Brasy7G005300.6.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVPKLPGWVNRFANAGDAALEAAETTAVKVHLQMRRIQVAQQATLFSRGRGSEVSSASV* >Brasy7G005300.3.p pacid=40046853 transcript=Brasy7G005300.3 locus=Brasy7G005300 ID=Brasy7G005300.3.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVPKLPVKDSLLKNPNVAISLDSNIIYFRVN* >Brasy7G005300.7.p pacid=40046854 transcript=Brasy7G005300.7 locus=Brasy7G005300 ID=Brasy7G005300.7.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVG* >Brasy7G005300.4.p pacid=40046855 transcript=Brasy7G005300.4 locus=Brasy7G005300 ID=Brasy7G005300.4.v1.1 annot-version=v1.1 MTLQLQRSAVVSGKAPEMQQEVVAHVYDVACSGAEGGGATVLHINRIFKDGIGLGGIFHTAIQVYGDEEWSFGYCEQGSGVFSCPPCKNPMYTFRESIVLGKTSCTMFTVNQIVRELSWEWPGGSYELLSRNCNHFCNEFCDKLDVG* >Brasy7G060300.1.p pacid=40046856 transcript=Brasy7G060300.1 locus=Brasy7G060300 ID=Brasy7G060300.1.v1.1 annot-version=v1.1 MAEVEYRCFVGGLAWATDDRGLQDAFSSFGEIIDSKIINDRETGRSRGFGFVTFANEQSMRDAIEAMNGKDLDGRNITVNEAQSRGGGGGRGGGGGGGYGGRREGGGGYGGGGGGYGGSGGGYGQRQGGGGYGGGGGGYGGGGGGYGGSRGGSGGGNWRE* >Brasy7G084800.1.p pacid=40046857 transcript=Brasy7G084800.1 locus=Brasy7G084800 ID=Brasy7G084800.1.v1.1 annot-version=v1.1 MLLPLPSHRPPVLAQLPATHTRAPPPLPPPPPSPYHLTSALSNPTTTALYVRTSPTHRSNSPGKKKDSKASILFVLYCLWPNRETMDVTGSDAGGGRRPNFPLQLLEKKEDQSYLQPCSTSPAAAALGAGAGRNGELQQVARKASAAPKRTTSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSMLSSIPAHLRAAGLQTGPVGSGSRFGVAGGTTRAEAWDRFMGLGFEGGPASTVTSSSSSPLLLSFHSGTAAGLDVSPSSTSAAANNDLSRKRRWEQEIQQQQQQMQYQQQMAGYTVTQSQMPGGGTVWMVPTTNAHPGPGAGATQGGGESIWTTFPQPGSGGGVGGAAAVYRGVPSGLHFMNLPAPMALLPGGQGAQHLGLGQAQQAGGGSAGEGHMGILAALNAYRGQHAAAASEVTVAGQHNGSAEGGGQHQHQHQQHGSGERNESMSASDDS* >Brasy7G084800.2.p pacid=40046858 transcript=Brasy7G084800.2 locus=Brasy7G084800 ID=Brasy7G084800.2.v1.1 annot-version=v1.1 MDVTGSDAGGGRRPNFPLQLLEKKEDQSYLQPCSTSPAAAALGAGAGRNGELQQVARKASAAPKRTTSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSMLSSIPAHLRAAGLQTGPVGSGSRFGVAGGTTRAEAWDRFMGLGFEGGPASTVTSSSSSPLLLSFHSGTAAGLDVSPSSTSAAANNDLSRKRRWEQEIQQQQQQMQYQQQMAGYTVTQSQMPGGGTVWMVPTTNAHPGPGAGATQGGGESIWTTFPQPGSGGGVGGAAAVYRGVPSGLHFMNLPAPMALLPGGQGAQHLGLGQAQQAGGGSAGEGHMGILAALNAYRGQHAAAASEVTVAGQHNGSAEGGGQHQHQHQQHGSGERNESMSASDDS* >Brasy7G203200.1.p pacid=40046859 transcript=Brasy7G203200.1 locus=Brasy7G203200 ID=Brasy7G203200.1.v1.1 annot-version=v1.1 MAMSDTGSSFAQWADLYGYGPSNPTGPPTLSGSDGSPARPATTTAQQQQAGGPLMSKTIKKKRPSPRASRRAPVTLLNTDAANFRAMVQQFTGVPGPVVSFASSNDYGYAFQPQPAAASFDHHGHFYQQQQQYTGGAVGYGNVLQQVGSGVLASHHGLGSIGSAEDRMLLQSMQQAAAQMPSRNGRR* >Brasy7G140500.1.p pacid=40046860 transcript=Brasy7G140500.1 locus=Brasy7G140500 ID=Brasy7G140500.1.v1.1 annot-version=v1.1 TLPSLPTLPHQLVAAETRPTSRQATTDDEQLRSGEMAAKAISSPVPVEWYPTLAVVMVSVGLMLTASFFIYEATTSRRNRSLAKEIVTASTASVFLGFGSLFVLLASGVYV* >Brasy7G200000.1.p pacid=40046861 transcript=Brasy7G200000.1 locus=Brasy7G200000 ID=Brasy7G200000.1.v1.1 annot-version=v1.1 MSPLRFFGSLVVGRLQYSRRICGQAALGSTKLLHQSGRNLGDGASGFGFDDRLPSHGSAVAMGALSLSMGGLLYFNKENAEEPLARKLIHQEELLEEETIEEKAMKKRFEQWMVEYDRTYKGKEKAMRYEIFKREAELVDEHNARPGQSTTWGTNDFSDRTDEEFRSGCGCRCRVCDEELDDTD* >Brasy7G137300.1.p pacid=40046862 transcript=Brasy7G137300.1 locus=Brasy7G137300 ID=Brasy7G137300.1.v1.1 annot-version=v1.1 MALAFDEFGRPFIILREQEKKSRLQGLDAQKANIAAGKSVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILELMDVDNQIAKLMVELSRSQDYDIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYEMASRIAFDHLEHISTKFEFSATDIEPLVQTCMTTLSSKIVSRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVHGIIVDKDMSHPQMPKRIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRGQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSTEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIINNSIVYGGGSAEISCSIAVEAAADRHAGVEQYAIRSFADALDAIPLALAENSGLPPIDTLTVVKSQHVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVITPSEY* >Brasy7G182500.1.p pacid=40046863 transcript=Brasy7G182500.1 locus=Brasy7G182500 ID=Brasy7G182500.1.v1.1 annot-version=v1.1 MPPKRYSAPRSTAAGNAAAAKKLREKEQPPGISNADWAADCTRRNVENAARRGRLMKAKEKNDDLARQMEAQRVTTSAQMAANMAGMTAPRPPSGQHWSQGSSSSSPLPSSISLMSPHMHHQNHGNSTPSLSRFSPDYLDTDPLGGFNPNNFAADPLGGFNPNAFASAPLRQGPLSYGGCSPSASFQQFPAGCSQPAPYPFSGMSQGDSEPDVAEPRGKKKAAAEKKKAGGGGRCPKWTSKEDECLAEAWKVVSMDPFTGANQTNDTYWRRVKTAYDERRVIDQEFSMLTHDRNESSLSHRWGMIKQACNKWHGIQEEVRRRPQSGMAFRKAGMVALFTAFREDNDDVEFKLIHVFARIETCDKWTETRNGLSKSGIYNPKAAPPAAAEGRPIDHKKAKAMRDGEQATERLYTCIEKCMSDAAAQAAKREELAAKREEVAASRWATVIKKQDDKLKILKANGAAKERHEDLRGTYDGQHRMILAEARALASSTPPSAPDTAAPTTSTPSAPSPPEIASPATPTPPAGSEVPSTPADDEGAE* >Brasy7G209800.1.p pacid=40046864 transcript=Brasy7G209800.1 locus=Brasy7G209800 ID=Brasy7G209800.1.v1.1 annot-version=v1.1 MGDKYLSEPEDEVAPSMWPENIGDKHQKQFRMENLGKDHDALKDVKFSQRPVRGDVHRLTEMANSEKGTSQMQYFMKHWEYKRANNARLLNEELGRLSQQRKEIEQKKQQILEEQRFQDENYYAAKRQVPILDEVYKDEWKRPSKKSDDLSCNQELKIDAKYDTILYWKERAIQLGKALDASLQRERSLEEKLEEDIKSLQSHTPVEEFSGMLERADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDHEILSGEGIDEMNNVKREVMAKGVATKREFVFNTPLFGEKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKTREKMADIRVREAIQKAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPLEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLRLVDKPQVGCEIEAGELRMRAHPASPITTDAESSAASPRHSDKDTLRCSNREDACQNGIASNENFREYHEGEVVWLRCDVYDTGIGIPEKSLPFLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSNENEGSTFTFVMPCKIPVKEEHSDDPDDMHNSRNDFTTTDIEGSFIFKPKVRHSLLFSGVPMMNNKMFGSKLMCYDPANVLEDPKMLTNGFGPMKENSGKRSPAASQSNGPSVGSTDEQQLDGSMALEVNSQAERVSSSRADAVSVSGAGIHEGRKACKALEEETLNKKSKCSPSSSKAKILLVEDNKVNIIVAKSMLEPLGHGIDIVNNGVEAIRAVQQRQYDLILMDVHMPEMDGLQATRLIRSFENTGCWDASVRPLDSQMIANSAISSDCAQDRKEKRVPIIAMTANSFAESADECLAAGMDSYISKPMNFQKTKECLQRYLLSQ* >Brasy7G209800.2.p pacid=40046865 transcript=Brasy7G209800.2 locus=Brasy7G209800 ID=Brasy7G209800.2.v1.1 annot-version=v1.1 MGDKYLSEPEDEVAPSMWPENIGDKHQKQFRMENLGKDHDALKDVKFSQRPVRGDVHRLTEMANSEKGTSQMQYFMKHWEYKRANNARLLNEELGRLSQQRKEIEQKKQQILEEQRFQDENYYAAKRQVPILDEVYKDEWKRPSKKSDDLSCNQELKIDAKYDTILYWKERAIQLGKALDASLQRERSLEEKLEEDIKSLQSHTPVEEFSGMLERADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDHEILSGEGIDEMNNVKREVMAKGVATKREFVFNTPLFGEKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKTREKMADIRVREAIQKAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPLEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLRLVDKPQVGCEIEAGELRMRAHPASPITTDAESSAASPRHSDKDTLRCSNREDACQNGIASNENFREYHEGEVVWLRCDVYDTGIGIPEKSLPFLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSNENEGSTFTFVMPCKIPVKEEHSDDPDDMHNSRNDFTTTDIEGSFIFKPKVRHSLLFSGVPMMNNKMFGSKLMCYDPANVLEDPKMLTNGFGPMKENSGKRSPAASQSNGPSVGSTDEQQLDGSMALEVNSQAERVSSSRADAVSVSGAGIHEGRKACKALEEETLNKKSKCSPSSSKAKILLVEDNKVNIIVAKSMLEPLGHGIDIVNNGVEAIRAVQQRQYDLILMDVHMPEMDGLQATRLIRSFENTGCWDASVRPLDSQMIANSAISSDCAQDRKEKRVPIIAMTANSFAESADECLAAGMDSYISKPMNFQKTKECLQRYLLSQ* >Brasy7G209800.3.p pacid=40046866 transcript=Brasy7G209800.3 locus=Brasy7G209800 ID=Brasy7G209800.3.v1.1 annot-version=v1.1 MGDKYLSEPEDEVAPSMWPENIGDKHQKQFRMENLGKDHDALKDVKFSQRPVRGDVHRLTEMANSEKGTSQMQYFMKHWEYKRANNARLLNEELGRLSQQRKEIEQKKQQILEEQRFQDENYYAAKRQVPILDEVYKDEWKRPSKKSDDLSCNQELKIDAKYDTILYWKERAIQLGKALDASLQRERSLEEKLEEDIKSLQSHTPVEEFSGMLERADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDHEILSGEGIDEMNNVKREVMAKGVATKREFVFNTPLFGEKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKTREKMADIRVREAIQKAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPLEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLRLVDKPQVGCEIEAGELRMRAHPASPITTDAESSAASPRHSDKDTLRCSNREDACQNGIASNENFREYHEGEVVWLRCDVYDTGIGIPEKSLPFLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSNENEGSTFTFVMPCKIPVKEEHSDDPDDMHNSRNDFTTTDIEGSFIFKPKVRHSLLFSGVPMMNNKMFGSKLMCYDPANVLEDPKMLTNGFGPMKENSGKRSPAASQSNGPSVGSTDEQQLDGSMALEVNSQAERVSSSRADAVSVSGAGIHEGRKACKALEEETLNKKSKCSPSSSKAKILLVEDNKVNIIVAKSMLEPLGHGIDIVNNGVEAIRAVQQRQYDLILMDVHMPEMDGLQATRLIRSFENTGCWDASVRPLDSQMIANSAISSDCAQDRKEKRVPIIAMTANSFAESADECLAAGMDSYISKPMNFQKTKECLQRYLLSQ* >Brasy7G211200.1.p pacid=40046867 transcript=Brasy7G211200.1 locus=Brasy7G211200 ID=Brasy7G211200.1.v1.1 annot-version=v1.1 MTLLLGPPASGKSTLLLTLAGKLDPQLKKTGVVTYNGTALDEFCVRRTSAYISQTDNHLGELTVRETLDFAAKCQGASENWQACLKELVNLEKERGIRPSPEIDAFMKAASVGGEKHNLATDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIVGPRKTLLMDEISTGLDSSTTFQIVKCVRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGQIIYQGPIDHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQYSFISASTMAAAFKEYQYGRYLELNLSNSYSDTNSPQALARSKFAIPRFRLVRACFARELILISRHRFLYTFRTCQVAFVGLITCTIFLRSTLHPVDEQNGDLYLSCLFFGLIHMMFNGFTELPITISRLPVFYKQRDNFFHPAWAFSLPNWILRVPYSLIEAVVWSCVVYYTVGFAPSVDRFFRFMLLLFSVHQMALGLFRMMGAVARDMTIANTFGSAALLAIILLGGFIVPEAAIKPWWEWAYWLSPLMYAQRAISVNEFSASRWSKVSDSRNNTVGTNVLLSHNLPTQDSWYWIGVGVLFAYSILFNVLFTLALAFLKPLRKEQAVVSLNSEETKDEKIEKIDGNSVLQGRTEGTGRKGMILPFQPLTITFHNVNYFVDMPKEMQARGLPGKRLQLLHEVSGVFRPRVLTALVGSSGAGKTTLMDVLAGRKTGGCIEGDIRICGHPKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPRAISREARHAFVEEVMALVELDQLRHALVGKQGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIHMIHYFQGIPGVPPILEGYNPATWMLEVSTQACEERLGLDFATVYKNSDQFRKGEDLIEQLSIPDSGTEPLKFSTEFSQNCLTQFRVCLRKQGLLYWRSPEYNVVRLFFTALTALIFGSVFWNVGMKRETTGDLYLVMGSLYSACLFLGVNNASSVQPIVSVERTVYYRERAAKMYSSFPYAAAQGLVELPYIAAQTLIFGVITYFMTNYERNLWKLIMYLVYLFLTFTYFTFYGMVAVGLTSTQQTAAVVSSGFYSLWNLLSGFLIPQSRIPGWWIWFYYICPVAWTLRGIISSQLGDVNTRIVGPGFDGTVQEFLQQSLGFEQGMTGATVAVLIAFSAFFFSIYALSIKLLNFQRR* >Brasy7G159400.1.p pacid=40046868 transcript=Brasy7G159400.1 locus=Brasy7G159400 ID=Brasy7G159400.1.v1.1 annot-version=v1.1 MGLAGGIVRRVLSKSPRGSSSSTGCGGGGVHTERSSGDHRRRSWSSLRTYLCGDETSAAAAEGDEDDDQDQDGTVSARSFETACAMTMTTRDQAQAPVADQHAHVNGDGSPGQLRGQGAMVPPTEPAGEEEAAARLIQSAFRGLMARRRQLLPELEGSLSGQDGCCAEEPCKSPASPSTMAASVEVQVGESLSNLRLSDADDGGASVSAQHRGGASQTKSSRPQVFRAKEEWDDSTLSSNMLRMRIQSKMEATTRRERALAYAFSQQLRSCGGAGVGGGTKKRSSRSEHGEFNVGWSWLERWMATRQAEPCPPSDDGASRNAADAGSVAGRRVVVVRRRPQGQGQGQGDVAVEEKESCGSNDVSAVSFDGSSFGGRSGLSCYRPGKNRLRGARNLPRRKVAAAAEHRFKERSHEVSKKARQREDRQAEAEAEAYDPRQPPTDY* >Brasy7G048900.1.p pacid=40046869 transcript=Brasy7G048900.1 locus=Brasy7G048900 ID=Brasy7G048900.1.v1.1 annot-version=v1.1 MGSVHRWPTCRRCCPSCRRRRTSLATRAAARAPTSSLDAQALSLPMATEHVRRQSTAAAAQPIHRRPNRVHRPALCRSSTSRRHPPIRFPSAPTRFLITAARGLAASLLPHAQGTIPHPHRGSRGYRGASGFEPAALPFPPPPTPMLRFASLP* >Brasy7G127200.1.p pacid=40046870 transcript=Brasy7G127200.1 locus=Brasy7G127200 ID=Brasy7G127200.1.v1.1 annot-version=v1.1 MAAEWTEDNTRIITELFVEQVRLGNRPNTHLTPTAYEEVARKFKMLTGKEYKQSQLKNKWDKLKGGWDMEKNTMRQDAEWWKKAKKDILGCGKFKKQELRNYENLKIMFEDITSDGTDHWNPTSGVPPPSSEALADALNVDDIQDLDNDDTEIQPSPGTAGASTSVKRLGKFVHEGSKKPKTAMVMQEQITRIGDVAEKSQSSFESFIRADDAGSVKTVMDAVIECGAKEGGDEQYIATELFAKRDLREIFMHMSVASRLAWLRRKYDHKYPK* >Brasy7G167600.1.p pacid=40046871 transcript=Brasy7G167600.1 locus=Brasy7G167600 ID=Brasy7G167600.1.v1.1 annot-version=v1.1 MEGAGRTTTACVTGAGGFVASWLVKLLLSRGRYTVRGTVRDPGNAKNAHLAALDGAAERLRLLKAELLDYGSMAAAIASCDVVFHVACPVLATPTPNPEVEILAPAVTGTINVLKACSEAKVKRVVVVSSVSAVMVNPNWPRGKVMDEDCWTDVDYCRTTQNWYCLAKTLTEHEAFAYAKRSGLDVVTVCPSLVIGPLLQPTVNASTAIIVDYLKGEHEVENKIRNFVDVRDVVNALLLVCETPEVTGRYICSSYSWKVSDVIGLLKNMYPTYKFANKFVQVSDEPSYSSRKLEMLGWKIRPLEETLRDSVESYKAAGFLD* >Brasy7G167600.2.p pacid=40046872 transcript=Brasy7G167600.2 locus=Brasy7G167600 ID=Brasy7G167600.2.v1.1 annot-version=v1.1 MEGAGRTTTACVTGAGGFVASWLVKLLLSRGRYTVRGTVRDPGNAKNAHLAALDGAAERLRLLKAELLDYGSMAAAIASCDVVFHVACPVLATPTPNPEVEILAPAVTGTINVLKACSEAKVKRVVVVSSVSAVMVNPNWPRGKVMDEDCWTDVDYCRTTQNWYCLAKTLTEHEAFAYAKRSGLDVVTVCPSLVIGPLLQPTVNASTAIIVDYLKGEHEVENKIRNFVDVRDVVNALLLVCETPEVTGRYICSSYSWKVSDVIGLLKNMYPTYKFANKFVQVSDEPSYSSRKLEMLGWKIRPLEETLRDSVESYKAAGFLD* >Brasy7G149700.1.p pacid=40046873 transcript=Brasy7G149700.1 locus=Brasy7G149700 ID=Brasy7G149700.1.v1.1 annot-version=v1.1 MEERRIGGGGGGRPPIPSSARRSVLSRHASFVRSPLDNTRSETDRIFENTDGEFIPVVRSGGWADIGSRSTMEDAYICCDNFLQDFGPENSEEGPSSFYGVFDGHGGKHAADFVCSNLPRFIVEDDGFPGEIEKAVSSAFLQIDAAFADACSVNSSLESGTTALAALIIGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCSREKMRIEASGGYVYDGYLNGLLNVARAIGDWHMEGVKACDGLGPLSAEPEVMMRNLTEEDEFMIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVACCKELVDEAIKRKSGDNLSVVVVCFDPRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD* >Brasy7G149700.3.p pacid=40046874 transcript=Brasy7G149700.3 locus=Brasy7G149700 ID=Brasy7G149700.3.v1.1 annot-version=v1.1 MEDAYICCDNFLQDFGPENSEEGPSSFYGVFDGHGGKHAADFVCSNLPRFIVEDDGFPGEIEKAVSSAFLQIDAAFADACSVNSSLESGTTALAALIIGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCSREKMRIEASGGYVYDGYLNGLLNVARAIGDWHMEGVKACDGLGPLSAEPEVMMRNLTEEDEFMIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVACCKELVDEAIKRKSGDNLSVVVVCFDPRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD* >Brasy7G149700.4.p pacid=40046875 transcript=Brasy7G149700.4 locus=Brasy7G149700 ID=Brasy7G149700.4.v1.1 annot-version=v1.1 MVNLSRLYDLEAGLILGQGAQWRMPTFVVITSCKILDLKTVKKGPAHFMGFLMGMVESMLLTSCAAICQDACSVNSSLESGTTALAALIIGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCSREKMRIEASGGYVYDGYLNGLLNVARAIGDWHMEGVKACDGLGPLSAEPEVMMRNLTEEDEFMIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVACCKELVDEAIKRKSGDNLSVVVVCFDPRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD* >Brasy7G149700.2.p pacid=40046876 transcript=Brasy7G149700.2 locus=Brasy7G149700 ID=Brasy7G149700.2.v1.1 annot-version=v1.1 MVNLSRLYDLEAGLILGQGAQWRMPTFVVITSCKILDLKTVKKGPAHFMGFLMGMVESMLLTSCAAICQDACSVNSSLESGTTALAALIIGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCSREKMRIEASGGYVYDGYLNGLLNVARAIGDWHMEGVKACDGLGPLSAEPEVMMRNLTEEDEFMIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVACCKELVDEAIKRKSGDNLSVVVVCFDPRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD* >Brasy7G009000.1.p pacid=40046877 transcript=Brasy7G009000.1 locus=Brasy7G009000 ID=Brasy7G009000.1.v1.1 annot-version=v1.1 MGELRQEGVWVWFWWAAAAMAFAAAVDWAVRRGHGWWWTKGMDAGRKGRLPPGDMGWPLVGGMWAFLRAFKSGDPDAFIGSFHRRFGRAGLYRAFMFSSPTILVTSPDACKQVLMDDATFCTGWPKATVTLIGARSFISMPDEEHRRLRKLTAAPINGFDALSAYLAFIDATVVSTLRRWSSETTGTPIQFLTELRRMTFRIIVQIFMSGAGERTMEELERSYTDLNYGMRAMAIDLPGFAYRRALRARGRLVAALQGVLDERRAAAGKKMNRGVDMMDRLIEVEDEQGRRLEDEEIIDVLVMYLNAGHESSAHITMWATVFLQENPDILARAKAEQEEIMRSIPPTQKGLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATRDAFVNGYLVPKGWKVQLWYRSVHMDPQVYPDPKKFNPSRWEGPPPRAGTFLPFGLGSRLCPGNDLAKLEISVFLHHFLLGYRLERENPNCRVRYLPHPRPVDNCLAKIIRVSSDDGY* >Brasy7G217600.1.p pacid=40046878 transcript=Brasy7G217600.1 locus=Brasy7G217600 ID=Brasy7G217600.1.v1.1 annot-version=v1.1 MEPCRRRSFVLLLLVVFFFFLAEAANSAEGAALAAERTRRKDPLDGLRLYQGGWNISDKHYIASVAFSAAPVFAVGAVWFIAVAAAGLVAACCCCCCSRGSSSDYSYSRTIFTVSLVLLLAFTATAIVGCAVLYDGQGKLHESTQATVAYVVRQSDGAVASLRGFTRFIEKAKADGGVGGTALLSPELRANVDGVAARVDAAADELATRTKSNASKIRAALDTTRKILIGVASVMLVLAFLGLVFSLAGMQSLVRFLVCLGWILVTATFILCGVFLLLHNAVGDTCVAMEEWVRRPQDRTALDDILPCADTAATSEALRRSKEVNYQLVASLNNVLTDVANANNVPPQAGPPIYYNQSGPPVPLLCNPYHADLTNRSCAAGEVPAANAQQVWQGFVCRVTGNAQGTDVCATVGRLTPAMYSQALTVAVNASEGLVNYGPVLADLADCTFVRQTFRTIVREGCPGLRRHSGRVYQALLVVSVAMLAAAVVWLVHSRERRRRSEATRFRVSPYRLPIDEKSLLKSPRRPYRRAGSSGSSSPVRQSRGGTREMVERGHM* >Brasy7G227500.1.p pacid=40046879 transcript=Brasy7G227500.1 locus=Brasy7G227500 ID=Brasy7G227500.1.v1.1 annot-version=v1.1 MEAAIGAASGLIDGVVKLLSNELVQAYVASAELGLNAEKIKTSLFFAQDLLQQARQRGMAEDRPGLKGLVQQLSAKADEAEDALDELHYFIIQDQLDGTKYAVPDLGDDLRGQARHGRHALRHTVGNCLACFSCSPTPQDHGDGDATVDTNNLHNATKPASASGVNDGPVDNLSFDRVAMSKKIKLVIEQILPLCDKVSELLKINTTHGNVTATVSLKRPVIGSTTTQDTLYGRRELFEQTIEYIITGLSNSSEKLSVQPIVGPGGIGKTTFAQHLYNDRRIEEHFSVRVWICVSTVFDVLKISQQILSCIEGNNNANQTTSLDQLQISIAQNLKSKRCLIVFDDIWGCNSQSWENLLAPFMKGGAKGSTVLVTTRFPFIAEMVKSTNPIPLKGLEPDEFFTFFEAFIFEGEKPEDYQHSLIDVARNISKKLKGSPLAAKTVGRLLRKDRSWEHWMGVLESNEWQNQKNDDDIMPSLKISYDYLPFHLKKCFPYFSLFPEDYMFNKLEITYFWIAIGVIDKDVEYMEELVDNGFLVKGNDHSGEHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEDNFRGEMVKLRSKIDIVNLRALMIFRAYGEPVGEILNDTFKEIEGLRVLLVEVKSLESLPHNFSKLIHLRFLKISKPPHILDPEVTFPSTLSRFYHLILLDVSNWRHSSTKLPKDISRLISLRHFVARKELHSNVPAVGKMKCLRELREFCVKKESIGFELSELGALTELGGEIRICNLESVATKEEALTAKLVSKGGLKKLTLVWGGEQQAAKSDVLDGLEPHPNLLALGIENHGGSTGPSWFCGSNISTVMLTSLHLEGMSWVDPPFGQLLHLTSLTLKNISGLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEEICCSSCPNLCSLPFLQESSAVTCNHLTSLEISKCPMLFLPLMPHTSTLTEFSVKDSPVGKMVYIGIFKLLSFDGYIGEVALHNMAGKVESIRFVGGSKIPWAELPKLTSLSTLVIEKDPSFVSMALLSNLPTSLTSLSLIDCEKLSVDGFNPLIAAVNLKKLMVYNRGRDGPRPIAANFLSELVVASRTKPLLPAAGCFQLETLDVDCISAVLAAPVCSLFSATLHNLFFSFDQRVESFTEEEENALQLLTSLQTLFFLRCPGLPSLPQGLHTLSSLTELHVAGCPEIRSLPKEGLPTSLLELDVYGCSPELEEQV* >Brasy7G212900.1.p pacid=40046880 transcript=Brasy7G212900.1 locus=Brasy7G212900 ID=Brasy7G212900.1.v1.1 annot-version=v1.1 MTGTRGPAVLADLPEEITADILLRLPPKAVGRCRAPPLPVLNGLRAGAGLVLLRAGAGAARASDKPLWPFPPGTKHRDQPILGNTCHGFIIVSKKSQFYICNPATHRRVHLPRPLYGAGFKNTVLGLYRHRPTGEYRVLILNSMDRSAELSWYFDDSLYVLTVGSDKPREVILRTLPMSRPSMENKLGSPPVHCHGNLHWSPYGAGESTSGRGEIIVFDTEAESFRWMHGPAQAKLSDHKKLFDMEGTLAYVLWDGRSPMCFAAMDVWAMQDYGAETWSFRYRIDVSTVEASRQLCTTPVPCERNKKTPLDSKVRWFNDMAVLNERELLIRFNNKHVLRCDTDGEFLGLLAIGYRQYRMHLTPHRLQESIIPIPSHEMQ* >Brasy7G216200.1.p pacid=40046881 transcript=Brasy7G216200.1 locus=Brasy7G216200 ID=Brasy7G216200.1.v1.1 annot-version=v1.1 MAALQLEKRPAREATSEKWPAGMRVMAVDDDRVCLKILEAALKMHKYNVTAVNNAKEALQKLREKKGWYDLVITDLHMPEMDGFELLRQIGLEMDLPVIMLSANDEMETVMEGLRYGACDYLVKPIHQEQIKHIWQHVLRRKPELAPNQNNSENNADHRVQQGRIVEGEQGAKSTQKKSRNKKNDGDHSDESKEDSSKKPRVSWSVELHGQFVNAVNQIGLDRYGSYPKTLSDMLLEANQRTASSHLGNPSAMIPNSGLFGPLNQFPVQPVQPAFPVNHSSAMNMMNAPATNLVGALGVGETSKFPDLFGNYNNTWQTLVPSQFPHLLGKDGTFAGPSQANVSNINQLASSCQIPMIQNEMQNLMAASNSNTSSSVVGYNQHMSPFSNLARNTSSFGMLNGNIQTGNSISFPNLAHEPNIQTGNYITLDQIASGLRNSSTPPNIEAGSSVAPAQMLNGGDAVGILLAQEGISDLQALDDFLNNNEDFSCDDIDANMLEYQVIKNFSHPFTAQCMNVPV* >Brasy7G036200.1.p pacid=40046882 transcript=Brasy7G036200.1 locus=Brasy7G036200 ID=Brasy7G036200.1.v1.1 annot-version=v1.1 MGHSNVWNSHPKNYGPGSRVCRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYR* >Brasy7G178500.1.p pacid=40046883 transcript=Brasy7G178500.1 locus=Brasy7G178500 ID=Brasy7G178500.1.v1.1 annot-version=v1.1 MDEGVEGSGGGGFGRKTPAGEAELKEKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQIHTNRARRNEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNPESAKAAEIEDAKKKLDQADAAEGAVAASSSSMPEKELDTTQSVSDKRSRPKDVFGRSLPTEQEFEVLKNAPRLDTGAPARPKPFGVEVRNVRCLRCGNYGHQSGDRECPMKDVIMPNEESRLKRDDPLTAIKAQTDSSEPLKWELKQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGDVDIPALLTNFSVSKSKKHSRSKSRRKQSDPAAHAECGRHHSSYHLSSESEPEKRNRTVGSKRKKRYCSESSYSDDEVVVGKGKAKQKSNHRHKKKHLPESCSDSEVEANTRHLKREHIKKKRELEIALSSFSRDKEYKLSKTHSRRSREKQHYGDSSSSESEWHSTRRQGKQPHSDSSSSQSKRRSRRSREKRHYYEADSPESNRPSRKSKEKRSYSFEHT* >Brasy7G153000.1.p pacid=40046884 transcript=Brasy7G153000.1 locus=Brasy7G153000 ID=Brasy7G153000.1.v1.1 annot-version=v1.1 MPRRKIAMRFIDNTRARAATYASRTKGLRKKAEELATLCSVPVALVVCAAGAGGGAAAPPPLVWESKEGVLDRYRALPPEVRAQHTHRGYLEADLGKERAKHARVRQHGLGALADGDAAMLNGMTLDEARELLEAVDAALVATTRRMEALGLPMTTNDVDGGGQELEQFAFPDGLDAAMMPVVGGSNPLVDMDAGFQLQMMPAGQGSYGFAGQVEQLSWDDCLLPMQRNGEMMAQPAYGFQCTDANYLGAAVAINGGYGQQMQPPVYGNADHYGWTDLTMWNTTDESCNAALPPVGCYPSLGSGTGGGDFIGSTAVPQHSALVTGGGNYINAQPLGYAMGNGMGVNFASQQQQGSYGTHWSAEEFQRADTGSTSLQAATSTWTRSSSDQAFNYLQ* >Brasy7G232200.1.p pacid=40046885 transcript=Brasy7G232200.1 locus=Brasy7G232200 ID=Brasy7G232200.1.v1.1 annot-version=v1.1 MAPSPSASAADQDSPSAADGKILTSTAATESSSRGNQDDDDERWLAALSEPELDFLISLKKLAATRAKTAGHPHLADKFDVRTLRALGVALLGSLKERLKETSVDPNILDRLTLSRDTDADFSVSGSDLEVFRRSKDQTTQNGVEKKRKQMQDEFHEEAVQRKKEKRARR* >Brasy7G225700.1.p pacid=40046886 transcript=Brasy7G225700.1 locus=Brasy7G225700 ID=Brasy7G225700.1.v1.1 annot-version=v1.1 MAVEQLFLHDDLHCKREKIRERERKRGRERGGEKEGDKERRGRPGSGGSRGVGAADPAAPVNGLQALPPWSSAAAAAGARGRGTRAGGKERQELEGGGGARVGAREGEALGEGRLGGARGKNRREGEEVSGGRRKREREGGEKIERDELRVGLVGFYSK* >Brasy7G026100.1.p pacid=40046887 transcript=Brasy7G026100.1 locus=Brasy7G026100 ID=Brasy7G026100.1.v1.1 annot-version=v1.1 MNNSSHFCNQNIDKYVGNYQTAMYADTRNVPSMVSASVIMFVLTGLFFNLNLFSSFSDVSAIHDPKVRLFLSSALSIFLPVMSYIFSEAKNAAAATGRSATVAKLEQDLSLRAGVILVWMLLVELLRKKMDEVSMRGYSGTIHRAGRVAWLGSLVFFNIHSVGQKAVFGILWILCATKLMQRIAFTEVGKQSYAYGKNACLVTSNMSQMLDKRHEASTHIGADQAGVGDEHGDADDQLEASSTHVDIEPTTVRHEHGNVSDTLLTRSKFLVMGEEDLVIEPTDDGYKLKEITPHDTVITVGKIWSHVSAGRLDQDQRLRRLCLSFALFKLLRRRFEHLPPVTKEETHECRDLIFNGVYNNKEENVKALFQMMNDEVNFLSEYYHSVIPVVLASPFFFLVNYFILPLAVLVMCLITIVLCGDGDARDAFHSIKTDNFALSSGLVKTTVCLFIKAFNSPRAFFTTVDFFVTFLLFLILCYEEIWEFLVFLFSNWFMTGASNRFMVSLLYNYVAKPQWQASPMFTGTIHIILWFSLLDLRWPHVLGMPTMFSLLLQTVPVPNKAKHSIIKSLMVHSGHDGNSSRVPLNNGESVLVARLRDDLLPACRGKSIAEVILTWHIATTVMEARCSPGDDKQSKRFQTVATRLSRYCVYLVAFHPELLPKNLDKTERVFKAANAELKCTLGSCCYYLSSRSHRVDKITAEAADWKDGEVVNNGAKLGNMLSEEAMRDSGSQRKQTWKLLADVWTEIMVYLAPSSDEEHVLGHESVLVQGGEFITVLWALTTQTGITRP* >Brasy7G045500.1.p pacid=40046888 transcript=Brasy7G045500.1 locus=Brasy7G045500 ID=Brasy7G045500.1.v1.1 annot-version=v1.1 MRPSERERSESRDLPAASRGGGWGGGAGADRIRGAVANDRQIRSGEKTAALERTGSAAPWSTTARSAAGRRRRREGSRGRGRWTRGRGGWRRRGRGWRTRGRGGLGAGEWSWMAGFLGIC* >Brasy7G214700.1.p pacid=40046889 transcript=Brasy7G214700.1 locus=Brasy7G214700 ID=Brasy7G214700.1.v1.1 annot-version=v1.1 MDPTADHQPPRRTTKRPAGDEDDDDDVRWLPLPKKKQPRLCYGRAADDPKVAAPPAAGGNRRNAWERIDNLITPELARARRARHRRETITADHDNNDDEEEDALRLELETDAAGEVEGFGFVDEKGHFVEPAAPRGVYYSKQEAWLGTAAADEPPATTAIAKHIRKQARKKEEEDELIDGLVRSDEVGEVKRRVAVMLEPGETVPRALRQLKSAGAGRGGRMDEATRRAFDELTDAAAELVARGDLGAYSDDREAFARAAAGPEVEEAAGNHAGSTTGTAGNSNCLDMFGHEDDGGTAAGLTAPAGDGGDSDYVYDPASGYYYSGSTGRYYDAASGCYYDASIGTWFK* >Brasy7G219800.1.p pacid=40046890 transcript=Brasy7G219800.1 locus=Brasy7G219800 ID=Brasy7G219800.1.v1.1 annot-version=v1.1 MGNPEKLMAQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMGNIVKSLESSLNTGNLQKMSETMDSFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLTRRLAELKARG* >Brasy7G050900.1.p pacid=40046891 transcript=Brasy7G050900.1 locus=Brasy7G050900 ID=Brasy7G050900.1.v1.1 annot-version=v1.1 MILRSLECRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWRASTQPYEYSDYNSSDEQSLTFDSYTIPKDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN* >Brasy7G032100.1.p pacid=40046892 transcript=Brasy7G032100.1 locus=Brasy7G032100 ID=Brasy7G032100.1.v1.1 annot-version=v1.1 MATPPLFSPASAPAASPALFSASTSRPLFVTAASAVSARTPSSRRRWGSRRRLTVRNVAAPEASTATKAAGGAKESQRPVYPFPAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDISVVVGDPFNSDPYDPEVMGPEVRDRVLKGDSLPVTTAKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKSFRQSYLEEQEKLQEQITSARGNLGSVQLDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS* >Brasy7G131900.1.p pacid=40046893 transcript=Brasy7G131900.1 locus=Brasy7G131900 ID=Brasy7G131900.1.v1.1 annot-version=v1.1 MQHSDLHKKSAAEMDFFTAYDDANRYKILEVIGKGSYGLVCSANDLQTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDVVEIKHILLPPSKKDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYMHTANVYHRDLKPKNVLANANCKLKICDFGLARVAFSDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDILGTPSLDAISRVRNDKARKYLTCMRKKQPASFSQKFPKADPLALQLLRRLLAFDPKDRPSAEEALADPYFNGLAKVQREPSCQPIPKIEFEFEGRRVTKEDIKELIFEEILEYHPQLLKEHIIGKERPNFVHLSAVDQFKKHFTQLEENDNGTGAAVSLQRKHSSLPRQAFNHR* >Brasy7G235800.1.p pacid=40046894 transcript=Brasy7G235800.1 locus=Brasy7G235800 ID=Brasy7G235800.1.v1.1 annot-version=v1.1 MNQVVPATPVLYTIWNGHSSNKSQQTNTQALAKMAEFALGLTRTAVEGTVSRVKLAIDEETSVVEWDAIRMYLPDTNNGSRIVVSTPHLGLALSCTGNPYQVTELGHFSHGQSLCAFFNKVPGRRSDTGELIWQLRFHGVISVWNGSHEQTALVKKLYNCVTHKSKEFDGVQFDRHSWIDVPSPFDMDVFARCLFLNFHSDDLRAKKITEVGTMGNKAIIQRCCRFLREDNCLLIINGLQSTGEWESIEETFLTEPTKSCIIVSRNYERVANYRAEEEERELNIEDLEADVVLSHSIKGCEYCGIGGKEASSRGRFFSNRKEEARDWTKKFKHVVPENGLFYHFLRYLQNPGVIFLCGIDDAEKSSVARRIYYELIDGQEKIGFGFTIFEKNDFGFTKYSWAEVPHPFNLTDLCWRLLLDFYSDDLKAKETATVGLIEGRQDPVEGCRKFLRQDKCFIVIRGLHSSSDWSLIRDALLSEPTKGCILVITNDASVATHQQGQVINFQGPEADIANLPSIKKKGRVFSSGMVEARDWITEFELIGHHREWGLLCSKLMTSSPLVLSVWGIAGVGKSALVKIIYYTSMMGFKHSHLNFGYGSSGYLGQVKKYGWVDVPHPFSLINLARRLLLDFHSDDLEARETEAAGMMEGQDPIQRCCKFMREEKCFVVIDGLRSTDDWDLIKTAFLSDRIKSCILVITNEEAVATHCVDQENQMINVKGLEAEAALNLFTKIAWGAVKKLSTEEREFSEAILAKCGGLPKVIAAIGEYASKRIASKNKTLASISTKIHRDFIGKLETDSRFHCLRGLFCWMQSYFDACSDSLKPCIFYLSMFQNIRRRRMLRRWIAEGYSTDKSASGTAKDNGELLFGKLVESSIIQQQQTPSSSISSSSSKMVYQVNGFFREYIISRPMEDNLVFALEGHCSPDTQRTGQHLAIMCSWDRDQIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDTKSGVTDEVLEKIVKLLPRLKFLSIRGCQDITRLPDSLGGLRQLQTLDIRHTKIRMLPYAIIKLVKLQYLRAGTTHESLAHGDDCSSIYCRTPASREDANQPAADRDGEGTSQPTAGDEDSSNGVSTSQPAEAVEDDVGSTSQPAAAGDVASTSQPAADKVRTWIRVAPWRRAVRDLVVSCRKKLRRRPRHDDGIVEIVPAAARGIGKLTALHTLGVVHVSSSAGGKTFLLELKKLTQLHKLRVSGINRKNWLDLCSAISGHHHLKSLSVRFYKAAAATSLFSGPDDLFSDLPKTLKSLKLYAGDGNVQVSPVLLKRLGNLRKYNFELTASTQLDIDSLVEIPCIFRHLYVKPIQDGKLCYAPWTQDGLAGRFFGVRVLEIDCGSYRSEIFLGDLVSLAVQVLVVHCSSITTESSLKLSALDSHDYAWDLQEVWLKGSYSEAVKQHFQQELAKLPWLIVEPVLKLDGEQTHQSPDQSNRPAASSAC* >Brasy7G235800.2.p pacid=40046895 transcript=Brasy7G235800.2 locus=Brasy7G235800 ID=Brasy7G235800.2.v1.1 annot-version=v1.1 MSLLLPRAQLNSQQTNTQALAKMAEFALGLTRTAVEGTVSRVKLAIDEETSVVEWDAIRMYLPDTNNGSRIVVSTPHLGLALSCTGNPYQVTELGHFSHGQSLCAFFNKVPGRRSDTGELIWQLRFHGVISVWNGSHEQTALVKKLYNCVTHKSKEFDGVQFDRHSWIDVPSPFDMDVFARCLFLNFHSDDLRAKKITEVGTMGNKAIIQRCCRFLREDNCLLIINGLQSTGEWESIEETFLTEPTKSCIIVSRNYERVANYRAEEEERELNIEDLEADVVLSHSIKGCEYCGIGGKEASSRGRFFSNRKEEARDWTKKFKHVVPENGLFYHFLRYLQNPGVIFLCGIDDAEKSSVARRIYYELIDGQEKIGFGFTIFEKNDFGFTKYSWAEVPHPFNLTDLCWRLLLDFYSDDLKAKETATVGLIEGRQDPVEGCRKFLRQDKCFIVIRGLHSSSDWSLIRDALLSEPTKGCILVITNDASVATHQQGQVINFQGPEADIANLPSIKKKGRVFSSGMVEARDWITEFELIGHHREWGLLCSKLMTSSPLVLSVWGIAGVGKSALVKIIYYTSMMGFKHSHLNFGYGSSGYLGQVKKYGWVDVPHPFSLINLARRLLLDFHSDDLEARETEAAGMMEGQDPIQRCCKFMREEKCFVVIDGLRSTDDWDLIKTAFLSDRIKSCILVITNEEAVATHCVDQENQMINVKGLEAEAALNLFTKIAWGAVKKLSTEEREFSEAILAKCGGLPKVIAAIGEYASKRIASKNKTLASISTKIHRDFIGKLETDSRFHCLRGLFCWMQSYFDACSDSLKPCIFYLSMFQNIRRRRMLRRWIAEGYSTDKSASGTAKDNGELLFGKLVESSIIQQQQTPSSSISSSSSKMVYQVNGFFREYIISRPMEDNLVFALEGHCSPDTQRTGQHLAIMCSWDRDQIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDTKSGVTDEVLEKIVKLLPRLKFLSIRGCQDITRLPDSLGGLRQLQTLDIRHTKIRMLPYAIIKLVKLQYLRAGTTHESLAHGDDCSSIYCRTPASREDANQPAADRDGEGTSQPTAGDEDSSNGVSTSQPAEAVEDDVGSTSQPAAAGDVASTSQPAADKVRTWIRVAPWRRAVRDLVVSCRKKLRRRPRHDDGIVEIVPAAARGIGKLTALHTLGVVHVSSSAGGKTFLLELKKLTQLHKLRVSGINRKNWLDLCSAISGHHHLKSLSVRFYKAAAATSLFSGPDDLFSDLPKTLKSLKLYAGDGNVQVSPVLLKRLGNLRKYNFELTASTQLDIDSLVEIPCIFRHLYVKPIQDGKLCYAPWTQDGLAGRFFGVRVLEIDCGSYRSEIFLGDLVSLAVQVLVVHCSSITTESSLKLSALDSHDYAWDLQEVWLKGSYSEAVKQHFQQELAKLPWLIVEPVLKLDGEQTHQSPDQSNRPAASSAC* >Brasy7G235800.3.p pacid=40046896 transcript=Brasy7G235800.3 locus=Brasy7G235800 ID=Brasy7G235800.3.v1.1 annot-version=v1.1 MNQVVPATPVLYTIWNGHSSNKSQQTNTQALAKMAEFALGLTRTAVEGTVSRVKLAIDEETSVVEWDAIRMYLPDTNNGSRIVVSTPHLGLALSCTGNPYQVTELGHFSHGQSLCAFFNKGCEYCGIGGKEASSRGRFFSNRKEEARDWTKKFKHVVPENGLFYHFLRYLQNPGVIFLCGIDDAEKSSVARRIYYELIDGQEKIGFGFTIFEKNDFGFTKYSWAEVPHPFNLTDLCWRLLLDFYSDDLKAKETATVGLIEGRQDPVEGCRKFLRQDKCFIVIRGLHSSSDWSLIRDALLSEPTKGCILVITNDASVATHQQGQVINFQGPEADIANLPSIKKKGRVFSSGMVEARDWITEFELIGHHREWGLLCSKLMTSSPLVLSVWGIAGVGKSALVKIIYYTSMMGFKHSHLNFGYGSSGYLGQVKKYGWVDVPHPFSLINLARRLLLDFHSDDLEARETEAAGMMEGQDPIQRCCKFMREEKCFVVIDGLRSTDDWDLIKTAFLSDRIKSCILVITNEEAVATHCVDQENQMINVKGLEAEAALNLFTKIAWGAVKKLSTEEREFSEAILAKCGGLPKVIAAIGEYASKRIASKNKTLASISTKIHRDFIGKLETDSRFHCLRGLFCWMQSYFDACSDSLKPCIFYLSMFQNIRRRRMLRRWIAEGYSTDKSASGTAKDNGELLFGKLVESSIIQQQQTPSSSISSSSSKMVYQVNGFFREYIISRPMEDNLVFALEGHCSPDTQRTGQHLAIMCSWDRDQIVFESMDFTRLRSLTVFGEWRSFFISTDTKMRLLRVLDLEDTKSGVTDEVLEKIVKLLPRLKFLSIRGCQDITRLPDSLGGLRQLQTLDIRHTKIRMLPYAIIKLVKLQYLRAGTTHESLAHGDDCSSIYCRTPASREDANQPAADRDGEGTSQPTAGDEDSSNGVSTSQPAEAVEDDVGSTSQPAAAGDVASTSQPAADKVRTWIRVAPWRRAVRDLVVSCRKKLRRRPRHDDGIVEIVPAAARGIGKLTALHTLGVVHVSSSAGGKTFLLELKKLTQLHKLRVSGINRKNWLDLCSAISGHHHLKSLSVRFYKAAAATSLFSGPDDLFSDLPKTLKSLKLYAGDGNVQVSPVLLKRLGNLRKYNFELTASTQLDIDSLVEIPCIFRHLYVKPIQDGKLCYAPWTQDGLAGRFFGVRVLEIDCGSYRSEIFLGDLVSLAVQVLVVHCSSITTESSLKLSALDSHDYAWDLQEVWLKGSYSEAVKQHFQQELAKLPWLIVEPVLKLDGEQTHQSPDQSNRPAASSAC* >Brasy7G045300.1.p pacid=40046897 transcript=Brasy7G045300.1 locus=Brasy7G045300 ID=Brasy7G045300.1.v1.1 annot-version=v1.1 MATTATKEQTSGGAGEQKTRHSEVGHKSLLQSDALYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNMLLKLIGAKKTMEIGVYTGYSLLATALALPDDGNILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPVLDALLEDERNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLLGYDNTLWNGSVVLPADAPMRKYIRYYRDFVIELNKALAADQRVEICQLTVGDGITLCRRVK* >Brasy7G149800.1.p pacid=40046898 transcript=Brasy7G149800.1 locus=Brasy7G149800 ID=Brasy7G149800.1.v1.1 annot-version=v1.1 MLEVLEMTPPPPPPPRHQPPKGGGGRAGQARKQPLQSSVAQPKAEAAAVAPPPEGAKKCGGRRRGGRGRARPVAEPRPAMAQTAAVAAPATRAVIGPPVPSKGLAFCRRPGFGTVGARCVVKANHFLAEIPDKDLTQYDVKITPEVSSRCVNRAIIAELVRLYRASDLGMRLPAYDGRKSLYTAGTLPFDAREFVVRLTDDDSGTGVPPREREYRVVIKFAARADLHHLRQFIAGRQADAPQEAVQVLDIVLRELANQRYVPIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVMSRPLSDANRIKIKKALRGVKVEVTHRENVRRKYRISGVTAQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHAHLPCLMVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREKEMDILQTVHQNGYDQDPYAKEFGINIGEKLTSVEARVLPAPWLKYHDAGKEKECLPQVGQWNMVNKKVINGGKVSHWACINFSRNVQETTARGFCQELAQMCQISGMEFNSEPVLPIYSARPDQVAKALKHVYNVALHKLKGKELELLLAILPDNNGALYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLVDALSWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMVRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPELSENHTSKSSSGTNGTSVKPLPAVKEKVKRVMFYC* >Brasy7G057100.1.p pacid=40046899 transcript=Brasy7G057100.1 locus=Brasy7G057100 ID=Brasy7G057100.1.v1.1 annot-version=v1.1 MMANRSGGVAGAGDTGSPSARVAAAASGEEEAGAAGKVKLLCSFGGRIAPRSGDGALRYVGGQMRLISVPRAASFGELMRKVEAVDEAGPGGVLVKYQLPGEDLDSLISVSAPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTDAAASGSGSHLAPTAATVDEPGQRYIDAINCVSAEAVAAMRRKESVASAGSSAHNSETSEHAGVVEGMSPQAVPPPSVPPEYLYSAGSHYPSAFPESLGFSAVTASSPAMGIPTQNPILVGTESPPLQPHQVAGYAPSHQPAQIASYVPHQQPAQVAGYAPSQPQVAGYAPSQPQVASYAPPQPQVASYAQPQPQAQVASYAPQQQPQVASFAPQQQPQVASYTSQMQQSYIEPQQVQYINAHQFGLHGVSQSMNVMPVQMNQYVPSIPGTNSMANAANLAGTLRPVSAGPERVLENVHSTRPIQTPVDPNYRILQPLSQLPPLPHMHLQTSDAQRYAAQTVATSTVSLPAVTSSGTIPVVVSSGTMPAVRYDDCMMCQKVLPHAHSDNMIQEQGNPHAANNHVATPVFYSLHQENTVKQHGPGASAGSPANYIAEPRTESTAGMTQFDPKHHARKPAVQAMPSPDAGALVQPTMVTFPVSSAPTSNGVFVGHPPHARVEDASMYQHQQQHFHNMQPSQVPVNGVSTNRQGIDASVLKNPNHQVADPVREYAHDLPHDYVRAIDGRMQGVHLGPIAPPESTMLGQSAMPYGAIGDGKIEKPPVNMDGGSIYKSQAGGYHMGITDAFPVPAFIPEDNLMRHTEQPPPSLNVGVQNLHPEIIQQPNMLLNVPVSNNLGVPIEQPVPSERFLVRPPYSGVQAPAGPPPLHPGEMMNPLVSAPHNVASHAVLQATAGTDRVEATREPAYTESLFSNQDPWKAVGNASLAPPRPNKLAKEPVVSGDPYVEGHVPAITSSNAATLLEEGNLSRIQDPTFKDIYPEPSQISKGYGEESIKRQLQAVAEGVAASVLQSPLPDKPTEFSGDHIDSQGAVVDPKIEDAPNKESDKTSQGVPVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEEQRMKTDFWNEACKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHDKIFDRRRRLVIVMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYSDLHYGAIIGGIVNNTLRPEVPESCDPRWRSLMEQCWSAEPSERPSFTEVVKRLRAMAAAPTKTQPQK* >Brasy7G027700.1.p pacid=40046900 transcript=Brasy7G027700.1 locus=Brasy7G027700 ID=Brasy7G027700.1.v1.1 annot-version=v1.1 MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSSSGGGGGPVIEMASLLRPDRNYAPLSTDDPSAASSRGAVTVGLPPAWVDVSEEISANMQRAKTKMAELAKAHAKALMPSFGDGRDDQRAIEVLTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRRKQSSYLKQLRQQKEGQDGVDLEMNINGSKSTFELEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIILLILKKILF* >Brasy7G096600.1.p pacid=40046901 transcript=Brasy7G096600.1 locus=Brasy7G096600 ID=Brasy7G096600.1.v1.1 annot-version=v1.1 MNLGADQEWTSSEVEEARSIIAGGYIDQNKKLNIIGELQAKFPWKPMHQVRDLYVDLVVEMSMTQCQEKEYGGADRMHGVICTIDGHVNKNCEVPEEQKVSMDDKEFSHGFPLKHVGVVEAMKEVPVPKENRVEVLENKMSIHQPIAHCTRRFWTTEEHRLFLRGLRVYGRGKWKDISKYFVTSRTPIQISSHAQKYFKRLESKGSGMQRYSIHDVELNDNDPLKMENSSRPSKRAATIPTSSFLPVPSNPSVTMDNIAQFKFPF* >Brasy7G013200.1.p pacid=40046902 transcript=Brasy7G013200.1 locus=Brasy7G013200 ID=Brasy7G013200.1.v1.1 annot-version=v1.1 MGLLKLNQLMSMKRRRRRNRRGQIQTRNGSIASCAKRKGRHDDEHSLDGQIKGYSILDLPEDILCHIHSLMPIQDAARAACVSQTFLHSWRCRPNLDFSRETLGLTKETQRDITSIVDHILQNHSGIGVKAVKFQDDSWLWNSIKNQEFRHLDVENQDFSHLDLDRWLRNTIKPGIEELNISLHGENTVYNFPCSLLSDEIGESLRNLKLVGCYFDPTIGLGSLRNLRRIQLGSLSITDSKLECLLSNSFSLEQLVLRVCSGIICLKIPCLQRLSYLEVNACTGLEVLESKAPNLSSVIIEGAPHVQLSLLESPCITKYYRSCPGAAFYARTELPSGMPNLETLSLVSDTETVNTPVMPSKFLHLKWLSIILSGRGQTYDLFSLSSFFDASPFLETFKLNAPSLHVKRASIFEDRSDLRKMPEKHSYKLKCVRITNFSSAKSLIELTCHILESAMSLERLTLDTTHGAPRCSVMKTVRCWPMKKDALMEAHRALSAVQTYVKSKVPSTVELNVFEPCSQCHAVAL* >Brasy7G237800.1.p pacid=40046903 transcript=Brasy7G237800.1 locus=Brasy7G237800 ID=Brasy7G237800.1.v1.1 annot-version=v1.1 MDSRDSCYYIHHRPSPNLRPPATAQSPPTAAFDDYRHHVDASPAFSFQMLQDQEPMSQHHAASASAGATAEDPSEQVKKKRGRPRKYNPPPDGLSPPSSSSALVKVPATPGSGGPSEKRRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIPSGEDIAARIMSFSQQGPRAVCIMSATGAVSTPTLHQDASSGSAITYEGRFEILCLSGSYLVIDDGGSRTRNGGLCIALCGADHRVIGGSVGGVLTAAGTVQVIVGSFMYAGSKNKKGKAEQEAETVEANGSGEEETPSLMTMPHEDLSSDAMMSGWPDMMRRMDSRSCSIDMNSVRE* >Brasy7G121500.1.p pacid=40046904 transcript=Brasy7G121500.1 locus=Brasy7G121500 ID=Brasy7G121500.1.v1.1 annot-version=v1.1 MLSHGELNGTNAAAPAFTQEVLHEEVLLSGDDGYTGGEEGVLFGDEYAREDPDKSVEVEEGEILPVTGQEVDLSGQDWEEHGSVGDVQCPECGKYFKNDKSMFGHLRSHPNRGYKGATPPTKPKLLPNDDAIASPVDKARYSQRDPNLNSYEVLAAYVMLTLKSSDDQAAPVAPDNKRKYESGELDVPEEELVMSKGGADVMLSDDYGSSVADKPGDDAVRRDNHDSAVAALAGADMVLRDEHASSVAGMNAVLHNEHGSSFVSEVPRKASRKKSKEGREGHRKEKGVRSPKEKRPYICKHCPAEFPSHQALGGHMAAHNKEKRIQAQIEQAAEEAHLGKIEQSLNRQEANCGSDESRRATLPLSTRELLMERYNKLFQEGWQSRQGYMRQHIDSKDGDSPMIAPPVVARDRHRLLDIDLNVEAPEKE* >Brasy7G192900.1.p pacid=40046905 transcript=Brasy7G192900.1 locus=Brasy7G192900 ID=Brasy7G192900.1.v1.1 annot-version=v1.1 MDPKNKHESLQTRVTDSAQKTSVDMEKDRLTQKIGDYINKMQKYLDALKLKLEEGTIGTCVSEDGKARLETCVMSCVATFVYGEPDRQVSKKYELKLTCGDQQSSTNGRSVEMNYIQTDVPNGCERIPLPGTYMDVEGKTLAVVINGAKEAIDNKDLEKTVGNRGKDKVED* >Brasy7G192900.2.p pacid=40046906 transcript=Brasy7G192900.2 locus=Brasy7G192900 ID=Brasy7G192900.2.v1.1 annot-version=v1.1 MDPKNKHESLQTRVTDSAQKTSVDMEKDRLTQKIGDYINKMQKYLDALKLKLEEGTIGTCVSEDGKARLETCVMSCVATFVYGEPDRVSKKYELKLTCGDQQSSTNGRSVEMNYIQTDVPNGCERIPLPGTYMDVEGKTLAVVINGAKEAIDNKDLEKTVGNRGKDKVED* >Brasy7G188100.1.p pacid=40046907 transcript=Brasy7G188100.1 locus=Brasy7G188100 ID=Brasy7G188100.1.v1.1 annot-version=v1.1 MADEEHAQTSRKRVADKQINKDNPEPDDDSTEQEDGTFKKATEEVMATRRIVKVRRQQLKPAPSNPFSAIRFTPSDSSVQASVTVSEPPPSDVTVTNVKDSCLSEKTNDGSNGSGKDALSATDKTADSNEVDEIQKDEAAPKESDAKDKSSAPTEVPSPLVETDDKADDAGDGTGEDKVVVGEPKEDNSKTSGMEGKTEDVEAEEKKAAIEAGDQDKFSKDDADKKDEAESRAKDGSCEQKDAEKSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSISKESSNAPLFGLKSDGSSFPSFNIGGTSNGSSSPALVAAAEAPKKFAMPEGPVETGEENEKAVFTADSAIYEYLDGGWKERGKGELKLNIPVSGSGERSRLIMRARGNYRLILNASLYEDMTLKDMDKKGVTFACINSIGESPSGLTTFAVKFKDTGIREDFKAAVEAHKAKKTSDVALKTPENSPKASDD* >Brasy7G175300.1.p pacid=40046908 transcript=Brasy7G175300.1 locus=Brasy7G175300 ID=Brasy7G175300.1.v1.1 annot-version=v1.1 MERDGGGGAAVAGVPGAGDGSAEPAIPVAGAGAVKGRSCKGCLFYSSVLRSKARGPVCVGVTRAIPQVPDHMVGEIEMEAIQEGRNLSNFKYACAGYSIYLDEKENPTGKGERRAELPICVGVELLADRGSAKQVPAHPKKEATQAHGYKPGQRGEDFLTKFQRNAGLVANGVVRNLNRVGTYVKDSVGDILYPYRKRPK* >Brasy7G062900.1.p pacid=40046909 transcript=Brasy7G062900.1 locus=Brasy7G062900 ID=Brasy7G062900.1.v1.1 annot-version=v1.1 MLSDAMTMETRKGLMAREMDQFPIGMRVLAVDDDPVCLKVLETLLRRCQYHVTATNQAITALKLLRENKDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNVWQHVVRRKFSNREPSNLDFCKEFSKPPSADSYHGLSQVTCGSSDQSSRAGKKRKELHSEEEDEGEDNDAQENDEPSASKRPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQASIAAAFGGRDPFLHMGAFEGLHSYQTFAPSAALSSFNPHGLLSSAGATAFGLQELVPSKAIQCAISNGAVGHCAGDTDKFHIVSLQESQQASLTQSLTTSLGQPQLQQKWIHRETNDLSSVFSGSALANTMSGTLQRVASSSLPPEELLECRHIQVGVHPSIRMPPASSGLLERSAGVSANLQDSSISQQGSLPINGGFSVEKLPLHCPFDSVGATKLDASFAAPEQGMDPRGKFSERITVCPSESLVAANNSKGGASSSGSAMPLPPDNERHSKYLQFGVASNSRHRMDGARQEHIHNQRLNNGSFSYNGDASVPEQTNMYDLGIAKLQGGFNSSSCNFDGLLNSIIKVENDEVPFSESDLGCELFPLGACI* >Brasy7G062900.2.p pacid=40046910 transcript=Brasy7G062900.2 locus=Brasy7G062900 ID=Brasy7G062900.2.v1.1 annot-version=v1.1 MLSDAMTMETRKGLMAREMDQFPIGMRVLAVDDDPVCLKVLETLLRRCQYHVTATNQAITALKLLRENKDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNVWQHVVRRKFSNREPSNLDFCKEFSKPPSADSYHGLSQVTCGSSDQSSRAGKKRKELHSEEEDEGEDNDAQENDEPSASKRPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQASIAAAFGGRDPFLHMGAFEGLHSYQTFAPSAALSSFNPHGLLSSAGATAFGLQELVPSKAIQCAISNGAVGHCAGDTDKFHIVSLQESQQASLTQSLTTSLGQPQLQQKWIHRETNDLSSVFSGSALANTMSGTLQRVASSSLPPEELLECRHIQVGVHPSIRMPPASSGLLERSAGVSANLQDSSISQQGSLPINGGFSVEKLPLHCPFDSVGATKLDASFAAPEQGMDPRGKFSERITVCPSESLVAANNSKGGASSSGSAMPLPPDNERHSKYLQFGVASNSRHRMDGARQEHIHNQRLNNGSFSYNGDASVPEQTNMYDLGIAKLQGGFNSSSCNFDGLLNSIIKVENDEVPFSESDLGCELFPLGACI* >Brasy7G233100.1.p pacid=40046911 transcript=Brasy7G233100.1 locus=Brasy7G233100 ID=Brasy7G233100.1.v1.1 annot-version=v1.1 MAESALGAVLGNVSSLAVQETSLLCGVTLEVEFLKDELKRLQGFLRDADKKRKLGDDGAAILVSQIRDAAYEADNAIEEVDYMHKRNRLKKGFMGAIARYARLPSDLSTLHKVGVEIQRIRRKISEIFESANRFKIVDLGNTSIENVHVDDGFPQDYVHTHQNFEDIVMVGFEDEYEEIVDKLFDTHNNILSAVSIFAMGGAGKTTLARKVCTSSRVKQHFQKIAWVTVSQKYKGIDLLKDIMKQIMEVRDESIHSKNEYDVGKEIHDFLLQKRYLVVLDDVWETDTWEQLNRTVKAFPDENNGSRVLLTTRKEDVANHVHMPTHVHPLKKLDEEKSWKLFSSRALPSYKRSAIRDVDQFEELGRKFAKKCDGLPLALAVLGGYLSKNLNTQAWSSILSNWPSTKNGQMMRDILARSYKDLPNHYLRSCFLYLAASPEDYEIDVADLINLWIAESFIPYTPNHKLEETAHKYVTELVQRSLVQIVHETRELGRIDSIRIHDILRDWSIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLFIENSRLEDFSRVIVGCIHLRYLGLLKCKGVTLPSSIGQLLYLQTIDLSGTKLDSVVPNSLWDIPSLRHVFLGRNGFSPPPPGRSLRRQQQNKLQTFWLSHGSVDTEYRYHDMVIFLGQMNQLTTFFVHVRPMHAEMINIFANMPNLVNIVLSDFDVLDKLPDNFPQSLQSLFLYADAIEQDPMPILEKLPCLVVLDLGGYQGQTMTCSAKGFPRLQTLRLYNFSTGEWTMEDGTMPKLSCLLLRSLEKMIKLPQGLLHLPSLNKLELLGMPQITEDDSTVKELQRKGCEVQRLLH* >Brasy7G042500.1.p pacid=40046912 transcript=Brasy7G042500.1 locus=Brasy7G042500 ID=Brasy7G042500.1.v1.1 annot-version=v1.1 MAACGHRVQAPLPDGQHVRLRSRHLDAYLHACTDGVGACIHRTPASMNSLWVVHRPPGEHVLVLLQSAAYGRYLAATDSPAPRGQHGFRIVQVKYYDYQADHAILWEIVTHDNYVQLRNAGGGGQGTRHLRANGRYFRFNAGRTRYLPRDTGVTVEGRGTTAHWNWVVETIPPCQAYPGIPGPINEPVPGNLAAIFLGRQRPPWRFIRFVQAAEDGSYVQQANGWNAFDFTGNSIFRLRKEVAEILQTVDTNIVMCVRAGRFGRLAPMLVDMPHDGDGDTVYIVVFTIGTPGEAALRYPDIHG* >Brasy7G151200.1.p pacid=40046913 transcript=Brasy7G151200.1 locus=Brasy7G151200 ID=Brasy7G151200.1.v1.1 annot-version=v1.1 MNRVACLPLRASAATPPFPSRCRAAPLPPRSVSRLPPRASASTADRPTLPYRVLRPCEQVLAEAIGDISGTEESVADLVTESKDVGNGEESDAVERPELKNWQLRRLACALKIGRRKTSIKNLAGELGLERGLVIELLRNPPPKLLVMSDSLPDEAPSKPEVKEVEPSPVVDEVDATETKPQMDIPIHVMSAEWSTQKRLKKVQLETLERVYLRSKRPTNTMISSIVQVTSLPRKTIVKWFEDRREQDGVPDHRAAYKRPLSETIAS* >Brasy7G101100.1.p pacid=40046914 transcript=Brasy7G101100.1 locus=Brasy7G101100 ID=Brasy7G101100.1.v1.1 annot-version=v1.1 MAAAAAAQRLLAASTKIVGAGRNYVAHAKDLVNPVLKEPVLFLKPTSSFLHAGPNAGSIEVPEPLESLHHEVELAVVISRRARDVPEASAMDFVGGYALALDMSSDDLQSTAKRAGLPWTLGKVQDTFTPISAVVPKSAVPDPYDLELWLKVDDELRQKGLTSDMIFKIPSLISYISSFMTLMEGDVILTGTPPDGVGPVRVGQKIKAGITDLIDVEFDVQRRKRPFSN* >Brasy7G066200.1.p pacid=40046915 transcript=Brasy7G066200.1 locus=Brasy7G066200 ID=Brasy7G066200.1.v1.1 annot-version=v1.1 MASSTATAAAFACLLPAAQASTSRLPKAAAASFARLPAHSAAAVSVAAPRRRRWAPGVAYATAATEKSIYDFTVKDIDGKGISLSKFKGKPLLIVNVASQCGLTTANYTELSHLYEKYKTQGFEILAFPCNQFGFQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA* >Brasy7G070800.1.p pacid=40046916 transcript=Brasy7G070800.1 locus=Brasy7G070800 ID=Brasy7G070800.1.v1.1 annot-version=v1.1 MPPEHGAFVALEARAHGDVLLLDCAENAEDGKTYSYFAALPAMMTEPGERGRPPYDYVMKVDDDTYLRLEALVETLRGAPREDTYYGVGLPFMDREHPPFMLGMGYGLSWDLVQWIATSDMVKREAKGVEDVTTGNWLNMGNKAKNRVNIYPRMYDYKSAEAQDFLENTIGVHQLKQDLKWAHTLEHFNATRLEPSSKLNI* >Brasy7G224500.1.p pacid=40046917 transcript=Brasy7G224500.1 locus=Brasy7G224500 ID=Brasy7G224500.1.v1.1 annot-version=v1.1 MGNCASAMDGLIPWGTKAAAAANPGMSASKRTSSSSTTTTTTGKLSTISTSTFMPSTVSGVSTDDGYPEGEILESPNLRIFTFAELKSATKNFRPETVLGEGGFGKVYKGWVDEKAMNPSKMSTGGVIAVKKLNSESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMEDNELLLVYEYMAKGSLENHLFRRGAIYEPLPWSLRLKILMGAARGLAFLHSSERQVIYRDFKASNILLDSHFNAKLSDFGLAKHGPDDGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMLCGLRALDPSRPTEKLNLVNWAKPLLADRRRLSQLMDSRLEGQYHARGAFHAAQLTLKCLAGDPKSRPSMKEVVEELERIELMRSKSRSREARRDSSSMARGQANSPRSDSARTSSRGR* >Brasy7G072400.1.p pacid=40046918 transcript=Brasy7G072400.1 locus=Brasy7G072400 ID=Brasy7G072400.1.v1.1 annot-version=v1.1 MRSLQKNFNDPQEGWELYDTMFVWNEFLTRGVRNVLKSTSWTVALVYGFFKQDKLTISGKDIMLTLIARRSRHYAGTRYLKRGVNEEGRVANDVETEQIVFDDTLGSRPISSVVQNRGSIPLFWSQETSKLNIKPDIILHEKDTNYEATKLHFENLRRRYGNPIIILNLIKTRERRPRESTLRQEFDKAIKIINNGLPGENHLKFLHWDLNKSTRSKTTNALQVLMKVAFEALSLTEFFYCQVSSTQTPGSSLNLHAPLKNGRAPRVCDNACCVDNIDDIPQEDTCGSSDTSANGIAEDVPTISGPTPVKPPKLQKGVLRTNCIDCLDRTNVAQYAYGLASLGHQLHALGSAESPELDLDSPLAHHLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYRQPQQGKSAPWEPEYVAGDNVLDDNTSKLIKRGRSDGSILHKSNTSMSSHGRNGLSTSGFSDFKDELQSPNCRSDSVHEISSTSDDVVSNARYTPTVPHIKYASCEFDYCNGSGDSNFLDLDWLSASGNERSRAVSTPVVNVSADNGASGVTSTIMEGHAAEIQAQGLSENFMQWVNQGEAFWY* >Brasy7G189600.1.p pacid=40046919 transcript=Brasy7G189600.1 locus=Brasy7G189600 ID=Brasy7G189600.1.v1.1 annot-version=v1.1 MSKFNPSPAPKLVPFSNSPPSSAKASAADTSQKTVPALSVPSSKPVSGTVLIDRKVVTRWRPLKLVYGHDIRLGQMPEKCSFQTLREVVAKRFPSSKAVLMKYKDADGDLVTITCTTELRLAEACSDGNNVMEGDNNLPMLRLHIVEVSPEQEPPLPIEELKLEEDEELLVTGEDSPSHISAEVTSAEVTKPDLEHGVAEQSTLTGKKDCGHAECKEAEIDDWLLQFAELFRNQIGIDADAHLDLHELGMELCSEALEETVTSEEAQSIFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESSPKEIMSAQLRTAYDWVRERYALAGHKYEEALKIKQDFYEGLLALGQQHFETAKLHWSFALADKVDLSTWDSSETFKLFNSAEEKMRAATEMWEKVEEKRMLELKTPGASEMDEILKKRRKQHSTDGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLTVGDWKSNLDASVERFKLAGASESDISTVLKNHFSNAVSACKEKKIVPESSQTSDNVDDESVVES* >Brasy7G041000.1.p pacid=40046920 transcript=Brasy7G041000.1 locus=Brasy7G041000 ID=Brasy7G041000.1.v1.1 annot-version=v1.1 MDDSLTSTWMTSLCGSPVCTSEDVVSCAFTEIFDSSTCTNHLVTIGIVLLFVVVFVLQLLVKIPKSRASPRQLVALGSPLKLAAVVFNGCLGLVYLGLGLWMLWSNFNQGASVYLTHWWLVTLSQGFGLILTSFAFSIRPRFLGAAFVRSWSVSVTIYAAFISCSSVLHLIADKAITVKACLDVLSLPGAILLLLYGICRAQDEEGYVGNGNALYRPLNTEADSETANPVSQVTPFAKAGFFSKMSFWWLNPLMNMGYEKTLEDKDIPLLGATDRAEYQYLTFGEKLNSKKHSQSNATPSIFWTIVSCHSHEIMVSGFFALLKVLTISTGPLLLKAFINVSTGKGTFKYEGYVLAAIMFVCKCCESLSQRQWYFRTRRLGSQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCLALVILYNAVGAAMVSSLVVIIVTVLCNAPLARLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLSIPLDASNVFTFVATLRLVQEPVRSMPDVIGVVIQAKVAFTRIEKFLDAPELNGKVRKKYCVGIDYPITMNLCNFSWDENPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSSMDMQRYQETLVRCSLVKDFEMLPYGDLTEIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDIILLMSDGEVIRSAPYQDLLADCQEFKDLVNAHKDTIGVSDLNNMSPHKAKGISIMETNDIHGSRYIGPVKPSPVDQLIKKEERETGDTGLKPYMIYLRQNKGFLYASFCAISHIVFIAGQITQNSWMAANVQNPHVSTLKLISVYIAIGVCTMFFLLSRSLCVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFTFMFSISASLNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLIDKNASPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLTNQIISVERVNQYMDIKSEAAEVIEENRPAPDWPQVGSVELRDLKIRYRKDSPLVLHGVTCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSLDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEVVREKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDVVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPTKLMETEGSLFHELVKEYWSYTSNGNI* >Brasy7G041000.4.p pacid=40046921 transcript=Brasy7G041000.4 locus=Brasy7G041000 ID=Brasy7G041000.4.v1.1 annot-version=v1.1 MDDSLTSTWMTSLCGSPVCTSEDVVSCAFTEIFDSSTCTNHLVTIGIVLLFVVVFVLQLLVKIPKSRASPRQLVALGSPLKLAAVVFNGCLGLVYLGLGLWMLWSNFNQGASVYLTHWWLVTLSQGFGLILTSFAFSIRPRFLGAAFVRSWSVSVTIYAAFISCSSVLHLIADKAITVKACLDVLSLPGAILLLLYGICRAQDEEGYVGNGNALYRPLNTEADSETANPVSQVTPFAKAGFFSKMSFWWLNPLMNMGYEKTLEDKDIPLLGATDRAEYQYLTFGEKLNSKKHSQSNATPSIFWTIVSCHSHEIMVSGFFALLKVLTISTGPLLLKAFINVSTGKGTFKYEGYVLAAIMFVCKCCESLSQRQWYFRTRRLGSQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCLALVILYNAVGAAMVSSLVVIIVTVLCNAPLARLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLSIPLDASNVFTFVATLRLVQEPVRSMPDVIGVVIQAKVAFTRIEKFLDAPELNGKVRKKYCVGIDYPITMNLCNFSWDENPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSSMDMQRYQETLVRCSLVKDFEMLPYGDLTEIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDIILLMSDGEVIRSAPYQDLLADCQEFKDLVNAHKDTIGVSDLNNMSPHKAKGISIMETNDIHGSRYIGPVKPSPVDQLIKKEERETGDTGLKPYMIYLRQNKGFLYASFCAISHIVFIAGQITQNSWMAANVQNPHVSTLKLISVYIAIGVCTMFFLLSRSLCVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFTFMFSISASLNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLIDKNASPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLTNQIISVERVNQYMDIKSEAAEVIEENRPAPDWPQVGSVELRDLKIRYRKDSPLVLHGVTCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSLDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEVVREKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDVVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPTKLMETEGSLFHELVKEYWSYTSNGNI* >Brasy7G041000.3.p pacid=40046922 transcript=Brasy7G041000.3 locus=Brasy7G041000 ID=Brasy7G041000.3.v1.1 annot-version=v1.1 MDDSLTSTWMTSLCGSPVCTSEDVVSCAFTEIFDSSTCTNHLVTIGIVLLFVVVFVLQLLVKIPKSRASPRQLVALGSPLKLAAVVFNGCLGLVYLGLGLWMLWSNFNQGASVYLTHWWLVTLSQGFGLILTSFAFSIRPRFLGAAFVRSWSVSVTIYAAFISCSSVLHLIADKAITVKACLDVLSLPGAILLLLYGICRAQDEEGYVGNGNALYRPLNTEADSETANPVSQVTPFAKAGFFSKMSFWWLNPLMNMGYEKTLEDKDIPLLGATDRAEYQYLTFGEKLNSKKHSQSNATPSIFWTIVSCHSHEIMVSGFFALLKVLTISTGPLLLKAFINVSTGKGTFKYEGYVLAAIMFVCKCCESLSQRQWYFRTRRLGSQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCLALVILYNAVGAAMVSSLVVIIVTVLCNAPLARLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLSIPLDASNVFTFVATLRLVQEPVRSMPDVIGVVIQAKVAFTRIEKFLDAPELNGKVRKKYCVGIDYPITMNLCNFSWDENPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSSMDMQRYQETLVRCSLVKDFEMLPYGDLTEIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDIILLMSDGEVIRSAPYQDLLADCQEFKDLVNAHKDTIGVSDLNNMSPHKAKGISIMETNDIHGSRYIGPVKPSPVDQLIKKEERETGDTGLKPYMIYLRQNKGFLYASFCAISHIVFIAGQITQNSWMAANVQNPHVSTLKLISVYIAIGVCTMFFLLSRSLCVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFTFMFSISASLNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLIDKNASPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLTNQIISVERVNQYMDIKSEAAEVIEENRPAPDWPQVGSVELRDLKIRYRKDSPLVLHGVTCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSLDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEVVREKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDVVLQKTIRTEFKYCTVITVAHRIPTVMDCDMGELWSMTNLRSSWKPKGLFSMNWSRSIGHTHRTETFRSKY* >Brasy7G041000.2.p pacid=40046923 transcript=Brasy7G041000.2 locus=Brasy7G041000 ID=Brasy7G041000.2.v1.1 annot-version=v1.1 MDDSLTSTWMTSLCGSPVCTSEDVVSCAFTEIFDSSTCTNHLVTIGIVLLFVVVFVLQLLVKIPKSRASPRQLVALGSPLKLAAVVFNGCLGLVYLGLGLWMLWSNFNQGASVYLTHWWLVTLSQGFGLILTSFAFSIRPRFLGAAFVRSWSVSVTIYAAFISCSSVLHLIADKADSETANPVSQVTPFAKAGFFSKMSFWWLNPLMNMGYEKTLEDKDIPLLGATDRAEYQYLTFGEKLNSKKHSQSNATPSIFWTIVSCHSHEIMVSGFFALLKVLTISTGPLLLKAFINVSTGKGTFKYEGYVLAAIMFVCKCCESLSQRQWYFRTRRLGSQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCLALVILYNAVGAAMVSSLVVIIVTVLCNAPLARLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLSIPLDASNVFTFVATLRLVQEPVRSMPDVIGVVIQAKVAFTRIEKFLDAPELNGKVRKKYCVGIDYPITMNLCNFSWDENPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSSMDMQRYQETLVRCSLVKDFEMLPYGDLTEIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDIILLMSDGEVIRSAPYQDLLADCQEFKDLVNAHKDTIGVSDLNNMSPHKAKGISIMETNDIHGSRYIGPVKPSPVDQLIKKEERETGDTGLKPYMIYLRQNKGFLYASFCAISHIVFIAGQITQNSWMAANVQNPHVSTLKLISVYIAIGVCTMFFLLSRSLCVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFTFMFSISASLNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLIDKNASPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLTNQIISVERVNQYMDIKSEAAEVIEENRPAPDWPQVGSVELRDLKIRYRKDSPLVLHGVTCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSLDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEVVREKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDVVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPTKLMETEGSLFHELVKEYWSYTSNGNI* >Brasy7G062200.1.p pacid=40046924 transcript=Brasy7G062200.1 locus=Brasy7G062200 ID=Brasy7G062200.1.v1.1 annot-version=v1.1 MRTCRRRILFEIHGLCFMDPKFSCIKSCWFVFYMPVFLVRAQHLRYTFSFCS* >Brasy7G123200.1.p pacid=40046925 transcript=Brasy7G123200.1 locus=Brasy7G123200 ID=Brasy7G123200.1.v1.1 annot-version=v1.1 MTVGSDLRMATGNGENSYAANSRLQEKAILETRPVLRKAVEELYTSLPPRSTMVVADLGCSSGPNTLLVVSEVMGAIRAYTDNKNKWEEEARRAIELQFFLNDLPGNDFNLVFRSLEHFENLGLGLGLGEKETPPYYVAGLPGSYYRKLFPCGSVHLFHSSYSLMWRSKVPEEISSGTHLNEDNIYIGETSPPTVIKLFQEQFQKDFELFLALRSKELVSGGRVLLTFLGRKSEEMMMHGDVSTLFELVAKSLRSLVLKGRVEKEKLDSFNLPYYTPSVKEVKALINENKLFNIEHIRLFESNWDPQDDSEGDVVLNCARSGANVAKCIRAVLEPLIIDHFGEDIVDELFMLYASIVAKHMKKAKAKYPIILVSLKKAVHSTGRMV* >Brasy7G069200.1.p pacid=40046926 transcript=Brasy7G069200.1 locus=Brasy7G069200 ID=Brasy7G069200.1.v1.1 annot-version=v1.1 MTTDLPMAAKTERIARRNTGALARGYSKKLKIAVPQKPGFKAFVNATDQEITGYCIDVFEAALKKLPHDLDYEFNVFIGSYDQLNFDAAVGDVTITADRAVHVDFTMPYTESGVSLLVLTENDPEPTIQWIFLKPLTTELWLATVGGFFFTGLVVWLIEGPRNREYQGSSQIIRSSLSKVVVVIWCFVVLVLVQSYTASLSSILTAKRLRPSVTDLDHLLLTNDYIGYQSGSFLHSVLTNQGFIGKRLKAYGKKEEYANALRKGSMNGGVSAIVDEIPYITSFLSDPRYQKEFQMVKRIYNTPGLGFVFPQDSPLVHNLSVAILNLTGGGEGARIEAKWLGSPAPLQSYGIANTDSAPLTLRRFSGLFIITSVNGDSESEGGGASSPAHNSIGNGSMTDQTHHEARNDVPQGVHGTGSSGSVGDDEPDGSLPAHSIQIEMNSTG* >Brasy7G027400.1.p pacid=40046927 transcript=Brasy7G027400.1 locus=Brasy7G027400 ID=Brasy7G027400.1.v1.1 annot-version=v1.1 MLISSASRREAARSCELGRTYGGEPPRPSPSAKARSGELLKGSAAGATAAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDNKLLAYYKKQPKDNMVPLKALVIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKDNPITMGAHDIEGALAWKKKIELLIDQQQDTMTAKNRKAFASLDFDMDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGRGLPDSVHDWTKEPDIGLSNQNDTNQANSRKNWRLLTCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEATCEAIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFARASIESGGFKISPLKSVNGRPRTQVQHLMQIDLKGWGVNYVTSFQYHSLLQMLNCVAGLREYFSQTDDIQTVPRIPVMTTMANAPSVKTDKKLQEADSKTKQGDSAQADTENSDMIDEESEEDDDCQIPETSLEVEDNAKFDSDTKCSDPIDLSCFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKNFSRDKSKVSAGKYLMELVAVDWFKDSTRMDHVAKRKGCAAQVAAEKGMFSFVVNIQIPGSSHYSLVLYFVTRSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYIRGPEYMEVDVDIGSSAVANGVLGLVFGVVTSLVVDMAFLIQANTYDELPEQLLGAARFSHIEPSAAVVPKLDNISPAGG* >Brasy7G027400.2.p pacid=40046928 transcript=Brasy7G027400.2 locus=Brasy7G027400 ID=Brasy7G027400.2.v1.1 annot-version=v1.1 MLISSASRREAARSCELGRTYGGEPPRPSPSAKARSGELLKGSAAGATAAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDNKLLAYYKKQPKDNMVPLKALVIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKDNPITMGAHDIEGALAWKKKIELLIDQQQDTMTAKNRKAFASLDFDMDLGGPLSFSDHDSGPEDEEEPRPTLLRRTTIGRGLPDSVHDWTKEPDIGLSNQNDTNQANSRKNWRLLTCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEATCEAIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFARASIESGGFKISPLKSVNGRPRTQVQHLMQIDLKGWGVNYVTSFQYHSLLQMLNCVAGLREYFSQTDDIQTVPRIPVMTTMANAPSVKTDKKLQEADSKTKQGDSAQADTENSDMIDEESEEDDDCQIPETSLEEDNAKFDSDTKCSDPIDLSCFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKNFSRDKSKVSAGKYLMELVAVDWFKDSTRMDHVAKRKGCAAQVAAEKGMFSFVVNIQIPGSSHYSLVLYFVTRSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYIRGPEYMEVDVDIGSSAVANGVLGLVFGVVTSLVVDMAFLIQANTYDELPEQLLGAARFSHIEPSAAVVPKLDNISPAGG* >Brasy7G196300.1.p pacid=40046929 transcript=Brasy7G196300.1 locus=Brasy7G196300 ID=Brasy7G196300.1.v1.1 annot-version=v1.1 MAGLAAFVLFLAAVPWGVDCGGAGAGTYDVVSVTSSGSQMSARLQLAAAGGADPALGPDVQRLHLTASLETNTRLHIRITDADRPRWEIPQDILPRPTPEHVVLDKPLASPGSRVLSAPGSDLVFTLHAAPFRFTVARASNGDVLFDTMPRLVFKDQYLELTTALPSERASLYGLGEQTKQSFRLRHGDNFTLWNADIAAANVDVNLYGSHPFYMDLRSSPRAGAAHGVLLLNSNGMDILYRGSSLTYKIIGGILDFYFFAGPSPLAVVDQYTHLVGRPAPMPYWSFGFHQCRYGYLNVEDLERVVAGYAEARIPLDVMWTDIDYMDRYQDFTLNPANFSAAKLRPFVDRLHRNAQKYVLILDPGISIIDPKYGTFIRGMEEGIFLKRNGTEFEGRVWPGAVYFPDFFNPRAAEFWASEISLFRRTIPVDGLWIDMNEISNFLTPNPLTPLDDPPYSINNSGDRLPINTKTVAASASHYGGVSEFDAHNLFGLLESRATHAALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNDATWGDLRYSINTMLSFGLFGMPMVGADICGFGKDTTEELCARWIQLGAFYPFSRDHSATGTIRRELYLWDSVARSARSALGLRYRLLPYLYTLMYEAHVSGAPMARPLFFSFPDDAATYGIDTQFLLGRGVLVSPVLQPGATTVQAYFPAGRWFSLFDHSSVVVSKAGERVTLPAPADTVNVHVAGGSIVPMQGHALTTARARRTAFRLLVALAEDGTAAGELFVDDGESPEMGGTRSKFSVVRFTSSTGTDGVVRVRSQVVHDSYKPSRRMVIAKVVVMGIKSPAPMKKLSVRVNGAEVKAASLEAGTGLGVAHVGGLSLVVGQAFELALGFT* >Brasy7G173800.1.p pacid=40046930 transcript=Brasy7G173800.1 locus=Brasy7G173800 ID=Brasy7G173800.1.v1.1 annot-version=v1.1 MGCFAFTSAGKKKEKKKQQRKRPAAGGAESPAPTSSGGETKSKASSGGETKSKASSASTPTRSIQELSEERGAQRLRAFGLEELSSATNGFSRALKVGEGGFGSVYRAFFRSAGAGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLIGYCAVDSEEGKHRLLVYEFMPNKTLDDHLFSRAHPPLPWRTRLQIMIGAARGLDYLHQGVQEVQVIYRDFKASNVLLDADFKPKLSDFGLAREGPTEGRTHVSTAVVGTQGYAAPDYIETGHLTAKSDVWGFGVVLYEILTGRRSVERSRPADEQKLLGWVRRHPPDGDSFRSIMDPRFGGRYPAAAARDVARLADRCLGRNPKERPAMAEVAEELERVLRMEPPPPASAPPARR* >Brasy7G140700.1.p pacid=40046931 transcript=Brasy7G140700.1 locus=Brasy7G140700 ID=Brasy7G140700.1.v1.1 annot-version=v1.1 MRLLVFLFACLLACAALPHCAEAAKARHFKWEVSNMFWSPDCEEKVLIGINGQFPGPTIRAKAGDTIVVELKNALHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEEHFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYNQMVGLSSKPMRWIGEPQSLLINGRGQFNCSLAAAHTQGAKQCTGAGGNKHCAPVVFPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFTVDDMDIYSGDSYSVLLTTDQNPSSNYWISIGVRGRNPKTAPALALLNYRPNNRAMKLPAVAPPATPAWNDYAHSKAFTQRILARAGTPPPPATSDRRIELLNTQNKMDGGIKWSINNASMVLPATPYLGSLKLLGLKPTLGASARPAESFGRGYDVTRPPANPNTTVGDNVYVLAHNATVDVVLQNANALAHNVSEVHPWHLHGHDFWVLGYGEGAYKGDAADAARLNLRNPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVERVGAVPKAAVSCGATATALMAGGDHP* >Brasy7G140700.2.p pacid=40046932 transcript=Brasy7G140700.2 locus=Brasy7G140700 ID=Brasy7G140700.2.v1.1 annot-version=v1.1 MQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYNQMVGLSSKPMRWIGEPQSLLINGRGQFNCSLAAAHTQGAKQCTGAGGNKHCAPVVFPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFTVDDMDIYSGDSYSVLLTTDQNPSSNYWISIGVRGRNPKTAPALALLNYRPNNRAMKLPAVAPPATPAWNDYAHSKAFTQRILARAGTPPPPATSDRRIELLNTQNKMDGGIKWSINNASMVLPATPYLGSLKLLGLKPTLGASARPAESFGRGYDVTRPPANPNTTVGDNVYVLAHNATVDVVLQNANALAHNVSEVHPWHLHGHDFWVLGYGEGAYKGDAADAARLNLRNPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVERVGAVPKAAVSCGATATALMAGGDHP* >Brasy7G184100.1.p pacid=40046933 transcript=Brasy7G184100.1 locus=Brasy7G184100 ID=Brasy7G184100.1.v1.1 annot-version=v1.1 MLREATRRPLEQLRGALRRCYALVSACQDCGYLRRLLLGARMADELRAAQHEIDMFIRLIPLIALVDNSTNSRLIKAEEEVRTVVTGRSNHHIRFATRAEDFTELHSRGATELCNVGQQQLVGTVDLQEQKISDIEELVKLCTRVEEACTGFAKFNFFQIVDATDNFSEKRIIGWGGFATVYKGQLPNGLMIAIKRLDSPATIFDFDSEVQLAKLQHTNLIRLLGWCIHGKERILVYEYMQNGSLESYISDKTKGPLLDWSKRLKIIKGLIEGLVYLHKHSMLWIVHRDLKPHNVLLDYNMNPKIADFGSSKTMSSDIAEELTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRRNIILDKQGDTVGDLVRNAWRMWKAQRLHELVDPSLGSRYEITEITRFVQVALLCAQEDPADRPTMTDVAAMLHSESMTFPIEPKQPALLRNGSAAEDLASSYMGQSSRTIDITITSSAPVSTRVRKIVDPEV* >Brasy7G184100.2.p pacid=40046934 transcript=Brasy7G184100.2 locus=Brasy7G184100 ID=Brasy7G184100.2.v1.1 annot-version=v1.1 MLREATRRPLEQLRGALRRCYALVSACQDCGYLRRLLLGARMADELRAAQHEIDMFIRLIPLIALVDNSTNSRLIKAEEEVRTVVTGRSNHHIRFATRAEDFTELHSRGATELCNVGQQQLVVDLQEQKISDIEELVKLCTRVEEACTGFAKFNFFQIVDATDNFSEKRIIGWGGFATVYKGQLPNGLMIAIKRLDSPATIFDFDSEVQLAKLQHTNLIRLLGWCIHGKERILVYEYMQNGSLESYISDKTKGPLLDWSKRLKIIKGLIEGLVYLHKHSMLWIVHRDLKPHNVLLDYNMNPKIADFGSSKTMSSDIAEELTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRRNIILDKQGDTVGDLVRNAWRMWKAQRLHELVDPSLGSRYEITEITRFVQVALLCAQEDPADRPTMTDVAAMLHSESMTFPIEPKQPALLRNGSAAEDLASSYMGQSSRTIDITITSSAPVSTRVRKIVDPEV* >Brasy7G184100.3.p pacid=40046935 transcript=Brasy7G184100.3 locus=Brasy7G184100 ID=Brasy7G184100.3.v1.1 annot-version=v1.1 MVEDNISFNNDYAGSPGENLLFSFFWLDRWIKQRRHHTYVLNSLVRSEIRFRGDNPMFDLLVRPDNIDVRKAEEEVRTVVTGRSNHHIRFATRAEDFTELHSRGATELCNVGQQQLVGTVDLQEQKISDIEELVKLCTRVEEACTGFAKFNFFQIVDATDNFSEKRIIGWGGFATVYKGQLPNGLMIAIKRLDSPATIFDFDSEVQLAKLQHTNLIRLLGWCIHGKERILVYEYMQNGSLESYISDKTKGPLLDWSKRLKIIKGLIEGLVYLHKHSMLWIVHRDLKPHNVLLDYNMNPKIADFGSSKTMSSDIAEELTCRVVGTSGYKAPEYASRGVYSLKTDVFSFGVLVLVIISGRRNIILDKQGDTVGDLVRNAWRMWKAQRLHELVDPSLGSRYEITEITRFVQVALLCAQEDPADRPTMTDVAAMLHSESMTFPIEPKQPALLRNGSAAEDLASSYMGQSSRTIDITITSSAPVSTRVRKIVDPEV* >Brasy7G187900.1.p pacid=40046936 transcript=Brasy7G187900.1 locus=Brasy7G187900 ID=Brasy7G187900.1.v1.1 annot-version=v1.1 MRHAREDAEREENSRRSWRKRRRDVDREMIPRRRRTSTESDHPPSPPYGRGRSWYAASSDASPAKKARAEEEDSEFAAEVSRLRASIADEMREILADHAATELQYAEHVRRKAEMPSLTVETYFCDIHDGSSQSCKARKVALLASKSVVSLSAFSDEKRIRVCSGFVIGSDRCTNTSKILTSATLLRTHSSSGVNFMVPDIKVKVCLPNGHISDGLVSMLDFHYNLAVVEVKSDQELPDAILVSEVTKRGAVLAIGRFYDHGGMMCAQGKITKQASGFDCSELFVSSCQTTMAGVGGPLVNYSGHVVGINFHGENHTPFLSTAIIVRCLEHWQNYGEIIRPWLGFMYTSLDMLPLRVLEKCEYAEGFYISNVAKGSPADAAGLCVGDVLIECAGEVLCTAPEFGALLLDMCKEQMETYNSASCLTVEVVVVRKQRDGSRARKTMKANVLREPDYYRWPAPSPSYNHKIVARKSPIQLL* >Brasy7G165000.1.p pacid=40046937 transcript=Brasy7G165000.1 locus=Brasy7G165000 ID=Brasy7G165000.1.v1.1 annot-version=v1.1 MSKSRSRSSLYMVVAVLVAVVTWTPAASRSCREQCKEECTGWLIMCKLSCASACAGADGIAVMSVNPAADATPSSSSHADEYHSYAPSAAPPLSYQHHLRRGLINNKKPAPSNI* >Brasy7G086900.1.p pacid=40046938 transcript=Brasy7G086900.1 locus=Brasy7G086900 ID=Brasy7G086900.1.v1.1 annot-version=v1.1 MGTKVNIIVGSHVWAEDSDIAWIDGEVVKINGEEAEIQATNGKKIVSNLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEKKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNKSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKETDSSVLKDDKSKFHLDTAAELLMCDPGALTDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDANSKCLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFAIAHYAGEVMYQSDQFLDKNKDYVVAEHQELLSASRCSFIAGLFPALPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPDALEVNCDEKVACKRILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFVSLRKASVCFQAVWRGTLACKLYDRMRREAASVKIQKNQRRHQARRSYKLLNASVLVVQTALRAMAARNDFRYKKRSQAAITIQARYRCHRSHLYHNKLKSAAIVAQCRWRGRIARKELRKLKMEARETGALKEAKDKLEKTVEELTWRVQLEKRMRTDSEEAKAQELSKLQSSMDALQAKLDETNAMLVKEREAAKKAIAEAPSVVKETEVVVQDTEKVNSLEAEVDGLKTSLQSEKQRADELEKKCSEEAQANEEKQKKLEETEIKIRQFQDYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSNLQRNAESGHVSVADSKITPFQESTNLSSPKREYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLALINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE* >Brasy7G086900.2.p pacid=40046939 transcript=Brasy7G086900.2 locus=Brasy7G086900 ID=Brasy7G086900.2.v1.1 annot-version=v1.1 MGTKVNIIVGSHVWAEDSDIAWIDGEVVKINGEEAEIQATNGKKIVSNLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEKKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNKSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKETDSSVLKDDKSKFHLDTAAELLMCDPGALTDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDANSKCLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFAIAHYAGEVMYQSDQFLDKNKDYVVAEHQELLSASRCSFIAGLFPALPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPDALEVNCDEKVACKRILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFVSLRKASVCFQAVWRGTLACKLYDRMRREAASVKIQKNQRRHQARRSYKLLNASVLVVQTALRAMAARNDFRYKKRSQAAITIQARYRCHRSHLYHNKLKSAAIVAQCRWRGRIARKELRKLKMEARETGALKEAKDKLEKTVEELTWRVQLEKRMRTDSEEAKAQELSKLQSSMDALQAKLDETNAMLVKEREAAKKAIAEAPSVVKETEVVVQDTEKVNSLEAEVDGLKTSLQSEKQRADELEKKCSEEAQANEEKQKKLEETEIKIRQFQDYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSNLQRNAESGHVSVADSKITPFQESTNLSSPKREYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLALINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEMIYKY* >Brasy7G061000.1.p pacid=40046940 transcript=Brasy7G061000.1 locus=Brasy7G061000 ID=Brasy7G061000.1.v1.1 annot-version=v1.1 MARTKMPAQRKPKGWALQPKVSFNSRPNSPSSRTGAPSSSSEYRLQGILASRTQLQEETEENKQ* >Brasy7G233200.1.p pacid=40046941 transcript=Brasy7G233200.1 locus=Brasy7G233200 ID=Brasy7G233200.1.v1.1 annot-version=v1.1 MDPSPSASAADQASAAADGKILPSPAAAESISRGGQDDEDERWLAALSEPELDFLISLKKLAATRAKTAGHPHLADQFHVRTLRALGVVLLGSLKERLKETSVDPNILDRLALSRDTDAEFSVGGSGLEVFRRSKDQPSQNGVEKKRKQMQDDECHEGDVQSNKKRKMSKKVAASIDCHGLLTSSDLVQTPKAANEEDH* >Brasy7G028800.1.p pacid=40046942 transcript=Brasy7G028800.1 locus=Brasy7G028800 ID=Brasy7G028800.1.v1.1 annot-version=v1.1 MEPDTATSTAAVVSAHAEIDKAPSSMAGNNAKYRLSKSYIRSIIALRPQTQSPTIDDLESIADLFPPGWIEEKRRRHEETKEMYRQINEEWREFRQKVIEGVKEKGYFEVDEEFVADLEESEDYFSLSDKDDTRLVPFATPEERRLAAEQGYLLYVPDEDDYLLSDGDDEDDYLLSNGDDDEDVEGVDGAVLGQQTASS* >Brasy7G220100.1.p pacid=40046943 transcript=Brasy7G220100.1 locus=Brasy7G220100 ID=Brasy7G220100.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEVEEEEEEEEEEEKEEEEEEEEEEEEPCSQDSGGGRDQEMHLEYYNYETASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPVSNGGMMIDSGLMLGHVNFTARPVVVAGHEAAPPRPFFAEVRYESPYLMASCVMSLDDGEEELEEEDLCPDCGDHMRHPKLDSELRVENGRAAMVVRRPKLRC* >Brasy7G070300.1.p pacid=40046944 transcript=Brasy7G070300.1 locus=Brasy7G070300 ID=Brasy7G070300.1.v1.1 annot-version=v1.1 MEQPGSAGLRRSFVAAAAPRTARIAEEDRRLSRFAVVAVVVGEGTKLRLAAVKWAFAQRFGCSENEVQVSVFAPGEFLLVLDNREVRDAALGVNGPIVFGNVTFMLSPWSRFRRATTVKKNFKARVCLEGVPRDAWDLQSVKPLFDSSMLLESIDDCTYDEQETACMRVWVWMDAVEMLAKAGTLQLEEPIERSSPDLHFPELGILEEPPTVKSGPLNMLAYDVLFHLDRVIGYSPSPDSSPDSHVSSSEPGSVPHWTVQWFYRWYLGYIDGTFPPRRRFRGPVHSRLRFPDDHNQGGGGASGGGHAGDGASGSGGGSRSRASGWDQQPAQGGGLAGSQAGFISDGRRRDGASGGAPVTVPVGGPTCAPALLPPSKSYETNADKEVPKDPSDEELFAGFLSGEKDPRAHARAVDPMLEEALTPPRVCSDVDILSCGAEGGCPASPLGLDPLLGVEAFGLAPCGPDPFGPSGSSLVHLAPTPEIPAQLSIGHFSEVGCDAALSTPGLVEANLRSFVAELTTPVAAAVLPSPPVEIEKLIPSSHLADGTEVRKSGRLAAQPTRGLSSMDRARVVLMKKGGITEGDGAPAPDELVKYRQLFSKPLPPSFVAAVSSLVACHGGADVALKVGEPMAA* >Brasy7G006800.1.p pacid=40046945 transcript=Brasy7G006800.1 locus=Brasy7G006800 ID=Brasy7G006800.1.v1.1 annot-version=v1.1 MMVGPSGTISPQKPTRFCHNSRTPPSLCLVPSVLHAWVTPAAQIHHHGWGISLPIHAATAGGLLIAPRAPPCRSMSASPRHGRGRIRLSSARPVPDLAAPPPAHRPAMAEEQFLAAAVDAAKNARGPCVYPILLVF* >Brasy7G111200.1.p pacid=40046946 transcript=Brasy7G111200.1 locus=Brasy7G111200 ID=Brasy7G111200.1.v1.1 annot-version=v1.1 MGVPVAQKSDYWITGLAMTDCGGSERCDLGVRPINGGRGGAMEQRGDGFDGPPVSPPERVPTPSTSRSAGRRSFSSPGPLRCSTPRSINYEDGEDSDRYLSPQSEFSQDTSDTDSVSTIGRLYTFRLGTSSPVYSPMKRMGLGDTSPPSGRSGHSSQNSPMYALNSGHGYDDVDYSSFVNSPNCDDEQHDNVLHPIDFESNGLIWYPPPPQDEGDDFENSFFEYDDDDDDNEISDGKTFGHVNHDTGGGDDLLGIKGKQNIAHKEFLRNALHGHFRALVSQLLQGHGVDLVDGWSDIVSSLAWQAATFVRPDTRKGGSMDPTDYVKVKCVASGNATDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVTNKLASINSILEQEKEYLKNAVAKIEAQRPHVLLVEKSVPMYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIDNVTSARLGQCQAFWIERVTESSAPKDPSRKSARTLMFFYGCPRRLGCTILLRGTSYEELRKVKLALQFAVFAAYQLSLETSYLADEGATLPKIPLDLPALPLEKHMNGGNISVSNCPPNLNDLQTIGYRTSENGCIMPVNFLDGSSNLLSADQSLLRNFNQEYSGGTGTNETVCHPTRLFHDNGCVPPLGLTVETSKSPLTFVRKGTPTENLDNVHKDEMVGGICSVESDLDNGWHHISDEERLGVAMHSNENTTEYFPTSENAQSILVSLSIACPLRGIVCKQSQLFRIKFYGNFDKPLGRYFCEDLFNQTSCCESCKEPAESHVQCYTHQQGSLTISIRNLASVKLPGRNDGKIWMWHRCLRCKSKDGIPPPTQRVVMSDAASGLSFGKFLELSFSNHTTANRVACCGHSLQRDCLRFYGFGSMVAVFRYSPVDILSVNLPPSVLDFAYPIAQDWLIEEATDVSNRKDNFYRAISDKLDCIEKTVTVQDVSTNTGLYKHAVDLKDLIKVERKKYDVLSGFLNIESLQTVEQVIDVLELNQLRRELVLDSHIWDRRLHMMHSLTKEYCHTASTDAHCPKKLPESSLEESNDEISSKQEKMENSLEYTQSSSSITDAGKSLLRGEQGDTTVPHLGLKTNIDEVHHQSAETYADSTSLHFVSGPCEKQSDMAAVDEVKLESTLEKSQSSASNLSDRIDLAWSGSSVEVLPVVPAAPMDGPSYQNVVAPIRIKSFDSGINIRNRLSPVDGLNVSIRRAYSQRPPRALERTGRGLSPTCTTKLLLPGVMDGEGRLLLSQCTSGVVVPIYDDEPSSMIAHAMTVPEYHSFVLPLLNLHNESDKFSVSNSVDQDSTSRRLSGSDQPQARTQIDSKDSHLTVTFEDEDSCSVDRAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFIIKQVTRTELDSFEDYAAEYFKYLTESLSSGSPICLAKVLGLYQVVAKNMKDGKELKMELMVMENIFFNRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERSVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASGILGGSKDVLPTIISPDQYKKRFRKAMSKYFLTVPDQWSP* >Brasy7G040300.1.p pacid=40046947 transcript=Brasy7G040300.1 locus=Brasy7G040300 ID=Brasy7G040300.1.v1.1 annot-version=v1.1 MTAALRWVVQMHRDVPRAARFYAEGLDFGVNVCTLRFAELQSGPLKLALMHTNDSTLGTQRAYSSMLSFTVTDIGSTVTKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLYEPA* >Brasy7G043700.1.p pacid=40046948 transcript=Brasy7G043700.1 locus=Brasy7G043700 ID=Brasy7G043700.1.v1.1 annot-version=v1.1 MMQAKSESDATSMAASSPPRSPKRASYFVQSPSSHGAGGSGSGGYKSPSPSVQATPASNNSPANSPLRPSYASRHSRASSIGRFSGKLRVAGSGVRTSWDRKAVNGKGWPECTVIEEEGSYHEFSGDSGLSRGLKIVLGFISLVLLFTTICLVIWGVSRRQEPDVIVKSLVMDNFYAGAGTDHSGVPTKLVTLNCSLNMVVSNPASIFGIHVTSGPVRLLYSEIAIGVGQVRKYYQQKNSHQAVSAVIHGQKVPLYGAGGAQSLSSKSGLVPLTLDFELTTRGYIIGAMVRVTHKLHVTCKIKIDPKKTRPIRIPKDACAVDRA* >Brasy7G045000.1.p pacid=40046949 transcript=Brasy7G045000.1 locus=Brasy7G045000 ID=Brasy7G045000.1.v1.1 annot-version=v1.1 MRSLKSHTAGYYRSDGSFSHLKLASGEPMQIWVDYDGKSTNLNVTLAQYLAPKPKRPLLSATCNLTTVLAVSVYPGFSSATGLLRSRHYIIGWSYNQSGEAEPLNYSALSKIIEDVQHRSHNNIPKAILVSVVIPAVFIVLVILGVLLYVHMKKSRENDVWEIEFGPPSFTYKDLATATCSFNDRMVLGKGGFGKVYKGLLQSSKQNVAIKRVSPESKQGMKEFIAEITILGNLRHRNLVQLLGYSRHNNELLLVYDYMPNGSLDRALHGQDEQILDWVCRFNIIKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDNEMNGRLGDFGLARLHNHGTDAHTTRLAGTWGYIAPELARLGRATKATDIFAFGVFMLEVVCGRHPIEVNDFGEPVLLTDWVLHAWETDSILTTVDPRLEGYIREEVELVLKLGLLCSHSAPSARPCMRLVMQHLQKDMLLPDFQPSFLGLTSRDHDFDQHILSYPSVATTMTGLSGGR* >Brasy7G173300.1.p pacid=40046950 transcript=Brasy7G173300.1 locus=Brasy7G173300 ID=Brasy7G173300.1.v1.1 annot-version=v1.1 MAVRVWLSAILLAFLLAASPFAQVGRAQSEEDDAMAEVVEGADLGIVGDDTPVSSDGPLSPASGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPYDHRMYGQNLTVQNFFNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEVGSLLSVESVFLITLGIALLGLLGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTAFAKGKSKKNK* >Brasy7G231200.1.p pacid=40046951 transcript=Brasy7G231200.1 locus=Brasy7G231200 ID=Brasy7G231200.1.v1.1 annot-version=v1.1 MAASSSSLLLVLAVVVLAWSSTTARPGGFEEIYEAQEADRVQSLPGLPSEVGFRHFSGYVTVNETHGRALFYWLFEATHDVAKKPLVLWLNGGPGCSSVGYGALLELGPFLVQKGKPEIVLNPHSWNKEANMLFLESPAGVGFSYTNTTKDLGQFGDQLTAHDAYAFLVNWFAKFPQFKGHDLYLAGESYAGHYVPQLASKIVEMNAKAPSASEKMNLKGILIGNAAIDASSDDRGLAEYAWQHAVVSDEVYGAIMATCKFPDSGEESDKCGHAWDAFFDAMDDIDLYSLYTPACTKAMINSSSADTAYLHRRHRSKKSSPLGRMHRRRRAPYFDTYDPCGDYHVVDYLNRRDVQDALHANVSGSIPYTWQPCSDALTNWTDQPASTLPAIAGLVGKAGIRVWVLSGDTDDRVPVTSTRYALRKLGLKTAKPWREWFTGDQVGGYTVVYDGGLTFVTVRGAGHMVPMITPVQARQVFAGFLAGKELSPKPI* >Brasy7G211900.1.p pacid=40046952 transcript=Brasy7G211900.1 locus=Brasy7G211900 ID=Brasy7G211900.1.v1.1 annot-version=v1.1 MEFRFRAGERVPTSPPPASGVSRPSDGYVGPRGMQGGPLPPPPPFEWDAAMRERVIQDEVNRRLVEEQVRREFEVKRGLAFFHGGFGPGPFFAPDFVMPPPLMPMPMPMLPPPHALPPMPFEGFGAWPGFDQFGPRGPAGFGQTMLPHGGERRWSPPRPKPKRTLELREIGPSETPEVDSSKMKAPKMKRKADAIAATTVPRKVQKPAKDWSCALCQMTATCEAGLNEHLEGRKHKAKLAQCGASNVINDVKNKLQTTTGNKSSTVPSDEPKKICILVDGAMHEVLQKNNYLWCDRCKVRCDSNVTMAGHLRGKKHSQLNKVWTSILAVRMNKKIEEGLASPCGSKVNATDCTEIPAVIMGDLDMAIKVDESGPIEIKKESTNMGTEVHENSPVETKKEDMDMTNDGIQLVPKEE* >Brasy7G129900.1.p pacid=40046953 transcript=Brasy7G129900.1 locus=Brasy7G129900 ID=Brasy7G129900.1.v1.1 annot-version=v1.1 MWRLKISEGGGPWLRSVNNFLGRAVWEFEPDLGTPEERAEVERVRREFTERRFEKKESQDLLMRMQVCTRTDGQRPPKYH* >Brasy7G083100.1.p pacid=40046954 transcript=Brasy7G083100.1 locus=Brasy7G083100 ID=Brasy7G083100.1.v1.1 annot-version=v1.1 MSPALRFVARKICDRALSRPQAYFTACASAAVKEEQRLLLPRISHGGSSLRRFSSSEPPNLPHNNKLGPKSGANNTNATAPTGSLARQVEEKKHELLDLLRRMEGESSSMGNEHMDLQHLLQRSNNRFLATIYKVTVVSILAANVTMFVGYDYVFGLKNK* >Brasy7G050800.1.p pacid=40046955 transcript=Brasy7G050800.1 locus=Brasy7G050800 ID=Brasy7G050800.1.v1.1 annot-version=v1.1 MAMPPLLRDRSLLLLSVLTIAVTSSGSGDEAALLAFRAEASTGDNTLASWNSSAGFCGWEGVTCSRGRNPPRVVALSLPKKGLAGTLSTAIGNLTFLRALDLGFNALHGDVTASIGHLRRLRFLDLSYNAFSGELPANLSSCVAMQTMSLNSNNLSGHIPAELGNRMTQLQLDSEIPPELANIAGLRILDLSVNKLHGALPLSLYNLSSLRVFHVEGNRLHGSIPADIGGKFPAMDDFSLANNRFTGGIPSSISNLTNLTSLQLSINRFTGLVPRDLGRLQHLQILYLTYNLLEADDREGWEFITSLANCSQLLQLSLSYNSFRGQLPSSVVNLSVVNLSATLQYLYLSDSDISGSFPQDISNLVGLSILDFANTSISGVIPESIGKLANMVQLGLYRTRLSGLIPSSLGNLTQLNRLLAYGANLEGPIPASLGKLRSLYLLDLSANYKLNGSIPKEIFLPSLSSSLNLSYNSLSGPIPSDVGKLVNLNQLILSGNQLSSQIPDSIGNCAVLESLLLDENMFGGSIPQSLKNMEGLQILNLTGNKLSDGIPDALSSIGALKELYLAHNNLSGVIPGSLQKLTSLLLFDASFNDLQGEVPNGGVFRNLTAISITGNTKLCGGIPQLRLNPCSTHPVSGRGNDRSKSLVISLATTGAVLLLVSAIVTIWKYKGHKSQAPPTIIEEHFQRVPYQALLRGTDGFAESNLLGKGRYGSVYKCTLEGENKPVAVKVFNLLESGSSRSFEAECEALRSVRHRCLIKIITCCSSIDNQGQDFKALVIDLMPNGSLDGWLHPKYSISTLNNTLSLAQRLDIAVNVMDALDYLHNHYQPPIVHCDVKPSNILLAEDMSARVGDFGISRIMLESANKTLQNSDSTIGIRGSIGYVAP >Brasy7G176600.1.p pacid=40046956 transcript=Brasy7G176600.1 locus=Brasy7G176600 ID=Brasy7G176600.1.v1.1 annot-version=v1.1 MSTDPPPLPPPAPPPGAVDPEPPAPPLEPPEVMHKTRTVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVINLDPDAGEVSQPKLLSLVADRLILSDSSMQGKDEEYVRNWEHNISDAIDLLPRLTTGIDVNVMFRKVDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATAIGSKSYNALASGLAEFKSGKPTEEDKHVMEEETVDFAAATAAALGVPSPSVSREISFDENTLAGSAELQIRRGDREEDEELRRVLSLSKAESAGAVDGSVSFSTSQSHSSSKMEETTRSESFGLEAPEVVGLANKEEHGSHALIHGPTLQNANSVANVSKVESSESKQASVSKEIEDDGKRDMLAEHSDIPVQFSESSLACPPRDSFVTDDQPAAPASDLGEANKETCPDLAEANKETCKEHSAMQIHEPQVSDAETSCDSATVTSQTTPSSARPELDEKIDSLDAPELVSSSIQGSEPIYQGEEHTLGSANMAYQNQEPVYEGEVVLAEQADNDKTGESSECLENGAADHQWDLIDNFLQNTANQLTVYGLFCLQEGLKERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTTTADYLSQFDNSTLPNSSGNSDLELAIALQQQEFERQPQRQQPPPQQQSQQQQQQQQTQQTSNQSYGAGRPALVVGPRQRTSAPPPARSESKKDKCIVM* >Brasy7G205800.1.p pacid=40046957 transcript=Brasy7G205800.1 locus=Brasy7G205800 ID=Brasy7G205800.1.v1.1 annot-version=v1.1 MPGPDAVSLLPEDVIFDILCRTPVKSVCRFRCVCKDWLALISDPAFLAAHRSRTDGDPPLLSRATTAKGNAVRKFKSGLGTRPAFCSTLGGAFPCVVCCADEGIIRVVDLVSGKLLLTCPAPGKREILASGVGAAGSGSGSYKRFPPRYGDPEQICEVLTLGGGGGGWRQAKPPPAELCLDLCFLQGVVIPATVLNGVAHFRNGSKTAAAAAGMHKDSVLRFDLESEEWAGEPIHGPLGVHPGLWAETRQIRVAQLGGALCMVQTELARPRWSGGGGGGNHRCTNVWLLRAGGGGVWVKAYTVPAAVASEIMEPLMVMPDGVKLLFYDYLREEKLRVVRVYDPRDGTCSEVMRLPRRNFGKIGLCSFHLEHFVAPITTI* >Brasy7G191900.1.p pacid=40046958 transcript=Brasy7G191900.1 locus=Brasy7G191900 ID=Brasy7G191900.1.v1.1 annot-version=v1.1 MGAERLLVLCLVLGAAAAAAGQRRPSSVSMGALFTYDSVIGRAARLAIELAVDDVNADKAVLAGTKLNLITQDTNCSGFLGTIEALQLMEKEVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRGTISDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVSALGDALAAKRARISYKAAIPPNSNTDVINDVLFRVNMMESRVLVVHVNPDAGMRIFSIANKLRMMASGYVWIVTDWLAAVLDSSGSGDFKDMSYIQGLIVLRQHTPDSDAKKKFISKWNNVARNRSIGSALNSYGFYAYDSVWIAARAIDQLLDSGQQINFSADPRLHDSNGSTLRLSTLKIFDGGEQLLQQLLLTNITGLTGRVQFDSDRNLVRPAYDILNIGGSGSRLIGYWSNYSGLSVVAPEILYQKPPDTSMSAQRLYSVVWPGDTTTKPRGWVFPNNGQPLRVGVPNKPSFKELVSGGNGSDNVSGYSIDIFNAAIKLLPYPVPCQFITIGDGLKNPSYDDIISRISTNSLDAVVGDFAIVRNRTKIAEFTQPYIEAGLVIVAPVRQATSSAWAFLKPFTLEMWCVTGALFIFVGVVVWILEHRTNEEFRGSPRRQVLTIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDNLVASALPIGYQAGKFTRNYLIDELNVAASRLVPLSTVQEYADALNRGPDDGGVAAIVDEMPCVEIFLSHHCNFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTAATCSSEESGLGAVRLGLGSFWGLFLVCALICVFALSIFFIRICWQYSRYSSSEAAGEPSDAAAVATATVAEIQPTKPKPTRLGSFKELIQFVDKKEEEVKKEMKRRSSEKDTRGAGSSDAHSASPA* >Brasy7G191900.2.p pacid=40046959 transcript=Brasy7G191900.2 locus=Brasy7G191900 ID=Brasy7G191900.2.v1.1 annot-version=v1.1 MGAERLLVLCLVLGAAAAAAGQRRPSSVSMGALFTYDSVIGRAARLAIELAVDDVNADKAVLAGTKLNLITQDTNCSGFLGTIEALQLMEKEVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRGTISDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGGVSALGDALAAKRARISYKAAIPPNSNTDVINDVLFRVNMMESRVLVVHVNPDAGMRIFSIANKLRMMASGYVWIVTDWLAAVLDSSGSGDFKDMSYIQGLIVLRQHTPDSDAKKKFISKWNNVARNRSIGSALNSYGFYAYDSVWIAARAIDQLLDSGQQINFSADPRLHDSNGSTLRLSTLKIFDGGEQLLQQLLLTNITGLTGRVQFDSDRNLVRPAYDILNIGGSGSRLIGYWSNYSGLSVVAPEILYQKPPDTSMSAQRLYSVVWPGDTTTKPRGWVFPNNGQPLRVGVPNKPSFKELVSGGNGSDNVSGYSIDIFNAAIKLLPYPVPCQFITIGDGLKNPSYDDIISRISTNSLDAVVGDFAIVRNRTKIAEFTQPYIEAGLVIVAPVRQATSSAWAFLKPFTLEMWCVTGALFIFVGVVVWILEHRTNEEFRGSPRRQVLTIFWFSFSTMFFAHKHRKCSRAFRADHMVVCRADHQFKLHC* >Brasy7G107400.1.p pacid=40046960 transcript=Brasy7G107400.1 locus=Brasy7G107400 ID=Brasy7G107400.1.v1.1 annot-version=v1.1 MNPSVICPCPWIDLGSCEARVPTEAFVQCLIFIFAADMVVALHSLPCRAAWHLVRSRRWILVGGRTRRWGWRPCLAGENPFVD* >Brasy7G072300.1.p pacid=40046961 transcript=Brasy7G072300.1 locus=Brasy7G072300 ID=Brasy7G072300.1.v1.1 annot-version=v1.1 MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVHQGKGMLQRHQLLAEFDALMDGDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVNELAVEELTVSEYLAFKEQLVDEHASSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSALRKAEEYLISIPEDTPCSEFNHRFQELGLEKGWGDTAKRVHDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDILRVPFRTENGILRKWISRFDVWPFLETYTEDVANELMREMQTKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDSVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYAETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLKDLANLVIVAGDHGKESKDREEQAEFKRMYSLIEEYKLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKVDPTYWDKISQGGLKRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLAAAVPLAVDGDNAGN* >Brasy7G072300.2.p pacid=40046962 transcript=Brasy7G072300.2 locus=Brasy7G072300 ID=Brasy7G072300.2.v1.1 annot-version=v1.1 MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVHQGKGMLQRHQLLAEFDALMDGDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVNELAVEELTVSEYLAFKEQLVDEHASSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSALRKAEEYLISIPEDTPCSEFNHRFQELGLEKGWGDTAKRVHDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDILRVPFRTENGILRKWISRFDVWPFLETYTEDVANELMREMQTKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDSVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYAETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLKDLANLVIVAGDHGKESKDREEQAEFKRMYSLIEEYKLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKVDPTYWDKISQGGLKRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLAAAVPLAVDGDNAGN* >Brasy7G054300.1.p pacid=40046963 transcript=Brasy7G054300.1 locus=Brasy7G054300 ID=Brasy7G054300.1.v1.1 annot-version=v1.1 MAILSALGTEVFIPVAAVIGIVFAVVQWVLVARVKVTPGASGASGAKNGYGDYLIEEEEGLNDHNVVVKCAEIQTAISEGATSFLFTMYQYVGIFMIIFAALIFLFLGSIEGFSTKGKPCTYSKDKICKPALYTALFSTASFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLSSSGLVVLYITINVFKLYYGEDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTGMCYPLLVSSVGIIVCLLTTFFATDFFEIKAASEIEPALKKQLIISTALMTVGVAIISWLALPAKFTIFNFGTQKEVANWGLFFCVSIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAMAALGMLSTMATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGYLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGNSEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGVLFKYL* >Brasy7G129000.1.p pacid=40046964 transcript=Brasy7G129000.1 locus=Brasy7G129000 ID=Brasy7G129000.1.v1.1 annot-version=v1.1 MLLMRSAAAPCSLTSMLLRRLASSSGSYAIRRHAAAALSPLSSSSATSRFTTWSPSPLSGTTRTRGFSAWASAPGPPGTNESPVAQSLETKIKEQLEADTVTVIDTSGDGRHVCIDVVSKAFEGKSAVSRQRMVYKVIWEELQSTVHAVDQMTTKTPGEAVANK* >Brasy7G182600.1.p pacid=40046965 transcript=Brasy7G182600.1 locus=Brasy7G182600 ID=Brasy7G182600.1.v1.1 annot-version=v1.1 MIMEVLQWLPVKSVLRFKSVCRSWAALLSSEEFRSLHMAARQAPPKLLYIAPTARYDSTAVYSRPFSSPPPPPPPPSSSSSSSRRVTHRGNLLFNIDGARGNCVEIVSPIPCRGLILLYDATTTAYYICNAATRAATRLPPSTNLASRSTTGLGFDARTKEYKVVRLIIGFCHQKVGIRCEVYTPGGRYGDCWRPVAGGVPSSLHQFVGTAVMNASENKLPPVFANGFLHWLLDPTPSGVAVISFSITEETFACIRSPPFWVPAACPASSRWSSGEHLVEMDSRLCMVRDLRDRVRHGSSSLEIWQLLDYRSGDWSLNHRINLSGQLERDLCQPQIVRVIGSLVNCRSARKKIIIATSKHKIHSKYDKKVHIYDPRSEALETILSIMETHSSPQYEQPSSRFSLFEDTIAPVHNTDEAIASSSTQAKAIREILLRLPAKSAIHSKLICKQWLKLIESQNFIDSYFKHKNIDRRLKVMLVGNSTGQSGFSFAPLNICLRGTPSHSTLLDRKVVCSKPCHGLNLVSTETKDYLCNPCTGFHRFCSYQDPNLDRTWRMPRAEEHAFTVGNKNVGLTFDPLTREHVVVEIFYHWKDFKSRQYYLTCALRWCGSRNPAVQNSAPPLPVNDMPPAYLDGMLYWMSEPRLGQNCEWAIISFDIITKMFDVIPCPSWFARWYSRYRCRAFVVELAGLLCVVLADPVADCLDVWKLEHGQILLSTGRKIGLYDPVGQTIQSLYSLDQVSVFTSKPHLSSIDTPSVSSGNSLTCSKDLSGEVINIMDTSIIPFVPMLYEESLACYSRVAKLKFLW* >Brasy7G073500.1.p pacid=40046966 transcript=Brasy7G073500.1 locus=Brasy7G073500 ID=Brasy7G073500.1.v1.1 annot-version=v1.1 MASEGDVGSDHGISKRPSQQQSPVAAAVEKPAAQEHDEKAKEAPAEEKPWVVRKWIASFVVCLAALPFLLILVSRRREEPLPLASGWTSATTYTHQESNDDRLLGGLFVPGFDEQSCNSRYQAAPYRKNMTRSPSAHLVKRLREQEALQRRCGPGTEAYRRAAEQLDTPRNGTDDDGSCKYLVLVPYRGLGNRILAMASAFLYAMLTGRVLLVDRGTSLTDLFCEPFPETSWLLPPDFPIRDLDNLTGEVPESYRNLVKDNKAASMSGLPYVFVDLDHACTYHDKLFYCDDDREFLHRVPWLAMRTDGYFVPALFLNPAYQDELHKLFPRKDSVFYLMARYLFHPSNRVWGLITRFYNSYLKGSDERLGIQVRVFDGDTPFKHVLDQILACTSQEHLLPEVMTEETATMPMPASAGARSRAVLMTGLSSWYFENIRGRYWQSATATGEVVSVHQPSHEEHQLSGEATHDMKALAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLTPWIMFKPENLTAPDPPCRRAMSMEPCLHGPPFYDCRARRGADTGKLVPHVRHCEDMSWGLKLVHPEQV* >Brasy7G220600.1.p pacid=40046967 transcript=Brasy7G220600.1 locus=Brasy7G220600 ID=Brasy7G220600.1.v1.1 annot-version=v1.1 MARSFARSISFPLSPSRCSSKPRASAYHERSVSLPCRPHNPALAHLQAHILGVRAWAAGPSGPSAIAAGLELLASLHASLADLLGLPEAQAALLPVHGSAGNGDRLLDAFLRLADAHGCFQETLLALKRDVAEALAAVRRRDGARLASAVRSQRRAGKELARLASLVRPPSTTRMMSPLGAIVGHGGGSNEVCGLLMEAAAATACASAALFGAVAAMSASVAASASASACSCKRTAALVCLVKTTKTKGKCSMSSSMGEEEEAAMAERLEELEECVEEMEAGGEKVFRSLVQTRVSLLNIHTAHIF* >Brasy7G168900.1.p pacid=40046968 transcript=Brasy7G168900.1 locus=Brasy7G168900 ID=Brasy7G168900.1.v1.1 annot-version=v1.1 MASIVSKLARAAFATRATPSAVAAGGRRAAAIRVSPAGGPKSEEIEKARPKSGFNPHRSILHAEPYLQHDENVFKSKEAMWAFYEDWCKYHGILRDRHEMERRFKTFSATARRVYEFNNSGVCARMCMGRFSDMTKQEKFRVLHPRPVLSSHDRLKRKS* >Brasy7G022300.1.p pacid=40046969 transcript=Brasy7G022300.1 locus=Brasy7G022300 ID=Brasy7G022300.1.v1.1 annot-version=v1.1 MRKPAKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVHNSEEGEAPPIEPLDDHQLSLDDLDAIKVIGKGSSGIVQLVRHKWTDQFFALKVIQLNIQESIRRQIAQELKISLSTQCQYVVTCYQCFYVNGVISIVLEYMDGGSLANFLKTVRTIPEAYLASICKQVLQGLMYLHHEKRVIHRDLKPSNILINHRGEIKISDFGVSAIIASSSAQRDTFTGTLNYMAPERISGQKHGYISDIWSLGLVMLECATGNFPYPSPDSFYELLEAVVDQPPPSAPTDQFSPEFCSFISACIQKEATDRSSAQVLSDHPFLSMYDDLNIDLADYFTTAGSPLATFKQIVL* >Brasy7G236900.1.p pacid=40046970 transcript=Brasy7G236900.1 locus=Brasy7G236900 ID=Brasy7G236900.1.v1.1 annot-version=v1.1 MMRSFVEEQQNPLLYASTYHAGDYLDPVAVAQAKAKCKAKKLAVISHSNANGHKDGGTSDEKCNDGSSDLSPRTEVTSKKQMAIEGYKAQSEMIIGSLDRLITTCEERKESLIVEGVHLSLNFVMGLMKKHPSVIPFMVYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIQNIRAIQEYLCNRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEQLYDPHTNTAAIVDEEYRNQFAANSLSSKCMFQLIQRKGSSRNLMALLNTDGSVAKAWPVYASDSSGIINDGTISEKSVGSPLYGPLQIGKAEPVNLQFGSFGISAWPSDAGGTSHSGSADDSRVDGTDTGSRYLSSCCSSPKVSEDPSKELVEEYSVFDSEEEEEAGEDAGDVESNDDLTDEEKDIQEMEEAGSVDERSTKSDEEYDDLAMDEDGYCCDDEQQPTIPWVGSNGGDDDGCMNSEYKHNLELLMEMRNEGGSEMACAYSLVKGGSSDEKMRRRCVQDLQGP* >Brasy7G108200.1.p pacid=40046971 transcript=Brasy7G108200.1 locus=Brasy7G108200 ID=Brasy7G108200.1.v1.1 annot-version=v1.1 MATAWGRAKRALANKLCILVPERQRAIEDGSPGRDASPRPSAADSLPEEKVKSPSVSLRRLSSSGSRSSKRVCAICLGGMRTGHGQALFTAECSHKFHFQCISSNVRHGNHICPICRAEWKELPFQGTQPADATYGRSRVSPVNWPQDDGHMAVIRRLNHSYSWNLHDHFPVFRTPEADIFNDDEHIDLQSETVDDYDAVTGSVEIKTYTEFQAIQESVTQKVFAILIHLKAPKSSDSVDSRAPLDLVTVLDVSGSMKGTKLALLKSAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLIGRQQALQAVNSLVDGGGTNIADGLKKGAKVIEHRRLKNPVCSIILLSDGQDTYTVPSPNAVRLAHSLLVPPSILPGTGNHVQIHTFGFGADHDSAAMHSVAETSSGTFSFIENEGSIQNGFAQCIGGLLSVVVKEMQLGIECVDEGVVLTSIKSGSYASQIDEDERHGSVDIGDLYADEERGFLITLCVPAAQGQTVLIKPSCTYQDAIITEDIQLNGEEVSIQRPAYCVDCKMSPEVEREWHRVQAMEDMSAARASAEEGAFSQAVSILEGRKRILEVQAAHSSDSQCLALITEIQDMQERVENRRRYEESGRAFMLAGLSSHSWQRATARGDSTELTTQIHTYQTPSMVDMLQRSQTLVPSVAGMLNRSSPIVPSYSSSRPVRPTKSFSDQLASSGSSFQK* >Brasy7G134700.1.p pacid=40046972 transcript=Brasy7G134700.1 locus=Brasy7G134700 ID=Brasy7G134700.1.v1.1 annot-version=v1.1 MTASNRKKNFTPKAALLWSIHHFPAYGLVTCWRTHGKLVCPIGPSSRIKSTWVASQLVRSAREDCLERENNRLRQENKHYLVRSLAEKGEVDFDALMRSAAPGMATSDSEVGFERGRDAVTQDETELGLKTNTGGSHRRDKDLDMDDDEHDLYGDEGDEYYGNVGDEDYGNQQDDHFNDD* >Brasy7G134700.2.p pacid=40046973 transcript=Brasy7G134700.2 locus=Brasy7G134700 ID=Brasy7G134700.2.v1.1 annot-version=v1.1 MTRQRAKWGAKLVCPIGPSSRIKSTWVASQLVRSAREDCLERENNRLRQENKHYLVRSLAEKGEVDFDALMRSAAPGMATSDSEVGFERGRDAVTQDETELGLKTNTGGSHRRDKDLDMDDDEHDLYGDEGDEYYGNVGDEDYGNQQDDHFNDD* >Brasy7G134700.3.p pacid=40046974 transcript=Brasy7G134700.3 locus=Brasy7G134700 ID=Brasy7G134700.3.v1.1 annot-version=v1.1 MTRQRAKWGAKLVCPIGPSSRIKSTWVASQLVRSAREDCLERENNRLRQENKHYLVRSLAEKGEVDFDALMRSAAPGMATSDSEVGFERGRDAVTQDETELGLKTNTGGSHRRDKDLDMDDDEHDLYGDEGDEYYGNVGDEDYGNQQDDHFNDD* >Brasy7G039000.1.p pacid=40046975 transcript=Brasy7G039000.1 locus=Brasy7G039000 ID=Brasy7G039000.1.v1.1 annot-version=v1.1 MIYRNWSLLSSTVVIWGSVGTAALAGIFLFGGKEKFEGYLSREGQRLRQQDRAAMGKN* >Brasy7G147200.1.p pacid=40046976 transcript=Brasy7G147200.1 locus=Brasy7G147200 ID=Brasy7G147200.1.v1.1 annot-version=v1.1 MALSFFMYTYSYIVYLLKSELYSSSITWACPTTGPTTTISPFPHPAGLPRLPLFFSCPISSQPRPAWTPASSRDPDVGLTQTCQPLAGNFSPTQADEAAREEKPRGVPPRGFLRSTASHEDKPRGGPAGDGAGRDSPGCPRAQSSPKRRRTRAGRMRVRASLHLRRRAPPGMMLHGTAAMAATASGLVVRRLAVPEPPPHGLQLPPPPASARAAASAEGKVPRPTPLMGSWISLSSARFA* >Brasy7G078300.1.p pacid=40046977 transcript=Brasy7G078300.1 locus=Brasy7G078300 ID=Brasy7G078300.1.v1.1 annot-version=v1.1 MPRSVDRYVRRKRSILEYDTYGRWCAEPGKRRSDKARATTSMSVARQVRRLATRLALTAFFYIKNSADGLLTRINLIPRSTN* >Brasy7G005100.1.p pacid=40046978 transcript=Brasy7G005100.1 locus=Brasy7G005100 ID=Brasy7G005100.1.v1.1 annot-version=v1.1 MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEELQKRNLRDELEERERKHYSSKDKSYVEDRDRRKSSSLLLEGSKRDAEDKIVAREIDADDSDVEPKSDDESDEDDDDDDTEALMAELERIKKERAEDKLRKERQQAEEEAKMKEAELMRGNPLINMNNSGSFNVKRRWDDDVVFKNQARGEIKTPKRFINDTIRSDFHRKFLHRYMK* >Brasy7G125900.1.p pacid=40046979 transcript=Brasy7G125900.1 locus=Brasy7G125900 ID=Brasy7G125900.1.v1.1 annot-version=v1.1 MEAGYREPLVTPQPWRTAEERAEERLEEPAKERVEEPAAQHAAARIWRRLRPCLCAARFRLTPSFLPGRRRRQRGSRRRSVYDDEEASEEQGGAVPGAEQDGLGNREGRCICSHYLWWCICWLDNCSGYGRALNGDWIKASA* >Brasy7G123300.1.p pacid=40046980 transcript=Brasy7G123300.1 locus=Brasy7G123300 ID=Brasy7G123300.1.v1.1 annot-version=v1.1 MSGFVGVIISDPSLQGQFTQVELRSLKAKFLILKRESGHVTTKNLPGLMKKLRGLNEVVSEDEIAAFLSEVYPDEDKEIEFESFLREYLNLQARVSHKEGGSGAKHSSSFLKSSTTTLLHNPNQAEKSSYVAHINAYLADDPFLKKYLPLDPAGNDLFDLVRDGVVLCKLINVAVPGTIDERAINKKRILNPWERNENHTLCLNSAKAIGCTVVNLGAQDLVEGRTHLVLGLISQIIKVLQFSHIQLLADLNLKKTPQLVEVFDDSRDIDEVLSLSPEKLLLQWMNYHLKRAGYKKTVSNFSSDVKDGEAYTYLIKALAPEHSSETAFETKDPTERAKLVLDRAEKLDCKRYLSPKDITEGSPNLNLAFVAQIFHHRNGLTTDTNQINLTQTSSRDDLILSREERAFRMWINSLGIATYVNNVFEDVRNGWVLLEVLDKVYPGSVNWKLATKPPIKMPFRKLENCNQVINIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKQITDADILNWANNKVKASGRTSQMESFKDKNLSNGIFFLELLSAVQPRVVNWKVVSKGVDDDEKKLNATYIISVARKLGCSVFLLPDDIIEVNQKMILTLAASIMYWSLQKPPQSETSEQSESSNVASDAASDIASEDSVSIMASLEGEEVNSLPKSIATDDTCTSDAPF* >Brasy7G123300.2.p pacid=40046981 transcript=Brasy7G123300.2 locus=Brasy7G123300 ID=Brasy7G123300.2.v1.1 annot-version=v1.1 MSGFVGVIISDPSLQGQFTQVELRSLKAKFLILKRESGHVTTKNLPGLMKKLRGLNEVVSEDEIAAFLSEVYPDEDKEIEFESFLREYLNLQARVSHKEGGSGAKHSSSFLKSSTTTLLHNPNQAEKSSYVAHINAYLADDPFLKKYLPLDPAGNDLFDLVRDGVVLCKLINVAVPGTIDERAINKKRILNPWERNENHTLCLNSAKAIGCTVVNLGAQDLVEGRTHLVLGLISQIIKIQLLADLNLKKTPQLVEVFDDSRDIDEVLSLSPEKLLLQWMNYHLKRAGYKKTVSNFSSDVKDGEAYTYLIKALAPEHSSETAFETKDPTERAKLVLDRAEKLDCKRYLSPKDITEGSPNLNLAFVAQIFHHRNGLTTDTNQINLTQTSSRDDLILSREERAFRMWINSLGIATYVNNVFEDVRNGWVLLEVLDKVYPGSVNWKLATKPPIKMPFRKLENCNQVINIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKQITDADILNWANNKVKASGRTSQMESFKDKNLSNGIFFLELLSAVQPRVVNWKVVSKGVDDDEKKLNATYIISVARKLGCSVFLLPDDIIEVNQKMILTLAASIMYWSLQKPPQSETSEQSESSNVASDAASDIASEDSVSIMASLEGEEVNSLPKSIATDDTCTSDAPF* >Brasy7G182800.1.p pacid=40046982 transcript=Brasy7G182800.1 locus=Brasy7G182800 ID=Brasy7G182800.1.v1.1 annot-version=v1.1 MLDSRNPLPRSITRSTLPCPKYACSRRLLRACPAGQQSPRAREPTSSERGGSSECPNASRGAGSEREGHRPNASCRRDLKGAAGTMERERERRKESRIGRRQTGLGKTCQPAVPSPTALSSSIRALRC* >Brasy7G132300.1.p pacid=40046983 transcript=Brasy7G132300.1 locus=Brasy7G132300 ID=Brasy7G132300.1.v1.1 annot-version=v1.1 KHKWSAEYINKRPIENYYEMQTCYGDRLATGKYAKGSSEPLGTAATSLEVDQDEDVLGPQSNIGSSATKPLKRAKKDGGEEESLISTLRGVGTDLASAIAKAGANGDDIPDGLYETLCGLEGYNEDQVAQYYGFLVDHPKKAKGFMTMGHKGQLNWMARYIKKEYTD* >Brasy7G215700.1.p pacid=40046984 transcript=Brasy7G215700.1 locus=Brasy7G215700 ID=Brasy7G215700.1.v1.1 annot-version=v1.1 MCIFHLSPLIRRPKCNIYTSVHLLHYHLPSTYPYSHLSLFPPPPPTPPSTAHATASGQIERGPSRLPPRDRRRLGPDRAGSAAARRFAGSLRCLPSRDSLPPRDGPRDLRRCLGPDWAGSASSLRAKAAGHLRRLLRATEI* >Brasy7G064800.1.p pacid=40046985 transcript=Brasy7G064800.1 locus=Brasy7G064800 ID=Brasy7G064800.1.v1.1 annot-version=v1.1 MAPGALHSTTALEQRQVSPLPSPSGTKPRALPLTFFDLVFWDIPPVQRLFFYDNADLTGVPEFLLRELPRFERSLAMALHHFYPLAGKLSSRKIVADAAAPELVFSDGDSVRLTVAAGAGDEFHDLAGDHPRDTARLRPLLPRLTRDGVRQDVFAVQITVFPRAGMCVGTTLHHAVADGSSYVHFMKTWAAIHRLGPGCSIGAVPVMDAPPLFDCSVVRDDDGLREAFLRDHRDLADKRLLDVNGGEPRSAELATFRFTDELLRKLGRKVESETSARRCSSYALACGAAWAGIVHARGSGAGDGSTRFGFVTGCRPRTSPPVPGNYFGNCLGLCRVEDDDEAVQMQRAGGTITAAMASAAIWRAIEGLAEEGRVFRDARGWVRLVQEYASAPRAVTVAGSPKLGVYAATDLGAPWGRPRKVEIASVERTGALALAENGRDGGGGGIEVGVALPRAEMEAFQAFYADLVVNLQ* >Brasy7G214900.1.p pacid=40046986 transcript=Brasy7G214900.1 locus=Brasy7G214900 ID=Brasy7G214900.1.v1.1 annot-version=v1.1 MNCLSWNCRGGGNAATVRDLCALVRAHNPLMVFLCETRQKCDRMKRLCSRLGLKGFAGSDSDGFSGGLALYIDAYVRVSPSAPCWHATFVYGEPRVENRARMWEKFLNTFQLVSGLRVKWPPSVIV* >Brasy7G154200.1.p pacid=40046987 transcript=Brasy7G154200.1 locus=Brasy7G154200 ID=Brasy7G154200.1.v1.1 annot-version=v1.1 MATGSTHVGQIDEEFKSVPFAVLSATSAKYAEFLVDDESFYTRGSSFPAVPISHTGEIASSRTAIT* >Brasy7G068000.1.p pacid=40046988 transcript=Brasy7G068000.1 locus=Brasy7G068000 ID=Brasy7G068000.1.v1.1 annot-version=v1.1 MAETSANATPPQAAGCCCCCRPDAVSFLPRTRFRRLVAAIRKGVPLTAVRKRPPTPPPTHDEAASAPETPARPEAEQPPLEEHAADADTAASAGTQPPTPPPTHEEADAPGKTTRPEAEQPPLEEHAADANTAASAAAEGKHRRDDCSLYEVEDGLCSATLEETPKMRKRRKKAKRAKHASDAPAPAAAIAGKYWAQRHRLFSLYDRGVRMDAEGWYSVTPEAIAAAQAACAAPADLVVDAFAGVGGNSIQFAARGCYVLAVDIDPRKVELARHNARIYGVEDMIEFVVGDFFRLAPALKADLVFLSPPWGGPSYNKTPIYTLDMLKPKDGYTLFQAAQKIAPNIIMFLPRNVDIRQVKDLSWLCSPPLHFKSKEN* >Brasy7G001100.1.p pacid=40046989 transcript=Brasy7G001100.1 locus=Brasy7G001100 ID=Brasy7G001100.1.v1.1 annot-version=v1.1 MNSSSPPPPNERSKRRWIQEQQRSRRRRRRRRRRCWARTAAQPAPSRRRRGRRCSSGRWASRRLTGPTPSSATARPPRDAPPVAVLLHGRLRCHGRRRLGQRRRARQVPRARSTASPATAPPYCSPENSTTTWCLSASWRRPWRTSTLAASSKSSGTLISAPTASPFSSSSRNPPNHKNPSNYLLHPSLFYPMWHR* >Brasy7G132500.1.p pacid=40046990 transcript=Brasy7G132500.1 locus=Brasy7G132500 ID=Brasy7G132500.1.v1.1 annot-version=v1.1 MERSYGLLGTREEELPPGFRFHPTDEELISYYLARKVADANFSGARAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGAKTQWVMHEFRLDGSCAYHFFSNNATRDEWVIAKIFVKPGALPAARNKLARFGLQGSTGADTSCFSDSTTSVSICCGGGGGDTTTNTSSLFAASAADGESSSYGGNNSSNNNSSSNNCGRELVPCFSTGAHMDATLLGIGQYDPAPVPAPLAMEQPPAAALYLQSAARSMQDNLLFLSGAGRQSGLVSPLGVGGGAFQYWPSSSGYDIKPTQMAVGPGQLDGSFGWGF* >Brasy7G233700.1.p pacid=40046991 transcript=Brasy7G233700.1 locus=Brasy7G233700 ID=Brasy7G233700.1.v1.1 annot-version=v1.1 MAGLAVGLSKSVVVGAITVVESAIAEDARLQQKVKRDLVFITLELEMMQSFLKDANQEMKNNLVKTWVKHIRQLVYEVEDCAENVVHLDNKPVFWRRLLPSLIAPELPLDVAVAELEQLRGRMEDTNNCYRRYNLINVNSAVSLSTSTLHALMPPPPPPESTAKSNMLAVARDTTRMQQGFGDLTRLIMTDEEYLGVISVWGAGGDHRTTSIIRKTFNHSEICRNFSARAWVKLMHPFSPQDFVQGLIAQFNKKTGIEVPTKMEVTQDDLINEFQHLVREKRYLVVLEGLSNMVDWDAIRTFFPDMMNGSRIILSTQQSEVATLCVGHPYQILELEKFSEEHSVCALFQSSRDKGKTIQMVLEAAEEISLVGRQREIKRLSSLLPLARVNCPSVMSVCGIAGIGKTALVKSLLHQDHIGFDRFYWVDVSHPFNLRDLYLNLLPDFYWEKDPIEECHQLLRDQRCLIVIDELWSTKEWDLMQAALVPKQYSKSVIIVITPEASIAKYCTNGDELVFNVTALEPAEAFDLFNKVLQHDSMVEAAGVEVQELILKCGGLPTVIAAIAGSLPKKTDKWADTVRSLNRRLMHHLETDPKYFSSLESVFRGMESMATSKAVDRGGLLRAN* >Brasy7G103400.1.p pacid=40046992 transcript=Brasy7G103400.1 locus=Brasy7G103400 ID=Brasy7G103400.1.v1.1 annot-version=v1.1 MMVNRRRLSEGGVASLQLPPVTILLLLWICSWGHATADDDTAKMTALQKHVSFFDRNKDGIIIPSETFEGFVALGYDVAFSRDFASSVHAALGPITSPVDAPLPHVAIYIDQIHRAMHGSDTGALDAKGRFVPKKFEEIFTKHAKVRQDALTSSEVKEMILANRDTADPQSWSAPEKEWGLTYKLASDKHGFLRKDSVRGIYDGSLFVKLEEKRMSYQSEM* >Brasy7G205900.1.p pacid=40046993 transcript=Brasy7G205900.1 locus=Brasy7G205900 ID=Brasy7G205900.1.v1.1 annot-version=v1.1 MAPTKKTKKSTENINNKLQLVMKSGKYTLGYKTVLKTLRSSKGKLIILANNCPPLRKSEIEYYAMLAKISVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTAPGTTQ* >Brasy7G033200.1.p pacid=40046994 transcript=Brasy7G033200.1 locus=Brasy7G033200 ID=Brasy7G033200.1.v1.1 annot-version=v1.1 MSSAAASKDGGGAVALEVTVLSAESLRLPPTYSPLPRKLRPYVCVSSSSSSSSTSSTGVASSSGRGEHSWDGASAAVVVPVGAGFLEGRDDVRVAVLSASGGPCPLHLLLGLGDTQLGWCRVPAADVLDGLRHPRALRRLSYSLRGQGGQCCGVVHLAVRVLGDVQIPSLAPAAQCHRMAMGVPVSGPSAGAVAVVGTPSPWGAWWG* >Brasy7G181200.1.p pacid=40046995 transcript=Brasy7G181200.1 locus=Brasy7G181200 ID=Brasy7G181200.1.v1.1 annot-version=v1.1 NSMYGSKLALLKQAMCFVIDQLGPSDRLSVVSFSYRASRLTRFARMSGAGKAAVASLAVSGGTRIGEGLRVAADVLGARRHRNAVASVILLSDGMDETLANNAAANHVHNARSLVPPSFAHAGARPASIHTFGFGRDHDAAAMNAVAEATGGTFSFVESQAAIQDSLAQCVGGLLSVAAQEARIAVRCLHRGVRLQEIKSGGYRNDVHAEARSGSIDVGELYEDEERRFLVLVYVPRARGTEEVTRLLKASCAYRDAATGQAAIKRPFELPGMPSPCIDVERERVRLAATEDIAAARAAADGGEHAGAAKILDARLNAVERAAPGDDPACEALKEELRDLSDRKEYQRMGRACLLAGMSAHSQQRASASAVELLQPSSSNAARACYLTPKMEEMVEMSRDSRKRANGGQPDGTSKQIKQDLTENF* >Brasy7G209700.1.p pacid=40046996 transcript=Brasy7G209700.1 locus=Brasy7G209700 ID=Brasy7G209700.1.v1.1 annot-version=v1.1 MRPGAEFAMVHGGAMMAPTAAPGGHALTNGTHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGGHGSGAGDGDDDGEKGSAGGAARPAAAVQEHVVVIMAGEERPTFLAMPSASRAAAVELGAMASPEAAASALGGGDGAPELEKKIDESPQLIGGGSGGGSESSGATSTALQESSQ* >Brasy7G196600.1.p pacid=40046997 transcript=Brasy7G196600.1 locus=Brasy7G196600 ID=Brasy7G196600.1.v1.1 annot-version=v1.1 MRGQAERRTTAGPPSQIEDPAAPGKHPPPPPPPPTTAPTPKQQSKRANQPRNFSATTAANFTAEQRETTGVAPEAQAEKTQGRNHTPTRRRQPSLAEHTRRPTRNNNGNQTGLHRKKKTHRASPPDKHTNRRERTDAHADVDTAIARELSGC* >Brasy7G217200.1.p pacid=40046998 transcript=Brasy7G217200.1 locus=Brasy7G217200 ID=Brasy7G217200.1.v1.1 annot-version=v1.1 MDSDSSSSSSSSASPPSLRRKLRTTVCGCFGSPSQSGGEKTRSSNNGARWRRRVVATGEFGYDPLSYALNFDDGSDDSDDSAEASAAAFRYGNFNARLPRSPAPSTAVAIA* >Brasy7G098300.1.p pacid=40046999 transcript=Brasy7G098300.1 locus=Brasy7G098300 ID=Brasy7G098300.1.v1.1 annot-version=v1.1 MSAYDEVEIEDMEWSAELGAYTYPCPCGDLFQLTLADLRLGEEIARCPSCSLFLTVVYSAEDFADAKEPPAPLPVAVA* >Brasy7G016100.1.p pacid=40047000 transcript=Brasy7G016100.1 locus=Brasy7G016100 ID=Brasy7G016100.1.v1.1 annot-version=v1.1 MAGAVGDVVGKATGAIKGAAGKVVGKVRGIAGKAVGKVRGAAGKVRGAAGRAAGKIRGTAGKVAGKVRGAAGKAAGKIRGAAGAAGRAVGRAARGGRRGVAGGGN* >Brasy7G054100.1.p pacid=40047001 transcript=Brasy7G054100.1 locus=Brasy7G054100 ID=Brasy7G054100.1.v1.1 annot-version=v1.1 MAEESWRLPDSVQHLAANVQEPPSRYLLREQEPYGGNLAGTKMPEAIPTIDLGLLSASSDTEEATKLRSTLQSWGFFQVSNHEIETSLMDSVMSASRDFFGLPLQEKRKYSNLIDGEHFQIEGYGNDQVKTRDQRLDWSDRLHLRVEPENERNLAHWPIHPKSFRDDLHEYAMKSKRIKGEILRAIAKLLELDEDYFVHQLSDKAPAYARFNYYPPCPRPDLVLGVKPHSDVYALTVLLVDKDVGGLQVLRDGAWYNVPALSNYTLLINIGFTMEIMTNGIFRAPVHRVVTNAEKERISVAMFYGVDPEKEVGPTPRLLNEEQPARYRKMKAKDFLFTHYDDFSRGARIVDSL* >Brasy7G179400.1.p pacid=40047002 transcript=Brasy7G179400.1 locus=Brasy7G179400 ID=Brasy7G179400.1.v1.1 annot-version=v1.1 MLHLVPSSHPHPPLRHAHRACRCSKQTRRWCRELRRRCGDGHRGGDPGVDDSSICCKPTATGAATWLRCGSAMGHGQLAVAGRRQTNGRMWTRRKGDLLARIGMGVRRRGRGGLEEGCQIEEPLAPFPA* >Brasy7G063200.1.p pacid=40047003 transcript=Brasy7G063200.1 locus=Brasy7G063200 ID=Brasy7G063200.1.v1.1 annot-version=v1.1 MAGSAEPAMNEPAGYQGSRPPWRRTVAIQAAICLALYAAFSLGEPQLQPRGGGGAAALGRGGRGGVSFLSVAGGAREPAKQARLLKQMEHIAKAYEVKLVLDVAQFGDDTLWQDGSMYFQALKIPWYSATSHGQIVDNFLKKVKMPYDQVLEIIGVDTGPLQETLRDGKISNSSREQITWLEQSLALTSSNWKIVVGYDSLVVCNEVHTAETTKFYEPLRRIFEKYAVNAYLSTGGFCGHFHQDNSMLYIRNPRPGYQTNLDGFLLHTLSSLGMESLFINLEGEVVQRSVVHQQGRGFM* >Brasy7G063200.2.p pacid=40047004 transcript=Brasy7G063200.2 locus=Brasy7G063200 ID=Brasy7G063200.2.v1.1 annot-version=v1.1 MAGSAEPAMNEPAGYQGSRPPWRRTVAIQAAICLALYAAFSLGEPQLQPRGGGGAAALGRGGRGGVSFLSVAGGAREPAKQARLLKQMEHIAKAYEVKLVLDVAQFGDDTLWQDGSMYFQALKIPWYSATSHGQIVDNFLKKVKMPYDQVLEIIGVDTGPLQETLRDGKISNSSREQITWLEQSLALTSSNWKIVVGYDSLVVCNEVHTAETTKFYEPLRRIFEKYAVNAYLSTGGFCGHFHQDNSMLYIRNPRPGYQTNLDGFLLHTLSSLGMESLFINLEGEVVQRSVVHQQGRGFM* >Brasy7G063200.3.p pacid=40047005 transcript=Brasy7G063200.3 locus=Brasy7G063200 ID=Brasy7G063200.3.v1.1 annot-version=v1.1 MEHIAKAYEVKLVLDVAQFGDDTLWQDGSMYFQALKIPWYSATSHGQIVDNFLKKVKMPYDQVLEIIGVDTGPLQETLRDGKISNSSREQITWLEQSLALTSSNWKIVVGYDSLVVCNEVHTAETTKFYEPLRRIFEKYAVNAYLSTGGFCGHFHQDNSMLYIRNPRPGYQTNLDGFLLHTLSSLGMESLFINLEGEVVQRSVVHQQGRGFM* >Brasy7G027600.1.p pacid=40047006 transcript=Brasy7G027600.1 locus=Brasy7G027600 ID=Brasy7G027600.1.v1.1 annot-version=v1.1 MERLISSPLHLTRAPASRPRSAAHLLPPTAAPPSSFPHRAGLGFGPRLPPLAPLLLLRRPPPVVAQALPADASAKSPVPHEDDDSAAGEDAPAGGARVRRFFQKVAVFTLLAALALYLIHPSSVFSPAAALASALHGHGHGHSAAVVTATRTSLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSAAESAAVGALWGCGHDAGQVLFGLLFLGLKDRLRLEVLRAWGTRVVGLTLLVIGAIGIREATQAAAPAPCVALHDGGGHDSSSSSSLEKALLSGGGKKKEISFATFATGIVHGLQPDALMIILPALALPSRLAGAAFLGMFLVGTVLSMASYTVLIGTCTEALRERVPRITEKLTWAASLVAISMGLAIIASESFGISLF* >Brasy7G230700.1.p pacid=40047007 transcript=Brasy7G230700.1 locus=Brasy7G230700 ID=Brasy7G230700.1.v1.1 annot-version=v1.1 MAVAPAAAVLESASWTFNNGVIAGLLPVDILMLVILGACLAMLLWRLHRAPEVYLVDYGCFLGEPRHRVPFAMALEHGRLMNDLIDEESLSFMVRLHEKSAIGEETSVPDSFRCIPPDRSIEASRQEAELVIFSAIDKALARSKLNPEDIDTVIVACSFTTVTPVFADVVVNRYKLRDDVQSVNLSGMGCSGALIAIGLARNLLRVAPPGRHVLVIATEILSSMLYTGTKREMLVPNVLFRMGAAAMIMTNSPERARFRLGPIVRTLTAARDSDYRCAFQEEDDEGITGINLSKDLPVVVANALKGHITAFGPAVLPVSELLRVAFSIVRNRLFSAGTKEGRRYYRPAFGKVFQHFCVHPGGRRVLYEVQRSLGLSDRDMEASHMTLHRFGNMASSSLLYELEYIEAKRWIKKGDRLCMISFSPGIDCSSVVWQCVKPTADTDGGPWAGCIHRYPVQLPKIVERA* >Brasy7G076900.1.p pacid=40047008 transcript=Brasy7G076900.1 locus=Brasy7G076900 ID=Brasy7G076900.1.v1.1 annot-version=v1.1 MEGGGEGVAPAAAAAEAKNPRCFMDVTIGGEMEGRIVIELYASAVPRTAENFRALCTGEKGVGAASGKPLHYKGSYFHRVIKGFMVQGGDFTAGDGTGGESIYGLKFEDENFVLKHERKGILSMANSGPNTNGSQFFITTTRTPHLDGKHVIFGRVIKGMGVVRSCEHIPVGDADRPTADAEIVDCGELPEGADDGVVNFFKDGDMYPDWPNDLDEKPLEVSWWIDAVEAAKTFGNDNFKKQDYKTALRKYRKALRYLDVCWEKEEIDEEKSSALRKTKSIILTNSSACKMKLGDLKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAVESFQHALELEPNDGGIKRELAAAKKKIANRRDKERKAFSRMFQPSGKSDENNEENN* >Brasy7G041800.1.p pacid=40047009 transcript=Brasy7G041800.1 locus=Brasy7G041800 ID=Brasy7G041800.1.v1.1 annot-version=v1.1 MGKRSSMNSKPAPKKKPQKLETSFTCPFCNHADSVECSIDLKLRIAVATCWACEETYATKAHALTEPLDVYSEWIDECEKANQDNASTWRLISLVALVQTSEQVNNVIGIMY* >Brasy7G134800.1.p pacid=40047010 transcript=Brasy7G134800.1 locus=Brasy7G134800 ID=Brasy7G134800.1.v1.1 annot-version=v1.1 MLRQRESSCLSGSARYIAPESPTHLRDSVSGCSIHREQSPISRSNLTLSELQNCIAVGQYSIPWRSSPP* >Brasy7G138200.1.p pacid=40047011 transcript=Brasy7G138200.1 locus=Brasy7G138200 ID=Brasy7G138200.1.v1.1 annot-version=v1.1 MNPRDSDDDHPGAAADSAAPQPPPPQQQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDAQDHANRRELERQDVPITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKIKQVSVMNLDTSQTVGKGTSTHASASTSKAPIPNGGCSEKSEGLNSGLLFLPGGYPSLRLPVVSSQEVNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGARSFFTEIIASISDVKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCVSGDGLHVATGSYGNLFRVFGSTPGNTEVTTLEASRNPMRRQIANPTRPTRTLTSMTRGVRRGGENLGVDANGNSLDFSTKLLHLAWHPTENSIACAAANSLYMYYA* >Brasy7G138200.2.p pacid=40047012 transcript=Brasy7G138200.2 locus=Brasy7G138200 ID=Brasy7G138200.2.v1.1 annot-version=v1.1 MNPRDSDDDHPGAAADSAAPQPPPPQQQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDAQDHANRRELERQDVPITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKIKQVSVMNLDTSQTVGKGTSTHASASTSKAPIPNGGCSEKSEGLNSGLLFLPGGYPSLRLPVVSSQEVNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGARSFFTEIIASISDVKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCVSGDGLHVATGSYGNLFRVFGSTPGNTEVTTLEASRNPMRWRKSRC* >Brasy7G036300.1.p pacid=40047013 transcript=Brasy7G036300.1 locus=Brasy7G036300 ID=Brasy7G036300.1.v1.1 annot-version=v1.1 MDEQMKKMRKKSRTVPSVSKALGLSLVDNQTNADIVNQSSSSCCSSGEDSIGTSEKSIFPNQSDNTSGCKRPSKNMQSLYAKRINEKLRILQQLIPNGTKVDISTMLEEAFQYVKFLQLQISSDETWMYAPLAYNGMDIGLALRTTANQE* >Brasy7G166800.1.p pacid=40047014 transcript=Brasy7G166800.1 locus=Brasy7G166800 ID=Brasy7G166800.1.v1.1 annot-version=v1.1 MSSSAGDADAEPLGGASPAGRVLGRALDKVIKHSSWRKHSALVSASKSALDLLSSAPTSASEPSASPIPGLPAPAADAALHALLLALDPASPKVAEPALEGVASLLSLRLLLGDVAIAGPSPVSKLFAAVLSCGGLGDDTLELAALRALVAFVRCPAVSLRGECLGQMVKACYNLYLGSSTGGNQLCAKLALAQVLVVVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQGAQAFINEAMEGSDAPEEAPESCVLAEGGGVGEEESMSLIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRMVIDNAGPFWKANAKYLEAIKQYLCLSLLKNSALSAMSVFQLLCSIFMGLLLRFRSGLKEEIGIFFPMLVLRVLENVLQPSFLQKMTVLHFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPDGSTTTLTVAQDQTFRIESVKCLATVIKSMGSWMDQQLKIGENFHISSEVPTSLDNNHMIHNGEEGTGMDYDLQSESSSSEVSDSSSLEQRRAYKIELQKGVALFNRKPSKGIDFLIRSKKVGQSPEDVASFLINTAGLNATMVGDYLGEREEFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNVFTSADTAYILAYSVILLNTDAHSAMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVSNEIKMSADSSAAQTKQTNSVSKLLGLDNIINFVNWGQEEDKAHGANDLLIKHIQEKFKAKHGKSEIVFYVVADATILRFMMEACWAPMMAAFSVTLDQSDDKAATSQCLKGLRSAVHVTSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLAVPLVESEEKAQKSTSVVPSKRANALQNPAVMAAVRGGSYDSTVAKTSASVLVTPEQINNFISNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPSDPRIFCLTKIVEIAHYNINRIRLVWSRIWKVLSDFFVSVGLLENLSIAIFVMDSLRQLAMKFLEREELANYNFQNEFLKPFVVVMEKSDVPEVRELIVRCVSQMVLTRVNNIKSGWKGVFTVFTSAAADDTKSTVLLAFGTMERIVRDYFRYITETDTTTFTDCVQCLIAFTSSQFNSEASLNAIAFLRFCAVKLAEEGFVCQDKVADTPRNSGMSDGYATVNKNDYVSFWVPLLAGLARLTSDSRLTIGKSAVGVLFDILKDHGHLFSQSFWANIFQSVIYPLFSSQRSRANDLTLTPNIIDDDFSTLETQTLAMKSLVVIFVNFFDVMRPELTRIASIVTYFIRSPYKHSASIGVSAFLRLVDGVGSKLSKEEWRDILLCFKESLSQTFVVFSKIVRMMQDIEIPDRIESYSEAEQFSDNEIYINDEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEQGKYLTVDHISILLVMISAIATHASEVSSDSLLQMKFHKACSLLEASEPAVVHFENETYQSYLKLLQALLHEHPFLSEDMDIESRILDACEKILKTYLNCAGHGPSDEASQSDPTLHCIVPLGAGKKEELAARTQLVLIVVQILRSLDKDSFLRVLPRFFPLLVDLIRCEHSSVDVQHALYKIFKSSIGPMIQV* >Brasy7G166800.2.p pacid=40047015 transcript=Brasy7G166800.2 locus=Brasy7G166800 ID=Brasy7G166800.2.v1.1 annot-version=v1.1 MSVFQLLCSIFMGLLLRFRSGLKEEIGIFFPMLVLRVLENVLQPSFLQKMTVLHFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPDGSTTTLTVAQDQTFRIESVKCLATVIKSMGSWMDQQLKIGENFHISSEVPTSLDNNHMIHNGEEGTGMDYDLQSESSSSEVSDSSSLEQRRAYKIELQKGVALFNRKPSKGIDFLIRSKKVGQSPEDVASFLINTAGLNATMVGDYLGEREEFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNVFTSADTAYILAYSVILLNTDAHSAMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVSNEIKMSADSSAAQTKQTNSVSKLLGLDNIINFVNWGQEEDKAHGANDLLIKHIQEKFKAKHGKSEIVFYVVADATILRFMMEACWAPMMAAFSVTLDQSDDKAATSQCLKGLRSAVHVTSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLAVPLVESEEKAQKSTSVVPSKRANALQNPAVMAAVRGGSYDSTVAKTSASVLVTPEQINNFISNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPSDPRIFCLTKIVEIAHYNINRIRLVWSRIWKVLSDFFVSVGLLENLSIAIFVMDSLRQLAMKFLEREELANYNFQNEFLKPFVVVMEKSDVPEVRELIVRCVSQMVLTRVNNIKSGWKGVFTVFTSAAADDTKSTVLLAFGTMERIVRDYFRYITETDTTTFTDCVQCLIAFTSSQFNSEASLNAIAFLRFCAVKLAEEGFVCQDKVADTPRNSGMSDGYATVNKNDYVSFWVPLLAGLARLTSDSRLTIGKSAVGVLFDILKDHGHLFSQSFWANIFQSVIYPLFSSQRSRANDLTLTPNIIDDDFSTLETQTLAMKSLVVIFVNFFDVMRPELTRIASIVTYFIRSPYKHSASIGVSAFLRLVDGVGSKLSKEEWRDILLCFKESLSQTFVVFSKIVRMMQDIEIPDRIESYSEAEQFSDNEIYINDEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEQGKYLTVDHISILLVMISAIATHASEVSSDSLLQMKFHKACSLLEASEPAVVHFENETYQSYLKLLQALLHEHPFLSEDMDIESRILDACEKILKTYLNCAGHGPSDEASQSDPTLHCIVPLGAGKKEELAARTQLVLIVVQILRSLDKDSFLRVLPRFFPLLVDLIRCEHSSVDVQHALYKIFKSSIGPMIQV* >Brasy7G192600.1.p pacid=40047016 transcript=Brasy7G192600.1 locus=Brasy7G192600 ID=Brasy7G192600.1.v1.1 annot-version=v1.1 MARSKLRSVDESQFTPLVVPIGPYHRLAIGWSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLANRQEFVQMLLHDGCYVLSFLVDYKSTSESASDEAGPGPAVVREENWVMRDTLFLLEENWVMRDTLFLLEENWVMRDTLFLLENQIPWFVLEKLHHCIVGNAARSVLGDLSPYVGRLLKDRLHSSGRPLPASHDVPSTLLHLVYDYFMPTQKDNSTGAQGDPAIDLELGVVPEAPSTAAVQGGDTDVRPRVPSPRHHMDRWRRATEYCRYGNVNVKLKRLNLADDDGGARSRLHRGELALQELDLLADARQQLVLVAEQRGELAEEGGQRPRPGAHQRHREEASVLSFPEKKPLSNRHCWFWLRSMDRRNGAAELGGGREREVTTMRDCGL* >Brasy7G153200.1.p pacid=40047017 transcript=Brasy7G153200.1 locus=Brasy7G153200 ID=Brasy7G153200.1.v1.1 annot-version=v1.1 MGPPRPARGVISIEACARPIAVDHRIRLPYYFRIAGSLLRQAKIYRDEKNILDLYVILLRYTSLLCETIPKHRDYPVFKSREAEFLRNANSATLIDVVNELESLKPVVKRQIAEYNRGGTVEANGLNGTHTASQRTEQHPPTSYSTQPLVGSNSGSLEKFIPGGRHQVASLPSVQTDRQIRKQFVNLPFPKEETLARHSILGPNGLQGQWAGPVTAIKVQYPSNLDFTQSDMTSLVPVMLNQDVLHGSSTTYPDSTTKDNDDMKNVLSLDDGRWSAPTEECTSVPSVSVGGELSQLNIRQPSPPPVLAEVHPERRPISPSRVADPTPGLAISETGRYQNLHVPVKLMECFLRVAESNTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSDSCEATNEEELFEVQDTGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRKKHGIFHLTDPGGIGVIQDCPERGFHPHKAPLDGSPIYEHCSHVYMNADTKFDMIDLRER* >Brasy7G157900.1.p pacid=40047018 transcript=Brasy7G157900.1 locus=Brasy7G157900 ID=Brasy7G157900.1.v1.1 annot-version=v1.1 MDAVGSTKPDEAAAYQSSEAKQARLQSMLAALLDDPILADVPRNPSLGDVDTLINLELGSAMRVTVVKLDDTSFDVPILNTATVKDLKLAIKKKISEIEQEQMGHRHISWKYIWENYCLTYQNEKLTDDNSALSSHGVRNNSKVCFSPHVMSRVHQKHSRRRKHRFFHGLSRKL* >Brasy7G030200.1.p pacid=40047019 transcript=Brasy7G030200.1 locus=Brasy7G030200 ID=Brasy7G030200.1.v1.1 annot-version=v1.1 MRPRPGPNRLGLVGLAEADWTRSAWPRPTAWPTGRTKPAGLQSAWPRPKGPVGFGQADWGRARAEAAAGACRGDGARGPPERRSSRSSSGRARGSRGGARSRAFDAAEQPEQQRPGAGQEASALGVARGAGTKAARGARRSRRRSSSGGARSSSPQRQGRGGGARGGRVAGAAAAGREAGGVGARGRAQPMDFAVDMDGDQFDSVTAFHDGDKSLTIAAQRAKSVVWAECSSNGQPHTGSTHAGRSADDNRESGPQQLSGRLCRPSAFVRAHRCPQLAPKIKFHLILEQHERTDDVLSTLLRPVVCAWERVEGCCSAPRRCCSAAVSAWLRARPSFQPRAPPPAPTPPAPRPAAAAPAAPPHRTPGSARRPCCPAPGRCCSGCSVALEARARRRLCTRPQPPPHAHAPSRPGRSRLGLSAWARPTAGRQASSGLSAMQSAWARPTGSSRLRPGRLDLIGLGQAEAALFLKFFKNAHYFQN* >Brasy7G035700.1.p pacid=40047020 transcript=Brasy7G035700.1 locus=Brasy7G035700 ID=Brasy7G035700.1.v1.1 annot-version=v1.1 MSSLDSVRGDLGLVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGVYKNKERAEFLGRIAFYCFLGSNTCTTLIELAELQRLSKSMKKQEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK* >Brasy7G115000.1.p pacid=40047021 transcript=Brasy7G115000.1 locus=Brasy7G115000 ID=Brasy7G115000.1.v1.1 annot-version=v1.1 MLTPVKYHGIIKLPSIAQYSHRCHWLYYVFPAAVLTTCLVVLAAVSLPGRVPPLMLLPAVTSRTDNRSSCNIFKGEWVPDTDAPPRYTNETCPVLHGHYDCMKHGKPDLGFVRWRWRPDGCDLPRFDAPRFLGAVRGKSVAFVGDSLARNQMQSLVCLLARAERPAPWSGGGYVYRYERHGFSVHDFWSPFLVRAVETDPDGPGGRSGAGLWSLYLDEPDPGWAAHAGGFDYLVISAGSWFHRPSVFYERGRLVGCNGCLAPDVADLSLRHSLRLAFRSALRAAVGAPGCRPRTVVVRTISPSHYENGTWDGDGDCVRTRPFRRRDDGWEMDGVQKEMYRIQVEEFAAAEAAARAKGVRMMLMDATEAMALRPDAHPGKYRLWEPEKFRLSRDCVHWCLPGAMDACNDMLLHMLIG* >Brasy7G226200.1.p pacid=40047022 transcript=Brasy7G226200.1 locus=Brasy7G226200 ID=Brasy7G226200.1.v1.1 annot-version=v1.1 MIPSNGSCCSLAFFSSIAPLYILLSSSLPKAFHLLSAGSNDCCLALICAISWILYCSYGRGFLICLGGFLICFRLHPGSS* >Brasy7G115200.1.p pacid=40047023 transcript=Brasy7G115200.1 locus=Brasy7G115200 ID=Brasy7G115200.1.v1.1 annot-version=v1.1 MIPSLRGMLRGVLAEGRRRRHAIRKTTPVPGARVATVFFAAGTLCFVFLGRLRSPPAPTSTTSSSGKAAGAAACDATRGEWVHDPSLRPHYTNATCGFIHDYQNCMKHGKPSLEFLQWRWRPDAADAGCGELSARFDAARFFRAVRGKSILFVGDSLASSHVLSLVCTLSGLEAPTKRHTGQDGLFEHWRFEAHDFAVSFFWTPFQIRWRLTSDGPETTTAPGPARQGEVFAGPSDLHLDEPDERWMSAAKEHDYVVLSASHWFRRPAVYYRGGRVMGCHECATVDGGKSNVTELSPEYAQRVAFRTVLRALARGDYGFEGTAVLRTVAPTHYEKGGWFDGGECPSTRPLGRNRTAEMVEPEAGFYRAQVEEFRAAEEELEEGNRSGGAVRLRLMDVTGIMLRRPDGHPGRYGHGPGQHDGFDVDCLHWCLPGPIDVWNELLLQILEG* >Brasy7G182100.1.p pacid=40047024 transcript=Brasy7G182100.1 locus=Brasy7G182100 ID=Brasy7G182100.1.v1.1 annot-version=v1.1 MAPVLPDHVIEDILARLPAKSALRCRSLSRAWAAKLSSDHFVDRHLHLANRHGAPRILILQNPRDGSPPKVHAWSPDHAGGTTLMDAIPRALTRGGRCPTPAALVEETSRRDVVPRLVTQQCRGLVILKATGAGTYHVFNPSTGQMAALPEGRPTGRRVKEEADDLQKYSSLGIGYDARTRRHKVVRIYYRGCDTKKPPGCEVYVVNGGSTGLWRPAAGGAPEKPAGWVNRNEASVFAQGHVHWFAKRSLDSPPKDLFIVSFSLADEKFGTLSLPPLGTETYRVPCDQLTDLGGHLCLFRTEQNIFSGAPRMYYIWLLRGHEAEGTWDLNYRIDVYTLPREVSRFVHAGKGVIPLASADEGRRIVLIQPRQCLLANTFSSKNNLEDTFSFQMCTHAAMYEESVVSLGQPCEDIIFAMSLVLRELSVHTLARLKCVCRSWRAMIESKDFRELRRSKALLGFVL* >Brasy7G223500.1.p pacid=40047025 transcript=Brasy7G223500.1 locus=Brasy7G223500 ID=Brasy7G223500.1.v1.1 annot-version=v1.1 MAMYLKNWWCHFIKPLLFCEAAKKVCNDFPLGRRNKNNSKTWNNSTRFSASRGMDGEEEQEEEKIGISEERALSGDLSSPWNFLCKSWPHTTSGHGRIVENVGTSGFRAGLQPEIWSSSPA* >Brasy7G136100.1.p pacid=40047026 transcript=Brasy7G136100.1 locus=Brasy7G136100 ID=Brasy7G136100.1.v1.1 annot-version=v1.1 MPLPPPFALPDPPPPPFQLASPPPPPWYLAPPPADESGIIAVRLIIGFAATLLAIFACSFCKGYRNSREGRAAADAAAARQRQQAPRLRRLTQELQPAARAAGTNPAARLPAFTYSRSVKHNVTGAGDQEAATCSVCLGAFQLGEKVRLLPCIDPWLDAHSTCPLCRSDTDATTEVGRLPPV* >Brasy7G078000.1.p pacid=40047027 transcript=Brasy7G078000.1 locus=Brasy7G078000 ID=Brasy7G078000.1.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQAMLGGEIEVPTLHGKTQVKIPKGVQPGQVVVLRGKGLPNQVGYTGDQYVRFRIHFPLVVNERQCALLEEFAVEEAMKEQSSFAAGNWWELVVETMKSQNFILGLGFVILIYLMLRP* >Brasy7G078000.6.p pacid=40047028 transcript=Brasy7G078000.6 locus=Brasy7G078000 ID=Brasy7G078000.6.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQAMLGGEIEVPTLHGKTQVKIPKGVQPGQVVVLRGKGLPNQVGYTGDQYVRFRIHFPLVVNERQCALLEEFAVEEAMKEQSSFAAGNWLYEQLSTG* >Brasy7G078000.2.p pacid=40047029 transcript=Brasy7G078000.2 locus=Brasy7G078000 ID=Brasy7G078000.2.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQAMLGGEIEVPTLHGKTQVKGG* >Brasy7G078000.3.p pacid=40047030 transcript=Brasy7G078000.3 locus=Brasy7G078000 ID=Brasy7G078000.3.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQAMLGGEIEVPTLHGKTQVKGG* >Brasy7G078000.4.p pacid=40047031 transcript=Brasy7G078000.4 locus=Brasy7G078000 ID=Brasy7G078000.4.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQVVEKKSGNAWWRN* >Brasy7G078000.5.p pacid=40047032 transcript=Brasy7G078000.5 locus=Brasy7G078000 ID=Brasy7G078000.5.v1.1 annot-version=v1.1 MGRFVWLRLASRSLTLRSSEVSEQRSILIRLCTPCSSSGTADRCYGRSTLTSSVPSRFFHSTGQHCSMQKDYYKILDVPKDASQDDIKKAFHSLAKKYHPDTNRGNTAAKRTFQEIRDAYETLRDPSKRQQYDMLFSRGSEENFSKGRGEFYGSSQDPFSGFNKQSQDPFAEFYRQNNGPFSSKFYKIFSEVFQHDVDVHANDIEVEVNLSFSEAAKGCTKQVPFSAKNLCNSCDGRGYLRNARKYVCPSCKGEGRVSMYPFTSICTSCRGFGKVIKDYCLTCKGSGVLDGMKYVNVTIPAGVDSGDTIHVPEAGNSGGHGALPGSLYIKLRVASDPVFVRDGADIHVDKKISFTQVVEKKSGNAWWRN* >Brasy7G164900.1.p pacid=40047033 transcript=Brasy7G164900.1 locus=Brasy7G164900 ID=Brasy7G164900.1.v1.1 annot-version=v1.1 MRPETRLDSAAFQLTPTRTRCDLVVIANGRKEKIASGLLNPFIAHLKVAQEQIAKGGYTISLEPDPETDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAIAVQGNENLGLRSVEDHNGKLVECMDGSKTSYDLDADKALILFKPDTHPAPQLQNDNGAREENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGYLISFAERFGASRLMKASTQFIELWRQKHETGQWIEFEPEAMSARSEFPPFNAAGIMFMGDNMKQNLETMSVSNGDANGEDAAKADHRTPQHSGAPHEYPHGPYQSAYPPWAMHPPYPMQGMPYYPGVNPYYPPPYPPMDDSRYNHSERRASRKHSSDSKDSENLDDGSDQSGSERESSHGHKSHKKGKRSSKKKPSVIVIRNVNVRSRKHGSSESESHTGSDVASEDSDDSHTKSSKRKHKRSSSKKKDGKKTILESGDEYKDEMSHGQDGDQGNWNAFQSFLLRDEDKTRESDADLFASEKEPPPPRRKESRSIDDPILLAERGSAYVHEQNTINFNSANGRIRSRQMMSGDELMMSGEGQSFMDGDIKEIEAGGGGYRRRANDDFMVYGQDNSMDRGSSLDPLAEAQYKSPTLEEKTLHSVVDESFMIPVRSNSEDNLGAESRTAIDIDVELPVTVQKTSDAKTEGQLFYEPDELMPERGFEDVSFGYDPAMDYDSHLQIQPDTGVENADAEDLSLCVKDEEKMPEKDKLRSSQEGLDKRRKDASARRLSSSKGPLTDAQKRAQNLRAYKADLQKAKKEQEAEQIKRLERLKQERQKRIAARNGASNSVSTPQQAKTKPSPKISPSTYKSSKFSDAEPGSSSPLRKLPVRNTPGSDPQKTAKASKLGDGTNAVSKSTSSLTEIKKEKSGRTESSIERLKKLAEPKSNPSTDNPSNSKSASVDHPRRRSMPEDTQTKKISAIVQLDQSKSATLPELKVKSPRAPTVVAKNKTAAKETKEGPRGAKLHPTSESSGGKKANGKVSRISNSNDNVVVEKTVVMLENEVVSTPPVILPPGRITENETSSDDRMENPGVELEYTAIRAPPSPVDLPVDANSTIHTSDNQSNSYEVVPDYQNDEPEKPTLASMEKPYEAPFARVTSLDDAASNSLPAQEAETLVRAESVRARVPEPENAVSVLEETHEKPRSKEPKGFRKLLKFGRKSHTPSSAAEGTVDSDASSVDEAAAGDGSMLKNLISQDDHHYASSSKASRSFSLLSPFRKNKVIVL* >Brasy7G153400.1.p pacid=40047034 transcript=Brasy7G153400.1 locus=Brasy7G153400 ID=Brasy7G153400.1.v1.1 annot-version=v1.1 MGELEHREAEAGGDAAAAAAAHSSSMQRVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGAHEVGPRPASEHLEASRVLHSHDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.2.p pacid=40047035 transcript=Brasy7G153400.2 locus=Brasy7G153400 ID=Brasy7G153400.2.v1.1 annot-version=v1.1 MLLSEEFGPYEIRRVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGAHEVGPRPASEHLEASRVLHSHDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.4.p pacid=40047036 transcript=Brasy7G153400.4 locus=Brasy7G153400 ID=Brasy7G153400.4.v1.1 annot-version=v1.1 MGELEHREAEAGGDAAAAAAAHSSSMQRVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.5.p pacid=40047037 transcript=Brasy7G153400.5 locus=Brasy7G153400 ID=Brasy7G153400.5.v1.1 annot-version=v1.1 MQSERVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGAHEVGPRPASEHLEASRVLHSHDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.3.p pacid=40047038 transcript=Brasy7G153400.3 locus=Brasy7G153400 ID=Brasy7G153400.3.v1.1 annot-version=v1.1 MLLSEEFGPYEIRRVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.6.p pacid=40047039 transcript=Brasy7G153400.6 locus=Brasy7G153400 ID=Brasy7G153400.6.v1.1 annot-version=v1.1 MQSERVKVYQLRDGGKWDDQGTGHVAIDYIEGSKELGLTVLDEEDNETLLAHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFNNLGDESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G153400.7.p pacid=40047040 transcript=Brasy7G153400.7 locus=Brasy7G153400 ID=Brasy7G153400.7.v1.1 annot-version=v1.1 MILHDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNVSVVSKIHQTYRIGYLKDVVLPRILDDATLASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISLESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSEDKKIVLAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDLGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRIMTRTTSDSAVTGRNIEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILSLTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGILELLEHIRKENLKPLVIYVIESFSDKLMKFEHFGSIQAFKLKYQQYLESAETKLSASVPDMRKKAEGRGLEKEEEDYFNEDSDEEDSTRRTKQAHNDEFKASVSNGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPYRTAEDDELLKITTRKQKLVNSADGKHADGEVRKRQKIETRITCGKLSALTSLASKHKDSLASSSPSCEANGVSGEHATHSDEQQHSAETAETSRQTGGDCIKAMGNLSSEKTVNTTKANDSEPYSVR* >Brasy7G142400.1.p pacid=40047041 transcript=Brasy7G142400.1 locus=Brasy7G142400 ID=Brasy7G142400.1.v1.1 annot-version=v1.1 MKHPRDYGMLQTPTTRRTKRSKNHDVSTSTTTLPDHMLVEIFARLPAKSVGRFRCLSRWWAATLTSASFIKLHLQQANEYDQPATTTSAVPPKLFFTTAQRRFHAWRSADGQVEQLTGVLPFPHLDEPKWPLQVLTKPCHGLVLLRRLPYYGHYVCNPSTGALLPLPDSGVPSTMRSKLCGCAPNSINNSASYGLGYSSCKNSSNDMEEQYKVVRLFSRYDGRDARVVSSCEVLSLDVSAHWRPAAQQPPLCTVRAAPAVFFNGYLHFLQDQEQGTDSCIVTFNVCSEMFGSLMPSSSGLKHDVPLELTVLDGSLCAHHHHGHLCSDVDPYCIWRLTDYGTGQWEKLYSIIQPQTWPKVNESGHLVLPSNWIFPLAIYHEDNRRKKKIMFATGAGVLAVDLDGHGGVPEILVSPKKIVDNNSSIKTIYPMTVGLLEESLVSVGRTSNDIIFSLPSRKAWSDVFKWLPAQSIVPLMRVCKDWRAVIKSDRFTQLHNEFHVNLRKSPKIMLLQPYLGMFYSLEFCRMVQREMGELLSGHGRPSVLCSKPCHGLIVGSCMNREEGTFHDFICNPSREYYKPILCLDDDIQDTGNSLLAGRIGLGYDSRIKKHVLVRLVLYHDKDAGRDYRLECYVQLTDSFYTDWTSITPPPKPVADMQPAYAAGIRGIERTSMQLRGYHIHC* >Brasy7G198500.1.p pacid=40047042 transcript=Brasy7G198500.1 locus=Brasy7G198500 ID=Brasy7G198500.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEEDYEDEQEEEAA* >Brasy7G160900.1.p pacid=40047043 transcript=Brasy7G160900.1 locus=Brasy7G160900 ID=Brasy7G160900.1.v1.1 annot-version=v1.1 MARSLVPLLCIFFQSAVALHLCVDRLFNDTQGRHNDGLPHLNPTEEETWMNLLPRKLGPMAEFDWLALYRSLTRGDNTGGHQVTAEFLSQVSLHDVRLNPGSMYWQGLQTNLQYLLYLDPDRLVWSFRQQAGIPTIGEHYGGWEAPDGQLRGHFVGHYLSASAHMWASTHNYTLKEKMTRVVDILYQCQKNMGNGYLSAYPEKMFDMYEELSEAWSPYYTIHKIMQGLLDQYTLAGNQKGLEMVVWMTDYFSDRVKNLIQKYSIQRHWEAMNEETGGFNDVMYQLYTITKDQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPDIIGAQKRYEVVGDHLYKEIATFFLDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEVKYADHYERLLINGIMGNQRGTQPGVMIYFLPMGPGRSKSISGAPPSGLPPKMPGGWGGPNDTFWCCYGTGIESFSKLGDTIYFLEEGETPTLYIVQHIPSTFNWTAAGLTVQQQAKPLFSTDPYFEVAISISAKGDAQPAKVMVRIPSWTSTVGAAATLNGQKLNLTSAGDFLTLTKLWGDDILLLQFPINLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNQTITSSDQSINGLNPGVWEVGAKNAASMEDWVVPVPQSLNSQLLSLTQQSGGQTFVLSVSIINGTLTMSEMPVAGSDACVHATFRVYGPANLGDGGVLRGQEVIIEPFDRPGMAVTNDLNVNLQPGPDALFNAVAGLDGLLGSVSLELNTRPGCFLMASGAKAAQVGVGCRTSSSGDTAAFRHAVSFTQAAPLRRYHPLSFAARGTHRNFLLEPLQSLQDEFCTVYFNLVTTDS* >Brasy7G129200.1.p pacid=40047044 transcript=Brasy7G129200.1 locus=Brasy7G129200 ID=Brasy7G129200.1.v1.1 annot-version=v1.1 MAAAEENNSLFLIFILTMIALPLVPYTIMRLCHAATAKAKTIHCRCSGCHRSGKYRKSIYKKISNFSTWSNLTILLLWIVVIFLVYYIKLISREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHTYFVESISKAYQALTDPTSRDNYEKYGHPDGRQGFQMGIALPKFLLNMDGASGGIMLLGIVGLCILCPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTREAFALTPALTKDYKHMLELAPRLLEELVKIALLPRSPHGFGWLRPAIGVVELSQSIIQAVPLSARKAGGGNSEGIAPFLQLPHFTEATVKKLARKKIRAFQELCDMSEGDRAALLTQVAGLSEEEARDVELVLEMVPTIEVDIRCETEGEEGIQEGDVVTMYAWVSLQRRSGLTAALPHAPFFPFHREENFWLLLADTASNNVWLSQKVSFMDEATAITAASKAIQDTQEALGASPKEVGTAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSFCLCDAWIGCDSKTNFKLKVLKRSRAGTRAHVPEEGPAAEDGIEEEEEDKEEEYDDYESEYSDDEEEEKNKGKGKVANGAAHRRANSDINSGSDD* >Brasy7G143400.1.p pacid=40047045 transcript=Brasy7G143400.1 locus=Brasy7G143400 ID=Brasy7G143400.1.v1.1 annot-version=v1.1 MAYLTSQRRGGVPQPPSDDPDENTSRETREEEGDTAVEPPSSGEITAETPGVDHEGEAESASFPINLILLTGHPTPVIMESNGHCYFADLLAGVMHCSRDAILDGGNDRDSVVRFGFIDLPVVHEPGIRHGGQIAQPKAYRTTGAVQGSVKFVSIDGFSSHVDLKDRRLTVWRLRSHAAGWEKEHVLVLKDLWELDGFKDLPKDLTPMQPLLSTDDEDVVCFVLGECHECSFRDRRKFLVGDRHYLLAVDMRNKIIRGSIHLADCFRDPHTHGLVSYDFSSFLCKKAQLESHGDGGDEPEAFDSTHAKKKRRC* >Brasy7G112900.1.p pacid=40047046 transcript=Brasy7G112900.1 locus=Brasy7G112900 ID=Brasy7G112900.1.v1.1 annot-version=v1.1 MPPKRYAAPHSMAVGDAVAKKLKEKERPPGVSTADWPADCTRRSVENAARRGRERKAKERNADLARQIEAQRVAASAQMAANMAGMTAPRPSSGQHWSSGSQGSSSSSPSPSGISPVSPHMPHENHGNATPSLSLFSSDYPDTDPLGGFNPNTFAADPLGGFNPNAFASPPLRQGPLSYGGSSPSASFQLFPAGCSQPAPNPFGGMSQGDSIMSDMINDGSQHAHYTYTQEEGAQEEEAYAGKDAEREEWADETEEPAVAEPRGRRRRRPRGRRPAAEAEAPNGPPKKTSASSKLGRRVKTAYDERRVIHREFTMLTHDRNESGLSHQWGMIQQACNKWHGIQEEVCRRPQSGNNARSEDNDGVDFKFIHVFARIETCDKWTETRNGLSKSGTYDPKAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKSEELVAKREEVAASRWATVIKKQDDKFEILKANGPTKKRREDLLILTCDTTGMDAEVKAWYDGQRRLILAEARAPASSTPPSAPDTSAPTTSTPSAPETATPATPTPPAGSEVPSTPADDEGAE* >Brasy7G054500.1.p pacid=40047047 transcript=Brasy7G054500.1 locus=Brasy7G054500 ID=Brasy7G054500.1.v1.1 annot-version=v1.1 MSRASMYTSSSGDEEEDDESGYHAVRPGDLFAAGRYVAQHKLGRGNFSTFWLSRSKSSPRSVRPPLNPDPSIPTDWRSSSDGDPPVMHARRSLPKAATPKLEPAAGSGGAAPKRETATGTEDRGAPRRLRSRKHGRINGGKLERDAVFQNSMVLPVLKLERRPVSPMKGLAA* >Brasy7G217700.1.p pacid=40047048 transcript=Brasy7G217700.1 locus=Brasy7G217700 ID=Brasy7G217700.1.v1.1 annot-version=v1.1 MADGTMMQAHTPPPVTPWSTGICDCLDDRQNCVYTFICPCFTFGMVAEIVDRGATSGGASAVLYGLAAVVTGCQMHWIYSCFNRNKMRAQYGLRGNPVLDCLAHFALEPCALCQLKNRGFLAEIGWQANMERRRYQQQQQGATVPPAMDDGMIR* >Brasy7G076200.1.p pacid=40047049 transcript=Brasy7G076200.1 locus=Brasy7G076200 ID=Brasy7G076200.1.v1.1 annot-version=v1.1 MAAQPLLCPHDGLIPFAAPQKKKEGTQPLGPRASSSPLVPPARSPLLLSVAMPHSLQALAAALLKWGRLLRQAVGPDAGHREAQRGQDTLDRGVPSAPQVSPRFLR* >Brasy7G212600.1.p pacid=40047050 transcript=Brasy7G212600.1 locus=Brasy7G212600 ID=Brasy7G212600.1.v1.1 annot-version=v1.1 MAASGSSTTPLTLLFLLVLVASSTQLQTAHAARLIQPRLHEAAVVPAEAPSSSSPAAAGYHGAQQQTTTPAAAPLTAKRVREDRIGEEATAPSAQRLASSGGSEPAAPAPATGILWLWRRIWWFDEPYYRPAPGTKQQYFPL* >Brasy7G013900.1.p pacid=40047051 transcript=Brasy7G013900.1 locus=Brasy7G013900 ID=Brasy7G013900.1.v1.1 annot-version=v1.1 MGGGGPASTDGYGSAERGRRSRARLEPGYGWHPAVSGPGQASGRRRAAEGWLRRWRGGGRGRRAGRLLLHEGRASAKGEGAGRSLLWTTSGSRSCRRLPWQGADAAHVEGQTEEREREREEGNSLWRRSRGLERN* >Brasy7G087400.1.p pacid=40047052 transcript=Brasy7G087400.1 locus=Brasy7G087400 ID=Brasy7G087400.1.v1.1 annot-version=v1.1 MSAPSVTPIPITTISELKQHHSQLVRLGLASRPEHVRRLLAFLARDPAGVPYAARLLAHHPDPHPALFNPLFASLPPHHAAAFLALMLSLPLHPDQFTLPRLLPAAPLPLAAQLHALLLKLNFHSHAHSFNALLAAYLSNGRADLASRLFGGCSSPGDLDVVSWTTMVGGLCRMGLVDDARKLFDGMPERNLISWNAMISGYVKAGRFLDALEVFDQMRALGLEGNGFVAASAVVACTGAGVLARGREVHRWVEQSGIKMDEKLATAVVDMYCKCGSVEEAWRVFEALPTKGLTSWNCMIGGLAVHGRCKDAIELFHQMEGEGVVPDDVTLVNVLSACAHTGMVSDGRNYFNYIVHRYGIEPKMEHYGCMVDLFGRAGLLDEAKKVIDDMPMEPGIGVLGALLGACKIHRDLDLGEAIGWRVIELDSQNSGRYVLLANLLASAGRWGDVARVRRLMDERNVSKEAGHSVIEIDGEVCEFQCGSLCHAQEKEIFAAVKDMMRKIRLEGYRPDTSDVLHDIAEEEKEVPLLYHSEKLAIAFGLLRTRPGDTVRITKNLRVCRDCHEATKFISRVFEREIVVRDRNRFHHFKDGTCSCRDYW* >Brasy7G104300.1.p pacid=40047053 transcript=Brasy7G104300.1 locus=Brasy7G104300 ID=Brasy7G104300.1.v1.1 annot-version=v1.1 MEATTFTMNTLRANQEWTSFEVDEARSVIASLNSKYCGYDGEYHDNIMGELQAKFPLKAMHQVRDLYVDLVVEMSMMQSQENEYGGANSMHGVIRNTDGHVNKNYGVPEEREVSMVDKDFLHGFPLKHVGIMETMKEVPVVKENKLEMLENKMSIHQTVVAHCTRKFWTPAEHRLFLRGLRAYGRGKWKDISKNFVTSKTPVQISSHAQKYFKRLQSKGSERQRYSINDVELDDNDTWKMENNARPSQSNSAIPTNSFLQVPSNPSITMDNIGQFKFPF* >Brasy7G107200.1.p pacid=40047054 transcript=Brasy7G107200.1 locus=Brasy7G107200 ID=Brasy7G107200.1.v1.1 annot-version=v1.1 MIWGSRPPSLMAEESSTPSTSSILASSHGDVMNSNEDEALGGGHEKDKSADLIP* >Brasy7G020000.1.p pacid=40047055 transcript=Brasy7G020000.1 locus=Brasy7G020000 ID=Brasy7G020000.1.v1.1 annot-version=v1.1 MWKRAASALLLARRSALATTAHRAPSISAAAAAAPLRRGPAFFSTLDAGQVRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYFDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFKLDVIGGGGNPDGHDDDDDHHHH* >Brasy7G094400.1.p pacid=40047056 transcript=Brasy7G094400.1 locus=Brasy7G094400 ID=Brasy7G094400.1.v1.1 annot-version=v1.1 MAAAAVASNGGAANAPAPGRLASVYSEVQTSRLTHMLPLPSVLRSHFTLADGPASSAAGNPDEIAKLFPNLYGQPSASVVPSAEPVPAKPLKIGVVLSGGQAPGGHNVICGIFDYLQERVKGSTMYGFKGGPAGVMKGKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNRLDLDGLVVIGGDDSNTNACLLGEYFRGRNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAEKKETLKNVTDYITDIICKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAAGKYSADFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGAGALLQFGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELDAAPFKKFASMRDEWALKNRYISPGPIQFSGPGSYASNHTLMLELGAQI* >Brasy7G094400.2.p pacid=40047057 transcript=Brasy7G094400.2 locus=Brasy7G094400 ID=Brasy7G094400.2.v1.1 annot-version=v1.1 MAAAAVASNGGAANAPAPGRLASVYSEVQTSRLTHMLPLPSVLRSHFTLADGPASSAAGNPDEIAKLFPNLYGQPSASVVPSAEPVPAKPLKIGVVLSGGQAPGGHNVICGIFDYLQERVKGSTMYGFKGGPAGVMKGKYVELTSDFVYPYRNQFKQAEDTVNRLDLDGLVVIGGDDSNTNACLLGEYFRGRNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAEKKETLKNVTDYITDIICKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAAGKYSADFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGAGALLQFGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELDAAPFKKFASMRDEWALKNRYISPGPIQFSGPGSYASNHTLMLELGAQI* >Brasy7G059600.1.p pacid=40047058 transcript=Brasy7G059600.1 locus=Brasy7G059600 ID=Brasy7G059600.1.v1.1 annot-version=v1.1 MDHLFLLLLLALLLASAHAAFHADAAAFTEVSVEERSIAGIRGMIGSRPPSCAGRCRSCGHCEAVQVPISPEELRKKKGQEGDRKRKEEEELGRHGSRAAVGGAGKTTMPSSYDDNSNYKPLSWRCKCGRFILSP* >Brasy7G059600.2.p pacid=40047059 transcript=Brasy7G059600.2 locus=Brasy7G059600 ID=Brasy7G059600.2.v1.1 annot-version=v1.1 MDHLFLLLLLALLLASAHAAFHADAAAFTEERSIAGIRGMIGSRPPSCAGRCRSCGHCEAVQVPISPEELRKKKGQEGDRKRKEEEELGRHGSRAAVGGAGKTTMPSSYDDNSNYKPLSWRCKCGRFILSP* >Brasy7G070600.1.p pacid=40047060 transcript=Brasy7G070600.1 locus=Brasy7G070600 ID=Brasy7G070600.1.v1.1 annot-version=v1.1 MVKGRTGQRVRLYVRGTILGFKRSKSNQYESTSLVQIEGVNTKEDVSWYAGKRMAYVYKAKTKSSGTHYRCIWGKVTRPHGNSGVVRAQFKSNLPAESMGRKVRVFMYPSSI* >Brasy7G086600.1.p pacid=40047061 transcript=Brasy7G086600.1 locus=Brasy7G086600 ID=Brasy7G086600.1.v1.1 annot-version=v1.1 MAYEKKQPSLAVALSLVLLLGLASTRRCRGDVVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDFGSGMPNGRFCNGRTVADIIGDKMGLPRPPAFLDPAVDADAIFKTGLNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAYMREKIGEAAAEKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNADAFVAHMVTTLSAQLKLLHELGARRVTFFGLGPMGCIPLQRILQRSSSACQESTNKLALSFNKQAGAAIRELAASLPNATFQFGDVYDYFQDIIDRPYMHGFNNSHAPCCTLGKIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLKRLNITVVANSTAS* >Brasy7G129300.1.p pacid=40047062 transcript=Brasy7G129300.1 locus=Brasy7G129300 ID=Brasy7G129300.1.v1.1 annot-version=v1.1 MAKVKGKECDDPAESSAGRRSRAPYSTPPSATRRGRALPPSSPPLPADAAITSAGCRGRSPPPNRARHEDDISSSSRHGRTRPFSSPRYRRSDDENDPELPHLLDTFEQRAREADRRQMTAVRRASQAEAYTIECGLEAAEAREEWEYQTTLLNSSV* >Brasy7G083300.1.p pacid=40047063 transcript=Brasy7G083300.1 locus=Brasy7G083300 ID=Brasy7G083300.1.v1.1 annot-version=v1.1 MIHLRARMLSLIIHSPSRLPASRVPPLFALHRALAATTSIPQNRFLADDYLVAACGLSRAQALKASKKISHLKSPSQPDAVLSFLSGIGVPRSDIAHLVSVDPRFLCASVERTLAPRVTELSELGLSRPQIARLIPLALCSFRSSSLRRNLDFWLTVFGSFEKVLKALQMNSGLLAADLEKVAKPNLALLRQCGLSASLFSEPFIARVLIRTPRQVQDALVHIDKFGVSKDSRMFLYALVAFTVQTPEKLADKIRILEMHGWSQDDVLLAVKKMPGILTMSEERLRKNMHFLTKVAGLEISYIAQRPVLLKYSLERRLLPRYNMLKLLKVKGILNLQFDYCAAALSEEKFLGKFVHPYEESIPGLACAYASSYAGKVPVK* >Brasy7G119900.1.p pacid=40047064 transcript=Brasy7G119900.1 locus=Brasy7G119900 ID=Brasy7G119900.1.v1.1 annot-version=v1.1 MSNLQHETREEGEGRAVPVADAPPGDTEPTQAVVSASGKRGIPVLIAPFHPHQPGGASDMSRAFQGFLVLHEEDNKDDPRKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDNDDGHKAGNPVLRDTLKGRYQTFYYFNATAFVTSLVIMVLLMSERFYQTEAKLVALMFTTVIDLASLVGAYIAGTTRYMSSCVYIIVITCVAFVAVIYIGEVMTEICAFVLPRLKCMRNLAKRKWFPVPAEVVTNALPEADPEARERRKKQAARSKRPACCPCCARPATTSNTRDVEDQQ* >Brasy7G080400.1.p pacid=40047065 transcript=Brasy7G080400.1 locus=Brasy7G080400 ID=Brasy7G080400.1.v1.1 annot-version=v1.1 MAAAAASSSGSPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFEQYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTITGRRANCNIASLGPPRPAQPRGRAPPGAHLQGPPPVPLGPHYMARAPQQQQMGMVPSQGPAIYNYNPSQYGYWYPPEYQYQQQAMMNPQVLQNYYAQLYGLAPSPTGPPPYHQYVGYMPTPTPRAVLSPAQQLAGQPYVPHLTAAQIQGSFVQQVPSLPHNFALQLPPHAVSMSVMPPNATDVQSASQTSSAAVATNANGPHQGA* >Brasy7G080400.2.p pacid=40047066 transcript=Brasy7G080400.2 locus=Brasy7G080400 ID=Brasy7G080400.2.v1.1 annot-version=v1.1 MAAAAASSSGSPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFEQYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTITGRRANCNIASLGPPRPAQPRGRAPPGAHLQGPPPVPLGPHYMARAPQQQQMGMVPSQGPAIYNYNPSQYGYWYPPEYQYQQAMMNPQVLQNYYAQLYGLAPSPTGPPPYHQYVGYMPTPTPRAVLSPAQQLAGQPYVPHLTAAQIQGSFVQQVPSLPHNFALQLPPHAVSMSVMPPNATDVQSASQTSSAAVATNANGPHQGA* >Brasy7G170900.1.p pacid=40047067 transcript=Brasy7G170900.1 locus=Brasy7G170900 ID=Brasy7G170900.1.v1.1 annot-version=v1.1 MKEGERSRMAAPAATAAAVALAGLLLLLALVTVVGADTDAADVAALVNLYTSWNSPTQLTGWSAAGGDPCGAAWMGISCSGSAITSINLSGMGLNGTLGYQLSNLVALKTMDLSNNNLHDAIPYQLPPNLTYLSLASNNFSGNLPYSISSMLSLVYLNLSHNLLFQEIGELFGNLNSLSELDVSFNNMSGNLPMSFISLSNLSSLYMQNNQLSGTVNVLSNLSLTTLNIANNNFSGLIPGELSSIPNLTLGGNSFINMPTSPPPTLMPPPQSPLDHPEYPQGPISFPDRPQIPNNQGGKKQGLQTGRLIGVVVGSIAAGSCILFASVFCLHNVHKRKDGGSSESKDHVGSLAVNIDRGSNREILDKIHQDASVATSVLPQPTGKMTPERVYGTNGSPAKKIKVPSAATSYTVASLQVATNSFCQDSLLGEGSLGRVYRADFPNGKVLALKKIDSAALSLYEEDHFLEVVSNISRLRHPNIVSLTGYCVEHGQRLLVYQYIGNGTLHDLLHFSEEVSKNLSWNARVRIALGTARALEYLHEVCLPPVVHRNLKSSNILLDEEYSPHLSDCGLAALSPNPEREVSTEVVGSFGYSAPEFAMSGTYTVKSDVYSFGVVMLELLTGRKPLDRSRERSEQSLVGWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLLQRASMLRRQSAEDLGSSYRAPEREGGGAAWDAF* >Brasy7G195900.1.p pacid=40047068 transcript=Brasy7G195900.1 locus=Brasy7G195900 ID=Brasy7G195900.1.v1.1 annot-version=v1.1 MEAAAAAAPSHDQKRIPAPSSLHYVAPFGGGGCGSDRLSKEIFSILESNFLFGAAAHAQAQPDGGAGRVRVLSIDGGADGGALAAAALVRLERRLQELSGDPAARVADYFDLVAGSGAGGFLAAALFASRMPAAAARDLVAKNRKLFSGRRGHGGLFRARPEAVFRKAFGDALTVRDAAKPLLIPCYDVATAAPFVFSRADAVEADAFDFPLWKVCAAACGVGPAETASLDGRTRLRAAASAAVANPAAVAVTHVLHNKREFPFAAGPADILVLSLGGNASAGAGNGRGLSSSASGLLRIAGACQADMVDQAVSMAFGENRATNYIRIQGNGIAPGATAEAAMDERGVESVLFRGKKLMVETNGERLDGVAEQLVAEQRRRMDSKTPVVLIKPSAATPRTSSSSASTLITVSTNSSDESSP* >Brasy7G024400.1.p pacid=40047069 transcript=Brasy7G024400.1 locus=Brasy7G024400 ID=Brasy7G024400.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy7G133700.1.p pacid=40047070 transcript=Brasy7G133700.1 locus=Brasy7G133700 ID=Brasy7G133700.1.v1.1 annot-version=v1.1 MDVGGSDGGSSIKKWNVIYPVYLNSKKTVAEGRRIAAAKACADPTCNEILDSCAYLKIPCKIEQDKAYPRDFFQRGRVRVQLKNEDGSPVNPAIRTKKQLMIQIAELVPKHQGRTKKQEPSASSSAAPTSKSKGGKKKK* >Brasy7G133700.2.p pacid=40047071 transcript=Brasy7G133700.2 locus=Brasy7G133700 ID=Brasy7G133700.2.v1.1 annot-version=v1.1 MDVGGSDGGSSIKKWNVIYPVYLNSKKTVAEGRRIAAAKACADPTCNEILDSCAYLKIPCKIEDKAYPRDFFQRGRVRVQLKNEDGSPVNPAIRTKKQLMIQIAELVPKHQGRTKKQEPSASSSAAPTSKSKGGKKKK* >Brasy7G110500.1.p pacid=40047072 transcript=Brasy7G110500.1 locus=Brasy7G110500 ID=Brasy7G110500.1.v1.1 annot-version=v1.1 MRKVRMPIMKEKSMNNSCRSQVIRSAAWRKVDGERWEKVTPVLPGIRRRMKKKREKKNNKLQGIRRGRELGYRRWLEICPLLCSHGGLEVEEREGVGFKQVDHLMEKDKKQGVRCPFVQNLKLYMPLCNDCTEGLWSLQLVSSNEKSCYGSSNGVLNCYLPCYVVWDQDQKLLCFASQILNFLVELLI* >Brasy7G156200.1.p pacid=40047073 transcript=Brasy7G156200.1 locus=Brasy7G156200 ID=Brasy7G156200.1.v1.1 annot-version=v1.1 MPPPSLAAAQDQVAANAAGNGLDARRRAGKGKKVHPLPDARTTMGDGGVGGGESRKGRPEWMSPAGAAGVLWRHPLPVVFACGLLLFMGVEYTIPMVPHAAPPLDLGFLATAAMHDGIAARPWLNSLLAALNTVFVAMQAAYILWAILAEQRPRAAIATLMMFTCRGLLGCSTQLPLPAEFLGSGMDFPVGNVSFFLFYSGHVAGAVIAAADMRRVGRLRLAALYDALNLMQGVRLLACRGHYTIDLAVGVGSGLLFDMLAGRYLDSKNVDSGENRCCSSCQKALFSQKLTS* >Brasy7G156200.2.p pacid=40047074 transcript=Brasy7G156200.2 locus=Brasy7G156200 ID=Brasy7G156200.2.v1.1 annot-version=v1.1 MPPPSLAAAQDQVAANAAGNGLDARRRAGKGKKVHPLPDARTTMGDGGVGGGESRKGRPEWMSPAGAAGVLWRHPLPVVFACGLLLFMGVEYTIPMVPHAAPPLDLGFLATAAMHDGIAARPWLNSLLAALNTVFVAMQAAYILWAILAEQRPRAAIATLMMFTCRGLLGCSTQLPLPAEFLGSGMDFPVGNVSFFLFYSGHVAGAVIAAADMRRVGRLRLAALYDALNLMQGVRLLACRGHYTIDLAVGVGSGLLFDMLAGRYLDSKNVDSGENRCCSSCQKALFSQKLTS* >Brasy7G170600.1.p pacid=40047075 transcript=Brasy7G170600.1 locus=Brasy7G170600 ID=Brasy7G170600.1.v1.1 annot-version=v1.1 MRSLKCVSFLLWMIILLATIGSFGTIAHRELLMVTRGVETKLELTVEKTNIDEEIRNNVPTVRKMVFGTADAKDARSIPSSGDIKNNSTNSCAPSSVIKDSVSSRMKARPSMGSIKLEGSISGQVLNVPNPRHIRILPSRNPSSGSKTELEDSIVPSTLYKNNDDRKQKMLEASDEVLKFLNRDYNRFPHRRTPVHN* >Brasy7G228100.1.p pacid=40047076 transcript=Brasy7G228100.1 locus=Brasy7G228100 ID=Brasy7G228100.1.v1.1 annot-version=v1.1 MKGEAKGSTVLVTTRFPFIAEMVKSTNPIPLKGLEPDEFFTFFEAFIFEGKKPEDYQHALTDVARNIAKKLKGSPLAAKTVGRLLRKDLSREHWMGVLENNEWQNQKNDDDIMPSLKISYDYLPFHLKKCFPYFGLFPEDYMFNKLEITYFWIAIGVIDKDEKYMEELVDNGFLVKGNDRWGEQNYVMHDLLHELSRSVSSQECLNINSSVSFRADAIPKSIRHLSITMEDRYEETFRREMVKLRSKIDIVNLRALMIFRAYGENIDEILKETFKEIEGLRVLLIGVKSVESLPDKFSKLIHLRYLKISTPKWDPKVTLPSTLSRFYHLIFLDLSSWHPSTKLPKDMSRLINLRHFVAGQELHSNVPAVGNMKCLKEIKEFCVKKESDGFELSELGALTELGGELRICNLENVATKEEALKAKLVSKGGLKKLTLVWGGDQQAAKSDVLDGLEPHPNLQALGIENHGGSTAPCWFSGSNISTVMLTSLHLEGLSWVDPPFGQLLYLTSLTLKNISGLCQIRPGFGGVTDRSFMKLKKIGLHSLPRFTEWVGSPDAQTFSGLEEICCSSCPNLCSLPFLQESSAVTCNHLTSLEISECPMLFLPPMPHTSTLTEFSVKDSPVGKMVYNGIFKSLSFNGYIGEVAWHNMAGKLERISFRGGSKISWEELLNLTSLREFAIEEEPSFVSMALLSNLPTSLTSLLLIDCENLTVDGFNPLIAAVNLKELVVYNTGGDGPRSVAADLLSELVVASRTKPLLPAAGCFQLETLDVDCISGMLAAPVCSLFAATLHKLYFSCDQRVESFTEEEENALQLLSSLRTLTFWKCRGLPSLPQGLLSLSSLTELDVVGCPEIRSLPKGGLPTSLRKLRLWGCPEIRSLPAEGLPTSLQELIVGNCSPELHEQAKELQGTKPDLHVRC* >Brasy7G053200.1.p pacid=40047077 transcript=Brasy7G053200.1 locus=Brasy7G053200 ID=Brasy7G053200.1.v1.1 annot-version=v1.1 METLASAMRRENRRFKPPSTSSASASGRVPLVMGFLSCLAWVYVAGRLWQDAQTRTVLSSLLEKSSGNLPKALSVDDKLRSLGCTEIGRKIAEAEMDLTKAKSEGYLWGNGTSTAGSDKKKLLAVIGVYTGFGSRLRRNIFRGSWMPRGDDLKKLEEKGVVIRFVIGRSPNRGDSLDRNINDESRKTKDFLILDSHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGAVVSEEEQQWYEPEWWKFGDSKMYFRHASGSLFILSNNLARYININSASLQSYAHDDISVGSWMMGLNATYVDDDRMCCLSSTQEKVCSSA* >Brasy7G201000.1.p pacid=40047078 transcript=Brasy7G201000.1 locus=Brasy7G201000 ID=Brasy7G201000.1.v1.1 annot-version=v1.1 MGRGRVEMKRIDNDASRGATFCKRRAGLLKKAHELAVLCDAHLGVIVFSSSGKLFDYCSPHTSWSELIQRYDSSSTSRSTDDIQLQEMPEEIERLRQERDHLEASLRRLTGEDLSSLATEEELDDLEQQLQSVLGKVREREDELLTEQLDETRNKVQILEDQNNFLRHMVIAVTTAFGCLLYVALVPCRRPECIQQRFMIVESVCQMDEDMQPQHAAVEAPAMMAPPTLFGGFFPEEEEALTSLQLSQPSSLHDY* >Brasy7G067100.1.p pacid=40047079 transcript=Brasy7G067100.1 locus=Brasy7G067100 ID=Brasy7G067100.1.v1.1 annot-version=v1.1 MAGARPTAADRVVRLSSPSFGWTNLVGSLVTQRWYASDILLAGGRRQFNFELHLTSKSRRSRSSSSGRMTWLPPKIGPTCQDMTWLCHLTETPFFSPHSFLCLCSSSRRWPEAVAPPPRVIELIAGRDLLPSLRPLVPPTSRPRRRRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSLSLHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGADASIEGSSAFVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARPPGPACISAQHHGLRPPGPVHPAAPRPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy7G187200.1.p pacid=40047080 transcript=Brasy7G187200.1 locus=Brasy7G187200 ID=Brasy7G187200.1.v1.1 annot-version=v1.1 MSPSSSRSFLHPKLRGGGPAMGCAHAKPSQGSPARSDGRGIDHLMRNHGYVPASHRRLTDPLPTTTAAAKDSHQHAGTNQDRRPTETTATITSTTVPPSSSSPAAAPAPAPAPLPPRTSMSTPSDNETGLVDGWPTWLLENVPREALRGIVPRSADAYDSVEKVGQGTYSNVYKARERSTGRVVALKKIRFDTAEPESVRFMAREMRILRVLDHPNVMGLDGIATSRMHRSIYLVFDFMASDLSRLLLLPSRPNHHPPLSLPQIKRYMKQLLEGLQHCHERGILHRDIKGSNLLIARDGGLKIGDFGLANYYVPAPNGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGKPLMPGRTEVEQLFKIFSLCGSPPDDYWRKMKLPATFRPPKTYKSTMAEKLAGLPPSAFRLLSTLLALDPAARGTAAQALQSDFFTTPPLPCDVSELPVLYKEEVPDPATSHDGRKPKLRQRSNKRRESKKKAEQEQSENEPPKLSNVESPSKEGGKATDHDAAEFGQEADPAAAAAIAPSGIQEPAENTILKASSSTVSKRFSASPVLASSPAEGSPAPLQDHHQQQQQLLLRRANTYHTSSDDDHKNQQQPLQLPLDGGTARHGIATRSASTSGHDMMRRRERELPHKHYVSV* >Brasy7G011100.1.p pacid=40047081 transcript=Brasy7G011100.1 locus=Brasy7G011100 ID=Brasy7G011100.1.v1.1 annot-version=v1.1 MGLLKLNQPMSMKRRRRRNRRRQIQTRNGSIASCAKRKGQHDDEHSLDGQIKGYSRPDLPEFYCFPYRNNVTVFAFTIPLLIFLPHMCMQDILCHIHSLMPIQDAARAACVSQTFLHSWRCRPNLDFSREALGLTKETQRDITSIVDHILQNHSGIGVKAVKFQDDSWLWNSVKNQDFCHLDVENQDFSHLDLDRWLRNTIKPGIEELNISLHGENTVYNFPCSLLSDEIGESLRNLKLVGCYFDPTIGLGSLRNLRRIQLGSVSITDSKLECLLSNSFSLEQLVLRVCSGIICLKIPCLQRLSYLEVNACTGLEVLESKAPNLSSVIIEGAPHVQLSLLESPRITKYYRSCPGAAFYARTELPSSMPNLETLSLVSNTETVNTPVMPSKFLHLKWLSISLSGRGQTYDIFSLSSFFYACPFLETFKLNIARMPEKHSYKLKCVGITNFSSAKSLIELTCHILESAMSLERLTLDTTHGAPRCSVMKTGRCWPMKKDALMEAHRALSAVQTYVKSKVPSTVELNVFEPCSQCHAVAL* >Brasy7G105400.1.p pacid=40047082 transcript=Brasy7G105400.1 locus=Brasy7G105400 ID=Brasy7G105400.1.v1.1 annot-version=v1.1 MELVCLERRNRLHGNSSTPEFSGRSEDRHQLQPDAAPEVQSDLVHVNYRQRLAIDEKKKSRSCQSCQRLPCSCGGGVPCSDLCPALPAIPAKMMILEFLIRSLRHPTRTHNVSDLDDMISGRNSTGDVTLGPSEKMMMDSIHALVNTKTRPKSPSFYLSGAKMRKTRSRSNIVTQSEILKLISPETWEISSLGVSPLRKNTAELSGDAKMLLSCSDTAHVSSSEAVLSSYPSSSSLSAGLLQCIWKDGLPHFELSLDSPMAVYTANPIKAHDNDKALDYVYLFHSGEPGKKDWMGNSSNVSKLVGKMKVSSSLVLSSGQPSSIQTEFVLYGSPDDYLRQMQSTYSVTKGKGLVQRVAEIMKSPNVYSSPKHAWKFSKPSSQQFDDMREMLGGEGTNIGESSLVSLTADDLPTNQEIAAIVVREQRRERQEEPAVGGWGLKFLEKAGAAHSEHSKDSKAAARSITAIVPRNYHGGVASENGGPSGLIERYWTSGRCDCGGWDLGCPIRVLNNDGGGSWSPQPSAHTQDSKSAELSMMGARRNNEPVLRLVNVTEDLYILYFESSLLSPLQCFSTGVAMIHSQAPQLYPKL* >Brasy7G070200.1.p pacid=40047083 transcript=Brasy7G070200.1 locus=Brasy7G070200 ID=Brasy7G070200.1.v1.1 annot-version=v1.1 MAVAALGLAVLVAVAAVLATWLWAAALHLVWRPYAVSKAFRRQGIRGPAYRFFVGNNEESKAMRLATAGDVLDRGSHDIVPRVLPQYKAWMSSYGKVFLSWTGFTPALCVGDHDMAKQILSNRAGLFGKSDPGPNIMALLGKGLVFTDGEDWARHRRVVHPAFAMDKLKSMAKTMEACAGEVVRAWEARAEVAGGGVATVEVGQQFVELTADVISHTAFGSSYKEGKEVFAAQRELQGIAFATANDVRVRGLEYLPTKMNVRRWQLERKVRGTLMAIIDERVAAAAKVGPGGYGSDLLGLMLEANANASSSGKHKGAMMSMDEIIDECKTFFFAGHDTTSHLLTWAVFLLGTHPEWQTMLRDEVLKECGGRDDARPLHGDALNKLKLTTMVLYETLRLYGAVIMMARTATADTELVGGGMSVKVPKGTTTMIPIAVMHRDEAVWGADAGEFNPLRFKDGVGKAAKHPSAMLAFSFGPRACIGQDFAMLEAKATLAVMLRRFEFEVAPEYVHAPAEFLTLQPKTGLPVRLRLLDH* >Brasy7G028400.1.p pacid=40047084 transcript=Brasy7G028400.1 locus=Brasy7G028400 ID=Brasy7G028400.1.v1.1 annot-version=v1.1 MAFAAPVGAGGGFLSLTLSASSSSSSASFLLLRSGADSRSQQRGRLRRFAAPQRGGARGESGRRWSSHISRCANEANVVTGDDTANVATDVEVDQVADAEGDATDAPESLNPDDVSSVSWIKQQPLPYPTDALEPYISKETVEQHWGVHQHKHVERLNGMIDGSEWEGMSLGQMMLSSFNEGREPPQAPFFHAAQIWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYDGMIQQFMDAALTQFGSGWVWLSYKGSKLPHVNSKSPIPSDNVGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYENRRAEYVSAILEKLVSWEMVESRLRKAVVRAIERDGHPNTKQRRKQLLSHAKTRRGDASTSGQARRRPRSQDQQQASGSLSMAGEAVLN* >Brasy7G028400.2.p pacid=40047085 transcript=Brasy7G028400.2 locus=Brasy7G028400 ID=Brasy7G028400.2.v1.1 annot-version=v1.1 MVTYFAPSQVTSSADLARKISHGWMVKSSRQAVQEQGESGRRWSSHISRCANEANVVTGDDTANVATDVEVDQVADAEGDATDAPESLNPDDVSSVSWIKQQPLPYPTDALEPYISKETVEQHWGVHQHKHVERLNGMIDGSEWEGMSLGQMMLSSFNEGREPPQAPFFHAAQIWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYDGMIQQFMDAALTQFGSGWVWLSYKGSKLPHVNSKSPIPSDNVGRLVISKTPNAINPLVWGHSPLLAIDVWEHAYYLDYENRRAEYVSAILEKLVSWEMVESRLRKAVVRAIERDGHPNTKQRRKQLLSHAKTRRGDASTSGQARRRPRSQDQQQASGSLSMAGEAVLN* >Brasy7G035100.1.p pacid=40047086 transcript=Brasy7G035100.1 locus=Brasy7G035100 ID=Brasy7G035100.1.v1.1 annot-version=v1.1 MSLPRLSRRSLHLVLGAGRDRYALTSMDISRLFYPSWKEAKAAETKPEDGSFLGGRIGSLPEPRIYFSPPRSLFAVIPSSARWKDAFSLFGKSKVLCSDASGSVAMYNTESHSLMSLPELNSPKGPRYIAVSIPRTAAHARADFEIHPDVDSAMFGDKIFGNLTNSLYMMNMVPGEACSFEVLAYYPKSHWCWRPLPAPPFLSDPNYRPPDSITFALVGGTRICVSSEEATYSFDTVEQQWNKAGDWLLPFRSKLEYDNELKMWFGISTRRPFELCAIDLSTVFLGSCDKLPTVQHVGLDVDPPQNWVLIDVALVNLGSGRFCIAKFFDVIDDQDGYESHVVVFTGVEVVPSHKDEGVLSMVNHKSECLVTDEIECVL* >Brasy7G213200.1.p pacid=40047087 transcript=Brasy7G213200.1 locus=Brasy7G213200 ID=Brasy7G213200.1.v1.1 annot-version=v1.1 MGEEEPDRGGWGWLGLGGFFGLTPQADLLRREVMRSKLSEVVHQYSALADATPDHDGASILQSKIGFTLAHSKWVQTAAAQLPKPCPSPTRNPKLHNYRSASSSRIPPVEACPRKHTRKGNPRRSSPSSAARRPPSCRVWRPSSPAFLCGSDLQYNRAPFDFEKKIATHLTKVHLHVRLRQLVLPLSWCLHEYESKNF* >Brasy7G029000.1.p pacid=40047088 transcript=Brasy7G029000.1 locus=Brasy7G029000 ID=Brasy7G029000.1.v1.1 annot-version=v1.1 MAPDTATATAVVSAAEVDKPPPPSMAGRNNVKHRLSKSFIRSIIALRPEPAETMDDLESIADLFPPEWIEEKRRRHEETKELYREMHEEWREFRQKVIDEVREKGYFELDEEFVANLEASEGYSPAKYWSDKDQSPAPFATPEERKLAEAQGCLHYVPDEDDALISDDDEE* >Brasy7G037400.1.p pacid=40047089 transcript=Brasy7G037400.1 locus=Brasy7G037400 ID=Brasy7G037400.1.v1.1 annot-version=v1.1 MAAVVPIVNTILKGIEFVSKFGNENQRLQGEIKGSLVVLSGELGMAKWDMVKYEGGVEELTLEMQELLCDIEDFICDLSIPEKPAGFLRYATGTDSRPEDIHLIQSFLTRIENIRKWQDQASSKGGNSTPSSSSSAESRSPPSYAPEEDLLGFPETKDDFLELLSPSHAGEARVISVVGCAGVGKTALAWAVYEATSVVAIQPAPPATEIREDITNAVLQSAPARAQEEAGPFHCKAWVVASTCNDAEDLLSKVLLQVDPTPAGGRTSEDLHKFLQDKRYLVIIDDLQTHGVQWKDIKHGFPENGKDSRIIVTTTVQSIARKCSSGSYFVYQIQCLGEAISERLFWKKFHGTGSENSPSVPQGNRSKQILAKCDGLPLALISAGNYFCFKDTHPVLSEDRCKKLCQELENIVVSEEIAFGEMIRTLVQCYNSLPDNDCKNCLLYLSIFPRGHQINSKSVIRRLKAEELVAGDALQCLKELIDRSMIEPAPIGHNSNVAKRCQVQGMMLEFSIRESVSRNLVMLVDDEGNVRHNKPGKQDPPQKTRCKARRVAVQSSCSTQNGSNPIPEDIGLSSVRSLTIFKSKLPETRVDAVDFKSCKMMRVLDLEGCQGLNTAVLDDICELLFLNYLSLKNTDAEELPTKMKNLQRLETLDIRQTRVAMLPVEVIMLPELAYVLGEFELPLIAGRERRALVDFLENRSKMHTLSGIVINKTRSFEIVILHARKLKKVKICGKYTTPASAQTTPAPDSRFPFFGVCFGFSRESSCPNPKLAAPNGSASNLPTPTGNLVANKRLVGVLVSSLQKRFAALESISIDSSGISKAFLASIRTSRIISSLKLRGVLTSLPGTLKELTNLNRLHLISTRLTSDKLVEALQSLDCLEYLKLAEEERHASSWDGDFAVPQGGFSALLELCFEGPNKHPRVLIAQGAMRVLTSLQLLCPQSPPALTGVAGLEHLTKLNEVILHSCADEAAVGAWKEAAKQHTNMPCVKKQSPHQISNTP* >Brasy7G111800.1.p pacid=40047090 transcript=Brasy7G111800.1 locus=Brasy7G111800 ID=Brasy7G111800.1.v1.1 annot-version=v1.1 MHRVPPPKDSSTMAISLALNLFTRRLTLAAFPWPFSATAVQLSSNGISITPLHAGLTLLAVALLLLYYHITSTRARTVYLVDYACFLPPAALRVPLAKYAEHAGLAPCFDDRSVGFQSRLIERSGLGEETCLPQASHAIPPVKTLDAARAEAEQGMFSAVDAVLARTGVRAEDIALVVVNCTLFAPTPCMADMVVRRYGLRSDVRCFNLSGMGCSAGIAAVGLAQNVLTHCGSGSGGGKCRYALVVSTEILTYDYYSGNELAMLLQNCLFRMGASAVLLSSSNSRRSSPARYRLERVVRTHEGHDDGAYGCVQQEDDAAGERGVKLSKEVMPVAGRALRAHMTTLGPLVLPASELLAYVLSLARRRLLLLGPLKDRKPAPPRPRVDVPDFRRAFEHFCIHAGGRAVIDELQRGLGLSDRQVEPSRMALHRFGNTSSSSLWYELAYLEAKRRVRRGDRVWMVGFGSGFKCNSAVWVCLRPPVPLDSGPWDACIHRYPVATVQ* >Brasy7G011300.1.p pacid=40047091 transcript=Brasy7G011300.1 locus=Brasy7G011300 ID=Brasy7G011300.1.v1.1 annot-version=v1.1 MAAAARVLFLPSLLLLLLTVLAASARNEEDALALAALKAALDPSGRVLGSWDPARGDPCGGSFVGVTCDRTGRVTGVSLQGRGLSGTLPPAVAGLRRLKGLYLHYNGIKGAIPKEIGSLSELADLYLDVNHLSGPVPVEIAAMENLQVLQLGYNQLTGSIPPQLGNLNKLAVLALQSNQLTGAIPATLGDLTRLTRLDLSFNGLFGSIPSKIAEAPLLEVFDVRNNTLSGSVPAGLKRLNGGFQYVNNRELCGVDFSLLDLCTSSENGLNPSKPEPFGPDGTIKRGQVPQSVNPDTTRSSKASSGVLIVGIVAVVISAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKDACRRSASPLISIEYSNGWDPLSSGGCGSSGEVGDSFRFNLEEVECATQYFCEVNLLGKSGFAATYKGMLRDGSVVAVKSLNKTSCKQEESDFLRGLKTLTILRHENLVGLRGFCCSRGRGECFLVYDFMVNGSLSRYLDVKDGSGASVLDWPTRVSIIRGIAKGIEYLHSKKSNKPSLVHQNISAEKILLDHHFIPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYANTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVGTAVSDLEGLVDLNLDGVFSRTEVAKLAAVAVHCTNEAPSQRPTMEAVVQQLSS* >Brasy7G012000.1.p pacid=40047092 transcript=Brasy7G012000.1 locus=Brasy7G012000 ID=Brasy7G012000.1.v1.1 annot-version=v1.1 MQAIAVKSQLQQIARPMYNNPHVHGALVVSIILNDPDLKSLWLKEVKGMLDRIIGMRKELRGNLEKLGSPLSWEHVTNQIGMFCYNGMTLEQVDLLTNERENK >Brasy7G229500.1.p pacid=40047093 transcript=Brasy7G229500.1 locus=Brasy7G229500 ID=Brasy7G229500.1.v1.1 annot-version=v1.1 MAAVLDSFVRRCTAALEGFAGQEACAALGIRDNVRGLLATLARIDAIVAHEEQRRVLSSRADTWVAQLKDAMYEIDDVLDVCAAEGAKILAEDHPPAPKVRCAFMFSCFRSSGPQKFHHEIGFTIRDIDIRLREIEDEMPTPPAGSLHPGSKRDWFFSNENHICRSCFDAAKPRAIGTQVQKSVGGLVPRMLREGKKKVDLFAIVGAAGIGKTTLAREIYTDERMTENFPICVWVRMSKDLSEVAFLKKIITGAGVNVGDTENKKELLGLLSSALSKRFLIILDDLDSPAIWDDLLKDPLGDGVARGRILITTRDEEVATNLKAIVHRVDKMDTENSWALLREQVLPECSSEEIEALEDVGIKIAEKCEGHPLAIKVIAGVLRSRGTSKAEWEMVLKSDAWSMRPFLQEVPQALYLSYVDLPSKLKECFLHCSLYPEECPIRRFDLVRHWIAEGLVDASENKSLEESAEVYYAELIGRNLLQPDPDNLDQCWITHDLLRSLARFLITDESILIDGQQSASMCPLSSLSKPRHLALCNVENSLEDPISVKQQMSLRSLMLFNSPNVRVIDDLLLESAPCLRVLDLSETAIEALPKSIGKLLHLRYLNLDGTQVREIPSSVGFLVNLQTLSLQGCQGLQRLPWSISALQELRCLRLEGTSLRYVPKGVGELRHLNHLSGLIIGNDNNDRGGCDLDDLKALSELRLLHIERLDRATTSGAAALANKPFLKVLHLSEQAPLIEEEEGNQDGTEKEKHEAVDDSAKVSEKIWNELTPPQSIENLVIKNYKGRKFPNWMMGPKLSTSFPNLVSLDLDNCMSCTTLPALGRLNQLQSLQISNADSIVTIGSEFLGTTVLSKATSFPKLEVLKLKNMKKLENWSLTADENQILFPSLKSLHIQFCTKLKGLPEGLKHVALSDLRVDGAHSLTEIKDLPKLSDELHLKDNRALLRISNLPMLQSLTIDDCSKLKHVSGLDTLEHLRLVFPPSTETFFFEELVIFWSIAFPRWLELLIRKRNALHRFELQCTLPLLRSCLDGGKNWHVVQQIPEVRITSTDGKRYIRYNKGRRMYETNAQSEE* >Brasy7G028300.1.p pacid=40047094 transcript=Brasy7G028300.1 locus=Brasy7G028300 ID=Brasy7G028300.1.v1.1 annot-version=v1.1 MCPGRLISGFERSGWPVQDLMTGLQGNMVTVIVFFFFCFESFFLLDISADTGNDSWYDIPFLSKPRL* >Brasy7G131100.1.p pacid=40047095 transcript=Brasy7G131100.1 locus=Brasy7G131100 ID=Brasy7G131100.1.v1.1 annot-version=v1.1 MSDASAAPAAAMGSGRELANPPADGISNLRFSNHSDHLLVSSWDKTVRLYDADANVLKGEFAHPGPVLDCCFHDDSSGFSAGADHTVRRLVFTSSKEDVLGRHDGPVCCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSMSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSESGRDTVYPVNAIAFHPIYGTFATGGCDGYVNVWDGTNKKRLYQYSKYASSIAALSFSKDGHMLAVASSYTYEEGEKPHEPDAIFIRGVNEVEVKPKPKALTAPK* >Brasy7G095600.1.p pacid=40047096 transcript=Brasy7G095600.1 locus=Brasy7G095600 ID=Brasy7G095600.1.v1.1 annot-version=v1.1 MDGKGRLIPAASRLLLASQARFVGSDAVARPDGLPGSPPCAGSTACPSAQPGPPALLVAALFATAANPLHLLVLLPIARGRERNWTLTRPLVMRWSSCSDELADFDVDVDFDLEQRTAREKKKSLPISHASSPRRRPRPRPPPHRTAAPLAHSHGGGGSRPSLAVSSSVFPSAAPPAATPPALPRPNLFHPHVSPSINSGRCLCPYLIPIRAAEGVAGAWRQRCKRRRPWRRGATAPERSAVRAAPPSLNTIRTSASPPPP* >Brasy7G025400.1.p pacid=40047097 transcript=Brasy7G025400.1 locus=Brasy7G025400 ID=Brasy7G025400.1.v1.1 annot-version=v1.1 MAAGDDDVDATEKSTFRCLDAARWVVAAAVMVVIVAVIAYAFKVVLRPGDLSLWIVKGSVAVHSNASRTSHDLTFTYSVRAVNPSGRVRIYYTDIHSKLKAINSSGEDNSFLVLRLPDVALAPQSAVDTILKLSTAVKYPFQQEYFDELRNGTSIDKATIVLNGTLTVEIYSGYNKTPPIANTLYYCWPVVILGDKEDDDSGGSGTAGSGINAPCTDKPPTSLPPAS* >Brasy7G112800.1.p pacid=40047098 transcript=Brasy7G112800.1 locus=Brasy7G112800 ID=Brasy7G112800.1.v1.1 annot-version=v1.1 MIGRWRRLRSTAMKVSTSKPGTGMADDLRNGRGRIRNFGSVRMALVKNKNKKNINTANQLQKVATSQEVRKFWCTMGYHVHLQES* >Brasy7G074500.1.p pacid=40047099 transcript=Brasy7G074500.1 locus=Brasy7G074500 ID=Brasy7G074500.1.v1.1 annot-version=v1.1 MRNIVSDPSGRGIHTESGPLPPPVFDKTPDFYHDSLTPYVDGTEPVTQPWEVSQQLRDAGLQKTAACTKCQTNKGVCPIPLHSALAVRDIVIWDGSLFDNHFSVYCVNRVNTRIDILDSIDWSKNGGSFEGRNDPWGYRSIKRLSDAFQTVTNKQFEDFSEWPIWSKEVPRQLTGGNSCASFAIRFLRQYDGEDSQLRCSIEPSKENHYRAEDLSYILFHDLNEVRPLPDTLENFRPTITQQ* >Brasy7G107700.1.p pacid=40047100 transcript=Brasy7G107700.1 locus=Brasy7G107700 ID=Brasy7G107700.1.v1.1 annot-version=v1.1 MELAATATAAVPALVRRGSAGLALTSPSPPPPLPSSPRRRPPPLLSSPPHLTVAVLPSPPHLAVSRSGAPPLLTSSHQATMDPGKKFAVARRPPDRPLSTVVHAAPTSPSPSRLHLPTVPPCYGCFA* >Brasy7G025600.1.p pacid=40047101 transcript=Brasy7G025600.1 locus=Brasy7G025600 ID=Brasy7G025600.1.v1.1 annot-version=v1.1 MSSTILPVLGGPRSVEDQGIKLMTTIAARRHQPLADHVTFILETITTQILSDQFLNNLEYDRIRQKCSFMSN* >Brasy7G082600.1.p pacid=40047102 transcript=Brasy7G082600.1 locus=Brasy7G082600 ID=Brasy7G082600.1.v1.1 annot-version=v1.1 MAAALAWRFNGTNGGSHGGADLERHADKVQDSEPPTPVSVMRMGKNRVNMEDEETLSSVAQCIEQLRQSSSSSQEKESSLKQLLDLIQARDTAFGAVGSHSQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDSLLTGALKNLSKNTEGFWSATVQCGGVDILIKLVGSGQTNTLANVCNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGSETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESTSASDPLVIEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLMTSLFSLCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDECKWAITAAGGIPPLVQILETGSPKAKEDSATIIGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDTQSDRLLMESSCCLAAIFLSVKQNKEVAAVGRDALATLVSLASSTVLEVAEQATRALANLFLDHDMCAQVSFEEILLPLTRVLREGSIDGRTHGAAAIARLLQCRPVNQPISDTINRSGAVLALAGLLEAANGDTTATSEVLDALVLLSRSKVSSGHTKAPWAALAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSEQHDVVGGLVSEIPGCISSVARRVIGSNILKVKVGGCALLVCAAKEHCQKQIEILCDSSLYIQLIHSLVGMIQATNFASENGNGESISDIKISRQSKENNSDGDMVCHTAIISGNMIPLWLLAVFTRHDNKTRAEILEAGAVEMLTEKISQNAFLYVGEEDNTAWVCALLLALLFQEREINRSNSASHSIPVLSNLLRSDEPAYRYFAAQALASLVSNGSRGTLLAVANSGAATGLISLLGCADVDIADLLELSEEFMLVQNPDEITLERLFRVDDIRVGSTSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCTQNMLLMAEVGVLEALTKYLSLSPQDATEEATTELLGILFSSAEIRQHESALGAVNQLVAVLRLGGRNSRYSAAKALENLFCADHVRSSESARQAIQPLVEVLSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCGVLFANTRIRSTMAAARCVEPLVSLLVSEANPAQLSVVRALDKLLDDEQLAELVAAHGAVIPLVGLLFGKNYMLHEAVARALVKLGKDRPACKLEMVKAGVIESILDILHDAPDFLCMALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADTGPEGQYSTLQVLVNILEHPECRADYNLTARQTIEPVITLLNSSPPAVQQLAAELLSHLLLEEHLHKDTVAEQSITPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAEMLLQCLPGTLTVTIKRGNNLRQSVGNASAFCKLTLGNNPPRLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK* >Brasy7G215400.1.p pacid=40047103 transcript=Brasy7G215400.1 locus=Brasy7G215400 ID=Brasy7G215400.1.v1.1 annot-version=v1.1 MRRCEGPPGIVWRRLSRLPFVWFRRGQAVDLSRPAMDFTSCFHLVCLSLSLLLSVSFASLLKVNFTLVASFGKRVCVSVCACVFSSRFHLQGGRLRRAVERWEP* >Brasy7G076000.1.p pacid=40047104 transcript=Brasy7G076000.1 locus=Brasy7G076000 ID=Brasy7G076000.1.v1.1 annot-version=v1.1 MRNKSSPTPGRARPTPTAAHPIFLRLEAKPSRAISPLAEAPKSSPRTWSPGAITFSPPSPSSAAQAASMFLFASKKLVPVHPQPSVTVRRQEASSSAVKGTRSPLLAPQRSLPPPPLPPWPSTFREPVADPGTNRGGG* >Brasy7G145900.1.p pacid=40047105 transcript=Brasy7G145900.1 locus=Brasy7G145900 ID=Brasy7G145900.1.v1.1 annot-version=v1.1 MAAAPSSRKPDVAPLLLILLCCISTALVTSQPLASSEAKTLRRVSQLLGDPPALAALATAPDPCALRPTPALTVACAGGQVTALSVLGDRQPDARWRTALPSGFSADALFTTLTGLPALSRLSLVALGVWGPLPGAKLLRLQALRALNLSTNYLYGAVPDHLSRMYSLQSIVLSRNLLNGTMPSLSGLAFLEELDVGRNGLDGPFPEVGKAVARLVLADNNFTGKIPSGVSSLGRLQFLDASRNRLQGWIPSSIFALPALRRINLAHNELTGQLPARMACAEPLEFVDVSANLLVGARPACMRSSKSSGLTVLDAGNCFADAKLQRPSTYCNPGALAALLPPPQGNGGEQGGRKGRGVGMVFGIVGAIVGGALLIALVMVVVLRRARRQHQHLEVSVLPNSPLVKPVKKAEGGKFQAKVNQKITPAEKRHASQAARMNTLEVPACHSYTMEELQEVTNNFASSNLIKNSSFVQHYNGQLQDGSRVLVRCLRLKPKYSPQSLSQYMEIISKFRHRHLVSIIGHCILNDQENPTIASSVYLISECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGIARGIQFLHNVTAPDIVHNDLNIENILLDKTLTSKISDFSLPMISISKNGKIFSENPFAVHGENDHGSAQIAEQGDKDDTYQFGLILLELITGKSTESQTGLDSLKAQLSEALAEDPDMLKDMADPTIRGTFAVDSLSTVTEIALNCTASDPNYRPSIDDVLWNLQYSMQVQDGWASSESLSLSVKSQS* >Brasy7G118600.1.p pacid=40047106 transcript=Brasy7G118600.1 locus=Brasy7G118600 ID=Brasy7G118600.1.v1.1 annot-version=v1.1 MMAETRNCRSSAEIDGEGRRLGLASAGKAVRGDVKSRRADAAPPASRGRGGAARGEPIRRRRSPGRPDGRRLCRGAAGEGLKSHPRGRQSSRRAPRHASWQRCKPELPLRRRLGGGARHGRGWAGGYGESADGDNQGGGEALRRGREVAGGLHRAGGGNISSGGRPERVAHGRES* >Brasy7G126800.1.p pacid=40047107 transcript=Brasy7G126800.1 locus=Brasy7G126800 ID=Brasy7G126800.1.v1.1 annot-version=v1.1 MAESYSKVDIAISYVLLIGALVLEVISTIKAMFSSWTSSFLLKPRRDFTRPGEEERNIRILLGRGITSVRRFVHAAEWRERYWSGSMGQHNLLQLCVGSRVSRIIKIARWMGFEDTWNTLAYCSSVPVSAFIKQLLVNQVLSCSVLPTNEDHIANSRGLAALKSKGLYEELEWSLDPKRSLEQTILTWHIGTNIYLFWYKHQKLAQANAGGQGDDARRQQADLVEAVEALSNYMLFLLASRPYMLPAPVDRAIYANSCYELIGIMCSSAEDLANLLLRHYREEEDTANLSDMPFDTILENKPTLSDIPFDTTLGNAEDRQKAYRMDLTLHRGCRLATELIHNGMPDMPSAAIMPELIAQVWIEILCYAGHRCSTYSHAKQLGSGGELITIAALLVEYVSVQALPMLPPLP* >Brasy7G126800.2.p pacid=40047108 transcript=Brasy7G126800.2 locus=Brasy7G126800 ID=Brasy7G126800.2.v1.1 annot-version=v1.1 MAESYSKVDIAISYVLLIGALVLEVISTIKAMFSSWTSSFLLKPRRDFTRPGEEERNIRILLGRGITSVRRFVHAAEWRERSLEQTILTWHIGTNIYLFWYKHQKLAQANAGGQGDDARRQQADLVEAVEALSNYMLFLLASRPYMLPAPVDRAIYANSCYELIGIMCSSAEDLANLLLRHYREEEDTANLSDMPFDTILENKPTLSDIPFDTTLGNAEDRQKAYRMDLTLHRGCRLATELIHNGMPDMPSAAIMPELIAQVWIEILCYAGHRCSTYSHAKQLGSGGELITIAALLVEYVSVQALPMLPPLP* >Brasy7G127900.1.p pacid=40047109 transcript=Brasy7G127900.1 locus=Brasy7G127900 ID=Brasy7G127900.1.v1.1 annot-version=v1.1 MVVLTIIHIVFSTLSFVKLLLVTHSSAVFSSPARPLRSPWADDGSPGTGTAKEFVLLRSVVAARAVQAARVRPLARGPGHRAVPVPEEVLETGRHDGTILSDSRRWTRSWRCLPPRASSSRLPLLARARVGGGRWHGSRWRRPAVQLGERRGGSGWRHMAARLGIWRKDGGGGRCSRWRFRGDKREEESQHARKRKEKRNWGIWVTRLIF* >Brasy7G196200.1.p pacid=40047110 transcript=Brasy7G196200.1 locus=Brasy7G196200 ID=Brasy7G196200.1.v1.1 annot-version=v1.1 MSYHIATTPPPSAADLALAFKGGGPYGQQVTRGTGPHRQVFQRPHTHQAGIRDVCTTADQVQRGQASRRPLLRCGPEMRGLPTRWAWWLVVVAEGLGFSEVTLTSRRKANQGGELMQRPGDVYTPVQPALLPYPIPIRTRNTSHRWLSLLWTGRERKRRERKRIRRWCRKERVFACLIDHLSHVHVAV* >Brasy7G148600.1.p pacid=40047111 transcript=Brasy7G148600.1 locus=Brasy7G148600 ID=Brasy7G148600.1.v1.1 annot-version=v1.1 MRALLSRIPISTASFSSSFVATSKTLAPSPILVPLRLVRRFAAMAGAAADEFVKGRVFPNGVAVITLDRPKALNAMNLEMDLRYKALLDDWETNPNVKCILVESSSPRAFSAGMDIKGVAAEIQKDKSTSLVEKVFTAEYSLICKIHEYVKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSANLTADPHKDVESLLAGYKKEPETEPQLEKLLQHIVSSFAPDKSAAESVEELKKCSQSGDAAVAEWANDALAGLKKGAPFSLYLTQKHFSQVASAYGNNGHVLSKLAGVMKMEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPPTLEAVNTDEVESIFEPLAAEAELNV* >Brasy7G148600.2.p pacid=40047112 transcript=Brasy7G148600.2 locus=Brasy7G148600 ID=Brasy7G148600.2.v1.1 annot-version=v1.1 MRALLSRIPISTASFSSSFVATSKTLAPSPILVPLRLVRRFAAMAGAAADEFVKGRVFPNGVAVITLDRPKALNAMNLEMDLRYKALLDDWETNPNVKCILVESSSPRAFSAGGDVKRLANDCTMPEIIEVFTAEYSLICKIHEYVKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSANLTADPHKDVESLLAGYKKEPETEPQLEKLLQHIVSSFAPDKSAAESVEELKKCSQSGDAAVAEWANDALAGLKKGAPFSLYLTQKHFSQVASAYGNNGHVLSKLAGVMKMEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPPTLEAVNTDEVESIFEPLAAEAELNV* >Brasy7G148600.3.p pacid=40047113 transcript=Brasy7G148600.3 locus=Brasy7G148600 ID=Brasy7G148600.3.v1.1 annot-version=v1.1 MNCDCIRPIEMDLRYKALLDDWETNPNVKCILVESSSPRAFSAGMDIKGVAAEIQKDKSTSLVEKVFTAEYSLICKIHEYVKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSANLTADPHKDVESLLAGYKKEPETEPQLEKLLQHIVSSFAPDKSAAESVEELKKCSQSGDAAVAEWANDALAGLKKGAPFSLYLTQKHFSQVASAYGNNGHVLSKLAGVMKMEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPPTLEAVNTDEVESIFEPLAAEAELNV* >Brasy7G148600.4.p pacid=40047114 transcript=Brasy7G148600.4 locus=Brasy7G148600 ID=Brasy7G148600.4.v1.1 annot-version=v1.1 MNCDCIRPIEMDLRYKALLDDWETNPNVKCILVESSSPRAFSAGGDVKRLANDCTMPEIIEVFTAEYSLICKIHEYVKPYICLMDGVTMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSANLTADPHKDVESLLAGYKKEPETEPQLEKLLQHIVSSFAPDKSAAESVEELKKCSQSGDAAVAEWANDALAGLKKGAPFSLYLTQKHFSQVASAYGNNGHVLSKLAGVMKMEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPPTLEAVNTDEVESIFEPLAAEAELNV* >Brasy7G133100.1.p pacid=40047115 transcript=Brasy7G133100.1 locus=Brasy7G133100 ID=Brasy7G133100.1.v1.1 annot-version=v1.1 MAMLAPPTSIRSLAFHRRLPLPHPPALLRRPQGPRPCPTPTRSLMAFSAEIAVEEAEEEALSTGAGDGGEVSTEEWQRWGTSSPLPNVVSAVVRELLQMESLAGEKMRFGGVGSKLKGDFKDVEDKKHRAVYETLPDSDQKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLAPCNLWRGIKFWLYMHPKDFLRQNNTGKLLWQVFGIQTAPLCLFGIQEQEDIMWDAFQRSGKGKVSFLYPNKSTTPKSVQDLKFDDLTLNAECQEMEAQGEPLNLVLLDGTWSNSAALYRRLKERWAAIWGEEDIPCISLSMLSASVMHKLRPQPAWDRTCTAAAAAGLLSELHMRPELSAFKLEEQAEAVECSLDILLDALTVRRVRLGRSITRKQRHRRDCI* >Brasy7G133100.2.p pacid=40047116 transcript=Brasy7G133100.2 locus=Brasy7G133100 ID=Brasy7G133100.2.v1.1 annot-version=v1.1 MAMLAPPTSIRSLAFHRRLPLPHPPALLRRPQGPRPCPTPTRSLMAFSAEIAVEEAEEEALSTGAGDGGEVSTEEWQRWGTSSPLPNVVSAVVRELLQMESLAGEKMRFGGVGSKLKGDFKDVEDKKHRAVYETLPDSDQKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLAPCNLWRGIKFWLYMHPKDFLRQNNTGKLLWQVFGIQTAPLCLFGIQEQEDIMWDAFQRSGKGKVSFLYPNKSTTPKSVQDLKFDDLTLNAECQEMEAQGEPLNLVLLDGTWSNSAALYRRLKERWAAIWGEEDIPCISLSMLSASVMHKLRPQPAWDRTCTAAAAAGLLSELHMRPELSAFKLEEQAEAVECSLDILLDALTVRRVRLGRSITRKQRHRRDCI* >Brasy7G007000.1.p pacid=40047117 transcript=Brasy7G007000.1 locus=Brasy7G007000 ID=Brasy7G007000.1.v1.1 annot-version=v1.1 MLESLMAVGDVALMWAVRKCRNAAVFKHIFPTDPTTMVFQLSQWLSSLTVIPKRGLQNKQRLGSRLLSLMENEVFHRRSGWRLKVGLILLGTGMRFASIMLG* >Brasy7G119600.1.p pacid=40047118 transcript=Brasy7G119600.1 locus=Brasy7G119600 ID=Brasy7G119600.1.v1.1 annot-version=v1.1 MPLANVNRIMRRVLPPHAQISDDAKELVRECASEFISFVTGEANERCHDEWRKTISVHDILWALGNLGFHDYVRPVAAYVQRMRDVENGVVVANANPPPFAGAGPRAPPPAAFVPAAGAGSSQGLMQQMQMQRGAMYYAPRPAAPVQQQRYAIPAMPIRVRPPFVFRGQHPVAPYYGGEHPAYGEGSSRGYQPMASYYGGEHPAYGEGSSRGAGDVGDEGSSTN* >Brasy7G106300.1.p pacid=40047119 transcript=Brasy7G106300.1 locus=Brasy7G106300 ID=Brasy7G106300.1.v1.1 annot-version=v1.1 MDWLIVLQLLMSAVCVLAISCRYYCYYSRAVKAKKITSRRRRSLGLTQWPIVGILPAIVGNIHRILDGVTALLARSDMNFQCRFWYAGFRYFITCDPANVRHIFTSNFENYPKGDVFAQMFDILGGGVFSSDGDRWRRQRAKAQMLMTTPRYRAFVARSSLDKVDKSVLPFLSHVASTSDATCDLQDVFTRWSFDTTCNLVFGVDPGCLAIGLPDVPFARAMDDVLRTVFLRHVMPVTCWKSMRWLNVGHERKNAEARRTADSFVAATIASRRAAYETRDADKSAADLLSSFICDDDISDDPEADVYIRDMTMNLLVAGRDATSSALSWFMYLIATNPRVEKKLLEELAPIAARKPCHNNGAMVSFESSELKNLLYLHATVCECLRLYPSLPMEHKAVVSRDVLPSGHEVRPGDKILVFNYSMGRMKRVWGPDCREFRPERWISEDGKLRYVPSNKFVAFNSGPRTCLGKEMVLVQMKVTVAAVAWNFALQVVPGHVVEPKLSIILHMKNGLRVRVARRRSSAAKLQ* >Brasy7G089500.1.p pacid=40047120 transcript=Brasy7G089500.1 locus=Brasy7G089500 ID=Brasy7G089500.1.v1.1 annot-version=v1.1 MTRTNGASGGGQQAAGKLPRKRFYRARAHSNPLSDSHFPIPISPDDVDLSQHYPRYFPADKDEHGDGEVAAPRIRFADVGCGFGGLLVGLSPIFPDKLMIGMELRDKVTEYVKERVLALRVSNPGQYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGIWMRSCLEKHSLFEAVPEEEIKVDPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQEE* >Brasy7G019400.1.p pacid=40047121 transcript=Brasy7G019400.1 locus=Brasy7G019400 ID=Brasy7G019400.1.v1.1 annot-version=v1.1 MYASCGVVDCLERVFDDVDSPNVALWNALVSGLVMNHRVGDARRVFNRMPARNVVSWTAMVKGHVSVHDVGQAVELFNLMPVKNSVSWCVMIGGLVHCQQFREAVELFNSLMRNGDEVTNVILVKVVNAYAGLKSIGGGRCIHGFSVKSGFVHDLIIEASLVAMYCNSLDIDEARLEFDKMDRKQVGSWNAIIRGYIYAEKIDEAENIFESMTYRDKVSWNSMINGYIRDGRIADATELYLKMPEKNVEAATALMSWFIDNGKLGKARDMFYSLPQVDVMSCTALLFGYMKEGYLDDALDLFHRMHKRTAVTYNVMIAGFLHQGKVAEAYKLFNESPAHDATTCSCFVTGLAQNGLIHDALKLYKKMLVSNMHISESVVSSLISCCSHHSMIVHGLQLHATTIKLGFELYLIIQNSLISLYSKCGEMVAAQNIFDQMVKRDVVTWNTLIHGYAFNSLGQNAIEMFKNMKIAQVDPDEITFLGVLSA* >Brasy7G019400.2.p pacid=40047122 transcript=Brasy7G019400.2 locus=Brasy7G019400 ID=Brasy7G019400.2.v1.1 annot-version=v1.1 MYASCGVVDCLERVFDDVDSPNVALWNALVSGLVMNHRVGDARRVFNRMPARNVVSWTAMVKGHVSVHDVGQAVELFNLMPVKNSVSWCVMIGGLVHCQQFREAVELFNSLMRNGDEVTNVILVKVVNAYAGLKSIGGGRCIHGFSVKSGFVHDLIIEASLVAMYCNSLDIDEARLEFDKMDRKQVGSWNAIIRGYIYAEKIDEAENIFESMTYRDKVSWNSMINGYIRDGRIADATELYLKMPEKNVEAATALMSWFIDNGKLGKARDMFYSLPQVDVMSCTALLFGYMKEGYLDDALDLFHRMHKRTAVTYNVMIAGFLHQGKVAEAYKLFNESPAHDATTCSCFVTGLAQNGLIHDALKLYKKMLVSNMHISESVVSSLISCCSHHSMIVHGLQLHATTIKLGFELYLIIQNSLISLYSKCGEMVAAQNIFDQMVKRDVVTWNTLIHGYAFNSLGQNAIEMFKNMKIAQVDPDEITFLGVLSA* >Brasy7G019400.3.p pacid=40047123 transcript=Brasy7G019400.3 locus=Brasy7G019400 ID=Brasy7G019400.3.v1.1 annot-version=v1.1 MYASCGVVDCLERVFDDVDSPNVALWNALVSGLVMNHRVGDARRVFNRMPARNVVSWTAMVKGHVSVHDVGQAVELFNLMPVKNSVSWCVMIGGLVHCQQFREAVELFNSLMRNGDEVTNVILVKVVNAYAGLKSIGGGRCIHGFSVKSGFVHDLIIEASLVAMYCNSLDIDEARLEFDKMDRKQVGSWNAIIRGYIYAEKIDEAENIFESMTYRDKVSWNSMINGYIRDGRIADATELYLKMPEKNVEAATALMSWFIDNGKLGKARDMFYSLPQVDVMSCTALLFGYMKEGYLDDALDLFHRMHKRTAVTYNVMIAGFLHQGKVAEAYKLFNESPAHDATTCSCFVTGLAQNGLIHDALKLYKKMLVSNMHISESVVSSLISCCSHHSMIVHGLQLHATTIKLGFELYLIIQNSLISLYSKCGEMVAAQNIFDQMVKRDVVTWNTLIHGYAFNSLGQNAIEMFKNMKIAQVDPDEITFLGVLSA* >Brasy7G095100.1.p pacid=40047124 transcript=Brasy7G095100.1 locus=Brasy7G095100 ID=Brasy7G095100.1.v1.1 annot-version=v1.1 MTMRSKLVVPVILMAITALLVASSSARPLGGDKRAGESAAVAVAVAVAGEPTLQLFQRMYLQKLGAGSSCTTNSPNVGCPPPPPMG* >Brasy7G095300.1.p pacid=40047125 transcript=Brasy7G095300.1 locus=Brasy7G095300 ID=Brasy7G095300.1.v1.1 annot-version=v1.1 MAPPTVSFCIPPPLPESDGNAAAASGLVLLDRWCYIANFVNDTTAQGTTSTGLRIQVTFRAARPPLVSHFCVHCPGLDFLNAVPKVITTDADLILLCVPVYPTIGHGRDWDYFVYSLRARRLDLIPNPSPTCLSDPATVLLSRQDEDGVSWYAIAALGVKAAVYHGRSVLVGWDFYLHLYKSNSSEGWISKVMFLSDLVRDRLIPLPDTVEADRLYHETDKSIMIGGEGGTVAWVDLWRGILFCDVLSNCPVLHDVPLPAPAMGNWNRLLLDLDPYSFRDVAISRNRDSIKYIETESWSPRDELNTAPASYTEWVRNKSRKLRVFRDGWKATIWTMTIPVDFEDSERLKNCWHCHSRIDVKDVTLQLDASNACPSNLLDMLCCSNTTEMLKGLQMACPIISMDDDIVYLLSTIKPRHVDEFEVVLAIDVSKGVLRGLAELDAQKDFIFKDDIVSSDICRYLRKVTGTVEPSKRTAKQHNMGHGIKPTKQEQGEPSEVHVKN* >Brasy7G128100.1.p pacid=40047126 transcript=Brasy7G128100.1 locus=Brasy7G128100 ID=Brasy7G128100.1.v1.1 annot-version=v1.1 MSTSSPPLSVPVAATSLHHSRSPSPTPDPSPTPVSLPAAATSLTPISSPFHRHLPPNLSLSMSLLVSPPPDASSAAVPRVTAAGSGLHRRQPLEIRPPTPPRATSPKDGRRRQGGGVAHG* >Brasy7G201900.1.p pacid=40047127 transcript=Brasy7G201900.1 locus=Brasy7G201900 ID=Brasy7G201900.1.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQAIRSTCEHDEGVTCLAWLSSSRYVASGCIDGVVGIWDSLSGELARTFSGHRDVVQSLAISADGNSIVSVSSDRTARVFDISMFK* >Brasy7G201900.2.p pacid=40047128 transcript=Brasy7G201900.2 locus=Brasy7G201900 ID=Brasy7G201900.2.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQAIRSTCEHDEGVTCLAWLSSSRYVASGCIDGVVGIWDSLSGELARTFSGHRDVVQSLAISADGNSIVSVSSDRTARVFDISMFK* >Brasy7G201900.3.p pacid=40047129 transcript=Brasy7G201900.3 locus=Brasy7G201900 ID=Brasy7G201900.3.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQAIRSTCEHDEGVTCLAWLSSSRYVASGCIDGVVGIWDSLSGELARTFSGHRDVVQSLAISADGNSIVSVSSDRTARVFDISMFK* >Brasy7G201900.4.p pacid=40047130 transcript=Brasy7G201900.4 locus=Brasy7G201900 ID=Brasy7G201900.4.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQQLSAQQICQ* >Brasy7G201900.5.p pacid=40047131 transcript=Brasy7G201900.5 locus=Brasy7G201900 ID=Brasy7G201900.5.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQQLSAQQICQ* >Brasy7G201900.6.p pacid=40047132 transcript=Brasy7G201900.6 locus=Brasy7G201900 ID=Brasy7G201900.6.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDDDEDDEEEDDRMDGVDDSAYAFRGHTDEVFAAACSPIDASLVVSGGKDDRGFLWRIGSDVDVQELTGHQDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDNSVHIVNINSGQQLSAQQICQ* >Brasy7G131500.1.p pacid=40047133 transcript=Brasy7G131500.1 locus=Brasy7G131500 ID=Brasy7G131500.1.v1.1 annot-version=v1.1 MFIGYPPLNNDTCAIAEMDREVPFHLKALTRQEVRGILAKSNTIVREMHDSALGLGLFVFEDIHHREFSVGRQWPVNDTLNVTFVRHNEAKNRRAPILGRDTWILVWIKNKRIVPKSLVITEFGGTRHSWTVPVYLLRSDEWNLQIHSEDDEDPLPPNGEPHPRHGAHMTAAQRFQLRVQQFLQQYGAAPMDGAGGQGGVAAQEEVQRNVIVQDSALILPPRGQVNYQSWLRDLGLTVEHGIVPPNNITDCPLTAWTDMLSDSDSENSSDSLFMQATGDELSLEVTLNLPLQPALFNPNNSEDGGRFQLAFDAWMNMMKPYLFWGARSLSVGTGAPPSCVILNLKTTADIVPVRRVARKLCIADHPMSSMSGAVNVMQPPKSSVVITELQEDDASPVGKKRGRRAKPALPLDPSLLRRSPRTNKYDGFKINTVGASTSRNSKVKPRQQFQATPPATPIPLLQNIAVNLCGVPATEVSEEKLLASADSEDAAAQE* >Brasy7G151000.1.p pacid=40047134 transcript=Brasy7G151000.1 locus=Brasy7G151000 ID=Brasy7G151000.1.v1.1 annot-version=v1.1 MELLPPPPPLPPPPMAAVAAAADASASSHGRRSRSLLRLRRLPWLPQPQTPPPPPMAAAVAATSASSHGNRNGRRLDMQAAAATAISSHGRHRPWLPPPTMAGKEKSGEWWICTTEVQGSGIPSV* >Brasy7G152300.1.p pacid=40047135 transcript=Brasy7G152300.1 locus=Brasy7G152300 ID=Brasy7G152300.1.v1.1 annot-version=v1.1 MAARNTPESVAEFHATRAGVRGLVESGVASVPAFFLAPSSSNKSLPDVETTLEVPTVDLSGPRSAAVSAAGAAARTCGLFYVTEHGVQVGAAVSAVRAFHELPRAVRSAFYTPASSADGVTYSTVPYTRGRYDGRHLCWRDYLRLLLQGPPTPDLGRIPAVCRDALVEYRRRLEELGKEISGLLWEALGVAAERLLLMPVEGWAMTGHYYPPCPEPERVVGAMEHTDPSIFTVLAQDHVGGLQVRLDDGSGGWADVPPVPGALLVNIGDVLKLVSNGQYKSVEHRVRIKSCVHARVSIATFFNPAKRGDSQSQWLGPLPELLTPENPAIYRSFSMAEFMNSRAEFGHGSSSTDHFRVAVE* >Brasy7G169200.1.p pacid=40047136 transcript=Brasy7G169200.1 locus=Brasy7G169200 ID=Brasy7G169200.1.v1.1 annot-version=v1.1 MKSPSTMDPEAPAPPGTPPDSKEKPGGGGGRQGGKKLPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFADDIQHSETNEEILGVLSFVFWTLTLLPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGRAAAGDEDGGDGAVAKKYLETNGNAAAPAATLGGRGGGPAASVRRMLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKGHHKYVELPLACFILVCLFALQHYGTHRVGFLFAPIVIAWLLCISMIGVYNIVIWEPHVYRALSPYYMYKFLKKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLSKHHILEGDYRIGFYVSVPEQIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSSKVHGQIYIPEINWILMILCLGATIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLALGFIVFFGTIEALYFSASLIKFREGAWAPIALSFFFMLVMCIWHYGTIKKYEFDLQNKVSINWLLSLSPNLGIVRVRGIGLIHTEIDSGIPAIFSHFVTNLPAFHQVLIFMCIKDVSVPHVSPEERFLVGRIGPKEYRIYRCVVRYGYHDVHMDDQEFEKDLVCSVAEFIRSSGGGCSAGAASKANGVWGGEEHDKVDEERMSVVASGSMRMLEEDDAMAGGTIAVGSSRARSGEIQSPSPSPAQAQLGVKKRVRFVLPATSPRPNAGVQEELKDLTDAREAGMAFILGHSYVKAKNGSSFLRRLVINFGYDFLRRNSRGPNYAVTVPHASTLEVGMIYYV* >Brasy7G166900.1.p pacid=40047137 transcript=Brasy7G166900.1 locus=Brasy7G166900 ID=Brasy7G166900.1.v1.1 annot-version=v1.1 MMTPQRSPAVMLGGGGGGGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGDILEAVVITDRSTGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFSPMRPVIPYGGGAAVPGSMYVQSPTYQQPPYNYSQALVYPPYGPSTYGPEYMYPQNAYGPYVGQQYVPVYGGPRAVGPAVYSYGQFGQPVPSEHSYSPGYVPSHLLPLSNQNAANVRASTVQQQYPPGAPRPQQLIPARAPQFPPNNISEQMSG* >Brasy7G215600.1.p pacid=40047138 transcript=Brasy7G215600.1 locus=Brasy7G215600 ID=Brasy7G215600.1.v1.1 annot-version=v1.1 MATKKKDLCKGMRVLAIDEDRVCLKTLEAKLRRCNHDVTPAKDGKTALRALGKAREPFDLVITELYVPDMDACDLIRKIDGEMGIPVIVSVLVLILPAICGMCCARLTYIPHAHAWKGLSSGACCYLAKPACANQLKNIWQHVLRRKNELARNHKSSGNIVADQRVQTGIAEAEQGAKNTRKNSEMCPAASSTFASSSSKNRFVTPSIQPGTVKHGGSTLKDVLSPLPGESHKSKDFAKTLGGLIVNTNQGKAFSYLDEEMVRGLNNQLASFASASSGHIQTVLNGLQNQKVSNPMAAFISNTTPLAGFNQQMAPASNTSSVEMFFNGKFAPSGTSRMSLPSLQTSNYVTPTQMVNGLSNSSAFPNIEASNYITLDHIVNGLRNSSTLPNIEADGFVLPTEMLNDADAVPNIQTGNYITLDQIVNGLRNSSTVPNIEAESSVALAQMLNGGDAVGILPAQEGTADQQVLDGQLNNNDDFRWDDIDVDMFDQSGSSLVAVLKSK* >Brasy7G174400.1.p pacid=40047139 transcript=Brasy7G174400.1 locus=Brasy7G174400 ID=Brasy7G174400.1.v1.1 annot-version=v1.1 MEEDYVFKVVVIGDSGVGKTQLLGRFTRDEFCLDSKSTIGIEFQTRTVLLAGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTRRDSFDHVARWVAELRAHADKSIAVMLIGNKADLAVVGRRAVDVDEATAFAEEQGLFFYEASALSGDNVEAAFLGLLEEIHAAVSRKPLEADDGGAGGDGDGAAGVMVLKGTRLSLSQELSMMETSAMKTPSRCSSCSS* >Brasy7G058400.1.p pacid=40047140 transcript=Brasy7G058400.1 locus=Brasy7G058400 ID=Brasy7G058400.1.v1.1 annot-version=v1.1 MARPQQRFRGVRQRHWGSWVSEIRHPHLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPSTGDGDGNPSLSFLSPALVAKLHRFNMASSTSQVAAAAAQRDHKQDGSSTWTSAAAAAAAGWNGGFLEEQYVEQMIEELLDSNFSMEISY* >Brasy7G145800.1.p pacid=40047141 transcript=Brasy7G145800.1 locus=Brasy7G145800 ID=Brasy7G145800.1.v1.1 annot-version=v1.1 MDPRAGVTPRPQHANPLEPPISHRPFRFLFGRLGSLSRGRLVVRGFMATSGDQSGSAAAKASKLRYPLRSASRGKAAAPAVDAPPADSAPRRAKPSLDVTKSMCVLDLSSVKDKSAKPPRRHSIPTKPGASPRPTTTTGTITPVSVVRSRRSEIQGKFDTPTSEASMSTGRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFKLALESECEPLDRMREELKAYIARHGLATELEEPVKDILQVYDIAGDFEKLKISVDSSLESKKYVKAALSATNATPKGNLKPRSLNSVATQSKDGKKENIQKEKPDAKVRGSYTRNPAKDAPGKELAAKNTGKKTKKQAKEQQEDCNGGSEALPVDPDLESVDVVKEITYEDKENMGDTEMSIDGGNGLAQEV* >Brasy7G145800.2.p pacid=40047142 transcript=Brasy7G145800.2 locus=Brasy7G145800 ID=Brasy7G145800.2.v1.1 annot-version=v1.1 MATSGDQSGSAAAKASKLRYPLRSASRGKAAAPAVDAPPADSAPRRAKPSLDVTKSMCVLDLSSVKDKSAKPPRRHSIPTKPGASPRPTTTTGTITPVSVVRSRRSEIQGKFDTPTSEASMSTGRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFKLALESECEPLDRMREELKAYIARHGLATELEEPVKDILQVYDIAGDFEKLKISVDSSLESKKYVKAALSATNATPKGNLKPRSLNSVATQSKDGKKENIQKEKPDAKVRGSYTRNPAKDAPGKELAAKNTGKKTKKQAKEQQEDCNGGSEALPVDPDLESVDVVKEITYEDKENMGDTEMSIDGGNGLAQEV* >Brasy7G043100.1.p pacid=40047143 transcript=Brasy7G043100.1 locus=Brasy7G043100 ID=Brasy7G043100.1.v1.1 annot-version=v1.1 MKLKQLEGLLGGLTQFSNPKVELEQYATGPHIASRMLYTAENSFGDITGKVVADFGCGCGTLAVASALLDAEHVTGIDIDLQSLELAQENADDLELDIDLIQCDIKNLNLKGLLVDTVVMNPPFGTKRNGADMEFLSMGLKAASQAVYSLHKTSTREYIKKAALRNCNAISAEVLCELRYDLPQTYKFHKKKEVDVAVDLWRFVPRARDESTAERRGNAMRP* >Brasy7G043100.2.p pacid=40047144 transcript=Brasy7G043100.2 locus=Brasy7G043100 ID=Brasy7G043100.2.v1.1 annot-version=v1.1 MKLKQLEGLLGGLTQFSNPKAENSFGDITGKVVADFGCGCGTLAVASALLDAEHVTGIDIDLQSLELAQENADDLELDIDLIQCDIKNLNLKGLLVDTVVMNPPFGTKRNGADMEFLSMGLKAASQAVYSLHKTSTREYIKKAALRNCNAISAEVLCELRYDLPQTYKFHKKKEVDVAVDLWRFVPRARDESTAERRGNAMRP* >Brasy7G075900.1.p pacid=40047145 transcript=Brasy7G075900.1 locus=Brasy7G075900 ID=Brasy7G075900.1.v1.1 annot-version=v1.1 MGSSGDGPLASFYLDPDHVFALVISYRPRDLFEYLDSIPGASLDPQCRLISFAGKALLAPRLGCSEKSWTLYWAKYAWAHAASSRLCTPQMAGNLFDFSSDPVLRDAAILNQLSASSSSTPAATFPPQQANFNGVVNFPTMCVRTLNRTSAFNTGTCASEFVPWGTDSTLALAFAKLSLGQPGSEQLAPMEFMQNPVQNNYGIPAVGVPSLFQPGLAPHRLLQNGEVLSTVDIEGKRPASVLQQTLRVHVACLISDNGTTGAAGLLIKKGTSKFVCASCFLVGGSMEPALFATACCEGIKIAQAYQPTLIVLESHLFYLLDVLHAHAPCPEMEELTELLDPSRCTMEAITEGCNGAARRLATHSLQTGATEIFFYAPPDWLVLT* >Brasy7G075900.2.p pacid=40047146 transcript=Brasy7G075900.2 locus=Brasy7G075900 ID=Brasy7G075900.2.v1.1 annot-version=v1.1 MGSSGDGPLASFYLDPDHVFALVISYRPRDLFEYLDSIPGASLDPQCRLISFAGKALLAPRLGCSEKSWTLYWAKYAWAHAASSRLCTPQMAGNLFDFSSDPVLRDAAILNQLSASSSSTPAATFPPQQANFNGVVNFPTMCVRTLNRTSAFNTGTCASEFVPWGTDSTLALAFAKLSLGQPGSEQLAPMEFMQNPVQNNYGIPAVGVPSLFQPGLAPHRLLQNGEVDIEGKRPASVLQQTLRVHVACLISDNGTTGAAGLLIKKGTSKFVCASCFLVGGSMEPALFATACCEGIKIAQAYQPTLIVLESHLFYLLDVLHAHAPCPEMEELTELLDPSRCTMEAITEGCNGAARRLATHSLQTGATEIFFYAPPDWLVLT* >Brasy7G157200.1.p pacid=40047147 transcript=Brasy7G157200.1 locus=Brasy7G157200 ID=Brasy7G157200.1.v1.1 annot-version=v1.1 METPPQVREILEKIAASTPEPLRLAIRIHFGSLPASAAFARQDVRWYLAALTRIYEPEDLMEFEEIPLRMESAACYLPEHDEDAHFVHAEPGVIGVADGVGGCRGKGVDAAAFSRKLMENARAEVASCTPGTHLCPHGLLERSYLRAVAARTPAASTAIILSLEGRFLKWAYVGDSGFAVFRRGKIIQRSQPQQSYFNCPYQLSSDGLNKVSDAAVGEIRLKVGDVVLVGSDGLFDNVFDSALEQFVQMGAALKLPAHFLAHVIAGFAYKKARSSQESPFSVDCRERTGVTINGGKKDDITVVVGYVVE* >Brasy7G146300.1.p pacid=40047148 transcript=Brasy7G146300.1 locus=Brasy7G146300 ID=Brasy7G146300.1.v1.1 annot-version=v1.1 MFIQKAWRTAAFGLYGFTQFTKSGFAEHAKKFREEDMQIRLDGKNCLVTGANSGLGFATAEGLASHGATVYMLCRNKERGETALNQIRSKTGNMNVHLEICDLSSINEIKSFTTKFSSMNKPLHVLVNNAGLLEDKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNNNLEYSESDFNGTKQYARNKRVQVALTEWWAEKYSKGIGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNEEGADTVVWLALQPKDKLVAGAFYFDRAEAQKHLKFAGTAASHAQIGSIVDSIRSICGLPVKP* >Brasy7G146300.2.p pacid=40047149 transcript=Brasy7G146300.2 locus=Brasy7G146300 ID=Brasy7G146300.2.v1.1 annot-version=v1.1 MFIQKAWRTAAFGLYGFTQFTKSGFAEHAKKFREEDMQIRLDGKNCLVTGANSGLGFATAEGLASHGATVYMLCRNKERGETALNQIRSKTGNMNVHLEICDLSSINEIKSFTTKFSSMNKPLHVLVNNAGLLEDKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNNNLEYSESDFNGTKQYARNKRVQVALTEWWAEKYSKGIGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNEEGADTVVWLALQPKDKLVAGAFYFDRAEAQKHLKFAGTAASHAQIGSIVDSIRSICGLPVKP* >Brasy7G034000.1.p pacid=40047150 transcript=Brasy7G034000.1 locus=Brasy7G034000 ID=Brasy7G034000.1.v1.1 annot-version=v1.1 PPPRTHPLSLSLPLSFLPTAGSGNRRCARRSCATRTSGRRWPTAHAVFSDQVPGISLDSIDGKRRAKILARVAAACEGWGVFQLVDHGVHQALVADMAMLSRDFFSRWQEGRLHRLQPPPGQGEDTHACVKVTSWDYWNSDRT* >Brasy7G087000.1.p pacid=40047151 transcript=Brasy7G087000.1 locus=Brasy7G087000 ID=Brasy7G087000.1.v1.1 annot-version=v1.1 MEGSGEHGETSKGPLSKGVRRGLSIVDLILRVIAVIGTTASAIAMGTTNQTLPFFTQFVRFKERYSDLPALTFFVVANSIASAYLIISLPLSIVHIIRSRAKYSRLILIFFDVAMLALVTAAASAGAAIVYLAHNGNVSANWFAICQQFDSFCERISGSLIGSFAAMVVLILVILLSAIALARR* >Brasy7G097200.1.p pacid=40047152 transcript=Brasy7G097200.1 locus=Brasy7G097200 ID=Brasy7G097200.1.v1.1 annot-version=v1.1 MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYETGVFRMKLLLSRDFPHSPPKGFFSTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARLARLYTGIHAHKPKNKFKTGAISESTTALNIDQTNNTTSLSENAASAPAVVSTSSATKILGSQDQNAAPSDHVVGASALPKKDGPLAAKIPVEKKKMDARKKSLKRL* >Brasy7G012200.1.p pacid=40047153 transcript=Brasy7G012200.1 locus=Brasy7G012200 ID=Brasy7G012200.1.v1.1 annot-version=v1.1 MQRASKRYEKGCEIDIQDFYQGDQQEGCQVLQQHVCQDDQAFDTRQQEEIIEGLPLPYECDAELYSDYGGSAVRWGLALTASAHAKKKDLRYQWRRSHYTVIVVVKSCEAEYSVSHCNFSICKYFTQSLQYLGEL* >Brasy7G066000.1.p pacid=40047154 transcript=Brasy7G066000.1 locus=Brasy7G066000 ID=Brasy7G066000.1.v1.1 annot-version=v1.1 MAWGQGARRPIAGLLFRAQQQAAQGYSSATSQTHMLTSHVPKSAMLLRGFSSEVPASEQTNLIKQLRIRTSAPFKDVKASLVNCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAIVELNCETDFVARNDVFQYLASSLANTALSTQTPGEMVFTFGPEYLENMSINLDHPKFNGEATVQSAVTEVAAMVGENVKFRRGLILSTSGHGVVSSYLHTCPQPGLGRIAGLVTLEVEDSNAPIDALKGVGSSIAMHIVAAKPLFLSKEQVSAAALENERDVLRTQAESSGKPQMAIEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKTVLNDLSKDIGSKVTIANFLRMEVGEGIQRLEGADGS* >Brasy7G066000.2.p pacid=40047155 transcript=Brasy7G066000.2 locus=Brasy7G066000 ID=Brasy7G066000.2.v1.1 annot-version=v1.1 MAWGQGARRPIAGLLFRAQQQAAQGYSSATSQTHMLTSHVPKSAMLLRGFSSEVPASEQTNLIKQLRIRTSAPFKDVKASLVNCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAIVELNCETDFVARNDVFQYLASSLANTALSTQTPGEMVFTFGPEYLENMSINLDHPKFNGEATVQSAVTEVAAMVGENVKFRRGLILSTSGHGVVSSYLHTCPQPGLGRIAGLVTLEVEDSNAPIDALKGVGSSIAMHIVAAKPLFLSKEQVSAAALENERDVLRTQAESSGKPQMAIEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKTVLNDLSKDIGSKVTIANFLRMEVGEGIQRLEGADGS* >Brasy7G068600.1.p pacid=40047156 transcript=Brasy7G068600.1 locus=Brasy7G068600 ID=Brasy7G068600.1.v1.1 annot-version=v1.1 MGVEAGETSSFLSFTSAFSYGVASMAMVFVNKAVVMQYVHSMTLLTLQQLATGLIIQFSQVLRLSKRKDLSMATAKKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVSGFLRGKGKPPTQVSLSVLCTAAGVLVAALGDFSFDLYGYLMALISVFFQTMYLILVEKSGADDGLSSMELMFYNSILSLPFLFFIIIATGEFPHSLSVLSEKTASLAFSAILLISLVMGIVLNYTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVVLGGVKVHALNVTGLVINTFGGVWYSYAKYTQKKKMPRKIVPDEESHPHK* >Brasy7G068600.2.p pacid=40047157 transcript=Brasy7G068600.2 locus=Brasy7G068600 ID=Brasy7G068600.2.v1.1 annot-version=v1.1 MGVEAGETSSFLSFTSAFSYGVASMAMVFVNKAVVMQYVHSMTLLTLQQLATGLIIQFSQVLRLSKRKDLSMATAKKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVSGFLRGKGKPPTQVSLSVLCTAAGVLVAALGDFSFDLYGYLMALISVFFQTMYLILVEKSGADDGLSSMELMFYNSILSLPFLFFIIIATGEFPHSLSVLSEKTASLAFSAILLISLVMGIVLNYTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVVLGGVKVHALNVTGLVINTFGGVWYSYAKYTQKKKMPRKIVPDEESHPHK* >Brasy7G141200.1.p pacid=40047158 transcript=Brasy7G141200.1 locus=Brasy7G141200 ID=Brasy7G141200.1.v1.1 annot-version=v1.1 MAASCFCGARRRQARGQFVKLVFPGGHVELLDRPVLAAEVMARHPRFCVARPDVFREPAGAVAGPDTMLHLGRKYYVVPKSTVRRLLKYSSSHSASGGGGSGSVSLRRHLQASSASGGGHDRGSKVGGGKKWFGWAVGTAAGDSGDQAAKAPRRTPDQEGASRGSSSEGEMVRRVCVKVAKEKGKGANGGSPGRRRRRPPSPGESESYSWQPSLHSITEE* >Brasy7G208800.1.p pacid=40047159 transcript=Brasy7G208800.1 locus=Brasy7G208800 ID=Brasy7G208800.1.v1.1 annot-version=v1.1 MMEVHKYWGVGGRRCGACPSARAAAAAAVHCRTCASYLCAACDAAAEHAGRAHERVWVCEVCEASPAAVTCKADAAVLCAACDADVHRANPLAQRHIRVPISPIDGFHGHGGAMAMAMRAPELEEEEDLALINLNVEARKEEVKLDLLFSDLVDPYMNGSVPDFAAARFNGHADSCLVPSAVVEMDFACAKPPPPPSYSSFYTVATNSLAHSGSSSEAGVVPEAPICGAAGSFELDFTRAIPQPAPYNMPMPYTAAPPPPQPSHSVSAAADSMGTAVPEAARGEEREARLTRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRSGADDADDNDLAAVPSSAYMLDFGYGVVPSF* >Brasy7G139100.1.p pacid=40047160 transcript=Brasy7G139100.1 locus=Brasy7G139100 ID=Brasy7G139100.1.v1.1 annot-version=v1.1 MELELEAGDGGGTRVLALSSESPATDLGRGDLALAPTSPADRTVSRLHVSLRLLGGGEPRVAFEVVGRNPVAVRSSVGGDKVYRCGEAGELREGDALSLSLKAPLFWKVRRTSGEAAADADPEVDTAVLDAVARREKRTRERKERERRAVEEAMEVTEEEEMAAAGDEESNAELEDLKIDLASIDPVREFGFLSMGHEFDNYPMGRIRAPKDWNWFLEEIRKSCNDEDDDDVIKPGRKSRGSSSGNQKKKEEGDDEEWTGESEDDKDSLPTGPSLKRPTKYVTRSKDPKKSRKENSKVKTENEDAADEEDEMDEEDDEDETLGGFIVTEEDEAMEGLNDEQDEEEEFDDEEDDD* >Brasy7G054200.1.p pacid=40047161 transcript=Brasy7G054200.1 locus=Brasy7G054200 ID=Brasy7G054200.1.v1.1 annot-version=v1.1 MIDLSGAFTKTRSWWTERSMASQVLGKTRAKATASLIILAFWETWKERNRRLFQHKHLRPPAVFALIKEEAALWIKAGAGIGELVSGSDDVP* >Brasy7G167300.1.p pacid=40047162 transcript=Brasy7G167300.1 locus=Brasy7G167300 ID=Brasy7G167300.1.v1.1 annot-version=v1.1 MNERSFRGLLARRASPRVLPRAPPRCLSPRAFLLCPSRRRAAQAAPMHPAGRCRLCAAQPPPCAPPAAAPALRYPRGGAALAAPVRAAPRCPAPTAAGRRPTLAAPAAPGRGRRRAGPAAPVLRCPCLRWARPLPRLLAPAAAGATECRRRRTLPPRSPSLPSLGMAICDGVAIYDH* >Brasy7G146900.1.p pacid=40047163 transcript=Brasy7G146900.1 locus=Brasy7G146900 ID=Brasy7G146900.1.v1.1 annot-version=v1.1 MASHQAHQLTPRITASASSSPPAAATMKLFVDVDGGPPRVAFAEAGKDAVDFLFSILALPLAMADKLLGGAVGSVGNLRASVEALDPAFVQPGAARESLLRPTVLSPPAARAELSFHPRRQLYTCPTRIYAVDCGRSFTDGRGAACPSCGDEMTAVARYVPPPSPGSTAGAPAGFVAATSRYVVTDGLSVFPAPTSAASGVAVLRDLGVRNVGALRQMDVQLGRKEGEEILKASLQSKTVLTDVYLRRRSAPGCERTHAALEYASASPGRL* >Brasy7G003200.1.p pacid=40047164 transcript=Brasy7G003200.1 locus=Brasy7G003200 ID=Brasy7G003200.1.v1.1 annot-version=v1.1 METLKRKAPEVPAAQAKSPIKTLTADAAPAAQAASEPVACVHDVSYPEGYDASASSRIVAGGGEGVGPEKTFPFKLDPFQSEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDENGKFREDSFQKSLNVLAPASGGDKKRENGKRQKGISAGKPGEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKVNIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDERGICILMVDDKMEPSTAKMMLKGGADSLNSAFHLSYNMLLNQMRCEDGDPEKLLRHSFYQFQADRALPDLEKRVRELEIERNSMVIDEEESLKDYYDLLQQYKTLKKDVRDIVLSPKYVLPFLQSGRLVRVQFSTDEQPTFSIDENVTWGIIINFEKVKTQAEDRKPEDCDYTVDILTRCSVNKDISGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSVRMYIPKDLLPVEARENTLRKVEEVISRFAKDGIPLLDPEEDMEVKSSSYRKATRRIEALESLFEKHDVRNSPHIQQRLKIFHAKQEISAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGALKDATVEQMVALLSCFVWQEKLQDAPKPRDELDLLFYQLQETARRVANLQLECKIQIDVETFVNSFRPDVMEAVYSWARGSKFHQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVGKIKRDIVFAASLYL* >Brasy7G040500.1.p pacid=40047165 transcript=Brasy7G040500.1 locus=Brasy7G040500 ID=Brasy7G040500.1.v1.1 annot-version=v1.1 MGSLTSSWMMSLCGNPVCSNQEVLSCVLKEVFDSSTCMNHLVAIGIGLLLILALALQLLFKIPKTGASLQHLVTLGSPLQLAAVGFSGCLGLVYLGLGLSMLWDIFNQDASVYLPHWWLVTLSQGITLILSSFAFSIRPRFLGASFIRFWSVLVTMYAAFICCSSVVDIVAENTITIKACLDVMSLPGAFFLLLYGILRSHNEECYEGTRNALHKPLNIEVDGEIIDSDSPLTPFAKAGVFSKMSFWWLNHLMKMGYGKLLEDKDVPLLQTTDRAQNLYLMFLEKLNSKQSQPDAAPSILWTTVSCHKREIMVSGFFALLKVLTLSTGPLLLKAFINVSLGKGTFKYEGFVLAVTMFMCKSCESLSERQWCFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLMHSSGEIINYVTVDAYRIGEFPYMFHQTWTTSVQLCIALAILYNAVGAATISSLVVIIITVLSNAPLAKLQHKFQSKLMEAQDVRLKAVSESLVHMKVLKLYAWEAHFKNVIERLREVEYKWLSAFLLRRAYNTVMFWSSPILVSAATFLTCYLLKIPLDASNVFTTVATLRLLQDPVRLIPEVIAVVIQAKVAFTRISKFLDAPELNVQVRKKCYLGIDFPISMNSCGFSWDENPSKLTLRNVNLVVRAGEKVAICGEVGSGKSTLLAAVLGEIPQTEGTIQVWGKIAYVSQNAWIQTGTVQDNILFGSLMNRQMYQETLVKCSLFKDLEMLPFGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVVGVLSDKTVLLVTHQVDFLPVFDSILFMSNGEIIRTATYQILLGDCQEFRDLVNAHKETISVSDLNNMASRRTKEIPTKGTDDIHGNSYIESMKPTPVDQLIKREERERGDTGLKPYMFYLRQDKGFLYASLAAICHIIFIAGQISQNSWMAANVQNARVSTLKLISVYVVIGIFPMFFVLSRCVLMAVLGVQTSRSLFSQLLNSLFRARMSFDSTPLGRVLSRVSSDLSIIDLDVPFAFMFSFGSILNAYSNLGVLAVVTWEVLFVSLPMIVLAIRLQRYYLATAKELMRINGTTKSALANHFGESISGAITIRAFEEEERFFAKTLELVDKNAGPCFYNFAATEWLILRLETMSAAVISFSAFVMALLPPGTFSPGFVGMALSYGLSLNGCFVSSIQNQCNLANKIISVERVSQYMDIESEAAEVIEESRPAPDWPQVGSVELIDLKIRYRNDAPLVLHGITCKLKGRDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFRGTVRYNLDPLEQFLDQQLWEVLDKCQLLEAVQEKEHGLDSLVAEHGSNWSMGQRQLFCLGRALLRRCRILVLDEATASVDNATDAVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDVSREIYWNQFQDAFALENAFALEKPTYSNCHVSEKFIYLESEDVMTCIFQIFSGNSFAVFVS* >Brasy7G040500.2.p pacid=40047166 transcript=Brasy7G040500.2 locus=Brasy7G040500 ID=Brasy7G040500.2.v1.1 annot-version=v1.1 MGSLTSSWMMSLCGNPVCSNQEVLSCVLKEVFDSSTCMNHLVAIGIGLLLILALALQLLFKIPKTGASLQHLVTLGSPLQLAAVGFSGCLGLVYLGLGLSMLWDIFNQDASVYLPHWWLVTLSQGITLILSSFAFSIRPRFLGASFIRFWSVLVTMYAAFICCSSVVDIVAENTITIKACLDVMSLPGAFFLLLYGILRSHNEECYEGTRNALHKPLNIEVDGEIIDSDSPLTPFAKAGVFSKMSFWWLNHLMKMGYGKLLEDKDVPLLQTTDRAQNLYLMFLEKLNSKQSQPDAAPSILWTTVSCHKREIMVSGFFALLKVLTLSTGPLLLKAFINVSLGKGTFKYEGFVLAVTMFMCKSCESLSERQWCFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLMHSSGEIINYVTVDAYRIGEFPYMFHQTWTTSVQLCIALAILYNAVGAATISSLVVIIITVLSNAPLAKLQHKFQSKLMEAQDVRLKAVSESLVHMKVLKLYAWEAHFKNVIERLREVEYKWLSAFLLRRAYNTVMFWSSPILVSAATFLTCYLLKIPLDASNVFTTVATLRLLQDPVRLIPEVIAVVIQAKVAFTRISKFLDAPELNVQVRKKCYLGIDFPISMNSCGFSWDENPSKLTLRNVNLVVRAGEKVAICGEVGSGKSTLLAAVLGEIPQTEGTIQVWGKIAYVSQNAWIQTGTVQDNILFGSLMNRQMYQETLVKCSLFKDLEMLPFGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVVGVLSDKTVLLVTHQVDFLPVFDSILFMSNGEIIRTATYQILLGDCQEFRDLVNAHKETISVSDLNNMASRRTKEIPTKGTDDIHGNSYIESMKPTPVDQLIKREERERGDTGLKPYMFYLRQDKGFLYASLAAICHIIFIAGQISQNSWMAANVQNARVSTLKLISVYVVIGIFPMFFVLSRCVLMAVLGVQTSRSLFSQLLNSLFRARMSFDSTPLGRVLSRVSSDLSIIDLDVPFAFMFSFGSILNAYSNLGVLAVVTWEVLFVSLPMIVLAIRLQRYYLATAKELMRINGTTKSALANHFGESISGAITIRAFEEEERFFAKTLELVDKNAGPCFYNFAATEWLILRLETMSAAVISFSAFVMALLPPGTFSPGFVGMALSYGLSLNGCFVSSIQNQCNLANKIISVERVSQYMDIESEAAEVIEESRPAPDWPQVGSVELIDLKIRYRNDAPLVLHGITCKLKGRDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFRGTVRYNLDPLEQFLDQQLWEVLDKCQLLEAVQEKEHGLDSLVAEHGSNWSMGQRQLFCLGRALLRRCRILVLDEATASVDNATDAVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDVSREIYWNQFQDAFALENAFALEKPTYSNCHVSEKFIYLESEDVMTCIFQIFSGNSFAVFVS* >Brasy7G040500.4.p pacid=40047167 transcript=Brasy7G040500.4 locus=Brasy7G040500 ID=Brasy7G040500.4.v1.1 annot-version=v1.1 MGSLTSSWMMSLCGNPVCSNQEVLSCVLKEVFDSSTCMNHLVAIGIGLLLILALALQLLFKIPKTGASLQHLVTLGSPLQLAAVGFSGCLGLVYLGLGLSMLWDIFNQDASVYLPHWWLVTLSQGITLILSSFAFSIRPRFLGASFIRFWSVLVTMYAAFICCSSVVDIVAENTITIKACLDVMSLPGAFFLLLYGILRSHNEECYEGTRNALHKPLNIEVDGEIIDSDSPLTPFAKAGVFSKMSFWWLNHLMKMGYGKLLEDKDVPLLQTTDRAQNLYLMFLEKLNSKQSQPDAAPSILWTTVSCHKREIMVSGFFALLKVLTLSTGPLLLKAFINVSLGKGTFKYEGFVLAVTMFMCKSCESLSERQWCFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLMHSSGEIINYVTVDAYRIGEFPYMFHQTWTTSVQLCIALAILYNAVGAATISSLVVIIITVLSNAPLAKLQHKFQSKLMEAQDVRLKAVSESLVHMKVLKLYAWEAHFKNVIERLREVEYKWLSAFLLRRAYNTVMFWSSPILVSAATFLTCYLLKIPLDASNVFTTVATLRLLQDPVRLIPEVIAVVIQAKVAFTRISKFLDAPELNVQVRKKCYLGIDFPISMNSCGFSWDENPSKLTLRNVNLVVRAGEKVAICGEVGSGKSTLLAAVLGEIPQTEGTIQVWGKIAYVSQNAWIQTGTVQDNILFGSLMNRQMYQETLVKCSLFKDLEMLPFGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVVGVLSDKTVLLVTHQVDFLPVFDSILFMSNGEIIRTATYQILLGDCQEFRDLVNAHKETISVSDLNNMASRRTKEIPTKGTDDIHGNSYIESMKPTPVDQLIKREERERGDTGLKPYMFYLRQDKGFLYASLAAICHIIFIAGQISQNSWMAANVQNARVSTLKLISVYVVIGIFPMFFVLSRCVLMAVLGVQTSRSLFSQLLNSLFRARMSFDSTPLGRVLSRVSSDLSIIDLDVPFAFMFSFGSILNAYSNLGVLAVVTWEVLFVSLPMIVLAIRLQRYYLATAKELMRINGTTKSALANHFGESISGAITIRAFEEEERFFAKTLELVDKNAGPCFYNFAATEWLILRLETMSAAVISFSAFVMALLPPGTFSPGFVGMALSYGLSLNGCFVSSIQNQCNLANKIISVERVSQYMDIESEAAEVIEESRPAPDWPQVGSVELIDLKIRYRNDAPLVLHGITCKLKGRDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFRGTVRYNLDPLEQFLDQQLWEVLDKCQLLEAVQEKEHGLDSLVAEHGSNWSMGQRQLFCLGRALLRRCRILVLDEATASVDNATDAVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPIKLMETEGSLFCDLVKEYWSYASN* >Brasy7G040500.3.p pacid=40047168 transcript=Brasy7G040500.3 locus=Brasy7G040500 ID=Brasy7G040500.3.v1.1 annot-version=v1.1 MGSLTSSWMMSLCGNPVCSNQEVLSCVLKEVFDSSTCMNHLVAIGIGLLLILALALQLLFKIPKTGASLQHLVTLGSPLQLAAVGFSGCLGLVYLGLGLSMLWDIFNQDASVYLPHWWLVTLSQGITLILSSFAFSIRPRFLGASFIRFWSVLVTMYAAFICCSSVVDIVAENTITIKACLDVMSLPGAFFLLLYGILRSHNEECYEGTRNALHKPLNIEVDGEIIDSDSPLTPFAKAGVFSKMSFWWLNHLMKMGYGKLLEDKDVPLLQTTDRAQNLYLMFLEKLNSKQSQPDAAPSILWTTVSCHKREIMVSGFFALLKVLTLSTGPLLLKAFINVSLGKGTFKYEGFVLAVTMFMCKSCESLSERQWCFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKLMHSSGEIINYVTVDAYRIGEFPYMFHQTWTTSVQLCIALAILYNAVGAATISSLVVIIITVLSNAPLAKLQHKFQSKLMEAQDVRLKAVSESLVHMKVLKLYAWEAHFKNVIERLREVEYKWLSAFLLRRAYNTVMFWSSPILVSAATFLTCYLLKIPLDASNVFTTVATLRLLQDPVRLIPEVIAVVIQAKVAFTRISKFLDAPELNVQVRKKCYLGIDFPISMNSCGFSWDENPSKLTLRNVNLVVRAGEKVAICGEVGSGKSTLLAAVLGEIPQTEGTIQVWGKIAYVSQNAWIQTGTVQDNILFGSLMNRQMYQETLVKCSLFKDLEMLPFGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVVGVLSDKTVLLVTHQVDFLPVFDSILFMSNGEIIRTATYQILLGDCQEFRDLVNAHKETISVSDLNNMASRRTKEIPTKGTDDIHGNSYIESMKPTPVDQLIKREERERGDTGLKPYMFYLRQDKGFLYASLAAICHIIFIAGQISQNSWMAANVQNARVSTLKLISVYVVIGIFPMFFVLSRCVLMAVLGVQTSRSLFSQLLNSLFRARMSFDSTPLGRVLSRVSSDLSIIDLDVPFAFMFSFGSILNAYSNLGVLAVVTWEVLFVSLPMIVLAIRLQRYYLATAKELMRINGTTKSALANHFGESISGAITIRAFEEEERFFAKTLELVDKNAGPCFYNFAATEWLILRLETMSAAVISFSAFVMALLPPGTFSPGFVGMALSYGLSLNGCFVSSIQNQCNLANKIISVERVSQYMDIESEAAEVIEESRPAPDWPQVGSVELIDLKIRYRNDAPLVLHGITCKLKGRDKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFRGTVRYNLDPLEQFLDQQLWEVLDKCQLLEAVQEKEHGLDSLVAEHGSNWSMGQRQLFCLGRALLRRCRILVLDEATASVDNATDAVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDKPIKLMETEGSLFCDLVKEYWSYASN* >Brasy7G153800.1.p pacid=40047169 transcript=Brasy7G153800.1 locus=Brasy7G153800 ID=Brasy7G153800.1.v1.1 annot-version=v1.1 MTVSLLRASPIHAPPSLLAHNGLCLLFSSEILAFSTETLQELVQSSPRRGMTCSCSLACSHGWMPCSIGPATILYRYLAMRQAIIIPTDR* >Brasy7G153800.2.p pacid=40047170 transcript=Brasy7G153800.2 locus=Brasy7G153800 ID=Brasy7G153800.2.v1.1 annot-version=v1.1 MTVSLLRASPIHAPPSLLAHNGLCLLFSSEILAFSTETLQELVQSSPRRGMTCSCSLACSHGWMPCSIGPATILYRR* >Brasy7G153100.1.p pacid=40047171 transcript=Brasy7G153100.1 locus=Brasy7G153100 ID=Brasy7G153100.1.v1.1 annot-version=v1.1 MAISQISRQTLALLFVVAAASFAALVFADGDDVVVLTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKARSVMIAKVDCDEHKSVCSKFGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATVPSSVIVLTPETFDSVVLDETKDVIVEFYAPWCGHCKHLAPIYEKLASAFKLDDGVVIANVDADKYKDLGEKYGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFTKFINEKCGTSRDTNGQLTSEAGRIASLDTLAKEFLSAASDKRKEVLSSIEEEVAKLSGSAAKHGKVYVTIAKKILDKGNDYTKKETERLHRILEKSISPSKADEFIIKKNVLSTFSS* >Brasy7G207800.1.p pacid=40047172 transcript=Brasy7G207800.1 locus=Brasy7G207800 ID=Brasy7G207800.1.v1.1 annot-version=v1.1 MNDSYYLFWDGRWLWWSGLSGGWRESNGGKRYPAVNIVILTMDSFPYALGEQTLTEPPEDSSPDPVMVIKDMKKWESHWDQAAPYKKLLVYEFYEENNPLCKAMDKPFEKLAKQYKGKADFCKLDVDNLEYLARLCGVEGAYPTFVLFKNGKQVGKVVGVKEDQLAGSIQRALRGA* >Brasy7G171900.1.p pacid=40047173 transcript=Brasy7G171900.1 locus=Brasy7G171900 ID=Brasy7G171900.1.v1.1 annot-version=v1.1 MASLLRTAAALAPPSSPAREPRRPCAASFACSQHAPRRPLRAPPLPVRRVPGYAASRLRRLGVDEATQRATQFLCGYMRRDGHEGDGRRQGQDEAIMFGPDDDDGSKIPTQVETLVRGTAIVDAPEYKPLPDLDYLQELLAIQQQGPRSIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPDLLTVILPQSLNKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCTEAKNLRKIVTLFYLD* >Brasy7G171900.2.p pacid=40047174 transcript=Brasy7G171900.2 locus=Brasy7G171900 ID=Brasy7G171900.2.v1.1 annot-version=v1.1 MRRDGHEGDGRRQGQDEAIMFGPDDDDGSKIPTQVETLVRGTAIVDAPEYKPLPDLDYLQELLAIQQQGPRSIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPDLLTVILPQSLNKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCTEAKNLRKIVTLFYLD* >Brasy7G042400.1.p pacid=40047175 transcript=Brasy7G042400.1 locus=Brasy7G042400 ID=Brasy7G042400.1.v1.1 annot-version=v1.1 MAALFSSTRLGRQASGYLQDKYKQARLALGDVTPAELLVQEATSNKDACVPDAKTLSCIAQAAFDIDDYWRIANVLHRRLGRVHDWKEWRPVYKALVVLEFLLTHGPQELPRDFLPDMPALHDLREGFNHVDDKGFDWGASMQRRADSVINLLTDEERLKDARRRAAHGHGHELVSSSPTMAVSSPSSSSYSPSTASSSRSARSTWSFAGASPQYCSDSPGGCLCSPGGDYRRHKKFDAYTADDDNKQMHPDHHQDYFCNDPTTPRSPASVAFSPSPSPVSSRSSGRRASGFNSPSQAQLERRTSSKKLQLQRQLSLDY* >Brasy7G224600.1.p pacid=40047176 transcript=Brasy7G224600.1 locus=Brasy7G224600 ID=Brasy7G224600.1.v1.1 annot-version=v1.1 MRFSQVLTTAISAAVFGFLVGISFPVAITPKNVKVARKPEGAERLPAGIVVPESDLHLRRLWGSPTEDVPPRKYLLALAAGYTERANVNATVHKFSENFDVVLFHYDGRATEWDGEFRWSEEAVHVSAKKQTKWWFAKRFLHPSIVSPYEYVFLWDEDLGVDNFTAEAYVDIVRRHGLEISQPGLDAAIGPKTYGVSIKRDAGEIHRTDAGGPRCPDVHQMPCSGFVEVMAPVFSRDAWRCVWHMIQNDLVHGWGLDFNFWRCVDDPEEQIGVVDAQFVVHRGVPTLIAQGNGEKEGSSDKVRARQFEEMYAFNERIAKDDNATTTTPDQQQQEP* >Brasy7G122900.1.p pacid=40047177 transcript=Brasy7G122900.1 locus=Brasy7G122900 ID=Brasy7G122900.1.v1.1 annot-version=v1.1 MGSDTEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLVIPQKERTKTTNSILVSLMNQLEKDKKSLTLGTDDHLHLEGFASSVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDLEQKQKYAIWKAAEIRKALKEGRKPEAGPPGGDKDEAPANTTAHANDMGRSQSFGNRQNGNEASSQPIDKDFSIRDSFSAVQPGNSASQQSAEKFNDHVYAQPPYSSPPPPSEFSSPQSQFSPPPHPSYSSPSYHGTDYPPSDVHTPQPNYSSPSYTSTDYPTNEVHRPPSNYSPPPYTRTDHTMDDGYNPQSNDKPDVSAYPHTYHQPPYTIEPQHTSQNYYSTETPPVPYSYPNFQSYPSLQDSTVPSVTTHQSAFRPACDGATAQTYSPSTSNNSVPTTQYHSSADAAPPASQYKYDSSYQPAVEKIAEAHKAARFAVGALAFDDVSIAVDHLKRALDLLTNPSAETH* >Brasy7G020800.1.p pacid=40047178 transcript=Brasy7G020800.1 locus=Brasy7G020800 ID=Brasy7G020800.1.v1.1 annot-version=v1.1 MVGSMLLHLRSLRRFEHSGCWMLCPRPTADRMWKPNELCVNMLNLNRE* >Brasy7G237000.1.p pacid=40047179 transcript=Brasy7G237000.1 locus=Brasy7G237000 ID=Brasy7G237000.1.v1.1 annot-version=v1.1 MRRLLPCFHGDGDGDGDGGEQEQQDTPNNIIIPMAYSTSTATASSSTVPSGASGSINASDMSAESMQQYPSFVERPANLRVFSFSELRAATRNLNRSLMLGEGGFGCVYRGTILLDQETTQTQEVAVKHLNRNGLQGHKEWLTEVNVLGIVDHPNLVKLIGYCAQDDERGPQRLLVYEFMPNRSVDDHLSGRAIGTTLSWRTRLKVALHAARGLKYLHHDMDFQIIFRDLKTSNILLDQHWNAKLSDFGMAREGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDVWTYGVLLYELITGRRPLDRNRPRSEQKLLDWVRPYISDTTKLRLIVDPKLQGHYNIRSVAKLATVANRCLARLPRARPRMAEVLDMVQKAMDVDLATSCTGDEAPLHCYGASGEEQGSELKQRKGPKKAGLLWVLLASLTRNRPR* >Brasy7G237000.3.p pacid=40047180 transcript=Brasy7G237000.3 locus=Brasy7G237000 ID=Brasy7G237000.3.v1.1 annot-version=v1.1 MRRLLPCFHGDGDGDGDGGEQEQQDTPNNIIIPMAYSTSTATASSSTVPSGASGSINASDMSAESMQQYPSFVERPANLRVFSFSELRAATRNLNRSLMLGEGGFGCVYRGTILLDQETTQTQEVAVKHLNRNGLQGHKEWLTEVNVLGIVDHPNLVKLIGYCAQDDERGPQRLLVYEFMPNRSVDDHLSGRAIGTTLSWRTRLKVALHAARGLKYLHHDMDFQIIFRDLKTSNILLDQHWNAKLSDFGMAREGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDVWTYGVLLYELITGRRPLDRNRPRSEQKLLDWVRPYISDTTKLRLIVDPKLQGHYNIRSVAKLATVANRCLARLPRARPRMAEVLDMVQKAMDVDLATSCTGDEAPLHCYGASGEEQGSELKQRKGPKKAGLLWVLLASLTRNRPR* >Brasy7G237000.2.p pacid=40047181 transcript=Brasy7G237000.2 locus=Brasy7G237000 ID=Brasy7G237000.2.v1.1 annot-version=v1.1 MRRLLPCFHGDGDGDGDGGEQEQQDTPNNIIIPMAYSTSTATASSSTVPSGASGSINASDMSAESMQQYPSFVERPANLRVFSFSELRAATRNLNRSLMLGEGGFGCVYRGTILLDQETTQTQEVAVKHLNRNGLQGHKEWLTEVNVLGIVDHPNLVKLIGYCAQDDERGPQRLLVYEFMPNRSVDDHLSGRAIGTTLSWRTRLKVALHAARGLKYLHHDMDFQIIFRDLKTSNILLDQHWNAKLSDFGMAREGPTEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDVWTYGVLLYELITGRRPLDRNRPRSEQKLLDWVRPYISDTTKLRLIVDPKLQGHYNIRSVAKLATVANRCLARLPRARPRMAEVLDMVQKAMDVDLATSCTGDEAPLHCYGASGEEQGSELKQRKGPKKAGLLWVLLASLTRNRPR* >Brasy7G199100.1.p pacid=40047182 transcript=Brasy7G199100.1 locus=Brasy7G199100 ID=Brasy7G199100.1.v1.1 annot-version=v1.1 MGWTNFEGLGRLTRGWGDGKKSGGGATGPLVPLHPPADSPVPSRLPVYRTSFYVGESARARPHPTAATGTEGLMRRRPDPPPPARICRLTTRICRPSELQASAILSSGSDCRAPPLAFSTSISAPRMSTSTRGLPFFKVLAASPPALVELILLELGGLIRWLVVPSVLSFCGFHGWSQRNSRRLHLCISLHCYCS* >Brasy7G102000.1.p pacid=40047183 transcript=Brasy7G102000.1 locus=Brasy7G102000 ID=Brasy7G102000.1.v1.1 annot-version=v1.1 MSNCETAQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy7G197600.1.p pacid=40047184 transcript=Brasy7G197600.1 locus=Brasy7G197600 ID=Brasy7G197600.1.v1.1 annot-version=v1.1 MYSLKTCASGNFKLSAYQLAAIARPWGSFVQLRFCKASRVGYISLPLPPSYICLKFCKSCRLYILLPLPPLSPFLPASLYRKLVSSFLFRFIRFEITSRSKPAKMFIMLEQGRRCVKRYLVKVPARRRLRQSSVRRWLRQRSGGVLVQSLWLTNVGTRSVGTRRFSTRVTVAVAGPASCLFY* >Brasy7G188400.1.p pacid=40047185 transcript=Brasy7G188400.1 locus=Brasy7G188400 ID=Brasy7G188400.1.v1.1 annot-version=v1.1 MEETKRRQQGKRGGSNKKTDQRWQTPPLIVGVLQVPTPSTKPHYQLQTPSPNKRASSRCMLLHRQQKEDSGVVGLVAMVAEMGEAVEAEVPGAAGHGEALKVLESLASSSLTCSIPRFPAKWQSVKAKLQQLCSGLNSLRGGGFGTIVDGEDDVLVQFLQSASATVTSIQAVATQCSEGSYKCGRLRLRSDMDSLSSKLEAHVKQLKEMASFGTPSPPSQAIVAVRPGIHAGIGEKTFFLKDLFSRIRIGGPVQRIQALATIGELMSEDEACVRVVALDVDDGVAVLAGFLESRDVRIQEEAAGAVAIVASSDTYRGMLVKAGVIAPLVQLLENSDNVVGRERAAQALRELTENSDNVWAVCAHGGVTTLLHACSDTGSSSRLLGSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEARKLGAVELLHSMALDDADVREEAIGMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSVNSMDDLLSSDVLGWLLFYLNNGDYTILECTLKILRHLSEVSEEYSRMMGRTGYFSALTSLLGAKSFRVREMAVQVLYSLLLLHSNRAIFIQDGDNLDRLLQLLDPAEGKLMAKGLILSAIMSLAETTSGRKKIVSSEHFSNLKELADCGDFDAKKVVKKLANNRLQTMFSRIWSA* >Brasy7G018200.1.p pacid=40047186 transcript=Brasy7G018200.1 locus=Brasy7G018200 ID=Brasy7G018200.1.v1.1 annot-version=v1.1 MAPQSLDLGLSLGLGLISSRSGGDVAEEERTTTSSPGSPVSSGMKRSAGAGSGDEDDIDGGGINGRKKLRLSKDQAAVLEECFKTHHTLTPKQKLALANSLGLRPRQVEVWFQNRRARTKLKQTEVDCEHMKRWCEQLADQNRRLEKELADLRAAAPAPLMTTLTMCLSCKQVASSSPACAPNAGMGMGMGIGMPCPAAPLTGQRQFWCGYRETAGAAAAYGGSSGLVKAVKPAR* >Brasy7G035300.1.p pacid=40047187 transcript=Brasy7G035300.1 locus=Brasy7G035300 ID=Brasy7G035300.1.v1.1 annot-version=v1.1 MLRAARGIFADVAGDIAEGLVPVWELLTMAACGGCGGGEEEEAKYNSYKAPGLRCAMLEAAHVSCLGDRYALGDQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLASPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEDSVHLVMELCAGGELFHRLEERGCFPEHEAALLFRHLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSAIKLADFGLATYTQPGRSLSGTVGSPFYIAPEVLSGGYTEAADVWSSGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSVPWNKVSGSAKELIAGMLRRDPRQRLTAEQVLEHSWIQEHLDESRDSCGHCHEISLGREDPGACSFSMPLAPHSRDVSFNTGGPVACQSLLEDPCSPTFACRSSFSAFSAADAPAPSCAASGFSFSGDPESEKDAVFLSPVVSMPSFSFFCALGSEEPDQSPSGNNTSGEKKVPSNDATTAAGLAATSSPDTRTAEATSSLGAARRENPSSTSLSRLVVGGRRNHTFGASEREHLEAAVSESVIRWASCTHLPTAHSLRASLVC* >Brasy7G236800.1.p pacid=40047188 transcript=Brasy7G236800.1 locus=Brasy7G236800 ID=Brasy7G236800.1.v1.1 annot-version=v1.1 MAAPVSSPCSSPPTKPCSSSSSVGRRSRSSCCFLLHANTTSRPAAANVRRGLPSPPCALPETAGASVLVAGLVAGGVLLLRGGNSKQVKEEEEEEEEEATQIAEEACSACGGSGLCPRCKGEGFVFKEVAEETASRARKAAKNMATRYTAGLPTKWTYCNRCSSTRSCTKCQGTGTPTT* >Brasy7G149300.1.p pacid=40047189 transcript=Brasy7G149300.1 locus=Brasy7G149300 ID=Brasy7G149300.1.v1.1 annot-version=v1.1 MEPFSSKLLAPGLTILTMAAAAVLVSVTAALPPSLEGQGGALLAWKATLDSESQHALRSWGNMSAPCNWRKLESLDFLALRTLTSIDLSGNRLTGSIPTSIELLEELHALLIQGNQIRGSIPPALANLTKLRFLVLSNNQVAGQIPKQIGKMSNLVSLNFNVGDLTKLTILYLDQNHLSGHIPPELGYLGNLEDLSLSGNTITGSIPRNLWNLTKLTGLYLWRNKLSGQIPRELGYMVNLDNLDLTENTLTGSIPSSLGNLTKLTTLLLFENKLSGKIPQELGFLVNLENLKLHKNTLIGSIPDSLGNLTKLSTLYIHNNQLSGHIPHELCYLVNLQDLQLSYNTLSGSIPNNLGNLTKLTILYLGGNQLYGYIPKELGNMVTLQDLALEYNMLTGSMPDSLWNLTKLTGLFLHSNQLSGHISPELGNLVNLENLQISNNTLIGHIPKSIGNMTKLATFYIHTNQNLHGVLPSGLCMGGQLQNFSAYNNNFVGPLPASLLNCTSIMGVHPNLVYIDISYNKLFGQLSYRWGECLKLTMLRASNNNITGAIPASIGKLSRLGILDISSNKLEWEIPPEIGNLSRLFNLSLGNNFLRGSIPQEFGSLKNLEYLDLSTNNLSGPIQGSIKHCSKLRILKFSHNHLNGSIPIELGMLVNLQDLLDLSDNSFKDKIPSQLGSLRMLEALNLSHNALNGSIPSSFRSLISLLSIDMSYNQLEGSVPRNRFFEEAPIEWFLHNNQLCGVVKGLPSCEITQSHGQDKKAKVFLLAIIPSVVFLLLITSTVTILQCKRKKSNERSEIELQQTNLFAIWNFDGEDVYKKIIDATENFSDTHCIGIGGNGSVYRAQLPTGEIFAVKKIHAIEDSKTFNREIDALMHIRHCNIAKLFGYCSAPRGRFLVYEYMDRGRLASCLKSEVTAIDLDWLRRLNIVRGVAHALSYMHHDCFAPIVHRDITSNNILLDLKFRACISDFGIAKILYVNEANCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGDFLLSMATKGTSLKNLLDTRLQLPEAELASEIFKVVMVAIRCIEPNPSHRPTMQHVIKMSSTTEGPDTTLDYLHTDIFTPVCWL* >Brasy7G161200.1.p pacid=40047190 transcript=Brasy7G161200.1 locus=Brasy7G161200 ID=Brasy7G161200.1.v1.1 annot-version=v1.1 MRRLSPPPAAGGEIQALAPASAAGSSSSTTTTGGGGGSFTALLGLPMSQAMELLLPPSAPPPTFPSDPSLVDRAARFSAFASSNSPSPTPTPPPPPAAKRKADPAADRASKGKKGKTTDEKDAGGGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRITGLNCKSGMDLEQVTWPDMGVHGARHLMQLQQQFWHGDLAHPHQQPSQWEKRGDVHPPVFSNSSSSLFGYDLASSGQQQPQTNKLKTEL* >Brasy7G180900.1.p pacid=40047191 transcript=Brasy7G180900.1 locus=Brasy7G180900 ID=Brasy7G180900.1.v1.1 annot-version=v1.1 MMKAQQQGRARQEPRRMGNSAMVITMLLSLCVLTFIKARYCSTPFPKAAPVLEVEIDEDYDGSRYRIDGPIGEEDFDPHRPACYNTSKRSERCAAVGDIRVDGNHSKIYISPLDKEWRTKPYARRHDPVAMDDVREFALIPFGSSNGTVVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTHHFRGEVQFLLTDIKDWWLDKFTPLFRQLSNYDVIDADNDREVHCFPRIVIGATFHRAMGIDPSRSPGGETVADFKRLLRHAFHLTRPVASRENPRLLIISRKSSRRFLNERAMAHAAALAKFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLLQVVPFGGLEWLSRVTFKDPAKDMDVNYMEYNVSLEESSLRNLYPEGHFYLKHPYDVHKKGWDAIKTVYLDKQSVRLNLTKFVQTLELARSRLPAA* >Brasy7G103800.1.p pacid=40047192 transcript=Brasy7G103800.1 locus=Brasy7G103800 ID=Brasy7G103800.1.v1.1 annot-version=v1.1 MASSQHVEVEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPFAGGSQAVDSGSVMAKDKEIIGSQALMVGSDASQNSGQAALRHPSGSADMARHGVHIPGRNPVGQNRGDVAGADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKSSSKRKKMDPKAPGDLQAEDNSKSDAISTGQNARKKRQVGKAGSQGQPSRGVEPEQSHTLQGASGQVPPLPGGTSFFRAQQEGPSASAGRTVDSTKQSNPFTMSQVSNFAEGVASGSIPVELQKSILGGANLFNTGYGWNQNPQGPVMKNTQGSVPSLMRSGVNVEGKMNVGSQGAALNPTPTSQMDFPTIPAYMSSSFGCGSQFLDKGKDLASGNAGTELHSAAKVGAHLGVTHGIPMQERQSISRAPQRTESSFQAPNTPFKEQQLKQLRAQCLVFLAFRNNMQPRKVHLEIALGGGPPTEGGGTGQRGNESRVPDGSTRENCSSQENAAIFGRQSDMSRLPSTSAGSVADVDSSLKDPEIVKKKAMIAEHEKLSMEVENIQQAVVLQGTGSGSQEIVAPVPSGPQQSYFLGDTRRIVPETYRTDAENLDRNLSWGGQGPSSLGGNRQHPNLEVGLLAKDQSQDEASKESLPPPRLHRIPVDGQNYNLPGKEQTPETAGTEVENCSYMGEMSDRSADEGDGDLSEHDDFTSSPPKYTMTEQWILDYQKRKHEENGKKVLEQQKAHKRMSESYQKLKENVSSSEDLSAKTKTVIELKKLQLLPLQRRVRSDFMSEFFKPSTADLERVKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDIFKAKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLRGDSSMDGRASYLSDKSVSANDVEDESYQPQNYLESNEKYYQLAHSVKEVVNDQPSYLQGGTLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLSGWVSELNFWAPSINKIAYFGPPEERRRLFKEMIVQQKFNVLLTTYEYLMNKHDRPKLCKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVESELPGKIERLVRCEASAYQKLLMTRVEKNLGGIGAVKVRSVHNTVMELRNICNHPYLSQLHVEEIEGHLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDNFNDPDSPAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEESAPVLDDDALNNILARSENEIDIFESIDKQRREEEMAVWLKVVQDGSVSGLDPSIMPSRLVSDDDLKPFCHVMKIYESPNIKSVKVNVRRKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQAESPDSPQPGGVLKDLEVSKVSMLEVPAESSKDPVQAKMEPVPAVADSVADSSPAKRRRGRPRRSDVSISPVTSPTKAGRQETGTTPHGSSAPASIIHSAAPATTIHSTGPDVIIHSASPVAAFKPEIGTEMKGTAFAAALPAATIKLDIGTEVKDVSVVLEQSLAKEVGAPVQSGHDLVAASAAPHPPAPATSRGRKTQAGEIPRRRGRKPKSLMSSNAGDVSLIPLVSVGSGETCSSSVVSPHSKVDMPSSCASDVSGLQKDLVTDRPVTSLPEGVKGISPPAREVTTPVTENRYAGASMSSDNASSLLPKIACNEIVGFVQASSEQVSSASVPTIPVVSDGLLKTPHVPVAEKQGASRRRRKKVADSDDTGVSTRQRSAMKKSYGTPVTMDNVVAAMSTGEELRIVKGGDDNALQDTSKELPNINSPPRDKSGYDSQPSTPIAVPINEATLPSGFNDVHVTYSDTTPRISTDHAVQDKPVKSHLGAQAQLPCKTGKDHVAVYSDVTTTHSEMITGKSLLNPVSDDKPANVQFEPPASSLNNSGKDINTLPSEVVSVTPVGRRRKGSAREPRTKSKSATAACERRARLAGSKQTDDVKKVQVSASPSTTVCVSSMEQQEAGTSELITVSVRGEQKNADTHVNGEVSIPVGISEAKLESPKKTATHSSTTCTEETDSTVKRQTPALALSRESSDKQGLEGHHIDQTLVLAAEPASANDDDCTTVMLPDSQTPFVASDKDILAPTKADDDGLQSEGAAVDATCPKQNDRVVETLQTDASFSIQTTLVESAENKSPGGDSHELKEGIDLAGSMMQCTIPVSSPDEKSTGINNAQLVEMVSAAGQASTKELETPQDNSDTSTVVDSHTSPTYAGCEGQKDHSAETNLPGNQNICKVSHKERGTTKDDTKGANQDLAETKAVQIDDISKGSSSDSPAALQSTNSNQPAEEEVLEGSASICKEDRTDLQSEVNVVGMKGASQDSAETEGMQIDDISKGSSSDLPSALQSTDSNQPAEQEVLEASASIFKDDRTDVQREVIVVGMKGASQDSAETESMQIDDISKGSSSDFPPALQSTGSNQPAKQDGLENSASIFASPKEQEKVEETFNETDGGNPNRSHTDVASHIMNLVGYSPSEDSDEDDSVQVADVGDLVGSKETPLDVLSATITDGNKESVQTDGSGECQHVFPAHREQPSFASVPQTTTVASVVEVSAAGMAIIVRPVDTEQQPIKEITSAPSEDADLDQGSCAFQHANHPPATTPLVTVECDKDTDDGAGRLEASGIVDSEAVCVQETVITDQEGTREESNLKYRSGSLQLDDALGSSCHTLSSVCDKIPSDEKVTATSHSEAPKSVELVVEPMPTREIAAPIQQERYHEAVPMHEPCTEPSGDSGIARGAEPIEERVHPAEQQPATSELVETRPKPTEVGGTIQDESVNAAGLANDYCRSEQLARPEPIHEAHVLQGELKAASSGDGHCTVEHGGASSQTVIVVEPMFGAAVHVQEDRAEANSPVEGRDTMDLDSKDDTFKVTATEVQEVSVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHSGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLEGASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHAGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLESASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEETTVATEPEAEKVVDAPAQKENAESVVIAPESMQHAGTEVDLSKETSLSPQAPTQEENIIEPIAIEPDSVEHASEVDQSIETSVPPQKDNVQAVAEEPVPAGTDDSTPTTAEMQPAEENTESTDSTQPNSMEMEPVSETSVPTQVEIVEASCSVDQELVNSESDQPNPVEMEPFKEISVPTFVESPKEQQCLPSPDEVVLVTAGELRNQEVVEAPRSEPVSNDECAEPKEAEAAASELPVMGVVEVLNTELSPDGENAKLKEPETEQQLSGDNAVVATSELPSKDGMEAGETVQPEEANATADVPPVVEEAEVLNEPSPASNQPLPSPRREDD* >Brasy7G103800.3.p pacid=40047193 transcript=Brasy7G103800.3 locus=Brasy7G103800 ID=Brasy7G103800.3.v1.1 annot-version=v1.1 MASSQHVEVEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPFAGGSQAVDSGSVMAKDKEIIGSQALMVGSDASQNSGQAALRHPSGSADMARHGVHIPGRNPVGQNRGDVAGADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKSSSKRKKMDPKAPGDLQAEDNSKSDAISTGQNARKKRQVGKAGSQGQPSRGVEPEQSHTLQGASGQVPPLPGGTSFFRAQQEGPSASAGRTVDSTKQSNPFTMSQVSNFAEGVASGSIPVELQKSILGGANLFNTGYGWNQNPQGPVMKNTQGSVPSLMRSGVNVEGKMNVGSQGAALNPTPTSQMDFPTIPAYMSSSFGCGSQFLDKGKDLASGNAGTELHSAAKVGAHLGVTHGIPMQERQSISRAPQRTESSFQAPNTPFKEQQLKQLRAQCLVFLAFRNNMQPRKVHLEIALGGGPPTEGGGTGQRGNESRVPDGSTRENCSSQENAAIFGRQSDMSRLPSTSAGSVADVDSSLKDPEIVKKKAMIAEHEKLSMEVENIQQAVVLQGTGSGSQEIVAPVPSGPQQSYFLGDTRRIVPETYRTDAENLDRNLSWGGQGPSSLGGNRQHPNLEVGLLAKDQSQDEASKESLPPPRLHRIPVDGQNYNLPGKEQTPETAGTEVENCSYMGEMSDRSADEGDGDLSEHDDFTSSPPKYTMTEQWILDYQKRKHEENGKKVLEQQKAHKRMSESYQKLKENVSSSEDLSAKTKTVIELKKLQLLPLQRRVRSDFMSEFFKPSTADLERVKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDIFKAKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLRGDSSMDGRASYLSDKSVSANDVEDESYQPQNYLESNEKYYQLAHSVKEVVNDQPSYLQGGTLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLSGWVSELNFWAPSINKIAYFGPPEERRRLFKEMIVQQKFNVLLTTYEYLMNKHDRPKLCKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVESELPGKIERLVRCEASAYQKLLMTRVEKNLGGIGAVKVRSVHNTVMELRNICNHPYLSQLHVEEIEGHLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDNFNDPDSPAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEESAPVLDDDALNNILARSENEIDIFESIDKQRREEEMAVWLKVVQDGSVSGLDPSIMPSRLVSDDDLKPFCHVMKIYESPNIKSVKVNVRRKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQAESPDSPQPGGVLKDLEVSKVSMLEVPAESSKDPVQAKMEPVPAVADSVADSSPAKRRRGRPRRSDVSISPVTSPTKAGRQETGTTPHGSSAPASIIHSAAPATTIHSTGPDVIIHSASPVAAFKPEIGTEMKGTAFAAALPAATIKLDIGTEVKDVSVVLEQSLAKEVGAPVQSGHDLVAASAAPHPPAPATSRGRKTQAGEIPRRRGRKPKSLMSSNAGDVSLIPLVSVGSGETCSSSVVSPHSKVDMPSSCASDVSGLQKDLVTDRPVTSLPEGVKGISPPAREVTTPVTENRYAGASMSSDNASSLLPKIACNEIVGFVQASSEQVSSASVPTIPVVSDGLLKTPHVPVAEKQGASRRRRKKVADSDDTGVSTRQRSAMKKSYGTPVTMDNVVAAMSTGEELRIVKGGDDNALQDTSKELPNINSPPRDKSGYDSQPSTPIAVPINEATLPSGFNDVHVTYSDTTPRISTDHAVQDKPVKSHLGAQAQLPCKTGKDHVAVYSDVTTTHSEMITGKSLLNPVSDDKPANVQFEPPASSLNNSGKDINTLPSEVVSVTPVGRRRKGSAREPRTKSKSATAACERRARLAGSKQTDDVKKVQVSASPSTTVCVSSMEQQEAGTSELITVSVRGEQKNADTHVNGEVSIPVGISEAKLESPKKTATHSSTTCTEETDSTVKRQTPALALSRESSDKQGLEGHHIDQTLVLAAEPASANDDDCTTVMLPDSQTPFVASDKDILAPTKADDDGLQSEGAAVDATCPKQNDRVVETLQTDASFSIQTTLVESAENKSPGGDSHELKEGIDLAGSMMQCTIPVSSPDEKSTGINNAQLVEMVSAAGQASTKELETPQDNSDTSTVVDSHTSPTYAGCEGQKDHSAETNLPGNQNICKVSHKERGTTKDDTKGANQDLAETKAVQIDDISKGSSSDSPAALQSTNSNQPAEEEVLEGSASICKEDRTDLQSEVNVVGMKGASQDSAETEGMQIDDISKGSSSDLPSALQSTDSNQPAEQEVLEASASIFKDDRTDVQREVIVVGMKGASQDSAETESMQIDDISKGSSSDFPPALQSTGSNQPAKQDGLENSASIFASPKEQEKVEETFNETDGGNPNRSHTDVASHIMNLVGYSPSEDSDEDDSVQVADVGDLVGSKETPLDVLSATITDGNKESVQTDGSGECQHVFPAHREQPSFASVPQTTTVASVVEVSAAGMAIIVRPVDTEQQPIKEITSAPSEDADLDQGSCAFQHANHPPATTPLVTVECDKDTDDGAGRLEASGIVDSEAVCVQETVITDQEGTREESNLKYRSGSLQLDDALGSSCHTLSSVCDKIPSDEKVTATSHSEAPKSVELVVEPMPTREIAAPIQQERYHEAVPMHEPCTEPSGDSGIARGAEPIEERVHPAEQQPATSELVETRPKPTEVGGTIQDESVNAAGLANDYCRSEQLARPEPIHEAHVLQGELKAASSGDGHCTVEHGGASSQTVIVVEPMFGAAVHVQEDRAEANSPVEGRDTMDLDSKDDTFKVTATEVQEVSVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHSGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLEGASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHAGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLESASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEETTVATEPEAEKVVDAPAQKENAESVVIAPESMQHAGTEVDLSKETSLSPQAPTQEENIIEPIAIEPDSVEHASEVDQSIETSVPPQKDNVQAVAEEPVPAGTDDSTPTTAEMQPAEENTESTDSTQPNSMEMEPVSETSVPTQVEIVEASCSVDQELVNSESDQPNPVEMEPFKEISVPTFVESPKEQQCLPSPDEVVLVTAGELRNQEVVEAPRSEPVSNDECAEPKEAEAAASELPVMGVVEVLNTELSPDGENAKLKEPETEQQLSGDNAVVATSELPSKDGMEAGETVQPEEANATADVPPVVEEAEVLNEPSPASNQPLPSPRREDD* >Brasy7G103800.2.p pacid=40047194 transcript=Brasy7G103800.2 locus=Brasy7G103800 ID=Brasy7G103800.2.v1.1 annot-version=v1.1 MASSQHVEVEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPFAGGSQAVDSGSVMAKDKEIIGSQALMVGSDASQNSGQAALRHPSGSADMARHGVHIPGRNPVGQNRGDVAGADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKSSSKRKKMDPKAPGDLQAEDNSKSDAISTGQNARKKRQVGKAGSQGQPSRGVEPEQSHTLQGASGQVPPLPGGTSFFRAQQEGPSASAGRTVDSTKQSNPFTMSQVSNFAEGVASGSIPVELQKSILGGANLFNTGYGWNQNPQGPVMKNTQGSVPSLMRSGVNVEGKMNVGSQGAALNPTPTSQMDFPTIPAYMSSSFGCGSQFLDKGKDLASGNAGTELHSAAKVGAHLGVTHGIPMQERQSISRAPQRTESSFQAPNTPFKEQQLKQLRAQCLVFLAFRNNMQPRKVHLEIALGGGPPTEGGGTGQRGNESRVPDGSTRENCSSQENAAIFGRQSDMSRLPSTSAGSVADVDSSLKDPEIVKKKAMIAEHEKLSMEVENIQQAVVLQGTGSGSQEIVAPVPSGPQQSYFLGDTRRIVPETYRTDAENLDRNLSWGGQGPSSLGGNRQHPNLEVGLLAKDQSQDEASKESLPPPRLHRIPVDGQNYNLPGKEQTPETAGTEVENCSYMGEMSDRSADEGDGDLSEHDDFTSSPPKYTMTEQWILDYQKRKHEENGKKVLEQQKAHKRMSESYQKLKENVSSSEDLSAKTKTVIELKKLQLLPLQRRVRSDFMSEFFKPSTADLERVKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDIFKAKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLRGDSSMDGRASYLSDKSVSANDVEDESYQPQNYLESNEKYYQLAHSVKEVVNDQPSYLQGGTLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLSGWVSELNFWAPSINKIAYFGPPEERRRLFKEMIVQQKFNVLLTTYEYLMNKHDRPKLCKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVESELPGKIERLVRCEASAYQKLLMTRVEKNLGGIGAVKVRSVHNTVMELRNICNHPYLSQLHVEEIEGHLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDNFNDPDSPAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEESAPVLDDDALNNILARSENEIDIFESIDKQRREEEMAVWLKVVQDGSVSGLDPSIMPSRLVSDDDLKPFCHVMKIYESPNIKSVKVNVRRKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQAESPDSPQPGGVLKDLEVSKVSMLEVPAESSKDPVQAKMEPVPAVADSVADSSPAKRRRGRPRRSDVSISPVTSPTKAGRQETGTTPHGSSAPASIIHSAAPATTIHSTGPDVIIHSASPVAAFKPEIGTEMKGTAFAAALPAATIKLDIGTEVKDVSVVLEQSLAKEVGAPVQSGHDLVAASAAPHPPAPATSRGRKTQAGEIPRRRGRKPKSLMSSNAGDVSLIPLVSVGSGETCSSSVVSPHSKVDMPSSCASDVSGLQKDLVTDRPVTSLPEGVKGISPPAREVTTPVTENRYAGASMSSDNASSLLPKIACNEIVGFVQASSEQVSSASVPTIPVVSDGLLKTPHVPVAEKQGASRRRRKKVADSDDTGVSTRQRSAMKKSYGTPVTMDNVVAAMSTGEELRIVKGGDDNALQDTSKELPNINSPPRDKSGYDSQPSTPIAVPINEATLPSGFNDVHVTYSDTTPRISTDHAVQDKPVKSHLGAQAQLPCKTGKDHVAVYSDVTTTHSEMITGKSLLNPVSDDKPANVQFEPPASSLNNSGKDINTLPSEVVSVTPVGRRRKGSAREPRTKSKSATAACERRARLAGSKQTDDVKKVQVSASPSTTVCVSSMEQQEAGTSELITVSVRGEQKNADTHVNGEVSIPVGISEAKLESPKKTATHSSTTCTEETDSTVKRQTPALALSRESSDKQGLEGHHIDQTLVLAAEPASANDDDCTTVMLPDSQTPFVASDKDILAPTKADDDGLQSEGAAVDATCPKQNDRVVETLQTDASFSIQTTLVESAENKSPGGDSHELKEGIDLAGSMMQCTIPVSSPDEKSTGINNAQLVEMVSAAGQASTKGCEGQKDHSAETNLPGNQNICKVSHKERGTTKDDTKGANQDLAETKAVQIDDISKGSSSDSPAALQSTNSNQPAEEEVLEGSASICKEDRTDLQSEVNVVGMKGASQDSAETEGMQIDDISKGSSSDLPSALQSTDSNQPAEQEVLEASASIFKDDRTDVQREVIVVGMKGASQDSAETESMQIDDISKGSSSDFPPALQSTGSNQPAKQDGLENSASIFASPKEQEKVEETFNETDGGNPNRSHTDVASHIMNLVGYSPSEDSDEDDSVQVADVGDLVGSKETPLDVLSATITDGNKESVQTDGSGECQHVFPAHREQPSFASVPQTTTVASVVEVSAAGMAIIVRPVDTEQQPIKEITSAPSEDADLDQGSCAFQHANHPPATTPLVTVECDKDTDDGAGRLEASGIVDSEAVCVQETVITDQEGTREESNLKYRSGSLQLDDALGSSCHTLSSVCDKIPSDEKVTATSHSEAPKSVELVVEPMPTREIAAPIQQERYHEAVPMHEPCTEPSGDSGIARGAEPIEERVHPAEQQPATSELVETRPKPTEVGGTIQDESVNAAGLANDYCRSEQLARPEPIHEAHVLQGELKAASSGDGHCTVEHGGASSQTVIVVEPMFGAAVHVQEDRAEANSPVEGRDTMDLDSKDDTFKVTATEVQEVSVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHSGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLEGASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHAGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLESASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEETTVATEPEAEKVVDAPAQKENAESVVIAPESMQHAGTEVDLSKETSLSPQAPTQEENIIEPIAIEPDSVEHASEVDQSIETSVPPQKDNVQAVAEEPVPAGTDDSTPTTAEMQPAEENTESTDSTQPNSMEMEPVSETSVPTQVEIVEASCSVDQELVNSESDQPNPVEMEPFKEISVPTFVESPKEQQCLPSPDEVVLVTAGELRNQEVVEAPRSEPVSNDECAEPKEAEAAASELPVMGVVEVLNTELSPDGENAKLKEPETEQQLSGDNAVVATSELPSKDGMEAGETVQPEEANATADVPPVVEEAEVLNEPSPASNQPLPSPRREDD* >Brasy7G103800.4.p pacid=40047195 transcript=Brasy7G103800.4 locus=Brasy7G103800 ID=Brasy7G103800.4.v1.1 annot-version=v1.1 MASSQHVEVEAAKLLHKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPFAGGSQAVDSGSVMAKDKEIIGSQALMVGSDASQNSGQAALRHPSGSADMARHGVHIPGRNPVGQNRGDVAGADIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKSSSKRKKMDPKAPGDLQAEDNSKSDAISTGQNARKKRQVGKAGSQGQPSRGVEPEQSHTLQGASGQVPPLPGGTSFFRAQQEGPSASAGRTVDSTKQSNPFTMSQVSNFAEGVASGSIPVELQKSILGGANLFNTGYGWNQNPQGPVMKNTQGSVPSLMRSGVNVEGKMNVGSQGAALNPTPTSQMDFPTIPAYMSSSFGCGSQFLDKGKDLASGNAGTELHSAAKVGAHLGVTHGIPMQERQSISRAPQRTESSFQAPNTPFKEQQLKQLRAQCLVFLAFRNNMQPRKVHLEIALGGGPPTEGGGTGQRGNESRVPDGSTRENCSSQENAAIFGRQSDMSRLPSTSAGSVADVDSSLKDPEIVKKKAMIAEHEKLSMEVENIQQAVVLQGTGSGSQEIVAPVPSGPQQSYFLGDTRRIVPETYRTDAENLDRNLSWGGQGPSSLGGNRQHPNLEVGLLAKDQSQDEASKESLPPPRLHRIPVDGQNYNLPGKEQTPETAGTEVENCSYMGEMSDRSADEGDGDLSEHDDFTSSPPKYTMTEQWILDYQKRKHEENGKKVLEQQKAHKRMSESYQKLKENVSSSEDLSAKTKTVIELKKLQLLPLQRRVRSDFMSEFFKPSTADLERVKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDIFKAKRERLKGFNRYIKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLRGDSSMDGRASYLSDKSVSANDVEDESYQPQNYLESNEKYYQLAHSVKEVVNDQPSYLQGGTLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLSGWVSELNFWAPSINKIAYFGPPEERRRLFKEMIVQQKFNVLLTTYEYLMNKHDRPKLCKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVESELPGKIERLVRCEASAYQKLLMTRVEKNLGGIGAVKVRSVHNTVMELRNICNHPYLSQLHVEEIEGHLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDNFNDPDSPAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEESAPVLDDDALNNILARSENEIDIFESIDKQRREEEMAVWLKVVQDGSVSGLDPSIMPSRLVSDDDLKPFCHVMKIYESPNIKSVKVNVRRKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQAESPDSPQPGGVLKDLEVSKVSMLEVPAESSKDPVQAKMEPVPAVADSVADSSPAKRRRGRPRRSDVSISPVTSPTKAGRQETGTTPHGSSAPASIIHSAAPATTIHSTGPDVIIHSASPVAAFKPEIGTEMKGTAFAAALPAATIKLDIGTEVKDVSVVLEQSLAKEVGAPVQSGHDLVAASAAPHPPAPATSRGRKTQAGEIPRRRGRKPKSLMSSNAGDVSLIPLVSVGSGETCSSSVVSPHSKVDMPSSCASDVSGLQKDLVTDRPVTSLPEGVKGISPPAREVTTPVTENRYAGASMSSDNASSLLPKIACNEIVGFVQASSEQVSSASVPTIPVVSDGLLKTPHVPVAEKQGASRRRRKKVADSDDTGVSTRQRSAMKKSYGTPVTMDNVVAAMSTGEELRIVKGGDDNALQDTSKELPNINSPPRDKSGYDSQPSTPIAVPINEATLPSGFNDVHVTYSDTTPRISTDHAVQDKPVKSHLGAQAQLPCKTGKDHVAVYSDVTTTHSEMITGKSLLNPVSDDKPANVQFEPPASSLNNSGKDINTLPSEVVSVTPVGRRRKGSAREPRTKSKSATAACERRARLAGSKQTDDVKKVQVSASPSTTVCVSSMEQQEAGTSELITVSVRGEQKNADTHVNGEVSIPVGISEAKLESPKKTATHSSTTCTEETDSTVKRQTPALALSRESSDKQGLEGHHIDQTLVLAAEPASANDDDCTTVMLPDSQTPFVASDKDILAPTKADDDGLQSEGAAVDATCPKQNDRVVETLQTDASFSIQTTLVESAENKSPGGDSHELKEGIDLAGSMMQCTIPVSSPDEKSTGINNAQLVEMVSAAGQASTKGCEGQKDHSAETNLPGNQNICKVSHKERGTTKDDTKGANQDLAETKAVQIDDISKGSSSDSPAALQSTNSNQPAEEEVLEGSASICKEDRTDLQSEVNVVGMKGASQDSAETEGMQIDDISKGSSSDLPSALQSTDSNQPAEQEVLEASASIFKDDRTDVQREVIVVGMKGASQDSAETESMQIDDISKGSSSDFPPALQSTGSNQPAKQDGLENSASIFASPKEQEKVEETFNETDGGNPNRSHTDVASHIMNLVGYSPSEDSDEDDSVQVADVGDLVGSKETPLDVLSATITDGNKESVQTDGSGECQHVFPAHREQPSFASVPQTTTVASVVEVSAAGMAIIVRPVDTEQQPIKEITSAPSEDADLDQGSCAFQHANHPPATTPLVTVECDKDTDDGAGRLEASGIVDSEAVCVQETVITDQEGTREESNLKYRSGSLQLDDALGSSCHTLSSVCDKIPSDEKVTATSHSEAPKSVELVVEPMPTREIAAPIQQERYHEAVPMHEPCTEPSGDSGIARGAEPIEERVHPAEQQPATSELVETRPKPTEVGGTIQDESVNAAGLANDYCRSEQLARPEPIHEAHVLQGELKAASSGDGHCTVEHGGASSQTVIVVEPMFGAAVHVQEDRAEANSPVEGRDTMDLDSKDDTFKVTATEVQEVSVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHSGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLEGASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEEITVATEPEEPAQKENAESVVIAPESMQHAGTEVDLSKETSIPPQEETTVALVTEADAPTQDENSGTAPSNFVTMEMTEATAVVASVLVPAEPYPVQACTVEQTGLESASSPELGMGTLSASIVHHQSPVSISVIPDPVEHDGTETLAAPQEETTVATEPEAEKVVDAPAQKENAESVVIAPESMQHAGTEVDLSKETSLSPQAPTQEENIIEPIAIEPDSVEHASEVDQSIETSVPPQKDNVQAVAEEPVPAGTDDSTPTTAEMQPAEENTESTDSTQPNSMEMEPVSETSVPTQVEIVEASCSVDQELVNSESDQPNPVEMEPFKEISVPTFVESPKEQQCLPSPDEVVLVTAGELRNQEVVEAPRSEPVSNDECAEPKEAEAAASELPVMGVVEVLNTELSPDGENAKLKEPETEQQLSGDNAVVATSELPSKDGMEAGETVQPEEANATADVPPVVEEAEVLNEPSPASNQPLPSPRREDD* >Brasy7G055300.1.p pacid=40047196 transcript=Brasy7G055300.1 locus=Brasy7G055300 ID=Brasy7G055300.1.v1.1 annot-version=v1.1 MVKTCSPLAAASLALLLMMATARAIRLDVETNAALSNQLLNKSVDKGVVIKADGVEPSSSSGESEEAISEEKDRAGHRMPEIHVDYYGPRGHNPRHH* >Brasy7G187600.1.p pacid=40047197 transcript=Brasy7G187600.1 locus=Brasy7G187600 ID=Brasy7G187600.1.v1.1 annot-version=v1.1 MMRRHALQLLARTLRASAPHAPPARYHPQVAALRGWGSRGAPAGFFSTDAAGTAVAAAAAPGAAQAGAKPAAAAATVSGGGGEGGGQDGKSGQGGAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNKSNSVKPGQSVGTAAIGGPFTLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKTKLEIVPVFISVDPERDTVEQVRDYVKEFHQDLIGLTGTTDEVRQVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFFGKNYEVDSLAEGIVKEIREHKSS* >Brasy7G189900.1.p pacid=40047198 transcript=Brasy7G189900.1 locus=Brasy7G189900 ID=Brasy7G189900.1.v1.1 annot-version=v1.1 MREMLDPPPHRGPAYKTKLCALWQRSHHCPRGASCGFAHGGAELRKPPPHSSFPPRIGPPGRRDHRTHDFRGRPERRNSPRGRYSPERDTTGHLFRGQNRRPSSRDRGSSHSRSPINKRRHKKKVDGEKTDSSGSFSTSDNEDRKKDDTQSSGDEKDRSEAQLKQIALDLKLKALREDKSKLQTTLDKKIDETGILSTRVDDLEAQLNKEKQNCERMASKIKKLIKAHGRYMKAQEDLKRSKGRFERLADFLASDSLKSGTKEQGSSMNAGNDDPQSAYEMSADDQGQKHGSKARKRSTAVSTSEEEKSGKKRRVIGDDMIHMSGKYRPEDALESVNKGTDSPKVLSLKKLREGGNNDEGIVVSSRNDFTDRYNGNEEDPVD* >Brasy7G189900.2.p pacid=40047199 transcript=Brasy7G189900.2 locus=Brasy7G189900 ID=Brasy7G189900.2.v1.1 annot-version=v1.1 MREMLDPPPHRGPAYKTKLCALWQRSHHCPRGASCGFAHGGAELRKPPPHSSFPPRIGPPGRRDHRTHDFRGRPERRNSPRGRYSPERDTTGHLFRGQNRRPSSRDRGSSHSRSPINKRHKKKVDGEKTDSSGSFSTSDNEDRKKDDTQSSGDEKDRSEAQLKQIALDLKLKALREDKSKLQTTLDKKIDETGILSTRVDDLEAQLNKEKQNCERMASKIKKLIKAHGRYMKAQEDLKRSKGRFERLADFLASDSLKSGTKEQGSSMNAGNDDPQSAYEMSADDQGQKHGSKARKRSTAVSTSEEEKSGKKRRVIGDDMIHMSGKYRPEDALESVNKGTDSPKVLSLKKLREGGNNDEGIVVSSRNDFTDRYNGNEEDPVD* >Brasy7G092500.1.p pacid=40047200 transcript=Brasy7G092500.1 locus=Brasy7G092500 ID=Brasy7G092500.1.v1.1 annot-version=v1.1 MALAAMPNSGEQQGTGAPPHLVFVPSAGMGHLLPFTRFIADLANENVEISVVAALPTVSAAEAVHFADLFAAFPRIRRIDFNLLPFDESAFPGADPFFLRWESLRRSAHLLGPLIAGATPRASAVVTDVTLASQLIPIAKDELRLPCHILFISCATMLSLLAYFPVYLDGAKSDHLVGDVDIPGVLRLPVSSPPKVLRDPDSLFTKQFIANGRTIAKADGILVNTFHALEPEALSALISGKVVPGFPPVYAVGPLKSSMTMTGTSNKEEGAVAGGGSPMAWLGEQPAGSVVYVAFGNRHGVSPEQIREIGAGLEASGCRFLWVLKTTVVDREDTAELEDVLGDGFLGRVQGRGLVTKEWVDQEAVLQHPAVGLYLSHAGWNSVTESAAYGVPMLVWPTAGDQRVIATVVASAGFGLWMEHWDWESLVSGVEIGEKVKEVMGDEAIKAKAAKVSEEAAKAVAEGGSSHRSMQEFLAKLKPA* >Brasy7G051300.1.p pacid=40047201 transcript=Brasy7G051300.1 locus=Brasy7G051300 ID=Brasy7G051300.1.v1.1 annot-version=v1.1 MEVDAGAEVAETMEVGEVGGEGSQAEEADGIDDASEREEGAALERKEAKKQKKTRPCSPGSAGSRRSWKGRGRRGRGGFHHRPWYGAKNLRSQKLDILNRPGVYGGAIILCNHVTKLESFRQRLFALPEYATSFIRKIRAGMLLFVFEREERKLCGLFEATSDGALNILPSAFPSRRKSKTAQVRFRRVWFCKPLTEAEFSDAIKGLQPHMSFLGISYQQVLNLVHLFSSKRISLELYQKPKSRVIWDYNVSLARAGLESNLHTHSKTFPSRSSSMLCNNRASLPHSSFMYSKQNAKHPHLKPVMFKAPDIIEKGLEPNADFIPLDLDDCKSDSDTVPSDILGPVSFDSALAGRVSYEDQDPESLIGKHNDDDGYQPVLNQSFVSLSETCQNSAIAHFMEERQSSMQGRGCKRRAILQFDEHSELSSPQSCAVAKKVSFNFGGDEISVISDKESHRPALAELEQNREAVTKERKQEGCYSVQDTEIRSGDDSEKRSKLMRLSFAESLAKLYVQSCSRSSQSRPMRSSKLDVHTST* >Brasy7G051300.3.p pacid=40047202 transcript=Brasy7G051300.3 locus=Brasy7G051300 ID=Brasy7G051300.3.v1.1 annot-version=v1.1 MLLFVFEREERKLCGLFEATSDGALNILPSAFPSRRKSKTAQVRFRRVWFCKPLTEAEFSDAIKGLQPHMSFLGISYQQVLNLVHLFSSKRISLELYQKPKSRVIWDYNVSLARAGLESNLHTHSKTFPSRSSSMLCNNRASLPHSSFMYSKQNAKHPHLKPVMFKAPDIIEKGLEPNADFIPLDLDDCKSDSDTVPSDILGPVSFDSALAGRVSYEDQDPESLIGKHNDDDGYQPVLNQSFVSLSETCQNSAIAHFMEERQSSMQGRGCKRRAILQFDEHSELSSPQSCAVAKKVSFNFGGDEISVISDKESHRPALAELEQNREAVTKERKQEGCYSVQDTEIRSGDDSEKRSKLMRLSFAESLAKLYVQSCSRSSQSRPMRSSKLDVHTST* >Brasy7G051300.4.p pacid=40047203 transcript=Brasy7G051300.4 locus=Brasy7G051300 ID=Brasy7G051300.4.v1.1 annot-version=v1.1 MLLFVFEREERKLCGLFEATSDGALNILPSAFPSRRKSKTAQVRFRRVWFCKPLTEAEFSDAIKGLQPHMSFLGISYQQVLNLVHLFSSKRISLELYQKPKSRVIWDYNVSLARAGLESNLHTHSKTFPSRSSSMLCNNRASLPHSSFMYSKQNAKHPHLKPVMFKAPDIIEKGLEPNADFIPLDLDDCKSDSDTVPSDILGPVSFDSALAGRVSYEDQDPESLIGKHNDDDGYQPVLNQSFVSLSETCQNSAIAHFMEERQSSMQGRGCKRRAILQFDEHSELSSPQSCAVAKKVSFNFGGDEISVISDKESHRPALAELEQNREAVTKERKQEGCYSVQDTEIRSGDDSEKRSKLMRLSFAESLAKLYVQSCSRSSQSRPMRSSKLDVHTST* >Brasy7G051300.2.p pacid=40047204 transcript=Brasy7G051300.2 locus=Brasy7G051300 ID=Brasy7G051300.2.v1.1 annot-version=v1.1 MPLKDFNHICLFLVYHTSRFAISIPNDPFFGISYQQVLNLVHLFSSKRISLELYQKPKSRVIWDYNVSLARAGLESNLHTHSKTFPSRSSSMLCNNRASLPHSSFMYSKQNAKHPHLKPVMFKAPDIIEKGLEPNADFIPLDLDDCKSDSDTVPSDILGPVSFDSALAGRVSYEDQDPESLIGKHNDDDGYQPVLNQSFVSLSETCQNSAIAHFMEERQSSMQGRGCKRRAILQFDEHSELSSPQSCAVAKKVSFNFGGDEISVISDKESHRPALAELEQNREAVTKERKQEGCYSVQDTEIRSGDDSEKRSKLMRLSFAESLAKLYVQSCSRSSQSRPMRSSKLDVHTST* >Brasy7G120500.1.p pacid=40047205 transcript=Brasy7G120500.1 locus=Brasy7G120500 ID=Brasy7G120500.1.v1.1 annot-version=v1.1 MVGSANKVVVIVVAAGVASALLAAACVFLAIWLYRRRASVVAGTRSRSLESTTATLRANGAGSVGFDSSVSISVASQSVADWGHHPLPAAKRAAFWGWRGGNNGRDAPQLLSVSGIPKYHYKDLQKATNNFTMILGQGSFGPVYKAVMPTGEVVAVKVLASDSTQGEREFQTEVVLLSRLHHRNLVNLVGYCVEKGQRILIYEFMSNGNLASLLYGDNKRSLSWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVFDGRKSALKGTYGYMDPDYMSTNKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKVDWEEILDKNLLAGSVAEEARVLADVAYRCINKSPRKRPWISEVTQAISRLRQRQLMKHDTMALPRSETRTVLRRIEYQHVELSDLTGLKELTPMRA* >Brasy7G025900.1.p pacid=40047206 transcript=Brasy7G025900.1 locus=Brasy7G025900 ID=Brasy7G025900.1.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKKRTGRVGSKLKECDSEKWKTRVEIEQKECSLKVDKLVEKYSWIATEKQLFGRSGTDYDFESCEPYKARGEFEHLQAQQSGHIFHVKNEINNYIQVYRMFPFKLPTGCHHL* >Brasy7G025900.2.p pacid=40047207 transcript=Brasy7G025900.2 locus=Brasy7G025900 ID=Brasy7G025900.2.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKKRTGRVGSKLKECDSEKWKTSQGGGTVDRSLHINTNTCAPPACMYGMSLPHIGTCS* >Brasy7G025900.3.p pacid=40047208 transcript=Brasy7G025900.3 locus=Brasy7G025900 ID=Brasy7G025900.3.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKKRTGRVGSKLKECDSEKWKTSYQSGDRAEGMLFEG* >Brasy7G025900.4.p pacid=40047209 transcript=Brasy7G025900.4 locus=Brasy7G025900 ID=Brasy7G025900.4.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKK* >Brasy7G025900.5.p pacid=40047210 transcript=Brasy7G025900.5 locus=Brasy7G025900 ID=Brasy7G025900.5.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKK* >Brasy7G025900.6.p pacid=40047211 transcript=Brasy7G025900.6 locus=Brasy7G025900 ID=Brasy7G025900.6.v1.1 annot-version=v1.1 MDLAILDLINLDDVYLAIPELVWTFLILERRYWVRRCTQHPRRLPRAASPTRTSSSSRAAPAWPTSTSCHRISSLSWGGPHSKFSPSRDKAGAEVEEALQCAAESIEELLATGGWAAQMTRDRSQQVCQEASTMTLDNNAMEAKEGWIRTIRARKK* >Brasy7G185400.1.p pacid=40047212 transcript=Brasy7G185400.1 locus=Brasy7G185400 ID=Brasy7G185400.1.v1.1 annot-version=v1.1 MGAEQRRDDMETAADSISDAAAFRMVEHVLQSVSMDPFLVDPSDREQYDILLNILDAVKKRSLDDEALLVTTLKALSEAVSKIDMMYHYMLLNNIFAMCIWFFQRDTRDAYLDLITRLAAVADKYLRECLQVVVNNFTPPGPLVAYMEQPRWIAKKKEIYFQLHECLKTISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMMGLDTDRMGDLIGALLLAKVVDLLTELDVNITLEDINQEEHNKCIFDMELEDLDEDEDGFGQQGTKVLLGGNACAEKLDGLMVVVCEHLKSCAERGYLTKEFDTLKTIFRASLLRVHKSKFAQFIMFYACSLDPEICGLEFAIFLTDTFTKKEEDPISRMSAVSYVGSYLSRARFISADTVVGILKRLVEWCVEYCDLQNKRGTIAKPNHQIFYATCQAVMYVLCFRLRSIMDYPNLKSELFHMPFGFLLTHPLEPLKVCLPSIVNEFLRQARPAGLFTAFVDPAFEDAIESDLSRTFGGVNRLDMFFPFDPYLLKESDRYIRPNFEYWSMVKTTYSNDDGDDDDELGDIDAPGMNVGSLDDDVEIDMNSDDDFEYSMNKMSITPHSPFYHPVATNGDAGLSMPARIRPSVSPPS* >Brasy7G185400.2.p pacid=40047213 transcript=Brasy7G185400.2 locus=Brasy7G185400 ID=Brasy7G185400.2.v1.1 annot-version=v1.1 MGAEQRRDDMETAADSISDAAAFRMVEHVLQSVSMDPFLVDPSDREQYDILLNILDAVKKRSLDDEALLVTTLKALSEAVSKIDMMYHYMLLNNIFAMCIWFFQRDTRDAYLDLITRLAAVADKYLRECLQVVVNNFTPPGPLVAYMEQPRWIAKKKEIYFQLHECLKTISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMMGLDTDRMGDLIGALLLAKVVDLLTELDVNITLEDINQEEHNKCIFDMELEDLDEDEDGFGQQGTKVLLGGNACAEKLDGLMVVVCEHLKSCAERGYLTKEFDTLKTIFRASLLRVHKSKFAQFIMFYACSLDPEICGLEFAIFLTDTFTKKEEDPISRMSAVSYVGSYLSRARFISADTVVGILKRLVEWCVEYCDLQNKRGTIAKPNHQIFYATCQAVMYVLCFRLRSIMDYPNLKSELFHMPFGFLLTHPLEPLKVCLPSIVNEFLRQARPAGLFTAFVDPAFEDAIESDLSRTFGGVNRLDMFFPFDPYLLKESDRYIRPNFEYWSMVKTTYSNDDGDDDDELGDIDAPGMNVGSLDDDVEIDMNSDDDFEYSMNKMSITPHSPFYHPVATNGDAGLSMPARIRPSVSPPS* >Brasy7G185400.3.p pacid=40047214 transcript=Brasy7G185400.3 locus=Brasy7G185400 ID=Brasy7G185400.3.v1.1 annot-version=v1.1 MGAEQRRDDMETAADSISDAAAFRMVEHVLQSVSMDPFLVDPSDREQYDILLNILDAVKKRSLDDEALLVTTLKALSEAVSKIDMMYHYMLLNNIFAMCIWFFQRDTRDAYLDLITRLAAVADKYLRECLQVVVNNFTPPGPLVAYMEQPRWIAKKKEIYFQLHECLKTISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMMGLDTDRMGDLIGALLLAKVVDLLTELDVNITLEDINQEEHNKCIFDMELEDLDEDEDGFGQQGTKVLLGGNACAEKLDGLMVVVCEHLKSCAERGYLTKEFDTLKTIFRASLLRVHKSKFAQFIMFYACSLDPEICGLEFAIFLTDTFTKKEEDPISRLVEWCVEYCDLQNKRGTIAKPNHQIFYATCQAVMYVLCFRLRSIMDYPNLKSELFHMPFGFLLTHPLEPLKVCLPSIVNEFLRQARPAGLFTAFVDPAFEDAIESDLSRTFGGVNRLDMFFPFDPYLLKESDRYIRPNFEYWSMVKTTYSNDDGDDDDELGDIDAPGMNVGSLDDDVEIDMNSDDDFEYSMNKMSITPHSPFYHPVATNGDAGLSMPARIRPSVSPPS* >Brasy7G185400.4.p pacid=40047215 transcript=Brasy7G185400.4 locus=Brasy7G185400 ID=Brasy7G185400.4.v1.1 annot-version=v1.1 MGAEQRRDDMETAADSISDAAAFRMVEHVLQSVSMDPFLVDPSDREQYDILLNILDAVKKRSLDDEALLVTTLKALSEAVSKIDMMYHYMLLNNIFAMCIWFFQRDTRDAYLDLITRLAAVADKYLRECLQVVVNNFTPPGPLVAYMEQPRWIAKKKEIYFQLHECLKTISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMMGLDTDRMGDLIGALLLAKVVDLLTELDVNITLEDINQEEHNKCIFDMELEDLDEDEDGFGQQGTKVLLGGNACAEKLDGLMVVVCEHLKSCAERGYLTKEFDTLKTIFRASLLRVHKSKFAQFIMFYACSLDPEICGLEFAIFLTDTFTKKEEDPISRLVEWCVEYCDLQNKRGTIAKPNHQIFYATCQAVMYVLCFRLRSIMDYPNLKSELFHMPFGFLLTHPLEPLKVCLPSIVNEFLRQARPAGLFTAFVDPAFEDAIESDLSRTFGGVNRLDMFFPFDPYLLKESDRYIRPNFEYWSMVKTTYSNDDGDDDDELGDIDAPGMNVGSLDDDVEIDMNSDDDFEYSMNKMSITPHSPFYHPVATNGDAGLSMPARIRPSVSPPS* >Brasy7G011700.1.p pacid=40047216 transcript=Brasy7G011700.1 locus=Brasy7G011700 ID=Brasy7G011700.1.v1.1 annot-version=v1.1 MASVSPVASGKPRLGVIAGDAAALAPAAVAAQRPEAGVQLLVRRPRYLLLHQGYSFRHMQQAKIDQRLHSIEQSLRDNHKVEHDEIKKIVTFNNISTPACIATALTTSVVGYVNAVIDI* >Brasy7G021800.1.p pacid=40047217 transcript=Brasy7G021800.1 locus=Brasy7G021800 ID=Brasy7G021800.1.v1.1 annot-version=v1.1 MAMEAYCSSLVKDELINGGGGGAGGMRYCEAAPRVSPPVAIKSVKRRKREPPAVSGMTTVSGGGGGSGNGKDGDNSAGNAAAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPTTYTNFPVVDYEKELKVMQGVSREEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSSYIRWLKPNSATNTPPAAAAELAILGGAPAALISPAPAPTMRIPRPLPPLVRGRGGSIADDVSAGGSCVFGSPSPSPSPTTTSALSLLLRSSVFQELVAQQQPPSIVDDDDGVGGQEAVSDAAERAAEENEESFGEVLYGAGEGEAAAAFSCSMYELGLDDSFARIEESLWGCL* >Brasy7G234900.1.p pacid=40047218 transcript=Brasy7G234900.1 locus=Brasy7G234900 ID=Brasy7G234900.1.v1.1 annot-version=v1.1 MASGPFDSPNSSPAAAAFHDDPFLSFDGPAASPATGIDDGFPASPDPYTSAAAGAHPFGMPDSNGALHHDDDGLFSSHNGTGPVLPPPTEMGADEGVLLREWRRQNAILLEKKEEHEKELRSQIILEAEEFKKGFVEKRKLNLETSKDHNRDREKLFLANQEKFHTGADKQYWKAISELIPHEIANIEKRGAKKDKDKKPGIVVVQGPKPGKPTDMARMRQILLKLKHTPPPHMKPPPPPAPAAAAKDGAPAAAGKDGAKAAAPAPANGTVPEMEKAATAATPAAAPAPAAEPIAAA* >Brasy7G229100.1.p pacid=40047219 transcript=Brasy7G229100.1 locus=Brasy7G229100 ID=Brasy7G229100.1.v1.1 annot-version=v1.1 MRGAVLVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLETHPAIEGLVVATSLIGATIITTFSGPVADMVGRRPMLIASSILYFLGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSWGMCMSYTMIFVMTLKADPSWRIMLGVLFAPSLVYLVLTVFFLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTAGETEIEEYVVGPAEGEVGGGGGEDRETVTLYGPEQGLSWVAQPVAGGRGSMLGSALGLGGNGGLARQGSMFDHMKDPVVALLGSVHERLPASEGVGSIRGSTLFPNLGSMLSVSDRAGAGAGGAAAGGAWDEENVAPDQDEDEEEEYLSDDEGGKGLQAPLLSRQSTATNNEAAAAAAAGQSQASMQRYSSIGGGGEAASTMGIGGGWQLAWKWTEKVGPDGVKRGGVKRMYLHEEAEGGAAGARPAGGEYVHAAALVSQSMLYTKDVLIGQSPTEPAFANPPESVAAKATDSGPASRWSELMQPGVRHALFCGMMIQILQQFSGINGVLYYTPQILDQAGVSVLLSSLGLSADSTSILISGLTTLLMLPSIGIAMRLMDVSGRRSLLLWTIPVLICALLVLIVANVVPMATTLHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWIGDIVVTYSLPVMLSSVGLAGVFGFYAFVCCLALVFVALKVPETKGLPLEVIIEFFNVGAKGLPEVSD* >Brasy7G074400.1.p pacid=40047220 transcript=Brasy7G074400.1 locus=Brasy7G074400 ID=Brasy7G074400.1.v1.1 annot-version=v1.1 MHWHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELDGCGSAAPMAQMITP* >Brasy7G173200.1.p pacid=40047221 transcript=Brasy7G173200.1 locus=Brasy7G173200 ID=Brasy7G173200.1.v1.1 annot-version=v1.1 MALTLATARAAAGRREDEEPKPDPPAAIFLEVGGTPRRYPSRPDPEGGIGTGDREMVEGLASGDGERLGVGVGVGVESSRRCSTGSGDRLTVGVDISSSSCSPVSLKVASKSTSSMAGRRRAGRGRGERRWRRSRGDWGVGDWEKASSVRGDWGVRVFGSGSGSGTTRPGPARPDRSENYPFTSPRV* >Brasy7G163800.1.p pacid=40047222 transcript=Brasy7G163800.1 locus=Brasy7G163800 ID=Brasy7G163800.1.v1.1 annot-version=v1.1 MAMQPLKVYADRHSQPSRAIIIFCRANRIDFDEVTVDLFKAQHLTPEYKKINPMGQVPAIVDGRFRLFESHAILRYLASVFPGIPDHWYPADLFTRAKIESILDWHHSNLRRGAVTFVLNTALGPSLGLTTSPKAAKEGEKLLVRSLRTIESVWLKGDAKFLNGNPQPSIADLSLVCEIMQLEFVGDDRRDRILGPHEKIRNWMENVKKATSPHFEEVHELLFKVKAQLSSAPHLKTASKL* >Brasy7G142300.1.p pacid=40047223 transcript=Brasy7G142300.1 locus=Brasy7G142300 ID=Brasy7G142300.1.v1.1 annot-version=v1.1 MGSDSGTCPAGAGAFSAGSSSLDPQHVHDLLIRRLPHDLLRYLDSIPGSSTDIHCHRIRLAGRILLPEISSSASDWLREGLEEQPDHRSRVLYLARYARCQAQASRLCVAQYEACANSPIAPDAEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYCTLAEGDSSNCFFIATGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G142300.2.p pacid=40047224 transcript=Brasy7G142300.2 locus=Brasy7G142300 ID=Brasy7G142300.2.v1.1 annot-version=v1.1 MGSDSGTCPAGAGAFSAGSSSLDPQHVHDLLIRRLPHDLLRYLDSIPGSSTDIHCHRIRLAGRILLPEISSSASDWLREGLEEQPDHRSRVLYLARYARCQAQASRLCVAQYEACANSPIAPDAEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYSTGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G142300.3.p pacid=40047225 transcript=Brasy7G142300.3 locus=Brasy7G142300 ID=Brasy7G142300.3.v1.1 annot-version=v1.1 MGSDSGTCPAGAGAFSAGSSSLDPQHVHDLLIRRLPHDLLRYLDSIPGSSTDIHCHRIRLAGRILLPEISRLCVAQYEACANSPIAPDAEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYCTLAEGDSSNCFFIATGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G142300.4.p pacid=40047226 transcript=Brasy7G142300.4 locus=Brasy7G142300 ID=Brasy7G142300.4.v1.1 annot-version=v1.1 MGSDSGTCPAGAGAFSAGSSSLDPQHVHDLLIRRLPHDLLRYLDSIPGSSTDIHCHRIRLAGRILLPEISRLCVAQYEACANSPIAPDAEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYSTGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G142300.5.p pacid=40047227 transcript=Brasy7G142300.5 locus=Brasy7G142300 ID=Brasy7G142300.5.v1.1 annot-version=v1.1 MNFLLPRSWRINMKVMVLRIQFLSEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYCTLAEGDSSNCFFIATGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G142300.6.p pacid=40047228 transcript=Brasy7G142300.6 locus=Brasy7G142300 ID=Brasy7G142300.6.v1.1 annot-version=v1.1 MNFLLPRSWRINMKVMVLRIQFLSEVMHSCSSRRVTSVMLSPTRENLNDHISPATISCSRTQPHRHCNYSTGEGQVGPISQYKSTFSIQQELTNSGSSPRTPCARLSPTLKGLNDAIGEAQDMPIHQYRYTRSTYSVPPGCPFPKLLYPSELVSLITEVQPVVEEDVKRLFITTLNNSPEWRRNLLRSGPPHVPNKENLSKALCSPCGSRSRLSSAAREAVVPRPDAPGQQTGSVPAAPFGCAVFASSRYSWPGMARVLPEEQ* >Brasy7G197100.1.p pacid=40047229 transcript=Brasy7G197100.1 locus=Brasy7G197100 ID=Brasy7G197100.1.v1.1 annot-version=v1.1 MRYFSSSVGLPQRSTPGVQGTAREPSVPKISQRPCPGMFPPGSELDRANLDSPTPRPRPRNVPCRLRARWRKSRLADADAVQPGYSKRDHKAYKEISAGVMDCYIGLDRPCRRYGVYIFSLSLKQNGMLFGLKQTNMIEKEREKPCHHPTIPETEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEIFSVKERRSNITSIMKTLDK* >Brasy7G215200.1.p pacid=40047230 transcript=Brasy7G215200.1 locus=Brasy7G215200 ID=Brasy7G215200.1.v1.1 annot-version=v1.1 MAAAGESTGRRILDYLNDGEELGLAEPASTPRAVVAARSLLPRFRWARLSRLGRNCGGGKGRPPVLELEEEDDEIAVEKGELKPSMGASAPSTAATAAADDGGDTRPPNPDIGVGLSLVFLLAKTSDEFRKMAQVRAEMETLMEEFKSGQARRSSSVDDDGDVSGARRDPESAASSCLTTELRVASSARLEDHAGVGFDEKSSSDDGCCSGSGKMDVLEEEFHAELERLHVNYGSGSDTPPGERDTATEWADDGDYRQGSDGGDFEGDNMEDEHEDDGDKGEDDGEEEEERNGVSAVELERRLHELLHQRHQERIEELEAALRRAERKIVEKEMEAAMWKDTARMALRRDDDDDDHELQ* >Brasy7G127400.1.p pacid=40047231 transcript=Brasy7G127400.1 locus=Brasy7G127400 ID=Brasy7G127400.1.v1.1 annot-version=v1.1 MRGASTFLASRSRSLTSACRPAASSPPVPAPTASSVPDACASCCARRPMHRAPCDCRLLRLCRAARCQIRRCRPRGPPDCCPCAASCCAPLPAASRRPLRCVLAAFAGGMCRDSTIARKIAPGAASRSSTIGSLFSRSRILT* >Brasy7G127400.3.p pacid=40047232 transcript=Brasy7G127400.3 locus=Brasy7G127400 ID=Brasy7G127400.3.v1.1 annot-version=v1.1 MRGASTFLASRSRSLTSACRPAASSPPVPAPTASSVPDACASCCARRPMHRAPCDCRLLRLCRAARCQIRRCRPRGPPDCCPCAASCCAPLPAASRRPLRCVLAAFAGGMCRDSTIARKIAPGAASRSSTIGSLFSRSRILT* >Brasy7G127400.4.p pacid=40047233 transcript=Brasy7G127400.4 locus=Brasy7G127400 ID=Brasy7G127400.4.v1.1 annot-version=v1.1 MRGASTFLASRSRSLTSACRPAASSPPVPAPTASSVPDACASCCARRPMHRAPCDCRLLRLCRAARCQIRRCRPRGPPDCCPCAASCCAPLPAASRRPLRCVLAAFAGGMCRDSTIARKIAPGAASRSSTIGSLFSRSRILT* >Brasy7G127400.2.p pacid=40047234 transcript=Brasy7G127400.2 locus=Brasy7G127400 ID=Brasy7G127400.2.v1.1 annot-version=v1.1 MRGASTFLASRSRSLTSACRPAASSPPVPAPTASSVPDACASCCARRPMHRAPCDCRLLRLCRAARCQIRRCRPRGPPDCCPCAASCCAPLPAASRRPLRCVLAAFAGGMCR* >Brasy7G205200.1.p pacid=40047235 transcript=Brasy7G205200.1 locus=Brasy7G205200 ID=Brasy7G205200.1.v1.1 annot-version=v1.1 MITGRDIYDVLAAVVPLYVAMFMAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYAMDYRFLAADSLQKLVILAALALWHNLLSRFRPNNGPGPGPAQLDWTITLFSLATLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREPLQADAEVGTDGRVHVVIRRSASGSTTGAGHGGGYGGHGRASRGMTPRASNLTGVEIYSLQTSREPTPRQSSFNQNDFYSMFSAAGTGTGTSKLASPSPMGQQGQNGNNGGARVGLDEQVANKFKGGVDYPAPNPGMMAAPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVISHAASLPAAASATPRDAAATPKGVSGTVTPVTKKKDGVEVEIEDGMMKSPAGLAAKFPVTGSPYVAPRKKGAGGGLVEEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPTIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKSVATFAMAVRFLTGPAVIAATSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI* >Brasy7G018400.1.p pacid=40047236 transcript=Brasy7G018400.1 locus=Brasy7G018400 ID=Brasy7G018400.1.v1.1 annot-version=v1.1 MVPTPMGNFIPISQVREDVASAYREELEWQARNMLLPFKKMCAQRQVEAEAVLIESNDVPSAISEEIDKFNICKLVLGSSSKGIFRRKLKGSKTASKICECIPSFCTAYVVAKGKLSFVHSATSDASETPKSISSLTVSSPSTRSVSSTTPSEWVDPNGTASFDRPSLSSQRDHALANTNRLSNRGANPSGSAGSEISYYDDTALMTNSHSIESEAHFSSSSNSSWNSVYKSFRRDSFPDSSDLHAVVSEIATNLKHSQEQDGLKLEIERMKVKLQHLQKLHEIAHNESVDSTQKAHNNSGIRRFEDEVKLKEIDLTEEMVRRLVTRMERQEQGVDRTEEQPNQGSERKATDSNGDAGENRIGEKIVGRCFTKYNRYSWEQIQASTSSFSSDLMIGKGSYGTVYKAKFQHTVAAVKVLNSLEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDVLLHRRRRDSSTPPLAWFDRVRIAWEVAAAVLFLHSAQPDPIIHRDLKPGNILLDRNLAAKVGDAGLSTALQLPSAMAGGGGGTMVKHTAPVGTFCYIDPEYQRTGAVSAKSDVYALGVVLLQLLTGRPPMGLAHAVETALDLDLDPATGGGAFAEMLDATAGEWPLEEARELAALALRCAEMRRRDRPGLREHVLPALERMKHLAAKAAAAAREKNTALPLGSATPTPSHFLCPILQEVMADPCVASDGYTYDRKAIEVWLGMNTKSPMTNLRLQSRSLIPNHSLRSAIMDWRTSRSR* >Brasy7G018400.2.p pacid=40047237 transcript=Brasy7G018400.2 locus=Brasy7G018400 ID=Brasy7G018400.2.v1.1 annot-version=v1.1 MVPTPMGNFIPISQVREDVASAYREELEWQARNMLLPFKKMCAQRQVEAEAVLIESNDVPSAISEEIDKFNICKLVLGSSSKGIFRRKLKGSKTASKICECIPSFCTAYVVAKGKLSFVHSATSDASETPKSISSLTVSSPSTRSVSSTTPSEWVDPNGTASFDRPSLSSQRDHALANTNRLSNRGANPSGSAGSEISYYDDTALMTNSHSIESEAHFSSSSNSSWNSVYKSFRRDSFPDSSDLHAVVSEIATNLKHSQEQDGLKLEIERMKVKLQHLQKLHEIAHNESVDSTQKAHNNSGIRRFEDEVKLKEIDLTEEMVRRLVTRMERQEQGVDRTEEQPNQGSERKATDSNGDAGENRIGEKIVGRCFTKYNRYSWEQIQASTSSFSSDLMIGKGSYGTVYKAKFQHTVAAVKVLNSLEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDVLLHRRRRDSSTPPLAWFDRVRIAWEVAAAVLFLHSAQPDPIIHRDLKPGNILLDRNLAAKVGDAGLSTALQLPSAMAGGGGGTMVKHTAPVGTFCYIDPEYQRTGAVSAKSDVYALGVVLLQLLTGRPPMGLAHAVETALDLDLDPATGGGAFAEMLDATAGEWPLEEARELAALALRCAEMRRRDRPGLREHVLPALERMKHLAAKAAAAAREKNTALPLGSATPTPSHFLCPILQEVMADPCVASDGYTYDRKAIEVWLGMNTKSPMTNLRLQSRSLIPNHSLRSAIMDWRTSRSR* >Brasy7G018400.3.p pacid=40047238 transcript=Brasy7G018400.3 locus=Brasy7G018400 ID=Brasy7G018400.3.v1.1 annot-version=v1.1 MLLPFKKMCAQRQVEAEAVLIESNDVPSAISEEIDKFNICKLVLGSSSKGIFRRKLKGSKTASKICECIPSFCTAYVVAKGKLSFVHSATSDASETPKSISSLTVSSPSTRSVSSTTPSEWVDPNGTASFDRPSLSSQRDHALANTNRLSNRGANPSGSAGSEISYYDDTALMTNSHSIESEAHFSSSSNSSWNSVYKSFRRDSFPDSSDLHAVVSEIATNLKHSQEQDGLKLEIERMKVKLQHLQKLHEIAHNESVDSTQKAHNNSGIRRFEDEVKLKEIDLTEEMVRRLVTRMERQEQGVDRTEEQPNQGSERKATDSNGDAGENRIGEKIVGRCFTKYNRYSWEQIQASTSSFSSDLMIGKGSYGTVYKAKFQHTVAAVKVLNSLEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDVLLHRRRRDSSTPPLAWFDRVRIAWEVAAAVLFLHSAQPDPIIHRDLKPGNILLDRNLAAKVGDAGLSTALQLPSAMAGGGGGTMVKHTAPVGTFCYIDPEYQRTGAVSAKSDVYALGVVLLQLLTGRPPMGLAHAVETALDLDLDPATGGGAFAEMLDATAGEWPLEEARELAALALRCAEMRRRDRPGLREHVLPALERMKHLAAKAAAAAREKNTALPLGSATPTPSHFLCPILQEVMADPCVASDGYTYDRKAIEVWLGMNTKSPMTNLRLQSRSLIPNHSLRSAIMDWRTSRSR* >Brasy7G084300.1.p pacid=40047239 transcript=Brasy7G084300.1 locus=Brasy7G084300 ID=Brasy7G084300.1.v1.1 annot-version=v1.1 MAPKKKVKLETSFCCPFCNHADSVDCTIDLKLRIAVASCCVCKEEVYCTKAHALLWIDECEKANQGVVRR* >Brasy7G238100.1.p pacid=40047240 transcript=Brasy7G238100.1 locus=Brasy7G238100 ID=Brasy7G238100.1.v1.1 annot-version=v1.1 MEQDRTVLTKAVRSVQLLKIEGYCETNLMDESVKSKWNVDGHEWEVHFYPNYYNAYDYKYRRVAVKLLLPVNLSCRLVDTSHRRLDPSPEKSLSRVFPSCDGCTVTLMRRSDIPSSGYLVNDSLTVQCTITVLKEMSDVVIPANTEAPALPVPPSDLHLHFGELWEGQRGADVTFVLDTGESFPAHKIILAARSPVFMAQFLGGMNERSSQSIRIEDMEAGVFKAMLHFIYTDRAPELDEESEVAMAVAQHLLAAADRYGLDRLKLICEGKLSGGISTDTGYHHLVASCPLVLSELLRAARGRRI* >Brasy7G028700.1.p pacid=40047241 transcript=Brasy7G028700.1 locus=Brasy7G028700 ID=Brasy7G028700.1.v1.1 annot-version=v1.1 MGSPQMDWQARAGQPQSGELRRWTATTTASEHGKGLLLEKQGKARPSASSIAAQSAGSLLGMAGSGPGMVGSGGEEGRKKRRERAGDRQGGEGGEKGERAGREEKGNSPRRRGAGGAGHGWAARVRREARRGAGRLGNSEMRENGGGGAW* >Brasy7G036700.1.p pacid=40047242 transcript=Brasy7G036700.1 locus=Brasy7G036700 ID=Brasy7G036700.1.v1.1 annot-version=v1.1 MRPVDAATGHRTTHPTNDTDGDRRRRSHAPPAALRLGPGMGSRAGAYCADGDETRENSRIRRPRIGGYWSTLVFTKKEDKVNVWP* >Brasy7G086400.1.p pacid=40047243 transcript=Brasy7G086400.1 locus=Brasy7G086400 ID=Brasy7G086400.1.v1.1 annot-version=v1.1 MEQQAPVEGPVLRNTVTLVLDGSDEEVLLSYRASIAHLRGWNRQNGIRVNVSAFFGNQRELYTTPTRGTFYFVVRYQGRYLVFVIHGRTRWLVGYVGINGLFEMISDEQSTHYMASNYTRWLNFKGNHRYISGSDPGATPIGPHPLRNAFDSVWPYDGETPEPENFRRSIGVFEVHICEVKCQHIFLRICSSFTDPSVNRLRDSAAPGMQIECFIESWSFLCSEAMYYVDCLVQNTAVPAINNRGIELISTAEDILWAIRILYVDSFFEGVFAHEPSPAPPRFWEPVDAGEGDVVDIPYFQDNNDNDDESDDDDCKKKRRRWERKEKKQRRTQIRTFEAKIIRGIPGGTPAEIPPGILANWLQVTPTGSCFREVPEMQTLSQIKFWAITDEGLNQISPGRLLAESINSSYFTEVTDSWLAPASRCSNLNVLAARACVVSWTDEPYQVTPSTGSWFTRDLKLQTVRVDGSQIIVDELEPTRSFSALRESSLIKCLDMSGADTSSVLSRLKNLRKLGLTCNRIMIDVLLAAITSPCLSPTSLRVECCACSSSQCLQMTRKRYCNLKELVDCDLDDADLLCCTEITDILLMPPSKCNAQAERAVFYHGQSEPHQVEKESPTFGISLKIYLNNEDLPH* >Brasy7G086400.2.p pacid=40047244 transcript=Brasy7G086400.2 locus=Brasy7G086400 ID=Brasy7G086400.2.v1.1 annot-version=v1.1 MEQQAPVEGPVLRNTVTLVLDGSDEEVLLSYRASIAHLRGWNRQNGIRVNVSAFFGNQRELYTTPTRGTFYFVVRYQGRYLVFVIHGRTRWLVGYVGINGLFEMISDEQSTHYMASNYTRWLNFKGNHRYISGSDPGATPIGPHPLRNAFDSVWPYDGETPEPENFRRSIGVFEVHICEVKCQHIFLRICSSFTDPSVNRLRDSAAPGMQIECFIESWSFLCSEAMYYVDCLVQNTAVPAINNRGIELISTAEDILWAIRILYVDSFFEGVFAHEPSPAPPRFWEPVDAGEGDVVDIPYFQDNNDNDDESDDDDCKKKRRRWERKEKKQRRTQIRTFEAKIIRGIPGGTPAEIPPGILANWLQVTPTGSCFREVPEMQTLSQIKFWAITDEGLNQISPGRLLAESINSSYFTEVTDSWLAPASRCSNLNVLAARACVVSWTDEPYQVTPSTGSWFTRDLKLQTVRVDGSQIIVDELEPTRSFSALRESSLIKCLDMSGADTSSVLSRLKNLRKLGLTCNRIMIDVLLAAITSPCLSPTSLRVECCACSSSQCLQMTRKRYCNLKELVDCDLDDAEITDILLMPPSKCNAQAERAVFYHGQSEPHQVEKESPTFGISLKIYLNNEDLPH* >Brasy7G127300.1.p pacid=40047245 transcript=Brasy7G127300.1 locus=Brasy7G127300 ID=Brasy7G127300.1.v1.1 annot-version=v1.1 MYSSYSAAALALRTAKPSYNSHSSYLPSHHCQRYDGDGGQRELLPLNAHLFPRFLLDGYLLRHSTHLLLLSSRIRPPPPPHPPHCFRQRAAARCCCSGGYRSGRSGFENVYWRPEARGHRCCGQGTGSSDLGMVRRQLKARGCGCGSSGSGRLGLGTSCGHRDTPRLLGRAVRQEVWEYDGGEWPHRGCSMECRCDWEEEEEGDECGFAQREVPGRSLLRRRWKEEEEEDGDRCRDCRRRKGVEIDYCDEGEYNGHRREMRDVNGVHGKFLDSNRRRLEQRGYYGDDDDLHTRRRREMIGRERRDFELDDAFDMKRVGSRRYSENDRKYDWRRERRDSECEDVFDAGRVRAGRYTEDDRRFDRRRERMDFEIDSDDDMRIEERHHRNNDERYVMRNPRRKEDRAEVVSLLESHRWHDNECDNDDQDIAGQKHYSGRFPRSASALRKDDSQRTSSSRNTVDTRLAREDSALRVRWHDNVDRRAAQTLQERDQQYSSSVGWSNDEYDCDDAQLIRARDSRRGTQDVKVITEDDTRLISSSKNTSVLKHGSNVDQQIAARKDESKKISQRIMETSEVRGHNTELDSATRSSNQEDRRNCIDNKSSSLQSSVKMTSDSRRQINQHDKVDQSVVAFTESRTNSEKLTDIKMDSGHNVSRASHSERNYEEVNQMDLNDRSTSIENITHITRDKKRYVNQQVIHETDIDVQNVSHVDVSKVRASDILVSRTSQNQSETRSDVNSISNVSAIDRARGQQEQIHQNEIIISDSPTVRGSQSHLGTGVYGQVHLTSVIDSTKEMQEQVENTIARTNNAAMPSTSESHIPTRTDGQFQPSSSVNTVGSVEQQIDLTKIHDSDTVFVSNSYNRSDHEARRTKPVNLVDKARDSHDKNDQKIRGGSSTERSDQSRTKFSESFQDSRETLTRVEETGRLMQHNMALNSQQAGNSRISDDKDTTSLEMESSEEASIFNADIKQQAIIHGGRETTAGQSMLGVSSARKSASESLLESAARLEKSSTFHVGQFVGELQRGLSDADTTLTKKNEKSIMEGTMRSSSRSRMKGPSDEIWDVQSATSQETFKTADKEEGSSADGGTNSASQTPKSESVIAKRVHKSLWAYVADIVRLGWVQRGESHDPRNKSFNKSSSSNSQSTEGWLSSQGHDNDRIQKRNWGTKPKEHQLMKSQSGESESRLASALKDENLPTGTQGLQISEAGHVPEVGSSKGDFVPRISKDAVQISGERVKAEQSEGGASSKGNIKGGLAEASTSTSVDVTVGHLPEHEAAISSSITTKRSTEINVGKGILGGASSTINADEVGQNDDKDNWRYGPSAAITPYHHPQTQAMVPHDNMSYTFLESAELPTGGFTRMEEKIVVQQAPEVIRTEGKDAELKRRKIQRNKQVLKETFDEWEEAYQHDAKQRKTDELFMREALLEAQRAADIWEVPVGAVLVHNGEIIARGCNLVEDLRDSTAHAEICCIREASNKLKTWRLAETTLYVTLEPCAMCAGAILQARVDTVVWGAPTSFLEPMAAGLATVALIGFSLVMGKQAHWIQQTRTKRQDLSTLSIQR* >Brasy7G127300.3.p pacid=40047246 transcript=Brasy7G127300.3 locus=Brasy7G127300 ID=Brasy7G127300.3.v1.1 annot-version=v1.1 MYSSYSAAALALRTAKPSYNSHSSYLPSHHCQRYDGDGGQRELLPLNAHLFPRFLLDGYLLRHSTHLLLLSSRIRPPPPPHPPHCFRQRAAARCCCSGGYRSGRSGFENVYWRPEARGHRCCGQGTGSSDLGMVRRQLKARGCGCGSSGSGRLGLGTSCGHRDTPRLLGRAVRQEVWEYDGGEWPHRGCSMECRCDWEEEEEGDECGFAQREVPGRSLLRRRWKEEEEEDGDRCRDCRRRKGVEIDYCDEGEYNGHRREMRDVNGVHGKFLDSNRRRLEQRGYYGDDDDLHTRRRREMIGRERRDFELDDAFDMKRVGSRRYSENDRKYDWRRERRDSECEDVFDAGRVRAGRYTEDDRRFDRRRERMDFEIDSDDDMRIEERHHRNNDERYVMRNPRRKEDRAEVVSLLESHRWHDNECDNDDQDIAGQKHYSGRFPRSASALRKDDSQRTSSSRNTVDTRLAREDSALRVRWHDNVDRRAAQTLQERDQQYSSSVGWSNDEYDCDDAQLIRARDSRRGTQDVKVITEDDTRLISSSKNTSVLKHGSNVDQQIAARKDESKKISQRIMETSEVRGHNTELDSATRSSNQEDRRNCIDNKSSSLQSSVKMTSDSRRQINQHDKVDQSVVAFTESRTNSEKLTDIKMDSGHNVSRASHSERNYEEVNQMDLNDRSTSIENITHITRDKKRYVNQQVIHETDIDVQNVSHVDVSKVRASDILVSRTSQNQSETRSDVNSISNVSAIDRARGQQEQIHQNEIIISDSPTVRGSQSHLGTGVYGQVHLTSVIDSTKEMQEQVENTIARTNNAAMPSTSESHIPTRTDGQFQPSSSVNTVGSVEQQIDLTKIHDSDTVFVSNSYNRSDHEARRTKPVNLVDKARDSHDKNDQKIRGGSSTERSDQSRTKFSESFQDSRETLTRVEETGRLMQHNMALNSQQAGNSRISDDKDTTSLEMESSEEASIFNADIKQQAIIHGGRETTAGQSMLGVSSARKSASESLLESAARLEKSSTFHVGQFVGELQRGLSDADTTLTKKNEKSIMEGTMRSSSRSRMKGPSDEIWDVQSATSQETFKTADKEEGSSADGGTNSASQTPKSESVIAKRVHKSLWAYVADIVRLGWVQRGESHDPRNKSFNKSSSSNSQSTEGWLSSQGHDNDRIQKRNWGTKPKEHQLMKSQSGESESRLASALKDENLPTGTQGLQISEAGHVPEVGSSKGDFVPRISKDAVQISGERVKAEQSEGGASSKGNIKGGLAEASTSTSVDVTVGHLPEHEAAISSSITTKRSTEINVGKGILGGASSTINADEVGQNDDKDNWRYGPSAAITPYHHPQTQAMVPHDNMSYTFLESAELPTGGFTRMEEKIVVQQAPEVIRTEGKDAELKRRKIQRNKQVLKETFDEWEEAYQHDAKQRKTDELFMREALLEAQRAADIWEVPVGAVLVHNGEIIARGCNLVEDLRDSTAHAEICCIREASNKLKTWRLAETTLYVTLEPCAMCAGAILQARVDTVVWGAPTSFLEPMAAGLGFSLVMGKQAHWIQQTRTKRQDLSTLSIQR* >Brasy7G127300.2.p pacid=40047247 transcript=Brasy7G127300.2 locus=Brasy7G127300 ID=Brasy7G127300.2.v1.1 annot-version=v1.1 MYSSYSAAALALRTAKPSYNSHSSYLPSHHCQRYDGDGGQRELLPLNAHLFPRFLLDGYLLRHSTHLLLLSSRIRPPPPPHPPHCFRQRAAARCCCSGGYRSGRSGFENVYWRPEARGHRCCGQGTGSSDLGMVRRQLKARGCGCGSSGSGRLGLGTSCGHRDTPRLLGRAVRQEVWEYDGGEWPHRGCSMECRCDWEEEEEGDECGFAQREVPGRSLLRRRWKEEEEEDGDRCRDCRRRKGVEIDYCDEGEYNGHRREMRDVNGVHGKFLDSNRRRLEQRGYYGDDDDLHTRRRREMIGRERRDFELDDAFDMKRVGSRRYSENDRKYDWRRERRDSECEDVFDAGRVRAGRYTEDDRRFDRRRERMDFEIDSDDDMRIEERHHRNNDERYVMRNPRRKEDRAEVVSLLESHRWHDNECDNDDQDIAGQKHYSGRFPRSASALRKDDSQRTSSSRNTVDTRLAREDSALRVRWHDNVDRRAAQTLQERDQQYSSSVGWSNDEYDCDDAQLIRARDSRRGTQDVKVITEDDTRLISSSKNTSVLKHGSNVDQQIAARKDESKKISQRIMETSEVRGHNTELDSATRSSNQEDRRNCIDNKSSSLQSSVKMTSDSRRQINQHDKVDQSVVAFTESRTNSEKLTDIKMDSGHNVSRASHSERNYEEVNQMDLNDRSTSIENITHITRDKKRYVNQQVIHETDIDVQNVSHVDVSKVRASDILVSRTSQNQSETRSDVNSISNVSAIDRARGQQEQIHQNEIIISDSPTVRGSQSHLGTGVYGQVHLTSVIDSTKEMQEQVENTIARTNNAAMPSTSESHIPTRTDGQFQPSSSVNTVGSVEQQIDLTKIHDSDTVFVSNSYNRSDHEARRTKPVNLVDKARDSHDKNDQKIRGGSSTERSDQSRTKFSESFQDSRETLTRVEETGRLMQHNMALNSQQAGNSRISDDKDTTSLEMESSEEASIFNADIKQQAIIHGGRETTAGQSMLGVSSARKSASESLLESAARLEKSSTFHVGQFVGELQRGLSDADTTLTKKNEKSIMEGTMRSSSRSRMKGPSDEIWDVQSATSQETFKTADKEEGSSADGDIVRLGWVQRGESHDPRNKSFNKSSSSNSQSTEGWLSSQGHDNDRIQKRNWGTKPKEHQLMKSQSGESESRLASALKDENLPTGTQGLQISEAGHVPEVGSSKGDFVPRISKDAVQISGERVKAEQSEGGASSKGNIKGGLAEASTSTSVDVTVGHLPEHEAAISSSITTKRSTEINVGKGILGGASSTINADEVGQNDDKDNWRYGPSAAITPYHHPQTQAMVPHDNMSYTFLESAELPTGGFTRMEEKIVVQQAPEVIRTEGKDAELKRRKIQRNKQVLKETFDEWEEAYQHDAKQRKTDELFMREALLEAQRAADIWEVPVGAVLVHNGEIIARGCNLVEDLRDSTAHAEICCIREASNKLKTWRLAETTLYVTLEPCAMCAGAILQARVDTVVWGAPTSFLEPMAAGLGFSLVMGKQAHWIQQTRTKRQDLSTLSIQR* >Brasy7G105700.1.p pacid=40047248 transcript=Brasy7G105700.1 locus=Brasy7G105700 ID=Brasy7G105700.1.v1.1 annot-version=v1.1 MICAISGEVPEEPVLSKNSGLLFERRLIERYIEDHGKCPVTKDELAMGDLVAVKTNKITKPRPLQAASVPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRTLLAQAERQIPISAAGAAPVAVVTNGKRALEDEVGPDGKKMRPGINPVMIDELTECNSMLSAQRKKRQVPPSLASIDALERYTQVSSHPLHKTNKPGILSIDIHHSKDIIATGGIDTNAVLFDRPSGQVLSTLTGHSKKITSLKFVPRDELLITGSADKTVRIWQGSEDGNYGCRHTLKDHNAEVQAVTVHATQKYFVTASRDNTWCFYDLSTGSCLTQVGEASGQDGYTAAAFHPDGLILGTGTSEAVVKIWDVKTQSNVAKFDGHVGAVTAMSFSENGYFLATAAVDGVKLWDLRKLRNFRTISAFDPDTPTSSVEFDSSGSYLAVAGSDIRVYQVANVKMEWNLVKTLPDLSGTGKVTCVKFGTDAKYVAVGSMDRNLRIFGLPGDEQMEEAKSPVNE* >Brasy7G184600.1.p pacid=40047249 transcript=Brasy7G184600.1 locus=Brasy7G184600 ID=Brasy7G184600.1.v1.1 annot-version=v1.1 MPTLTCNACNAAFEEEEEQRLHYRSEWHRYNLKRKVAGVPGVTEALFMARQTALAEGTNSASAPMSYSCALCGKGYRSSKAHAQHLTTRSHLMRASQEPNASTAAVVKPLPERVPRRGPSPMEEDEDEDEDEDEEEEWVEVDPSELESTSNMQVDEHSSKSDNDMDELEVLDPSFCFMCDLKHDSIEDCMIHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFLCLYCNDRCQPFQSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLVAADDVENNIELGGGGSELVITKKSEQGKRVTTLGSREFIRYYRQKPRPSVPADRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNKSGVETMRTKIGMKSNVIRNLPKNVPY* >Brasy7G035400.1.p pacid=40047250 transcript=Brasy7G035400.1 locus=Brasy7G035400 ID=Brasy7G035400.1.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQKAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLRQELCHAILEERRKYMYKRPRSQTQGKAKNERKTAAPSEQALLIDRAEKNTKTTRLRGHGGESKLMRVDSITGHHGDDESKDNVSSIKIFSSIVGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G035400.2.p pacid=40047251 transcript=Brasy7G035400.2 locus=Brasy7G035400 ID=Brasy7G035400.2.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQKAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLRQELCHAILEERRKYMYKRPRSQTQGKAKNERKTAAPSEQALLIDRAEKNTKTTRLRGHGGESKLMRVDSITGHHGDDESKDNVSSIKIFSSIVGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G035400.3.p pacid=40047252 transcript=Brasy7G035400.3 locus=Brasy7G035400 ID=Brasy7G035400.3.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQKAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLSGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G035400.4.p pacid=40047253 transcript=Brasy7G035400.4 locus=Brasy7G035400 ID=Brasy7G035400.4.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQKAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLSGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G035400.5.p pacid=40047254 transcript=Brasy7G035400.5 locus=Brasy7G035400 ID=Brasy7G035400.5.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLSGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G035400.6.p pacid=40047255 transcript=Brasy7G035400.6 locus=Brasy7G035400 ID=Brasy7G035400.6.v1.1 annot-version=v1.1 MSAAAAAASCDDGKLQSFLQWLQANGADLRGCTIRACDRNKGFGVYSTAAEGCASDGVIMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPTSLWKPYLDMLPSTFGSSVWFSDDELAELEGTTLHRATVMQRKSLQTLFDDKVKGLVEELLRVDESGSSTEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSVNEQQTRTGEVLGDSSITIQQETDITAKSNSGDENSESRNMESIWVEGLVPGIDFCNHNVKALATWEVDSVGDTTGVPASMYLMLVQAGNSSVEAGTEIFINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQVKSADIKMKLIEMQAELRCLLPRSLLDNGFFGNSTNSVEDDDDRRNANHFSSYSWSGQRKVPSYLNKIVFPQEFLSSLRTISMQEYELEQVASLLEEVGSSEDSELSDAEVRSATWEVCGDHGALGLLVDLLKVKMAELEEGTGTEASDSQLLDNFESTDPEDSLSGSDVNKTKSKINSRSCIVYRRGQKQLTALFLREAEHLLELSSDEQA* >Brasy7G124400.1.p pacid=40047256 transcript=Brasy7G124400.1 locus=Brasy7G124400 ID=Brasy7G124400.1.v1.1 annot-version=v1.1 MSSYMHTHIYADERAREFEVAARERQMGCSPLCGMLSKVVMKCNGRQGRTRKEKLDYAMAYPPVQTCYMRPPVASNHAIPVTAQFTPTPGAGAPPPRTRGGKPRKRKKSKHVRFNPAGPGPGPGPAQHPAGPRLAQPPHAAHAYQQHAAGTSGGDQSQQQHHAAVASGPYYSGPATPGDSGYGSYSYGGYYAPSPLTRWEMLGGGSAGTTPRRHYEYFSGEYRWSYPTPVRQGIYSMATDANRLTAIFSEENPNACAIV* >Brasy7G113200.1.p pacid=40047257 transcript=Brasy7G113200.1 locus=Brasy7G113200 ID=Brasy7G113200.1.v1.1 annot-version=v1.1 MGKWSVGLCDCFGDLGTCCLTCWCPCITFGRIAEIVDRGSASCCMHGTMYVLLGSIGCNWLYSCTKRSSMRAQYNFQESRFIDCCIHLCCETCALCQEYKELENRGFNMSKGWEGSNKMVVGMAAPEKQGMDALLDHV* >Brasy7G074800.1.p pacid=40047258 transcript=Brasy7G074800.1 locus=Brasy7G074800 ID=Brasy7G074800.1.v1.1 annot-version=v1.1 MNPAPIPAGFDPATGVTSKDVVINKSTGLWARVFLPPGSGYHAAAAGSKLPVVVYYHGGAFVLGSAAAPTTHGYLNGLVAAAIVLAVAVEYRLPPEHPLPAVFDDSWEGLEWVASHATATGHEPWLVNHGDFARVFLAGSSAGAGVGISIRGVLVMHPYFCCVAYVGKETTTGKAWTDAFWRFRHPASPLGLEDPLVNPFSEAAGGSAARIAGERVLVCVAEKDSLRDRGVWYYEGLKASGYGGEVDLFESMGEGHVFHCVNPRSELESSRDAGARRELPQQ* >Brasy7G058500.1.p pacid=40047259 transcript=Brasy7G058500.1 locus=Brasy7G058500 ID=Brasy7G058500.1.v1.1 annot-version=v1.1 MARPQQRFRGVRQRHWGSWVSEIRHPHLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPSGAGDPPPSSFLSPALVAKLHRFNMASSTSQAAAAAAQRDHKQDGSSTGTSAAAAAAAAAAGWSGGFLEEQYVEQMIEELLDSNFSMEISY* >Brasy7G167500.1.p pacid=40047260 transcript=Brasy7G167500.1 locus=Brasy7G167500 ID=Brasy7G167500.1.v1.1 annot-version=v1.1 MSGLRLCRSSSSATPMSRLQLLPRHSSSSTSPPSRCWDPQVAFVAAIARVRAGTFSTDDAHHLFDELLRQDTPVHNRALNGFLAALARAPGSVSCSNGPALVLALFNRLCREEAGPRVSPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLKTETIHTNTFLKCLCYAKRTDEAVNMLLHRMSDLGCVPDAISYNTAINSSFGWGRSQEALDMLQRMAKEGGRCSPDLVSYNTVIYGFFKEGKVSKACDVFNEMVQKGVVPDVVTYNSIIDALCKARAMDKAELVLRQMVDNGVQPDDVTYTVMIHGYSTMGRWKEAAKMFREMTREGIIPNTVTFNSFMSSLCKHGRSKDAEEIFRSMTTKGNKPDIVSYSVLLHGYATKGRFADMNNLFHSMADKGIVANCHCFNILINAHAKRGMMDEAMLIFTEIMGRLADAMEKFSEMISVGVGPNTVVYNSLIQGFCTHGDLVKAKELVSEMMDKGDRPDIITFSSLIDRYCLVGKMEKAFGVLDAMVSVGIEPDVVTYSTLLRRIDDGLILFREMLHKRVKPTTVTYNLVLDALLHAGRSTAAKKMFHEMIESGTTVDIDTYKILLLGLCRNDCADEAITLFHKLGAMDCKFDITILNTMINALYKVRRREEANDLFAAISTSGLVPNVSTYGVMIHNLLKEGSVEEADTMFSSMEKSGCAPSSRLLNEIIRMLLQKVKPRCSSELPFNGMVMCLLRALTKFELADGATMVFWSSVWLLGIHPMDIAPNQHAMYVHKENLVRVVNWFKWRKP* >Brasy7G231400.1.p pacid=40047261 transcript=Brasy7G231400.1 locus=Brasy7G231400 ID=Brasy7G231400.1.v1.1 annot-version=v1.1 MASFSGPLHRPFSAMAVAAFAAVSSIELPDKLSQYKLVDNADAVVSLPDSKPEASAPSATALSGQQFMPRNHQCFDLTKAPVASLPVIQTVYQYAKFAKTSGQEEAMALTPSSSSSDGLYRWHLPDPRACGDSSNKSQTVVVLLGWLGSKQKHLKRYADWYTSRGFHAVTFTLPMSDIISYKAGGKAEKNVEMLSEHLADWVSEESGKKIVFHTFSNTGWLCYGVILENLRKQDPEAIEKIKGCVVDSAPVAVPDSQVWALGFSAAIMKKHSVATKGAVSNDTRSDVVVVDSHRDIKPAATEAALLSALEKVFDVILNYPAINRRLSGVMELLSSKQPNCPQLYIYSSADRVIPAKSVESFVERQRRAGCEVRSCDFVSSPHVDHYRSNPGLYTSQLTNFLEDCVLSRREDSSRSSSPA* >Brasy7G048600.1.p pacid=40047262 transcript=Brasy7G048600.1 locus=Brasy7G048600 ID=Brasy7G048600.1.v1.1 annot-version=v1.1 MATGHILLVCTVVLVAFLLPASSHGWRDTNCPLPSPGHGSGGGKTPPGSGHHHPPHHGNKPPKHHHPGGPDCPPCHTPPPTPPYFPPTPPSFPPTPPYIPPPSPLPPPYTPPYVPPPYTPPYVPPPYTPPYVPPPSPGIKTCPIDALKLNACVDLLGGLVHAVIGKEARSKCCPLVQGVADLDAALCLCTTIRARLLGINIYLPVALRLLITCGKHPPNGFTCPQPLLD* >Brasy7G171000.1.p pacid=40047263 transcript=Brasy7G171000.1 locus=Brasy7G171000 ID=Brasy7G171000.1.v1.1 annot-version=v1.1 MAAGDATQAPPAAEAQSLVESFCAVTSATPDEAAFFLESHKWALESAVRSFYDSTEVDAAGPDPAPQPPPPAADDSAESEDEDYVGGGDKDEDDEDYVGGGDEDEDEDEDVPLAAEVATATERRRPAKRQKSDHQGGSRAANGRGTVRTLSDLSRGKRAAGSDGDEDSDDEEWAPPPELYTGGEKSGMVVRDRSKRKNVVDEVFKQAKRKGAKQGPARRQSSSSRSFSGTSRLLTGETVQPDTPQPPEEIVHSIYFWSNGFTVDDGPLRSFDDPEHASFLESIKNSECPAELAPADGRSKVNVNLVRKEEKCPEPVKRSAPFQGGGRTLVTPSENTAPSDTTSAATASSTEAVTKTKTITVDDSLPSTSLQIRFADGSRVVARFNTSHTISDVRAFVDATRPGETSDYTLQVGFPPKQIDDATKTIEEAGVANSVIIQKV* >Brasy7G171000.2.p pacid=40047264 transcript=Brasy7G171000.2 locus=Brasy7G171000 ID=Brasy7G171000.2.v1.1 annot-version=v1.1 MAAGDATQAPPAAEAQSLVESFCAVTSATPDEAAFFLESHKWALESAVRSFYDSTEVDAAGPDPAPQPPPPAADDSAESEDEDYVGGGDKDEDDEDYVGGGDEDEDEDEDVPLAAEVATATERRRPAKRQKSDHQGGSRAANGRGTVRTLSDLSRGKRAAGSDGDEDSDDEEWAPPPELYTGGEKSGMVVRDRSKRKNVVDEVFKQAKRKGAKQGPARRQSSSSRSFSGTSRLLTGETVQPDTPQPPEEIVHSIYFWSNGFTVDDGPLRSFDDPEHASFLESIKNSECPAELAPADGRSKVNVNLVRKEEKCPEPVKRSAPFQGGGRTLVTPSENTAPSDTTSAATASSTEAVTKTKTITVDDSLPSTSLQIRFADGSRVVARFNTSHTISDVRAFVDATRPGETSDYTLQVGFPPKQIDDATKTIEEAGVANSVIIQKV* >Brasy7G152500.1.p pacid=40047265 transcript=Brasy7G152500.1 locus=Brasy7G152500 ID=Brasy7G152500.1.v1.1 annot-version=v1.1 MPSARRLGGGAGGDRRPPRNGVGAVPEPEVARRGLRSLPAVFPCSPRRPATSAPPSCRRKVVLGASILFLPYAVHNWTPSEGARQIHGITLDG* >Brasy7G200100.1.p pacid=40047266 transcript=Brasy7G200100.1 locus=Brasy7G200100 ID=Brasy7G200100.1.v1.1 annot-version=v1.1 MWCCGATRWTKLPPWRAAARKWAATRKWVVDVPLRSLVRHRQALFLVVPHLRKLPRRSPSPASQMEYSVSQKKVLGVVN* >Brasy7G207600.1.p pacid=40047267 transcript=Brasy7G207600.1 locus=Brasy7G207600 ID=Brasy7G207600.1.v1.1 annot-version=v1.1 MGALCRFQGITADCHRQVLWGPCVGRSELGHRRPRTQISTTFLFFLDLSPPPPPPPGSRRTPPYPLLPTHAPKNPHLCLSPPQRVDSSPVGSLSLSLSLSHRDLLPPARVWPPLPSPPLHFSTADASPSLLLRTALAQDPVRPPVLHATQRVPPTSATTLQAFAPQPHHPI* >Brasy7G163100.1.p pacid=40047268 transcript=Brasy7G163100.1 locus=Brasy7G163100 ID=Brasy7G163100.1.v1.1 annot-version=v1.1 MTSPRSALSLAAFLAVLVHHHQPWLLVAAATSAGTNLTAGVSITPPMYITSPSGVFAFGFCALGESNPGKFLLATWFRSDSDDGTSSSSSSSSSQLQSVVWFPKHKQSAFVPIATAQSVLSITADGQLALTDAGNRVWTAPIPYLKRGSLLVLLDSGKLQFRGDGGGQDNVLWDSFWYPTDTLLPGQSLTRDGPTEGKLISKRADTEFATGRFSMGVQTDGNVVLYVDLLSGNSPDNAYWQAHTDSPNGNTTVTFDDKGSLNYTLHNGTSQSLISPTAAATASGLYKFARMDPDGIVRVYIRAAAKSTSWSVSGAFPSDGCNKRTSGLQGVCGPGSYCTEQKDRLSCVCPSGYTYADAQHRDSGCTPEFVPQSCNGENNTDEYMLVELPNTTWETSIYYKKYSSVTEDQCRDYCLSDCYCAVALMIGGTDCVEMAAMTKGRQASDVTTKALIKVRRSNHPESSSSRTRTAVIVATACLAFVLLTAAASGFLVKHYLSKNRDSQQGLLSMRAFSWKELHQATNGFEKLLGKGSFGEVYEGKIKSPQPHLIAVKRLINSNEYSEREFANEVRSIGQIHHRNLVRMIGYCKEGKHRMLVLEFMPGGSLRSFLFKPERPPWSWRAEAALGIARGIEYLHNGCASPIIHCDIKPDNILLDDTCAPKITDFGISKLLGNQQVHTTVTNIRGTRGYIAPEWFRSEGRVNTKADVYSFGVVLLEMICCRKCQEPLSDQGGGGKTVTLFGWAGQLVNSQRTELILHRDDDAMADLERVERFARVAFWCIEPNPALRPTMHHVVQMLESVVVEADVLLPDPPACYVDSSPLSSV* >Brasy7G116400.1.p pacid=40047269 transcript=Brasy7G116400.1 locus=Brasy7G116400 ID=Brasy7G116400.1.v1.1 annot-version=v1.1 MEMNEKNDADLEKDQPSGHNQHPSFHGPGFSTGAQIDSSTDALAAMGNPFPPGLWNPPGQNFGLGETNTNAMITGHPFSSFLGMLSAAVPTYAGPSGFMDCGTGFPSLNGGNLGPMMDHPFPRNQPLGCFPNGIEPSREIEMTVDEGCKDGASLAADRQQGDTEGSHGVDASKELSKPGCSGGVCQDEGPSVSSAKKRKRSGQDRGMKHVQEGNQQLAPVVAKQEKDNDEKDGPKRPISASRKSNGKQTEDNSDAPKEDYIHIRARSGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVIGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPALDFNIERILSKDFFQSQGTPASSAFGFLPENSHHFLHQPKHSQAALHGIVNPTDAFGRVNNAPVGSSSSFKEPVHQMPSNFDGEFHNVTGMPFSLFNDQESNVEP* >Brasy7G116400.2.p pacid=40047270 transcript=Brasy7G116400.2 locus=Brasy7G116400 ID=Brasy7G116400.2.v1.1 annot-version=v1.1 MEMNEKNDADLEKDQPSGHNQHPSFHGPGFSTGAQIDSSTDALAAMGNPFPPGLWNPPGQNFGLGETNTNAMITGHPFSSFLGMLSAAVPTYAGPSGFMDCGTGFPSLNGGNLGPMMDHPFPRNQPLGCFPNGIEPSREIEMTVDEGCKDGASLAADRQQGDTEGSHGVDASKELSKPGCSGGVCQDEGPSVSSAKKRKRSGQHVQEGNQQLAPVVAKQEKDNDEKDGPKRPISASRKSNGKQTEDNSDAPKEDYIHIRARSGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVIGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPALDFNIERILSKDFFQSQGTPASSAFGFLPENSHHFLHQPKHSQAALHGIVNPTDAFGRVNNAPVGSSSSFKEPVHQMPSNFDGEFHNVTGMPFSLFNDQESNVEP* >Brasy7G116400.3.p pacid=40047271 transcript=Brasy7G116400.3 locus=Brasy7G116400 ID=Brasy7G116400.3.v1.1 annot-version=v1.1 MEMNEKNDADLEKDQPSGHNQHPSFHGPGFSTGAQIDSSTDALAAMGNPFPPGLWNPPGQNFGLGETNTNAMITGHPFSSFLGMLSAAVPTYAGPSGFMDCGTGFPSLNGGNLGPMMDHPFPRNQPLGCFPNGIEPSREIEMTVDEGCKDGASLAADRQQGDTEGSHGVDASKELSKPGCSGGVCQDEGPSVSSAKKRKRSGQDRGMKHVQEGNQQLAPVVAKQEKDNDEKDGPKRPISASRKSNGKQTEDNSDAPKEDYIHIRARSGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVIGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPALDFNIERILSKDMPSNFDGEFHNVTGMPFSLFNDQESNVEP* >Brasy7G137600.1.p pacid=40047272 transcript=Brasy7G137600.1 locus=Brasy7G137600 ID=Brasy7G137600.1.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTNCKRVEHVPAINLDTSQTVENGIGTTTCASIGSSRLLLK* >Brasy7G137600.9.p pacid=40047273 transcript=Brasy7G137600.9 locus=Brasy7G137600 ID=Brasy7G137600.9.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTNCKRVEHVPAINLDTSQTVENGIGTTTCASIGSSRLLLK* >Brasy7G137600.2.p pacid=40047274 transcript=Brasy7G137600.2 locus=Brasy7G137600 ID=Brasy7G137600.2.v1.1 annot-version=v1.1 TFSTPLCTQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTKKRYRYHYLCKYRFFTAALEMISFPIYSQ* >Brasy7G137600.6.p pacid=40047275 transcript=Brasy7G137600.6 locus=Brasy7G137600 ID=Brasy7G137600.6.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTKKRYRYHYLCKYRFFTAALEMKQQISFPIYSQ* >Brasy7G137600.5.p pacid=40047276 transcript=Brasy7G137600.5 locus=Brasy7G137600 ID=Brasy7G137600.5.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTKKRYRYHYLCKYRFFTAALEMKQQISFPIYSQ* >Brasy7G137600.7.p pacid=40047277 transcript=Brasy7G137600.7 locus=Brasy7G137600 ID=Brasy7G137600.7.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTKKRYRYHYLCKYRFFTAALEMKQQISFPIYSQ* >Brasy7G137600.8.p pacid=40047278 transcript=Brasy7G137600.8 locus=Brasy7G137600 ID=Brasy7G137600.8.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTKKRYRYHYLCKYRFFTAALEMISFPIYSQ* >Brasy7G137600.3.p pacid=40047279 transcript=Brasy7G137600.3 locus=Brasy7G137600 ID=Brasy7G137600.3.v1.1 annot-version=v1.1 TFSTPLCTQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.4.p pacid=40047280 transcript=Brasy7G137600.4 locus=Brasy7G137600 ID=Brasy7G137600.4.v1.1 annot-version=v1.1 TFSTPLCTQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.10.p pacid=40047281 transcript=Brasy7G137600.10 locus=Brasy7G137600 ID=Brasy7G137600.10.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.11.p pacid=40047282 transcript=Brasy7G137600.11 locus=Brasy7G137600 ID=Brasy7G137600.11.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.12.p pacid=40047283 transcript=Brasy7G137600.12 locus=Brasy7G137600 ID=Brasy7G137600.12.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.13.p pacid=40047284 transcript=Brasy7G137600.13 locus=Brasy7G137600 ID=Brasy7G137600.13.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G137600.14.p pacid=40047285 transcript=Brasy7G137600.14 locus=Brasy7G137600 ID=Brasy7G137600.14.v1.1 annot-version=v1.1 TQEKPVSIPNPQANASAALATQIAALATVPLPPNPSSPHPSPALRAVSPRPLAPPPSFAQAAAASLSREPPPPPSSVEAASLIPASRRPGSGEIRPRQPLPLGRLGTFSSAAPPARQPISLNPTTPKSPSDSLFPFLLAAAHAMRARTDTATASGTDARWATASSSCNGLPLSRRRHGLSIPFVCFLNASFIPFFRIFVGFGSQGRGPSACLLTRSCPLTPPLPSTK* >Brasy7G192100.1.p pacid=40047286 transcript=Brasy7G192100.1 locus=Brasy7G192100 ID=Brasy7G192100.1.v1.1 annot-version=v1.1 MGDIGIDVGSCHNDHENKAVISSKAVVEELYSALGRGDAGTARRLLNPELDWRFHGPRAQQHQALMRLLTGHEGASWPGVPFEVGAVDVFGPTVLAEGTDAAGALYWVHAWTVGPGGRVTEVREYCNTAVVVVAVARGLGDEATAAVYSPSRHVWRSRLLPDRARRNMPAIVLAI* >Brasy7G144200.1.p pacid=40047287 transcript=Brasy7G144200.1 locus=Brasy7G144200 ID=Brasy7G144200.1.v1.1 annot-version=v1.1 MQSQESSESPAPATEAPREAGAEGDESPASMEENERQIPVDPVSLRQLGMVMADADSPLSAPSVLTEMVAQPSSPLLPPLRRPTFVGASLPCSAASSPVHKPRGDDHLIPAATVTAMRSLARQHSAALAHYVASPSPAPVALSRSASRAEGRSMAPHDDEDVWTGAHPEEQEDSFTCGALCMFIPGFSRKKPGAAAASAVMSSMQRQHSGAARRPRSSSVVSRLASLERFECGSWSPAPPPPQPATVVTAAHDVVASFALGAAKVSSCAADDDGDAPVKMAFVFDGEPMAPRGVLVMKKSASQRQEPVMKAMAAACGGEPRGILKKSASTRQLQKQDSDSAATPCRASSASQRHVRFSAASPSSSPCITPRLARARAEFSAFMDAQMA* >Brasy7G180000.1.p pacid=40047288 transcript=Brasy7G180000.1 locus=Brasy7G180000 ID=Brasy7G180000.1.v1.1 annot-version=v1.1 MEPLGGGEGGGGGSSSWAERQRADAARAFQQYLDRAAPHTAGRWAGTLVAAVVYALRVYYVQGFYVVSYGLGIYLLNLLIGFLSPMVDPEVEALDAGPALPTRGNDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFSMGKQRYGGKKGPAASSSKD* >Brasy7G219600.1.p pacid=40047289 transcript=Brasy7G219600.1 locus=Brasy7G219600 ID=Brasy7G219600.1.v1.1 annot-version=v1.1 MQRPGDFKLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRTQKIYIVPLEEDFPLFSNSNIERSLSYEAKEVFLSALVNEGRAEWMDKGHKKCLILWLRIQDWANYILDFVRDNGLEVTTIEDIRSGIETTGTELAGIDRGVLMRALRLLEQKGKATIFKGTSADDEGVKFSV* >Brasy7G201700.1.p pacid=40047290 transcript=Brasy7G201700.1 locus=Brasy7G201700 ID=Brasy7G201700.1.v1.1 annot-version=v1.1 MKLSKRSGNFTLMVKKSGPMACQQGPNTDRCVKNTFKMARSKDIQLHHLPTDVLRGILSRLTLKEAVQMSILSRKWRRLWKCYPKLVFTRATMRSSDAMAGHQKPLRTRFIRGINSITRQLKSVNLNKFVVKFALRKRHTPHIDRWINFSAKSRTKHVVLDLCPRRRFSADTDDRYSFPLHLFNASSGSCVKSLRLGYVYLTLSPDLGGFSNLKKLSLHRVDITGELQCLLPECAVLEWLSLIACRLVGLTIGQGLSRLQYLQVQFCSLTKLDIQAPNLTTFVFDDYMIPIVLGEPLKISEATISLFASSDCFNYVFRDLVNALSHVQSLSISFGLETEVTRFVKSPTRLTNLRHLVLKIDISGKAETAGGLLRLTYLLELAPVLEELVLNMSSFTSAIHVRELSEDVYQPHPHSHLKTVHMTGFYGLRGQLELARYLLRNATSLNRMIIDPMVRNNWHIPPMVVAKVNMEIGKWAAISLLWTKEFRGVLSIL* >Brasy7G201700.2.p pacid=40047291 transcript=Brasy7G201700.2 locus=Brasy7G201700 ID=Brasy7G201700.2.v1.1 annot-version=v1.1 MVKKSGPMACQQGPNTDRCVKNTFKMARSKDIQLHHLPTDVLRGILSRLTLKEAVQMSILSRKWRRLWKCYPKLVFTRATMRSSDAMAGHQKPLRTRFIRGINSITRQLKSVNLNKFVVKFALRKRHTPHIDRWINFSAKSRTKHVVLDLCPRRRFSADTDDRYSFPLHLFNASSGSCVKSLRLGYVYLTLSPDLGGFSNLKKLSLHRVDITGELQCLLPECAVLEWLSLIACRLVGLTIGQGLSRLQYLQVQFCSLTKLDIQAPNLTTFVFDDYMIPIVLGEPLKISEATISLFASSDCFNYVFRDLVNALSHVQSLSISFGLETEVTRFVKSPTRLTNLRHLVLKIDISGKAETAGGLLRLTYLLELAPVLEELVLNMSSFTSAIHVRELSEDVYQPHPHSHLKTVHMTGFYGLRGQLELARYLLRNATSLNRMIIDPMVRNNWHIPPMVVAKVNMEIGKWAAISLLWTKEFRGVLSIL* >Brasy7G096400.1.p pacid=40047292 transcript=Brasy7G096400.1 locus=Brasy7G096400 ID=Brasy7G096400.1.v1.1 annot-version=v1.1 MEGYTWIESLQLGDYNYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNIREMIFVMIYVSFDMVLGAYLAGNITAMVVKGSRTERFRDKMNEVIRYMKRNKLGNDIQEQIKGHFRLQYESSCTESSVLQDIPVSIRAKISQTLCMPYIERSPLFRGCSAEFIQQVVIRLQEEFFLPEEVILEQGRAVDQLYFVCQGKLEGVGIGEHGQEENIIMLEPGSSFGEIAILGNIPQPCTVRVCELCRLLRLDKESFTKILEIYSVDGRKLLSNLTESDEYGQRVKQLESDITFHIGKQEVELTLRVNTAAFYGDLHQLNGLIRAGADPKNTDYDGRSPLHLAASKGHEDIVQFLVHEGADINLTDKFGNAPLLEAVKQGHDRVAKLLFRKGAKLNLENAGSHLCMAVSNGDTDFIRGALAYGADPDSEDYDNRRPLHIAAAEGMYMMAKLLVDAGGSVFAEDRWGITPLDEGRKSGSKPLIMLLEQAKAEELSKFPARGEEVTD* >Brasy7G136500.1.p pacid=40047293 transcript=Brasy7G136500.1 locus=Brasy7G136500 ID=Brasy7G136500.1.v1.1 annot-version=v1.1 MASQLIKEHRSGAEVFTELCEVKAREFLVELGLPDGLLPLPSLVEIGYNRATGFVWLRQGQSGGLTHTFDAIGKQVWYATEVTAMVERGRMHSMNGVKSKEMLIWVTISEIVVSPSGTKIVFRTPAGLGRAFPVSAFQLNPPAPEGEAKVSEEDAAAAASTN* >Brasy7G138300.1.p pacid=40047294 transcript=Brasy7G138300.1 locus=Brasy7G138300 ID=Brasy7G138300.1.v1.1 annot-version=v1.1 MAPPPPSMAAAHDRADADADEPSLRLRRAPAAEAGAAGDSSGSRRENGVPGEARSPPNPQQQQHEMFCYRASAPAHRRVKESPLSSDAIFQQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.2.p pacid=40047295 transcript=Brasy7G138300.2 locus=Brasy7G138300 ID=Brasy7G138300.2.v1.1 annot-version=v1.1 MAPPPPSMAAAHDRADADADEPSLRLRRAPAAEAGAAGDSSGSRRENGVPGEARSPPNPQQQQHEMFCYRASAPAHRRVKESPLSSDAIFQQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.3.p pacid=40047296 transcript=Brasy7G138300.3 locus=Brasy7G138300 ID=Brasy7G138300.3.v1.1 annot-version=v1.1 MAPPPPSMAAAHDRADADADEPSLRLRRAPAAEAGAAGDSSGSRRENGVPGEARSPPNPQQQQHEMFCYRASAPAHRRVKESPLSSDAIFQQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.4.p pacid=40047297 transcript=Brasy7G138300.4 locus=Brasy7G138300 ID=Brasy7G138300.4.v1.1 annot-version=v1.1 MAPPPPSMAAAHDRADADADEPSLRLRRAPAAEAGAAGDSSGSRRENGVPGEARSPPNPQQQQHEMFCYRASAPAHRRVKESPLSSDAIFQQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.5.p pacid=40047298 transcript=Brasy7G138300.5 locus=Brasy7G138300 ID=Brasy7G138300.5.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.9.p pacid=40047299 transcript=Brasy7G138300.9 locus=Brasy7G138300 ID=Brasy7G138300.9.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.6.p pacid=40047300 transcript=Brasy7G138300.6 locus=Brasy7G138300 ID=Brasy7G138300.6.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.10.p pacid=40047301 transcript=Brasy7G138300.10 locus=Brasy7G138300 ID=Brasy7G138300.10.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.11.p pacid=40047302 transcript=Brasy7G138300.11 locus=Brasy7G138300 ID=Brasy7G138300.11.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.12.p pacid=40047303 transcript=Brasy7G138300.12 locus=Brasy7G138300 ID=Brasy7G138300.12.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.7.p pacid=40047304 transcript=Brasy7G138300.7 locus=Brasy7G138300 ID=Brasy7G138300.7.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.8.p pacid=40047305 transcript=Brasy7G138300.8 locus=Brasy7G138300 ID=Brasy7G138300.8.v1.1 annot-version=v1.1 MKYGLLIRAGFWFSARSLGDWPLLMCCLTLPIFPLAALVDEKLSQRKLIDENVSILIHIIITTSVIVYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G138300.13.p pacid=40047306 transcript=Brasy7G138300.13 locus=Brasy7G138300 ID=Brasy7G138300.13.v1.1 annot-version=v1.1 MFIASITWLKLVSFAHTNYDIRVLSKIIEKGASHVSSTDEENIKGPTIRSLVYFMLAPTLCYQPSYPRTSFIRKGWVIRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGVAILISFLVSAIFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQARTIV* >Brasy7G222600.1.p pacid=40047307 transcript=Brasy7G222600.1 locus=Brasy7G222600 ID=Brasy7G222600.1.v1.1 annot-version=v1.1 MAMSMSVRGEQCGSQAGGATCPNCLCCSRFGWCGSTPDYCLSGCQSQCSGCGGGGVASVIPRSLFERMLLHRNDGACQAKGFYTYDAFLAAANSFPGFGTTGATDVRKREVAAFLAQTSHETTGGRATAPDGAYAWGYCFKQERGATSDYCTPSAQWPCAPGKRYYGRGPIQLSHNYNYGPAGRAIGVDLLRNPDLAATDPTVSFKTALWFWMTAQAPKPSAHAVATGQWRPSGADRAAGRVPGFGVITNIVNGGIECGHGQDSRAADRIGFYKRYCDLLRVGYGGNLDCNNQRPFG* >Brasy7G141100.1.p pacid=40047308 transcript=Brasy7G141100.1 locus=Brasy7G141100 ID=Brasy7G141100.1.v1.1 annot-version=v1.1 MGLVKDGADMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDAIH* >Brasy7G017100.1.p pacid=40047309 transcript=Brasy7G017100.1 locus=Brasy7G017100 ID=Brasy7G017100.1.v1.1 annot-version=v1.1 MAAAAADDDAAAAADPMALVRGLYDADELAIAGEFLTTWLPFLSAGLCPSCVASLRGRVASLLPREDEPPGPPPRVDRVEPSGWDSDPPPPQHLPFEATGWDSDPAPPPQQPQQRPAPAEKPRMSWADMAQEDELAAAAEEDAAAMAADDREEEAGRPRAHLTREQREQRRFRNVVPRKDFMCYERVKGRLVNILAGLELHAGVFSAAEQRRIVDCVYGLQEQGKRGELGDRTYTEPEKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTFASDPIPDLFKVMIKRLVRWCILPPDCVPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSFAIPLPVGSVLVINGNGADVAKHCVPAVPAKRISITFRKMDPAKRPFGFKDDPELLNITPMEAASEETSRSSDEGKGKQLDVQNKNLGSKTSRSKRSKARASAGKAGYGGILGDQPSQHPQAPISSPNSERERESVGRSREPRYPRGEPGVLPHMGDARERLNMLPQERKPGSGVYFVNNGAQSHERRQRMEHRQLLMINRTINDDMDSLSVGSYESSDQPHVSVRTVSNKPRTRVYLDG* >Brasy7G087100.1.p pacid=40047310 transcript=Brasy7G087100.1 locus=Brasy7G087100 ID=Brasy7G087100.1.v1.1 annot-version=v1.1 MIRKGSARLNPRSLKQIHGNLVVKGITSRLQPLRELLLACVSSFNGGMDYARKVFDGISQPDLFMHNVMVRGYAHSTAPAAAFVLYRRIEAARLRPDSFTFCYLLRACAGLPGSCAGYQVHGAVVKLGFFQDAFVRNALINMHAKCGDLLVAGTLLDEAGERDVVARSAVIAGHAAKGELNIARQLFDECQHKDLVCWNVMVAAYAKHGEMEKARELLGRAPEKDVVSWNTIITGYTAKGLLKEALEVLDEMRCVGLMPDEATIVSLLSCCANLGSLGTGRMIHSLHLEGRPCISIVPGNALVSMYAKCGDVQAAMEVFSRMKERDVWTWNSIIGGLAFHGQAEQSVQFFKKMLEEGLCPNEISFLCVLGACSHAGLVEDGQRYFSLMINRYRIEPNARHYSCIVDMLGRSGLLDEAFAIVSSMRCEPTAVIWRTLLGACRIHGDVALGKLARERLLKMNEDASGDYVLLSGIYASCDEWFGVETVRGSMDGRGIRKVAGYAQVEQKIA* >Brasy7G209400.1.p pacid=40047311 transcript=Brasy7G209400.1 locus=Brasy7G209400 ID=Brasy7G209400.1.v1.1 annot-version=v1.1 MRLVNASYEWVEQELAFQPEVHGWQAAVRAALLEANVTPWNGFTVEHVTGTKIGATTFDASGRRHSAADLLAFARPGRLHVAIRATVTRIIINPIDPAARRGRSRPAVAAVGVVYQDRLLQQHHALLRPGGEVILSAGALGSPQLLLLSGIGPASDLTSLGIPICADAPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPMLRPAGPFISPSSPLYVTMATIMEKVPGPLSEGSLWLSSPNPLETPAVRFNYFSRPEDLAQCVVGVRRVAQVLRSRTMDIFRSTVGSSSQGRRGPVRRDFRIVGATLPVDWSTNNTAVADFCRRTVTTLWHYHGGCVVGKVVDRDFRVIGTRALRVVDGSTFSVTPGTNPQATVMMMGRYVGQKMVGERHSRRQVNISSQLTLFPRLRNPSNMKH* >Brasy7G209400.2.p pacid=40047312 transcript=Brasy7G209400.2 locus=Brasy7G209400 ID=Brasy7G209400.2.v1.1 annot-version=v1.1 MRLVNASYEWVEQELAFQPEVHGWQAAVRAALLEANVTPWNGFTVEHVTGTKIGATTFDASGRRHSAADLLAFARPGRLHVAIRATVTRIIINPIDPAARRGRSRPAVAAVGVVYQDRLLQQHHALLRPGGEVILSAGALGSPQLLLLSGIGPASDLTSLGIPICADAPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPMLRPAGPFISPSSPLYVTMATIMEKVPGPLSEGSLWLSSPNPLETPAVRFNYFSRPEDLAQCVVGVRRVAQVLRSRTMDIFRSTVGSSSQGRRGPVRRDFRIVGATLPVDWSTNNTAVADFCRRTVTTLWHYHGGCVVGKVVDRDFRVIGTRALRVVDGSTFSVTPGTNPQATVMMMGRYVGQKMVGERHSRRQVNISSQLTLFPRLRNPSNMKH* >Brasy7G090200.1.p pacid=40047313 transcript=Brasy7G090200.1 locus=Brasy7G090200 ID=Brasy7G090200.1.v1.1 annot-version=v1.1 MAIISSSQLPLALLVILAASSAAAAQLELGFYSKTCPDAEKIVQEEMTKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTAGNTAEKDAKPNRSLRGFGSVERVKAKLEAACPGTVSCADVLTLMSRDAVVLSHGPHWPVALGRRDGRASSAAEASNELPPASGDVPLLAKIFASKGLNLKDLAVLSGGHTLGTAHCASFDDRLSNATVDPSLDSEYADRLRLKCGSGGVLAEMDPGSYKTFDGSYYRHVAKRRGLFRSDAALLADATTGDYVRRVASGKFDAEFFRDFSESMIKMGNVGVLTGSQGEIRKKCYVLN* >Brasy7G087600.1.p pacid=40047314 transcript=Brasy7G087600.1 locus=Brasy7G087600 ID=Brasy7G087600.1.v1.1 annot-version=v1.1 MATAYYGSSRSRDAPTDGPDDFDEFDSTPYGGGYDLFVTFGRPLPPSDETCYPCSAPSTSYDAPHYASEDPSPYAHHQKPQPAYGFRPQQEQHQQPSYGDDSGYGSKPQAAYGVRPQEEEQQSYGSGYGSKPQAAYGFRPQQEEEQSYGSGYGSKPQRTEEDTYGSGYGRKPPQEEVSYGSGYGSKQQAEESHGSGYGSGYGTRPQQEESYGSGYGSKPQVEQSYGSEYGSGYGRKPQGEESYGSGYGNRPQGGEEYGSGGYGGRKKQEDSYGSSEHGYGRKTEDDSYGGSGYGYGKKKQEEDEVAYGSGYQKPKPYGEETQGSYGYGEEKPKYQSGGYEKPSYGGGEEYQGSHGRKKHDDDDDSDDEKKKRYEKHHNRRRHD* >Brasy7G133300.1.p pacid=40047315 transcript=Brasy7G133300.1 locus=Brasy7G133300 ID=Brasy7G133300.1.v1.1 annot-version=v1.1 MDRSRSKRGYHYDQDSPPPRSKPRFDRRGGGGGPNPNSNYHRRGPPGGGGGGGGSDRRGGFIPSDGAPPPLPPPALPPSSSATIGGGGPGGPGQATSFRILCPESKTYGFPASFIIKAQDDSGAIITIHAPFAGDPVRVIEMADGVPRDVDGRPPMFSPAQEALIMVHRRILETEPDDGDEDGEYGPRGKDARDRGKVTTRLVVPRLHVGCLLGKGGKIIEQMRSETKTHIRILPRDQNTPRCVSLSEEVVQIVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRNSPEHRISQADEYQQMPAYEEPFARFDQIRNNGSMEPPGYEFDSNGSKFSEHPEFPYDEVIFRILCPNDKANNLVGSRDGILEVLQVEVGVDVRLTDLVVGSDERTVIITSREGPDHELFPAQEALLHIQTHIVDLGPDNDNIITTRLLVPSSEVACFEGRDGSLSDIQRQTSANVQILPREELPSCALESDELIQIVGEIRAARSALMQVTTKIRSYIYREMSVPNQIASINVHGSIPPANGSPRGIYPGNDLPMAIYQQSQQMTTSWHSKDSGGSASGSFEQGSNINDDIRSTIKRFAVPLVTRSTLEVVIPKSAVASLSMRAGSKLAQISEMSGASVTLGEDRPGVMEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG* >Brasy7G133300.2.p pacid=40047316 transcript=Brasy7G133300.2 locus=Brasy7G133300 ID=Brasy7G133300.2.v1.1 annot-version=v1.1 MDRSRSKRGYHYDQDSPPPRSKPRFDRRGGGGGPNPNSNYHRRGPPGGGGGGGGSDRRGGFIPSDGAPPPLPPPALPPSSSATIGGGGPGGPGQATSFRILCPESKTYGFPASFIIKAQDDSGAIITIHAPFAGDPVRVIEMADGVPRDVDGRPPMFSPAQEALIMVHRRILETEPDDGDEDGEYGPRGKDARDRGKVTTRLVVPRLHVGCLLGKGGKIIEQMRSETKTHIRILPRDQNTPRCVSLSEEVVQIVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRNSPEHRISQADEYQQMPAYEEPFARFDQIRNNGSMEPPGYEFDSNGSKFSEHPEFPYDEVIFRILCPNDKANNLVGSRDGILEVLQVEVGVDVRLTDLVVGSDERTVIITSREGPDHELFPAQEALLHIQTHIVDLGPDNDNIITTRLLVPSSEVACFEGRDGSLSDIQRQTSANVQILPREELPSCALESDELIQIVGEIRAARSALMQVTTKIRSYIYREMSVPNQIASINVHGSIPPANGSPRGIYPGNDLPMAIYQQSQQMTTSWHSKDSGGSASGSFEQGSNINDDIRSTIKRFAVPLVTRSTLEVVIPKSAVASLSMRAGSKLAQISEMSGASVTLGEDRPGVMEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG* >Brasy7G128900.1.p pacid=40047317 transcript=Brasy7G128900.1 locus=Brasy7G128900 ID=Brasy7G128900.1.v1.1 annot-version=v1.1 MGRPPSSGGPAFRFTHAEVAEMEEHLRHLNNAIPHRDVIQGLADKFTASPARGGKISVQPKQVWNWFQNRRYSQRNRTTRGAPLPQGKMLPTGAADEHHSASFRAQSASSVYPTGKNSSNGGQVDFEAKSARDGAWYDVAHFLSHRLFETGEPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEPTECVAVLPGDLILCFQEGKEQALYFDARILDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPDNGFRQHILHANRVAASAQDVHMGIQIDRSSAEQKPQKPHKMMDVNTDEVTMVPKVEPTDKPVVSLPAASTSTRGDSSSDVTMRDAGATPEVEAADEGQGDKMNVGE* >Brasy7G128900.2.p pacid=40047318 transcript=Brasy7G128900.2 locus=Brasy7G128900 ID=Brasy7G128900.2.v1.1 annot-version=v1.1 MGRPPSSGGPAFRFTHAEVAEMEEHLRHLNNAIPHRDVIQGLADKFTASPARGGKISVQPKQVWNWFQNRRYSQRNRTTRGAPLPQGKMLPTGAADEHHSASFRAQSASSVYPTGKNSSNGGQVDFEAKSARDGAWYDVAHFLSHRLFETGEPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEPTECVAVLPGDLILCFQEGKEQALYFDARILDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPDNGFRQHILHANRVAASAQDVHMGIQIDRSSAEQKPQKPHKMMDVNTDEVTMVPKVEPTDKPVVSLPAASTSTRGDSSSDVTMRDAGATPEVEAADEGQGDKMNVGE* >Brasy7G044700.1.p pacid=40047319 transcript=Brasy7G044700.1 locus=Brasy7G044700 ID=Brasy7G044700.1.v1.1 annot-version=v1.1 MAGVRASLPPTAPAPAQQQQMQQQQAREQLQKAVEMNAQRLRAIGERIRGHFRGGPAALPPAELSHLVYAFARGIDYALSGGDVPKMAPEVPDILRKVYQLRREPSMQSSLMVLIISCKNACKSKWFQPADYIDVLRMADELSGNFCTPVSEPPSDSTVLEIISTVMPRYYPKLKFDRLITSLEAKAGYDILMADFFIHRNLPKNEKISLIVVQKENLGVSSCITNPPHVSFLVNGKGVDKRTNVSQETGPQFPTDITKMLKFGANILQAVGYFNASYIIAVAFVNSLTSFDAPKLDDYAQPVTIDVPDSDVLEGPSKVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMDMNLRKPNWRCPCCNTPSNFLDLRIDQKMAKVLQETGDDIINVLLLPDGSWKVDPVHDEKSDRHAAHTIQQNGDTIETDATPSDVIDLINRDDDGDLPMRSASTSEDMKPLLNSQDLSVADYLLDLPISTSAQSEDLHVGGHNRFSTGTSTSGQNPLLSSAGGPVPSSYGTLESILPRDILQVQPVTTDTASPFQISNSTFAMQQFSQGPYPTMMQMQPRIDSLLASEVARPPVPRNVRREPVAVQALAVPSQNSSRRVQPNVSYWPPTPHTLQSCAASSNYQAHHVPNADSVITSMISGVGPLSRAPDGASFLHLQSTQQDMRNMQNHLHSRVMGLAAPPLMGTRPAAGGRGQGRGANAAYGAPFPQQHQSFDQRQLNNLMGQMIAQPGGAVSQALPNYHYAPQQSQAMRSQVVSRPATPQAQAQPRVQSPGLASPATPLVEASDLQELQMDPNWQPTGQMRGSLVGSAYDQALDRYLNSGGGQRTGQARPPGR* >Brasy7G044700.3.p pacid=40047320 transcript=Brasy7G044700.3 locus=Brasy7G044700 ID=Brasy7G044700.3.v1.1 annot-version=v1.1 MAGVRASLPPTAPAPAQQQQMQQQQAREQLQKAVEMNAQRLRAIGERIRGHFRGGPAALPPAELSHLVYAFARGIDYALSGGDVPKMAPEVPDILRKVYQLRREPSMQSSLMVLIISCKLSGNFCTPVSEPPSDSTVLEIISTVMPRYYPKLKFDRLITSLEAKAGYDILMADFFIHRNLPKNEKISLIVVQKENLGVSSCITNPPHVSFLVNGKGVDKRTNVSQETGPQFPTDITKMLKFGANILQAVGYFNASYIIAVAFVNSLTSFDAPKLDDYAQPVTIDVPDSDVLEGPSKVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMDMNLRKPNWRCPCCNTPSNFLDLRIDQKMAKVLQETGDDIINVLLLPDGSWKVDPVHDEKSDRHAAHTIQQNGDTIETDATPSDVIDLINRDDDGDLPMRSASTSEDMKPLLNSQDLSVADYLLDLPISTSAQSEDLHVGGHNRFSTGTSTSGQNPLLSSAGGPVPSSYGTLESILPRDILQVQPVTTDTASPFQISNSTFAMQQFSQGPYPTMMQMQPRIDSLLASEVARPPVPRNVRREPVAVQALAVPSQNSSRRVQPNVSYWPPTPHTLQSCAASSNYQAHHVPNADSVITSMISGVGPLSRAPDGASFLHLQSTQQDMRNMQNHLHSRVMGLAAPPLMGTRPAAGGRGQGRGANAAYGAPFPQQHQSFDQRQLNNLMGQMIAQPGGAVSQALPNYHYAPQQSQAMRSQVVSRPATPQAQAQPRVQSPGLASPATPLVEASDLQELQMDPNWQPTGQMRGSLVGSAYDQALDRYLNSGGGQRTGQARPPGR* >Brasy7G044700.5.p pacid=40047321 transcript=Brasy7G044700.5 locus=Brasy7G044700 ID=Brasy7G044700.5.v1.1 annot-version=v1.1 MAGVRASLPPTAPAPAQQQQMQQQQAREQLQKAVEMNAQRLRAIGERIRGHFRGGPAALPPAELSHLVYAFARGIDYALSGGDVPKMAPEVPDILRKVYQLRREPSMQSSLMVLIISCKNACKSKWFQPADYIDVLRMADELSGNFCTPVSEPPSDSTVLEIISTVMPRYYPKLKFDRLITSLEAKAGYDILMADFFIHRNLPKNEKISLIVVQKENLGVSSCITNPPHVSFLVNGKGVDKRTNVSQETGPQFPTDITKMLKFGANILQAVGYFNDSDVLEGPSKVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMDMNLRKPNWRCPCCNTPSNFLDLRIDQKMAKVLQETGDDIINVLLLPDGSWKVDPVHDEKSDRHAAHTIQQNGDTIETDATPSDVIDLINRDDDGDLPMRSASTSEDMKPLLNSQDLSVADYLLDLPISTSAQSEDLHVGGHNRFSTGTSTSGQNPLLSSAGGPVPSSYGTLESILPRDILQVQPVTTDTASPFQISNSTFAMQQFSQGPYPTMMQMQPRIDSLLASEVARPPVPRNVRREPVAVQALAVPSQNSSRRVQPNVSYWPPTPHTLQSCAASSNYQAHHVPNADSVITSMISGVGPLSRAPDGASFLHLQSTQQDMRNMQNHLHSRVMGLAAPPLMGTRPAAGGRGQGRGANAAYGAPFPQQHQSFDQRQLNNLMGQMIAQPGGAVSQALPNYHYAPQQSQAMRSQVVSRPATPQAQAQPRVQSPGLASPATPLVEASDLQELQMDPNWQPTGQMRGSLVGSAYDQALDRYLNSGGGQRTGQARPPGR* >Brasy7G044700.4.p pacid=40047322 transcript=Brasy7G044700.4 locus=Brasy7G044700 ID=Brasy7G044700.4.v1.1 annot-version=v1.1 MAGVRASLPPTAPAPAQQQQMQQQQAREQLQKAVEMNAQRLRAIGERIRGHFRGGPAALPPAELSHLVYAFARGIDYALSGGDVPKMAPEVPDILRKVYQLRREPSMQSSLMVLIISCKLSGNFCTPVSEPPSDSTVLEIISTVMPRYYPKLKFDRLITSLEAKAGYDILMADFFIHRNLPKNEKISLIVVQKENLGVSSCITNPPHVSFLVNGKGVDKRTNVSQETGPQFPTDITKMLKFGANILQAVGYFNDSDVLEGPSKVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMDMNLRKPNWRCPCCNTPSNFLDLRIDQKMAKVLQETGDDIINVLLLPDGSWKVDPVHDEKSDRHAAHTIQQNGDTIETDATPSDVIDLINRDDDGDLPMRSASTSEDMKPLLNSQDLSVADYLLDLPISTSAQSEDLHVGGHNRFSTGTSTSGQNPLLSSAGGPVPSSYGTLESILPRDILQVQPVTTDTASPFQISNSTFAMQQFSQGPYPTMMQMQPRIDSLLASEVARPPVPRNVRREPVAVQALAVPSQNSSRRVQPNVSYWPPTPHTLQSCAASSNYQAHHVPNADSVITSMISGVGPLSRAPDGASFLHLQSTQQDMRNMQNHLHSRVMGLAAPPLMGTRPAAGGRGQGRGANAAYGAPFPQQHQSFDQRQLNNLMGQMIAQPGGAVSQALPNYHYAPQQSQAMRSQVVSRPATPQAQAQPRVQSPGLASPATPLVEASDLQELQMDPNWQPTGQMRGSLVGSAYDQALDRYLNSGGGQRTGQARPPGR* >Brasy7G044700.2.p pacid=40047323 transcript=Brasy7G044700.2 locus=Brasy7G044700 ID=Brasy7G044700.2.v1.1 annot-version=v1.1 MAPEVPDILRKVYQLRREPSMQSSLMVLIISCKNACKSKWFQPADYIDVLRMADELSGNFCTPVSEPPSDSTVLEIISTVMPRYYPKLKFDRLITSLEAKAGYDILMADFFIHRNLPKNEKISLIVVQKENLGVSSCITNPPHVSFLVNGKGVDKRTNVSQETGPQFPTDITKMLKFGANILQAVGYFNASYIIAVAFVNSLTSFDAPKLDDYAQPVTIDVPDSDVLEGPSKVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMDMNLRKPNWRCPCCNTPSNFLDLRIDQKMAKVLQETGDDIINVLLLPDGSWKVDPVHDEKSDRHAAHTIQQNGDTIETDATPSDVIDLINRDDDGDLPMRSASTSEDMKPLLNSQDLSVADYLLDLPISTSAQSEDLHVGGHNRFSTGTSTSGQNPLLSSAGGPVPSSYGTLESILPRDILQVQPVTTDTASPFQISNSTFAMQQFSQGPYPTMMQMQPRIDSLLASEVARPPVPRNVRREPVAVQALAVPSQNSSRRVQPNVSYWPPTPHTLQSCAASSNYQAHHVPNADSVITSMISGVGPLSRAPDGASFLHLQSTQQDMRNMQNHLHSRVMGLAAPPLMGTRPAAGGRGQGRGANAAYGAPFPQQHQSFDQRQLNNLMGQMIAQPGGAVSQALPNYHYAPQQSQAMRSQVVSRPATPQAQAQPRVQSPGLASPATPLVEASDLQELQMDPNWQPTGQMRGSLVGSAYDQALDRYLNSGGGQRTGQARPPGR* >Brasy7G147700.1.p pacid=40047324 transcript=Brasy7G147700.1 locus=Brasy7G147700 ID=Brasy7G147700.1.v1.1 annot-version=v1.1 MPTGRLSGNISQDWEPVVLRRTKPKAADLKSTKAVNQALRSGAPVETVRKAAAGTNKKASAAAAATPTRKLDEMTEPAALDRVAGEVRAAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAAPVQAVLAKMERALEVKLRGKAVGAPVAAGAK* >Brasy7G071400.1.p pacid=40047325 transcript=Brasy7G071400.1 locus=Brasy7G071400 ID=Brasy7G071400.1.v1.1 annot-version=v1.1 MADRGCLKRLQKEYHALCKEPPPQIVARPLTNDILEWHYVLEGSAGTPFEGGIYYGKLKFPSDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTDGEKRRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQEMEQTVAEPEPQENSAPAPSPAAQPAAVVPNNVGQPVAEARVEKKQKKRVPFWMMVVIVSVFGAVMALPLMQL* >Brasy7G089100.1.p pacid=40047326 transcript=Brasy7G089100.1 locus=Brasy7G089100 ID=Brasy7G089100.1.v1.1 annot-version=v1.1 MAAPQEVANRSHCKKLLACAAPCALLTLPLAYILLCFPPSFIQSTDLFDAFEAPRTGTSTPRPPQVSPRPPALPDAPKCDYSEGKWVWDDGAAGPRYDSAKCDLKSTQKCALNGKPDNGYLHWRWQPAGCNLSALDPAEFLGMVRGKRMAFVGDSTARNQAEALVCFLSTFSRPETTHRYEERPGAKFWRWVFPAPHDVRVSTYWSPFLVRADANGNGSTAVFLDALTDEPWAADADAMDVVVISAGHWFPRPAVYYEAGRIVGAFSRPDVNNGTGISGGYLGVFRRATRRALEHVDAGSGRDKLVVVATIAPAHFESGWDHRDACSRTKPYDDGWKVGETEAEMRKAVVEKTAMASARRRRWGMRYEVLDVTRMAALRPDAHPGVYIYAGAYAGAPVPEKAPNDCLHYCAPGPVDTFNDVLARMVAAARG* >Brasy7G055900.1.p pacid=40047327 transcript=Brasy7G055900.1 locus=Brasy7G055900 ID=Brasy7G055900.1.v1.1 annot-version=v1.1 LPEQTPCDTIGVSPPSVCLSPGSPILFRSPRDWPLTFFIRVDLAGAFHEAENAIDRHLDGRRDKNMCREKLSLMDLKIYRALYWPDGTRKKLSNYHAVKESRKQMCLLVQALLDKYNEDHNRLGDLAYELKDLVYFQSICEGSPHMWYYHLNFTTRTKGDDEFCSGMDNLIFAEVTRMRGAYKDFALSCFYMLKPNDNGHCYGCSNNGSVKLKHPNKDEEYTGGRMDAYLPYGETTIHHNGYDNNLDHEEEEARLQAKEEARVKRLYKCYGDPNFLEKIRKRFKDHPRAEQYFQLKKGENPQHTKPVVEDQGAVEE* >Brasy7G111700.1.p pacid=40047328 transcript=Brasy7G111700.1 locus=Brasy7G111700 ID=Brasy7G111700.1.v1.1 annot-version=v1.1 MVSSPPRPNKHLKTLYELVVNNFLAVVAAPATAIVILRKAAQLGPDELVTRLHGLRQVHLFLAFFLPLATVTLYVVRRPRSVYLVDYACCRPKPNCRVSMGSFTENARFMPYLDGGNFRFLTRMLQRSGLGDQTYLHPSLHHIPPRCSLTESRDEAEQVIFAAIDDLFAKTSASPGTIDVLVTNCSAFNPTPSMADIIVNRYKLRDDVRSVHISGMGCSAGVISVEVARSLLQAAPRGANALVVSTETTSLINYTGKNRAMHLPAALFRMGAAAVLLSTSGAKSRFRLTHVVRTLTAAQDRAYRCAFQEEDEEGQTGVNLSKDLVAVAGETLKANIVEIGSLALPPSEKLLFALSVIARKALTRKIKLYVPDFRTAFQHFCVHAGGRAVIDAVQSSLRLSDENVEPSRMTLHRFGNTSSSSLWYELAYIEAKKRTRKGDRVWMVGFGSGFKCNSAVWECIRSASDNTTVGAPWADSIHQYPVNIPTVS* >Brasy7G176000.1.p pacid=40047329 transcript=Brasy7G176000.1 locus=Brasy7G176000 ID=Brasy7G176000.1.v1.1 annot-version=v1.1 MGVRSAATKLHIPPSHSSAARRSLFLPLAAVALLCSASYLLGAWHHGGFSSPSAPLSIATAISCTTTTLTPSTLDFSAHHASASTTTTSSSTPSTPQRRRQYPACPAKYSEYTPCEDVKRSLRYPRDRLVYRERHCPSPAGKERLRCLVPAPHGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPHGADAYIDDIAKLVPLRDGSVRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLHPGGYWVLSGPPINWRKYWKGWERSKEDLNAEQEAIEAVARSLCWKKIKEAGDIAVWQKPMNHVSCKASRRKTAKSPPFCSNKNPDAAWYDKMEACVTPLPEVSNADEVAGGAVKKWPQRLTAVPPRISRGSIKGVTAKAFQQDTELWKKRVRHYKAVINQFEQKGRYRNVLDMNAGLGGFAAALANYPLWVMNMVPTVRNSSTLGVIYERGLIGSYQDWCEGASTYPRTYDLVHADSVFTLYKNRCEMDSILLEMDRILRPEGTVIIRDDVDMLVKVKSVADGMRWDSQIVDHEDGPLVREKLLFVVKTYWTAPDQDQ* >Brasy7G002100.1.p pacid=40047330 transcript=Brasy7G002100.1 locus=Brasy7G002100 ID=Brasy7G002100.1.v1.1 annot-version=v1.1 MEAPLFLLSPPAAHRLITPSALASPPNPPRLSRPRHRRRHLLLSARSDSDGAAPRVLSSSSSSSSTGEGSSPSALTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSVRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKKIQYTEGITYGELFLENEKEMSAYYLEHANVDHIQKHFDNFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCSQLWLKTREDIGYPLGTYQEANLISPHVSEKLSKKEVLGQPQVFVLEIGTEELPPHDVIEATEQLEKSLVQMLGKRRLSHGKVHSYGTPRRLTVVVENLSMKQLEEEVELRGPPVAKAFDQEGKPTKAAEGFCRKNNVPIDCLYRTIDGKTEYIYARVKESARYADEVLSEDLPTIISGISFPKSMRWNSNIVFSRPIRWILALHGDLVVPFSFAGISSGSQSCGLRNSSSANFKVETAESYLCTVEKAGVLIDMQDRKAKILDDSSMLAEGVDGAFIAPDSLLQEVVNLVEAPVPILGRYDDSFLELPKDVLITVMQKHQKYFPVTSKSTGNLLPYFITVANGFISEEVVRKGNEAVIRARYEDAKFFYKMDTQKNLSEFRDKLRSILFHEKLGTMLDKMTRVENVVAELTLVLGINEKLIPVIKDAAALAMSDLATSIVTEFTSLAGIMARHYALRDGLPEQVAEALFEITLPRFSGDVFPKTDAGIVLAVADRLDSLVGLFGAGCQPSSSNDPFGLRRVSYGLVQILVENKMNFDLTKALTLVAEVQPIRIDSDIINEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAAEMEAFSTTEFFPKIVEAYSRPTRIIRGKQIESALEVDESVFEKDEEKALWTAYLEVADKIHPGVDVRTFAEASLLLIQPLEDFFNNVFVMAEDEKIRNNRLALLEKIAGLTKGIADLSVLPGF* >Brasy7G198800.1.p pacid=40047331 transcript=Brasy7G198800.1 locus=Brasy7G198800 ID=Brasy7G198800.1.v1.1 annot-version=v1.1 MKELIRRLSFSDRAASVINDDDRPSSSPPTTATTMRHLIRRLSFSERVAIDGAGAVPRGCVPVLVGDEGERFVVRVEVLRHPSLAALLEMAAHEFGYKQEGILRVPCGVAQFKQALTAAVVSTKN* >Brasy7G161900.1.p pacid=40047332 transcript=Brasy7G161900.1 locus=Brasy7G161900 ID=Brasy7G161900.1.v1.1 annot-version=v1.1 MPSRTLPLPNPLPPHLAQPPTPPAPCAPAPAACPAPASLPPPQSPCSTPAATLAQAAQLRPSAPSLPMLCAASALPLAHASPPLASAGRCPSLAPPPAAQPSNAARRPSPAPLPATNSPASS >Brasy7G234400.1.p pacid=40047333 transcript=Brasy7G234400.1 locus=Brasy7G234400 ID=Brasy7G234400.1.v1.1 annot-version=v1.1 MSTRGSKSIPELPYDRLNKYTNNFSYKFFEDQFGKLYKGTMDDGTEIAVMELTESTEKIFKEVVQNMLAPEHKNIARLVGFCSEEISVHKRLLCYEYLPNIRMSLHSYLFAEGPASSSAEHGIHWDERFAIVKGICQGLCCLHKLPVIHLDLKPANIWLDENMVPKIGCFGLYKFFGQQEIIKFTSVAGSNGYMAPEYRQDGITLAQADIYSLGLMIIEITTGVKKSEATDHLSARRYIEKIRKEWTPEHIASVYRSYDAEKLQQVYSCIKVGLECLQLDHEKRPAIGAIVDRLNAS* >Brasy7G130200.1.p pacid=40047334 transcript=Brasy7G130200.1 locus=Brasy7G130200 ID=Brasy7G130200.1.v1.1 annot-version=v1.1 MFCIFFAYCFVNFSFASLLAESSAFTTPTASTLPAVQRIRQVYDAVCRLRNDPTKKDIVLFQNDECECTIGQVAKAFRPNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCRQLFEGNYQSRRLQKLFSIHSEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy7G020900.1.p pacid=40047335 transcript=Brasy7G020900.1 locus=Brasy7G020900 ID=Brasy7G020900.1.v1.1 annot-version=v1.1 MSTVSRAYLDQRLAAAKRCSKEAAMAGAKAAAVATVAAAVPTLASVRMLPWARAYLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDAAPDHLKDTSFQGSGTAPAPASTRRWAFFRH* >Brasy7G199500.1.p pacid=40047336 transcript=Brasy7G199500.1 locus=Brasy7G199500 ID=Brasy7G199500.1.v1.1 annot-version=v1.1 MSEQHDRVSKSSSSSISSSTQESEEEVSVTIGSLLAQARNNSGRSLGKRLLHLGSIPHTPRVNGDIPDVDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFCNPEYHKHVRKAVMKQLKEFRKRYEGYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLVTSFRDTCLIEIVPRDMTPTRELWLSFWCEVHYNSLYGTEDLLTRKTKKKHWLF* >Brasy7G199500.2.p pacid=40047337 transcript=Brasy7G199500.2 locus=Brasy7G199500 ID=Brasy7G199500.2.v1.1 annot-version=v1.1 MSEQHDRVSKSSSSSISSSTQESEEEVSVTIGSLLAQARNNSGRSLGKRLLHLGSIPHTPRVNGDIPDVDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFCNPEYHKHVRKAVMKQLKEFRKRYEGYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLVTSFRDTCLIEIVPRDMTPTRELWLSFWCEVHYNSLYGTEDLLTRKTKKKHWLF* >Brasy7G202800.1.p pacid=40047338 transcript=Brasy7G202800.1 locus=Brasy7G202800 ID=Brasy7G202800.1.v1.1 annot-version=v1.1 MHKYYFIGDGRRFSFWTDRWLQREGPKDLAPELFKLARKMQILVSNALQDGRWWMQGLRRISSVQEIDQLAMLWDQLLTVQLESEPDLISRECLADGKYSAKAAYMAHFLQAIPQPLLNSVRSIKGEGKVQFFLWLLLQNRLWNGG* >Brasy7G164300.1.p pacid=40047339 transcript=Brasy7G164300.1 locus=Brasy7G164300 ID=Brasy7G164300.1.v1.1 annot-version=v1.1 MESPEELGLDFLDCLGPDTSITIFALLDDPSDLARASAVSRSWRRFVIANQFSKIQCLRACPEVSNLSHIEVSSSGGTSAVARPDVELHRDHRVYMHLAHGLLSPYKPRDCIIHCIGASSTDNFPEETIENTLEPVDRVETRPSYWSSGGQRDAAVPECLIYKLQSDLCLVEEIRMQPFKAFFQYGDPIYSAKHIRFHMGYPKSPLRPETLVCDENEGQLIADCNYTWTYTSPGFTMLQENVLQSFKLPRPVLCIGGVLKIELIGRIQKQAIDGLYYICVSHVQIVGKPLSRELGVVPRGNGVVLKYYPDTRGCVVPCMSESSRGDGGRSKWHGLASRIWHSGPSRGSNASLFSRLFGRQLHFVDEDDESEDDDDEEEEEVEELP* >Brasy7G009400.1.p pacid=40047340 transcript=Brasy7G009400.1 locus=Brasy7G009400 ID=Brasy7G009400.1.v1.1 annot-version=v1.1 MPPPPDLNRSLCTLPRSASSSHPHKSLLLPPPPCPRCRRCRSRLTRLLTRPCCAPPPSPSRSRYERSPPPLPLSNPPCHLAGHHHLLNPPCRHHLLTARAPRRLRPQASP* >Brasy7G193700.1.p pacid=40047341 transcript=Brasy7G193700.1 locus=Brasy7G193700 ID=Brasy7G193700.1.v1.1 annot-version=v1.1 MEMEMPSRNNNGGGGGGELGGGLKKGPWTQAEDTVLLEHVRRHGEGNWNAVRREAGLQRCGKSCRLRWANHLRPNLRKGPFSPDEERLILRLHGLIGNKWARISSHLPGRTDNEVKNYWNTRLKRRKRAGQPMYPPDIEREIALFRAHNLNPFAPVPAAANAARPAPLVLQDGRNSFALPPKVPSPSSSASAASHSPLVNQNYPLLNQIQQQHHHHPVLHHHGGGFRAAAGLPPLPARAREVLHPSNQFHAAADDGDGLGLGLLEAMLLGEENLTHHHHHQTSSQYSPAPGNQDPLHGGKWDFLFDDMKPETGKAANVAVEEMPASMFVGPVPGDQWLQGTGGGSPGPSSVVTTDDEFGGLDVQHFMSSLPLSTGELNWNA* >Brasy7G168600.1.p pacid=40047342 transcript=Brasy7G168600.1 locus=Brasy7G168600 ID=Brasy7G168600.1.v1.1 annot-version=v1.1 MATSALATLSATAAAAAGVKRLLLSPPSSSLSFSSRRLASAGPLRAAGLLLAPRRSLSATASPTAAATIAVGDRLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDTVACVSVNDAFVMRAWKDSLGVGDEVLLLSDGNGELTRAMGVELDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGSFTNSSAEDMLKAL* >Brasy7G014400.1.p pacid=40047343 transcript=Brasy7G014400.1 locus=Brasy7G014400 ID=Brasy7G014400.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G079200.1.p pacid=40047344 transcript=Brasy7G079200.1 locus=Brasy7G079200 ID=Brasy7G079200.1.v1.1 annot-version=v1.1 MEDEEHEVYGQEIPVDGEDVDMGAAGDDATKLHELDEMKRRLKEMEEEAAALREMQAKVAKEMQGGDPNATTSEAKEEMDSRSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEAEAIQEAVKLNESELHGRQIKVAPKRTNVPGLKQPRGGRGYNPYGGHPYMRPYGAPFFNPYGGYGRVPRFRRPRRPFY* >Brasy7G145000.1.p pacid=40047345 transcript=Brasy7G145000.1 locus=Brasy7G145000 ID=Brasy7G145000.1.v1.1 annot-version=v1.1 MAASGTTLVVYKAVFAALGVLMVGILVYTCITDGSPFRTELLTPWMVATLVDFYVNVVAISTWVVYKEVNWISSVFWVVMLFCFGSAATCAYIVNKLFEITPSGPSQDPLDLLLLRQGNPPERKCYFVKIGRIVFSILGILMAALVTYTVITDGLPFRKDLLTPWMAATLVDFYINILAISVWVVHKESTWISSVIWICLLICFGSITTCGYIVVQLLQVSYQDPICHVLQNSHSKYGIAPSQ* >Brasy7G025300.1.p pacid=40047346 transcript=Brasy7G025300.1 locus=Brasy7G025300 ID=Brasy7G025300.1.v1.1 annot-version=v1.1 MAPLVTLVLLLLFSRSSTATSSSADIGHAAVAAEAELNNHHVVVAASSLELANASPVCQGHRVSPSTDGGSWAPLSHLHSPCSPAGRDGAAPPKTLSATLQWDEHRAGHIQRKLSGNAASMAEAGEETPQSTQVSSSPAAEVNVGKLSSTDSAFDQGISPAATGPGGKKLPGVAQSMVVDTASDVPWVQCVPCPQPQCYVQSDVLYDPSKSILSAPFPCSSPQCRSLGRYANGCTGAGNTGTCQYRVLYHDGSGTSGTYVSDLLTLNADPKGAVSKFQFGCSHALLRPGSFNNKTAGFMALGRGAQSLSSQTAGTFSKGNVFSYCLPPSGSHKGFLSLGVPQHAASRYAVTPMLKSTFAPMIYMVRLIGIDVAGQRLPVPPSVFGANAAMDSRTIITRLPPTAYMALRAAFRAQMRAYRAVAPKGQLDTCYDFTGVPMVRLPKVTLVFDRNAAVELDPSGVMLDSCLAFARNANDFMPGIIGNVQQQTLEVLYNVDGASVGFRRAAC* >Brasy7G025300.3.p pacid=40047347 transcript=Brasy7G025300.3 locus=Brasy7G025300 ID=Brasy7G025300.3.v1.1 annot-version=v1.1 MAPLVTLVLLLLFSRSSTATSSSVDIGHAAEAELSNHHVVVAASSLELANASPVCQGHRVSPSTDGGSWAPLSHLHSPCSPAGRDGAAPPKTLSATLQWDEHRAGHIQRKLSGNAASMAEAGEETPQSTQVSSSPAAEVNVGKLSSTDSAFDQGISPAATGPGGKKLPGVAQSMVVDTASDVPWVQCVPCPQPQCYVQSDVLYDPSKSILSAPFPCSSPQCRSLGRYANGCTGAGNTGTCQYRVLYHDGSGTSGTYVSDLLTLNADPKGAVSKFQFGCSHALLRPGSFNNKTAGFMALGRGAQSLSSQTAGTFSKGNVFSYCLPPSGSHKGFLSLGVPQHAASRYAVTPMLKSTFAPMIYMVRLIGIDVAGQRLPVPPSVFGANAAMDSRTIITRLPPTAYMALRAAFRAQMRAYRAVAPKGQLDTCYDFTGVPMVRLPKVTLVFDRNAAVELDPSGVMLDSCLAFARNANDFMPGIIGNVQQQTLEVLYNVDGASVGFRRAAC* >Brasy7G025300.4.p pacid=40047348 transcript=Brasy7G025300.4 locus=Brasy7G025300 ID=Brasy7G025300.4.v1.1 annot-version=v1.1 MAPLVTLVLLLLFSRSSTATSSSVDIGHAAEAELSNHHVVVAASSLELANASPVCQGHRVSPSTDGGSWAPLSHLHSPCSPAGRDGAAPPKTLSATLQWDEHRAGHIQRKLSGNAASMAEAGEETPQSTQVSSSPAAEVNVGKLSSTDSAFDQGISPAATGPGGKKLPGVAQSMVVDTASDVPWVQCVPCPQPQCYVQSDVLYDPSKSILSAPFPCSSPQCRSLGRYANGCTGAGNTGTCQYRVLYHDGSGTSGTYVSDLLTLNADPKGAVSKFQFGCSHALLRPGSFNNKTAGFMALGRGAQSLSSQTAGTFSKGNVFSYCLPPSGSHKGFLSLGVPQHAASRYAVTPMLKSTFAPMIYMVRLIGIDVAGQRLPVPPSVFGANAAMDSRTIITRLPPTAYMALRAAFRAQMRAYRAVAPKGQLDTCYDFTGVPMVRLPKVTLVFDRNAAVELDPSGVMLDSCLAFARNANDFMPGIIGNVQQQTLEVLYNVDGASVGFRRAAC* >Brasy7G025300.2.p pacid=40047349 transcript=Brasy7G025300.2 locus=Brasy7G025300 ID=Brasy7G025300.2.v1.1 annot-version=v1.1 MAPLVTLVLLLLFSRSSTATSSSVDIGHAAEAELSNHHVVVAASSLELANASPVCQGHRVSPSTDGGSWAPLSHLHSPCSPAGRDGAAPPKTLSATLQWDEHRAGHIQRKLSGNAASMAEAGEETPQSTQVSSSPAAEVNVGKLSSTDSAFDQGISPAATGPGGKKLPGVAQSMVVDTASDVPWVQCVPCPQPQCYVQSDVLYDPSKSILSAPFPCSSPQCRSLGRYANGCTGAGNTGTCQYRVLYHDGSGTSGTYVSDLLTLNADPKGAVSKFQFGCSHALLRPGSFNNKTAGFMALGRGAQSLSSQTAGTFSKGNVFSYCLPPSGSHKGFLSLGVPQHAASRYAVTPMLKSTFAPMIYMVRLIGIDVAGQRLPVPPSVFGANAAMDSRTIITRLPPTAYMALRAAFRAQMRAYRAVAPKGQLDTCYDFTGVPMVRLPKVTLVFDRNAAVELDPSGVMLDSCLAFARNANDFMPGIIGNVQQQTLEVLYNVDGASVGFRRAAC* >Brasy7G025300.5.p pacid=40047350 transcript=Brasy7G025300.5 locus=Brasy7G025300 ID=Brasy7G025300.5.v1.1 annot-version=v1.1 MAEAGEETPQSTQVSSSPAAEVNVGKLSSTDSAFDQGISPAATGPGGKKLPGVAQSMVVDTASDVPWVQCVPCPQPQCYVQSDVLYDPSKSILSAPFPCSSPQCRSLGRYANGCTGAGNTGTCQYRVLYHDGSGTSGTYVSDLLTLNADPKGAVSKFQFGCSHALLRPGSFNNKTAGFMALGRGAQSLSSQTAGTFSKGNVFSYCLPPSGSHKGFLSLGVPQHAASRYAVTPMLKSTFAPMIYMVRLIGIDVAGQRLPVPPSVFGANAAMDSRTIITRLPPTAYMALRAAFRAQMRAYRAVAPKGQLDTCYDFTGVPMVRLPKVTLVFDRNAAVELDPSGVMLDSCLAFARNANDFMPGIIGNVQQQTLEVLYNVDGASVGFRRAAC* >Brasy7G049300.1.p pacid=40047351 transcript=Brasy7G049300.1 locus=Brasy7G049300 ID=Brasy7G049300.1.v1.1 annot-version=v1.1 MVVAGSGGGNAWAKEMTLRRRMASIFNKTRDHFPSLKDYNDYLEEVEDMTFNLIEGIDVEAIESKIARYQQENAEQIYLSRAKRAEDLAAALKASRMIPVKADPSDMAAGSSQGISGGAGVQGQYAPAAVPGGLNQPRPTGMAPQPIGGFVDPLQGHDEETMRLRAERGARAGGWTAELGRKRALEEAFNSIFI* >Brasy7G060100.1.p pacid=40047352 transcript=Brasy7G060100.1 locus=Brasy7G060100 ID=Brasy7G060100.1.v1.1 annot-version=v1.1 MDESALFMEWAMETLEHEHPLPPAVVSGGGDEANFPSLQALRDVSHAALVLEELLTDAHAANSGSSGDTDGGSGGGVHFSSTAPGAMDQQHDVCLPPSPPANANASGNGGGPNVTLPGPVMSWNFSAASAQPAADAGALDTADPAAAARPFEPGLASSQTSRRASAKSTGSTGHTPSPAPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRHVKDLQEKIKALEAASSSSNSRSVETVVLLKKPCYGACAAEENGSPSSASSGAPARSLQPLPEIEARFSENGVMVRILCEDAKGVVVRVLSEVEDGLRLSVTHANVMAFTACTLIITITAKVEEGFNVTAEEVVGRLNSALQQHGTTTSTEETGNY* >Brasy7G099400.1.p pacid=40047353 transcript=Brasy7G099400.1 locus=Brasy7G099400 ID=Brasy7G099400.1.v1.1 annot-version=v1.1 MAGGGLAAGLLLLFLLPAITLAAAATETETLLTFRKALLGPTATGPPAPLDQWTTTPGPCLVPGKPSTWFAVRCHPSSARVLGLRLEYLGLQGPPPDLTPLSSLTGLRALSFANNNLTGAFPSSVSALPALKMLYLSRNRLSGAVPDDAFAHMRGLRKLYLNDNGFTGTVPGSVNTSPKLLALQLARNRFEGPLPEMDRPRDLQTLDVSFNDLSGPVPERLRKFGAPAFQGNKGMCGPPLVDAPCPPGLEDGGSPSSSSGSLKILMIIAIAVVALGGLLAIVGIITALLARRNNDDKNATTETAGAGTAVAAKLQTTSESSIKVEQRDMEEHGAVVAVSAKRSRRDENPAGKLVFIQDDESRRVVRFELEDLLRASAEVLGSGTFGASYKATFLDGTAVVVKRFKEMNGAGRRADFSEHMRRLGRLTHPNLHPVVAYMYKKEEKLFVTEHVGNGGLAQILHGGASATSLRLDWAARLGIVKGVARALAYLYDELPMLTVPHGHLKSSNVLLGDDLQPLLTDYSLVPVVTPHHASQVMVAYKAPECGASQGGKASRKSDVWSLGILILEVLTGKFPANYLRQGREGSTDLAGWVNSVVREEWTGEVFDAEMRGARGAEGEMVKLLKVGLCCCDQDVAARWDVKEALARIEEVRDRDPGGGGDDSSTASSYLSDGAAEPHSAHST* >Brasy7G183700.1.p pacid=40047354 transcript=Brasy7G183700.1 locus=Brasy7G183700 ID=Brasy7G183700.1.v1.1 annot-version=v1.1 MRASQRATRCLFWCVLCTLRTFTTPEAGNAGFYVSERGQSSGKNGSSIISRTSEELHWQAWELQASLTCSRLRGTKRRAVHLWMPSELEGRETGDPCAIQSGVRTSTLCLQLGLLDVGEEVQHRELFLQCAGSECLAGNVAVRIAGKELGVAIAQVEAEIVLPCRGGQL* >Brasy7G169600.1.p pacid=40047355 transcript=Brasy7G169600.1 locus=Brasy7G169600 ID=Brasy7G169600.1.v1.1 annot-version=v1.1 MGGSMSCFGGGGGGDGRGDYSEEPRRSSMKVRPSDEDRLWYVGERDVDRKAAEFIARFHASAASSTPQLN* >Brasy7G013000.1.p pacid=40047356 transcript=Brasy7G013000.1 locus=Brasy7G013000 ID=Brasy7G013000.1.v1.1 annot-version=v1.1 MRAELLPSTSALAVAFEDLAFPSPPAAVLALRVLRSAHFAGPPDMIQLTAAFPRTLYPLISHLVSRLQSPPRPRRRRISPPSSPLPSVPLRQLPSPLPPSVFYPPPCPLLPGSVFFPPICSPPSASVSAPHGVRHASRRQAGPRRTPVQIRFPFFLPFRL* >Brasy7G034500.1.p pacid=40047357 transcript=Brasy7G034500.1 locus=Brasy7G034500 ID=Brasy7G034500.1.v1.1 annot-version=v1.1 MASSSQVPPLQEADHQLEQPLMSSPSDSENSPVEQVALTVPVGDDPSTPVLTFRTWVLGTASCVALSFLNAFFGYRKEPLEITAVSAQIAVLPLGRLMAALLPARAFFSGSDYEFTLNPGPFNMKEHVLITIFANAGAGSVFAINVVTALRVFYAMEITFFVSLLIVLTSQVMGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRSKGGMTRNQFFILAFVCSFAYYIFPGYLFQMLTSLSWICWIFPNSVVAQQLGSGMHGLGIGAIGLDWSSISSYLGSPLASPWFATANVAAGFVIYMYIITPVTYWFNIYKARNFPVISDGLFTVTGQKYNISNIIDSQFHFDTNAYEKNGPLYISTFFAVSYGLGFACLTATLVHVILFHGSEIWQLSKSAFQEKKVDIHTKLMRRYRQVPEWWFICILVASIAITMFTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPRQALAFLQDFKLGHYMKIPPRTMFMAQVVGTIIAAFVYLGTAWWLMDTIPNICNTELLPAGSPWTCPYDHLFYDASVIWGLISPRRIFGDLGSYSAVNWFFLGGAVAPLLVWLAHKAFPGQKWILLVNMPVLLGGISHMPPATAVNYTAWIFIAFLSGYMVYKYRRDWWERHNYLLSGALDAGLAFMAVLIYVCLGLENISLNWWGNDLDGCPLASCPTAKGIIVEGCPVHH* >Brasy7G034500.2.p pacid=40047358 transcript=Brasy7G034500.2 locus=Brasy7G034500 ID=Brasy7G034500.2.v1.1 annot-version=v1.1 MSSPSDSENSPVEQVALTVPVGDDPSTPVLTFRTWVLGTASCVALSFLNAFFGYRKEPLEITAVSAQIAVLPLGRLMAALLPARAFFSGSDYEFTLNPGPFNMKEHVLITIFANAGAGSVFAINVVTALRVFYAMEITFFVSLLIVLTSQVMGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRSKGGMTRNQFFILAFVCSFAYYIFPGYLFQMLTSLSWICWIFPNSVVAQQLGSGMHGLGIGAIGLDWSSISSYLGSPLASPWFATANVAAGFVIYMYIITPVTYWFNIYKARNFPVISDGLFTVTGQKYNISNIIDSQFHFDTNAYEKNGPLYISTFFAVSYGLGFACLTATLVHVILFHGSEIWQLSKSAFQEKKVDIHTKLMRRYRQVPEWWFICILVASIAITMFTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPRQALAFLQDFKLGHYMKIPPRTMFMAQVVGTIIAAFVYLGTAWWLMDTIPNICNTELLPAGSPWTCPYDHLFYDASVIWGLISPRRIFGDLGSYSAVNWFFLGGAVAPLLVWLAHKAFPGQKWILLVNMPVLLGGISHMPPATAVNYTAWIFIAFLSGYMVYKYRRDWWERHNYLLSGALDAGLAFMAVLIYVCLGLENISLNWWGNDLDGCPLASCPTAKGIIVEGCPVHH* >Brasy7G034500.3.p pacid=40047359 transcript=Brasy7G034500.3 locus=Brasy7G034500 ID=Brasy7G034500.3.v1.1 annot-version=v1.1 MGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRSKGGMTRNQFFILAFVCSFAYYIFPGYLFQMLTSLSWICWIFPNSVVAQQLGSGMHGLGIGAIGLDWSSISSYLGSPLASPWFATANVAAGFVIYMYIITPVTYWFNIYKARNFPVISDGLFTVTGQKYNISNIIDSQFHFDTNAYEKNGPLYISTFFAVSYGLGFACLTATLVHVILFHGSEIWQLSKSAFQEKKVDIHTKLMRRYRQVPEWWFICILVASIAITMFTCEYYIEQLQLPWWGVLLACALAIFYTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPRQALAFLQDFKLGHYMKIPPRTMFMAQVVGTIIAAFVYLGTAWWLMDTIPNICNTELLPAGSPWTCPYDHLFYDASVIWGLISPRRIFGDLGSYSAVNWFFLGGAVAPLLVWLAHKAFPGQKWILLVNMPVLLGGISHMPPATAVNYTAWIFIAFLSGYMVYKYRRDWWERHNYLLSGALDAGLAFMAVLIYVCLGLENISLNWWGNDLDGCPLASCPTAKGIIVEGCPVHH* >Brasy7G109700.1.p pacid=40047360 transcript=Brasy7G109700.1 locus=Brasy7G109700 ID=Brasy7G109700.1.v1.1 annot-version=v1.1 MSLGFDGGAAVGDLESNGEGGLAMDDAAAWFPMEDLRSTEIFSSYVRSGGDFPGNFLNSISKEVAPDLNESGTPGADGGSCTVGKRDRTIGTDPNSRNSEGWIKRVRLADSSEERITNPNKPTALESTIRSYVENKRPIVVDPSVGTTFDTLDEAYCFYNLYSWEIGFVPDAYTKELLPTRAFQHWGASWINHSFVCKFG* >Brasy7G093700.1.p pacid=40047361 transcript=Brasy7G093700.1 locus=Brasy7G093700 ID=Brasy7G093700.1.v1.1 annot-version=v1.1 MHFFSGGGRPGALLPTTSKPKAHHHRSKVLSSLASSRRRGAHPASSSDSSRRVLYLAAVAFVVLFLFAFLRLGLPSSRPAAPSPNRPRARLTRKPAFRRDSVAAEAAAAAVAARAGREAPVDITTKDLYDRIQFKDEDGGAWKQGWEVTYKGNEWDAEKLKVFVAPHSHNDPGWIHTVEEYYDRQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEDFAKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNLWLNETIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKQNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMRGFSYESCPWRYDPVETNPNNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVNTEEAEAQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRPGEVGSVELPGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFALGYCQRLQCAKLAISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDKSDPTILSHFEPVQERSKYDVQPVHRIIKPHEGKAHSVVFFNPLEQTRDEIVMIVVSNPDVSVLNSTGSCLKSQISPEWQYVSSEKIFTGRHRLYWRASVPALGLETYYVATGQDCEKATPAVVKAFTASQEFPCPEPYVCSNLEGKTVEMKNSYHTLSFDVSHGLLQTVTHHKQKEQTEIGEEIGMYSSHGSGAYLFKPIGEARPIVKEGGYFILTEGPLVQEAHSLPKTEWDKSPLSHSTRIYSCGDSVQDMLIEKEYHVELVGRVFDDRELIVRFKTGIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWMEIMLDRRLVRDDGRGLGQGVLDNRPMNVIFHLLRESNVSALPESHSSLTLQPSILSHRVGAHLNYPMHAFVSKKLLERSFKLPQQTFAPLATSLPCDIHIVNLKVPQPLKFHHAEAVEPKFAILLQRRGWDASYCKRGGLQCTSIGEEPVNLFDMFKDLSVLNVKATSLNLLNDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSLQEE* >Brasy7G093700.2.p pacid=40047362 transcript=Brasy7G093700.2 locus=Brasy7G093700 ID=Brasy7G093700.2.v1.1 annot-version=v1.1 MHFFSGGGRPGALLPTTSKPKAHHHRSKVLSSLASSRRRGAHPASSSDSSRRVLYLAAVAFVVLFLFAFLRLGLPSSRPAAPSPNRPRARLTRKPAFRRDSVAAEAAAAAVAARAGREAPVDITTKDLYDRIQFKDEDGGAWKQGWEVTYKGNEWDAEKLKVFVAPHSHNDPGWIHTVEEYYDRQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEDFAKLVRDGQLEIVSGGWVMNDEMMEGNLWLNETIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKQNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMRGFSYESCPWRYDPVETNPNNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVNTEEAEAQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRPGEVGSVELPGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFALGYCQRLQCAKLAISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDKSDPTILSHFEPVQERSKYDVQPVHRIIKPHEGKAHSVVFFNPLEQTRDEIVMIVVSNPDVSVLNSTGSCLKSQISPEWQYVSSEKIFTGRHRLYWRASVPALGLETYYVATGQDCEKATPAVVKAFTASQEFPCPEPYVCSNLEGKTVEMKNSYHTLSFDVSHGLLQTVTHHKQKEQTEIGEEIGMYSSHGSGAYLFKPIGEARPIVKEGGYFILTEGPLVQEAHSLPKTEWDKSPLSHSTRIYSCGDSVQDMLIEKEYHVELVGRVFDDRELIVRFKTGIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWMEIMLDRRLVRDDGRGLGQGVLDNRPMNVIFHLLRESNVSALPESHSSLTLQPSILSHRVGAHLNYPMHAFVSKKLLERSFKLPQQTFAPLATSLPCDIHIVNLKVPQPLKFHHAEAVEPKFAILLQRRGWDASYCKRGGLQCTSIGEEPVNLFDMFKDLSVLNVKATSLNLLNDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSLQEE* >Brasy7G235900.1.p pacid=40047363 transcript=Brasy7G235900.1 locus=Brasy7G235900 ID=Brasy7G235900.1.v1.1 annot-version=v1.1 MDGRSKLIPAKERKKEKERRREQGHPLLGVVVWWVTAEPEGSRDSLSLSAAMAAAATKGGADAGQQKKQERAGRLKPSRVAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGGLTRPYKGIADAFARVLREEGAAALWRGNQANVIRYFPTQACNFAFKGYFKSLFGYDKERDGKWKWLAGNVACGSAAGAITSSLLYHLDYARTRLATDAIEFRGNKRQFRGLLDVYKKTLATDGIPGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLEGNFLASFALGWAITTFSGACAYPFDTIRRRMMLTSGQPFKYRNAFHAVKQIVSTEGFFTLFKGVGANILSGMAGAGVLAGYDQLQRFAGQGQHDYRFGNKMKGALK* >Brasy7G053900.1.p pacid=40047364 transcript=Brasy7G053900.1 locus=Brasy7G053900 ID=Brasy7G053900.1.v1.1 annot-version=v1.1 MADEPGKIVTPSIVQELVASVHEPPSQYVVREQDRHGMAGSEMPEPIPIIDLSRLSASDNSADEVIKLQSALENWGLFLAVGHGMEQSFLGEVMKMMREFYKLPQEDKQKYTNLDGKGFRMEGYGNDMVISEKQILDWCDRLYLVVEPESQRIYSMWPTNPPSFRDILCEYTVRCREIANLVLGNLAKLLNLHEDYFVNTLNENAMTYARFNYYPRCPKPDQVFGLNPHTDATVTTIVFIDDNVSGLQLQKGGVWYNVPIVPNALLVNTEDAMEILSNGFFKSPVHRVVTNAEKERMSLVMFYTMDPESEIEPVPELVDEKRPRRYRKIKTKDYMKELFKTFARGTLVIDTVKI* >Brasy7G053900.3.p pacid=40047365 transcript=Brasy7G053900.3 locus=Brasy7G053900 ID=Brasy7G053900.3.v1.1 annot-version=v1.1 MADEPGKIVTPSIVQELVASVHEPPSQYVVREQDRHGMAGSEMPEPIPIIDLSRLSASDNSADEVIKLQSALENWGLFLAVGHGMEQSFLGEVMKMMREFYKLPQEDKQKYTNLDGKGFRMEGYGNDMVISEKQILDWCDRLYLVVEPESQRIYSMWPTNPPSFRDILCEYTVRCREIANLVLGNLAKLLNLHEDYFVNTLNENAMTYAREAHGGGGNRWLGCRAAQALGCARKELGRGTRWACWAWAGSAR* >Brasy7G053900.4.p pacid=40047366 transcript=Brasy7G053900.4 locus=Brasy7G053900 ID=Brasy7G053900.4.v1.1 annot-version=v1.1 MADEPGKIVTPSIVQELVASVHEPPSQYVVREQDRHGMAGSEMPEPIPIIDLSRLSASDNSADEVIKLQSALENWGLFLAVGHGMEQSFLGEVMKMMREFYKLPQEDKQKYTNLDGKGFRMEGYGNDMVISEKQILDWCDRLYLVVEPESQRIYSMWPTNPPSFRDILCEYTVRCREIANLVLGNLAKLLNLHEDYFVNTLNENAMTYARFNYYPRCPKPDQVFGLNVRLRDRIYAI* >Brasy7G053900.2.p pacid=40047367 transcript=Brasy7G053900.2 locus=Brasy7G053900 ID=Brasy7G053900.2.v1.1 annot-version=v1.1 MADEPGKIVTPSIVQELVASVHEPPSQYVVREQDRHGMAGSEMPEPIPIIDLSRLSASDNSADEVIKLQSALENWGLFLAVGHGMEQSFLGEVMKMMREFYKLPQEDKQKYTNLDGKGFRMEGYGNDMVISEKQILDWCDRLYLVVEPESQRIYSMWPTNPPSFRDILCEYTVRCREIANLVLGNLAKLLNLHEDYFVNTLNENAMTYARLLH* >Brasy7G206100.1.p pacid=40047368 transcript=Brasy7G206100.1 locus=Brasy7G206100 ID=Brasy7G206100.1.v1.1 annot-version=v1.1 MDSYGGVGALFMLATLLGIVGTLVFFFNRCANQFGGAGAGAAEPVPAEAEAGAGQAQGGLLPFHVFWRAFQTSLQIMRPAGLADSAIAALPLAKLAQAAECAVCLGELAAGEAARLLPLCGHTFHVECVDTWLRSRANCPLCRQTVTEMPRSNVRDAAQPAAQAQAQAEAQGPVVLPPALPQADGRAPLAQARDGGEGHISLQVLD* >Brasy7G114600.1.p pacid=40047369 transcript=Brasy7G114600.1 locus=Brasy7G114600 ID=Brasy7G114600.1.v1.1 annot-version=v1.1 MAAAATRRAASSLVSRCLLGSRPAASPAVPSAFRRADGTRGLVPGVLQRFGTAAVAEEPISPPVKVGETKLLINGKFVDAVSGKTFPTLDPRTGEVIAHVAEGDAKDIDLAVAAARKAFDEGPWPRMTAYERSRILLRFADLIEKHNDDLAALETWDNGKPYEQAAHIELPMIVRLMRYYAGWADKIHGLVVPADSPHHVQVLYEPIGVAGQIIPWNFPLLMFAWKVGPALASGNTVVLKTAEQTPLSALYMAKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKVAFTGSTDTGKIIMELSARSNLKPVTLELGGKSPFIIMDDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERVHDEFVEKSKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRYIKSGVECGATLVTGGDKLGDKGYYIQPTIFSDVQDGMKIAQEEIFGPVQSIFKFNDLNEVIKRANASRYGLAAGVFTNNLGTANTLTRALRAGTVWVNCFDIFDAAIPFGGYKMSGIGREKGIDSLKNYLQTKAVVTPIKNAAWL* >Brasy7G123500.1.p pacid=40047370 transcript=Brasy7G123500.1 locus=Brasy7G123500 ID=Brasy7G123500.1.v1.1 annot-version=v1.1 MVLDINLDPEDLQPSVPLKKVPTGDLFEAARAGDCDRLALLLEGGANVNARDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALTLDLRRLLKSFEARPPPLAPLPAALRTTFLACPANRTTFLEMLQGSSSGSEAAAIAQTAGFGLTDDASTASLFPPDITFYVDGKPIEAHRLILCARSCFFEKKFKTDWKKRKEVRFSNQKLSFGALYNLIHFFYSDRLEASVDEMESLLRTSKVCACEGLHKLVHKEVMHHRFAPYKSTRELELENSQKRFVLHGQSLPLEDQLPSALQRVLEKCLANSREKDYFNNEPNETCRNSKDDDLADLTVKVDDRVFRCHQLILASRSEYFKARLSRTVDFLEGYNGQQESLDLPFLQEHDLSTEAFEKMLEYMYTDKLEHMDPIQAEELFDIASRYLLFPLKRAVADLLLPNLEHVSPAELCHWLMLSDIYGVVKIREYCLDIIACNFETFADTREFRALLLTLPPPSADDALRTTRPSEPGAAENTDQGNVLDDLREKWLEAEAAELDKRDQSAALFDKRLEMLMHVAEREANDYNAGLHGEPEFQGHDIMQSNGAMESCRNEEPRKSEHTMHTI* >Brasy7G053400.1.p pacid=40047371 transcript=Brasy7G053400.1 locus=Brasy7G053400 ID=Brasy7G053400.1.v1.1 annot-version=v1.1 MEISAGPGRATPSTTTVQELAVGLNSPQVPARYVARGHHHGPPGPTPAAAAAPVPVIDLGLLCCNQDAAAAEEAAKLRLALETWGLFLVTNHGLDAGLMDGMMSASRDFFRLPIEEKQRYTNLVEGGRFQLEGYGTDRVSSPDQILDWSDRLYLKVDPESHRTLALWPAHPQTFRDLLHEFTGTCRAVKDVLLPAMARLLELDDDGYFLDQIGAGVGKAADTYARFSYYPACPKPELVFGLKPHSDGSLLSLLMVDDTVGGLQVLRDGVWWDVPVVPGTLLINLGDQTEIMSNGIFKSPVHRVVTNAEKERLSVALFYSVDPEREIEPAAQLVDEKRPAAYRKVKVKDYIAGLYDNLSQGTMVIDTVKI* >Brasy7G053400.2.p pacid=40047372 transcript=Brasy7G053400.2 locus=Brasy7G053400 ID=Brasy7G053400.2.v1.1 annot-version=v1.1 MEISAGPGRATPSTTTVQELAVGLNSPQVPARYVARGHHHGPPGPTPAAAAAPVPVIDLGLLCCNQDAAAAEEAAKLRLALETWGLFLVTNHGLDAGLMDGMMSASRDFFRLPIEEKQRDLLHEFTGTCRAVKDVLLPAMARLLELDDDGYFLDQIGAGVGKAADTYARFSYYPACPKPELVFGLKPHSDGSLLSLLMVDDTVGGLQVLRDGVWWDVPVVPGTLLINLGDQTEIMSNGIFKSPVHRVVTNAEKERLSVALFYSVDPEREIEPAAQLVDEKRPAAYRKVKVKDYIAGLYDNLSQGTMVIDTVKI* >Brasy7G180700.1.p pacid=40047373 transcript=Brasy7G180700.1 locus=Brasy7G180700 ID=Brasy7G180700.1.v1.1 annot-version=v1.1 MVGGGGIVDGFRRLFHRRTPSGSGPSSNQSSAGEESSDIEAVVEDLDLVGLRAIRVPKRKMPLPVESHKKNILEKEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREVKLLRLLRHPDVVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPLTQKFPNADPLALRLLGRLLAFDPKDRPSAEEALADPYFAVLANVEREPSRNPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLQEYKQGGEQLSFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRQRVGASNDNNEQHADDQERGADATGNPPCSQDAGQQHVSGGQNGLGSTNTSPRSYQKSASISASKCVVVNANKNPEYDDDISEEMEGAVDGLSEKVSRMHP* >Brasy7G168300.1.p pacid=40047374 transcript=Brasy7G168300.1 locus=Brasy7G168300 ID=Brasy7G168300.1.v1.1 annot-version=v1.1 MGSGLSRTHRRSIVSPQQQTHSARVIAADGSLREFPASSSPVSVSDVLGGNAAGLFFLCSSDALYFDAEVPALEGGELLRPGQIYFVLPQAMLGRALSSADMAAMAVRASEALAARARARPGRARRGARKAVRVTPVLLAREEARVNEKLNERTLGESVRAVDGPAARSPVKRALSSIAED* >Brasy7G101500.1.p pacid=40047375 transcript=Brasy7G101500.1 locus=Brasy7G101500 ID=Brasy7G101500.1.v1.1 annot-version=v1.1 MADKENSACAAAPRLTRAASKRAAAVTAVAVAAKRKRVALSELPTLPNAVVQSHTKPKKSSHVSKRKKPLPVRVPAPAPVPVPDAADEIGDPQLCAPYASDIYSYLRSMEVEAKRRPAVDYIETVQKDVTAVMRGILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSANSLNRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQELIKMESDILNLLKFEMGNPTAKTFLRMFIRSSQEDKKYPSLPLEFMGSYLSELSLLDYSCLRFLPSAIAASAVFVAKLTLDPDTNPWSKKLQSVTGYKASELKDCITTIHDLQLKRTGSSWNAIRDKYKQPRFKGVSALLPPVHIPASYFEDLKE* >Brasy7G139900.1.p pacid=40047376 transcript=Brasy7G139900.1 locus=Brasy7G139900 ID=Brasy7G139900.1.v1.1 annot-version=v1.1 MSGYGEENQNAMNGYEDDDEEPEEVEEEVEEEVEEEVEEEEEEERTAAEATRDGGGGDAVGNLEAGGEEGRDVAAEPADGSGKIFVGGVAWETTEETFSKHFQKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHTIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGIPTSLTEGKLKEHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMLERRMHDLGGKQVEIKRAEPKKPGGGDSSSNGRYGHGGGGPRSSYRSGGGGSGGGRSGSSSGGGGGGYGYGADYRSAAAAYYGSAGYGGYGRGYGAYGGYGVYAGNPASGYGGSIYGAPYGAYGAYGGAYGGGAYGAPGGYGAGGYGGYGGAGSMGGGGSASGRGSSRYHPYGK* >Brasy7G139900.2.p pacid=40047377 transcript=Brasy7G139900.2 locus=Brasy7G139900 ID=Brasy7G139900.2.v1.1 annot-version=v1.1 MSGYGEENQNAMNGYEDDDEEPEEVEEEVEEEVEEEVEEEEEEERTAAEATRDGGGGDAVGNLEAGGEEGRDVAAEPADGSGKIFVGGVAWETTEETFSKHFQKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHTIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGIPTSLTEGKLKEHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMLERRMHDLGGKQVEIKRAEPKKPGGGDSSSNGRYGHGGGGPRSSYRSGGGGSGGGRSGSSSGGGGGGYGYGADYRSAAAAYYGSAGYGGYGRGYGAYGGYGVYAGNPASGYGGSIYGAPYGAYGAYGGAYGGGAYGAPGGYGAGGYGGYGGAGSMGGGGSASGRGSSRYHPYGK* >Brasy7G139900.3.p pacid=40047378 transcript=Brasy7G139900.3 locus=Brasy7G139900 ID=Brasy7G139900.3.v1.1 annot-version=v1.1 MKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHTIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGIPTSLTEGKLKEHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMLERRMHDLGGKQVEIKRAEPKKPGGGDSSSNGRYGHGGGGPRSSYRSGGGGSGGGRSGSSSGGGGGGYGYGADYRSAAAAYYGSAGYGGYGRGYGAYGGYGVYAGNPASGYGGSIYGAPYGAYGAYGGAYGGGAYGAPGGYGAGGYGGYGGAGSMGGGGSASGRGSSRYHPYGK* >Brasy7G139900.4.p pacid=40047379 transcript=Brasy7G139900.4 locus=Brasy7G139900 ID=Brasy7G139900.4.v1.1 annot-version=v1.1 MKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHTIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGIPTSLTEGKLKEHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMLERRMHDLGGKQVEIKRAEPKKPGGGDSSSNGRYGHGGGGPRSSYRSGGGGSGGGRSGSSSGGGGGGYGYGADYRSAAAAYYGSAGYGGYGRGYGAYGGYGVYAGNPASGYGGSIYGAPYGAYGAYGGAYGGGAYGAPGGYGAGGYGGYGGAGSMGGGGSASGRGSSRYHPYGK* >Brasy7G126600.1.p pacid=40047380 transcript=Brasy7G126600.1 locus=Brasy7G126600 ID=Brasy7G126600.1.v1.1 annot-version=v1.1 MQSPPSSPMAAAAPPTTGPSRHRQRAPPSPPPQASPIPAARPASGPNPGLPRPAAAPQLLRPPRPPLVLPAAPQDGQPRALVRLRRQARVRPQANSSSSPPSPRAPPPPTGPVDQIRAVAATGGRARRPDKGQVNHFTLWEPIDRWDEGHTHEHGSSPRTAQPASNSHKKA* >Brasy7G180800.1.p pacid=40047381 transcript=Brasy7G180800.1 locus=Brasy7G180800 ID=Brasy7G180800.1.v1.1 annot-version=v1.1 MGNPSILVLDHDPLSLLTISKTLAKFNCKVLPFQTAGNALDSFKSGVAEDEDLDLVLAEVHLGNMTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKEPGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.4.p pacid=40047382 transcript=Brasy7G180800.4 locus=Brasy7G180800 ID=Brasy7G180800.4.v1.1 annot-version=v1.1 MGNPSILVLDHDPLSLLTISKTLAKFNCKVLPFQTAGNALDSFKSGVAEDEDLDLVLAEVHLGNMTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.2.p pacid=40047383 transcript=Brasy7G180800.2 locus=Brasy7G180800 ID=Brasy7G180800.2.v1.1 annot-version=v1.1 MWRCSICHSCKSGLRRCSCFAKLKFSILPFQTAGNALDSFKSGVAEDEDLDLVLAEVHLGNMTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKEPGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.3.p pacid=40047384 transcript=Brasy7G180800.3 locus=Brasy7G180800 ID=Brasy7G180800.3.v1.1 annot-version=v1.1 MWRCSICHSCKSGLRRCSCFAKLKFSILPFQTAGNALDSFKSGVAEDEDLDLVLAEVHLGNMTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.5.p pacid=40047385 transcript=Brasy7G180800.5 locus=Brasy7G180800 ID=Brasy7G180800.5.v1.1 annot-version=v1.1 MTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKEPGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.6.p pacid=40047386 transcript=Brasy7G180800.6 locus=Brasy7G180800 ID=Brasy7G180800.6.v1.1 annot-version=v1.1 MTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKEPGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.7.p pacid=40047387 transcript=Brasy7G180800.7 locus=Brasy7G180800 ID=Brasy7G180800.7.v1.1 annot-version=v1.1 MTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G180800.8.p pacid=40047388 transcript=Brasy7G180800.8 locus=Brasy7G180800 ID=Brasy7G180800.8.v1.1 annot-version=v1.1 MTVGTSVVFHYILNELQVPLITMCAYGDEEALSKCMPQGACFNVLKPLDLPTFNILVEKAMEHRCRRVIPEGSSILKKTKGPWEPHEYSKKLGQVTWSTELQEKFLQAVQSLGESATPGRILWHMDVKGVTSQQIASHLKKYRQKLKQEQYEQKDANMKLVADLITSAYSATRTKSNNHLTTTQMKVTPGAAPAIRAQVEKSSVKAGVSNTNARSSVWDKYSKSLQDQKKSVCDSYQPTRFFMPYKQEFLPMPSKPITKYGSIENITPYAAGQMGFGTPVTSLLPQLNGNGYTEAAGNNGNMESFTLQEDNVNELYAAATCAHDVVDVVSNVLLDGTENNYSTAEKMPSASDPLCGSELETFWRSQLEGQEQQALGPDDLLQVEDAWNHALQSDSLDNATMAQESIIGDALMPMVQESAVGDASVLMLQESTVGVVQEPALGDALADDPVNQFAIGAELWTWSPQMIDDYAMSF* >Brasy7G018700.1.p pacid=40047389 transcript=Brasy7G018700.1 locus=Brasy7G018700 ID=Brasy7G018700.1.v1.1 annot-version=v1.1 MAGAAALRRAARRVIRPLANASAPSRTLVQPERFLSSQASPEHRGRAVVSGSELGLYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRNTVETSESHGTDSASYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIDRIPCPPGSCDSPVRMLLLDSYYDEYKGVICHVAVVDGAMRKGDKISSAATGRTYEVFDVGIMHPELTPTGVLYTGQVGYVITGMRSTKEARIGDTLHQAKSIVEPLPGFKPVRHMVFSGVYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDESKVQVENPAALASNTGKRVTACWEPTVIATILIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRIPLREIIVDFYNELKSITSGYATFDYEDSEYQKSDLVKMDILLNGQPVDAMATIIHNQKAQKVGRELVDKLKKFIERQMFEITIQAAIGSKIIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK* >Brasy7G018700.2.p pacid=40047390 transcript=Brasy7G018700.2 locus=Brasy7G018700 ID=Brasy7G018700.2.v1.1 annot-version=v1.1 MAGAAALRRAARRVIRPLANASAPSRTLVQPERFLSSQASPEHRGRAVVSGSELGLYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRNTVETSESHGTDSASYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIDRIPCPPGSCDSPVRMLLLDSYYDEYKGVICHVAVVDGAMRKGDKISSAATGRTYEVFDVGIMHPELTPTGVLYTGQVGYVITGMRSTKEARIGDTLHQAKSIVEPLPGFKPVRHMVFSGVYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDESKVQVENPAALASNTGKRVTACWEPTVIATILIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRIPLREIIVDFYNELKSITSGYATFDYEDSEYQKSDLVKMDILLNGQPVDAMATIIHNQKAQKVGRELVDKLKKFIERQMFEITIQAAIGSKIIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK* >Brasy7G220400.1.p pacid=40047391 transcript=Brasy7G220400.1 locus=Brasy7G220400 ID=Brasy7G220400.1.v1.1 annot-version=v1.1 GFGAGRGFQFPPPLGAEGTPSLGLALAAFNSTGGRVRPLSPMLGDDLLGGYANLKISDALQLYPKCTEARRAPLLSPVSPLDVGGSSPQPSPMKKKKLATLPRSSSMPCEAR* >Brasy7G087800.1.p pacid=40047392 transcript=Brasy7G087800.1 locus=Brasy7G087800 ID=Brasy7G087800.1.v1.1 annot-version=v1.1 MASSRRGGARARGRGVDRRARRQTAAGSRRGGVRARRRGLRTAWWRRGDSRGREGRRRGHGVGARGQGVAAWMGRRSRDRSCCGDSGVGRRPSSASGGGARRDKI* >Brasy7G165500.1.p pacid=40047393 transcript=Brasy7G165500.1 locus=Brasy7G165500 ID=Brasy7G165500.1.v1.1 annot-version=v1.1 MAGIGRNMVSPLLVLNLIMYIVVIGLASWNLNHHINGLNHPGAVGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRGDSLAATAGSALVAWAITALAFGLACKEIHIGGYRGWRLRTLEAFVIILAFTQLIYVAMLHTGLFGNQFSSGGGGYGGEHGGYGDHHNKGPAGMGTAAAARV* >Brasy7G081100.1.p pacid=40047394 transcript=Brasy7G081100.1 locus=Brasy7G081100 ID=Brasy7G081100.1.v1.1 annot-version=v1.1 MLGSYPFRNLPCVAEMMVHDQIIVIEHSNIFTHFIRKMVYCFTQLCLVSFDFVQGYIFHSIN* >Brasy7G186100.1.p pacid=40047395 transcript=Brasy7G186100.1 locus=Brasy7G186100 ID=Brasy7G186100.1.v1.1 annot-version=v1.1 MMHFFQLVAAWLFPCAVCAAAVTAEGAAEHASSSSSLPAFGARGCGAYPGSSSPSSNASTASPPSPSSSVLGARSNSSNSARSPDKRLAPTTTEAAKGDAVRRCMRRLARHLQRAEVGDREQAPRRRRKTKSGRPTKPPLARATATRVIRGDAVEDGTAREREEAVAGAIAYCKRQQTAQCNCKDGSRSPSRRRDDSPDDDLLGRRRDAAAAAAGNSSVTQRGGDSSAAAQTGRTSRRGPAAGDLGTCGGGGSSFSELELDALRITSYFTTKYMQRC* >Brasy7G195100.1.p pacid=40047396 transcript=Brasy7G195100.1 locus=Brasy7G195100 ID=Brasy7G195100.1.v1.1 annot-version=v1.1 MDDGDLSFDFEGGLDPAPAAGGLAPSSGDAGAIGGGGMDGAGHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPHCRYKHVKLPGPPPPVEEVLAKIMQVRTSGFNKFNQHRGNNYNQQGERPRPPHGSGLPNQSSTENANAATMQPAAGQQAQTMNQQPPQKQQPQQQKPNTNDQVQGVPNGSSNQTTRIATPLPQGTTRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESMENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSANGTAHYGRNFSLQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTEMLVAAEAKREEEKAKGVSADEATDNQDIVLFDDNEEEEEEESEEEEENNGPESQGRGRGRGMMWPPHMGMPRAVGPLMGARGFPPNMMGDGFGFGNAFGMPDPFGMPRGFPPFGPRFPGDFARGPMPGMVFPGRPPQHGGLFPMGLEMMMGPGRGGPLMGGMGMGGPGRPNRPIGIAPFMPPPPPRPVKRGDQRRPGGGDRSDRYETGSEQGSRGHDTAGNSAADGARSQSGDKYGRSAFRDDDSESDEEAAPRRSKKR* >Brasy7G024300.1.p pacid=40047397 transcript=Brasy7G024300.1 locus=Brasy7G024300 ID=Brasy7G024300.1.v1.1 annot-version=v1.1 MRKSRRQGGNRRRQKDPSINSHGLLQCIHLQAPKIKSQFAGHSRRSSLPLSPSPYPHPLRSQEAGGRPSTKQHRSGIHGAGQGGGRDCEERGSFPAAQRRRNRAGTHRLRRHPGWTPLIELKRIAGKDGLDARIVGKMESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLVLIALSKGYRFVAVMPGQYSLDKQILLRYMGAELFITDPALGYPGMVNKVQQLKKELPNVHVLDQFVNPANPDAHVRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPNVKIICVEPTESPVISGGEPGKHKIQGIGPGLLPEVLDTSVIDETVTVTTDDAMANARRLAMEEGLLVGISSGANFAACLKVAAREENKGKMIVTMLPSGGERYMNSDLFAALREECIAMTF* >Brasy7G224700.1.p pacid=40047398 transcript=Brasy7G224700.1 locus=Brasy7G224700 ID=Brasy7G224700.1.v1.1 annot-version=v1.1 MPDWEVLVLLRAGAGEKNATCAFHGGASSPAREVGRLTPSGRHAYVCVLPEKARRRKKLSAPRLVFSGSDHGVMEPDKEIARWSRRLVYSSAVVDDGNGGGGQEVLVFAKGVNPRQGVNRDASDISCVYYHRRRPGAGDEEDDINIVASLPATTSAQQVFRCPLPPAASLSSSTQQELRVTLAIAGEERPIPSLAVYTPPPATRVSLPVPEKNKKKLICACTMVRDVAKFLGEWVAYHAAVGVDRFFIYDNGSEDDLAGQVRRLNEAGYDVSTVAWPWAKAQEAGFSHAAAAHRDSCEWMAFVDVDEFIFSPRWVESKKPAKSMLRSVVSVEQDVGQVSLRCADFGPSGRTANPKEGVTQGYTCRKQTEERHKSLVRLDAVDRSLVNSVHHFALRPGFRGEWNRRVRVNHYKYQAWEEFKVKFRRRVSTYVADWTDPVNLQSKDRTPGLGFEAVEPVGWTHKFCEVNDTLLWDASRRWFGVGFGNKLARPQTHSSS* >Brasy7G154800.1.p pacid=40047399 transcript=Brasy7G154800.1 locus=Brasy7G154800 ID=Brasy7G154800.1.v1.1 annot-version=v1.1 MAEWSKAPDSSSGPLTRAWVQTPLLTIYFLSLSTGLRDYVLASHSKSCVRHSSP* >Brasy7G048200.1.p pacid=40047400 transcript=Brasy7G048200.1 locus=Brasy7G048200 ID=Brasy7G048200.1.v1.1 annot-version=v1.1 MAEEAAASSEIKPEQVHAAMMDRTRLIRGLDDEIARCTGETRQQLLDLANMRVLQDAVSAELSDLGRILDGVTRGDLSIMEDIPPSRLDDLLGVRTERVARYRGVPIGRRMDFEAAQRVFASVVVFPEPKSCSTVMAAVSPGQPNAAATAAAEVGAGDAAASLAALELGSASTRSPHM* >Brasy7G160300.1.p pacid=40047401 transcript=Brasy7G160300.1 locus=Brasy7G160300 ID=Brasy7G160300.1.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGGAENMVLVDASDGLNRYTIYCIAP* >Brasy7G160300.4.p pacid=40047402 transcript=Brasy7G160300.4 locus=Brasy7G160300 ID=Brasy7G160300.4.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGGAENMVLVDASDGLNRYTIYCIAP* >Brasy7G160300.2.p pacid=40047403 transcript=Brasy7G160300.2 locus=Brasy7G160300 ID=Brasy7G160300.2.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGGAENMVLVDASDGLNRYTIYCIAP* >Brasy7G160300.5.p pacid=40047404 transcript=Brasy7G160300.5 locus=Brasy7G160300 ID=Brasy7G160300.5.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGGAENMVLVDASDGLNRYTIYCIAP* >Brasy7G160300.6.p pacid=40047405 transcript=Brasy7G160300.6 locus=Brasy7G160300 ID=Brasy7G160300.6.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGGAENMVLVDASDGLNRYTIYCIAP* >Brasy7G160300.7.p pacid=40047406 transcript=Brasy7G160300.7 locus=Brasy7G160300 ID=Brasy7G160300.7.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGKHGFGGCF* >Brasy7G160300.8.p pacid=40047407 transcript=Brasy7G160300.8 locus=Brasy7G160300 ID=Brasy7G160300.8.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGKHGFGGCF* >Brasy7G160300.3.p pacid=40047408 transcript=Brasy7G160300.3 locus=Brasy7G160300 ID=Brasy7G160300.3.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGKHGFGGCF* >Brasy7G160300.9.p pacid=40047409 transcript=Brasy7G160300.9 locus=Brasy7G160300 ID=Brasy7G160300.9.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGKHGFGGCF* >Brasy7G160300.10.p pacid=40047410 transcript=Brasy7G160300.10 locus=Brasy7G160300 ID=Brasy7G160300.10.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHECLFPFAVRRGVAALRPPPSRATLPPPPRLPAAPSRRRDADMGRVTSTIAARFAFFLPTPPSCTVVADAATGRLLIPEISRVPARRRRRDGGGDSSSGDAQEEDGMEVVRSTRAAGTRSWGCKWQRVSLGHAALLPRQCRGPQADVRPLCRAQPPAPRQHLRVCDFSLSGMKNNTFLSSRSTGKHGFGGCF* >Brasy7G149600.1.p pacid=40047411 transcript=Brasy7G149600.1 locus=Brasy7G149600 ID=Brasy7G149600.1.v1.1 annot-version=v1.1 MSANSGESPAVSGLDYDDTALNLTLALPGSDHASRVAAATTERALAVGWPPVRAYRRNALRDGEAGGGASCRLVKVAADGAPYLRKVDLAAHGGYAALLRALHAMFAICGADGQEDAGSGIGSGGRLVDAATGAEYVPTYEDRDGDWMLVGDVPWRMFVESCKRIRLMKSSDAVNLAPRPSSQ* >Brasy7G149600.2.p pacid=40047412 transcript=Brasy7G149600.2 locus=Brasy7G149600 ID=Brasy7G149600.2.v1.1 annot-version=v1.1 MSANSGESPAVSGLDYDDTALNLTLALPGSDHASRVAAATTERALAVGWPPVRAYRRNALRDGEAGGGASCRLVKVAADGAPYLRKVDLAAHGGYAALLRALHAMFAICGADGQEDAGSGIGSGGRLVDAATGAEYVPTYEDRDGDWMLVGDVPWRMFVESCKRIRLMKSSDAVNLAPRPSSQ* >Brasy7G021300.1.p pacid=40047413 transcript=Brasy7G021300.1 locus=Brasy7G021300 ID=Brasy7G021300.1.v1.1 annot-version=v1.1 MHAFRRWLRRRRVRMRREWPRRSSVGGAASERALGGYAARMPVPAAQSAATGSDSPPSAAGPRRAEAGKNAKR* >Brasy7G055800.1.p pacid=40047414 transcript=Brasy7G055800.1 locus=Brasy7G055800 ID=Brasy7G055800.1.v1.1 annot-version=v1.1 MDEAESSEKKKLRTGDHPPTNPAASCLTEDLILEVLSRLPAKSLHRFKCVSPSWRDLIADPAHRKKLPQTLAGFLYGTYDSHFANVSGGPAPLNASLPFLLDKYLHVQQLDTCNGLLLCRCYSEPPPPSSTTELDSHYVVCNPATESWVELPPHPRAQANDICRTPRLACDPAVSSHFHVLEFEETDRRKHITGVNIYSSQTGAWNHRGSELGEEAALFSGITSAYLGGMLHLLGMLPMNDFDSVLVVVVVDMEGKVWKTIHVPHGFSFGTLFGTIASSQGCLHYANTIQAPTSNKMKKKDALSLAPKIALWYLEDYDSKEWVLKHSISTDKLLSRTGMELKVAAIHPDCDTVFLLSCETGTLASCDMRRQKFHILNLEKNSWHLLPYVPLFSDSLAEADGL* >Brasy7G149900.1.p pacid=40047415 transcript=Brasy7G149900.1 locus=Brasy7G149900 ID=Brasy7G149900.1.v1.1 annot-version=v1.1 MFNSRWYPINLLHCMKLFPPFINQATMTTCTQHPNEGNAVRLNFTSLHIVKKFESSICLTICGQTTDNGSPGRGVSALHSFKDLHRLLDMPTLGIHVNQCRAKNCINPHLPIFSKLVDPFTLIKSTKIGTACQESDNGEPVWLNLLHLHFLEQRQCLLEASMLHTCIDHCSPHN* >Brasy7G154400.1.p pacid=40047416 transcript=Brasy7G154400.1 locus=Brasy7G154400 ID=Brasy7G154400.1.v1.1 annot-version=v1.1 MCGPVIRQMFTLFAVDVGPAAELAGPAGAGRYGKQKATGSAWLLAVQVSERYSTIFVSVFTYFH* >Brasy7G076400.1.p pacid=40047417 transcript=Brasy7G076400.1 locus=Brasy7G076400 ID=Brasy7G076400.1.v1.1 annot-version=v1.1 GPLHRVVLAPLTRSRSYGNVPQPAHAALYYSQRATKGGLLISEATGVSATAQGYPDTPGIWTRQQVEAWKPIVDAVHRKGALFFCQIWHVGRVSTNDYQPDGQAPISSTDKQITADAVAEFGGTVYSKPRRLETHEIPLVVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRADAYGGGLENRCRFAVEVIDAVVEEVGARRVGIRLSPFVDYMGCVDSDPQALGAYMVEQLNRHEGFLYCHMVEPRMAIPDGRSRQISHGLLPFRKVFNGTFIAAGGYDREEGNKVVASGYTDLVAYGRIFLANPDLPKRFELGASLNKYDRSTFHTQDPVVGYTDYPFLDDDHVTSAALPA* >Brasy7G194700.1.p pacid=40047418 transcript=Brasy7G194700.1 locus=Brasy7G194700 ID=Brasy7G194700.1.v1.1 annot-version=v1.1 MSWRWALARRVATLVGGGSGSGAAQAQRLFSSSGGALLGRLLPPPPSQIRNKVVGCRGAAFVSTRWLHDAQYQIRQDGVSRAEEQQDPFELVADELSILANRLRSMVAAEVPKLASAAEYFFKMGAEGKRFRPTVLLLMASALKFPILESTDGGVFGMLANTLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKCVALLAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHEVVDQGFDNPANVELALEYLQKSQGIERTKKLAQEHANLAIKAIESLPDSDDEDVLISRRALIDITQRVITRTK* >Brasy7G194700.2.p pacid=40047419 transcript=Brasy7G194700.2 locus=Brasy7G194700 ID=Brasy7G194700.2.v1.1 annot-version=v1.1 MSWRWALARRVATLVGGGSGSGAAQAQRLFSSSGGALLGRLLPPPPSQIRNKVVGCRGAAFVSTRWLHDAQYQIRQDGVSRAEEQQDPFELVADELSILANRLRSMVAAEVPKLASAAEYFFKMGAEGKRFRPTVLLLMASALKFPILESTDGGVFGMLANTLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKCVALLAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHEVVDQGFDNPANVELALEYLQKSQGIERTKKLAQEHANLAIKAIESLPDSDDEDVLISRRALIDITQRVITRTK* >Brasy7G194700.3.p pacid=40047420 transcript=Brasy7G194700.3 locus=Brasy7G194700 ID=Brasy7G194700.3.v1.1 annot-version=v1.1 MVAAEVPKLASAAEYFFKMGAEGKRFRPTVLLLMASALKFPILESTDGGVFGMLANTLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKCVALLAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHEVVDQGFDNPANVELALEYLQKSQGIERTKKLAQEHANLAIKAIESLPDSDDEDVLISRRALIDITQRVITRTK* >Brasy7G194700.4.p pacid=40047421 transcript=Brasy7G194700.4 locus=Brasy7G194700 ID=Brasy7G194700.4.v1.1 annot-version=v1.1 MVAAEVPKLASAAEYFFKMGAEGKRFRPTVLLLMASALKFPILESTDGGVFGMLANTLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKCVALLAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHEVVDQGFDNPANVELALEYLQKSQGIERTKKLAQEHANLAIKAIESLPDSDDEDVLISRRALIDITQRVITRTK* >Brasy7G048800.1.p pacid=40047422 transcript=Brasy7G048800.1 locus=Brasy7G048800 ID=Brasy7G048800.1.v1.1 annot-version=v1.1 MLKYMLAGISHGESDEPVVATRDTFLFELTVANLLCLHLTVSYKGAELSKFKLRTEVKKMFCDMKSLFCPRAYFDPFHLAIQVNQELSGYFPIDLCFR* >Brasy7G096200.1.p pacid=40047423 transcript=Brasy7G096200.1 locus=Brasy7G096200 ID=Brasy7G096200.1.v1.1 annot-version=v1.1 MAMRGFAVLPLMFLVILAFFAVSGAAARRLGGDVWAPAVVSGDAVTAQLIRQMYLQRLGARPSCGTHSSNGGCPGP* >Brasy7G213500.1.p pacid=40047424 transcript=Brasy7G213500.1 locus=Brasy7G213500 ID=Brasy7G213500.1.v1.1 annot-version=v1.1 MAAARVLLLGVLAAVLFLLLAGAARGFYLPGVAPADFRKKDPLAVKVSQLSSTKTQLPYSYYSLPLCRPDAIVDSAENLGELLRGDRIENSPYLFEMREPRLCQIVCRTVLTQEGANDFKEKIDDEYRVNMILDNLPLVVPIKRLDQEAATVYQHGVHVGIKGQYSGSKDEKHFIHNHLTFLVKYHLDAKTDLARIVAFEVKPYSVKHEYDGDWKGNSTRLKICDPHARRLVVDSDSPQEVEANKEIIFSYDISFEESDIKWASRWDTYLPMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLDTQEDAQEETGWKLVHGDVFRPPAYSELLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWVFMGVLAGYSSARLYRLFRGSEWKKVTIKTACMFPGIVFAIFFVLNMLIWGQRSSGAVPFTTMFALVLLWFGISVPLVFVGSYHGFKKPAMEDPVRTNKIPRPIPEQPWYMHPVVSVLIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILILTCAEITIVLCYFQLCGEDYQWWWRSYLTAGSSAVYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASYAFFALTGTIGFCACFWFTRLIYSSVKID* >Brasy7G146400.1.p pacid=40047425 transcript=Brasy7G146400.1 locus=Brasy7G146400 ID=Brasy7G146400.1.v1.1 annot-version=v1.1 MPCSSKFNCAYLEYVLHHDTNSVDFEHLCQFLHCFFTLHLQGRVLLLLMHHCLLKKFPYDLDYIDLSRDEFLDCRNGEPLGYRDDAGCVWGVLEPVCSSIFSAFYSGSAPHTIVGTLLCSL* >Brasy7G191200.1.p pacid=40047426 transcript=Brasy7G191200.1 locus=Brasy7G191200 ID=Brasy7G191200.1.v1.1 annot-version=v1.1 MAAPTTMLMLVLSAVLAVGGAAAKAEGGNKLRQGFYAHSCPRAEQIVARYARRHVPRAPSLAATLLRTHFHDCFVRGCDASVLLNGTKKDGGDAEKDAAPNLTLRGFAFLDGAKALVEEECPGVVSCADVLALAARDAVAAIGGPSWKVPTGRRDGRVSRKQEALDQIPAPTMNFTALLASFRSKGLELPDLVWLSGAHTIGIAHCDSFGERLYNFTGRGGAGDADPSLDPAYAATLRRNKCATPTDNTTIVEMDPGSFLTFDLSYYRGLLKRRGLFQSDAALITDAAARADVESVAKGPPEVFFQVFARSMVRLGLVGVKTGAQGEIRRHCAVVNG* >Brasy7G068900.1.p pacid=40047427 transcript=Brasy7G068900.1 locus=Brasy7G068900 ID=Brasy7G068900.1.v1.1 annot-version=v1.1 MSLALLCLLVFWSSTVVASPVVRVGVVLDLTSGAGRERRSCISRALDDFYLEHPNYVARVELSGIREMSPKRHTRVKPPVVCPSPTPPPPAPPPFPVAASSPGTAALQIGDAAPSALVQAQADAPIAPDSSRRRRRSPHAGRSRKAPPAPSSCGPRVASAGNLSSRRLAGLWPHTSPQSCTSHATAASPPILRLPHRCRRQILLAASSSAPTAAADPSHDFWNSPSQIPSTAPQGRS* >Brasy7G028900.1.p pacid=40047428 transcript=Brasy7G028900.1 locus=Brasy7G028900 ID=Brasy7G028900.1.v1.1 annot-version=v1.1 MAPEPDTAVVSAMEVDKAPSSKAGRKNVRYRLSKSYIRGIIALRPEPPETMDDLESIADLFPPEWIEEKRRSHEETKEFYREMDEEFAEFRQKVIDGVKEKGYFEVDEDYVADLEESEDYFTFSDKDADEDDYLLSDGDDEDDVEGVHGAVLGQQTAHESGQLRSTT* >Brasy7G002600.1.p pacid=40047429 transcript=Brasy7G002600.1 locus=Brasy7G002600 ID=Brasy7G002600.1.v1.1 annot-version=v1.1 MVGPTRGQYAALWDPLSDKYLDYWMDHLSFQIKLSYFTIKKNIILPIIILQEVHKQKRRNENRI* >Brasy7G114200.1.p pacid=40047430 transcript=Brasy7G114200.1 locus=Brasy7G114200 ID=Brasy7G114200.1.v1.1 annot-version=v1.1 METNVSAGRARGVAALLVAALLLSASGPASAASSYPAKIVGGLLRSTASAVVKQLWSLKPTTRTVASTAAAGRSMVKYEGGYEVETVFDGSKLGIEPYAVEVTPAGELLVLDSMNSNIYRVQLPLSRYSRPKLVSGSPEGLSGHVDGRLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSVRGGHTDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIPLQPDDCEYQHEAGFPLGVALLFAAGFFGYMLALLQRRVFGMVSTTEEPHTSPRTTSANFHPYQPYQQPLKPSHRPPLIPNEDEAGKQEAGEGFFTSIGKFMGGAKSSVADIFSRKKHPTRQQHHHHHHQQRRANPWPVQESYAIPHDETPPPLDTRAPTPRKNYAFMTTEPEKIHHVRNGQPYLSSWDARGPQQQQPEQQTYHHQQQHRRQPEQQAYQVQQHRQQPEQQMYHLQQHRQYSAGPQTFYEQSCETTNEVVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGVRYRNNYIGYNSNNY* >Brasy7G018600.1.p pacid=40047431 transcript=Brasy7G018600.1 locus=Brasy7G018600 ID=Brasy7G018600.1.v1.1 annot-version=v1.1 MADDNGDPAAQVAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPKQNSCYLSCSLGNRNFTFAA* >Brasy7G013600.1.p pacid=40047432 transcript=Brasy7G013600.1 locus=Brasy7G013600 ID=Brasy7G013600.1.v1.1 annot-version=v1.1 MSMSGSDFGTVNEDPRIFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKDLVTYIKNDSSMIPRIGALREMNLEFFTIDMQGFVTDHDMALTDLYASEHSSKKFNDTITTMATRIATTFASLKEYPTVRYRAPKSADPSATTKFDMVPKWLATAVWEIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGSKYIYEVSKAGEDPEKKEALLEDHDPLWIELRHTHIADASERLYEKMNNFVTKNKAAQLQSRDGGEISTTDLQKIVQALPQYSEQVEKLTLHIEIAGKINRFIREYALRDIGQVEQDLVFGDAGAKEVISLLRSKQNMSPENKLRLLIIYAIVYPEKFEGDKGDKLMQLAKLPSDDMKVINSLRYLVGSDAKKARAGGFSLKFDAQKKKNAARTERQDGEETWALSRFFPLIEALCLVVSVQFCFASFFLCLVACFGPWLVELIEKLSKGELPLNEYPSLSQPSSAAQGTTESASAPKPAQNPQPMSRRSRRTPQWAKSKNSDDSQSSDSSALRHASGDFKRLGNRIFVFMVGGATRSELRTAHKLTMKLRREIVLGSSSIDDPPQFISKLKSLT* >Brasy7G064400.1.p pacid=40047433 transcript=Brasy7G064400.1 locus=Brasy7G064400 ID=Brasy7G064400.1.v1.1 annot-version=v1.1 MGLGSCCCRCLEILCAILLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAAYVILSVDPDRVRRRGSDDDDYIYVA* >Brasy7G127600.1.p pacid=40047434 transcript=Brasy7G127600.1 locus=Brasy7G127600 ID=Brasy7G127600.1.v1.1 annot-version=v1.1 MVLQPHLRRRGLQPQLRRCLQPQLRRCGFLSPRSPPRRGLQPHLCRRRLRPQLRRCGILFPTAAAPPFPTVAVAPAIFCPPPPLLYPMANQATTSLSPYHTHRSAAAASAVIESGA* >Brasy7G161800.1.p pacid=40047435 transcript=Brasy7G161800.1 locus=Brasy7G161800 ID=Brasy7G161800.1.v1.1 annot-version=v1.1 MAATAAASPSSLLLSRRHGQPSSASRAARFRAPRCVLGVEQLRVLDEGKRMGGGEPMAAAWTPKSPAQQARLTALPLEARDSRLKIFSGTANRPLAQEIASYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSAQALGYFDIPVDHIYGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNLSEVMHLIGDVKGKVAIMVDDMIDTAGTITSGAALLKQEGAEAVYACCTHAVLSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ* >Brasy7G161800.3.p pacid=40047436 transcript=Brasy7G161800.3 locus=Brasy7G161800 ID=Brasy7G161800.3.v1.1 annot-version=v1.1 MGGGEPMAAAWTPKSPAQQARLTALPLEARDSRLKIFSGTANRPLAQEIASYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSAQALGYFDIPVDHIYGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNLSEVMHLIGDVKGKVAIMVDDMIDTAGTITSGAALLKQEGAEAVYACCTHAVLSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ* >Brasy7G161800.2.p pacid=40047437 transcript=Brasy7G161800.2 locus=Brasy7G161800 ID=Brasy7G161800.2.v1.1 annot-version=v1.1 MAATAAASPSSLLLSRRHGQPSSASRAARFRAPRCVLGVEQLRVLDEGKRMGGGEPMAAAWTPKSPAQQARLTALPLEARDSRLKIFSGTANRPLAQEIASYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSAQALGYFDIPVDHIYGQVMHLIGDVKGKVAIMVDDMIDTAGTITSGAALLKQEGAEAVYACCTHAVLSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ* >Brasy7G139000.1.p pacid=40047438 transcript=Brasy7G139000.1 locus=Brasy7G139000 ID=Brasy7G139000.1.v1.1 annot-version=v1.1 MADSSGSINHQITRGPRERSQLTAHDWALGSVRSFQRKYPPLDTEIPLFLALSGMFRPQTINKPQPRPHSSPAKTDITKNATLTLATLRIHLAERSMEYSAGTLTDVKQEEPELVVLDDAEEDADGCLLLPPTPMDLSASAAVAPFLAKTFDMVEDPATDAVVSWGAARNSFVVWDPHAFAARLLPLHFKHANFSSFLRQLNTYGFRKVNPDRWEFANAGFLGGQRHLLAGIRRRRGADRQPACPSSSSAAEVGGGVEGELERLRRDREALARELARLKRQHEESRAALLDMERRVQGTERRQEQCKAFLALAVRNPDFLDNLARRNGIGIGITPVEDGCKKKRKMLDAATPTPAESITFEELALAAGIDIDAAIATQKSVNGGVTTDMVWYELLGEEQTEIDDDLDELVAAAAAADAEPWEEIGDEEVQELVHQIDCFAGSPSS* >Brasy7G186300.1.p pacid=40047439 transcript=Brasy7G186300.1 locus=Brasy7G186300 ID=Brasy7G186300.1.v1.1 annot-version=v1.1 MAASYYHPPPSYSSSYAAPPPPPPGTSPYAYRHQHHHAYLPPAPPPAYGGYFDLAEPHPPPRDELRTLFIAGLPGDVKAREVYNLFRDFPGYVSSHLRAGKSAQAYAFAVFEDQQSALAAMSATNGMAFDLEKNCSIHVDLAKSNSRSKRPRTDDVPRSSEKKPRNARGISDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFGASTAFSKDPSIFAPQNNPPCPTLFVANLAETCSERELTDVFSSFAGFIKLKMQNKSGAPVAFVDFEDDYSSTEALNRLQGAILHSSTAEGMRLEYAKSRMGMRKKRS* >Brasy7G062600.1.p pacid=40047440 transcript=Brasy7G062600.1 locus=Brasy7G062600 ID=Brasy7G062600.1.v1.1 annot-version=v1.1 MFVRSCSLSSWSAAGGAAAASRASANAPPLPSSPALPPSKPGPRSMASTSAGAGAGAGRVRVAVVGDVHNDWNLDEDTKALHVLQPDLVLFTGDYGNENVQLVKSISDLQFPKAAILGNHDCWRTHQFSEKKVDRVQLQLESLGEQHVGYNCLDFPTIKLSVVGGRPFSCGGDRLFRPKLLSKRYGVDNMAGSARKIYDAATGAPEEHSVILLAHNGPTGLGSRMDDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGGGLRKMITFGANKTIYLNGAIVPRVKHAAAISTRERDGLQGLGSMAPTSRAFTIIDLFDGAVEKISEVWVLVSGVGSELEEETVLYRRPREHM* >Brasy7G062600.2.p pacid=40047441 transcript=Brasy7G062600.2 locus=Brasy7G062600 ID=Brasy7G062600.2.v1.1 annot-version=v1.1 MQPDLVLFTGDYGNENVQLVKSISDLQFPKAAILGNHDCWRTHQFSEKKVDRVQLQLESLGEQHVGYNCLDFPTIKLSVVGGRPFSCGGDRLFRPKLLSKRYGVDNMAGSARKIYDAATGAPEEHSVILLAHNGPTGLGSRMDDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGGGLRKMITFGANKTIYLNGAIVPRVKHAAAISTRERDGLQGLGSMAPTSRAFTIIDLFDGAVEKISEVWVLVSGVGSELEEETVLYRRPREHM* >Brasy7G236700.1.p pacid=40047442 transcript=Brasy7G236700.1 locus=Brasy7G236700 ID=Brasy7G236700.1.v1.1 annot-version=v1.1 MIPAAAAALQLPPTATRRRTCFFLAPPPSTTLLRYRCRNLNPSNRRLVVSSAQSNFSKAVQTAWRVGKDAVDAGSSLVPGSVPRPVARIGVTFVAVSVTLFLLKSVISTALFVLAMMGLIYFGFLVMNPKEGSRVDEGDSNPSDDPAEEARRIMEKYK* >Brasy7G109500.1.p pacid=40047443 transcript=Brasy7G109500.1 locus=Brasy7G109500 ID=Brasy7G109500.1.v1.1 annot-version=v1.1 MAGRKHSLYWWISGDEFPSPARPARARSATTCARSPSRASAGNARSVRHRGHPSSAARRHRSTPTSSRLPELQPTQQARTPASLPASQAHVGSSSMLAPRYASMDVDDMESARVASLESYEAELAAREAREQARRASDEELEAFFESVMAESDEDEAEGEDFSLDQADEKSATDERVVIEISSDDE* >Brasy7G138000.1.p pacid=40047444 transcript=Brasy7G138000.1 locus=Brasy7G138000 ID=Brasy7G138000.1.v1.1 annot-version=v1.1 MVLLDEQAPTEMQAHHDAFFSPSLPADQLRASSPSRQKRLLVTSARALGSPAASLRALSTVMLHLHHLLHFGSQVCPSGVA* >Brasy7G199000.1.p pacid=40047445 transcript=Brasy7G199000.1 locus=Brasy7G199000 ID=Brasy7G199000.1.v1.1 annot-version=v1.1 MDVDVEGAVQPTRRSSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLVPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNSIHAGHGSMTSQEEPCKESRSSLLIRGFIEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLSAVSGLRIKFPELHENYTVLIACVVLVGLFALQHYGTHRVAFLFAPILISWLACIGGIGIYNILKWNPSVVRALSPYYIYNFFRKAGEDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTVVVYPCLVLAYMGEAAYLSKHREELQSSFYKALPDRVFWPVLIIATLATAVGSQAIISATFSIVSQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWTLMSLCLAVTIGFRDTEMIGNAYGLAVILVMFTTTCLMFLVITTVWNRSVLWAALFAAVFGSVELLYLSACLAKVPHGGWLPLLLSLATLAVMSAWHYGTAKKQEYELQNKVCLDRFLGLSSGIGLVRVPGVCFVYSSGVSGAGNGVPPMFAHFVTNFPAFHRVLVFVSLQTLTVPKVAAQERFLVGRIGPVHHRMFRCVVRYGYKEGGRWGDHFSFENQLLVKLVEFLRLQQQQQLDAAGDGEEDSSDEMSMSMSVIPAAHSQALMSMSSGEIDGKKKRKQVRFDLDLDLAAAGLGKGEERWRASEEEKELMEEREAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV* >Brasy7G199000.2.p pacid=40047446 transcript=Brasy7G199000.2 locus=Brasy7G199000 ID=Brasy7G199000.2.v1.1 annot-version=v1.1 MGGTFALYSLMCRRSRMGLLNSIHAGHGSMTSQEEPCKESRSSLLIRGFIEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLSAVSGLRIKFPELHENYTVLIACVVLVGLFALQHYGTHRVAFLFAPILISWLACIGGIGIYNILKWNPSVVRALSPYYIYNFFRKAGEDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTVVVYPCLVLAYMGEAAYLSKHREELQSSFYKALPDRVFWPVLIIATLATAVGSQAIISATFSIVSQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWTLMSLCLAVTIGFRDTEMIGNAYGLAVILVMFTTTCLMFLVITTVWNRSVLWAALFAAVFGSVELLYLSACLAKVPHGGWLPLLLSLATLAVMSAWHYGTAKKQEYELQNKVCLDRFLGLSSGIGLVRVPGVCFVYSSGVSGAGNGVPPMFAHFVTNFPAFHRVLVFVSLQTLTVPKVAAQERFLVGRIGPVHHRMFRCVVRYGYKEGGRWGDHFSFENQLLVKLVEFLRLQQQQQLDAAGDGEEDSSDEMSMSMSVIPAAHSQALMSMSSGEIDGKKKRKQVRFDLDLDLAAAGLGKGEERWRASEEEKELMEEREAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV* >Brasy7G100700.1.p pacid=40047447 transcript=Brasy7G100700.1 locus=Brasy7G100700 ID=Brasy7G100700.1.v1.1 annot-version=v1.1 MWRGGSAAASAARALRSRLLADPIHHPSTAIAHIASARAASSAPSATAPAPTVAEAAAAASVVSSGARSISEVLRHYGGCYLELSKARLSALVVATSGAGYVLGSGNIIDIAGLCYTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPMHAAVWATSVGAAGTALLACKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAAASEVSLNSMVLPAALYYWQLPHFMALAYLCRDDYVAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSEWFSFEASLLTMGLTVGALSFVLDPTPKSARRMFRGSLLYLPALMAGLILHRLPNEQNKDNIHQATEIAGVIYGAELQDEERARQKREDRKDYRAQSRPPVAYASVAPFPFLPVPVYVAPQAHEL* >Brasy7G227000.1.p pacid=40047448 transcript=Brasy7G227000.1 locus=Brasy7G227000 ID=Brasy7G227000.1.v1.1 annot-version=v1.1 MLKSLHLVGVSWVSLPPFELLPHLMSLTLMDIYEVCEIRSGFGGGTDKSFLQLKHIVLVSLPKLIEWIGAPNTHSFSRLEDIRCSSCPNLCTLPFLQECSAISYNHLLELKISECPKLFLPPMPHTSTLNRVDVSASPVGRMNYSKDLLILTGYSSALGWENMAGKVERIEFRGGSKIPWAELRELTSLREFKIREEPSFLSTALLSNLPTSLTSLLLIDCENLKVDGYNPLIAAVNLKELMVCNTGRDGPRSVAADLLSELVVVSRTKLLLPAAGCFQLETLGVDCISAMLAAPVCSLFVTTLLRLEFSCDQRVESFTQEEEKALQLLNSLQTLTFVGCPGIPSLPFLHLDERF* >Brasy7G073000.1.p pacid=40047449 transcript=Brasy7G073000.1 locus=Brasy7G073000 ID=Brasy7G073000.1.v1.1 annot-version=v1.1 MDGRDEYFSDFMVLRPDKGGARNLVHLLCSCDVADNDAVEFPAGTAPVAERWHRWVIFVSVVAQMVLMWVKTPMARLGTAIEYWMNLVTDNGGGVLMLIWNTMRGRRQIPDSKSANYRSFIGLMDTRIELDKKINRGDSNYHAALGIMASKLAYENELVIESVVENHWQMAFLEFFDCSNEFRGDRTTQAFMMADKPADAELAVVAFRGTQPFDAEQWCTDVDLSWYEIPGVGKVHGGFMKALGLQRNTGWPAEIADPDPDRPFAYYAVRGALRRFLAANPRARFVVTGHSLGGALAVLFPAILALHGEHELLGRLDGVYTYGQPRVGDAALAEFVERHLDGNRYLRFVYCNDAVPRVPYDGLLFTHFGRCVYFDSLYRARGLEEQEEVPNRNYFSLAFVVPKHANAAWELARGLLMGYVGGAEYAEGWAMRVARVVGLVVPGLPPHAPRDYVNATRLGAASLDLLLRDN* >Brasy7G073000.2.p pacid=40047450 transcript=Brasy7G073000.2 locus=Brasy7G073000 ID=Brasy7G073000.2.v1.1 annot-version=v1.1 MDTRIELDKKINRGDSNYHAALGIMASKLAYENELVIESVVENHWQMAFLEFFDCSNEFRGDRTTQAFMMADKPADAELAVVAFRGTQPFDAEQWCTDVDLSWYEIPGVGKVHGGFMKALGLQRNTGWPAEIADPDPDRPFAYYAVRGALRRFLAANPRARFVVTGHSLGGALAVLFPAILALHGEHELLGRLDGVYTYGQPRVGDAALAEFVERHLDGNRYLRFVYCNDAVPRVPYDGLLFTHFGRCVYFDSLYRARGLEEQEEVPNRNYFSLAFVVPKHANAAWELARGLLMGYVGGAEYAEGWAMRVARVVGLVVPGLPPHAPRDYVNATRLGAASLDLLLRDN* >Brasy7G194600.1.p pacid=40047451 transcript=Brasy7G194600.1 locus=Brasy7G194600 ID=Brasy7G194600.1.v1.1 annot-version=v1.1 MMASGIQRASFLLQVVRTSSRSRKGEAGAGVQVFSEAAPWQQPSAGRLRPPAKLDTIVEEDYSSSSSAGIAHGPAAGFHQAAPAASSSSSSSSSSSSSSAAAKTMVAGGVPRAYRFAAPATAVQQAR* >Brasy7G150400.1.p pacid=40047452 transcript=Brasy7G150400.1 locus=Brasy7G150400 ID=Brasy7G150400.1.v1.1 annot-version=v1.1 MKPYIPDFKLAFEHLCVHAGGRAVLDELEKNLGLTERHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIGRGDRVWQIAFGSGFKCNSAVWKALRTVNPAEEKNPWMDEIDDFPVEVPKISKVGNA* >Brasy7G025500.1.p pacid=40047453 transcript=Brasy7G025500.1 locus=Brasy7G025500 ID=Brasy7G025500.1.v1.1 annot-version=v1.1 MPARTTASAPMAPKAEAAEESKFWTTRNYILAALGGALAVTAITTILSIALSPGHITFTVVHSSSTTNGTGDSASQNLNLTITAANDSKRRAMAVRYQSVFVDLKNSSSANGRDTIHAQLYAEPDPEKYFPEAADIKASVLLVGSQDFAGDRASSGGFTVVITALVKFRVWKIPTRQYDIKVSCPRVVFPAEQQGLPPSSKQAVQQPVNCTG* >Brasy7G020100.1.p pacid=40047454 transcript=Brasy7G020100.1 locus=Brasy7G020100 ID=Brasy7G020100.1.v1.1 annot-version=v1.1 MDCSLLIGQKLLLLVATTLTLQHSTTADVSGSSGSISMARPGCPEKCGNISIPYPFGTGKGCFREPFNVTCNENRAYLASTGVRLLDINLNLGEVRVQNPHIAWQCNYTNGTNSSSLAGLSLDPFHKVSNTKNKLVSIGCSTLALIVGVTMGKNQLKYPIVNSCFSFCTDASTVGDSTGCLGMGCCQTSFPGNISSFNTTSLPLPEISNSTIMSFSPCSYSFVAEEDWFKFDPSYVSATNFTSKYTDGVPLVLDWVIGNGSCSEASKMGSQYACQVMNSECIDVSNGPGYRCNCSQGYEGNPYIQGGCQDINECDPPNQSLYPCKGNCWNTDGSYTCLCPSGFRSDDPKSIPCVQVDPNKPQKVVIGISISVVFLMVCIFALRAEYQKRKLAKEKEIFFDQNGGQILYHQIMSKQVDTLRIFTQDDLKKATNNFDKSRELGTGGHGTVYKGILKDSRVVAVKRSKIINLAQADEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIPNGTLFEFIHRNCRSPPPSLDTRLRVAQESAEALAYLHLSANRPIVHGDVKSMNILLDENYMAKVTDFGASRMLPKDTVQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITKKTAIYHDGPKEGKSLASSFLLAMKEENLEGILDPSIVGAGTEVLLAEVAELGRMCLGPRGEDRPSMTQVADKLKSVRTTWREKLASDHGKTERLVVHLSPAATAPWDPQASNKSSNCSTAPYMSGIGIETPR* >Brasy7G020100.2.p pacid=40047455 transcript=Brasy7G020100.2 locus=Brasy7G020100 ID=Brasy7G020100.2.v1.1 annot-version=v1.1 MARPGCPEKCGNISIPYPFGTGKGCFREPFNVTCNENRAYLASTGVRLLDINLNLGEVRVQNPHIAWQCNYTNGTNSSSLAGLSLDPFHKVSNTKNKLVSIGCSTLALIVGVTMGKNQLKYPIVNSCFSFCTDASTVGDSTGCLGMGCCQTSFPGNISSFNTTSLPLPEISNSTIMSFSPCSYSFVAEEDWFKFDPSYVSATNFTSKYTDGVPLVLDWVIGNGSCSEASKMGSQYACQVMNSECIDVSNGPGYRCNCSQGYEGNPYIQGGCQDINECDPPNQSLYPCKGNCWNTDGSYTCLCPSGFRSDDPKSIPCVQVDPNKPQKVVIGISISVVFLMVCIFALRAEYQKRKLAKEKEIFFDQNGGQILYHQIMSKQVDTLRIFTQDDLKKATNNFDKSRELGTGGHGTVYKGILKDSRVVAVKRSKIINLAQADEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIPNGTLFEFIHRNCRSPPPSLDTRLRVAQESAEALAYLHLSANRPIVHGDVKSMNILLDENYMAKVTDFGASRMLPKDTVQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITKKTAIYHDGPKEGKSLASSFLLAMKEENLEGILDPSIVGAGTEVLLAEVAELGRMCLGPRGEDRPSMTQVADKLKSVRTTWREKLASDHGKTERLVVHLSPAATAPWDPQASNKSSNCSTAPYMSGIGIETPR* >Brasy7G020100.3.p pacid=40047456 transcript=Brasy7G020100.3 locus=Brasy7G020100 ID=Brasy7G020100.3.v1.1 annot-version=v1.1 MARPGCPEKCGNISIPYPFGTGKGCFREPFNVTCNENRAYLASTGVRLLDINLNLGEVRVQNPHIAWQCNYTNGTNSSSLAGLSLDPFHKVSNTKNKLVSIGCSTLALIVGVTMGKNQLKYPIVNSCFSFCTDASTVGDSTGCLGMGCCQTSFPGNISSFNTTSLPLPEISNSTIMSFSPCSYSFVAEEDWFKFDPSYVSATNFTSKYTDGVPLVLDWVIGNGSCSEASKMGSQYACQVMNSECIDVSNGPGYRCNCSQGYEGNPYIQGGCQGISISVVFLMVCIFALRAEYQKRKLAKEKEIFFDQNGGQILYHQIMSKQVDTLRIFTQDDLKKATNNFDKSRELGTGGHGTVYKGILKDSRVVAVKRSKIINLAQADEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIPNGTLFEFIHRNCRSPPPSLDTRLRVAQESAEALAYLHLSANRPIVHGDVKSMNILLDENYMAKVTDFGASRMLPKDTVQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITKKTAIYHDGPKEGKSLASSFLLAMKEENLEGILDPSIVGAGTEVLLAEVAELGRMCLGPRGEDRPSMTQVADKLKSVRTTWREKLASDHGKTERLVVHLSPAATAPWDPQASNKSSNCSTAPYMSGIGIETPR* >Brasy7G088400.1.p pacid=40047457 transcript=Brasy7G088400.1 locus=Brasy7G088400 ID=Brasy7G088400.1.v1.1 annot-version=v1.1 MAEVVFSSSGSYAAAGASPSPRRLHAAFVARDSLPYAYPPPLPLPPPPPPQAQSSSGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRRGGSASAVQAPGEADAAMQRQLQQLFHLHDSGLDQAFIDALPVFSYREIVVGGGGGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRAVLFAPGLTAENNPMFDFDEGLEEGRLSEYGEDGFGLSGHKASEGVQTPVAEKRVFPVRLGKFKNVGTQGAVEGGNANSAVLRREEGESSSSSLDARKCFSMGTYQYVLGTSELQVALQPGKTRNGAGGAMRARPAGISSVNADIMEGKKICARNKGESFSMSKIWQWSNLKGKLPAGSDDCSEAGSLPWMKRGGTGDKPNICFEMGSSDVHSQIQAPKILFMSKCTKSQNTGELQVPHVKIGRTRCSRVKASFMHD* >Brasy7G106200.1.p pacid=40047458 transcript=Brasy7G106200.1 locus=Brasy7G106200 ID=Brasy7G106200.1.v1.1 annot-version=v1.1 MAQIPNLDNSPLNLAAVREQSQKDLLNIVKSIRGKKCLVIDPKLAGTLSLILQTSVLKEYGVELRILSADPLLTECPKIIYLVRSQPNFMKFVASQIKSDEPKGLQREYFLYFVPRRTVCCEKILEEEKVHQKLTLGEYPLYLVPLDEDVLSFDLEYCLQECLVEGDTSSIWHIAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVSMDDMGIPEIDTLILLDREVDMVTPMCSQLTYEGLVDEMLQIHNGSVEVDASIMGGNKMEKRSRFHLTRVISCTRKFETSTLML* >Brasy7G208200.1.p pacid=40047459 transcript=Brasy7G208200.1 locus=Brasy7G208200 ID=Brasy7G208200.1.v1.1 annot-version=v1.1 MARLPPPQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDTEIEDAE* >Brasy7G053600.1.p pacid=40047460 transcript=Brasy7G053600.1 locus=Brasy7G053600 ID=Brasy7G053600.1.v1.1 annot-version=v1.1 MADESWRFPNLVAQLAATVQEPPSHYLLREQEHLGGHLAGTELPELIPTIDLGLLSASNNAEEATKLRSALQSWGLFQVEGYGNEQVRTEDQRLDWSDRLYLRVEPEDDRNLAHWPTHPKCFRPDLVLGIKPNSGVSVLTILHMDKDVAGLQVLRDGTWYNVPTVSNYTLLINIDNGQWDLQGAIHRVVTNACKERISVAIFYGADVDKEIGPIAHLLNEEQPAGYRKVKPMDLIATQFLNISLEVEEQELPIH* >Brasy7G040400.1.p pacid=40047461 transcript=Brasy7G040400.1 locus=Brasy7G040400 ID=Brasy7G040400.1.v1.1 annot-version=v1.1 MAMTIPSSLIFSLLLVAFSQHHSSARNHGISINISSVSAVEAAVRDRALELLRRAKNELVDLAPLILPDAGAVVVEASALRIRSNTLWADGVIINDTAGIVVPPRVAPAPFSRRVDLVFERFLLGSGALFAAPRGHALAAPVVALLAYDAASGAQIALQALGEPVRVDFHRLSLAPGAGFRLDAATARCVTFDANTGKAVVTHRIAPNTSCACTVTGTGHYGVAVRVEPPTPPSSPPPPSPSPSPLPAAAGIRERWWAWTVVAGAGGVVAVVFLAISVAGAVRWSRRRRREEMLRRAMAGEELGRMAVRGSSMPAAKMARTRPELEDGSPLPWRHS* >Brasy7G187500.1.p pacid=40047462 transcript=Brasy7G187500.1 locus=Brasy7G187500 ID=Brasy7G187500.1.v1.1 annot-version=v1.1 MAMRMPTAAVAVLLVAAAASLLAAGAAAQTAGTPTPACASKLVACGPYMNGTDAEKPPDTCCDPLKEAVKNELPCLCALYASPEIFKAFNIKLSDALRLSKRCGVSDTTSSCPSTSPTRSPPGSPSSGKNAGHRGISVSFVGLMSLFLVVWSVLA* >Brasy7G037200.1.p pacid=40047463 transcript=Brasy7G037200.1 locus=Brasy7G037200 ID=Brasy7G037200.1.v1.1 annot-version=v1.1 MERLEPQEPEPNRAMEEPRQENPPPWERQLRKQLLLLATVLVSATYIVGLNPPGGAWQDNRSGYKAGDSILLATHRRRYLVVYYCNATALAASVVVVFLLLLKKPRSGLNLVVLRVAMVLALLGLLGASAAATYRIIYGVTLACFLIICVIIQVLIGAKALLLERRGLGLPSSSSQTQPHEDDGAAALKPKEWRKVLMLPATFTTAITYVAGLCSPSGYWDRPVAYGRYKPGDVLLEVNHSTLFEVFYYSNSFAFFLSILVVLLLTGKKLPLRTAREASMVLTGTLLGLLAGAYAAGTYRPKRADTNVYVLCLIGMLLAYIHLVIVMTTTATCYGLSRSDASAPSAYADAGGGETAAVGGHVTNAWVEASRKVKSLVLLLATLVATVTYQGGLNPPGGVWADDRDGHSAGDPILLSTHPARYKVFFYCNSSAFVASLAAIAMTILQNGKVVRSHTKLLVSMTLAMVALMGAYAAGTRSSIYVAVMAGGVMVYLIIHLTWITVLMPRTDIYTRLKKRHKRLLLVAIVIATTSYQAGLNPPGGIRRHGAAGSEVLLDNYALRFWAFFYCNTVSLVSTMALIFFFLSPNLYRIAIRSYALYGCIVLALLVLMAAYAAGSARGVETYAYAYVLIATVVLVIAVRTVQFRSFLLDKDEEEENDDEEEEDAAGPAPRPRPRPRPRSRPTPVSRTRFRSAQEAMYREMYTTRKYLMLLGILLASVTYQAGLAPPGGVWRQDHGGDHGGEVGSPVLYDRNRSRYRAFFYSNSTAFAASVLVVILQLQQTIRNHQPKTTKLLVFATNTLLALDILGLLAAYVAVTTRKPMM* >Brasy7G180400.1.p pacid=40047464 transcript=Brasy7G180400.1 locus=Brasy7G180400 ID=Brasy7G180400.1.v1.1 annot-version=v1.1 MSQPWVIGGELILLGSWASPFVHRVQVALQLKGMTGYEYAEEDLMNKSELLLSSNPAHKKVPVLLHAGKPVCESMLIVQYLDEAFPDAGPAFLPADPHARALARFWASYVDTNFFGSLVKAIMATTNEERAAANEAAAAALETMEGAFEECSKGKAFFGGDGPGFVDIALGGFVAWIRAYQKVAGADCGLLVASRTPLLAAWADRFAALDAARAVVPDVGRLAEYASRDLLPLLHHLLGKAGK* >Brasy7G074900.1.p pacid=40047465 transcript=Brasy7G074900.1 locus=Brasy7G074900 ID=Brasy7G074900.1.v1.1 annot-version=v1.1 MHERPPCPAQIRFFTIPHKHDRNGLIPLPFSRTLSFPSLQCQRRIGGDFEGAPSHRRVRRSATSPVASMATGGLSDLGSSPVGGVWFLLLVI* >Brasy7G226500.1.p pacid=40047466 transcript=Brasy7G226500.1 locus=Brasy7G226500 ID=Brasy7G226500.1.v1.1 annot-version=v1.1 MNSKKSEDCPRSNSLRWDKSTTHSSIIDTPLFNHRHTDPHSASKKTHPLQERIPNPTTHKPQLTLRAAAPEEMEAAIGAASGLISNVVNLLSNELVEAYVASAELGLNSTKVKDDLMFTQGLLHEAQARGVSKNAGLKGLLRRLRAKADEAEDALDELHYFIIQDQLDGTKYAVPDLGDDLRGQARHGRHALRHTVGNCLACFSCSPTPQDHGDGDATVDTNNLHNATKSASASGVNDGPVDNLSFDRVAMSKRIRLVMEEILPLCVRVSELLKINPSHGNNTPIKRPVVGSTTTQDTLYGRRDLFEQTIKDIITSATNSSEKPSVLPIVGPGGIGKTTFTQHLYNDKRIQEHFSVRVWILVSTDFDVLKISQQILSCIEGSNNANQTTSLDQLQISIAQNLKSKRCLIVFDDIWECNGGDWKILLAPFMKGETKGNMVLVTTRFPSKAEIVKTTNPLHLKGLEDDDFFTFFEELIFDGGKPEDFQLELTDVARNIAKKLKGSPLAAKTVGQLLRKDLSREHWLGVLENNKWQKQENPDDIMASLRISYDYLPFHLKKCFPYFALFPEDYRFRNLEITHFWIAIGMVDEVENYMEELVDYGFLVRGNDRLGQHYVMHDLLHELSQIVSSQESLNINRVSFKADVVPKSIRHMSITMEDRYEENFRGEMVKLRSKIDVVNLRALMIFRAYGEPIGEILKETFKEIEGLRVLLVEVDSVESLPHNFSKLIHLRFLKISTLKYDPEVTLPSTLSRFYHLILLDVSGWYGSNKLPKDISRLISLRHFVARKELHSNVPAVGKMKCLKELTEFCVKKESAGFELSELGALTELGGELRICNLESVATKEEALKAKLVSKGGLKKLTLAWGGDQQVAKPDVLDGLEPHPNLQALGIENHGGSTALCWIFGGGSTAPCWFSGSNISTVMLTSLHLEGVSWVDPPFGQLLHLTSLTLKNIFVLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEEIRCSSCPNLCKLPFLQKCSGVSYNHLQTLEIKSCPMLFLPPMPHTSTLTEVDVNDSPVGKMAYKGIYKSLSFNGYIGEVAWHNMAGKVESLAFEGGSKIPWAELTMLTSLSILVIEEDQSFVSMALLSNLPTSLTSLSLKDCENLTVDGFNPRIAAVNLKELVVYNRVDGRSVAADLLSELVVASRTKPLLPAGGCFQLETLDVDCISAVLAAPVCSLFSATLHKLYLSCDQRVESFTEEEENALQLLTSLRTLYFWRCPGLPSLPQGLHRLSSLRELKVYNCPEIRSLPEGGLPTSLRILYLLGCREIRSLPKEGLPTSLQELTVVGCSPELKEQAKELRGTKPDLRVLC* >Brasy7G177700.1.p pacid=40047467 transcript=Brasy7G177700.1 locus=Brasy7G177700 ID=Brasy7G177700.1.v1.1 annot-version=v1.1 MAYSKGGGGGMSAVDAILAEAADLVALEQIARLNTAHLDGSALPLESRFRKLKSLPPTAPPAKTLGRSATAPPPHQRDEDPLPQAPARASQEQRPEDAPEKQNSPPPAVRKEEDEDEDLERLFGPQARRGRPTLRERNRGRDDDDSLSPPPPRQACCFGFSSSPKKPPPPPRRKKSHAGGGGGDVLGVEGDENRRMVTELKAQQRKMKEALEEQVKVSRETAKMARWVKQASARMTHTAAIDDLLSDIDDDEELK* >Brasy7G179000.1.p pacid=40047468 transcript=Brasy7G179000.1 locus=Brasy7G179000 ID=Brasy7G179000.1.v1.1 annot-version=v1.1 MDESSSEHHTTTPHAPPPLKERETKPAKRGAGLGRHARGGGEARITRGGDKNRSQAARSAALATTAKSRGRHPGIHRRSHASCMPKPPTHEGRKRPGPPDQRPEVNPEPRRPPPRLAKPTAAASPEETARPHLLQQPHAQGPDTPDPRAASPRASCEQPDHLATEICRRHTSPPPPPAGVAPTRAAAATRSGAAAPAFELRCTKGSRRIPTLLRGQGSPPPRRGPAAAAAGWGTGDWRPTRSRVFPPSRQTKATRGSGAGSCVSISFPQKLI* >Brasy7G184900.1.p pacid=40047469 transcript=Brasy7G184900.1 locus=Brasy7G184900 ID=Brasy7G184900.1.v1.1 annot-version=v1.1 MAAQSPLRRWKPFFAAFDSVDAAIEAADSDLRRDELREARGDIVELLCNATDDDREAERLCLILDDVMAESLETLRLVPAMPTVLAKTDLAKAVRALQKHESERVRVLVHGIVSRWRATFQDDLVRVRAAMKKLDQILLPNQIVADQQPLSAKILQPSAKNTRKITEMPPPLPKKVSPAVGVVRGDRAGLCSDDKIMEATKRKLHEDYQEAANAKRQRRIQVVEAPEMLKQRQRKMHPIIKERSRARCGSSMMVKKTVSVSRPPPRRV* >Brasy7G113400.1.p pacid=40047470 transcript=Brasy7G113400.1 locus=Brasy7G113400 ID=Brasy7G113400.1.v1.1 annot-version=v1.1 MAAQGRGSASATSALVAGAVLLCLLLPTAMAKTYMVGDGAGWSKNLESTWLPGKTFYAGDVFVFKYDKEKHDVTVVGGKGYARCKGPRNSTHSWVMRTGNDRATLRRGNNYFICGQPDHCAKNMKLAVKAL* >Brasy7G062500.1.p pacid=40047471 transcript=Brasy7G062500.1 locus=Brasy7G062500 ID=Brasy7G062500.1.v1.1 annot-version=v1.1 MQLEEIKASVTALRNTRGLTWPSAFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKLDDRAVDLVMNKLFNNYKKWCKFLSRKHSLRNPPGAQLQEIQQRKILYLGLYLLIWGESANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSQHGKIPHSAWCNYDDLNEYFWTSDCFSLGWPMRDDGEFFKSVHDSRPVTVAGSSSQKGSSKSTGKTNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYSVSQILRKDLLYSLSSIFVTAAFLQFLQSILDFSLNFPGHHRCKFIDAMRNILKMIVSAVWAVILPFFYNSTAAKVNLPLRDLQKWFGYVKGVPPLYILAVAVYLIPNIISAALFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQIALFKYTLFWILLLCCKLSFSYFVQIKPLIKPTKDIMSVHNIHYEWHEFFPNASYNIGAILSLWAPVLLVYLMDTQIWYAIFSTISGGMSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKGRNRRFSLSKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLVVVGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLWKKFVELVSTLKERDSSKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKDLVPRRQLFAGTGTKPAIVFPPPISAQWEEQIKRLYLLLTVKESAMDVPTNLEARRRISFFTNSLFMEMPRAPRVRKMLSFSVMTPYYSEETVYSRSDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRKALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRHATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNESHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRHYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELLLLLVVYQIYGDVATDSIAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWNKWISSRGGIGVPANKAWESWWEEEQEHLLSTGLVGRICEIILSFRFFMFQYGIMYHLNISNGNKSISVYGLSWLVIVAVVLVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSVGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISMASKPIVKAFGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQN* >Brasy7G062500.2.p pacid=40047472 transcript=Brasy7G062500.2 locus=Brasy7G062500 ID=Brasy7G062500.2.v1.1 annot-version=v1.1 MQLEEIKASVTALRNTRGLTWPSAFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKLDDRAVDLVMNKLFNNYKKWCKFLSRKHSLRNPPGAQLQEIQQRKILYLGLYLLIWGESANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSQHGKIPHSAWCNYDDLNEYFWTSDCFSLGWPMRDDGEFFKSVHDSRPVTVAGSSSQKGSSKSTGKTNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYSVSQILRKDLLYSLSSIFVTAAFLQFLQSILDFSLNFPGHHRCKFIDAMRNILKMIVSAVWAVILPFFYNSTAAKVNLPLRDLQKWFGYVKGVPPLYILAVAVYLIPNIISAALFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQIALFKYTLFWILLLCCKLSFSYFVQIKPLIKPTKDIMSVHNIHYEWHEFFPNASYNIGAILSLWAPVLLVYLMDTQIWYAIFSTISGGMSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKGRNRRFSLSKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLVVVGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLWKKFVELVSTLKERDSSKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKDLVPRRQLFAGTGTKPAIVFPPPISAQWEEQIKRLYLLLTVKESAMDVPTNLEARRRISFFTNSLFMEMPRAPRVRKMLSFSVMTPYYSEETVYSRSDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRKALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRHATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNESHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRHYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELLLLLVVYQIYGDVATDSIAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWNKWISSRGGIGVPANKAWESWWEEEQEHLLSTGLVGRICEIILSFRFFMFQYGIMYHLNISNGNKSISVYGLSWLVIVAVVLVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSVGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISMASKPIVKAFGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQN* >Brasy7G131700.1.p pacid=40047473 transcript=Brasy7G131700.1 locus=Brasy7G131700 ID=Brasy7G131700.1.v1.1 annot-version=v1.1 MSSAAFLAAPQNYLLSAPSVRPQPARLHVAASSSSSSSSSSSSSSPPAERNHAAASLERCLSATPAPAYAPTEMKGGRRQHGAFGAATLHKAKLDLPHKRLKGAHPELATGGGGGDNGKRIGYGGGNSGDDDGDDDDDYFDDSEDGDEEIGFFRRRVIIQELFNREFVDAVLQEWYKTISNLPAGLRQAHEMGLVSSAQMVQYLSMFGRPTKARYFSRAFPGFFSRGLVGRMLADPSFLHKMTFELLATISSSVWWEMKNRKERFQQEWDLVFLNVFTATVCNLAVFCSLAPCRSYMIQKVPSNIFKKSYPMRQFDLLRRIQSLFGKAAELCLGGLLAGSIQGGLSNVLSSGRERRLSMTVPSISKNALSYGAFCGLYANLRYQMLCGLDRSMVNHFDVLGVAVFFGAAIRLLNIQIGEVSRRVWLGEEADLLHSDNLLKAYNGPAADLAIDQQQTGWFISRNAIVSGLELIGIKHGAPEDAPPKPRRKRIVLKK* >Brasy7G183800.1.p pacid=40047474 transcript=Brasy7G183800.1 locus=Brasy7G183800 ID=Brasy7G183800.1.v1.1 annot-version=v1.1 MLCVRAEDALAAAAAVAMASDKMRSSVTLSVPAPPLSLSLTRVLRRSWAFVHQSCVLFIFLARARRGGSIQRAMQRMGGGGGRRSAGSSCALPQRAPRLAAGSGDASASASCSGDDSSNGGGNRERIRRGRTRQYRSQLEQEVRNLQRQLQEEIDMHLALTDAIAYNAEVMLKSSTKLPNKAQELIISISSLEITVSKLEKDLNDLRYQLCHVRNERLLVENFPECILSTPSTSKCTWDEHISTLRDFKLGEYEPTQSMQEYLFPELEDQQDVEKGSKDREMVCPYRLLEEHQDVHLNTLLEEQQDEEMQETGSTEKDGKEDQGIDASSFSQLDLKKHGMKGNMWNNPNQLSEDMVHSMRDIFLHLSESSKISPKISSDNLSSSAECLSGSTFTSVSDSSLMASVLRSPSVDSGHDDDIIDEVENFDPYNVNGEARRDIGKYCSVTEVSWMHVSKGQLEYASDALKKFRALVEQLSKVDPTSMNCDERLAFWINLYNALIMHAYLAYGVPGNDIKLFSLMQKACYMVGGQSFSAAEIEFVILKMKSPAHRPQISLMLALHKFRITEEHKKYSIDDTEPLVLFALSSGMFSSPAVKIFSATNVRQELQESMRDYIRASVGINDKGKLVVPTLLQSYAKGTVVDSLLADWICRHLTPDQVAAIQDTTSSRKQRLLGVRSFSVIPFDSKFRYLFLPDKH* >Brasy7G098600.1.p pacid=40047475 transcript=Brasy7G098600.1 locus=Brasy7G098600 ID=Brasy7G098600.1.v1.1 annot-version=v1.1 MPRSTAPAYPRGTTPNSSLHLPLPAPIFQIGPSTLKNLSSRYTKMLHHLSSSSFFPSTAQSLLLLPRSTANLRFLLAMSHAASSSRPPRGAAAVAVPSLGADETAAAADEAFRRHTSPGMRRGGGGGVAVVWFRNDLRVLDNEALVRAWAAAEAVLPVYCVDPRIFAGVTHRFGFPKTGALRAQFLIECLEDLKRNLQKRGLDLLVRHGKPEDILPAIAKAVSAHTVYAHQETCSEEILVECLVRRGLEQVVIPQGQGGASNQNKPLNPKLQLIWGTTMYHVDDLPFPVSNLPDVYTQFRKAVESKSSVQNCCKLPPSLGPAPSSGLDELGGWGSIPTLESLGLSVTKSEKGMHFLGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQVLFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMAIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELQSLPKERRNFPGASYNKQIVPLKFDGGHQKKDQQFNRQRRPNNIYRRQK* >Brasy7G098600.2.p pacid=40047476 transcript=Brasy7G098600.2 locus=Brasy7G098600 ID=Brasy7G098600.2.v1.1 annot-version=v1.1 MPRSTAPAYPRGTTPNSSLHLPLPAPIFQIGPSTLKNLSSRYTKMLHHLSSSSFFPSTAQSLLLLPRSTANLRFLLAMSHAASSSRPPRGAAAVAVPSLGADETAAAADEAFRRHTSPGMRRGGGGGVAVVWFRNDLRVLDNEALVRAWAAAEAVLPVYCVDPRIFAGVTHRFGFPKTGALRAQFLIECLEDLKRNLQKRGLDLLVRHGKPEDILPAIAKAVSAHTVYAHQETCSEEILVECLVRRGLEQVVIPQGQGGASNQNKPLNPKLQLIWGTTMYHVDDLPFPVSNLPDVYTQFRKSEKGMHFLGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQVLFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMAIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELQSLPKERRNFPGASYNKQIVPLKFDGGHQKKDQQFNRQRRPNNIYRRQK* >Brasy7G098600.3.p pacid=40047477 transcript=Brasy7G098600.3 locus=Brasy7G098600 ID=Brasy7G098600.3.v1.1 annot-version=v1.1 MPRSTAPAYPRGTTPNSSLHLPLPAPIFQIGPSTLKNLSSRYTKMLHHLSSSSFFPSTAQSLLLLPRSTANLRFLLAMSHAASSSRPPRGAAAVAVPSLGADETAAAADEAFRRHTSPGMRRGGGGGVAVVWFRNDLRVLDNEALVRAWAAAEAVLPVYCVDPRIFAGVTHRFGFPKTGALRAQFLIECLEDLKRNLQKRGLDLLVRHGKPEDILPAIAKAVSAHTVYAHQETCSEEILVECLVRRGLEQVVIPQGQGGASNQNKPLNPKLQLIWGTTMYHVDDLPFPVSNLPDVYTQFRKAVESKSSVQNCCKLPPSLGPAPSSGLDELGGWGSIPTLESLGLSVTKSEKGMHFLGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVVSKWSQDQVPSYRCQHEGTFSYWFHVEPWPSDCLLIFGPRHGYRLENGS* >Brasy7G098600.4.p pacid=40047478 transcript=Brasy7G098600.4 locus=Brasy7G098600 ID=Brasy7G098600.4.v1.1 annot-version=v1.1 MPRSTAPAYPRGTTPNSSLHLPLPAPIFQIGPSTLKNLSSRYTKMLHHLSSSSFFPSTAQSLLLLPRSTANLRFLLAMSHAASSSRPPRGAAAVAVPSLGADETAAAADEAFRRHTSPGMRRGGGGGVAVVWFRNDLRVLDNEALVRAWAAAEAVLPVYCVDPRIFAGVTHRFGFPKTGALRAQFLIECLEDLKRNLQKRGLDLLVRHGKPEDILPAIAKAVSAHTVYAHQETCSEEILVECLVRRGLEQVVIPQGQGGASNQNKPLNPKLQLIWGTTMYHVDDLPFPVSNLPDVYTQFRKAVESKSSVQNCCKLPPSLGPAPSSGLDELGGWGSIPTLESLGLSVTKSEKGMHFLGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWRSKESSLQVESRSGIVRVLERWSNWVPSYRCQHEGTFSYWFHVEPWPSDCLLIFGPRHGYRLENGS* >Brasy7G098600.5.p pacid=40047479 transcript=Brasy7G098600.5 locus=Brasy7G098600 ID=Brasy7G098600.5.v1.1 annot-version=v1.1 MPRSTAPAYPRGTTPNSSLHLPLPAPIFQIGPSTLKNLSSRYTKMLHHLSSSSFFPSTAQSLLLLPRSTANLRFLLAMSHAASSSRPPRGAAAVAVPSLGADETAAAADEAFRRHTSPGMRRGGGGGVAVVWFRNDLRVLDNEALVRAWAAAEAVLPVYCVDPRIFAGVTHRFGFPKTGALRAQFLIECLEDLKRNLQKRGLDLLVRHGKPEDILPAIAKAVSAHTVYAHQETCSEEILVECLVRRGLEQVVIPQGQGGASNQNKPLNPKLQLIWGTTMYHVDDLPFPVSNLPDVYTQFRKSEKGMHFLGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWRSKESSLQVESRSGIVRVLERWSNWVPSYRCQHEGTFSYWFHVEPWPSDCLLIFGPRHGYRLENGS* >Brasy7G196100.1.p pacid=40047480 transcript=Brasy7G196100.1 locus=Brasy7G196100 ID=Brasy7G196100.1.v1.1 annot-version=v1.1 MSGSRQCSSQPQFMTSVGQNNRSNGPGTPLIDSVDVDQIVISEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGARYKYQLLWIILIASCAALVIQSLASSLGVVTGKHLAEHCRAEYPKVTNFILWILAELAVVACDIPEVIGTAFALNMLFKIPIWCGVLITGFSTLMLLFLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPEIKGNGATGSAISLLGAMVMPHNLFLHSALVLSRKVPRSVNGIKEACTFYTIESAFALTVAFLINISIISVSGAVCNSNNLNPEDQMNCTDLDLNKASFLLKNVLGNWSSKVFAIALLASGQSSTITGTYAGQYVMQGFLDLRMTSWLRNLLTRSLAIVPSLIVSLIGGSSAAGQLIITASMILSFELPFALVPLLKFTSSKTKMGQHVNSRFISVLTWAIGAFIVIINIYFLITSFLNVLLHGGLSTVSQVFAGIFGFLGMLIYIAAILYLVFRKNRKATLPFFESGCTVAITGQSVGAGGEGSELGHLPREDISSMQLPHQRPASDLD* >Brasy7G104000.1.p pacid=40047481 transcript=Brasy7G104000.1 locus=Brasy7G104000 ID=Brasy7G104000.1.v1.1 annot-version=v1.1 MSPAAAVAGAAAETRRLAGEVARVLDECRASLAVHPRKLRELSALRSSSSSSGGRFLPVFCTALTPLFDIPRRSPASDRVARFAAAFASTSAAGAGDGFLEGFLRFLLVASAAAHRPARFHSCQIISEIIMQLPDDAEVSDEIWDEVIDGMKIRVRDKIPAIRSFAVRALSRFAVDEEDGGIIDTFLDTLEKEQNAEVRKTIILSLPPSNATLELIIESTLDMSEPVRRAAYSVLSTKFPLQSLSIKQRTALLHRGLSDRSTSVNNECLKMLKDEWLVKYCGGDVISLLRFLDVETYESVGESVMGVLLKDGAVRVQDGQTIREYFTSNTEDEEQVSNIKLMDAEVALYWKVMCKHLQAEAQVKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTVAEYVDLVKAHLSAGPSYHFVSRQLLLLGGMLDFSDSMNRKVASSFLYELLIRPLEHEVDEDGNQIAIGDGVSLGGDREWAKAVSELAKKVHASIGEFETVIATVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRTLKGKAIEPPELLQALLLPAAKQNHVDVQRAALRCLCLFGLLENKPSPELVKQLRVSFINGPDLVSAMACKAMIDLVTWHGPQEVDQAIGIEPFDPQYEKTQFTPVDISDLNDDDLSIGVLNILFSGFHKDDWVFSLEGDNHDNVPTILGEGFAKILLLSENHASISADLHPIILAQLVRLYFLEETKELGRLKQCLSVFFLHYPSLSEKHKRCVSSAFVPLMRAMWPGIYGNVGGSGPIVSKKRKYAAQAARFMVQMVQTPMFSSETTEQATTSSESLSSSPDSSSNFDISEEGLAIRIAVEVANCQDKKSAPGKAYALALCKVAVLLRFRQSEQKAIKCMRGLVNRLAASAASDKDLSKELGQMASRLKSLDECPEEELPQDQADAIFKKLGLDGDIKLDTNPVVPPTPAPRSVRAAPARRRARRVASSSDDSGTDGEGESLDATSVRRVPATPSVTAARSQRASKTAALSKISAKPTVASSDSELDDQSSVTTDDDPSHEESS* >Brasy7G207100.1.p pacid=40047482 transcript=Brasy7G207100.1 locus=Brasy7G207100 ID=Brasy7G207100.1.v1.1 annot-version=v1.1 MLPLPPGSPGLDVRAYTTVLHALSHEGRYERALELFAELKREGVAPTRVMYNVMLDVHGRMGRSWPQIVALLEEMRAAGVEPDGFTASTVIAACGRDGLVDEAVAFFEDLKSRGHAPCVVTYNALLQVFGKAGNYMEALQVLKEMEESGCQPDAVTYNELAGSYARAGFYEEAAKCLDTMVSKGILPNAFTYNTIMTAYGNAGKVDEALALFDWMKKNGFIPYVNTYNLILGMLGKKSRFNVMLEMLGEMSRSGCTPNRVTWNTMLAVCGKRGMEGYVTRVLERMKSCGVELCRDTFNTLISAYGRCGSRANAFKMYDEMTAAGFTPCLTTYNALLSVLSRQGDWTAAQSIISKMKNEGFKPNDMSYSLLLQCHAKGGNAAGIEAIEKEVYQGTIFPSWVILRTLVISNFKCRRLEGIERAFQEVKARGHKPDLVIFNSMLSMYAKNGMYSKAAEMFDSIKQSGLSPDLITYNSMMDMYAKSNEPWEAEKILKRLKTSQLKPDVVSYNTVMNGFCKQGLIKEAQRILSEMIADGVPPCVVTYHTLVGGYASREMFSEAREVVSHMIQRNLSPMELTYRRVVDSYCKAKRFDEARDFLYEIAETDRNSDQKLLSTLAARVESAQFRR* >Brasy7G042800.1.p pacid=40047483 transcript=Brasy7G042800.1 locus=Brasy7G042800 ID=Brasy7G042800.1.v1.1 annot-version=v1.1 MDQFPDRQHVRLRSLELGTYLHAAADGIEVVLHPDRASVTAAWTVHRYQNEHGNTYLLLHSAASGRYLAAATNTRAPRGQSGFRVEQREFDEPEVASIMWQVIRPGNFVLLRHVGANFLRSNGRRLFNWNSGVTVDKYQTMGTMMRWVVEPIPPLQAYPGVPAPIAEPLSSPQFTCILFGRDPPPVRAIRFQRANDDGTFNEDGWREFQFTGTSSYRLLYELIVRLDIVNFVMCVRAGRYARLTPLLSNLPSGTGDTLYIVVIHNMTPGADELRFPDMGAA* >Brasy7G002500.1.p pacid=40047484 transcript=Brasy7G002500.1 locus=Brasy7G002500 ID=Brasy7G002500.1.v1.1 annot-version=v1.1 MSNSNPPRPPPEQQHHQQEDNGDGEGPYSYSYLSGLGNTFSSEAVPGSLPANQNSPLLCPLGLYAEQLSGTSFTTPRHRNLRTWLYRIKPSVTHEPFHPREPANRRLVGDFDDRATTVATPTQLRWSPPEVPPAPMQLDFVDGLYTVCGAGSSFLRHGFAIHMYAANKSMDGSAFCNADGDFLIVPQQGRLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGAHFQLPDLGPIGANGLASARDFLSPTAWFEQSHRPGYVIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLNKFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGVYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISQRGSNEPSRLSGTLAFMFESALIPRVCRWALESPSRDLNYYQCWIGLKSHFSHDNDDDDGPTTSNKDD* >Brasy7G057000.1.p pacid=40047485 transcript=Brasy7G057000.1 locus=Brasy7G057000 ID=Brasy7G057000.1.v1.1 annot-version=v1.1 MDADQQSKRAARASGDGVSSFSDKGDDDPEYRHWGRPGRWPVSRRSLWAAVETGKGSCGQPGFGPDAGSRSPSSRASSSTERRSRRVAERRRCPGAAERLHRLRAARTSAQPCPRAAPTSTPQALAPPSPPGHHPRRAVTEPPPSASTAFAPPRPPRSRALVPPSPPGRHPRRAVTEPPPSCRQREASPRAHRRRAGTPSLQRQRVQEQRWSPRSDSRL* >Brasy7G122700.1.p pacid=40047486 transcript=Brasy7G122700.1 locus=Brasy7G122700 ID=Brasy7G122700.1.v1.1 annot-version=v1.1 MRKRERENPCGICGHYHKYEEGEPCGVCGHRPPVAGSVAGAPRQESAFPSEILKDFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQDDKTLQFDDAIQFLEQCERDKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQCFQWVKERRPQVQLADAAQQQLMEYEQKLFSSNVCVPAQYFAPTDTFPSLGFGFPKPSGEVQVPTFNQQAPASIFERVSPNNIPTNFTFGAERITEAKLPDSSNFGVVNLSGSDNMMDSS* >Brasy7G029300.1.p pacid=40047487 transcript=Brasy7G029300.1 locus=Brasy7G029300 ID=Brasy7G029300.1.v1.1 annot-version=v1.1 MDKLYSAGPKSCWKLKIWKKPLGCKVTGESKHWCLVFGREETPGSRRCTMSTAAGPCDLREAAAAGPRPLPSIRRWTLPAASAEQPPLGPAAVAGSPCRSRLHPSSVDPHRPSRRRGASDRSCRCILSWFVSVSVSVRLFGSPDIRISFHFAFDSW* >Brasy7G053800.1.p pacid=40047488 transcript=Brasy7G053800.1 locus=Brasy7G053800 ID=Brasy7G053800.1.v1.1 annot-version=v1.1 MLPEMFLPLKRSYLKAFKLMDKELKLHPKIDCFCSGTTAVTLVKQGWDLVVRNLGLTFPRKLEGTSNVDEGFFSLQDEQEVSRVRLPNNESPGMAMARAFGDFCLKDYGLISVPEVSYHRLTEKDEFIILATDGVCSSDCSHKGS* >Brasy7G238200.1.p pacid=40047489 transcript=Brasy7G238200.1 locus=Brasy7G238200 ID=Brasy7G238200.1.v1.1 annot-version=v1.1 MEARSGGGRARDGQIRRRPGTGCGRIRRGGGGGRRRVGEEGPRRRPPSRRRGGARMEEAGPWRLRARRRPASAAASQRGGGGGRRRDERWSGRRRERSGEVWGRKRRSREREVGRGGGGLGRQRGRGFYRRPLKP* >Brasy7G108300.1.p pacid=40047490 transcript=Brasy7G108300.1 locus=Brasy7G108300 ID=Brasy7G108300.1.v1.1 annot-version=v1.1 MKLIAWNCRGLGNRPAVRGLLALQKKEDPDFLFLSETKLDGRRMEKFRGMLGLQGMLVKDCEGRSGGIALFWRRGVDVTLRWMGRGHIDVEVKEVDGFRWRFTGIYGHPQHKETTWRLLHTLHNQSSLPHEKQGGAVRSHICMDKFRDALMYCGLNDLGFEGDVFTWRNNNYRVDGYVRERLDRAVPNQAWCNRFPGYRVRNVEPEHSDHRPVVLSVDGCRRKAGRGGNNVQQLKRFEAKWLLEEECE* >Brasy7G213700.1.p pacid=40047491 transcript=Brasy7G213700.1 locus=Brasy7G213700 ID=Brasy7G213700.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ* >Brasy7G201400.1.p pacid=40047492 transcript=Brasy7G201400.1 locus=Brasy7G201400 ID=Brasy7G201400.1.v1.1 annot-version=v1.1 MGGTLSFRREFKNQEDREAERWREREEEREWPVPSPIRALDERPRFRRPKRARRRVAPPVSERAVESPVPSPPTVPALPSRYIYLQFSLPRLAEIRKKIS* >Brasy7G000600.1.p pacid=40047493 transcript=Brasy7G000600.1 locus=Brasy7G000600 ID=Brasy7G000600.1.v1.1 annot-version=v1.1 MAAAEEPKKRSLTVAPFECAWDEQFRFTEPGRGCVAFEASAQNDVTLVFREQLGSQHYHYKMDTTRHYTVILGSHRNKRLKIEVDGRTVVDQAGVGLCRSSAFQSYWISIYDGLISIGEGRHPNNNLLFHWLDPDPNRNVQYVGLSSWDKHVGYRNISVLPSAPRNSILWSQIQYAYAERHGDGSGHTKHQPEPKDGCEQRVLADFLESWDFSDTVFVVGDERKAVPAHKIVLAASGDFSFTLMDGTAIELPSVSYHVLHSLLEYIYTGSTQVAGYRLNSLLELGLQFKVQPLVKRCEEILDCLTKMDNELSASSKNLVVSTSGSQTRRFDHFPFKAPVNAQKIKHFHESGEHSDINIYTSGHGLVAQAHKLVLSLWSMPFAKMFTNGMKESRASNVFFEDVSAEAFSLLLQFMYCGELKVDNRYITSELVQLLLLSDQFGITILQFECCKRIMEFLSEDTVCSVLQAVSSIPSCKLLEEMCKRNFAKHFDYCTTACTDFVLLDEATFKDILQHGDMTVTSEERVLDAILTWCMEACDTFCWNSVDKLLSTSTPEQLFGERLTAINALLPSVRFPLMQPSVLQLMEKSNLAKHIQVFRQSIAEAVEFSNAGPWMPTTNKCERFLHRRSSYRELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVMASSPNSRYTNPKALVSKNYQGTCFTGPRDENGKKCSWWMVDIGEDHQLMCNYYTVRQDGSTTFMRSWVFQGSMDGENWTSLGVHEEEQTICQPGQFASWPVTGPSALLPFRFFRLALTGPATGMSNTWNLCICFLELYGYFR* >Brasy7G147800.1.p pacid=40047494 transcript=Brasy7G147800.1 locus=Brasy7G147800 ID=Brasy7G147800.1.v1.1 annot-version=v1.1 MVSVRKGRGGGGGVRSRPRVDGGGSLVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSGGSNPTGRAPLSKYWGVSITNTIGPTTGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNTVIMFVAPLLFSNWPHANGFEAVRFCQQNPEVAWDIIMFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLEQWGSVVMVFSGLSLQIYLKWQRKKGRDHKE* >Brasy7G207700.1.p pacid=40047495 transcript=Brasy7G207700.1 locus=Brasy7G207700 ID=Brasy7G207700.1.v1.1 annot-version=v1.1 MYFFVHFTKQFLLSFLNPTIEHLRIKVGDNSVKRRVCILKGTDVRATITTNREDFVCAAAIKEGDIFVFVFMTNCVSWLTGFDHIYGRCTKKLTYLDELALPSCLILEHCLTCYNSLGYWKQETSSAC* >Brasy7G078700.1.p pacid=40047496 transcript=Brasy7G078700.1 locus=Brasy7G078700 ID=Brasy7G078700.1.v1.1 annot-version=v1.1 MRQEETEALGAAVRHGQEKEAPAPEKKTKRGNFWTRLQQGSWYRKDGCSLAHSKAPGEKSSAPPKCAPLF* >Brasy7G060000.1.p pacid=40047497 transcript=Brasy7G060000.1 locus=Brasy7G060000 ID=Brasy7G060000.1.v1.1 annot-version=v1.1 MVHVHTIICSDLSPRSDEGRNTCLLEGIWSTGSMDESSLFMQWAMDTLQHEHRHPSPGGSAALRNGGMASSGNTSSAVVTENDSVGGSSSVSWNFASALAQQPGSNEATTAPSTRARDVPDPAASRRASAASTGRHTSPPARDHHIMAERKRREKINRRFIELSTVIPGLKKVRRFPELRFFRTPSDTSRSSRKSSGHSRTAPPRPGP* >Brasy7G227400.1.p pacid=40047498 transcript=Brasy7G227400.1 locus=Brasy7G227400 ID=Brasy7G227400.1.v1.1 annot-version=v1.1 METAVGAASWLVGKVLNKLSDDLVAAYVASSELGLNAEQIKTDLTYTQALLHAAQGRDDNPGLKCLLEQLSEKADEAEDALDELHYFIIRDKLDGTRHATPFLGGDLREMVQHGRNAVRHTTGNWLSCFSCCRTEDAAATATATSTAVTDNPQTKAISDSGNTCVHLDQFAFDRVAMSDKIKSVIEAIHSKCVPVSDLLKIQSQSSAAGTTVTLKRIPKGSTVEQKELYGRSIIFDKTIKDITGGTYCSESLYVLPIVGPGGIGKTTFTQHLYNDERSKQHFTVRVWVCVSTDFDVLKLTQQIHNCIPATEKEESNITNGAANLDQLQISITHRLKTKRFLLVLDDIWKCGSDAEWTTLLAPFTKGEAKGSMVLVTTRFPKIEERVKRSTVPINLQGLEPKEFLKFFRACAFGTESPGEQYNELIDIGTEIAHKLKCSPLAATTVAKLLKKDLSWEHWSQVLENNEWKNQKNDDDIMPALQISYDYLPFHLKQCFSYFSLFPEDHRFTLLEITRFLTAIGVIDSSYKNKNYLEDLVDNGFLMKEDQCYVLHDLLHELSRSVSSQLCVNISSGLSFSADDIPQSIRHLSITMEERYVDNFRREMAKLKGRINIGKLRTLMIFRQYEKRITDILKETFEEIKDLRVLFIVMNSPDSLPKNFSKLFHLRYLRISSPYGLEMSLPSALPLFYHLIFLDLEDWHGSSSLPEYISRLVNLRHFIANNKLHSNVPEVGKIKHLEELKEFHVKKETIGFEMEELGKLADLGGELCVRNLEKVASKEEANKANLALKRSLKTLTLVWGTDQAAAGATDVVDGLQPHENLRELAIEDRGGGVGPPCWLCHDIPFKHLESLALAGVTWGTLPPFGQLPYLKIIRLKNIAGVRIIGPDLGFIHLKKVVFDGMPDLEKWVVGPNCHLFPNLESIKCKNCPKFLALPFISDCLVPCTQDIHYPNLSEFVITECPQLPLPPMPYTSTLIRVELGDSLGKMSYREDYLELQSYGSALALENMGKVEYVTFQGGSKIPRAELPKLTSLREFVIKNDPTFVSMALLSDLPTSLTSLSLMDCGNITVDGFNPLIAAVNLKELRVFNIGRDGGGGSSL* >Brasy7G032500.1.p pacid=40047499 transcript=Brasy7G032500.1 locus=Brasy7G032500 ID=Brasy7G032500.1.v1.1 annot-version=v1.1 MATRVKDLARRSSKKYVEEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRRQELYRPALKLTEVMARRGMNPTVGDQAIRLDLVAKSRGIAAAEKYFMDLPETSKTHLTYGALLNCYCKESMTEKAEALMEKMKELNFAFTAMSYNSLMTLYTKVNQPEKVPSIIQDMKADDVLPDVYTYNVWMRSLAARQDISGVERVIEEMKRDGRVVPDWTTYSNLASIYVEAGLFEKAEAALKELEKRNTSNDLEAYQFLITLYGRTQNLVEVHCVWRSLKRNNPRKANMSYLNMIQVLANLKDLPAAEACFKEWEARYIRPPKTKAIDAVTTETSKLDQESSTNASNNDSDVKGTEDKGMEELDLKHPKYDIRVANALMKAYITEGMLDKAVALKKRAKMRGGRLNSKTWEIFMEHYLKVGDLKNAHWCTDRAIKKGHSSGRIWVPPRDVTETLMGYFEKKKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKFPGMRHRLKIENVEVSEEIAKLVDSLCVD* >Brasy7G197900.1.p pacid=40047500 transcript=Brasy7G197900.1 locus=Brasy7G197900 ID=Brasy7G197900.1.v1.1 annot-version=v1.1 MGQAGSGAPATDPMGKREAEQAALPEHPLAAPAPATPSSGCSRPCDSLVWPPLRWFMVGHQWRQDGAVNGGETANCFPETVCGLMEIKYQVSI* >Brasy7G029700.1.p pacid=40047501 transcript=Brasy7G029700.1 locus=Brasy7G029700 ID=Brasy7G029700.1.v1.1 annot-version=v1.1 MKVLSRPSTGEAALRANMEFACSESDCSAIQGTGGCSAPYGGVLLLRASMVMNALHQPPGQGEELLLQRHRPRRYH* >Brasy7G077900.1.p pacid=40047502 transcript=Brasy7G077900.1 locus=Brasy7G077900 ID=Brasy7G077900.1.v1.1 annot-version=v1.1 MQILRSILCLFRRPCVASSPALMHRLSFPSRPLLSFMASPPCHWWPPALARRVLPLTVAPNPPSRRVCRFPRGSRNMASSSGEKDVESGEPDRRILFPFNRSTTNIKDTFKSKMEAFKANAKAKRRTSMNVIHGNEDGCTITSEIIDGKHDACGNNVDISIHLKNVDISRCEKDTRSTAMGHRGDIVVSDDEKEDATTDKNTDANLDAKIHPRYPIDDELSIYILPESRHRDGSIYKNTSCWKRHYLIADRNESK* >Brasy7G206800.1.p pacid=40047503 transcript=Brasy7G206800.1 locus=Brasy7G206800 ID=Brasy7G206800.1.v1.1 annot-version=v1.1 MMSGRMNNSAGSDDFPFAPMPPPPPSYAGFEQRAMYDNFDFAAAFQFHPQHQEHQMLSLPPNATGNLLHHPMAPPPPPPMSMQLPIPMPAIHGDPGMVYPALGMSVKREGEGGDQGGRSIGLNLGRRTYFSPGDMMAVDRLLMRSRLGGVFGLGFGGPGGHGHHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASLVSAGGKHQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPATTNGSATKDSATPPSSKKPNNAAGGAISGSYTVDNKTLSAAKSSTISSNTSAISCLQQQQQQQDQSKAAAALTLGASPQDQSNAVHQLAGHGHHHQEQHFITSLLHNTTNGSSNNNNNILSCSSVCSNAMPPPGAATNGGGEVSDQNNHQGNNNNMHLFEVDFM* >Brasy7G111100.1.p pacid=40047504 transcript=Brasy7G111100.1 locus=Brasy7G111100 ID=Brasy7G111100.1.v1.1 annot-version=v1.1 MSSSAVVAFVGADDLSLALAASFLRSGAIVRFYIDPEADESAATTLAEQGGGVTCASPAEAARDATLVIVLSDADGVDELFFGSEGIVKGLCTEAVVLIRSMLVPSHLEKLELKLYDEKKDIFLLDGYIFIGLSDELKQKIVVVASGRENVAKRAEQIFSDLDNMIYFAEGEFGCSSKIKLVNDLLESIHFVASTEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEAVPKLLSGDPLLIGSLKSSLKKNASYVMGTAKAVTFPLPLLSVAYQQLMHGSSAVIGGEPASPLKVWEQLFGVNIIDAASQPIYDASKLADQLVVASKEAKKVGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTLARFAELGGLSKHSPEEVSKDVEILIIMVANEVQAESVLYGNAGAVPVLSAGTSIILSSTVSPGFVTQLKKRLEAECREIKLVDAPVSGGVKRAADGTLTVMVSGTDEALQCTGSVLSALSEKLYAIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMLDNDYSPYSAVDIFVKDLGIVSRESSNLMIPLHVSSIAHQLFVAGSASGWGRFDDGAVVKVYETLTGVKVEGSPPILNKEDVLRSLPVEWPEVPMDDLVSSASHDSKKVLVVLDDDPTGTQTVHDIDVLTEWPVEALREQFLKLPTCFFILTNSRSMIADKAVLLVKDICRNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTIDDIHYVAESDRLIPAGETEFAKDAAFGYTSSNLRQWVQEKTRGRISENQVSTISISLLRKEGPNAVCQHLCSLEKGAVCIINAASERDMNVFAAGMIQAELKGKRFLCRTAASFVSARIGIKPRPPIRPSELGLKRSLAGGLIVVGSYVPKTTKQVDELRSQCMQSLRVIEVSVEMISLKSTEDRDQEISRVVELGNAYIQSGRDTLVVTSRQLITGRTPEESLEINYKVSSALVEIVRRIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSTKELLLNAENSGYAVGAFNVYNLEGIEAVTAAAEAEGSPAILQVHPSALKQGGVPLVACCIAAAEQANVPITVHYDHGTSKYDLLEALEMGFDSVMVDGSHLPLQENILYTKNICSLAHAKGILVEAELGRLSGTEDGLTVQEYEARFTDTAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLRFDLLKELRALTMKKGVSLVLHGASGVPRELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMESAKEAMKAVVAEKMGLFGSAGKA* >Brasy7G111100.3.p pacid=40047505 transcript=Brasy7G111100.3 locus=Brasy7G111100 ID=Brasy7G111100.3.v1.1 annot-version=v1.1 MSSSAVVAFVGADDLSLALAASFLRSGAIVRFYIDPEADESAATTLAEQGGGVTCASPAEAARDATLVIVLSDADGVDELFFGSEGIVKGLCTEAVVLIRSMLVPSHLEKLELKLYDEKKDIFLLDGYIFIGLSDELKQKIVVVASGRENVAKRAEQIFSDLDNMIYFAEGEFGCSSKIKLVNDLLESIHFVASTEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEAVPKLLSGDPLLIGSLKSSLKKNASYVMGTAKAVTFPLPLLSVAYQQLMHGSSAVIGGEPASPLKVWEQLFGVNIIDAASQPIYDASKLADQLVVASKEAKKVGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTLARFAELGGLSKHSPEEVSKDVEILIIMVANEVQAESVLYGNAGAVPVLSAGTSIILSSTVSPGFVTQLKKRLEAECREIKLVDAPVSGGVKRAADGTLTVMVSGTDEALQCTGSVLSALSEKLYAIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMLDNDYSPYSAVDIFVKDLGIVSRESSNLMIPLHVSSIAHQLFVAGSASGWGRFDDGAVVKVYETLTGVKVEGSPPILNKEDVLRSLPVEWPEVPMDDLVSSASHDSKKVLVVLDDDPTGTQTVHDIDVLTEWPVEALREQFLKLPTCFFILTNSRSMIADKAVLLVKDICRNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTIDDIHYVAESDRLIPAGETEFAKDAAFGYTSSNLRQWVQEKTRGRISENQVSTISISLLRKEGPNAVCQHLCSLEKGAVCIINAASERDMNVFAAGMIQAELKGKRFLCRTAASFVSARIGIKPRPPIRPSELGLKRSLAGGLIVVGSYVPKTTKQVDELRSQCMQSLRVIEVSVEMISLKSTEDRDQEISRVVELGNAYIQSGRDTLVVTSRQLITGRTPEESLEINYKVSSALVEIVRRIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSTKELLLNAENSGYAVGAFNVYNLEGIEAVTAAAEAEGSPAILQVHPSALKQGGVPLVACCIAAAEQANVPITVHYDHGTSKYDLLEALEMGFDSVMVDGSHLPLQENILYTKNICSLAHAKGILVEAELGRLSGTEDGLTVQEYEARFTDTAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLRFDLLKELRALTMKKGVSLVLHGASGVPRELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMESAKEAMKAVVAEKMGLFGSAGKA* >Brasy7G111100.2.p pacid=40047506 transcript=Brasy7G111100.2 locus=Brasy7G111100 ID=Brasy7G111100.2.v1.1 annot-version=v1.1 MSSSAVVAFVGADDLSLALAASFLRSGAIVRFYIDPEADESAATTLAEQGGGVTCASPAEAARDATLVIVLSDADGVDELFFGSEGIVKGLCTEAVVLIRSMLVPSHLEKLELKLYDEKKDIFLLDGYIFIGLSDELKQKIVVVASGRENVAKRAEQIFSDLDNMIYFAEGEFGCSSKIKLVNDLLESIHFVASTEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEAVPKLLSGDPLLIGSLKSSLKKNASYVMGTAKAVTFPLPLLSVAYQQLMHGSSAVIGGEPASPLKVWEQLFGVNIIDAASQPIYDASKLADQLVVASKEAKKVGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTLARFAELGGLSKHSPEEVSKDVEILIIMVANEVQAESVLYGNAGAVPVLSAGTSIILSSTVSPGFVTQLKKRLEAECREIKLVDAPVSGGVKRAADGTLTVMVSGTDEALQCTGSVLSALSEKLYAIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMLDNDYSPYSAVDIFVKDLGIVSRESSNLMIPLHVSSIAHQLFVAGSASGWGRFDDGAVVKVYETLTGVKVEGSPPILNKEDVLRSLPVEWPEVPMDDLVSSASHDSKKVLVVLDDDPTGTQTVHDIDVLTEWPVEALREQFLKLPTCFFILTNSRSMIADKAVLLVKDICRNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTIDDIHYVAESDRLIPAGETEFAKDAAFGYTSSNLRQWVQEKTRGRISENQVSTISISLLRKEGPNAVCQHLCSLEKGAVCIINAASERDMNVFAAGMIQAELKGKRFLCRTAASFVSARIGIKPRPPIRPSELGLKRSLAGGLIVVGSYVPKTTKQVDELRSQCMQSLRVIEVSVEMISLKSTEDRDQEISRVVELGNAYIQSGRDTLVVTSRQLITGRTPEESLEINYKVSSALVEIVRRIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSTKELLLNAENSGYAVGAFNVYNLEGIEAVTAAAEAEGSPAILQVPITVHYDHGTSKYDLLEALEMGFDSVMVDGSHLPLQENILYTKNICSLAHAKGILVEAELGRLSGTEDGLTVQEYEARFTDTAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLRFDLLKELRALTMKKGVSLVLHGASGVPRELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMESAKEAMKAVVAEKMGLFGSAGKA* >Brasy7G111100.4.p pacid=40047507 transcript=Brasy7G111100.4 locus=Brasy7G111100 ID=Brasy7G111100.4.v1.1 annot-version=v1.1 MSSSAVVAFVGADDLSLALAASFLRSGAIVRFYIDPEADESAATTLAEQGGGVTCASPAEAARDATLVIVLSDADGVDELFFGSEGIVKDEKKDIFLLDGYIFIGLSDELKQKIVVVASGRENVAKRAEQIFSDLDNMIYFAEGEFGCSSKIKLVNDLLESIHFVASTEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEAVPKLLSGDPLLIGSLKSSLKKNASYVMGTAKAVTFPLPLLSVAYQQLMHGSSAVIGGEPASPLKVWEQLFGVNIIDAASQPIYDASKLADQLVVASKEAKKVGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTLARFAELGGLSKHSPEEVSKDVEILIIMVANEVQAESVLYGNAGAVPVLSAGTSIILSSTVSPGFVTQLKKRLEAECREIKLVDAPVSGGVKRAADGTLTVMVSGTDEALQCTGSVLSALSEKLYAIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMLDNDYSPYSAVDIFVKDLGIVSRESSNLMIPLHVSSIAHQLFVAGSASGWGRFDDGAVVKVYETLTGVKVEGSPPILNKEDVLRSLPVEWPEVPMDDLVSSASHDSKKVLVVLDDDPTGTQTVHDIDVLTEWPVEALREQFLKLPTCFFILTNSRSMIADKAVLLVKDICRNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTIDDIHYVAESDRLIPAGETEFAKDAAFGYTSSNLRQWVQEKTRGRISENQVSTISISLLRKEGPNAVCQHLCSLEKGAVCIINAASERDMNVFAAGMIQAELKGKRFLCRTAASFVSARIGIKPRPPIRPSELGLKRSLAGGLIVVGSYVPKTTKQVDELRSQCMQSLRVIEVSVEMISLKSTEDRDQEISRVVELGNAYIQSGRDTLVVTSRQLITGRTPEESLEINYKVSSALVEIVRRIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSTKELLLNAENSGYAVGAFNVYNLEGIEAVTAAAEAEGSPAILQVHPSALKQGGVPLVACCIAAAEQANVPITVHYDHGTSKYDLLEALEMGFDSVMVDGSHLPLQENILYTKNICSLAHAKGILVEAELGRLSGTEDGLTVQEYEARFTDTAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLRFDLLKELRALTMKKGVSLVLHGASGVPRELVKECIDLGVRKFNVNTEVRNSYLESLRKPEKDLIQVMESAKEAMKAVVAEKMGLFGSAGKA* >Brasy7G130600.1.p pacid=40047508 transcript=Brasy7G130600.1 locus=Brasy7G130600 ID=Brasy7G130600.1.v1.1 annot-version=v1.1 MPPPHRLLLLVAIVAALGSTASTLPVPGPAELTVSSHPPASLRLPPAVPVAGEDGRSSAPFCTRIHLRGHDSRLRDPSHFFHALRLRANTSRPNALELCFHRNATVGPCKCASLLWQKVPKSGLWAQSISPYDHRILDFRMPSDPARSIVVSTEEEFLLHRVVFLVLGMVLMMAAHTLSQSVVFYYGGAMTIGIFLVVLIILFQGMKLLPTGRKSSLAIFAYSSVVGMTTYFLHYLSGLLRSMLVEIGIAEDMHNPLGIFLLVCVILAGAWFGYWGVRKLILTEEGSVDDGVAYFVEWAILIVSAVMILQSSLDYLFAFAALICCIIIKIISRIEGKPGFLCHLSSGLFKGTTREDLGEGYSSLNGVHQDGFGKLHGEYLKRTPRRNPPLAGSGKKMALSQSLARDNYYSTFHTTPERRKFSKEEYEAFTREETKKGMEELLSSPDFNRWALANADRISVAPPGTGHNKSSQQRHRFLGLF* >Brasy7G130600.2.p pacid=40047509 transcript=Brasy7G130600.2 locus=Brasy7G130600 ID=Brasy7G130600.2.v1.1 annot-version=v1.1 MPPPHRLLLLVAIVAALGSTASTLPVPGPAELTVSSHPPASLRLPPAVPVAGEDGRSSAPFCTRIHLRGHDSRLRDPSHFFHALRLRANTSRPNALELCFHRNATVGPCKCASLLWQKVPKSGLWAQSISPYDHRILDFRMPSDPARSIVVSTEEEFLLHRVVFLVLGMVLMMAAHTLSQSVVFYYGGAMTIGIFLVVLIILFQGMKLLPTGRKSSLAIFAYSSVVGMTTYFLHYLSGLLRSMLVEIGIAEDMHNPLGIFLLVCVILAGAWFGYWGVRKLILTEEGSVDDGVAYFVEWAILIVSAVMILQSSLDYLFAFAALICCIIIKIISRIEGKPGFLCHLSSGLFKGTTREDLGEGYSSLNGVHQDGFGKLHGEYLKRTPRRNPPLAGSGKKMALSQSLARDNYYSTFHTTPERRKFSKEEYEAFTREETKKGMEELLSSPDFNRWALANADRISVAPPGTGHNKSSQQRHRFLGLF* >Brasy7G130600.3.p pacid=40047510 transcript=Brasy7G130600.3 locus=Brasy7G130600 ID=Brasy7G130600.3.v1.1 annot-version=v1.1 MPPPHRLLLLVAIVAALGSTASTLPVPGPAELTVSSHPPASLRLPPAVPVAGEDGRSSAPFCTRIHLRGHDSRLRDPSHFFHALRLRANTSRPNALELCFHRNATVGPCKCASLLWQKVPKSGLWAQSISPYDHRILDFRMPSDPARSIVVSTEEEFLLHRVVFLVLGMVLMMAAHTLSQSVVFYYGGAMTIGIFLVVLIILFQGMKLLPTGRKSSLAIFAYSSVVGMTTYFLHYLSGLLRSMLVEIGIAEDMHNPLGIFLLVCVILAGAWFGYWGVRKLILTEEGSVDDGVAYFVEWAILIVSAVMILQSSLDYLFAFAALICCIIIKIISRIEGKPGFLCHLSSGLFKGTTREDLGEGYSSLNGVHQDGFGKLHGEYLKRTPRRNPPLAGSGKKMALSQSLARDNYYSTFHTTPERRKFSKEEYEAFTREETKKGMEELLSSPDFNRWALANADRISVAPPGTGHNKSSQQRHRFLGLF* >Brasy7G156100.1.p pacid=40047511 transcript=Brasy7G156100.1 locus=Brasy7G156100 ID=Brasy7G156100.1.v1.1 annot-version=v1.1 MDPEFGQSAFTIKFSTPNAIVNEFHAIPVASHPTASSSQLDVLARGNLYNLPGGTKRKFDDLSLSLGNSSGSGSSKQIMRTCCTISSPKGRDDGSVDLGLNYFNPCSEGTSRLDKQANDHRRTFVNSGLDLELSLSVGPCESTITDSDVTAANEQNNTFLQTCIMDIVPTVDEGSTSLRRPTGGQVLSFLNNTAKMTGFSPRQAFLDSSNQTEGPASSPTLLQLQESQATCTSGSASPQQRAISTKVCSHPGCIKGARGSSRRCIAHGGGRRCHKEGCNKGAEGKTIFCKAHGGGRRCENLGCTKSAEGRTDFCIAHGGGQRCIHEGCRRTSRGKSGRCIKHGGGKRCQQENCSKSAEGRSGLCIAHGGGRRCQHDGCGKGSQGSTAFCKAHGGGKRCTYPNCTKGAEGSTPFCKGHGGGKRCSGHGCTKSVHGGTQFCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCIYAGCDKSAQGSTDFCKAHGGGKRCLWGHPGSDLGVGGPPCDRLARGKNGMCVHHNPLLDDDRIHGGRTLGAFSVTSTSHDLGDCLSNAEISRDSILMHPVDACHVPVPVPEGRVHGGNLVSMFANGMCFGEKSTNIVEASTSASWN* >Brasy7G156100.2.p pacid=40047512 transcript=Brasy7G156100.2 locus=Brasy7G156100 ID=Brasy7G156100.2.v1.1 annot-version=v1.1 MDPEFGQSAFTIKFSTPNAIVNEFHAIPVASHPTASSSQLDVLARGNLYNLPGGTKRKFDDLSLSLGNSSGSGSSKQIMRTCCTISSPKGRDDGSVDLGLNYFNPCSEGTSRLDKQANDHRRTFVNSGLDLELSLSVGPCESTITDSDVTAANEQNNTFLQTCIMDIVPTVDEGSTSLRRPTGGQVLSFLNNTAKMTGFSPRQAFLDSSNQTEGPASSPTLLQLQESQATCTSGSASPQQRAISTKVCSHPGCIKGARGSSRRCIAHGGGRRCHKEGCNKGAEGKTIFCKAHGGGRRCENLGCTKSAEGRTDFCIAHGGGQRCIHEGCRRTSRGKSGRCIKHGGGKRCQQENCSKSAEGRSGLCIAHGGGRRCQHDGCGKGSQGSTAFCKAHGGGKRCTYPNCTKGAEGSTPFCKGHGGGKRCSGHGCTKSVHGGTQFCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCIYAGCDKSAQGSTDFCKAHGGGKRCLWGHPGSDLGVGGPPCDRLARGKNGMCVHHNPLLDDDRIHGGRTLGAFSVTSTSHDLGDCLSNAEISRDSILMHPVDACHVPVPVPEGRVHGGNLVSMFANGMCFGEKSTNIVEASTSASWN* >Brasy7G222200.1.p pacid=40047513 transcript=Brasy7G222200.1 locus=Brasy7G222200 ID=Brasy7G222200.1.v1.1 annot-version=v1.1 MASFGRQYAGTAAWVREPESPQLSLMSGCSSLFSISALRDGDDLGGGARSLPATPVSLAGFAGAGDEVEMMDHLRQGSGDEDRRTVRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLKLKKQCKELKQEVAALVLPSKSSLRRTSSTQF* >Brasy7G092400.1.p pacid=40047514 transcript=Brasy7G092400.1 locus=Brasy7G092400 ID=Brasy7G092400.1.v1.1 annot-version=v1.1 MSFLAGRLAAKEGAYFFQESKNAAGRLAQKLPASALGPRPASPPPSPDVLPEILRHSIPIKPTPPPSDPSLYGSSRWALPQGGAEPAGVSPDVLNPLRSYISLPQATFGPKRWELPNEQPHYSASTANERRRDGHPPPMDPEKLKSVIAGYSQVGKAFVAGTMLVFGGATAVLLYTAHKLQLHSVDDVRTKGKDTVQPHADMIKEQIAPLRKWAEDTSRKWHFEGDKEAKEKSILVRELSRSLGAKTGRIDEH* >Brasy7G232700.1.p pacid=40047515 transcript=Brasy7G232700.1 locus=Brasy7G232700 ID=Brasy7G232700.1.v1.1 annot-version=v1.1 RGGGGLPYPRPGFPLAPDFFVPPRARARAVNGEMHCGGAMGQGRSGWRRGRSSSRRGRGGRGGHGPAGPVDCSRFGGGGGGRRRPDPGGGGEGRQPARGQRRPDPGGGGGGRRRPDPGGGQHAGGGGGQIRTAEERGGGQHAGCGGQIRAVEEGGGV* >Brasy7G072200.1.p pacid=40047516 transcript=Brasy7G072200.1 locus=Brasy7G072200 ID=Brasy7G072200.1.v1.1 annot-version=v1.1 MPRGKVKIQWIVNNTKRRATMKKRLPGLVKKISELAILCDIPACLVVYQPDEEQPVVWPSTREATNIVQKYYDLPESKKLKKRLDAEGFQQQQVDKEKAELFNAHRDNCDQEINLIMKQFITHRRHSFEDLATEVLIHLKLKVKESLKAIDTRLQKIHLGGI* >Brasy7G169100.1.p pacid=40047517 transcript=Brasy7G169100.1 locus=Brasy7G169100 ID=Brasy7G169100.1.v1.1 annot-version=v1.1 MSLDVTQILLSAQSADGAIRKHAEESLKQFQEQNLPGFLFSLSSELASDEKPEESRRLAGLILKNALDAKEEHRKSELFQRWLSLDAGVKAQIKALLLQTLSSPVANARSTSSQVIAKVAGIEIPQKQWPELIVSLLSSIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPEWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLSALIKDPNNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMTTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHQTLEAEKLSTDEREKRSDLQGLLCGCLQVIIQKLGGMESTKFSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGSNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHATAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALHNGKDMDDSVMKTAIGVLGDLADTLGVHAGPLISQSTSSKQFLDECLSSDDPLVKESADWAKIAVSRAVSG* >Brasy7G220900.1.p pacid=40047518 transcript=Brasy7G220900.1 locus=Brasy7G220900 ID=Brasy7G220900.1.v1.1 annot-version=v1.1 MADAIDEAAARRAAVVAKYRRVLLSCRELESSLSTGNLPSLSSAAAALLDASRVDSSVYNMIHEDPGNVSYSAVGGLSEQIRELRENIELPLMNPELFLRAGIKPPKGVLLYGPPGTGKTLLARAIASNMDVNFMKVVSSAIVDKYIGESSRMIREMFTYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLHQLDGFHELGKVKVIMATNRPDVLDPALLRPGRLDCKIEIPLPNEQARLEILKIHAAGIAKHGEINYEAAAKLAEGFNAADMRNVCTEAGMAAIRTERDYVMNEDFMQAVRKLADAKKLESSADYKVDF* >Brasy7G227900.1.p pacid=40047519 transcript=Brasy7G227900.1 locus=Brasy7G227900 ID=Brasy7G227900.1.v1.1 annot-version=v1.1 MLHSLLYSINPAVARTTILHTQALLETTLLASHLLLGTDSGLRQRPTGGGGPTAPHARPVVARAPPLPAAFFQAAGKGPTALGIENHGGSTGPSWFCGSNISTVMLTSLHLKGVSWVDPPFGQLLHLTSLTLKNISGLCQIRPGFGGVTDRSFMKLKKIGLHSLPVFSEWVGSPDAQTFSGLEEIRCSSCPNLCKLPFLQECSGVSYNHLQTLEIKSCPMLFLPPMPHTSTLTEVHVKDSPVGKMAYDGIDKLLSFNGYIGEVAWHNMAGKIERIAFQGGSKIPWAELPKLTSLSRLVIEEDPSFVSMALLSNLPTSLASLSLIDCENLTLDGFNPLIAAVNLKHLAVYNTGGDGPRSVAANLLSELVVERLHVDCISAMLAAPVCSLFATTLHKLYFSCDQRVESFTEEEEDALQLLTSLQTLNFWNCPGLPSLPQGLHSLSSLRELHVSDCPEIRSLPKGGLPTSLRKLGLWNCPEIRSLPKEGLPTSLRELYVLHCSPELQEQAKELRGTKPDLRVRC* >Brasy7G093000.1.p pacid=40047520 transcript=Brasy7G093000.1 locus=Brasy7G093000 ID=Brasy7G093000.1.v1.1 annot-version=v1.1 MASPGSAVLLESDTDVKVRLIGPSSEFQLKQSLLLLATLVATVTYAAGLNLPGGAWPEDKPGGALAGDPILRETTTTATLPSTTATPSPSPPRSSSASNCWCSRRGATAACTCNCCAPSWCSTCSASWGPTSPGAAATGSPPSAPPCSCSPSSPTWPSPSSSTSGRGQAKTRPPTSARKSTRSSWCSPSSRPPSPTWPGSTRRGGFWNSTKDGHTAGDPVLQDHHLRRYHTFFFFFNTTAFVASLFVILLIVHDKKLNISGNWTVRFVALYVCTVMALLGLGGAYAVGACRDPLNTAAVFGLGALVLAYIVLQRGIVAVAKDIKGSKITLLCKDGLCCNLLTGWFQSISDFFTRIRPYDEILEKAREFIQLLAVLAASIAYQAGLDPPGGLWSDNGDGHAIGEPILSTTHPVRYMVFYYCNSAAFVASLVIIVMLQSASLVRRHVLEAAMILDLFSLIGAYAAGSCRDISTSIYIIAVAGAVLIYVVIHIIFTLDPGNIDDAELEKYRQVLLLLAILAATLTYQAGLTPPGGFWEMDDKQAGHSAGYPVFQDNYPQRYKAFFYCNAASFMASVALIILLVNPNMYRPGIRCHALYVCMVAGMFGLMGAYTAGSSLHLRATIFVFVLVALVFTVVVYLGVAKGKPRNNSQEQDGSAAAGARQEEKKEASMPMYLMLLGILAASVTYLTGLKPPGGLWKDDGSGHSPGSPILYDIDKRRYNAFFYSNSTSFMASIIIIALLLPRMAWQKKEFPLWPMHTAMLLDMLALLGAYAAGSAREWETSRNVILMLFPMLFFVVIVFILKKDKDEPQSLVVDNIEDI* >Brasy7G231900.1.p pacid=40047521 transcript=Brasy7G231900.1 locus=Brasy7G231900 ID=Brasy7G231900.1.v1.1 annot-version=v1.1 MHLIGLMARSFSFVALTSTHTKRPALPLMLPPPPLLLPHGASISSLSFRPHGDPAVAARSLSHSGAIGAVVAAAIMAEEAEAPSPKAPWLNVMTTTPWRRRSAS* >Brasy7G015800.1.p pacid=40047522 transcript=Brasy7G015800.1 locus=Brasy7G015800 ID=Brasy7G015800.1.v1.1 annot-version=v1.1 MAIRKGSSAGLKQILKRCSSLGRRHQQQQQQQQQIWGSEEEEERWEEEEEEAAPRDVPRGHFAVYVGERRRRFVVPIAALDRPAFRSLLRRAEEEFGFRHGGDLLLLPCDEQAFRSLCASSSA* >Brasy7G196900.1.p pacid=40047523 transcript=Brasy7G196900.1 locus=Brasy7G196900 ID=Brasy7G196900.1.v1.1 annot-version=v1.1 MKPRNILHRLFVQKRKPTSAKRNASAEMLVATVMIIIIRRICGRP* >Brasy7G103000.1.p pacid=40047524 transcript=Brasy7G103000.1 locus=Brasy7G103000 ID=Brasy7G103000.1.v1.1 annot-version=v1.1 MDMTRYSACHQQWIAGQEAGLGELSAAAADAAAGRATEAELRAAVERCLRGYEEYAATRRSMAREDGAAFFAPPWCTTFEKAVLWLGGCRPSLSIRLLYCVSSEGLEAGGAAPGVP* >Brasy7G067600.1.p pacid=40047525 transcript=Brasy7G067600.1 locus=Brasy7G067600 ID=Brasy7G067600.1.v1.1 annot-version=v1.1 MAKINATPRTARRRRRANAVALLPNRPHRFLRLLAVIPKISRHRRGLAAAPRSFRPPLPTSEKRKSAIQHQTPSPTRDHDAETTSRPEAEEPQDPHAVGEAVAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPEAIAAAQAARAAPAGLVVDAFAGVGGNSIQFAARGCYVVAVEIDPHKVELARHNARIYGVEDMIEFVVGDFFRLAPYLKADLVFLSPPWGGPSYNQTPMYTLDMLMPKDGYTTFQAAQKIAPNIIMFLPRNVDRSQVEELCWLSSPPLDFEVFYCPSLILANSTGGLNILHLSSYGDALIFKLLQSEENYIQNRFRGITAYFGNLAR* >Brasy7G067600.2.p pacid=40047526 transcript=Brasy7G067600.2 locus=Brasy7G067600 ID=Brasy7G067600.2.v1.1 annot-version=v1.1 MAKINATPRTARRRRRANAVALLPNRPHRFLRLLAVIPKISRHRRGLAAAPRSFRPPLPTSEKRKSAIQHQTPSPTRDHDAETTSRPEAEEPQDPHAVGEAVAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPEAIAAAQAARAAPAGLVVDAFAGVGGNSIQFAARGCYVVAVEIDPHKVELARHNARIYGVEDMIEFVVGDFFRLAPYLKADLVFLSPPWGGPSYNQTPMYTLDMLMPKDGYTTFQAAQKIAPNIIMFLPRNVDRSQVEELCWLSSPPLDFESEENYIQNRFRGITAYFGNLAR* >Brasy7G057200.1.p pacid=40047527 transcript=Brasy7G057200.1 locus=Brasy7G057200 ID=Brasy7G057200.1.v1.1 annot-version=v1.1 MPSLTPADASLILDHVVGDPSTPAAAANALLAALPFPSRPTPRLLRSVLLRRLASDPVSPAALDSLQLLASLPTPAPAVAAAHLAVAAFLAASAPDFDAAAEALFARPGGRARRAVDEGWDPALVSPEAVAVADQFEAAVGNAFSQSVLRGLWGGRAAAEERVRELLVAEWAAIGPSQLEVAAERIVGDGAVETWRAAEESIRTMYRMLAGEERAREILSRLEEPTSNVNPISTPEVHKVLDALKSSCADLHSAVEDPLPAAKAAADEVLAARMDKAVDINVEEVNNQAASCSAAAGPSALNNQGEASRKGTLSSLMDWNSTARTFQWEDSLDPEGSRSQSHIPHLPSPRRNRVSPLQLADNKAKRRRARKWSSVEEETLRKGVDQFGSSNWKDILIHNPDVFIGRTAVDLKDKWRNMMR* >Brasy7G057200.2.p pacid=40047528 transcript=Brasy7G057200.2 locus=Brasy7G057200 ID=Brasy7G057200.2.v1.1 annot-version=v1.1 MPSLTPADASLILDHVVGDPSTPAAAANALLAALPFPSRPTPRLLRSVLLRRLASDPVSPAALDSLQLLASLPTPAPAVAAAHLAVAAFLAASAPDFDAAAEALFARPGGRARRAVDEGWDPALVSPEAVAVADQFEAAVGNAFSQSVLRGLWGGRAAAEERVRELLVAEWAAIGPSQLEVAAERIVGDGAVETWRAAEESIRTMYRMLAGEERAREILSRLEEPTSNVNPISTPEVHKVLDALKSSCADLHSAVEDPLPAAKAAADEVLAARMDKAVDINVEEVNNQAASCSAAAGPSALNNQGEASRKGTLSSLMDWNSTARTFQWEDSLDPEGSRSQSHIPHLPSPRRNRVSPLQLADNKAKRRRARKWSSVEEETLRKGLVAAIGRIF* >Brasy7G057200.3.p pacid=40047529 transcript=Brasy7G057200.3 locus=Brasy7G057200 ID=Brasy7G057200.3.v1.1 annot-version=v1.1 MPSLTPADASLILDHVVGDPSTPAAAANALLAALPFPSRPTPRLLRSVLLRRLASDPVSPAALDSLQLLASLPTPAPAVAAAHLAVAAFLAASAPDFDAAAEALFARPGGRARRAVDEGWDPALVSPEAVAVADQFEAAVGNAFSQSVLRGLWGGRAAAEERVRELLVAEWAAIGPSQLEVAAERIVGDGAVETWRAAEESIRTMYRMLAGEERAREILSRLEEPTSNVNPISTPEVHKVLDALKSSCADLHSAVEDPLPAAKAAADEVLAARMDKAVDINVEEVNNQAASCSAAAGPSALNNQGEASRKGTLSSLMDWNSTARTFQVW* >Brasy7G079100.1.p pacid=40047530 transcript=Brasy7G079100.1 locus=Brasy7G079100 ID=Brasy7G079100.1.v1.1 annot-version=v1.1 MAAMIFSVLEASRPHQRTPERSKSLIHPLPQEKPFYKNPLHSAPRQPLVQELQTARIKDRREKGGERERSMASAVACRGTTLASLLKKLLAEPSASGAPVAYYALRPAAAAAARRPYNTQGTEVRRYDDDESSDDSGREYEDADAGDRRRTGDFFSQGMLDPLGAPTTLDRLLSLMDDTAAPSRWTNLSSSTAAPWRGWWVAKDDDDVVELKVLMPGLGKEHVKVWAEQNCLVIKGEGEKESGEGDDCEAWVPRYSRRIEVTADAFKMDQIKAEMKNGVLRVTVPRVKEEERKDVFQVKVD* >Brasy7G016300.1.p pacid=40047531 transcript=Brasy7G016300.1 locus=Brasy7G016300 ID=Brasy7G016300.1.v1.1 annot-version=v1.1 MENSIRRESGSQTTRSTKVVEAGTAEKRTRPSRASRGLQPAALGRKEKAKSAACASARAAGAEAWGGLEGERKRRRKGRAVTIWRKAAQAAEARARSDVVGRRRKMSSRRSAGRDACGSGAGGGGESASVKQMAAEFALHTDQALAYFYPI* >Brasy7G181300.1.p pacid=40047532 transcript=Brasy7G181300.1 locus=Brasy7G181300 ID=Brasy7G181300.1.v1.1 annot-version=v1.1 MKAVSRSKSFKKGRGASSCSLPLLLLIGAVQFFVIGKPFPSLLLDSRKVFNLTEEEFIPEPHVSCDFSGNRSDYCELTGAIRIRGSTSEVFLVTPRRTTAGEFLSPNATGGIAAANATSWEMQPYTRKGESRIMNGITKLTVRLSTGDSAPACDVMHDDVPAVVYSNGGYCGNYYHDFNDNIIPLFITARHLAGEAQLVVTQKQAWWFGKYAEIVSGLSRHEPVDMDADARVHCYRRAFVGLRSHKDLSIDPRRAPNNVSMVDFKRFLMWRYSLPREHAIRTDDEHQRRPRLLIVTRRSRRRFVNLDEIVAAAEEVGFDVTASDLITSSKKQQQGDDSKMQARMAEASATVNAFDAMLAVHGSGLTNLLFLPMNAVVVQVVPLGRMEGLAMDEYGVPPGT* >Brasy7G187100.1.p pacid=40047533 transcript=Brasy7G187100.1 locus=Brasy7G187100 ID=Brasy7G187100.1.v1.1 annot-version=v1.1 MELHGSPSAGRSDADLAKKLAEAGFSEEQLERKYFANLIQEMEEEREYGIITREMPSPTIVKYHDTLEKIWGWDKLLPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSMAALAETCLNNEEQLASELKIRVKPEEERLLQMRKSSILSCLIHKRACSVIHTAGCSFSDVCGAAFLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMGALSLMNCTSDNSVSASAVMLGMAKEAEMLCMWMRKNDKPVDFFDDPIPDEIGESRLVRYKTLDFVVDVLEKSSAAGRSGPGDDGDCVPADEAANTTGGSVSDALNSQYKKQISGGEESNKWKRKEENLLDKICGWEGLVPLYSDSQNLQIASSAICKYCLKMEEELLSMWKTSPVWCTLDDAVAVSTVIESSLIKERALSICSTGVELCVPSTIAFVCITKEAELMCELLKQGAEHCDDIMQLSSVIRMCALGLVDITGNQSIVSAAAMMDMANEAKKMCDYMKSYQLVTRLSESHELKRRSLIRRKALDVMSSILHDSS* >Brasy7G187100.2.p pacid=40047534 transcript=Brasy7G187100.2 locus=Brasy7G187100 ID=Brasy7G187100.2.v1.1 annot-version=v1.1 MELHGSPSAGRSDADLAKKLAEAGFSEEQLERKYFANLIQEMEEEREYGIITREMPSPTIVKYHDTLEKIWGWDKLLPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSMAALAETCLNNEEQLASELKIRVKPEEERLLQMRKSSILSCLIHKRACSVIHTAGCSFSDVCGAAFLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMGALSLMNCTSDNSVSASAVMLGMAKEAEMLCMWMRKNDKPVDFFDDPIPDEIGESRLVRYKTLDFVVDVLEKSSAAGRSGPGDDGDCVPADEAANTTGGSVSDALNSQYKKQISGGEESNKWKRKEENLLDKICGWEGLVPLYSDSQNLQIASSAICKYCLKMEEELLSMWKTSPVWCTLDDAVAVSTVIESSLIKERALSICSTGVELCVPSTIAFVCITKEAELMCELLKQGAEHCDDIMQLSSVIRMCALGLVDITGNQSIVSAAAMMDMANEAKKMCDYMKSYQLVTRLSESHELKRRSLIRRKALDVMSSILHDSS* >Brasy7G187100.3.p pacid=40047535 transcript=Brasy7G187100.3 locus=Brasy7G187100 ID=Brasy7G187100.3.v1.1 annot-version=v1.1 MELHGSPSAGRSDADLAKKLAEAGFSEEQLERKYFANLIQEMEEEREYGIITREMPSPTIVKYHDTLEKIWGWDKLLPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSMAALAETCLNNEEQLASELKIRVKPEEERLLQMRKSSILSCLIHKRACSVIHTAGCSFSDVCGAAFLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMGALSLMNCTSDNSVSASAVMLGMAKEAEMLCMWMRKNDKPVDFFDDPIPDEIGESRLVRYKTLDFVVDVLEKSSAAGRSGPGDDGDCVPADEAANTTGVSDALNSQYKKQISGGEESNKWKRKEENLLDKICGWEGLVPLYSDSQNLQIASSAICKYCLKMEEELLSMWKTSPVWCTLDDAVAVSTVIESSLIKERALSICSTGVELCVPSTIAFVCITKEAELMCELLKQGAEHCDDIMQLSSVIRMCALGLVDITGNQSIVSAAAMMDMANEAKKMCDYMKSYQLVTRLSESHELKRRSLIRRKALDVMSSILHDSS* >Brasy7G075200.1.p pacid=40047536 transcript=Brasy7G075200.1 locus=Brasy7G075200 ID=Brasy7G075200.1.v1.1 annot-version=v1.1 MLRFCFFITKGPRSRAKLRSNAREPTSKDSRDQRSRGSTSRSHRMAAPGADEEVSFEFFPIIRQYKSGRVERFMNFPPIPAGVDPATGVTSKDVVIDKSTGLWARVFLPPGADHGDNKLPVVVYFHGGAYVIGSAADPMTHGYLNGLVAAANVLAVALEYRLAPEHPLPAAYDDAWEGLKWVASHATGSGSGTGQEPWLADHGDFSRVFLAGGSAGGTIAHVMAVRAGEQQGGLGVGIKGVLIVHPYFSGVADIGKEATTGKAEKAKADAFWKFLYPDSPLGLDDPLSNPFSEAAGGSAARMAGERVLVCVAEKDGLRDRGVWYYESLKASGYGGEVELLESMGEGHVFYCMNPRSEKAVEMQERILGFLRK* >Brasy7G149400.1.p pacid=40047537 transcript=Brasy7G149400.1 locus=Brasy7G149400 ID=Brasy7G149400.1.v1.1 annot-version=v1.1 MAEAAASSPRRRLESIRRHLLPRPPPVLSLNPSSAAEAAPSPVIIGGMVLDIYAKPSVPPHPGTTVPGMVKYIGGGVARNIAECMAKLGTRPLMISVVGNDIAGDFLLKYWRSAGLCTDGILQVHEATTPVVSNVFDGSGELFAGIASVGAVENFLTPSWINQFYLHISTAPLLMLDANLPPQSLQAACKIAYESGVPVLFEPVSVAKSSRIAPIAEYITCTSPNEMELIAMANTLSAPGRYNFVKVGQCNNKTEAVDYLFKMLSPAMFFLLEKGIKLLLVTLGSNGVFICCKEHVNFMKGEQKCKMTPYSAQLLGKLEGCSPFSMPVNVSREGSSRTCVFHLPAISASVVSLTGAGDCLVGGVLSSLCGGLDIMQSVAVGIAIAKASVESEANIPDNFCGASIADEARRTLLSAKQIWCQ* >Brasy7G225800.1.p pacid=40047538 transcript=Brasy7G225800.1 locus=Brasy7G225800 ID=Brasy7G225800.1.v1.1 annot-version=v1.1 MARAANPAAVSGGRARRRSGASGSTDLVAPVLMAKVNSRSMEGCEGDRPPPSSPGSRRSTLLPFLDFVHSAVNLKKLAVRNRGRAGPRSVAANLLSELVVVASRSKRLLPAAGCFQLEALDVDCISAMLAAPVCSLFSTTLHELFFSFDQRVEIFTEEEENALQLLSSL* >Brasy7G121300.1.p pacid=40047539 transcript=Brasy7G121300.1 locus=Brasy7G121300 ID=Brasy7G121300.1.v1.1 annot-version=v1.1 MQMHNMDVLVPLISLLLVVGAGGSRTKKSENAVEYWQSVLPDTPIPQSILDQLMPLAVHGSRDSTTIGSSNNLKFFNGVRKMGHDYEGVKSKAGQVHVASPVEEGLKEVSVSYGSQGEVDLKKGIMVPKQKFPNNLKKLSMTHRSQFREELEKIVAALRQKVEEDLKEVSVSYGSRYAEDLKEVSVSYGLKGEKSLKKVPLNQKKILAAHKPHMGENLKEVSVSHGSKDAEVLKEISVSYGLEGENDLKEVSVSYGVEGENNLKEISVSYGVEGKKDLKEVSVSYGVEGEKDLKEVSVSYGVEGKNDLKEVSVSNGFEGEGILKEVSVSYGVEGGHNLYRAEQEKSLKKVSVPYGIEQEGKLKEVSVSYVLDEEDPNKVTMSYGAEHDEDDPNQATMSYGAQQDEDPTMSYGAEYDEDDPNKATMSYGAEYDEDDPNKATMSYGAQQEEDPTMSHGAEHDEDDPNKATMSYGTEHKEDRKKVFIRYGTHIEGKNKRSFFGNLLHKLDERSTSSKATGGESHHHHHQADAHTHRNRRQQQAAVFFFHDMLRPGSMITPTIPPTTFLPTFLPRRVASSIPFSTSRLADITAMFAPASLAMRREIQWTLDTCEHPRTLPGQAARCATSLESLADVPALLLGTRDIRAFSAPNLPIEAPGTPALRGRYNVTAARKVSGESEEIVTCHDLTYPYAVYYCHTANPTAAYMVTLQLEPSEGGAASQPAEMEALAVCHLDTSRWSRKNPFFELHNVRPGDVAVCHFLTKLSIVWVRAGDQGSGARASV* >Brasy7G215900.1.p pacid=40047540 transcript=Brasy7G215900.1 locus=Brasy7G215900 ID=Brasy7G215900.1.v1.1 annot-version=v1.1 MAMSLSLARGLALPPRLQSLPAAKNPPRAALAFPANSFFGSPLSMAATATALSPAAPLLPRSLPVVVAAGKGYKMKTHKASAKRFRVTGTGKIVRRCAGKQHLLSKKNAKRRKRLSKMVQVNKSDYSNVMGALPYLKVNKKAG* >Brasy7G025000.1.p pacid=40047541 transcript=Brasy7G025000.1 locus=Brasy7G025000 ID=Brasy7G025000.1.v1.1 annot-version=v1.1 MPLQDDDDDEEEKATVRCLDVARYVVASTVTTLIVAVIACAATGALRPPDVALWVVGGSVSVIRADGNDSTKPFNASVGGDSLNYGYTVRALNPSGRFRIYFTNITVRFRARNSWGELNTFILHLLPDLALAPQKATDTDVQVDTPVMVLFQTYYFEKLGNGSSIEDAWLTFNGTRTVETYSGHNLTQESVFYSCSPVVLGKHDDAMHSAPPDALCTESLPMDVS* >Brasy7G037100.1.p pacid=40047542 transcript=Brasy7G037100.1 locus=Brasy7G037100 ID=Brasy7G037100.1.v1.1 annot-version=v1.1 MEMDDDESGDGRERLLSSILKEKGKAKAMKASAGKTKATLASGVTATKARSKSNPTIVLIDKRTTTPGQALQPVVLHQRAASPRPKPKLHPIYQWLVG* >Brasy7G179200.1.p pacid=40047543 transcript=Brasy7G179200.1 locus=Brasy7G179200 ID=Brasy7G179200.1.v1.1 annot-version=v1.1 MEKKRTGETDPVETGSGEAGSAPWPGEEGRIRGGWPEEGRIWRRSGPEGSRIRERHGHGGRIRGPRGCGSQRWRGRSGGGEGGAAVERLEGGRIHGAGGGVASAGGEGEEIGGESTRRRSGQGRERDDKERAVGGERVKRIREGREITVRKGEKGERLTCCRVGYLGEKTLQQPELLLGSLCSYRSFSPLPILLLL* >Brasy7G142700.1.p pacid=40047544 transcript=Brasy7G142700.1 locus=Brasy7G142700 ID=Brasy7G142700.1.v1.1 annot-version=v1.1 MSTIYMSQLSAAFPLMEEDHHQDHHQGHFQNFTLPKDPPILFPFVINNSSPSDNSLGYGSGHHLRQQHHAMLEAPQHMIGGSSSVFLAPFPTVESIRDDMIERSSSYDSYDIEKLQATGGSLKAGKWTAPAPAAKMRITRKTSDPAGTVKKPRKRAQAYEDHHMNQGQALGVIRTCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMAPGAAPLTTGSGIVGAKGSGDAHPKAKKEKRAADVDRSLPFKKRCKVVIQDHTATNGAAPVEATAAEPAVVSVNSAAAAPVKGLIDTIGVNWSNSGPTAGTACSFQPSVPAVDEITDAAMLLMTLSCELVRS* >Brasy7G165300.1.p pacid=40047545 transcript=Brasy7G165300.1 locus=Brasy7G165300 ID=Brasy7G165300.1.v1.1 annot-version=v1.1 MTAPATKTTWVNGPIVVGAGPAGLAVAACLETQGVPSVVLERDDCIASLWQRRTYDRLRLHLPKQFCELPGMPFPADYPEYPSKHQFLSYLHSYAARFHVTPRFNRTVVSARFDHAAALWRVHTETSSPAAAAEEYIGRWLVVATGENAERIIPPEYSNDSNAAGWFSGPVSHVSEYKSGSPYAGKKVLVVGCGNSGMEVSLDLCDHGARPAMVVRGAVHVLPRDVLGVATFSLASLLLRFLPLRLVDGLLVLLARVVFLGADLPSLGLRRPQGAGPLEMKNSKGRTPVLDVGALDRIRKGDIEVVNGAVRRLVAGGAELVDGRFVAADAVVLATGYHSNVPQWLKGSECLFSGEGYPKVGFPEGWKLGESGLYSVGFTRRGLAGVSLDAVRVAADIATAYDNNNSSSNKSCSSSSSSSSSSSSGSF* >Brasy7G165300.2.p pacid=40047546 transcript=Brasy7G165300.2 locus=Brasy7G165300 ID=Brasy7G165300.2.v1.1 annot-version=v1.1 MTAPATKTTWVNGPIVVGAGPAGLAVAACLETQGVPSVVLERDDCIASLWQRRTYDRLRLHLPKQFCELPGMPFPADYPEYPSKHQFLSYLHSYAARFHVTPRFNRTVVSARFDHAAALWRVHTETSSPAAAAEEYIGRWLVVATGENAERIIPPEYSNDSNAAGWFSGPVSHVSEYKSGSPYAGKKVLVVGCGNSGMEVSLDLCDHGARPAMVVRGAVHVLPRDVLGVATFSLASLLLRFLPLRLVDGLLVLLARVVFLGADLPSLGLRRPQGAGPLEMKNSKGRTPVLDVGALDRIRKGDIEVVNGAVRRLVAGGAELVDGRFVAADAVVLATGYHSNVPQWLKGSECLFSGEGYPKVGFPEGWKLGESGLYSVGFTRRGLAGVSLDAVRVAADIATAYDNNNSSSNKSCSSSSSSSSSSSSGSF* >Brasy7G114800.1.p pacid=40047547 transcript=Brasy7G114800.1 locus=Brasy7G114800 ID=Brasy7G114800.1.v1.1 annot-version=v1.1 MRKAADGRQAALQRRVRRRATRRRPAGVAAEDLEADGADLASGRLGLASAAAEKAADLAAGAAARARGFDGRCSSSLARQDQRQPGIAGRISGASGGEQEEERRGRARVRRCHRARLRAALTREARRGFGGPREEIEMQLAMRMPTTAVASLLAAGAVHSHAAVSSNSISTSVQRSIDSEGRGFEAPSSRGTAWRGRSPSPSGPPPGRRIPHR* >Brasy7G055700.1.p pacid=40047548 transcript=Brasy7G055700.1 locus=Brasy7G055700 ID=Brasy7G055700.1.v1.1 annot-version=v1.1 MGLGAIFDLGRWKEQKDPYIFACPIAVPASGCARNRNGLGPGRDRPSPSRCSLVPSPEEEKDEAMVLLYVPGGPRLLRVPRGVLQGIPGLGRPRAQLQRIRGATTPVKLPRPRRGAIKLARNKLKHTDLSSDTEDEDDPFLALLRKLP* >Brasy7G174800.1.p pacid=40047549 transcript=Brasy7G174800.1 locus=Brasy7G174800 ID=Brasy7G174800.1.v1.1 annot-version=v1.1 MSTTAGYLARRAGQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRNVENLDVIDRLIDDAEAQQRNFQHPDPYIVPWAPGGTKFTRNPPPPEGIEIIYNYGKEDS* >Brasy7G125500.1.p pacid=40047550 transcript=Brasy7G125500.1 locus=Brasy7G125500 ID=Brasy7G125500.1.v1.1 annot-version=v1.1 MYYQLRVLALHTQNYYQPQILHMILLLHPSQ* >Brasy7G175600.1.p pacid=40047551 transcript=Brasy7G175600.1 locus=Brasy7G175600 ID=Brasy7G175600.1.v1.1 annot-version=v1.1 MRVQCDVCGVEPATVLCCADEAALCSACNRRVHRANKLAGKHRRLALQQPSSPTNAAAAAGPLCDVCKERRGIVFCVEDRAILCADCDEPIHSANDLTAKHSRFLLVGAKLSAAELVDQDHQIPSPGGSSDAHDNNSSSSPAEDAATPVLNGVGAGGGAGSSISDYLTNICPGWRVEDLLLDDAAFASKQKGREEQVPFLDADLFDVVAAGARPEKRGGAWAQAPHVPQPAWASLEEVVPAPKAKQQQGQHVREWYHSDSDSDVFAVPEISPTPPAKRARPSPFWCL* >Brasy7G093900.1.p pacid=40047552 transcript=Brasy7G093900.1 locus=Brasy7G093900 ID=Brasy7G093900.1.v1.1 annot-version=v1.1 MDSAAGAEASAAAAQAQPPPPYQPPPRLVLEGDGSGLGGAGRAFRHHAYSRKQKSLGLLCSNFVALYDRDDVETVGLDDAARRLGVERRRIYDIVNVLESVGILVRRAKNRYTWIGFEGVPAALKELKERALREMSGLVSPLLEEPSATNVSDDEDEDDKLGDADGDAESEKLSQSMDTTSDKLSQSMDTTSDKLSQSMDNTSDKPDTPRCQLRSDHRKEKSLGLLTQNFVKLFLTMEVETISLDEAARLLLGERHAESNMRTKVRRLYDIANVLSSLNLIEKTQQVDSRKPAFRWLGQAKRKEGDDVPVALPPTRTILSNKRAFGTDITNIDTKRSKWDSGTENKSKLMQGDGSMSRTFENQLGQGKSSGFVYGPFHPAGARKQELDRALREKERKNIQDWENLTKSLQYQNPTINGLFGHYVEAWRSWYLDFTRDDPSTS* >Brasy7G087300.1.p pacid=40047553 transcript=Brasy7G087300.1 locus=Brasy7G087300 ID=Brasy7G087300.1.v1.1 annot-version=v1.1 MAIISDIQEDEPTLQQPAASSPAAVDVDLEVLEAVLERKGGALPFLHAAIDVARRRSDLFRDPSAVSKVTAMASAARAEVEAEERKAREAKRKAEDSAKAAAAEKERAAKAAATEKEKAAKAAAAEKERSSVVTEERESGSSAEKDNSTEVVEEGNKGKQPNAGNGLDLEKYSWTQQLPEVNITVPVPEGTKSRFVVCEIKKNHLKVGLKGQPPIIDGELHKPVKVDDCFWSIEDGKSLSILLTKHNQMEWWKSVIKGDPEVDTQRVEPENSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMSEHPEMDFSRAKIA* >Brasy7G094900.1.p pacid=40047554 transcript=Brasy7G094900.1 locus=Brasy7G094900 ID=Brasy7G094900.1.v1.1 annot-version=v1.1 MDPLKKSNASCLKRKPTDDCLAKVSKSLRVEENVSSARVHSRSSSDSPPPSCCSRPNLANDCVNYLKSGAPNRFVLYKQGLWCDFPEKIVKPLVDAFKGGKSSVVVVMDDEALLVDFLSMTSVNLQTRKQRSVAWLDETNKWFFPSSFFDEGVDESAKLGMSIVEDGAQGFVGDKVMKYPSDVVNEAILEASPPVAHNSCALDILRKKIVHVERGSESFLFVQNIFLSGMGSFAMPNNILHIHRYSPKDITAQCRLEAFERQMRLTTEKFGNPNARYGWLGSTKQGIISVIVNGFASSGKTTHNTDMGAGIYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNTEVIKPGSQEEFLGIYDSGAVNCSNPNYYVMYPPQQSTHISLEYLISFRLAPKVQEYLHGLKGLWLCPPPTEVTMDLSTPQPVMCQTDKGPTSPWVSFRVLFEMIQGNISSLARKLLYHHYEELKENKITREEMVKKMMIIVGEKLLVDSLTKLKYNPSLWYNSPPKTANYPASTKMESISIVTRSMDTISATTRHDCPAPIVLHEKREPMDSTFGGSPATNAAFKGKHSPTPSMCSESSQSRYTNSQDPFAPTVAPLGHNALARSALLSVHACDSVGSSMESNGLDPLARSSSKSHDSHASRPILGNTASPSIKSLHSIAPSMTPEDDELLVTSIAHENSSSPDAHGIGPATSSIACQVYVPSMVPVLCSPSMAPHLCASRSMAPHPCAPKSMAPHLRMLSKLPKVHGKSTMPEANCSSAATPSSIVLPKGSGFLASSKECLTPSVILQGPDCAANSAPELRNTPNLKNVVPKNPTSQCGANKKFPGQGVEADSTVTQAAGTLVVLSANTGKGM* >Brasy7G094900.2.p pacid=40047555 transcript=Brasy7G094900.2 locus=Brasy7G094900 ID=Brasy7G094900.2.v1.1 annot-version=v1.1 MDPLKKSNASCLKRKPTDDCLAKVSKSLRVEENVSSARVHSRSSSDSPPPSCCSRPNLANDCVNYLKSGAPNRFVLYKQGLWCDFPEKIVKPLVDAFKGGKSSVVVVMDDEALLVDFLSMTSVNLQTRKQRSVAWLDETNKWFFPSSFFDEGVDESAKLGMSIVEDGAQGFVGDKVMKYPSDVVNEAILEASPPVAHNSCALDILRKKIVHVERGSESFLFVQNIFLSGMGSFAMPNNILHIHRYSPKDITAQCRLEAFERQMRLTTEKFGNPNARYGWLGSTKQGIISVIVNGFASSGKTTHNTDMGAGIYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNTEVIKPGSQEEFLGIYDSGAVNCSNPNYYVMYPPQQSTHISLEYLISFRLAPKVQEYLHGLKGLWLCPPPTEVTMDLSTPQPVMCQTDKGPTSPWVSFRVLFEMIQGNISSLARKLLYHHYEELKENKITREEMVKKMMIIVGEKLLVDSLTKLKYNPSLWYNSPPKTANYPASTKMESISIVTRSMDTISATTRHDCPAPIVLHEKREPMDSTFGGSPATNAAFKGKHSPTPSMCSESSQSRYTNSQDPFAPTVAPLGHNALARSALLSVHACDSVGSSMESNGLDPLARSSSKSHDSHASRPILGNTASPSIKSLHSIAPSMTPEDDELLVTSIAHENSSSPDAHGIGPATSSIACQVYVPSMVPVLCSPSMAPHLCASRSMAPHPCAPKSMAPHLRMLSKLPKVHGKSTMPEANCSSAATPSSIVLPKGSGFLASSKECLTPSVILQGPDCAANSAPELRNTPNLKNVVPKNPTSQCGANKKFPGQGVEADSTVTQAAGYLIVTL* >Brasy7G035000.1.p pacid=40047556 transcript=Brasy7G035000.1 locus=Brasy7G035000 ID=Brasy7G035000.1.v1.1 annot-version=v1.1 MWGNFFKRKQREDDEFGVISGYYNLGSASSSAPTPIHDSILTGEQYVSEVLKGHELICKREFRMEKAIFHKLVDLLRERSILQDTRGVAVEEQVAIFIYAVSIEICSGASNTAVRQ* >Brasy7G190800.1.p pacid=40047557 transcript=Brasy7G190800.1 locus=Brasy7G190800 ID=Brasy7G190800.1.v1.1 annot-version=v1.1 MKSEKRDQATARALSGRGDERTSPAVREEEGAEGGWGPGVGLQRQRGRPGSGVQRRRGRPGVGARRRRQGRPGMGHGGGGGREWGRGAGGGGRGGGTAAAVGEAGGGTRRRRRSRVGARCRRRRAGWGRGGGSGRGRGWGAAAAATGEEGGVREWERRRPQGKRICGPVDKVQGMRSEHCT* >Brasy7G037900.1.p pacid=40047558 transcript=Brasy7G037900.1 locus=Brasy7G037900 ID=Brasy7G037900.1.v1.1 annot-version=v1.1 MPEGEDSSNIVADTDADSLVVGVEHMNVQHNQDELTVWRRTDVEGVSGDASVIENARASPMPEPGDEDIADEDLDPDDTYIDAGVVAPVNIQDEDDQDFFV* >Brasy7G171100.1.p pacid=40047559 transcript=Brasy7G171100.1 locus=Brasy7G171100 ID=Brasy7G171100.1.v1.1 annot-version=v1.1 MGRRSSASPVGSAEPLLPEVFKGGVRGGGRGAAATMQRCASRADDELQWFRSCLRWVCMDHSAPGRAAMSWLLFLLLGVVVPAVAHFLLAFRASRRPFNAVVQLSLSAASAASFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKAWWYATSADRVPFFANDVLSDAVACSLEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGSMLVEVEEGRIGVERVLREHLDIRKQLKVISHRFRRFIVAALLIGTASQFASVLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVLDEEVDPGSNQNSMLEEYPESDSDCESSEETGDEDLLENTRFMLPHTVHVISFQKRQALVTYLENNKAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTVGFS* >Brasy7G071700.1.p pacid=40047560 transcript=Brasy7G071700.1 locus=Brasy7G071700 ID=Brasy7G071700.1.v1.1 annot-version=v1.1 MAFRSSFYTHPSSSMAAAPRFGARKPAAALSFSACAAAAHGCNPSSSHLSFAAANSNKVFEDQVRGIVCYRDDKGELVCEGYDEGPRLGMRLPEKACFPWPVGVQVTDFIHLATLRVFEDDANIRSSTRE* >Brasy7G187300.1.p pacid=40047561 transcript=Brasy7G187300.1 locus=Brasy7G187300 ID=Brasy7G187300.1.v1.1 annot-version=v1.1 MLLRAAAIRRAAVSAFSTVAARPETGLYGFDVLRTAKGFRRFVDEAIQRSDELVAYIAQLPPSAEIVNAMDEISNTVCSVIDSAELCRNTHPDREFVEEGDKASMRIYEHLQYLNSNSTLYNAILKAEGEGVLLSEEARKAATNLRADFEKGGIHLPKDKLERVNQLNLAIAQLGRKFNENVMNKPGFVDIYPASRIPRNMHHNFKPVSRFKPWGVEEVSNAMNTTRQKGLRIVTDSGTVSSALRWVSCEEVRRQVYITGNSEPRENIAVLDELIDARDELGKTMGCKSYAEFAIRPNMAASVDVVMSFLRDLSDIVRHKADEEFNTIQEFKRRICNQKSADLEPWDEDYFIGMMKSSANSVDLSVVASYFPLAQCIKGLNVLVESLFGATFHQIPMGDGESWHPDVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDSNYQLPIIALVCNFSSSKGLTPRLNHWDVETLFHEFGHALHSLLSRTDYQHFSGTRVALDVAEMPSNLFEFYAWDYRVLKTFALDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISAVADLKRKHTSWNYVEGTHWHTRFSHLINYGAGYYSYLYARCFATTVWQEVCQDDPLSRSTGRALRGKFLRFGGAKDPSALLKDFAGDSVIRNSGSGIIPDISSLCKEIGL* >Brasy7G187300.2.p pacid=40047562 transcript=Brasy7G187300.2 locus=Brasy7G187300 ID=Brasy7G187300.2.v1.1 annot-version=v1.1 MLLRAAAIRRAAVSAFSTVAARPETGLYGFDVLRTAKGFRRFVDEAIQRSDELVAYIAQLPPSAEIVNAMDEISNTVCSVIDSAELCRNTHPDREFVEEGDKASMRIYEHLQYLNSNSTLYNAILKAEGEGVLLSEEARKAATNLRADFEKGGIHLPKDKLERVNQLNLAIAQLGRKFNENVMNKPGFVDIYPASRIPRNMHHNFKPVSRFKPWGVEEVSNAMNTTRQKGLRIVTDSGTVSSALRWVSCEEVRRQVYITGNSEPRENIAVLDELIDARDELGKEFNTIQEFKRRICNQKSADLEPWDEDYFIGMMKSSANSVDLSVVASYFPLAQCIKGLNVLVESLFGATFHQIPMGDGESWHPDVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDSNYQLPIIALVCNFSSSKGLTPRLNHWDVETLFHEFGHALHSLLSRTDYQHFSGTRVALDVAEMPSNLFEFYAWDYRVLKTFALDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASKPMDTISAVADLKRKHTSWNYVEGTHWHTRFSHLINYGAGYYSYLYARCFATTVWQEVCQDDPLSRSTGRALRGKFLRFGGAKDPSALLKDFAGDSVIRNSGSGIIPDISSLCKEIGL* >Brasy7G187300.3.p pacid=40047563 transcript=Brasy7G187300.3 locus=Brasy7G187300 ID=Brasy7G187300.3.v1.1 annot-version=v1.1 MLLRAAAIRRAAVSAFSTVAARPETGLYGFDVLRTAKGFRRFVDEAIQRSDELVAYIAQLPPSAEIVNAMDEISNTVCSVIDSAELCRNTHPDREFVEEGDKASMRIYEHLQYLNSNSTLYNAILKAEGEGVLLSEEARKAATNLRADFEKGGIHLPKDKLERVNQLNLAIAQLGRKFNENVMNKPGFVDIYPASRIPRNMHHNFKPVSRFKPWGVEEVSNAMNTTRQKGLRIVTDSGTVSSALRWVSCEEVRRQVYITGNSEPRENIAVLDELIDARDELGKTMGCKSYAEFAIRPNMAASVDVVMSFLRDLSDIVRHKADEEFNTIQEFKRRICNQKSADLEPWDEDYFIGMMKSSANSVDLSVVASYFPLAQCIKGLNVLVESLFGATFHQIPMGDGESWHPDVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDSNYQLPIIALVCNFSSSKGLTPRLNHWDVETLFHEFGHALHSLLSRTDYQHFSGTRVALDVAEMPSNLFEFYAWDYRVLKTFALDETTGDPIPEKLVKALNASRNMFPATELQRQI* >Brasy7G147000.1.p pacid=40047564 transcript=Brasy7G147000.1 locus=Brasy7G147000 ID=Brasy7G147000.1.v1.1 annot-version=v1.1 MASNMQASGPPQPPRPPMMGSTAPPQNMGPPIPMQFRPAGPPQQPQFMQPVPQQFRPVGQAMPGANMGMPGQMPHFPQPGQHMPHSGHVPPASQAVPMAYQAARPMSSAPMQPQQQAVFPGGHMPTMGAPMPPPSYTYQPTSVPPVAQSWGTALGQSVPLVPPAVQPGHQSVSASLPSVSSTEPSSSDWQEHTSQDGKKYYYNKRTRQSSWEKPAELMTPLERADASTEWKEFTTAEGRKYYYNKLTKQSKWSIPDELKIARELAEKASNQQPDRETETNTSAPVGSASVPVEPSLPANQSSSVVGIIAPTTHDALANLPLPPDAPPGAGLSYNGDIASSHVDTQNGGTSASVVAPVTTSTGVSSVASDAGTSRNNYESSSRTITTDTKDGASAEDLEEAKKTMPTAGKINVTPLEEKTSEEEPVVYANKLEAKNAFKALLESANVESDWSWDQAMRVIINDKRYGALKTLGERKQAYNEYLNQRKKIEAEERRVKQRKARDDFLTMLEECKDLTSSTRWSKAITMFEDDERFNAVERPREREDLFENYLVELQKKEKAKAAEEHKRHVVEYRAFLESCDFIKASTQWRKVQDRLEDDERCSRLEKIDRLDVFQEYIRDLEKEEEEQKRIQKEHTRRQERKNRDEFRKMLEEHVADGTLTAKTHWRDYCAQVKDSRAYLAVASNMSGSMPKELFEDVTEELDKQYQDDKTLIKDELKSGKIHMTTSWTLEDFQAAVTGNDNCKGISNINIKLIYDDQIERLREKDLKEAKKRQRLGDNFLDFLYSIKEISATSTWEDSKPLFEDSQECRALDSETYARELFEECVVRLKERLKEKERMREEEKAKKEKEREEREKKKEKEKERKEKERKEKERDREKEREKEKGKDRSRREEMEIDGADMETHGSKDKKREKDKEKKHKRRHHDATDDLSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHEELEDGELGEDGEIH* >Brasy7G147000.3.p pacid=40047565 transcript=Brasy7G147000.3 locus=Brasy7G147000 ID=Brasy7G147000.3.v1.1 annot-version=v1.1 MASNMQASGPPQPPRPPMMGSTAPPQNMGPPIPMQFRPAGPPQQPQFMQPVPQQFRPVGQAMPGANMGMPGQMPHFPQPGQHMPHSGHVPPASQAVPMAYQAARPMSSAPMQPQQQAVFPGGHMPTMGAPMPPPSYTYQPTSVPPVAQSWGTALGQSVPLVPPAVQPGHQSVSASLPSVSSTEPSSSDWQEHTSQDGKKYYYNKRTRQSSWEKPAELMTPLERADASTEWKEFTTAEGRKYYYNKLTKQSKWSIPDELKIARELAEKASNQQPDRETETNTSAPVGSASVPVEPSLPANQSSSVVGIIAPTTHDALANLPLPPDAPPGAGLSYNGDIASSHVDTQNGGTSASVVAPVTTSTGVSSVASDAGTSRNNYESSSRTITTDTKDGASAEDLEEAKKTMPTAGKINVTPLEEKTSEEEPVVYANKLEAKNAFKALLESANVESDWSWDQAMRVIINDKRYGALKTLGERKQAYNEYLNQRKKIEAEERRVKQRKARDDFLTMLEECKDLTSSTRWSKAITMFEDDERFNAVERPREREDLFENYLVELQKKEKAKAAEEHKRHVVEYRAFLESCDFIKASTQWRKVQDRLEDDERCSRLEKIDRLDVFQEYIRDLEKEEEEQKRIQKEHTRRQERKNRDEFRKMLEEHVADGTLTAKTHWRDYCAQVKDSRAYLAVASNMSGSMPKELFEDVTEELDKQYQDDKTLIKDELKSGKIHMTTSWTLEDFQAAVTGNDNCKGISNINIKLIYDDQIERLREKDLKEAKKRQRLGDNFLDFLYSIKEISATSTWEDSKPLFEDSQECRALDSETYARELFEECVVRLKERLKEKERMREEEKAKKEKEREEREKKKEKEKERKEKERKEKERDREKEREKEKGKDRSRREEMEIDGADMETHGSKDKKREKDKEKKHKRRHHDATDDLSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHEELEDGELGEDGEIH* >Brasy7G147000.2.p pacid=40047566 transcript=Brasy7G147000.2 locus=Brasy7G147000 ID=Brasy7G147000.2.v1.1 annot-version=v1.1 MASNMQASGPPQPPRPPMMGSTAPPQNMGPPIPMQFRPAGPPQQPQFMQPVPQQFRPVGQAMPGANMGMPGQMPHFPQPGQHMPHSGHVPPASQAVPMAYQAARPMSSAPMQPQQQAVFPGGHMPTMGAPMPPPSYTYQPTSVPPVAQSWGTALGQSVPLVPPAVQPGHQSVSASLPSVSSTEPSSSDWQEHTSQDGKKYYYNKRTRQSSWEKPAELMTPLERADASTEWKEFTTAEGRKYYYNKLTKQSKWSIPDELKIARELAEKASNQQPDRETETNTSAPVGSASVPVEPSLPANQSSSVVGIIAPTTHDALANLPLPPDAPPGAGLSYNGDIASSHVDTQNGGTSASVVAPVTTSTGVSSVASDAGTSRNNYESSSRTITTDTKDGASAEDLEEAKKTMPTAGKINVTPLEEKTSEEEPVVYANKLEAKNAFKALLESANVESDWSWDQAMRVIINDKRYGALKTLGERKQAYNEYLNQRKKIEAEERRVKQRKARDDFLTMLEECKDLTSSTRWSKAITMFEDDERFNAVERPREREDLFENYLVELQKKEKAKAAEEHKRHVVEYRAFLESCDFIKASTQWRKVQDRLEDDERCSRLEKIDRLDVFQEYIRDLEKEEEEQKRIQKEHTRRQERKNRDEFRKMLEEHVADGTLTAKTHWRDYCAQVKDSRAYLAVASNMSGSMPKELFEDVTEELDKQYQDDKTLIKDELKSGKIHMTTSWTLEDFQAAVTGNDNCKGISNINIKLIYDDQIERLREKDLKEAKKRQRLGDNFLDFLYSIKEISATSTWEDSKPLFEDSQECRALDSETYARELFEECVVRLKERLKEKERMREEEKAKKEKEREEREKKKEKEKERKEKERKEKERDREKEREKEKGKDRSRREEMEIDGADMETHGSKDKKREKDKEKKHKRRHHDATDDLSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGAHEELEDGELGEDGEIH* >Brasy7G106400.1.p pacid=40047567 transcript=Brasy7G106400.1 locus=Brasy7G106400 ID=Brasy7G106400.1.v1.1 annot-version=v1.1 MGGIPRIQAAAAAVFFFFFILLLSPPRPVWCADLYAVVYKGCANQTFPGGSPPATVAALSSTLATQSASAKFYKTSSAPVSSSSAAVFGLFQCRGDLSGPDCSSCVSRAMSSWSQLCGPSVAARVQLNGCLALYEVAGFPQVSGTQMLFKTCGTGGGGGGDGDFEMRRDTAFSQLEGGAGTTAGGFFATSYQQVYALAQCEGDLSNVDCSNCITQAVQHVEVECGGAPSGQVYLDKCYITYSYYPHGVPHGGGLGGQQTAKTVAIVLGGALALGFLVICLLFARSLVKKKDDY* >Brasy7G053700.1.p pacid=40047568 transcript=Brasy7G053700.1 locus=Brasy7G053700 ID=Brasy7G053700.1.v1.1 annot-version=v1.1 MTDLHMSTKLIGNGNAIGERALRQVLSPCGGGGTVPPVCLVSGSSVCTGIGSPSVPPSVLTVRFPSPRRNPLFLFPCQSPTPPVSSQSPPAKLRAVPAAAGPSCSSAAAGGGKRPGGRWSSWPCGFVAAAAPSGRIRRRVKQIRGSPFSSLSPSSRCWSGAFYIGGRASDGGVGAVGLVEVPGPQPGTDRLAASAFMRTGARVPVVEGALDPVSAGWLLGHRASLPSPLSADHRELQIFFDY* >Brasy7G134200.1.p pacid=40047569 transcript=Brasy7G134200.1 locus=Brasy7G134200 ID=Brasy7G134200.1.v1.1 annot-version=v1.1 MSADDIEIIDLFCLVLLLWNFFSLLFVVRIYLNVSNDWHTAVAYQGRFHSADFELLNLPKCFMPSLDFIDGHVKPVVGRKINRMKAGITECDLVLAVSPRYVKELTSGPAKGVELTSYKAPRDWNYKWHECS* >Brasy7G156700.1.p pacid=40047570 transcript=Brasy7G156700.1 locus=Brasy7G156700 ID=Brasy7G156700.1.v1.1 annot-version=v1.1 MEEQMRRPAVVKKIAVAEKKPSFLHAGVALAKGGGEAPAVMVTTPTAAAQAAAEAKHGLASPRACLCSPTTHAGSFRCRLHRGSFGSGLHEMGKKKPAGA* >Brasy7G192300.1.p pacid=40047571 transcript=Brasy7G192300.1 locus=Brasy7G192300 ID=Brasy7G192300.1.v1.1 annot-version=v1.1 MSGGEGTSNGASNMMQAGADAPKEEELIRMARSKLRSVDESHFTPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYNKRAKTMDELNELLAKAKACYPDLSIRNNRLFEIEDHKEFVQMLLHDGCYVLSFLVDYKSTSESASDEAGSGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNKDRSVLDDLSPCVWLLLKDRLHTSGRPRPLPASDDVPSTLLHLVHAYFMPTEKGHLVLDVVPEAQPTAAAQSADTETSTAAQDDAVESPRDNNAEGAPSTAAAQGGDTVVRPRVPSPRRRMGRWRRATEYCRYGNVKLKRLHLADDDGEAHSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFADLCREVVLDVDSPDENYLNPTWRQLHELCQSKLNNFRGFP* >Brasy7G115500.1.p pacid=40047572 transcript=Brasy7G115500.1 locus=Brasy7G115500 ID=Brasy7G115500.1.v1.1 annot-version=v1.1 MLAVSTPRCGADAAGVELETVGGHFDMDQLDFTVDDIDFGDFFLRLEHGDSLPDLEVDDDLAADIFTDFEAAVATGGAVEELQDQEVPCDELLPGALHDDVGPVSPTGGVIGAVENMAFAEAADDGEEKEGCNQAMEDGNVNCNIGGNGAAAEDAKSPCSTSSQEAESRHKSSRKKNSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRHAYAAGGPAKKQETSMWTVPTIGFSPSPAPLPPPPPPHPAMQHFVRPLHVWGHPSTDPHSPRVAVWPPRHPASPRGPVPPPSWATVPAPPPFWHHPYMRGPAHAYMPTHGAPCVAMPMAPAKFPAPPLVPGVMPSPVYAPLATPASKKQQDSQLQLQAQPSNESIDAIGDVLTKPWLPLPLGLKPPSLGSVMGELQRQGVSDVPQACG* >Brasy7G115500.2.p pacid=40047573 transcript=Brasy7G115500.2 locus=Brasy7G115500 ID=Brasy7G115500.2.v1.1 annot-version=v1.1 MLAVSTPRCGADAAGVELETVGGHFDMDQLDFTVDDIDFGDFFLRLEHGDSLPDLEVDDDLAADIFTDFEAAVATGGAVEELQDQEVPCDELLPGALHDDVGPVSPTGGVIGAVENMAFAEAADDGEEKEGCNQAMEDGNVNCNIGGNGAAAEDAKSPCSTSSQEAESRHKSSRKKNSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRHAYAAGGPAKKQETSMWTVPTIGFSPSPAPLPPPPPPHPAMQHFVRPLHVWGHPSTDPHSPRVAVWPPRHPASPRGPVPPPSWATVPAPPPFWHHPYMRGPAHAYMPTHGAPCVAMPMAPAVSDLFRHACKHLKISCSSTGPRCHAEPSVRAPRHTSEQETTRFTAPTTSTTIKREHRRHW* >Brasy7G068500.1.p pacid=40047574 transcript=Brasy7G068500.1 locus=Brasy7G068500 ID=Brasy7G068500.1.v1.1 annot-version=v1.1 MEYAMFGSRRVDDGMPLKRQMTVRFHDERTKPTMPVHQKQAGLAARKLGVGNFGKNRIFVAGHGLCNKKIIDPTSDFILIWNYVFRIACFVALFMDPLYFYVPKVDYGTHTSCIGKDRHLAIIITVFRSIADLFYVTQIVIKFMTAYINPSTKVGGFGRGDLVTDPKEIAKMYLRSDFAVDLVASLPLPQIITWSVVPAIKYSWSEHNNAILFLVALFQYFLRLHLIFSLNTKIVKVTGAFSKTAWQGAAYNLLLYMTASHVLGALWYLLSVDRQTACWQKYCSNETSCNNTYMSCDVKPDPNWATSTTIFNTCDASKKKPSFDYGMFQTLLSNKAPSQRFLRKYFYCLWWGLQNLSCYGQTLSVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRVKQRDTEEWMRHRQLPQELRQRVKRFIHYKWLATRGVDEASILKALPVDLRRDINRHLCLDLVRRVPFFSQMDDQLLDAICGRLVSSLSTKGTFTVREGDPVTEMLFIICGKLESSTTDGGRTGFFNSITLKAGDFCGEELLGWALVPRPTVNLPSSTRTVKALVEVEAFALQADDLKFVASQFRRLHSRKLQHTFRYYSHQWRTWATCFIQATWRRHKRRKLAKDLITRESFSSTRSYEDNKFLEHNFALKTAVRKESDRLRELPKFRKPSEPDFSAEHDD* >Brasy7G042700.1.p pacid=40047575 transcript=Brasy7G042700.1 locus=Brasy7G042700 ID=Brasy7G042700.1.v1.1 annot-version=v1.1 MDRFPDRRHVRLRSREQGWYLHATTDGMEVCLNRDRRSVNAAWTVHLVNGGDGVRLLLHSAAYGRYLAATNKKAPRGHRGFSTKLLEYYEFAGDESVRWEAVMSGSKEDVQLRHVEADGKFRYLRANGKYRFWKDKVTVHRKNLSTMTHWVVELIPLSQGFPGIPGPIDEPVPSNFGMMLGRELPPWRLIKYVRAADDGSYNQQANAWTTIQFRGNSVGTLRNELASRTGITDVDFVMCIQAGRQGRLTPVLVNMPREGHGDTVYIVIIVSGTPGETLYMTCPQLPTVLLLQM* >Brasy7G119400.1.p pacid=40047576 transcript=Brasy7G119400.1 locus=Brasy7G119400 ID=Brasy7G119400.1.v1.1 annot-version=v1.1 MDKNGGGAPNTGAPAAAAAEEVPPVAEVREQDRLMPIANVTRIMRRVLPPQAKISDNAKELIQECTSEFISFLTGEANEHCQKERRKILTAEDILWAMDNLGFDDYVQPFTAYLQRMRVIENNGAGVGVNNPLAAAAGPRAPAPPLVPVPVAAAAQGLMQMQRGAMYAPRPPAPVQQQGYATGAMPVQVRAPAPVVGGQQGNVLGGQRPVGDGEGSSRGEKAADGEGSSRGAGDVGDEASSSPN* >Brasy7G032200.1.p pacid=40047577 transcript=Brasy7G032200.1 locus=Brasy7G032200 ID=Brasy7G032200.1.v1.1 annot-version=v1.1 MAITAQTPDIMGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIASELLKRANELVRNKIHPTSIISGYRLAMREGCKYVEEKLSVKVDKLGKDSLINCAKTSMSSKLIHTDSDFFANLVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKESYLLNGYALNSGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDSSFLGLADEVVEERISDDEIILVKGTKNTSAVSIILRGANDFMLDEIERSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHYSSMGLDLVKGIVRNNLEYGVIEPAMSKVKIIQFATEAAITIVRIDDMIKLVKEESGNEE* >Brasy7G218700.1.p pacid=40047578 transcript=Brasy7G218700.1 locus=Brasy7G218700 ID=Brasy7G218700.1.v1.1 annot-version=v1.1 MCGNDNWINSYLDAILDAGKGSGGGGGVGGGGGGGGGGELRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWSRANAMRSPQERNTRLENMTWRIWNLARKKKEVEEANRLLKRRLETEKPRNDATAEMSEDLFEGEKGEDAGDASVAYGDSSTGNTPKISAVDKLYIVLISLHGLVRGENMELGRDSDTSGQVKYVVELAKALSSCPGVYRVDLLTRKILAPNYDRGYGEPSETLVPTNSKNLKHERRENSGAYIIRIPFGPKDKYLAKEHLWPYIQEFVDGALSHIVHMSKIIGEEIGCGHPVWPAVIHGHYASAGVAAALLSGALNVHMVFTGHFLGKDKLEGLLKQGRQTREEINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWHLYDGFEVMLARKLRARVKRGANCYGRYMPRMVIIPPGVEFGRMIQDFDMDGEEVSPSPASEDPPIWSEIMRFFTNPRKPLILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVLDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLSPRYPAFASSEDQIKAPIKGRKYIIVIAVDSASKNDLVCIIRNSIEATRTETLSGSTGFVLSTSLTMAEIHSLLISGGMVPTDFDAFICNSGSDLFYPSQAGDSPSTSRVTFALDRNYQSHIEYHWGGEGLRKYLVKWASSVVERRGRMEKQVIFEDAEHSSTCCLAFRVVNPNYLPPLKELQKLMRVQSLRCHALYNHSATRLSVIPIHASRSQALRYLSVRWGIELPNVAILVGETGDSDYEELFGGLHKTVVLKGEFNTPANRIHNVRRYPLQDVIALDCSNIIGVEGCSTDDMMSTLNKIGIPTK* >Brasy7G127800.1.p pacid=40047579 transcript=Brasy7G127800.1 locus=Brasy7G127800 ID=Brasy7G127800.1.v1.1 annot-version=v1.1 MARGRKRIEKLKLSALYSFALCGKSSSEDHSKIGTTGFSRVVYVNDPNRHAEEGFRYPLNEVATTKYTLVTFLPKSLFEQFRRVANFYFLVTGVLTLTRLAPYSAVSALLPLCVVITATMVKEGVEDWRRKQQDHELNNRIVKVHRGNGIFEETKWKYIKIGDVIKVEKDNFFPADLILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLQEDTSFRNFRQIIKCEDPNANLYSFIGTMEWNNMQHPLSLQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNATDPPSKRSKIEKKMDKIIYLLLCSLLVIALLGSVFFGIWTKDDLRNGEPKRWYLRPDDSTVFYDPKRAALASFFHLLTALMLYNYFIPISLYISIEMVKILQALFINQDIEMYDEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVEKAMALRKGVLLDDEVESGGQKEKQIDESSHVKGFNLKDPRIMDGNWIHEPNRDVIRDFFRLLAICHTCIPEVDETDKVSYEAESPDEAAFVIAARELGFEFYKRAQTSIVVRERDPNQNVLHHQYRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFSRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRVLDEKEYQKFAEKFRTAKISGSADRDEKIEEAADSIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEAPDILALEKSGDKHSIAKASKQSVMDQIEDGTKQIPTLSQSSTESFALIIDGKSLTYALEDDTKFKFLDLAVKCASVICCRSSPKQKALVTRLVKHSHKVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDIAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLRYPELYQEGVQNVLFSWRRILGWMLNGVINAILIFFFCTTALNDQAFRQDGQVAGLDALGAVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIVVWYLFLLAYGAVDPKYSTTAYMVFIEQLAPALSYWLVTLFVVLATLIPYFCYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSHPRMVGISARRDGKAMQVTKGTDLEVEG* >Brasy7G127800.2.p pacid=40047580 transcript=Brasy7G127800.2 locus=Brasy7G127800 ID=Brasy7G127800.2.v1.1 annot-version=v1.1 MARGRKRIEKLKLSALYSFALCGKSSSEDHSKIGTTGFSRVVYVNDPNRHAEEGFRYPLNEVATTKYTLVTFLPKSLFEQFRRVANFYFLVTGVLTLTRLAPYSAVSALLPLCVVITATMVKEGVEDWRRKQQDHELNNRIVKVHRGNGIFEETKWKYIKIGDVIKVEKDNFFPADLILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLQEDTSFRNFRQIIKCEDPNANLYSFIGTMEWNNMQHPLSLQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNATDPPSKRSKIEKKMDKIIYLLLCSLLVIALLGSVFFGIWTKDDLRNGEPKRWYLRPDDSTVFYDPKRAALASFFHLLTALMLYNYFIPISLYISIEMVKILQALFINQDIEMYDEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVEKAMALRKGVLLDDEVESGGQKEKQIDESSHVKGFNLKDPRIMDGNWIHEPNRDVIRDFFRLLAICHTCIPEVDETDKVSYEAESPDEAAFVIAARELGFEFYKRAQTSIVVRERDPNQNVLHHQYRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFSRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRVLDEKEYQKFAEKFRTAKISGSADRDEKIEEAADSIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEAPDILALEKSGDKHSIAKASKQSVMDQIEDGTKQIPTLSQSSTESFALIIDGKSLTYALEDDTKFKFLDLAVKCASVICCRSSPKQKALVTRLVKHSHKVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDIAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLRYPELYQEGVQNVLFSWRRILGWMLNGVINAILIFFFCTTALNDQAFRQDGQVAGLDALGAVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIVVWYLFLLAYGAVDPKYSTTAYMVFIEQLAPALSYWLVTLFVVLATLIPYFCYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSHPRMVGISARRDGKAMQVTKGTDLEVEG* >Brasy7G236200.1.p pacid=40047581 transcript=Brasy7G236200.1 locus=Brasy7G236200 ID=Brasy7G236200.1.v1.1 annot-version=v1.1 MHSGAEPTRDFAKITSICHRVRIGTLGIFEIITSTATAVLPVHPHGETLAAATPLPRRSLRPYSPLGTAYTRPRVRFPAPPRSLPSWPPPPATPGAQKRSSISG* >Brasy7G011600.1.p pacid=40047582 transcript=Brasy7G011600.1 locus=Brasy7G011600 ID=Brasy7G011600.1.v1.1 annot-version=v1.1 MAGGGGFFTVNHSVRALQANRCVTGKVAAADLQGRCSGRGRGRGIRGLRLRRWKGRRRRPAMCWWFAAAMAGGDWRAPLRRQPQRQGDLARSRLPSNRYPPLRSPPWFTTGAFQSDIRISFALSSIDHIQLRGVPLVTILLPWSAS* >Brasy7G210600.1.p pacid=40047583 transcript=Brasy7G210600.1 locus=Brasy7G210600 ID=Brasy7G210600.1.v1.1 annot-version=v1.1 MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG* >Brasy7G077300.1.p pacid=40047584 transcript=Brasy7G077300.1 locus=Brasy7G077300 ID=Brasy7G077300.1.v1.1 annot-version=v1.1 MQAFLCTNAAGAAAGLPLQRRCTSSPHTVAPAARISHRRRSSLAARGLRCAGWDFPNPLFVGVTVEKTVTVPFASLEQSLVPVDSVGDEALASKFGFKEISAYVMYGSGAFFAGWILSAVVSAIDSVPLLPKILEIVGLGYAIWFSIRYLIFKENRDELLLKVGDLKRRVIGSGDE* >Brasy7G160500.1.p pacid=40047585 transcript=Brasy7G160500.1 locus=Brasy7G160500 ID=Brasy7G160500.1.v1.1 annot-version=v1.1 MGDPYTNFLRGYSLRYSSNPAVAAAASSSFPSYSSYIHPHAAAAPSSPPIREALPLLSLTPSSPHPGHHDQDHKILMTTSCSNNQQAELAADHQAAAAGEVTVALHIGLPSPSHSDQAAAAGEEQQEEAAAGSGGRSLQQQEEEGEEEDQEAGTMALGVGCASLGIGRLTKGQYWIPTPSQILIGPTQFSCPVCHKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRSRPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAPCGIDCFDDDDGLLGDDDDPSSEVDHTVVTTTAGSRHWKAAGGNNNLDGHIVSRATAAGPGK* >Brasy7G101800.1.p pacid=40047586 transcript=Brasy7G101800.1 locus=Brasy7G101800 ID=Brasy7G101800.1.v1.1 annot-version=v1.1 MEEIMREPGTIGNKGGRGPTELTKFWQKHGPNNKVDLVFNHVYKNWVMKSASKKWRAFKATLKREYCNEKLTIKQNIDNGCNERIPKRQWRWLVKHWKTEKAMAIANKNKETRGYQENSCHAAGSRSFAVIQDQMDNSEVKEVKEQLPKEVKEQVPKEVKEQVRKEVKEQLPKEVKEQLESGLETSKEVEIGTKAVDEKKKSRKKKVQVKGQLPKDNVEGSQKSYKQLQSGLEIFKEVKIEMVEKRNAEQKDEPSYYKFFAKNVEGGDYVEVLVNIVLKNTTILPQVQGRATCLEHAQSLCILWPRKNVADPDISADHAGIISDMAANLRFHSKPKLLSENEDPQIGDDKPAKRRRTASTTTRKSNSKKGSQANVKVNSQTWY* >Brasy7G178300.1.p pacid=40047587 transcript=Brasy7G178300.1 locus=Brasy7G178300 ID=Brasy7G178300.1.v1.1 annot-version=v1.1 MADGQDLGEAAAVEPDPLPNLEQGQTDLEEGGEGEAGGGGSEEEEDVDVDGLASFLEFEILSGSGAEDPPDQQDEEEREEPVKDDVRKGKRKQDSQSDGDGSGSGSGSEEEHQKRARREKGKGKALTPVQPQIDTGTFSNVPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLSSNAISHAKLQECAWKNLYIQQDREDMVEFVRYTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTEDAVKGHSCSGSTCFYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLVCTISGHCFERFLCPDDEWDTCDTDQQQGGVTDEAEPFLGSGRFARAYLLGYNCADEKELEYALRFC* >Brasy7G178300.2.p pacid=40047588 transcript=Brasy7G178300.2 locus=Brasy7G178300 ID=Brasy7G178300.2.v1.1 annot-version=v1.1 MADGQDLGEAAAVEPDPLPNLEQGQTDLEEGGEGEAGGGGSEEEEDVDVDGLASFLEFEILSGSGAEDPPDQDEEEREEPVKDDVRKGKRKQDSQSDGDGSGSGSGSEEEHQKRARREKGKGKALTPVQPQIDTGTFSNVPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLSSNAISHAKLQECAWKNLYIQQDREDMVEFVRYTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTEDAVKGHSCSGSTCFYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLVCTISGHCFERFLCPDDEWDTCDTDQQQGGVTDEAEPFLGSGRFARAYLLGYNCADEKELEYALRFC* >Brasy7G105000.1.p pacid=40047589 transcript=Brasy7G105000.1 locus=Brasy7G105000 ID=Brasy7G105000.1.v1.1 annot-version=v1.1 MGFHKRAAAAGGSSIIQVSSRRLVKASDTSIQPHVAAVSHLDLLTNCAQASVRCVYRKPTTTGDNLGFAAVVAAFEAHLPSLLNYFFSLAGRIVIDPGSGIPEVHCHNQGAELVVGHADLELCGMDWGLPEESLGRIQVPYAEELPLSVQLLSFACGGFAVVWATNTLIGDGNVGVMLVRMWSELSRTGTLSWGGPTHDRSVFSKPRDPPSYGPKVASMFTPWDHEHQVNALTAEESFVGRLYYVEARDIARLRADADADAGAGRQRAATRVQAVSAYLWKVLARIVATSKLLSEDEKRCRLLWWVDGRRRFSAPELRAKLQNYAGNVTSYVVADAAADRVLGEPMSGVAGMVRDAIAKVDYDEMYQQMVDWMEVHKPGRFVETSTVGLGSPTLAQTMWSSFKDDTDFGFGKAALAMPAESSLGRLCMAMLSISAKPGDPGTWLVSACIWPRLAAALESDPLRIFKPLNAEYLGFTPAATARPRL* >Brasy7G003800.1.p pacid=40047590 transcript=Brasy7G003800.1 locus=Brasy7G003800 ID=Brasy7G003800.1.v1.1 annot-version=v1.1 MSGGPAASGGLRAALSYCVQQVRSYDYHNYLCLLHLPPSMRRAAFTFRAFNVETAKAMDVVSDPRKGLMRLLWWKDVIDKIYANKLVEHPVALALSSVLSEQKISKHWLKRSLEARINDGNRDEYAIPETIAELERYSEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVNKQGKIPYIPASVAEECGLLRQEGGRSEVRMGDTLPDAVFKVASVAEAHLQKARELASSLPAQAAPVLLPAVPTQVLLDSLRRREFNVFDSRLSKGVHGISPLWYQLKLSWHAWRNKY* >Brasy7G136200.1.p pacid=40047591 transcript=Brasy7G136200.1 locus=Brasy7G136200 ID=Brasy7G136200.1.v1.1 annot-version=v1.1 MVAASPLPLHLALPLLLFAAHAAVGSAAGAVAVSSGGQLWCVAKNNAEDGALQSAIDWACGPNGGADCRAIQLGGACYEPPDLLAHASYAFNDYFLRSGGAANPAACDFSGAAALIALNPSHGNCVFPSRGKRSRFLGCCRVIADLEPAKRARGARSKPQVDAVDVVDVSTRRQQLPHVADLDHAEADRALCGGRHITLAAVPDETVVHERGKKARSRREWLVLL* >Brasy7G201200.1.p pacid=40047592 transcript=Brasy7G201200.1 locus=Brasy7G201200 ID=Brasy7G201200.1.v1.1 annot-version=v1.1 MVPRGYPAPAGWPGYPVPPSAFVPTQGYAAPPGQYPPHGQPGGYPPAGYPQQPGCPQQAGYAVHGKHKGGGFGGFLGGAVATAAAIGIAALSEGKIKHKDMKKYGKFKWK* >Brasy7G123800.1.p pacid=40047593 transcript=Brasy7G123800.1 locus=Brasy7G123800 ID=Brasy7G123800.1.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTVDREGNVRPINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQARSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDMLSLPRAPLLSENGEAPSLEMDSCIMVAEQALEIQQSKGSAS* >Brasy7G123800.2.p pacid=40047594 transcript=Brasy7G123800.2 locus=Brasy7G123800 ID=Brasy7G123800.2.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTVDREGNVRPINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDMLSLPRAPLLSENGEAPSLEMDSCIMVAEQALEIQQSKGSAS* >Brasy7G123800.3.p pacid=40047595 transcript=Brasy7G123800.3 locus=Brasy7G123800 ID=Brasy7G123800.3.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTVDREGNVRPINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDMLSLPRAPLLSENGEAPSLEMDSCIMVAEQALEIQQSKGSAS* >Brasy7G123800.4.p pacid=40047596 transcript=Brasy7G123800.4 locus=Brasy7G123800 ID=Brasy7G123800.4.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQGGLVKAGLDVAQIWLDGDETLVNTVDREGNVRPINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDMLSLPRAPLLSENGEAPSLEMDSCIMVAEQALEIQQSKGSAS* >Brasy7G123800.5.p pacid=40047597 transcript=Brasy7G123800.5 locus=Brasy7G123800 ID=Brasy7G123800.5.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDMLSLPRAPLLSENGEAPSLEMDSCIMVAEQALEIQQSKGSAS* >Brasy7G123800.6.p pacid=40047598 transcript=Brasy7G123800.6 locus=Brasy7G123800 ID=Brasy7G123800.6.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPVRWFSKSRS* >Brasy7G123800.7.p pacid=40047599 transcript=Brasy7G123800.7 locus=Brasy7G123800 ID=Brasy7G123800.7.v1.1 annot-version=v1.1 MEMVECSLAAARGPRPLLGRVPRPSPAVLGAGRARLRVRSERRGQPPPVVRSSPPPEHDGRALRKAAVGLAAAAVVSLNGFAGDFSTPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINKEPGSGRLLVMNCIEGGPADRAGIREGDELVDIDGNSVFGLDGETVAQRLRGRAGTTVEVKLLDGTGNDRSGRTRQKEVQLRREVINLSPVSTAIISHSSGDGHEEKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPVRWFSKSRS* >Brasy7G029400.1.p pacid=40047600 transcript=Brasy7G029400.1 locus=Brasy7G029400 ID=Brasy7G029400.1.v1.1 annot-version=v1.1 MLGALITPIKVHNVCETLQKHRPSIIALSETNKEIFDVPFLSSIVKYGSFVWNWLPATGTAGGVLVGIDDDFLEVVSWSIRTFSVICSIRNRKDNFSWVLVSVYGSAYEEYKQEFIDELHDICSAVTLPLVVCGDFNLTRSSNERNTGNIQISWADKFNDWINSYGLMELKLAKWV* >Brasy7G166600.1.p pacid=40047601 transcript=Brasy7G166600.1 locus=Brasy7G166600 ID=Brasy7G166600.1.v1.1 annot-version=v1.1 MDADLDLDALLASFDGESAVSSLFPPPPPDAEAGSPESVSSRSNPAGEEVLSEIERFLMEEAAEAEGVEGISVEEFFDALFDGAEGAEKAKESEAGGSTDGDSGREEERVEVLTPETEADVDKVDGDDPISKKKKRQMRNRDSAMKSRERKKTYVKDLEVKSKYLEAECRRLSYALQCCAAENVALRQSMLKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLTPSLPNRSPVAPRSVGRDLVMVAGKLSSDQPETLELLLHGRRQRGKRGRIKLDTLPFHAAAAAC* >Brasy7G192700.1.p pacid=40047602 transcript=Brasy7G192700.1 locus=Brasy7G192700 ID=Brasy7G192700.1.v1.1 annot-version=v1.1 MQARAGDAPKEELLIRMARSKLRSVDESQFKPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLANRHRHEFVQMLLHDGCYVLSFLVDYKSASDEAGSGPRPAVVVREENWVMRDALFLLENQIPWFVLEKLHHCIVGNAARSVLGDLSPYVGRLLKDRLHSSGRPLPASHDVPSTLLHLVYDYFMPTQKDNSTGAQGDPAIDLELGVVPEAPSTAAVQGGDTDVRPRVPSPRHHMDRWRRATEYCRYGNVNVKLKRLNLADDDGGARSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFAVLCREVVLDVDSPDKNYLNPTWRQLQELCQSKLNNFRGFFREIHCANDVHIFAFVGAVLLFLFQLAQVMLAGFSLHNQQPK* >Brasy7G150200.1.p pacid=40047603 transcript=Brasy7G150200.1 locus=Brasy7G150200 ID=Brasy7G150200.1.v1.1 annot-version=v1.1 MEFSSSEDDELVEDFMDVEDNTGTGNVDQGTGVVTSQTLCVDHSVGNELLMAADGLGKNGEPCISMEFESDAAARAFYNAYALRLGFGIRVARSRSERRKGVEVLVMKRFVCMKEGHHKKKAVESSNKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVVVSPDKVREVQLNRLSGKEHDNQLLVVRRNVFGDTDAYGLFSYFMRKQSENSGFFYNIQVDSTNCLRNAVWVDARSKMAYTYFGDVVYFDTTYSENENMLPFAAFTGVNQHGDSVVFGCALILDRTESSYAWIFQTWLTAMDKRLPFSFTTDEGKAMTEAVAKVFPQCFHRLCRWGILSRCKKKLSDTYMRFPGLHDELKTCINECDAMPVFDMFWDSILDKYGLRENTWLQYLFEARHKWVPAYLTSSFFAELSLAHRAETVSTFYRNNFTTKAPLLALISRFDQHVDRSYTNEAQKDLALFHPEQLLKTNSILEKQAGIIYTRAAFEMFQMELIEALHQYAVKVQDGSYTRYYVERDGDPRTRHTVVYNITENKAWCDCCRFSFSGVPCRHVLAVFISADVAMLPEPCITKRWTKKAKTGPESVGRSLEDEICHTDSATKRFNDLVRDAMKCAEKGAVSAGSFRVAKEVLRKAFGQIGKLTRAGPQQVGNSR* >Brasy7G150200.2.p pacid=40047604 transcript=Brasy7G150200.2 locus=Brasy7G150200 ID=Brasy7G150200.2.v1.1 annot-version=v1.1 MEFSSSEDDELVEDFMDVEDNTGTGNVDQGTGVVTSQTLCVDHSVGNELLMAADGLGKNGEPCISMEFESDAAARAFYNAYALRLGFGIRVARSRSERRKGVEVLVMKRFVCMKEGHHKKKAVESSNKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVVVSPDKVREVQLNRLSGKEHDNQLLVVRRNVFGDTDAYGLFSYFMRKQSENSGFFYNIQVDSTNCLRNAVWVDARSKMAYTYFGDVVYFDTTYSENENMLPFAAFTGVNQHGDSVVFGCALILDRTESSYAWIFQTWLTAMDKRLPFSFTTDEGKAMTEAVAKVFPQCFHRLCRWGILSRCKKKLSDTYMRFPGLHDELKTCINECDAMPVFDMFWDSILDKYGLRENTWLQYLFEARHKWVPAYLTSSFFAELSLAHRAETVSTFYRNNFTTKAPLLALISRFDQHVDRSYTNEAQKDLALFHPEQLLKTNSILEKQAGIIYTRAAFEMFQMELIEALHQYAVKVQDGSYTRFSFSGVPCRHVLAVFISADVAMLPEPCITKRWTKKAKTGPESVGRSLEDEICHTDSATKRFNDLVRDAMKCAEKGAVSAGSFRVAKEVLRKAFGQIGKLTRAGPQQVGNSR* >Brasy7G150200.4.p pacid=40047605 transcript=Brasy7G150200.4 locus=Brasy7G150200 ID=Brasy7G150200.4.v1.1 annot-version=v1.1 MEFSSSEDDELVEDFMDVEDNTGTGNVDQGTGVVTSQTLCVDHSVGNELLMAADGLGKNGEPCISMEFESDAAARAFYNAYALRLGFGIRVARSRSERRKGVEVLVMKRFVCMKEGHHKKKAVESSNKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVVVSPDKVREVQLNRLSGKEHDNQLLVVRRNVFGDTDAYGLFSYFMRKQSENSGFFYNIQVDSTNCLRNAVWVDARSKMAYTYFGDVVYFDTTYSENENMLPFAAFTGVNQHGDSVVFGCALILDRTESSYAWIFQTWLTAMDKRLPFSFTTDEGKAMTEAVAKVFPQCFHRLCRWGILSRCKKKLSDTYMRFPGLHDELKTCINECDAMPVFDMFWDSILDKYGLRENTWLQYLFEARHKWVPAYLTSSFFAELSLAHRAETVSTFYRNNFTTKAPLLALISRFDQHVDRSYTNEAQKDLALFHPEQLLKTNSILEKQAGIIYTRAAFEMFQMELIEALHQYAVKVQDGSYTRFSFSGVPCRHVLAVFISADVAMLPEPCITKRWTKKAKTGPESVGRSLEDEICHTDSATKRFNDLVRDAMKCAEKGAVSAGSFRVAKEVLRKAFGQIGKLTRAGPQQVGNSR* >Brasy7G150200.5.p pacid=40047606 transcript=Brasy7G150200.5 locus=Brasy7G150200 ID=Brasy7G150200.5.v1.1 annot-version=v1.1 MEFSSSEDDELVEDFMDVEDNTGTGNVDQGTGVVTSQTLCVDHSVGNELLMAADGLGKNGEPCISMEFESDAAARAFYNAYALRLGFGIRVARSRSERRKGVEVLVMKRFVCMKEGHHKKKAVESSNKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVVVSPDKVREVQLNRLSGKEHDNQLLVVRRNVFGDTDAYGLFSYFMRKQSENSGFFYNIQVDSTNCLRNAVWVDARSKMAYTYFGDVVYFDTTYSENENMLPFAAFTGVNQHGDSVVFGCALILDRTESSYAWIFQTWLTAMDKRLPFSFTTDEGKAMTEAVAKVFPQCFHRLCRWGILSRCKKKLSDTYMRFPGLHDELKTCINECDAMPVFDMFWDSILDKYGLRENTWLQYLFEARHKWVPAYLTSSFFAELSLAHRAETVSTFYRNNFTTKAPLLALISRFDQHVDRSYTNEAQKDLALFHPEQLLKTNSILEKQAGIIYTRAAFEMFQMELIEALHQYAVKVQDGSYTRFSFSGVPCRHVLAVFISADVAMLPEPCITKRWTKKAKTGPESVGRSLEDEICHTDSATKRFNDLVRDAMKCAEKGAVSAGSFRVAKEVLRKAFGQIGKLTRAGPQQVGNSR* >Brasy7G150200.3.p pacid=40047607 transcript=Brasy7G150200.3 locus=Brasy7G150200 ID=Brasy7G150200.3.v1.1 annot-version=v1.1 MEFSSSEDDELVEDFMDVEDNTGTGNVDQGTGVVTSQTLCVDHSVGNELLMAADGLGKNGEPCISMEFESDAAARAFYNAYALRLGFGIRVARSRSERRKGVEVLVMKRFVCMKEGHHKKKAVESSNKKKRKRLSIRDGCPAMMEVVRRGPEKWVITKLVLEHTHVVVSPDKVREVQLNRLSGKEHDNQLLVVRRNVFGDTDAYGLFSYFMRKQSENSGFFYNIQVDSTNCLRNAVWVDARSKMAYTYFGDVVYFDTTYSENENMLPFAAFTGVNQHGDSVVFGCALILDRTESSYAWIFQTWLTAMDKRLPFSFTTDEGKAMTEAVAKVFPQCFHRLCRWGILSRCKKKLSDTYMRFPGLHDELKTCINECDAMPVFDMFWDSILDKYGLRENTWLQYLFEARHKWVPAYLTSSFFAELSLAHRAETVSTFYRNNFTTKAPLLALISRFDQHVDRSYTNEAQKDLALFHPEQLLKTNSILEKQAGIIYTRAAFEMFQMELIEALHQYAVKVQDGSYTRFSFSGVPCRHVLAVFISADVAMLPEPCITKRWTKKAKTGPESVGRSLEDEICHTDSATKRFNDLVRDAMKCAEKGAVSAGSFRVAKEVLRKAFGQIGKLTRAGPQQVGNSR* >Brasy7G000400.1.p pacid=40047608 transcript=Brasy7G000400.1 locus=Brasy7G000400 ID=Brasy7G000400.1.v1.1 annot-version=v1.1 MATATPPAATFFSPAPAPAAVPRHTRLLLLPRHAYSRLASTTRRPGPGPGPASLRPRAFLPPHGHGPLIPASDHWGNWTVLMAASALGVWSEKSTRAGKALSGALVTVLLGLAASTAGLVAASDVPAYRVVLDYLLPLAVPLLLFAADLRRVLRSTGALLIAFLLGSLATTMGTVVAFLLVPMRSLGKDNWRIAAALMSRHIGGAVNYVAVAEALEVSPSVVAAGLAADNVICALYFTTLFALAAKIPAEEMHSQGDAAEPTAGGDKLPVLQSATAIAVSFAICKAGKYMTSLLGIQGGSLPCITAIVVALATLFPSHIGKLAPSGEALAVILMQVFFAVVGANGSISNVINTTPGIFAFAFVQITVHLLLILGAGKLLGFEDKLLLIASNANVGGPTTACGMATTKGWTSLMVPGILAGIFGIAIATFLGIAFGVYVLQYM* >Brasy7G212300.1.p pacid=40047609 transcript=Brasy7G212300.1 locus=Brasy7G212300 ID=Brasy7G212300.1.v1.1 annot-version=v1.1 MATAACRRAVSYSLLGPPSVSLRAAAAPTGDPFVDQLDANFNKPRPRPAKARTENNSPTFASSGDPCLDFFFHVVPGTPAASITSLLADAWDAEPLTALRLACNLRGVRGTGKADRDGFYAAALWMHDTHPATLALNARAIADIGCLKDFPEILHRIVNGDGRLPRFSASRPRRAISREEALELKRLRREAAARAVERHARDPRYRFLHDRTASLFAEMLAQDMEKLAAGRLAELSLAAKWCPSLNSQYDRSTLLCEAVSRRLFPEGSVPQLFASGPDEYVPGASYYAYRAHLRKTVLVPLRRALQLPEIFVAARAWGEVAYPRVASVAMRNYRDLFFQHDGERFGLYLDSVRAGKAKIAAGALLPHQIIASVADPYGSRDNEVAELQWRRTVDDLLAIGSLSNCLAVCDVSGSMHGLPMDVCVALGLLLSELCDEPWRHRVITFSQRPQLHHVRGETLWEKTRSIRRMQWDMNTDFQAMFDQLLRVAVAGNLPPERMVRKVFVFSDMEFDEASSRPWETDYEAITRKFAEAGYGNAVPQIVFWNLRDSRSVPNGVALVSGFSKNMLKLFLDGGDAMSPRAVMEKAIAGPEYQKLVVFD* >Brasy7G145500.1.p pacid=40047610 transcript=Brasy7G145500.1 locus=Brasy7G145500 ID=Brasy7G145500.1.v1.1 annot-version=v1.1 MRQVLSDSSEEMHRVAGVHDDGDYDKVVPVYVFDLDYDKLLMLDRYHQAVAFRDMVVAVRTRSSQTVSDYSCNGRHVITMTRNLERPIISSVLQTMFGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITTTIDVLESMAAHGGENILLRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYKKAMYFLRSSDHDMYAIYMLVYQASQELEASLICFKDTPFPWLPVSMSGVFVFGFFYVYSKRDKLFRSKRKQF* >Brasy7G005200.1.p pacid=40047611 transcript=Brasy7G005200.1 locus=Brasy7G005200 ID=Brasy7G005200.1.v1.1 annot-version=v1.1 MAPALLVIHSNQFSPPPPSSSAPACPLLRLPRRRRPQSPLQRRLTISHDDNNKNRERFPYSRLRHVRPPAAAVGSTIIAAGDQWGNWAFLLSAAAFGTWAEERTAWGAALSGALVSILAGLAATAAGLVAPGAPANGVVMEFLLPVAVPLLLLGADLRRVVRATGDLLKAFLIGSVATIIGTTVAYLLFPMRSLGQDSWKIAAALMGSYIGGAVNFVAISEALGTSPSVLAAGVAADNLISALYFLALFSLASKIPPEPKKTTQSDRPAAAAPLREKPSMSVQDGGAALALSFTICKAASAISSALGIASGGTLPCATALVVAMATAFPGAMGRLAPAGEAMALVLLQVFFAAVGANGSVADAVTMAPAVFGFALVQVAVHLAVVLGAGSILGLERKSLLIASNANVGGPTTAAAMATAKGWSSLVVPGILVGIFGISIATFLGIGFGVFVLKRISGF* >Brasy7G140000.1.p pacid=40047612 transcript=Brasy7G140000.1 locus=Brasy7G140000 ID=Brasy7G140000.1.v1.1 annot-version=v1.1 MAFFKNKTGLLVLMALVVLTTIQIDALPLPAKCYGIKFPNCTDERCSSSCPGGHCTFSDECCCPMA* >Brasy7G173000.1.p pacid=40047613 transcript=Brasy7G173000.1 locus=Brasy7G173000 ID=Brasy7G173000.1.v1.1 annot-version=v1.1 MRSFFYTMLLALALVLLCSGAATKAAIAKEGDGARVVPMDCKVLPPKAGACDPKKCYDDCSSSLGRGIAVGECVAGGCQCTYCLPSRGPRS* >Brasy7G053000.1.p pacid=40047614 transcript=Brasy7G053000.1 locus=Brasy7G053000 ID=Brasy7G053000.1.v1.1 annot-version=v1.1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQASRSAEKMYKVDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDRTHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYRDGMTREDAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGVVQYQLCSPDAMGKLLAKAGLTQPAPEA* >Brasy7G231500.1.p pacid=40047615 transcript=Brasy7G231500.1 locus=Brasy7G231500 ID=Brasy7G231500.1.v1.1 annot-version=v1.1 MAESAVSIVLGGMGNLAVEETRFLCGVTLEVSFLKDELLRLQAYLKDADTKWRSGNARVAVLVSQIRAAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPTDLVALHKVGADIQSVKEKLKRIFASAENLKIDVDNTGVVEHEFPQDFGVMHQHSHDDVVTVGFEDEHKELVHKLIDDNENMLSAVSIVAMGGAGKTTLARRIYTSSRVKEHFDTTAWVTVSQTFKGIELLKDIMKQITGKKHEELHQMLENEVGKEIHDFLLHKKFLVVLDDVWETDTWEQLNRTAKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWQLFSIKALPPYRRSGIRDVGEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLVQIVDVDETRALGRIDSIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDVSRTKLDSVIPNSLWDIPSLRHVFLWGNGFSPPPAARSLRRQQQNKLQTFNLSCIPAGTNRYHDMVVFLRQMNQLTTFFLHMRPMHGEMVNIFANMPHLVDIFVSQFDVMDKLPDKFPESLQILRLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSVKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSCLQLWNFSKMIKLPQGLLHLPSLNKLELYGMPQITEDDRTLNELQRKGCDIWDARWTFT* >Brasy7G231500.2.p pacid=40047616 transcript=Brasy7G231500.2 locus=Brasy7G231500 ID=Brasy7G231500.2.v1.1 annot-version=v1.1 MAESAVSIVLGGMGNLAVEETRFLCGVTLEVSFLKDELLRLQAYLKDADTKWRSGNARVAVLVSQIRAAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPTDLVALHKVGADIQSVKEKLKRIFASAENLKIDVDNTGVVEHEFPQDFGVMHQHSHDDVVTVGFEDEHKELVHKLIDDNENMLSAVSIVAMGGAGKTTLARRIYTSSRVKEHFDTTAWVTVSQTFKGIELLKDIMKQITGKKHEELHQMLENEVGKEIHDFLLHKKFLVVLDDVWETDTWEQLNRTAKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWQLFSIKALPPYRRSGIRDVGEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLVQIVDVDETRALGRIDSIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDVSRTKLDSVIPNSLWDIPSLRHVFLWGNGFSPPPAARSLRRQQQNKLQTFNLSCIPAGTNRYHDMVVFLRQMNQLTTFFLHMRPMHGEMVNIFANMPHLVDIFVSQFDVMDKLPDKFPESLQILRLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSVKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSCLQLWNFSKMIKLPQGLLHLPSLNKLELYGMPQITEDDRTLNELQRKGCDIWDARWTFT* >Brasy7G231500.5.p pacid=40047617 transcript=Brasy7G231500.5 locus=Brasy7G231500 ID=Brasy7G231500.5.v1.1 annot-version=v1.1 MAESAVSIVLGGMGNLAVEETRFLCGVTLEVSFLKDELLRLQAYLKDADTKWRSGNARVAVLVSQIRAAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPTDLVALHKVGADIQSVKEKLKRIFASAENLKIDVDNTGVVEHEFPQDFGVMHQHSHDDVVTVGFEDEHKELVHKLIDDNENMLSAVSIVAMGGAGKTTLARRIYTSSRVKEHFDTTAWVTVSQTFKGIELLKDIMKQITGKKHEELHQMLENEVGKEIHDFLLHKKFLVVLDDVWETDTWEQLNRTAKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWQLFSIKALPPYRRSGIRDVGEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLVQIVDVDETRALGRIDSIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDVSRTKLDSVIPNSLWDIPSLRHVFLWGNGFSPPPAARSLRRQQQNKLQTFNLSCIPAGTNRYHDMVVFLRQMNQLTTFFLHMRPMHGEMVNIFANMPHLVDIFVSQFDVMDKLPDKFPESLQILRLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSVKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSCLQLWNFSKMIKLPQGLLHLPSLNKLELYGMPQITEDDRTLNELQRKGCDIWDARWTFT* >Brasy7G231500.4.p pacid=40047618 transcript=Brasy7G231500.4 locus=Brasy7G231500 ID=Brasy7G231500.4.v1.1 annot-version=v1.1 MAESAVSIVLGGMGNLAVEETRFLCGVTLEVSFLKDELLRLQAYLKDADTKWRSGNARVAVLVSQIRAAAYEAQNVIEAADYIEKRNRLKKGFMGAISRYARLPTDLVALHKVGADIQSVKEKLKRIFASAENLKIDVDNTGVVEHEFPQDFGVMHQHSHDDVVTVGFEDEHKELVHKLIDDNENMLSAVSIVAMGGAGKTTLARRIYTSSRVKEHFDTTAWVTVSQTFKGIELLKDIMKQITGKKHEELHQMLENEVGKEIHDFLLHKKFLVVLDDVWETDTWEQLNRTAKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWQLFSIKALPPYRRSGIRDVGEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLVQIVDVDETRALGRIDSIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDVSRTKLDSVIPNSLWDIPSLRHVFLWGNGFSPPPAARSLRRQQQNKLQTFNLSCIPAGTNRYHDMVVFLRQMNQLTTFFLHMRPMHGEMVNIFANMPHLVDIFVSQFDVMDKLPDKFPESLQILRLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSVKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSCLQLWNFSKMIKLPQGLLHLPSLNKLELYGMPQITEDDRTLNELQRKGCDIWDARWTFT* >Brasy7G231500.3.p pacid=40047619 transcript=Brasy7G231500.3 locus=Brasy7G231500 ID=Brasy7G231500.3.v1.1 annot-version=v1.1 MHQHSHDDVVTVGFEDEHKELVHKLIDDNENMLSAVSIVAMGGAGKTTLARRIYTSSRVKEHFDTTAWVTVSQTFKGIELLKDIMKQITGKKHEELHQMLENEVGKEIHDFLLHKKFLVVLDDVWETDTWEQLNRTAKAFPDENNGSRVLLTTRKEDVANHVQMPTHVHPLKKLDEEKSWQLFSIKALPPYRRSGIRDVGEFEELGRKLAKKCDGLPLALAVLGGYLSKNLNRQAWSSVLLDWPSTKDGRMMGNILARSYKDLPNHYLRSCFLYLASFPEDYEIKVLDLINLWIAESFIPYTPNHKVEETAHKYVTELVQRSLVQIVDVDETRALGRIDSIRIHDILRDWCIEEARKDGFLDVIGEITGQAGASSLDKLTSYRSCFQNLSDEISPGTPNVRTLLCFKLSSVSLPKLRFLRVLLIENSRLEGFSRVIVGCIHLRYLRLLNCEGATLPSSIGQLLYLQTIDVSRTKLDSVIPNSLWDIPSLRHVFLWGNGFSPPPAARSLRRQQQNKLQTFNLSCIPAGTNRYHDMVVFLRQMNQLTTFFLHMRPMHGEMVNIFANMPHLVDIFVSQFDVMDKLPDKFPESLQILRLYANVIEQDPMPILEKLPCLVLLDLEGYQGQTMTCSVKGFPRLQSLKLDKFSTEEWRMEDGTMPKLSCLQLWNFSKMIKLPQGLLHLPSLNKLELYGMPQITEDDRTLNELQRKGCDIWDARWTFT* >Brasy7G064300.1.p pacid=40047620 transcript=Brasy7G064300.1 locus=Brasy7G064300 ID=Brasy7G064300.1.v1.1 annot-version=v1.1 MLDGKTPASSIVHSAVLNATKRRHWSIESTLSSLRSAALPLAAPLVRAVAALADSALVAAMDFLCGTGDPVVWDGRRFSPCFADSVLGFGGNVAATVAVLALFIAKRNAPRTESVRRGLLEKLFVFGVPSFAVCLSLVGLIMLVKKKFEGIDVENHELLFRCSQFLSWVAVSLVSANGPWFKILYNPIMCICWMLKILLDIPHLQYTLTVMKAMAYFMEIVSFSTSISFGIFVIVATIGGRSCKREVNSIEAPLVPNDENSEDESMNVVNKNHNIWELLTFKSVNPMMDTGITRQLDFTDLLELPTELRAASCYDKLLSSWTAEHQNHHADSSILRAMSYAYGWTYLRLGLLKVINDSISFVSPLLLNKFIRLIQQGSVGMDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.2.p pacid=40047621 transcript=Brasy7G064300.2 locus=Brasy7G064300 ID=Brasy7G064300.2.v1.1 annot-version=v1.1 MLDGKTPASSIVHSAVLNATKRRHWSIESTLSSLRSAALPLAAPLVRAVAALADSALVAAMDFLCGTGDPVVWDGRRFSPCFADSVLGFGGNVAATVAVLALFIAKRNAPRTESVRRGLLEKLFVFGVPSFAVCLSLVGLIMLVKKKFEGIDVENHELLFRCSQFLSWVAVSLVSANGPWFKILYNPIMCICWMLKILLDIPHLQYTLTVMKAMAYFMEIVSFSTSISFGIFVIVATIGGRSCKREVNSIEAPLVPNDENSEDESMNVVNKNHNIWELLTFKSVNPMMDTGITRQLDFTDLLELPTELRAASCYDKLLSSWTAEHQNHHADSSILRAMSYAYGWTYLRLGLLKVINDSISFVSPLLLNKFIRLIQQGSVGMDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.3.p pacid=40047622 transcript=Brasy7G064300.3 locus=Brasy7G064300 ID=Brasy7G064300.3.v1.1 annot-version=v1.1 MLDGKTPASSIVHSAVLNATKRRHWSIESTLSSLRSAALPLAAPLVRAVAALADSALVAAMDFLCGTGDPVVWDGRRFSPCFADSVLGFGGNVAATVAVLALFIAKRNAPRTESVRRGLLEKLFVFGVPSFAVCLSLVGLIMLVKKKFEGIDVENHELLFRCSQFLSWAMAYFMEIVSFSTSISFGIFVIVATIGGRSCKREVNSIEAPLVPNDENSEDESMNVVNKNHNIWELLTFKSVNPMMDTGITRQLDFTDLLELPTELRAASCYDKLLSSWTAEHQNHHADSSILRAMSYAYGWTYLRLGLLKVINDSISFVSPLLLNKFIRLIQQGSVGMDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.4.p pacid=40047623 transcript=Brasy7G064300.4 locus=Brasy7G064300 ID=Brasy7G064300.4.v1.1 annot-version=v1.1 MLDGKTPASSIVHSAVLNATKRRHWSIESTLSSLRSAALPLAAPLVRAVAALADSALVAAMDFLCGTGDPVVWDGRRFSPCFADSVLGFGGNVAATVAVLALFIAKRNAPRTESVRRGLLEKLFVFGVPSFAVCLSLVGLIMLVKKKFEGIDVENHELLFRCSQFLSWVAVSLVSANGPWFKILYNPIMCICWMLKILLDIPHLQYTLTVMKAMAYFMEIVSFSTSISFGIFVIVATIGGRSCKREVNSIEAPLVPNDENSEDESMNVVNKNHNIWELLTFKSVNPMMDTGITRQLDFTDLLELPTELRAASCYDKLLSSWTAEHQNHHADSSILRAMSYAYGWTYLRLGLLKVINDSISFVSPLLLNKFIRLIQQGSVGMDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.5.p pacid=40047624 transcript=Brasy7G064300.5 locus=Brasy7G064300 ID=Brasy7G064300.5.v1.1 annot-version=v1.1 MDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.6.p pacid=40047625 transcript=Brasy7G064300.6 locus=Brasy7G064300 ID=Brasy7G064300.6.v1.1 annot-version=v1.1 MDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.9.p pacid=40047626 transcript=Brasy7G064300.9 locus=Brasy7G064300 ID=Brasy7G064300.9.v1.1 annot-version=v1.1 MLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.11.p pacid=40047627 transcript=Brasy7G064300.11 locus=Brasy7G064300 ID=Brasy7G064300.11.v1.1 annot-version=v1.1 MSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.7.p pacid=40047628 transcript=Brasy7G064300.7 locus=Brasy7G064300 ID=Brasy7G064300.7.v1.1 annot-version=v1.1 MDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.8.p pacid=40047629 transcript=Brasy7G064300.8 locus=Brasy7G064300 ID=Brasy7G064300.8.v1.1 annot-version=v1.1 MDGYIIAILLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.10.p pacid=40047630 transcript=Brasy7G064300.10 locus=Brasy7G064300 ID=Brasy7G064300.10.v1.1 annot-version=v1.1 MLRSSIMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.12.p pacid=40047631 transcript=Brasy7G064300.12 locus=Brasy7G064300 ID=Brasy7G064300.12.v1.1 annot-version=v1.1 MSVDVDRTINLCNSLHDAWSLPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKSISTRIAIATEKMMKQKDERISCAGELLAHIRTVKMYSWEKLFTQRLKKRRELEMKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G064300.13.p pacid=40047632 transcript=Brasy7G064300.13 locus=Brasy7G064300 ID=Brasy7G064300.13.v1.1 annot-version=v1.1 MIAPDILSYCNARCISTTVDHLYSLVLQAVISSRRLGNYLSTPEHCSSELTASATTLKDALDINTETIYNPKAVILQDVCCSWSSSSTVEPSIILRDISLQLQKGLLVAIVGEVGSGKSSLLNSIIGEMSVINGSVNSCGSIAYVPQVPWILSGSLRDNILLGKGFDKRRYEEVIQACTLDVDISAMVGGDVSHVGEKGLNLSGGQRARLALARALYHDSELYLFDDILSAVDSQVASRILEKAIMGPQMKRKTRLLSTHNLQAISAADMIVVMANGFVKWFGTLECFLATPYSRMYKPDSSSSVSATISQKDKQPSKSCEFKAKDLLDNDSVVDHDEQRDQTEAETRKEGMVELIVYKKYATFAGWSMVFVIFLSAFLMQASRNGNDLWLTYWVDTSTGTNNTRFYLTILAVFGILNSFFTLGRAFSFAYGGLRAAIQIHTDLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVSFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIEHLTLYQKTSYSELMAGLWLSLRLQLLAGFIILFIALMATVGFHSNSLLNFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELKGSESPHRSWPTEGKIEFEHVTLRYKMDLPPALNDISFLVASGMQVGIIGRTGAGKSSILNALFRLAPICNGRILLDGFDVAKVAVQDLRGHFAVVPQSPFLFDGSLRENLDPFSIATDLRIWEALERCHMKTEIESIGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQTTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLRNDECSRFSQFAKASRM* >Brasy7G151600.1.p pacid=40047633 transcript=Brasy7G151600.1 locus=Brasy7G151600 ID=Brasy7G151600.1.v1.1 annot-version=v1.1 MRWRTTINLEESRPPWLWLRRQQQGASPACPRWGPRRGRRCSGGPHQHGGRWRPGGAGYGSPRRGPGNMTAAGARGGGGEGGLRGGGRARRRRTRAACVEAAPPDRRRGSGTRAPAAAERAGRASAKKARSFSFRSLSQLATGSHWTARTERDAIDQEVEIGSSRVRVVDLYELFPGKPQRVSSAVQPVRFAASAVAIVVWLWENHGAISRARNGASSGEWQLCPSGVRTIAAYSGQNAVAVPCRASCVQSPGLGS* >Brasy7G223100.1.p pacid=40047634 transcript=Brasy7G223100.1 locus=Brasy7G223100 ID=Brasy7G223100.1.v1.1 annot-version=v1.1 MPYSELGISDEVKEQVELINAQLKRSKKRADTQDMELAMDFMVVLQNKEDRSADRAILERLAKKLELQGLADLRAETMAIKKLINERNGQQAESTKQIIELLNKLKEVAGIDEKNILGEVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLIMQWCDKNKVEMQMGEPAEEPAPEQEESKEVLIPSLVKDLSSVHLEVQREAVKKIRTLSKESPENRALITDNGGIPVLMGLLQYPDKKILDNTVTSLLNLSIDEANKVLIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMVDENKVAIGSMGGVPPLVDLLQNGTVRGKKDAATAIFNLMLNHQNKFRAIEAGIVPALLKILDNEKLGMVDEALSIFLLLGSHPSCRGEIGKENFVETLVQIVKEGTPKNKECALSVLLELGSHNNALMVHALGFGLQEHLSEIARNGTSRAQRKANSLIQLALKCQS* >Brasy7G026400.1.p pacid=40047635 transcript=Brasy7G026400.1 locus=Brasy7G026400 ID=Brasy7G026400.1.v1.1 annot-version=v1.1 MSNNNTLSDVSDKLIAHFCQDNIDEYVRNLTKTYTDTSNEASMVSASVIMFVLAGLFFNLNLFSRFSDVSAILDPKVRLFLTSGLSLFLPVMSYLFSEAKNAGAMAALPGQKPDLSLHAGMILVWMLLVELLRKKVDEVRMRGYSGTIQRAGRVVWLGSLVFFNIRNVGRKAVFGILWVLCATKVVQRIAFTEVGKRSYACGKNSRLVSSYMSQILLQSPRRQDDQQEAPSTSIVDVEQEAGNVDAGDALLKRSKFLVMGEEDLVIEPTADGYKLKEITHDDTVVTVGKIWSHGATGVEQANRLKRLCLSFALFKLLRRRFEHLPPVTKEETNECRDLIFKGVYNDKEDNAEAVFQMMNDEVNFLCEYYHSVIPVVLASPFFCLANYFLLPLVVLGLCIMTVVLCGQGDARYAFQSIKKDNYAMSSGIVNTTLCLLVKAIHRPQAFFTAIDFFITMLLFVILFYEEIWEFLVFLLSNWFMVSLLCNYVAKPRWQRSPTFKGSIHRILWVRGKMSQPTLTFKQFSILGLRWPLVLGIPPIFSLLLQTVHVPNKAKHSIIKSLMAHSSQNSDNPVNNGKSVLARTGRNDLLPACRSESIAEVILTWHIATTLMEAKCPPSNGKQIKGFHTVATRLSRYCAYLVAFHPDLLPDNQEKTERVFETAKAKLKGRLGCGNYFLSCWRMRVDSVAAATVAGAEWKDGEVVHNGAKLGNMLRGEATRDGGGELETMWKLLADVWTELVVYLAPSSDEERVMGHESVLVQGGEFITVLWALTTHTGISRP* >Brasy7G152100.1.p pacid=40047636 transcript=Brasy7G152100.1 locus=Brasy7G152100 ID=Brasy7G152100.1.v1.1 annot-version=v1.1 MEEVTEAVNNLAITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQVHNEVELSALGMAIATVVTVAEILKNNGLAIEKKIMTSTVDVNDEPRSRPMQKAKIEIVLGKTENFDELMAIAAEEREVAAAEQGEEQS* >Brasy7G212500.1.p pacid=40047637 transcript=Brasy7G212500.1 locus=Brasy7G212500 ID=Brasy7G212500.1.v1.1 annot-version=v1.1 MATTTTVTTTAAARHRGACCAHAAEADQEDVVVLSRAKESPETGKKKGYWGSKLPRLARFEELPDYLRDNEFIRGHYRCEWSVPDALRSAFAWHNETLNVWTHLGGFFLFLGLALAGEAESPAAAAASGMMTMVMASANASSSWGASNSSLASQSMSVLGSVPAVARWPRTVFIVGAMTCLSVSGMAHLLASHSRQFSRLFWQLDYAGIAAMIVASFFPPIYYTFLHNPAAQLVYLSAITLLGVLVVGALLAPARSSPRLRHIRAGLFVSMGLSGIVPAMHALWINWGHPECYLALSLELAMGLVYAAGAGFYVARVPERWCPGKFDCVGHSHQIFHVFVLIGALTHYAATAILISWRESMAMAAVGGGAGALL* >Brasy7G015600.1.p pacid=40047638 transcript=Brasy7G015600.1 locus=Brasy7G015600 ID=Brasy7G015600.1.v1.1 annot-version=v1.1 MASGVRNGGVGRMSGKPDRQQSSTATPKAAPGKPRFSAAPGGGGSAYRRTSSGPLPSAGGGGGRASSESGVSSRVRVAVRLRPRNAEEQAADADFADCVELQPELKRLKLRKNNWESDTYEFDEVLTEFSSQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTAARGIMVRAMEDILADITPETDTVSLSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFMDLLRIGEAHRVAANTKLNTESSRSHAILMVNVRRAVKGRNEMDVSMCAENGHSSSMVGSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSSLGKCINALAESSAHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVQNMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERITAEAQFRIAEAERECKIVLENEKMKYHQEYLDSIKILEEKWKVHQQSPKKQIKETESTSNGEVQNLLQNEKVLRQSAEDESSDLKNQLSHWKKMEATATAEVVRLRKMLDTEASQKEKLEEEIGVLKSQLMQLSLDADETRISLDTGDGPGKIFPGLDSLMSHTRSSQPREQNNGPKAPVAKLFEQVGLQKILSLLESEEPDVRIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGRSLLVDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNAKDIVSEGALWELVRISRDCSREDIRMLAYRTLTSSPILQSEMRRLRIEC* >Brasy7G094500.1.p pacid=40047639 transcript=Brasy7G094500.1 locus=Brasy7G094500 ID=Brasy7G094500.1.v1.1 annot-version=v1.1 MEAMEELSQLSESIRQAASLLADDDPSDDAAPRRPSTFLNAVVLGNVGAGKSAVLNSLIGHAVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDNKSQPVSSSALRHSLQDRLSKAASSGSGRGRMEEIPLKLRTSTAPPLKLIDLPGLDQRVVDDSMINDYAGHNDAILLVVIPAVQAAEVASSRALRLAKDIDSDGTRTIGVLSKIDQATGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAGSVGSENSLETAWQAEAETLKSILTGAPSSKLGRVSLVATIAKQIRKRMKVRLPNLLTGLQGKSQIVKDELARLGESMVQSAEGTRAVALELCREFEDKFLTHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFELSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKESSRLCVEEVHRVLLDIVNASANATPGLGRFPPFKREVIAIASSALDSFKNDAKKMVVALVDMERVYVPPQHFIRLLQRRMERQRREDEMKNRPPKKGQEAEQSVTNRASSPQTKSEQAGGSGSLKSAKEKPSQQDKDTKEGPNLQVAGPTGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEDEEPSKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESIADKVEWVNKIKAVIQSKGGSFKGPNTEGGSMKQSHSDGSLDAMVRRPADPDEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELIQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAAASSYSNDSPEAESPRTPGRPGEDWRSAFDSAANGPAAASSSSERSRSADGRNRRSENGDLSNSGSRRTPNRLPPAPPRY* >Brasy7G157300.1.p pacid=40047640 transcript=Brasy7G157300.1 locus=Brasy7G157300 ID=Brasy7G157300.1.v1.1 annot-version=v1.1 MAMAPGEDWGAALAGDANRIVDLRRTAWGRSRNERWWRGAVSAIFPAQLRAVPCASPRPRHPTYPPPW* >Brasy7G100400.1.p pacid=40047641 transcript=Brasy7G100400.1 locus=Brasy7G100400 ID=Brasy7G100400.1.v1.1 annot-version=v1.1 MHVQIHLSSSTQQASTAITLLIKLSGLSPINTSGQTMPLSAFCLPLSLPLPPTSNRRHPSPPSFSHHNPLVLLPPLTRRRIPLARPPRAGATDMAAPAAAASPPTLLEYMGRAGTPADLAVVIAHIQGACKRIAALAASPANADLSRAKAGGGSAVVAAGRDAPKPLDELSNEIILSSLQSSGKVAVVASEENDLPVWMCDDGPYVVVTDPLDGSRNIEVSIPTGTIFGVYNRLVELDHLPVEERAQLNSLQSGTRMVAAGYVLYSSATIFCISFGTGTHGFTLDRSTGEFILTHPSIQIPPRGQIYSVNDARYFDWPEGLKKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKTRILSIQPVKLHQRLPLFLGSTEDMLELESYGDVQQKVNPGYEV* >Brasy7G100400.2.p pacid=40047642 transcript=Brasy7G100400.2 locus=Brasy7G100400 ID=Brasy7G100400.2.v1.1 annot-version=v1.1 MHVQIHLSSSTQQASTAITLLIKLSGLSPINTSGQTMPLSAFCLPLSLPLPPTSNRRHPSPPSFSHHNPLVLLPPLTRRRIPLARPPRAGATDMAAPAAAASPPTLLEYMGRAGTPADLAVVIAHIQGACKRIAALAASPANADLSRAKAGGGSAVVAAGRDAPKPLDELSNEIILSSLQSSGKVAVVASEENDLPVWMCDDGPYVVVTDPLDGSRNIEVSIPTGTIFGVYNRLVELDHLPVEERAQLNSLQSGTRMVAAGYVLYSSATIFCISFGTGTHGFTLDRSTGEFILTHPSIQIPPRDIFSE* >Brasy7G155600.1.p pacid=40047643 transcript=Brasy7G155600.1 locus=Brasy7G155600 ID=Brasy7G155600.1.v1.1 annot-version=v1.1 MERRQCKRNGSYSCILCQGRQQETRDHLFFSCPLSTRCWDRIGIRWDNSTGLVPRIAQARLDFRRPFFMEVFITAAWHIWKQRNGFIFQNFVSSLSDWLLQFRQELPLQSVRLEELVLSVLDWLEALGSVPQSDYPS* >Brasy7G220800.1.p pacid=40047644 transcript=Brasy7G220800.1 locus=Brasy7G220800 ID=Brasy7G220800.1.v1.1 annot-version=v1.1 MAAAVAQLLLLVLTLLCLTVAAAGSPAAPSSSYRCGWCRRRGSSATASILPPDEATGAACGYGAVMPSAAAGGGFYFAAASAGFFRHGQAACGTCYQLRCRDQASCGQDGVKVVITDEIETNSTTSAGGGGGGRFLLPRDAFAAMAAVHGDDDDLQPQAVAVDFRRIPCDLHKDRTMAVKVEETSNNKNRGRLALRFLFLGGQTDIAAVEVAPASSSSYSSSFSWRHLTRSRRDGVWSTERAPAGPLRLRVVVTAGSGGKWLRADRAVLPADWMPGGVYDTGLRVTDVAVSTCSCSAGDQDDDKEGHKEEDFR* >Brasy7G082400.1.p pacid=40047645 transcript=Brasy7G082400.1 locus=Brasy7G082400 ID=Brasy7G082400.1.v1.1 annot-version=v1.1 MTTLGKRHRNDSSLRRTTSMSGFEVPGAEEAGRQPTRAARGGSAAPAPAPATVCGGAVQRRHSGDFHAAMETAAFLQACGLCSRRLGPGRDTFIYMGEVAFCSLECRQQQMNLDELKDKKCFPPAGSAGSDGTSGTVAAA* >Brasy7G101000.1.p pacid=40047646 transcript=Brasy7G101000.1 locus=Brasy7G101000 ID=Brasy7G101000.1.v1.1 annot-version=v1.1 MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGGIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGSATTNNTGSSSSLLHHSSSSPENSSSSKPSRKRSKPSFGGAQQMKPPPVMMQPCELDLCLTPVSPLAGGRRQGAGGASDEYSTTTCDEASGDAEAGAPALLNLFN* >Brasy7G101000.2.p pacid=40047647 transcript=Brasy7G101000.2 locus=Brasy7G101000 ID=Brasy7G101000.2.v1.1 annot-version=v1.1 MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGGIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGSATTNNTGSSSSLLHHSSSSPENSSSSKPSRKRSKPSFGGAQQMKPPPVMMQPCELDLCLTPVSPLAGGRRQGAGGASDEYSTTTCDEASGDAEAGAPALLNLFN* >Brasy7G094800.1.p pacid=40047648 transcript=Brasy7G094800.1 locus=Brasy7G094800 ID=Brasy7G094800.1.v1.1 annot-version=v1.1 MNLLSWNHGHSSGQQTHPLPEKGVESCGRGEAIQEGGAGIPAALRRAAREAANASAREGAQAGSRAGGRRGRGRRGAAEQAGGAGGDASGAGSVELGSQGRQGARPPRRRRHRRPWRKQRAVRGSNGAALFGAVAASAAPCSCKRTAALVCPMKTTKTKARKKKGEKTSSSDCRDSRRRAPRASSSSDRRAPSRRASDRRDSNRSRTPHHRHGWSRCLPRRHRAPRRDWSLRSYVGRRRDWSEGVASLVNAATIGSVGIRSFVAATDSSRRRVEIGEDLRILALVLRAVWARVAAVLSEG* >Brasy7G194100.1.p pacid=40047649 transcript=Brasy7G194100.1 locus=Brasy7G194100 ID=Brasy7G194100.1.v1.1 annot-version=v1.1 MAASAPTGCFKCGRPGHWSRDCPSAPPSSSTKPIPTTAGASRFASFQPRQNPKPAAAAEGEAAVQEGGKKKRKEKAKRPKLTPDLLLSDTGLGFVLRYFPKAFKPRAGPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKVEKVGASNRVRRCISELKDRVSRGGDPTQLHEPPGEGVMPEGEPDGTTQEDSILGTEPPSTDNHVIESVQEYVDPPPVESNVDAMQ* >Brasy7G069000.1.p pacid=40047650 transcript=Brasy7G069000.1 locus=Brasy7G069000 ID=Brasy7G069000.1.v1.1 annot-version=v1.1 MGLEDFYQKHPSYTTRVELRVRDSRGEVVAAAHAADDLIRTDQVQAIIGLQTSAESEYIAYLGNHTQTPILSLAPSSATSPPFFLHIGPNESVQLAPIAAILDMFRWRNTVVVYEDSPYGARILPTLFNALQGYNILIMDSVALPVDLAEDNLNKVLYNLKEMPARVFIVHMLPDLAARFFHKATVAGMMSDGYIWIATSSIGSAADSLNPDKIDNMQGIVSLRPYVQATDHAVNFTARFKAKFWLENPSILDVPNPSMQLLRAYDTAWALAKAVHIARVSNSTPGRTFLDALLNITFDGLAGRFRLVNGGQLQLSSYEVINIVGKGARTVGFWEPESGILKKFKNNRSDGLKQILWPGDVATTPKGWDVSSNERPLRIVVPEKQGFNHLVEVSYNPTTNSSTVRGYCIDVFDMLMRNLPYPVAYHYVPVRDSSGNYDSLLDLVHEKVAFTMPFTDTGLSMVVVVKKQTNVGMWIFLQPLTPTLWITSLVFFFFTGFVVWVIEHRVNPRFHGTPWQQFGIVFYFAFSTLVFSHKEKLESNLSRFMIIIWVCVVLILTSSYTANLTSVLTVQRPQPTVTSVQDLLRSGDYVGYHKGSILAYWLEEIGFHKENLLGYSTVEEYADALRRGSNNGGVSAIFDEIPYLKIFLSKYCEGFTMVGPTYKLGGFGFAFPIGSPMVHDVSQAIVSSAVQEEMERIERKWLGDPAVCQSKISSIDSSRLSVDSFSGLFLISGTISSLVLLINLIYQKYNKLWATVSAGTMWLEHLILGLNVVVVPRYDTNM* >Brasy7G234500.1.p pacid=40047651 transcript=Brasy7G234500.1 locus=Brasy7G234500 ID=Brasy7G234500.1.v1.1 annot-version=v1.1 MSLLSPPTPLPLLVPPPGSDVGSAQYDGFFRPLIMLLVVIALTTTAFVALAHIACVNCCLPSCFARAGNGMRSLMAKCRGMSIVEGTTEAVYPMRKDEGIDVEVGGARATVG* >Brasy7G034600.1.p pacid=40047652 transcript=Brasy7G034600.1 locus=Brasy7G034600 ID=Brasy7G034600.1.v1.1 annot-version=v1.1 MLRGSCLFVLDAPPPPPAYNRAGDQPWSWTAKLSSLPFSTPYVDSFALHPDGRTVVVSTKGPAATFSVDTRSLRSTRHGGWRLPFQGEGGLFDKELNAWVGLCVYEGGVGHLCSCDIVGAECRTMPVWRLGKDRFLCADEKRRHLGAKLLATGGGGGDGAYCLVESVLREDEEVGTCRVFHVTTFGLKYDEDGELRTTGRRRTGSYEVSDAHEHSELWGSPAAFYL* >Brasy7G026200.1.p pacid=40047653 transcript=Brasy7G026200.1 locus=Brasy7G026200 ID=Brasy7G026200.1.v1.1 annot-version=v1.1 MAATDHDDGMDSTEKSTFRCLDAARWVVAAAVMVVIVAVTGYAIKTVLRPGDLTMWVVGGSVAVERTDNSHPKRPFNASIGGDMLTFSYTLRAVNPSGRIRIYYTGIKARLRTRNSKQEIDTFLLQPIDDMGFAPQSTVDTYVESQSYVAVEFQRPYFERLGNGSRIDDAWLMLNGTRTIENYSGYNLTATGVYYCLPLTVGGDEDRLVSTNDALCTDKPPTGIS* >Brasy7G094100.1.p pacid=40047654 transcript=Brasy7G094100.1 locus=Brasy7G094100 ID=Brasy7G094100.1.v1.1 annot-version=v1.1 MEDVGGGAEAHARSKQYDYGANSNLVVNAASRPPRVAHEPTGEPETLRGRIRARDFGDRAVQTRPPELDEKLSDSRKKKKERDAASADPDLPCHDAKRTRRAAAVHREVSVLSLADDDFMCKPRTKETRAAYEALLSVIQQQFGGQPLDVLGDAADEVLAALKNDKIKIPDKKKGIEKLINPISDQMFDLLVSIGKLITDFHDAAVGMPSSDGADMMPDDNIGVTVEFEEDEDDKGSNFYQVQVELDEDDVVELNGPGAMQMDTELYDDDMQNSNRGLTIDVQDIDAYWLQRKISQAYTDIDPQHSQKLAEEILKVIAKGDDRDVENRLVMLLDYEKFDLIKLLLCNRLKIVWCTFLARAENQEQREKIAEEMMSNPRLAPILEQLRATRASTTERQKNLGRSIRDEAKRLINNDSASAAGARGHRAVDGDMESGWLKGQRQLLDLDNLSFHQGGLLMSNKKCELPPGSFRTPQKGYEEVHVPALQAKLYESAEKIVKISDMPEWVQPAFAGMTQLNRVQSKVYDTALYRSDNILLCAPTGAGKTNVAMLTILQQIGLHMKYGEFDNTKNLSARLKEYKCIIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDSRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVSSDGIFHFDNSYRPCRLAQQYIGITVRKPLQRLQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARSIRDTALANDMLTRFLKDESASQEILCAHAELIKSNDLKDLLPYGFAIHHAGLARVDREFVEKLFVDKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKAAWTELSPLDVMQMLGRAGRPQYDTHGEGIIITGHNELQYYLSLMNHQLPIESQFISRLADQLNAEIVLGTIQNAQFQECNMGSHVKITVLFFLSNNKTRLNLIIQLHLDAGARFTEVHSAANLLDRNSLIKYDRKTGYFQVTDLGRIASYYYVSYETISTYNEYLKPTMGYIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRAPIPVKESLEESGTKINVLLQAYISKLKLDGLSLGSDMIYIRQSAGRLLRALFEIALKRGWAQLAEKTLNLCKMIDKQMWGVQTPLRQFAGLPNEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGMQLHKCIHRLPKLNISAHVQPITRTVLAFTLTLTPDFQWDDKLRTMMANAFFTTNQTLNFTVPICEPLPPQYFIHVVSDKWLGSQTILPVCFRHLVLPEKYAPPTELLDLQPLPVTVLMNAQYEGLYSAFKHFNPIQTQVFNVLYNSNDSVLVAAPTGSGKTICAEFAILRNHQKAVSGETNMRVVYIAPVEALAKERYRDWETKFGEFARVVELTGETASDLKLLDKGEIVISTPENWDALSRQWKQRKPIQQVSLFIVDELHLIGSEKGHVLEIIVSRMRRIANHTCSNIRIVALSASLANAKDLGEWIGTSSRGFFNFPPAVRPVPLEIHIQSVDLANFEARMQAMVKPTYNAIRQHAKHGKPALIFVPTHRHARFTALDLCGYSSAEGCGTPFLLGSQDEIDTHTQGLSEDTLKNTLKCGVGYLHEALGDLDQEVVTRLFLCGKIQVCVVSSTMCWGRSLPSHLVVVMGTQYSDDSERANADYSSTDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLLEAFPVESQLQHFLHDHMNAEVAVGVVENKQAAVDYLTWTFMYRRLTKNPNYYNLQGVGNKHMSDHLSELIKTVLEDLESSNCVAIEEDTYLKPLNLGLIVSYYYISYMTIEQFSLMLTQKTKMKDLLEILASASEYTQLPSRGGEEEFIERLVLHQTYSVDNPKYCDPHTKANALLQAHFSRHTVLGNLAVDQRGVLLYAHRLLQAIVDVASSNGWLSLALNAMELSQMVTQGMWDRDSVLLQLPHFTKALACRCQQNGIKSIFDLAGMGTDEMRDLLQLPNSHLQDIIGFVKRYPDIDMACEVREGDAIRANGDIILQLTLERDMTNLPSEAGPVHAPRYPKPREEVRWLVIGDSSTDRLLTIKRVALQKRAQLKLEFTAAAEAGMKNYMVYLMSDSYLGCDQEYEFAVNVKGTGGN* >Brasy7G004600.1.p pacid=40047655 transcript=Brasy7G004600.1 locus=Brasy7G004600 ID=Brasy7G004600.1.v1.1 annot-version=v1.1 MSSEPPGQPSRNMRVVYSRELLISIGESERCKNLPPGFDASVLSELQEASSAALGNKGHYATPLGRSDGSGGGYSYSSRGGSSGGRWDTRSTGSSDREGDLPDREPLTQDRRNGNQYKRNWQNTEHDGLLGSGGFPRPTGYAGQLASKDRGNTPQPNRTTERYQPPRPYKAGPLSRKDVDSMNDETFGSFECSNEDRAEEEKKRRASFELMRKEQHKSLQEKKNGPDIEKGNPGGDIVSLLQNSAEKMCATSNSGKPDGSAVSSIYQEDATKTSSILPAPAARPLVPPGFSNAFVEKKVQSQSSNIALEPKAHIVASGGNMPSIAQFGGRVEGNQSLTEITANKNKEMGTSDTIASVHQKHTLPSGGFTSSTEFASRILKGTEDWEADAMDKYSIEKQGMPKTSGSVRKDNSISILEEFFGNTLSKRGGNVQTYVESQKLNTDDKMISSVPESSKFAHWFSDEDLKPEEDLSSKSLLSMIVKNENPGPENIAPGPFFSDGTIQNLASKPPTDKFDASSKFLPFTSPATAGGIREQYSRVGIPEPAPVVMTCEDLEQTMLAQVASNSSSTQKNAVQRHQAVLDEPTVSQKVAVDNHASHHLLSLLQKGTDNKGSSPFGFRIGSANEPPSSDVNSMTNGGVTGIVPINKTETAPAPASGKSVTLEALFGAAFMNELHSKDAPVSIRGSASSNEGYYPGEEVRPFNNNDGAASKEPGNRIEYMNSSFTGPSHGASFDNKGLEIHLPEEDSLFTMNDSFGARKPDILPSLRSSRVEVQLPEKAVDDLNYKLQSLVSGDVEHVQVLGPDALGSHSREQRHQVEPQNLYHLLQSRPPALAPRPMLDHIGNRNQQAPFDMPQALRHDPRHSFPANMNPMQHTLNAPGVPHLDPAAHHLMLQRMPGSFPPEGLPRGVLPSQPVHPPAGYRPEMNNVNNFHMHPRQPGYGEFGLMPPGPSGPEGRGNHPEAFERLMQMELTARSKQIHPAMAGPVPGGMYGPELDMNLRYR* >Brasy7G144700.1.p pacid=40047656 transcript=Brasy7G144700.1 locus=Brasy7G144700 ID=Brasy7G144700.1.v1.1 annot-version=v1.1 MSIMMIVMASLLVSLHLPCLATAETDTDQQALMSFRSLITGDPSQALESWGNQSIPMCRWRGVSCGVRGQRRGRVVALDLRELSLLGTIASSIGNLTYLRQFDLQENRFYGIIPSELGHLVQLEHLNLSSNSIGGVIPTTLASCVQLQVIDLRHNMLKGSVPRELASLHNLKVLALGYNNLTGSIPVKIGNLKSLIYLHLRSNMIAGEIPTEIGNLENLTELYLNVNGLTGPVPASLGGLQQLQILYLASNRLSGPIPPSLGNISSLTVLDLQINGLTGSIPESLGNLNLLNVLSLTSNSLTGSIPPALGKLNSLIEFYLNGNQLEGSIPPSVYNLSSLQFFSVQSNNLSGTISDELGNKFPQLKQLGIDNNQFHGPIPESLCNASMLELVQLGHNFLSGVIPKCLGANIKSLAAVILQDGQLEARKDADWDFISSLTNCSRLRYLSLGNNRLEGTLPKSVANLSTNLRYLSVASNMIQGNIPEGIGNLVNLQQLLLEDNFLNGTIPESMGNLGILGDLYLTKNSLSGPIPSTLGNLTALGRLFLGQNVLTGPIPSSLRSCPLQTLSLPFNRLAGPIPKEIFFISSLSVAVELQGNMLTGTFPPEVSNLVNLGSLDVSDNRISGLITASVAQCRSLQYLGMKGNLFQGTIPASMSQLKGLLVLDLSRNNLSGNIPVFLRSMHGLVSLNISFNNFEGEVPEHGLFLNASAASIDGNYGLCGGIPQFNLPPCSNKTSKKLSRKLVIAISVGGTVLCIILVLFALFAQLTLRKKFTKIRRVPSLHGGKHMMVSYAELVKATSAFASANLLGTGSFGSVYKGIMMNEDQEMIVAVKVLNLQQRGASQSFIAECETLRCIRHRNLLKILTVCSSIDFSALDFKALVFEFMPNGNLDQWLHHELPEDGIHGVLSLIQRIDIAIDVASALEYLHHNKPTPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQDDTSLGEISSGWATRRGTIGYAAPEYGQGNEVSTYGDTYSYGILLLELFTGKRPTDDEFLQDLNLHRFVEIALRDKATNIVDQYLLSPVGKGTRIAYVSKSATEMRIACITSILHIGILCSKELPTNRMQIVDAIRDLLGIRDKYRTDILSESESI* >Brasy7G139200.1.p pacid=40047657 transcript=Brasy7G139200.1 locus=Brasy7G139200 ID=Brasy7G139200.1.v1.1 annot-version=v1.1 MARTGGRKRDRLRWSKLYTFSCFRAPATDEAAGPSAASGSAVGGPGFSRIVHCNNSILHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSPVSMIAPLAFVVGLSMIKEALEDWRRFMQDMKVNNRKVSVHKGDGEFGYRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKLKRSLEVTLPLEEDDLFKDFRGVIRCEDPNPSLYTFVGNLEYERQVYALDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDMIIYVLFTVLVLISLISSIGFAVRIKLDLPRWWYLQPQNSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIEVVKVAQAHFINQDLHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVRSSEVEMAAAKQMASGAADHDIHVEDVWENNEDGIQLVEGVTFSVGKTQKSSIKGFSFEDDRLMHGNWTNEPNSSTVLLFFRILALCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIREKYTPNGPTEREFKILNLLEFNSKRKRMTVILKDEDDRIVLLCKGADTIIFDRLAKNGRLYEPDTTRHLNEYGEAGLRTLALSYRVLEESEYASWNAEFLQAKTSIGPDRELQLERVADLIEKELILVGATAVEDKLQTGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKRISLSTTAGDQVAQDAQKAAKESLMLQIANGSQMVKLEKDPDAAFALIIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPRQKALVTRLVKEGLGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPNNLFFDWYRILGWMGNGLYSSLAIFFLNICIFYDQAIRSGGQTSDMASVGTTMFSCIIWSVNIQIALTMSHFTWIQHVFVWGSIGTWYVFIIIYGTALKSRDNYQIMLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCSPLDHHVIQEIKYLRKDVEDETMWKRERSKARQRTKIGFTARVDAKIKQIKGRLHKKSPSLTIHTVS* >Brasy7G219300.1.p pacid=40047658 transcript=Brasy7G219300.1 locus=Brasy7G219300 ID=Brasy7G219300.1.v1.1 annot-version=v1.1 MAQEGGGGARGVVSWMSHSPAAAGSSRSPAGNPSRTNRRDALVGREGADRRGGELCGSCQSGSGAFARRGLTCGVASSCGRRERRSTAKSKSGGGARGGGGCDGIGGLERKQAGHGHESWRSGPHPDLRGGGRRRSGSRSTRAVATGEAPARGEIGEQRPIQCCWIESGVGGDNLVRGGAHFLHMVQKAPGPAIGGYQAGPA* >Brasy7G042900.1.p pacid=40047659 transcript=Brasy7G042900.1 locus=Brasy7G042900 ID=Brasy7G042900.1.v1.1 annot-version=v1.1 MNYFPDRQHVRLRSLELGTYLHAADDGIGVFLDPNRASVNAAWTVHRYQGEDYAIGHLLLHSAAFGRYLAATDSRAPFGQSGSRIELREFDEPEVGEIMWEARPRKRDSAVLLRHVSARLLRANGGRLFNWNSGVTVDKIENLSKMNRWVVEPIPPSQVYPGIPGPIAEPLSSRQFMCLPVEREPPLVRVIRFQRANADGTFNGDGWTEFQFRGNSSYLLRFELIVRLDIVDFIMCVRAGRYGRLTPMLANLPSGTDDTLYIVAIHSLSPGADELRYPDMDAALDMDAAPDMDAEPDVDTEPDVDAETDVDVA* >Brasy7G145300.1.p pacid=40047660 transcript=Brasy7G145300.1 locus=Brasy7G145300 ID=Brasy7G145300.1.v1.1 annot-version=v1.1 MDVSAGGGGNSLPTSGADGSKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDEMQVLKPHPARDRDLCRFHADDYVSFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPVLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPLNEYYEYFGPDYTLHVAPSNMENKNTNRQLDEIRSRLLDNLTKLRHAPSVQFQERPPEAELPEQDEDQENPDERHHADSDVEMNDAKPLEDTGRRSSIQSVRVKRESAETESTDQDGSGVVAVEHARGTEPPVTDGVGSSKQTPPIDASSMAIDEPGAVRLEPESSNKLQEHPTMHQNSL* >Brasy7G145300.2.p pacid=40047661 transcript=Brasy7G145300.2 locus=Brasy7G145300 ID=Brasy7G145300.2.v1.1 annot-version=v1.1 MDVSAGGGGNSLPTSGADGSKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDEMQVLKPHPARDRDLCRFHADDYVSFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPVLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPLNEYYEYFGPDYTLHVAPSNMENKNTNRQLDEIRSRLLDNLTKLRHAPSVQFQERPPEAELPEQDEDQENPDERHHADSDVEMNDAKPLEDTGR* >Brasy7G033500.1.p pacid=40047662 transcript=Brasy7G033500.1 locus=Brasy7G033500 ID=Brasy7G033500.1.v1.1 annot-version=v1.1 MLSLSRVLARRLFSSAAAGASEGSAAASTSVVRKAQNPLEEFFEVERSTEEDKAPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLNSENMHFPNPERISKVKKSMCRIKHVLTERAIADPDPRRTAEMKRMINAL* >Brasy7G158600.1.p pacid=40047663 transcript=Brasy7G158600.1 locus=Brasy7G158600 ID=Brasy7G158600.1.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELSNERNQTLKCSHYIPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEKQDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQSHHSDRIHQTYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.2.p pacid=40047664 transcript=Brasy7G158600.2 locus=Brasy7G158600 ID=Brasy7G158600.2.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELSNERNQTLKCSHYIPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQSHHSDRIHQTYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.3.p pacid=40047665 transcript=Brasy7G158600.3 locus=Brasy7G158600 ID=Brasy7G158600.3.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELSNERNQTLKCSHYIPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEKQDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.4.p pacid=40047666 transcript=Brasy7G158600.4 locus=Brasy7G158600 ID=Brasy7G158600.4.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELSNERNQTLKCSHYIPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEDLKCVVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.5.p pacid=40047667 transcript=Brasy7G158600.5 locus=Brasy7G158600 ID=Brasy7G158600.5.v1.1 annot-version=v1.1 MEIVGAEQMQMKLLSYFSLQISHFLHLTLLDQGYQGESMSVLVGTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQSHHSDRIHQTYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.6.p pacid=40047668 transcript=Brasy7G158600.6 locus=Brasy7G158600 ID=Brasy7G158600.6.v1.1 annot-version=v1.1 MEIVGAEQMQMKLLSYFSLQISHFLHLTLLDQGYQGESMSVLVGTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQSHHSDRIHQTYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.7.p pacid=40047669 transcript=Brasy7G158600.7 locus=Brasy7G158600 ID=Brasy7G158600.7.v1.1 annot-version=v1.1 MEIVGAEQMQMKLLSYFSLQISHFLHLTLLDQGYQGESMSVLVGTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G158600.8.p pacid=40047670 transcript=Brasy7G158600.8 locus=Brasy7G158600 ID=Brasy7G158600.8.v1.1 annot-version=v1.1 MEIVGAEQMQMKLLSYFSLQISHFLHLTLLDQGYQGESMSVLVGTSLLYGAEDPSIAGMVLDSAFSNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLEKYYNLGAGTNESLLYEIINGLRAAGTDAGSSSAAATSLTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDSNTAESHTQDKTDRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGISNNKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAKHKRTKSS* >Brasy7G006500.1.p pacid=40047671 transcript=Brasy7G006500.1 locus=Brasy7G006500 ID=Brasy7G006500.1.v1.1 annot-version=v1.1 MAAATAGCGVPAAPVQHPRRRATTRMRCRCCEDTLGVPRRRRGDESQQQNQQQMYFPELRPSHPPSPPPRPRRIVLVRHGQSEGNVDESAYARVPDPLIGLTPKGRRQAEDSGRRLHRLFSSSSGSESDDESSEENWKVYFYVSPYRRTLETLRGLGRAFSAPRIAGVREEPRLREQDFGNFQDREKMRVEKEARRRYGRFFYRFPDGESAADVYDRITGFRETLRADIDIGRFQPPTPSPATAPEMNLVLVSHGLTLRVFLMRWYKWTVSQFEGLANLDNGGAVVMQTGAGGRYSLLVHHSVEELREMGLTEEMIEDQVWQSTARPGELNYEFITNGPSFFTHFT* >Brasy7G138900.1.p pacid=40047672 transcript=Brasy7G138900.1 locus=Brasy7G138900 ID=Brasy7G138900.1.v1.1 annot-version=v1.1 MAPVGEWLPCISTLGCCLLGLVLYFYAPCWGVRRVPGPPALPLVGHLPLLARHGPDVFGLLAKKYGPIFRFHLGRQPLVIVADPELCKEVGIRQFKSIPNRSTPSPIAGSALHQKGLFFTRDARWSAMRNAILSLYQPSHLAGLIPTMQRCVERAADTIPTGDGDFDFSDLALKLATDVIGQAAFGVDFALSAPPGDDGAKDASAAEFIAEHVHSTTSLKMDLSASLSIVLGLVAPALQEPARRLLSRVPGTADRRTARANERLRARVEEIVASREQRRGQRDFLSALLDARDGGDGKMRELLTPEYVGALTYEHLLAGSATTSFTLASAVYLVSGHPGVEAKLLAEVDRYAVPVPTADDLQRKFPYLDQVIKEAMRFYTVSPLIARETSREVEIGGYALPKGTWLWLAPGVLARDPAQFAPDPGAFRPERFEAGSEEEKARHPYAQIPFGLGPRACVGQRFALQEVKLAMVHLYRRFVFRRSPRMESPPEFQFGMVLSFRHGVKLRAIPRTE* >Brasy7G212200.1.p pacid=40047673 transcript=Brasy7G212200.1 locus=Brasy7G212200 ID=Brasy7G212200.1.v1.1 annot-version=v1.1 MPLLLSPISTCAPPPRPRLRRLSPPPPMVAVAPPPRPVTLVSTIGLPPLPPPSTADFDWLDLFAFLNSPADSYQIPREEDKEVELDAGVEEELERGIEREKARERARRAEHRRQRQRQVKAETEAWARAAEEYRQLEREMLDRNLAPSLPYVKSLFLGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPAEKVSVIVMHKMMGLLMSNKDGCGSVRVVQAAHSIGEAVEREYKVQAFFQKTRKKGKKAENDPALDKEQAKCRKLVKSLVKRRKLTEAQKIVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQIPDSSPDFRPAFKHVLRKPIVENGRLKKKHFVIECDPIVHEGFESTARHVEIPYLPMLVPPTKWKGYDKGGHLFLPSYVMRTHGVKDQKEAIKSVPRKQLRKVFEALDILGGTKWRVNRRVHDVVETIWSRGGGIAGLVDKGDIPLPERPESEDPDEIQKWKWSLKKAKKINRELHAERCDTELKLSVARKMRKEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHGSKLAFVENHLPDIFDSAANPVDGNRWWINAEDPFQCFAACVDLSNALKSSSPHTAISHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGEKPADIYSEIAARVLDVVREDSMKDPATDASVSLAKVLVDQVDRKLVKQTVMTSVYGVTFIGARQQIMKRLQEKGHITDDKLLYDVSCYATRVTLDALGQMFQSARAIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIATQRQKAAFPPNFVHSLDSSHMMMTAITCKEAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLEEFQTSFPTVEFPPCPAQGNFDVREVLTSTYFFN* >Brasy7G030500.1.p pacid=40047674 transcript=Brasy7G030500.1 locus=Brasy7G030500 ID=Brasy7G030500.1.v1.1 annot-version=v1.1 MACEKPHKTKAPAAASAAVISAADIYNKPLSSLVPRSNGKYRLSKSYIRDIISLKPQALPTTDYMDSLAPLFPPEWLEEKKRQHEEEVVRCRQMNAEHEEFRREVIEAVKEKGYFEFDQEEVASMKASYEADMEAWASIDFSRVQIATPEEEILNADGYHYPDEDDDALLSEDDEVEYICSLVTED* >Brasy7G086000.1.p pacid=40047675 transcript=Brasy7G086000.1 locus=Brasy7G086000 ID=Brasy7G086000.1.v1.1 annot-version=v1.1 MASSSNGERKRARPDGRRRQSAPPSADDHVMIGPNEPFAIPPGMSREEAIRFFQEAKQAAKEVIALYGDGRPADRIPRASILPNSCHRDGSIYSVNFGWHVDYCISDPRLEPMMVSEPSDCQLNRETCIFHTACHMMQIFSLKLAKISIGISPIQLYGYLAVRDIRDSGLNYIFNRSRDDPITIDEGSLIEMTGPKRGIGMRCDVLIEYDMKIKKGGKEEDDLQLIDGVSDFSAVYNTSCRAFMNRIDGDGGSVDIIVALIHRAVEATIEVVVSEVYETDGFDLCSSFVSGIEKEIQLFHGVISEPCGLRRSVVAVALDTEMQLKFKVCHCSRKTERRANFKATKHGSVSEDIKFDQASIRVKEQVQAICQICRTRAECSTAGTVGGRF* >Brasy7G086000.2.p pacid=40047676 transcript=Brasy7G086000.2 locus=Brasy7G086000 ID=Brasy7G086000.2.v1.1 annot-version=v1.1 MMVSEPSDCQLNRETCIFHTACHMMQIFSLKLAKISIGISPIQLYGYLAVRDIRDSGLNYIFNRSRDDPITIDEGSLIEMTGPKRGIGMRCDVLIEYDMKIKKGGKEEDDLQLIDGVSDFSAVYNTSCRAFMNRIDGDGGSVDIIVALIHRAVEATIEVVVSEVYETDGFDLCSSFVSGIEKEIQLFHGVISEPCGLRRSVVAVALDTEMQLKFKVCHCSRKTERRANFKATKHGSVSEDIKFDQASIRVKEQVQAICQICRTRAECSTAGTVGGRF* >Brasy7G201800.1.p pacid=40047677 transcript=Brasy7G201800.1 locus=Brasy7G201800 ID=Brasy7G201800.1.v1.1 annot-version=v1.1 MALYLLFESASGYAVFHAYGIDEIGQSVDAVRSSVLDLKRFSKAVKLTGFTPFASAVDALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKYSLGVMEPKVGSHITEATSIPCQSNEFVQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVVNKSDLAEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDLSSSIFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIEEGMANAVSEDGEGKENGGTSAKKSKKKKSKAEADGDAMDLDTPANTAAAEAEPKTEKKKSKKKHKLKEPQDQQMVATADVEQDETPKKKKKKNREASGDVEPKTATEGKKKKKSKTDN* >Brasy7G056200.1.p pacid=40047678 transcript=Brasy7G056200.1 locus=Brasy7G056200 ID=Brasy7G056200.1.v1.1 annot-version=v1.1 MCVTMLRPGATRLTILLIIVCLLLLRCEGCAQDERVALLYIRNELENEGYSPSDWNSTDCCRWKGVTCDSSLTAGRITGLDLGDFQYSTSVPGLLNTSMFLPFQELRSLSLRDLYIQGCTSGAGFEVWSKLHKLEVLDLSKNRLKDNSIPMLVAILSLRSLLLGENYFSSNLTIQQLSTMKLDTLGLTNNEISGTIPTDICNMGDIQELYLNHNALFGELPLCIQKLTSLRILDLSNNLLTLKFPSLSFAKFTSLVKLSLSGNSLEGVLFLNSFSNNSQLTYLDIGSSDNHFQVQTENPATHLSAQLQVLGLHDCNLNANSAVVPSFLLHQHALEQDLFFRPRCTIICGGWMHLTTG* >Brasy7G043400.1.p pacid=40047679 transcript=Brasy7G043400.1 locus=Brasy7G043400 ID=Brasy7G043400.1.v1.1 annot-version=v1.1 MEAGHERAAEAQREKEAGNAAYHKLFLETAVLHYTRGADLDPRDISFLTNRAAAYLLMSKYNECVRDCDEAVERGRELRADNKLVARALSRKASALLKLAECAGDYAPAIRALQQSLAEHYSEETRAKLREAESARKEVEEQERLDQEASDYHREKGNEFFKKKKYQEAAAHYTDAIKMNPNDPRVFSNRAQCHIYLGNLPEGLEDAEKCIEVDPTFLKGYVRKANVQFLMDNYESALATYVEGLKYDPNNCDVIDGLRRCAACIKRSNGGHVEPVDLKEILGGFRSDDDLRSKLQRNMEEAAVFKKEASDERLRRIESERMVRTSEDLYLNQVQQRKETEEYLSKVQEELQQLKVRQDEFIDELQKANEHNEDLQHQLSELSESRERYDQLLSEHDHLLHERNHAVREVDELRQRRGQILSVLLTAMHCEFSSSELERATENFNSSLKIGEGGFGCVYRGVLRNMAVAIKVLRPDGLQGRSQFEQEVAILSRVRHPNLVTLLGACSELSTLVYEFLPNGSLEDFLVCTEKRQTLTWQIRVRIIAEICSALIFLHENKPHPVVHGDLKPANILLDVNLVSKLSDFGISRLLIQSSSDNTTLYRTMHPVGTPMYMDPEFLATGEMTPRSDVYSFGIVVLRLLTGRPPVGIKKIVDDAMEEGDLNTIIDTSAGEWPDVHVQQLAYLALRCTELSRKCRPDLSGDLWRAVEAMRDATTLCSPSSSRSVLDENRTPSYFICPISQDVMTDPHIAADGFTYEGDCIRSWLSTGRETSPMTNLPLQHDELIPNLALRSAIQEWLQLQNTAL* >Brasy7G196000.1.p pacid=40047680 transcript=Brasy7G196000.1 locus=Brasy7G196000 ID=Brasy7G196000.1.v1.1 annot-version=v1.1 MSCFSLFFKRIGVTQQQNDPCKEDFSGTENITRFSYKELVKATAKFDQSNKIGEGGYGPVYKGTLKDGTAVAVKVLSLQSRQGKKEFLSELLAISNVSHENLVKLYGCCVEENHKILVYNYLQNNSLSQTLLGSRHSSIQFNWRTRVNICIGVAKGLAYLHDVIRPHIVHRDIKASNILLDDDLTPKISDFGLAKLLPSDVSHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTRLPYEDQILLEKTWAYYDQGNLNKIIDSNLGDDLDVDEACRFLKVGLLCTKNITKRRPDMSTVLAMLRGEADVDSQEISKPDVIRDFRDLTLRSKATSSSMLSSIVARSSSPQSSSGSGDTVTTRTSITFTAISDRP* >Brasy7G031700.1.p pacid=40047681 transcript=Brasy7G031700.1 locus=Brasy7G031700 ID=Brasy7G031700.1.v1.1 annot-version=v1.1 MTCASDASRLASSRRLYQVLFDRKHVWLVGGRRGHLPSTRSNSPLRSVNMPCLLLEKKLNTRMLKSKIKATRVSSGGSSDDSGGDAARGRPALRHLPPPQAQPLATITPPYRSSRLPCSSPAPVAVGFDLDPDSDSSAEKLDWGSFGDSDDFGVLDSPAVVRDSTPAAPPCDSLVPESNPPSLAASADSLVQDSPSLPPSARLPPTGPPTPLVAVAAPKENADEQGWIEVRGRLRPQQVRPSPTALKEDTGNRLAFLKKLRGRCFRCLAPDHFVSACRDPVRCLTCLCSGHRQRDCRWQLPARLDARRPPPPDCLLPRSWASVVAPSAMPAMQLVTEKQPVPEVLVEPAASAGISAAIEVALKSLIPAQAELLRVELQKTTAVCLEETIQPLRDIAASIQSLVLQLGTLLERSEVTLGKLVEVAPVPVVQVEVAPVPVVQVEVASGAAISQASPTLLDLPKKLAVDFTDEGADCLFGPCSPRFRAPAAIDEAVMPVAHPDELVPDLNLRSLDSDVQCSPSVTLPCTELAPPMEMPCPLDEMPPRVEHPISSHEAKKNGGFNVAPMMKAFGAPDETPVGVGETQDDASPTMVAKFINKFTRTSQGSVLGTPMPQQVKAVDRTVRRSGRLVKKNNGCSIPVAKRTEIRLAEAFGEMPIGK* >Brasy7G013300.1.p pacid=40047682 transcript=Brasy7G013300.1 locus=Brasy7G013300 ID=Brasy7G013300.1.v1.1 annot-version=v1.1 MEGRRNGRDEDFFGAGDPFAGFGRGFGRRGSSIPPGLFGGRDPFDDPFFTQPFGGRMGGGPGMFGPSLFGPMGGPGMFGSFGDGFLDQAPARRGNNPGRPVITEIDEEEGEDGERGGGEQANRGAFVQEPDDGMQGGQVQMRRDLNRSNGGQSQPRTFTYQSSSVTYGGVNGSYYTASKTRRSGSDGITVEESKEADTTTKEATHRISRGIHDKGHSVTRKLKSDGKVDSTQILHNLNEDELPGFEESWKGNAGQHLPGWNHNTGISNGDNSGNYSANGAQSLALPGTQRLQADPRRRDGRPKSSRIIPIS* >Brasy7G210900.1.p pacid=40047683 transcript=Brasy7G210900.1 locus=Brasy7G210900 ID=Brasy7G210900.1.v1.1 annot-version=v1.1 MARCSNGLLGLLNAGVLILSLVVLGGGIWLSHRATTTDCERFLERPVIALGVLLLALSLAGLAGSLCRGASCLLWLYLLALFLLIALLFVFTVFAFVVTNPGAGSAVSGRGFKEYRLGSYSTWLQKRVEDSGNWAKIRSCLQDGGVCQKLGARKETLQQFAHNNLSPIQSGCCKPPTGCNFAYQSETVWTKPPGFNSTDNPDCITWSNEQSVLCYNCQSCKAGVLANLKNDWKKIATVNIIFLVFLIVVYSVGCCAFRNNRQDNSYPAWK* >Brasy7G238300.1.p pacid=40047684 transcript=Brasy7G238300.1 locus=Brasy7G238300 ID=Brasy7G238300.1.v1.1 annot-version=v1.1 MKGCLGGCGDVNAVGGRGRSGLVGAEFCLWRVLCSPCPSEMFVLVYFLEQDSGVGL* >Brasy7G176500.1.p pacid=40047685 transcript=Brasy7G176500.1 locus=Brasy7G176500 ID=Brasy7G176500.1.v1.1 annot-version=v1.1 MSVGRRVWSVVRVLRKPNPARPSGGCARTPGLRGSPGARQRAAAARGGAGDARRRGHEGGAAAPPQRPLRLRRRGLRRVYKTWVAMEAAAGNVAAARELVLDWGCVCAAEGTADEYAAFWIAYVAFELRHGGSAGARAVAADAARACPGDAAVRATCAMAGVRLEEGGGAAGRPAPAPKCRWWTRLARTCRAPSSAIMAVVRTAAAWVRR* >Brasy7G095000.1.p pacid=40047686 transcript=Brasy7G095000.1 locus=Brasy7G095000 ID=Brasy7G095000.1.v1.1 annot-version=v1.1 MVLPMSRATRLMPELPLLRRGRRQAVTATTSEDQEEELAIPAHFRCPISLDLMRDPVTAPTGITYDRENLEGWLARGHGTCPVTGRGPLRLADLVPNHATRRMIQAWCVANRARGVERVPTPKVPVAEADAAQVLEDLSAAARRGDAAACGEIAARARALGKESDRNRRCLSSAGAARKLSSAFGRVAAAGGEPVEGGALGKVLAALTVFFPLDEESRRCIVASSLTTLVSVLSHGDLAARASAAIVLREVASSAADRATVEAISRAPGMCDALVGLVRNPVSPQATKAALVTAYYLASDRTAASRFAELGVVSVLAELLVDADKGTSEKALAALDGVLCADAGFESARAHALVVPVLVKKMFRVSDMATEFAVSALWRLCQGGADAAACRVEALRVGAFQKLLLLLQVGCGGPTKERASELLKLLNGSRASVECIEAVDFKGLKRPF* >Brasy7G125000.1.p pacid=40047687 transcript=Brasy7G125000.1 locus=Brasy7G125000 ID=Brasy7G125000.1.v1.1 annot-version=v1.1 MAARLDPLELGKVIGEVVDRFVPTVALSVRFGTKVLTKGCEIKPSVAVAAPAVQIGGRVGDLFTLVMVDPDAPSPSEPSMREWLHWLVVNIPGGADPSQGKEVVPYMSPRPALGIHRYVLVVFQQRAPAPAVAPGEEAPGVRAGFRTREFAKEHGLGLPVAAMYFNAQKEPASRRRRY* >Brasy7G092000.1.p pacid=40047688 transcript=Brasy7G092000.1 locus=Brasy7G092000 ID=Brasy7G092000.1.v1.1 annot-version=v1.1 MPEAALPTTPAAASSPAAAASVLLRARRRRRAWRRPRGGLLCWGALVAFFFLMNWWMFSRLQDPASGPRFRLRRHPPRAAAAANSSLLTLEEVSRAEKGKRPHQVMLTHLLSLAAHALAEAEIRPEPKDLWKEPVNATLWRPCSDQRDWEASGNTSSSDGTNGYIIISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFIKYLKSDVRIVKELPVELQSLDLEAIGSIVNDTDVMKEAKPSIYVKKILPILLKNRVVHFVGFGNRLSFDPIPFQLQRLRCRCNFHALRFVHKIQETGALLVRRLHGHMPHLSPLEDNLLGHFAGKPIPNGNRNVSSKYLAVHLRFEIDMVAYSMCYFGGGEDEEEELEMYRQIHFPVLTEIKKTTKLPSASFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRQRMAAISRLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACASADAFAMTDSGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILVKNATIEWKEFETRVNKLIQQTKQVHERPVARSIFRHPRCPECMCRTDMSDT* >Brasy7G224000.1.p pacid=40047689 transcript=Brasy7G224000.1 locus=Brasy7G224000 ID=Brasy7G224000.1.v1.1 annot-version=v1.1 MAATSRYFPRLSLGLTSGVGSGGDDEPPRYQPWRVKSAHELDSERFIDPLQKCFECAICGSTFRTPKSCRRHMVRSHRGGLEPGAPVPPVVLRQLRHACKRCGKRFLTWRALAGHRSNHNGKLGCAWLSRQAAQAARAPAPAAAAAPPPPPVVVVRDFDLNELPPEAEQEQQEEENGPSTDAN* >Brasy7G081700.1.p pacid=40047690 transcript=Brasy7G081700.1 locus=Brasy7G081700 ID=Brasy7G081700.1.v1.1 annot-version=v1.1 MDYDGTLAPIVADPDMAFMSNGMREAVRDVAKHFPAAIVTGRSVDKVRSFVGLSELYYAGSHGMDIKGPTSKEGTATAVLLQPAREFLPVIAEAYEALVEKTKSTPGARVENNKFCLSVHFRCVDENRWSPLAEQVKAVLRDYPDLKLTEGRKVLEIRPSIMWDKGKAVEFLLQTLGFDGRSDVLPVYLGDDRTDEDAFKVLGKRDHGVGILVSKCPRETNASYSLQDPTEVLEFLLRLVQWKCRPSSSAMRARV* >Brasy7G155400.1.p pacid=40047691 transcript=Brasy7G155400.1 locus=Brasy7G155400 ID=Brasy7G155400.1.v1.1 annot-version=v1.1 MAGAPRKKLVGRYEVGRTIGQGAFAKVKFAVDSDTGAAVAMKVLDKATILNHRMMHQIKREISIMKIVRHPNIVRLNEVLAGQTKIYIILELITGGELFDKIAHQGKLRENESRKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSHGNLKVSDFGLSTLSHHGLLHTTCGTPNYVAPEVLSKDGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKITAAHFSCPDWFSPGAKSLIQRILDPNPKTRMTVEGIRADAWFKNNYVALRRGEDENVSLDDVQAVFDNIEDKYVSEQVTHNDGGPLIMNAFEMITLSQGLDLSSLFDRQQEYVKRQTRFVSRKPARTIAATIQVVADSMGLKVHSHNYKLRIEGVSSNKMSPFAVVLEIFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLESIIWRPIEVSAKSALLRTTTC* >Brasy7G155400.2.p pacid=40047692 transcript=Brasy7G155400.2 locus=Brasy7G155400 ID=Brasy7G155400.2.v1.1 annot-version=v1.1 MAGAPRKKLVGRYEVGRTIGQGAFAKVKFAVDSDTGAAVAMKVLDKATILNHRMMHQVLAGQTKIYIILELITGGELFDKIAHQGKLRENESRKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSHGNLKVSDFGLSTLSHHGLLHTTCGTPNYVAPEVLSKDGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKITAAHFSCPDWFSPGAKSLIQRILDPNPKTRMTVEGIRADAWFKNNYVALRRGEDENVSLDDVQAVFDNIEDKYVSEQVTHNDGGPLIMNAFEMITLSQGLDLSSLFDRQQEYVKRQTRFVSRKPARTIAATIQVVADSMGLKVHSHNYKLRIEGVSSNKMSPFAVVLEIFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLESIIWRPIEVSAKSALLRTTTC* >Brasy7G155400.3.p pacid=40047693 transcript=Brasy7G155400.3 locus=Brasy7G155400 ID=Brasy7G155400.3.v1.1 annot-version=v1.1 MKIVRHPNIVRLNEVLAGQTKIYIILELITGGELFDKIAHQGKLRENESRKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSHGNLKVSDFGLSTLSHHGLLHTTCGTPNYVAPEVLSKDGYDGSAADVWSCGVILYVLMAGYLPFEENDLPTLYDKITAAHFSCPDWFSPGAKSLIQRILDPNPKTRMTVEGIRADAWFKNNYVALRRGEDENVSLDDVQAVFDNIEDKYVSEQVTHNDGGPLIMNAFEMITLSQGLDLSSLFDRQQEYVKRQTRFVSRKPARTIAATIQVVADSMGLKVHSHNYKLRIEGVSSNKMSPFAVVLEIFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCSKLESIIWRPIEVSAKSALLRTTTC* >Brasy7G146100.1.p pacid=40047694 transcript=Brasy7G146100.1 locus=Brasy7G146100 ID=Brasy7G146100.1.v1.1 annot-version=v1.1 MVDSEERSKGFGFVSFTTIEAANKAVNEMNGKLVGTKLLYVCVAQRKEERSAILAAQFSRRHMVGARTPVVPQNIAPRPFYFGYGVPGVLVRPQATGFGYQQYPQPVIPGLNPGAPSLMMSYHMLRPIHHQPQQQMAQLPNWNQIVRYMPNAWNGPSNGAMAPQMGFVAPVLPQISVLTDSVVTAPSISEEPSIDSLAAALASAEPEKQHLILGERLQPLVAQLEPEHAGKVTEMLLELEKAEVLKLIESAENLREKVSQAMESLQPKKEEGTDDPAEPSSLNSSA* >Brasy7G042300.1.p pacid=40047695 transcript=Brasy7G042300.1 locus=Brasy7G042300 ID=Brasy7G042300.1.v1.1 annot-version=v1.1 MAKEASRASWNHTYERGLVDTLLDHNVPKYKGQNGWTPEGWKTMADKFNQKFPLARFTKQQIQEKEKELKGNYKDFPKVKKFRSKPFTLFNSLSSLYEGSVATGDLNFVSVPQVVQHVDLTTDPVSPTDSSTNHPGSLNPFSSTSLDGHMSYTDLHGQEASRREDAEPTTSALKEEPPKRRKQSQVAVVLEEYLDFRKKHSVKLIEEIKEPKPDEKFSIAACVSTLEEMEGLTDREKGKALRLFKCQQNREIFINTKVASVRLCWLKEEIDAART* >Brasy7G209500.1.p pacid=40047696 transcript=Brasy7G209500.1 locus=Brasy7G209500 ID=Brasy7G209500.1.v1.1 annot-version=v1.1 MEAKAEGVARGAVAALAAAAALLVGLDTQTETVLFIRKKATVRDVQALWMLALAASAAAGYHLLHLLRCLYLARHGPRTSSSSSKALAWTCLLLDKGCAYMVFAVTVAAAQACVIALEGERALQWNKLCNIYTRFCEQVAGSLACGMLAAAGTAVLAAVSARNLFRLYPSLSSSSTKATTK* >Brasy7G071300.1.p pacid=40047697 transcript=Brasy7G071300.1 locus=Brasy7G071300 ID=Brasy7G071300.1.v1.1 annot-version=v1.1 MLNRGLVRSLLDRLRPPRWGMNPAMPPLAATNHARFCSFSHSNAHNESYRMQRKGVLDLGIGRRFAPGSAMSLKGCLDWQDSIRFRRVGGDGEAVEIKARVLAPQRQFIRDSEVLPSEEVSAKSLNGNGAFRRGKGLGFPEQALSTKMVVAVDVDEVLGSFLAALNRFIAERYSWNHSVSEYHVYEFFKIWDCSREKANILVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLEWIEKFYPGLFEQIHFGNHFALQGQSRPKSEICRSFGAQVLIDDNPRYASECAEDGMRVLLFDYDNSYPWCKTGVDQSHPLVTKVHNWQEVEQKLLSWVAPES* >Brasy7G050200.1.p pacid=40047698 transcript=Brasy7G050200.1 locus=Brasy7G050200 ID=Brasy7G050200.1.v1.1 annot-version=v1.1 MPPPVPPPPSVERQVAEIAAEPDRAAAYARLLHLQRACADDPSAAADLAAASPSALLPLLLRDAADHDEAVAASALKCLGFALYHPVLVSTIPGQMAQLVLTTLVQLIMTTRMKAICNLGVWCISIQQLDPLIIKDRATPLLTAIVYAIDNPFGSLSTTFEAAQAIMKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTIRCLTTSGLISDCSFLQKHQPLLQAAANHPNNPISAAAMAIQRESGSGCANAGCHAVSKMDRLSEDKSRKDLNRTSEADRAFVLEELNASRMFASPMLSDRGNATDGAKRNGELLRISAGLGRKRLKITKYSGKAKGLSKATGSSSLHWVETQMCRKPELILELLKSKR* >Brasy7G050200.3.p pacid=40047699 transcript=Brasy7G050200.3 locus=Brasy7G050200 ID=Brasy7G050200.3.v1.1 annot-version=v1.1 MAQLVLTTLVQLIMTTRMKAICNLGVWCISIQQLDPLIIKDRATPLLTAIVYAIDNPFGSLSTTFEAAQAIMKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTIRCLTTSGLISDCSFLQKHQPLLQAAANHPNNPISAAAMAIQRESGSGCANAGCHAVSKMDRLSEDKSRKDLNRTSEADRAFVLEELNASRMFASPMLSDRGNATDGAKRNGELLRISAGLGRKRLKITKYSGKAKGLSKATGSSSLHWVETQMCRKPELILELLKSKR* >Brasy7G050200.6.p pacid=40047700 transcript=Brasy7G050200.6 locus=Brasy7G050200 ID=Brasy7G050200.6.v1.1 annot-version=v1.1 MAHKLYKPTSWRNLPFHVSTFGRSRKHAIMKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTIRCLTTSGLISDCSFLQKHQPLLQAAANHPNNPISAAAMAIQRESGSGCANAGCHAVSKMDRLSEDKSRKDLNRTSEADRAFVLEELNASRMFASPMLSDRGNATDGAKRNGELLRISAGLGRKRLKITKYSGKAKGLSKATGSSSLHWVETQMCRKPELILELLKSKR* >Brasy7G050200.2.p pacid=40047701 transcript=Brasy7G050200.2 locus=Brasy7G050200 ID=Brasy7G050200.2.v1.1 annot-version=v1.1 MPPPVPPPPSVERQVAEIAAEPDRAAAYARLLHLQRACADDPSAAADLAAASPSALLPLLLRDAADHDEAVAASALKCLGFALYHPVLVSTIPGQMAQLVLTTLVQLIMTTRMKAICNLGVWCISIQQLDPLIIKDRATPLLTAIVYAIDNPFGSLSTTFEAAQAIMKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTISCSHGNPEGIRIRLRKRWMPRSVQDGQAV* >Brasy7G050200.5.p pacid=40047702 transcript=Brasy7G050200.5 locus=Brasy7G050200 ID=Brasy7G050200.5.v1.1 annot-version=v1.1 MKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTIRCLTTSGLISDCSFLQKHQPLLQAAANHPNNPISAAAMAIQRESGSGCANAGCHAVSKMDRLSEDKSRKDLNRTSEADRAFVLEELNASRMFASPMLSDRGNATDGAKRNGELLRISAGLGRKRLKITKYSGKAKGLSKATGSSSLHWVETQMCRKPELILELLKSKR* >Brasy7G050200.4.p pacid=40047703 transcript=Brasy7G050200.4 locus=Brasy7G050200 ID=Brasy7G050200.4.v1.1 annot-version=v1.1 MAQLVLTTLVQLIMTTRMKAICNLGVWCISIQQLDPLIIKDRATPLLTAIVYAIDNPFGSLSTTFEAAQAIMKLASLIPKGMRDLSSIWVPPIYRRLLSADKTERDMAERCLVKVSSVVLPPQSLLCKEVASDLEQNVLSCMINMLDDHSKKIQAVKSWGWFISLLGAGVVSTRSLLNKILKVPEQLFTDPDPQVQIATMVAWRNLVDAFFVPQASENMDQETVISPIKPRAHASSQLKRIRLIMMPLCGVLSRSHNIALSFSCLSSWHYLLYKLGDSINHLSILEAAFGPVLKIIFSIGLYNQNKTLWSFCINLFHDFISVRVRHMSSPEGYLCVPPNQNLLPQTCIHLKALLDVHHIEWLPWDIATFDFQLEILGSIVNPELLQTMTVEVTATIMDCTTQIFTLLLQGVRVECESKVAPDNAKICMTKVCKFVKKVFLDLVGKQKSNGSALLVQFGLQFVKCCVEELGHSLLASGKYELCLDIEHMKEMQYAECSPKLSYPIVRPLSYMEMVSPAVYLTAVSVSIVAQFTGELSDGHAEQLALIFSSSDFLENFHAAVAFLYMQIMRPVDSRLRIKWLMVWNKVAKRLNEQIIFYLKLSCGASGDDVLYQFLCYPFFALLSPGRMSTLRNAGNSSEECLPVTQDLEVELVIEVYRSLCTPDNASEAAYMAFLEHLFEYLVSIIDENMSVFQANLEYCSEKFKNITILSVLAKLFIELLVNARTLNYVNQGSKVTSEESAEGTRSNLFLSYLKLANRFMRLSGLALKANPAAQHQVTSRFFLSLSIFVGHLISAKDILLLFEIIGDQLTEWFTLSSTLYCVMQRGETIDQLEKLWLNTISCSHGNPEGIRIRLRKRWMPRSVQDGQAV* >Brasy7G088800.1.p pacid=40047704 transcript=Brasy7G088800.1 locus=Brasy7G088800 ID=Brasy7G088800.1.v1.1 annot-version=v1.1 MAPPNESKATEAEIATEATAETPPRTFFSLQDGNLTVGHGGKAAVLLSGLPENVTLSPFELDLSSSSESEAPEQMVKRAMAAAHRGAFLGFTAPEPTDCATCRLGRLRGPRRFLSVFRFKTWWSTMWPGERGRDLQPETQWALLGAPELGTGGCVLLLPLIQNNFRSAIFPGSSDNDAEHDEDGVILCAESGSPSVTAAEFHRIAYVHAGHDPFTVMREAYLAARVHLGTFRLAEEKTLPAMARRFGWCTWDAFYLTVDPAGVCRGVAELSEAGVPPRFVIIDDVWQSVSRDEDPPGHDAPGLVLGGDQMTARLYRFDECERFRRYREGDLLRRPPEVFYDAGMPKAVARKKALAAAGPQSDVSLLDEKIQHLRRNLDQLLAKREDALLKDMSSSSTPPRDGETETGLKAFVKDMKRRFPALDDVYVWQALCGAWGGVRPGATTLESRVLPARLSPSLAGTMRDLAVDRIVEGGIGLVPPERAGDLYEATHSYLAGAGVTGVKVDVAHALEYVCSAHGGRVALARALSGSVSAHFAGSGIIASMQQCNDFFFLGASREVAMARVGDDFWFDDPDGDPMGVYWLQGAHAVNCAYNSLWMGQCVRPDWDMFMSDHACAAFHAATRAICGGPVYVSDSLGGHDFALLRRLAFQDGTVPLCLHYALPTRDCLFKNPLFDQQTVLKIWNLNKFGGVIGAFDCQGAGWDPAEHRVRGYPHCYKLISGEVRPADVEWGQREDTSAMANATEYAVFRSQSEDLLLVTPQSDPIRFTLQPSSFELFTFAPVTRITGGGSDEKKFAPIGIVDMMNCGGTFADVEYGDSGEVRMKVKGAGRVVVYSNVRPKRILVDGCEATFEWGNGGKLVVGVSWKENDGVSDVVFCY* >Brasy7G185800.1.p pacid=40047705 transcript=Brasy7G185800.1 locus=Brasy7G185800 ID=Brasy7G185800.1.v1.1 annot-version=v1.1 MARNWVSHPFPRVLEIDGIYIRQQIIGSQPLSHEMCVLLVRRLGQIDNFACKDASGTRWRKFIEPDFSVYALANLDPMKLVSTHNTFTSDSSNFLVTSCRMYYLMAQMEDGWVAYGFDMQQKIIHVLDPVAGSQGFSNSRVDLHMFCSTRLITALFRSIKAFYDNWSCGTDGWQRRFPILMTEDFRR* >Brasy7G169500.1.p pacid=40047706 transcript=Brasy7G169500.1 locus=Brasy7G169500 ID=Brasy7G169500.1.v1.1 annot-version=v1.1 MAAASIAPHPPRLQAGPGNAALPRIPNRSRVAASGGRSFATRAGSNPGNVSIPKQWYNLVADLPVKPPPQLHPQTHQPLKPSDLSPLFPDELIRQEFTEERFVDIPDEVRDVYELWRPTPLIRAKRLEKLLGTPAKIYYKYEGTSPAGSHKANTAVPQAFYNAAAGVKSVVTETGAGQWGSALSFASALFGLSCEVWQVRASYDQKPYRRLMMETWGARVRPSPSDATESGRKLLAADPSSPGSLGMAISEAVEVAATNGDTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGDTPDVVIGCTGGGSNFGGLAFPFMREKLAGRMNPVFKAVEPAACPTLTKGVYAYDYGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAMSIQQTECFEAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILIAMCGHGHFDLAAYDRYLRGDMVDLSHSSEKLQESLAAIPKV* >Brasy7G169500.2.p pacid=40047707 transcript=Brasy7G169500.2 locus=Brasy7G169500 ID=Brasy7G169500.2.v1.1 annot-version=v1.1 MAAASIAPHPPRLQGPGNAALPRIPNRSRVAASGGRSFATRAGSNPGNVSIPKQWYNLVADLPVKPPPQLHPQTHQPLKPSDLSPLFPDELIRQEFTEERFVDIPDEVRDVYELWRPTPLIRAKRLEKLLGTPAKIYYKYEGTSPAGSHKANTAVPQAFYNAAAGVKSVVTETGAGQWGSALSFASALFGLSCEVWQVRASYDQKPYRRLMMETWGARVRPSPSDATESGRKLLAADPSSPGSLGMAISEAVEVAATNGDTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGDTPDVVIGCTGGGSNFGGLAFPFMREKLAGRMNPVFKAVEPAACPTLTKGVYAYDYGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAMSIQQTECFEAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILIAMCGHGHFDLAAYDRYLRGDMVDLSHSSEKLQESLAAIPKV* >Brasy7G054000.1.p pacid=40047708 transcript=Brasy7G054000.1 locus=Brasy7G054000 ID=Brasy7G054000.1.v1.1 annot-version=v1.1 MAAESWRLPNSVQQLAVNVQEPPSQYLLREQEPLGWNLVGTKMPEPIPTIDLGLLSASSDAEEAAKLQSALQNWGFFQVSNHGMETSLMDSVMTASRDFFHLPIEEKRKYSNLIDGKHFQIEGYGNDQVKTQDQRLDWSDRLHLKV* >Brasy7G058900.1.p pacid=40047709 transcript=Brasy7G058900.1 locus=Brasy7G058900 ID=Brasy7G058900.1.v1.1 annot-version=v1.1 MLPELPTAAMELGQVLGFTVPAPKESDLGLMKRSNFTQAASYPSPFLDEQKMLRFSKAAHTLPSGMDFGRPNEQRFLLSRTKMPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFISSSNKMAWRPVYQGFTNTDSDPEPGRCRRTDGKKWRCSKEAMAEHKYCERHINRNRHRSRKPVENQTRKTAKETPAAGSLSSPVSQGNSKKAKASNELKPGSDSYWTDSLSRAMVSKARANKPEEGNNVPLLNSTNHTLSLLSQLKQHNKQGKFSPTIDSESISSNTVLKPWERSNQQSSKDISCTQLHDRECLQSVLQNFSLHKNDKIESHKNKASNQVPVTSTFYSCPEGSGNSRLTSDMTRVQEDCISSSWEMPQGGPLGEILTNSKNTEDLTNKCESRSYGWLLNLQDHEL* >Brasy7G058900.2.p pacid=40047710 transcript=Brasy7G058900.2 locus=Brasy7G058900 ID=Brasy7G058900.2.v1.1 annot-version=v1.1 MLPELPTAAMELGQVLGFTVPAPKESDLGLMKRSNFTQAASYPSPFLDEQKMLRFSKAAHTLPSGMDFGRPNEQRFLLSRTKMPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFISSSNKMAWRPVYQGFTNTDSDPEPGRCRRTDGKKWRCSKEAMAEHKYCERHINRNRHRSRKPVENQTRKTAKETPAAGSLSSPVSQGNSKKAKASNELKPGSDSYWTDSLSRAMVSKARANKPEEGNNVPLLNSTNHTLSLLSQLKQHNKQGKFSPTIDSESISSNTVLKPWERSNQQSSKDISCTQLHDRECLQSVLQNFSLHKNDKIESHKNKASNQEDCISSSWEMPQGGPLGEILTNSKNTEDLTNKCESRSYGWLLNLQDHEL* >Brasy7G058900.3.p pacid=40047711 transcript=Brasy7G058900.3 locus=Brasy7G058900 ID=Brasy7G058900.3.v1.1 annot-version=v1.1 MLPELPTAAMELGQVLGFTVPAPKESDLGLMKRSNFTQAASYPSPFLDEQKMLRFSKAAHTLPSGMDFGRPNEQRFLLSRTKMPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFISSSNKMAWRPVYQGFTNTDSDPEPGRCRRTDGKKWRCSKEAMAEHKYCERHINRNRHRSRKPVENQTRKTAKETPAAGSLSSPVSQGNSKKAKASNELKPGSDSYWTDSLSRAMVSKARANKPEEGNNVPLLNSTNHTLSLLSQLKQHNKQGGLHLKLLGDASRWTSRGDPNKFQEYRGLDQ* >Brasy7G097600.1.p pacid=40047712 transcript=Brasy7G097600.1 locus=Brasy7G097600 ID=Brasy7G097600.1.v1.1 annot-version=v1.1 MVLLATGSAAAPGDGADPQQAAPAAVDPQLAAPAVVQPPPAAAAPVERSPVGPSPTTNVPNQSSTGGIDPVGPGSGTPDKPRG* >Brasy7G151300.1.p pacid=40047713 transcript=Brasy7G151300.1 locus=Brasy7G151300 ID=Brasy7G151300.1.v1.1 annot-version=v1.1 MEGLQRSSSTFRRSGSSGLVWDERFLTEDAEAKAASGGADEPQPGELRRSRSAGGVGMMLRRAGGEDKKQQQKKKQQQGQKKEEHDQQVFRTKDVAPDVDPPSPRVSGCILCAIFGGSGSGSAATARRGRAKPKKKQR* >Brasy7G006200.1.p pacid=40047714 transcript=Brasy7G006200.1 locus=Brasy7G006200 ID=Brasy7G006200.1.v1.1 annot-version=v1.1 MALTAAARVSGSTGGEGGGGVSGGGGNGVCGGGREHMFDKVVTPSDVGKLNRLVVPKHFAEAHFVVPGSGSRGAVLLRFEDGRGKGGKAWCFRFSYWGSSQSYVMTKGWSAFVRDRRLAAGDTVSFSRAGDRLFIDCRRRGSAAGGSTTIMALRPRLEPTRRLRLFGVDVEVADEPLDLQLGLNLI* >Brasy7G093400.1.p pacid=40047715 transcript=Brasy7G093400.1 locus=Brasy7G093400 ID=Brasy7G093400.1.v1.1 annot-version=v1.1 MGGLTLSSSLSAFTPVKKEPEADITDAAAHKPRPPSHEKRHHDRLPITPTQQLLTPQTTPSGTLRSDSYTVKRGGEPALTPKTVPTSIKRDPDADTEAGNHAEWKNLGNPPHKKRRGDRSLVASTQPLFSPETIQSDNSRTLSFAVQRDGELDLTPKNASAFIKCEPDVNAGKDSGGKVVRRRHPDPHSRPKVVHAPTLWVNRGRLGHLLHDLARAHQWHDAAGVFSALLPGIMQPDSFGEVHRIFVDAMEIHRRLAEDSGIQLGGRSRYYLRTLKVFDVWMRRLIWLPTSAKKHLVKLELAMFHLSQGKIGDAYNLTKSLIAMDGLQTEPTLNLIHGLISFDKWYSGLPKDMQLEGFDIYNESCTISLESNGFGENRLQDSSNDNCSIDVDDASLPSCSSQSSINIENIDKKWKISKKPGFVHPVKENDSVGSQVNEEVVDTDFRSVFFNTSDSPTCGLEKRLLPLRLKRAADASNDSFDSYWKYKSTTNSCYEEAVKCLRLALHSSPPVMSALLPLIQILLLGDKLKDALVELEETCRSSTTALPFRLRGRLFEYFDQNQVSTISCCYEEALRRDPTCGHSVERLIEMHRKGYYNSVQLLEAIALHLDSVNGKPFIWEELVSCFLRLFSHRTADYEDCISCNVQGDAAIAAFSSMSSVFFEQHKRESWKLRCRWWMNHHFSQSIYTSETLKGDCKLLASKAACATHLFGPRFKYVGAVNNYLFDQKADDELQFLSRNMGNSVKLLQSLEKLTP* >Brasy7G047200.1.p pacid=40047716 transcript=Brasy7G047200.1 locus=Brasy7G047200 ID=Brasy7G047200.1.v1.1 annot-version=v1.1 MDLSINPFSSGTRLRDMIRAIRASKTASEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLLSRDPNTKKKAALCSIRIVRKVPDLAENFMGSAAENIKEKHHGVLISVVQLCTELCKASKEALEYLRKHCVEGLVRILRDVTNSSYAPEYDIAGITDPFLHIRVLRLMRTLGQGDADCSEYVNDILAQVATKTESNKNAGNAILYECVETIMSIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDEDFKEDLTAKICSIVEKFSEDKLWYLDQMFKVLSLAGNFVKDDVWHVLIVLISNASELQGYSVRSLYKALQAYGAQESLVRVAVWCIGEYGEMVVNNINMLDVEEPVTVTESDAVDAVELALKRYSVDVTTRAMCLVALLKLSSRFPPTSERIQEIVVQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVLDEASYLMKRAASSQATVSLTKSATTATPGGSLKIPNGVVKPPPAPLPDLLDLNSDDVPVTTSAPTTAPDNFLQDLLGIDLTNSSTAGGAPSATTDILMDLLSIGSSPVQNGPPTTSNFITPGQAVTKHAPATPQVMDLLDGLPSSTPLPDENTAYPSITAFESATLKITFNFKKQPGKPQETTMHVSFTNLTSVALTDFMFQAAVPKFIQLRLDPASSSILPASGNGSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGL* >Brasy7G047200.2.p pacid=40047717 transcript=Brasy7G047200.2 locus=Brasy7G047200 ID=Brasy7G047200.2.v1.1 annot-version=v1.1 MDLSINPFSSGTRLRDMIRAIRASKTASEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLLSRDPNTKKKAALCSIRIVRKVPDLAENFMGSAAENIKEKHHGVLISVVQLCTELCKASKEALEYLRKHCVEGLVRILRDVTNSSYAPEYDIAGITDPFLHIRVLRLMRTLGQGDADCSEYVNDILAQVATKTESNKNAGNAILYECVETIMSIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAMAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDEDFKEDLTAKICSIVEKFSEDKLWYLDQMFKVLSLAGNFVKDDVWHVLIVLISNASELQGYSVRSLYKALQAYGAQESLVRVAVWCIGEYGEMVVNNINMLDVEEPVTVTESDAVDAVELALKRYSVDVTTRAMCLVALLKLSSRFPPTSERIQEIVVQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVLDEASYLMKRAASSQATVSLTKSATTATPGGSLKIPNGVVKPPPAPLPDLLDLNSDDVPVTTSAPTTAPDNFLQDLLGIDLTNSSTAGGAPSATTDILMDLLSIGSSPVQNGPPTTSNFITPGQVTKHAPATPQVMDLLDGLPSSTPLPDENTAYPSITAFESATLKITFNFKKQPGKPQETTMHVSFTNLTSVALTDFMFQAAVPKFIQLRLDPASSSILPASGNGSVTQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGL* >Brasy7G146200.1.p pacid=40047718 transcript=Brasy7G146200.1 locus=Brasy7G146200 ID=Brasy7G146200.1.v1.1 annot-version=v1.1 MAHRRARLLSAAAAVVVLLLLACPAPAAEALSPDGKALLSLLPAAPSPVLPSWDPSSATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPSLATLSSLQLLNLSACNISGTIPPSYGASLSSLRVLDLSSNALYGAVPGELGALSALQYLFLNSNRFTGAIPRSLANLSALEVLCVQDNLFNGTIPPSLGALTALQQLRLGGNPGLSGPIPPSLGALSNLTVFGGAATGLSGAIPDELGSLVNLQTLALYDTALSGPVPASLGGCVELRNLYLHMNKLSGPIPPELGRLQKLTSLLLWGNALSGTIPPELSNCSALVVLDLSGNRLSGQVPGALGRLGALEQLHLSDNQLTGRVPAELSNCSSLTALQLDKNGLSGAIPPQLGELKALQVLFLWGNALTGSIPPSLGDCTELYALDLSRNRLTGGIPDEVFGLQKLSKLLLLGNALSGPLPRSVADCVSLVRLRLGENQLAGEIPREIGKLQNLVFLDLYSNRFTGPLPAELANITVLELLDVHNNSFTGAVPPQFGALMNLEQLDLSMNNLTGEIPASFGNFSYLNKLILSRNMLSGPLPKSIQNLQKLTMLDLSSNSFSGPIPPEIGALSSLGISLDLSGNRFVGELPEEMSGLTQLQSLDLSSNGLYGSISVLGTLTSLTSLNISYNNFSGAIPVTPFFKTLSPNSYINNPNLCESFDGHICASDTVRRTTMKTVRTVILVCAILGSITLLLVVVWILINRSRRLEGEKAMSLSAVGGNDFSYPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGDIIAVKKLWKTTKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYVPNGNLQELLKENRNLDWDTRYKIAVGAAQGLSYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTSNITEKSDVYSYGVVLLEILSGRSAIEPMVSDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKSPPEEWAKTSQQPLIKPGSQQG* >Brasy7G055000.1.p pacid=40047719 transcript=Brasy7G055000.1 locus=Brasy7G055000 ID=Brasy7G055000.1.v1.1 annot-version=v1.1 MAASGSDRESSGSGSGRRRSSTPLAFDEVRWVVQIRESLQEDDGDDEDDTGIPVSIFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLASARRLQRRLCTTPGGPLKLDALVAQFAARLERKIRAHYHRYLDLSGETLSWMMAVDGAFLLEFLQIYAAAEDGNGPVPALRKRVSSSRMAHLVDFAGRKSAHGLILRDMMMLENQIPLFLLRRILEPQCASGDEAAALLAAMVTGVMKELCPFKTMDSFPAPVDVSKHANLLELLYYLLVPKPAAESSDAAAAGGHEHDDGYDIEEQAPADGEDTKESSSGGCSGAEHVQQLLAALWAMASKLTRGPLHYMMKPIAFAVKAPWKMLAVVPGVSGIKHPVESLFSMPPLIEEILVPSVTELINAGIQIAATTGGISTIAFDIKTSTLHLPAVTLDGNTEVTIRNLVAYESAAATGPLALTRYTELMNGIIDADEDVSLLRARGVVLNRMKSDAEVTRLWNGMSRSVRLTRVAAIDKAVEEMNKCYDGRWRVKAKRFMRRYVFGSWQLLTFLAAILMLLLTTLQAFCSVYTCSRWFGAVTVTAATAD* >Brasy7G029800.1.p pacid=40047720 transcript=Brasy7G029800.1 locus=Brasy7G029800 ID=Brasy7G029800.1.v1.1 annot-version=v1.1 MWCFAKPWTGEAALRANMEFACSESGCSAIQGTGGFPAPYGGVLLLRASMAMNALHQARRRNCFFNGTGLVANSDPSELREDH* >Brasy7G016200.1.p pacid=40047721 transcript=Brasy7G016200.1 locus=Brasy7G016200 ID=Brasy7G016200.1.v1.1 annot-version=v1.1 MAAAHSNGGAGAGEVEEEAIPAALVPRSLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHLYHDPHATPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLSAKPMRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGIFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPGDYVVATEECHTVEEFLQAAFGYAGLDWKDHVVIDKKYFRPAEVDCLKGDSSKSRRELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP* >Brasy7G062000.1.p pacid=40047722 transcript=Brasy7G062000.1 locus=Brasy7G062000 ID=Brasy7G062000.1.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy7G062000.2.p pacid=40047723 transcript=Brasy7G062000.2 locus=Brasy7G062000 ID=Brasy7G062000.2.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy7G062000.3.p pacid=40047724 transcript=Brasy7G062000.3 locus=Brasy7G062000 ID=Brasy7G062000.3.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy7G160800.1.p pacid=40047725 transcript=Brasy7G160800.1 locus=Brasy7G160800 ID=Brasy7G160800.1.v1.1 annot-version=v1.1 MARRGLPAPLVLTAIALALAMAAAVAKECTNVPTQLSSHTVRARLQGNPSAEEWRLRALFHDHAHVSPTDEATWMDLRAPLASSYSAAGAATTTEESGWAMLYRALKGSAAGGGAAAAAGFLEEVPLQDVRLDMEEDAVYGRAQRTNLEYLLLLDVDRLLWSFRTQAGLPAPGKPYGGWEGADVELRGHFVGHYLSAAAKMWASTHDGTLAAKMSAVVDALHECQQAAPANGGGTAGYLSAFPAEFFDRFEAVQPVWAPYYTIHKIMQGLLDQHTVAGNGKALAMVAAMAGYFGGRVRGVIQRHGIERHWTSLNEETGGMNDVLYQLYTITNDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVVGGQMRYEVTGDPLYKEISTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLTTENEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYDSFWCCYGTGIESFSKLGDTIYFEEKGSKPTLYVVQYIPSIFNWKSAGLTVTQRQKPLSSSDQYLQVSLSISAKTNGQYGTVNVRIPSWVSTNGAKATLNDKDLRLGSPGTFLTVTKQWDNGDHLTLQLPINLRTEAIKDDRSEFASLQAVLFGPFLLAGLTTGDWDAKTGAATAAISDWISPVPSSYNSQLVTLTQESSGSTFVLSTVNGTSLAMQPRPEGGGTEAAVHGTFRLVPQGQGSSPTNRRHGAPTNLASAMIEPFDLPGMAISDALTVRSAEKSSGSLLFNVVPGLDGKPGSVSLELGTRPGCFVVTAGTKVQVGCGGGAGFSQAAASFARAEPLRRYHPISFVARGARRGFLLEPLFTLRDEFYTVYFNLRA* >Brasy7G143700.1.p pacid=40047726 transcript=Brasy7G143700.1 locus=Brasy7G143700 ID=Brasy7G143700.1.v1.1 annot-version=v1.1 MAEAIVGPLLNKLQEVALTQGKAIVAVDGEIHRLRDKLMWLHALVHETELRSRTDGNKQIRVLVCQIREVAFAAEDAVDRFFVEADLSRFGHDRLRAAVMFFANFGTQIRVRLVLSRKIKSMNARLEDIAGNSTRYGSSSRANKEGAAATWRASRAIPPVRYNW* >Brasy7G130900.1.p pacid=40047727 transcript=Brasy7G130900.1 locus=Brasy7G130900 ID=Brasy7G130900.1.v1.1 annot-version=v1.1 MLISRKLHSLSPAPFRARLPRVAPSSVARPARYGSFSRYGPTSPALWAFSIGCLFFFLFVRTICSAAWPMLSRPQRHICKDFGSRSLGSKPTAVARRTSSTSFRQSPTGHTSWLFHARKRLPRGRPVDRSPPRPLSPDDLLPRESARLRLATRGSAKP* >Brasy7G235600.1.p pacid=40047728 transcript=Brasy7G235600.1 locus=Brasy7G235600 ID=Brasy7G235600.1.v1.1 annot-version=v1.1 MTGCLPNRTTYIVLMDGLCKRGMAVDALKMFDEMLERRIVPNVKVYTVLLLSLCNAGRIEDAGRLSCSMKKNGWPPDEVTYFQCRYISPNRKGNKTQRPVTGRGGRVTNGGTANTKWNDDETGGDTSHTPSDHPPIPTHPPLPHADEPRRGKRTSRHFVSLPVNRRALLPGRQGGRERWPGPRVLLRPLQLLGAREREELGFTGLATLPAAGTAAEVLTKN* >Brasy7G068100.1.p pacid=40047729 transcript=Brasy7G068100.1 locus=Brasy7G068100 ID=Brasy7G068100.1.v1.1 annot-version=v1.1 MRGVLEEDMLPSTPGKVKIERAGAMSRQLHRCFASTGTMFLWALFLVAMTATYLSFHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNKYYDPSLKKARRALLASHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVHSNIAGLVSLLEACKDADPQPAVVWASSSSVYGLNDLVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTAPVTVPTLVSILERYLRVEAKKNVVEMPGNGDVPFTHANISLAREQLGYKPTTTLEMGLKKFVRWYLSYYGYNRGTHTFNNL* >Brasy7G073600.1.p pacid=40047730 transcript=Brasy7G073600.1 locus=Brasy7G073600 ID=Brasy7G073600.1.v1.1 annot-version=v1.1 MEGVIDSPDAEKRRPRRPGTTITAVFLVALPLLLVVFLFRDLAADALVWPELKQQSPRSNASSPGSGKHDRLLGGLLSPDFDDDEPACRSRHESSRRWKPSQFPVSPYLVRKLRQYETNHRRCGPGTARYREAAAQLESGRNADEHGGCRYVVWLPIQGIGNRMLSLVSTFLYALLTGRVVLVHEPPEMEALFCEPFPGTSWLLPPDFPYKDGSRFWSGCKENYVTMLENNLVRYDDDVSGGNGSAPAPLPAAYVYLHLENMKLRLQNHTFCDEDHQVLDRFDWMVLRSDSYFAVALFLVPRYRDELNRMFPSKGSVFHHLGRYLFHPGNRAWGIIQRFFDGYLAGADDRLGVQVRIVPYQAVPFEVMFEQILRCTREHGLLPQVTDEPAAPAGVPTTNGTAKVKKAVLVVSLKPEYYDKLHGMYYGNATATGEVVTVHQPSHDEDQHTEARAHNERALAEMFLLSYCDRVVTTGWSTFGYVAHALAGLRPWVLIPLDWSKMRADVACVRPESVEPCLHSPPSLVCRRRQDLDPAAHVPFLRHCEDVGAGLKLFD* >Brasy7G116700.1.p pacid=40047731 transcript=Brasy7G116700.1 locus=Brasy7G116700 ID=Brasy7G116700.1.v1.1 annot-version=v1.1 MKTQMPPPPPRNPNSSSSSSSSSSSSMPPPKPLSPPLPAQPEAEAPSRPDGSAAESTKPSPSSSMPPPPPPRSSQLSESEPGASVDVSTNLSASSGDSPAEEARKPGDTEMAEAALPEQRQQRPRAPYATPNWSAAPDHPFFLEVLKDGAIFEKLDVSKKGAYMFGRIDLCDFVLEHPTISRFHAVLQFKNDGEVFLYDLGSTHGSSINKSQIKKRMYTKIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQNMVDREASLLRAKTQAALAEGISWGMGEDAIDESAEDEADEITWQNYKGQLTDRQEKTRSKIIKRMEKVANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIQESLGARAGKPKRGSHKASLEEEDDVVSDDDEFYDRTKKKSSSDQSNLQQSVETADSLLDKKDSITNDIEGKRKLLEDDKDKLAQRDNADLGDDLDAYMSGLSSQLVNDNIAQIQKELSDLQAELDKVVYLLKVADPMGEAVRKRDLKPREANPQVSSDPRTDFQKQDKVAQNKTSTEENLKDSCSTKTEVDKPAEVQTDVSNNRENATKPAFTIPKPQWLGDKRIIEPEENCIKEGNVNADESDNFVDYKDRKTVLSNSANEKDLEEAAPGLILRKRKSADQSAGTQAESSSVESEASAADAVALLLKYKRGLQTSEDMDNENEQQDSKRKGKKSKQKRVLGPARPDFLEKGPDYETWVPPEGQTGDGRTSLNERLGY* >Brasy7G116700.2.p pacid=40047732 transcript=Brasy7G116700.2 locus=Brasy7G116700 ID=Brasy7G116700.2.v1.1 annot-version=v1.1 MYTKIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQNMVDREASLLRAKTQAALAEGISWGMGEDAIDESAEDEADEITWQNYKGQLTDRQEKTRSKIIKRMEKVANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIQESLGARAGKPKRGSHKASLEEEDDVVSDDDEFYDRTKKKSSSDQSNLQQSVETADSLLDKKDSITNDIEGKRKLLEDDKDKLAQRDNADLGDDLDAYMSGLSSQLVNDNIAQIQKELSDLQAELDKVVYLLKVADPMGEAVRKRDLKPREANPQVSSDPRTDFQKQDKVAQNKTSTEENLKDSCSTKTEVDKPAEVQTDVSNNRENATKPAFTIPKPQWLGDKRIIEPEENCIKEGNVNADESDNFVDYKDRKTVLSNSANEKDLEEAAPGLILRKRKSADQSAGTQAESSSVESEASAADAVALLLKYKRGLQTSEDMDNENEQQDSKRKGKKSKQKRVLGPARPDFLEKGPDYETWVPPEGQTGDGRTSLNERLGY* >Brasy7G137200.1.p pacid=40047733 transcript=Brasy7G137200.1 locus=Brasy7G137200 ID=Brasy7G137200.1.v1.1 annot-version=v1.1 MAKHTNIFLTVALLSLLYTTLLAQGRSVAYHGEKFVPVQRPLDELLRSVFPTAGSVTLRNCDRCTCCSPVICQTMNCCMESVCTPGGGCAPAKSISCDCDTKTCA* >Brasy7G005400.1.p pacid=40047734 transcript=Brasy7G005400.1 locus=Brasy7G005400 ID=Brasy7G005400.1.v1.1 annot-version=v1.1 MDRAGGNQPGQVLKKGKKKHAKDESDRQKQAEKKRRRLEKALANSAAIISELEKKRRQKQEEQQRLDDEGAAIAEAVALHVLIGEDCDEPCQLMLNNRKRCDGFLDLELTPGAQGAGDGGYPCGNRLARGSHAHVPQWRWTDCGPFSFSSWEEVCDFEALYCRGAFAQSDSDTCPDRVATWAGISPIQARVGSCEDAFPVQGAAAAASAINIMLNDDTTTSSLNIYREL* >Brasy7G182200.1.p pacid=40047735 transcript=Brasy7G182200.1 locus=Brasy7G182200 ID=Brasy7G182200.1.v1.1 annot-version=v1.1 MAAVGKSSVLLDVKPWDDETDMLKLEEAVRSVEKEGLTWGASKLVPVGFGVNKLQIMITVVDELVSVDDLIEDCLCAEPVNEYVQSCDIASFNKICKQSRA* >Brasy7G170000.1.p pacid=40047736 transcript=Brasy7G170000.1 locus=Brasy7G170000 ID=Brasy7G170000.1.v1.1 annot-version=v1.1 MCGKKKIHSLLTETERMVNARKTAVAICLALLLLLVQDVHARKLSCELQEKHAAAPGPCSENEVHTAGAKQQQSQQLQRDPTKWEEIHTDYIYTQDVKHP* >Brasy7G175000.1.p pacid=40047737 transcript=Brasy7G175000.1 locus=Brasy7G175000 ID=Brasy7G175000.1.v1.1 annot-version=v1.1 MAFTNTNTRVLCLMALIVMPITLLSCHATGSNINGGSTGTPQCFQHKNCTVDSCRMLCERNGLELEECYCDIRPGYCCYDPNRDR* >Brasy7G058200.1.p pacid=40047738 transcript=Brasy7G058200.1 locus=Brasy7G058200 ID=Brasy7G058200.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAKAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTQEKKACGQAACGRQGLVRWRAQAAEGPGRRSPAVRLAEGSAEGVWRQIARAPESSHYGVITAAEGSWRQRACGGGGA* >Brasy7G098900.1.p pacid=40047739 transcript=Brasy7G098900.1 locus=Brasy7G098900 ID=Brasy7G098900.1.v1.1 annot-version=v1.1 MARHACITTLAVHILLKSSLHACSFSPNNSYEICCQWPYVKRDRERNVAKDDLPGMCMYASGNHMHPWSEYMLIFPGRLFLANILFSFSHARLLHGLHGLHLASRSCVHYSIITRIYGSYYDIYIMIVLV* >Brasy7G013800.1.p pacid=40047740 transcript=Brasy7G013800.1 locus=Brasy7G013800 ID=Brasy7G013800.1.v1.1 annot-version=v1.1 MATKQTPPPASTSGAGVSMKDYLKRYQSGPAAADPKKAKKKKPKPSAAAGGGGVLIVDEDPVWQKPVQIEEDEPASSGDERPLVDEDIEVKRMRRMEAVRAARPYNSIADDGSGWVSLPVPEPLNGDSHRRRRNDTPSPQRGGTGRKEDLSPPRRRQRRDTPSPEHGGIAGEDLSPPRQRQRRRDTPSPGAKDIAERDDLSPPRKFRRRQDPSPPRRRARHDSEEPQDLSLPRRRTRHDSEEPQDLSPCRRARHDSEDLKDLSPPRRQTRHDSRDPKDLSHPRRRARHDTKEPEDISPPRRRKHQDSTQLEDLSPPRRQNLGQSLGDGDISPPRKGRKFASDDLSPPRKERDLSPPRKGRKEGAPKEVRKAGLMSAEEVKEDIRKLKEDEKHKFLAQDPSFTGKGAKTVFRDKEGKRINQEDIQKAKGDEKPKEKHIEWGKGLVQKRAAEARVQDLEAEKSKPFARTRDDPELDSMLKNRLRWGDPMAHLVKRKDTDLLLDDLGDDEKMKESGFIVPQNVPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGYEKGMYMLKNEKQAMEQEAYLWSVADM* >Brasy7G066600.1.p pacid=40047741 transcript=Brasy7G066600.1 locus=Brasy7G066600 ID=Brasy7G066600.1.v1.1 annot-version=v1.1 MAKLPIIVIVVIFHVVLIVLLPIAVAAMAPVAVPVPDEDRSALLAFRSGVSSDPGGALADWGRSPGFCNWTGVACNSSTSSTRRVTQLVLSGRGILGVISPALGKMAFLTVLDLSSNGFAGEIPSELSALSRLTQLSLTNNLLSGAIPAGIGLLPELYYLDLSGNRLTGGIPETLFCNCSALQYMDLSNNSLAGDIPYAEDCRLPSLRFLLLWSNSLSGPIPQAISNSAALEWVDLESNYLAGELPHQVFDRLPRLQFLYLSYNNLSSHDGNTDLDPFFRSLSNCTRLQELELAGNGLGGPLPPSIGELSRGLRQLHLEDNAISGSIPPNISGLVNLTYLNLSNNHLNGSIPPEISRLLLLERLYLSNNFLSGEIPRSIGELPRLGLVDLSGNLLAGAIPDTFSNLTQLRRLMLHHNRLTGAIPRSLGDCQNLEILDLSYNGLRGEIPAHVVAGLSSLKIYLNLSSNHLGGALPIELSKMDMVLALDLSSNELAGAIPSQLGGCVALEYLNLSRNALRGALPSSVAALPFLRAIDVSRNALSGALPDPALRASTSLREADFSYNDFSGVAPVLANLPAAAFRGNPGLCIVIPSNNSIATCDGGSRRRHRRAVLPAVVGIVAAVCAMLCAAAGCRWVAAARARRRESTWRVDVEGQGEREHHHPRISYRELSEATGGFEESSLIGAGRFGRVYEGTLRGGARVAVKVLDPKLGGGEVSVSFRRECEALRRTRHKNLIRVITTCSTPSFHALVLPLMPRGSLEDHLYPPEHDGRDAGEGLDFRQLVSVASDVAEGMAYLHHYSPVRVVHCDLKPSNVLLDDGMRAVISDFGIARLVAGAGAGAGEASSSTSDESAPCNNSIATGLLQGSVGYIAPEYGLGGNPSARGDVYSFGVMLLELITGKRPTDVIFDEGLTLHDWVRRHHPHDIAAALAHAPWARRRDVAAANGMVAAAVAVELIELGLACTHYSPALRPTMEDVCHEITLLREDLAKHDSGHDDADDGGGRSFSTTKDSLFSNSS* >Brasy7G045700.1.p pacid=40047742 transcript=Brasy7G045700.1 locus=Brasy7G045700 ID=Brasy7G045700.1.v1.1 annot-version=v1.1 MSDEEGSKASRDVLLVIDSLKEKVTADRFTSIKNRIKENTVKLSTFTQSTCNFSKIWQRNTSNSTDLVSDLLTIRQDDALSSVHSVDVYPAEKDGDSSEEESSYAMSTASAKNAAHPIKLPELPRLPPYTTWTFLDRNQRMTEDQSVLGRRRIYYDANCGEALIASDSEDEAVEDEEEKKEFKGSEDYLIRMTIQECGMSDAVLETLAQCFDRAAGDIKARYEILNGEKTELHLKKVSELNAKVEDAYRDKDLDAALDSYDNLFCRRCLVFDCKLHLCSQDLVFPTEKQQAWNITDDGIPCGIHCYKLAVKPDATTTNDSHMLIDVDEPTHSSDNTTNQFGSDKKKQGFSGRKAKSQQSESSSTPRVASESSDSEVHPVSNKSLQHSPSPSKVKNSPKGGIKKNTNKRIAERILMSVKKGQREMVSSDSNSGGFLWPRDMKLRSDTRIGHKDSVATSQYNSPNTRNSQKRDSSGIEKNSDLVEAHNNSTEEANNKHSATYDNDSSRKDFVDEQEHNGRSWKVIEQGLLVKGLEIFGRNSCLIARNLLSGMKTCRDVFQYMNYIENCSASGALSGVHSLVKGYMKGNELHARSRFFRRRGRVRRLKYTWKSAGYHFIRKRITEKKDQPCRQYNPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDISGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDIENSSFLFNLNNEYVLDAFRMGDKLKFANHSPSPNCYAKVIMVAGDHRVGIFAKERIGAGEELFYDYRYEADRAPAWARKPDAPGAKVMAQPSSGRAKKVAH* >Brasy7G045700.2.p pacid=40047743 transcript=Brasy7G045700.2 locus=Brasy7G045700 ID=Brasy7G045700.2.v1.1 annot-version=v1.1 MSDEEGSKASRDVLLVIDSLKEKVTADRFTSIKNRIKENTVKLSTFTQSTCNFSKIWQRNTSNSTDLVSDLLTIRQDDALSSVHSVDVYPAEKDGDSSEEESSYAMSTASAKNAAHPIKLPELPRLPPYTTWTFLDRNQRMTEDQSVLGRRRIYYDANCGEALIASDSEDEAVEDEEEKKEFKGSEDYLIRMTIQECGMSDAVLETLAQCFDRAAGDIKARYEILNGEKTELHLKKVSELNAKVEDAYRDKDLDAALDSYDNLFCRRCLVFDCKLHLCSQDLVFPTEKQQAWNITDDGIPCGIHCYKLAVKPDATTTNDSHMLIDVDEPTHSSDNTTNQFGSDKKKQGFSGRKAKSQQSESSSTPRVASESSDSEVHPVSNKSLQHSPSPSKVKNSPKGGIKKNTNKRIAERILMSVKKGQREMVSSDSNSGGFLWPRDMKLRSDTRIGHKDSVATSQYNSPNTRNSQKRDSSGIEKNSDLVEAHNNSTEEANNKHSATYDNDSSRKDFVDEQEHNGRSWKVIEQGLLVKGLEIFGRNSCLIARNLLSGMKTCRDVFQYMNYIENCSASGALSGVHSLVKGYMKGNELHARSRFFRRRGRVRRLKYTWKSAGYHFIRKRITEKKDQPCRQYNPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDISGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDIENSSFLFNLNNEYVLDAFRMGDKLKFANHSPSPNCYAKVIMVAGDHRVGIFAKERIGAGEELFYDYRYEADRAPAWARKPDAPGAKVMAQPSSGRAKKVAH* >Brasy7G022800.1.p pacid=40047744 transcript=Brasy7G022800.1 locus=Brasy7G022800 ID=Brasy7G022800.1.v1.1 annot-version=v1.1 MAWELIEVAGNLTPLQHSTVDVVAAKIESKVANALIRQLNQVFPLENLRHVKRVRRRVDSGGKSELSIILCLSTGPENCRNGFPEDVQKLVDTYQLSPFIAKVSSYPAISKEEWQEQCKLWPTSYHPPHETDGASGFKEEELPSIFDHMRTAIQLSEAGNVAIIVDPSSMEIISKATDKTNQHDALRNTGARVEAENGCCLAEATEANDGKLLLPSSHVSNGLNMEVSCMNSWGWMKRSSTEQKTLPSEGGFLWHPLRHAAMVAIENAAERDRKLFHISTSSTTEAILNGNMENCSDNEPAKRLKKVTKDKEEYGHEECCSDLSGRNRPYLCTGFDIYLVWEPCTMCAMALVHQRFKRVFYAFPNQNNGALGTVYRLHGEKSLNHHYSVFRIKVPGQYLNGSSDCSEKASSDFVSS* >Brasy7G022800.2.p pacid=40047745 transcript=Brasy7G022800.2 locus=Brasy7G022800 ID=Brasy7G022800.2.v1.1 annot-version=v1.1 MAWELIEVAGNLTPLQHSTVDVVAAKIESKVANALIRQLNQVFPLENLRHVKRVRRRVDSGGKSELSIILCLSTGPENCRNGFPEDVQKLVDTYQLSPFIAKVSSYPAISKEEWQEQCKLWPTSYHPPHETDGASGFKEEELPSIFDHMRTAIQLSEAGNVAIIVDPSSMEIISKATDKTNQHDALRNTGARVEAENGCCLAEATEANDGKLLLPSSHVSNGLNMEVSCMNSWGWMKRSSTEQKTLPSEGGFLWHPLRHAAMVAIENAAERDRKLFHISTSSTTEAILNGNMENCSDNEPAKRLKKVTKDKEEYGHEECCSDLSGRNRPYLCTGFDIYLVWEPCTMCAMALVHQRFKRVFYAFPNQNNGALGTVYRLHGEKSLNHHYSVFRIKVPGQYLNGSSDCSEKASSDFVSS* >Brasy7G069300.1.p pacid=40047746 transcript=Brasy7G069300.1 locus=Brasy7G069300 ID=Brasy7G069300.1.v1.1 annot-version=v1.1 MATVQISLMEAQQRLLPCVTSVQMLVLLLLLLPCERKAWRVSFRVAWRASFRFSKCVLTATMLRGHYPHHPDNVAHLATSQRLHLRRC* >Brasy7G233400.1.p pacid=40047747 transcript=Brasy7G233400.1 locus=Brasy7G233400 ID=Brasy7G233400.1.v1.1 annot-version=v1.1 MLLRLGLAVVPSSLPRLPPIPPLLQRTRSCLSRRRALLLPLSLPLSSSAATMSAQPPAPAPPVARKNPRELVEHGDARVDDYYWLRDDSRSDPAVLAHLRAENDYTAALMSDTKQLEDEIFAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYAQHCRLLVPTDGPITVHDVMPTGPDAPAEHIILDENVKAEGHDYYSIAAFKVSPSGKLVAYAEDTKGDEIYTVFVIDAESGEYVGQPLKGITCDIEWAGDDNLVYITMDSILRPDKVWIHKLGSAQSDDICLYHEKDDTFSLGLHASESKQYLFVESGSKNTSFIFYLDISSQGKELVVLTPRVYGIDTTASHRGNHFYITRRSEEFYNSELVACPLDNVSETTVLLPHRESVKIQDVQLFENHIAVYERENGLPKVTVYRLPATGESVGQLQGGRAIDFFDPAYAVEPEPSQFHSNVIRYYYSSMRTPPSVFDYDMDTGVSILKKINTVLGGFDASNYVTERKWAAASDGTQIPMSILYRKDMVKLDGSDPMLLYGYGSYEICIDPTFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFISCAEHLIENKYCTKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQQYPHILVTAGLNDPRVMYSEPAKFVARLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMTPGLKASSL* >Brasy7G014900.1.p pacid=40047748 transcript=Brasy7G014900.1 locus=Brasy7G014900 ID=Brasy7G014900.1.v1.1 annot-version=v1.1 MWSSSVHPPAAALLRRHPMAERAPRLRRLLVNVTVDGSLWPVHVVLAADATVADLARAAVAAYVGVGRRPPLPADGDDDARFEVHFSKYSLDVLNPEEKVLDLGSRNFFLCPACTTRRRSIRSPCLNYM* >Brasy7G037000.1.p pacid=40047749 transcript=Brasy7G037000.1 locus=Brasy7G037000 ID=Brasy7G037000.1.v1.1 annot-version=v1.1 MDFIRPQISVHIDQESISHLLLGCVVLRQVWDGVLRAWQRLEWAPAPQEEIVDWCPRLEAPSKKNRRDLNTAVTLICWSIWWHRNSVVFDGAIPSVGAILHSIEREGRIWSRAGLLKGILFSSFGS* >Brasy7G041100.1.p pacid=40047750 transcript=Brasy7G041100.1 locus=Brasy7G041100 ID=Brasy7G041100.1.v1.1 annot-version=v1.1 MAAAAWTSGSRSGSGEAGGTAGEEAPWRRRRGPVAPGPDPVSLGPDPARLEARPAAGRAGCAAFGGSGGGRARAAARAGGAWWPVQREAVKGEVREGDWEGEDFGRREEFGREEKNKREAAGPTEDELGRDGLGEMELEEGPSWERRRREQRELGERPI* >Brasy7G020400.1.p pacid=40047751 transcript=Brasy7G020400.1 locus=Brasy7G020400 ID=Brasy7G020400.1.v1.1 annot-version=v1.1 MVPVVISPKVMFPAASPSVTFLRTSALPSAMFSTPSRADTVLLGLPSRTVASSFSHMLFH* >Brasy7G173400.1.p pacid=40047752 transcript=Brasy7G173400.1 locus=Brasy7G173400 ID=Brasy7G173400.1.v1.1 annot-version=v1.1 MEDAPDQSGLPVGECEWREELRQQQSQVEALRERLVEVKVGMRRSEDDSGRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRNQDGVGFVDRHGVPLADWSKVAESASCGRGLDDRSVVEGSGAPKHGDANEGDGDVDDILKSIRVVTDVMESLVKRVIMAESETANEKEKVRIGLEEIRRKTIQVESMSVKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKNDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVARTNQLETEKAQKEAEVQKVMEENVRLRAMVDKKDAQLQAMSEQCKFMALNHPN* >Brasy7G098000.1.p pacid=40047753 transcript=Brasy7G098000.1 locus=Brasy7G098000 ID=Brasy7G098000.1.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVSRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.2.p pacid=40047754 transcript=Brasy7G098000.2 locus=Brasy7G098000 ID=Brasy7G098000.2.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.6.p pacid=40047755 transcript=Brasy7G098000.6 locus=Brasy7G098000 ID=Brasy7G098000.6.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVSRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.3.p pacid=40047756 transcript=Brasy7G098000.3 locus=Brasy7G098000 ID=Brasy7G098000.3.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.7.p pacid=40047757 transcript=Brasy7G098000.7 locus=Brasy7G098000 ID=Brasy7G098000.7.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVSRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.5.p pacid=40047758 transcript=Brasy7G098000.5 locus=Brasy7G098000 ID=Brasy7G098000.5.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVSRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.4.p pacid=40047759 transcript=Brasy7G098000.4 locus=Brasy7G098000 ID=Brasy7G098000.4.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.8.p pacid=40047760 transcript=Brasy7G098000.8 locus=Brasy7G098000 ID=Brasy7G098000.8.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.9.p pacid=40047761 transcript=Brasy7G098000.9 locus=Brasy7G098000 ID=Brasy7G098000.9.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKAEETSGWSREETLKNINPSVSEVRFCLELNIYFLPSDLQMRRMVSPLHL* >Brasy7G098000.10.p pacid=40047762 transcript=Brasy7G098000.10 locus=Brasy7G098000 ID=Brasy7G098000.10.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.11.p pacid=40047763 transcript=Brasy7G098000.11 locus=Brasy7G098000 ID=Brasy7G098000.11.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.12.p pacid=40047764 transcript=Brasy7G098000.12 locus=Brasy7G098000 ID=Brasy7G098000.12.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.13.p pacid=40047765 transcript=Brasy7G098000.13 locus=Brasy7G098000 ID=Brasy7G098000.13.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.14.p pacid=40047766 transcript=Brasy7G098000.14 locus=Brasy7G098000 ID=Brasy7G098000.14.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.15.p pacid=40047767 transcript=Brasy7G098000.15 locus=Brasy7G098000 ID=Brasy7G098000.15.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.16.p pacid=40047768 transcript=Brasy7G098000.16 locus=Brasy7G098000 ID=Brasy7G098000.16.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.17.p pacid=40047769 transcript=Brasy7G098000.17 locus=Brasy7G098000 ID=Brasy7G098000.17.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSQSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.18.p pacid=40047770 transcript=Brasy7G098000.18 locus=Brasy7G098000 ID=Brasy7G098000.18.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCDDHFVKPSEIDATEITSVGSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.19.p pacid=40047771 transcript=Brasy7G098000.19 locus=Brasy7G098000 ID=Brasy7G098000.19.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.20.p pacid=40047772 transcript=Brasy7G098000.20 locus=Brasy7G098000 ID=Brasy7G098000.20.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G098000.21.p pacid=40047773 transcript=Brasy7G098000.21 locus=Brasy7G098000 ID=Brasy7G098000.21.v1.1 annot-version=v1.1 MPRIFEVVLVKHYTATEWLKHFRVQDCDRIGLVREHMTFKSNKCPKRSRENLSLCQKKKFKPSTNSLDLSSSPSLLSVDSVCSVPASEDGHNLFKRRKIAKECNFPLTNGNVRDTRTRSFTTFGDNLSLIQNGGGRSLTVPSNVQGSKYGANIDEYTTEYKEPREASKGSICKNSSGSLSDVDDRNSISISRMPSYINHKTKDARDCSLSDTIATKPVPVMDLTSSRELCISMLKRDIPIEISELSNASTTITHDDNERNPLFACKSCGSLEDPCQMLICDCCEGAFHLHCCQRRIKKIPDKEWFCLDCSRKNPKRQALPSRKDGSLKHIERPRRGLCSIGDMLMNAEPYETQVRIGRDFQAEVPEWSGRISCSDDHFVKPSEIDATEITSSSRCKDKKKSIGNWIQCQEVLDTGVFCGKWRRAPLFVVQLSNWDCSCSVLWDPIHADCVVPQELETDKVLEQLKYINKVVALVALLTPEHTIFKGGCSCKPGLVCVCCH* >Brasy7G211700.1.p pacid=40047774 transcript=Brasy7G211700.1 locus=Brasy7G211700 ID=Brasy7G211700.1.v1.1 annot-version=v1.1 MVRRKKGSSSRVRRSTMPDRKTGVTVLDDLPEEVIVHKILTLLPAKDVGRCRAVRKSWRSATSTPEFILEHRLRQPLLPIIDNGQTAGFVVLRETGARAYGQQLWPFIRYIKGRYKGRGRLHAASDGLLIVSQGSRVYICNPAIRKHALLPQPRSEPRYEGVYNTIRFCGLYRHHPTGEYRVLWISRHFSEHSVYEASLYALTVGNNEPRHIRIRMPGMLPLSEEQKFLKRLFCFAHCPPVHHCGNLHWAPRGFNKVGVTKEIIVFDTVAESFRWMRCPTQLGSKRRLFDINGTLAFGCCALKRAIEFWVMQDYEAEIWAFKYRIDVSTVEASRDLTIIAKRKNKNKKPLDFTVEYFSDMAVLNERELLIQFNSKHVLRCDIDGKFLGIVNTAKRQYHMSLTQHCLQESIIPIPSCEMQEEDRESPFFTGHV* >Brasy7G104500.1.p pacid=40047775 transcript=Brasy7G104500.1 locus=Brasy7G104500 ID=Brasy7G104500.1.v1.1 annot-version=v1.1 MTSPAKAMAVVAAMALLLSTAAVAQHNYADALHKSILFFEGQRSGRLPPDQRVRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGSHEGEARKAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPAHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAVSVFAFADKYRGAYSSSLHAAVCPCYCDYDGYQDELLWGAAWLHKASRQRVYRDYIKKNEVALGASESINEFGWDNKHAGINVLISKEVLMGKDQFFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANARVACGGASSASPVQLRRVARRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVSAHPARIGCKAGAAYYGSSAPNPNLLVGAVVGGPSNTSDNFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPSI* >Brasy7G063100.1.p pacid=40047776 transcript=Brasy7G063100.1 locus=Brasy7G063100 ID=Brasy7G063100.1.v1.1 annot-version=v1.1 MPPRLTYPAVRLPHLLPPVYLSTPPPKPLAAGAVFTATGRQAWSPPAAVPSAAFAVAMRPHRSPHLCRPAPPRAGLAPAASSAVEAPRSAALIKPSPKPPTLRPGWGGEV* >Brasy7G185500.1.p pacid=40047777 transcript=Brasy7G185500.1 locus=Brasy7G185500 ID=Brasy7G185500.1.v1.1 annot-version=v1.1 MMLLFFITVSCKKCPAFKAPREGRAMHVWIGVHRLVRNVMNY* >Brasy7G187400.1.p pacid=40047778 transcript=Brasy7G187400.1 locus=Brasy7G187400 ID=Brasy7G187400.1.v1.1 annot-version=v1.1 MPTHKFACPGGVLHIRMTDVHHRVTVQMLCQLFCRSGAGDMLCVFERVVDGMYGVEAYVQFHSRWEAARARDALDGRAIYDGCCFLAVDLVPPIYTAITTPSEDKLAPVYFYDDTPYAAWSAALSSAERHEAPACVPGMSLSSASPPATKAAIKPSASVLSANDPSLMVHTTCSIGCTSGDTSTATSPCTHSSVPASSTSTPNSSADVLAVCVTHEAEDMNLTFLAASPVCLSGDTNAAASTVLAAALAAVVPPSSCDAHEMSVAVAEQHGKSAEDDSAVTKEKDAEKSLVLRDLCFASLDTQLFS >Brasy7G051800.1.p pacid=40047779 transcript=Brasy7G051800.1 locus=Brasy7G051800 ID=Brasy7G051800.1.v1.1 annot-version=v1.1 NVLQFSTERLSSPPHSPIPHPILPHFFLLSTHLRTYLLSSSPASAASLPPDSPCDSPPHPPPSLLLVRLYCHRTLLRAARCTWGRVRGPDPAAARGSPTRGSEGIEQPVREEMEGGRRRGVGWCGAREARIFVCLGCFGVVVQWGRGRSRWKKWKTWRMRGTEEQGEGRMCVFMCADALVEID* >Brasy7G120900.1.p pacid=40047780 transcript=Brasy7G120900.1 locus=Brasy7G120900 ID=Brasy7G120900.1.v1.1 annot-version=v1.1 MVLRRRRNPHAPLPRRIQTPAPPIALAPVARIPVPRRLLPCAATYLQLYVVSSNPRSSRRLPTYLPTVITSSNPCSRRLPAASQRHRRSSSTAWAVAAAVASGRGWATGATSSHLLRSPSIRPWRVWTDPRASSAVVRPARVGGSSLLHIRNVCIVQSSRWTTQYVRPSIWCRGRRKGGGGAVRGRKGRPRRWAGATEGGGARPRGAWGGDGIDAGTREQRAALCRGWRWAGAGESETEEKV* >Brasy7G014300.1.p pacid=40047781 transcript=Brasy7G014300.1 locus=Brasy7G014300 ID=Brasy7G014300.1.v1.1 annot-version=v1.1 MATSMKPATMVIASVLAVLLIAASWSAVSADYQGTPCQQQTNVLNGNGNGNGNVYANNINSGNGNGAVSGNKVVDGSGNGNNGGNGNTERKYNYNGNTYDSNKNNANTYDSNKNNGYTTGSNNKNGDNKGY* >Brasy7G001200.1.p pacid=40047782 transcript=Brasy7G001200.1 locus=Brasy7G001200 ID=Brasy7G001200.1.v1.1 annot-version=v1.1 MTASSGGGGGSSPAPRAMKRELAFALQSLSEITASLGRTRSRSGLSLSSPAPSAPPAKRRKARSSDPPNKDKEEDPADLLSSPPTPPLDAEAPKASHGADVDAEPPKASHDSDVDGEASKAGSDVVDAGLPKANHRADDLITVDAEAQPNTNGGDPDLMGAFARPCLGAPPTGEEHGSAPAAVSPQHPNGTSNASGEDTGVITQPAGCDGEAAKQDAGAEPNAAAALAMPDVDEHAWLLELDAPPTLQEFTAIMGESPTLDELMDACMGSGMLDAFDDPLLVTEGASPTLQESTTVIVQHHKEELMEACHGSNGSSILDNVLAGSPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNGPSILDNVLADPPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNGPSILDNVLADPPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNRPSVLDNVLADPPLVTDGAHPTLQEPTTVIGQHQREELTDACHGSNRPNVLDNVLADPPLVTDGATTPVSTSGLKPRRRFTRSLLKNKPEEDAVASEGQDSDDNKDASVDLAPPPERRFTRSLLKPPADTASPPSVKMEMKMSKKVACFTKHPGNVKELLQTGLLEGMPVMYIIPNSKKAVVKGVITGCNIRCFCIKCNGSRALSTYFFELHAGSNKKHPAEHIYLGNGSSLRDVLRACCGSSLESLEETFRSSIDPMVIRSRPNCLNCGGHLPSSETQQFLCHCCLDSKQPQDPPSPSYSCSKSDSSMTPSFKSSSVKISSIKKAVSSGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCSRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGLGGDIFPCKMCPRSFHPACVGLSEVPSGWFCDNCSNLVQKEKALAANKNAKAAGRQAGVDSIEQIMKRAIRIVPICDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLRTQWQVDLKQLPDGEWFCCSSCSEIRSCLDKMISDGAQPLSGSDLEIIRKKHESRGLSMDADIDIRWQLLAGRSATEDGSLLLSSAVPIIHQSFDPIIEANTGRDLIPEMVNGRRPKEGMPGQDYSGMYCAVLTLGSTVVSAALLRIMGGDVAELPLVATSMDLQGLGYFQVLFSCMERMLISLKIKHFMLPAAQEAEAIWMKKFGFSRIPQEQLEAYLNGAHLTVFHGTSNLYKAVPSPSPGD* >Brasy7G001200.2.p pacid=40047783 transcript=Brasy7G001200.2 locus=Brasy7G001200 ID=Brasy7G001200.2.v1.1 annot-version=v1.1 MTASSGGGGGSSPAPRAMKRELAFALQSLSEITASLGRTRSRSGLSLSSPAPSAPPAKRRKARSSDPPNKDKEEDPADLLSSPPTPPLDAEAPKASHGADVDAEPPKASHDSDVDGEASKAGSDVVDAGLPKANHRADDLITVDAEAQPNTNGGDPDLMGAFARPCLGAPPTGEEHGSAPAAVSPQHPNGTSNASGEDTGVITQPAGCDGEAAKQDAGAEPNAAAALAMPDVDEHAWLLELDAPPTLQEFTAIMGESPTLDELMDACMGSGMLDAFDDPLLVTEGASPTLQESTTVIVQHHKEELMEACHGSNGSSILDNVLAGSPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNGPSILDNVLADPPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNGPSILDNVLADPPLVTEGAHPTLQEPTTVIGQHQREELTDACHGSNRPSVLDNVLADPPLVTDGAHPTLQEPTTVIGQHQREELTDACHGSNRPNVLDNVLADPPLVTDGATTPVSTSGLKPRRRFTRSLLKNKPEEDAVASEGQDSDDNKDASVDLAPPPERRFTRSLLKPPADTASPPSVKMEMKMSKKVACFTKHPGNVKELLQTGLLEGMPVMYIIPNSKKAVVKGVITGCNIRCFCIKCNGSRALSTYFFELHAGSNKKHPAEHIYLGNGSSLRDVLRACCGSSLESLEETFRSSIDPMVIRSRPNCLNCGETQQFLCHCCLDSKQPQDPPSPSYSCSKSDSSMTPSFKSSSVKISSIKKAVSSGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCSRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGLGGDIFPCKMCPRSFHPACVGLSEVPSGWFCDNCSNLVQKEKALAANKNAKAAGRQAGVDSIEQIMKRAIRIVPICDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLRTQWQVDLKQLPDGEWFCCSSCSEIRSCLDKMISDGAQPLSGSDLEIIRKKHESRGLSMDADIDIRWQLLAGRSATEDGSLLLSSAVPIIHQSFDPIIEANTGRDLIPEMVNGRRPKEGMPGQDYSGMYCAVLTLGSTVVSAALLRIMGGDVAELPLVATSMDLQGLGYFQVLFSCMERMLISLKIKHFMLPAAQEAEAIWMKKFGFSRIPQEQLEAYLNGAHLTVFHGTSNLYKAVPSPSPGD* >Brasy7G090100.1.p pacid=40047784 transcript=Brasy7G090100.1 locus=Brasy7G090100 ID=Brasy7G090100.1.v1.1 annot-version=v1.1 MAAAWGGTTQKCAACGRTVYPVEELAADGRAYHRPCFRCHHCKSTLQFSNYSSIEGVLYCKPHYDQILKSTGSLDKSFEGTAGVTRSAKSENSNGHKVQKSSRFSSMFVGTQEKCVVCNKTVYPLEKVDLNGGSYHKSCFRCTHGGCTLNPSNHITHEGKLYCKTHHFQLFMVKGNFSQFEENTVNAKVALDKQEETEGDTKKPSQGDGLTEEPSENELTAGKTSPNNVVTEKQLQSSIDVAKPLESTVQKSAESEGVTETESKTHVENKKPSESSAEKPVQSSVVDFKPSGSSAATRKPWQRSPPKDKPLLSSTSTEKPSANATAIEKPSSSNGINVKPLDNSTGVKKSSQRNLATEDQQLSILPSEKPASTSSDDVKPSESGKVVKKPWQHIVTAENQIQNSGPTEKASLTRAKKPLDSSTAIKRPWGCRVPSEKSLQSSEVDLKPVETSTVVTVLQQHSETTEEPPQTNADDAKPSENTTAVVRKPWQFNIGTETQPQSNVVDAKTTESSGAVKRLWQRNVASEKPSQSSVASLTTSQSNVTVTKPVPSNMTVKKSWQRSIAPKNMPERDMTTNKQLQRKAVIENPQQSSVATEKASQSSVVSEEPQKITDFTDNKSETVKDTKNSGDAIKEPSTTSETQQRGLMTEDDLSLERPPQKEDTIKKIEEPESDATSDNPTKDLSAPKGAASDESVSESQTKPIVEQTLETQHVVAAEKANNQILEAKNDASIVQSLGSESVAPAEVPVEQPLECQKDAVTEQPLEPQPEADDKNRSEPSMDATTEDTSEPEIETGTKKLLEPQRDAAADQSAEQLSEPQSGTSTEKSAALDMATEKPYESQSDAAAVESSGQPSEPQNDASIDKPLEPKVDATPEGLSEHQIDAAPHKLTEHPSEHQIDAVPNKLTEQPLESQKDVSIEKPLETESNIVTENPTESSLATETLHESTLKSTSTTTQELAQRNISDEAPPQSISPETPVESTVSVEESADVGGTSLKLSDDNSALEKPSQDSVTPEMPSEEGKASADPAEDNASLEKSSEEDEVIAEPSNDRVDLEKLLEDDNASAAPLEDSVTPEKPSDEGKVSAEPEEGNAVLEKPSEEDEVIAEPSNVGVDLEKKPSEDDGSSAKPSEDSVTPEKLSEEGKASAEPAEDDAPLEKQSEKEEVIAEISNDKVDLEKPSEDNDASAKPSEDDVTPEKPPEEGKASAEPTEDNAPLEKPLEDNKVIAEASNDTVDLEKPSEDDVASAKPSEDDVTPEKPSEEGKASAEPAEDNGPLEEPSEDDEVIAEASNGTVDLEKPSEDNLANAEPSLDDVTPEKPSEEGEASAKPAEDNAPLEKPSEEDEVIAEPSNDTVVLEKPSEEEDDIVKPSEDRMALEKPATDKPLEEGEEGAATEKPSHGDAVIEASSQDDTDSATDSASPATGTKISA* >Brasy7G090100.2.p pacid=40047785 transcript=Brasy7G090100.2 locus=Brasy7G090100 ID=Brasy7G090100.2.v1.1 annot-version=v1.1 MAAAWGGTTQKCAACGRTVYPVEELAADGRAYHRPCFRCHHCKSTLQFSNYSSIEGVLYCKPHYDQILKSTGSLDKSFEGVTRSAKSENSNGHKVQKSSRFSSMFVGTQEKCVVCNKTVYPLEKVDLNGGSYHKSCFRCTHGGCTLNPSNHITHEGKLYCKTHHFQLFMVKGNFSQFEENTVNAKVALDKQEETEGDTKKPSQGDGLTEEPSENELTAGKTSPNNVVTEKQLQSSIDVAKPLESTVQKSAESEGVTETESKTHVENKKPSESSAEKPVQSSVVDFKPSGSSAATRKPWQRSPPKDKPLLSSTSTEKPSANATAIEKPSSSNGINVKPLDNSTGVKKSSQRNLATEDQQLSILPSEKPASTSSDDVKPSESGKVVKKPWQHIVTAENQIQNSGPTEKASLTRAKKPLDSSTAIKRPWGCRVPSEKSLQSSEVDLKPVETSTVVTVLQQHSETTEEPPQTNADDAKPSENTTAVVRKPWQFNIGTETQPQSNVVDAKTTESSGAVKRLWQRNVASEKPSQSSVASLTTSQSNVTVTKPVPSNMTVKKSWQRSIAPKNMPERDMTTNKQLQRKAVIENPQQSSVATEKASQSSVVSEEPQKITDFTDNKSETVKDTKNSGDAIKEPSTTSETQQRGLMTEDDLSLERPPQKEDTIKKIEEPESDATSDNPTKDLSAPKGAASDESVSESQTKPIVEQTLETQHVVAAEKANNQILEAKNDASIVQSLGSESVAPAEVPVEQPLECQKDAVTEQPLEPQPEADDKNRSEPSMDATTEDTSEPEIETGTKKLLEPQRDAAADQSAEQLSEPQSGTSTEKSAALDMATEKPYESQSDAAAVESSGQPSEPQNDASIDKPLEPKVDATPEGLSEHQIDAAPHKLTEHPSEHQIDAVPNKLTEQPLESQKDVSIEKPLETESNIVTENPTESSLATETLHESTLKSTSTTTQELAQRNISDEAPPQSISPETPVESTVSVEESADVGGTSLKLSDDNSALEKPSQDSVTPEMPSEEGKASADPAEDNASLEKSSEEDEVIAEPSNDRVDLEKLLEDDNASAAPLEDSVTPEKPSDEGKVSAEPEEGNAVLEKPSEEDEVIAEPSNVGVDLEKKPSEDDGSSAKPSEDSVTPEKLSEEGKASAEPAEDDAPLEKQSEKEEVIAEISNDKVDLEKPSEDNDASAKPSEDDVTPEKPPEEGKASAEPTEDNAPLEKPLEDNKVIAEASNDTVDLEKPSEDDVASAKPSEDDVTPEKPSEEGKASAEPAEDNGPLEEPSEDDEVIAEASNGTVDLEKPSEDNLANAEPSLDDVTPEKPSEEGEASAKPAEDNAPLEKPSEEDEVIAEPSNDTVVLEKPSEEEDDIVKPSEDRMALEKPATDKPLEEGEEGAATEKPSHGDAVIEASSQDDTDSATDSASPATGTKISA* >Brasy7G016400.1.p pacid=40047786 transcript=Brasy7G016400.1 locus=Brasy7G016400 ID=Brasy7G016400.1.v1.1 annot-version=v1.1 MMPPRSNLKRQHIEQVSFCHDCGHAGDMVYHTPVECTYANTFWLPMKEQASIKLPHLCLLTWANDF* >Brasy7G118000.1.p pacid=40047787 transcript=Brasy7G118000.1 locus=Brasy7G118000 ID=Brasy7G118000.1.v1.1 annot-version=v1.1 MASSEDRAGGSPCPRPHMVLLPSAGMGHLAPFTRLATTLSSAHACDVSLVTALPTVSSAESDHLQQLSTASPTIRRLDFHLAPFDASEFSPDADPFYLRYEAMRRSAPLKLAPLLAAAGASALVADISLASVAIPVANEIGLPCHVFFTASATMFSFYAYFPTYLDAAHGAPGDADVPGVCRVPKSSFPQALHDGANLFTQQFVANGRSLCNADGLLVNSFDDFEPEAVAALRHGSVTPGLSFPPVYTVGPLSPARASSAAGDDDYMAWLDAQPERSVVYVSFGSRKALPRDQMRELADGLEASGCRFLWVVKGAAVDRDDDAALGDLLGGGGFLQRVQQGQRRGLVTKAWVEQGAVLKHPAVGLFVSHCGWNSLTEAAASGVPVLAWPRFADQRVNAGVVARRGVGVWVEEWSWEGEERAVTAAEIAEKVRSVMADEALRRKSVVVREAAARAVGRGGTSYRSLAELVRRCCKPSVSQ* >Brasy7G040000.1.p pacid=40047788 transcript=Brasy7G040000.1 locus=Brasy7G040000 ID=Brasy7G040000.1.v1.1 annot-version=v1.1 MARLQTLALLLLVSVTVLPLASSDDSDYERCEGAVTGWADSAAETDNGGDDKLNLKDLLFFLHIPRTGGRTYFHCFLKQLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSAYEFSIEVAARFLVHPNITSAKLMTSRMLSKSRARAVSTLDIWPWKYLVPWMREDLFARRDVRGIDKTLSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRRHPDLGRFVLQVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNLDIKEDPPGGIDSHPSMLDPEDEEANEHMNSTHGWKNNEALNATEDDHGNGNMTVAKLMETYESCIAKLRKTQSSRRKISLKKVEEANFTKEARRQVPEAILKQIISLNSLDMELYEHAKNIFRQEHLVLRGQRPMVVQHTQLADQKGWIYTVCESWSCSPWKVVTFGLGITATIIFVAFTVTTRRTLKLKV* >Brasy7G040000.2.p pacid=40047789 transcript=Brasy7G040000.2 locus=Brasy7G040000 ID=Brasy7G040000.2.v1.1 annot-version=v1.1 MARLQTLALLLLVSVTVLPLASSDDSDYERCEGAVTGWADSAAETDNGGDDKLNLKDLLFFLHIPRTGGRTYFHCFLKQLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSAYEFSIEVAARFLVHPNITSAKLMTSRMLSKSRARAVSTLDIWPWKYLVPWMREDLFARRDVRGIDKTLSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRRHPDLGRFVLQVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNLDIKEDPPGGIDSHPSMLDPEDEEANEHMNSTHGWKNNEALNATEDDHGNGNMTVAKLMETYESCIAKLRKTQSSRRKISLKKVEEANFTKEARRQVPEAILKQIISLNSLDMELYEHAKNIFRQEHLVLRGQRPMVVQHTQLADQKGWIYTVCESWSCSPWKVVTFGLGITATIIFVAFTVTTRRTLKLKV* >Brasy7G141000.1.p pacid=40047790 transcript=Brasy7G141000.1 locus=Brasy7G141000 ID=Brasy7G141000.1.v1.1 annot-version=v1.1 MAITGGPRRRFLLPLLVCSIFLASSPARAFYLPGVAPRDFQKDDDLQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRTKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDLNAAEARIVGFHVIPSSIKHEYGAWDDKNPTVQTCNANTKITPGSHTPQEVAPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMIAMIMMRTLYKDIANYNQLDNQEEAQEETGWKLVHGDAFRPPVHSGLLCVYVGTGVQFFGMTVVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFGVFFVLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID* >Brasy7G062100.1.p pacid=40047791 transcript=Brasy7G062100.1 locus=Brasy7G062100 ID=Brasy7G062100.1.v1.1 annot-version=v1.1 MTSSEANARPPCADQGWRPHRYKNVALKDGMVKGMCGDPCKAKESMVNGDTLGRRFFMCAIMKIERNILRARWQEMMRRDAQEEARKVKAEEERKKKARQAERERKRERARIAQEEEEERNRKGKWPRVTQ* >Brasy7G080900.1.p pacid=40047792 transcript=Brasy7G080900.1 locus=Brasy7G080900 ID=Brasy7G080900.1.v1.1 annot-version=v1.1 MGSPRLIAGRMGDGDDVLTAVAVPVIVGAAPALAEGGELNAPAVGSEDRDPNCLGAGERPDPPVPCAWHPRAAGEGGATAGLHAAPSDGSGPGMAGSGGELGDWIPRTTDLGSGEDGGTSRPGSSREEEEKGREGRGAAVGRKRGEKGAR* >Brasy7G041600.1.p pacid=40047793 transcript=Brasy7G041600.1 locus=Brasy7G041600 ID=Brasy7G041600.1.v1.1 annot-version=v1.1 MATPGVGLGAACLAAAASVAPRRHADPAAPLARARLLRRVVRGRCVAELSREGGPSPPPVPRPLPSADQQLPPPVLPSFLAPTEARAPTQLPLPDADAGVGELGPELDIQGIAEDYIDSTDVAASEQDSEIMDAKEQSQAKVTRSIVFVTGEAAPYAKSGGLGDVCGSLPIALAARGHRVMVVMPRYLNGSSDKNYAKAFYTGKHIKIPCFGGSHEVTYFHEYRDNVDWVFVDHPSYHRPGSLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYRDSRSTLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTSDRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPTMDKCLPYHYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDDVQFVMLGSGDPVFEGWMRSTESSYKDKFRGWVGFSIPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHETGGLRDTVETFNPFGKTGEEGTGWAFSPLTVDKMLWALRTAMTTFREHKPSWEGLMKRGMSKDHTWDHAAEQYEQIFEWAFVDQPYVM* >Brasy7G042600.1.p pacid=40047794 transcript=Brasy7G042600.1 locus=Brasy7G042600 ID=Brasy7G042600.1.v1.1 annot-version=v1.1 MDRDEQHVWLRSRQLDAYLHATPDGTGVCLRRTRESMTAAWVVHGIPGDPVHVLLQSAAYGRYLAGTNSPAPWCQGGFRTVLIKYYDRAACLHAILWECVLRGGYLQLRNVGGTRCLRANGRYFRFNAGGTRYLPWNTSVTVQDRGTTMHWDWDVEAIPLTPHFPGIPDPISEPVPGNLAAILFGRQRPPWRMIRFVQAADDGSYNQQVNDWTAFQFTGNSIFRLRNEVADLLNTNDTHIIMFVRAGRHARLTPMLVDLPRDGDGDTVEIVVFTFGTPGAAALRYPDINTQ* >Brasy7G164700.1.p pacid=40047795 transcript=Brasy7G164700.1 locus=Brasy7G164700 ID=Brasy7G164700.1.v1.1 annot-version=v1.1 MGEQAITKEKELADPKVAGEEKKMNEAAAAPPPPAADPIIVLGVEVHCTGCAKRIKRSILRFCSKLGGSIVESVETDMAANTVTVKLGAGAGAVDPQALCARLRDRTKRNATVISPVVPPPAAAEAEPQQKLEEETKAAEESEDRSVELLVNMHCDACAEQLQKKMLRMKGVRSATTDLAAGKLTVVVAANDVSDDDIVEFIHRRTGKIAAAVPPPDPEPKEEEAAAAAADQKPADAPDGGKKEEEKQPDEPEEEKKEAAAPAGDEAAKKQEDALMVDGFPPPPPEEEMMNLKRAMVYWPPPPYNNHYYKQHQQMPDHAQAADEARRMHAMAAMPPHPAAYAMTMQHHQWTPPAVPMPSYYGSQNYMMMERPPQMFSDENPNACVIS* >Brasy7G189100.1.p pacid=40047796 transcript=Brasy7G189100.1 locus=Brasy7G189100 ID=Brasy7G189100.1.v1.1 annot-version=v1.1 MAYRGGGRGGGEFQGGDRFYDRDRHGYNSGGSRGSFNDGYGDEGYGDAREDFGGYDNGDRFSGGCDGAGFRGDFHPGRWFSGSGGPPRYGDGGGNRRRYEFRAGRGSAGRGRGVPPARGRGGGAGCGGGGGTGTGAASSSAGATAVAETGAANASKAVSGEGQAAATATAPLVAAAPASAGLVLKSLGAATTSQTGGSSPVGECCRWQHLLLLLAFPRRQGCPSRPKKLIR* >Brasy7G077700.1.p pacid=40047797 transcript=Brasy7G077700.1 locus=Brasy7G077700 ID=Brasy7G077700.1.v1.1 annot-version=v1.1 MGVIVWRGRLISINVSPIWHNFSFFTTAVSLLDLSPPSSNFVCRSGFFVRWSWVVAGSDCTGIAASLGGGGWFPPSKKLIRCSFGGSRAVEFLLTKLGNQEAGVI* >Brasy7G077700.2.p pacid=40047798 transcript=Brasy7G077700.2 locus=Brasy7G077700 ID=Brasy7G077700.2.v1.1 annot-version=v1.1 MGVIVWRGRLISINVSPIWHNFSFFTTAVSLLDLSPPSSNFVCRSGFFVRWSWVVAGSDCTGIAASLGGGGWFPPSKKLLMVGMVRKSNCF* >Brasy7G094700.1.p pacid=40047799 transcript=Brasy7G094700.1 locus=Brasy7G094700 ID=Brasy7G094700.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEKMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRDCNPSPPRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHHERDCGFRGPARERSRRRASSPLPCRMVPGPSWAAVAALPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKDMISACLGELQKWSSRASKFFAGHEDGIKSLQEVATSVQKCLASPPLSLRVDSSIGSLYGPCSPHVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPILDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKNVGKGEGEERLGAG* >Brasy7G221000.1.p pacid=40047800 transcript=Brasy7G221000.1 locus=Brasy7G221000 ID=Brasy7G221000.1.v1.1 annot-version=v1.1 MAKRINSTVIICAVVVAQLVLVVDVCSGAGAAAGGGEINGRRPVPEKKKRAVKAVYVFGDSLVDVGNNDHLESPTAPRATSPYGMDFPGCNDRRPSGRFTNGYNLADTVARRLGFKMSPPPYKSFPSENERDLQNVMCQIGANFASGGSGILDTTGNGVLTLRTQVQDFKELANKMYCSRGNKRHLSRSLFLLSAGGNDFSAFLTNPNSSITDASSYISTMVSTYLSHIYTLYTAGARMVGILDVPPIGCTPGSRTHSPTGDCNEAANAMARWFNDLLRIELSGAVSPKGPMPELNYSIAGNYEILSEMTASPLVAGIREVRTACCGVGRFMAERMCAEDGTAACKGGHGEYMFWDMLHGTQATYERGTVAFFYGEAPKYAVPVNFSTLVMKKNGLLLPAAPTKFAAVGDGDVVVADE* >Brasy7G154900.1.p pacid=40047801 transcript=Brasy7G154900.1 locus=Brasy7G154900 ID=Brasy7G154900.1.v1.1 annot-version=v1.1 MLLGCRSLSTWVRRLVACMGSCLGCCGCAKPAPIIAVDEPSKGLRIQGRSVRKAKLSEDFWSTSARKIESAVQSQRSMSSLSTALQSSDQHGAGSSSNPNEFVNQGLMQWNQTRQQWLGNKISNFRPEKPREPKLSLNATYESLLGSNKSFSQPIPLDEMVDLLVDVWEQEGLYS* >Brasy7G154900.2.p pacid=40047802 transcript=Brasy7G154900.2 locus=Brasy7G154900 ID=Brasy7G154900.2.v1.1 annot-version=v1.1 MLLGCRSLSTWVRRLVACMGSCLGCCGCAKPAPIIAVDEPSKGLRIQGRSVRKAKLSEDFWSTSARKIESAVQSQRSMSSLSTALQSSDQHGAGSSSNPNEFVNQGLMQWNQTRQQWLGNKISNFRPEKPREPKLRKW* >Brasy7G188800.1.p pacid=40047803 transcript=Brasy7G188800.1 locus=Brasy7G188800 ID=Brasy7G188800.1.v1.1 annot-version=v1.1 MAAQQERQQQQAQSVGFEDYLPVMAERLGEEGLMQELAAGFRLLMDPASGLITFDSLRRNAPLLGLGAMSDADLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLFSS* >Brasy7G030700.1.p pacid=40047804 transcript=Brasy7G030700.1 locus=Brasy7G030700 ID=Brasy7G030700.1.v1.1 annot-version=v1.1 MASRHVPMAAGVWVVKRCSAGEAAAAQHRAKAPADGSRPPEGWVDIYEVVAKLGDSDPFGARKAAAIDKMLQEAKLPSPAVVDSSVADSSAANQPGVDSSAAAAATPPPEAAAASEKGRKYRLSRAEISNIIVLKPEPEPIADKDYLDDLAEFFPAEWIAERKLAHARFAEHDRKTYQEWKEFRQEVIKDLKEKGYYEVDDEYYANKEKLIALADEEWKKIDFSGLRVATEEEELQALKEGSYKPYAPDDEDDDLLDDLPMMTRISSFEDSMVHDAHKVAAVSN* >Brasy7G082200.1.p pacid=40047805 transcript=Brasy7G082200.1 locus=Brasy7G082200 ID=Brasy7G082200.1.v1.1 annot-version=v1.1 MMAAATTHHAIVLCTRSTRSWNAKNSRFDKNVCSVSVGSCCPGNRKLGLLCSSGSQSSVTEPVQQPSNGKGDHSPKKSRESSLILIRHGESMWNEKNLFTGCVDVPLTPKGVQEAIEAGSRIRNLPIDVIYTSSMIRAQMTAMLAMMQHRRKKVPIIMHNESEQAHSWSKIYSEDTKKLSIPVITAWQLNERMYGELQGLNKQETADQFGKEQVHEWRRSYGIPPPNGESLEMCAERAVSYFNDQVVPQLMSGKHVMVAAHANSLRSIIMHLDRLTSQEVISLELSTGIPMLYIFKEGKFVRRGSPVGPSEASVYAYTKNLAQYRQKLDSMVQ* >Brasy7G082200.2.p pacid=40047806 transcript=Brasy7G082200.2 locus=Brasy7G082200 ID=Brasy7G082200.2.v1.1 annot-version=v1.1 MMAAATTHHAIVLCTRSTRSWNAKNSRFDKNVCSVSVGSCCPGNRKLGLLCSSGSQSSVTEPVQQPSNGKGDHSPKKSRESSLILIRHGESMWNEKNLFTGCVDVPLTPKGVQEAIEAGSRIRNLPIDVIYTSSMIRAQMTAMLAMMQHRRKKVPIIMHNESEQAHSWSKIYSEDTKKLSIPVITAWQLNERMYGELQGLNKQETADQFGKEQVHEWRRSYGIPPPNGESLEMCAERAVSYFNDQVVPQLMSGKHVMVAAHANSLRSIIMHLDRLTSQEVISLELSTGIPMLYIFKEGKFVRRGSPVGPSEASVYAYTKIYSK* >Brasy7G163500.1.p pacid=40047807 transcript=Brasy7G163500.1 locus=Brasy7G163500 ID=Brasy7G163500.1.v1.1 annot-version=v1.1 MWFDLDGLPVCFPYDAIYPEQYEYMGELKRALDARGHALLEMPTGTGKTAALISLITSYALANPSRHLRLLYCTRTVHEMEKTLAELRLLFSHLPPADACHLLALGLSSRKNLCVHPQVSASGAVDTSCRRLTASWVREKAAYDRESATPLCDYFETFDAAARKGDLALYIQPGVYTLADLRSLGRERRICPYFLARQMVKHANVVVYSYQYLLDPRVASIVSSEMHKECVVVFDEAHNIDNVCIEALSVSIRKQTIEGAKGNLRHISQQIDRFKATDASRLTAEYRRLVDGLAQRGNLPISDAWLANPALPDDILKEAVPGNIRKAEHFLAVLWRLVRNLDERLDTENVVNERPVSFATSIYSLARIETTTLRFSYDRLQSLLLTLEITDTDEFMHIQKICDFATLIGTYTRGFSIIIEPYDDRMPDIRDPVIQLSCHDASLAIQPVFNRFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMAVTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVSSWNDMGILQDIMQHKLAFIETPDVVETTLALDNYRKACDCGRGAVFFAVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILRARLEYLRETSQIQEGDFLTFDALRQAAQCVGRVIRSKADYGIMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHTAREFLRRMAQPYDKAGSSRQKTLLTEEDLQDKARDAMEM* >Brasy7G146600.1.p pacid=40047808 transcript=Brasy7G146600.1 locus=Brasy7G146600 ID=Brasy7G146600.1.v1.1 annot-version=v1.1 MGTSGGVGWTRRSGRSWSNSSTSSLDVVLMACALKHQDSNRPQVELWEQLAEGRPRQLNLHHRVLRPGEDRPRCWVCAALKDPREVLAETLWKLSSSDAPVHSEHRGSAGHHAAIRVPPWAMRCYVLATHPPMLKLKFKMKMRYFIVTDDI* >Brasy7G146600.2.p pacid=40047809 transcript=Brasy7G146600.2 locus=Brasy7G146600 ID=Brasy7G146600.2.v1.1 annot-version=v1.1 MGTSGGVGWTRRSGRSWSNSSTSSLDVVLMACALKHQDSNRPQVELWEQLAEGRPRQLNLHHRVLRPGEDRPRCWVCAALKDPREVLAETLWKLSSSDAPVHSEHRGSAGHHAAIRVPPWLLY* >Brasy7G049200.1.p pacid=40047810 transcript=Brasy7G049200.1 locus=Brasy7G049200 ID=Brasy7G049200.1.v1.1 annot-version=v1.1 MAALPLRLLGRLGGGGRRGRLPPPLAALAHLSVPSHQSPDRQIPSPAPPLPFPTLVLPFAVPARSFSWYSRSPSGPTTAAAEAHVDEDARNESESVCLDDGSATHYGEGLAGAADAAVVVAAGGDGGGMTDLAMGTLVDVLDGFHSLTGLPWWITISFSTVAMRLSILPVLMLQLQKTAKIGQLFRQLPPPLPPPLSGRSFRDQYSLFQKKRRELGCPSFLWNLAYFSVQLPCFILWMSSIRSMCLNNHPGLDNGGVLWFHNLTEFSHGALGPVFPILVAGLHYLNIQISFQTSQTKHYPGVLALLAKYYKMYLDILSIPLFLIAYVVPQGCLIYWTTNGLFTVAQQLYLRPGVIRKMFGLPDIGARAGNTAFRSPLEGQEMIQRWPRGEFRMQSRLGSSDNGTTSENMTPKFMFESSTIMEGDVSEASTPEDLLEQALQHLENGCQDQAIPLIRTAIEKNPDLSTSLIAMAQTFYSKMLFSEASLCYEHAIPQMKEQDPLLVLAHFGAGLSCRKQGDNEAAIEHLQRLAELKEPELMMNKACYFRGIIVLGSILSNEGRKSEAAKYLRLALAYDPAAERLLKECEEAVEDQPKSAEH* >Brasy7G136400.1.p pacid=40047811 transcript=Brasy7G136400.1 locus=Brasy7G136400 ID=Brasy7G136400.1.v1.1 annot-version=v1.1 MALHAPVLVLKDSLKRESGAKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRGAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKIPGGQLEDSRVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLELEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFSFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGGTELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGAPKQPQIEQEGDADTEQMIPE* >Brasy7G136400.2.p pacid=40047812 transcript=Brasy7G136400.2 locus=Brasy7G136400 ID=Brasy7G136400.2.v1.1 annot-version=v1.1 MLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRGAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKIPGGQLEDSRVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLELEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFSFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGGTELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGAPKQPQIEQEGDADTEQMIPE* >Brasy7G134300.1.p pacid=40047813 transcript=Brasy7G134300.1 locus=Brasy7G134300 ID=Brasy7G134300.1.v1.1 annot-version=v1.1 MGQYLHEYYSVARFKAAYANPIPALADRSQWPEVDIDLTLCAPTSGMEGKLRFTPGNREYVIGFYSL* >Brasy7G076300.1.p pacid=40047814 transcript=Brasy7G076300.1 locus=Brasy7G076300 ID=Brasy7G076300.1.v1.1 annot-version=v1.1 MATFDVYGFPTLKSRGVISSSVLWVVNQRGTEYSRTNAVVAGWTVNPLEYGDSKTHFFTLWTADGYHSVGCYNLKCKGFVPVQNAPITPGDTLDRTDGQLKITINIFKERMNYGPKLIMQQKCKFHWWLYFAYGNQNLGRVGYWPKNIFNNLDNANSVQWGGFTASYPGSPSPAMGNGRWPGNSASVRDVRYVDNSGRGYAIDQWPGGLRAVVSHKKCYGVARRPRCPNPRALQTGAVAEMDRRAATPARGQQACPDRNCRLQDLQCDGTH* >Brasy7G119100.1.p pacid=40047815 transcript=Brasy7G119100.1 locus=Brasy7G119100 ID=Brasy7G119100.1.v1.1 annot-version=v1.1 MARFVWQVVLCAFQLSRPPDNVEDFLDTWKNNFPLSQQHSVICGGAAVLWTIWKSRNAICFRQQYPRNPASLIFLLCSHLDSWALLQKERGRRNLEAGSRQLKRVIAEAFSSQLGWAPTRRRVAN* >Brasy7G193100.1.p pacid=40047816 transcript=Brasy7G193100.1 locus=Brasy7G193100 ID=Brasy7G193100.1.v1.1 annot-version=v1.1 MAVGMTSQLFQGVTVTNRLNQTNKFRSLEKRHSLPFPSNPFPSVAYAQDSLVHNVSERTYRPVLYVPSRYRALGARSFALPVPWQEIPLVNSTSSALSRSCDTLLANPATSLVVPAIGIILFALWGFMPLVKDIRNHFDHGGNWKQSPTYVISSSYIQPLLLWTGATLICRGLDPLVLPSAASRAVKARLVTFVRSLSTVLAIAYILTSLIQQVHKFLVDMRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G193100.3.p pacid=40047817 transcript=Brasy7G193100.3 locus=Brasy7G193100 ID=Brasy7G193100.3.v1.1 annot-version=v1.1 MAVGMTSQLFQGVTVTNRLNQTNKFRSLEKRHSLPFPSNPFPSVAYAQDSLVHNVSERTYRPVLYVPSRYRALGARSFALPVPWQEIPLVNSTSSALSRSCDTLLANPATSLVVPAIGIILFALWGFMPLVKDIRNHFDHGGNWKQSPTYVISSSYIQPLLLWTGATLICRGLDPLVLPSAASRAVKARLVTFVRSLSTVLAIAYILTSLIQQVHKFLVDMRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G193100.2.p pacid=40047818 transcript=Brasy7G193100.2 locus=Brasy7G193100 ID=Brasy7G193100.2.v1.1 annot-version=v1.1 MAVGMTSQLFQGVTVTNRLNQTNKFRSLEKRHSLPFPSNPFPSVAYAQDSLVHNVSERTYRPVLYVPSRYRALGARSFALPVPWQEIPLVNSTSSALSRSCDTLLANPATSLVVPAIGIILFALWGFMPLVKDIRNHFDHGGNWKQSPTYVISSSYIQPLLLWTGATLICRGLDPLVLPSAASRAVKARLVTFVRSLSTVLAIAYILTSLIQQVHKFLVDMRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G193100.4.p pacid=40047819 transcript=Brasy7G193100.4 locus=Brasy7G193100 ID=Brasy7G193100.4.v1.1 annot-version=v1.1 MAVGMTSQLFQGVTVTNRLNQTNKFRAQDSLVHNVSERTYRPVLYVPSRYRALGARSFALPVPWQEIPLVNSTSSALSRSCDTLLANPATSLVVPAIGIILFALWGFMPLVKDIRNHFDHGGNWKQSPTYVISSSYIQPLLLWTGATLICRGLDPLVLPSAASRAVKARLVTFVRSLSTVLAIAYILTSLIQQVHKFLVDMRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G193100.5.p pacid=40047820 transcript=Brasy7G193100.5 locus=Brasy7G193100 ID=Brasy7G193100.5.v1.1 annot-version=v1.1 MPLVKDIRNHFDHGGNWKQSPTYVISSSYIQPLLLWTGATLICRGLDPLVLPSAASRAVKARLVTFVRSLSTVLAIAYILTSLIQQVHKFLVDMRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G193100.6.p pacid=40047821 transcript=Brasy7G193100.6 locus=Brasy7G193100 ID=Brasy7G193100.6.v1.1 annot-version=v1.1 MRNPNDTRSMGLDFSIKAIYTGIWIAAVSLFMELLGFNTKKWITAGGFGTVLLTLAGREILTNFISSVMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDREAIYIPNHKFTVSILRNNTRRSHWRIKTYLAISHMDAGKIGVIVADMRKVLAKNHQIEQQKLHRRVFFEQIDPKTQALMIFVSCFVKTTHLEEYLNVQEDVLLDFLRIVGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKISEGKSKSRSASREEHKFKTSASVETKSASHDSPSLSNSDKKEQKKVVTEDDLMADIKKDHVTSTTPSPSTENVGPVSSTSMSGKGEPQGSEVTERQGDGSVSLANPKKESRPVLEDNIVLGVALEGSKRTLPIEEGIDPHLPETEPDTVEVGSSPKDKKGQNHTL* >Brasy7G183100.1.p pacid=40047822 transcript=Brasy7G183100.1 locus=Brasy7G183100 ID=Brasy7G183100.1.v1.1 annot-version=v1.1 MELPDSPSAGRSDDLAKKLAEADHAKKLAEAGFFSEEQLERKYFAHLIQEMEEDREYGIITNEIPSPTIVKYFDVLEQIWGWDKLVPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSIAALAETCLNNEEQLVSELKIRVKPEEERLLQMRKSSILSCLIHKRACSVNHTAGCSFSDVCGAALLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMCALSLMNCTSDNSVSASAVMLGMVNEAEMLCMWMRKNDKPVDFFDDPVPDEIGESRLVRYKTLDFIVDILEKSSSAGRSGPGGDGDCIPADGAANTTEYGRGSVSDVLNSQYKKQISGGEESNKWKRKEENLLDKICGWEWLVPLYSDRYDDYSGHLEEYYKGNAYEFIAHASQNLQIASSAIYKSCLKMEEELLSMWKTSPVLCTLDDDVAVSTVIESSLIKERALSICCTGAELCVLSAIAFVCITKEAELMCELLKQGAEHDDDIMQLSSVIRMCALGLVDMTGDQSIVSAAAAMMDMANEAKKMCDYMKSYQLVTSLSESHELKKRSLIRRKFLDVMTSMLHASS* >Brasy7G183100.2.p pacid=40047823 transcript=Brasy7G183100.2 locus=Brasy7G183100 ID=Brasy7G183100.2.v1.1 annot-version=v1.1 MELPDSPSAGRSDDLAKKLAEADHAKKLAEAGFFSEEQLERKYFAHLIQEMEEDREYGIITNEIPSPTIVKYFDVLEQIWGWDKLVPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSIAALAETCLNNEEQLVSELKIRVKPEEERLLQMRKSSILSCLIHKRACSVNHTAGCSFSDVCGAALLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMCALSLMNCTSDNSVSASAVMLGMVNEAEMLCMWMRKNDKPVDFFDDPVPDEIGESRLVRYKTLDFIVDILEKSSSAGRSGPGGDGDCIPADGAANTTEGSVSDVLNSQYKKQISGGEESNKWKRKEENLLDKICGWEWLVPLYSDRYDDYSGHLEEYYKGNAYEFIAHASQNLQIASSAIYKSCLKMEEELLSMWKTSPVLCTLDDDVAVSTVIESSLIKERALSICCTGAELCVLSAIAFVCITKEAELMCELLKQGAEHDDDIMQLSSVIRMCALGLVDMTGDQSIVSAAAAMMDMANEAKKMCDYMKSYQLVTSLSESHELKKRSLIRRKFLDVMTSMLHASS* >Brasy7G183100.3.p pacid=40047824 transcript=Brasy7G183100.3 locus=Brasy7G183100 ID=Brasy7G183100.3.v1.1 annot-version=v1.1 MELPDSPSAGRSDDLAKKLAEADHAKKLAEAGFFSEEQLERKYFAHLIQEMEEDREYGIITNEIPSPTIVKYFDVLEQIWGWDKLVPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSIAALAETCLNNEEQLVSELKIRVKPEEERLLQMRKSSILSCLIHKRACSVNHTAGCSFSDVCGAALLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMCALSLMNCTSDNSVSASAVMLGMVNEAEMLCMWMRKNDKPVDFFDDPVPDEIGESRLVRYKTLDFIVDILEKSSSAGRSGPGGDGDCIPADGAANTTEGSVSDVLNSQYKKQISGGEESNKWKRKEENLLDKICGWEWLVPLYSDSQNLQIASSAIYKSCLKMEEELLSMWKTSPVLCTLDDDVAVSTVIESSLIKERALSICCTGAELCVLSAIAFVCITKEAELMCELLKQGAEHDDDIMQLSSVIRMCALGLVDMTGDQSIVSAAAAMMDMANEAKKMCDYMKSYQLVTSLSESHELKKRSLIRRKFLDVMTSMLHASS* >Brasy7G183100.4.p pacid=40047825 transcript=Brasy7G183100.4 locus=Brasy7G183100 ID=Brasy7G183100.4.v1.1 annot-version=v1.1 MELPDSPSAGRSDDLAKKLAEADHAKKLAEAGFFSEEQLERKYFAHLIQEMEEDREYGIITNEIPSPTIVKYFDVLEQIWGWDKLVPKGISVSWSDYSTYLKEYHHRNVHAVTKNSSIAALAETCLNNEEQLVSELKIRVKPEEERLLQMRKSSILSCLIHKRACSVNHTAGCSFSDVCGAALLCIAKEADLMRELLRRGAHPVDDYLINQGRTMRMCALSLMNCTSDNSVSASAVMLIGESRLVRYKTLDFIVDILEKSSSAGRSGPGGDGDCIPADGAANTTEGSVSDVLNSQYKKQISGGEESNKWKRKEENLLDKICGWEWLVPLYSDRYDDYSGHLEEYYKGNAYEFIAHASQNLQIASSAIYKSCLKMEEELLSMWKTSPVLCTLDDDVAVSTVIESSLIKERALSICCTGAELCVLSAIAFVCITKEAELMCELLKQGAEHDDDIMQLSSVIRMCALGLVDMTGDQSIVSAAAAMMDMANEAKKMCDYMKSYQLVTSLSESHELKKRSLIRRKFLDVMTSMLHASS* >Brasy7G059000.1.p pacid=40047826 transcript=Brasy7G059000.1 locus=Brasy7G059000 ID=Brasy7G059000.1.v1.1 annot-version=v1.1 MAVEYRCCGAPFFEHIAVIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAVLISVTLILLFGEIIPQSICSRYGLAIGAAVAPLVRVLVWICFPVAYPISKLLDYMLGHGKAALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELSEKKAKDAMTPLEQTFAIDINAKLDRKLMQEVLEKGHSRVPVYFDKDTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTIPNYSAKQLNNGCGTLEVSVAIDDKPSEKVVKNVTPLRRWKSYPNTQNSNTGSRSRKWSKDQSDVLQVHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEEQ* >Brasy7G103900.1.p pacid=40047827 transcript=Brasy7G103900.1 locus=Brasy7G103900 ID=Brasy7G103900.1.v1.1 annot-version=v1.1 MAVAMVARQGRDLQRYSASTGGRIVVGCVPYRVRGDGEVEVLVICSRKKGAGAGVMFPKGGWELDESMDEAARREALEEAGVRGEITGAPLGRWCYRSRRYDATYEGFMFPLRVTDELERWPEMSGRGRAWVTVAEAMDRCPHWWMREALQRFADRLDDGGANPMRLLDAAL* >Brasy7G017600.1.p pacid=40047828 transcript=Brasy7G017600.1 locus=Brasy7G017600 ID=Brasy7G017600.1.v1.1 annot-version=v1.1 MEPAAMDRIAARLSAVDGLYFPTAFLSSAPSPPRRKEALLSLLSRDAPLFLERYGAALSADELASFDALQGHGADDDGYEVAWHLRRLRSGGATLSPARVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPVGRSMARPGERWSETLMRRAEEAVIVDKIRGEQIRRGVDPSEWVGGAAVGETMQEQEEEEEEEEEEEEEEEEEEEDVGREENKRESMNPSSTELVVHEDTDQGPNGAGGPLAGTFKQTLSPEEMQDQLEQLTYVMQQKFLSGEDSQHMDYPRIDNDELLDDHWSKEANYDAEDKYFEED* >Brasy7G083800.1.p pacid=40047829 transcript=Brasy7G083800.1 locus=Brasy7G083800 ID=Brasy7G083800.1.v1.1 annot-version=v1.1 MHRAMRLRFLLHPPLSWEPFSLSTPATVGGGAGRFGAPDGLRRLGAPHQPFIGRQRFSRFYSSKEGVGSAETAAGSGGGSGSGSSQQEHARLGEKDQQEWLNGERFLTGCKRQETTFLTKRERFRNEFLRRAVPWEKSSLSWSNFPYYVDKNAKQLLTECVASHLRHKDVASDYGSRLQSSGGRILLQSLPGTELYRERFVRALAHELRVPFLVLDSSVLAPYDFGEDCSENEEEDDQAESEDEGSESEEEDEDDEDSTSSNEAKSGSSDTEEAIKSIEKDLKKLVPQTLEEFAKRVVGAQENSSAAESSGTAESPEEVKRPLQKGDRVKYVGASVLVEADHRIILGKIPTQEGAANAYTFISGRTLSNGQRGEVYEINGDQVAIVFDPLEKKLADGKEDEANKEQDAKPSVYWVDTQDIEHDHDMEAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEIFDQLNGPLVLICGQNILEAAPKDKDPKALVFQNLARLSPLTSSLKRLVGGLKGQKRSRSSDISKLFGNRFFIPVPKDDEQLRVFNNQIEEDRKIIISRHNLVELHKVFEEHGLSCEDLLHVKLEGIVLTKQRAEKVVGWARSHYLSSAIHPSIKGDRLIIPRESLDIAIRRLKEQEALSEKLSENMKMLAKDDFERNFISAVVPPHEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKEKQRILILGATNRPFDLDDAVIRRLPRRIYIDLPDAQNRMKILKILLAKENLESEFGFDELANGTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVGAVSSTETYLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS* >Brasy7G083800.2.p pacid=40047830 transcript=Brasy7G083800.2 locus=Brasy7G083800 ID=Brasy7G083800.2.v1.1 annot-version=v1.1 MHRAMRLRFLLHPPLSWEPFSLSTPATVGGGAGRFGAPDGLRRLGAPHQPFIGRQRFSRFYSSKEGVGSAETAAGSGGGSGSGSSQQEHARLGEKDQQEWLNGERFLTGCKRQETTFLTKRERFRNEFLRRAVPWEKSSLSWSNFPYYVDKNAKQLLTECVASHLRHKDVASDYGSRLQSSGGRILLQSLPGTELYRERFVRALAHELRVPFLVLDSSVLAPYDFGEDCSENEEEDDQAESEDEGSESEEEDEDDEDSTSSNEAKSGSSDTEEAIKSIEKDLKKLVPQTLEEFAKRVVGAQENSSAAESSGTAESPEEVKRPLQKGDRVKYVGASVLVEADHRTLSNGQRGEVYEINGDQVAIVFDPLEKKLADGKEDEANKEQDAKPSVYWVDTQDIEHDHDMEAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEIFDQLNGPLVLICGQNILEAAPKDKDPKALVFQNLARLSPLTSSLKRLVGGLKGQKRSRSSDISKLFGNRFFIPVPKDDEQLRVFNNQIEEDRKIIISRHNLVELHKVFEEHGLSCEDLLHVKLEGIVLTKQRAEKVVGWARSHYLSSAIHPSIKGDRLIIPRESLDIAIRRLKEQEALSEKLSENMKMLAKDDFERNFISAVVPPHEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKEKQRILILGATNRPFDLDDAVIRRLPRRIYIDLPDAQNRMKILKILLAKENLESEFGFDELANGTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVGAVSSTETYLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS* >Brasy7G083800.3.p pacid=40047831 transcript=Brasy7G083800.3 locus=Brasy7G083800 ID=Brasy7G083800.3.v1.1 annot-version=v1.1 MHRAMRLRFLLHPPLSWEPFSLSTPATVGGGAGRFGAPDGLRRLGAPHQPFIGRQRFSRFYSSKEGVGSAETAAGSGGGSGSGSSQQEHARLGEKDQQEWLNGERFLTGCKRQETTFLTKRERFRNEFLRRAVPWEKSSLSWSNFPYYVDKNAKQLLTECVASHLRHKDVASDYGSRLQSSGGRILLQSLPGTELYRERFVRALAHELRVPFLVLDSSVLAPYDFGEDCSENEEEDDQAESEDEGSESEEEDEDDEDSTSSNEAKSGSSDTEEAIKSIEKDLKKLVPQTLEEFAKRVVGAQENSSAAESSGTAESPEEVKRPLQKGDRVKYVGASVLVEADHRIILGKIPTQEGAANAYTFISGRTLSNGQRGEVYEINGDQVAIVFDPLEKKLADGKEDEANKEQDAKPSVYWVDTQDIEHDHDMEAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEIFDQLNGPLVLICGQNILEAAPKDKDPKALVFQNLARLSPLTSSLKRLVGGLKGQKRSRSSDISKLFGNRFFIPVPKDDEQLRVFNNQIEEDRKIIISRHNLVELHKVFEEHGLSCEDLLHVKLEGIVLTKQRAEKVVGWARSHYLSSAIHPSIKGDRLIIPRESLDIAIRRLKEQEALSEKLSENMKMLAKDDFERNFISAVVPPHEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKEKQRILILGATNRPFDLDDAVIRRLPRRIYIDLPDAQNRMKILKILLAKENLESEFGFDELANGTEGYSGSDLKNLCIAAAYRPVHELLEEEKKVGAVSSTETYLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS* >Brasy7G083800.4.p pacid=40047832 transcript=Brasy7G083800.4 locus=Brasy7G083800 ID=Brasy7G083800.4.v1.1 annot-version=v1.1 MHRAMRLRFLLHPPLSWEPFSLSTPATVGGGAGRFGAPDGLRRLGAPHQPFIGRQRFSRFYSSKEGVGSAETAAGSGGGSGSGSSQQEHARLGEKDQQEWLNGERFLTGCKRQETTFLTKRERFRNEFLRRAVPWEKSSLSWSNFPYYVDKNAKQLLTECVASHLRHKDVASDYGSRLQSSGGRILLQSLPGTELYRERFVRALAHELRVPFLVLDSSVLAPYDFGEDCSENEEEDDQAESEDEGSESEEEDEDDEDSTSSNEAKSGSSDTEEAIKSIEKDLKKLVPQTLEEFAKRVVGAQENSSAAESSGTAESPEEVKRPLQKGDRVKYVGASVLVEADHRIILGKIPTQEGAANAYTFISGRTLSNGQRGEVYEINGDQVAIVFDPLEKKLADGKEDEANKEQDAKPSVYWVDTQDIEHDHDMEAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEIFDQLNGPLVLICGQNILEAAPKDKDPKALVFQNLARLSPLTSSLKRLVGGLKGQKRSRSSDISKLFGNRFFIPVPKDDEQLRVFNNQIEEDRKIIISRHNLVELHKVFEEHGLSCEDLLHVKLEGIVLTKQRAEKVVGWARSHYLSSAIHPSIKGDRLIIPRESLDIAIRRLKEQEALSEKLSENMKMLAKDDFERNFISAVVPPHEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKEKQRILILGATNRPFDLDDAVIRRLPRRTCV* >Brasy7G083800.5.p pacid=40047833 transcript=Brasy7G083800.5 locus=Brasy7G083800 ID=Brasy7G083800.5.v1.1 annot-version=v1.1 MHRAMRLRFLLHPPLSWEPFSLSTPATVGGGAGRFGAPDGLRRLGAPHQPFIGRQRFSRFYSSKEGVGSAETAAGSGGGSGSGSSQQEHARLGEKDQQEWLNGERFLTGCKRQETTFLTKRERFRNEFLRRAVPWEKSSLSWSNFPYYVDKNAKQLLTECVASHLRHKDVASDYGSRLQSSGGRILLQSLPGTELYRERFVRALAHELRVPFLVLDSSVLAPYDFGEDCSENEEEDDQAESEDEGSESEEEDEDDEDSTSSNEAKSGSSDTEEAIKSIEKDLKKLVPQTLEEFAKRVVGAQENSSAAESSGTAESPEEVKRPLQKGDRVKYVGASVLVEADHRIILGKIPTQEGAANAYTFISGRTLSNGQRGEVYEINGDQVAIVFDPLEKKLADGKEDEANKEQDAKPSVYWVDTQDIEHDHDMEAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNHREFIEKLEEIFDQLNGPLVLICGQNILEAAPKDKDPKALVFQNLARLSPLTSSLKRLVGGLKGQKRSRSSDISKLFGNRFFIPVPKDDEQLRVFNNQIEEDRKIIISRHNLVELHKVFEEHGLSCEDLLHVKLEGIVLTKQRAEKVVGWARSHYLSSAIHPSIKGDRLIIPRESLDIAIRRLKEQEALSEKLSENMKMLAKDDFERNFISAVVPPHEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKEKQRILILGATNRPFDLDDAVIRRLPRRTCV* >Brasy7G005900.1.p pacid=40047834 transcript=Brasy7G005900.1 locus=Brasy7G005900 ID=Brasy7G005900.1.v1.1 annot-version=v1.1 MKDWLLLLRLPATAFPVGGDSDRFVDCHIFNPRTRASFPDRPRSTPPTLRPLRAASSSPPPCAQPPTCSPRAASAARSARAAVSACFPHAAAARSARLPPPLPRPDPVRRRPPRPDPAAPPALEASAAALARRWWPAPDRLQTPELAKRARSTHSIVDRFCCFWPEVILFRTSVLTKAFTGSGLPEGL* >Brasy7G022700.1.p pacid=40047835 transcript=Brasy7G022700.1 locus=Brasy7G022700 ID=Brasy7G022700.1.v1.1 annot-version=v1.1 MASPPQRPPAPAPAPSTVTSAPPATAPQPLPRAFLAASAPQRASAVTPTPPPLFTGRPLNPTHASPAHGILYPVATTSSSSAAALANQRRAPHAGYPRAQTVAVPIAPSQQPQMQAQPQSFAAVSRAVVAGVTPRPEQTPRGVPIAPQPHPKVNPVPAVTPSPQHQEHSNTKERESTKEDSTTVVVINDRKVNLLDSESGSLYALCRSWVRNGVQHEIQPRFVGNVAPLLPRPLPASVVDSRMSEKHNDTENEDSEEEKNDIGEYTAADLLKQHVNRAKKIRARLRKGRQSRIERYKQRLAFLLPPPPSPPTEPGKHDGRP* >Brasy7G022700.2.p pacid=40047836 transcript=Brasy7G022700.2 locus=Brasy7G022700 ID=Brasy7G022700.2.v1.1 annot-version=v1.1 MASPPQRPPAPAPAPSTVTSAPPATAPQPLPRAFLAASAPQRASAVTPTPPPLFTGRPLNPTHASPAHGILYPVATTSSSSAAALANQRRAPHAGYPRAQTVAVPIAPSQQPQMQAQPQSFAAVSRAVVAGVTPRPEQTPRGVPIAPQPHPKVNPVPAVTPSPQHQEHSNTKERESTKEDSTTVVVINDRKVNLLDSESGSLYALCRSWVRNGVQHEIQNDIGEYTAADLLKQHVNRAKKIRARLRKGRQSRIERYKQRLAFLLPPPPSPPTEPGKHDGRP* >Brasy7G008000.1.p pacid=40047837 transcript=Brasy7G008000.1 locus=Brasy7G008000 ID=Brasy7G008000.1.v1.1 annot-version=v1.1 MVTTNGVLSSLAATVPVEAVLFDIDGTLCDSDPLHHVAFQEMLLAIGYNNGVLIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKETKYRSLAIERLEPVNGLGKVVQWVKDHGYKCAAVTNAPRINAELMIKLLGLSDFFQAVIVGGECEKPKPAPFPYLKALKELGASAAHTFIFEDSASGTRAGVAAGVPVIAVLTRNPEKSLQEAGAALIVKDYADPKLWSVLEEIDREEAK* >Brasy7G008000.2.p pacid=40047838 transcript=Brasy7G008000.2 locus=Brasy7G008000 ID=Brasy7G008000.2.v1.1 annot-version=v1.1 MVTTNGVLSSLAATVPVEAVLFDIDGTLCDSDPLHHVAFQEMLLAIGYNNGVLIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKETKYRSLAIERLEPVNGLGKVVQWVKDHGYKCAAVTNAPRINAELMIKLLGLSDFFQAVIVGGECEKPKPAPFPYLKALKELGASAAHTFIFEDSASGTRAGVAAGVPVIAVLTRNPEKSLQEAGAALIVKDYADPKLWSVLEEIDREEAK* >Brasy7G209200.1.p pacid=40047839 transcript=Brasy7G209200.1 locus=Brasy7G209200 ID=Brasy7G209200.1.v1.1 annot-version=v1.1 MALATPLRHLLSAAAQPAAASPHDPAAGTTLTPRAFAPHRSGRLQSRVSLPPSPASSAGLTRARVGAARAAAAGGRPTVLVTEKLGAAGLELLRSFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISSADFISLHMPLTPSTTKLFNDETFAKMTKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFFEEPPPKDSKLVHHENVTVTPHLGASTTEAQEGVALEIAEAVIGALKGELAATAVNAPMVLPEVLSELSPYVVLAEKLGRLAVQLVAGGSGIKGVKVVYSSTRDPDDLDTRILRAMITKGIVEPISSAFVNIVNADYVARQRGLRIIEERILLDGSPEIPINSIQVQLSNVESKFAGALSDEGDIRVEGKVKDGGPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNTNVNFMSVGRIAPGKQAIMAIGVDEEPEKEALKLIGETPLVQEFVFLKL* >Brasy7G209200.2.p pacid=40047840 transcript=Brasy7G209200.2 locus=Brasy7G209200 ID=Brasy7G209200.2.v1.1 annot-version=v1.1 MLSADFPIPREAGSKLILPGSVPLQNETMQANAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISSADFISLHMPLTPSTTKLFNDETFAKMTKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFFEEPPPKDSKLVHHENVTVTPHLGASTTEAQEGVALEIAEAVIGALKGELAATAVNAPMVLPEVLSELSPYVVLAEKLGRLAVQLVAGGSGIKGVKVVYSSTRDPDDLDTRILRAMITKGIVEPISSAFVNIVNADYVARQRGLRIIEERILLDGSPEIPINSIQVQLSNVESKFAGALSDEGDIRVEGKVKDGGPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNTNVNFMSVGRIAPGKQAIMAIGVDEEPEKEALKLIGETPLVQEFVFLKL* >Brasy7G209200.3.p pacid=40047841 transcript=Brasy7G209200.3 locus=Brasy7G209200 ID=Brasy7G209200.3.v1.1 annot-version=v1.1 MGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISSADFISLHMPLTPSTTKLFNDETFAKMTKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFFEEPPPKDSKLVHHENVTVTPHLGASTTEAQEGVALEIAEAVIGALKGELAATAVNAPMVLPEVLSELSPYVVLAEKLGRLAVQLVAGGSGIKGVKVVYSSTRDPDDLDTRILRAMITKGIVEPISSAFVNIVNADYVARQRGLRIIEERILLDGSPEIPINSIQVQLSNVESKFAGALSDEGDIRVEGKVKDGGPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNTNVNFMSVGRIAPGKQAIMAIGVDEEPEKEALKLIGETPLVQEFVFLKL* >Brasy7G237900.1.p pacid=40047842 transcript=Brasy7G237900.1 locus=Brasy7G237900 ID=Brasy7G237900.1.v1.1 annot-version=v1.1 MDSSDPCYYIHHRPSPASIAQPPPTAAFDDFRHHVDASPAFSFQMPQDQEPMPQHHATSASASASAGASVEDPSEQVKKKRGRPRKYKPPDGTPGLSPPSSSSLVTVPATPGSGPGPGPGPGGSGGPSEKRRGRPPGSGKMQQLASLGKSFLGSVGTGFTPHVIIIPSGEDVAARIMSFSQQGPRAVCIMSATGAVSTATLHQDASSGSVITYEGRFEILCLSGSYLVIDDGGSRTRNGGLCIALCGADHRVIGGSVGGVLTAAGTVQVIVGSFMYSGSKKNKKGKAEQEAETEEANGGGEEEAPSLMTMPHEDLSSDAMMSGWPDMMR* >Brasy7G102700.1.p pacid=40047843 transcript=Brasy7G102700.1 locus=Brasy7G102700 ID=Brasy7G102700.1.v1.1 annot-version=v1.1 MKIISVFEIMFQVLMKLFIVEAFSFASQLVEYFVIIGVIVAVFYFFLKQLSQCSDGEHETVRDQHASRSETEPILPNKNVVMGYGAIGEQPESSMCSASAEDLYSESVCKICYDAARSCFFIPCGHGFTCFTCARRIMEDENKTCPICRRLVQRDVMGSTVKISTS* >Brasy7G054600.1.p pacid=40047844 transcript=Brasy7G054600.1 locus=Brasy7G054600 ID=Brasy7G054600.1.v1.1 annot-version=v1.1 MVYTRKHKIPRMLVGIVNAAAIPSNIELSVDGSMHYVNFELEDTRLADDNDDLLDDSNPENDKDSRHNDMDVDGDANGSKSTPDVPPSGGSKGGGSSMQGGGSLPNSVQVQVASLLLPSATSFSSLEAPQPSAAVCDAVVEVSAAFAAVDASSSERAAAVFPAAAAPLQAASTGVVDATAAQPLPAATADPRLAVASSSIPTAGLPPFGAAVLPPISAGPSQVLDTPPVGGKKLASPVAPSSHSAGTGAFSPIVYSRRGVAGLRKEVQALHPSPSPVSRESQLQLLRGCGYSLEGATKAVGRMVDLASPPAELPSITPTSEPRRSARISVREDVDDDSMARAQKLAQKRNLEAPPGVALMYKLAAIMSVVTEGATQGTDGI* >Brasy7G038700.1.p pacid=40047845 transcript=Brasy7G038700.1 locus=Brasy7G038700 ID=Brasy7G038700.1.v1.1 annot-version=v1.1 MEEAKRLHARLLRGGARRLQPLLLRVLAAGDHRYAALLLSSYPSASSPHSAPLHDRLLHALASLQRPSPLLLPTFARAHRLRLLTHLSFTFLLSSPAAASHAPFALCSHALLVKSGYFASGDPFLGSALVSFYAKSRLLDEARRVFDEMPRRDTAVCNALLSAYARGGLVDAAEKLFGEMPERNVVSWTAMVSGYAQNGRHEAAVETFLEMWEGAGVQPNELTVSSVLPACAAVGAMELGTKVEEYARGKGHLGNVFVANALLEMYAKCGSIQRAWQVFQGIGRRRDLCSWNTMIMAFAVHGLWREALALFHKLRMTGVKPDGITFVGVILACTHGGLVDEGKLIFNSMEADFSINPRIEHYGCMVDLLGRAGLLKEAYSMIISMPVEPDAVIWGALLGACSFHGNVELAETAVDKLIYLEPQNTANLVILSNIYASSGKWDGVAQVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDMSHPRFEEVYKTLDSVTMIMKLLNLEDMEVVGCFCHL* >Brasy7G038700.2.p pacid=40047846 transcript=Brasy7G038700.2 locus=Brasy7G038700 ID=Brasy7G038700.2.v1.1 annot-version=v1.1 MEEAKRLHARLLRGGARRLQPLLLRVLAAGDHRYAALLLSSYPSASSPHSAPLHDRLLHALASLQRPSPLLLPTFARAHRLRLLTHLSFTFLLSSPAAASHAPFALCSHALLVKSGYFASGDPFLGSALVSFYAKSRLLDEARRVFDEMPRRDTAVCNALLSAYARGGLVDAAEKLFGEMPERNVVSWTAMVSGYAQNGRHEAAVETFLEMWEGAGVQPNELTVSSVLPACAAVGAMELGTKVEEYARGKGHLGNVFVANALLEMYAKCGSIQRAWQVFQGIGRRRDLCSWNTMIMAFAVHGLWREALALFHKLRMTGVKPDGITFVGVILACTHGGLVDEGKLIFNSMEADFSINPRIEHYGCMVDLLGRAGLLKEAYSMIISMPVEPDAVIWGALLGACSFHGNVELAETAVDKLIYLEPQNTANLVILSNIYASSGKWDGVAQVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDMSHPRFEEVYKTLDSVTMIMKLLNLEDMEVVGCFCHL* >Brasy7G203800.1.p pacid=40047847 transcript=Brasy7G203800.1 locus=Brasy7G203800 ID=Brasy7G203800.1.v1.1 annot-version=v1.1 MKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKPT* >Brasy7G084400.1.p pacid=40047848 transcript=Brasy7G084400.1 locus=Brasy7G084400 ID=Brasy7G084400.1.v1.1 annot-version=v1.1 MAEETFFDRMVSQLRSTSKYYTGYPKDLGPSRIIPFTSERQFVQLLNEGRPVVVAFTIKCTYTQHLDKVLEEAASTFYPHIKFVRVECPKYPGFCLTRQKTEYPFLEVFYNPEQAANPGKIVDPNITKYSAKVLPFNYDQSVYGFREYFKKYGFKYSETN* >Brasy7G084400.3.p pacid=40047849 transcript=Brasy7G084400.3 locus=Brasy7G084400 ID=Brasy7G084400.3.v1.1 annot-version=v1.1 MAEETFFDRMVSQLRSTSKYYTGYPKDLGPSRIIPFTSERQFVQLLNEGRPVVVAFTIKCTYTQHLDKVLEEAASTFYPHIKFVRVECPKYPGFCLTRQKTEYPFLEVFYNPEQAANPGKIVDPNITKYSAKVLPFNYDQSVYGFREYFKKYGFKYSETN* >Brasy7G084400.2.p pacid=40047850 transcript=Brasy7G084400.2 locus=Brasy7G084400 ID=Brasy7G084400.2.v1.1 annot-version=v1.1 MAEETFFDRMVSQLRSTSKYYTGYPKDLGPSRIIPFTSERQFVQLLNEGRPVVVAFTIKCTYTQHLDKVLEEAASTFYPHIKFVRVECPKYPGFCLTRQKTEYPFLEVFYNPEQFNYDQSVYGFREYFKKYGFKYSETN* >Brasy7G083500.1.p pacid=40047851 transcript=Brasy7G083500.1 locus=Brasy7G083500 ID=Brasy7G083500.1.v1.1 annot-version=v1.1 MLSPSPPPAMLLLQKRILLLSSRPRATNTLLSFRHQCLSSITRFATATAAAAAAAAAVAASANPTPFAVEEYLVSSCHLTPSQAIKASKVLSHLKSPSKPEAVLGFLSDLGISDADVAAVVAYDPLFLCAEVDKTLNLRLAELRDLGLSPSQIARLVLVDPARFRRPTIISKLQYYVPLFGSFENLLHALKPNSYLLSSDLENVVKPNVALLRECGLGDCDIAKLCVPVPRLLTTNPERVQAMVAHAERVGVPRGSRMFRHALLAVAFLSEEKIADKVEFLKKTFRWSEDEVAIAVSRLPVVLRNSNDKLQRMSEFLMSEVGLEPGYIAHRPAMITYSLETRLRPRYYVVKYLKANGLLQRNRSYYTAAQVSEKVFMEKFIHPHKEAAPLLAQDYAASLRGKVPTRFRLKEPRTDSESTM* >Brasy7G084200.1.p pacid=40047852 transcript=Brasy7G084200.1 locus=Brasy7G084200 ID=Brasy7G084200.1.v1.1 annot-version=v1.1 MAFSELAWAWASSSTNRQHRPPNPDLLPKSPPAAMKKKLPSEKATPAAQPRRLLRHRRAHRPRPALRHRLRSLPIPHPSSRARRHPAPNQLARAHRRRWPRLRVPRL* >Brasy7G003500.1.p pacid=40047853 transcript=Brasy7G003500.1 locus=Brasy7G003500 ID=Brasy7G003500.1.v1.1 annot-version=v1.1 MASPAALLCLALAAVAFLAGAALAADPYAFFDWDVSYITASPLGVPQKVIAINKQFPGPIVNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTNCPIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGIIVNNRAVISVPFDTPDGDISLFIGDWYKKNHTDLRKMLDDGKELGMPDSVLMNGKGPYRYNDSLVPAGIEYETINVEPGKTYRFRVHNVGVSTSLNLRIQSHNMALVETEGAYTMKQNFTNLDIHVGQSYSFLVSMDQNASSDYYIVASARFVNESIWTRVTGVAILHYSNSKGKASGPLPDPPNDQYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGQINVSQVYKLRNEPPVTINGKKRTTLSGISYSPPATPLRMADLYDKKGVYTLDFPTMPSDGPPVIRSSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVLLSLDSPGFWNVRAENLDTWYLGQETYIRVLDPEGGYNVTESVEPDNVLYCGLLKEKQKAQKPHASTSSSLPAVKQNGYLLAVLVSLVALAVTR* >Brasy7G230100.1.p pacid=40047854 transcript=Brasy7G230100.1 locus=Brasy7G230100 ID=Brasy7G230100.1.v1.1 annot-version=v1.1 MDKPDQNPLPAHKSTPNPASSEQETSGVAQAYDGAANREWSEAAVDMARLREGQLQDGRRREKRSDSKRRGEHIPGSEHRASTYKIPLLPPTPQSPAYLSLFSLLPSRRHPFFSPSRRLQPPSARSLPPDACSHPRLALSLPKPPPNLALRKHRARRRCRKQRPTAARRIHLPQARRGYWIRPSTAPLDTAVAGPAGFAHSLRYHTPTPAPPLAGSGGHTPTPAPPSQVHDGFSRHLSCFPLSSSLSLQIRSSSTRVAAADAGSSSADKLQRLMVDDEFIYFP* >Brasy7G061700.1.p pacid=40047855 transcript=Brasy7G061700.1 locus=Brasy7G061700 ID=Brasy7G061700.1.v1.1 annot-version=v1.1 MKRGRAWGLWMLLACGRSAVRCGAAAVLRDGKAKERLALDRQFPQTLAPACSFVSPVRSSRRRRPPPPERGASSAGSPGSSAPAPTASPGSSPHLPRPPSHPSSSPPAAAAAPAGDRRRRPPRVRGASSAGSPGSSAPTLPSYPVSSARPHSDGVFHHAPPPLAPTASSDPLPERVASSAGSPDAKCWVAGLGSMQDAATPDSIARDQEKH* >Brasy7G184700.1.p pacid=40047856 transcript=Brasy7G184700.1 locus=Brasy7G184700 ID=Brasy7G184700.1.v1.1 annot-version=v1.1 MNVKAPFSLPKLRRPKLSAPVGDPESNRRTRNPPLRAQVLPFPSLPSLLAVGDLVGGGWGFRRLWPRQGRPAVLWGGMGRPGALRCGMGWPAALRGGMGWPAARGGEAGGARGRELGMGRDGTAAVVGGMGRSGAAGRDGEAGGGGRDGEGWGGGGRGRDGKGWGDSGVRDREGGGGGRDEVEMGGRSPEEKREQRETEERREQRETEDEVEMGDA* >Brasy7G075300.1.p pacid=40047857 transcript=Brasy7G075300.1 locus=Brasy7G075300 ID=Brasy7G075300.1.v1.1 annot-version=v1.1 MSCRAAPWSSPSHPRGRPFPVSARLRTPFGHMHMWKNPILKNLSRIGGVPMMFGLPSGLFRCMASSSSGNGGFSRPSSTDETPVPVYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPGNNKPQVLLVDQSDRFVFKPMLYELLSGEVDVWEIAPYFTELLKNTSVQFVKDSVKLLRPSDHLRREPGGSCTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEHALKVESELKMLERRRFGKSSPTIEVAIVGLGYSGVELAATISERLKNTGTVKGINVQTTICPSAPPGNRDAALKVLESQNIQLFLGYSVSCVREVYVSDDSISMATDEKEADGDHRKLLVELQPAQRGLQSQVLEADLVLWTVGSASQIPRLQPPDSPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKFLPANAQVAFQQADFAGWNIWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGLSWFTKGAVDSLASLQNAVASNFPPPTPTAPSTTAATNRSRCPLPAMDPDSEISFDFPPYLCQYKSGRVVRPGGNAIAPAGTDPLTGVVSKDIHVGSARARVYLPPDAATTANAAKLPVVVYFHGGGFVVGSPARPSTHAYLNDLVARSGAIGVSVYYGLAPERALPAAYEDGWAAVQWAASGADPWLLDHADLSRVFLSGCSAGANIAHNMAVRAGADGALPDGVKIRGLMVVHPYFTGKEPVGAEAALGPDVREFMDRTWRFVFPGTSGLDDPRVNPFVDSAARAASAAIPCERVLVCVAETDYLLKERALWYAKELKASGYAGEVEVFESKGVGHAFQFDKLDSEEGVKLQESLAAFMKK* >Brasy7G129700.1.p pacid=40047858 transcript=Brasy7G129700.1 locus=Brasy7G129700 ID=Brasy7G129700.1.v1.1 annot-version=v1.1 MPILIFALHVTGSLNAVLSIEHRREICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLGELDKHDALTKGRAWILSHGSAVAVPQWGKIWLSVVGLYEWSGNNAIIPELWLVPHFLPIHPGRFWCFCRLVYMPMSYIYGKRFVGPITPTISAIREEIYNVPYHDIDWNKARDTCAKEDLRYPRSLLQNVIWTCLNKFVEPVLNCWPVNKLRDAALKNLMKHIHYEDEVTKYIGICPIDKALDMICCWIEDPNSDALKLHLPRIYDYLWLAEDGMKAQVYDGCQSWELSFIVQAYCSTDLVNEFGPTLRKAHEFIKSSQVLENHPDSEAYYRHRSKGSWTLSTADNGWSVSDCTAEALKALLMLSKISPNLVGDPIEGERLHDAVDCLLSFMNKDGSFSTYECKRTTSLLEVLNPSESFLNIVVDYPSVECTSSVLEALIMFREIYPQYRKEEIGKCINNASKFIENKQQKDGSWFGTWGICFTYGTFFAVKGLTASGRTYENCPSIRKACTFLLSKQLSTGGWGETYLSSETEAYVAAISPHAVNTAWAMLALIYAGQVERDPTPLFHAAKELINMQLETGGFPQQEHVGCFNCSLYFNYANYRYLYPIWALGEFRHRLVGKRI* >Brasy7G092700.1.p pacid=40047859 transcript=Brasy7G092700.1 locus=Brasy7G092700 ID=Brasy7G092700.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSAFCLPHPAHLFFFVCLISLASPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSLPGLLSPFFFPTAGAWPRRGTRAAVGRGAAARRGLRWREALRLGEGRRGEALRRLWTAASGRGAAAAGRGARGSRWRARRCALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARRRRAAGGQLRRLPVRAAAAPASSGSARRGEEHGAVAAAQSRRRGSSGSVGQGGEAATASGGVKQQPDFFCFF* >Brasy7G024600.1.p pacid=40047860 transcript=Brasy7G024600.1 locus=Brasy7G024600 ID=Brasy7G024600.1.v1.1 annot-version=v1.1 MARWSPPPPLRDGEHFSMGHDAVSLYFFVACVAATVALISSMCSACGRKPKAVVSQEPDDAAKASVHGETPGGGGGEAHEDEEVVTLSPELATHGPIQPQPLPVSASRRKLSISMSLSKAQSKAQNLVNIPDKMRLSKRERKDKDGGGGEDGALWKKAIILGEKCRIPGERGDGEGPSGSGGGDPSDSADDEMAAGTFRRSSYSRPVSRSNSFAVQQPTVAEAPAVLQPPPYS* >Brasy7G164200.1.p pacid=40047861 transcript=Brasy7G164200.1 locus=Brasy7G164200 ID=Brasy7G164200.1.v1.1 annot-version=v1.1 MPLRHRRRLFFFLARETPSCPGQGRRAASSWAAAVAEHARSGHHAAALTVFRRVLAAHPDAAAADVIAYSALLRCRDARLAYQIHAQACRRGFAASNPILSCSLLVFYSSDLPAATKLFDEMARRDAFSYTAMMSALLRAGDWARALALYTRMLAAAVPPTHHTFATLLALCASRRLCRHGRQLHAQLLRWQVDLNLVLKTALVHMYSRCGFMDHAHAVLCSTPETDVVLWTAMIAGYSQAGDLQTALQMFRYMEHAAVLPNAFTFAGVITACSSSVQPLAIQTGRQLHARVFKFALEHDISVCNALVDFYSKSSTRLLDLLHTFNAIDRPNVVSWTAFIAGLARHGRDEDVFAAFAEMRAGGVQPNSFTISTLLKGCSSSQSFLHATKIHAYVLKTSSESLNVAVGNSLVNLYSRFARMDDAWAVATTMAFVRDSFTYTSLAKGLNQIGLPNKALEMIVHMFHEKVHIDSFSLACFLSAAATLASVEPGKQLHCCSVKLGLNSQLSVSNSLINMYSKCKCLEDATCVFQSIKGPSVVSWNALISGLASNGCYYEALSAFEDMALVGVQPDCVTFSIVLYACSHGGLIDIGISHFSSMKTLFGISPQRSHYTLFLDMLGRAGRLAEAACTIDTMPMQPDLSMYRNLLAFCELHNDLVVGETIARKALELYPSDTVFQNIFSGIYGAPWKDEFGAHKHMMQGDPDI* >Brasy7G131300.1.p pacid=40047862 transcript=Brasy7G131300.1 locus=Brasy7G131300 ID=Brasy7G131300.1.v1.1 annot-version=v1.1 MVRAGAGDVETGDGARRRRRGRPGRRNSTSASLPTRDLREPPSGTSQADDATPKFVGPPGSGRIHRDRTSPPLPGSDPTASAWIRRDHLTAASARVCQDWTLPLLPRSSMSFGPRRSEVSHVSRDLAAPEVVVAVAAAAQRGGLRGTDAGGGVRRRRETWQARPRERESRERLCVERKIWVVGESDKVGRS* >Brasy7G066300.1.p pacid=40047863 transcript=Brasy7G066300.1 locus=Brasy7G066300 ID=Brasy7G066300.1.v1.1 annot-version=v1.1 MAAVPTSALASAFLLFLFHGASRTLLAGASSSEADRSALLAFKSAVSGDPKGALAGWGASPDVCSWAGVACRDTVAAPRVVKLVLTGLELSGEISPALGNLSHLRRLNLSRNHFTGRIPPELGNLSHLNLLDASSNMLYGSLPPELGNLSSLKCLDLFDNGFTGAVPPELGSLSRLKQLSLSDNKFQGSIPVELAWFPNLEYLNLGGNDLSGHIPPSVFCNLSVLEYLDLNSNSLDGEIPAACPLPNVTHLVLWSNNLVGGIPRSLSNSTKLRWLMLNSNYLTGELPSSDMFRGMRSLKYLDLSFNCLRSSKNNTDLEPFFASLTNCTGLKELGIAGNDLAGTIPAVVGRLSQGLTQLYLGFNNISGSIPASLSGLANLSMLHISHNHLSGPIPPGISGMPRLEQLHISHNHLSGPIPPSIGTIPNLGLVDLSYNQLTSAIPGTFGGLKQLLVLALHNNRLAGAIPASLVQCVNLQTLDLSHNMLRGEIPGGLFSGGLRGLVYVNLSCNLLEGPIPETVGEMAALQKLNLSSNRLFGSIPPELGGCIALEYLDLSGNTLEGVLPETVGRLSALQVLDVSRNFLTGSLPLSLVHAAKLLRENFSYNGFSGEVPSGGAYARFPADAFLGNTGLCFTGMTAMPGLPRCGGRNRRAVLPVVVTVLCFMLAILGIAVCSAMAAGTMSLRGRDGRRSTTTLLAYGGYNEEPGDHPRISHRELSEATGGFELSSLIGAGRFGRVYEGTLRAGTRVAVKVLLDPKSGGSGDVSRSFKRECQVLRRTRHRNLVRVITTCSAPPDFHALVLPLMRNGSLESRLYPHDGRPARGLSLARLVSVASDVAEGMTYLHHYAPVRVVHCDLKPSNVLLDDEMTAVVADFGIARLLEEDNDDEFTGPDADPCNSITGLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLELITGKRPTDVIFHEGLALHDWVSRHHPHDVVARSWLTESPSAVREDAMAQLIGLGIACTQRSPPVRPTMVEVCREITLLAEDLAKHTAASVTASEGSCTTMYSSF* >Brasy7G101200.1.p pacid=40047864 transcript=Brasy7G101200.1 locus=Brasy7G101200 ID=Brasy7G101200.1.v1.1 annot-version=v1.1 MAAASVPSSRSLATTCLLALLLTGWMAVALPTADARRLLGTAMPMPPAASPGLAPAPESGADYGGYSGGRMLFEGRGLLAGGLRLAGRLLLGVGF* >Brasy7G002400.1.p pacid=40047865 transcript=Brasy7G002400.1 locus=Brasy7G002400 ID=Brasy7G002400.1.v1.1 annot-version=v1.1 MRSGLAFALGVLAMALLVGELHCAAPAPPPRAPPRAPGPPQHPPSPSPPRRRQGSSPHRRPSPPRQQHDPPLPPPPPPSLPPARTVVVPAPPQAQDPAPPGPGRGRRSNSNYSSGCTTILVLGDSTVDPGNNNRLRTTAKANFPPYGVNFYGRRPTGRFSNGRLATDMLADQLGIQRTIPGFLDPTLKLGQLRKGVSFASAGSGFDDVTANTLSALPFRRQLWHLWRYKLLIRALLGPRRAERLVNRAALVISAGTNDLLLNYIASNQSAAGSMGMLHYENYLIGRLTNYTQVLRMLGGRRFVFVGLPPIGCLPIARTLLVTGPDGCDGNLNQLAASFNSRLIQLSNFMNYQPRTRTAYIDTYTLVQAATENPQSFGFSEVSKGCCGSGTIEVGRTCRGRRICSDPSKYLYWDAVHPTERTNQLITGVMVDSIRQIYS* >Brasy7G234800.1.p pacid=40047866 transcript=Brasy7G234800.1 locus=Brasy7G234800 ID=Brasy7G234800.1.v1.1 annot-version=v1.1 MKYPPRRAASCGGYLAAVLALVLLAALQIQYHHLKVDLGKTDFATATTQQQRGHRRAGRWTRRSTGAEGLPRGIVQSSSDMYLRPLWDSTRASTNSKNKNDRYKALLAMAVGISQMQNIDIMARKFLNESYTVMLFHYDGNVDGWRSLEWSDKAIHIVAPNQTKWWFAKRFLHPNVVAIYDFIFLWDEDLGVEKFDPKRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRVYDNRSSMNCSDDSKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSHTSKIPRRSLDLRTQIRRQSSAELEKFKERWNKAVREDDEWMDPFEA* >BrasyJ047900.1.p pacid=40047867 transcript=BrasyJ047900.1 locus=BrasyJ047900 ID=BrasyJ047900.1.v1.1 annot-version=v1.1 MANYPVDPTPHLPPGTTIVAPSALRPQRGYVVLSGSIPVICDEWAITILASPMENEDFDEALMIIRQFLADQCWDIEAVTDAFVPYGCFLVWNKEMSNRARTLVKIRAYDVHALPLSLVILNNTNAAGHGESWTCPLFVMSYALLGAPPADEDPLPPDGWNPHPLPIQFGHIWPELGVIPAQMPFAHNPQEVIQHEIVNQAPEIDEHAENQIAYQPEHDMMLGNAVQEEPIIQLAEPNAVNLEEAQNDAEPASSPVDQEIVVESPSEDPVGILQNMFSEMIANANNIIPKLVGTDVVGACCKIVEVPSQDQDNCQIYPYC* >BrasyJ048000.1.p pacid=40047868 transcript=BrasyJ048000.1 locus=BrasyJ048000 ID=BrasyJ048000.1.v1.1 annot-version=v1.1 MGHRVPCLRLEESGPRVRGVLVSDLPGGCSGMGNGRSPLFNLPCTQTAKGQHVPTGGLLTWGMEEERPIPWPRRRAAMPLWRGSGRDARRLDLDRDEEERARQVVTRARRTVYRAGALAPATGRDVHTGGEVHTSTSGDGGRGGERRHEGAEQRRIPRFRPRSSGSGDAAEQGMGLRRRIWRAGGWGARDRKGRENPRQKRKEGRRERRKGQRSRCFFRASVCDFCEVGDVRAYHATSKLVK* >BrasyJ052600.1.p pacid=40047869 transcript=BrasyJ052600.1 locus=BrasyJ052600 ID=BrasyJ052600.1.v1.1 annot-version=v1.1 MCVHRAAIRYVTDRPCGTANDVGIKMWCDHCFAAARSYRDAAPYTPWRLPHRLPTILANPNRNSDPEHTTPSRLRPSSRIVARRSAAGPGETVHATAAEEEEQELKELEEEGLPRRGQYGEQDDHDHDPEIADIMGDYFDDPKKAQSRMEERIKTKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDVKLIGDALRSWHIVGRLGGCNSMNMQVTTVLDILDPF* >BrasyJ052400.1.p pacid=40047870 transcript=BrasyJ052400.1 locus=BrasyJ052400 ID=BrasyJ052400.1.v1.1 annot-version=v1.1 MVKGHTDGTDPLLPRIHGESLQKRLPRPHPRGRHTHLDRGGGGSRQGGAETEGTAGGEGGAARGGWTGKAGRREKEGGERRGDERGGGEGRGGERRRAERGQGRRGGERRRAAEEEETERVDGRAGGEMRRAARGQGRAGRRERAAR* >BrasyJ052500.1.p pacid=40047871 transcript=BrasyJ052500.1 locus=BrasyJ052500 ID=BrasyJ052500.1.v1.1 annot-version=v1.1 MSLGKNKSPSRREAADRKADEEFLEGRDSGGGEGEGAVVQRKESAPAGRRLLISAVPSAGPLRTWTPAAQGQIRKWAKAVVAYARQLSFGSPRSSSGSAGATPRSATFRSKSGHGGARPEQPPP* >BrasyJ052300.1.p pacid=40047872 transcript=BrasyJ052300.1 locus=BrasyJ052300 ID=BrasyJ052300.1.v1.1 annot-version=v1.1 MCDLVARTGRLQQRYEDGRRLVAGCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >BrasyJ052700.1.p pacid=40047873 transcript=BrasyJ052700.1 locus=BrasyJ052700 ID=BrasyJ052700.1.v1.1 annot-version=v1.1 MDLLPPPSEAPAGGGAVAGRGLRRGVGFRSLKLVTVAMDEALPAEPVGVAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLDKERVLCWRSTGADAMPLAGCRTHTGRCYLRVLSMQNVCQ* >BrasyJ011000.1.p pacid=40047874 transcript=BrasyJ011000.1 locus=BrasyJ011000 ID=BrasyJ011000.1.v1.1 annot-version=v1.1 MIDALCPIICWNVRGLNTPARREAVCELASAAKASILCLQETKLGAIERALAREIAGPSRSSCISLAAAGTRGGVAIFWDPDLVTISNHATHLFSISATVTIRQSGIAFTLTTVYGPADDDLKPAFLQEMHDLTPPHDVPWLIAGDFNLIYEARDKNNSNLCRRLMGQFRAAIDFAEIFELRCINRKFSWSNERQPHSSQT* >BrasyJ011100.1.p pacid=40047875 transcript=BrasyJ011100.1 locus=BrasyJ011100 ID=BrasyJ011100.1.v1.1 annot-version=v1.1 MVGTLDEGMIIQYFKNKSILITGSTGFLGKILVEKILRIQPDVKKIYLPVRAVDAAAAKRRVQTEVVGKELFGLLREKHGQDGFDRLVESKIVPLAGDVMREGFGIDGATSAELGLLESLHVIVNGAATTNFYERYDVALDVNVQGVKHMCDFAKKCPNLEALLHVSTAYVAGEKQGLVRERAFRDGETLKDGTHLDIGAEMRLARDLKKQLEYVDGDGERPGGGEGQGGEEGHEGPGPG* >BrasyJ011200.1.p pacid=40047876 transcript=BrasyJ011200.1 locus=BrasyJ011200 ID=BrasyJ011200.1.v1.1 annot-version=v1.1 MGEMMLAQQLRGGRVPVVIVRPSIITSVLNDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVMPGDMVVNAMMAATAAAAHSNGGPHAVNPAHSPSPQQQPVTVYHVSSSLRNPAPYAVLYRTGLRYFTEHPRRTPDGRAAPTRKVRFLSTLASFRLFMLLRYRLPLELLHLLAFLCCGLFGLAALYDRLARRYRFVMQLVDLYGPFSLFKGCFDDVNLSKLRVAMDNGGGGEGQGVFNFDAKTVDWDDYFYRVHIPGVMKYVLK* >BrasyJ010900.1.p pacid=40047877 transcript=BrasyJ010900.1 locus=BrasyJ010900 ID=BrasyJ010900.1.v1.1 annot-version=v1.1 MVVSRNSRRRSASPLLAGHPTPPMPLIGAPRRVPHDREAFLRRFRGRCFRCLSKDHRRAACRDPPRCIDCWAWATWRLRDALLRFPAPPPTAAMLSRAIPKPAPHHTGFTHSIVMTSRAIEQQVFLLRSRGVLVKAVTPLHAASPILVGKEIERVMGIPTHALRVTKHHPEDFFIHFDNPAHRDCAVSLGRIDIDGTTFLLQPWRESDHGTLQTYPLHVRICIEKMPLHLWSVEGAKEVLGSNIIIDRLDSRTFARDDTNAHTFTVFPGGAGRVEEMSGYSPPRRDVAPAPEGTHFNALIHIDLVEDWTVVEARTPSSRQRGLPSSSSDEAAPLPAVQPYFWYFGVHDGEDTRTGARRRLLDPCRDAAPPARRDDAGDDADRDRCPRRNTAPLRGLAGKAPAQVPPSGLGGSRRRSRTPVSHRRRDNAAAQPSVTLAPCKILPPPPPLPMHGPLPRRLDLAALPASLLPPPTAVPAASPTSPAKTTSESSEDPLAELVASEQLDGFCWPLRNIDPMSFEMDASLELDAFCDKTTASPLSFPPDSI* >BrasyJ058700.1.p pacid=40047878 transcript=BrasyJ058700.1 locus=BrasyJ058700 ID=BrasyJ058700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQPHVLPKF* >BrasyJ058800.1.p pacid=40047879 transcript=BrasyJ058800.1 locus=BrasyJ058800 ID=BrasyJ058800.1.v1.1 annot-version=v1.1 MEANGSPESRQESHLSFDHESLKEPRDPEKRKGGWVTFPFLAVAILGLGLATGGALSNMVVYLIKEYNVPSVDAAQISTIISGSISVAPVAGAIVADALFGCYPIVSIAMALSVLSLIMFTLTASIPSLRPAVCQFGAGQPCDQASTGQMAALYGAVCLLCLSAAGARFNQATMGANQFDASADRDVFFNWYFIMLYASSVLGATVIVYIQDTVSWSLGFGVSCATSVVGLGALLLGARYYRQSAAQGSPFTGLARVVVAATRKWKVNLVASEELKFYYGIRSTSSSEGDGKVISEGNLAPTDSFSFLNRAAAITDGDVDGADGRAVRPWRICTVQQVEDFKTVLRILPLWSSAVVLSISIGVQINFTVLQALVMDRAVGRFTVPAGSMFVGSLISVVIFLGLLDRILLPLWKRLTGGHTPTPLQFVGVGHALTVASMAASAFIERSRTATVRAHGEEGNPAWVSPLSAMWLLLPFAVAGAGEALHFPAQVTLYYQEFPPSLKNTATGMMAMIVALGFYLSTAVINIVQRATTWLPDNMNTSRLENLYWLLTALVAVNFGYYLVCAKLYRYQNIGK* >Brasy6G201200.1.p pacid=40047880 transcript=Brasy6G201200.1 locus=Brasy6G201200 ID=Brasy6G201200.1.v1.1 annot-version=v1.1 MAPSTRPLVSVKALEGDMATDSAGLALAEVLRAPIRPDIVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVHLRRVAVSSALAATAVPALVLARGHRIETVPEMPLVISDSAESIEKTSQAIKILKQIGAYADAEKAKDSVGIRAGKGKMRNRRYVNRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSQKKKGFVLPRPKMANADLGRIINSDEVQSVVKPINKEVKLREKRRNPLKNAAAVLKLNPYFGTARKMATLAEAARVKARKEKIASKRTKLSPEEAAKVKAAGKAWYKTMISDSDYTEFDVFSKWLGVSQ* >Brasy6G233300.1.p pacid=40047881 transcript=Brasy6G233300.1 locus=Brasy6G233300 ID=Brasy6G233300.1.v1.1 annot-version=v1.1 MTRPRRQEAGLHGVGGAQSNPCPGHKRQIQPARRGAWRQSCNAGGHFLHSYVI* >Brasy6G138900.1.p pacid=40047882 transcript=Brasy6G138900.1 locus=Brasy6G138900 ID=Brasy6G138900.1.v1.1 annot-version=v1.1 MADEDLDHCNIIPCKYGDHFTRYWRGEMKLEMKPFHEWYEFEVKKRGARFYLGGDWEMFASIYNIKQGDKLCFVLGPIIHEHLTVGHLRRRSGGIALPRCTIAEYEAEQERGEMEECTTSVDTQ* >Brasy6G215900.1.p pacid=40047883 transcript=Brasy6G215900.1 locus=Brasy6G215900 ID=Brasy6G215900.1.v1.1 annot-version=v1.1 MSRLAKATISLVILALLFMPGAMAAAVAGFDATRSHHLPLPRGYLRGPESVAFDGEGRGPYSGVSDGRVLKWNGDKIGWTTYRLRPRLQQRDSGNLYIADAYKGLMRVGPAGGEATVLVNQVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVSRTGDSSGRLMRYDPHTNDVTMLQSGITYPNGVSISHDRTHLVVASTGPCKLLRHWMKGPNAGKTEPFADLPGYPDNVRQDKRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKILEEMRGPKSVRPTEIMERGNGKYYMVKDRVCHRGEG* >Brasy6G185000.1.p pacid=40047884 transcript=Brasy6G185000.1 locus=Brasy6G185000 ID=Brasy6G185000.1.v1.1 annot-version=v1.1 MLRAVLLRSASGLRRSPMAAPLSTAAASWLAEGASSPPRVRLLIGGEFVESRANEHVDVTNPATQEVVSRIPLTTADEFKAAVDAARTAFPGWRSTPVTTRQRIMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGSLQMGEYVSNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEAIKAVSFVGSNTAGMHIYSRASAKGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRAKRLVVNSGTASDADLGPVISRQAKDRISKLVQSGIVSGARIVLDGREIVVPHFEDGNFVGPTLLADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQQRVSLSMPTSQK* >Brasy6G185000.2.p pacid=40047885 transcript=Brasy6G185000.2 locus=Brasy6G185000 ID=Brasy6G185000.2.v1.1 annot-version=v1.1 MFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGSLQMGEYVSNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEAIKAVSFVGSNTAGMHIYSRASAKGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRAKRLVVNSGTASDADLGPVISRQAKDRISKLVQSGIVSGARIVLDGREIVVPHFEDGNFVGPTLLADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQQRVSLSMPTSQK* >Brasy6G116200.1.p pacid=40047886 transcript=Brasy6G116200.1 locus=Brasy6G116200 ID=Brasy6G116200.1.v1.1 annot-version=v1.1 MVRKRQDVVDLGDEDIKHVAVRNRASPFGLTQLYKDFNVAQKLDFRNMEFTPFLHINTTKLHNKAIDWLASCYDSSARCLLIPGKGRIAMTEESVYNALGCPHGKLPVPYRVDKDIEARLAPQMFPGMDLSKAPLHSQVNSMLKDMTESGDRFKRLALMYIMSTILAPTTSTRISNRCYPVLDDIANVHKYNFCKFVLDQLHENLSKKKLNKGCRLYLLLLYVDSLDISELGLDVPAAPFGVTAWTNSLIDEVLKADTKEDGSFGKLQLISDHAVNYTYFGGPEQFSRWIDMNSHPDIEPKERKKVETLVGQFASGMTCLLGNIVQGWTGLTPPESEEMSRHFATVTGGAPTRSRTARGRFEGYNYPSDTDDEDEHAQDSGDSSDSDDDPPNNPGGGEGEGKKDGDNEDGGMGKGDDHEGQGSGNDGGNNNDGSGHNDNNEATPNDEPGDESGSVGCGGESDASNKGIANVAHREIAKLVVGELNLKRQRYIFC* >Brasy6G050400.1.p pacid=40047887 transcript=Brasy6G050400.1 locus=Brasy6G050400 ID=Brasy6G050400.1.v1.1 annot-version=v1.1 MNGGASKAAAGDEPCSLWSDLPADLAGLVLPRLPSYADRARFALVCRHWRYGAKLLLQSPLPIPPTLPWLKIIDGNGDTFRSLPDGELHRIPAQPMPLLSANMGWLLLKDADGRHYLKNPLSGEILSLPGHCNPPAIRHDSQPYSSSSSSSSSSSWSSSDEFKIRKLIVSTGDNAIAALISHRGLPPAVACCRPAGNNDGATSAWSTGQVISPFAGDASRYEDMAVFEGRAYTVTPGGDLFAHDTMQRLGSTADVEEPRVGHVIRASADQLDGAYASLPCGRRSYLATSARGDRLRLVRWIVPYKVRWNPESAAAAAKTMAFKVFEAAGVDKDGGGRWVELQRLDDDEVLFLSADCSKAMSINGDTLSSSSSSKIYFADCDPSWFGGRPASICPRRTTCGVYDMRTKVVSPISSKRPISDRTHAAWFFPFVEHRGEDSSSLHR* >Brasy6G029900.1.p pacid=40047888 transcript=Brasy6G029900.1 locus=Brasy6G029900 ID=Brasy6G029900.1.v1.1 annot-version=v1.1 MQQPAAAIAGSTTKGDLAENGGLGSQREAARLTRRSKEASIRGGRRPRLTGRREAACFAEGGGLLRGGRRPASRREAAAAARAEERSAGGGGVSRGRGRWRRRPSRDGSREPVGATMWSTAGERRSVEERNSWWDIPRGVAVEETEKEIRSRKEP* >Brasy6G124300.1.p pacid=40047889 transcript=Brasy6G124300.1 locus=Brasy6G124300 ID=Brasy6G124300.1.v1.1 annot-version=v1.1 MKKKPVVICCSVLLALIVVLAIVFISLYFTVFRPRSPHVEATVVSTRITQVDITTFPPKLNLSFSVDVAVRNPNYASFRYGDVVTGLTYYGDPVGQSVVLAGEVGARTTQTVEAAVVVEADKVVYTLPFIPDVLAGALPFETRTTVAGKAVVLGTLKISATSIVTCRISTNAIKQESTSECTSTARVG* >Brasy6G213700.1.p pacid=40047890 transcript=Brasy6G213700.1 locus=Brasy6G213700 ID=Brasy6G213700.1.v1.1 annot-version=v1.1 MALVFDATILSREPVIPPQFVWPADEAPPAAAVEEISIPVVDLAAFLSGSGGSGGVDPDLAAACERHGFFQIVNHGVAPALLAEAYRCMDAFYALPLAEKQRAQRRLGENHGYAGSFTGRFESRLPWKETMSFNCSDAPGNARKVVDYFVSVLGEEYRQMGEVWQEYCDVMTRLAPDVTDLLAAGLVLGRGALRGFFAGGDSVMQLNNYPPCRQPHLTLGTAEAPPRPDDLVGGLQVFVGGEWRAVRPRRDAFVVNIGDTFAALTDGRHASCLHRAVVNGAAVRRSLTFFLNQPLDRVVSPPPGLLAEDDKRKYPDFTWREFLEFTQKHYRSDTNTMDAFVAWIKQGRRGVQFHGGVGQGEKN* >Brasy6G207600.1.p pacid=40047891 transcript=Brasy6G207600.1 locus=Brasy6G207600 ID=Brasy6G207600.1.v1.1 annot-version=v1.1 MAVMRDSAAAAATMLAVVAAVVGIFLICFHLSSRSYRRSAIARSSWGGASPSRAVWEVVEEPPVFIFEGPLGGDAENKGLDEASIAALPQKIVTKAADHCAVCIEELAKGVTARVLPWCGHMFHVDCADTWLRSHATCPLCRRSVVDETVVVVLPPEPEEWPSATRIAGFFRWLLRRRQADDLHRDIEMATGSNGNGQRGRHSQVLNHADRRVLRRPV* >Brasy6G114100.1.p pacid=40047892 transcript=Brasy6G114100.1 locus=Brasy6G114100 ID=Brasy6G114100.1.v1.1 annot-version=v1.1 MHWHGIRQLRSGWADGPAYVTQCPIQTGRSYVYNFTITGQRGTLWWHAHISWLRATVYGAIVILPKLGVPYPFAAPHEEVPVLFGEWWKADTEAVVKQALQTGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKTYLLRLINAALNDELFFSIANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPFYPKANFYMSAAPYSTIRPGTFDNTTVTGILEYHNPNSGSATSSSFDKDLPLFKPTLPRFNDTGLVTNFTAKLRSLATASYPAIVPQSVDKRFFFTIGLGTLPCPANTTCQGPTNMTRFAAAMNNVSLVLPSTALLQSHYTGMGMSKGVYASNFPTAPISTFNYTGTPPNNTNVAQGTRLLVLPFNASVELVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLANQKLLPPPSDLPKC* >Brasy6G109700.1.p pacid=40047893 transcript=Brasy6G109700.1 locus=Brasy6G109700 ID=Brasy6G109700.1.v1.1 annot-version=v1.1 MALKGADAGTVQLKDLVPAATNTVNTTFIVLDKAARQAHAHAHNGREETCMSLVADETAAVHFLLWGAECDAFEPGDIVRLTGGIFSYHRGNSLVLRAGKRGRAEKVGEFTMLFVETPNMSEMRWGRDPGDPRKMVQEAVVSPYSQVFKPLH* >Brasy6G129700.1.p pacid=40047894 transcript=Brasy6G129700.1 locus=Brasy6G129700 ID=Brasy6G129700.1.v1.1 annot-version=v1.1 MSCSHLSTAWSSSALASSSTRRRGASSSSSSLVVRCSLRDLRNRIESVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRQRSVKRVALVVLTGERGLCGAFNNNVIKKAESRMEELKQLGVEYTVISVGKKGNAYFQRRPYIPSERFLELAGIPTVKDSQAICDLVYSLFVAEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDVNGICVDATEDELFKLTTKEGKLTVEREKIKIETQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIDLRKNLSIIYNRQRQAKITGEILEIVAGADALSG* >Brasy6G216500.1.p pacid=40047895 transcript=Brasy6G216500.1 locus=Brasy6G216500 ID=Brasy6G216500.1.v1.1 annot-version=v1.1 MESALAAHVVLRRVRPGPELARVQWPGRSLALTHTTEVALAGPSAHRDGTRGSDAGIPSPDVAVLVQHGFRAVHWRTVDRAMFSCSLCRIRIPHGSEGCSAHAHVVQPAVEVPVPLLERAVPFFHDDSLSKSSKARPRLCPDYDADIDANLKMMEKNAGERPSPGYLTTVQGDRISPVTRGALVLWMDKFVRHYELAPGTLHLAVACIDRVLSVRTARSYGAYELQLLGATAVFAAAKYEDQSTKYKLNTAEIARYCGLETSEEARETEREMMKALGFQISGPTAHTFVGHFTRFSQGREELRVQRLARRIADQSLLSHVCVGFLPSVVAASAIFLARFALNPADVLAWNAEMQELTGYGSLDLSGCVQIMYSFSQSLICNPPF* >Brasy6G179300.1.p pacid=40047896 transcript=Brasy6G179300.1 locus=Brasy6G179300 ID=Brasy6G179300.1.v1.1 annot-version=v1.1 MVTWKGGWTTEARGAAPGVGEVEDRAPPHEGPGARRRRTSQVDGGASTSQRTPQRSPRIRALKPRSTGAKACVAPHGGQAAGARPHRAGKATRAVQRTGSPDLRPERAGDMRGWGKGGRGSSSWPSFRRIRRGGLWILTGSASRTRSTRTDVGCRGGGSRKEPTPRRHLRRPLPGLCRRWPQAAARQERGGGVAAAGAGGGTPESPERERREGHAVSHLTITRIFFRSLALRQPVDGVRQEEVADGSLAMARLARSEAQPGMALGILLTRLSLLRRWIDQRHGYGVVRAGGEESRPASRRSCACVVRCAAAVMVHGLEMEELWEEKESSPWKLQRSRGQIDSASGFEPACLDLVVSSIGGRPQRFGGAPHVCVVGLPDYGGSCKEDSVGRDGGAAVYSSEWQLVAGTNRRLSCTVGSIFGAQMPMEVVLWLQPPGLEVMALQSEVMVMTAKVSPH* >Brasy6G001500.1.p pacid=40047897 transcript=Brasy6G001500.1 locus=Brasy6G001500 ID=Brasy6G001500.1.v1.1 annot-version=v1.1 MIGEVGSKQTVYIPDMMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKKHSLLRRVFAIYGSLPQGAKQAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVQVVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.2.p pacid=40047898 transcript=Brasy6G001500.2 locus=Brasy6G001500 ID=Brasy6G001500.2.v1.1 annot-version=v1.1 MIGEVGSKQTVYIPDMMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKKHSLLRRVFAIYGSLPQGAKQAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVQVVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.3.p pacid=40047899 transcript=Brasy6G001500.3 locus=Brasy6G001500 ID=Brasy6G001500.3.v1.1 annot-version=v1.1 MIGEVGSKQTVYIPDMMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKKHSLLRRVFAIYGSLPQGAKQAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.4.p pacid=40047900 transcript=Brasy6G001500.4 locus=Brasy6G001500 ID=Brasy6G001500.4.v1.1 annot-version=v1.1 MIGEVGSKQTVYIPDMMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKKHSLLRRVFAIYGSLPQGAKQAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.5.p pacid=40047901 transcript=Brasy6G001500.5 locus=Brasy6G001500 ID=Brasy6G001500.5.v1.1 annot-version=v1.1 MMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVQVVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.6.p pacid=40047902 transcript=Brasy6G001500.6 locus=Brasy6G001500 ID=Brasy6G001500.6.v1.1 annot-version=v1.1 MMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVQVVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.7.p pacid=40047903 transcript=Brasy6G001500.7 locus=Brasy6G001500 ID=Brasy6G001500.7.v1.1 annot-version=v1.1 MMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G001500.8.p pacid=40047904 transcript=Brasy6G001500.8 locus=Brasy6G001500 ID=Brasy6G001500.8.v1.1 annot-version=v1.1 MMPYLLDLLNDETPAVARQAVKTGTNLFAKVLQQLVIQGLFSSSGIDDSLKLSWEWMLKLKSAVSLLAFQSTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGQLLEQLKSPKISSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANAIIEVQVPGAFHALKSAFDACLKCTHSSAEPWRARLLEAQNIINQGDSIEHNANAGRSVGETSNKAESLPLTETSTDNSNKRSLADDMNNILEDDGHSSKRVRQSHDAEEHSEEARNIEVASIDSSSNQPAPARTGNSEAVYQLISMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSRPEVDKQHPPSTSQSSPSSNLLFGRFPLLESLLKTIKQADQDEVPPVNDSALVTSSAGDVAPIIASSAVPTAINPPKEENSDSSAVPSDMEIVEAKVPSVDATGLSIEIQESSETSHASTEPQGTQEHSGSFISSLPADNSSVGISLAQSSETRSPTSSTIEGSQSQFSSLNSLTSQHVLPKLVVTNIDLTDEAKDLLQKEAFMRILERDKQEESGGSNTRLPLLSHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFVLTVAENLRDMFPASDKSLGKLLCEMPYLSDGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLDSVLEVIPATESASAAEMATSEVHEDGGLENSASVAEAQTLMSLYFALCTKAVHRQVPILIRTIRSSPDLLGIISDPPADSRDLLMQVLQTLTDGAVPSQDLMSSTKNLYSKTKDVEFLFPVMAHLTKDEVMSVFSNIVNLPMDKFQVALSRILQGSPQHGPSLDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSALPRSSLVVLGLAEDPQPEPAPEAQSSQNQAAETSSSAADTTTEVTQESSAVS* >Brasy6G081600.1.p pacid=40047905 transcript=Brasy6G081600.1 locus=Brasy6G081600 ID=Brasy6G081600.1.v1.1 annot-version=v1.1 MEADSGKLFVGGISWETDEERLREYFGRFGEVTEAVIMRDRNTGRARGFGFIVFAEPGVAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPVRTRKIFVGGLASNVTEVEFRRYFEQFGMITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPVARSPAGGQNYAISRVHNFLNGFNQGYNPNPIGGYGMRVDGRFGLLSGARNGFSSFGPGYGMGMNVEAGMNSNFGTNSTFLNNSNGRQIGSYYNGGSNRLGSPIGYVGLNDDSGSILSSMGRNVWGNGNVNYQNSPTNMNSFIPSGSGSQITGDSLNWGGPTSAHGMGSISGLTSGNLGRGTGDNFGLPSGSYGRSNPTGTIGEPFSASANAYEMNSIDTYGNNSIYGDSTWRFTSSEIDIPPFDNDLGNIDPDIKSNMPGSYMGNYTVNNNQTSRGITS* >Brasy6G056100.1.p pacid=40047906 transcript=Brasy6G056100.1 locus=Brasy6G056100 ID=Brasy6G056100.1.v1.1 annot-version=v1.1 MSIPVIASLLLVLLLASSSSPAAEARPGGAPYTHIRFYMHETVSGPGATLLRSVQSPLGADSMFGSVNVLDNELRDGPDRSCSRLLARLQGLFVGAGLVSPPGLMSSLNVVFTAGRLRGSTLALLGPVLNFELPVERALVGGTGVFRMARGYSIMTSVGNYTMSGHVVLVDRIDLFVKMPRWATIMESPEEDSSPESLFED* >Brasy6G239600.1.p pacid=40047907 transcript=Brasy6G239600.1 locus=Brasy6G239600 ID=Brasy6G239600.1.v1.1 annot-version=v1.1 MVHFFQCSSSSQMPLLPLFFLLLLLVASFEVPPSDARRLPLKLLEIGTSKEEDEASRGGLEMEGRRRGMIGSRPPRCERVCMSCGHCEAVQVPIVPQDHNHNHKQRKRAGQEQQKHHVVSDAAAMFSVNIHGDLSNYKPLSWKCLCGGIILDP* >Brasy6G223500.1.p pacid=40047908 transcript=Brasy6G223500.1 locus=Brasy6G223500 ID=Brasy6G223500.1.v1.1 annot-version=v1.1 MEDGEGQQHNCDFLDFEQQLQFRTLGYEMDGMSSVEPNYTNMWNDVDGVNAAAPDQNNNGIINQTRVSKRHRREQIEQLEAVFQECPFPDEILQLNLSMSLGMTQMQVKAWFQNRRAALKNKMMQREGEFLREEKEMLEDQKHKINAVIHGKDKRCLRCRGWGPRAYNGDTPEKRRLLAENTKLKEELLQAFSLLNVVSGGRARAGTPIPKPFSPYGQLKSHCATSGGDSGSPTMLLDHLSRASEEFMSLAVSNLGEPMWLPTIDGEVLNSQTYNVMFRPDSMGFAMDGTRKTAMVMVKADNLVRILMDPAHWSKMFPGIVAGVTSSPIVNPKASPHELIQLMNAELRVLVPRVPLVKVKFARQCLQVQPNVWAVVDVSVDGLLVLDAGRMVDISESNGDSFPTTYTDCRMLPSGCLIEELKDGYCKITWMVHAGYDKSKIPQLHLSLLDTGCQALGACRWLNSLKRQCEYFAFLHSGPLPPLTNPAAAMLLLPEGRQSVLESAQRMMMNFYKAMCDSAGRPWSTIDEWAGDCGVGAEKLHLAVRFVTSHAAIYNGDLQTGTQLILSAMTTVWLPGIPAQSVFEYLCDGNRRWEWDSLVANGEPMQEEVRITTGQFYGNHVSIFHAIASDGTTNNNKLILQEAYTDMSCMLVVYVPVDEQSMKDAMINGGGCASSSTPPSGFAVIPDGLNQQTSPWSSSGAIVSAMYQTLLPNSASPQNLTLDAVNNISNLLYHAVNKIKSAVQAQVVVPA* >Brasy6G014800.1.p pacid=40047909 transcript=Brasy6G014800.1 locus=Brasy6G014800 ID=Brasy6G014800.1.v1.1 annot-version=v1.1 MPGSWFYRLRRKRGAVRAADDAARDLARKPPGTGSDGATAAAPLAANRASYYVPSGDRPGGGGTSKGGGHGIGSHPKVRDTRFPLSPQRQHTDIVFDVDRSSFGLVNKAMPALPELDLKLRPILTRPAASPSGGVAASPAMSPTDRLIRRRKLHGGGKGRPAAAAGMKEQRRGGGAWRRWLRESVVVVKESADPEEDFLASMAEMMMAAAADDGDGRGLEELLACYLALNAADHHRAIVAAFRRALLSPPSPPPRHHRPQPKPRPRTLVN* >Brasy6G169300.1.p pacid=40047910 transcript=Brasy6G169300.1 locus=Brasy6G169300 ID=Brasy6G169300.1.v1.1 annot-version=v1.1 MGLISGMVMGVVVGVAIMAGWSHLMQRRSRKRVAKAADIKVLGCLGRDELKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVSQAATAVVKESVEPLLDDYRPPGIKSLKFNKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDARVASLPIQLKDLQVFTVVRVVFQLSEVIPCISAVVVALLADPEPKIQYTLKAIGGSLTAIPGLSDMIDDTVNSIVNDMLKWPHRIVVPLGVNVDTSDLELKPEGKLYVTVVKATSLKNKELIGKSDPYVTLYVRPIFKVKTKVIDDNLNPEWNETFELIVEDKETQSVIFEVYDEDNLQQDKMLGVVKLPMNNIEPESPSEITLKLMQSLDSLKIKDYRDRGTLHLKIRYHPYTKEEQLEALESEKKAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGVVGGVGLVGSGLGAGVGLVGSGIGAVGSGLGKAGKFMGRTVTGHLGMSRKNGSSSSTAPQPDQPST* >Brasy6G169300.2.p pacid=40047911 transcript=Brasy6G169300.2 locus=Brasy6G169300 ID=Brasy6G169300.2.v1.1 annot-version=v1.1 MGLISGMVMGVVVGVAIMAGWSHLMQRRSRKRVAKAADIKVLGCLGRDELKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVSQAATAVVKESVEPLLDDYRPPGIKSLKFNKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDARVASLPIQLKDLQVFTVVRVVFQLSEVIPCISAVVVALLADPEPKIQYTLKAIGGSLTAIPGLSDMIDDTVNSIVNDMLKWPHRIVVPLGVNVDTSDLELKPEGKLYVTVVKATSLKNKELIGKSDPYVTLYVRPIFKVKTKVIDDNLNPEWNETFELIVEDKETQSVIFEIRYHPYTKEEQLEALESEKKAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGVVGGVGLVGSGLGAGVGLVGSGIGAVGSGLGKAGKFMGRTVTGHLGMSRKNGSSSSTAPQPDQPST* >Brasy6G205600.1.p pacid=40047912 transcript=Brasy6G205600.1 locus=Brasy6G205600 ID=Brasy6G205600.1.v1.1 annot-version=v1.1 MTHEMAGDMRLWPECRLRQRWASIPIQSNPIQSRPRSAATSTTCTSICPPAETRSPNHPTLLVLSMDSPPCALGLQSRAGIRSRIPSRGPQHPTPSHPSPPTTYNCLHRRPRRTAADRGSPRQSSGDSNVGGTWRRRPGAGRAAPGSALLTPDASAHAAVQAGGARDAEDGGRTTGVGGGGVEEGPRPVLLGLGVWGLGRGEASRGGRKEEDDKGGGDVDKARWRIGG* >Brasy6G110700.1.p pacid=40047913 transcript=Brasy6G110700.1 locus=Brasy6G110700 ID=Brasy6G110700.1.v1.1 annot-version=v1.1 MSSPAPPRPPAPSAPTPAPAPTATPMSVQPPPPQPKPPPLPQPSGSSAPPPPQFHPPPSHQQPQPHPAASHPQPQPHPAASHQQPQQPHPAASHQQQQQPHPPASHQQRPRICFNTHCKDPKSEGPRRRGWRLRSGDFAELCDRCYGSFEQGSFCETFHSEVAGWRNCEACGKRLHCGCIVSIHAYALLDAGGVDCILCTRKSYAAAAMAPNQMCPTPTMHMPQNVADKKDSFVKSWRPPGGQYPSQWRQNSLWSAGIQSDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKFDDMHDRPTTPAGMNQIIRDRHADGHSQQTSMDPAHSYALYQREGPNPNSLHDRSHHGHGGESDSTSARKGIISEGCSSNVVSSSFKLDSRHPLESLLKENISLLPVGVGCTITNCPPVNGRNDIVRIIPHQPTSQTPSSAASSAQKQFYSHTVIDPEYQSHFRNGKPRMDAKARSQLLPRYWPRITDKELQHLSAEYPKYTNSKSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDGSGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMHLQAGDIVTFSRIDPEGKLIMGFRKSTTQEQILRQEQPTKPANAAVTAPPEVNVNVTRPHEVNTENKDTSPVDQAAVGKVENGGVAQKEGPGTARSSPGSLKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPLKAPSVVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVGALLPSKWTCSDNKWDPERTSCESAQEATTDELAELFRIKAGAAKKPKARIELDSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFKTLMLRREKRLSEKDTEEPRRKEVPQTGSDPPLGSTSPTSSPQKADANPDDAEDMIVDHRMSSSPVKNQIDLNIQPEREDEQSPKSNAVGAARLPRDNPT* >Brasy6G136200.1.p pacid=40047914 transcript=Brasy6G136200.1 locus=Brasy6G136200 ID=Brasy6G136200.1.v1.1 annot-version=v1.1 MADERTICSLEQMKSLFAEATSVPLRASGGTVEILCVDELRHAGEMAALMDGLIHLGWEFKGGVVLRRLARADSLLASIADGPLNNFCENPHRRTCPGRSDVRAGSCPTRAASTVTEPAPPVSLFRGCRSSAVSATPSADLRATHTPG* >Brasy6G259800.1.p pacid=40047915 transcript=Brasy6G259800.1 locus=Brasy6G259800 ID=Brasy6G259800.1.v1.1 annot-version=v1.1 MEEKRTTTLMVIMCLLILSLTVNPATAAECGCCVATRAKACCFGCIAAAAAKMEEIGMVAKMEEGQA* >Brasy6G016800.1.p pacid=40047916 transcript=Brasy6G016800.1 locus=Brasy6G016800 ID=Brasy6G016800.1.v1.1 annot-version=v1.1 MAMASSVLPVLLLLCVAASASASPRLSPRFYARSCPGALDTIRIAVEEAVRNEPRMGASLLRLHFHDCFVQGCDASVLLNDTATFTGEQGAAPNVASIRGFAVIDNIKASVEAICRQTVSCADILALAARDSVVALGGPSWTVPLGRRDSTTASLSLANSDLPAPSFDVANLTAAFAAKNLSVTDMVALSGGHTIGDSQCLNFRDRIYNETNNIDAAFAASLKSNCPRSTASGNSSLARLDVATPTVFDNKYYGNLLTKKGLLHSDQVLVNARGGVGGLVRRYAGSPARFGKDFGAAMVRMGNVSPLTGSQGQIRLTCSRVN* >Brasy6G192800.1.p pacid=40047917 transcript=Brasy6G192800.1 locus=Brasy6G192800 ID=Brasy6G192800.1.v1.1 annot-version=v1.1 MASPAPAPPATAAAQSPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDSTLSRAAAEGALPVLGHRDPRDFVLSLARPRTVVLLVQAGPAVDATIDALSPYLDAGDAIVDGGNEWYQNTERRIEQASSRGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIKDILEKAAAQTEDGPCVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLHRVGGLTNSEIADVFAEWNQGELESFLVEITADIFTVADPLDGSGGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAASSVLEEEGMPAGLLEKINVDKKMLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELSRIWKGGCIIRARFLDRIKKAYDRNSELANLIVDREFAREMVQRQSAWRWVVARAVEAGISTPGMSASLSYFDTYRCNRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSK* >Brasy6G169900.1.p pacid=40047918 transcript=Brasy6G169900.1 locus=Brasy6G169900 ID=Brasy6G169900.1.v1.1 annot-version=v1.1 MMSPKPSASTAAEGGGIPSNFRSTPTARSTPLQVIHILGNFVRIWSVYSLYSYLSSSRDSIVGFIFSCLVPASIIFLVLQKPWKGRPLPNSQVVPTVINGGILALYFVLWGKGLLACGPLVSLLAEYSGAVLGVLSAALYGRKANIWKKIGGLSAMMLSYYLLSNGWATRTNSPLYSFGGEPVEKETQITGMKEMVVPITAGILSALRRVLARRVSLKNQLKRRLHAITFASATCFLFPFAMWDTILGSASDSIVKVQLPSWAYLSTVLFGMVLIFYVDNIAEEKLHLVFSSPRYLMVSTGCIIVLEIYYKMDFSLLGFLLCSLILGFGILEATSLERSKKSPLEANQLSNGSFHNHLPISALPS* >Brasy6G129100.1.p pacid=40047919 transcript=Brasy6G129100.1 locus=Brasy6G129100 ID=Brasy6G129100.1.v1.1 annot-version=v1.1 MHTIRSCCKQQPGLVAAACILLFFLLLCIFDSPHTIFLNKQHFHITVASSRSLREIKANSRPVHDDSRQMEDSTHNTTNSTASKMSGWTILKEELTFPAGTAPFNSCHASTIVEVEKDNFLVAYFGGSKEGAPDVKIWLQRYSDGYWHPPKVADEQDEVPMWNPVLFKLPSRELLLFYKIGQEVQKWSGAMKRSLDGGISWSQREQLPAGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYVEGETLGVIQPVPYQTANGTIRVLLRSFETIGRVCMADSIDGGVTWSYVHKTELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKIAISTDDGDSWKEVMTLEDTKGMEFSYPAVIQTTDELVHITYTYNRTQIKHVVLQPSRIRRL* >Brasy6G065800.1.p pacid=40047920 transcript=Brasy6G065800.1 locus=Brasy6G065800 ID=Brasy6G065800.1.v1.1 annot-version=v1.1 MDESLLIEDDPTCHGEESHSLLEVYQQPELMGRRDDWRPPAIILGFECLESMAFNGVATNLVVYIRSVLHGGIASSASTVSLWYGTTFFVPVIGAVIADANWGNYKTVLISLVIYLLGMVLVTVGAFIPSVPVLCNLSSCPSSKGTQNLIFFSGLYLAAIGCGGVRSALLPLGADQFNNENSLDRQKRRNFFSLFYICVIFGVITSGTIVVWVQENVSWAIGYGIATTCIALALACFMIGTPIFRQREPSGSPVKSIFQVIVTAFKNMRLEIPADSSLLYETRSKNTHKVELKLAHTDDFRFLDKAAIISDLSIENGNCESSWRICTITQVEELKILIRLLPIWATGVFFGAAISQMHTTFIQQGTVMNTKIGLLSVPPASLYSFEVICVTLWVLLINKVIVPAGRTCFTSEAELTQLQRIGIGRFLMIFALALAAILEMKRLESVQYGEPLSIVWQLPQYIVIAGAECFAIITQLEFFHGEAPDSMKSMLTAFALLTTALGNYLSSAIITLVAGVTRAWRSPGWIPDDLNEGHLDYFYWCLAAISVANFVVYIYFASKYKLKKVVIEG* >Brasy6G256300.1.p pacid=40047921 transcript=Brasy6G256300.1 locus=Brasy6G256300 ID=Brasy6G256300.1.v1.1 annot-version=v1.1 MAPPPEHGERPPPRLPDELFGEILLRLPPAEPECLFRATLVCKPWRRLITADRSFFLRRYREFHRAPPTLGFLCNSRGVAHFAPTTAFSPPHDPDFLPYSCVLDCRHGRALIGNPASIRHKFLVWDPVTGDKRHVRNPDAPRAYCKAAVLCAAAGCDHLDCHGGPFLVALFETFGLILSARVYSSKSGKWSDATHLQLDGDDDGHTAQCVMPSALVGDALYFECCDEVLRYELGGDRRLSLIHRPAGYDNGTALMLMEDGGLGLAGLDGFTLHRWSLKMGPTPDRVAGWEKLGAVNLEMLHIGDLSKTLYLIGSGGGCDSNVVFVRTDACVFMVDLRSVRITKVCEKDKVTAIFPYRSFYTPDTIVRRSCQQRIDISSAPVTCYATEPLNDLKWGKLSFWLCCSKADSVTVFYICSVASVFLAVLCKAVPSTNTCA* >Brasy6G177200.1.p pacid=40047922 transcript=Brasy6G177200.1 locus=Brasy6G177200 ID=Brasy6G177200.1.v1.1 annot-version=v1.1 MEMAPAPVSPTPRTVDDIYKDFSNRRTALVRALTVDVDDFYGFCDPEKENLCLYGYPNGSWEVALPAEEVPPEMPEPALGINFARDGMNRRDWLSLVAVHADSWLLAVAFFFGARLNANDRKRLFSMINDQSTVLESLSERKHGRDNKSGGDNSGKSRHSAKRANNDVQTKNPRPVAVDVAYEDDDEHSETLCGTCSGRYNASEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSKKSRQ* >Brasy6G047200.1.p pacid=40047923 transcript=Brasy6G047200.1 locus=Brasy6G047200 ID=Brasy6G047200.1.v1.1 annot-version=v1.1 MGKKKSKPQRPIKSLKDAEQAPSSDYIGGDALDDLLLKLTRSVEVAKASRRGLPEKIWMKQQFSIGVNDVTRVLERMPAAASHSGCSSEAPTGTALRRAPLVPLQAVLIAADCNPKWLTKHIPTLASTREVPILCLKDNKGSSLRLGQVANVRTALAIGIKARDSIINKTIDEVLKSSKPVANEQ* >Brasy6G182200.1.p pacid=40047924 transcript=Brasy6G182200.1 locus=Brasy6G182200 ID=Brasy6G182200.1.v1.1 annot-version=v1.1 MFTNAQRQVERTGRGGTPREQYLQDLVTQFQDSTDEESKERIVANLANFAYDPYNYAFMRQLNILELFLDCITEPNERLVEFGVGGICNSCVDPANASVITQCGGIPLVVQCLSSPVKNTVNYALGGLYYLCNPLTKKEILKPDVLRVIRDYSAAGAVNSSFSNLANAFLDKHVNS* >Brasy6G071500.1.p pacid=40047925 transcript=Brasy6G071500.1 locus=Brasy6G071500 ID=Brasy6G071500.1.v1.1 annot-version=v1.1 MQPLSLGSPTTVKLTSATPPAPMISPPLPVKSHPPLAPNTQSQLSASGFRLNLVARWIHVSQLFHPNSSDDNWIGVLSPVNFRKKSVLVRRKKGRERRQQAG* >Brasy6G073700.1.p pacid=40047926 transcript=Brasy6G073700.1 locus=Brasy6G073700 ID=Brasy6G073700.1.v1.1 annot-version=v1.1 MARALLLLLAVLAATGAAGASAVGIGDDLDRLIVGGWEPIQDVSEPHIQELGGWAVAQHAKLATDRLRFLRVTRGEEQLVSGMNYLLFVDAQDAAGRSAPYVAVVYEQSWTNTRELTSFDPAAN* >Brasy6G232300.1.p pacid=40047927 transcript=Brasy6G232300.1 locus=Brasy6G232300 ID=Brasy6G232300.1.v1.1 annot-version=v1.1 MSTPSLPNSQSTDKPMEMPRPRKPFGEEIPEKIGRGFIFGGVVGSALEFPSGGIQDVLRTAPRHSGYCAVLFGVFSAVERGMVAMRGKEESAWMDCSAAAAAAYGVTRLPNGVRAAGRSALIAGACAAVLVSGVDKLSQVLKDD* >Brasy6G210500.1.p pacid=40047928 transcript=Brasy6G210500.1 locus=Brasy6G210500 ID=Brasy6G210500.1.v1.1 annot-version=v1.1 MPTVAMVLLMPIEAYHLKRWSVEKKLYRPRRCSVSPMASGPSSSSQADQ* >Brasy6G256800.1.p pacid=40047929 transcript=Brasy6G256800.1 locus=Brasy6G256800 ID=Brasy6G256800.1.v1.1 annot-version=v1.1 MEARIEEGGGTAAKRAKLCPTGAGIGGEGEDRLSALPDDVLLHILGTLGTAAAAARTSVLSRRWRRHLWALLPALNFFFDAGHNTVPDALATLNAAHADDPPPPPLRLAPIAAHRLAGDLSFFEMPPPPRKPEGDAVTPGGAFELPCFEKATQIRLILKLGFHGLAKPPSGMFARLTDFHLEGVRLPHGSCRLGEAASSPRCPSMRKLAVSNVQDLGDFAICSESLLELELKGCRLQQLAVTAPALQKLTVSRCFHV* >Brasy6G130600.1.p pacid=40047930 transcript=Brasy6G130600.1 locus=Brasy6G130600 ID=Brasy6G130600.1.v1.1 annot-version=v1.1 MAKTSFKLEHPMERRQAESARIREKYPDRIPVIVEKADKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVNSTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGLLA* >Brasy6G006900.1.p pacid=40047931 transcript=Brasy6G006900.1 locus=Brasy6G006900 ID=Brasy6G006900.1.v1.1 annot-version=v1.1 MELIIPRVRFQMEEVAPEVVVSMEEQVVPGPPVVPVEEEEEEEEVLQTTTAIKVIRLSTAAAVSRNRRLLRRLRPPPSRPANVEASLDRWIRLYPSSMYIFLILFDTITWLGRRKYK* >Brasy6G173000.1.p pacid=40047932 transcript=Brasy6G173000.1 locus=Brasy6G173000 ID=Brasy6G173000.1.v1.1 annot-version=v1.1 MDDIVKLWLLSKEHNASSNFSADEPAHAADQDVFGGFFNEIATGAITFSSLLMSSQMPATE* >Brasy6G149700.1.p pacid=40047933 transcript=Brasy6G149700.1 locus=Brasy6G149700 ID=Brasy6G149700.1.v1.1 annot-version=v1.1 MREFPYPKKQGMRSARPRGNNSSPWVLPEGFLNSQNELSKHGTDQNIGSKKRVFTEGGNSTSIVPKKELKLMANSCQYDNVELQGTKTDIGEGIDPKSRGKQSIQPRGEKPASFVSLDELHKFERELKKHVNDQIGLASITVQKVKENNDDAVEQAIAPMVLTLAEVKGVVGNADKNTCVPITNTLEVGESNKQDAIATEQMDVVMTDTTYEFMVSNGTGTKCVVQDSDSWTNTLADLNLSNGASINAAQTAVLTTDVVYSQQGKRNVEDVQPTIFPDLNLHTGAEDSDISSAECLASVYGLSERDPCTEFAVKLLISEIPLPKEAAEVEEFFSQKMSNEKSTI* >Brasy6G094400.1.p pacid=40047934 transcript=Brasy6G094400.1 locus=Brasy6G094400 ID=Brasy6G094400.1.v1.1 annot-version=v1.1 MRALALAPASNSTCVSDEVVPLATISSPPTGLPDPPCGVPSPSRRESFFKRSNARSATPGSIPSIRGDGVARAADSRDPWRGEDEAVEEYFGQLWVLPADYSPISRVLAQDQTAAAATLVWIRRDLFVAKSFTADDCYPACHADCFSTDPQPFSFARDFWSRIHGRDTFANILKRRPMESGRGGAQGAGRGGGRGFQKKGGYRNPFQYNRPQQGPPPATKQAQNQPQGQASATPHGTEQTQPSIHDAQALTSQPTAIQTQVTQNPQLSMQFQQFPGQHMIPQQVQMIPQHHTLQPMQYTYGQQFPTQQMVPHNYNKLRLLTCKWSKDQLYLPRMNRSKMVT* >Brasy6G134400.1.p pacid=40047935 transcript=Brasy6G134400.1 locus=Brasy6G134400 ID=Brasy6G134400.1.v1.1 annot-version=v1.1 MSASPALVIDQRHGFHLALPRHLPPEIGRPTNTFTRIRPKTRRSGPEIGRPTNTGAAGQGLPAAPPHHHDVVQAAEEHRCWVAEPRHRDAARAYPRRDLHRRGPPPLRSLEPPQPRRRSGAVPPGSSAAGKDPQPSPLPPAQTNSRGPRRKEKQPRRRRPPHGLSPAASSGDGEVAGGGGGNGHGGG* >Brasy6G064400.1.p pacid=40047936 transcript=Brasy6G064400.1 locus=Brasy6G064400 ID=Brasy6G064400.1.v1.1 annot-version=v1.1 MASAPPAAPPPTPLLAQASPIQVEAFLGGEANDTDVGLSGGGIEARRRRIGGAVCEEEADQGLAGGGGGLGEQRRRLCRRGRERQRRGGVGGWVQAAGESGGTRAAGRGSGGEESPRKKKTLRVSACAQLDSSSRWCGGGINNSGGETPSRMTQRATETTTEETQGQASQSRQRCNWLRR* >Brasy6G064900.1.p pacid=40047937 transcript=Brasy6G064900.1 locus=Brasy6G064900 ID=Brasy6G064900.1.v1.1 annot-version=v1.1 MGRWLKPDVYPLIGAMSLVTGMCAFQLVRNVLLNPDVRISKSSRQSAVLENAEEGERYSQHAFRRFVSAHRPEVFPAINRFFSESGSK* >Brasy6G089600.1.p pacid=40047938 transcript=Brasy6G089600.1 locus=Brasy6G089600 ID=Brasy6G089600.1.v1.1 annot-version=v1.1 MAVAIIEQFVTPVVKDEEGRRLSRTMRTTDKLKVLMDFYYSAMAPIVEHGYGVFLYHGRRIKCEETPADYGMKDGDEIEMFPVTRPRVFVTPVLEDCSGRRLTFSIGTTDRMQRLMDFYNDMVPTERYVVKTSFYFSGKEIKGDQTPEKLMLEDGDVINVKACPFAG* >Brasy6G027600.1.p pacid=40047939 transcript=Brasy6G027600.1 locus=Brasy6G027600 ID=Brasy6G027600.1.v1.1 annot-version=v1.1 MIDRSHSVDRCISINISYSRLRKKFDMETPPPPPQFVCPISLTRMQDPVTAPSGITYDRGAIERWLAAGHDTCPVTGRGPLSLADLTPNLTLRRLILSWPNSNPNHHPPALTTPDSQNDDCGGPAELVKKLMVSVPAAKADAMARRSMIPRVLRLFVSSCATEDAEKKNKSFSPGGVEAACLAVLDALGVSADEIRPLLPAEPGLLDALTHVLLLVTLEQHEEDFSEPTLQRAVRLLESVTEASTPALLDRLRPDLFRALASVLRAHHHVPLATTRAALKTILNACAASSKSLRLAADSGVAHEAIDLDLSLGGTNGSRATTELVMAVLSVLCGGSAEARAAVAGHAAGIAVVAKRVLLRGPSSAAGDAAAVRVLASVCGRGASPETVREMARVGAVGKLCCVLQAECDQGIKEAARRVLRMHAGDWAGSPCVSAYLLSRYL* >Brasy6G035300.1.p pacid=40047940 transcript=Brasy6G035300.1 locus=Brasy6G035300 ID=Brasy6G035300.1.v1.1 annot-version=v1.1 MGHNGIFRNDTTDAYEGAAPNWREKRPTKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRMNEYEQGRTEFAPDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTTNNRMTPSAVAACMAPLLLRPLLAGECEMEDDIDMNGDNSAQLIAAAIAANSAQGIVTTLLEDYEGIFDDEHPRCSLSPDSRIQDSGSEESTDDETVDAKENGFHDAENDVDQELDERILSGKLSESSACIGGDLYDYQVDHGDSDAERYVEDKALEANLDLKDAPNSDLAQNGKTNVQRPLNEKDPTNLVSSHESPLSVGEILSSLDAGVPLPGPGAEYSVDSHSIKSNETQLHVKRSNIWGQNNARKSQQSEFVDSSGEEELAIQRLEIAKNDLQIRIAKETRGNAILQASLERRKQALHERRLVLEQDVSRLQEQLQAERDLRAALEVGLSMSSAQFSSSRAMDSKTKAELEEIALAEADVARLKQKVAELHVQLSQQRQHQYGSSVDENDRYQHRPSHLPQNFVQPGFDMKLAFCNQEKKQRNEESLSGTSHWRSIKQHVLTHGSSKPFSRKHSLDASSSDSREASTSMAAESGLMSSNIPRATEAVEYGRQLPVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSVPHGFPYKPSPPWNSPR* >Brasy6G012000.1.p pacid=40047941 transcript=Brasy6G012000.1 locus=Brasy6G012000 ID=Brasy6G012000.1.v1.1 annot-version=v1.1 MEPCRRHEQESCNNYDDLLETYGMTSLLFETPSGFAVFNFYAMNFFVPNAIEVIWVNFAEHFLAKKVVWLNEFQTFDDKSSAINVDTGVDEKLTKMIMNHHRPKKKLLVAKPEYKSIIEKCLGINCLHNELVMEVMWGIKHLMHKLVIQEKSQLSMEDRLPMSQGLQIYLRRYGFIVEPEMINEEIVATARFLFRCDDVEQEEYEDLCAISHFLKEVSGFDYESWDALKLATAFKIISTREVGDSEKMFPEDVISKLLSDADKYDDKIDKVACLRTYSQVASAHKLRFWKRKKLDRLVKRAKKVYQAKGPSEGLGGLHHERGLRGQPARARARASAASLGGFPGRGYWSS* >Brasy6G198600.1.p pacid=40047942 transcript=Brasy6G198600.1 locus=Brasy6G198600 ID=Brasy6G198600.1.v1.1 annot-version=v1.1 MPAAPKLVDAEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSSDARHWDDTLSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDAAEKDRLFRAVDTVPAVRRKAVWSMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLNGKLEESRVREIVADAVDIEREFICDALPCALVGMNAGLMGQYIEFVADRLLTALGCSKMYNAVNPFDWMELISLQGKTNFFEKRVGDYQKASVMSNLNGGAATNHVFSIDEDF* >Brasy6G105000.1.p pacid=40047943 transcript=Brasy6G105000.1 locus=Brasy6G105000 ID=Brasy6G105000.1.v1.1 annot-version=v1.1 MAAAAATTTAAVAPPAAAAAIATVVAAAEAATFLPSTVSPVPDSDDAFPSTISAISSDSDPDELQLLLPNMLPTSTAAAASSSEAELHEFHLPALPSPITVRTIPSLGLTFQLWPSATTLLRFLSASPHLLPRCPAPHCPLAILELGSGTGAAGLALAAALPAHAVLSDLPAALPNLRHNASLNAPLLDSRGGAVSVVPLPWGDAASMEAVVAPAPASRFDLVVASDVVYYETLVDPLIETLRFFVKGEVVFLMAHLRRWKRTDKKFFGKAKKLFNIEVLHEDPPLEGWRHGPVVYRFTAKNKHGRR* >Brasy6G170600.1.p pacid=40047944 transcript=Brasy6G170600.1 locus=Brasy6G170600 ID=Brasy6G170600.1.v1.1 annot-version=v1.1 MNTRPVVLVLLLVVLIITSQFEWKQQIEDAADADPASARRRQQVLAKEDAVKEKILLSQEKNIQQLNELIESLQRQLLHCRGSNSTVHTPTIAATRVSEVEVEPEVDD* >Brasy6G232100.1.p pacid=40047945 transcript=Brasy6G232100.1 locus=Brasy6G232100 ID=Brasy6G232100.1.v1.1 annot-version=v1.1 MEQEGYLSRENNRCIMFSSYYVECGFSGSERVAPAIQIVCQHWRVHSISSLTTADGYPNETLTVNKRGLPLHFRELSCELHCVIRNNSYYCCKKTMICFRSASSS* >Brasy6G232100.2.p pacid=40047946 transcript=Brasy6G232100.2 locus=Brasy6G232100 ID=Brasy6G232100.2.v1.1 annot-version=v1.1 MEQEECGFSGSERVAPAIQIVCQHWRVHSISSLTTADGYPNETLTVNKRGLPLHFRELSCELHCVIRNNSYYCCKKTMICFRSASSS* >Brasy6G243300.1.p pacid=40047947 transcript=Brasy6G243300.1 locus=Brasy6G243300 ID=Brasy6G243300.1.v1.1 annot-version=v1.1 MDKSEEEAPAMARPASSRYESQKRRNWATFTRYLAAHRPPLELRRCSGAHVLEFLRHLDRFGKTRVHTPQCPSYGGAALSSTTHPCHQCQCPLQQAWGSLDALVGRLRAAFADNSRDASGININPFAARAVRLYLRGVRDAQSRARGISYAKKKKKKKRTKKTKIRRGKVDDADAACGKAAASASKTGAEDPAAAASCSGHKRNMTAAATMVQQAAAVPALEPLPPCLAGVPIECYYDVGLGGLGGGLYLPLLFNAFT* >Brasy6G001800.1.p pacid=40047948 transcript=Brasy6G001800.1 locus=Brasy6G001800 ID=Brasy6G001800.1.v1.1 annot-version=v1.1 MASGGGKKKKKSKGAPTAAELRGKAKEQLLSCGWSFWTTTKYDGRLELRYRAPHGASSASLIEACKKHHQITTASASSRSNTRRRRTAPPLINPPSPSSSSSSSDEENNKRPVRAASSRRRTTTTGNGGHHLEEEEEEVDTEEEEKEESCVAADPTYAAPGECTTRTKKRRRVSAMSAGDAALKKKKKKKKKKASAASASPAKATGRVLRPRLKDGGDKKKTDAAAACQPSRGRTILAVLMDENILKPTDKLITCRRTKDGPALKSGVVTGDGIMCTCGCRRAFSVADFDAHATGNRRSSSRPPSARLFLKDGRSLLECLVQLMRMTRRSDDDDGPPVVRVKKRMKWSSELQVEDGDWVCSVCADGGEMLLCDCCPSAFHHACIGLDATPPGEWFCPPCRCTICDSGQFDNDHDHGFTDKTVILCDQCELEYHVGCVKNRGGDHQLECCPEVPWLCSQDCSEISQRLQGLVGKSIPTSEEGLSFMVLRSSKHREEEDEAMAAQEHGKLCMAFDVLHECFVTLVEPHTQSDLSHDIVFNRESWLRRLNFRGFHIIGLEKGGELITVGTLRVYGKKVAELPLVGTRFAHRQQGMCHLLMNQLEMLLGEMGVERLVLPAVPELLQTWTGSFGFQVMTRSQKLDIAQHTIMCFQGTTMCHKFITNAAALPVEIK* >Brasy6G095500.1.p pacid=40047949 transcript=Brasy6G095500.1 locus=Brasy6G095500 ID=Brasy6G095500.1.v1.1 annot-version=v1.1 MLSGQTAASGSSSTSRERPQRVIEEEEEEEPEFRDIHALSPPRAPQSYRRSRAGSRDSWGSRHTSIRSVGSDTAPSEPFPSMSREFSAMVAAAASANAASAEASRANGEEEMGRIGEEAEVEETNPLAIVPDSNPIPSPRWGTATATTTPRPSAAGGEVVAAAGGAGHGQGDGVSVGQVKKEEVECKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLNKYERKLEEKRAKAMEKAQNEVAKARRKAEDKRASAEAKRGTKVARVLELANFMRAVGRAPTKRSFF* >Brasy6G266500.1.p pacid=40047950 transcript=Brasy6G266500.1 locus=Brasy6G266500 ID=Brasy6G266500.1.v1.1 annot-version=v1.1 MGGFTPPIPQDDSNWEIRVAVLLSLLLQMFLIFFGPVRKRSSNPAKRFLVWSCYLLADWVADLALGLLLNNMGNIGGSHSTSSSSSSSGNSTSTFTTMHVTGKRGGNLPPSSSTGSGNSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVIFFCSLKGNTMIPATVIMFVVGILKYGERTYSLYSGSVDGFRENILDDPDPGPNYAKLMTEFDAKEKAGLSVQIVITGDDEDAKEALTALELDETNRLVKNKSKSLEAQAFDFFQIFRRLFVNLILSDKERKTSQAYFLERDDIDSTKAFRVIEIELNFIYDMVYTKAPVAHSRLGCVLRFVGSACIVSALLIFFFHHKSDILHVDVAITYALLIGGAVLDTIALAMLLLSDRMLVYLEKTRRLMWLARAVKAVRPQLRRWSERRRWSERTSQLNLVSYCLGKPDPYRDGGGLRHLLRSPKVVQALAKVAEKLHVREVFDDFFIRRESLCCRQEDEKNLLLEFIFDGLKKRAMEARSKGYGNMKETCASRGKGVLNQHSKDIMKKLTDAEREAAKVKGEEVADEAIKNRATQKVLLILHGSLEKEFDESLLLWHIATDLCCHTLPEEAPPTKETAKEMKIGETLSEYMLYLLTKQPEMLSATAGIGLLRYRDTCAEAKRFFQSAAAYDPDHVDARRMLLRVNTTKPPSDVKGDRCKSVLFDAVILAKLLRELDGRLMWKVVANVWTEMLTYAAGKCRGSTHVRQLSRGGELITMVWFLMAHMGLGDMYQIHAGDAKAKLIVHDQ* >Brasy6G001600.1.p pacid=40047951 transcript=Brasy6G001600.1 locus=Brasy6G001600 ID=Brasy6G001600.1.v1.1 annot-version=v1.1 MARLLLPQCCCGLKPLPLLPRRAITLPPPPTTLSAASLSQSRRALSLRVAVAAPTRIATAAEGQDEPAARFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMAYVLRDVVVVLALAAAAARLDSWLAWPVYWAAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPEKLYRSLDSSTRKLRFALPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNEKKDVLTSTTCWLAMAGLLAGLTVVMGPLQILKLYAVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKEWSYLRGGLTTLDRDYGWLNNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPGKSGPFPFHLFGALARSLKRDHYVSDTGDIIYYQTDPKVAGGAHTSD* >Brasy6G152800.1.p pacid=40047952 transcript=Brasy6G152800.1 locus=Brasy6G152800 ID=Brasy6G152800.1.v1.1 annot-version=v1.1 MFTTTAPVASPTTAVEPMTAAAKLKKLKKDLMMEKRATENTKRAERWAKKTQREQEAAVAVERQQAQDRLVAAQEKAQAEAAQVASQAIMMIKNEALAEAFASANSAMLPTSAASTGSSRSRPPLPRSPVTSPVRREHRYDNLFANMGHTVA* >Brasy6G200900.1.p pacid=40047953 transcript=Brasy6G200900.1 locus=Brasy6G200900 ID=Brasy6G200900.1.v1.1 annot-version=v1.1 MSPPYPSRLSPPPLSPELPDDLVLEILLRIPPDRPEVLVRASCVSEAWRGALSDPAFCRRHREFHHRTPPLLGYLRSERMPGLVDVFRATTFVPAMAIRPDTRGRPWHVLRDARRGHVLLEHRGLLVWNPITEISHRVPWPPNTPYLGAEFAVLCGCGDRGCHGGHFLVVFGYQRRHRSAASAAIYSSRDGVGGAWSDMTSVDFPAAQSDGALLMKGRSVLVGNTCYMPLRTVIMEYNTVDRDLKVITLPPSGSGYRGRIYMSAEDGTLGLAALENSSSRLCLWSRKAAAGTDGALEWAQQAVIELNMPLPVREPEIILADYSDAGVGAVFIRTDNGLFRIELGSDTVREISSCEGDLLCHIPYRSFYTLVNPACPSRI* >Brasy6G091400.1.p pacid=40047954 transcript=Brasy6G091400.1 locus=Brasy6G091400 ID=Brasy6G091400.1.v1.1 annot-version=v1.1 MEAAPLPTSTAGPHRACCCWCPPPREPRAASSPRGGDPAAARFRSSGNLRLLRLSPSSSTGEGRPRGPLVRCAGGETHVGVSLVGEDRVLQMSSTVPKSSNIFWHDCPVGKTDRQKLLEQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFAAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEEPLNCEIEIKEVDGVCPSPSDMTKQVVTYLEDKGFLHE* >Brasy6G136900.1.p pacid=40047955 transcript=Brasy6G136900.1 locus=Brasy6G136900 ID=Brasy6G136900.1.v1.1 annot-version=v1.1 MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRAHLFDNILKEPEFLSNTKSAIRKAYLLTDEKILERAAELGRGGSTAVTAILISSDDSVKLVVANVGDSRAVISKNGKAEQLSVDHEPSMERQSIEEKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPHVAEEVINENSDFLILASDGLWKVMTNQEAVDEIKDFKDAQAAAKHLTEQAVNRRSKDDISCVVVKFHC* >Brasy6G241400.1.p pacid=40047956 transcript=Brasy6G241400.1 locus=Brasy6G241400 ID=Brasy6G241400.1.v1.1 annot-version=v1.1 MPGRLGRNPSRRRPRPPESASPLAVAGGSRRAKPVRRTAAAGPSRGCGSRSRDLAGPVRARAGGAVGRAWACAGDGWRRGGGCAWACVGGGWRRGGGSGGAGRRPRLARKRQRWRVAAGASFEPDLGPMGFCAWGGSGGWSSPRWPGGRRQVKLLMRRSCQDCCCSGPIWALDGSGVWRWSAAACGARAADLAASGEAVMRSCWCCYLDRICGQRLVAQGCGRWCIDACGFAAAARSGLPL* >Brasy6G020100.1.p pacid=40047957 transcript=Brasy6G020100.1 locus=Brasy6G020100 ID=Brasy6G020100.1.v1.1 annot-version=v1.1 MAEEKGALQSMREWVVEHKLRAVGSLWLAGIAGSIAYNWSRPGMKTSVKLIHARLHAQALTLAALGGSALVEYYDHQSGSGSRVHQYAKQFLPSDSNPKKD* >Brasy6G135500.1.p pacid=40047958 transcript=Brasy6G135500.1 locus=Brasy6G135500 ID=Brasy6G135500.1.v1.1 annot-version=v1.1 MAAGAGGAAAAAAAVQHAGPAGAAGRAGGGGSSSAGGGVAAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSSNGGGGRVVSSNSAAAAGGTPSSLPPQHGVSSALQGLHHGSSSLASLLLGSAGGGGGDHLGLFHQAMQSVVSDNAAAYEMHNQQQQQAQVDQLLGLGYGGSHSQIQMNKPWQHLHDGLFDGFYAPLLTGSIVPGLEELHVKAEATAGENRHQHKKAADGEQSGSWEQHANSSSSNVEGACNNNIMASDALMASMNPAAVSSNAATAPASSLMYWGNGGGAPAAWPDIGANCGSSIATFF* >Brasy6G036800.1.p pacid=40047959 transcript=Brasy6G036800.1 locus=Brasy6G036800 ID=Brasy6G036800.1.v1.1 annot-version=v1.1 MGEECKGGSRPQLMRGEDGDGGVDEAAAEKLYEDVPPMPLMALNHISRLCKSVDASVRFYVRALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARRAPDGSPVELDPMDNHISFQCEDMGAMERRLKEMGIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLTLPRDRHNPPLRIPVDDNHNDQLS* >Brasy6G043600.1.p pacid=40047960 transcript=Brasy6G043600.1 locus=Brasy6G043600 ID=Brasy6G043600.1.v1.1 annot-version=v1.1 MGTLGRAIYTVGKWIRGTGQAMDRLGSAVQGGLRAEEHVSRHRTIMGIFEKEPRINKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNTIQIGSGSNIQDNSLVHVSKSNISGKVLPTIIGNNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYFNLAQVHAAENAKSFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDNILPDKAQKAAVAS* >Brasy6G132400.1.p pacid=40047961 transcript=Brasy6G132400.1 locus=Brasy6G132400 ID=Brasy6G132400.1.v1.1 annot-version=v1.1 MDQTMCITASQFTARSQGCLKKKKNQEPGQFVVSWICSSSLRRRPLRKLGFSTIVRIRPSLRLIRPPPLDLEVSGGSLLSAQSLRNPARYRRRKKSLEGCRRQRQHLRHPHRRAQELGQGLRQGTLLWFLPLAAEEHTMQKENTKINGRFKP* >Brasy6G213400.1.p pacid=40047962 transcript=Brasy6G213400.1 locus=Brasy6G213400 ID=Brasy6G213400.1.v1.1 annot-version=v1.1 MQLKCTICSSLLAQPTLQSNTRRSPHLRKECSIKRRVRLIKGTDGRATITTNWGDFTRAATIRQGDIFAFVFTSYHGRLRLTANRL* >Brasy6G234900.1.p pacid=40047963 transcript=Brasy6G234900.1 locus=Brasy6G234900 ID=Brasy6G234900.1.v1.1 annot-version=v1.1 MGLGGDGSAMAAGEYLLCCNHLIPLAKSFSSLLWPMKTLCRDLLAQKQEKWLPGWLKIKLLGLWRASCCGTSTPIPTGYSSPRRCPDRFVMGATMQTWSNFFSRQLKAYMPLLQNCAISSADNSMRFN* >Brasy6G127900.1.p pacid=40047964 transcript=Brasy6G127900.1 locus=Brasy6G127900 ID=Brasy6G127900.1.v1.1 annot-version=v1.1 MQRLLLLAKPSPLLGCLPRRISATTIPSISASPCVEAAARSRDGGPPRQQGAGRGRRRGRDSMEYRRERSTGDGGRGPRAEEYRRGLERLSRRHGLRQSTARLGWSGGGGGCHWWRTRGGEMGNGRGHVRIKENAKPYAVAILA* >Brasy6G179400.1.p pacid=40047965 transcript=Brasy6G179400.1 locus=Brasy6G179400 ID=Brasy6G179400.1.v1.1 annot-version=v1.1 MLRRAAHRLASRAAATGGAGSVARRTLAAAEVPVQAAADSVFVGIAANKEVEHLNYLSRSQPTRSITCARLYPAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARLEAYASGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLYCISGHVNKPCTVEEEMSIPLRELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVMVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRDRADRELLTAASA* >Brasy6G267200.1.p pacid=40047966 transcript=Brasy6G267200.1 locus=Brasy6G267200 ID=Brasy6G267200.1.v1.1 annot-version=v1.1 MAMGKGAVFFVAVVAMAALAGLEAATVHPVGGAGKNWDTSGDYDTWSAQQKFTQGDSLVFTYPSSHDVVQTTKAGYDACSAGSTDKSFTGGKTTIKLSTAGKQYFICGVPGHCAAGMKLVVNVAAATPAAKAPAKPRGQRAVAPAAAPATAPAPAPASTDDQLPTVTSPTGSPTPKPSAAATIAAGAKAAAVALAVAMAAALAI* >Brasy6G005500.1.p pacid=40047967 transcript=Brasy6G005500.1 locus=Brasy6G005500 ID=Brasy6G005500.1.v1.1 annot-version=v1.1 MMALGAPAKFGRKQCAAVAIHPPVAVAVAWVSVSVPLASPRLSVPSRLSSLQDMTCLSRDPAVSTPRSRTQSAAGLSRAPAVSTPRSRTQSAVVRLRALASPRRLHAAAPSIPASDLPPSRPREPPPSPRVDWGPGPATSAPRHLHAATGPRPCNLRPPPSPRREWDRLSLSLPASPCSHSPTLHRRLAQGLRALSA* >Brasy6G033100.1.p pacid=40047968 transcript=Brasy6G033100.1 locus=Brasy6G033100 ID=Brasy6G033100.1.v1.1 annot-version=v1.1 MDSDTLPFGLISGGYSCTKQYEWQQLTLRQREHAPRDKPHSGATFNNPVHKPSRTERRSPYFDHVCDYAKGADTRILVFGGSTQNKHDQADRYLSSGKMLIRKDVNQSLQRNNSNGAHISIHDRNNYRKRSRNQPSGQKAKTSQNRFGHQLARQKAYDHDQQPKGFHSLGEHDRRPYGHRGGSDVIRNDNDRKGNTGTTKEIGHNGTKWNRNNPTKVSAQECGERRRAKRKPEQPFRANPTKVRAQDCTGSSLNKGSQNRTANQGQKFNLELVTEANLGEIAQQKEQSKLTGCPPSTAHPDAMIQTSENSCSDTSKVQTSRIRSSDTGKVDGDQAAASHPYSSVHRKISQHEAIKDDIEDDNHSLQPLEATSNQQISERSVPRRTNPGVARPADQTLHFEQEYQFEQPEPNQSTGGNGERETANGPVISDSHSVPLQRSSIMEESDTSSFTFKRELGKFITNILNPLHEHGLLSPETHETILSKAVEKVTRTVGPKVPLTKTEFCRFLSREEILKFIPSKCEGIVAWQYPTMQPCRTIPCSL* >Brasy6G033100.2.p pacid=40047969 transcript=Brasy6G033100.2 locus=Brasy6G033100 ID=Brasy6G033100.2.v1.1 annot-version=v1.1 MDSDTLPFGLISGGYSCTKQYEWQQLTLRQREHAPRDKPHSGATFNNPVHKPSRTERRSPYFDHVCDYAKGADTRILVFGGSTQNKHDQADRYLSSGKMLIRKDVNQSLQRNNSNGAHISIHDRNNYRKRSRNQPSGQKAKTSQNRFGHQLARQKAYDHDQQPKGFHSLGEHDRRPYGHRGGSDVIRNDNDRKGNTGTTKEIGHNGTKWNRNNPTKVSAQECGERRRAKRKPEQPFRANPTKVRAQDCTGSSLNKGSQNRTANQGQKFNLELVTEANLGEIAQQKEQSKLTGCPPSTAHPDAMIQTSENSCSDTSKVQTSRIRSSDTGKVDGDQAAASHPYSSVHRKISQHEAIKDDIEDDNHSLQPLEATSNQQISERSVPRRTNPGVARPADQTLHFEQEYQFEQPEPNQSTGGNGERETANGPVISDSHSVPLQRSSIMEESDTSSFTFKRELGKFITNILNPLHEHGLLSPETHETILSKAVEKVTRTVGPKVPLTKTEFCRFLSREEILKFIPSKCEGIVAWQYPTMQPCRTIPCSL* >Brasy6G146600.1.p pacid=40047970 transcript=Brasy6G146600.1 locus=Brasy6G146600 ID=Brasy6G146600.1.v1.1 annot-version=v1.1 MDAERRQAELIEQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLTGTQYASSLDLLRLFAYGTLKDYKSNSCTLPALLPDQVRKLKQLSVLTLAESTKVLPYDKLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPGQLNNMIETLSDWLGTSDGLLHQIQEKIKWADATSEVNKKHQKEFEDRVDEAKKSIKADNDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPMA* >Brasy6G146600.2.p pacid=40047971 transcript=Brasy6G146600.2 locus=Brasy6G146600 ID=Brasy6G146600.2.v1.1 annot-version=v1.1 MDAERRQAELIEQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLTGTQYASSLDLLRLFAYGTLKDYKSNSCTLPALLPDQVRKLKQLSVLTLAESTKVLPYDKLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPGQLNNMIETLSDWLGTSDGLLHQIQEKIKWADATSEVNKKHQKEFEDRVDEAKKSIKKLNNVSRQTMTYGGTTTFSLNLEE* >Brasy6G098300.1.p pacid=40047972 transcript=Brasy6G098300.1 locus=Brasy6G098300 ID=Brasy6G098300.1.v1.1 annot-version=v1.1 MTQEGAQQAPVNFWGEHPATEAEYYASQGAEGESSYFTAPGDGAGRARRLFTRAWRPAGAGGTPPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPALDPAVADLLDFFRSVKRREEHAGLPCFLFGESMGGAICLLIHLRTPPGEWAGAVLVAPMCRISDRIRPPWPLPQILTLVARFAPTLPIVPTADLIEKSVKVPAKRLVSARNPMRYSGRPRLGTVTELLRATEELGARLGEVSIPFLVVHGSADEVTDPAVSRALYEAAASKDKTLKMYNGMLHSMLFGEPDENIERVRGDILAWLNERCTPAATS* >Brasy6G202900.1.p pacid=40047973 transcript=Brasy6G202900.1 locus=Brasy6G202900 ID=Brasy6G202900.1.v1.1 annot-version=v1.1 MAVLATIPKEILEEIFVRLPTPASLASASAACASFRGIIKGRAFRRRFRALHRPALLGFMDVVGFHPAQPPHPSAPLAQALASSGADPSYFYSFVPPVTVQHRGLDLDGPCWRPRDVRDGRVLLDWVSVKPRIILQKTYPEDGSDVSILIDDNFSLDDINDHGARLKWAKRERCNAADFHLAVCDPLSRRYQLLPTIPEDLAAWPQERLSEFHPVLAPATGGEGEDESFRVICLAGYQTKLVLFVYHSTIRQWYTSAYPMLILSGTLSCFDCVRGCFYWTVPSAWQDGLVVLDTRTMRFSTVDLLTGYHLQLRDLPDTYHRFAGQRPCAIVVGREGALEMFSLVRQHVSFALHHTALQNNSQEWRLEKIIRLPGQYRDYSISTVGAAEGFLFFRGSQGWSSIIANMPTPTLASRHFYQTQLYDQPI* >Brasy6G248000.1.p pacid=40047974 transcript=Brasy6G248000.1 locus=Brasy6G248000 ID=Brasy6G248000.1.v1.1 annot-version=v1.1 MSAHGGGGGGGGGGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKDKVLRHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVIELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIYKADFTCPSWFSTSAKKLIKKILDPNPNTRITIAEVINNEWFKKGYQPPRFETADVNLDDVNSIFNESGDSAQLVVERREERPAVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHNFYHSISNGLKDVMWKPDSRIAEADEIRH* >Brasy6G049400.1.p pacid=40047975 transcript=Brasy6G049400.1 locus=Brasy6G049400 ID=Brasy6G049400.1.v1.1 annot-version=v1.1 MLIRPSTQPAAAIMARHAAASSWHPSFARAPRRSAAPMNPSASASRTPNARRTSAKLGSSASNSLAASAWPMPTPPPPVAGRPIRAMISSTSSFLSASSSSSSSTSSASQHDDDADDDGDA* >Brasy6G076100.1.p pacid=40047976 transcript=Brasy6G076100.1 locus=Brasy6G076100 ID=Brasy6G076100.1.v1.1 annot-version=v1.1 MARAGALCLALLCLLAAHSAVAQKSTAPAAAPAATTTPPAPAKKTPAPAAAPPTTTPPTAPAAAPPTTTPATPAPAATPPTTPAPAKAPAAAPPTKAAASPPAPAPKAAAKPPVADAPVAAPPAPTAEAPATIPTKPDAPAPAPAKKKKPSSPSKKKKKTKAPAPAPVAEAPTKSTKTKKAKAPAASDDAEAPGPAFDSAAADTAGAAKTMLTTAGSIVSACAAAMGLVALLA* >Brasy6G221500.1.p pacid=40047977 transcript=Brasy6G221500.1 locus=Brasy6G221500 ID=Brasy6G221500.1.v1.1 annot-version=v1.1 MWSLLLLPAAVLYPAPAWLMAMSALSFAWVASLGISELRGQHMPYSKFWHAVVVSGSGQKRAERLLPSRAAMLMAYAPALVAAAASFAVPGAVEGLRAQLLAAALAVHFLKRVLEVLFIHRYSGSMPLNTALQISSSYVFITVGMIYTLHLAAGLPDPPVNLLYPGVLLFAVGIAGNFYHHYLLSKLRKGDSDDKEYKIPTGGLFGLVACPHYLFEIAGFFGFAMISQTVYALAMASGSAAYLAGRSCATRRWYKSKFEDYLDRIKALVPYIF* >Brasy6G077100.1.p pacid=40047978 transcript=Brasy6G077100.1 locus=Brasy6G077100 ID=Brasy6G077100.1.v1.1 annot-version=v1.1 MASPTSASRGTAIASLSPHGIRLSLDSKKSFRLGIHDAPVSCVEYSCVAGQVISGGWDNTIKCWDPRSGPGQALVGTHNQPERVYSLTIQGYKLVVATAGRHVNVYDLRNMSEPEQQRESPIQYQTCCVECYPNGTGFALGSVAGKIAMEFFDQSESALSKSYVFKCHRLTEDGRRVAYPVNTSTFHPVFGTFATGGCDGFVNVWDGSSKRRLIQYPRYPTSIAALSFNRDGSLLAVASSYTYEMRERPHEPDTIFIRDVNDVEIRPRPRANTIPALPQ* >Brasy6G200000.1.p pacid=40047979 transcript=Brasy6G200000.1 locus=Brasy6G200000 ID=Brasy6G200000.1.v1.1 annot-version=v1.1 MAGKRKADEMDLATAVVKCITGTSEQAAELAPTTAGDGSSRSTKIRQPPAGLTAPTAAFAVDFPVASPSPLFGGARGGQSGNWGLFPPQSPLDNPGGQEIDRPFGTRTGQKEGLDFYEFLQTHNDDIDGHREDGEPNRGHAEEPAEAMLTDGVEQGGLLHPGCDLDDMDFEPSETQKFAAKAWREEEQGCYLPEELILKILIHLPPKSLVRWRIVSRVWNSLVTDRSFLLEYHKNQLMQPLVLRSVSGPALRRDHLEVVNLTDYQTRTIIHYDVDRKELSDEYSRYECPEIYGSCDGLVCFRFCGDYVICNPITRQGILVLLLDDYCTIIGLYQEGEKYMILYFRNDGPEVIRYYVQTVSCREYKEVASYNKASGGLGLGLYCSSHAPPVLFSGSLHWPQQHPEGIAAFNTEVEVFRWIQPPHNRKIEGYQQLLEVEGKLGLFCIGSNDGNNEFQIWILQEYEEEKWHSKCRIRLSWIPQRIVVYHDGNILMEDNSLVQHLNMGSWKGAFQCDPCDLSITPHLFGASLVMHKFLHNMNNTETLFFPGVVQFGDGFPMTRELV* >Brasy6G157800.1.p pacid=40047980 transcript=Brasy6G157800.1 locus=Brasy6G157800 ID=Brasy6G157800.1.v1.1 annot-version=v1.1 MVSFTARRSEPELVAPARPTPRETKLLSDLDDQWTLRFYESIVGFFGSPPGKENRPGAGGSNVAKALKAALAGALTHYYPIAGRLRKLPGGNKLAVDCTAEGVVFVEAAADVRLEELGEPLLPPYPCVEEFLGNAGDPRDVVGKPLLYMQVTQLKCGGFVIGLHMCHCIADGFGILQFIKSITDFACGELVPTTLPVWKRDIYTSRTPPSMDHVYPAYKPFLRGLDRTGDDVMLSTPPENMVVQYFFFGPREIETLRSHIPGQLSKSATTFELITAVMWRCRTLALDYESCQTVRVMFTLPVDELTRKPLAHILELMRKGKLDTTKDCMESMVDLMALWREQPPFGMDRVYEVSDTKWIGGGNALRLGKAELIAAGTPLAGDLVSKLISYHTRCKNEKGEDSTVVSLLLPKAAMERYTKEMSVWLR* >Brasy6G054500.1.p pacid=40047981 transcript=Brasy6G054500.1 locus=Brasy6G054500 ID=Brasy6G054500.1.v1.1 annot-version=v1.1 MEFTGSRKQKPSSQRAMALAMGSLSLKWFSRAPRVSACCRRYNPDGGAMGILSAVKDPAAGCLRVVGPVRSSAHGIMEATLPFLHSCGLCRRWIGGGPVYIYKGEMGFCKPECRDDYIVQEERAKIVRQEQAAAVVPKKTPMLDDGCIFFTCTDI* >Brasy6G158000.1.p pacid=40047982 transcript=Brasy6G158000.1 locus=Brasy6G158000 ID=Brasy6G158000.1.v1.1 annot-version=v1.1 MASVERAMAMAAAALLPGLVPSPPRCTMITPCIIIIPPAPSNPKYPIPGRADAVERWGAHKNNDDRKQRRPEARQPGIFVRCHKPGPRADSCERWDKKKIPGSSSSTSSSSSSSSGSRNPARASSCERWDSSKRPPSCANSVDHWDTHKKPRAAARANADQAEQKTTVVDKEVPEQDETTSRKMIATAASTQPVFSGPTFVASPEPSMLPMPAFFRRRAGMIPMPAFVQAH* >Brasy6G088200.1.p pacid=40047983 transcript=Brasy6G088200.1 locus=Brasy6G088200 ID=Brasy6G088200.1.v1.1 annot-version=v1.1 MASRRGTLPWTAPPPSCPTASSRSPTPSTRQMATLSTPGLSGSSKSPRRRQYLGLLNATNGTANNRILAVELDTIMNTEFHDINSNHVGIDVNSLVSRQAKTAGYYNDEDGVFRDLTLSSREPMQVWVDYDGQVKRLNVTLAPMQMSKPKNPLLSEAIDLSPIMVDMMYVGFSSSSGTIIAHHYVLGWSFSLDGPALPLDFSRLPTLPRVDTKTRSKILSIMLPLTTALVVAALLVAVFVFHRRRFSEVREDWEYEFGPHRFAYKDLFHATNGFKCSNLVGVGGFGRVYKGVLPASNFEIAVKIVSHDSRQGLREFIAEVVSIGRLRHRNLAQLLGYCRRKGELILVYDYMKNGSLDKYLHNKNGPSLYWHQRYRVIKGIASSLLYLHEDWEQVIIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGTIARTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVTCGRRPFSMDENNHRIVLVDWVLEHQRNGSILDTVDPRLIGNCNTEEAILVLNLGLMCSHPSPNIRPSMRKVMQFLDGGHSTSNLSPTYKSDNTMGILQVEGVSTHVSSAGSFCTVSDDSSVTVLLEGR* >Brasy6G138700.1.p pacid=40047984 transcript=Brasy6G138700.1 locus=Brasy6G138700 ID=Brasy6G138700.1.v1.1 annot-version=v1.1 MRTPSLLQLFVVVSFQRDTAANDEYPPGPEKVTKLHFYLHDTLSGKDPSAVLVAHDAAAPPALTGDPTPISPVYATDDVLTEGPQRASRVVGSTQGLYVSSGRKQGGGGVLGMDFELTDHGNASSFVVFSRNPVLAGDGRELAVVGGRGKFRMARGFALLRTQCLDTGNGDAIVEYNVTLFHH* >Brasy6G058500.1.p pacid=40047985 transcript=Brasy6G058500.1 locus=Brasy6G058500 ID=Brasy6G058500.1.v1.1 annot-version=v1.1 MGNPDRSAARRQQQLAPYRLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQISHFCKPDTVARCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKPGVYPEQRQCNEDTRRKWAEALAQPNKRLCLLSEHVPHGYRRKTLFDVLTRCNIPLLRATWFVKVTYLNQPQVRPTSSSISTGASDNQRSNQWTKDVVEYLHQLLDEFCLKEVPPSLKEQSSPGLISGATQVKIKNEAPSAGGDNEEPLVHFKWWYMVRLVQWHLTEELLVPSVLIEWLYSQLQERDSIEALELLLPIVLGLVETITLSQTYVRMFVDILVRRLGEASLIDNTKRSSICSVIAQLLRYMVLAVPDTFVSLDCFPLPSFVAPDVYGRGALLKITGGGEISNSKRPDVYRYLSCGYAVCSIQRRASDLATVANPNLQARGAAKVVQALDKALVTGNLTMAYCSLFNDLSDALMEERWMKEVSPCLQSSLMWIGTVELSLICSVFFLCEWATCDYRDCRTSPCQDVKFTGRRDLSQIHMAVSILKSKMSELNNLSRSKSSSRIAVSNIGKGSVLNDASLVATVNDSSGLRSNTKNVEEKKERKDTFESPGPLHDIIVCWLDQHEVSSAAGLKRVDVLIIELIRSGVFYPQAYVRQLIISGLTDKSGTLLDMDRKRRHHRILKQLPGSSLFDIIDEDVLAEEQQLHETMSIYSSERRLVLSELSSGQSFDANNRGEYTSSSSFRKESDLSVASGGPNHGSLPEQVEDVKVLVSSLLCFIYPQPVESEHCEIKMNFQGSSTSTLSQVDTGEAKYGCEDCMRAKGQKLDERASPFRAFPLIQSDEEDVWWVKKGSELHESLKAEPAKSIKQTSRGRAKVIRKTQNLAQLATARIEGSQGEASTSHLCESKLSCPHHKSSTGGDNAKDADHTRITNLAEVGKSLKKLRLLERRSISVWLLKSIRQLVEGNEMIACKASNSLSSFSSQPDEKTVSKWRLGDEELMSILYIVDTCCDLVSGARFLVWLLAKIRGGMATLGQIGRSATHMKNRENQVCQVGEALVFSSLLRYENILLATDLLPEVLNASMNRHFVLATARHPASAGFAYTRYFLKKYRDVASVVRWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGVSAGEEIDEQVRQKLNGRGSRIVPNMKEIVQRQAEEIQRNLKDKKIPAVPKSPSFEKEDSYQIAHDTVLGLVECIRQNGGASPDGDPSAVASAVSAVVVNAGNVIAKHLDFAGGNYYGVPSIGNSLSFVRHTLHIHISSLCLLKEALGDRFSRVFEVALAVEASSAVTAAFAPPKIQRNQFQPSPEAHDAYGNHTNELLSTSGKGFVGRTAKVAAAISAVVVGAIVHGAVSLERMIAVLKIKDGLDILQLVRGLRTSTNGVSRSTGTFKMENSVEVFVHWFRILLGNCRTVFDGLIADILGESYVLALSRLQQKLPLTVVFPPAYSIFAMVRWRQYIFSREDAQVYQSLANAINDITRHQPFREICFRNTHQLYDLLAADVGDSEFAVMLEMHSSDKNLKQLFIPLRARLFLNALIDCKTPAVIQVDGSEPGEAKENELKLRLIQLLDTLQPAKFHWQWFELRLLLDEQALVAKTDSSEKVNKTSTPILPLLVEALRSLSPNSESFALSESEKGFTEIILSRLLARPDAAPLYSEVVHLLGKLQESLVVDVKWILQAQDALLGRKSTRQQLVTIAQRTGVSTKTLIWKPWGWSSLLSDVMANRTAKRKLEVSSIEEGEVVDDSADTKRPSKSTSHNLDRSVEATRSINKYITEKAFTELMLPCIDRSSAEVRSIFAGELVKQMGAISENIKAIVRNGTKHAGLVPSGNEVLSNKSSGRKGIRGGSPNIGRRATVDSTPPSASALQAVVWLRLQFIIRLLPVIMADRSMRHTLASAIQCLLGARIIYEDADSPLPPTSSVSLRREVDSLLEPPLDVLLDRPSDSLFERLLCVYHALLGNFKPSWLKSKSVSKPNARAPRETPAFDNDVAVGLQSALDHMELPETIRRRIQAAMPILPPSRHPCIQCQPPQLSLAALSPLQSSTSTAGPQQKNASLSWVPTNLSSRSKAGLPSQDPEMEVDPWTLLEDGTSCPSVSSGSNGTSGISGDHANLKACSWLKGAVRVRRTELTYIGSLDDDS* >Brasy6G238800.1.p pacid=40047986 transcript=Brasy6G238800.1 locus=Brasy6G238800 ID=Brasy6G238800.1.v1.1 annot-version=v1.1 MDSEMDEPKPKRTSPCMKPKKKLASRRPNEDHPDEEPVNCSKTGFKRQKSAAIKTGKDATSSSSSAHHTMDQHRTIRKSKKCRNRKQDVSSSSSSSDDETDQQTTKRKRKKLAKDPTPKQVVGWKCSPAMLNKLINRLDTDQKNWIDDAGFGVLKEVCDSHLPRQLTLTLVNKVNHRTGALELGGVSIPIKPFVRKLLGIPHGCLPVTAPLVTVRGKTKVFKPSAEHKEFTHVRGGRGRPIREVLDDLVNCHDKVKFQKKFIVVALCIYLAPRSGYLLNRSYLDCLKNMDLVPEMNWCDFVADYLIQAIRDYHESGAANIFVHGCLHILVLMYIDLLQGPAPEQPLQFPRVQFCTADMLQLLDSNHPTSQARYKTLAEFVAFYGMEMSEMEMVAPDQNFTTTHADAPDATTRTEPEAARAPRHHKTGAQASEQPDEAFSQEIMRIEQQYRHDREHIIDACMTDMKYKIQKLDEARTAAVQSLLRRARTSSTTSAPDPRPADDEPPVHGTESATRPLSTDERAASRSPFFDPTPVAATAQCPVPPHVDAGSFHEPTFDRTPVPENTPSQIDEQGQISSRPDVNTERGAVSPKINSPHRRDDVQLSEGSRHENNQNRPMVDRARDVSFLQKQASPDLMSEVQAIGQQDPVFQAGQKEIPIPEYRSVLQPPPVVKEDPAVHGREGGMDHLWVPDTFDRQDRVSSSPQLPDRKCPMETGPQETSVLSRKSTETACMDGIVQPALHTVSQPQTGGLPPACHGQVNILPSPVSEQCQLSLSEEYIERIASVQTPAVQRPRDEMEQPHASQELDLNASTVDDTFAGLQMDSSFQFEPSLGTYEDGVQTRLEDALYDEYAGYTPTYADMMHPAETITVSPGTATPLGVHNVQLLVHPIIGGESFVDPGSVNTAVKNRTKRYKEAEAKLEGIQELVKSTSKTKAASTRTCNLLKETTGTIKIQIKGAHVTEEEFVNVLKPNGLVDLNFMWLCSVAIMEDWGSKTKLIANTRSIP* >Brasy6G238800.2.p pacid=40047987 transcript=Brasy6G238800.2 locus=Brasy6G238800 ID=Brasy6G238800.2.v1.1 annot-version=v1.1 MDSEMDEPKPKRTSPCMKPKKKLASRRPNEDHPDEEPVNCSKTGFKRQKSAAIKTGKDATSSSSSAHHTMDQHRTIRKSKKCRNRKQDVSSSSSSSDDETDQQTTKRKRKKLAKDPTPKQVVGWKCSPAMLNKLINRLDTDQKNWIDDAGFGVLKEVCDSHLPRQLTLTLVNKVNHRTGALELGGVSIPIKPFVRKLLGIPHGCLPVTAPLVTVRGKTKVFKPSAEHKEFTHVRGGRGRPIREVLDDLVNCHDKVKFQKKFIVVALCIYLAPRSGYLLNRSYLDCLKNMDLVPEMNWCDFVADYLIQAIRDYHESGAANIFVHGCLHILVPLQFPRVQFCTADMLQLLDSNHPTSQARYKTLAEFVAFYGMEMSEMEMVAPDQNFTTTHADAPDATTRTEPEAARAPRHHKTGAQASEQPDEAFSQEIMRIEQQYRHDREHIIDACMTDMKYKIQKLDEARTAAVQSLLRRARTSSTTSAPDPRPADDEPPVHGTESATRPLSTDERAASRSPFFDPTPVAATAQCPVPPHVDAGSFHEPTFDRTPVPENTPSQIDEQGQISSRPDVNTERGAVSPKINSPHRRDDVQLSEGSRHENNQNRPMVDRARDVSFLQKQASPDLMSEVQAIGQQDPVFQAGQKEIPIPEYRSVLQPPPVVKEDPAVHGREGGMDHLWVPDTFDRQDRVSSSPQLPDRKCPMETGPQETSVLSRKSTETACMDGIVQPALHTVSQPQTGGLPPACHGQVNILPSPVSEQCQLSLSEEYIERIASVQTPAVQRPRDEMEQPHASQELDLNASTVDDTFAGLQMDSSFQFEPSLGTYEDGVQTRLEDALYDEYAGYTPTYADMMHPAETITVSPGTATPLGVHNVQLLVHPIIGGESFVDPGSVNTAVKNRTKRYKEAEAKLEGIQELVKSTSKTKAASTRTCNLLKETTGTIKIQIKGAHVTEEEFVNVLKPNGLVDLNFMWLCSVAIMEDWGSKTKLIANTRSIP* >Brasy6G179500.1.p pacid=40047988 transcript=Brasy6G179500.1 locus=Brasy6G179500 ID=Brasy6G179500.1.v1.1 annot-version=v1.1 MMRAMMPTLCSTKCRTAPQGRDGAGLTIRLLTRSCRWCTREMAIWSYNYALFLLLPLDLKGDRDPGIMIWRLFNHDTMMS* >Brasy6G106800.1.p pacid=40047989 transcript=Brasy6G106800.1 locus=Brasy6G106800 ID=Brasy6G106800.1.v1.1 annot-version=v1.1 FPPRRAANAVHRVPARPPHAGRPPRCRPSFPHRRAAAVVPRVLARPPCAGRPLLCDPPPAPAPPAALEQAADEAHEYPPLRPLDPPQEEDPYPDEVISVPSLVPTGITSLSVYLLNADALVHGTRNVVLKWRRPTSICTGLGICG* >Brasy6G152900.1.p pacid=40047990 transcript=Brasy6G152900.1 locus=Brasy6G152900 ID=Brasy6G152900.1.v1.1 annot-version=v1.1 MDDGGRIPARPTTAEGEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNLDTLWTGVPGNYTDPSVPSALAVVRKLVRDRQFVDATNAASGLYGGPTEVYQPLGDVNLEFGTSIQDYSSYKRELDLHTATVLVTYNIGEVQYTREHFCSNPHQVIVTKLSANKSGHISCTLSLDSKLTHSVRVTNANEIIMDGTCPGQRHVLQQNETNDAAGIKFTAVLSLQMGGAMAKAEVLNDHNLRIDNADWVLLLVTAASSFSGPFVNPSNSKIDPESAALRNLNMSRNVTFDQLKASHLKDYQGLFHRVSLLLSHAPAVENANLNETGEAIKITAERVNSFRSNEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDLSPAWQSAPHLNINLQMNYWPTLPCNLGECQEPLIDFIAALAVNGTKTAKINYQTSGWVTHHVSDIWAKSSAFNEDAKYAVWPMGGAWLCTHLWEHYQYSLDKEFLKNTAYPLLEGCALFLADWLTEGRNGYLETNPSTSPEHSFIAPDSGGQQASVSYSTTMDVSIIREIFMALISSAEVLGKSDSTLVPKIKKALSRLTPIMITKDHTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPGICEAVANSLYKRGEDGPGWSSTWKMALWARLLNSQNAYRMILKLITLVPPGDDVQFEGGLYSNLWTAHPPFQIDANFGFTAAVAEMLLQSSLTDLHLLPALPRDKWPEGCVKGLRARGDTTVNICWGKQELQEAVLWSNNRNSSVIRLHYGERVTEATVAAGIVYKFNGDLQCVETRPLDKCAFN* >Brasy6G152900.2.p pacid=40047991 transcript=Brasy6G152900.2 locus=Brasy6G152900 ID=Brasy6G152900.2.v1.1 annot-version=v1.1 MDDGGRIPARPTTAEGEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNLDTLWTGVPGNYTDPSVPSALAVVRKLVRDRQFVDATNAASGLYGGPTEVYQPLGDVNLEFGTSIQDYSSYKRELDLHTATVLVTYNIGEVQYTREHFCSNPHQVIVTKLSANKSGHISCTLSLDSKLTHSVRVTNANEIIMDGTCPGQRHVLQQNETNDAAGIKFTAVLSLQMGGAMAKAEVLNDHNLRIDNADWVLLLVTAASSFSGPFVNPSNSKIDPESAALRNLNMSRNVTFDQLKASHLKDYQGLFHRVSLLLSHAPAVENANLNETGEAIKITAERVNSFRSNEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDLSPAWQSAPHLNINLQMNYWPTLPCNLGECQEPLIDFIAALAVNGTKTAKINYQTSGWVTHHVSDIWAKSSAFNEDAKYAVWPMGGAWLCTHLWEHYQYSLDKEFLKNTAYPLLEGCALFLADWLTEGRNGYLETNPSTSPEHSFIAPDSGGQQASVLGKSDSTLVPKIKKALSRLTPIMITKDHTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPGICEAVANSLYKRGEDGPGWSSTWKMALWARLLNSQNAYRMILKLITLVPPGDDVQFEGGLYSNLWTAHPPFQIDANFGFTAAVAEMLLQSSLTDLHLLPALPRDKWPEGCVKGLRARGDTTVNICWGKQELQEAVLWSNNRNSSVIRLHYGERVTEATVAAGIVYKFNGDLQCVETRPLDKCAFN* >Brasy6G152900.3.p pacid=40047992 transcript=Brasy6G152900.3 locus=Brasy6G152900 ID=Brasy6G152900.3.v1.1 annot-version=v1.1 MDDGGRIPARPTTAEGEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNLDTLWTGVPGNYTDPSVPSALAVVRKLVRDRQFVDATNAASGLYGGPTEVYQPLGDVNLEFGTSIQDYSSYKRELDLHTATVLVTYNIGEVQYTREHFCSNPHQVIVTKLSANKSGHISCTLSLDSKLTHSVRVTNANEIIMDGTCPGQRHVLQQNETNDAAGIKFTAVLSLQMGGAMAKAEVLNDHNLRIDNADWVLLLVTAASSFSGPFVNPSNSKIDPESAALRNLNMSRNVTFDQLKASHLKDYQGLFHRVSLLLSHAPAVENANLNETGEAIKITAERVNSFRSNEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDLSPAWQSAPHLNINLQMNYWPTLPCNLGECQEPLIDFIAALAVNGTKTAKINYQTSGWVTHHVSDIWAKSSAFNEDAKYAVWPMGGAWLCTHLWEHYQYSLDKEFLKNTAYPLLEGCALFLADWLTEGRNGYLETNPSTSPEHSFIAPDSGGQQASVSYSTTMDVSIIREIFMALISSAEVLGKSDSTLVPKIKKALSRLTPIMITKDHTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPGICEAVANSLYKRGLHNTMSNCT* >Brasy6G152900.4.p pacid=40047993 transcript=Brasy6G152900.4 locus=Brasy6G152900 ID=Brasy6G152900.4.v1.1 annot-version=v1.1 MDDGGRIPARPTTAEGEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNLDTLWTGVPGNYTDPSVPSALAVVRKLVRDRQFVDATNAASGLYGGPTEVYQPLGDVNLEFGTSIQDYSSYKRELDLHTATVLVTYNIGEVQYTREHFCSNPHQVIVTKLSANKSGHISCTLSLDSKLTHSVRVTNANEIIMDGTCPGQRHVLQQNETNDAAGIKFTAVLSLQMGGAMAKAEVLNDHNLRIDNADWVLLLVTAASSFSGPFVNPSNSKIDPESAALRNLNMSRNVTFDQLKASHLKDYQGLFHRVSLLLSHAPAVENANLNETGEAIKITAERVNSFRSNEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDLSPAWQSAPHLNINLQMNYWPTLPCNLGECQEPLIDFIAALAVNGTKTAKINYQTSGWVTHHVSDIWAKSSAFNEDAKYAVWPMGGAWLCTHLWEHYQYSLDKEFLKNTAYPLLEGCALFLADWLTEGRNGYLETNPSTSPEHSFIAPDSGGQQASVSYSTTMDVSIIREIFMALISSAEVLGKSDSTLVPKIKKALSRLTPIMITKDHTIMEWGKMALDGQVRGRWLCGHAFLTARMHTE* >Brasy6G216000.1.p pacid=40047994 transcript=Brasy6G216000.1 locus=Brasy6G216000 ID=Brasy6G216000.1.v1.1 annot-version=v1.1 MAASQQAGGGGSAATRSLCSRCYKQRQLLELAAFDSAVKSRLAPIIVRFPKPQQATVHAGCKPEKKAVAVTKKNRCEACRKKVGLLGFACRCGGTYCGAHRHAAGHGALRLRLQGGRARAGGAPEPARRRAQDRQDLTLVLAPDCRARKIWRRVEYVHAQVQRHG* >Brasy6G215000.1.p pacid=40047995 transcript=Brasy6G215000.1 locus=Brasy6G215000 ID=Brasy6G215000.1.v1.1 annot-version=v1.1 MSDHDSPKQEPGVDIHAMDDTSRRRRPPPVVPPVGQHMSPPAAFALTHPSHPATMVDSDSGSDQDCPDQEPEFVLHVVDDHPRPRPPPRRPIQYLQPPPPPPLQQPQFANNHRPWIKHVILFLLRLVHFAGMMALAIVTVVLATRLPKEFIEVAR* >Brasy6G162800.1.p pacid=40047996 transcript=Brasy6G162800.1 locus=Brasy6G162800 ID=Brasy6G162800.1.v1.1 annot-version=v1.1 MGTKLLLTAAVGVFLASNGADATPETTCKAAAEIDRRVNYDFCVSKLLAHHDSTEADAWGLAQISALIGANNAGDAMEDVKALLARPGTGEKERSVLGQCGGLYDRVATAFIVAHDHINMRRYAAGREKAAETVGFVRRCDEAFVKAGVSSPLKRESADSVQLAIICTAITNLIK* >Brasy6G046500.1.p pacid=40047997 transcript=Brasy6G046500.1 locus=Brasy6G046500 ID=Brasy6G046500.1.v1.1 annot-version=v1.1 MPRRNSFAWNAAIRGLVDAGRCAEALETYWAMVRDGSVAADGFTYPLVIKACAALGAVEQGRMVRENVEADVARGVAAPNVFVQCALVDMFAKCGCLDEARSVFESMPERDIAAWTAMIGGAVHAGDWLDAMSLFSRMRSEGFSADSAIVATVIPACGRAKELRTGMALHGCAVRCGVGDDTCVSNALVDMYCKCGCLDMADCVFWSISFKDVVSWSTLIAGYSQNGKYHVSVNLFTEMVTAGLKPNSNTMASILPSLSELKLFRHGKEIHGFSLRNGFDQSKFLGSAFIDFYSRQGFAREAETVFGLMPKRDLVIWNSMVAGYAVNGNTDSAMCAFRALQKVGFRPDHVTVVSVLPVCNQHSRLIQGKELHAYAVRHYMSSVCSVSNALIDMYCKCCCLEKGKEIFQLMTERDTATYNTLISSFGKHGCEDEAIMLFDLMKRDGIAPDKVTFVALLSSCSHAGFIEKGLHFYDTMLQDYNIYPGKEHYSCVVDLYSRYGKLDNAWEFISSLRDKAEIDVLGCLLGACRVHNRIDIAELVSKRIFEQNPSDPGYHILLSNIYANAGMWSDVTRIRTMIEERSLKNKTGNSSI* >Brasy6G229300.1.p pacid=40047998 transcript=Brasy6G229300.1 locus=Brasy6G229300 ID=Brasy6G229300.1.v1.1 annot-version=v1.1 MGRSGFTDDGGASMMSWLLDSAGSSSNGSVDKHNLGYFDPDYLVEHEFDDEEELAPVRHGQIVEEEGQNAVLMKEIWLLKSMVKEEEMKSKKFYEAMINHEFELAFQDQLLEELESKIVEGKKENEALMCQVLQGKEKMEDVKVFAVACFSVAITLWAVLFCLF* >Brasy6G190200.1.p pacid=40047999 transcript=Brasy6G190200.1 locus=Brasy6G190200 ID=Brasy6G190200.1.v1.1 annot-version=v1.1 MAQPLVVKKDDDCDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGMNTQGGDRPFFDFLESCLRNKAEMVILEAARAITDLNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYIKLLDGEATVGETDKDVTEFLFGSLDVPLANLETSLRNYEPSEVPFDISSVPKETKSQPLAEKKATGKKSAGPAAVNGPVPTVDASYEKLLSSIPEFASFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEAEEFSEVASKPLRSLPYDSPGQTFVAFEKPEGVLATGKFSNILKFIVKEVDSSTGEADDDGVEDEYQLEDFEIISADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLGEAVSAVISILGMQPCEGTDVVQNNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEVSDRIHEIVANG* >Brasy6G231100.1.p pacid=40048000 transcript=Brasy6G231100.1 locus=Brasy6G231100 ID=Brasy6G231100.1.v1.1 annot-version=v1.1 MACSTATISLLILLLLVAATAEPVGGVVGGRTDIKNVGRNTFVQSLGWFAVAEHNRLVRGAGPATAQLKFVAVAAAQKQVVSGVKYYLKVIARARAAGNAPFDAVVVVKPGLKKKKTKELLSFTPSPK* >Brasy6G046600.1.p pacid=40048001 transcript=Brasy6G046600.1 locus=Brasy6G046600 ID=Brasy6G046600.1.v1.1 annot-version=v1.1 MADEGTANCIDIILAIILPPLGVFFKFACGIEFWICLLLTFFGYLPGIIYAVWVITR* >Brasy6G268600.1.p pacid=40048002 transcript=Brasy6G268600.1 locus=Brasy6G268600 ID=Brasy6G268600.1.v1.1 annot-version=v1.1 MEMDASVLAALILASIFLVLLRKQRSSPMGDRRRQQLPPGSLGLPVVGQTLGFLSALRGNTAEAWLRQRAAAYGPVSKFSFLGVPTVLIVGPSANKFFFSSAGLTAKTTAAVNRMVGMRNIRELTGSDHLRIRAMFVRFLKLDALRGYVASIDDEVRHHLGTDWRGRATIAVMPLMKSLTFDIMCTTIFGLDRGAHAHVRQMLSMDFQQLVRGVFSIPVNLPFTTFRKCLAASRHGRRTVAGIIEEKRGKLARGESSPSDDLMAHMLAEGVTTKDIIDNVIIMMLAAHDTTSILLTFLICHLDSNKDAYARVVAEQEEVARSKAPGEALSWDDLGKMRYTWAAAMETLRLVPPVFSNIKRAVDDVEFNGHLIPKGWQVMSAVNLTQWDPAIFPDPDKFDPTRFESPLPPYSFVAFGGGARVCPGNEFARVEALVAMHYIVTGFRWKLAAGCDGSFSRFPMPSPAQGLLIDIEPKDKTTSA* >Brasy6G126000.1.p pacid=40048003 transcript=Brasy6G126000.1 locus=Brasy6G126000 ID=Brasy6G126000.1.v1.1 annot-version=v1.1 MVSCCFQVRPAYVSTTKKPNLQEVPLYCGLFSPGSVPCADGPGLLAIGGVCATASIRPWLFDAILILAPGFSLKRSNRLKAKNRATPIAKMAEKILCRRLGIVQEGENITEEAIHKFAELFHGKLPLIAIDALRALFRLDCDLTAAVEDALVAHGGADGLDHDPAGTAADTAAV* >Brasy6G076700.1.p pacid=40048004 transcript=Brasy6G076700.1 locus=Brasy6G076700 ID=Brasy6G076700.1.v1.1 annot-version=v1.1 MENPNGGSQVVQNFQLEDFYGVAGAGNTKDRHVVNIQLGDDAIACAKGPFCVVCTESLEWVTVGRCGHRVVCSKCMIRIRHVDGDKSCCVCRAHCPTVMVTRATDHGAGAAASSFREYWYHTKTAAYFDDMQQYQAAREATKK* >Brasy6G183700.1.p pacid=40048005 transcript=Brasy6G183700.1 locus=Brasy6G183700 ID=Brasy6G183700.1.v1.1 annot-version=v1.1 MSLYLAPTAAAAAAMAAVASFPRRLVLPPSMDIRRQHPELLKTMAPPPPLPPPLLGGIHRRVSPGSKHKAVVVLGATGTGKTRLAVDLALRFGGEVINSDKIQLYAGLDVATNKAGAEERAGVPHHLLGVVPRPDAEFTPADFRREARRAADAVTARGRVPVVAGGSNSYVEALVGDGEFGARYECCFLWVDVQLPVLHGFVGRRVDDMLRRGLLEEVAAAFHSRGRPTDFDYSRGIWRAIGVPELDAYLRCDEGKEEERERLLGIAVEEIKRNTRRLSCRQRGKIQRLARLWGPRVARVDATDAFRLRGAAADQAWDRLVAAPCADAVRAFLQHDHRHLAGVQNFNVFAPPAAAAVV* >Brasy6G118500.1.p pacid=40048006 transcript=Brasy6G118500.1 locus=Brasy6G118500 ID=Brasy6G118500.1.v1.1 annot-version=v1.1 MASRFSMICISVALAAAALLFAAPQAQASAWDTDMVPVWPPFPVIEEMAGAGAPTCLQCRCCSKANPSSCQMTTCCSSFNCDPTGKCSLVQTKCGCNGC* >Brasy6G233000.1.p pacid=40048007 transcript=Brasy6G233000.1 locus=Brasy6G233000 ID=Brasy6G233000.1.v1.1 annot-version=v1.1 VWASSTGAQTLRVPSIGIGPIGFYKPTNSRLSARSRSRSRSRLPPSQHFRFHREIPSSTNTHGHGASRRAAPPRGRLRGRRRGRLHGLLPLHPRGLPDRPDPHREVLPGLRRLGQGRRLPRPRLVRLHPVPLRPCARLLRAPRPPRRRPRRRRRLRLPPRPHPLRLHPLPTTPPPASPPHRLLPPWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAEVLIGEVERVVLGGNGRVAGVQVTGRGVVEADAVVLALGPWSGRFDMVREVFDVSGLKAHSIVLRPRDPDKITPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGMSKDEDVPDDPATIVGEPDSIAMLHKIAGRVSSQLKTEEGAEVVAEQACYLPCTNDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGQAKTVDLAPFSPARFLKKKSRR* >Brasy6G120500.1.p pacid=40048008 transcript=Brasy6G120500.1 locus=Brasy6G120500 ID=Brasy6G120500.1.v1.1 annot-version=v1.1 MDTRRKVRAGSSHGYTSRKKGTDSCVTRIPWQRRPAGHLHTQHRHAKTTKRSSTEQCKINCSSIRHSKRRHSRVYMHTMLATSPSSQVKVRPWGSCRRRAASSAAPLPPGNTGRPLVVHSGRAVASVRAVAAESAQTSPAPQPPPLDADEDKMLVSYVPVFVMLPLEVISAGNEVEDAGALRAQLRRLREAGVDGVMADVWWGIVERAGPARYEWRAYRELFRLAQEAGLKLQVIMSFHACGGNVGDAVNIPIPAWVREVGDADPDVFYTSPGGARNQEYLTIGVDDRPLFHGKTAIQMYADFMKSFRENMADFLESGLIVDIEVGLGPAGELRYPSYPESQGWAFPGIGQFQCYDRYLEEDFRAAAAEAGHPEWELPDDAGEYNDTPDDTAFFTADGPDTPTYLTEKGKFFLTWYSNKLLQHGDRIMDEANKAFLGCTVKLAAKVSGIHWWYGHPSHAAELTAGYYNVGGRDGYGPVARMLARHDGAVLNFTCAEMRNSEQAPEAMSAPEELVQQVLSAGWREGTEVACENALPRYDRRAYNQMLKNARPNGVGGAGPRLAAVTYLRLTDQLLAGNKFRAFKTLVRKMHADQDYCPDPARYLRPLKPLERSRPAMPVDKLLEATSPEAPYPFDPETDMSVGGGLAELIDWLFEKVEWVFG* >Brasy6G072800.1.p pacid=40048009 transcript=Brasy6G072800.1 locus=Brasy6G072800 ID=Brasy6G072800.1.v1.1 annot-version=v1.1 MDNWTRSVSRRISSSSHRDSSSSSRERRHPSLPISLPSAPAPWLVFLLARAPSPQMRLVGLTGGIASGKSTISNLFKSNGIPVIDADIVARNVVQKGTGGWKKIIKAFGNDILLENGEIDRARLGQIVFSDPVKRQVLNRLLAPHISSGILWEILKLWMKGCKIVILDIPLLFETKMDRWTNPVIVVWVDPETQIERLMSRDGCSQEQAQNRISAQLALDWKKSEADIVINNSGLLYETEEQFEEVLNQVYEPLTWKERIRSRDGLLSIVLCTAVGVLLAQKNLL* >Brasy6G072800.2.p pacid=40048010 transcript=Brasy6G072800.2 locus=Brasy6G072800 ID=Brasy6G072800.2.v1.1 annot-version=v1.1 MRLVGLTGGIASGKSTISNLFKSNGIPVIDADIVARNVVQKGTGGWKKIIKAFGNDILLENGEIDRARLGQIVFSDPVKRQVLNRLLAPHISSGILWEILKLWMKGCKIVILDIPLLFETKMDRWTNPVIVVWVDPETQIERLMSRDGCSQEQAQNRISAQLALDWKKSEADIVINNSGLLYETEEQFEEVLNQVYEPLTWKERIRSRDGLLSIVLCTAVGVLLAQKNLL* >Brasy6G220700.1.p pacid=40048011 transcript=Brasy6G220700.1 locus=Brasy6G220700 ID=Brasy6G220700.1.v1.1 annot-version=v1.1 MDLSVKVFVVVLLISATTEDTGLVQVVLARDCKAPSSKFEGSCGHDANCRSIFPTETFTRGKYDRCFCTKDITWPSYLHTYLFPCDRSLAMRVCVCPRWLGYIRIKSDRKF* >Brasy6G099400.1.p pacid=40048012 transcript=Brasy6G099400.1 locus=Brasy6G099400 ID=Brasy6G099400.1.v1.1 annot-version=v1.1 MRRIPTSSADSRLYGSETHGPCLCRRRFMEPLATESLPAASRPTSHNVATPLGTLGGYCRLAFSGHTAGKLGTVFRRHSTNGASGVADCTLIRCAPYTDMPHPPSRLARYSHNAGKFGTAFRRRRTQAASYEDLSSTFSSPSSPSSEDSSASAGSLVDCTLSLGTPSSRAHRVAQPVSATNACYHFYHQQQQSKLASRRHRHRHGRRLERRPARAAARGGPAMLRQLRFAAPRPRRSGATALAGPSRSATRAASGSRKRSGARPRPTPEGAACQYTTRSGRGRREPRRRVQHVSIGRVADEGAAPEAPFVEWRLNAVPNLPAVWPEKARRWR* >Brasy6G181800.1.p pacid=40048013 transcript=Brasy6G181800.1 locus=Brasy6G181800 ID=Brasy6G181800.1.v1.1 annot-version=v1.1 MASLSLLSCRSIAWFVMCRPSASSTMTCARSRMLSRLASSVRACFLEYLSMNKLMDLVASSPNTAETKMSIWNFRFRALLHWAVEFGVSETLCIAFIVGFCLFWSLLVNKV* >Brasy6G148200.1.p pacid=40048014 transcript=Brasy6G148200.1 locus=Brasy6G148200 ID=Brasy6G148200.1.v1.1 annot-version=v1.1 MTAMALLSGGVEAAAAAAILQPDAAAGAAVMGVFKYNFAAQFLSRVIPFLYNGWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSESGDMLSDEEILKVAWMIIPFGLLITFVSTLFVLRVKKLKISDPYAKATIIIGFACMLELLAEPLYILSQTKKYYKIRVYTEPAATLLRCLTTFIFIKGHIKVEKLVVFALSQVVYAGCIFIGYWAYFLLFANIRMLDLLPFRLSTLMVYDKQLLHMCMLFTGQTFRKLVLQEGEKFVLVWFDTPYNQAAYGLVHKLGSLVVRIVFLPFEESSYATFAQLASGQTSQNISNLEASLLGALKLILLIGLVVISFGPSYSYTLLNLLYGARYSDGEATVVLRYYCFYIICLAMNGTSEAFLHSVANENQLKQSNDMLLLFSAIYTVLNIVLIKSAGAVGLIAANSVNMLLRITYSGVFIKSYFKGSFSFRRCLPAGWGVLLISGLTTAFSERFFLNRNRFKQTLPVHMAIGIMCLGFSSLEIYRGEKQFLMSIVKSLKGNDKHA* >Brasy6G148200.2.p pacid=40048015 transcript=Brasy6G148200.2 locus=Brasy6G148200 ID=Brasy6G148200.2.v1.1 annot-version=v1.1 MTAMALLSGGVEAAAAAAILQPDAAAGAAVMGVFKYNFAAQFLSRVIPFLYNGWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSESGDMLSDEEILKVAWMIIPFGLLITFVSTLFVLRVKKLKISDPYAKATIIIGFACMLELLAEPLYILSQTKKYYKIRVYTEPAATLLRCLTTFIFIKGHIKVEKLVVFALSQVVYAGCIFIGYWAYFLLFANIRMLDLLPFRLSTLMVYDKQLLHMCMLFTGQTFRKLVLQEGEKFVLVWFDTPYNQAAYGLVHKLGSLVVRIVFLPFEESSYATFAQLASGQTSQNISNLEASLLGALKLILLIGLVVISFGPSYSYTLLNLLYGARYSDGEATVVLRYYCFYIICLAMNAIYTVLNIVLIKSAGAVGLIAANSVNMLLRITYSGVFIKSYFKGSFSFRRCLPAGWGVLLISGLTTAFSERFFLNRNRFKQTLPVHMAIGIMCLGFSSLEIYRGEKQFLMSIVKSLKGNDKHA* >Brasy6G052500.1.p pacid=40048016 transcript=Brasy6G052500.1 locus=Brasy6G052500 ID=Brasy6G052500.1.v1.1 annot-version=v1.1 MCFTMRRQRRRQAHEEAERRLREAEEMRRRREEAEKTPCFRLRRNMAAYEMEEGTRIRIANERAKAMAHANAKAAAAAAAGKEKGKGKKKMSGEEVIKTEKQEKLKAKAEKEKKKREDEAKKKREREAKEKEAEKKRKEALKKRKTVQRK* >Brasy6G110300.1.p pacid=40048017 transcript=Brasy6G110300.1 locus=Brasy6G110300 ID=Brasy6G110300.1.v1.1 annot-version=v1.1 MKNLKLVTRIAQQLQLQLDGETLVVSSIDAERHRAFFASSANFLYSVHLLASTQQPLQWSKTTLDSDVEEVVLEPGDCIVAMDYLMEKESLLLGSSDGCLLLYNVEERTTEVVGRVEGGVRTIASSPDGALLSVTTGFGQLLVMTHDWEVLSETSIDPQSTGAGEIDSCGGLIQSSISWRGDGKFFATLGGLDGSPQKLTIWERESGKVHSSSDANNFMGQSLDWMPSGAKVATAHDRKTEGKGPLVVFYEKNGLERTCFSINETAEVVIQALRWNCNSELLAALVSCGQYDVIKIWSCSNNHWYLKQELHYTKREGVKFSWDPTKPLHLICWTLGGEVITHRFAWTTAVSETSIALVIDGSHVLVTPLNLGLMPPPMSLFRLAFPCAVNEVSFLSKNSKNHLAAYLSNGCLCFVELPAEDTWEELEDNGISVDPCCSDFTLNNCMHLTMVDTRTLIGICRCSDYCSSTPVMSSEASNLAEKHDSLFFVNEIKLVCSEDSLPVSVSSSGWQARVSKRMPLEGPVVGVSRNLGKGGSAFIQLSGGKIVEYCSDVNLLRMTAPTKGGEVCSDYDFPTSCPLMTAVPCHQNGVVRTLLFGLDDSSKLHLGKRLLSNNCSSFTFYSSAYGAAEQVVTHLLVTTKQDLLFIVDVNDILLKNGQVTVDSHVNSHPRGKQSKEHITVWEKGAKLVGVLHGDEAAVLMQTTRGNLECMYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNMMVDYCGWRTFIKSAADFVIEVSNLSHITEFVCSIKNENVSSKLYEAYISFPDQCTTSMDNENSHDIFSDNKVTAVLMAIRKALEEQTEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECQPPSIMRYTVDLKLGRYESALKNIVSAGTEYHKDCMELLNANPQLFPLGLQLFSDPDKRHQILEAWGDHLSEEKCFGEAAITYQCCSSYQKSLKAYHACGDWRGVFTVAGLLKFGKEDILKLAHELCDEFQALGKPGDAAKIALDYCSDVDRGIGYYITAREWEEALRVAYMHSRQDMVDTVRHAALECAALLISEYQEGLLKVGKYLARYIAVRQRRLSLAAKLQSEDRFMDVEDENISEVSSSFSEMSAYTTRSTKESSASVISSNASKSRGARRQKKGGKIRAGSPGEEMALVDHLKGMSLTTGAQNELRTLLVVLTQLGKEDIARQVQLAGDSFEVSQMAAVKLAEDTVSSNKIDENAHTLEHYVKMLRAHQPVATGETISWRIKALSPP* >Brasy6G189000.1.p pacid=40048018 transcript=Brasy6G189000.1 locus=Brasy6G189000 ID=Brasy6G189000.1.v1.1 annot-version=v1.1 MEPKSSSSHLVVNVALLLLFAPSLAAAFNYADALAKSIVFFEAQRSGKLPAANRMPWRADSGLSDGAQYNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADFGKYMGAEELAHARDAVRWGADYLLKAATATPGALYVQVGDPGRDHACWERAEDMDTPRAVYRVDAARPGSDVAGETAAALAASSVAFRRADPAYSARLLRAATDVFDLADRHRGSYSDSLSSAVCPFYCSYSGYHDELLWAASWLHRASSNKNASTFFLSYVQSYGTQLGAADDDYSFSWDDKRAGAKVMLSRVFLRRKLPGFELYKSHSDSYVCSLVPGTNTFRASGQYTPGGLLYKEGESNMQYVTTATFLLLAYARYLKSGGATVSCAGGGAGVVAPGELVALAKRQVDYILGKNPGGRSYMVGFGPRWPQRAHHRGASMPSVRAHPARIGCDAGFEYLHAPGPNPNVLVGAVLGGPDAKDGFEDDRGNYAQSEPATYINAPLVGALAFFAGTAN* >Brasy6G072100.1.p pacid=40048019 transcript=Brasy6G072100.1 locus=Brasy6G072100 ID=Brasy6G072100.1.v1.1 annot-version=v1.1 MTLVALCVVYKVAIWTGASFWNPNTWQSQMSVKEWWLYIFDSACLSSKAHAKAASIVSILVLWETWKERNRRVFPKQAELFFPWADLGALASSSDDVH* >Brasy6G095400.1.p pacid=40048020 transcript=Brasy6G095400.1 locus=Brasy6G095400 ID=Brasy6G095400.1.v1.1 annot-version=v1.1 METIPGAGGLGGGGFLVSRRRIPGGGRDGLLSTTTYTAKERKSERGREREGEREGEKERTGRPGSGGSKGVGAADPVTVGGRGRGRPTRGGRGRGRPTEPDPEASGPGRTDPAASGPDPEAAGSGRPDPAAWGPDPEEAGSGRPDLVAWGPDPEEAEPVRASMEAAAAGKPWRRRGRGRPRGRRRMSSGETGSGRGSGAGEMESKEGGSGAGVRGREEEGSRGSSEERPI* >Brasy6G004100.1.p pacid=40048021 transcript=Brasy6G004100.1 locus=Brasy6G004100 ID=Brasy6G004100.1.v1.1 annot-version=v1.1 MSCYYIDCGMNAYGISPAQLYSNTPSDFDFKLESLAQEFRSLSEFAEHLAANVDIVFPVIHGKFGEDGSIQELLEKTNVPFVGTPSNECRRAFDKHSASIELNAQGFLTVPNFLVEKDKLAKSELEQWFQSINLNKENGKVVVKPTRAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGCEFTAIVIDVGTANNSEPVVLLPTEVELQSSGNSDIQEDTIFNYRRKYLPTRQVTYHTPPRFPAEVIDCIRQGVSLLFRHLGLRDFARIDGWFLPTPASTLSSGENGGKFGNTEYGTVLFTDINLISGMEQTSFLFQQASKVGFSHSRILRTIVQHACSRFPSLVPCNNSWTALSRKMQSAKQAEVIQNGTHKQKAFVIFGGDTSERQVSLMSGTNVWLNLQGFEDLDVTPCLLTPANGYSSSHNQDGESSRDVWTLPYSLVLRHTTEEVHDACLEAIEPERVEITSRLRDLVMKELEQALSKQDWFAGFDIADEQPTKYSLQQWINHVKEAKAVVFVAVHGGIGEDGTIQLMLESAGVPYTGPGPIASRTCMDKVATSLAVDHLAGYGIHTIPKDVRETEELLNSSLVDIWNDLKSKLQTETVCVKPARDGCSTGVARLCCQEDLKVYVTALRRKLQRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKSRDDSARHLVWKGEKEWLEVTVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMSEEALQKCKRCIEMMANTLGLEGFSRIDAFVNVRNGEVLLIEVNTVPGMTPSTVLIHQALTEDPPIYPHKFFRTLLDLSFARAN* >Brasy6G019000.1.p pacid=40048022 transcript=Brasy6G019000.1 locus=Brasy6G019000 ID=Brasy6G019000.1.v1.1 annot-version=v1.1 MTRRRLQHLSPCLLLIRGAASLPRPRGGAGRAGGRGRRQQRGNLQATAVAIRRQRRSGH* >Brasy6G087600.1.p pacid=40048023 transcript=Brasy6G087600.1 locus=Brasy6G087600 ID=Brasy6G087600.1.v1.1 annot-version=v1.1 MSSSSSFPTSRFPFAAGGAGAGGPGGGGGSGSSMRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDIVFPLDYPFKPPAVTFKTRIYHCNVDSTGTVSLEILKDGWSPALTISKVLLAIKAIITNPDPYNPLVERIARLYLTDRAKHDEIAAEWTMRFAR* >Brasy6G180200.1.p pacid=40048024 transcript=Brasy6G180200.1 locus=Brasy6G180200 ID=Brasy6G180200.1.v1.1 annot-version=v1.1 MAGNQQMPSVQLNDAEVIDAKPLRTLTPMFPAPLGMHTFTPQNSSSVVCVTPFGPYAGGTELGMPTGIPPMFASPSAPAYPNQTQPYVVHMNGVANANGIANNTTVTPVLQTPPAATTHDSGKKKRGRPRHVRDTTVPSVPPAHLVPSVPPAQLAPAVPAAHLAPSVPPVHLAPSVPSAPPEGNDIVPQAPPSAISQESGKRKRGRPKRVQDVSVLPTPSVPVADGTPLSPTPPVSSAHESVKRKRGRPKRVQDSSDTSTPPIHSKDSEPFMQTPSAATSLEDGKRKRGRPKRVPGSSLTPSSHSGLSIDVDGGEKIAVRGRPRKIDTNLLHLPSLSSDDPRESADNVLMMFDALRRRLMQLDEVKQVAKQQQNLKAGSIMMSAELRVNKDKRIGEVPGVEVGDMFYFRIEMCLVGMNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYENTEDDPDVLVYAGHGMSGKDDQKLERGNLALERSMHRGNPIRVIRSVKDVTCSAGKIYIYDGLYKIREVWVEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILQDISYGVERKPVCLVNEVDDEKGPSHFTYTTKLNYVDALSSMRKMQDCKCASVCLPGDNNCSCMHRNAGDLPYSVSGVLVSRMPMLYECNDSCTCQHNCRNRVVQKGTQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNSVDAEDDYIFETRPSEQNLRWNYAPELLGEPSLSDLSESSKQLPLIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQQGINSGRRRSKNCLCWSRKCRASFG* >Brasy6G238400.1.p pacid=40048025 transcript=Brasy6G238400.1 locus=Brasy6G238400 ID=Brasy6G238400.1.v1.1 annot-version=v1.1 MASATSMNMPVLLSTLLVLTIGLTLNLPASGAGEGDEQFLYTGFAGRNIILDGAATITLGGLIELTNETFRIKGHAFHPEPMSFRRSPNGTVQSFSVSFVFGIMSSFGDIRGHGFTFFVAPSTDLSAAYTIQFLGLFNDKNNGSSSNHIFAVELDTIQNTEFGDIDNNHVGIDINSLNSVNSSTAGFYNDSKSSMLTNLPLIGGGPLQIWVDYNMNSTKIDVTLAPLGISKPVKPLLSTTSDLSNVLTEPAYLGFSSSTGLSTGHHYVLGWSFAINGAAPTIDPTKLPKLPYLGPKPQSKLLVIVLPIASALFVLAVGIVIVLMVRRHFRYREVREDWEVEYGPHRFSYKDLYCATKGFKDKYLIGVGGFGSVYKGVLPGSKLEVAVKRVSYDSKQGVKEFVAEVVSIGHLQHRNVVQLLGYCRRKGELLLVYDYMANGSLDKHLYGQEGNATLDWGQRFRIIKEIALGLLYLHEEWDRVVIHRDVKASNVLLDREMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATPLTDVFSFGIFILEVTCGQKPIKEDPEGNQLVLIDWVLHNWHKESLLDAVDTKLKGNYNISEACLALKLGLLCSHPFPNARPNMRQVMQYLDGDVTFPELLPAHFSFGMLSLMQNEGHVVDSSRTSSYPSPMMRSFGSISDFLADGR* >Brasy6G155300.1.p pacid=40048026 transcript=Brasy6G155300.1 locus=Brasy6G155300 ID=Brasy6G155300.1.v1.1 annot-version=v1.1 MVETRSMAAKKRNSGLDAVLPTELVVEIVGIVAASSTQPMADLCSLRSTCKTMYGVSKERHVGRRLALEREEGMMWHDNERYLALLEHLESADNPEANFIVGLKHIFAHHNIEQGCECLGRAAGGGHKTAAYVLGVVLHTLNDKPDLVRRCISQVEGDATEATRRTNKECKQHRQLAADAICGATWKRTGGAGPLGWAPVLPQGGGGQCTSAGGCGMSVGWAGYDVFCSDECMIRHECVELFGQVLNYHRGLHA* >Brasy6G175500.1.p pacid=40048027 transcript=Brasy6G175500.1 locus=Brasy6G175500 ID=Brasy6G175500.1.v1.1 annot-version=v1.1 MASVQEAAANNGDGRGRPRARRVLLFPLPFQGHINPMLQLADVLHGRGLAVTILHTGFNALDPALHPEFAYVPVPDGIPADVAASGSIISIILAMNAAMEASGAVREVLASVLADDAQPPAACLFIDANLLAVQKAAAALGLPTLVLRTGSAACFSCFLAYPMLHENGYLPPKEAELYTPVKELPPLRVRDLFLSSSSNHEMVRKVLARATETVRNSSGLVINTFDALETAELERIRGELDVAVVLAAGPLHMLSSRSTGSTLLREDRTCIEWLDAQATGSVLYVSFGSLASMDAGELSEVAWGLADSGQPFLWVVRRDLVRGLPGSDLPEGFDRAVEGRGKVVQWAPQQEVLAHPAVGGFWTHNGWNSTLESIGQGLPMICRPQFADQMMNTRYVEAVWGAGFELEGELERGKIEKAVRKLMKEKQGEAMREKAKELKEKVVACLESGGSSLLAVDKLIDHIFTL* >Brasy6G249500.1.p pacid=40048028 transcript=Brasy6G249500.1 locus=Brasy6G249500 ID=Brasy6G249500.1.v1.1 annot-version=v1.1 MARPAAVLAVVALAILLLATAQAKMKGISYTHEDLASEDSMWALYQRWVAYHKELVRDHGEMTRRFPAFKNNLLRIASIVDPSRTTHKEFHINVFGDRTLEENLPSSVDWRDKNAVTAVKAQADCGSCWAFATTGLVEGVTAIANKTSAFMLSEQFLLDCTTNSSCRGGNVLDALGTIKAVGGIPSAADYTPYLAGKGLCPPDLQERVVFSAHIEGWFLLAPYRVSVLKRALSLGPVVVAIGVDSDFLHWPLSHDAGTIYQGPGSKDLVHQILVVGYGRKDGYDYWILKNSWGENWGDAGYINMGFDPDRDGKEGVAGILTYPIIAYTPQ* >Brasy6G134000.1.p pacid=40048029 transcript=Brasy6G134000.1 locus=Brasy6G134000 ID=Brasy6G134000.1.v1.1 annot-version=v1.1 MSSDDALVTHSGGCHCRRVRWQAEAPAGVSACTCNCSNCSMRGVTSFTVPNARFRLQPGSEEFLTTYTFGTGTARHIFCKVCGITSFYKQRGNPGEVALSASYVDPGTLSRVEVSHFDGKNWD* >Brasy6G063400.1.p pacid=40048030 transcript=Brasy6G063400.1 locus=Brasy6G063400 ID=Brasy6G063400.1.v1.1 annot-version=v1.1 MDCKPTVTMLAVVLVFAVMNTLSKMAFNQGMHTTVLIILRQLTATIFLAPIAFFRERKTRPKMTTEIFVYLFFSALLGASLTQWLFFLGLRYTSATFACAFINMTPMFTFLMALPFKLEKLDVATGSGAAKLVGTAVGMAGAMVLALYQGPSLINNPPPSSPSSPATAAELAAAAAHGGEHRWVVGSAALVGGSVSWSLWFILQSKIGNKYPALYSGMSWMFLLSFLQMAAVGVATEKMSLHVWMATTKLQIVTVLFVGIMGSGLGFLAMSWCIEQRGPVFTTAFMPLVQIIAAGICVVALHEQLHLGSVVGSVLVVVGLYFVLWGKSHEARSKAPPLSDSKLALEEKTEHDSRIQTV* >Brasy6G001100.1.p pacid=40048031 transcript=Brasy6G001100.1 locus=Brasy6G001100 ID=Brasy6G001100.1.v1.1 annot-version=v1.1 MSEHIDLNAAADPQTQTLAPPKRGRGRPRKNPPPPPPPPPTTSSPTTDPSPFAPKDMVWGKKLTHPAWPGEVLSVAAAGTQLLVSFFGDKALAWCDAAEVRPYQAYFPVGELYDGEAEDFDAAVDASLHEFSRRVQAALTAADPPASVRRPFVPPDFISSLKDLAADRSSFSNRVQAAITEAHLRAFDKFRGFPDPPEFVLELGIPNEQALATRPPWMGLGRVQERVTATRPPRPGGAVGRGRRKSRSRTPMRTGTHARRVPPTLTPMWIWSARGPHQGAGAAPAGGREGGLGKQMLRR* >Brasy6G264300.1.p pacid=40048032 transcript=Brasy6G264300.1 locus=Brasy6G264300 ID=Brasy6G264300.1.v1.1 annot-version=v1.1 MKSRAARKPAADEDEARSKKIRSSRGGKRRRGRSSSPSGSSSGSPPRKRSRKPSSKKIVDKKSKKNKGTSRRRRRSLSPSRSASSPSSVSRSRSRRSSRHSSSSSASERSVSPPPRSRSRDARKRKGRDRDKDRKRRRVRRSRSHSSSSAHGDSSRGRSRSRSRKQRARGMRDDAGRDRIAQDYDNRHASRTKKNSIEDVDRDHEVAAIALKGVDDYEKNVELEKMGSPPSKGSHETGEISSPKDANETGEISSPKDANETGEISSPKNANETDKVLPAGGGIPEAEEDLELILRQRALENFKKFRGAAMMPGKTDSNSTGKGVLSDIPQNTVTRISEARTAVAPSQSQGNSRGDSHSAGSPELEEFENRETPWKQETSRGDRSPGILKDGDTSAPTQQQGSRLETIRSTSRIMSQDGRNGGSVMQRLGGTPASSSSVKQRLGISTGVRPAQATPRIRSVVSIPVREGLDGSTSIMTPMAHENPAPVDSGSEVRHPPVETNKLEGANGDDRNVGEASAPESSGLLLTDEGKSQVATDEGKGQAVADEGKGQAGNVDKDGSQFEKRTFSRMHDGETVQVSYKVYIPATSPRLARRKLQR* >Brasy6G230600.1.p pacid=40048033 transcript=Brasy6G230600.1 locus=Brasy6G230600 ID=Brasy6G230600.1.v1.1 annot-version=v1.1 MAPARRGPGDPAPQYGDSEELFSVELNHGGFFCGAGLNTSYVDGRIDYFDRCEDFIEQLGHDPLLCQVYWLLPGKKLGDGLRIVDRDADTLNMAAVVPKFRHFRLYLDHQDGIFTGIDLDDVCIVGSPPLPKVMTPKKPETVLMEDFYGTVPVSPQPGNKRAQNVGQRGNPRPARVVGRGSTRAAILISESDDSDSGSEWVDSDNEVDKDDDDLFDEWVDADVKGGKKATENERESDYDSEELGLPDSGKEESEP* >Brasy6G271000.1.p pacid=40048034 transcript=Brasy6G271000.1 locus=Brasy6G271000 ID=Brasy6G271000.1.v1.1 annot-version=v1.1 MAGDRPPFPNPDGEDDDHPETSGSESTREDPTLPLRQQLVGACRADDRLRPLLTLNVSCSPAENRFISHLSQHFEVSEVGLLARCLCVPLVSLRVGKVDRDGPLLCPTTIRGKLSLGLLPSSSMRLTFSGDDGHSEQLALLNNGFEVSEVGIEEISADNSGRSFLIRISESKIFYYWCAEKSKECGMELLAKMKNLLQGRPTLSDLTGISDSRLDAFVTNLHAYLLAQSAGDVKSLGSSNDFLSASSSHGQHLQAPSVVSKSSRFRASAANATKASSIYQASLSPRSSTFKDGVPRVSSTKVGREKLKRRGEWLGPLPAPLDANPLIPKGASTVSTSEVCDGECSENSLISAPSDLPLSFPLLPSLYPFATQCPLPEGSSENPFKPYYCWCPPCPSSLQYGVTSLHTPITSVELLSQPPLSSLVSNKQPPVSSLSAKLDTTDPPSLNLPSILHDPVLHLPLPTSTLVPLHGSMVSTLPLLHLPFPTSPLVPVHGSPVPTFPLLRLPLPTSLVPLHGSQVPTFTPLMSDPIVHVPAFDMCSSGQAYLVSCGPSISSAVPLLPSLNPLIPEPESLVERSARETLMRLIASTPPSSNPHLVNILPAVVTDVPESISRSTNVNMHVGVHRNDVLLSSSWGANVIGSGIAAMELHSEDEVSSGHDAHAMLSFTEFDDINGDSDQPHFRRM* >Brasy6G019600.1.p pacid=40048035 transcript=Brasy6G019600.1 locus=Brasy6G019600 ID=Brasy6G019600.1.v1.1 annot-version=v1.1 MEGQGNLDAAIEALLNVEKQCRLAGDVAGTRKAAVDIVELCHKEGAWKTLNDQIVVLSKRRGQLKQAITAMVQRAMEYIDVTPDVDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEELGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDHQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYDIPSVKDDQAKWIPVLRKICWYLVLAPHDPMQSSLLNATLDDKNLSEIPNFRLLLKQLVTMEVIQWTKLWEFFKDEYENEKNFLGGALGTKAAEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKALIAKIDRPMGIVSFRTAQDSNGVLNSWASNLEKLLDLVEKSCHQIHKETMIHKAVLKA* >Brasy6G247300.1.p pacid=40048036 transcript=Brasy6G247300.1 locus=Brasy6G247300 ID=Brasy6G247300.1.v1.1 annot-version=v1.1 MAAAQLSAMASVPQFHGLRSYSAPRSSMAMLPPSLRASRKRPQGIRCDFIGSSTNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARESGLQTGDPAGFTLADTLACGTVGHMLGVGIVLGLKNTGVLDQIVG* >Brasy6G193500.1.p pacid=40048037 transcript=Brasy6G193500.1 locus=Brasy6G193500 ID=Brasy6G193500.1.v1.1 annot-version=v1.1 MSKTGALDLASGLGGKITKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSGTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSSFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy6G223300.1.p pacid=40048038 transcript=Brasy6G223300.1 locus=Brasy6G223300 ID=Brasy6G223300.1.v1.1 annot-version=v1.1 MSSSVQFASEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLGPFHQARTAQENQVPNRGVPANNFGSYEPGSRSQRTMAMYPMLNNQQQVSPIRPPEKRQRVPSAYNRFIKEEIQRLKSSNPEISHREAFSAAAKNWAHLPRLHFGLSVVDGGGGGGGGGN* >Brasy6G227800.1.p pacid=40048039 transcript=Brasy6G227800.1 locus=Brasy6G227800 ID=Brasy6G227800.1.v1.1 annot-version=v1.1 MEWPSLAAEVVAALRSGGTEHCRSKALVVLHREEGPRHKVLLARRWVPDSEAKLPVIAVGAGRVGGEEEGAAMAGVERVPARARWAVGLGGAEGGGWDEPGPGVVIWAMELDVPEESQQGRCPVELAVAAAESAVGEDAEPRLADGGGADEVLWLLRGEAEEDLLDELLQQLGRRRRRRRGFCCARAQRTLRSQFTIFWSSVLLHGARPLDQIPERRFTRAHLSKVITFQRTG* >Brasy6G176300.1.p pacid=40048040 transcript=Brasy6G176300.1 locus=Brasy6G176300 ID=Brasy6G176300.1.v1.1 annot-version=v1.1 MNCLPTNQSHRFTRRPATKELLCCFLPMLQPESTREGGAVGVRMAAGSDRWIGEATRASCGKKQRPAGAAGNRAEANWSIWGGRAAGRYADAPARQSAAAAIFWGGGGSSSRREAASIQITASASREVGRSSMADRRRE >Brasy6G243800.1.p pacid=40048041 transcript=Brasy6G243800.1 locus=Brasy6G243800 ID=Brasy6G243800.1.v1.1 annot-version=v1.1 MQFLLILIACAVLGVLSILGSVVIVCILYRCVKKHGLPAINVNTNTGAVAPAVAVSSALYAVVPDSQIRGATMERFLSEIAGEKPIRFTSEQLSGFTNNYSARLGAGGFGTVYKGMLPNGLMVAVKRLHATHDDRTSLEQFMAEVGTIGRTHHINLVRLFGFCFDANVRALVYEYMEHGSLDSYLFNRSHAVGFPMLRAIVIGVATGLRYLHHECRQKIVHYDIKPGNILLDGGLTPKVADFGLAQLLNRADTHKTVSGMRGTPGYAAPEMWMQVGVTEKCDVYSFGILLFEIFGRRRNFDDAALESQQWFPKLV* >Brasy6G018700.1.p pacid=40048042 transcript=Brasy6G018700.1 locus=Brasy6G018700 ID=Brasy6G018700.1.v1.1 annot-version=v1.1 MDSQSPMGLYTNLLSEGYSQEAWGQNLDSPFGEQVMQSQVLTPSARANKRTRNFTDKEDEVLVAAWLHASLDPIVGTEQKNATYWKRIHEEYEVHKPEGSDRNVSSLSHRWSVVKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTPFGKEPLRK* >Brasy6G160400.1.p pacid=40048043 transcript=Brasy6G160400.1 locus=Brasy6G160400 ID=Brasy6G160400.1.v1.1 annot-version=v1.1 MGSYDDGTNFTYNDSPSVRELNLNGPVLPIRLEPLRANGWLQDMKVSSPTASPMNNGISGTLFNPVYRAWTKKYPSALNVFNKIVACGRGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADRDNVLPIYIGDDRTDEDAFKVLRDSKQGIGILVSSVPKESHALYSLVDPSEVMDFLEGLVIWKEQEATSR* >Brasy6G160400.3.p pacid=40048044 transcript=Brasy6G160400.3 locus=Brasy6G160400 ID=Brasy6G160400.3.v1.1 annot-version=v1.1 MRELNLNGPVLPIRLEPLRANGWLQDMKVSSPTASPMNNGISGTLFNPVYRAWTKKYPSALNVFNKIVACGRGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADRDNVLPIYIGDDRTDEDAFKVLRDSKQGIGILVSSVPKESHALYSLVDPSEVMDFLEGLVIWKEQEATSR* >Brasy6G160400.4.p pacid=40048045 transcript=Brasy6G160400.4 locus=Brasy6G160400 ID=Brasy6G160400.4.v1.1 annot-version=v1.1 MVFLAHCSIRFTELGLGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADRDNVLPIYIGDDRTDEDAFKVLRDSKQGIGILVSSVPKESHALYSLVDPSEVMDFLEGLVIWKEQEATSR* >Brasy6G160400.5.p pacid=40048046 transcript=Brasy6G160400.5 locus=Brasy6G160400 ID=Brasy6G160400.5.v1.1 annot-version=v1.1 MVFLAHCSIRFTELGLGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADRDNVLPIYIGDDRTDEDAFKVLRDSKQGIGILVSSVPKESHALYSLVDPSEVMDFLEGLVIWKEQEATSR* >Brasy6G160400.2.p pacid=40048047 transcript=Brasy6G160400.2 locus=Brasy6G160400 ID=Brasy6G160400.2.v1.1 annot-version=v1.1 MRELNLNGPVLPIRLEPLRANGWLQDMKVSSPTASPMNNGISGTLFNPVYRAWTKKYPSALNVFNKIVACGRGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKLLSFYQSQKHFSRLH* >Brasy6G043000.1.p pacid=40048048 transcript=Brasy6G043000.1 locus=Brasy6G043000 ID=Brasy6G043000.1.v1.1 annot-version=v1.1 MATTVAGRRLLLRCVGGRRRLLGTAAEAAPAGGEGDVIHVGKPASAAATRDETSVAMPMSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLHSRTLAMAIAAEWEYQDSDGIRPFTMPLMKLACTALERVPLTRAKIINKLMQKFHQDLVFCRSPPDDELTKGVYEKQKQKIDPILDWVNTEFGFKPVVYTSFLGGKQDEQLAKAVEIVLKDANDCELASIDAMAAAAHSLVIPLAIFRGRLGIDESIELIRLEEDHQVDKWGLVEGGHDVDIADLKVQMSSAVVFLQLSWQQ* >Brasy6G043000.2.p pacid=40048049 transcript=Brasy6G043000.2 locus=Brasy6G043000 ID=Brasy6G043000.2.v1.1 annot-version=v1.1 MATTVAGRRLLLRCVGGRRRLLGTAAEAAPAGGEGDVIHVGKPASAAATRDETSVAMPMSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLHSRTLAMAIAAEWEYQDSDGIRPFTMPLMKLACTALERVPLTRAKIINKLMQKFHQDLVFCRSPPDDELTKGVYEKQKQKIDPILDWVNTEFGFKPVVYTSFLGGKQDEQLAKAVEIVLKDANDCELASIDAMAAAAHSLVIPLAIFRGRLGIDESIELIRLEEDHQVDKWGLVEGGHDVDIADLKVQMSSAVVFLQLSWQQ* >Brasy6G060800.1.p pacid=40048050 transcript=Brasy6G060800.1 locus=Brasy6G060800 ID=Brasy6G060800.1.v1.1 annot-version=v1.1 MAELTLGVEGGEIVPGPGTNSQKDQWPVCVVGFTTASMAVALAIYKAPSGVFGDHKLAYYVSVLVAGVLGLAEVFAAVTWMSGTHHAAQLHPHHGLARRRCVLYASLVPLALVAGLGGVRLVFK* >Brasy6G234100.1.p pacid=40048051 transcript=Brasy6G234100.1 locus=Brasy6G234100 ID=Brasy6G234100.1.v1.1 annot-version=v1.1 MEGEEEIGLVLARASDLRSRISACAAAAATVRPLPPLLGAGEEDEEEEGGGEVEEGYEYAEAESLVGINDALESLELQLASLQDLQHQQRYERENILGQIDRSRTSLLNKLKEYKGEDCEVIHEAAAFAGEKIENDDGLILPPYSSHVTNSFVLDDLYPTNYMSKSMHSPSAFGYSNGTTQDGTRTNGLENRSASTSSRGSRGGIISFIGWMAKTAVMVVGAVSIMKAAGYKTAIGRGAINLDIAGFFGKEAARSKEQVPTLQCPPGKVLVLEDGRAHCVVKERVEIPFGANLASPNASYGLG* >Brasy6G010000.1.p pacid=40048052 transcript=Brasy6G010000.1 locus=Brasy6G010000 ID=Brasy6G010000.1.v1.1 annot-version=v1.1 MAAPRELELSDEVEGPQDGTADFVFRLAGDPIPVLPPASAPPPLFDLHSPPARPLAVSDRHAAVFLAHPNGFMAVRTKALIEASKEARAKGKASTRCAQDCCVADVPLPGVSLLALSRDDSVLAACTGTEIHFFSPTSLLADKDVEPSSSCSLGQSDTVKDFKWLNHDSKAYIVLSNSGLLSQGSLGEGLKDVMESVDAVDCCKDGNHIVVARENSLRILSSDLKETCCMTLLFQLWPYDSDPEDTDIKVDAIGWVRDDSIVVGCVQLNEEGNEENYVVQVVRSGGDTFFESSSKPVVFTYVDFFQGIMDDILPSGVGPNLLLGYLHRWDLMVVSNKKSINDHISLLKWPSTTDDERTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHILLCLTGEGKLIIYYLARISDPSDLPQTSLYTIEDSNVNKKNSPATVSGKDLTPSVTSPMAKSLLVEPGAEPSSAQAERGHHEPRDIKSSSSVSKNKDIASSSLSISSDQKSLSTKQVNVAFPSAPASILAPTGNIKPGMPFSFSTANNVGLNSTGSKGSSELVFSSQPNSSSTSVNSQLGKGGFDLTKPLGSFGGSQNTAKDGGSSSFKSSVFTSDGLVPFKAAERNEATSFGSYPPQTSYTTERKVLGSSAGLSSMSSPLISPNKPTPVGSSSTGFATGNLEAVATSRVSPSPQQTIGKPHSSRAHTSPDSRSFKLGNTEQDLSKKFYSINDMTEELDTLLAYIEKDGGFIDACITSQQHPLSVLEGDLQNFMELLQVFKNKVEEKCSKTEDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNISSPSKKRGTVTKELFESIGLAHPSDAPKFSAGTPSKLIQGRSSTKEHTKSMLGPSKIAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKVSSDLPFRSNKKMFDSQMAALSQENFSGSSSSSIVESYASRLHSPSEVLDEKTKSSGPQHNSLFKWAKEPAGSSQGSEQKHLELPGQLRSAGQPSKLAPSSPVSFSYKQKDARDSISSSNVASFGTTHAMPKSNSLTFKTTLTPANARPDMLPSMTSSLPVKTLSGESGTGSTLTTKSRHGDQAISSVEITKGLGVSPQNMGGIFRDLNKPSLSSEPSDPAVVHGKPFRLGGVGDTVQSSVKATPQVAPQPHAFSPTSQSSSFSINLGASSSVAPPTSTMQASLAKTSDVSSPAVSSIFSPQKSIPETSPTVHEGTVSSSPPSIPTLVKESSSGLSKNESKPEVTSEVTGTTVSAIATSTGIPTTESKTSLLPVTSGSLPSNPPSAPKIVPAEPAAVTSTRKDAGPSNLSSDEDDMEEESLSGKADLNLGALSGFGLGSAPSSSPKKSNPFGSSFGTSDNKSSGSPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSTFSSTFSSGLSGFGQPAQVGSGQQSGFGQSAQIGAGQQAGFGQPAQIQSGFGQPTQIQSGFGQSAQIGAAQQTGFGQPAQIGGGQQSGFGQPAQFGGQQALGSVLGSFGQSRQLGGFGGFAGSSSGALASVPSSNSGFAGAAAGGSFSAAAPSVGGGFAAAATSGGFASLASKSGGFAAAASSAGGFGGAVQGGGFGGGGFGAFGGNNQGAAGFSAFGASGSAGPGRPPADFLTQMRK* >Brasy6G010000.3.p pacid=40048053 transcript=Brasy6G010000.3 locus=Brasy6G010000 ID=Brasy6G010000.3.v1.1 annot-version=v1.1 MAAPRELELSDEVEGPQDGTADFVFRLAGDPIPVLPPASAPPPLFDLHSPPARPLAVSDRHAAVFLAHPNGFMAVRTKALIEASKEARAKGKASTRCAQDCCVADVPLPGVSLLALSRDDSVLAACTGTEIHFFSPTSLLADKDVEPSSSCSLGQSDTVKDFKWLNHDSKAYIVLSNSGLLSQGSLGEGLKDVMESVDAVDCCKDGNHIVVARENSLRILSSDLKETCCMTLLFQLWPYDSDPEDTDIKVDAIGWVRDDSIVVGCVQLNEEGNEENYVVQVVRSGGDTFFESSSKPVVFTYVDFFQGIMDDILPSGVGPNLLLGYLHRWDLMVVSNKKSINDHISLLKWPSTTDDERTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHILLCLTGEGKLIIYYLARISDPSDLPQTSLYTIEDSNVNKKNSPATVSGKDLTPSVTSPMAKSLLVEPGAEPSSAQAERGHHEPRDIKSSSSVSKNKDIASSSLSISSDQKSLSTKQVNVAFPSAPASILAPTGNIKPGMPFSFSTANNVGLNSTGSKGSSELVFSSQPNSSSTSVNSQLGKGGFDLTKPLGSFGGSQNTAKDGGSSSFKSSVFTSDGLVPFKAAERNEATSFGSYPPQTSYTTERKVLGSSAGLSSMSSPLISPNKPTPVGSSSTGFATGNLEAVATSRVSPSPQQTIGKPHSSRAHTSPDSRSFKLGNTEQDLSKKFYSINDMTEELDTLLAYIEKDGGFIDACITSQQHPLSVLEGDLQNFMELLQVFKNKVEEKCSKTEDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNISSPSKKRGTVTKELFESIGLAHPSDAPKFSAGTPSKLIQGRSSTKEHTKSMLGPSKIAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKVSSDLPFRSNKKMFDSQMAALSQENFSGSSSSSIVESYASRLHSPSEVLDEKTKSSGPQHNSLFKWAKEPAGSSQGSEQKHLELPGQLRSAGQPSKLAPSSPVSFSYKQKDARDSISSSNVASFGTTHAMPKSNSLTFKTTLTPANARPDMLPSMTSSLPVKTLSGESGTGSTLTTKSRHGDQAISSVEITKGLGVSPQNMGGIFRDLNKPSLSSEPSDPAVVHGKPFRLGGVGDTVQSSVKATPQVAPQPHAFSPTSQSSSFSINLGASSSVAPPTSTMQASLAKTSDVSSPAVSSIFSPQKSIPETSPTVHEGTVSSSPPSIPTLVKESSSGLSKNESKPEVTSEVTGTTVSAIATSTGIPTTESKTSLLPVTSGSLPSNPPSAPKIVPAEPAAVTSTRKDAGPSNLSSDEDDMEEESLSGKADLNLGALSGFGLGSAPSSSPKKSNPFGSSFGTSDNKSSGSPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSTFSSTFSSGLSGFGQPAQVGSGQQSGFGQSAQIGAGQQAGFGQPAQIQSGFGQPTQIQSGFGQSAQIGAAQQTGFGQPAQIGGGQQSGFGQPAQFGGQQALGSVLGSFGQSRQLGGFGGFAGSSSGALASVPSSNSGFAGAAAGGSFSAAAPSVGGGFAAAATSGGFASLASKSGGFAAAASSAGGFGGAVQGGGFGGGGFGAFGGNNQGAAGFSAFGASGSAGPGRPPADFLTQMRK* >Brasy6G010000.4.p pacid=40048054 transcript=Brasy6G010000.4 locus=Brasy6G010000 ID=Brasy6G010000.4.v1.1 annot-version=v1.1 MESVDAVDCCKDGNHIVVARENSLRILSSDLKETCCMTLLFQLWPYDSDPEDTDIKVDAIGWVRDDSIVVGCVQLNEEGNEENYVVQVVRSGGDTFFESSSKPVVFTYVDFFQGIMDDILPSGVGPNLLLGYLHRWDLMVVSNKKSINDHISLLKWPSTTDDERTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHILLCLTGEGKLIIYYLARISDPSDLPQTSLYTIEDSNVNKKNSPATVSGKDLTPSVTSPMAKSLLVEPGAEPSSAQAERGHHEPRDIKSSSSVSKNKDIASSSLSISSDQKSLSTKQVNVAFPSAPASILAPTGNIKPGMPFSFSTANNVGLNSTGSKGSSELVFSSQPNSSSTSVNSQLGKGGFDLTKPLGSFGGSQNTAKDGGSSSFKSSVFTSDGLVPFKAAERNEATSFGSYPPQTSYTTERKVLGSSAGLSSMSSPLISPNKPTPVGSSSTGFATGNLEAVATSRVSPSPQQTIGKPHSSRAHTSPDSRSFKLGNTEQDLSKKFYSINDMTEELDTLLAYIEKDGGFIDACITSQQHPLSVLEGDLQNFMELLQVFKNKVEEKCSKTEDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNISSPSKKRGTVTKELFESIGLAHPSDAPKFSAGTPSKLIQGRSSTKEHTKSMLGPSKIAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKVSSDLPFRSNKKMFDSQMAALSQENFSGSSSSSIVESYASRLHSPSEVLDEKTKSSGPQHNSLFKWAKEPAGSSQGSEQKHLELPGQLRSAGQPSKLAPSSPVSFSYKQKDARDSISSSNVASFGTTHAMPKSNSLTFKTTLTPANARPDMLPSMTSSLPVKTLSGESGTGSTLTTKSRHGDQAISSVEITKGLGVSPQNMGGIFRDLNKPSLSSEPSDPAVVHGKPFRLGGVGDTVQSSVKATPQVAPQPHAFSPTSQSSSFSINLGASSSVAPPTSTMQASLAKTSDVSSPAVSSIFSPQKSIPETSPTVHEGTVSSSPPSIPTLVKESSSGLSKNESKPEVTSEVTGTTVSAIATSTGIPTTESKTSLLPVTSGSLPSNPPSAPKIVPAEPAAVTSTRKDAGPSNLSSDEDDMEEESLSGKADLNLGALSGFGLGSAPSSSPKKSNPFGSSFGTSDNKSSGSPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSTFSSTFSSGLSGFGQPAQVGSGQQSGFGQSAQIGAGQQAGFGQPAQIQSGFGQPTQIQSGFGQSAQIGAAQQTGFGQPAQIGGGQQSGFGQPAQFGGQQALGSVLGSFGQSRQLGGFGGFAGSSSGALASVPSSNSGFAGAAAGGSFSAAAPSVGGGFAAAATSGGFASLASKSGGFAAAASSAGGFGGAVQGGGFGGGGFGAFGGNNQGAAGFSAFGASGSAGPGRPPADFLTQMRK* >Brasy6G010000.2.p pacid=40048055 transcript=Brasy6G010000.2 locus=Brasy6G010000 ID=Brasy6G010000.2.v1.1 annot-version=v1.1 MESVDAVDCCKDGNHIVVARENSLRILSSDLKETCCMTLLFQLWPYDSDPEDTDIKVDAIGWVRDDSIVVGCVQLNEEGNEENYVVQVVRSGGDTFFESSSKPVVFTYVDFFQGIMDDILPSGVGPNLLLGYLHRWDLMVVSNKKSINDHISLLKWPSTTDDERTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHILLCLTGEGKLIIYYLARISDPSDLPQTSLYTIEDSNVNKKNSPATVSGKDLTPSVTSPMAKSLLVEPGAEPSSAQAERGHHEPRDIKSSSSVSKNKDIASSSLSISSDQKSLSTKQVNVAFPSAPASILAPTGNIKPGMPFSFSTANNVGLNSTGSKGSSELVFSSQPNSSSTSVNSQLGKGGFDLTKPLGSFGGSQNTAKDGGSSSFKSSVFTSDGLVPFKAAERNEATSFGSYPPQTSYTTERKVLGSSAGLSSMSSPLISPNKPTPVGSSSTGFATGNLEAVATSRVSPSPQQTIGKPHSSRAHTSPDSRSFKLGNTEQDLSKKFYSINDMTEELDTLLAYIEKDGGFIDACITSQQHPLSVLEGDLQNFMELLQVFKNKVEEKCSKTEDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNISSPSKKRGTVTKELFESIGLAHPSDAPKFSAGTPSKLIQGRSSTKEHTKSMLGPSKIAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKVSSDLPFRSNKKMFDSQMAALSQENFSGSSSSSIVESYASRLHSPSEVLDEKTKSSGPQHNSLFKWAKEPAGSSQGSEQKHLELPGQLRSAGQPSKLAPSSPVSFSYKQKDARDSISSSNVASFGTTHAMPKSNSLTFKTTLTPANARPDMLPSMTSSLPVKTLSGESGTGSTLTTKSRHGDQAISSVEITKGLGVSPQNMGGIFRDLNKPSLSSEPSDPAVVHGKPFRLGGVGDTVQSSVKATPQVAPQPHAFSPTSQSSSFSINLGASSSVAPPTSTMQASLAKTSDVSSPAVSSIFSPQKSIPETSPTVHEGTVSSSPPSIPTLVKESSSGLSKNESKPEVTSEVTGTTVSAIATSTGIPTTESKTSLLPVTSGSLPSNPPSAPKIVPAEPAAVTSTRKDAGPSNLSSDEDDMEEESLSGKADLNLGALSGFGLGSAPSSSPKKSNPFGSSFGTSDNKSSGSPFTLTTSPGQLFRPASLSIPSAQPAQPSQSTSSSTFSSTFSSGLSGFGQPAQVGSGQQSGFGQSAQIGAGQQAGFGQPAQIQSGFGQPTQIQSGFGQSAQIGAAQQTGFGQPAQIGGGQQSGFGQPAQFGGQQALGSVLGSFGQSRQLGGFGGFAGSSSGALASVPSSNSGFAGAAAGGSFSAAAPSVGGGFAAAATSGGFASLASKSGGFAAAASSAGGFGGAVQGGGFGGGGFGAFGGNNQGAAGFSAFGASGSAGPGRPPADFLTQMRK* >Brasy6G019800.1.p pacid=40048056 transcript=Brasy6G019800.1 locus=Brasy6G019800 ID=Brasy6G019800.1.v1.1 annot-version=v1.1 MPQRRCRVPDEFAYAQMVDSLCRAGQHHGASRVVYVMGKRGVCPSTVSYNCIVHGLCTSQKPGGRLRAYQLLMEGVRFGYRPREVTYKVLVEELCREKEVAKAKDVLELMLQPQCGHDKPDDETRTRLYNMFLGALHAVDNPSEQLGVLMSMLQEECTPDVITMNTVVHGFCKVGRVQEARRILDDMINGKFCPPDVVTFTTLISGYLDVGEHAEALHVLHTLMPRRRCSPNVVTYNSVLKGLFCLGLVDTAMQVIDEMKLNNVVADSVTYTVVIKGLCDAGQLEKAKGFWDNVVWPSGIHDGYVYSAIFRGLCKLGKLEQACDFLYELVDCGVFPGVVCYNILVDTACKQGLKKLAYQLVKEMRRNGLSPDAVTWRILDKLHLYGNEEQDREHRPPTCNMNQSSGDDRVEPPILTKNEIPPLLSLSSSEHLDEVRKNNNDAKVEQVGYLPDITESSSDLTESAKGKDYLMDNAAIETTMEKVYTIKNDALTKQDKQPSRESLSAVARKVFGLL* >Brasy6G098100.1.p pacid=40048057 transcript=Brasy6G098100.1 locus=Brasy6G098100 ID=Brasy6G098100.1.v1.1 annot-version=v1.1 MGNCVPSGDTAVAASVAEDGKRRRRRWKAPREDQLGTVPGRIFANDGRSRTASIFTQQGRKGINQDAMLIWDGFGGEDDGVLCGVFDGHGPHGHLVARRVRDSLPLRLMSAARASAKNGQDMTDAAWRKAFARAFKAMDKDLRSHPSLDSFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDAIAGGMVAVQLTVDLKPDVPSEAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQQAVDIVSSSPSRSKAAKTLVEAATREWKTKYPTSRTDDCAVVCLYLDGKMDHERDSTASMDNISIDDCSVADPNEAPEQEPTLTRNFTVRTVPGSAHEKALAGVDTKLSGAADDHNWSGLDGVTRVNSLVQLPRFSEKAIG* >Brasy6G022000.1.p pacid=40048058 transcript=Brasy6G022000.1 locus=Brasy6G022000 ID=Brasy6G022000.1.v1.1 annot-version=v1.1 MPIMNKYVSGLGEGKAMYLLFVKAETLTNSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLIERHHVLRLGAVFASAFLRSVSFLEQHWRDLVNDIRIGKLNSNVTNTACRLAMVGFLALPNPELADELEEICSCGPWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYSGGRIPLACTMYASSESYFGVNLRPLCNPTDVSYTILPNMAYFEFIPLEDGLRVTDDEDVVENDKLVSLVDVKAGCYYELVVTTFSGLYRYRVGDVLQVTGFYNCAPQFKFICRRNVILSIDSDKTNEEDLHNSVTRAKKILEDRNYILLEYTSYADTSTVPGHYVLFWEIKSTCEGGSPLDAQLLESCCASVEESLDYIYRRCRAHDKSVGPLEIRLVEAGAFDALMDLLVSQGSSINQYKTPRCIESGLALKVLNSKVIASFFSPQDPQWAM* >Brasy6G041500.1.p pacid=40048059 transcript=Brasy6G041500.1 locus=Brasy6G041500 ID=Brasy6G041500.1.v1.1 annot-version=v1.1 MNSILSNTNRILGYELKQLPTSTIILYQVLSPVATTAGKCSMRNKWAKAAIFVLCAFSFFTDSYVDDADGKVYYGIVTCKGLWTYGCGDGEDNNARPRRDLSRYRLRLTDFFHAFFSVLVYAAVVLASDAGTVACFYPAFKAKRPEWVMALPFLTSFVASLVFGSSKSPSMRHGIGYPPPANAPVELQPAGNAAANAPVELQPARERGRERAGGIAAGRERGRVAAARRRPGLIGRAIGRARFACSVTTPPRDCVDLLPYVLQTFCVHLVC* >Brasy6G037300.1.p pacid=40048060 transcript=Brasy6G037300.1 locus=Brasy6G037300 ID=Brasy6G037300.1.v1.1 annot-version=v1.1 MSSSSAAPMSPSRGAAEEEDEEGSARTSDGTGGGGTVFSYGEAGYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPASRLLMIGCGSALISEDMVADGYADIMNIDISSVVIEMMRKKYFSIPQLQYMQMDVRDMSIFADESFDCAIDKGTLDSLMCGVEAPLSAARMVLEVDRLLKPGGVFMLITYGDPSARVPHLSQPVCNWKIVLYILPRPGFKGKAKRSVFDPVPLTESGRLPDGFIPEDPDSHYIYVCKKVQGSTGTGSPTSNHLEAQESE* >Brasy6G037300.2.p pacid=40048061 transcript=Brasy6G037300.2 locus=Brasy6G037300 ID=Brasy6G037300.2.v1.1 annot-version=v1.1 MSSSSAAPMSPSRGAAEEEDEEGSARTSDGTGGGGTVFSYGEAGYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPASRLLMIGCGSALISEDMVADGYADIMNIDISSVVIEMMRKKYFSIPQLQYMQMDVRDMSIFADESFDCAIDKGTLDSLMITYGDPSARVPHLSQPVCNWKIVLYILPRPGFKGKAKRSVFDPVPLTESGRLPDGFIPEDPDSHYIYVCKKVQGSTGTGSPTSNHLEAQESE* >Brasy6G253800.1.p pacid=40048062 transcript=Brasy6G253800.1 locus=Brasy6G253800 ID=Brasy6G253800.1.v1.1 annot-version=v1.1 MAAGIVGSVVAQEALSRGVSYVLAKLEERSSMEDTLERLEWAHSELAFVLERSSKLPITDVSLLGQRRSIKRVYHQCDELWRNKRRALNNNQEAEQGIITIAQSSSSFPVVKNFALTAVRSISSFFGMKKDELSRSTVRRFELFADKAGKFMRDVESGCSLAHYRFFNPFITQLLQGIALEYKMVQAGRIHDLFICPVRSEEHGVVALLWFYSHDKITEKSFSIQLLLRLSEDMDILRIAIGCLQSLGPQFKPLTQFAIGELSQLPTQDLTHSHTDHSNFTSYLVGTYRPDPLCCIANQQRPCANNVIPPELSHRFPEQVIDMSFNCEFLASDYNMQVSASEYERNDVNDWEPLLSVFAHFMPHYVDKNREMYAWERIGKQEEHMNVATIHRMEEMVLTNAITCFLSESELTIYGVCWASLHGAAGILVQKTEEKFRRETT* >Brasy6G253800.2.p pacid=40048063 transcript=Brasy6G253800.2 locus=Brasy6G253800 ID=Brasy6G253800.2.v1.1 annot-version=v1.1 MAAGIVGSVVAQEALSRGVSYVLAKLEERSSMEDTLERLEWAHSELAFVLERSSKLPITDVSLLGQRRSIKRVYHQCDELWRNKRRALNNNQEAEQGIITIAQSSSSFPVVKNFALTAVRSISSFFGMKKDELSRSTVRRFELFADKAGKFMRDVESGCSLAHYRFFNPFITQLLQGIALEYKMVQAGRIHDLFICPVRSEEHGVVALLWFYSHDKITEKSFSIQLLLRLSEDMDILRIAIGCLQSLGPQFKPLTQFAIGELSQLPTQDLTHSHTDHSNFTSYLVGTYRPDPLCCIANQQRPCANNVIPPELSHRFPEQVIDMSFNCEFLASDYNMQVSASEYERNDVNDWEPLLSVFAHFMPHYVDKNREMYAWERIGKQEEHMNVATIHRMEEMVLTNAITCFLSESELTIYGVCWASLHGAAGILVQKTEEKFRRETT* >Brasy6G213000.1.p pacid=40048064 transcript=Brasy6G213000.1 locus=Brasy6G213000 ID=Brasy6G213000.1.v1.1 annot-version=v1.1 MWDYCGTRDDQPPIHVDLVLVDDKGNAIYAEIPGSEATRFKKRIMERVVYSFRKFLVAPSKSSYKPFPARYMLKCGWTDVGVSVLTYVRVSMNSSESAKRVITLRDERNTEMKPVLWGQRAEQFEAELVHQMGQESAVVGVFVGVLVKSYNNEETLSGGAACRWYLNEDLPEVNSYFERFDDNFQKVEWISTGAEKFTPVRSRAELPLKTVASMYGSEYKCSAGCVSPKAYPK* >Brasy6G007100.1.p pacid=40048065 transcript=Brasy6G007100.1 locus=Brasy6G007100 ID=Brasy6G007100.1.v1.1 annot-version=v1.1 MSSKMLASLTILIMATAAGLLSAAAMLPPLEGQAGALLVWKATLNNQSQLALHSWRNMSSSPCSWHGVRCGAHIMHQHQRRPLITGVSLPGMRLRGTLESLDFPALRTLRSLDLSDNELVGSIPSSIEILVKLRALLLQGNQIRGSIPPALANLVKLRSLVLSDNQVSGGIPSQIGKMSQLLELNFSCNHLVGPIPPEIGHLKHLSTLDLSKNNLSNSIPTNVGDLTKLTILYLDQNQLSGYVPVEIGYLVNLESLALSNNLITGPIPTNLCNLTNLVSLYIWDNRLSGNIPQELGDLVNIEYLEISENTLTGPIPNSLGNLTKLTWLFLRRNQLSGDLPRELGYLPNLETVMLHTNKLTGSIPSIFGNLTKLINLDLYDNQLSGGIPWELGYLVNLEEMALENNTLTDPIPYSLGNLTKLTKLYLYNNQICGPIPHELGYLIKLEEMALQNNTLTGPIPYILGNLTKLTTLNLFGNQLSQDIPQELGNLVNLEALRLHGNKLTGSIPDSLGNLTKLTTLYLHDNQLSGNLPRDLGNLINLEDLRLSYNKFIGSIPSILGNLTDLSTLYLGSNQLSASIPEELGNLANLECLNLGENPLSGSIPNSLGNLTKLITLNLVQNQLSGSIPQEISKLTSLVKLELAYNNLSGVLPSGLCAGGLLKNFTAAGNNLIGPLPSSLLSCTSLVRFRIDGNQLEGDISGMEVYPNLVYIDISSNKLSGQFSHRWGECCKLTLLRASKNNIAGGIPPSIGQLSELRELDVSSNKLEGQMPREIGNISMLFKLVLCGNLLHGNIPHEIGSLTNLEYLDLSSNNLTGPIPRSIEHCLKLQFLKLNHNHLDGTIPMELGMLAYLQILLDLSDNLFDGTIPSQLSGLQKLEALNLSHNALSGSIPPSFQSMVSVISMDVSYNKLEGPVPQSRLFEEAPIEWFVHNKQLCGVVKGLSLCEFTQSGGHKRNYKAILLATIPVFVAFLVITLLVTWQCRKDKSKNTSLDELQHTNSFAIWNFDGEDVYKNIVDATENFSDAYCIGIGGNGSVYKAQLSTGEMFAVKKIHVMEDDELFNREIRALVHIRHRNIAKLFGFCSSAHGRFLVYEYMDRGSLATNLKSQETAAELDWMRRLNIVMDVAHALSYMHHDCFAPIVHRDITSNNILLDLEFKACISDFGIAKILDMDSSNCTRLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGEFLSSLSSTARKSVLLKDMLDTRLPIPEAVFPRQIFEAITVAARCIEANPLLRPAMQDAIKVLSMNGGPSDLDYLHTEIVIPACWL* >Brasy6G028500.1.p pacid=40048066 transcript=Brasy6G028500.1 locus=Brasy6G028500 ID=Brasy6G028500.1.v1.1 annot-version=v1.1 MGRILRMAPSAAAAMAMVAMLCAAAVVRGADTGDDVLDGDAPGASFIFGDSLVDAGNNNYLSTLSKADMSPNGIDFAASGGSPTGRFTNGRTIADIIGEMLGQADYSPPYLAPNTTGGALLNGVNYASGGAGILNGTGRVFVNRVGMDIQVDYFNATRRQLDDLLGADRARRFVRKKAIFSITVGSNDFLNNYLMPVLSAGTRVAESPEGFIDDLIIHLRQQLTRLHALDARKFVVANVGPLGCIPYQKTLNRVAEGECVKLPNTLAATYNGKLRDLLIELNSGDGGLPGGRFCLANVYDLVMELIANHGKYGFKTASVACCGNGGRYAGIVPCGPTSSMCDDREAHVFWDPYHPSEKANVLLAKYIVDGDSKYISPMNLRKLFAL* >Brasy6G168800.1.p pacid=40048067 transcript=Brasy6G168800.1 locus=Brasy6G168800 ID=Brasy6G168800.1.v1.1 annot-version=v1.1 MGGITSAELNFLVFRYLQESGFVHAAFTLGYEAGIHKGGTDGNVVPPGALITIVQKGLQYIELEANTDENDEEVEKDFALLEPLEIITKDVEELQQIVKKRKRERLQIDLEKEKGKETECIEEHEQHPGGEREREHHDKEKEQEREKDKTERDKAQEKEKEREKQHTERTDKLKHEEDSHAGGGPTPMDVSTGHEIFSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSIQSSPTSVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGDLKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNTSFATCSTDNMIYVCKIGDARPVKSFSGHQSEVNAIKWDPTGCLLASCSDDWTAKIWSLKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSSIKLWEVEHGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQSLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM* >Brasy6G186800.1.p pacid=40048068 transcript=Brasy6G186800.1 locus=Brasy6G186800 ID=Brasy6G186800.1.v1.1 annot-version=v1.1 FNTRKATPPAILGFGLWQLLKRRVGEAAAYRHFLGPAPTGWLHHDVALVTLCRNMEATICFRLRCTMADSFVVMAATIVM* >Brasy6G014400.1.p pacid=40048069 transcript=Brasy6G014400.1 locus=Brasy6G014400 ID=Brasy6G014400.1.v1.1 annot-version=v1.1 MAANLLRRPQSAAAVSPPIPGNPASGWRDWTALDKCYVRRIAEHALSNDVADYVHLRATCNPWRKNTEDPKLSPILDPRFHPRQWIVLPADDSAGDDNEARRRMVNISHGAKYVVRLPSISGHAIAASSGGAPGGIMVLINDCTAAIRLLNPITGQTADLPSVEPVMSRARTGRLDRGFHELCKVTGAGFIGDHTLVLHFGRDDRLVALRLDGGRWEMVSRGTVLSSAFSLNGRVYFADTDALMFVDLDKPAMRRLRRAAAWPEIDTETVVHMADDGAGRLLVIINRLHFKKEGRWEDDRDYTVEFDVFQLDAEARKLVPVRSLGNRALFVGNYCGVLVVPASAAAPGTIVHNSIYFQHNGHPTFFVRRLLPRNNDSLAIEAPKGSFLDDLTSYVKWNGRKTIVAPAASIYGRV* >Brasy6G025100.1.p pacid=40048070 transcript=Brasy6G025100.1 locus=Brasy6G025100 ID=Brasy6G025100.1.v1.1 annot-version=v1.1 MAGTEAPPCKTRRATAMEDHLPVELLSEILRRLRRRDLAVCRGVRSDWRDTIDADGLLPPASPPLTPRGIFVNYMGARRWSAFFSRPGPSSIPVDGALGRFVPGRPTGHRGVLDHRAGLLLYENMTRLHVCNPATRRCATLPPPPLAAPPPLYRRRMHLVFDPAASLHYRVLFLPDAPDYQWHDHAAAMAKEEWPPRAFPVQAFSSRTGQWDDETFTREQDTAAAATTTVSDVWSEHPTKPTSGANAFRRHSVCRGGALYVHCRGGFLVKLSLQQATYRVIRAPSAKLLLPDDDAAAECAPGRRRRFIQTFYGYLAKSKDDICYTAIHGYQIQVWTLREAQAAAEDRWEVRHPSTNLEAAFARLHHRRRRCNDAGSWILNPREEQQSGQEEHGWDSDNDGGAAEEEDDKEEGAMVGSRLQGFRDDQHHYHGMEFLGYHPCKEIVFLGSSFSGFAYHLESSRLEYLGSLFPASGLDLDRLPLRAPALESFVYTPCIYDSLPASSLADSPRFVPDPPPSAEELSTSPPSPASTARRPRAGMASPGSAGSAAGRYNSFGGERGLRRAAPRSAPSPSDPSDRLPRSVPSLACPPLPSPLAVSWASV* >Brasy6G108000.1.p pacid=40048071 transcript=Brasy6G108000.1 locus=Brasy6G108000 ID=Brasy6G108000.1.v1.1 annot-version=v1.1 MTQEGAQQAPVNFWGEHPATEAEYYASQGAEGESSYFTAPGDGAGRARRLFTRAWRPAGAGGTPPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPALDPAVADLLDFFRSVKRREEHAGLPCFLFGESMGGAICLLIHLRTPPGEWAGAVLVAPMCRISDRIRPPWPLPQILTLVARFAPTLPIVPTADLIEKSVKVPAKRLVSARNPMRYSGRPRLGTVTELLRATEELGARLGEVSIPFLVVHGSADEVTDPAVSRALYEAAASKDKTLKMYNGMLHSMLFGEPDENIERVRGDILAWLNERCTPAATS* >Brasy6G269200.1.p pacid=40048072 transcript=Brasy6G269200.1 locus=Brasy6G269200 ID=Brasy6G269200.1.v1.1 annot-version=v1.1 MSLPSSSSASAAALGPRRRRTRHRRHNAAARLVGATATARGLAAGLWRLRHAERQAVVSHHHHHGCPHSPRSSYRRKAAKLCNFGVGIQCRNHCILDKIDACVVEMELPASYEYSYDAGASSSSMEKATKWDRLHNDPRRLPAHAHRHATAALMADELAKARALITELEHDKRATRKKLDRFLQKLAEEKASWKRRARRKVAALQEELAAERRHRRELESANARLMGKEELPEPKKWKQRYEAERAAREVMERACAELGKEAEEDRAEVELLRRELVGLREEMEEERRMLQMAELWREERVQMKLADARVALEQKYAQINRLQAEMEAFLLRRRRGSSGGSSVMREAKLLGETAAAASVRHELGCRSRSHGRSGREDEEDRVLFEHFRRKEQSAAAAGNGGGSPAARSNVHSVSPATDIFLEKLDDSDGGHRRRGSCASAGTSGSSSDSRSLPVGARGSPLLSNGKAGRLEQGADHRHRHLPEAAAAASSSGAGNGSGKKNTALIQRIWRSAISESRKLKSSSDHKAAAAATLPPVAAAELCIASSSAAMSSPCSYSHSQQRRSEHHQKQKQKLKLKQISLQEKLLEARIDDQKE* >Brasy6G269200.2.p pacid=40048073 transcript=Brasy6G269200.2 locus=Brasy6G269200 ID=Brasy6G269200.2.v1.1 annot-version=v1.1 MELPASYEYSYDAGASSSSMEKATKWDRLHNDPRRLPAHAHRHATAALMADELAKARALITELEHDKRATRKKLDRFLQKLAEEKASWKRRARRKVAALQEELAAERRHRRELESANARLMGKEELPEPKKWKQRYEAERAAREVMERACAELGKEAEEDRAEVELLRRELVGLREEMEEERRMLQMAELWREERVQMKLADARVALEQKYAQINRLQAEMEAFLLRRRRGSSGGSSVMREAKLLGETAAAASVRHELGCRSRSHGRSGREDEEDRVLFEHFRRKEQSAAAAGNGGGSPAARSNVHSVSPATDIFLEKLDDSDGGHRRRGSCASAGTSGSSSDSRSLPVGARGSPLLSNGKAGRLEQGADHRHRHLPEAAAAASSSGAGNGSGKKNTALIQRIWRSAISESRKLKSSSDHKAAAAATLPPVAAAELCIASSSAAMSSPCSYSHSQQRRSEHHQKQKQKLKLKQISLQEKLLEARIDDQKE* >Brasy6G269500.1.p pacid=40048074 transcript=Brasy6G269500.1 locus=Brasy6G269500 ID=Brasy6G269500.1.v1.1 annot-version=v1.1 MGKQHGRRYGSERLLHGPLVHQVSSNGAGDQQLADLDEEDVWSVLDYSTASCHKHNRGLLQQPELQEHRRGGLSLAFEACAAGTPAAARHHVGGAGASSAPLKVPAEQWLAGRSSFPSSSSCRREEEEEAAGEWVAPHEYLQARRGSSSVFQGVGRTLKGRDLSRVRDAVWSNTGFLG* >Brasy6G223200.1.p pacid=40048075 transcript=Brasy6G223200.1 locus=Brasy6G223200 ID=Brasy6G223200.1.v1.1 annot-version=v1.1 MAGEEQSGPPPAVERVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLRLECAGGGDSRVLNELSYAVLWRLAERQLGLGLSVVVDSPLSRRTHLDALARLPAALVVVVECRPGDEDEWRRRLEERGATLADGDGWHKPKTWDELESLMEGYQGCTDYDIGDVPRIVVDTTDPTVGAEAIAARVEDFIRSLLARAH* >Brasy6G004500.1.p pacid=40048076 transcript=Brasy6G004500.1 locus=Brasy6G004500 ID=Brasy6G004500.1.v1.1 annot-version=v1.1 MIFYAYLTLDINLLVSSFMNNPLLRTAQTECGQENTDGVSSQTCVSSFRGVNEKLEHVRLQLLNCRFASGVSLDDVVHLDVMPQSKCGRAMWFCSSLCFYLYVLRCWIFWTSLQGLYSGRSGWGFCIREEHDTVQGAGMGGLSNMADALHTESEACLMALQFAADAGMGRLVLETDAITLMQALKSNGCNWSRKGVIFREAYFLMLHSLAGSTKSDMMKYVHFSLRNCSLNF* >Brasy6G004500.2.p pacid=40048077 transcript=Brasy6G004500.2 locus=Brasy6G004500 ID=Brasy6G004500.2.v1.1 annot-version=v1.1 MFFIFQCGQENTDGVSSQTCVSSFRGVNEKLEHVRLQLLNCRFASGVSLDDVVHLDVMPQSKCGRAMWFCSSLCFYLYVLRCWIFWTSLQGLYSGRSGWGFCIREEHDTVQGAGMGGLSNMADALHTESEACLMALQFAADAGMGRLVLETDAITLMQALKSNGCNWSRKGVIFREAYFLMLHREPTKEQRCRDKEAEKWVARLQ* >Brasy6G004500.3.p pacid=40048078 transcript=Brasy6G004500.3 locus=Brasy6G004500 ID=Brasy6G004500.3.v1.1 annot-version=v1.1 MFFIFQCGQENTDGVSSQTCVSSFRGVNEKLEHVRLQLLNCRFASGVSLDDVVHLDVMPQSKCGRAMWFCSSLCFYLYVLRCWIFWTSLQGLYSGRSGWGFCIREEHDTVQGAGMGGLSNMADALHTESEACLMALQFAADAGMGRLVLETDAITLMQALKSNGCNWSRKGVIFREAYFLMLHSLNF* >Brasy6G004500.4.p pacid=40048079 transcript=Brasy6G004500.4 locus=Brasy6G004500 ID=Brasy6G004500.4.v1.1 annot-version=v1.1 MPQSKCGRAMWFCSSLCFYLYVLRCWIFWTSLQGLYSGRSGWGFCIREEHDTVQGAGMGGLSNMADALHTESEACLMALQFAADAGMGRLVLETDAITLMQALKSNGCNWSRKGVIFREAYFLMLHREPTKEQRCRDKEAEKWVARLQ* >Brasy6G004500.5.p pacid=40048080 transcript=Brasy6G004500.5 locus=Brasy6G004500 ID=Brasy6G004500.5.v1.1 annot-version=v1.1 MPQSKCGRAMWFCSSLCFYLYVLRCWIFWTSLQGLYSGRSGWGFCIREEHDTVQGAGMGGLSNMADALHTESEACLMALQFAADAGMGRLVLETDAITLMQALKSNGCNWSRKGVIFREAYFLMLHSLNF* >Brasy6G111700.1.p pacid=40048081 transcript=Brasy6G111700.1 locus=Brasy6G111700 ID=Brasy6G111700.1.v1.1 annot-version=v1.1 MPQETHSASANVASSSTQSLRPATPTSQADTEETPQHCSSDHAASWQKHCTDEPRRSMDDRRQRHTTPNHSPPSPLPHQPQHPHEQATRTMLTARRRTPPRKNHTGPPQLPDRAQQQQQHLHTEAQHLLTVRAGAPTAAQIQPAPGRDPDRRKEQGPCNLAPPGSQSSHRQPPAASSPPGHASRTPCRRPDLARIGPKANRTQRGPPGRQTAPAMPPSGRRCTPARTQPGARQTAASTRPHGGASPRAIAPPHSQPGKPRRRRHHTGFARRLSPAAARGGVREEGRGRRRLGSRPAQERRGGFGSCFWNQQNDQQHH* >Brasy6G002900.1.p pacid=40048082 transcript=Brasy6G002900.1 locus=Brasy6G002900 ID=Brasy6G002900.1.v1.1 annot-version=v1.1 MEQPPSSNSHLHRLLLLPVLLLVSVSCPLVAHGSAAIAGFEGAGADGWSTRGGAPVDLRIIRSLHSRRLLQTGGGNRIPSLPLPPMRAPDHKKHKHRRRARSPAPSPSPFIAPPKASPSPSPSNFHPLPAPPPVVRPLPSTPQAKHDPQADAPVQTKHSWRGYGLVTAGSAVFLVMTAAFVVYCQAKKAGTVKPWVTGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTASCMLYKGTLSSGVEIAVVSSSVTSGKDWSKECESQYRKKISSLSKVGHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEHLHVREAENLDWMARLRISMGIAYCLEHMHKLNPPALPRNFNSTTIYLTDDFAAKVSDLDFWNGTTDDCTTLDRASLVHQYGMVLLEILTGRAPFPEQGEPLEQWASLYFEGKMPLAELIDSSLGSFPEEAASALCDVARSCIDPDPSKRSEMAQVAARMKEITALGPEGATPKVSPLWWAELEIMSAESS* >Brasy6G142500.1.p pacid=40048083 transcript=Brasy6G142500.1 locus=Brasy6G142500 ID=Brasy6G142500.1.v1.1 annot-version=v1.1 MLRHAAHRLASRAAAAGRGSVSRRALATAEVPAEAGEDPAFLEAWKKVTTIIEPPQTPLAAMKPRPPTPASIPSKLTVNFVLPYKSEIANKEVDMIMVPATTGLMGILPGHVSTIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSITDVVAVEAVPLDQIDQSLVQKGLADFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG* >Brasy6G246100.1.p pacid=40048084 transcript=Brasy6G246100.1 locus=Brasy6G246100 ID=Brasy6G246100.1.v1.1 annot-version=v1.1 MAAALQLLLHRLAAPPPLSPMLRSLFPASYSKAAADDDSLPAVCRAALTPPPPSLSRHCCRDVFPRKAPPKAAKSIGIHWPVCIFSKAAEDGPRCCTPVPNTVSEGTKSLLDRLKDLKAAANSLPAMCRVALTLPPPSLSQQYYKDVFPRKTPPPKDEPRRCSTVPKITSDGTKSLLGQLKDLKDSMKALQDRKTNLEKHQEMISKEAHDCMISNDLFKVRLAGHKTCCQNTSIASWFYQNGGTFLQIV* >Brasy6G246100.2.p pacid=40048085 transcript=Brasy6G246100.2 locus=Brasy6G246100 ID=Brasy6G246100.2.v1.1 annot-version=v1.1 MAAALQLLLHRLAAPPPLSPMLRSLFPASYSKAAADDDSLPAVCRAALTPPPPSLSRHCCRDVFPRKAPPKDGPRCCTPVPNTVSEGTKSLLDRLKDLKAAANSLPAMCRVALTLPPPSLSQQYYKDVFPRKTPPPKDEPRRCSTVPKITSDGTKSLLGQLKDLKDSMKALQDRKTNLEKHQEMISKEAHDCMISNDLFKVRLAGHKTCCQNTSIASWFYQNGGTFLQIV* >Brasy6G185300.1.p pacid=40048086 transcript=Brasy6G185300.1 locus=Brasy6G185300 ID=Brasy6G185300.1.v1.1 annot-version=v1.1 MGTVAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTTGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMEILLKTIYELYTEFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR* >Brasy6G060700.1.p pacid=40048087 transcript=Brasy6G060700.1 locus=Brasy6G060700 ID=Brasy6G060700.1.v1.1 annot-version=v1.1 MDADVFPPRTGPTACLALASPHPCPLFLPGGTPSPRSLASRRINRRAWPRQYPRHQSPTTSARFPRNFGAVCHLPSATGRGDVGMSFRGGRGGRGGGGRGGRGGRGGRGGFGSSMLHQAKEHSPHENFPVSLLRSPHPSSLFSVPNGQPPRLASPGSVRLAK* >Brasy6G036900.1.p pacid=40048088 transcript=Brasy6G036900.1 locus=Brasy6G036900 ID=Brasy6G036900.1.v1.1 annot-version=v1.1 MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMQFSASANIEIFRLDFQSDSPDLPLLAAAPSPDRFHRLCWSRPGAADGDSFALGLLAGGLSDGSVAVWNPLSMISSEGQAEDAMVARLEKHTGAVCGLEFSELTPNRLASGAEQGEVCIWDLKNPGEPVVFPPLKTVGSSAQAEISCLTWNPKFQHILASASTNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSSNGNFDIHWYRKIPGVIAASSFDGKIGVHNLEFSGLYAAGDYAVGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHPAEGAQVGTSEVHVHNLVIEQSLVSRSTEFEAAMQNGDKSSLRALCDKKSQESLSDEERETWSFLRVMFEDGDTARTKLLAHLGFNPPQEPTVNATDELSRTLSDTLNLDHSPLNDNTDTQFLVDNGDDFFNNPQPTETSLPEESVSTNGQQIEQEIPGNVVPSDPSIDQSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGPALWESTRNQYLKNSISPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFAQKEEWNVLCDTLASRLLSVGDMLAATLCYICAGNIDKAVEIWSRNLRSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENAAARSSVPESINSSSSYLPNQQSYTTDPPQNHYQVPQQYNVPSNTYSEVYQPQPNTAYPRYGSGYQPQQPTQMFVPRNTPVDTQPSSTPVSAPQQTVKTFTPANLPALKNPEQYQQASSTLASQLYAAPGNSSYTSGPPAPYQTGPPTTYNQPMPPAQYQAVPPVPSVPGTNPNQMFTPAVPTNSTSRFMPPSNQGFVQRPGLSPAQPSSPTQSQAPPQSAVAPPAPPPTVQTADTSNVSAELRPVIATLTRLFDETSKAMGSSQAKKREIDDNSKKLGALFAKLNTGDISPNVSSKLIQLCGALDSNDFATAIQLQILLTTSDWDECNFWLSALKRMIKTRQNFRM* >Brasy6G078600.1.p pacid=40048089 transcript=Brasy6G078600.1 locus=Brasy6G078600 ID=Brasy6G078600.1.v1.1 annot-version=v1.1 MFGGAAGRPVFVLFGSSIVQYSFSNGGWGATLADIYARKADIILRGYIGWNSRRALQVIDRVFPKDSAVQPSLVIVYFGGNDSIAEHPSGLGPHVPLEEYIDNMRKIAQHLKSLSDKTRVIFLSCPPLNEEMLRKSRNTALSELVRTNETCRLYSEACISLCKKMDIKVVDLWNAIQKRDDWATTCFTDGVHFSEEGSNIVVEQILRVLKDAEEWEPCLHWKAMPTEFDEDSPYDPVASSGQSTINPTMLTFVRPRYRNYAI* >Brasy6G251600.1.p pacid=40048090 transcript=Brasy6G251600.1 locus=Brasy6G251600 ID=Brasy6G251600.1.v1.1 annot-version=v1.1 MDKRKMDNAESFLLQGSDLISDTGDKPLGLSNKKMNLFRGKYAIDSFVEDVMIKKKVNMRKESFDILKNWSHPIAVPLLNFYSESTNMGRVIIPPLDDTFKSWFKDKGVHILFDKDGHMTDLFRDFIIELCDMLQSLHLNGIVMMNNISEDDLYVKTYTSGESKIVLLLTEVKKSSQRSPAMKENWKSVQDFIDWCFHDPVKLHSDAEWFKKFIGKPIFSVEKLIQYPDTWDYTKKGQYLMSLKSANTNMIKRELLLVLFSWPKQLPKLLDQILDESTAKGYDYSTASPFDYLKILWDSYKHFEDRRLHRMFASREGFLKEVERWTENIWMKLYERIGSHNCDQITEEVDSYINFWQETFQNQSIQFPWHTTA* >Brasy6G062800.1.p pacid=40048091 transcript=Brasy6G062800.1 locus=Brasy6G062800 ID=Brasy6G062800.1.v1.1 annot-version=v1.1 MAAAAPGKQQRLFLGLMITLLFAPVVVSGAGGAGEGFITWEDLAIPAVSAAAGPRRGLPAGARWPGSTAAAGAGPETDTTIVVSQDGTGHSRTVQGAVDMVPAGNARRVKILIRPGVYREKVTVPITKPFVSLIGMGTGRTVVTWNSRASDMDMSGHQVGTFYSASVAVEADYFCASHLTFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGAQDTLFDNIGRHYLYDCDIQGSIDFIFGNARSLYQGCRLHAVATSYGAIAASQRSSATEESGFSFVGCRLTGSGMLYLGRAWGKYARVVYSLCDLSGIVVPQGWSDWGDRARTKTVLFGEYNCKGPGARSRERVPWSRALTYQEALPFLGRDFINGDQWLRL* >Brasy6G246000.1.p pacid=40048092 transcript=Brasy6G246000.1 locus=Brasy6G246000 ID=Brasy6G246000.1.v1.1 annot-version=v1.1 MAAALRLLRHRLAAPPPPMLRSLFPASYSKAAAAAADDDDDILPAVCRAALSPPPPSHPRQYCRDVFPRKAPSKDEPGCCTPVPDTVSEGTKSLVDQLKDLKESKMALQVRRKNIMEHRERIRIGCHECRLSSALCKERLALQRKFLAKIREARVSSSRIKAYCSKLDKMGDEYDKDWAIEMSKEDLLDQHIEMVEEEEKDYLNALRRCHELERSIKEKVKKVGKVCGVVGLVGGLLLMLAKM* >Brasy6G141000.1.p pacid=40048093 transcript=Brasy6G141000.1 locus=Brasy6G141000 ID=Brasy6G141000.1.v1.1 annot-version=v1.1 MLIVDSPCRFKSPEHGEFVVGLEVTYHIAQYATTCILVPGLWVNFSAACDTGTLTMKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.6.p pacid=40048094 transcript=Brasy6G141000.6 locus=Brasy6G141000 ID=Brasy6G141000.6.v1.1 annot-version=v1.1 MLIVDSPCRFKSPEHGEFVVGLEVTYHIAQYATTCILVPGLWVNFSACDTGTLTMKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.5.p pacid=40048095 transcript=Brasy6G141000.5 locus=Brasy6G141000 ID=Brasy6G141000.5.v1.1 annot-version=v1.1 MLIVDSPCRFKSPEHGEFVVGLEVTYHIAQYATTCILVPGLWVNFSACDTGTLTMKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.4.p pacid=40048096 transcript=Brasy6G141000.4 locus=Brasy6G141000 ID=Brasy6G141000.4.v1.1 annot-version=v1.1 MKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.7.p pacid=40048097 transcript=Brasy6G141000.7 locus=Brasy6G141000 ID=Brasy6G141000.7.v1.1 annot-version=v1.1 MKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.2.p pacid=40048098 transcript=Brasy6G141000.2 locus=Brasy6G141000 ID=Brasy6G141000.2.v1.1 annot-version=v1.1 MKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.8.p pacid=40048099 transcript=Brasy6G141000.8 locus=Brasy6G141000 ID=Brasy6G141000.8.v1.1 annot-version=v1.1 MKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.3.p pacid=40048100 transcript=Brasy6G141000.3 locus=Brasy6G141000 ID=Brasy6G141000.3.v1.1 annot-version=v1.1 MKERSSLCDSAADGNWVSKYKRKRSKLTASSSNEHEGASPTSDSLRNNDSIKKRFKHDNSISSSTKKIRGHDGRAPPGNWQCPRCRTKQVSLKLLGNADAETSKRETRRMRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G141000.9.p pacid=40048101 transcript=Brasy6G141000.9 locus=Brasy6G141000 ID=Brasy6G141000.9.v1.1 annot-version=v1.1 MRASTTSESPSLHNKVPPNTRSPIQEKRELKDGDLTVKDNEVQKKKPLIVHLKKRPIKELSAITKPLNSGLLAKSSEEKQEKHGAALKIKKHLRSMDLSPKKYKSKRQHSHRDSKRSEARKLKYLATDVDSDSSMEPSTSPDHSESPPKRKSLDGRTPTSRTKKGKKKVKFVDKQHAEVLGVAEDKIMTPQQDRQVDRILGCRLQTSLIISQPHASSEQIELSNSQTEDIAPPSASSALIRSSNNDTDTTLDDVYADESANLAGGHCLDGVEAQKESCAKSCDSKEPVNQAEIMKTVSEHCIDQIITVKDSGVVGEDMASTNCEFEAVSDGTSEGKSEKGDIELSVSKADVRVHTKQEHTPEIKVHGNINTIAGKDHDGTAFEFLVKWVGKSNIHNNWISESELKTLAKRKLENYKAKYGMGLINICKDQWSQPQRVIALRASLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTQFKNFECQALDKDMKGSCANARNCQELVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDANQIGKTKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPDSGSPEFLHEMRIKASAKLALLHSMLKILNKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDAVNGKDNDEASGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDEVNDDPGATEDILNIDNGGCEQASETKQDSANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPRREYTAAGVALKEKYGKLRARQKERIAQRHTIKNYADNKLEDFIKLYDSSVNEYGVNPLTIVEDPDAAQPSGAKRLSDSTAELRHSSKKAKRYPEISREHHAKLSTNATPSKHQSKGSDVLNPGTPHNLLPVLGLCAPNADQVNSYKNSICAPSMKEQKRASGEVGNKSLSPAADHSSEHKNDGQSAPSKPTFYGSSETLQRLNNIIPDSYFPFNPIPPMSGKGLYDPVENPVSSIASFQGKLGLPNFSLEDNIPHKHMKSVPDLFPNFSLGTSKEYVHNPLPELPNSSFLPNFMADIAGTSKKSRSKFMADMSAFLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYASNKFLKKKSKPDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTNEELALRWILEEQKIMEEPVPTATRTSNTIFPGISDAMMSRALSGSNFSKLRTEAPKLQSHLTDIQLGCTDIPSRFPHVEPTNYKGQSEGGPSLTPWKDFKHKMGYGGDFPGSTSDKWEKIDGGMVPPYVPNPFMMESIGSLPINVRNSSSIQQNEFASSSQENILLHGVSDGQVDLFHEMQRRVKLGKQPMEMNLYHTKHSNPLLERSGIFGSSKSNKLPHWLQEAVRAPPSKPPACELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSRPKDPRNALKKRRVHRVHQTSTQIEHSKIGSGQGDVLCTPPASQSVGVPRASPAVDNNGAPSLNLNSPSSSSAGSQGRDGTPPSAFEETHKTVGASEANIATCPSRSEAPETGCQITEFSGVDDIASGSYRSPSRDLPAPCALRNNLSGSDNSVSPTEVSMLPVVDATGISTTQAVGNLSSDGQELEQDNILESDVGMNDAEKLSDKPMPLDENVDSGASHSISIQIVDEDKDDVVIVADEH* >Brasy6G136800.1.p pacid=40048102 transcript=Brasy6G136800.1 locus=Brasy6G136800 ID=Brasy6G136800.1.v1.1 annot-version=v1.1 MATETKPSSGTTAAVAAPQFQVDEAYEYRAPKYFDFVTDETEDDVRAAERWFEAGASHAPSPFAPRIKESRAEVKIDGLCDFADAEEPAPATKKEATEEAAVNNTYPAGDVDGVAACPDAMSESPPTEEEGKELPKSFEFPLSKDFAAKSADGGSSTPKIRRQPPPVEIVVTAPPVRVKSTVKTEACTPKVQANASKGGLTGSKIVQTSDLKQSSLRVKKSVIKGSCDSVAGKASTAANDIAQENQAVKRQKLENGRTREILNVKTRVLPHKGRGGLAGSTEMTLSSMRMRKHHDDAHSLKEVSPYISAAEMVKKFESGTRGSGNHHNRSMSHEDGGTALQRKPKLVLTRPKEPEFQTTHRVRAVRMKSSSELEEEMLAKIPKFRARPFNRKIAEAPSFPPLPRKTPQLPEFNEFHLKTMERASRHADTCSETSSVGTMRSQGSKALKLTEAKPPQLETALRARPSRVKSSQELELEELEKAPKFKARPLNKKILESKGDIGVFAHPKPQATEPKEFHFCTDDRLGPPPAVMDLFDKLSLYSESTSYHDKKDVPRLTIPNPFNLHTDERGHEKERQLEAQLMQKKLEEEKARKFKANPYPYTTDYPVVPPKPDPKPCTRPEGFQLESLVRHERFMEERERMEREEAQRRLVKAQPILKEDPIPLPEKERKPLTEVQQFQLHVDERAVQRSEFDTMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSMKQVTRPKSPQLQVNERGARRHAFIR* >Brasy6G136800.2.p pacid=40048103 transcript=Brasy6G136800.2 locus=Brasy6G136800 ID=Brasy6G136800.2.v1.1 annot-version=v1.1 MATETKPSSGTTAAVAAPQFQVDEAYEYRAPKYFDFVTDETEDDVRAAERWFEAGASHAPSPFAPRIKESRAEVKIDGLCDFADAEEPAPATKKEATEEAAVNNTYPAGDVDGVAACPDAMSESPPTEEEGKELPKSFEFPLSKDFAAKSDGGSSTPKIRRQPPPVEIVVTAPPVRVKSTVKTEACTPKVQANASKGGLTGSKIVQTSDLKQSSLRVKKSVIKGSCDSVAGKASTAANDIAQENQAVKRQKLENGRTREILNVKTRVLPHKGRGGLAGSTEMTLSSMRMRKHHDDAHSLKEVSPYISAAEMVKKFESGTRGSGNHHNRSMSHEDGGTALQRKPKLVLTRPKEPEFQTTHRVRAVRMKSSSELEEEMLAKIPKFRARPFNRKIAEAPSFPPLPRKTPQLPEFNEFHLKTMERASRHADTCSETSSVGTMRSQGSKALKLTEAKPPQLETALRARPSRVKSSQELELEELEKAPKFKARPLNKKILESKGDIGVFAHPKPQATEPKEFHFCTDDRLGPPPAVMDLFDKLSLYSESTSYHDKKDVPRLTIPNPFNLHTDERGHEKERQLEAQLMQKKLEEEKARKFKANPYPYTTDYPVVPPKPDPKPCTRPEGFQLESLVRHERFMEERERMEREEAQRRLVKAQPILKEDPIPLPEKERKPLTEVQQFQLHVDERAVQRSEFDTMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSMKQVTRPKSPQLQVNERGARRHAFIR* >Brasy6G105500.1.p pacid=40048104 transcript=Brasy6G105500.1 locus=Brasy6G105500 ID=Brasy6G105500.1.v1.1 annot-version=v1.1 MQLRFVPFAASAMAGRSFLDDLPRPVRKRRNHLCYNGNNSSSSEKEGERGPTEEALRRLAELDAQLEGLKEPKMRPPPPPPPPDPFMDRDMIITRGRPSEELPEMTPAYVAFSTLALFIFTIFTNVMFNLYVKPSVDGVDQPVRTQRTALLNPADQLPQ* >Brasy6G045700.1.p pacid=40048105 transcript=Brasy6G045700.1 locus=Brasy6G045700 ID=Brasy6G045700.1.v1.1 annot-version=v1.1 MAFTMRAVKVPPNSVSMEEARHRVFDFFRQACRSIPSVMEIYNLDDVVTASELRSAISKQIRKNQGVSDPKVIDMLLFNGMEELNNITEHAKQRHHIIGQYVVGQKGLVQDTDSKDQGSSEFLKKFYTSNY* >Brasy6G247700.1.p pacid=40048106 transcript=Brasy6G247700.1 locus=Brasy6G247700 ID=Brasy6G247700.1.v1.1 annot-version=v1.1 MRSSGRMTWLPPKIGPTCQDMTSRRWPEAVAPPPRVIELIAGRDLLPSLRPLVPPSSRPRRWRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSLSPHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGSSAFVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARPPGPACISAQHHGLRPPGPVHPAAPRPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy6G145700.1.p pacid=40048107 transcript=Brasy6G145700.1 locus=Brasy6G145700 ID=Brasy6G145700.1.v1.1 annot-version=v1.1 MRPISDLAKPVLANSSSCLISKFQTQLTSEKKHDVALSNASYNGLGECGASGSSKKLLVVIHRSSKLVSADDDEGCRRLCTGFRPLRYSFKWRKHKCARCWALCSEDKPRPRRMIMEEHLLPRFFIIG* >Brasy6G145700.4.p pacid=40048108 transcript=Brasy6G145700.4 locus=Brasy6G145700 ID=Brasy6G145700.4.v1.1 annot-version=v1.1 MRPISDLAKPVLANSSSCLISKFQTQLTSEKKHDVALSNASYNGLGECGASGSSKKLLVVIHRSSKLVSADDDEGCRRLCTGFRPLRYSFKWRKHKCARCWALCSEDKPRPRRMIMEEHLLPRFFIIG* >Brasy6G145700.2.p pacid=40048109 transcript=Brasy6G145700.2 locus=Brasy6G145700 ID=Brasy6G145700.2.v1.1 annot-version=v1.1 MRPISDLAKPVLANSSSCLISKFQTQLTSEKKHDVALSNASYNGLGECGASGSSKKLLVVIHRSSKLVSADDDEGCRRLCTGFRPLRYSFKWRKHKCARCWALCSEDKPRPRRMIMEEHLLPRFFIIG* >Brasy6G145700.3.p pacid=40048110 transcript=Brasy6G145700.3 locus=Brasy6G145700 ID=Brasy6G145700.3.v1.1 annot-version=v1.1 MRPISDLAKPVLANSSSCLISKFQTQLTSEKKHDVALSNASYNGLGECGASGSSKKLLVVIHRSSKLVSADDDEGCRRLCTGFRPLRYSFKWRKHKCARCWALCSEDKPRPRRMIMEEHLLPRFFIIG* >Brasy6G180600.1.p pacid=40048111 transcript=Brasy6G180600.1 locus=Brasy6G180600 ID=Brasy6G180600.1.v1.1 annot-version=v1.1 MPWCPGIGPRVRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAIVLDVAWFILFSHAIWNTTPDENYGELFVFSLRLALWMQIIGFSVRFLSSFVWIQMYRLGVSSSTPTYYEANDARNSFLSPRSNSVRRSSMADDILGGSIYDPSYYSSLFEDVRNSASNHQGDKQSGSNDSGSTSAGQSPRLKTFGTRSFLSNDVEGGLRKPLNSCTVETS* >Brasy6G084700.1.p pacid=40048112 transcript=Brasy6G084700.1 locus=Brasy6G084700 ID=Brasy6G084700.1.v1.1 annot-version=v1.1 MESDESNSVAGGKTQEISVPPVEGVAGGGTSYGWVDGGLQGSSLGTSVIDPAKVHSKDLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWASSGIAGPVQIQCTDLCSSSGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLCPQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFITATRAETDSRAESLPKSERYQLYRELRTCLDITESRDCSTPEEMVQRLASTSTTLKRMLVLPAFQDCQENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDDHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSCTDAARNSLRREVDILKTEAHWSKAYFYLWDEPLNMEQYEVIRDISNELRTYTPDVRILTTYYAGPSGSELAPSTFEAFAKVPNVLRPHTQIFCTSEWVLGTREDLVKDIIAELRPELGEEWWTYVCLGPTDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERILSGMQDIEYLNLYSSKYGREEGLALLDKTGVYLGPDRYAHDHGPIDVMRGEVYRTCSS* >Brasy6G084700.2.p pacid=40048113 transcript=Brasy6G084700.2 locus=Brasy6G084700 ID=Brasy6G084700.2.v1.1 annot-version=v1.1 MLTFWRQEMKGKVFKLLYVQRFHGPVQALQGLCRFSALIYVHPLETGVPDALVPIDPLCPQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFITATRAETDSRAESLPKSERYQLYRELRTCLDITESRDCSTPEEMVQRLASTSTTLKRMLVLPAFQDCQENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDDHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSCTDAARNSLRREVDILKTEAHWSKAYFYLWDEPLNMEQYEVIRDISNELRTYTPDVRILTTYYAGPSGSELAPSTFEAFAKVPNVLRPHTQIFCTSEWVLGTREDLVKDIIAELRPELGEEWWTYVCLGPTDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERILSGMQDIEYLNLYSSKYGREEGLALLDKTGVYLGPDRYAHDHGPIDVMRGEVYRTCSS* >Brasy6G084700.3.p pacid=40048114 transcript=Brasy6G084700.3 locus=Brasy6G084700 ID=Brasy6G084700.3.v1.1 annot-version=v1.1 MESDESNSVAGGKTQEISVPPVEGVAGGGTSYGWVDGGLQGSSLGTSVIDPAKVHSKDLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWASSGIAGPVQIQCTDLCSSSGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLCPQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFITATRAETDSRAESLPKSERYQLYRELRTCLDITESRDCSTPEEMVQRLASTSTTLKRMLVLPAFQDCQENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDDHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSCTDAARNSLRREVDILKTEAHWSKAYFYLWDEPLNMEQYEVIRDISNELRTYTPDVRILTTYYAAKRDILIQPADLTQLSEQTALFLIFCTKHLHFYSILSIFS* >Brasy6G084700.4.p pacid=40048115 transcript=Brasy6G084700.4 locus=Brasy6G084700 ID=Brasy6G084700.4.v1.1 annot-version=v1.1 MLTFWRQEMKGKVFKLLYVQRFHGPVQALQGLCRFSALIYVHPLETGVPDALVPIDPLCPQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFITATRAETDSRAESLPKSERYQLYRELRTCLDITESRDCSTPEEMVQRLASTSTTLKRMLVLPAFQDCQENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDDHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSCTDAARNSLRREVDILKTEAHWSKAYFYLWDEPLNMEQYEVIRDISNELRTYTPDVRILTTYYAAKRDILIQPADLTQLSEQTALFLIFCTKHLHFYSILSIFS* >Brasy6G204000.1.p pacid=40048116 transcript=Brasy6G204000.1 locus=Brasy6G204000 ID=Brasy6G204000.1.v1.1 annot-version=v1.1 MGKHGGGLHVDVEKGGAACMYMIETPELRWAFIRKVYAIVALQLLATIAVAATVYLVPDIRAFFLARTPASLAAFVLILVAPILALRNRHPINLILLALFTICMSFSVGLGCLSRKGVKQCPINHLACDQRNSIQLTTKSCLRAFILASLKIYRSNYNRGLSLSLYTFWAAKRGHDFSFLGPFLFAACLILMLFSLIQMLMPMGKVGTTVYGCVSALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDIINIFMAILSALSDC* >Brasy6G246700.1.p pacid=40048117 transcript=Brasy6G246700.1 locus=Brasy6G246700 ID=Brasy6G246700.1.v1.1 annot-version=v1.1 MMQRYTAREIWDMEERQSPRAVGCGGSVVLGVDGGASNTVCVCIPATAAMAFDDDGPPLPVLARAVAGCSNQNSVGEDRARETLERVMAQALHKARRRRSSVAAVCLAVAGVNHPIDQQRMLDWLSEIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTSDGRDARAAGAGPVLGDWGSGYGISAQALTAVIRAYDGRGPETVLTNNILDFLGLESPDELIGWTYEDQSWARIADILPVVVESAVGGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDLFPLVMVGKVLEANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAIASELDDDLRTAV* >Brasy6G090700.1.p pacid=40048118 transcript=Brasy6G090700.1 locus=Brasy6G090700 ID=Brasy6G090700.1.v1.1 annot-version=v1.1 MIIGDPAAQQEIRRGERRLRAVDDFRDSGEEGEGEGRARRTPARRGEGRRGRGTPAAAGYEQRTKRRGSGSQRRTRTPAGPVGGSGGGLTHPGGGSRREKGADGGLQAREGEGARDPAGEDGAGSQGRGVRWGRAAEEVGGAGSGGGGRRRGLRSRRRGQPYEPPRRSPLRAGCGG* >Brasy6G140200.1.p pacid=40048119 transcript=Brasy6G140200.1 locus=Brasy6G140200 ID=Brasy6G140200.1.v1.1 annot-version=v1.1 MRMSMPRSSVLCFLAVSAFLLAASVTADNKYGQQQQQQQQKVHEYDRAYHKPAAGLVSEYYGKSCPDMERIVQAAVNRAIANDYTVAAGLIRLFFHDFAVRGCDGSILIDAPGSERHAAASKTLRGFELIEEIKAELNARCNNTVSCADVLTAATRDASMKVGVPYWSLKYGRKDGRDSHAEEADAQVPMGRERVTDLVKFFETRGLNVLDLVVLSGAHTIGKASCGAVKRRVLTSKLETLDQRYGDFLLRKCHRGGEAERVELDGESPTTFDNRYYQNLERRMGLLETDQEMLEDSRTTRFVREFAREPEVFKRQFAHSMRQLGEVNVLTGDEGEVRYKCSAVNY* >Brasy6G109400.1.p pacid=40048120 transcript=Brasy6G109400.1 locus=Brasy6G109400 ID=Brasy6G109400.1.v1.1 annot-version=v1.1 MEGEDGSDGVDWDSLAEAALGAVGALVSTTVLYPHGTYPTSTRPRVRPSTDGRNQCRVRRLRRYLEVLWIWRS* >Brasy6G151600.1.p pacid=40048121 transcript=Brasy6G151600.1 locus=Brasy6G151600 ID=Brasy6G151600.1.v1.1 annot-version=v1.1 MASPAWRITVQVSAILLNLTAFGLAVAAEHHRSKATVTPDPAQEYDYCVYDSDIATGYGIGALLLLTAAQVLVMVASRCFCSRTSAIMLLFFSWLTFIIAAACLLAGSVRNAYHTRYRGIFDGDPVLRDVAQGRVCCWCGFHLLHGHPHRVLLHHLLHIPGLGVGVKT* >Brasy6G118900.1.p pacid=40048122 transcript=Brasy6G118900.1 locus=Brasy6G118900 ID=Brasy6G118900.1.v1.1 annot-version=v1.1 MARKKVTLRRIQDPAARRATFRKRRYGLMKKASELATLCNLKACVIVYGEGEARPHVWPSVSEAEPMLRRFKAMPELERFKKTMNQEGFLRQRVDKLREKNEKLQRENHERQTMCLLHKAMLGQLPSSMALTVEEVTSVGWMAKSYLKSIGDRIAELTTQASLQAPPAATFIPSCNDMMTMGEPSLEEYLRMPQQEMWIDNLRVEGEDLGAGLYSDNGAGPSTTDLSDEDLISWAEGFDFDASSSSFTPM* >Brasy6G135800.1.p pacid=40048123 transcript=Brasy6G135800.1 locus=Brasy6G135800 ID=Brasy6G135800.1.v1.1 annot-version=v1.1 MELSYTCPGRSSSQFNKDWQNKCSTKRKESYIKDGNGWQCQTNKGVCPIPLHSALAVRDIVIWDGSLFDNHFSVYCVNRVNTRIDILDSIDWSKNGGSFQGRNDPWGYRSIKRRSDAFQTVTSKHKEVPRQLTRGNSCASFAIRFLRQYDGEDSQLRCSIEPSKENHYRAEDLSCILFHDLNEVRPLPDTLENFRPTITQQ* >Brasy6G070400.1.p pacid=40048124 transcript=Brasy6G070400.1 locus=Brasy6G070400 ID=Brasy6G070400.1.v1.1 annot-version=v1.1 METVAAAANGGGAERDQQQQQQQGCARRLFQMPLHYPRYRREDYEAMPEWQLDRLLSDYGLPVHGNLHHKRGFAMGAFLWGATAGH* >Brasy6G143900.1.p pacid=40048125 transcript=Brasy6G143900.1 locus=Brasy6G143900 ID=Brasy6G143900.1.v1.1 annot-version=v1.1 MAPLATTTVPLHLCPYHSHPRRRRRGAVDAAAAASSSSSPRAEDVVIVGAGIAGLATALSLQRLGVRATVLEQGPSLRAGGTSLTLFKNGWRVLDAIGVADDLRAKYIRIQGMRMRSSAGGRDLREFSFEEEAPGQEVRAVERRALLETLASKLPADAISYSSKLRSVAGQGAEGTVLELEDGRRLLAKVVVGCDGVNSPLARWMGFSEPRYVGHMAFRGLADYGGLGGGQPLEPKVNYIYGRGLRAGFVPVSATQVYWFICFNSPTPPPGLGKTKTATGPGALKREALELVRGWPEDLVAVMRGTADDAVVKTPLVDRWLWPGVAPRASRGGVVLVGDAWHPMTPNLGQGACCALEDAVVLARRLAPAVLAGGAVGEAMRGYERERWGRVFPLTARAGLVGKLVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGGLLEPAPMN* >Brasy6G009400.1.p pacid=40048126 transcript=Brasy6G009400.1 locus=Brasy6G009400 ID=Brasy6G009400.1.v1.1 annot-version=v1.1 MNQSPKSRSGSPIWSIEVGIPHPSTASRRFLRQMRPLSLSHRHFLLVAALGGMEPPRIWSSGSPIRCWREKEEGRRPW* >Brasy6G268300.1.p pacid=40048127 transcript=Brasy6G268300.1 locus=Brasy6G268300 ID=Brasy6G268300.1.v1.1 annot-version=v1.1 MAKESSDASSEAPPPTPMSAPASFKFNVHAPEFVPMSPAAASPMSAPAGGYYSPFLAPDWSFLHDHHEPVFFMPDFKFAAASAAASNSAGQPKAGGGGGGAASTVDVAHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVISSWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKVFGVVGSVKNIKICHPQEPSSARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFAGSDEDSPHSQMSSDSPTSEAVHSPEAHPEDHQSGAKKGWARGRGKLHVTAPHSPQSAPAGVVGHFDPSSPRQASQKCPLSPRQASQKCPFSPRQPPQGPRMPDGTRGFTMGRGKPPASVTARTVGGPPPAPVLV* >Brasy6G104500.1.p pacid=40048128 transcript=Brasy6G104500.1 locus=Brasy6G104500 ID=Brasy6G104500.1.v1.1 annot-version=v1.1 MARKRKGTDSGGEDPQPHVHARNRASPYGLTQLYGHFNDGQKQAFREFGWPSFLHIKTRKLHNRLIDQLATCYDPSDRCLVIPDKGRIPMTEESVYNALGAPRGHLPVPYRVDKDIEARLAPELFPGMDLSKAPLHTQVNTMLKDMTDYGDRFKRLALMYIMSTIIAPTTSTRISNRCYPAVDNIENAHNYNFCKFVIDQLHEALSKKQLNKGCRLYLMLLYVDSLDISELGLAMPTAPVGVSAWTNQLIDEVIRADMKEDGSFGNL* >Brasy6G186100.1.p pacid=40048129 transcript=Brasy6G186100.1 locus=Brasy6G186100 ID=Brasy6G186100.1.v1.1 annot-version=v1.1 MVSSRDAFSVRYSSPGSVSPHATHSFFLSRSRFSSPNAGALAVTGHPRRRAPPPAARARPARRRALLPAAAGRRPRLHAPPRPPPTAARGRPASSVPVSTRRSRSSPSPRAAPAASPISSRRPCRRYTATRRPRLRAPP* >Brasy6G127300.1.p pacid=40048130 transcript=Brasy6G127300.1 locus=Brasy6G127300 ID=Brasy6G127300.1.v1.1 annot-version=v1.1 MDPPAQAASPSYAKLVDTYKKAAATAASVTAYAVLARGVARELLPHDLRAAVTWGASLLRARLEPRPAERRTVVVRRFDERRGINCVESNALYDDAHAYLATRLDPRAMRRFCLSGKGPSKVMSMERGHSMDDVFEGVRFTWASVVSGDGRHESADSLELSFDAEHTDLALGTYVPFISAQVAQARRRERKLSIFMNESTSWRGISHHHPATFDTLAMEPAVKQAVLADLDRFLKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVSHNSILQRLLIGMPNKSILVIEDIDCCFNAASREDGKAAAAALTKDGHADVVNDTEDCASTQPPSITLSGLLNFIDGLWSTSGEERVIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLARNYFLIDDHLLFPEIQGLLAKVEVTPAEVSEMLLRDEDAGVALLGLMEFLTEKEQRQRDAGKA* >Brasy6G023500.1.p pacid=40048131 transcript=Brasy6G023500.1 locus=Brasy6G023500 ID=Brasy6G023500.1.v1.1 annot-version=v1.1 MAAHSVHVFLVLLAVQVCLLVAMSASAVQARNVISIPCCKLHPSSNCCLKAPPSSSSGKLVHGLLGSPAPSPLGN* >Brasy6G232600.1.p pacid=40048132 transcript=Brasy6G232600.1 locus=Brasy6G232600 ID=Brasy6G232600.1.v1.1 annot-version=v1.1 MDSSRDPPSLCAQEHADNVYLHDNSAILDVSARDLDMEPAACSEGMFGGFFSENVCGNISLSLMGSPPATT* >Brasy6G228100.1.p pacid=40048133 transcript=Brasy6G228100.1 locus=Brasy6G228100 ID=Brasy6G228100.1.v1.1 annot-version=v1.1 MASTTVKLIDIAVNFTDGMFKGMYHGKQCHSADIPAVLARAWAAGVDRIIVTGGSLTESREALEIAETDGRLFCTVGVHPTRCGEFDESGDPERHFQALLALAKEGIGKGKVVAVGECGLDYDRLHFCPSDVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFSEILSRNLYRFPGGVTHSFTGTAEERDKLLSFEKMFIGINGCSLKTNENLEVVRGIPAERMMVETDSPYCDIKNTHAGIQFVKSVWPSKKKEKYEPGSTVKGRNEPCLVRQVLEVVAGCKGISDVEGLSKTLYHNTCRLFFPHDLDASADAQLESGSGTSVQNS* >Brasy6G028100.1.p pacid=40048134 transcript=Brasy6G028100.1 locus=Brasy6G028100 ID=Brasy6G028100.1.v1.1 annot-version=v1.1 MPTGEPPLRKMLAADGEGEGGGQVHLDEGMVGEILLRLPARSVLRCRAVCTAWRRVADSAEFLAAHALRRPVEVLLYSRPVHGCGEQDLGLDALAVVVSGSGDRRRRLASIPAMPHRYGPRPCCCLLASCDGLLLLGHGRGDELQPYASYLVCNPATRQWTQLPRITARFAAAGERPGLGPARLEFRESGFYHHAPSGEYRLLCHVTPDSGAQAPYYCVLSAGAREPRRLMHATPIEQTMAVVPGSDPPKLQFWNFENLMTPAALGGRLHWLQHMEAGLTDSMVAFDTEAEMFRRMLPPPVACKGHSRLLDADGDTLMAAELGDLVFNLWALEGYTGGSDDTTSWVLRHRVEVAWRAERPSVVSNVGDGSGDIVLGSSYGVVAYNVRSGAARRVVIDDKEEDVLERSDDEKLLLSRSVLKESLVRHGFFDARPHPGLPVFNA* >Brasy6G248300.1.p pacid=40048135 transcript=Brasy6G248300.1 locus=Brasy6G248300 ID=Brasy6G248300.1.v1.1 annot-version=v1.1 MMRMQQQQVVAVEGAVVVSGAGAVMDSPDDSSSSSSLVYERVAAMASGSAVVVFSASGCCMCHVVKRLLLGLGVGPAVYELDQLGGAGREIQAALAQLLPSSNGGAVVPVVFVGGRLLGGVDKVMACHINGSLVPLLKGAGALWL* >Brasy6G060300.1.p pacid=40048136 transcript=Brasy6G060300.1 locus=Brasy6G060300 ID=Brasy6G060300.1.v1.1 annot-version=v1.1 MPHHGFKTGPACTHFKEQELHFHLYMHHYSSGSNANATTAVHSKHHNSFGQVAVNDWPIFDGPGPCAKTVARGQGLYGFVPGVGYTSFTIKFNHESGFPGSTLYVMGDAVTAHESAIVGGTGEFTMARGIVKKSQKETNCSGWIVELHIHARYTPMKHGNAWSL* >Brasy6G027000.1.p pacid=40048137 transcript=Brasy6G027000.1 locus=Brasy6G027000 ID=Brasy6G027000.1.v1.1 annot-version=v1.1 MSGMACYLLPDGGNIFPSDDELFENQNAEQGDYWTQWNSRLSDDLNTSSIYSDKHEEGAAQCFDADEHQRSNQCERSSECDRAASSGCSTGQSEEQSKGPAPLELQQTKETNDIFLSQFSDDEMRMMDTPFQALDMFPGSMHRLLSYENMLSGVLTDSTNQVANQDRNEMDTMDTCGFPLFSHDLQDDPSNAEGSIGTLVNPSMDKSEVSTMKRSWSTADIESSSNGQGAVLEELEDVVFQLTKKMRVCLRDAFYRLAESSEAQCTTANGETTTITNEQGFQLSEGIESSSSASDRVERETNAIDRTVAILAFKPPCSTPWE* >Brasy6G027000.2.p pacid=40048138 transcript=Brasy6G027000.2 locus=Brasy6G027000 ID=Brasy6G027000.2.v1.1 annot-version=v1.1 MSGMACYLLPDGGNIFPSDDELFENQNAEQGDYWTQWNSRLSDDLNTSSIYSDKHEEGAAQCFDADEHQRSNQCERSSECDRAASSGCSTGQSEEQSKGPAPLELQQTKETNDIFLSQFSDDEMRMMDTPFQALDMFPGSMHRLLSYENMLSGVLTDSTNQVANQDRNEMDTMDTCGFPLFSHDLQDDPSNAEGSIGTLVNPSMDKSEVSTMKRSWSTADIESSSNGQGAVLEELEDVVFQLTKKMRVCLRDAFYRLAESSEAQCTTANGETTTITNEQGFQLSEGIESSSASDRVERETNAIDRTVAILAFKPPCSTPWE* >Brasy6G027000.3.p pacid=40048139 transcript=Brasy6G027000.3 locus=Brasy6G027000 ID=Brasy6G027000.3.v1.1 annot-version=v1.1 MSGMACYLLPDGGNIFPSDDELFENQNAEQGDYWTQWNSRLSDDLNTSSIYSDKHEEGAAQCFDADEHQRSNQCERSSECDRAASSGCSTGQSEEQSKGPAPLELQQTKETNDIFLSQFSDDEMRMMDTPFQALDMFPGSMHRLLSYENMLSGVLTDSTNQVANQDRNEMDTMDTCGFPLFSHDLQDDPSNAEGSIGTLVNPSMDKSEVSTMKRSWSTADIESSSNGQGAVLEELEDVVFQAG* >Brasy6G027000.4.p pacid=40048140 transcript=Brasy6G027000.4 locus=Brasy6G027000 ID=Brasy6G027000.4.v1.1 annot-version=v1.1 MSGMACYLLPDGGNIFPSDDELFENQNAEQGDYWTQWNSRLSDDLNTSSIYSDKHEEGAAQCFDADEHQRSNQCERSSECDRAASSGCSTGQSEEQSKGPAPLELQQTKETNDIFLSQFSDDEMRMMDTPFQALDMFPGSMHRLLSYENMLSGVLTDSTNQVANQDRNEMDTMDTCGFPLFSHDLQDDPSNAEGSIGTLVNPSMDKSEVSTMKRSWSTADIESSSNGQGAVLEELEDVVFQAG* >Brasy6G054200.1.p pacid=40048141 transcript=Brasy6G054200.1 locus=Brasy6G054200 ID=Brasy6G054200.1.v1.1 annot-version=v1.1 MAGALHQQQDLAQDQPAMAWWFLSLAFLGALYAAAFSSRLLVSLTLLCLRPPKPKDLRRCYGPWAVVTGPTSGIGRSVALELARRGLNLLLVDLNAANLREISDTVRSRYAVKTAAVVSDLSLDVSTPQGAEAARRLRDAVETLDVGVLVNNAGVVTPGAVFFHEADVEAWVRMIRVNLWGLTEVTAAVLPGMVRRGRGAVLNMGSASSEAIPAFPLYTIYTATKRYVAQFSRSLYVEYKSKGIDVQCQAPFFVATTMVTGFSGIWRPSAFVPTPDAYARAAVPWIGLGRGGPLCVPNLSHRLLWCLLAAVPGSVHDWVCLREHLRQRSMFRKAVSLS* >Brasy6G130100.1.p pacid=40048142 transcript=Brasy6G130100.1 locus=Brasy6G130100 ID=Brasy6G130100.1.v1.1 annot-version=v1.1 MVPPKPVAPERRRTRPPELAASMLCMLCRGCRGGGACRRRCHCGGWGKSGRGSPPLWGGAEGRRLVEEEGRGCGGGSSVRRGVEVTGVIGCSLSACGHAIRGGGQRGWRRWRQREGAAGKSSDGTGGCGGGGAGKPRARQGGGGWSCEVAGGRIPSRRPTGLNPGLFRRYHTRSGGGEGLGRGGLGVRVGDRAGGAGELGGGGESEGRSTRREAADAFGFFPSCGACDIGFFPVRGAYGRWFSFRARATAGRVGSDNVRDSW* >Brasy6G172700.1.p pacid=40048143 transcript=Brasy6G172700.1 locus=Brasy6G172700 ID=Brasy6G172700.1.v1.1 annot-version=v1.1 MAQLPRPAASAKNLRPNAPPPSSLLRPCTTSHQRSSRRRIPGPASAVHDAMRLRSPLSSVPAVRADGQAADADFLLDPWIRALRELGDDRAWQQPGIGKIRRERALDRASRVVGMVTLCTPNGFGDLVLNLKDPSGTIDASVHKKVLSNGNLCKGLSVGSVMVLKQVAVLRPSRTVCYLNVTQKNVEKVLQKDSDSPFKQVVSPSNSARQSQQPAKFNDARRAEASEGTAAILNKISRTKDGRMVDIFCDNGGAVNAVNSGISRMDKDTHGVENHHEKQLEQMHPSPPRMNLPGYSTSQQLQRIINSMNPTDCQLKQGGSSAKHGFRSEAERSTNDIMRKLTGGQMMVPSKEIPVVEASHYNRGTPDSSNKTSRMDTDTSSEKHQEIGLQKMVERNCSKHVSKSNSDEHQLRNLSAKTRSSHSIIGGSSAMFGSSYCTQASCTENLTGLADDEWMLPSNKRLKSDAVVSDGNDARRDCNIGTLSMDTETKYCLENSLTIGLDDIAEDLHDDHTSTRKVEHQQKDFHAANADTPQPTQENRSIFATSATGIGGTLPSNPKKLVTVASVAEWTDEQLSELFIDY* >Brasy6G172700.2.p pacid=40048144 transcript=Brasy6G172700.2 locus=Brasy6G172700 ID=Brasy6G172700.2.v1.1 annot-version=v1.1 MAQLPRPAASAKNLRPNAPPPSSLLRPCTTSHQRSSRRRIPGPASAVHDAMRLRSPLSSVPAVRADGQAADADFLLDPWIRALRELGDDRAWQQPGIGKIRRERALDRASRVVGMVTLCTPNGFGDLVLNLKDPSGTIDASVHKKVLSNGNLCKGLSVGSVMVLKQVAVLRPSRTVCYLNVTQKNVEKDSDSPFKQVVSPSNSARQSQQPAKFNDARRAEASEGTAAILNKISRTKDGRMVDIFCDNGGAVNAVNSGISRMDKDTHGVENHHEKQLEQMHPSPPRMNLPGYSTSQQLQRIINSMNPTDCQLKQGGSSAKHGFRSEAERSTNDIMRKLTGGQMMVPSKEIPVVEASHYNRGTPDSSNKTSRMDTDTSSEKHQEIGLQKMVERNCSKHVSKSNSDEHQLRNLSAKTRSSHSIIGGSSAMFGSSYCTQASCTENLTGLADDEWMLPSNKRLKSDAVVSDGNDARRDCNIGTLSMDTETKYCLENSLTIGLDDIAEDLHDDHTSTRKVEHQQKDFHAANADTPQPTQENRSIFATSATGIGGTLPSNPKKLVTVASVAEWTDEQLSELFIDY* >Brasy6G172700.3.p pacid=40048145 transcript=Brasy6G172700.3 locus=Brasy6G172700 ID=Brasy6G172700.3.v1.1 annot-version=v1.1 MAQLPRPAASAKNLRPNAPPPSSLLRPCTTSHQRSSRRRIPGPASAVHDAMRLRSPLSSVPAVRADGQAADADFLLDPWIRALRELGDDRAWQQPGIGKIRRERALDRASRVVGMVTLCTPNGFGDLVLNLKVAVLRPSRTVCYLNVTQKNVEKVLQKDSDSPFKQVVSPSNSARQSQQPAKFNDARRAEASEGTAAILNKISRTKDGRMVDIFCDNGGAVNAVNSGISRMDKDTHGVENHHEKQLEQMHPSPPRMNLPGYSTSQQLQRIINSMNPTDCQLKQGGSSAKHGFRSEAERSTNDIMRKLTGGQMMVPSKEIPVVEASHYNRGTPDSSNKTSRMDTDTSSEKHQEIGLQKMVERNCSKHVSKSNSDEHQLRNLSAKTRSSHSIIGGSSAMFGSSYCTQASCTENLTGLADDEWMLPSNKRLKSDAVVSDGNDARRDCNIGTLSMDTETKYCLENSLTIGLDDIAEDLHDDHTSTRKVEHQQKDFHAANADTPQPTQENRSIFATSATGIGGTLPSNPKKLVTVASVAEWTDEQLSELFIDY* >Brasy6G068900.1.p pacid=40048146 transcript=Brasy6G068900.1 locus=Brasy6G068900 ID=Brasy6G068900.1.v1.1 annot-version=v1.1 MVSGMKKEKTKRWFAPGSGDRRPLRHGRVLRSCGLQGRREPTSRFRTAQTAHAQHTRILSHPLSDPTQPPAATTPAAVPPPPRPSPTPLPNAATPPIEAPAATTAAPRPGAQGRDAVRRGDRSPALKAAAPPGSFPLRKGPRNRACAVQGDGMQRRLAAVPSGARGAQQSAALGGHRVALLHLATATHMVLARPGKQRLQLATPARRHPWRAAGGNAASMARLLLNSEILD* >Brasy6G259200.1.p pacid=40048147 transcript=Brasy6G259200.1 locus=Brasy6G259200 ID=Brasy6G259200.1.v1.1 annot-version=v1.1 MNQGMLRQLRMLRDAMYRGYYLLDTFRCRVHREDEVEENQVVRSSWALSKFSYAKRLRFSSSGDMQNLQELEGVLGSLRTMILDVSELVAFLTTYPRLHRQPYSMHLLFEKCMFGRQMEMELVINFLLHTQPRCGSTPDRCDILPIVGPGRVGKSTLVTHVCDDERVRRHFSQIVFLRHDNFRDKEISTLTLRDGCAVGHENNMRLLVVVEVVGDLHEDLWETLCSLTRRCSKAGSKIIITSRSNKIKKLGTVQALTLKHLSDEAYWYFFKVITFGSTDPHMHPELVHLAMEIARAHNGSLFAANMTACLLRANFDIQFWRKVLAFLRGYLENHFSMFGEHPCDMLEGNIPTYIQRMGRTTEDFFVFDQYQMCTSQEEIPTITIQDVMYRGVKPHGTFKVLAWKSQIPPYYCYVYTCEIQELQTRVIKRKRSLIYDIRRG* >Brasy6G237200.1.p pacid=40048148 transcript=Brasy6G237200.1 locus=Brasy6G237200 ID=Brasy6G237200.1.v1.1 annot-version=v1.1 MQLALSFSVSGCFAGLLTEDNLFEWSATILGPYETPWEGGVFELRLKFPATYPQTPPGVRFISQVYHPNVSTRGEICADIFRNAWSPCNTVSSILASIQSLLNDPNPSHPKNPGAAQLYINDRREYNRRVRLCAEKTTELAGPSCTSQQ* >Brasy6G137300.1.p pacid=40048149 transcript=Brasy6G137300.1 locus=Brasy6G137300 ID=Brasy6G137300.1.v1.1 annot-version=v1.1 MYNDVANSMRYCKDCRRVTAITVNPASGDTVCTDCSLVLKERYVDESLEWRTFLHDGPAPAEDSRSRVDDHCLTDLQLGTNVAVPATGNVQTNAALRRMRGGGASGSGVAKPKTRGAAAPNRALADAFHAMDGMVERLGLATAVKDRAKEVLRKLEDAKAFPKGGKCRNRQALYAACLLMACRGEGTPLTFKELASVTRDSATAGTKDIGRLVKHIKSRLEDQDPDAGGMQAATLEMGAVVRAGDYLCRFGSLLGMGQEELHAAQEAVRRLEKDLDVRRNPDSIAAAVIYMATQRAGAGKSIRDVSTATGVSEVTIREVHNKDLSPHADLLFD* >Brasy6G217500.1.p pacid=40048150 transcript=Brasy6G217500.1 locus=Brasy6G217500 ID=Brasy6G217500.1.v1.1 annot-version=v1.1 MFVHQSIEKDVFEPLVARVTEIGLRFFGTVSSPETDERDIITMHDLPAKIRWEVQHLEELFEGIKEDKDEVYKVTMSVSTAVSEWQRRLAIAYQNESGAPEPFEGMKWAFDHHEGWVEDDNILGASSEIVDFDEHELFESLRYLKTVEGGSEARLLEKIKNVMQCINDYFATVRSRKEAANRSLCIVEHVFSPVLQIIKTIDHLVSEATARRDKSQNYKFLAKIDDEVKCLQDALSVIDRNKKEVEESFRMMEDHILPLLERFKATCSDQSEDLSFLDEIKHGVSHLEDVLGMIEQKKKDGNANFSIVSAAFVPLLTCLSTFRHLSLEALAHEDKSGAFILLDSIRDELSQLKHVLQKVQEKEKGIYSNFDAIEQHIDELFEGPMLDSEGSLRLKQMGGLRGKLHAIHEQITNIWGKVNDSFKVQEVSSELIAIRQEVSSSHQLSAADTFCSTRESVQMCQIKVIIDGLETRLRQCLLCLVVFPENAVIKKRLLIHWWIGEGFVSSVSEGKNFFNELLIPKGFIKPVNKCHCDKVHRCKVQPWIRGLLIEVAKSTAFVELNSDGSSKNDFSRTRRACLHDGKILTSFRHDVLTVYNIKQQYVELDKTWFSGKARLSTVQLGRWEDSNYDPQGHHVELNNIEFLKQVKSCKQLKYLSFRGISRIEALPTSVGKLTRLVILDLKACHNLEDLPKQIAELVKLEYLDVSECYLLSGMPKGLGKLSQLEVLKGFVLANTRSKHPCHLNELATLTKLRKLSIRIGNSIDSDQFEKLGNFSVLRSLTLTWGAGTRSALSIHDVNCLPRSSRAAAAHAMPRVLPLGLVKLEICCFPLAEFPCWVSPPELKNLKKLYIRGGVISDLGEGKCWEVTVLRLRFLKHLNYAWAALYQAFTKLHFLEVHECGNVETWPDCQKGLWRKEPNGRFTSILT* >Brasy6G260900.1.p pacid=40048151 transcript=Brasy6G260900.1 locus=Brasy6G260900 ID=Brasy6G260900.1.v1.1 annot-version=v1.1 MGGGNAQKSKMARERNLEKLKGGKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEAKHPKSELTQCFPHLKQ* >Brasy6G009900.1.p pacid=40048152 transcript=Brasy6G009900.1 locus=Brasy6G009900 ID=Brasy6G009900.1.v1.1 annot-version=v1.1 MAIVVRTLIASLLSRCPAEWRPTRAAASAALTAAVFAFLDALDALLCLAYAFLDGVLEDSPAACCCRRRRSPADADNDDEGVSDTLYLRRSFCRDALLRLVGAAAAAVRRFVRSRSGGDAPPAPEKKGTAPPPPRWSDCGCDACTAWQKKTAGAGDDDDGSGERRPLLLHSVVVHDKDADAMEEHAAVAVFIHGFTSSSAFWTETVFPQLNRRRRLLAVDLLGFGASPKPSDCAYTLRDHVSAIERSVLTGHDDPLQNHLSSFHLVAHSMGCIVVLALAAKHPDRVKSLTLIAPPYFRSEGRPVAEKKLWPPLQFGAAVMTWHEHIGRTVCFLVCKHHRLWEALLLGVCNLLPATTASRTSRLLRDLTRHTHRSAWRTMHNVVCGGARAVERSLDALAPVPVRVVHGGDDRVVPVECATELKSRLPRAELRVLDGCDHRTVVFGREKEFAGELKAFWEGCEKNTEHMLSPVLQDLSPQKNGNPLICSVVEIQSRREP* >Brasy6G085400.1.p pacid=40048153 transcript=Brasy6G085400.1 locus=Brasy6G085400 ID=Brasy6G085400.1.v1.1 annot-version=v1.1 MFHSNKLNDIGIGRSNLYIPTILGQRRRREKLPLSCWSGARSESDAAGVRRAWRTGARRGGWELQSSSTSRRAAPTAAGGRRKRVAGATVAVGRGSSLQTKLSSSSSSSSFTCTLHPPRCRFFRRGGSRGIRVLGTEMLRQAELRPLGKLREGGLLLRPAHHPRLPRRPRQRRHLLLGGVDGGLECSVVFLFLLDGDGRHHAEVDALAPEVMLGPGTNVPVRGLRLVHDQPTLLQRELRPSSHDFAEAGLAGERRQRHRNPFRAQVPPVRQIMQVGSRERDAPLPVLPGQVDADFHRPSRPERAGGAMVNPLDAGGTESPRNPGGKGPTRRRRCALARSKRG* >Brasy6G231000.1.p pacid=40048154 transcript=Brasy6G231000.1 locus=Brasy6G231000 ID=Brasy6G231000.1.v1.1 annot-version=v1.1 MPTMAEAHANLASAYKDSGNQEAAITSYKKALCLRPDFPEVTCNLLHTLQSVCDWENRDTMFHEVEEIIKRQIKMSLLPSVQPFHAIAYPIDPMLALEISRKYAVQCSLIASRFGLPPFVHPPPLPVRAQGKHGRLRVGYVSSDFGNHPLSHLMGSVFGMHDGNYVEVFCYALSQNDGTEWRQRIQAEAEHFIDVSDMTSDVIAKMINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNRDVFSPLCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNIVKRVPNSVLWLLRFPATGEMRVKAHAAARGVSADQIIFTDVAMKHEHIRRSELADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGDEMIVSSTKEYEDRAVELATNPAELRILTNKLKQVRLTCPLFDTARWVRNLDRAYFKMWNLYCSGRHPEPFKVKEDDNEIPYDR* >Brasy6G231000.2.p pacid=40048155 transcript=Brasy6G231000.2 locus=Brasy6G231000 ID=Brasy6G231000.2.v1.1 annot-version=v1.1 MFHEVEEIIKRQIKMSLLPSVQPFHAIAYPIDPMLALEISRKYAVQCSLIASRFGLPPFVHPPPLPVRAQGKHGRLRVGYVSSDFGNHPLSHLMGSVFGMHDGNYVEVFCYALSQNDGTEWRQRIQAEAEHFIDVSDMTSDVIAKMINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNRDVFSPLCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNIVKRVPNSVLWLLRFPATGEMRVKAHAAARGVSADQIIFTDVAMKHEHIRRSELADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGDEMIVSSTKEYEDRAVELATNPAELRILTNKLKQVRLTCPLFDTARWVRNLDRAYFKMWNLYCSGRHPEPFKVKEDDNEIPYDR* >Brasy6G026000.1.p pacid=40048156 transcript=Brasy6G026000.1 locus=Brasy6G026000 ID=Brasy6G026000.1.v1.1 annot-version=v1.1 MAAGERNGTAAAAPLLAAASWGRKKLCKEGCPGCRLDEINKANTGIPYLNFFYVWVVCLCAALPIQSLFPYLYFMIRDLNVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILAVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYASEVCRREHQALGISLVTSSRAIALVVGPAIGGFLAQPAKKYPNLFSEESIFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDEVEAIDALEEQVVAPNLQDAKANQFGSGRMSSTKRLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSLTSQDVGTVLAISGFGVLVYQLVIYPFLAKYAGLIKPFRFAAVLSVLLLTTYPFMANLYGLELKILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGMFLPGDQILFLMLNMVSVIGLALSFKPFFSMPSAMR* >Brasy6G026000.2.p pacid=40048157 transcript=Brasy6G026000.2 locus=Brasy6G026000 ID=Brasy6G026000.2.v1.1 annot-version=v1.1 MTGAGEAPLLRLRKVYHERCPGCRQERKVQTDGRIPYTDFLFIWIACLCAALPIQSLFPYLYFMIRDLNVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILAVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYASEVCRREHQALGISLVTSSRAIALVVGPAIGGFLAQPAKKYPNLFSEESIFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDEVEAIDALEEQVVAPNLQDAKANQFGSGRMSSTKRLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSLTSQDVGTVLAISGFGVLVYQLVIYPFLAKYAGLIKPFRFAAVLSVLLLTTYPFMANLYGLELKILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGMFLPGDQILFLMLNMVSVIGLALSFKPFFSMPSAMR* >Brasy6G197200.1.p pacid=40048158 transcript=Brasy6G197200.1 locus=Brasy6G197200 ID=Brasy6G197200.1.v1.1 annot-version=v1.1 MIYTAIDTFYLTDEQLRDSPSRKDGIDEAAEASLRVYGCDLIQESGILLKLPQAVMATAQVLFHRFYCKKSFARFSAKRVAASCVWLAGKLEESPRRSKHIIFVFHRMECRRENLPIEFLDVFSTKYTELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHRVPLPEDPPWWTVFDADEAGIQEVCKVLAHLYSLPMAQYIPVYKDNDSFSVRRASDTQASKESPASAVASDRGTPVPSSSSQEKNSLTKAGPEKVKEKSDNKDKPLPAELNGKGDQAVNSKSEKSESNVDRTEERERSRSRGRDRDTRGRDSDRDRERDRAKRHRSRDKTSGYSDKEKSRHRSSRDRGGYYSSGDKDRHRHH* >Brasy6G150800.1.p pacid=40048159 transcript=Brasy6G150800.1 locus=Brasy6G150800 ID=Brasy6G150800.1.v1.1 annot-version=v1.1 MKTELNESLLTARFYTADFDEMEQLFNAEINKQINQEELDRLQPDQLHPQPRVQGGRRQDAGSDKSSSSSSSAPAPPISPASSSTRSSAIGSRKPTRCWPRSSRWCAGTRSGTHGCSRSERDPQLVISPGMSLVKLE* >Brasy6G163600.1.p pacid=40048160 transcript=Brasy6G163600.1 locus=Brasy6G163600 ID=Brasy6G163600.1.v1.1 annot-version=v1.1 MGRSGYTDEGGASMMSWLRDSASCASSQQAKYELAFEDPAYIRYLEGDLGMNVDSGEGVGHLLPELQNLKLSCWRKVEFEEAR* >Brasy6G024000.1.p pacid=40048161 transcript=Brasy6G024000.1 locus=Brasy6G024000 ID=Brasy6G024000.1.v1.1 annot-version=v1.1 MRRTTTKHAEPRLRAGEASRSGGGESAEPDRLSALPDALLHHIMSSLKAWEVVRTCVLARRWRHLWASTPCVDLRVCYSRRGDNQPEEFRDFVHQLFLSRDASAPVDTLILRSSDEDAEYDEADAKRWISAAIKRNARVIHLAGHRREITLLDGVTFVSRHLKILKLSYACLRAGILRQLSSNCTSLEELDLKDCLVAGPEIVSASLKTLILLKCTINCDFSIAAPNLILLRLTTPYIRVPSFENLGSLVTGTIILDDSFLGNDYEHISDEDDCDGTTDDDDNDDDNDDASYKVHDDSSLSDDDFGYISDEDDIDKFRYGPKHGYGRGGYKDNYNYGSDIDSDDNTYEYSEIANDPKYGYKGEGQNSSKGGNYGESSGFNDREILGGNHILHSLSSARSLEFLTDAGEVVLSRELKTCPTFSNLKTLSLGEWCMAADFDALIFLLQHSPNVERLFLQLKVNYGTRKALATGIKLQERSFTCNGLRMVKIKCSKDDARVHKLAHLFRANGLPLEKIYVRQSGNAHLRGEKFMKELARQELEDWM* >Brasy6G107600.1.p pacid=40048162 transcript=Brasy6G107600.1 locus=Brasy6G107600 ID=Brasy6G107600.1.v1.1 annot-version=v1.1 MASSGLSSRRSVMASRLTASAEAVNEPRRPGAVVSRRVEYDEESLGAGGEPRYEVFINHRGADTKRTVARLLYDRLAQAGLRGFLDNMSMRPGDRLEERIGSAIRECTVAVAIFSPSYCDSEYCLRELAMLVESRKAIIPIFYDIKPSDLLLPQAVADSEVYLPRDLERFKFALREAKHTVGLTYDSATGDMAELVSAAADAVMYNMEKMETVQRRETMIVSRL* >Brasy6G139400.1.p pacid=40048163 transcript=Brasy6G139400.1 locus=Brasy6G139400 ID=Brasy6G139400.1.v1.1 annot-version=v1.1 MRHAAAAGAVLRLAIVGLVVLPPLAAALRPLRERVASAGTAASSGSWADEHTFFKRDENEMSPYSWNITGTYKGSWSFAGASNGSSRFLEFVTSKGDSVLELLSTPTKISGVHYVQGTITFHDVIDNTHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQDSSEEKNRRKDSLTYDMEKHCNIEIAAKVVRVSSNLNEGEHEKYRLEGLMESPAVDDDGECFSSILLNATSLNVEAYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWIPQIVTNVIRDTRKPLHPQYILGMTATRIAIPLYIFGCPNNFMRIEPDKKWCIAVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRPLPPA* >Brasy6G064300.1.p pacid=40048164 transcript=Brasy6G064300.1 locus=Brasy6G064300 ID=Brasy6G064300.1.v1.1 annot-version=v1.1 MALPKAPAAARRLLLPSGAALQRTAAGFSFDFDQIDLGGLWELIKAKAAELAAFFTGLFAALGEKADELFPPETRSETLGRWLHVAFTVALPAALGALVLFCCCRCCCRSGRGGGRTMVAPGRGGARMPRGAFEGNPREYFRDLRANKPLVY* >Brasy6G086300.1.p pacid=40048165 transcript=Brasy6G086300.1 locus=Brasy6G086300 ID=Brasy6G086300.1.v1.1 annot-version=v1.1 MEPEKKPPAVSDLGAWGMNVVSSVGIIMANKQLMSSSGYAFSFATTLTGFHFTVTALVGWISKATGYSASKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTSKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFVDYYLNGRSLLEYSFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTIKNILGMLLAIMGMVVYSWAMESEKKATALIPRNKSDMLDGEDVPLKSRTSGLPASDLDLDLEEGGPTKS* >Brasy6G013900.1.p pacid=40048166 transcript=Brasy6G013900.1 locus=Brasy6G013900 ID=Brasy6G013900.1.v1.1 annot-version=v1.1 MPPKPGEPPKPPTPGRSPNSLNLPCPLPPVPPPGHHHSPAAGGHHTPHHRRARSEVAFRFPAEIAGSEDEHFSTFMDMDKVAGAGAARDRAAGTSSSPPRPTKHRHSASFDGGGGAGKQKGGGGGVFSDVLEAKKAMSSEELSELASVDPKRVKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENTELKIRLQAMEQQAQLRDALNDALKQEVERLRIATGETTKSDEAYDRGMHHVPYSPSFFQLSEQHSVQHHASVHQLPPQFQPPHPSVPGHQMQSHPNTFPDMMQQDSLGRLQGLDIGKGSVPVKSEADEPVKSEGSSLSANESNSTF* >Brasy6G223400.1.p pacid=40048167 transcript=Brasy6G223400.1 locus=Brasy6G223400 ID=Brasy6G223400.1.v1.1 annot-version=v1.1 MDLVVIIMRSCFRWWAGVREEAAEEGGVRYAATPAASPSYYGLRLLHSFLQPDLVLRLDRGECRAAGGGGRSYALVPADELSRALARQNSSLALHNKHSFAGDSAGAYPLVLRISVRETSILTIKISKKDNPVDNYKRANKMFNIDSQPVHVWDFSGQTNLILMNEWNRSHQDYCHSEQENLLEVQVYAMSDSLTFKIGGTNMNVDMSYGSIGRAGSMGLIGLENLGNTCFMNSSIQCLAHTTKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRSLWTNDRKQVAPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSMAKRAMTVTVFSTDGSREPFSYDVRVPKFGTLSDLVQVLSAACSLRDDETLLVTEVYNNCIIRYLEEPSDSISLLRDGDKLAAYRLPKQYEKSSLVVFTHKYIAEHSSVNNVAPQIKEFEAPLLASLPEIVSGFTLESIYLKLLCPLRFSKSTSLITGCGSCNGDCAVDLMDATGDCAADLMDATGDCAADLMDATPSDSDGNFQSAQSEDGPERNHCDANESEVMEEPSESNCGGTAISDKEAHREQFEFYLTNGRDDVQHKRMEMNDLNLLETTPSRLHVDVHWQHSAARQYNTSMLNNLPEIHKLELIPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETSVDFPISDLDLSPYIAAKHEQPNSHYHLYAISNHYGNMGGGHYTASIYQEGKGWYKFDDECVTPISEDSIKTPAAYVLFYRRE* >Brasy6G005600.1.p pacid=40048168 transcript=Brasy6G005600.1 locus=Brasy6G005600 ID=Brasy6G005600.1.v1.1 annot-version=v1.1 MAGGRLAHATLKGPSVVKEIFIGLTLGLVAGSMWKMHHWNEQRKTRSFYDMLDKGQISVVVQE* >Brasy6G005600.2.p pacid=40048169 transcript=Brasy6G005600.2 locus=Brasy6G005600 ID=Brasy6G005600.2.v1.1 annot-version=v1.1 MAGGRLAHATLKGPSVVKEIFIGLTLGLVAGSMWKMHHWNEQRKTRSFYDMLDKGQISVVVQE* >Brasy6G071700.1.p pacid=40048170 transcript=Brasy6G071700.1 locus=Brasy6G071700 ID=Brasy6G071700.1.v1.1 annot-version=v1.1 MWRPPLMSYHSWRESSVAVVRRATAIDVLHITRGRPIVPASDVAPIISNGRPLPAPLPERLPSPSPSSSASPPRAPTRAPPPPSSSCLSFPPARVPLPRAPAAAAVEDHGGSAWRPFTGAAGSGALDLTRGRIWRPRPGPDLPRSAGPDPCLLWSACPRPRPPAVAGSAALTPLLPPDPGLPLLSFSPSLSPSLSLPLSDFLSFAVSSWRNNCSTAMEHRCGEEPVPASSGDPPSGDEEAAAPEPACPGIVSIFVGTWCLLRVCWRN* >Brasy6G112500.1.p pacid=40048171 transcript=Brasy6G112500.1 locus=Brasy6G112500 ID=Brasy6G112500.1.v1.1 annot-version=v1.1 MKTLCWNCRGIGDPATVKELRDLARECAPSVLCLVETQLGKQRAESLAGTLGFDSAFGVASSGRSGGLCMYWKSSINLQIKTVSKYHIDSVISELGKDPWRLTCFYGEASRNLRHLSWYMLRFLRSDSALPWMCLGDFNEVLRREEHMGVNEREGWQMDNFREALDVCGLCDIGPWRRLTGVLCFLSLLYNI* >Brasy6G031100.1.p pacid=40048172 transcript=Brasy6G031100.1 locus=Brasy6G031100 ID=Brasy6G031100.1.v1.1 annot-version=v1.1 MASTRLGVDAVRSSVLDLKRFSKAVKLVGFTPFASAGDALNQCNSISEGIMTDELRNFLELNLPKVKEGKKVKFSVGVVEPKVGSHITEATGIPCQSNEYVQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELLAKFVVNKSDLAEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDITPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDLSSSIFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIEGMTNTVSENGDDKENGDASVKKSKKKKSKTEAMDVDNGAAEAEPETEKKKKKKKKHKLEDVEMAEQEETPKKKKKNRDVSEDVEPKIGGTEGKKKKKKKSKTDDDE* >Brasy6G244700.1.p pacid=40048173 transcript=Brasy6G244700.1 locus=Brasy6G244700 ID=Brasy6G244700.1.v1.1 annot-version=v1.1 MASLADSFLADLDELSDNEAYPEEDNAEAGGADEDSDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEGALEKSTDLSNQGFILEEDPEYQLIVDCNALSVDIENELIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAVIMVVSVTASTTSGKPLSEENLVKTIEACDRALNLDAAKKKVLDFVEGRMGYIAPNLSAIVGSAVAAKLMGIAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEHTEIFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQNKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNHLGSGTQSTYFSETGTFSKIKRT* >Brasy6G244700.2.p pacid=40048174 transcript=Brasy6G244700.2 locus=Brasy6G244700 ID=Brasy6G244700.2.v1.1 annot-version=v1.1 MASLADSFLADLDELSDNEAYPEEDNAEAGGADEDSDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEGALEKSTDLSNQGFILEEDPEYQLIVDCNALSVDIENELIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLEGLLPSAVIMVVSVTASTTSGKPLSEENLVKTIEACDRALNLDAAKKKVLDFVEGRMGYIAPNLSAIVGSAVAAKLMGIAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEHTEIFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQNKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNHLGSGTQSTYFSETGTFSKIKRT* >Brasy6G102600.1.p pacid=40048175 transcript=Brasy6G102600.1 locus=Brasy6G102600 ID=Brasy6G102600.1.v1.1 annot-version=v1.1 MAGCTAPAPAKKVVKMARFADDARSVAPAAHSDWRDWANLTEGPAGQIAERLLADDVADYLRFRAACGTWRRSTACPHARDGLDRRFHPRRWAMLPPTLQGGVRRRELLNATTGARIHVDLPELRHQHVLGPTSGGLLVICDKRTLAIRLLNPLTRHLTAGLPDATSLLRTPAALTRQRQQQELIRYSLQSVRLHSAGLANDEGPTVVLHFNTSWLVVAKPGDKRWRPLPASNGFVVTALSFAGRFFCVTEKAIAAVDTAPECPQLVPVAQQQLGVEFRPSDGRVKLVDNDGELVLVHRKWLGNTDIPCPGYEVHRVDLDAGNTVPMPGLGGRVLFVGQGPRGCNPAISVPAGLSSCISADTVYTCTNFSRSDRLPEIVARPVQCIPGFLCSGLRRDAMECSVVHSISRYVCYSEITVSPPPRSQRVAVRRTSTSVEFTAGS* >Brasy6G087100.1.p pacid=40048176 transcript=Brasy6G087100.1 locus=Brasy6G087100 ID=Brasy6G087100.1.v1.1 annot-version=v1.1 MVNMMDNEPSSELELDEPLGRVSILSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLMGTNSSTLETVGYSTFASIFNVGWAVAQVSHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLIFSLLQSVSVIVQYRWIAYVSISLGSCFVAAFLIGTKEPVLNQPSQNKSLSRISWAHWFKKLLYYQVALVYMFTRLVTNVSQAFLAFYVINDLGMHQSSKALVPAIIYVCSLIVSVMLQETRWSSRRLKCYFSAGAMLWILSGVGIVFLPSRMHNFMYALSVTIGAANALMTVTSISMEGVLVGADLNGCAFVYGSLSFLDKVSCGIALYILESYQGSTKISTNHESSVGYSLTRLGLGLVPAVCSLLSAMVAYTMDLPDTRRRLLVEPLLG* >Brasy6G134600.1.p pacid=40048177 transcript=Brasy6G134600.1 locus=Brasy6G134600 ID=Brasy6G134600.1.v1.1 annot-version=v1.1 MNLILSISSSEHPCQADATAQDREKEEIKFMSYNVWSREDVKVYGRMKVIGGLVQVHSPDVIFFQEITPYIHSIFESFPWWKDYHCSPVPPEEKKPHFCLMLSRLPLENYACRNFSKTTSGKGYLEADINPEPKKPIRIATTQLERPVPPAAMHFRERYAQAEHAVSALSGAAENVVFGGDMSWDDDADMPFPLPAGWSDASASSRTEDWTYDGFWNEKAWEFNGYIASAPWMQTRSDRFVCKLRDYTLRSFRLVGAESIGKRYCVKKHTSYDPGAADLMPSCHGGLVLTIVPK* >Brasy6G254900.1.p pacid=40048178 transcript=Brasy6G254900.1 locus=Brasy6G254900 ID=Brasy6G254900.1.v1.1 annot-version=v1.1 MPPPPPELPAELVEQIFLRLPPDEPRCLFRASLVSNFWLRRLAGPSFRRRYCELHRTPPMLGFLTVDSIEDSRDYNSRFVPTVKLCPPGPGRMHLRALDARHGRVLFQTTPTDDSVLPELMVWDPITLEEWTIPEPVRGASFVSWAAVLCAKEGCDHLDCHGHPFLVSYVSLEGFWIYARLYSSQTASWINETYVIHDHDDEYLAECSELDGTPTNVLVGNTLYFNCYFPPIIMRYDLADRELSLIENPESDDCMSGQMVVVNGVLRLAAIVESSICLWSMEVDEHGAAAWEQHRVIKLEKQLPPLSLSTKPVIIGFAERVGVVFLRTEAGIFTVELKSGRARNLCNEGNIGDPIIPSFFQKKGVLQPYHLIPYMSFYTPGWDIMLLPPGPSAVEEL* >Brasy6G267100.1.p pacid=40048179 transcript=Brasy6G267100.1 locus=Brasy6G267100 ID=Brasy6G267100.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILALLFMPGAMAAAVASFDASRSQHLPLPRGYLRGPESVAFDGQGHGPYSGVSDGRVLKWNGDKIGWTTYAYGPDYSSQACTASKLRPETVTESHCGRPLGLQFHHKSGNLYIADAYKGLMRVGPAGGEATVLVNQVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPKTNDVTTLQSGITYPNGVSISHDRTHLVVASTGPCKLLRYWIKGPDAGKTEPFADLPGYPDNVRQDRRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKVLEEMRGPKSVRPTEINERSDGKHYMGSVELPYVGVVTHK* >Brasy6G172400.1.p pacid=40048180 transcript=Brasy6G172400.1 locus=Brasy6G172400 ID=Brasy6G172400.1.v1.1 annot-version=v1.1 MEIEREAPGSERGRSWRATASQDQDTKKLEDSEQIIKRSSWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILVGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVMICLWLLAEVAVIAADIPEVIGTAFAFYLLFGIPVWIGVLITGSSTLLLLGLQRYGVRKLEFVVSMLVFVMAACFFGELSIVKPPAKEVLKGLFIPRLKGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDACSYFLYESGFALFVALLINIAVVSVSGTVCFAGNLSPEDADKCSDLSLDNSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKTWMRNLMTRCIAIAPSLVVSIIGGSNGAGRLIIIASMILSFELPFALIPLLKFSSSTSKMGPHKNSIYIIVFSWTLGLMLIGINVYFLSTSFVGWLINNSLPKYANVLVGVAVFPLMLVYLVAVIYLTFRKDTVITFVADSGDAEKAGNGIGGDNDDDEPPVPYREDLADIPLPAHGAPRPVES* >Brasy6G137500.1.p pacid=40048181 transcript=Brasy6G137500.1 locus=Brasy6G137500 ID=Brasy6G137500.1.v1.1 annot-version=v1.1 MPSLGPQVGYSCVVSGLYLRGSWRLTLQLSLCQSQVKLARIWSSGHFFYVSLLVHLETSQSSTAKLLVFP* >Brasy6G188800.1.p pacid=40048182 transcript=Brasy6G188800.1 locus=Brasy6G188800 ID=Brasy6G188800.1.v1.1 annot-version=v1.1 MADPGGEQRAALLAAASVFPLPDGARFSYGTAGFRAEGSTMAPAVCRAGIVAALRSLKLGGTSVGLVITASHNPVGDNGVKIVDADGGMMSQAWEPFSDALANAPSPEALVELVLQFAKDEGIALGGCPSAQVLLARDTRPTGEYLLHAAVKGINAIIGATALDMGILTTPQLHWIVRSKNKSLKASEEDYFTQVTESFRHLLELIPDDKCGDELNKKLIVDGANGIGGRKLEEVKTKLAGLHILVKNSGKEGEGILNESCGADFVQKEKVLPLGFGPEDIGVRCASFDGDADRLVYFRITSPGNTTIDLVDGDKILSLFVLFIREQLDAINGKDNQENKVLPTRFGVVQTAYANGASTEFLKNLGLEVAFTSTGVKYLHKKALEYDIGIYFEANGHGTVLFSDEFVSRLESLTTKLSSIAAGSAQHQAALRLLATSQLINQAVGDALSGMLLVEAVLQNKRWSFQNWCDLYTDLPSKQLKVKVKDRTSIVTTDAERRVCQPSGLQELIDTEVANYSHGRCFVRPSGTEDVVRVYAEASTMEACDILAKSVAQHVERILG* >Brasy6G119300.1.p pacid=40048183 transcript=Brasy6G119300.1 locus=Brasy6G119300 ID=Brasy6G119300.1.v1.1 annot-version=v1.1 MKLFEFVRRSRRPSSAAAAVATPEPVPSAPAEKKRRRPSSGSAVWKPTLGAISEDAAVAEAEKAKAKAKLAAAAKAREKRPPPRASRPDYDDFRRFGAPTVLPAFGPAAFLF* >Brasy6G174000.1.p pacid=40048184 transcript=Brasy6G174000.1 locus=Brasy6G174000 ID=Brasy6G174000.1.v1.1 annot-version=v1.1 MSSKKIELDHKDMIHDSAIDYYGKRLATASSDYTVKVVSIGGASAPSKLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFADHKSSVNSIAWAPYEVGLCLACACSDGNIYIMTIRADGGWDTATIERAHPVGATAISWAPATALGLLASSGEVVCKLVSGGFDSVVKVWEFTNGSWNLESALPSDMHTDCVRDVAWPPVLGLAKSTIASACQDGKVVIWTRVKDGDKWQGMVMHDFKTPVWRVSWSLTGNILSVAAGESNITLWKEASGGQWEEVMKIEP* >Brasy6G045900.1.p pacid=40048185 transcript=Brasy6G045900.1 locus=Brasy6G045900 ID=Brasy6G045900.1.v1.1 annot-version=v1.1 MGRAGSAQGPKEAGSYAQPIRHREDPSLSAQAALRLAPFLSPSAPPALPPLAGPSKSSSLHPFLAVALVITERGGEERALGEGGAVGTHTHLCMTALVRLAGRAVPWIRGSSTAAAPRGVGLFAQRRTAFQGARMENGGGKGDRGALIVLEGLDRSGKTSQCARLLSFLQGKGCLAEAWRFPDRETSVGKMISAYLANESQLDDRTIHLLFSANRWEKRNLMESKLLGGTTLVVDRYSYSGLAFSAAKGLEIEWCKAPEVGLLAPDLVIYLDVQPEKAAERGGYGGERYERVEFQKRVAEHYHSLRDSTWMVTYFWENLLRFIALPGLLTTPFHVGLLTVPFPWRPCKNR* >Brasy6G045900.2.p pacid=40048186 transcript=Brasy6G045900.2 locus=Brasy6G045900 ID=Brasy6G045900.2.v1.1 annot-version=v1.1 MGRAGSAQGPKEAGSYAQPIRHREDPSLSAQAALRLAPFLSPSAPPALPPLAGPSKSSSLHPFLAVALVITERGGEERALGEGGAVGTHTHLCMTALVRLAGRAVPWIRGSSTAAAPRGVGLFAQRRTAFQGARMENGGGKGDRGALIVLEGLDRSGKTSQCARLLSFLQGKGCLAEAWRFPDRETSVGKMISAYLANESQLDDRTIHLLFSANRWEKRNLMESKLLGGTTLVVDRYSYSGLAFSAAKGLEIEWCKAPEVGLLAPDLVIYLDVQPEKAAERGGYGGERYERVEFQKRVAEHYHSLRDSTWMVVDGSLPMETVQEQIRELAMNCISECRGKQLTDLAW* >Brasy6G045900.3.p pacid=40048187 transcript=Brasy6G045900.3 locus=Brasy6G045900 ID=Brasy6G045900.3.v1.1 annot-version=v1.1 MGRAGSAQGPKEAGSYAQPIRHREDPSLSAQAALRLAPFLSPSAPPALPPLAGPSKSSSLHPFLAVALVITERGGEERALGEGGAVGTHTHLCMTALVRLAGRAVPWIRGSSTAAAPRGVGLFAQRRTAFQGARMENGGGKGDRGALIVLEGLDRSGKTSQCARLLSFLQGKGCLAEAWRFPDRETSVGKMISAYLANESQLDDRTIHLLFSANRWEKRNLMESKLLGGTTLVVDRYSYSGLAFSAAKGLEIEWCKAPEVGLLAPDLVIYLDVQPEVVDGSLPMETVQEQIRELAMNCISECRGKQLTDLAW* >Brasy6G130000.1.p pacid=40048188 transcript=Brasy6G130000.1 locus=Brasy6G130000 ID=Brasy6G130000.1.v1.1 annot-version=v1.1 MMAIFLLLLLLMCSLAPGALSVPPRPPVRCGGNRESGCVLSNAYGAWSSDRADCPVSAVAYPASERDVVAAVARASAGGMRVKAVSGFAHTIPKLACPSAGPGGNGNGTLLISTAGYAGVEVDAAARTATADAGAPLRAVIDAAEARGLSLPASPYWEGVSVGGAVSTGSHGSSWWGRGGALHDHVVGISLVVPAGAADGWAKVVALRRGDKLFPAALVSLGMLGVISKVTLALEPRFKRSITYDYRDDSTFQDDFADHAARHEFADIAWYPSQHQAVYRVDGRAPPNASGDGVNDFIGFQSTLIAVSAGIRALETALERSRSVKGKCAMAAAEIAAKKLVGNGLRSSNGALFTGYPVVGFQGKMQTSGSCAHSPASNLISSCAWDPRFKGLFFYESTAIFSPEGFREFILDVKRLRDMNPENFCGVDVYNGFLIRFVKGSEAWLGQPEDSVVVDFNYYRAADPAAARLGQDVWEEVEQLAFVKHGAMPHWAKNRMAAFQGVRAKYPRWAGFAAAKRELDPRGLFDSPWSDEVIGRKELGKGDGCAMDGQCVCSEDRHCSPGQGYYCRTGLVYAEARVCRYSASQLA* >Brasy6G219100.1.p pacid=40048189 transcript=Brasy6G219100.1 locus=Brasy6G219100 ID=Brasy6G219100.1.v1.1 annot-version=v1.1 MAMAAVEARLRQEKVKKFEDFVDRRLKPDLLNAISQRDTLFHQQKTFLDLKMNIENLEKNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLQLPPE* >Brasy6G266200.1.p pacid=40048190 transcript=Brasy6G266200.1 locus=Brasy6G266200 ID=Brasy6G266200.1.v1.1 annot-version=v1.1 MRRWREPLLRRRRPRLRRVPRLRRMLPPHGGCSYPRWHTGVPEEGNPATDIRCTGPSCMPGGGACFYPATRASRGASQDTGTREGMKDIFAFFGGKMEIHPKSISGRFYIRCVTMLEKNQISYSIPLTAHVASSIVTSAVRKKDIEVPSGWHHKQPVDWVMSTSTHMKSDPQC* >Brasy6G082600.1.p pacid=40048191 transcript=Brasy6G082600.1 locus=Brasy6G082600 ID=Brasy6G082600.1.v1.1 annot-version=v1.1 MAPPSPSPPEADGPATPATTNNNPTQSPPTQLLPLRAPPLSWSTPVRLSWADACEEEEEGEFVPDSLPPPASPLAQIRSSVVRPEPGAARIYVPPQRRPPSPDKNASPAFIAGSGVRSPFKARLPLPPAGSPPCGQPRLFNAGGSSIDGARHVNRWILPPPPPGLPPRGQPRTQDAPAGSHPRGIHSPPARVVNSRPARQDGDSRRIDVGWQLVRRPHWWRRGPPGAPRLASTLKAERRAALLRRMRGRCFRCLSHRHIATHCQSCRAPPVSPPPPPPARRHSSLVTAGRSFADALMADDGDFQGRPAEDQSVMEVTQDMVLAEHSYRTHAVLVTIGGDRPRPEPRHVADAFCREFDIALDEVRVSAHYPEDYLVAERHSDWVAMPFHVRLCVENLPVHAWTPETAARIIGRRAKVHFVEDQSTRREDTSTFNFWVWTANPAALPRRHKLWLMENHDATQPTAPLFMPIVAHNTPSAGIEGRRCPLLIHIDVVEDLTALTPAREGGSVPSRGRRVIKGYAWTHGEEDTGRRDVGRRPPPPTRNCNDGRRNERRDDHDRDGDKDGRRSRSSRRDGRKDSSLLTRLARAAPYPHRRAVPPDDTSRYGGRRAGCSSGPVRCRARRGPVVRSPGRATFVASICKDIPSPILDMPAPPPPATTDPEATVRRSARLASRPSAGLTTELAAQALVARRLGSLPPAAPFDATAKAAYLALFKGPLPDHAIAAIEDLVMEAKKTKKTPLTAGAGRGRGRGIGRGRGITVI* >Brasy6G232900.1.p pacid=40048192 transcript=Brasy6G232900.1 locus=Brasy6G232900 ID=Brasy6G232900.1.v1.1 annot-version=v1.1 MAAHARAQALRSLLARCSTKCPAAAAAAASSSCRRRAVPSYSQRIIPTFRAASVPALLTRSMSTTARGGAGPDEPGAEEDEEEGEAQEWEEDEDDGAEPEIGDGGNGGGVVLRDIKWGDLALASAKEVLAEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEMIERGEIPLDLAVEISSPGAERLLKVPEDLDRFKDMAMKVQYFAEGDDLVSDQADGIFLLESVDIQAEHCVWKLANVTENRAGKGRPLSRKQRDWRLQTSFQAVRKVTLYLD* >Brasy6G232900.2.p pacid=40048193 transcript=Brasy6G232900.2 locus=Brasy6G232900 ID=Brasy6G232900.2.v1.1 annot-version=v1.1 MAAHARAQALRSLLARCSTKCPAAAAAAASSSCRRRAVPSYSQRIIPTFRAASVPALLTRSMSTTARGGAGPDEPGAEEDEEEGEAQEWEEDEDDGAEPEIGDGGNGGGVVLRDIKWGDLALASAKEVLAEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEMIERGEIPLDLAVEISSPGAERLLKVPEDLDRFKDMAMKAEHCVWKLANVTENRAGKGRPLSRKQRDWRLQTSFQAVRKVTLYLD* >Brasy6G232900.3.p pacid=40048194 transcript=Brasy6G232900.3 locus=Brasy6G232900 ID=Brasy6G232900.3.v1.1 annot-version=v1.1 MAAHARAQALRSLLARCSTKCPAAAAAAASSSCRRRAVPSYSQRIIPTFRAASVPALLTRSMSTTARGGAGPDEPGAEEDEEEGEAQEWEEDEDDGAEPEIGDGGNGGGVVLRDIKWGDLALASAKEVLAEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEMIERGEIPLDLAVERGF* >Brasy6G232900.4.p pacid=40048195 transcript=Brasy6G232900.4 locus=Brasy6G232900 ID=Brasy6G232900.4.v1.1 annot-version=v1.1 MAAHARAQALRSLLARCSTKCPAAAAAAASSSCRRRAVPSYSQRIIPTFRAASVPALLTRSMSTTARGGAGPDEPGAEEDEEEGEAQEWEEDEDDGAEPEIGDGGNGGGVVLRDIKWGDLALASAKEVLAEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEMIERGEIPLDLAVERGF* >Brasy6G163200.1.p pacid=40048196 transcript=Brasy6G163200.1 locus=Brasy6G163200 ID=Brasy6G163200.1.v1.1 annot-version=v1.1 MPPKKGDDPKVDSRDAPAARTRSRGATQATQGQEGWESSQARLQQQPQPLQQRQAGERQLQLPPPPPRSQSNERLGKAPVLDTGAGASRTGDVPVGRLEHREQSRVDDYQRRSHRSQSASTPQDHGSFGQPPNHGSGQAGGTHLTPPPPVRTRAEALAAARAMVRYEPPQGTPAHEAWRAELYAFMEFASRRLEGLRVEPGSHASASRERDPTRNPEPEPGEQPRFPPRQDLPGGGNRGAGGADDSVGSSATVLVEDARGVLNGRRGEDLRERLARRRQRELERQLERERQLQQEQQHGEPEGQGGAPSVMTTAASRLLASSVG* >Brasy6G264100.1.p pacid=40048197 transcript=Brasy6G264100.1 locus=Brasy6G264100 ID=Brasy6G264100.1.v1.1 annot-version=v1.1 MAGGDRERDTDEETRNQMMQNLFGDQSEDEEEEEDDEAVEVVDEDDHPRQRRQGMDQEEDYEEEGDDGRGGPAHDAYHSEEVEGEAENGGEGEAEGEGESEGQVGMEEESETEAHPADLDQGESDAERVQSSPERDLSDQVVQTDPRVDSEDEGYGQRVVASRRRRGVAASESEGSEDNYYAGQAPEDEEAQTRKPISPMEEERDHEVVRDVFGDSDEEDEPAPYRAPDEIDEDSHRSPMEDEGQYERDLQPEDVVADEEMRYESDDNRELKVKEKPVGPPLNLHVPLQKPPARPERMNVIKVSNIMGIDPRPFDPKTYVEEDVYVTDETGTKKKIRLEDNIVRWRTVKKADGSTSIESNARFVKWKDGTMQLLIGNEVLDISVNEANHDQSHLFLRSGKGVLQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFDAKDPERAKQEKERVNKISIFSPLGVYAKLIGFVHDWCLSEAEGQSIRAHSILQRKREKVNRKYTQPARQRRQLSPGFLEDALDEDEETDGHYSARRMTSRGRFEDDMEAEALAERRIINAKKSSLSRGAPRRPSFPPSRAPRRQEYSESEREEESEYETEGEDIEHSPTGAREDELDEEDEYEEDLEVEEAAMSDDEIQEPKKKRDSVASGSQRRGREVDSDDDDSPPRKQQAVHRRKAVVFDSSDDE* >Brasy6G264100.2.p pacid=40048198 transcript=Brasy6G264100.2 locus=Brasy6G264100 ID=Brasy6G264100.2.v1.1 annot-version=v1.1 MAGGDRERDTDEETRNQMMQNLFGDQSEDEEEEEDDEAVEVVDEDDHPRQRRQGMDQEEDYEEEGDDGRGGPAHDAYHSEEVEGEAENGGEGEAEGEGESEGQVGMEEESETEAHPADLDQGESDAERVQSSPERDLSDQVVQTDPRVDSEDEGYGQRVVASRRRRGVAASESEGSEDNYYAGQAPEDEEAQTRKPISPMEEERDHEVVRDVFGDSDEEDEPAPYRAPDEIDEDSHRSPMEDEGQYERDLQPEDVVADEEMRYESDDNRELKVKEKPVGPPLNLHVPLQKPPARPERMNVIKVSNIMGIDPRPFDPKTYVEEDVYVTDETGTKKKIRLEDNIVRWRTVKKADGSTSIESNARFVKWKDGTMQLLIGNEVLDISVNEANHDQSHLFLRSGKGVLQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFDAKDPERAKQEKERAEGQSIRAHSILQRKREKVNRKYTQPARQRRQLSPGFLEDALDEDEETDGHYSARRMTSRGRFEDDMEAEALAERRIINAKKSSLSRGAPRRPSFPPSRAPRRQEYSESEREEESEYETEGEDIEHSPTGAREDELDEEDEYEEDLEVEEAAMSDDEIQEPKKKRDSVASGSQRRGREVDSDDDDSPPRKQQAVHRRKAVVFDSSDDE* >Brasy6G156400.1.p pacid=40048199 transcript=Brasy6G156400.1 locus=Brasy6G156400 ID=Brasy6G156400.1.v1.1 annot-version=v1.1 MVKLMGISKKWHGGGSSSRVTSPTAAAAASAAAVPACPRGHFAAYTRDGSRFFVPIACLASDTFRQLLAMAEEEFGKPGDRPIVLPCSAACLEQILAASKKCSTGGGRAKIW* >Brasy6G178400.1.p pacid=40048200 transcript=Brasy6G178400.1 locus=Brasy6G178400 ID=Brasy6G178400.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPTHSTSGSRQHAAQLTQDLEADVVAYGRSLSSGPLLLEREPHQSWLRRLHDKIRSVYAAITCTRPSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRPPRHSTHRQHPRQQDPPDLRHHPRPRLVEQSTPRPPPPDQAGGSSWQHPQSSFDAWTEQSPFQAGGSSWQQQIPAMNFEFCPQTQPQGAYAHQPSLSEPSWGSDYDQ >Brasy6G239900.1.p pacid=40048201 transcript=Brasy6G239900.1 locus=Brasy6G239900 ID=Brasy6G239900.1.v1.1 annot-version=v1.1 MAAKLHTTILVYSLCLMIILSLGPPNHVALCTAQAQSFVYSGFRGADITLDGSTVVQPDGLLQLTNGSDIIGYAFHRAPLRFRGSPNGTVQSFSLSFIFGVQSVFDKESSGGMAFFISPGKNFSNTFPGSFLGLFNPSTNGSPNNHIFVVELDTFGNGEFKDIDSNHVGVDINGLISIEAHTAGFYDDKTGTFKNLSLNSGDPMQLWVEYDAQTTQVVSTLAPLGTAKPQRPLFTTTTNLSNVLEEPSYMGFSGSTGSLSTLYSVLGWSFGLDGPAPAINIANLPKLPHGDQKARSKVLEIVLPIASAAFIAVVGTAIILFMRRRSRYAELREDWEVEFGPHRFSYKDLYHATEGFKNQHLLGAGGFGKVYKGVLPVSKLEVAVKKVSHESRQGMKEFITEVVSIGHLRHRYLVQLLGYCRRKDELILVYEYMPNGSLDRYLHFEEDKPMLDWTQRLGIIKGIACGLLYLHEKWEKIVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTNLQTTHVVGTMGYLAPEMLRSGKASPQTDVFAFGTFLLEVACGQRPIKQDTENKQIMLVDWVLEHWHNGTLIQTVDTRLQGNFDQDEANMVLKLGLLCLHPLPTARPSMKQVMEYLDGETALPKLAPAHFDNFHMVSMMQGRGFRASILSYPDVTTSIGTFSGLSGGR* >Brasy6G181700.1.p pacid=40048202 transcript=Brasy6G181700.1 locus=Brasy6G181700 ID=Brasy6G181700.1.v1.1 annot-version=v1.1 MEIFLPAVVGELATRSMSFFIKNYSKQPEQAPVVNLERILARAQVIVDEAAGRHVTNQGILQQMSMLRDAMYRGFYVLDGFKYRATGANNEDEDHQIMSKPWVLSKFSYAKHLVFLSSSSTKTSRELEVEQVLDSLRSMILDVSESVMFLATYPRLLRQPYSMHILLEKCMFGRQMEMELVVNFLLHTQACASTSLGRLEVLPIVGPGRSGKSTLVAHACNDERVRDHFSQVVFFEDGNIGHEDTDISYKNGRLLIVVELVGELSEDLWEWMCSLSTRFTTTGSKIIITSRSDKIRKLGTAQAMTLKPLSHEAYWYFFKVITFGSTNPEMRPEFLHLAMEIARMQSRSLVAANVTARVLKDNFNINYWCKVLEFMKRSVAKLHSMYDEVPDITIQDVVYGGVKPHGIFKILAWKSQILPYHCYIYTCEIREPQTRVVKRKRSVNNKFVSCG* >Brasy6G181700.2.p pacid=40048203 transcript=Brasy6G181700.2 locus=Brasy6G181700 ID=Brasy6G181700.2.v1.1 annot-version=v1.1 MEIFLPAVVGELATRSMSFFIKNYSKQPEQAPVVNLERILARAQVIVDEAAGRHVTNQGILQQMSMLRDAMYRGFYVLDGFKYRATGANNEDEDHQIMSKPWVLSKFSYAKHLVFLSSSSTKTSRELEVEQVLDSLRSMILDVSESVMFLATYPRLLRQPYSMHILLEKCMFGRQMEMELVVNFLLHTQACASTSLGRLEVLPIVGPGRSGKSTLVAHACNDERVRDHFSQVVFFEDGNIGHEDTDISYKNGRLLIVVELVGELSEDLWEWMCSLSTRFTTTGSKIIITSRSDKIRKLGTAQAMTLKPLSHEAYWYFFKVITFGSTNPEMRPEFLHLAMEIARMQSRSLVAANVTARVLKDNFNINYWCKVLEFMKR* >Brasy6G132000.1.p pacid=40048204 transcript=Brasy6G132000.1 locus=Brasy6G132000 ID=Brasy6G132000.1.v1.1 annot-version=v1.1 MADEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSLTTTAEREIVRDIKEKLAYVALDYEQELETSKSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPGIVHMKCF* >Brasy6G065200.1.p pacid=40048205 transcript=Brasy6G065200.1 locus=Brasy6G065200 ID=Brasy6G065200.1.v1.1 annot-version=v1.1 MSPSERDPCPDCILDNAGSTWYFLKGLRQSPNGTRMTGGIESVRMNVPRLAGGFAIWSGLYSAMDCTMVFVRRKEDPWNSIIAGAATGGTLSLRQGLRAAGRSALAVGAFCALVDGASLMHRRVLAEQQNLPPLPADNPNLDATVAAEGGLPSRVSSWFGSLFGKEVEVKKTNDTVSKNLESLEMPSAPIPSFEYK* >Brasy6G001700.1.p pacid=40048206 transcript=Brasy6G001700.1 locus=Brasy6G001700 ID=Brasy6G001700.1.v1.1 annot-version=v1.1 MFGKRLLKKALLHHHQGGGGRGGAGGAVPQMDAQIALHYGVPYAASVMAFDPVQRLLAVGTLDGRIKIIGGDNIEGLLISPNSLPYKYLQFIQNQGLLIAVSNENEIQVWNLEFRQLFYSSQWDVNITAFAVIEGTFLMYIGDENGLLSVLKYDVDDGKLLKMPYNVTIHSLAEAAGVSLLDTQPIVGILPQPDTLGTRVLIAYEKGLLVLWDVSEDCAIAVRGYGDLHMKGQVTGAQMSASEDQIDNVDENEEEREICSLCWASRGGSTVAVGYITGDILLWDVTTVSSRHGKQTDISSNVVKLQLASGSRRLPVIVLHWSAGSAKDTTKGGHLFVYGGDDMGSEEVLTVLSLESSNGLESVRCTSRMDLKLDGSFADMILIPDTGVPDKIRTSALFILTNPGQLNFYDGGALFSARKSEEGYAGPEAQKFPVAVPTIDPTITITDLYSLTEKKLPSISLKKFCARQNAGPPISGNMKWPLTGGVPSEISLKEDHAVERLYVAGYQDGSVRIWDATFPILMPMFVLDPKVSDVILDGANASVSSLAFCSLNMTFAVGTTSGLVRMYKLYEHTGDSSFHFVSESKKEVHAVHHGRGFHCYVAFVTSNSPVRSLRFTASRETLAVGYQNGQVAMFDASQLSIMFSVDCASGTNSPVISLSTYSVGTSAAKVGLSQKEIAKSANSPTDILFSLTKDARITVVDNTSGLIINSHMLDQKQLSAISMYVIDEASDEGQTQLSEDKLPCQSETGKEKNDLDQKQAQGAENPQKNASQHSHSGDSDPLLLVSFEDVVLSFSLTSLLQGSNKHIRKTKLANKCCWSAILKNMDDKACALILAYQTGLVELRSLPEMEILAESSLMQVLRWNYKTGMDKSMSSSNGQIALVNGSEFAIISLLASENDFSYNHFRIPESLPCLHDKVLAAAAEAAISISIDQKRKQNPAAGVLGGIIKGLKGKADENANLKRSFTAQTQSELLESIFLTESSVEQLNDPIEEELSIDDIDIDDEVPLAPPPTSSSTSHVNKKTTVEDERAKLFEGSSDVEKPRMRSTQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELQDNAENFASLAQELAKTMGQKKWWKL* >Brasy6G244200.1.p pacid=40048207 transcript=Brasy6G244200.1 locus=Brasy6G244200 ID=Brasy6G244200.1.v1.1 annot-version=v1.1 MASTSCFLHQSTARLAAASARPAAARPQLLVCKAQQQDSSSDAAAAAVTTRRAALSLLAGAAAVAAVKVAPASAAYGEAANVFGKAKQNTDFVAYAGDGFKLMIPAKWNPSKEREFPGQVLRYEDNFDATSNLSVMITPTTKKSITDYGSPEDFLSQVGYLLGQQSYGGKTDSEGGFESDAVATANVLESAAPVVGGKQYYSITVLTRTADGDEGGKHQLITATVADGKLYVCKAQAGDKRWFKGAKKFVENAAGSFSVA* >Brasy6G037700.1.p pacid=40048208 transcript=Brasy6G037700.1 locus=Brasy6G037700 ID=Brasy6G037700.1.v1.1 annot-version=v1.1 MGSAPAAAAAAASFPTYSDVAGAGALLSLADSSPAPPLPTLSDELSSYSGSSSYSATSGRSCVSDSTQRGRPVDPLRVLAVVASLRRIDPKVLAKATSKLVHGEAAKKRKGLWIEISDEEEEDDDDDGDNSAVASEGSTITGTASAGSTATSGGCRRPPRASGGGENLPRRAESIMEWLSRPNAAPATETAIRAAVGDNAVTSKALRWLLTQPRGLRRTGNGGRTDPFEYMVAG* >Brasy6G037700.2.p pacid=40048209 transcript=Brasy6G037700.2 locus=Brasy6G037700 ID=Brasy6G037700.2.v1.1 annot-version=v1.1 MGSAPAAAAAAASFPTYSDVAGAGALLSLADSSPAPPLPTLSDELSSYSGSSSYSATSGRSCVSDSTQRGRPVDPLRVLAVVASLRRIDPKVLAKATSKLVHGEAAKKRKGLWIEISDEEEEDDDDDGDNSAVASEGSTITGTASAGSTATSGGCRRPPRASGGGENLPRRAESIMEWLSRPNAAPATETAIRAAVGDNAVTSKALRWLLTQPRGLRRTGNGGRTDPFEYMVAG* >Brasy6G173100.1.p pacid=40048210 transcript=Brasy6G173100.1 locus=Brasy6G173100 ID=Brasy6G173100.1.v1.1 annot-version=v1.1 MVKGRPPQGVTTDGTDPLLPRFHDESPQKRLPRPHPRGRHTHLDRGGSGSRQGGVETEGNSGRGRTGLREEGGRGKAGRREKEGGERKRRQRDRGRAARGRGRGGTGEDWAARGGGQREGRGGRGGERRRAARGGGDREIGEGWREEGGRGRAGRREEEGGERAREGGAARGGRRDEEGGERKEIER* >Brasy6G056000.1.p pacid=40048211 transcript=Brasy6G056000.1 locus=Brasy6G056000 ID=Brasy6G056000.1.v1.1 annot-version=v1.1 MSTPAPVVAAGGAGAGNDNGLTHIRLYMHETIAGPKPTLVTSVKSPLGGDATFGSVGVLDNELRDGPDPKCSELVGRFQGFFAEAGLVSPPGLLSAMNIIFTAGERQGSSLALLGSVPSFGAPVERALVGGTGDFRMARGYSVMALRELQMLRFVLLLVMSSPALLVAAGGGDSNGLKHIRLYMHETIGGPNPTLVTSLKSPLGGNATFGSVGVLDNELRDGPDPKGSKLVGRFQGVFAGAGLVSPPGLLSAMNIRFTAGEWCGSSLALLGSVPSFGAPVERALVGGTGEFRLARGYSVMLDLGNPTPETALFQLDLFVLMYHAA* >Brasy6G242300.1.p pacid=40048212 transcript=Brasy6G242300.1 locus=Brasy6G242300 ID=Brasy6G242300.1.v1.1 annot-version=v1.1 MPSAIHLLSFLLYLLYLGLNLSSFTTGYGQFLYNGFSGSNLIVDGKAQVLPGGLLQLSNGEDAEALAFHPTSLYFRKSPYAAVQSFSVSFVFGYYDDRNGYFKNLTLISGEAMQVWIEYNEESLQINVTMAPFNMAKPIRPLVSATYNLSAVLTDPSYVGFSSTTGLLRSTHYILGWSFGMNRPAPALDTVRLPQLPPIVLQAGRKKVLEVIVPIITTATVVLAICFIVLFLVRRRMKYAEVQEDWEVEFGPHRFSLKDLFRATEGFNNRHLLGRGGFGRVYKGILPKSKLEIAVKRVSHESQQGIKEFIAEVVSIGRLRHRNIVQLLGYCRRKTELLLIYDYMPNGSLDKYLYGSGDKPILDWIQRFRIIKGVASGLLYLHGEWEQVVIHRDIKASNVLLDEEMNGRLGDFGLARLYDHGTDMQTTHLAGTIGYLAPELVRTGRASPFTDVFAFGIFVLEVTCGRKPIDHKMHSNQPMLVDWVLDLWHEGSITDAMDSKLQNDYDADEACLVLKLGLLCSHPSATARPSMWHVMQYLNHDLPFPEFLPTDMVHSERIDPHVVNYQSLASNGTMSGLSGGR* >Brasy6G104200.1.p pacid=40048213 transcript=Brasy6G104200.1 locus=Brasy6G104200 ID=Brasy6G104200.1.v1.1 annot-version=v1.1 MRALALAPASNSTCVSDEVVPLATISSPPTGLPDPPCGVPSPSRRESFFKRSNARSATPGSIPSIRGDGVARAADSRDPWRGEDEAVEEYFGQLWVLPADYSPISRVLAQDQTAAAATLVWIRRDLFVAKSFTADDCYPACHADCFSTDPQPFSFARDFWSRIHGRDTFANILKRRPMESGRGGAQGAGRGGGRGFQKKGGYRNPFQYNRPQQGPPPATKQAQNQPQGQASATPHGTEQTQPSIHDAQALTSQPTAIQTQVTQNPQLSMQFQQFPGQHMIPQQVQMIPQHHTLQPMQYTYGQQFPTQQMVPHNYNKLRLLTCKWSKDQLYLPRMNRSKMVT* >Brasy6G090800.1.p pacid=40048214 transcript=Brasy6G090800.1 locus=Brasy6G090800 ID=Brasy6G090800.1.v1.1 annot-version=v1.1 MIDHHHHKEWHAGARTTSLTHTHLPPWDHRDGHTYTHAHTPQTHLRPHDTNEQTKDGAAEQPRNRAPDRERRSSRRGIRRRRRRRRPRRGAARRRRRRRAPVPGPRRRPRRPRARRPWRRRRRTSS* >Brasy6G103000.1.p pacid=40048215 transcript=Brasy6G103000.1 locus=Brasy6G103000 ID=Brasy6G103000.1.v1.1 annot-version=v1.1 MAGDDDAEAGGGAECCYYTTDDALSHVGFGRFQALVLAYAGVGWTAEAMEIMLLSFVGPAVKDEWGISGQQQGLITSVVFAGMLIGALFGGALSDTYGRRAGFLFTAVVTGMFGFLSALSPNYICLIAVRFVVGIGLGAGHVLGTWFLEFVPAAKRGTWVVVFHCSWTFGTILQALIAWAIMPVLGWRWLIALSSSPCFILLIFYGLTPESPRYLCSRGRTADAKFILERIAKMNNMALPSGILIVAPQRSDNGVDVETIRPLIISQDNAATDVGLSSKSRSINAFRTLLSRSFIRPTLLLWFVFFAFSFAYYGIVLLTSELSTGERRCAPVGMHLRQQNDARFYINVLVTSIAEFPGQILAALLVDRVGRKLSMGGFVFLCFIFVAPLAAPLGEGLTTTLLFSARTCITASYAVLYIYGPEIYPTSCRNTGVGVATSVGRIGGMVAPLVAVGLLENCHRKEAVFVFDLVLCLAAVACALFPLETKSCQIQ* >Brasy6G002600.1.p pacid=40048216 transcript=Brasy6G002600.1 locus=Brasy6G002600 ID=Brasy6G002600.1.v1.1 annot-version=v1.1 MALSAGDVPAMYTVLVNSLSADEATRRPAEAALAQCEARPGFCSCLLEIISARGLSCREDVRLLATVYFKNSINRYWRARRDSYGISNEEKDHLRKNLLLNIREENNQIALQLAVLISKIARLDYPKEWRDLFSTLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRNDIDSILEQSNDLILICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVSPTVLTAIQSILPYYSSFKDKQAKLWEFAKRACTKLMKVLVTLQGRHPYSFVHQTVLPSTVDFCLNMITNPEQAGTSFEEFRIQCMVLVKSVLECKEYKPSPTGRVINESAQPLSLEQRKKNFGAVASDMLKVVLPGDRVVLLCNVLIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYRELLAPVVVSVLREAMSVSPPLETDVSAGMLLKDAAYTVAGHVYYELSNYLSFNEWFHGSLSTEISNGHPNMRIIRRKVALLLGQWISEIKGDTRKLVYHALVALLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHVGDKVIPFASQLSQFFQKIWEESAGESLLQIQLLAALRTFVSSLGYQSPLSYNMLIPILQSGINVDGPDALNLLEDSVLLWDATLSNAPSIVPQLLGLFPYLVGIVNRSFDHLEVAVNIVEDYTIFGGSEFLKSHGTSLANIFDTIVGNVNDKGLLTTLPVIDLLIQLFPQEAPLLISSALQKLIFISLSRDDEHNPSRTTVRASSGAILARLLVMNTNFSGQLLSEPALLANIQQSGISVKNNLLLSLVDMWIDKVDDANVVQQKEFAMALSVVLTLQVPQVIDKLDDILSVCTTVIIGGREVKTEDDSSGDITSSSWLGSDNSGYPSKFLRKRQAKDSDPIKQASLEDVVRENLKACAALHGDAAFNAAISRIHPAAFAQLQQALNKA* >Brasy6G002600.2.p pacid=40048217 transcript=Brasy6G002600.2 locus=Brasy6G002600 ID=Brasy6G002600.2.v1.1 annot-version=v1.1 MALSAGDVPAMYTVLVNSLSADEATRRPAEAALAQCEARPGFCSCLLEIISARGLSCREDVRLLATVYFKNSINRYWRARRDSYGISNEEKDHLRKNLLLNIREENNQIALQLAVLISKIARLDYPKEWRDLFSTLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRNDIDSILEQSNDLILICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVSPTVLTAIQSILPYYSSFKDKQAKLWEFAKRACTKLMKVLVTLQGRHPYSFVHQTVLPSTVDFCLNMITNPEQAGTSFEEFRIQCMVLVKSVLECKEYKPSPTGRVINESAQPLSLEQRKKNFGAVASDMLKVVLPGDRVVLLCNVLIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYRELLAPVVVSVLREAMSVSPPLETDVSAGMLLKDAAYTVAGHVYYELSNYLSFNEWFHGSLSTEISNGHPNMRIIRRKVALLLGQWISEIKGDTRKLVYHALVALLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHVGDKVIPFASQLSQFFQKIWEESAGESLLQIQLLAALRTFVSSLGYQSPLSYNMLIPILQSGINVDGPDALNLLEDSVLLWDATLSNAPSIVPQLLGLFPYLVGIVNRSFDHLELFPQEAPLLISSALQKLIFISLSRDDEHNPSRTTVRASSGAILARLLVMNTNFSGQLLSEPALLANIQQSGISVKNNLLLSLVDMWIDKVDDANVVQQKEFAMALSVVLTLQVPQVIDKLDDILSVCTTVIIGGREVKTEDDSSGDITSSSWLGSDNSGYPSKFLRKRQAKDSDPIKQASLEDVVRENLKACAALHGDAAFNAAISRIHPAAFAQLQQALNKA* >Brasy6G219500.1.p pacid=40048218 transcript=Brasy6G219500.1 locus=Brasy6G219500 ID=Brasy6G219500.1.v1.1 annot-version=v1.1 MASMPAPSAHPDSAAPYVVEDCGPDLQVLNDGTVVRPPPPPVPPNDDGRVEWKDAVYDAGRGLGLRMYRPRPSIAAADKEEDKEKKPLLPILVYFHGGGFCVGSYAWPKTHAICLRLAAELPAVVLSFDYRLAPEHRVPAAHDDAAAALLWLRDQLQSSSDPWVHVSAVDAHKVFVSGTSAGGGLAHNMAVRFGTAGLAPVASISGYILLMPGFFSEQPTRSELETPETAWLTRDMFDRFFRLGMPAGATRDHPLVSPFGPDSPGLEPVQVGRMLVVAAGRDLFRDANVEYAERMKTMGKDVELVVFPGEEHGFFGASDADGEVVRLIKRFVERDP* >Brasy6G007800.1.p pacid=40048219 transcript=Brasy6G007800.1 locus=Brasy6G007800 ID=Brasy6G007800.1.v1.1 annot-version=v1.1 MPRVPRRPSDEARRAAYKPPGVDGGRSRRRRLDHILVLRRRNRDAGLFKRRRDEPAPAPVAAPSEPAADATATAPTPSSVPSSTPPAPPDIDAPRTADDSELDGLSEMVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTHYQDVDLVYACLEGLENVLKAGEIAGKEGKESAAMNPYAQFILECGGLDNLEELQDFGNDEVYKLVMKLLESYWDEEVSDDLNLPASNGNTETVETAAEEASPPSPPPPIPAPGSNDTE* >Brasy6G007800.2.p pacid=40048220 transcript=Brasy6G007800.2 locus=Brasy6G007800 ID=Brasy6G007800.2.v1.1 annot-version=v1.1 MPRVPRRPSDEARRAAYKPPGVDGGRSRRRRLDHILVLRRRNRDAGLFKRRRDEPAPAPVAAPSEPAADATATAPTPSSVPSSTPPAPPDIDAPRTADDSELDGLSEMVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQVMTGTIPITLHMLMAVTWAPAEQTAAWPAAVSGHKPPPCLACLGSCHRPRTSRTRVPVWRSSVSPSEGPSRGPHISFYQPQTIFGEPGMLGATLQRSHALPRR* >Brasy6G007800.3.p pacid=40048221 transcript=Brasy6G007800.3 locus=Brasy6G007800 ID=Brasy6G007800.3.v1.1 annot-version=v1.1 MPRVPRRPSDEARRAAYKPPGVDGGRSRRRRLDHILVLRRRNRDAGLFKRRRDEPAPAPVAAPSEPAADATATAPTPSSVPSSTPPAPPDIDAPRTADDSELDGLSEMVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQRRALMTVSVNFPSTWSSGCCSRIFTSITLSKSLDSAPALRQIFGEPGMLGATLQRSHALPRR* >Brasy6G007800.7.p pacid=40048222 transcript=Brasy6G007800.7 locus=Brasy6G007800 ID=Brasy6G007800.7.v1.1 annot-version=v1.1 MVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTHYQDVDLVYACLEGLENVLKAGEIAGKEGKESAAMNPYAQFILECGGLDNLEELQDFGNDEVYKLVMKLLESYWDEEVSDDLNLPASNGNTETVETAAEEASPPSPPPPIPAPGSNDTE* >Brasy6G007800.4.p pacid=40048223 transcript=Brasy6G007800.4 locus=Brasy6G007800 ID=Brasy6G007800.4.v1.1 annot-version=v1.1 MVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTHYQDVDLVYACLEGLENVLKAGEIAGKEGKESAAMNPYAQFILECGGLDNLEELQDFGNDEVYKLVMKLLESYWDEEVSDDLNLPASNGNTETVETAAEEASPPSPPPPIPAPGSNDTE* >Brasy6G007800.5.p pacid=40048224 transcript=Brasy6G007800.5 locus=Brasy6G007800 ID=Brasy6G007800.5.v1.1 annot-version=v1.1 MVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQVMTGTIPITLHMLMAVTWAPAEQTAAWPAAVSGHKPPPCLACLGSCHRPRTSRTRVPVWRSSVSPSEGPSRGPHISFYQPQTIFGEPGMLGATLQRSHALPRR* >Brasy6G007800.8.p pacid=40048225 transcript=Brasy6G007800.8 locus=Brasy6G007800 ID=Brasy6G007800.8.v1.1 annot-version=v1.1 MVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQRRALMTVSVNFPSTWSSGCCSRIFTSITLSKSLDSAPALRQIFGEPGMLGATLQRSHALPRR* >Brasy6G007800.6.p pacid=40048226 transcript=Brasy6G007800.6 locus=Brasy6G007800 ID=Brasy6G007800.6.v1.1 annot-version=v1.1 MVDKVWSDDTASQLEATVEFRKLLSDGKNSTVIKIIRADVLPRFAEFLSKHASPQLQMEAAWVLTNIAASDYTLLVAECGAVQRLVDLLGSPNANVRHQAMWALGNIAADMPSCREIVLDHGVVTPLLAQFEEDMKVSVLRTATWALSNICFGKLPVEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEDGIQHVLDAGVCHTLVKLLMHASANILLPVITALARISAGDDTQVQVIVEKGILPCLTQLFARNYPKNIKKQACLIVSNITAGSKEQIQAVIDADIMNHVVGLLKTAESDIKKEAAWAISNAASGGSNDQIQRRALMTVSVNFPSTWSSGCCSRIFTSITLSKSLDSAPALRQIFGEPGMLGATLQRSHALPRR* >Brasy6G018800.1.p pacid=40048227 transcript=Brasy6G018800.1 locus=Brasy6G018800 ID=Brasy6G018800.1.v1.1 annot-version=v1.1 MAADLGVGGAGGRVGGGAGGGRGRGGAGAGQERRRWVLPMATELGVGGAAGGFDGGGSGRAAALGAPDGDGAGRGRGRWGLRWRRIWAWEGREDGSAAALGVVGAAGVLGLGRSGGAGCSRWRRSWAWEGPRRCWGW* >Brasy6G008300.1.p pacid=40048228 transcript=Brasy6G008300.1 locus=Brasy6G008300 ID=Brasy6G008300.1.v1.1 annot-version=v1.1 MGNASGRLEDVADAEMDEGGGARGHVRRASSAGYVGGGGGPSSPPSSPPRPHSPRMFVPQSPVTPLQRATDVPPPVFNQILMRDQQQQQDDSDGPPQKRIPTLLLWPHGGKSIHVEGSWDNWTSKKPVQKSGKDHTILLELPSGVYRYRFVVDGERRFLPDLPCETDNAGNIVNLLDVNDFVPESVESVSELMAPASPDSSYGFQAPDDKEFAKEPPALPAQLYLGVLNSRTTTSSSSSSTSSEQRSECARPKHVVLNHLYIEKGWGAQPLVALGHTHRFRSKYVTTVLYKSIQR* >Brasy6G197700.1.p pacid=40048229 transcript=Brasy6G197700.1 locus=Brasy6G197700 ID=Brasy6G197700.1.v1.1 annot-version=v1.1 MTPPPPPQPSDPSPPALFDELILEILLRLPPDEPEHLLRASLVCKPWNLLLSSRAFLRRYRELHRAPPLLGFFHIRSGRTRFVPTTSFRLPDFDLANCIALDCRHGRALLYERGARDVFLLWDPMTGEKQHVPFACIPPSCYNAAVFCATPGCDHLSCRGGPFLIAFVATDAQDVGARACLYSSETGVWSAPSYLPLDDSYIDSRPPVRVGDALYFTCESSEIILRYDLGGGRGLSMIDPPEIYEDGIALMPVEDGVLGLAGLDTVTVHLWSLKSSGRVRGWKKDRVIKLELLPIGHPRDSMHHLIGIAKGCTSDIIFVTTEVGVFMIELKSERATKVYDGRTSLVIFPYMSFCTPGRARRLAAPATTQWSATELLIESTKQVSLD* >Brasy6G015900.1.p pacid=40048230 transcript=Brasy6G015900.1 locus=Brasy6G015900 ID=Brasy6G015900.1.v1.1 annot-version=v1.1 MNGEEAPQQDPAAPACVVGGGRAEIDTSAPFESVREAVDRFGGSAAWSSGLARRIFAHQKKQGKCEGTEEEGEGLDLQEQTAQLEKELGVKERETLDVLKELESAKKIIADLKLKIQKKTDDALPAISEVPTAEPEEDQAEEDVKADVDLPGALPTTEVSIAEAEEKQRPEGNGEADVEMCGAQDEQEQQHPASSVLMELQQAKSNLNRTTSELAAIRASVESLRNDVAKERVLVERSREKVCSDAALVSSLEDELDQTTQKLQTLKDLQRRREDPSDIFIEIKKMTSEIEQLRNAANASRSEAMMLAAVIEQTKATIGTAEVRCLAAQKMEEAARAAEALALAEIKALLSSEATAEDLQSSDGVNLSAEEYFEIASKAREADESSRGKIAAAMVHVDEANQSKSESLTRLEEAKMEVEECKKALKEALKRVDTANRGKLAVEEALRRWRSETGYRRRSFRGSPKFKNAAHRRKDSHSMDIVDVSNNSMKQTLSIGQILSMKLMGPDGYDKSVSDDASETSSISLGQILNRRQAILYSSDTSADKKVSGKRKKFAFTGLSVFLAKQAMSKKKRGSD* >Brasy6G053100.1.p pacid=40048231 transcript=Brasy6G053100.1 locus=Brasy6G053100 ID=Brasy6G053100.1.v1.1 annot-version=v1.1 MPLFSSKFAPLIPRLRRLSTATATAAGEDPKLSRIADDLMALSSAELDDYSALMRLKLRLSLTSSAAAGVGPGAAGDAASGSAGAEEAASVKTAFDVKIEKYDAAAKIKIIKEVRAMTDLGLKEAKELVEKAPVVVRAGLPKEEAEALAAKLKAAGAAVALE* >Brasy6G192900.1.p pacid=40048232 transcript=Brasy6G192900.1 locus=Brasy6G192900 ID=Brasy6G192900.1.v1.1 annot-version=v1.1 MRCVREEQEMGLATSRILERCKVIHGKLRSLEPVVLVVWVLSLCTWHWRVQDGSEIWWTGGFHL* >Brasy6G081900.1.p pacid=40048233 transcript=Brasy6G081900.1 locus=Brasy6G081900 ID=Brasy6G081900.1.v1.1 annot-version=v1.1 MALSKHLVALLFAFAVVAAALQPSDARPHGFEEEADQTKAAATANGGAPPGLPSFPGLPLPQIPGFTLPLPQIPGFPNLPPLFRFPLPPLFGPRPGAPGAPPSPALPNLPHFPPIPGSPGAPPFHLPGLPTTPAPPPPAECLTPLTGMAMCMDYLTNLTVTTPPSGCCDGLKSVITKAPICLCHGMNGGMSKLAPKPIDPIRMLILPARCGTMIPIQTLFSCATTHLPPLTPPASPAAPTPPSSPVSPAPPVTSSGSPAPASSSVKLP* >Brasy6G215400.1.p pacid=40048234 transcript=Brasy6G215400.1 locus=Brasy6G215400 ID=Brasy6G215400.1.v1.1 annot-version=v1.1 MARWCRAPLELEPLPPAAVASATSSSTWLPCCWPRQAETGDDDHATATARSVSRALFCAFLPACATSHALFEGSSSGPAGHVNLAIYAGLLFVTAVSYLGMSASTNKWTRKAPAAALRAVACAAVAVMTVAASSQVSEAAHVVAAVFLGVVAILVMVTVAV* >Brasy6G218100.1.p pacid=40048235 transcript=Brasy6G218100.1 locus=Brasy6G218100 ID=Brasy6G218100.1.v1.1 annot-version=v1.1 MASDMRPPNSKKGGVPSHARVSDQACHDKFQSCDGDGKPSRAAANGVSVKENRGTCSSNPDHAGAVLVDGAKGSIAQSGHVDSADYDCDGCLHGENGSRMACDLQQESDREQAGHTLDDLFFFDDEEEDDIDWEPSARVVENRWFCTNCTMPNMDEVTHCQNCNDHKGSEVLAGYDVFQASFAQTALISADTALPPGSTAIGFDEQMLLHSEVEIKPNPHPERPNRLRAIAASLAAAGIFPSKCALVPPREITKKELLMVHTSDHVESVEQTKNMLYSYFTSDTYANKQSARAAKLAAGLCADLASLIVSGRVNNGFALVRPPGHHAGVKQAMGFCLHNNAAVAALAAQKAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHEDGSFYPGTGAAHEVGVLDGQGFSVNIPWSCGGVGDDDYIFAFQHVVLPIAAEFAPDITIVSAGFDAARGDPLGCCDVTPAGYSQMASMLTTCSEGRLLIILEGGYNLRSISSSATEVVKVLLGDGPCYGKGTAAPSKEGMQTVLQVLEIQQQYWPVLVPILASLQAQQGLALSNYVNGGSKLKRRMLSGGPRPVWWKWGSKRLLYEVLFEGRGPRKIKARGNWKSTDETDPRL* >Brasy6G095100.1.p pacid=40048236 transcript=Brasy6G095100.1 locus=Brasy6G095100 ID=Brasy6G095100.1.v1.1 annot-version=v1.1 MRSRVTRTYFLALQFFLSFNFNIARVSPLSFKLNFTESNNNALAAIQFQDDAFYNKVIRLTKDELNNDITYSVGRAVYTDPVPLWDSATGQLADFTTRFTFMIAATDRSNSTGEGLAFFLSPYPSVIPNSSTDGFLGLFSNSNGQNDPSNELVAVEFDSHKNTWDPDDNHVGIDIHSIVSVAKRTWNSSINDGRIANAWVTYQASSMNLSVFLTYEGNPQHSRNSSLSYSVDLRKYLPDKVAIGFSAATGRSVELHQILYWEFDSTDLQLMKIEKTRSILAISLGTSISVVVCSMGLVWYLLHFRSRRSKKEREKKLEYNVSIDCEFEKGRGPRRFRYNELVGATKNFVLERKLGEGGFGAVYQGFLKDQNLDVAIKRVAKGSTQGRKEYISEVKIISQLRHRNLVQLEGWCHENGEFLLVYEFMPNRSLDTHLYDNSNLLTWPLRFKVTIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDRASQTTVLAGTMGYMAPECVTTGKASKESDVYSFGILALEIACGRRPVVLKEDDNKIRLVQWVWDLYGRNEILNAVDGRLDGAFEEREVLCLMVVGLWCAHPDYNLRPSIRQVISVLKFEAPLPSLPAKMPVAMYFAPPISLCRFSYTSSDGTLKEQELQRSNGYGKTNSSSATNASSSPPSVRLPEAGY* >Brasy6G016400.1.p pacid=40048237 transcript=Brasy6G016400.1 locus=Brasy6G016400 ID=Brasy6G016400.1.v1.1 annot-version=v1.1 MAASAASCISMLVLAALLAAAAEGQLSPTFYDTSCPRAAATVKAAVVAALRAEPRMGASLVRLHFHDCFVQGCDASIMLAGQERDAPPNKDSVRGYGVIENIKTQVEAICKQTVSCADIVTLAARDSVVALGGPSWTVPLGRRDSLEANVAQANSDLPGPTSSLNDLVKGFMNKNSLSLVDMVALSGAHTLGQSQCQNFKARIYGGDANINAAYATSLKANCPQTGGDSNLAPLDPTTPNGFDNAYYVNLMSQRGLLHSDQVLFNNGTADNTVRNFASNAAAFRSAFASAMIKMGNIEPKTGTQGQIRLVCSKVNS* >Brasy6G056800.1.p pacid=40048238 transcript=Brasy6G056800.1 locus=Brasy6G056800 ID=Brasy6G056800.1.v1.1 annot-version=v1.1 MDGKNRPLTLPCPARTHLPRSLTRPHPLLPAALTSSARHLPLHASLSHRHPRCSPAASLPPLASRPLAASLARRHPRCSPAVPRRCPSAHAARRRRLLAPSRARLRRLARPTASALTCPTTAGARLRRLASPPPRPSPARCRARRPAYQRPTPPSGLWAHSTGYFVPALRRVGSRRLLSHFRWIWGPGWLRPAGRCKFVTAS* >Brasy6G079600.1.p pacid=40048239 transcript=Brasy6G079600.1 locus=Brasy6G079600 ID=Brasy6G079600.1.v1.1 annot-version=v1.1 MSAATAAAALRATEPFPLPSGLSLAPRLKLLLSFFRADLSVSPVDEWQLKSALLAFLRNPPLSLSLLQDSDLSVSRLPDLQKRRREDPVASGVLHVRDLSSLRPSNRKGGDHAEEMTREQEEEKYSQWRSSLGEQLADIELNLKGVMYRMTVETPLSDDFRAMKKSWEDFYASELFSSRNPVRKIAKRPDTIIVRGVPSRWFAETRISSKASMLVTHTIFSALGKIRTLNISDDEELGARKEDASKGLISGLNCKVWVQFESYDDFHNAMKALCGRSLEKEGSRLKVDYEVTWDSKGFFRNAQYEPAQSKLEERDASVHGRKKHHASRIESDHRKRFRD* >Brasy6G079600.2.p pacid=40048240 transcript=Brasy6G079600.2 locus=Brasy6G079600 ID=Brasy6G079600.2.v1.1 annot-version=v1.1 MSAATAAAALRATEPFPLPSGLSLAPRLKLLLSFFRADLSVSPVDEWQLKSALLAFLRNPPLSLSLLQDSDLSVSRLPDLQKRRREDPVASGVLHVRDLSSLRPSNRKGGDHAEEMTREQEEEKYSQWRSSLGEQLADIELNLKGVMYRMTVETPLSDDFRAMKKSWEDFYASELFSSRNPVRKIAKRPDTIIVRGVPSRWFAETRISSKASMLVTHTIFSALGKIRTLNISDDEELGARKEDASKGLISGLNCKVWVQFESYDDFHNAMKALCGRSLEKDHG* >Brasy6G079600.3.p pacid=40048241 transcript=Brasy6G079600.3 locus=Brasy6G079600 ID=Brasy6G079600.3.v1.1 annot-version=v1.1 MSAATAAAALRATEPFPLPSGLSLAPRLKLLLSFFRADLSVSPVDEWQLKSALLAFLRNPPLSLSLLQDSDLSVSRLPDLQKRRREDPVASGVLHVRDLSSLRPSNRKGGDHAEEMTREQEEEKYSQWRSSLGEQLADIELNLKGVMYRMTVETPLSDDFRAMKKSWEDFYASELFSSRNPVRKIAKRPDTIIVRGVPSRWFAETRISSKASMLVTHTIFSALGKIRTLNISDDEELGARKEDASKGLISGLNCKVWVQFESYDDFHNAMKALCGRSLEK* >Brasy6G251400.1.p pacid=40048242 transcript=Brasy6G251400.1 locus=Brasy6G251400 ID=Brasy6G251400.1.v1.1 annot-version=v1.1 MNNETTICFKNVDPGDVHALHIMHQLSHPNILRMRGLGLLKKKKRGLDRHKSSKRYQVAFVEPTAGHLIQICQITDCVDYLQHIPSKKFQNIVRQIFLAVDFLKENNLYHGNLTWETTFYNIPSTVKLSEFKEIDSMTIKEAQLYDRRCLGMMLQDIADSATPDQFCGHIDELIHKLLSSNETSLDEPLEVLNCTFFWDVEKRSAFLTNFVSIKLKDSKFRKMIFHEATWVTPWKQGTAEFCALVAYMDKYRTNNCMKQYDENSEVDFLRCICGAYTHRYMITGFHVDHIVRQDHPSLWIDLIRLIGYHDTLDFFCNKPECLPCERYLKDNDPKRVQKSTV* >Brasy6G021500.1.p pacid=40048243 transcript=Brasy6G021500.1 locus=Brasy6G021500 ID=Brasy6G021500.1.v1.1 annot-version=v1.1 MIQAIGKQEKEDQIVDVFICQSDMKNNIMNSAWDNLNKISEEMVHRMSDIFLYLIIQDMTNGVPMIIHLNQ* >Brasy6G268500.1.p pacid=40048244 transcript=Brasy6G268500.1 locus=Brasy6G268500 ID=Brasy6G268500.1.v1.1 annot-version=v1.1 MRELQVVSSFSSTAAANAALVRGWWEEVNESPEWQDGAFFSLAAAYALVSAVALIQLVRIQHRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHACVFLLQIKVYKLVLLDLPGLLFFSAYTLLVLFWAEIYHQARSLPTDKLRIIYLAVNSIVYVIQICIWVYLGINDNSLVELVSKIFIVAVSAVALLGFAVYGGRLFVLLRRFPIESKGRKKKLYEVGTVTTICCTCFLIRCVVVALSAFDADVSLEVMDHPILDFFYYMLTEILPSALVLYILRKLPPKRVSAQYHPIN* >Brasy6G060200.1.p pacid=40048245 transcript=Brasy6G060200.1 locus=Brasy6G060200 ID=Brasy6G060200.1.v1.1 annot-version=v1.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFYCPSVNVERLWSMVPAEKAAEAGGDKAPVVDVSQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLVA* >Brasy6G016500.1.p pacid=40048246 transcript=Brasy6G016500.1 locus=Brasy6G016500 ID=Brasy6G016500.1.v1.1 annot-version=v1.1 MHAAPRHLAQHKLAHSSACRGRRQAIASKARSELDKLARAMAASFISLLVLVAMAAAASAQLSPTFYDTSCPRAAATIKSAVAAAVASEPRMGASLLRLHFHDCFVQGCDASVLLSGNEQDAGPNMNSLRGYGVIDNIKTQVEAICNQTVSCADILTLAARDSVVALGGPSWTVPLGRRDSIDANAAAALTDLPSPDSSRSQLEAAFLKKNLDTADMVALSGAHTIGQAQCSNFRTRIYGDTNINAAYATSLKANCPQTGTGTSLAPLDPTTPNGFDNAYYTNLMNQRGLLHSDQALFNNDTTDNTVRNFASSAAAFSSAFASAMVKMGNIEPKTGTQGQIRLVCSKVNS* >Brasy6G173200.1.p pacid=40048247 transcript=Brasy6G173200.1 locus=Brasy6G173200 ID=Brasy6G173200.1.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVFEETRLRGCRLNVKTCISLLDALNKTECLEQAAIVGAVLSEIAKSQHAYRS* >Brasy6G173200.2.p pacid=40048248 transcript=Brasy6G173200.2 locus=Brasy6G173200 ID=Brasy6G173200.2.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVFEETRLRGCRLNVKTCISLLDALNKTECLEQAAIVGAVLSEIAKSQHAYRS* >Brasy6G173200.3.p pacid=40048249 transcript=Brasy6G173200.3 locus=Brasy6G173200 ID=Brasy6G173200.3.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVFEETRLRGCRLNVKTCISLLDALNKTECLEQAAIVGAVLSEIAKSQHAYRS* >Brasy6G173200.4.p pacid=40048250 transcript=Brasy6G173200.4 locus=Brasy6G173200 ID=Brasy6G173200.4.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVFEETRLRGCRLNVKTCISLLDALNKTECLEQAAIVGAVLSEIAKSQHAYRS* >Brasy6G173200.5.p pacid=40048251 transcript=Brasy6G173200.5 locus=Brasy6G173200 ID=Brasy6G173200.5.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVPTIQCMAALLLFGKTAII* >Brasy6G173200.6.p pacid=40048252 transcript=Brasy6G173200.6 locus=Brasy6G173200 ID=Brasy6G173200.6.v1.1 annot-version=v1.1 MAAAALRTRATRSPVLVAYTFLRAHRQLSSNSPAPVTSNTLCVELLRLLSAAPAWTPDLAGAVSTTLSSASAPAHDVVVYVLRSLKNPSLAAPFFLLASASSPQPLPSDAYNVVLPFLPHDLAALEKVLEEMSHLGYGLPNPACAALVATLVRSRRLEDAFRVIGAMRRLKFRPPFSAYTVLIGALAEARQPERALELLRQMQDVGYEVSVPLFTTLVRTLAREGRMEPALALVDEVKGSCLEPDIVLYNVCIDCFGKAGSVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEAERDVPCAYAYNTMIMGYGSAERFDDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALTLFDVMKKDAKPNISTYNIIIDMLCMAGRVNEAYKIRDEMELAGLFPNLLSVNIMVDRLCKANQLEEAHRIFESASERGCNPNSVTYCSLIDGLGKKGKIDDAYRLFEKMLDAGHDANPIIYTSLIRNFFMHGRKEDGHKIYKEMIRRGCRPDLTLVNTYMDCVFKAGEVEKGRAIFEDMKSFGFLPDVRSYSILIHGLTKAGQARETSNIFQAMSQQGFALDARAYNAVVDGLCKSGKVDKAYEVLEEMKVKRVHPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVILYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKTEEIDEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNVVTYTTMISGLAKVGNITDAYSLFERFKTNGGIPDSASFNALIEGMSNANRPMEAYQVPTIQCMAALLLFGKTAII* >Brasy6G091700.1.p pacid=40048253 transcript=Brasy6G091700.1 locus=Brasy6G091700 ID=Brasy6G091700.1.v1.1 annot-version=v1.1 MGITMQEPRRQDNNAAKGRKLGWWVQCISQIKMFLACQMYSWHRTMT* >Brasy6G143200.1.p pacid=40048254 transcript=Brasy6G143200.1 locus=Brasy6G143200 ID=Brasy6G143200.1.v1.1 annot-version=v1.1 MLPFLRGVVSGRLRRSLSTAASRPPWAMMYRVPQASNPTESVSFSLAAPPSVSFLSMPSQAYNIDPHPASSTESRCVSMHRGMLLAASGHGLLLLDTHMTRFKAHPLSDLDLPIQVLCNIAPFELLYRRFARFVCNPVTGQLLRLPEFDGAETTMTDGTGLLTQGQADGPLKRYAAAQLSEVEGWGARRFLLRRFSSETAVWDDLVLPSPLPPGRRMHMIHEVLDFGGRLWWVDVSWGAVCVDPFCDRPVLRPVELPESSLLPGQQSDDEMRQLVKHRHMGVSAGKLCYGEVGPSHIRSFALDDESGTWTLEHQVPVAHLWPNAKAMPLIASIDPVNTDVLHLNVEEFNVSVDMRRKMVIESSALPSGVSPPSQSGTTSYLPCVLPSFLRSSPIPGKKDVTKNKTLADILVRSDRHQTK* >Brasy6G143200.2.p pacid=40048255 transcript=Brasy6G143200.2 locus=Brasy6G143200 ID=Brasy6G143200.2.v1.1 annot-version=v1.1 MLPFLRGVVSGRLRRSLSTAASRPPWAMMYRVPQASNPTESVSFSLAAPPSVSFLSMPSQAYNIDPHPASSTESRCVSMHRGMLLAASGHGLLLLDTHMTRFKAHPLSDLDLPIQVLCNIAPFELLYRRFARFVCNPVTGQLLRLPEFDGAETTMTDGTGLLTQGQADGPLKRYAAAQLSEVEGWGARRFLLRRFSSETAVWDDLVLPSPLPPGRRMHMIHEVLDFGGRLWWVDVSWGAVCVDPFCDRPVLRPVELPESSLLPGQQSDDEMRQLVKHRHMGVSAGKLCYGEVGPSHIRSFALDDESGTWTLEHQVPVAHLWPNAKAMPLIASIDPVNTDVLHLNVEEFNVSVDMRRKMVIESSALPSGVSPPSQSGTTSYLPCVLPSFLRSSPIPGKKDVTKNKTLADILVRSDRHQTK* >Brasy6G143200.4.p pacid=40048256 transcript=Brasy6G143200.4 locus=Brasy6G143200 ID=Brasy6G143200.4.v1.1 annot-version=v1.1 MLPFLRGVVSGRLRRSLSTAASRPPWAMMYRVPQASNPTESVSFSLAAPPSVSFLSMPSQAYNIDPHPASSTESRCVSMHRGMLLAASGHGLLLLDTHMTRFKAHPLSDLDLPIQVLCNIAPFELLYRRFARFVCNPVTGQLLRLPEFDGAETTMTDGTGLLTQGQADGPLKRYAAAQLSEVEGWGARRFLLRRFSSETAVWDDLVLPSPLPPGRRMHMIHEVLDFGGRLWWVDVSWGAVCVDPFCDRPVLRPVELPESSLLPGQQSDDEMRQLVKHRHMGVSAGKLCYGEVGPSHIRSFALDDESGTWTLEHQVPVAHLWPNAKAMPLIASIDPVNTDVLHLNVEEFNVSVDMRRKMVIESSALPSGVSPPSQSGTTSYLPCVLPSFLRSSPIPGKKDVTKNKTLADILVRSDRHQTK* >Brasy6G143200.3.p pacid=40048257 transcript=Brasy6G143200.3 locus=Brasy6G143200 ID=Brasy6G143200.3.v1.1 annot-version=v1.1 MLPFLRGVVSGRLRRSLSTAASRPPWAMMYRVPQASNPTESVSFSLAAPPSVSFLSMPSQAYNIDPHPASSTESRCVSMHRGMLLAASGHGLLLLDTHMTRFKAHPLSDLDLPIQVLCNIAPFELLYRRFARFVCNPVTGQLLRLPEFDGAETTMTDGTGLLTQGQADGPLKRYAAAQLSEVEGWGARRFLLRRFSSETAVWDDLVLPSPLPPGRRMHMIHEVLDFGGRLWWVDVSWGAVCVDPFCDRPVLRPVELPESSLLPGQQSDDEMRQLVKHRHMGVSAGKLCYGEVGPSHIRSFALDDESGTWTLEHQVPVAHLWPNAKAMPLIASIDPVNTDVLHLNVEEFNVSVDMRRKMVIESSALPSGVSPPSQSGTTSYLPCVLPSFLRSSPIPGKKDVTKNKTLADILVRSDRHQTK* >Brasy6G265500.1.p pacid=40048258 transcript=Brasy6G265500.1 locus=Brasy6G265500 ID=Brasy6G265500.1.v1.1 annot-version=v1.1 MAATKIYIVYYSTWGHVATLAEEIKKGADSIDGVEATIWRVPETLPESVLSKMQAAPAQENHDVITATQLADADGILFGFPTRFGMMAAQMKAFFDAMGGLWHTGALAGKPAGFFFATGTQGGGQETTALTAVTQLAHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRTPTDAELALAAHQGKYFGGVAKKLKAVV* >Brasy6G126500.1.p pacid=40048259 transcript=Brasy6G126500.1 locus=Brasy6G126500 ID=Brasy6G126500.1.v1.1 annot-version=v1.1 MDAYKKAATTVATVAAYSMLLRGMARELAPDELRAAVRWAAEFLRARLGGAAATGDKAQETNTVVIRRQVGYSAENHLFDTALAYLATKIDPRSTRRVRLARYRNTAEDDGSGRSSWSTRLSMEDGGSTTDTFDGVVFVWTYNESKSQQTDESLELSFDARHTDTALHGYVPFIVSTAEQLQRRDRALKIFMNTDWHWNGGVNHQHPATFDTLAMDLSLKQAVIDDVDRFLKRKEYYQQIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSKVSDNSALQRLLIDMPNKSIVVMEDIDCCFDAKSREKPKGSNDTPEVDQMVGAYRGQQNITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFQTLARNYFLVDDHPLFPEIQGLLAKVEATPAEVSEMLLRSEDVDAAMRVLMEFLRDKSTRGGRKEK* >Brasy6G159000.1.p pacid=40048260 transcript=Brasy6G159000.1 locus=Brasy6G159000 ID=Brasy6G159000.1.v1.1 annot-version=v1.1 MSDPGRDSNMQQLVPMAPPPRASGGETGKELMAKSYGGGSGKELALVEGGGKSSGGVKLREDEEDLEVKLRRIMEHVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRITRMETDYEKRKQVAEFNLRREERLKAAEERTSKKRLKRQKKKQRKKEKRTKTSSGGEEPNIQELNRVESSNEEEGSDYEGDDKFKQCT* >Brasy6G237400.1.p pacid=40048261 transcript=Brasy6G237400.1 locus=Brasy6G237400 ID=Brasy6G237400.1.v1.1 annot-version=v1.1 MGRERTETPPGWCAGREAGGRGPFRDFAWGAFSGICRDCSTVSTAAAGCGTGRRAYRRGWPPPGTAARLCADPELDGSPGCHGGREEDDGGCGRAAVAADVRAAVVADVGGGGGWGSGRWKPSGGNALDQLLFWVTRLGVGGLAPPLLFATSGVVAPFSGLRHHRTLPTHLHCNPLPPLMHPQPSF* >Brasy6G070900.1.p pacid=40048262 transcript=Brasy6G070900.1 locus=Brasy6G070900 ID=Brasy6G070900.1.v1.1 annot-version=v1.1 MSSPSCWDSVASALSPGGASREAALREQLLAREEAAALHRAAVQRLTERADALQRRIEDVAREAEAAQRAARSAEERARRAEMLWALDKEIKHKDSQVTVLTAIVGTVKVSGEKKRISF* >Brasy6G038600.1.p pacid=40048263 transcript=Brasy6G038600.1 locus=Brasy6G038600 ID=Brasy6G038600.1.v1.1 annot-version=v1.1 MAGSAALRVAVLAAVLLLAVPFLGKPAEAQFGQVKKYCLSQFALASQACAILPPTSPEHRHKDDDDEEDDDEEDDDDEDEDDEDDDDDKGGGGGGEAPPLPPGPPSGGGGGEAPPLPPAPPGGGGRNRTSLITVDPEVSGVAVRRSNNGTLARGGSGRRASRGGRRSRRRRRGHRRRRRRHRVRRRGSSGGGGSLGDDEGGGGGGGGDDEDEDDDDDRDDDDEEDEDDDEEEDDDEEEDDDDEDEEGGDEHRAYRDCCRWLKEVEPGCVCETLLRLPSFLVKPQHTYTVRVGKTCKLEYRCGGGRGV* >Brasy6G261800.1.p pacid=40048264 transcript=Brasy6G261800.1 locus=Brasy6G261800 ID=Brasy6G261800.1.v1.1 annot-version=v1.1 MELNLDEQDGDDDHAVELLSQLGDELQDARKMLIDALQKFTGGREDIGVKRMGELDPTAFANACKQNVSQDDAEVNSAILCSQWQAEITNSDWHPFREILKEDDGKLQKLNEERGEEIYSLVTKALPEMNEYNPNKRHVVPELWNYKDDKKATLGEAAIQFILKEWQTNTTKR* >Brasy6G096500.1.p pacid=40048265 transcript=Brasy6G096500.1 locus=Brasy6G096500 ID=Brasy6G096500.1.v1.1 annot-version=v1.1 MVARCLVLSALLLITSASASAAASPHGLNQQADAAKQLKEELLERNSGHVMLKSWNGEDPCSPSAWEGFSCQSKDGNLVVVKLNFSSKELQGPIPAAIGNLTDLTEIDLQYNNFTGSIPGSFSALTQLLKLSVKCNPFLINQLPDGISTTVDFSFGGCAAEEYRSPPEAANQRTFVIGGVAGGSLACTFALGSFFVCFNKRERRSQKTDCASTTNPVYEECSINITTNPAVQQLSLKSIQTATCQFKTMIGQGGFGAVYQGTLAHGQQIAVKVRSPSSTQGTREFNNELRLLSAVWHDNLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRISVCIGAARGLVYLHNFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYATQLLSTKSDVFSFGVVLLEIVTGKEPLDLQRPRSEWSLVEWAKPYIRDFRIEELVDPGIKGQYCSEAMWRVLEVASACTESFSTFRPSMEDIVRELEDALIIENNASEYMRSMESTGTFGSNRYLSIDRKMFASGSARIDPAKLASGSDRIDAVKGPLQAMPSLPR* >Brasy6G150500.1.p pacid=40048266 transcript=Brasy6G150500.1 locus=Brasy6G150500 ID=Brasy6G150500.1.v1.1 annot-version=v1.1 MEGSSSSNQLGVPAGPRNGPTLSALESANSLAMPDYFSDANSIAEINSPMLNIDTLSTPKRRQSFTHVVCIPLS* >Brasy6G062200.1.p pacid=40048267 transcript=Brasy6G062200.1 locus=Brasy6G062200 ID=Brasy6G062200.1.v1.1 annot-version=v1.1 MLAYLLHAPAVAGPAAVTSPLSLRAPPAPKTPFLPSALRPPRRSATAAGAFSAGAVGPIAASLLEGPVLVWAGRLCLYYALIHAGLAGSPLNPFLSHEIRGEDGAGDSDLGFSKWADKLRGGPPGDKGQDKRKLVSKWRPTTKGTLKRTYRVPSKEEGRRVLKEIALVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPGPHLVLEITPFPAGVLTDSDYLKAERLEMVLRMSASI* >Brasy6G032300.1.p pacid=40048268 transcript=Brasy6G032300.1 locus=Brasy6G032300 ID=Brasy6G032300.1.v1.1 annot-version=v1.1 MGHELRRLLQRRMGDGQMMALDDMRRLLPRRTGRGCPSVSGQLALFDEEPEMTAEAQNAEAARRCLSRSSGYEAGQVKVDVPARRRRRLTPAPGNCVNVTIRILLAIAMLISATNFMVKMYIDLGPEHRSVFYMVSMECAAILVGGFLMFLWETE* >Brasy6G264200.1.p pacid=40048269 transcript=Brasy6G264200.1 locus=Brasy6G264200 ID=Brasy6G264200.1.v1.1 annot-version=v1.1 MDPPDAAGRAGFERACRLPNTIHSEIAAALPLPTLPPTLGVLDDDRGEPLAEPDRPDMIMHAADIARALANTDISHLGFTEADHVEADPGECSLLWREVLKHNPDAFNLKPRAPPPPPPAPASQGPLDDQEYHNRERDKHFEHLAPSLGKARKEHAFPPDEINSHREHLHNDLAPDAVASKKPKMRKKDIDNSASSSGPSIPNSQEVITNFHELVEDFCGKAEIPDNADGDDWLSIPLNDVKVLVIEITYVRSKEMLHVIPMDTLTSLLHVIDRQIRCSQGLSIDGKGNPDAEDTEHAVFSALESIHAALAIMTHRDMPKQLYREELIERIIDFSRHQIMDCMAASNPTFRALYKPAGNVTNDGDGDEDDMENGPASKKRRTASNLSARKSSANKVSASIYSAVQKLCLILGFLKELLTMVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIDVICTVFSSYTQHRTYVIDETLHLVRKLQFSKNAIRTYHLADDEQKQIQMITALLVHLVQFSANVPDSLKGTVNWSTIIDASVDSSYPVNCHEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIENLVQDLLTVLNLPEYPAAAPILEVLCVLLLQNAGLNSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELIDGDNDGSKILKNKCCVCLGGRGIDMACDSCGRCFHSDCMGTGSQENLQRDSVCPLCFCKQQLSVLQSYYELQNKENGKRTSTSNKKKSATPEEVTAVDIVQQILLNYLQEAGPQDDGNLFSRWFYLCMWYKEDLHSQEKIIYYLARLKSKEILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFYELWFDEPVGSHKHLVADGSSVPMEIAKKTEQIVDMLKKMPNHQPLITIIKRSLTLDFLPQSSKASGINSSMMASLRKRCELICKRLLERILQVEEGADNEAKVHTLPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNKVDSKSTAKLLESIIFVIDAVLPLIRKPPQTVVEELEQDLKQMIVRHSFLTVVHACIKCLSALSNAASRGPKLFEHLVNIFYKHLSGPNSDSQVLGRSLFCLGLLLRYGYKLMAASENKLDFPKILDLLKRRYLLKEDFSLKVRALQALGYILIAKPEFMLQEDILNLIEASLSSDVDYRLKMQGLQNLFEYLRDAESQLTAESTGKPAVQYAANGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDMNDQVRHAALKIVEIVLRQGLVHPITCVPHLISLETDPVEANSKLSHHLLMNMNEKYPSFFESRLGDGLQMSFRFFEAIVSNHNKAATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFVSDGRSYPTIGFLVYCAEVLASLPFTCPDEPLYLVYDINRVIQIRAGAIESNLKNWTSMDQQQDMAGIPGYTGDVMHEPGGCSDQNVADISQRTLNNPCSTSGVDMGKLQGDCHEAIALQLLLKLKRHLKIVYSLTDARCQAFSLKDAPKSAEAFSKQNIPLNINSTNTSLPSCLQDVARIYQDFKTLLREDAMDYVMYTAATVQKKRPTPRSSSKVRKPVPVTRGRGGGGGGRGRGGGDDDDHDTDDDEWSGGPRVLDFGAQAVTGGRVTRQRVQV* >Brasy6G172200.1.p pacid=40048270 transcript=Brasy6G172200.1 locus=Brasy6G172200 ID=Brasy6G172200.1.v1.1 annot-version=v1.1 MLSIGKKKGTPTSRARAAAKRRSLRCAKENQAAESSKDTDDKKVDRPWNIIRIRLPPRKTLSDASPTLSNKALQTTDNIRSTGVLELTTDNPGKHLITAPEQGEASSNSPRGGLCDDANSNTLRKTLSAEYDADSSGNKLLDEAIDSTMSKNLRTAAREQGSDASSYLADQGLCEEANHPSMTLPLSVKELPGYHIDNHPSKNLKITGEQVEEEKNISPIKKMLCDTDGKAAHEEGNNLSMTLPLPVKELPGYHIDNHLSKNLKITGEQVELEKNISPRKKMFCDTDGKVAHEEGNNPSMTLPLPVKELPGYHIDNRSSKNLKITVEQVEEEKNISPRKKMLCDTDGKVAHEEGNNPSWDLKITAVKCEVSDEPSNRLTDRANKNTPQKRLHTSSVQAISTSQNTSEVKLSTSVGEVVEQRTNAANSEVIKEYHDFEGKVKRTVHFDYVTHEATQALKNPSRKVATTGVKREVFEHAKNHITTRKLPDVAKNNTPQKRLHTSSVKAISKLSTSVGEVVEQSTNAANMEVIKEYHDFDEKVKRTVHFDNVTHEATQALKNPSRKMATTSVKREVFEHAKNHISTRKLPDAAKNNAPSNRTTDPARKSTPRKKMRASAVQLTDSSQNKSGMKVSTSPGQATDQRKNSADLEAIKEFQEFEERVKRTVYLDNLSHHATETIIKSALSQFCTLRKVSFVVNYTIPYNIPQSALVEMETEKDAEVVVSMLHDFPFMMYGMPRPVRAKRATAEMFHDRPRRPGSKFKFHWVGPTDPDSEILKKLKLISRRHEVENLALIEHELEEEKLLAEQQQENLNCNFRMMETMDTVILSGMANYISRIYSINWNEAF* >Brasy6G172200.2.p pacid=40048271 transcript=Brasy6G172200.2 locus=Brasy6G172200 ID=Brasy6G172200.2.v1.1 annot-version=v1.1 MSKNLRTAAREQGSDASSYLADQGLCEEANHPSMTLPLSVKELPGYHIDNHPSKNLKITGEQVEEEKNISPIKKMLCDTDGKAAHEEGNNLSMTLPLPVKELPGYHIDNHLSKNLKITGEQVELEKNISPRKKMFCDTDGKVAHEEGNNPSMTLPLPVKELPGYHIDNRSSKNLKITVEQVEEEKNISPRKKMLCDTDGKVAHEEGNNPSWDLKITAVKCEVSDEPSNRLTDRANKNTPQKRLHTSSVQAISTSQNTSEVKLSTSVGEVVEQRTNAANSEVIKEYHDFEGKVKRTVHFDYVTHEATQALKNPSRKVATTGVKREVFEHAKNHITTRKLPDVAKNNTPQKRLHTSSVKAISKLSTSVGEVVEQSTNAANMEVIKEYHDFDEKVKRTVHFDNVTHEATQALKNPSRKMATTSVKREVFEHAKNHISTRKLPDAAKNNAPSNRTTDPARKSTPRKKMRASAVQLTDSSQNKSGMKVSTSPGQATDQRKNSADLEAIKEFQEFEERVKRTVYLDNLSHHATETIIKSALSQFCTLRKVSFVVNYTIPYNIPQSALVEMETEKDAEVVVSMLHDFPFMMYGMPRPVRAKRATAEMFHDRPRRPGSKFKFHWVGPTDPDSEILKKLKLISRRHEVENLALIEHELEEEKLLAEQQQENLNCNFRMMETMDTVILSGMANYISRIYSINWNEAF* >Brasy6G065000.1.p pacid=40048272 transcript=Brasy6G065000.1 locus=Brasy6G065000 ID=Brasy6G065000.1.v1.1 annot-version=v1.1 MSLGASKFPDEMDDNRSYTLPGMVVEMHMRAATEAGDCSSLSRSSLRRSSSSSPEPPPELVPLVVADQPAWAGEVQRGAVAGARPARRRWPRTSPQGRRKCSTARPPELVPLVAAGHGPARMGRGGAARRRRRSSSRSSPMAADQPAWAGEVQRGAVAGARPARRRWPRTSPQGRRRCSAARPPEHVPLIAAGRRPARRGGGGAARRCRRSPSFSTGCIAPLSPDATSSKNDQRLDGREAKAAASSAMASGGGLEREKLSSQYRIFFSSG* >Brasy6G214500.1.p pacid=40048273 transcript=Brasy6G214500.1 locus=Brasy6G214500 ID=Brasy6G214500.1.v1.1 annot-version=v1.1 MGTVAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTTGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMEILLKTIYELYTEFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR* >Brasy6G214500.2.p pacid=40048274 transcript=Brasy6G214500.2 locus=Brasy6G214500 ID=Brasy6G214500.2.v1.1 annot-version=v1.1 MGTVAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTTGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMEILLKTIYELYTEFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR* >Brasy6G125700.1.p pacid=40048275 transcript=Brasy6G125700.1 locus=Brasy6G125700 ID=Brasy6G125700.1.v1.1 annot-version=v1.1 MSSWGLGWKRSSEIFHLTLDYGEFPDEPDQDPTSPPPPPSPTAALASANSSPTAIMNGDLGFRIELDWSTGDDEDQVALRLQSQLMVALPPPHDVVCVDLKPAEDGEEVGVEMRVVRRREALRSVWVARALGSTQSTGDGAVVLARLIRSNLAPAPAADGAVAAGVPILADHWRSVVALSLCNCGLMVLPVELTRLRFLEKLYVDNNKLSVLPPEVGDLKNLKVLTADNNMLVSVPVELRQCVLLEELSLEHNKLVRPLLDFRSVPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIDALESLKSVTVEIETENYSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSSDDEELVSVLQVVITLTFVSDHVAQKMLRKDVLKSLKALCAHKNPEVQRLSLLAVGNLAFCLETRRTLMHSESLRELLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMNMDQCEEIYTKLGKLVFAEPVPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGMAESPSISAVGMAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEEALDTLIPMLPEMEYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNSQAFKNVCELLVPRYQEEEKSSGIIKSLSFSRLSSSNSGLSESNPTLGWRRVVLLVEASFSPDCGKKINHTRSLETFCSQNGIRLTLMNSTSGFGKSAVAVPTPITSPLFTGSFPSSPLLYSPEGSQRMGRIDLVPPLSLDGHPTMKSSPPTSPLKLWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSTMLHGCKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAFHMTPEDVRWMVGAWRERIIVCSGKYGLVHGLVKAFVDSGAKAVISSSVEPPDSQAIVYHGMDVNGSLGNGKFVIGDDEADESEPEPVSPISDWEDSDAEKNAESNKHIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYTCHLPNVL* >Brasy6G125700.2.p pacid=40048276 transcript=Brasy6G125700.2 locus=Brasy6G125700 ID=Brasy6G125700.2.v1.1 annot-version=v1.1 MSSWGLGWKRSSEIFHLTLDYGEFPDEPDQDPTSPPPPPSPTAALASANSSPTAIMNGDLGFRIELDWSTGDDEDQVALRLQSQLMVALPPPHDVVCVDLKPAEDGEEVGVEMRVVRRREALRSVWVARALGSTQSTGDGAVVLARLIRSNLAPAPAADGAVAAGVPILADHWRSVVALSLCNCGLMVLPVELTRLRFLEKLYVDNNKLSVLPPEVGDLKNLKVLTADNNMLVSVPVELRQCVLLEELSLEHNKLVRPLLDFRSVPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIDALESLKSVTVEIETENYSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSSDDEELVSVLQVVITLTFVSDHVAQKMLRKDVLKSLKALCAHKNPEVQRLSLLAVGNLAFCLETRRTLMHSESLRELLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMNMDQCEEIYTKLGKLVFAEPVPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGMAESPSISAVGMAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEEALDTLIPMLPEMEYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNSQAFKNVCELLVPRYQEEEKSSGIIKSLSFSRLSSSNSGLSESNPTLGWRRVVLLVEASFSPDCGKKINHTRSLETFCSQNGIRLTLMNSTSGFGKSAVAVPTPITSPLFTGSFPSSPLLYSPEGSQRMGRIDLVPPLSLDGHPTMKSSPPTSPLKLWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSTMLHGCKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVGAWRERIIVCSGKYGLVHGLVKAFVDSGAKAVISSSVEPPDSQAIVYHGMDVNGSLGNGKFVIGDDEADESEPEPVSPISDWEDSDAEKNAESNKHIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYTCHLPNVL* >Brasy6G125700.3.p pacid=40048277 transcript=Brasy6G125700.3 locus=Brasy6G125700 ID=Brasy6G125700.3.v1.1 annot-version=v1.1 MEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSSDDEELVSVLQVVITLTFVSDHVAQKMLRKDVLKSLKALCAHKNPEVQRLSLLAVGNLAFCLETRRTLMHSESLRELLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMNMDQCEEIYTKLGKLVFAEPVPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGMAESPSISAVGMAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEEALDTLIPMLPEMEYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNSQAFKNVCELLVPRYQEEEKSSGIIKSLSFSRLSSSNSGLSESNPTLGWRRVVLLVEASFSPDCGKKINHTRSLETFCSQNGIRLTLMNSTSGFGKSAVAVPTPITSPLFTGSFPSSPLLYSPEGSQRMGRIDLVPPLSLDGHPTMKSSPPTSPLKLWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSTMLHGCKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAFHMTPEDVRWMVGAWRERIIVCSGKYGLVHGLVKAFVDSGAKAVISSSVEPPDSQAIVYHGMDVNGSLGNGKFVIGDDEADESEPEPVSPISDWEDSDAEKNAESNKHIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYTCHLPNVL* >Brasy6G125700.4.p pacid=40048278 transcript=Brasy6G125700.4 locus=Brasy6G125700 ID=Brasy6G125700.4.v1.1 annot-version=v1.1 MEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSSDDEELVSVLQVVITLTFVSDHVAQKMLRKDVLKSLKALCAHKNPEVQRLSLLAVGNLAFCLETRRTLMHSESLRELLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMNMDQCEEIYTKLGKLVFAEPVPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGMAESPSISAVGMAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEEALDTLIPMLPEMEYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNSQAFKNVCELLVPRYQEEEKSSGIIKSLSFSRLSSSNSGLSESNPTLGWRRVVLLVEASFSPDCGKKINHTRSLETFCSQNGIRLTLMNSTSGFGKSAVAVPTPITSPLFTGSFPSSPLLYSPEGSQRMGRIDLVPPLSLDGHPTMKSSPPTSPLKLWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSTMLHGCKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVGAWRERIIVCSGKYGLVHGLVKAFVDSGAKAVISSSVEPPDSQAIVYHGMDVNGSLGNGKFVIGDDEADESEPEPVSPISDWEDSDAEKNAESNKHIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYTCHLPNVL* >Brasy6G056200.1.p pacid=40048279 transcript=Brasy6G056200.1 locus=Brasy6G056200 ID=Brasy6G056200.1.v1.1 annot-version=v1.1 MASSWPLLLLILLLASSSSPALLVAADAAGDELKHIRVYMHETFAGPNATFAIAVPSPLGDAALGSFGTVEVIDDELRDGPDRASASLVGRFQGLLAGTGLVAPPALQSAVNFVFTAGDYSGSTLAMLGPVLNFGAPIERAVVGGTGVFRMARGYCLMTSLGNPTPESVVYQVDLYLQMYKHRA* >Brasy6G036300.1.p pacid=40048280 transcript=Brasy6G036300.1 locus=Brasy6G036300 ID=Brasy6G036300.1.v1.1 annot-version=v1.1 MARPCCVDHGAHCLIGTAEFASRRYSKHSTRTALLDHPGCIYAGREAGMLLPGEEERRLEHGSVIQNRGGASGIPAAAVDHAGELVDRVSDPTDVGGARADELLPDVPVLRGLRPRQRLQDPLHPPGERRAVQAHVHLALQEPPRGRERRGRGGLVGGEQRRRLVAVEPRDGVPSLLAGAGGSEGGECQGAERADGVRVGRPAVRAGHVHRQLRAVARDGWLRAARGRHRRADDDGHGGGGRGISHAGAGRRRRSRPPARIDSWWRRRDEVMA* >Brasy6G205400.1.p pacid=40048281 transcript=Brasy6G205400.1 locus=Brasy6G205400 ID=Brasy6G205400.1.v1.1 annot-version=v1.1 MPSRCGRWGRGGGDLSGSSGRSFSITTGILPSLGAQSIGRRRRLRSCVVSPYDRRYRLWQHSLVPLVLYSAWVSPFEFGFLHNPTPNSPLAVIDNAVNAFFAVDIVLTFFVAYTDTKTYLLVDAPSEIAWRYAKTWLVLDVASTLPTELTRMLLPKDLRSYGFFGMLRLWRLRRVGALFSTMEKDRKLSYFWVRCLKLVFVTVFAVHCAGCFYYLLADRYPDPAATWIATSMPDFHTRTLWDRYVASMYWSITTLTTVGYGDMHAVNPREMLFTTVYMLFNLGLTAYLIGNMTNLVVHGASRTRKYRDTIQAATSFAVRHQLPARLQEQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISHHLFFGLVQNAYLFQGVSNDLIFQLVSEMTAEYFAPREDVILQNEAPTDFYIIVTGSVELIDIQNGAEEPAGVAKSGEVIGEIGVLCYRPQLFTARTRSLCQLLRLDRTDFLQIVQSNVGDGTIIMNNLIQYLKEKKDDGVIAGVSKEIEHMIARGQLDLPITLCFAASRGDEHLLHQLLKRRGLDPNETDNDGRTALHIAASGGSEQCVKLLLENGADPNARDPEGRVPLWEAMSRKHERAAQLVAEAGGSLSAGDGAAYARFAVEEDDAALLEEIARRGVDVAGASCSGDGVTPLHRAVLNGKAAMARALLSHGADPDRQDARGRTPRSMADRHGHAEIQRLFASHHRPGDPDPDPDPKPSSPAAAAAERVPSRGSGGGGNRSPSNSSSSSSPMSSARISPQRMMASSFRNSLFGVLSTSFHGNRLDDGGRSFHHRLPRRHERGEQQQESAPSPVPARVTVSCPEVEAERRVMVPVPETMRQLVEVGASRLGFGAATRAVTRDGAEVDDVRVVRDGDHLFLVSDHWAPPGPDTSTSSRRNQ* >Brasy6G189400.1.p pacid=40048282 transcript=Brasy6G189400.1 locus=Brasy6G189400 ID=Brasy6G189400.1.v1.1 annot-version=v1.1 MASAKSSRSRPAGHSGVFPVGGAVMGLGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGTEGSTDDDISNIEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVAADAKRTQTLTTAEISALRGAVSDNRQKLADQLAEAACQSSTRGIELRAASSALKRLGDGPRAHSLLLNAHSQRLQLNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSAVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPSSGIRPLAKSSVANLALQPKLSSSGHRFNSMVQDYFEDVAPLLSLQLGGSTMDGIAHNFSLYVNLLISALPGSMDDEANVDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSANQSSMEDLRKRGPDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMAGIAADMFVGRERFATLLMMRLTETVVLWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMGAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGRARVANGDREVNSPTASVSAHSMSSFRSHGSS* >Brasy6G189400.2.p pacid=40048283 transcript=Brasy6G189400.2 locus=Brasy6G189400 ID=Brasy6G189400.2.v1.1 annot-version=v1.1 MLDVLLAERRVDEALDALDEAERVAADAKRTQTLTTAEISALRGAVSDNRQKLADQLAEAACQSSTRGIELRAASSALKRLGDGPRAHSLLLNAHSQRLQLNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSAVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPSSGIRPLAKSSVANLALQPKLSSSGHRFNSMVQDYFEDVAPLLSLQLGGSTMDGIAHNFSLYVNLLISALPGSMDDEANVDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSANQSSMEDLRKRGPDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMAGIAADMFVGRERFATLLMMRLTETVVLWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMGAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGRARVANGDREVNSPTASVSAHSMSSFRSHGSS* >Brasy6G059900.1.p pacid=40048284 transcript=Brasy6G059900.1 locus=Brasy6G059900 ID=Brasy6G059900.1.v1.1 annot-version=v1.1 MAAELGGWWPMSPWLSPGAAWFIFFNVVVGAIAVMSRGRDATPASRRRLSRTASAVVLDSLRSISLFSFPSGAAGGGDDSVPYYSPPAPLHQDQRCSFQEPAAPAAECEEEDGESISLDEAYALARARREAPSAAAACTAAKEEVPRKKREPVMKLAEVKAYACGRGVLEEVEGKAEVNARAEQFIRQFREELKLERINSILNYTRAHRRGASAATVAAGAATNRLV* >Brasy6G044200.1.p pacid=40048285 transcript=Brasy6G044200.1 locus=Brasy6G044200 ID=Brasy6G044200.1.v1.1 annot-version=v1.1 MAASSSSRGGGGDDDNEPFLLGFVVAKLVGLRHYSGTINGRESVNLVREPLNSYDANAIAAHNRRGDKVGHIDRDTARALAPLLDSCLVAATHAIVPKHPSGKNRPKPFKLPCQVHLFAHPASADAVRSAVSGSGLSLIDTGHAEFSFSESAIVQEQTKKSDRDVDRLFARVVKEGEGRIEPMEAPEDVVVSDLFEHQKDALGWLVHREESCDLPPFWQEDKDGGYQNVLTSQKTKERPLPLKGGIFADDMGLGKTLTLLSLIARSKARNVVAKKGKGTKRRKVDDAEQESRTTLVVCPPSVFSSWVTQLEEHTEAGSLKVYMYHGERTKDKRELLKYDIVITTYSILGIEFGQEGSPVNDIEWFRVILDEAHVIKNSAARQTKAVIALNAQRRWVVTGTPIQNSSFDLFPLMAFLKFEPFSIKSYWQSLIQSPLVKGDKAGLSRLQNLLGAISLRRTKETESGSKSLVNIPPKTVVACYIELSSEEREYYDQMELEGRNKMLEFGAGDSIMRNYSTVLYFILRLRQLCNGVALCPLDMKAWLPGSSLEDVSKNPELLKKLASLVDDGDDFDCPICLSPPSKTVITSCTHIYCQTCILKILKSSSSRCPICRRALSKEDLFIAPEVQHPDEDGSGNLGSDKPLSSKVQALLELLKRSQKEDPLSKSVVFSQFRRMLILLEGPLKTAGFNILRLDGSMSAKKRSDVIKRFAVVGPDTPTVLLASLKAAGAGINLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQKKAVKVVRLLVKGSIEERILELQERKKRLISGAFGRKGGAKENKEMRLEELRLMMGISSPAWGR* >Brasy6G083700.1.p pacid=40048286 transcript=Brasy6G083700.1 locus=Brasy6G083700 ID=Brasy6G083700.1.v1.1 annot-version=v1.1 MAAPELPEAVEPQKKSNLKYAFTCAIVASMASIVLGYDIGVISGASLYIKKDLKITDAQLEILVGILSIYSLIGSFAAGRTSDWIGRRFTVVFVSAFFFAGALLMGFAGGYAMLMFGRFVAGIGVGYAMVIAPVYTAEISPASARGFLTSFPEVFINVGILLGYVSNYAFARLPLSLCWRFMLGIGAAPPVLLAVLVVNMPESPRWLVMKGRLADARLVLEKIADTPEEAAERLTDIKLAAGIPSDLDGDIVIVPARTRGGEEKKVWRELIVSPTPAVRRILLTALGIHLFHQGCGSDNVVLYSPRVFESAGITGDDQLLAATCAMGVTKTFFILVATFLLDRVGRRPLALCSTGGMVVSLVGLATGLTVAGRNPGGEIQWAVGLCVASVMAFVSFFSVGLGPVTGVYTSEIFPLRLRALGFAVGAAGNRVISGVISMTFLSLSNAITIGGCFFLYAGVTALGWVFFFTCLPETRGRTLEEIGSLFGATDTGMEAEDAAPERETDSCRARLLGSASPGV* >Brasy6G244600.1.p pacid=40048287 transcript=Brasy6G244600.1 locus=Brasy6G244600 ID=Brasy6G244600.1.v1.1 annot-version=v1.1 MRSLCYVTFLAILSITDVQLCASVRLLAQSPNGSPPLPHAVLPVNIPHEVPENLPGAPLPLPVAERPANIPHEFPGNLPGALTALPTIGGPTDMPVHIPHEILRNLPAKPSTLPAAERPANIPANLPEKIPGNLPGAPPLLRPNIPANLHVNILDKIPGNLPSAPPLLHPDILPNLPVNIPDKIPGNLPSAPPLLHPDIPPNLPVNIPDKIPGNLPGASPPLPPAGLPVNISTNPPVNIPIKNPGNLPSATPVPFPPASLPANISANLPANIHDKNLGDQPGAPPSLSSAVLLPNIPTNLSVNITDKIPENLSGALPPLSLPANLPVNISGYISGNLASAPVSFHPTSLPANISGNISGNLSSAPVPLRPTSLPANISDNISGNLPSAPAPLRPTSLPANISGNISGNLPSAPAPLRPTSLPANISGNISGNLPSAPVPLRPTSLPANISGNISGNLPSAPVPLRPTSLPANISGNISGNLPSAPVPFRPTSLPANISGNISGNLPSAPVPLRPTSLPANISGNLPVNISNNIPGNLHVNILGNISGNLTGASMPLPPSSLPANISDNIPGNLPINISGNISGNLPGASAPLPPTVLPANIPGNLPMNISDNIPGNLPANLPGNVPVNLPANVPPGMLANVPPGMLANVPPEMLANLPANVTPEMLSKIPPEAWANVPPGQLPPNVTPDMLVTLAAMKQQQPAAAGGGEKGVAAAAAAGLPLPKMPDFSGLAKISFPPMPSATLPKVPQNFTFFGFDVEIPKFINKMVNGHSES* >Brasy6G200700.1.p pacid=40048288 transcript=Brasy6G200700.1 locus=Brasy6G200700 ID=Brasy6G200700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWLALGHLSAVVDAGMYENEVRYLPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy6G124600.1.p pacid=40048289 transcript=Brasy6G124600.1 locus=Brasy6G124600 ID=Brasy6G124600.1.v1.1 annot-version=v1.1 MAALQRGPRASGAATSGTAAAGALSGTGAASGAGGSGRRRGCRGRRPAGGGRASSPTPVSGATTASDFLSPIRSLKSRLAAGDIIYRQPAGRHGCQAARGLGTRPLCRGGGATA* >Brasy6G097000.1.p pacid=40048290 transcript=Brasy6G097000.1 locus=Brasy6G097000 ID=Brasy6G097000.1.v1.1 annot-version=v1.1 FPPRRAANAVHRVPARPPHAGRPPRCRPSFPHRRAAAVVPRVLARPPCAGRPLLCDPPPAPAPPAALEQAADEAHEYPPLRPLDPPQEEDPYPDEVISVPSLVPTGITSLSVYLLNADALVHGTRNVVLKWRRPTSICTGLGICG* >Brasy6G006500.1.p pacid=40048291 transcript=Brasy6G006500.1 locus=Brasy6G006500 ID=Brasy6G006500.1.v1.1 annot-version=v1.1 MIAPLTILMMATSAAVFLPAAAVVSSIEGQAGALLLWKATLNNQSQLALRSWRNMSSAPCSWRGISCGARTTHHRSRRPFVTGVSLRGMQLQGTLESLDFTALRTLTSLDLSHNELVGSIPSSIEVLAELRALLLPGNQIRGSIPPSLASLIKLRSLVLSDNQISGEIPSQIGKMSHLVSLNLSNNHLVGHIPHEIGHLKHLSMLDLSTNSLSNSIPINVGDLTELTTLYLDHNQLSGHIPIGLGYLVNLEYLALSYNSITGSIPTNLCNLTKLVRLHIQNNRLSGHIPQELGYLVNIHHLDLSKNTLAGPIPNSLGNLTKLSSLYLRHNQLSEDLPRELGYLVNLEEMLLHDNTLSGTIPYSLGNLTKLTTLFLYHNQLSGNIPEELGNLVNLSEIALQDNNLTGHIPYNLANLTKLMALYLYHNQLFGHIPQELGYLVNLKEMALQNNKLTGPIPYKLGNLTKLTILNLFNNMISGHIPRELSNLVNLQVIEICENTLTGSIPENLGNLTKLTTLYLYHNQLSGHIPRELGTLVNLEDLRLSNNKLIGSIPNIFGNLTRLTTLYLGSNQLSQSIPKELGNLVNLEDLIMSENTLSGSIPNSLGNLTKLQTLYLVGNQLSGSIPQEISKLMSLVELELSSNNLSGVLPSDLCVGGRLKNFTAAKNNLIGPLPSSLLSCTSLVRVRLDGNQLEGDITEMGLHPNLVYIDMSSNKLFGKFSHHWGGCYKLTMLNASNNNIAGVIPPSIGKLSELGVLDVSSNKLEGKIPQEIGNTTVLFNLNLCGNLLQGHIPQEIGMLKNLEYLDLSSNNLSGSIPGSVNNFSKLRFLKLNHNRLNGTIPMELGMLVSLKDLLDLSENSFDGAIPSQLSGLGLLEALNLSHNALSGSISPAFRTMSSLLSLDVSYNKLEGPVPQSRFFEGAPIEWFMHNKKLCGVVRGLPPCDLIQSGGSDRKYKAILLATIPTFVSFLVVTILVTLQCRKNIPNEASTNDPQQINLFSVWNFDGEDVYKSIVDATENFSDTYCIGIGGNGSVYKAHLSTGEIFAVKKIHAMEDDELFSREIDALMNIRHRNIAKLFGYCLSTQGRFLVYEYMDKGSLATSLKSQETAIELDWTRRLNIARDVAHALSYMHHDCFAPIVHRDITSSNILLDQEFRACISDFGIAKILDIDASNFTTVAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGEFLSSLSSMARKSTLLGDLLDTRLPAPKAAIPSEIFKVITVAFRCIEANPSLRPAMQDAIKVFSTTGGPSNLDYLHTGIVIPACWL* >Brasy6G048400.1.p pacid=40048292 transcript=Brasy6G048400.1 locus=Brasy6G048400 ID=Brasy6G048400.1.v1.1 annot-version=v1.1 MGIGALQPAAAMATAAAASAAAPRIHHRPWTLAAAPRTLVCTAGSGSSTAAAPPRTTRGRFAVNTAARAAPDAVTYSSSIDTDMPLYEPPGVSFDEYLQDRPRVFRAMFPDEARSQRLSDEAWRVRMLPLEFLLLTVHPVVVMQLRNRGRALHLRITEWELRGLDSGYTPASFDLGVRGSLYADRSGGGSRLRGHLEISITAVLPPPLRLVPEGVLRGVAESVLSTLAEKMKRDVDVGIVADFRMFRMEKAAARATGTAVARAE* >Brasy6G137700.1.p pacid=40048293 transcript=Brasy6G137700.1 locus=Brasy6G137700 ID=Brasy6G137700.1.v1.1 annot-version=v1.1 MRYCKGCRRVTAVTVDPASRDTVCTECSLVLNERYVDESLEWRTFLNHGPAPAEDSRSRVDDHVLTSLQLGTAVAGPAPGNVQTSAALPRMRGGGAGGSSTAKTRGAAAAAASDRALADAFQSIDGMAERLGLATAVKGRAKEVLRKLEVAKAFPKWGKCRNRRALYAACLQMACRGEGTPRTFKELASVTGDSGTAGMKDIGRLVKHIRNHLGDQDQDAEGMQAAAPEMMGGAIVRAGDYLCRFGSQLGMGQEELHAAQEAARRLEKDLDVRRNPDSIAAAVIYMATQRAGAGKSIRDVSTATGVSEVTIREVHNKDLSPHADLLFG* >Brasy6G181500.1.p pacid=40048294 transcript=Brasy6G181500.1 locus=Brasy6G181500 ID=Brasy6G181500.1.v1.1 annot-version=v1.1 MRNIKTYLSVAPVLSTLWFAALAGLLIEINRLFPDALSFPFF* >Brasy6G224200.1.p pacid=40048295 transcript=Brasy6G224200.1 locus=Brasy6G224200 ID=Brasy6G224200.1.v1.1 annot-version=v1.1 MTNGPELPSFNHYGQNAMLSEQVVRADKMLLQDSNLNLMLPEQGAHADEMSNLNAMYGALHPLPEYFPPYGLHDSSGHELSEFDEVNAWFS* >Brasy6G097800.1.p pacid=40048296 transcript=Brasy6G097800.1 locus=Brasy6G097800 ID=Brasy6G097800.1.v1.1 annot-version=v1.1 MPAHRHVDLKLSSTAPRPPSSPPCLGPSHARPPPVVPAGHMWERGRPPPRKPRPSPLILPASALVSPPPPRFVFPRSLFALAARAMPSRRPSPALLLLLALALALLFLLLSPSGPSASRLSHSFASASSSYASSSPLPSPVKIYLYDLPSKFTYGVVRSYMSARAPPGSADAVATLPDEELRYPGHQHSAEWWLFKDLHRRGPRDRPVARVDDPAEADLFYVPFFSSLSLVVNPIRPLAAANASVAAAEPAYSDEAMQDELVGWLERQPYWRRHRGRDHVFICQDPNALYRVVDRISNAVLLVSDFGRLRGDQASLVKDVILPYSHRINPFKGDVSIEARPALLFFMGNRYRKEGGKVRDTLFQVLENEGDVIIKHGTQSRVSRRMATQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIISDHIELPFEDVIDYSNISIFVDTSKAVQPGFLTSMLRRVSSERILEYQREIKRVKHYFEYEDPNGPVNQIWHQVSMKAPLIKLLINRDKRLVERATNETDCSCICSTPSEISTGN* >Brasy6G097800.2.p pacid=40048297 transcript=Brasy6G097800.2 locus=Brasy6G097800 ID=Brasy6G097800.2.v1.1 annot-version=v1.1 MPAHRHVDLKLSSTAPRPPSSPPCLGPSHARPPPVVPAGHMWERGRPPPRKPRPSPLILPASALVSPPPPRFVFPRSLFALAARAMPSRRPSPALLLLLALALALLFLLLSPSGPSASRLSHSFASASSSYASSSPLPSPVKIYLYDLPSKFTYGVVRSYMSARAPPGSADAVATLPDEELRYPGHQHSAEWWLFKDLHRRGPRDRPVARVDDPAEADLFYVPFFSSLSLVVNPIRPLAAANASVAAAEPAYSDEAMQDELVGWLERQPYWRRHRGRDHVFICQDPNALYRVVDRISNAVLLVSDFGRLRGDQASLVKDVILPYSHRINPFKGDVSIEARPALLFFMGNRYRKEGGKVRDTLFQVLENEGDVIIKHGTQSRVSRRMATQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIISDHIELPFEDVIDYSNISIFVDTSKAVQPGFLTSMLRRVSSERILEYQREIKRVKHYFEYEDPNGPVNQIWHQVSMKAPLIKLLINRDKRLVERATNETDCSCICSTPSEISTGN* >Brasy6G131300.1.p pacid=40048298 transcript=Brasy6G131300.1 locus=Brasy6G131300 ID=Brasy6G131300.1.v1.1 annot-version=v1.1 MAASGASAVALLVFLPLLSVLVTGEDPYRFFTWNVTYGDIFPLGVKQQGILINGQFPGPQIDAVTNDNLVVNVYNNLNEPFLLSWNGVQQRRNSFEDGVAGTTCPIPPGRNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGGIRVLSRPMIPVPFPPPAADFTALIGDWYKTNHTDLRYILDSGKTLGFPDGLLINGRGWNGYTFTVQPGKTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTVQSIYSSLDVHLGQSYSVLLTADQPGFDYPIVVSTRFTSKIISTTAVLHYTNSASKAPGPLPGGPTTQIDWSLNQARSVRWNLTASGPRPNPQGSYHYGQVPTTKTIRLANSAAIINGKQRYAVNGVSFVNADTPLKIADYYKIPGVFSVGTISDSPTSGGAYLKTSVMGADYRGYVEIVFENSENEVQSWHIDGYAFWVVGMNGGQWSAASRQIYNLRDAVSRYTLQVYPNAWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTPSTSWRDEFPIPKNALLCGRAAGRRTRPL* >Brasy6G046400.1.p pacid=40048299 transcript=Brasy6G046400.1 locus=Brasy6G046400 ID=Brasy6G046400.1.v1.1 annot-version=v1.1 MGMGFVWMVGAAMAAAVASWAFNALVYLLWRPQVISRKLRAQGVGGPGYRSFSGNLGEIKRLRAEAAAGPALAVSDHDFVPLVQPHFRKWIPLYGRTFVYWFGAKPSVCVSDVDMVKQVLSDRKGLYPKNIGNPHIARLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCAGSMMSEWEAMLAKGNDVEIELSSQFEELTADVISHTAFGSSFNEGKRVFEAQRELQFLAFSTVFNVQIPGFRYLPTEKNLKIWKLDRSVRSMLTGIIKSRLASKDTMGYGNDLLGLMLEACAPEHGESPLLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQERLREEVLRECGAHEAPNGDMINRLKLVNMFLLETLRLYSPVSIIQRKAGSELELGGVKVPEGTVLSIPIATIHRDEELWGEDAGEFKPLRFENGVMRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILRRFSFSLSPEYVHAPMDVLTLRPKFGLPMVLKGLETM* >Brasy6G026300.1.p pacid=40048300 transcript=Brasy6G026300.1 locus=Brasy6G026300 ID=Brasy6G026300.1.v1.1 annot-version=v1.1 MAEASPRTDTSTDDTDENHMLEPGQVALVVSDSSDRSRDKNGDQKTMRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSADQSHSTSGNGAIAFDMEYSRWLEEHNRQVNELRAAVNAHASDNDLHSVVEKIMSHYEEIYKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGICNLQHSSQQAEDALSQGMEALQQSLAETLAGSIGTSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE* >Brasy6G026300.2.p pacid=40048301 transcript=Brasy6G026300.2 locus=Brasy6G026300 ID=Brasy6G026300.2.v1.1 annot-version=v1.1 MFCKLEPGQVALVVSDSSDRSRDKNGDQKTMRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSADQSHSTSGNGAIAFDMEYSRWLEEHNRQVNELRAAVNAHASDNDLHSVVEKIMSHYEEIYKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGICNLQHSSQQAEDALSQGMEALQQSLAETLAGSIGTSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE* >Brasy6G131800.1.p pacid=40048302 transcript=Brasy6G131800.1 locus=Brasy6G131800 ID=Brasy6G131800.1.v1.1 annot-version=v1.1 MDHAADAQRTDLMTITRHALNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGVTNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKEKDNATLEDVLQPGTDMIAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSINEGNAKNWDAPTTMFVEKCKFPQDGSSAKSLRYIGSMVADVHRTLLYGGIFLYPGDKKSPSGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPTDIHQRSPIFLGSYDDVEEIKSLYASESSTA* >Brasy6G131800.2.p pacid=40048303 transcript=Brasy6G131800.2 locus=Brasy6G131800 ID=Brasy6G131800.2.v1.1 annot-version=v1.1 MDHAADAQRTDLMTITRHALNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGVTNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKEKDNATLEDVLQPGTDMIAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSINEGNAKNWDAPTTMFVEKCKFPQDGSSAKSLRYIGS* >Brasy6G129200.1.p pacid=40048304 transcript=Brasy6G129200.1 locus=Brasy6G129200 ID=Brasy6G129200.1.v1.1 annot-version=v1.1 MDYISPERNLDGTCGDPGSLFADQDGSLLEHLDYHGDGITQHDSPPLNDELLADQADQISYLAADSIPYMNDQVSCNTMKSASTSPPSPLKQDKEHNVHMESDMQNNAAEQNVHRNDPEAHTTSPGYAVQHKTDVVDAVLPPELHESSGNDTSDFQQEATHSDAYLGDSMLTQNSSRDYQLNNSGDDDDEIPNSSALQMENVDIRKLHKTFHNEVNGTEDNQMNGGNSNPHDERDSENLNSATAPSYLDEMEQEEHGTENGISTPGNQWDSPCERSAELEKDTPSPDRRVSLSVERSPHTHSSEKLDLLNAAKEDEKLARSRSPPARRRPRSPEKHDTHRRRASSRELSPHARENSPPERKARKDSRHGEGSPRRRSASPRRRGGTPRRRSASPRRRDGSPRRRSLSPKRRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKRRSSPKRRGSPRRKDSPPRKRDSSPRRRDSSPKRRDSSPKRRDSSPRRRDSPTRKRDRSKSRSPSRKTDTSRHRREHGRSQSRSPHSRDHHKRSPRRRHSPRRRSPPASHRQHSPRRPWSPPANRKTGLGKPGRNLFVAGFSYATTERDLEKKFAKYGRVTSVRVVRDKRTGDSRGFGFLCLEKDEDADAAIRACDETEWNGRIILVEKSKAPSW* >Brasy6G129200.3.p pacid=40048305 transcript=Brasy6G129200.3 locus=Brasy6G129200 ID=Brasy6G129200.3.v1.1 annot-version=v1.1 MDYISPERNLDGTCGDPGSLFADQDGSLLEHLDYHGDGITQHDSPPLNDELLADQADQISYLAADSIPYMNDQVSCNTMKSASTSPPSPLKQDKEHNVHMESDMQNNAAEQNVHRNDPEAHTTSPGYAVQHKTDVVDAVLPPELHESSGNDTSDFQQEATHSDAYLGDSMLTQNSSRDYQLNNSGDDDDEIPNSSALQMENVDIRKLHKTFHNEVNGTEDNQMNGGNSNPHDERDSENLNSATAPSYLDEMEQEEHGTENGISTPGNQWDSPCERSAELEKDTPSPDRRVSLSVERSPHTHSSEKLDLLNAAKEDEKLARSRSPPARRRPRSPEKHDTHRRRASSRELSPHARENSPPERKARKDSRHGEGSPRRRSASPRRRGGTPRRRSASPRRRDGSPRRRSLSPKRRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKRRSSPKRRGSPRRKDSPPRKRDSSPRRRDSSPKRRDSSPKRRDSSPRRRDSPTRKRDRSKSRSPSRKTDTSRHRREHGRSQSRSPHSRDHHKRSPRRRHSPRRRSPPASHRQHSPRRPWSPPANRKTGLGKPGRNLFVAGFSYATTERDLEKKFAKYGRVTSVRVVRDKRTGDSRGFGFLCLEKDEDADAAIRACDETEWNGRIILVEKSKAPSW* >Brasy6G129200.2.p pacid=40048306 transcript=Brasy6G129200.2 locus=Brasy6G129200 ID=Brasy6G129200.2.v1.1 annot-version=v1.1 MDYISPERNLDGTCGDPGSLFADQDGSLLEHLDYHGDGITQHDSPPLNDELLADQADQISYLAADSIPYMNDQVSCNTMKSASTSPPSPLKQDKEHNVHMESDMQNNAAEQNVHRNDPEAHTTSPGYAVQHKTDVVDAVLPPELHESSGNDTSDFQQEATHSDAYLGDSMLTQNSSRDYQLNNSGDDDDEIPNSSALQMENVDIRKLHKTFHNEVNGTEDNQMNGGNSNPHDERDSENLNSATAPSYLDEMEQEEHGTENGISTPGNQWDSPCERSAELEKDTPSPDRRVSLSVERSPHTHSSEKLDLLNAAKEDEKLARSRSPPARRRPRSPEKHDTHRRRASSRELSPHARENSPPERKARKDSRHGEGSPRRRSASPRRRGGTPRRRSASPRRRDGSPRRRSLSPKRRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKKRDRSPRRRSPSPKRRSSPKRRGSPRRKDSPPRKRDSSPRRRDSSPKRRDSSPKRRDSSPRRRDSPTRKRDRSKSRSPSRKTDTSRHRREHGRSQSRSPHSRDHHKRSPRRRHSPRRRSPPASHRQHSPRRPWSPPANRKTGLGKPGRNLFVAGFSYATTERDLEKKFAKYGRVTSVRVVRDKRTGDSRGFGFLCLEKDEDADAAIRACDETEWNGRIILVEKSKAPSW* >Brasy6G234700.1.p pacid=40048307 transcript=Brasy6G234700.1 locus=Brasy6G234700 ID=Brasy6G234700.1.v1.1 annot-version=v1.1 MDGGGGVAALGGAADRVIAADALAKLLAVVAGPLAVRPPPVQPHLLPRGIRARRGAQELNRMELSAEEIAQF* >Brasy6G001900.1.p pacid=40048308 transcript=Brasy6G001900.1 locus=Brasy6G001900 ID=Brasy6G001900.1.v1.1 annot-version=v1.1 MPKRRRQEPNNGGGAKMPRLSEKKKKKHLYVVLDDWSKGYSMYKIDADDLHGTAQQLGDGGFPWPAALRLAAPAHGHAMEFAALGSKIFIFTNPRCGHTPAFVYDAGTSALTLGPPLPAPLVGCFHISLATAADNVLYGMSAYHLNQQHSFEAMSRTTPTSAMEDDEDQQEQPPWSWSWRSVPSPPPFGADDTVTAYALHPDGRTIFMSAHSRQFPRLPKGTVSFDTDLHRWKWHGEWALPFHGRGHYAADLDAWVGLRYDGRVCACQVVSRTAPATSDPPEWKTVKDDKLFSNEAPAEWRMAVSRPTLACMGDAARFCLAEFVVRRGVNLKRACRDSHGCVLRMSTFGLRYDHKGELHTAGLRTSSFLVSKHLWTVSPLVFWM* >Brasy6G122900.1.p pacid=40048309 transcript=Brasy6G122900.1 locus=Brasy6G122900 ID=Brasy6G122900.1.v1.1 annot-version=v1.1 MRWSVLFCALPKTKAKHTLPPLRSSPRPAVCVSLPLPLPLRLFSSIHSFFLSLGLSTMGSWKRRLCAGALLACAAVAVSCCFQGAYAAAAPSFGDNFEITGAEDHVKTSADGQTWYLSLDNKTGVGFQTKQKYLFGWFSMKLKLVGNDSAGVVTAYYMCSDLDAAPERDELDFEFLGNRTGEPYIIQTNVYQGGVGGREMRHSLWFDPTAEFHTYSILWNPKQILFFVDKVPIREYRNSGKPNKFFPIAKPMYVFSSIWNADDWATRGGLEKTDWTKGPFVSSYSDFTADACAWGPGAQGAAPPACAAKTGDSWWDQPPAWALDDGQRQDSGWVARNLVIYDYCDDRKRFPTVPEECALRTTTS* >Brasy6G014200.1.p pacid=40048310 transcript=Brasy6G014200.1 locus=Brasy6G014200 ID=Brasy6G014200.1.v1.1 annot-version=v1.1 MASNLLRRQSSQTPAAAARPLPIRASDSYRPVDYRFRTRQTTAHAHYYNDVADYTIPAHDGIALTVRYTSKARIVEDCIDRFCELLDAPPHRIVGLDVEFTRAKPGRQKDLPERKRRKAAVIQLCVGTYCLVYQICHADRLSPKLRDFLLDPSIEFAGVGITQDTDILARCQLRVGKHVDIQKIYKVPNDKPNDSLRDLAADVIDPWYKDMKDGVVRKFHDHWEKQPLSEKQVKYASLDAYASYEIYRLLKNGHKAQADPSGSGKREFDEGSSGQPSKPMWLPWLP* >Brasy6G108100.1.p pacid=40048311 transcript=Brasy6G108100.1 locus=Brasy6G108100 ID=Brasy6G108100.1.v1.1 annot-version=v1.1 MASRVATVVLAGALPVPPRTPAAAACGSQFFLWTNCPSRHRGIRCGVPRASRSHPSSPCTAEDGLLELLKSAVAAVAIIAQISVALPANAILYSPDTNVPRTGELALRRAIPANPKMKTVQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIVIDNKETILESVPVELKEKGSELYTSLLEGKGGLQTLLKYINDKDNDRLSVALASSLDTLAELELLQAPGLSFLLPKQYLDYPRLTGRGVVEFAVEKGDGSTFFPTAGGEPKSVATIQVVVDGYSAPLTAGNFVKLVLDGAYDGATLKSASQAIIADSKAGKKGYTLPLEVMPAGQFEPLYRSPLNIQDGELPVLPMSVYGSIAMAHSEDSDEYSSPDQFFFYLYDKRNSGLGGISFDEGQFSVFGYATTEGRDVLSQIKTGDKIRSAKLVQGRERLVLPAASAPAPADPAPAPAES* >Brasy6G092700.1.p pacid=40048312 transcript=Brasy6G092700.1 locus=Brasy6G092700 ID=Brasy6G092700.1.v1.1 annot-version=v1.1 MAGCTAPAPAKKVVKMARFADDARSVAPAAHSDWRDWANLTEGPAGQIAERLLADDVADYLRFRAACGTWRRSTACPHARDGLDRRFHPRRWAMLPPTLQGGVRRRELLNATTGARIHVDLPELRHQHVLGPTSGGLLVICDKRTLAIRLLNPLTRHLTAGLPDATSLLRTPAALTRQRQQQELIRYSLQSVRLHSAGLANDEGPTVVLHFNTSWLVVAKPGDKRWRPLPASNGFVVTALSFAGRFFCVTEKAIAAVDTAPECPQLVPVAQQQLGVEFRPSDGRVKLVDNDGELVLVHRKWLGNTDIPCPGYEVHRVDLDAGNTVPMPGLGGRVLFVGQGPRGCNPAISVPAGLSSCISADTVYTCTNFSRSDRLPEIVARPVQCIPGFLCSGLRRDAMECSVVHSISRYVCYSEITVSPPPRSQRVAVRRTSTSVEFTAGS* >Brasy6G096800.1.p pacid=40048313 transcript=Brasy6G096800.1 locus=Brasy6G096800 ID=Brasy6G096800.1.v1.1 annot-version=v1.1 MIQGVLSRASAADAAAMKAKRVASIPEDEEAAMVSARGKRLQLHGSGMQQQQGSPAAEEGKVVVVEAAAETRGLRLLSLLLRCAEAVAMDSLPEARDLLHEIAELASPFGTSPERVAAYFGDALCARVLSSYLGAYSPLALASAHQSRRVASAFQAYNALSPLVKFSHFTANKAILQALDGEDRVHVVDLDIMQGLQWPGLFHMLASSRSCNNSKPLLSLRVTGLGASLDVLEATGRRLADFAGSLGLPFEFRPVEGKIGHVADTDALLLGRDEGEATVVHWMHHCLYDVTGSDAGTVRVLRSLRPKLVTIVEQDLGHGGDFLGRFVEALHYYSALFDALGDGAVAGAQEEEAQRHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLSGGPAAQARLLLGMCPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTTTDGDEEDDLGAAARQEGHGS* >Brasy6G144100.1.p pacid=40048314 transcript=Brasy6G144100.1 locus=Brasy6G144100 ID=Brasy6G144100.1.v1.1 annot-version=v1.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTMKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKSDLTDKKVVSYETAKAFADEIGIPFMETSAKNALNVEQAFMAMSASIKNRMASQPAANNARPATVQIRGQPVEQKASCCSS* >Brasy6G133900.1.p pacid=40048315 transcript=Brasy6G133900.1 locus=Brasy6G133900 ID=Brasy6G133900.1.v1.1 annot-version=v1.1 MGSEEVVHSGGCHCRRVRWQVEAPASVVAWICNCSDCSMRGNSHFVVPAAKFALQPGADEFLTTYTFGTHTAKHKFCRVCGITSFYIPRSNPDGIAVTAACVDPGTLAHVEYRKADGRNWEEWVQQSDISEFSKPPPAAE* >Brasy6G231500.1.p pacid=40048316 transcript=Brasy6G231500.1 locus=Brasy6G231500 ID=Brasy6G231500.1.v1.1 annot-version=v1.1 MENFELDPVPYLPRGHEIEDGGPLRLQRAFLTLGDIPQRRHESYLIAIMEPPPPSEEVPAVIAQVHTYIVEVLHSQVVSLRRHPCGLARFRMENPVERDTLVALPAVVFGPDRMLRFVRHDEGTNFRSSVYNRIGWVMLLGIPLDHQTSAFVTQAVETFGKLMYWYQRESTDVRVLAKVMYEDAVTVPRDIVVRHVMEVGGRTISWTIPVYILRSEFADVLPAEEDQLPPDGGNQHPLPGILPPLQPVGEPVEDGPDPDPWGAWGQAHEDEDMQGGVVQDCPLARKIGAELEIAAEDLTDECLMASRPVSAKSPGLNDD* >Brasy6G024200.1.p pacid=40048317 transcript=Brasy6G024200.1 locus=Brasy6G024200 ID=Brasy6G024200.1.v1.1 annot-version=v1.1 MVKAVAVLSGSEGVKGTIFFTQEGDGPTTVTGSVSGLKEGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDETRHAGDLGNVTAGVDGVANINVADTQIPLTGPHSIIGRAVVVHGDPDDLGKGGHELSKSTGNAGARVACGIIGLQG* >Brasy6G024200.2.p pacid=40048318 transcript=Brasy6G024200.2 locus=Brasy6G024200 ID=Brasy6G024200.2.v1.1 annot-version=v1.1 MVKAVAVLSGSEGVKGTIFFTQEGDGPTTVTGSVSGLKEGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDETRHAGDLGNVTAGVDGVANINVADTQIPLTGPHSIIGRAVVVHGDPDDLGKGGHELSKSTGNAGARVACGIIGLQG* >Brasy6G210300.1.p pacid=40048319 transcript=Brasy6G210300.1 locus=Brasy6G210300 ID=Brasy6G210300.1.v1.1 annot-version=v1.1 MEAARIADETSSSLAPNSSTSVALDDVGAAAPVHSSAPPRLHPAPPPPPSSSPHGALTDLVATTGKSSSSRMEETGRISDETSSLAPDKDVMVAEPQVPNPTHVTSPARPPRLPPPPPPPPSSTHDPHGGTSQSQAGLYLGVRHRPVRHHVNGDQASTNCLTWLSIQEEEELKQREAKLNQMEQELDNHIREAIKSRRELAIKRAEDIIELNQRKEQLDEQEEIIAAQEHKFQVEKEKDRLLNREKLLDERAHALRRPLIEHVRNKEEKEVAYMQDNNVWDKRQNLMAIALVCGLSVLISVQPQLPPEYLSWIVGVFAGLWVLATIAFQEGLFGVHKFQKTFSRHASRMIFITFCLFIIYVFYILSGPLASSSSSCVTTSSPAPPPAPAPAPSRSFKLDARAGWYIGLGILAVIGHVFSWCLEVTKTPRPFDSGCGC* >Brasy6G121500.1.p pacid=40048320 transcript=Brasy6G121500.1 locus=Brasy6G121500 ID=Brasy6G121500.1.v1.1 annot-version=v1.1 MEMEPSKQLTVASFLALALLAAALQPSEAIRAQGVLNPAADDQQASSTNADVAHPLTWPGFPNIPGFPPLPPLPPLPPHPGCPPLPPIPGLLPVPPVPGLPIPAVNPPPQSPPPPTPVPIPPAPSAPSTPPPVSIPPPPTPLTPPPAVSTPPPPPPPLQSGSPIPQSPPSQPKECLPSLMGLNPCMGYLTNTSVPSPPTACCAGFKSLVDTAPICLCHGLNGDINTLMPAPMDSMRMMSLPGSCNVPLPLQALAQCSSPNVPPLRAPAPAAPPSPKSSP* >Brasy6G065100.1.p pacid=40048321 transcript=Brasy6G065100.1 locus=Brasy6G065100 ID=Brasy6G065100.1.v1.1 annot-version=v1.1 MGTPETSREPCPDRILDDVGGAFGMGAVGGSIFHFLKGTYNSPNGERLMGGAQAMRMNAPRVGGSFAVWGGLFSAFDCTMVFVRQKEDPWNSIIAGAATGGFLSMRQGLGASGRSALMGGALLALIEGAGLMLNRVLAAPQNLPPLPADDPSLAAAMAGGGGGFHGVGGFPGMPQPNVSPAEVASSSGGGSWFGGLFGKKEEEKKPSGSGGKSDILESFETPSPPIPSFEYK* >Brasy6G221300.1.p pacid=40048322 transcript=Brasy6G221300.1 locus=Brasy6G221300 ID=Brasy6G221300.1.v1.1 annot-version=v1.1 MDPVATTSLTSTWRRSVRRRAPASEQDEAERELARRAEALEEAAEALRAERDAAEALEAALRAELEAERGAAETAASEAMRMIERLQRETAALLLEARQLRRLAESRAGRDRELESRLASLSALARGYLSLLRAHGIDPENEEDEHETEGASGVDDDAKRVVCATKAVAMEAAAAAEEEREQGQDGAAVDAAAVDLYGRVEALEADSAAARREVAALRAERARVVLAREMARRLLQEAAALAERPGAAVTTTHKPCFSVLAVCKWFFSTMHVRRKICSAARFTLGLSTAALVGLLLLLDRSSTYHRRRPP* >Brasy6G221300.2.p pacid=40048323 transcript=Brasy6G221300.2 locus=Brasy6G221300 ID=Brasy6G221300.2.v1.1 annot-version=v1.1 MDPVATTSLTSTWRRSVRRRAPASEQDEAERELARRAEALEEAAEALRAERDAAEALEAALRAELEAERGAAETAASEAMRMIERLQRETAALLLEARQLRRLAESRAGRDRELESRLASLSALARGYLSLLRAHGIDPENEEDEHETEGASGVDDDAKRVVCATKAVAMEAAAAAEEEREQGQDGAAVDAAAVDLYGRVEALEADSAAARREVAALRAERARVVLAREMARRLLQEAAALAERPGAAVTTTHKPCFSVLAVCKWFFSTMHVRRKICSAARYRAMQGGSSEF* >Brasy6G221300.3.p pacid=40048324 transcript=Brasy6G221300.3 locus=Brasy6G221300 ID=Brasy6G221300.3.v1.1 annot-version=v1.1 MDPVATTSLTSTWRRSVRRRAPASEQDEAERELARRAEALEEAAEALRAERDAAEALEAALRAELEAERGAAETAASEAMRMIERLQRETAALLLEARQLRRLAESRAGRDRELESRLASLSALARGYLSLLRAHGIDPENEEDEHETEGASGVDDDAKRVVCATKAVAMEAAAAAEEEREQGQDGAAVDAAAVDLYGRVEALEADSAAARREVAALRAERARVVLAREMARRLLQEAAALAERPGAAVTTTHKPCFSVLAVCKWFFSTMHVRRKICSAARYRAMQGGSSEF* >Brasy6G137200.1.p pacid=40048325 transcript=Brasy6G137200.1 locus=Brasy6G137200 ID=Brasy6G137200.1.v1.1 annot-version=v1.1 MDRKDKSRKSSSSAASMAALAAAAASGAGGDRMAPPSFGDEDHKPNLVNVPVVAAGASSSSAAAARRGGGGGAGGGPVAASGAGAGGPSCQAERCPADLTEAKRYHRRHKVCEAHAKAAVVLVAGLRQRFCQQCSRFHELLEFDDTKRSCRRRLAGHNERRRKSSADANGGDGCRHVDQDGRSNPGNPSPLNHFQIR* >Brasy6G098500.1.p pacid=40048326 transcript=Brasy6G098500.1 locus=Brasy6G098500 ID=Brasy6G098500.1.v1.1 annot-version=v1.1 MSVAKNSTQYEVESKDSSPDEEERKGRSNWTKQENERLINAWIKNSVDPIAGNGKKFDYYWKQVAEEYNKNSPPGEKRSAAQCKGHWTKTTPSVTLFHSFYIRTKNVYASGQSEEGLMKKVRAMYINQAKVKRPFALEYWWRVVKEEPKWRNQYMEEDLGGKRQKLDALGGYNSSSNQDSEGTDAIREPRPHGTKAAKEARKAKGKVKGKGKATPDFMPLHLSDETSELLREGQGVRAAALSKWADAPTAMAEAKKEKAKLDKFSMHMELLKVDTSAFSDVQLERHEKMVESLCRDLNGNV* >Brasy6G048100.1.p pacid=40048327 transcript=Brasy6G048100.1 locus=Brasy6G048100 ID=Brasy6G048100.1.v1.1 annot-version=v1.1 MGRGYSYSPSPPPRSYRGRARSPSPRDRYVGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDVYIPRDYYTREPRGFGFVQYFDPEDAADAKYYMDGQVVLGREIAVVFAQENRKHPSEMRTRESSRGRSYDRRRSLSPRGRSPFRGRSYSRSPSPRYERRRFREDSYSRSPVDGRSRSGSPLDEHYSRSALRERSISASG* >Brasy6G149600.1.p pacid=40048328 transcript=Brasy6G149600.1 locus=Brasy6G149600 ID=Brasy6G149600.1.v1.1 annot-version=v1.1 MLLPTAATASFSSRPLPPPVRRTQGGAGAPLLTGAAKFRAPTTRLRRSSSFTFSCACSPSPDPNPGDEDSARVLFDEYSDLSQDIPWEPDDIWRTFAAYFLVLHIPLSFGGLGVVAKVLHSSSLDPLTTVASTAMLQLGELTLALALLQYTAKPHHEVRTFFAGKFSLQRSWVKETALWFGCIMSVVSLTSLLADKLIGPEDAYDHILKEILSDGPTSSLLCFFLYSVIAPLSEEMIYRGFLLTGLSSSMKQRDAVIISSIMFSVAHLSGKSFFQLFIIGCITGLAYCQTGTLAASFTIHSLYNAVILFTTIRS* >Brasy6G020500.1.p pacid=40048329 transcript=Brasy6G020500.1 locus=Brasy6G020500 ID=Brasy6G020500.1.v1.1 annot-version=v1.1 MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVEIDLKVPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRANSSSLPNSYGGGGGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGDGTTGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAPIRVKEYAGKRSRSYSRSRSRSRSQSYSRSRSPSKSPKGKPSRRSASRSRSRSVSSHPRSESKGRSPSRSPAKSRSPIASPANGEAAASPKKRSPSRSRSPPDAKSE* >Brasy6G112300.1.p pacid=40048330 transcript=Brasy6G112300.1 locus=Brasy6G112300 ID=Brasy6G112300.1.v1.1 annot-version=v1.1 MWRQLATRRAGSSLGGAHGAPVHGKEPRHPPASAALASLSPSGEEFPGASPGVPRAARPPALKVHLFTFFSAVFTVC* >Brasy6G209900.1.p pacid=40048331 transcript=Brasy6G209900.1 locus=Brasy6G209900 ID=Brasy6G209900.1.v1.1 annot-version=v1.1 MAANKDISSYVLVLRDLGEEGGTGYALQLAPLQGRRARPTPPSPASGGPTSVAGKVGGVAAGAHGDMGGGGGSGTRATPTSPASHGPASVAATVNGVAAGAHGDTGGGGGSGTLATRTSPASDGPASVAVTVGDVAAGSHGGTGGGVGGTPNPTSPAIDGSASVAVTVGDVALAPGSHGGRGGGGGDGIEEVHEAAAAAARNPGQIRTLLQNRYQIISHKEEVLIPIFYAPKKNKVSAYWAR* >Brasy6G186400.1.p pacid=40048332 transcript=Brasy6G186400.1 locus=Brasy6G186400 ID=Brasy6G186400.1.v1.1 annot-version=v1.1 MLELIFSLMMTIPITLSCIQSLRWVQLPSKKGLGLGVGDRLSSPIEFGVKNRDSRLALSLPGAAVAGCEGGDERGRGLHLHHRRGPNPKTTHIFDPRIVQSCDGCCQLSSCCDNSCWRFCSSET* >Brasy6G033500.1.p pacid=40048333 transcript=Brasy6G033500.1 locus=Brasy6G033500 ID=Brasy6G033500.1.v1.1 annot-version=v1.1 MDLKVKGMGDRLLSTPLSLAIFPQPASSSYCERYFPTPRDGGSFKPEARREGRCGAASVLFSSLRCDGSMENGGSCGGDSVGDALRLALLLPRRCRRRRPRPQNQ* >Brasy6G128600.1.p pacid=40048334 transcript=Brasy6G128600.1 locus=Brasy6G128600 ID=Brasy6G128600.1.v1.1 annot-version=v1.1 MGNCLRSHGRGGRGRAVHCGKKSAVPMEELPRDDDYCDEKAAPAAPVKEVKIRITRKQLEELLRRLDQEDPCYGGGAVISELLCMTSSCNFRHRAGGNGAGQWMPALQTIAE* >Brasy6G233800.1.p pacid=40048335 transcript=Brasy6G233800.1 locus=Brasy6G233800 ID=Brasy6G233800.1.v1.1 annot-version=v1.1 MGAVVTAVIAIAAVVLGWITIEMACKPCLESGRRAMDRALDPNYDPDDSSPTANASSTAAEPLLADLSAASAAAPAKAI* >Brasy6G071600.1.p pacid=40048336 transcript=Brasy6G071600.1 locus=Brasy6G071600 ID=Brasy6G071600.1.v1.1 annot-version=v1.1 MIRLLNCKARAAVLDVPGQAAPSSRRPAGPPRCRLLATRRAILALAGSPLRTAASLLGAGRRDLLLAERRPDLLAVRRRRDLPTRRRAASPSPRCRDLLAACRCALLPAPPRPPRPPCSAAARAPLRRGRPPRAVTLPQRAGAATLRAAALLSALSVGFFVRLRFCPNLWILHLDTPDT* >Brasy6G170300.1.p pacid=40048337 transcript=Brasy6G170300.1 locus=Brasy6G170300 ID=Brasy6G170300.1.v1.1 annot-version=v1.1 MASSLLPFLLLFAHLSPHAASPAGDLLSAARAPAFAAWLRGVRRRIHQHPELAFEEHRTSELVRAELDAIGVSYAWPVAKTGVVATIAGPRARPVVALRADMDALPLQELVEWEYKSQESGKMHACGHDAHTTMLLGAAKLLQSRKDDIKGTVKLVFQPAEEGFAGAHHVLEEGILDDVSAIFGLHVDPSLPVGVVASRPGPFMAAGARFLVTVTGKGGHAAFPHLAVDPIVMASSSIISLQKIVARETDPLQSAVVSVTFMKGGDAYNVIPESVSFGGTFRSLTTEGLSYLKKRIKEIIEALAIVNRCTVTVDFMEEERRSYPATINDEGMYNHARAVAEAMIGEGNVRVVAPLMGSEDFSFYAQRFAGAFFMIGVGDEAMETVVHSLHSPHFVMDEGVLPAGASFHAAVAMEYLNKHTVAGN* >Brasy6G170300.2.p pacid=40048338 transcript=Brasy6G170300.2 locus=Brasy6G170300 ID=Brasy6G170300.2.v1.1 annot-version=v1.1 MASSLLPFLLLFAHLSPHAASPAGDLLSAARAPAFAAWLRGVRRRIHQHPELAFEEHRTSELVRAELDAIGVSYAWPVAKTGVVATIAGPRARPVVALRADMDALPLQELVEWEYKSQESGKMHACGHDAHTTMLLGAAKLLQSRKDDIKGTVKLVFQPAEEGFAGAHHVLEEGILDDVSAIFGLHVDPSLPVGVVASRPGPFMAAGARFLVTVTGKGGHAAFPHLAVDPIVMASSSIISLQKIVARETDPLQSAVVSVTFMKGGDAYNVIPESVSFGGTFRSLTTEGLSYLKKRIKEKRSPS* >Brasy6G170300.4.p pacid=40048339 transcript=Brasy6G170300.4 locus=Brasy6G170300 ID=Brasy6G170300.4.v1.1 annot-version=v1.1 MHACGHDAHTTMLLGAAKLLQSRKDDIKGTVKLVFQPAEEGFAGAHHVLEEGILDDVSAIFGLHVDPSLPVGVVASRPGPFMAAGARFLVTVTGKGGHAAFPHLAVDPIVMASSSIISLQKIVARETDPLQSAVVSVTFMKGGDAYNVIPESVSFGGTFRSLTTEGLSYLKKRIKEIIEALAIVNRCTVTVDFMEEERRSYPATINDEGMYNHARAVAEAMIGEGNVRVVAPLMGSEDFSFYAQRFAGAFFMIGVGDEAMETVVHSLHSPHFVMDEGVLPAGASFHAAVAMEYLNKHTVAGN* >Brasy6G170300.3.p pacid=40048340 transcript=Brasy6G170300.3 locus=Brasy6G170300 ID=Brasy6G170300.3.v1.1 annot-version=v1.1 MASSLLPFLLLFAHLSPHAASPAGDLLSAARAPAFAAWLRGVRRRIHQHPELAFEEHRTSELVRAELDAIGVSYAWPVAKTGVVATIAGPRARPVVALRADMDALPLQELVEWEYKSQESGKMHACGHDAHTTMLLGAAKLLQSRKDDIKGTVKLVFQPAEEGFAGAHHVLEEGILDDVSAIFGLHVDPSLPVGVVASRPGPFMAAGARFLVTVTGKGGHAAFPHLAVDPIVMASSSIISLQKIVARETDPLQSAVCDQFSMLALAALWFLSHS* >Brasy6G255100.1.p pacid=40048341 transcript=Brasy6G255100.1 locus=Brasy6G255100 ID=Brasy6G255100.1.v1.1 annot-version=v1.1 MAPELPADLIEQIFLRLPPDDPGCLFRASLVSEFWLCRLAGRAFRRRYCEFHRTPPMLGFLTSDVAGEYGTSNAHFVPTVKLCPPGPGRGYLRPLEARHGRILFVTDPDHQKWDVQELIVWDPITLEQWIIDIPDLSFGSWAAVLCAKEGCDHLDCHGHPFRVAYVTVGEDDFSCSAFLYSSETHSWSDKTYVEHDQEDLIIDGLSDDMPPSVLVGNTLYFDSHYCPMMIRYDLTDRQLSLIDMPDVQGSVGHQMTLENGVLGLAKIKESSIYHWSREVDEHGAAAWVQHRVIKLETMLPPRSLSSAPSMYGFAEGVGAIFLETKVGLFMADLKSGRATEVCYQGIMGFPLIPYTNFYTPGHDWGIMPLPPGPPAIEEN* >Brasy6G255100.2.p pacid=40048342 transcript=Brasy6G255100.2 locus=Brasy6G255100 ID=Brasy6G255100.2.v1.1 annot-version=v1.1 MLGFLTSDVAGEYGTSNAHFVPTVKLCPPGPGRGYLRPLEARHGRILFVTDPDHQKWDVQELIVWDPITLEQWIIDIPDLSFGSWAAVLCAKEGCDHLDCHGHPFRVAYVTVGEDDFSCSAFLYSSETHSWSDKTYVEHDQEDLIIDGLSDDMPPSVLVGNTLYFDSHYCPMMIRYDLTDRQLSLIDMPDVQGSVGHQMTLENGVLGLAKIKESSIYHWSREVDEHGAAAWVQHRVIKLETMLPPRSLSSAPSMYGFAEGVGAIFLETKVGLFMADLKSGRATEVCYQGIMGFPLIPYTNFYTPGHDWGIMPLPPGPPAIEEN* >Brasy6G191300.1.p pacid=40048343 transcript=Brasy6G191300.1 locus=Brasy6G191300 ID=Brasy6G191300.1.v1.1 annot-version=v1.1 MARVVAPIPVAWLEGDVLAEYLWFLEEAEAAAAQLPGSGGVKWLEGEVLADFLEFLGEDVDERAGHYSVSSSGGSNSGDFMEEEKDEDDEEEMAYMLRHIMNLPAVMARTAALQD* >Brasy6G168400.1.p pacid=40048344 transcript=Brasy6G168400.1 locus=Brasy6G168400 ID=Brasy6G168400.1.v1.1 annot-version=v1.1 MAGRSSLALLQLLVVAATAAQFLGSEGGSISIYWGQNGGEGSLAATCATGNYKFVNIAFLAAFGNGQPPVLNLAGHCDPSNGGCESQSADIKLCQSRGVKVMLSIGGGAGSYYLSSAADARDVATYLWNNFLGGKSSSRPLGDAILDGVDFDIEGGTNLHWDDLARYLKGYSNSGARRVYLTAAPQCPFPDAWVGEALNTGLFDYVWVQFYNNAPCQYSSGSTTNLADAWKQWLTLPAKKIFLGLPASPQAAGSGFIPADDLKSDVLPLIKGSGKYGGIMLWSKYYDDQDGYSSSVKSDV* >Brasy6G116100.1.p pacid=40048345 transcript=Brasy6G116100.1 locus=Brasy6G116100 ID=Brasy6G116100.1.v1.1 annot-version=v1.1 MAATAEEASTAARSRPEEEEEESDWEEEEAMAVLDFDMLCASVAMSAERRKSSGMAAAAACAGGGEGAAGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQAMAYFISLVAVLVSLIAFSVTRHHIYLYMGLGSVLLIAIYTGYFRRRIRKQFNIRGTDSSVDDCVLHLICPCCTLCQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSPFVPIKSPELCGMDRISNGADEHQPLVPSAQLDQE* >Brasy6G171200.1.p pacid=40048346 transcript=Brasy6G171200.1 locus=Brasy6G171200 ID=Brasy6G171200.1.v1.1 annot-version=v1.1 MAFGKELYWPRRAAATPIATKVRNKFHVSLKPLFPWTLGNVGDRCPADDDSVKRQPSWLRQRILSMAVRR* >Brasy6G181000.1.p pacid=40048347 transcript=Brasy6G181000.1 locus=Brasy6G181000 ID=Brasy6G181000.1.v1.1 annot-version=v1.1 MWPSLRRASRLKNFSHVFSISAGSVDSNLTGGTLSGSRHCGCQVLSQLLPFGYPETVLPLIRRFSAESTERLTNSKFNSEFLGQDAAVLPDGSLGYNDEPSNGNGTIEASISESWSFKMDRNADLKSKHRIHRPYLFQTVLDAHSLSVKSVLDKWIEDGNQLERNEVLLVLFHLKKQRLYWKAFQFMEWIGRGHLLNFEERDYACHLDLIARNTGIEDARKYIDRVPKHFRNEVLYETLLVNCVRVSDIQKAEEAFREIRDLSLPLTVSACNQMILLYKRVARTKVADIIMLMEKENIKPSPFTYKLIIDLKGRSNDMSGVEVTLNEMKAARVEPDFATRTMVANFYISGGLIEKAEAVVSEMEMEYMKDKRHAIRSLLHLYAALSKPDEVARIWKLCTEPKLDDFMAAIKAWGKLGCIEQAEETFEAMLEATQKLSSKYYNGMLNVYAENKLMDKGKKFVERMSFDGCPNGPLTWDALVKLYVNSGEVAKADSFLQNATEQNPDRRPTYGSYIYLLMAYAKMGDIYNAEKMFDRLKNIRYPGRKPPYPVLLEAYVNAKVRPHGFIERMRADGVRPIKKVMEHLKFLGKLPIGGVP* >Brasy6G188900.1.p pacid=40048348 transcript=Brasy6G188900.1 locus=Brasy6G188900 ID=Brasy6G188900.1.v1.1 annot-version=v1.1 MASSKLIPSAFSSGDLPPDALYEILLRVPSKDLCRLRAVCKAWRALTSDPLFAAAHKSCHKAVLLALAYTNNNGNGVDIMDLSGNVLKRIPSTGPEITLVDSSSGKVFKHISNAENGTRVLPAHLDLVCFTREYSPMGLCLLNPATGATLTLPACLSEELAREPQMQYCLTKAKPCAFGRVSSTGEYKVLRITRLGDEPYRQLCEVITVDGTNHGRWRGKQSPPSSIGSSDEIRWVVVDGVVYFLIDFFTSYCNSGVITVEPGSITSFNLETEEWMGTLRGPAPVLKFIQESTEFGYIDLNMQLSLAELNGFLVTVHNVHWVSMDLWFLTDIEKSIWVKKYSLPSQVAGFRVRPFLISDDGRILFKHGNRYLKGYDPRTGTYADAMEVRDCRSIAIYTGNLLSL* >Brasy6G188900.2.p pacid=40048349 transcript=Brasy6G188900.2 locus=Brasy6G188900 ID=Brasy6G188900.2.v1.1 annot-version=v1.1 MASSKLIPSAFSSGDLPPDALYEILLRVPSKDLCRLRAVCKAWRALTSDPLFAAAHKSCHKAVLLALAYTNNNGNGVDIMDLSGNVLKRIPSTGPEITLVDSSSGKVFKHISNAENGTRVLPAHLDLVCFTREYSPMGLCLLNPATGATLTLPACLSEELAREPQMQYCLTKAKPCAFGRVSSTGEYKVLRITRLGDEPYRQLCEVITVDGTNHGRWRGKQSPPSSIGSSDEIRWVVVDGVVYFLIDFFTSYCNSGVITVEPGSITSFNLETEEWMGTLRGPAPVLKFIQESTEFGYIDLNMQLSLAELNGFLVTVHNVHWVSMDLWFLTDIEKSIWVKKYSLPSQVAGFRVRPFLISDDGRILFKHGNRYLKGYDPRTGTYADAMEVRDCRSIAIYTGNLLSL* >Brasy6G188900.3.p pacid=40048350 transcript=Brasy6G188900.3 locus=Brasy6G188900 ID=Brasy6G188900.3.v1.1 annot-version=v1.1 MASSKLIPSAFSSGDLPPDALYEILLRVPSKDLCRLRAVCKAWRALTSDPLFAAAHKSCHKAVLLALAYTNNNGNGVDIMDLSGNVLKRIPSTGPEITLVDSSSGKVFKHISNAENGTRVLPAHLDLVCFTREYSPMGLCLLNPATGATLTLPACLSEELAREPQMQYCLTKAKPCAFGRVSSTGEYKVLRITRLGDEPYRQLCEVITVDGTNHGRWRGKQSPPSSIGSSDEIRWVVVDGVVYFLIDFFTSYCNSGVITVEPGSITSFNLETEEWMGTLRGPAPVLKFIQESTEFGYIDLNMQLSLAELNGFLVTVHNVHWVSMDLWFLTDIEKSIWVKKYSLPSQVAGFRVRPFLISDDGRILFKHGNRYLKGYDPRTGTYADAMEVRDCRSIAIYTGNLLSL* >Brasy6G250900.1.p pacid=40048351 transcript=Brasy6G250900.1 locus=Brasy6G250900 ID=Brasy6G250900.1.v1.1 annot-version=v1.1 MERAKAKPAESWLDYEEEVVAPRTALVDGKEVRVFPCLFCNKLFLKSQALGGHQNAHRKEHRLAALSDPYRLWNSIASHGGDRRGSFPEHTQHRHQVEYPSSPGAAEMLSRPIRASSVAAAGEEPDLELRL* >Brasy6G141800.1.p pacid=40048352 transcript=Brasy6G141800.1 locus=Brasy6G141800 ID=Brasy6G141800.1.v1.1 annot-version=v1.1 MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSGNANSSQSQAQAGGCSC* >Brasy6G051900.1.p pacid=40048353 transcript=Brasy6G051900.1 locus=Brasy6G051900 ID=Brasy6G051900.1.v1.1 annot-version=v1.1 MTHGEVNTPTPGHKHPLATHMYQFSHTTCQEIFVHGIRNWNCHPSILAFGWSQNSSLETVANSKRYRPDQRTPSTNSEPSTSVLRGIRSAPLPPLVTYRFRHTRTRKRASHRLSLISAHELLVSSGPNLPPPPESLGQIPPAAEPVAACEISDASRRRPIPLSRDPPWQCPSPEQLPPDPTATRAPRAPRLHLGRMPPPVRPGLQLRWIRTTAAT* >Brasy6G198300.1.p pacid=40048354 transcript=Brasy6G198300.1 locus=Brasy6G198300 ID=Brasy6G198300.1.v1.1 annot-version=v1.1 MLPYATAEEASAALGRAMTAAEAAWFGYSAAVPDRWLYCHNVPILLLVYTLAPLPLALLELRGTGGAAGAGSLVHKYKLQPRVRLSPAAFLRCYLDTARVLLLSVGPLSLVSYLSPSLTEFKENILISTISIKCSTKIYHVNLRKQMVGIRTGLPLPSVGEMAAQLVVCLLVEDYLGYWMHRLLHTKWGYDKIHHVHHEFTAPMGYAAPYAHWAEVLVLGIPAFAGPAIVPFSFPLNPTKFIPFYGGAEHHDYHHRVGGQSQSNFSTIFTFCDYLYGTDKGYRYHKASLGKEMTE* >Brasy6G126300.1.p pacid=40048355 transcript=Brasy6G126300.1 locus=Brasy6G126300 ID=Brasy6G126300.1.v1.1 annot-version=v1.1 MDDFYITIPYGLIVLGGGVAGYVRRGSAASLAAGAGFGGAILLAGALSVWDFAHGHSNSLFATVLQTGCAAALTISMGIRYMKTRKVMPAGIVATISALVLIFYVYKISNGGNEVYIPVSDE* >Brasy6G260600.1.p pacid=40048356 transcript=Brasy6G260600.1 locus=Brasy6G260600 ID=Brasy6G260600.1.v1.1 annot-version=v1.1 MATLHQRSSVLLIAVLLSGAAISTGSAAMTVKEACAKTPQAASCADLLSSSASSATDPKSISEAAIAAAIKLAGEAAAVAKSEREKLPKGNADWHCMDSCVTGYEDAATKLAAKPSGGGGNAGGADGKLLDVLDFVEVTSEEEEEKARDWEWKFSCGECKKEPVAPKLVEKNKEFDKAMEVIPALIKLTPAGANATADPITKEKLTS* >Brasy6G139600.1.p pacid=40048357 transcript=Brasy6G139600.1 locus=Brasy6G139600 ID=Brasy6G139600.1.v1.1 annot-version=v1.1 MECLLGLIKVRVVRGVKLAICDPLTHSSDPYVVLRLGQQKVKSSIKYKTINPEWNEDLTLSITNWTIPVKIEVFDHDTFTKDDSMGDAEFSILEFVEVAKKDLTHVSDGTVMKTIHPENENCFAAESHIIWNEGKVSQDIVLRLRNTETGELILHLEWVSIPGLGH* >Brasy6G060400.1.p pacid=40048358 transcript=Brasy6G060400.1 locus=Brasy6G060400 ID=Brasy6G060400.1.v1.1 annot-version=v1.1 MQRFLSGLTYNIKRIVRHHQYYDMTELLHHAREAELQLAEDAKFAARSTSARGRFTPRPSGGAPPPSPSSGVRGTSSRAHLRAPATSGCSAMASPLSRAGTRTHARRGGARGSSRRGGVLGWWPRRGGARGSSPRQGGARGSSPRRGGARGSSARRGGAPRRGGVGREARRRAEAGGRAEVEREARRRAGVGREARRRAGVGREARRRAGVAREAGGRAEEEREARLRAEEEARGAARGVEEEREDRRRAEEEARGAAGGEKVRGVGGGDGGGGAKKHFGTFTMADLSARHLQEFWAVLCLAL* >Brasy6G258600.1.p pacid=40048359 transcript=Brasy6G258600.1 locus=Brasy6G258600 ID=Brasy6G258600.1.v1.1 annot-version=v1.1 MSADATTMSRCKPTTFLSSIFRRDSEWMVKLSKPRASRTLRHRKDGQRSEDMASPSPPCSRTLLSERLLEGLLIARNISWHHVEDHQIAGEAGRSDGESGCQGVTGGVPNNGDELFDGRSLMGDEGWGYEMGHDVAVDVDLREEALEAAPTAREDAGPGGEGGVREMEDVEKHVVREHAQAVLAVGGGGGGLHGGDLVSHWLMWGLLFGVVGQPSYDVYISEPSKALNLNFK* >Brasy6G127600.1.p pacid=40048360 transcript=Brasy6G127600.1 locus=Brasy6G127600 ID=Brasy6G127600.1.v1.1 annot-version=v1.1 MEDGGGGGGEGSTSGGRFQILQANRDPESNWELDVAKSLEEYLLKICSGEISGEDGAHNVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQNKQDQQQKGSAEANENDPSITPSEEDDMFVGFDDVPAETRTTLDNSLDRDDLRRKIVRPPANLLVFEGDGIDSEASQLDSYLLATCGFYGDFLLLDPCDAPAVVDFLQGKKSGEEDILTHKGGLARSKSRNNIFTSPNGRSGGTGLRRTPGKVQEGNLDQTQEMNPDQTQGDACDFKVNDNNWSDHPVDHDFPDANMSQPDDADAGCPDLGDDSDDEDPYKPLNPHEPGNLKIRPYRRVKGFARHVIGPPKKKTLTYLFPMAKMDGVASPELAKSFEVHMSQQEKLHASQSLPLYEKLRMSLETGDENCHVFGDSKDDNDPTIAVDDFDDINEPDTLNDMYDMDVNMDIPTYADKKDDAPLDEAQVTQESMDGHESIEDLCRSHLDLLLASIAEAEQQTELDARVSTWKERIEHALEEQDRNPPFDIGVYGEQILHTLSSRADTGTASFSEIVSGKPKYEAARTFSALLQLVNGRSVDLDKGQSTNELVCYTAANPFHVKLIGPNRRPEMEARFARKRAKSPQQSCGEDGGPSLVQQKSSKEKPHKNGKASVKAAVRLTPDGKRRRKSVLLQAFNLESS* >Brasy6G127600.2.p pacid=40048361 transcript=Brasy6G127600.2 locus=Brasy6G127600 ID=Brasy6G127600.2.v1.1 annot-version=v1.1 MEDGGGGGGEGSTSGGRFQILQANRDPESNWELDVAKSLEEYLLKICSGEISGEDGAHNVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQNKQDQQQKGSAEANENDPSITPSEEDDMFVGFDDVPAETRTTLDNSLDRDDLRRKIVRPPANLLVFEGDGIDSEASQLDSYLLATCGFYGDFLLLDPCDAPAVVDFLQGKKSGEEDILTHKGGLARSKSRNNIFTSPNGRSGGTGLRRTPGKVQEGNLDQTQEMNPDQTQGDACDFKVNDNNWSDHPVDHDFPDANMSQPDDADAGCPDLGDDSDDEDPYKPLNPHEPGNLKIRPYRRVKGFARHVIGPPKKKTLTYLFPMAKMDGVASPELAKSFEVHMSQQEKLHASQSLPLYEKLRMSLETGDENCHVFGDSKDDNDPTIAVDDFDDINEPDTLNDMYDMDVNMDIPTYADKDDAPLDEAQVTQESMDGHESIEDLCRSHLDLLLASIAEAEQQTELDARVSTWKERIEHALEEQDRNPPFDIGVYGEQILHTLSSRADTGTASFSEIVSGKPKYEAARTFSALLQLVNGRSVDLDKGQSTNELVCYTAANPFHVKLIGPNRRPEMEARFARKRAKSPQQSCGEDGGPSLVQQKSSKEKPHKNGKASVKAAVRLTPDGKRRRKSVLLQAFNLESS* >Brasy6G061600.1.p pacid=40048362 transcript=Brasy6G061600.1 locus=Brasy6G061600 ID=Brasy6G061600.1.v1.1 annot-version=v1.1 MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDRNDIGMVVLRGNSVVMIEALEPIAKSQ* >Brasy6G011500.1.p pacid=40048363 transcript=Brasy6G011500.1 locus=Brasy6G011500 ID=Brasy6G011500.1.v1.1 annot-version=v1.1 MRRYSQLPGGRQDSTLADRLHRYRGALLVVLAPIALVSLVLLLMPRSPAAPRRIGSDADGARSYAVIFDAGSSGSRVHVFCFDANLDLVHIGTEIELFVQKKPGLSAYASDPREAAESLVSLIDAAKQVVPAELRDQTPVRVGATAGLRALGAEKSEQILDAVRDLLREKSSFKNQPDWVTVLDGYQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIPEKDAEKAPKPTDGEDSYVKKLFLKGRTYHLYVHSYLRYGLLAARAEILKAGVANGYSDCILGGHQGQYKYGGNTFEASAAPSGASYSKCRDDVVKALKVDEACTHMKCSFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFINPKAAVAKVKPSDFEEAAKRACKLNVNDAQSSYPGVQQDNVPYICMDLVYQYSLLVDGFGVDPQQEMTLVKKVPYSDAFVEAAWPLGSAIEVASS* >Brasy6G067900.1.p pacid=40048364 transcript=Brasy6G067900.1 locus=Brasy6G067900 ID=Brasy6G067900.1.v1.1 annot-version=v1.1 DRSSSVVISVFEGLPASKDIENEFNAFFGSGWRCTARPVGPQQYVMRFPSGREVEKACYHEFFHMKVCKATFRLSPWTAAVGAKAELHTGWVKVANIPLDKRCEKNVAYAASLVGIPLEIDYFTLHRPDSVRVLLGCRDIHKLPSVTEGCLGHHFYDFFYELESVVTGSASNTQNPAVLNVGDPLTRNSPSKRQRTESNAPAVTSSNLAAGDSGTNSRLREHSDNNDVVLDAVEDEASEEESEEDGELFIDKLAREDAEKDAQEDSVYQVHSPSGDLLESHEENQQVLSGQDMPVLEDISCRLRQKGGSRIMEKAAELTKKRNLEELCLWEFAFLTMISLLLI* >Brasy6G155000.1.p pacid=40048365 transcript=Brasy6G155000.1 locus=Brasy6G155000 ID=Brasy6G155000.1.v1.1 annot-version=v1.1 MAILRSCRFLQRHPSVLCLLFFLVILYKYFFSWFTLLLAASPIFLFTGFFLGIILAYGEPNNPENDHVYKKIQKVKSRNIHDNGKSAGGVSLQRILSSEEAKHNNREQKIQKGFQGGCSSSESGSAASDGSETDTHPMLHTFHQLRSGTSSSVSSQDGDSNERSTDDETEKEEVNADNEHEEEGVKVVAWTADDQKNILKIGCLEIERNQRLEMLIARHRVRKYVDRNLTDFGSGDSIPTVEELSKFNVQIPTVFAPRRNPFDLPYNEDNFPDSAPSAPLKMRNTFDQTCEQEDEGSSTTGDNSSNVEPILVPSQPQINMALRRHESFTEGAPFLSDFWQDLQPSRFRPYFVMEKRASDGNAVPNLEGENSENSSVHDSESTSSVTDQGVQKELLEDSRNQGHVSSFSQTNEEPPSDRNMREIPCPLDIERDSSDDDMSLPGGHINDWEEAQDTENLNSSHSIPLEDLSVMEYPQEMEMASNDFHQMYPHSDDLELLSSSTESTNPFEVQKNEEPGKELEIIDDTQIADPVYDTSPSGSDKHTTTDSTVNAFFLQGGNPRTFDAEASMEEGCSPSRTKVASSETTMSSLHLVEESKLRQSETSEISGHVIVCHDGIHTDSFSHVDSTILDISSHPITSN* >Brasy6G265700.1.p pacid=40048366 transcript=Brasy6G265700.1 locus=Brasy6G265700 ID=Brasy6G265700.1.v1.1 annot-version=v1.1 MGSDKQSGSPLLGTLKVGGRVRTILTHTYPYPHEHSRHIMTAVIIGCLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFILAFHIIFIGLWYIGLVARMAGTRPGIWTIIQNCTVISIACCVFYSHCGNLAVHKSESFARNSDPSLLAFLKNENGTTWISNFLFMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHLSPVSEEEKLKKHMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKNTDETQNEDLLYDYFHGREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGKLLVIGGDLAYPNPSSFTYERRFFCPFEYAMQPPRWYKAEHIALDKPEVPPGVSKMKEYNGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLALHGDIDVYQFKFFAELCRNKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRMAGDLHHFMRHSATQSDKPTFVQHLLVNGCGGAFLHPTHVFKNFERFSGATYECKAAYPSYDESSGIALGNIMKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWYGRLQSFSSTIWSALLYIFEHSYVSSVGSLTLLMASYSFVPSKLTRKKRAIIGGLHVLAHLTAALLLMLLMELGIEVCIRNHLLATSGYHPLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEIMAVTRINICKNGMMFLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKDWKLDPKWEAEERGPHQLSHDRRYPSKWRSASSPDPVRSVRVVDHFTITRTRAPDPQTSC* >Brasy6G141700.1.p pacid=40048367 transcript=Brasy6G141700.1 locus=Brasy6G141700 ID=Brasy6G141700.1.v1.1 annot-version=v1.1 MSNAKGVWSFITLTKGHIWKHHNTFVINGMRPDCESLTEAILSEENLWRLAGAKDLRKLLLHARPPEIPTP* >Brasy6G031700.1.p pacid=40048368 transcript=Brasy6G031700.1 locus=Brasy6G031700 ID=Brasy6G031700.1.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDEDDEEEEEDDGMDGVDDSAYAFHGHTDEVFAAACSPIDASLVVSGGKDDKGFLWRIGSDVDVQELTGHEDTVCTIAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADRNAFLNKFAGHSSTVTCGDFTPDDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGMVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTARVFDISMFK* >Brasy6G031700.2.p pacid=40048369 transcript=Brasy6G031700.2 locus=Brasy6G031700 ID=Brasy6G031700.2.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDEDDEEEEEDDGMDGVDDSAYAFHGHTDEVFAAACSPIDASLVVSGGKDDKGFLWRIGSDVDVQELTGHEDTVCTIAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADRNAFLNKFAGHSSTVTCGDFTPDDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGMVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTARVFDISMFK* >Brasy6G150000.1.p pacid=40048370 transcript=Brasy6G150000.1 locus=Brasy6G150000 ID=Brasy6G150000.1.v1.1 annot-version=v1.1 MSFSGVPFPAKFGGGVAFPSAMQVQEFDCFVVVDFEATCEKDARIYPQETIEFPSVLVDGATGRLASAFRRYVRPRHHPVLTDFCRELTGIRQEDVDGGVDLAEALRLHDEWLQETMLATKKGGRFAVVTWGDWDCRSMLDKECRFKGLTKPAYFDRWINLRVPFQAAFGGSGRVTLQEAVRAAGLEWEGRLHCGLDDAINTAYLLVEVMQQGVQLVITGSLTLPPPSQLQKQQQQQQQQQQLVLLPPPQPQRQHVLPPPPKPQLQQVLRPQPRLHHGAAVAVGCCYCGVSARRGVVVASGPMQGQCFYGCGNLTPDMRPMCRYFMWADC* >Brasy6G176000.1.p pacid=40048371 transcript=Brasy6G176000.1 locus=Brasy6G176000 ID=Brasy6G176000.1.v1.1 annot-version=v1.1 MATPSCHGRRRALGAVAAIYAFLVVAPPFTAAAIGVNYGTKGDNLAPPSTVAAFLANRTRIDRVKLFDTNPEMLRAFAGTGISVMVTAANGDIPSLATTQGAAAWVASNVAPFYPATEISLVAVGNEIMDTHDQSLIDNLIPAMRTLKAALAAAGFRRIRVSTPSSLGILVDSSPPSAARFRDGWDVAVFTPMLQFLQRSKSPLVVNAYPYFGYNGDTLPYALARRENNPGVADAGTGITYTSMFEAQLDSVYSAMKKLGFEDVEILVGETGWPTKAMDEQIGVSPAEAAEYNRYLINEVGSGSGTPLMPKRTFETYIFALFNEDLKPGPVAERNFGLFQPDFTPMYDVGIMKGPVTAPAPAAPAKAAAEAPPMAATARESKASGASAPSSPTSPAPSVGESTDHKTPEAAEGGDAPPSSEKSGPGDSESSEAAAKDDQGNSEKTSPGEGSTTTPPPAGAASKSTSFLFHISCILAIALSVVMHV* >Brasy6G125600.1.p pacid=40048372 transcript=Brasy6G125600.1 locus=Brasy6G125600 ID=Brasy6G125600.1.v1.1 annot-version=v1.1 MEKYQNNTRFAPLRDAPFALRGALGSSNSSFNSMDGLRHSSSLGQARGYRSSPLGALQPKKSQSGSRSLHTSRPLAAPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGAAIISIPLATKFSLMFDV* >Brasy6G153300.1.p pacid=40048373 transcript=Brasy6G153300.1 locus=Brasy6G153300 ID=Brasy6G153300.1.v1.1 annot-version=v1.1 MILVAVMAELLEEYTAAVARAMERLLSAAPRILPRRVRFLVLRSLPFAAASPPAVPPPPYAIPVLLAR* >Brasy6G170800.1.p pacid=40048374 transcript=Brasy6G170800.1 locus=Brasy6G170800 ID=Brasy6G170800.1.v1.1 annot-version=v1.1 MCDDDCCSCVPYRARDNIKYCCLCFAIVAVVVAFAVLLAAYAFLRRVSITVEDASLTKFTVLTAPATAIAYNLSLVLTVKNPNWAMSIKNTEPFMAAYKFDGQQFDRVKVSNKGEKHGAGKTKVYRLTTGTDADGEFVSLGNAGVAEYEDEKKKGVFEVEVALTGEFRYTARYTKCKIEATCPLKLQLVQPGGAATVVFEKVKCKLAKPDKNC* >Brasy6G112000.1.p pacid=40048375 transcript=Brasy6G112000.1 locus=Brasy6G112000 ID=Brasy6G112000.1.v1.1 annot-version=v1.1 MRGGAGCSGRGAGNVGKKRNGGIIKKAKTGNDDDCSDDSPKGRFQQTVRCSLGEVIETAKLLKEPHRERVRAAGFGCVFDWVLEGNVSRVLLCYLLMNMDTQTMKIDCGPGRVLTVNRDSVHHIFGFPCGGETSPRPSDSGHDAALASFKAELGFERTASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMTRMEFCQLAGPEGCGVVTVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPVKSGAFTTPTASTLPAVQRIRQVYDAVCRLRNDPGKKDIVLFQNDECECTIGQVAKTFHPNGMLCSFVVSVGIYLLGQKYKNSDKMVVPYVWCLFEGNYQSRRLQNLFSIYAEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKHFELVDSLRGSDDPDAQKVFHKIVRGIKKLWKQADNAKGESFTPKCIDHFTMEYVRVPKQGTAHDCGFFMLQILQLWDGESLVIFKQADILNIRKTLLYRWLTTGDFNIDLQAVLGVDG >Brasy6G233700.1.p pacid=40048376 transcript=Brasy6G233700.1 locus=Brasy6G233700 ID=Brasy6G233700.1.v1.1 annot-version=v1.1 MLLQEDSQVVVAVLDTLSNLNLNSQLQDQAVTVAISCIRTIHADQMPHLLRFLLLSATPANAGRIISQIREQLKFVGVVDPRASRGKKLKGKASAVSTDGAILDALRSGLRFKNMLCEAFLKELKSVDHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGCVQETLFGQCIRGNPELVKVHFMSYLSVSDYLLACKEEKAREFATYLFTALFEEFSDTFSRQELVGSLITHIGSGVSYEVSSALDIMISLTTNNSDELIPIASHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDIKYKRMGIIGALRIVSAIADANAAVNCSSSQQQNCEEALGLLQMAVNSSRCHTLPLILLYDELAALVESRVLHSAIIDWVGEHVAEFDALFLADLDDGQLPEKYICDGIEGELWMNLDGNIAPVCLNILPLVSISPQKSQACLQIFPSQFLVLTVAERSGSEGSLGGINALLGSPLHLPSTKSLDGSRWGSLSALEKKTVCHSLYFAINWIRELLNAFSTQVAARVDNVSQKVRDETAVKLLKRLRNLILLESLLNTLLKMYPLSLPELRYLGDYSVSTITSKLNYGKKMNEENMEGPSSNKRQKCRKDKSASDKLSSDDKLKQTTILDAFKRAGVTITQETNRISQPLPSRMMSKDVENEANDLGELGVIDLMAAPVQLDMQRFKFRTLHLTCLSLLNYSEFQDLTLPYDESELPLYLYLLRDLNIKLDRLNPSSKPFFSNSQAKSTYARCQKSTEELLSTIQPLFSRLRKFLDGVVSMIKERSDSCPDNWSSHWDSAGNPHIPNVMVSKSSIATSVFKEVLGCYRKLLGIPDLLNHANMSVLKELLQTFQPTENFDDDISEFRPPLAPSNVDYLYCGAYKMFEAIMDPVYSFSYILASDVLITMQSILSSTVMLLEKSGESNGKNTHVGCSKDIIPFLQKRLGLSAHKLLTSDFPSEDAENGRLNKGDLIPRILQIYLRNSESTSDLLEELACSVLPEVASLKTKSTTQEMAHGFPTLCSSTFHSWYRVLHEENTGNFNKMIKQALKTRSRSGVAVENVVDEIHKSVKVFVGLIHICKDHEKVAIHAIAVKYGGRFIDAFLKVFNFLETQFGQHGERIIQMIKALQKATRTIQTICAEAKGYKRTMIMSKIPATKRSLERFVFQVKALLHNCSTEDTFVISNLKHKDLHGHVVSSQVYGGVDDEPDDAEQEEMETDPETPANENDNIVDDDMAEGNDEATPLD* >Brasy6G230700.1.p pacid=40048377 transcript=Brasy6G230700.1 locus=Brasy6G230700 ID=Brasy6G230700.1.v1.1 annot-version=v1.1 MANDHFFPGDYFSSGLAAPFLGASYAQAPPRSSGLDAAAMAFGLPWADQQLPGAADSSATTTTAHFDSALSSLVSSPAGASFFPHGGEDDVAIGDLIGRLGSICNGASANNSCYSTPLSSPPRGPPPPPAAFRGYGAALETGRLSRVSSSKSLGGPGTALEYAEAEASPNTAAMPDPPATAKGAARKRKAPAKGKAKAEPSPPFPATAATNAIPKRPRVSDGGSSDENAAVAAKVEVEKETSEPVKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLSTMNPQLDLDGQYNPSKDMNQITMPAYASDEPAGAFSYASSPADSFAMGSAVAHHGLELQGTFSCWEQDLQSMVQMGAASSSSHSQDPHAFYGQQTTVNHMKVEP* >Brasy6G230700.2.p pacid=40048378 transcript=Brasy6G230700.2 locus=Brasy6G230700 ID=Brasy6G230700.2.v1.1 annot-version=v1.1 MANDHFFPGDYFSSGLAAPFLGASYAQAPPRSSGLDAAAMAFGLPWADQQLPGAADSSATTTTAHFDSALSSLVSSPAGASFFPHGGEDDVAIGDLIGRLGSICNGASANNSCYSTPLSSPPRGPPPPPAAFRGYGAALETGRLSRVSSSKSLGGPGTALEYAEAEASPNTAAMPDPPATAKGAARKRKAPAKGKAKAEPSPPFPATAATNAIPKRPRVSDGGSSDENAAVAAKVEVEKETSEPVKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEMNQITMPAYASDEPAGAFSYASSPADSFAMGSAVAHHGLELQGTFSCWEQDLQSMVQMGAASSSSHSQDPHAFYGQQTTVNHMKVEP* >Brasy6G220100.1.p pacid=40048379 transcript=Brasy6G220100.1 locus=Brasy6G220100 ID=Brasy6G220100.1.v1.1 annot-version=v1.1 MPTMPPAAGETPGDNGVDVVEDVFGLLRVLSDGTIIRSPDPPAFCAKKFPSEHPSVQWKEAVYDESNSLRLRIYKPNAAEKQKQKKLPVVVYFHGGGFCLGSRTWANTHSFCLRLAADAGAVVLSAGYRLAPEHPLPAALHDASALLTWLSAQQHSSAGTGDDDGDTWRLAEVADFGRVFVTGDSAGGTLAHHLAVSSGSGGKAALVVSDDLTVVNVKGYVLLMPFFGGERRLPSEEADSTQLMNRDTLDRFWRLALPAGATRDHPLANPFGPDSPGLDAVELPPVLVVAAGGDTLRDRVVDYGERLKAMGKPVELVEFVGEPHGFFTLDPWNETTGELIRLVRRFVHGETQGRRLIDSVFRSSVR* >Brasy6G220100.2.p pacid=40048380 transcript=Brasy6G220100.2 locus=Brasy6G220100 ID=Brasy6G220100.2.v1.1 annot-version=v1.1 MPTMPPAAGETPGDNGVDVVEDVFGLLRVLSDGTIIRSPDPPAFCAKKFPSEHPSVQWKEAVYDESNSLRLRIYKPNAAEKQKQKKLPVVVYFHGGGFCLGSRTWANTHSFCLRLAADAGAVVLSAGYRLAPEHPLPAALHDASALLTWLSAQQHSSAGTGDDDGDTWRLAEVADFGRVFVTGDSAGGTLAHHLAVSSGSGGKAALVVSDDLTVVNVKGYVLLMPFFGGERRLPSEEADSTQLMNRDTLDRFWRLALPAGATRDHPLANPFGPDSPGLDAVELPPVLVVAAGGDTLRDRVVDYGERLKAMGKPVELVEFVGEPHGFFTLDPWNETTGELIRLVRRFVHGETQGRRLIDSVFRSSVR* >Brasy6G243600.1.p pacid=40048381 transcript=Brasy6G243600.1 locus=Brasy6G243600 ID=Brasy6G243600.1.v1.1 annot-version=v1.1 PETIPARVTPSALYIKPGRGTRRLPSASHSLQPPPAPPSEGAAPGSERRRRRDEGQVEEEADEEAEAQAPKDEAEIQVGWASQSAEMLPSSHQF* >Brasy6G231200.1.p pacid=40048382 transcript=Brasy6G231200.1 locus=Brasy6G231200 ID=Brasy6G231200.1.v1.1 annot-version=v1.1 MARLRRRPLVVAAVLLLSAAAALSSPVEDPLIEQVVGGDEENELELNAKAHFASFVQRFNKSYRDADEHAHRLSVFTANLRRVRRHQRLDPSAVHGVTKFSDLTPDEFRDRFLGLRQSRRTFLKGLSGSAHDAPALPTDGLPTEFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHYLATGKLELLSEQQMVDCDHECDPSEPRACDAGCNGGLMTTAFSYLAKAGGLETEKDYPYTGRGGACKFDKSKIAAQVKNFSTVAVDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPLRFKEKPYWIIKNSWGENWGESGYYKICRGAHVKNKCGVDSMVSTVTAIHTSKKE* >Brasy6G106100.1.p pacid=40048383 transcript=Brasy6G106100.1 locus=Brasy6G106100 ID=Brasy6G106100.1.v1.1 annot-version=v1.1 MLLLLRVHQRFHPAYAPPRHHPPLTGMAHAYPSNFNCLVGPPRTPLPLPADSTNPNPIQLWRSPRRVRPLPTRRARVVAGPRPPPPARPCLPRSSRCGLHGAPGPVHRAQRAREVTDDDLSFFSFLSRADLPPPCDGDRYNRGFRRRISRPPPAPRDPARPCVPPTARCAAACQRAAAAPTPPRRERSAVSTGTRLFESPCPPPPTSLSPLSLFLSLSAPFPKAVPFRFSGEGRRCPAAADCRAGGRKRGPPPDPTGLGWIGIGFGSCRGGRCVELSPVEY* >Brasy6G112400.1.p pacid=40048384 transcript=Brasy6G112400.1 locus=Brasy6G112400 ID=Brasy6G112400.1.v1.1 annot-version=v1.1 MKFDNEEAKQMWIDMLQWRKEYSTDTINEIRAHLISLKSEDACKIQEDLVYASRTRCWWLVGVKRRHGGEES* >Brasy6G038300.1.p pacid=40048385 transcript=Brasy6G038300.1 locus=Brasy6G038300 ID=Brasy6G038300.1.v1.1 annot-version=v1.1 MAASSRTAELLLLPLALLLLFSGPHGAGAAPAQPSTTPLSVLCNGDVYGAGDPFAASLAYVLSDLLARTPPSPSPRDAYSISPWPNAFAYGHAACGARIPTAAGCAACLGSALGQINSTCGHAAVGARAVLVDCRVRYEQYAFVD* >Brasy6G180500.1.p pacid=40048386 transcript=Brasy6G180500.1 locus=Brasy6G180500 ID=Brasy6G180500.1.v1.1 annot-version=v1.1 MSLIRRSNVFDPFSLDLWDPFDGFPFGAGAGSSLVPSFPRSSSETAAFAGARIDWKETPEAHVFTADVPGLKKEEVKVEVEDGNVLQISGERSKEQEEKTDTWHRVERSSGKFLRRFRLPDNARAEQVKASMENGVLTVTVPKVEAKKPDVKSIQISG* >Brasy6G125300.1.p pacid=40048387 transcript=Brasy6G125300.1 locus=Brasy6G125300 ID=Brasy6G125300.1.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGKGCSTDDMEIASNSLSLSSFWGLFVICGLTCAIALLIFFWRIFFQYSRYNDQVELGPEIVRRTARLTSIKSLISFVDKREEEVKNALKKKPNGSQQTRIGSTEEQSTSPL* >Brasy6G125300.9.p pacid=40048388 transcript=Brasy6G125300.9 locus=Brasy6G125300 ID=Brasy6G125300.9.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGKGCSTDDMEIASNSLSLSSFWGLFVICGLTCAIALLIFFWRIFFQYSRYNDQVELGPEIVRRTARLTSIKSLISFVDKREEEVKNALKKKPNGSQQTRIGSTEEQSTSPL* >Brasy6G125300.11.p pacid=40048389 transcript=Brasy6G125300.11 locus=Brasy6G125300 ID=Brasy6G125300.11.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGKGCSTDDMEIASNSLSLSSFWGLFVICGLTCAIALLIFFWRIFFQYSRYNDQVELGPEIVRRTARLTSIKSLISFVDKREEEVKNALKKKPNGSQQTRIGSTEEQSTSPL* >Brasy6G125300.7.p pacid=40048390 transcript=Brasy6G125300.7 locus=Brasy6G125300 ID=Brasy6G125300.7.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGKGCSTDDMEIASNSLSLSSFWGLFVICGLTCAIALLIFFWRIFFQYSRYNDQVELGPEIVRRTARLTSIKSLISFVDKREEEVKNALKKKPNGSQQTRIGSTEEQSTSPL* >Brasy6G125300.10.p pacid=40048391 transcript=Brasy6G125300.10 locus=Brasy6G125300 ID=Brasy6G125300.10.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGLSKRFPSCGGLVDSNPYTIREWQPPKDPR* >Brasy6G125300.2.p pacid=40048392 transcript=Brasy6G125300.2 locus=Brasy6G125300 ID=Brasy6G125300.2.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGLSKRFPSCGGLVDSNPYTIREWQPPKDPR* >Brasy6G125300.8.p pacid=40048393 transcript=Brasy6G125300.8 locus=Brasy6G125300 ID=Brasy6G125300.8.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGVKGLDSLISSSSPIGYQVGSFARNYLVDELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGLSKRFPSCGGLVDSNPYTIREWQPPKDPR* >Brasy6G125300.3.p pacid=40048394 transcript=Brasy6G125300.3 locus=Brasy6G125300 ID=Brasy6G125300.3.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWREHF* >Brasy6G125300.4.p pacid=40048395 transcript=Brasy6G125300.4 locus=Brasy6G125300 ID=Brasy6G125300.4.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWREHF* >Brasy6G125300.5.p pacid=40048396 transcript=Brasy6G125300.5 locus=Brasy6G125300 ID=Brasy6G125300.5.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWREHF* >Brasy6G125300.6.p pacid=40048397 transcript=Brasy6G125300.6 locus=Brasy6G125300 ID=Brasy6G125300.6.v1.1 annot-version=v1.1 MGSSHLAALLFCVCIFAGGGIADSQSGTNGLSRPTEVRIGALFTFDSVIGRAVKPAIELAIADVNADPSVLSGTRLSVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSTIAHVISHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAKRKSKIAYKAKLPPGAGRNTIQDILMRVNEMESRVYVVHVNPDSGLAVFSAAKSLGMMSNGYVWIATDWLSAVIDSSRHDNPDAMEHTQGVIMLQQHVADSDIQHALLRKLNNQTREGNRSSMSSYTVYAYDSVWLVAHAIEQFLSEGNAVSFSANQNLQPIKRSSLQLDSLRRFNNGDILLEKVWRANFTGVSGQVQFTLDRNLIHPAYDILNIGGTGFRTIGYWSNFSGLSVVAPENLHSAPMNSSTNNIQLRGVIWPGQTAEKPRGWAFPYHGKPLRIGVPLRTSYKEFVMQDKGPDGVKGFSVDVFKSAVSLLPYPVAYNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDITIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGLLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWREHF* >Brasy6G103500.1.p pacid=40048398 transcript=Brasy6G103500.1 locus=Brasy6G103500 ID=Brasy6G103500.1.v1.1 annot-version=v1.1 MERIREARRAGVSMAGGPPPPPRRRLRSNGGGSGNGNGGGPRDSPRSERRRGERMMLNGSGGGRDDGDDTSDESLGDDDDDADEELAASAPRYPPVQRRSPSTAPPPSPPQPGGGGHHHSSGSSGGGGYHNNNHHHHGQSQMQRKGASNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWTAPGGGNGGGGAGDSSQIQRPSSRPISPASASATAPIRKKLKSVGGGGSSGGSGPVPKQRPTPASAPSAAPPQPPPAKITKSPSFIQEEIEVAEVLFGLTRQFPAASKQENSNHKPEPRDASEPKSGNSSPAPSSSAVRPSDSSSLIAAAPKRKRPRLLKYDIENRPASPAKPDSAEPSSRPETLPPTRSDGKASVSAVADSGASTTAAAQPETSREPEKREDPRSRDPELRASESDRRDHRPESRAEPAAAPGKPEGQAAPPLGSEARNGEATTATRSELASDGARQEKLKFSIDLMAPPPGKLSPDRDGASDPDADKKGLDAEMDMVGRGTSEKKDGERTRRGLEINLEEDRVQRTPADELAPKKLTLQLDLEKPSLGDENSPSERRQPPLQQQQHKSTKSDIKHEKSPLPAVSPPMPMAVGGWMGSFPPFGYLGPVPGLSAPGLHHPMEVKPGTSAGLQHAALLPPAPVRPKRCATHCYIAQQIQYNQRLSKMNSFWPPTAAAARSVPFFGPRGPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPVQETKAPSMAAAPFQGILSKDKVASSSAAVAESNQRKQNPAHETQQSSPMPNMMQGPAFIFPFNQQHAAVVAAATAANRMGDAKSSGLSNVMPPSANAHTSAAHPGAPALNLSFANDAQFLAILQNSYPFQVTGGPPSYRGMAPPGPGVPFFNGHVYSHMLHPPQQQGAQQQNHQKNPMPSLSTSSQKHQSQQSQGLLGYAPNANAAAAAAVANNSQSYTSGNQRPVLLHGLAHRQDADKTVQDGQSSDDKSSHHQPNFAVPVHLPNFQLMPAAGNQSEKKLNDHHHQQQQQQQPAMSRGQGVRIDLASSQPYVNVMPYGSIGAPGSAPTGLDFSSLAQNHALFQSHQEASRHGYPQLNFAAAQSLQAAQHKAQHQITAEAKSAAADSSSMPSAGDSERKKSASAKYPGDPQQHSLSFSRPENKSFMHPFLSGSNNESSRTLSLIGAESSNAFGLGSKSAGASTPATSSAAAPSVPIISQQQHQHQQHLQQQQQHHHQQQQIQQQQLQQQQQQQQLQQQQQQQQQQQQQQNLLQLQKQQQQMFQHHQANSRPRSAAPSNAGGYSDRLSVTNFQNLMYPSSAAQGGVPGQSPQLKGSSMRVSAPPAAASVTAASPPSNLIMMKNSGLHQQQQAKALQALSSPNHPSQSSLSMSSSKMGPSLTNLSTGGAGDLSRSSNAPVASGSPSNSVSKSTGSPPASGSAKGGQPGVQLSSPQQQSAKNSASTSGSKSTPTNHYSSMPMPSILGQQPNMANSSSKQQSHVPSLKQQPFPQGHFFISNAYAPQGPHVNSGVGGGLYQKRSSEKAQHSPHQQNSGSSAMLSLSSGSMSMSTAAIPADAGKALAAAAASNTMKTLHPSPGSFMHLTTAGQSASGSPHSHMSAGQLAFGAMPMPVKPTSDQKPAAGK* >Brasy6G090300.1.p pacid=40048399 transcript=Brasy6G090300.1 locus=Brasy6G090300 ID=Brasy6G090300.1.v1.1 annot-version=v1.1 MSRLCVEEEEEDRKLPVKVVTWLGFGGSTHVALTAQDTVALANPIPSRLVTLNVVDQEQRLAVMDAYYDEAPDVARGTGSFWFESVRLRGERTPADLKLLDGDVIDFFQVLVGGCGMVAAGSVPARIDG* >Brasy6G248800.1.p pacid=40048400 transcript=Brasy6G248800.1 locus=Brasy6G248800 ID=Brasy6G248800.1.v1.1 annot-version=v1.1 MLKTKGGKTLSQSTLRMNAKEDGKEAREELGNMLVLHEYPLSMVDHAGFRKFVGALQPLFKLHTRNTIR* >Brasy6G025000.1.p pacid=40048401 transcript=Brasy6G025000.1 locus=Brasy6G025000 ID=Brasy6G025000.1.v1.1 annot-version=v1.1 MALLSPGSPTARLLTVPSLLLLLSSAALLVFLLLPALSPPPSPHLCACADPVTTHTTTSVTTTTTTASPAPVATSPDDVAWLKAQLASNSLALLLAASAGDDAWHRLRKGINPRTRQQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALMPRDQVLEIGCGTLRVGLHFIRFLETGRFHCLERDELSLMAALRYELPSQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRQLAELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV* >Brasy6G113100.1.p pacid=40048402 transcript=Brasy6G113100.1 locus=Brasy6G113100 ID=Brasy6G113100.1.v1.1 annot-version=v1.1 MPPVHRRFTYMSKRSNSWRATTEACMELVGGLDVPLRLPWLVSALAISATICYILFSSRAGKGNGKGLPPGPRGWPVLGNLPQLGGKTHQTLHELTKVYGPVVRLRLGSSVAVVAGTAGAAEQFLRAHDAQFRDRPPNSGGEHMAYNYQDVVFGPYGPRWRAMRKVCAVNLFSARALDGLRGFREREAALMVKSLAAAAAAEPVALGKAANVCTTNALSRAAVGRRVFDELGGSAGGELKEIVLEVIDVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNGIIAERLQGTDAAGEKDLLGLLLDAMMKEDKSLSGGEELTHTDIKALILNLFVAGTDTTSSIVEWAMAELIRHPDLLKQAQEELDAVVGRARLVSECDLPRLPFLTAVIKETFRLHPSTPLSLPRMASEECFIAGYRIPKGTELVVNVWGIARDPTLWPDPLEFRPARFLAGGSHAAVDLKGANFELIPFGAGRRICAGLSWGLRMVTITAATMVHAFDWELPAGQTPDKLDMEEAFSLLLLRAVPLMVHPVPRLLPSAYEIA* >Brasy6G267400.1.p pacid=40048403 transcript=Brasy6G267400.1 locus=Brasy6G267400 ID=Brasy6G267400.1.v1.1 annot-version=v1.1 MRTFYVMLLACAIGVLCSDVAMKPAVMADENIGVAFKDNKNCTFSLIPGKCDLNKCDAECTHRAIGKGRFAGGECVPKGCRCFYCLPPLGLQS* >Brasy6G042700.1.p pacid=40048404 transcript=Brasy6G042700.1 locus=Brasy6G042700 ID=Brasy6G042700.1.v1.1 annot-version=v1.1 MHPYSLKSSKGAQLPPRPTLVFLIAVFGLYVCYLSFNQIRLENKGGENSTEEQTEHVCTKPYVPSEELRYVHFPKPKGYNRGECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRIDRRENLSSIVQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGFMDHHDDIVSYFNQKGVSLIFLFRRNTLRRLISVLANNYDRDAKQLNGTHKSHVHSEEEAEILAKFKPELDVSTLILDIRDIEKYIRDCLDRFNMTRHMILYYEDIISNRNALFRVQEFLGVPARKLVSRQVKIHTRPLPDLVKNWEDVNSKLNGTEYARFLDGADYVK* >Brasy6G043900.1.p pacid=40048405 transcript=Brasy6G043900.1 locus=Brasy6G043900 ID=Brasy6G043900.1.v1.1 annot-version=v1.1 MRLPPHLLSSSARCAAPLSPSSSPPPWPMGSRKALLQFDPDRIPPPPPPPFPRSRVRHCRRRFPSQQPPTSSASRASPLALRYPSAPPCEPNAARPPRAETPVLPSPTPYSVLTPPPRSPRARVLRG* >Brasy6G058100.1.p pacid=40048406 transcript=Brasy6G058100.1 locus=Brasy6G058100 ID=Brasy6G058100.1.v1.1 annot-version=v1.1 MEVAMAPPSAPCSPRTAAGDHHHLLPGYCYFFSSAPTSPSRTSCYAPAADDADAMTFDFALGFSGQLRDSTPPTLAAADELFEGGRIRPLNRSVLPADDDRRPSPRSPRRSKPEPPAPETRGRSARPGPAAATSRRATRSLSPFRGAPSAMDDEDELSSSSPPTRGASLMRGCGSGSSRKWRLKDLFLFRSASEGRATGNGKDPLLKYTMLKGGGHGVGDGGSASMRIKGRGSAASASDMAPYYAVAAEETRRRRTSTTPLPFHRNSLFGYLRSNPAIHTISRKLGGSNRGRPAAAAAAANA* >Brasy6G094800.1.p pacid=40048407 transcript=Brasy6G094800.1 locus=Brasy6G094800 ID=Brasy6G094800.1.v1.1 annot-version=v1.1 MVVVSSTIPAVLLLISFLLISKLPRCRPLSFSYNFSDSAPFDGADIKIQGTATLPQQGRPIDLTQNADPRSKGECNCWGRASYSKPVPLWDNATGEVTSFTTRFSFVIKVPDDSNNTRSQPSDGIAFFLSPHPSKMPIIGGGYLGLFNQTTPASTDPTVVAVEFDTYPNEWDPSINHIGIDVNSINSTAVRKLGEDELTSSEEPMTAWVSYNNITKLLAVTLQLKRSSNGGMSRYELNSTVDLKSLLPPEVAIGFSAASGLSVYLHRVLTWSFNSTLAATKLVVTEEARGPNVTVEKAPDTSVQRQFPSKSMVRPLAGAAVGALLISVAVVGVLICFILVRRRRMREEQEQEMAVDSDWCSMDEEFENATGPRRFRYGELAAATNNFSEDGKLGEGGFGEVHRGSLNDLGFVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGSVLTWPSRHKIALGLGSALLYLHVECDNCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLPRQEDQEANDNEYSSLLEWVWGLYGRSAILEAADHRLNGGDLDQTQMECVLVVGLWCAHPDRAVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLTGDSSSAGASSSSFTTGGARSTTTTSSSTTVGPSSCCPESSAAVGMQQTAGM* >Brasy6G191400.1.p pacid=40048408 transcript=Brasy6G191400.1 locus=Brasy6G191400 ID=Brasy6G191400.1.v1.1 annot-version=v1.1 MDDGARIGNYQSSCHRSHCIGLWACASAKRAPVHLGRSSRRTWPSSYILCRLPSLADRLSFRAVCRNWRLLVANQQRLLLPPALPLVNLGPGRYQTIADPDRKLYIRRFAEPSCRARASFGSWLLYERSDHRLFLRDLNLSQASQAATPGIRIPCRYHEYCTVDGCCAAASAGTGHGTSTTRPLVAGLAVTSSPVVTGYQKIVVCSPDHHRLLTAIMHTGRDGTDTAPHARSRTTCRRVEQIIEKRPATAAAAGDASEYFRVNNHLVVSSDGRKLLMARWSIPSRNDDGINHGMKLQVFEADLKMGKWAEVKDLGGQVLFLSRACSRALGPSSTEHLVDEGCRGGNRVFLLGVGLGVAAARGRPLQRVLQEDR* >Brasy6G123800.1.p pacid=40048409 transcript=Brasy6G123800.1 locus=Brasy6G123800 ID=Brasy6G123800.1.v1.1 annot-version=v1.1 MRANKTSPPETKSIAGDDIAVDLYPFIRQYNDGSIERLLRSSFVPASSEDATANPTGVSARLFLPSRAALATTTGEKKLPVIMYIHGGSFCTESAFGRTYHNYARSLASRSRTGALIVSVEYRLAPEHPIPTAYDDAWAALQWVASLSDPWLADYADPERTFLAGDSAGGNIAYHTAVRASRDSNCPVDIEGLIMVHPYFWSSQRLASEAVWDGAAMFAPESVDRLWPFVTAGQAGNDDPRINPPEDEIASLACRRVLVAVADKDSLRDRGRRLAAQMRGWAWAAGDNVTLVESEGEDHGFHLASIVQFIDDQRSTALPLPAALHPSPHELRACEGRSKKSAAEMDPGAGPVLGVPARPYMDIFGYGMAMKAWSGSGPSSMTARTASLQIGQGKESKTRYGLSLGRVGPTKANMVSLSAKAHWSSVNVKNFF* >Brasy6G150200.1.p pacid=40048410 transcript=Brasy6G150200.1 locus=Brasy6G150200 ID=Brasy6G150200.1.v1.1 annot-version=v1.1 MAGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARTSFAVMGPAARGELLARCGGSWKKVLRFLQSVEQSSDTVETSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCVAFSRVSFPALSRVVNISAFHNHAAFVTETGEVFTCGDNSSLCCGHGDVGRTIFRPTQIEALKGTFCKQVATGLSFTVILTREGQVYTCGSNTHGQLGHGDTIDRAAPKIIELFEGSSPVVQVAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHNELLPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSVVAFGWMGFGSLGFPDRGSSDKVMKPCVLDSLRGHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIMFHKSSMEDIAIAAPSG* >Brasy6G142700.1.p pacid=40048411 transcript=Brasy6G142700.1 locus=Brasy6G142700 ID=Brasy6G142700.1.v1.1 annot-version=v1.1 MCHRLSTLNFLLRRLRPNPKTSRARSVPPAPPEMLPAAHHLLLLLSFASLGAAAVGVGKGFCSAEQSSECSERPPLYWKVTNPTLAPAHLQDLPGFTRSVYKKDHALITPESHVLSPLPDWINTLGAYLITPALGAHFTMYLANMQDGSKSALPPKDVERLIFVLQGSIALSLESETTHSLLVDSYAYLPANLKHSVISYESSTLVIFERRYTIIGDYHPDLVIGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTKSRYLLYKDVNRNPLI* >Brasy6G111400.1.p pacid=40048412 transcript=Brasy6G111400.1 locus=Brasy6G111400 ID=Brasy6G111400.1.v1.1 annot-version=v1.1 MADTATAPLLTSHKLAGKAPAGKKKAPSIDDTIETYMGATGAAQLLKAVLLAFAWAFDAQQVFISVFTDAEPRWHCTGAGAEGASCAASAASPCALPAGAWAWDGPASASVVSEWSLKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRRMLLASIVSMSVAGVLTAFSPNVWVYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTVCVAGFFCFTIGFLSLPALAYAFQEASWRNMYLWTSLPALCYSILFYFLVQESPRWLLVRGRKQDAIETLRQIAALNGNSAITSSFSMLHACVMHQEDQDGAGDSSGSSNNSVFAALQSMWERPWALRRLAAIMTASFGIGMVYYGMPLNVGNLGGSNLYLSVTYNALAELPSSILSWLLMGRINRRSSVIALTALAGASSLACLVIPAQGARMAAELLSFFATCTAFNVVMMYSIELFPTSVRNSAVGLVRQALVLGGVAAPVLVALGRQRSFLSFGVFGLVIGCFGMFAACLPETRGKGMSDTMEEEEQKEAAVVDDDAAGGMDSNSDLV* >Brasy6G176700.1.p pacid=40048413 transcript=Brasy6G176700.1 locus=Brasy6G176700 ID=Brasy6G176700.1.v1.1 annot-version=v1.1 MAAVNWNDDNTRIITELFADQVHCGNRPNSHLNNVAYEEIAQRFKDKTGIELKKKQIKNKWDKLKNEYGIWKKLLLKQTGAGWEGGTINQDAEWWRKAKVDIPGCGRFQNQGIRNEDNLKLIFEDITNDGRDHWCPTSGDLPQPNIVEDVINLDGEDGIDIDELDESPSNVKGKKRGAKVVDDKSKKSKTSQVMQDEMKKIGALAEKTQSSLESFTTKNDTCSVATVMDLVIECGGAYGTNEHFIATELFVKREQREMFLHMPTPDIRFEWLKRKYEAKYAR* >Brasy6G063800.1.p pacid=40048414 transcript=Brasy6G063800.1 locus=Brasy6G063800 ID=Brasy6G063800.1.v1.1 annot-version=v1.1 MPDSDNEDSGGGGGNANNKEQDKFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYMEPLKLYLHKFRELEGEKAAVVVGSGSGGGSGAGAVSQQREAPTGVGSNGDGGYGGMYGGAAAGGGGMFMMMGQPMYGSPPGYQQQQQQQQHPAHHQMMMGGKGGGYGSGYGDAGNGGGSSSSSGFGRQDRM* >Brasy6G204500.1.p pacid=40048415 transcript=Brasy6G204500.1 locus=Brasy6G204500 ID=Brasy6G204500.1.v1.1 annot-version=v1.1 MLSAVRQALNHDPGMKFTLCWNCRAPDFIMRSKAGHADLSCMHTEGVVI* >Brasy6G083800.1.p pacid=40048416 transcript=Brasy6G083800.1 locus=Brasy6G083800 ID=Brasy6G083800.1.v1.1 annot-version=v1.1 MEGSSMASASLPEAVEPKKKSNFKYAFTCSILASMATIVLGYDVGVMSGASLYIKKDLQITDVQVEILIGILSLYALVGSFAAARTSDWIGRRVTVVFAAAIFFTGSLLMGFAVNYAMLMVGRFVTGIGVGYAIMVAPVYTAEVSPASARGFLTSFTEVFINFGILLGYVSNYAFARLPLRLGWRVMLGIGAAPSALLALMVFGMPESPRWLVMKGRLADARVVLDKTSDTPEEAAERLADIKAAAGIPKDMDGDVVTVPKRSGEEEKRVWKELLLSPSPAMRRILLAALGIHFFQQATGSDSVVLYSPRVFRSAGIADDDHLLGVTCAVGVTKTLFILVATFLLDRVGRRPLLLTSTAGMLVALVGLATGLTFVGQHPGDKIPWAIALCVVSVLAYVSFFSVGLGPITSVYTAEVFPLRVRALGFAVGAACNRVTSAAVSMSFLSLSKAITIGGSFFLYAGITALGVAFFFVFIPETRGQPLEDIGKLFGMTDTAVVEEAQDTASKNKAEVEMPKTIDSNS* >Brasy6G117500.1.p pacid=40048417 transcript=Brasy6G117500.1 locus=Brasy6G117500 ID=Brasy6G117500.1.v1.1 annot-version=v1.1 MAASPALFALLACLCGALAVAVTASDTLKQGESLTVSATLVSSPTGVFEAGFYAPDPKQPARLYLCIWYRGIQPRTVAWVANRANAATGPSPSLTLTADGELRVLDGAAKDGAPLLWSSNTTTRAAPRGGYSAVILDTGSFQVRDVDGTEIWDSFWHPSDTMLSGMRISVNAQGKGPAERMLFTSWASETDPSPGRYALGLDPVNPNQAYIWRDGNVPVWRSGQWTGLNFVGIPYRPLYVYGYKQGNDQTLGTYFTYTATNTSLQRFVVTPDGKDVCYMVEKSTQEWETVWMQPLNECEYYATCGSNAICTVVQDRKAKCTCLKGFQPKSLDQWNAGNRSQGCVRNPPLGCQVNQTGDGFLSIQNVKWPDFSYWVSGVTDEIGCMNSCQQNCSCGAYVYMTTLTGCLHWGSELIDVYQFQTGGYALNLKLPASELRERHTIWKIATIVSAVVLFLLVVCLFLWWKRGRNIKDAVHTSWRSRRSSTRSQQSAGMQDITHSIPFDDETEDGKSHELKVLSLDRIKAATSNFSKSNKLGEGGFGPVYLGILPDGEEVAVKRLCKNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFIFNSEKQGLLDWRMRFDIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDTDMNPKISDFGMARIFGGDENQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHGQQDSLNIAGYAWRQWNEDKCEELIDPSIRSSCSVRQVMRCIHIALLCVQDHAQDRPDIPAVILMLSNDSSSLAMPRPPTLMLRGRATESSKSSDEKSHSIGTISMTQLHGR* >Brasy6G117500.2.p pacid=40048418 transcript=Brasy6G117500.2 locus=Brasy6G117500 ID=Brasy6G117500.2.v1.1 annot-version=v1.1 MAASPALFALLACLCGALAVAVTASDTLKQGESLTVSATLVSSPTGVFEAGFYAPDPKQPARLYLCIWYRGIQPRTVAWVANRANADGAPLLWSSNTTTRAAPRGGYSAVILDTGSFQVRDVDGTEIWDSFWHPSDTMLSGMRISVNAQGKGPAERMLFTSWASETDPSPGRYALGLDPVNPNQAYIWRDGNVPVWRSGQWTGLNFVGIPYRPLYVYGYKQGNDQTLGTYFTYTATNTSLQRFVVTPDGKDVCYMVEKSTQEWETVWMQPLNECEYYATCGSNAICTVVQDRKAKCTCLKGFQPKSLDQWNAGNRSQGCVRNPPLGCQVNQTGDGFLSIQNVKWPDFSYWVSGVTDEIGCMNSCQQNCSCGAYVYMTTLTGCLHWGSELIDVYQFQTGGYALNLKLPASELRERHTIWKIATIVSAVVLFLLVVCLFLWWKRGRNIKDAVHTSWRSRRSSTRSQQSAGMQDITHSIPFDDETEDGKSHELKVLSLDRIKAATSNFSKSNKLGEGGFGPVYLGILPDGEEVAVKRLCKNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFIFNSEKQGLLDWRMRFDIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDTDMNPKISDFGMARIFGGDENQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHGQQDSLNIAGYAWRQWNEDKCEELIDPSIRSSCSVRQVMRCIHIALLCVQDHAQDRPDIPAVILMLSNDSSSLAMPRPPTLMLRGRATESSKSSDEKSHSIGTISMTQLHGR* >Brasy6G047100.1.p pacid=40048419 transcript=Brasy6G047100.1 locus=Brasy6G047100 ID=Brasy6G047100.1.v1.1 annot-version=v1.1 MQVIMPPSSKIMLAAFLLLILSSGAAAHGRNKRRLVSSDSEDEPCKKMTLYYHDILYEAVPSNETANATSSAAALPTAMSNATYFGMLVVFDDPVTESKALPMAAEDVAARAQGFYFYDGKAKFNAWFAMSLVFNSTGSRGTLNLMGADLMDQKTRDISVVGGTGDFFMALGVATLRTDATEGFFYFRLQMDIKLYQCYL* >Brasy6G212300.1.p pacid=40048420 transcript=Brasy6G212300.1 locus=Brasy6G212300 ID=Brasy6G212300.1.v1.1 annot-version=v1.1 MATAADTAAESVAAVASRDHPASPQPLAGRVAIVTGASRGIGRAIAAHLSSLGASLVLGYASSASAAEQLAASLLPKGSAVAVKADVSDDSGARALFDAAESAFGRPAQILVANAGVLDDKYPSLAATATADFDRVFSVNARGAFLCLREAANRIPFALGGGAGGRIVAVTSSVVASLPEGYAAYTASKAAVEAMVRTMAKELRGTRVTANCVAPGATATDMFFAGKSEETVRRAAEGNPMGRIGVAGDIAPVVGFLCTDAAEWVNGQVIRANGGYV* >Brasy6G191500.1.p pacid=40048421 transcript=Brasy6G191500.1 locus=Brasy6G191500 ID=Brasy6G191500.1.v1.1 annot-version=v1.1 MEPSAGGDSASAAAAAAASSSGPSTSASSSSSSGAAASAGGASANHYLAKRVLHGSAVQHVARGHFRSEHLSEVVLCKETSLELVVVGEDGVLQSLCEQSTFGIIKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCEMHRFIAIGNIELSKPGNMRDQLGRILAINQDSAWVAVSAYEDEFAIVHVDRSPHVYGPNKEIVERAKISHAVYKTNDICGTVWSMCFMRTHCSMEDYFPVVAMVINRKGSDVNDLAMYGLVPNDGGIQHISYFSEPGPLALEVAEIPQLGGFAVLFRAGDILILDLRDPKDISCTNSISMTGSLAGEQISVEDSCRGLDVDDDVAACALLELRDSGNNIMIDDNYMAIDGVDNLGSMKSRIICSWSWEPEAARGRPRLIFCLDNGEYHILEFNWDTEGVKVLPESVHRGLPCKPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSSVQNVGPILDLAIADYHGEKQDQMFSCSGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDMYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLIADGVLVQIHSKGVKLCLPTAYAHPEGAPLTSPVCVDWYPDVTISVGAVGHNIIAVATSNPCCLYILSVRPLSSFQYELYEIQHVQLRYEVSCISIPEEDSRRSPVAVRRAFGREKRNNLPAKVDVRMFAVIGTHKPSVEVISLEPGEAFMLLSIGSISVNNAFGAPVSGCIPESVRFVASERFYILAGLRNGMLLRFESETSEEHYLPDSFYKESSTHSVNTLLQLIAMRHIGITPVGLVPLRDSANSDIILLSDRSWLLHASRHSLAYSSISFLPASHVTPVSLMDCPSGLLFVAENCLHLVEMVHGKRLNAQKLSIEGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETAKCIQIAKIGNEQVLIVGTSKSTDRPMMPNGEAESSIKGRLIVLSLDTLGSPRESSSFVPTSNLSSSSHTGSFPEIVGYATEEFSSNSMCSSPDDVCYNHIQHEQMAGHMRTLTHVTFAGAVLAVYPYLDRYVVAAAGNALCVFGFVNENPHRMKKYAISRTRFTITCLKTYASRIAAGDCRDGVLFYSYHENLRKLELIYADPAQRLVGDVALLNCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVRCSFFMGEIAMSIQKAAFKYRLPIDDETDPVLESAYNCVVASTLLGSVFVMIPLTSEEHHLLQDVQERLSLHPLTAPILGNDHAEFRRRGIPSGIPPILDGDMLVQFLELTGEQQQAVLNDMPSEKGPHRSISVFQVMRTLERLHYALN* >Brasy6G191500.2.p pacid=40048422 transcript=Brasy6G191500.2 locus=Brasy6G191500 ID=Brasy6G191500.2.v1.1 annot-version=v1.1 MEPSAGGDSASAAAAAAASSSGPSTSASSSSSSGAAASAGGASANHYLAKRVLHGSAVQHVARGHFRSEHLSEVVLCKETSLELVVVGEDGVLQSLCEQSTFGIIKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCEMHRFIAIGNIELSKPGNMRDQLGRILAINQDSAWVAVSAYEDEFAIVHVDRSPHVYGPNKEIVERAKISHAVYKTNDICGTVWSMCFMRTHCSMEDYFPVVAMVINRKGSDVNDLAMYGLVPNDGGIQHISYFSEPGPLALEVAEIPQLGGFAVLFRAGDILILDLRDPKDISCTNSISMTGSLAGEQISVEDSCRGLDVDDDVAACALLELRDSGNNIMIDDNYMAIDGVDNLGSMKSRIICSWSWEPEAARGRPRLIFCLDNGEYHILEFNWDTEGVKVLPESVHRGLPCKPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSSVQNVGPILDLAIADYHGEKQDQMFSCSGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDMYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLIADGVLVQIHSKGVKLCLPTAYAHPEGAPLTSPVCVDWYPDVTISVGAVGHNIIAVATSNPCCLYILSVRPLSSFQYELYEIQHVQLRYEVSCISIPEEDSRRSPVAVRRAFGREKRNNLPAKVDVRMFAVIGTHKPSVEVISLEPGEAFMLLSIGSISVNNAFGAPVSGCIPESVRFVASERFYILAGLRNGMLLRFESETSEEHYLPDSFYKESSTHSVNTLLQLIAMRHIGITPVGLVPLRDSANSDIILLSDRSWLLHASRHSLAYSSISFLPASHVTPVSLMDCPSGLLFVAENCLHLVEMVHGKRLNAQKLSIEGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETAKCIQIAKIGNEQVLIVGTSKSTDRPMMPNGEAESIKGRLIVLSLDTLGSPRESSSFVPTSNLSSSSHTGSFPEIVGYATEEFSSNSMCSSPDDVCYNHIQHEQMAGHMRTLTHVTFAGAVLAVYPYLDRYVVAAAGNALCVFGFVNENPHRMKKYAISRTRFTITCLKTYASRIAAGDCRDGVLFYSYHENLRKLELIYADPAQRLVGDVALLNCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVRCSFFMGEIAMSIQKAAFKYRLPIDDETDPVLESAYNCVVASTLLGSVFVMIPLTSEEHHLLQDVQERLSLHPLTAPILGNDHAEFRRRGIPSGIPPILDGDMLVQFLELTGEQQQAVLNDMPSEKGPHRSISVFQVMRTLERLHYALN* >Brasy6G191500.3.p pacid=40048423 transcript=Brasy6G191500.3 locus=Brasy6G191500 ID=Brasy6G191500.3.v1.1 annot-version=v1.1 MEPSAGGDSASAAAAAAASSSGPSTSASSSSSSGAAASAGGASANHYLAKRVLHGSAVQHVARGHFRSEHLSEVVLCKETSLELVVVGEDGVLQSLCEQSTFGIIKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCEMHRFIAIGNIELSKPGNMRDQLGRILAINQDSAWVAVSAYEDEFAIVHVDRSPHVYGPNKEIVERAKISHAVYKTNDICGTVWSMCFMRTHCSMEDYFPVVAMVINRKGSDVNDLAMYGLVPNDGGIQHISYFSEPGPLALEVAEIPQLGGFAVLFRAGDILILDLRDPKDISCTNSISMTGSLAGEQISVEDSCRGLDVDDDVAACALLELRDSGNNIMIDDNYMAIDGVDNLGSMKSRIICSWSWEPEAARGRPRLIFCLDNGEYHILEFNWDTEGVKVLPESVHRGLPCKPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSSVQNVGPILDLAIADYHGEKQDQMFSCSGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDMYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLIADGVLVQIHSKGVKLCLPTAYAHPEGAPLTSPVCVDWYPDVTISVGAVGHNIIAVATSNPCCLYILSVRPLSSFQYELYEIQHVQLRYEVSCISIPEEDSRRSPVAVRRAFGREKRNNLPAKVDVRMFAVIGTHKPSVEVISLEPGEAFMLLSIGSISVNNAFGAPVSGCIPESVRFVASERFYILAGLRNGMLLRFESETSEEHYLPDSFYKESSTHSVNTLLQLIAMRHIGITPVGLVPLRDSANSDIILLSDRSWLLHASRHSLAYSSISFLPASHVTPVSLMDCPSGLLFVAENCLHLVEMVHGKRLNAQKLSIEGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETAKCIQIAKIGNEQVLIVGTSKSTDRPMMPNGEAESIKGRLIVLSLDTLGSPRESSSFVPTSNLSSSSHTGSFPEIVGYATEEFSSNSMCSSPDDVCYNHIQHEQMAGHMRTLTHVTFAGAVLAVYPYLDRYVVAAAGNALCVFGFVNENPHRMKKYAISRTRFTITCLKTYASRIAAGDCRDGVLFYSYHENLRKLELIYADPAQRLVGDVALLNCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVRCSFFMGEIAMSIQKAAFKYRLPIDDETDPVLESAYNCVVASTLLGSVFVMIPLTSEEHHLLQDVQERLSLHPLTAPILGNDHAEFRRRGIPSGIPPILDGDMLVQFLELTGEQQQAVLNDMPSEKGPHRSISVFQVMRTLERLHYALN* >Brasy6G191500.4.p pacid=40048424 transcript=Brasy6G191500.4 locus=Brasy6G191500 ID=Brasy6G191500.4.v1.1 annot-version=v1.1 MEPSAGGDSASAAAAAAASSSGPSTSASSSSSSGAAASAGGASANHYLAKRVLHGSAVQHVARGHFRSEHLSEVVLCKETSLELVVVGEDGVLQSLCEQSTFGIIKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCEMHRFIAIGNIELSKPGNMRDQLGRILAINQDSAWVAVSAYEDEFAIVHVDRSPHVYGPNKEIVERAKISHAVYKTNDICGTVWSMCFMRTHCSMEDYFPVVAMVINRKGSDVNDLAMYGLVPNDGGIQHISYFSEPGPLALEVAEIPQLGGFAVLFRAGDILILDLRDPKDISCTNSISMTGSLAGEQISVEDSCRGLDVDDDVAACALLELRDSGNNIMIDDNYMAIDGVDNLGSMKSRIICSWSWEPEAARGRPRLIFCLDNGEYHILEFNWDTEGVKVLPESVHRGLPCKPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSSVQNVGPILDLAIADYHGEKQDQMFSCSGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDMYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLIADGVLVQIHSKGVKLCLPTAYAHPEGAPLTSPVCVDWYPDVTISVGAVGHNIIAVATSNPCCLYILSVRPLSSFQYELYEIQHVQLRYEVSCISIPEEDSRRSPVAVRRAFGREKRNNLPAKVDVRMFAVIGTHKPSVEVISLEPGEAFMLLSIGSISVNNAFGAPVSGCIPESVRFVASERFYILAGLRNGMLLRFESETSEEHYLPDSFYKESSTHSVNTLLQLIAMRHIGITPVGLVPLRDSANSDIILLSDRSWLLHASRHSLAYSSISFLPASHVTPVSLMDCPSGLLFVAENCLHLVEMVHGKRLNAQKLSIEGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETAKCIQIAKIGNEQVLIVGTSKSTDRPMMPNGEAESSIKGRLIVLSLDTLGSPRESSSFVPTSNLSSSSHTGSFPEIVGYATEEFSSNSMCSSPDDVCYNHIQHEQMAGHMRTLTHVTFAGAVLAVYPYLDRYVVAAAGNALCVFGFVNENPHRMKKYAISRTRFTITCLKTYASRIAAGDCRDGVLFYSYHENLRKLELIYADPAQRLVGDVALLNCETAVVSDRRGSISVLSCPRLEGCI* >Brasy6G191500.5.p pacid=40048425 transcript=Brasy6G191500.5 locus=Brasy6G191500 ID=Brasy6G191500.5.v1.1 annot-version=v1.1 MEPSAGGDSASAAAAAAASSSGPSTSASSSSSSGAAASAGGASANHYLAKRVLHGSAVQHVARGHFRSEHLSEVVLCKETSLELVVVGEDGVLQSLCEQSTFGIIKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCEMHRFIAIGNIELSKPGNMRDQLGRILAINQDSAWVAVSAYEDEFAIVHVDRSPHVYGPNKEIVERAKISHAVYKTNDICGTVWSMCFMRTHCSMEDYFPVVAMVINRKGSDVNDLAMYGLVPNDGGIQHISYFSEPGPLALEVAEIPQLGGFAVLFRAGDILILDLRDPKDISCTNSISMTGSLAGEQISVEDSCRGLDVDDDVAACALLELRDSGNNIMIDDNYMAIDGVDNLGSMKSRIICSWSWEPEAARGRPRLIFCLDNGEYHILEFNWDTEGVKVLPESVHRGLPCKPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSSVQNVGPILDLAIADYHGEKQDQMFSCSGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDMYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLIADGVLVQIHSKGVKLCLPTAYAHPEGAPLTSPVCVDWYPDVTISVGAVGHNIIAVATSNPCCLYILSVRPLSSFQYELYEIQHVQLRYEVSCISIPEEDSRRSPVAVRRAFGREKRNNLPAKVDVRMFAVIGTHKPSVEVISLEPGEAFMLLSIGSISVNNAFGAPVSGCIPESVRFVASERFYILAGLRNGMLLRFESETSEEHYLPDSFYKESSTHSVNTLLQLIAMRHIGITPVGLVPLRDSANSDIILLSDRSWLLHASRHSLAYSSISFLPASHVTPVSLMDCPSGLLFVAENCLHLVEMVHGKRLNAQKLSIEGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETAKCIQIAKIGNEQVLIVGTSKSTDRPMMPNGEAESIKGRLIVLSLDTLGSPRESSSFVPTSNLSSSSHTGSFPEIVGYATEEFSSNSMCSSPDDVCYNHIQHEQMAGHMRTLTHVTFAGAVLAVYPYLDRYVVAAAGNALCVFGFVNENPHRMKKYAISRTRFTITCLKTYASRIAAGDCRDGVLFYSYHENLRKLELIYADPAQRLVGDVALLNCETAVVSDRRGSISVLSCPRLEGCI* >Brasy6G125000.1.p pacid=40048426 transcript=Brasy6G125000.1 locus=Brasy6G125000 ID=Brasy6G125000.1.v1.1 annot-version=v1.1 MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF* >Brasy6G060000.1.p pacid=40048427 transcript=Brasy6G060000.1 locus=Brasy6G060000 ID=Brasy6G060000.1.v1.1 annot-version=v1.1 MRSDGIKGASSSPWRSLLGGCLGGAAGCGANPQSRKKVRPRGSGSGSGTGGGMRRLSFTDLSGGGAEDLSVSLVGSNLHVFTVAELRAATREFDDANFLGEGGFGPVYKGFVDGAVMKGLKPQHIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMAHGSLENHLFQHVPATLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDDGAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKSRPSREQNLVEYARPGLRDPLRLARRIMDPALENRYPARAAQRAALVAHQCLSGSPKNRPDMSAVVEALEPLLKVSMEDDVAGAGVGPVVLFVAAPEEEKKERKEERARTLQSRHRRRLRSPKMSPRKRGAAQKEEFWVWHVPADDDKA* >Brasy6G016600.1.p pacid=40048428 transcript=Brasy6G016600.1 locus=Brasy6G016600 ID=Brasy6G016600.1.v1.1 annot-version=v1.1 MASASCLVLLVAVAMAMASAASGQLSSTFYDTSCPKALDTIKTAVTAAVSSEARMGASLLRLHFHDCFVDGCDASVLLADTGSFVGEQTAAPNAGSIRGLNVIDNIKTQVEAVCKQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASKTNAENDLPPPTFDLKNLTDNFANKQLSVTDMVALSGAHTIGQSQCLNFRDRIYNETNIDAAFAASLKSNCPRSTASGNTSLAPLDVATPTAFDNTYFVNLQANKGLLHSDQVLFNGGGTDNTVRSFASNPAAFSAAFVTAMVNMGNIGPKSGSQGQIRLSCSKVNS* >Brasy6G193800.1.p pacid=40048429 transcript=Brasy6G193800.1 locus=Brasy6G193800 ID=Brasy6G193800.1.v1.1 annot-version=v1.1 MEPEPRERGLCEAVRVSAATASPCRCVPLLLPCSLKKSSRALTRRAWPPTPPHPRPAQGQEPPPTPQMPPPSPSPCPRRCLFFSLLSASFLALGAGGAGAGDADAAAMQALRRGLAPPDWAAADHCSWRGVTCDGAAGAVTAIDLPRRGLRGDFSAAAGLHALERLDLSFNALAGGVPAALGALARLELLDLSMNKLSGPIPPALGAAVGLKFLNLSNNALSGSIPDELRGLKGLQELQISGNNLTGAIPAWLAGLPGLRVLSAYENALSGPIPPGLGLSSKLQVLNLHSNGLEGNIPSSLFDLGTLQVLILTVNRLNGTIPDTIGRCLGLSNVRIGNNRLAGTIPASIGDVTSLTYFEADSNQLSGAIPAQFARCANLTLLNLAYNRLAGEVPDMLGKLRNLQELIISGNGLGGEFPRSILRCRNLSKLDLSYNAFRGVLPETICNGSRMQFLVLDHNEFSGAIPRGIGGCSRLLELQLANNNLSGDIPAEMGKIKSLQISLNLSFNHLSGPLPRELGRLDKLVALDLSSNQISGEIPGDMRGMMSLIVVNLSNNRLRGDIPVFGPFQKSSGSSFSGNAKLCGDPLDVDCGSIYGSNYGLDHRKVSSRVALAVVGSCVLIFSVVSLVVTLFMWRERQEKEADAKKADAGEVIVEAPQVMASSVFIESLQQAIDFQSCVKATFKDANALRSGTFSTTYKAVMPSGMVVCVKKLKSVDRAVIHHQSKMIRELERLAHINHPNLVRPIGYVIYEDVALLLQYHMPNGTLLQLLHNSNNCDSDIQKPDWPKLLSIAIDVAEGLAFLHQVATIHLDISSGNVFLDSHYNGLLGEVEISKLLDPSKGTASISAVAGTFGYIPPEYAYTMQVTVPGNVYSFGVLLLEILTSKMPVDEEFGEGVDLVKWVHTAPARGETPEQIMDPRLSTMSFAWRRQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS* >Brasy6G050800.1.p pacid=40048430 transcript=Brasy6G050800.1 locus=Brasy6G050800 ID=Brasy6G050800.1.v1.1 annot-version=v1.1 MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKSPRRIFEGEALLRRMNRYGLLAEDQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVATEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGGDGDEEEE* >Brasy6G056900.1.p pacid=40048431 transcript=Brasy6G056900.1 locus=Brasy6G056900 ID=Brasy6G056900.1.v1.1 annot-version=v1.1 MTGAAMKRGMSGAVGIGAGAVLLFLATASLGANANTDSNDVTALNVFYTTMNSPPQLKNWVSQNGDPCGQSWNGITCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLNLAHNQISTISDMFNQLTNLTTMDLSYNAFSANIPQSFSSLTSLTTLYLQNNQFSGTIDVLANLPLTDLNIANNRFTGWVPDKLKKIKTLQTAGNSFSNGPAPPPPPGTTSPPQGPQRPALPSRNGNNGSSDSGSEHSKLKGGAVAGIIICLLVVSAIVAFFVIKRKSWKLSRGRDPEQNEPLSPLASGLKQMKSIKIISTIGKDQLQKTVSMSLKPPTKIDMHKSFDENDLTSKSVTRKISLSSIRTPAYTVADLQVATGSFNANNFISEGSFGRVFKAQLNDQKVLAVKKINFSAFPSYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLVYEFYENGSLHDLLNLVDDQSKPLSWNNRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGYADLIPNQEFQESEENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSMSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANITRMSSSENYARRHCESGDYVL* >Brasy6G056900.2.p pacid=40048432 transcript=Brasy6G056900.2 locus=Brasy6G056900 ID=Brasy6G056900.2.v1.1 annot-version=v1.1 MTGAAMKRGMSGAVGIGAGAVLLFLATASLGANANTDSNDVTALNVFYTTMNSPPQLKNWVSQNGDPCGQSWNGITCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLNLAHNQISTISDMFNQLTNLTTMDLSYNAFSANIPQSFSSLTSLTTLYLQNNQFSGTIDVLANLPLTDLTAGNSFSNGPAPPPPPGTTSPPQGPQRPALPSRNGNNGSSDSGSEHSKLKGGAVAGIIICLLVVSAIVAFFVIKRKSWKLSRGRDPEQNEPLSPLASGLKQMKSIKIISTIGKDQLQKTVSMSLKPPTKIDMHKSFDENDLTSKSVTRKISLSSIRTPAYTVADLQVATGSFNANNFISEGSFGRVFKAQLNDQKVLAVKKINFSAFPSYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLVYEFYENGSLHDLLNLVDDQSKPLSWNNRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGYADLIPNQEFQESEENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSMSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANITRMSSSENYARRHCESGDYVL* >Brasy6G056900.3.p pacid=40048433 transcript=Brasy6G056900.3 locus=Brasy6G056900 ID=Brasy6G056900.3.v1.1 annot-version=v1.1 MTGAAMKRGMSGAVGIGAGAVLLFLATASLGANANTDSNDVTALNVFYTTMNSPPQLKNWVSQNGDPCGQSWNGITCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLNLAHNQISTISDMFNQLTNLTTMDLSYNAFSANIPQSFSSLTSLTTLTAGNSFSNGPAPPPPPGTTSPPQGPQRPALPSRNGNNGSSDSGSEHSKLKGGAVAGIIICLLVVSAIVAFFVIKRKSWKLSRGRDPEQNEPLSPLASGLKQMKSIKIISTIGKDQLQKTVSMSLKPPTKIDMHKSFDENDLTSKSVTRKISLSSIRTPAYTVADLQVATGSFNANNFISEGSFGRVFKAQLNDQKVLAVKKINFSAFPSYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLVYEFYENGSLHDLLNLVDDQSKPLSWNNRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGYADLIPNQEFQESEENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSMSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANITRMSSSENYARRHCESGDYVL* >Brasy6G056900.5.p pacid=40048434 transcript=Brasy6G056900.5 locus=Brasy6G056900 ID=Brasy6G056900.5.v1.1 annot-version=v1.1 MNSPPQLKNWVSQNGDPCGQSWNGITCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLNLAHNQISTISDMFNQLTNLTTMDLSYNAFSANIPQSFSSLTSLTTLYLQNNQFSGTIDVLANLPLTDLNIANNRFTGWVPDKLKKIKTLQTAGNSFSNGPAPPPPPGTTSPPQGPQRPALPSRNGNNGSSDSGSEHSKLKGGAVAGIIICLLVVSAIVAFFVIKRKSWKLSRGRDPEQNEPLSPLASGLKQMKSIKIISTIGKDQLQKTVSMSLKPPTKIDMHKSFDENDLTSKSVTRKISLSSIRTPAYTVADLQVATGSFNANNFISEGSFGRVFKAQLNDQKVLAVKKINFSAFPSYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLVYEFYENGSLHDLLNLVDDQSKPLSWNNRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGYADLIPNQEFQESEENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSMSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANITRMSSSENYARRHCESGDYVL* >Brasy6G056900.4.p pacid=40048435 transcript=Brasy6G056900.4 locus=Brasy6G056900 ID=Brasy6G056900.4.v1.1 annot-version=v1.1 MTGAAMKRGMSGAVGIGAGAVLLFLATASLGANANTDSNDVTALNVFYTTMNSPPQLKNWVSQNGDPCGQSWNGITCSGSRVTTIKLSGMGLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLTAGNSFSNGPAPPPPPGTTSPPQGPQRPALPSRNGNNGSSDSGSEHSKLKGGAVAGIIICLLVVSAIVAFFVIKRKSWKLSRGRDPEQNEPLSPLASGLKQMKSIKIISTIGKDQLQKTVSMSLKPPTKIDMHKSFDENDLTSKSVTRKISLSSIRTPAYTVADLQVATGSFNANNFISEGSFGRVFKAQLNDQKVLAVKKINFSAFPSYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLVYEFYENGSLHDLLNLVDDQSKPLSWNNRVKIALGSARALEYLHETCSPSVIHKNFKSSNILLDNELNPHLSDSGYADLIPNQEFQESEENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSMSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANITRMSSSENYARRHCESGDYVL* >Brasy6G050100.1.p pacid=40048436 transcript=Brasy6G050100.1 locus=Brasy6G050100 ID=Brasy6G050100.1.v1.1 annot-version=v1.1 MLFSCCSSNCKCENRCANKSFQLRPLKKTKLIKTEKCGFGLVADDGIHQGEFIIEYVGEVIDDKTCEERLWKMKRQRYTNFYLCEVSSNMVIDATNKGNKSRFINHSCQPNTEMQKWTVDGETRVGIFALHDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMVGTSKSVNSIVLHNGNSGSSQDQHIVKKRKTTSDNCIGEIIRLWDRRDKMYVPAVIYDFDEYTAMHTLLFDEEATQKIDLGEEDWNFLPRPEDPDED* >Brasy6G021400.1.p pacid=40048437 transcript=Brasy6G021400.1 locus=Brasy6G021400 ID=Brasy6G021400.1.v1.1 annot-version=v1.1 MVDTRRSSAAKRGPSSSEEPATPTPPASDSASAQAAPAAKEEAVAPPRSRSGKRAKAAAETTAAAAEATARETTTAAAADVLDTSNDNLRGSTRPVPASSTVSNSATVSNSGVRKRRATRRCSRLGEWTSVEEMPAWKASQPSAGGRAEPWGRLISLSPSPQFPTVPIYSTHFTVGHGLKCDLRLADSYPGVHVCKLKHVRRGASLEVYVSKVVHVNGKALDKAAKVTLIGGDEVTFSPVGRHAYIFQKLPGEKSSTSTFSPLCGVQHGQYPVVGSLLSKRAKLSVPFSFEYGRPPLVPHDTEIVSSLCKTMEEQSQYSSEENVSFAQHQLLKEDLKKAVLSASDIPESFDSFPYYLSENTKSSLVTTAHVNLFHKEAMEWTKLITFISQRVLLSGPAGSEIYQEILVNALTKYFGARLLVVDSSLLLGGQSSKSKESVLYKKGDRVRYTGYSQSSRFIYEGQRPPDYGAQGEVRLSFEENGSSKVGVRFDKQILGGIDLGGNCEVDHGFFCAVESLCLDGPGWEDRAKHPFDVIFEFASEESEHGPLILFLKDVETVCGNSYSYHGLKSKLENFPAGVLIIGSQTQADTRKDKLNNGSPFLSKFPYSQAAILDLAFQDSFGRVNDKNKEAVKTAKHVTKLFPNKVTIQPPQDELELSQWKKQLDRDVEVLKAKANISKVQSFLNRHKLECTDLERTLCVKDRILTNECVDKIVGYALTHQVTKCTIPTPGKDVFALSAESLQHGVDLSESMQNDHKKKSTKKSLKDIATENEFEKKLLGDVIPPEEIGVTFDDIGALENVKETLMELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKAKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRKKILSVILAKEDMADDVDLEALANLTEGYSGSDLKNLCITAANRPIREILEKEKKERSLAEAENKPLPPKYSSSDIRPLKLSDLKHAHEQVCASISSDSTNMNALIQWNDLYGEGGSRKKTPLSYFM* >Brasy6G021400.2.p pacid=40048438 transcript=Brasy6G021400.2 locus=Brasy6G021400 ID=Brasy6G021400.2.v1.1 annot-version=v1.1 MVDTRRSSAAKRGPSSSEEPATPTPPASDSASAQAAPAAKEEAVAPPRSRSGKRAKAAAETTAAAAEATARETTTAAAADVLDTSNDNLRGSTRPVPASSTVSNSATVSNSGVRKRRATRRCSRLGEWTSVEEMPAWKASQPSAGGRAEPWGRLISLSPSPQFPTVPIYSTHFTVGHGLKCDLRLADSYPGVHVCKLKHVRRGASLEVYVSKVVHVNGKALDKAAKVTLIGGDEVTFSPVGRHAYIFQKLPGEKSSTSTFSPLCGVQHGQYPVVGSLLSKRAKLSVPFSFEYGRPPLVPHEIVSSLCKTMEEQSQYSSEENVSFAQHQLLKEDLKKAVLSASDIPESFDSFPYYLSENTKSSLVTTAHVNLFHKEAMEWTKLITFISQRVLLSGPAGSEIYQEILVNALTKYFGARLLVVDSSLLLGGQSSKSKESVLYKKGDRVRYTGYSQSSRFIYEGQRPPDYGAQGEVRLSFEENGSSKVGVRFDKQILGGIDLGGNCEVDHGFFCAVESLCLDGPGWEDRAKHPFDVIFEFASEESEHGPLILFLKDVETVCGNSYSYHGLKSKLENFPAGVLIIGSQTQADTRKDKLNNGSPFLSKFPYSQAAILDLAFQDSFGRVNDKNKEAVKTAKHVTKLFPNKVTIQPPQDELELSQWKKQLDRDVEVLKAKANISKVQSFLNRHKLECTDLERTLCVKDRILTNECVDKIVGYALTHQVTKCTIPTPGKDVFALSAESLQHGVDLSESMQNDHKKKSTKKSLKDIATENEFEKKLLGDVIPPEEIGVTFDDIGALENVKETLMELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKAKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRKKILSVILAKEDMADDVDLEALANLTEGYSGSDLKNLCITAANRPIREILEKEKKERSLAEAENKPLPPKYSSSDIRPLKLSDLKHAHEQVCASISSDSTNMNALIQWNDLYGEGGSRKKTPLSYFM* >Brasy6G256900.1.p pacid=40048439 transcript=Brasy6G256900.1 locus=Brasy6G256900 ID=Brasy6G256900.1.v1.1 annot-version=v1.1 MPSSPLSASPHPDYFMPSSPLSASLINPREPSLLPPSRRRRLPCALAISARSSQAGGKLKHDFPVVSWPFLGYCPQIRFQHGTFVPSLLLLLRITELVDEEKLPVQSINTAWCGPWWAMRGQYAEIRKHYPKIPVHKVDRDTFMQGLAGGTQTDRLILDIMRPPLPFWFTRCVRVKEEFEGPEMVRQTILLVGGDVEKLEAAAKRINKSSSFI* >Brasy6G256900.2.p pacid=40048440 transcript=Brasy6G256900.2 locus=Brasy6G256900 ID=Brasy6G256900.2.v1.1 annot-version=v1.1 MPSSPLSASPHPDYFMPSSPLSASLINPREPSLLPPSRRRRLPCALAISARSSQGGKLKHDFPVVSWPFLGYCPQIRFQHGTFVPSLLLLLRITELVDEEKLPVQSINTAWCGPWWAMRGQYAEIRKHYPKIPVHKVDRDTFMQGLAGGTQTDRLILDIMRPPLPFWFTRCVRVKEEFEGPEMVRQTILLVGGDVEKLEAAAKRINKSSSFI* >Brasy6G256900.3.p pacid=40048441 transcript=Brasy6G256900.3 locus=Brasy6G256900 ID=Brasy6G256900.3.v1.1 annot-version=v1.1 MPSSPLSASPHPDYFMPSSPLSASLINPREPSLLPPSRRRRLPCALAISARSSQGGKLKHDFPVVSWPFLGYCPQIRFQHGTFVPSLLLLLRITELDEEKLPVQSINTAWCGPWWAMRGQYAEIRKHYPKIPVHKVDRDTFMQGLAGGTQTDRLILDIMRPPLPFWFTRCVRVKEEFEGPEMVRQTILLVGGDVEKLEAAAKRINKSSSFI* >Brasy6G184200.1.p pacid=40048442 transcript=Brasy6G184200.1 locus=Brasy6G184200 ID=Brasy6G184200.1.v1.1 annot-version=v1.1 MGIHEPYTFNGRRRTGRGGAASSNRTGSTATAAGRSGEEDADGDEGTGRRVREEAGGQGRRPTGRSRRGGGRRAGEEADGEEPQGRRPAGRGGGRRGGAAGEEAGGQGAGRRRGEEPRGGGAGRRRGEEARGGGGRRQGARRGEEAQGGGTGEEVDGGGELGAGRRARGEGAGRRRGERARGGGTGS* >Brasy6G105800.1.p pacid=40048443 transcript=Brasy6G105800.1 locus=Brasy6G105800 ID=Brasy6G105800.1.v1.1 annot-version=v1.1 MVLCQGLSAGHAQLFAFPSAKHKPSLLRRSCLRIPSGSLSLKSVRLQSESKNFSVRAVRAGTDFLQNDTLTSFPRMSSIKGIPPLLTRHNQRRRSEVGCRASSLASFSYPELTAKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLLPGWLLLVIFMTVYFFVVRRKWSPHFMRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR* >Brasy6G018100.1.p pacid=40048444 transcript=Brasy6G018100.1 locus=Brasy6G018100 ID=Brasy6G018100.1.v1.1 annot-version=v1.1 MASSSKLLQAVVLLAATTMIIPAAASLRLPGAAGKAKTMFQKAKVVVAGAVPCSTGSLIDIATSPAFPNAEVELRCAGQVVAGATTSTNGTFTMELQLTGAAAVAVEAFVGGCSLVVDTPLVKCNATLPPAGELVSHLQGPLARLLGGVFHLFPAGFSFHPR* >Brasy6G052300.1.p pacid=40048445 transcript=Brasy6G052300.1 locus=Brasy6G052300 ID=Brasy6G052300.1.v1.1 annot-version=v1.1 MSTPADAAAAAVVQVKTSDGEVVKLPLEIAKQLKPIGDMIDGGGGAASTLMTISLPEVHSANLARAVEYCEKHRPHQLAGNGSDDDDVRSWGKELVGGLDSDGLYGLTTAASFLGLEVPWAFHD* >Brasy6G131100.1.p pacid=40048446 transcript=Brasy6G131100.1 locus=Brasy6G131100 ID=Brasy6G131100.1.v1.1 annot-version=v1.1 MAVPLKKPLCVLLLAFLCLRCLVHAHHDDDYGPRGFGHGPRGYGRGPKFGRGPFGRDCRFGRCRGGGGGFGGGGGGGGGLGGGGGGGLGGGGGLGGGGGLGGGAGVGGGGGGGGLGGGGGAGGGLGGGGGFGGGGGSGGGLGGGAGKGGGFGAGGGVGGGAGGGGGGFGGGGGGGMGGGGGLGGGGGKGGGFGAGGGMGGGSGGGGGLGGGGGGGMGGGGGLGGGGGKGGGFGAGGGVGGGGGLGGGAGGGMGGGGGGGLGGSGGKGGGFGAGGGVGGGGGLGGGAGGGMGGGGGGGMGGGGGLGGGGGKGGGFGAGGGVGGGGGLGGGAGGGMGGGGGGGMGGGGGLGGGGGKGGGFGAGGGVGGGGGLGGGGGGGMGGGGGGGTGGGFGSGARGGLGHGSGGGGGLGGGGGGSGGGAGGGAGAGGGGGR* >Brasy6G069100.1.p pacid=40048447 transcript=Brasy6G069100.1 locus=Brasy6G069100 ID=Brasy6G069100.1.v1.1 annot-version=v1.1 MSVGVDLKIRSHWSYGNRFPPNSHTKMRRNLRCAVSLLLLVANLGVGRAAPGELSVRPYDSIFSFGDSYADTGNNPVVFAANSVFDPVTRPPYGSAFFGGRPTGRNSNGRLIIDFVAQRLGLPLLPPSLAHNGSFRRGANFAVGGATALDAAFFHSQSKFPLNTSLGVQLEWFESLKPSICRTAQECEEFFGRSLFFVGEFGINDYHFSISVKSLQEIMSFVPDVVGTISMAIETLMNHGARSFVVPGMIPSGCAPPVLAMFAQSDPSKYNSTTGCLEDYNKLGMHHNLLLQEALEKLRKRHPDATIVYADLFGPIMEMVESPGKFGFEEDVLTICCGGPGTLWCGDEGAKLCEKPSARLFWDGVHLTEAAYGYIANGWLHSIAPLQDRAVSS* >Brasy6G069100.3.p pacid=40048448 transcript=Brasy6G069100.3 locus=Brasy6G069100 ID=Brasy6G069100.3.v1.1 annot-version=v1.1 MCDLFHSQVPPNSHTKMRRNLRCAVSLLLLVANLGVGRAAPGELSVRPYDSIFSFGDSYADTGNNPVVFAANSVFDPVTRPPYGSAFFGGRPTGRNSNGRLIIDFVAQRLGLPLLPPSLAHNGSFRRGANFAVGGATALDAAFFHSQSKFPLNTSLGVQLEWFESLKPSICRTAQECEEFFGRSLFFVGEFGINDYHFSISVKSLQEIMSFVPDVVGTISMAIETLMNHGARSFVVPGMIPSGCAPPVLAMFAQSDPSKYNSTTGCLEDYNKLGMHHNLLLQEALEKLRKRHPDATIVYADLFGPIMEMVESPGKFGFEEDVLTICCGGPGTLWCGDEGAKLCEKPSARLFWDGVHLTEAAYGYIANGWLHSIAPLQDRAVSS* >Brasy6G069100.2.p pacid=40048449 transcript=Brasy6G069100.2 locus=Brasy6G069100 ID=Brasy6G069100.2.v1.1 annot-version=v1.1 MSVGVDLKIRSHWSYGNRFPPNSHTKMRRNLRCAVSLLLLVANLGVGRAAPGELSVRPYDSIFSFGDSYADTGNNPVVFAANSVFDPVTRPPYGSAFFGGRPTGRNSNGRLIIDFVAQRLGLPLLPPSLAHNGSFRRGANFAVGGATALDAAFFHSQSKFPLNTSLGVQLEWFESLKPSICRTAQECEEFFGRSLFFVGEFGINDYHFSISVKSLQEIMSFVPDVVGTISMAIEVSKQRGTSKNADEPRGEEFRRSRDDPVRMRTAGSRHVRPV* >Brasy6G023200.1.p pacid=40048450 transcript=Brasy6G023200.1 locus=Brasy6G023200 ID=Brasy6G023200.1.v1.1 annot-version=v1.1 MVGNLTWLQCVPCNPKLKQEGPIFDPKTSPRYKHVGHDDLLCKAPFTPRPTEHRCNFNIRVNVHATVNGYLSRDGFTFDAGSGGQTTGVDGLVFGCAHAVHEWRNQGILAGVLSLNRRPTSFVRQLSAHGGGAASSTPRFSYCLVDTKVYRTKLGFLRFGADVPDHSRARSTALLYGEHDGGSGMYYVRLVGGKKLAGITPKMFQRDPRSHRGGCFVDLGHLVPGGAPYNVLEAGVAAYMESHGLHRTPTPGPGRLCVRATPEALPKLPGMTLHFAEDEAAGLEIKPGLLFAEAKYKGADYLCLAVQRGSEEAVIGSRHQVDTRFTFDLQESRLFFAPEECHKDTSTNH* >Brasy6G127800.1.p pacid=40048451 transcript=Brasy6G127800.1 locus=Brasy6G127800 ID=Brasy6G127800.1.v1.1 annot-version=v1.1 MGRPQRRVVAKQTRLKEADEIRLLEAWIDAGKPLPGTKPPPPSESSAADDDARASARKITAKAAAGEYSEYGACTRFDELPLSQKTKDALRKARYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWGPEDGVGCIILSPTNDLAGQIWDVFRKVGKFHSFSGGAIVKRTGIKEEKERINSLNIIVCTPGRLVQHFDETPNFDCSNLQMLVLDEADQMLHRDFQFQVDAIISQIPKVRQTLLFSATQTKSIKDLARVSLKNPEYVSVHEQASTATPENLEQCAMIVPLEQKLNMLWSFIKRHLKSKILVFLSSVKQVKFVYEIFKKLRPGVPLKCMHGRMKYEVQQAIVAEFNESTSVLFSTDIFARGLDIGNVDWVVQVDCPESIALYIHRVGRTARYNRKGKSLIFLCPEEERMLEKLKATESKIPIRCRKPKVEQLEQISQNIAAVLVKFPNLQQLGKRAFVTYLKSVYLQRDKGVFDLSRFSAESFAAYASSLGLPVTPKIRFVSHKKNVSKKDMEDTDVKQMQKKRKVIEINPQVNREMLADDGPDDDILKPKMPTADANICDGIEDVLYPKMPSRDTNTESEKVEELAIRPSKKKKLKINMHRPVGTRVKYDDEGNAIDPLASLAEEVGSDDVIHKDKISQRYAEMLREMQEDDKEDKAQHKKSLHEKKLQKKMKLKRKRQEETDAVSEDSGSESDRGRNTASKGKKKYFNNSDEDDDGIKDGDLLAQQEALALKLLGQMHG* >Brasy6G127800.2.p pacid=40048452 transcript=Brasy6G127800.2 locus=Brasy6G127800 ID=Brasy6G127800.2.v1.1 annot-version=v1.1 MGRPQRRVVAKQTRLKEADEIRLLEAWIDAGKPLPGTKPPPPSESSAADDDARASARKITAKAAAGEYSEYGACTRFDELPLSQKTKDALRKARYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWGPEDGVGCIILSPTNDLAGQIWDVFRKVGKFHSFSGGAIVKRTGIKEEKERINSLNIIVCTPGRLVQHFDETPNFDCSNLQMLVLDEADQMLHRDFQFQVDAIISQIPKVRQTLLFSATQTKSIKDLARVSLKNPEYVSVHEQASTATPENLEQCAMIVPLEQKLNMLWSFIKRHLKSKILVFLSSVKQVKFVYEIFKKLRPGVPLKCMHGRMKYEVQQAIVAEFNESTSVLFSTDIFARGLDIGNVDWVVQVDCPESIALYIHRVGRTARYNRKGKSLIFLCPEEERMLEKLKATESKIPIRCRKPKVEQLEQISQNIAAVLVKFPNLQQLGKRAFVTYLKSVYLQRDKGVFDLSRFSAESFAAYASSLGLPVTPKIRFVSHKKNVSKKDMEDTDVKQMQKKRKVIEINPQVNREMLADDGPDDDILKPKMPTADANICDGIEDVLYPKMPSRDTNTESEKVEELAIRPSKKKKLKINMHRPVGTRVKYDDEGNAIDPLASLAEEVGSDDVIHKDKISQRYAEMLREMQEDDKEDKAQHKKSLHEKKLQKKMKLKRKRQEETDAVSEDSGSESDRGRNTASKGKKKYFNNSDEDDDGIKDGDLLAQQEALALKLLGQMHG* >Brasy6G039500.1.p pacid=40048453 transcript=Brasy6G039500.1 locus=Brasy6G039500 ID=Brasy6G039500.1.v1.1 annot-version=v1.1 MANVAEHRVQMLPAAPPAAEEPEKLLNRFVRLVVPMERLGNALGTLAFTWATVVLLGGYPTVLDHLDFWSATALIFLEASRMFSPQNRLDYQLFFRTRGAFRSLGWNGLILLACFFNVIVCVHIWNNYATRRITPILIPVLVTGPFLCPEAARKFICNPLRRAISLWSPIVVILLLGPSVLHSIGEDFKIHKLSFKDSIAKLTMHLVLFVVVLLVIISRMQFPAMMVSTFEGNLRIVIITFNVLGLVILSCGNLQIPAAVARIYLAMVRLIRLHYYGDQENVDKEFLKDRTHLVTSLNIFYAMMLGQGILYTVAGILEIFSFIPRRSLIRHAGFKGHLGVEYVNLYYKYAFEKFMEGAVLASKKISIVIFAMDSLKSDSPKKQLSGLQILHSVLRKEPLKTKAISEITTSTKTMTSLIHMLGWTNERDKDIRSFAAKITAELADNLRVVPVPGAMRLIASLLDTVHEHKLKDTVLDIGRLEAKQDNLIQQVHRDEQASPMLKWLKKMAVYFLIPREEPTNMDEQNPHILRCWKQITKCWSIPEEPSTNQDPLPVLGMLILDKLASFDIENCIEISRATGLISKIIEFTSNNIDMTNMNEIHQTTLKGSSLKLLTRLASTEGKFGVTLRQNISEHPFLLNNLAEILDDNGSSQKLRVLTTELLRNLAMDENMKEEIGNIPVIISKFMHAFLSHGAPSSTGSDQLLRMITGKALAALAMESPNNCLVMLAEPGHALIRELTIMIHDDKYRYVASSLLQNMCVHARPQLGNSDLKEVSYILREVLEGIMDAEGKELEVLVGLSSQICNAIPEDFVRELQHGQIKERFIKRLVSALNSNKIPSAHCPGIRRAIVEHVICMIECDPSHAGCFSKFCMMEVLLMVQRTHSRAENYRFFSGDAGLMEHSIPLSTLVARAKELMSRG* >Brasy6G039500.2.p pacid=40048454 transcript=Brasy6G039500.2 locus=Brasy6G039500 ID=Brasy6G039500.2.v1.1 annot-version=v1.1 MANVAEHRVQMLPAAPPAAEEPEKLLNRFVRLVVPMERLGNALGTLAFTWATVVLLGGYPTVLDHLDFWSATALIFLEASRMFSPQNRLDYQLFFRTRGAFRSLGWNGLILLACFFNVIVCVHIWNNYATRRITPILIPVLVTGPFLCPEAARKFICNPLRRAISLWSPIVVILLLGPSVLHSIAMMVSTFEGNLRIVIITFNVLGLVILSCGNLQIPAAVARIYLAMVRLIRLHYYGDQENVDKEFLKDRTHLVTSLNIFYAMMLGQGILYTVAGILEIFSFIPRRSLIRHAGFKGHLGVEYVNLYYKYAFEKFMEGAVLASKKISIVIFAMDSLKSDSPKKQLSGLQILHSVLRKEPLKTKAISEITTSTKTMTSLIHMLGWTNERDKDIRSFAAKITAELADNLRVVPVPGAMRLIASLLDTVHEHKLKDTVLDIGRLEAKQDNLIQQVHRDEQASPMLKWLKKMAVYFLIPREEPTNMDEQNPHILRCWKQITKCWSIPEEPSTNQDPLPVLGMLILDKLASFDIENCIEISRATGLISKIIEFTSNNIDMTNMNEIHQTTLKGSSLKLLTRLASTEGKFGVTLRQNISEHPFLLNNLAEILDDNGSSQKLRVLTTELLRNLAMDENMKEEIGNIPVIISKFMHAFLSHGAPSSTGSDQLLRMITGKALAALAMESPNNCLVMLAEPGHALIRELTIMIHDDKYRYVASSLLQNMCVHARPQLGNSDLKEVSYILREVLEGIMDAEGKELEVLVGLSSQICNAIPEDFVRELQHGQIKERFIKRLVSALNSNKIPSAHCPGIRRAIVEHVICMIECDPSHAGCFSKFCMMEVLLMVQRTHSRAENYRFFSGDAGLMEHSIPLSTLVARAKELMSRG* >Brasy6G039500.3.p pacid=40048455 transcript=Brasy6G039500.3 locus=Brasy6G039500 ID=Brasy6G039500.3.v1.1 annot-version=v1.1 MHLVLFVVVLLVIISRMQFPAMMVSTFEGNLRIVIITFNVLGLVILSCGNLQIPAAVARIYLAMVRLIRLHYYGDQENVDKEFLKDRTHLVTSLNIFYAMMLGQGILYTVAGILEIFSFIPRRSLIRHAGFKGHLGVEYVNLYYKYAFEKFMEGAVLASKKISIVIFAMDSLKSDSPKKQLSGLQILHSVLRKEPLKTKAISEITTSTKTMTSLIHMLGWTNERDKDIRSFAAKITAELADNLRVVPVPGAMRLIASLLDTVHEHKLKDTVLDIGRLEAKQDNLIQQVHRDEQASPMLKWLKKMAVYFLIPREEPTNMDEQNPHILRCWKQITKCWSIPEEPSTNQDPLPVLGMLILDKLASFDIENCIEISRATGLISKIIEFTSNNIDMTNMNEIHQTTLKGSSLKLLTRLASTEGKFGVTLRQNISEHPFLLNNLAEILDDNGSSQKLRVLTTELLRNLAMDENMKEEIGNIPVIISKFMHAFLSHGAPSSTGSDQLLRMITGKALAALAMESPNNCLVMLAEPGHALIRELTIMIHDDKYRYVASSLLQNMCVHARPQLGNSDLKEVSYILREVLEGIMDAEGKELEVLVGLSSQICNAIPEDFVRELQHGQIKERFIKRLVSALNSNKIPSAHCPGIRRAIVEHVICMIECDPSHAGCFSKFCMMEVLLMVQRTHSRAENYRFFSGDAGLMEHSIPLSTLVARAKELMSRG* >Brasy6G103300.1.p pacid=40048456 transcript=Brasy6G103300.1 locus=Brasy6G103300 ID=Brasy6G103300.1.v1.1 annot-version=v1.1 MEHTAVGEDQAMSDLREKHRMDLERLTLTSRPFKTLALFVLAIGQSIRSTCSCVLNEGARLKLLVLFVATAWVLLLTTDGPHVKHMQELLWYARFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDLKSAPYDTILLKSRPSWLEKECLEFGPPVYHETIPFSKILHEIHLEAVLWGIGTALGELPPYFIARAASMSGHKVEELAELDASISKEGFLSSALYRAKRWLMSHSQHLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAIIKVYMQTTLVITLCNNQLLELVEKRLVWVFSNVPGVSSIVPFLLTKLKTAKDKFLIASVAASASSAAKGKKWNLSFSLIWNTLVWLMIVNFIVQIITSTAQSYLRKQQELEISKKSSATIDSASEPSAGVSN* >Brasy6G095800.1.p pacid=40048457 transcript=Brasy6G095800.1 locus=Brasy6G095800 ID=Brasy6G095800.1.v1.1 annot-version=v1.1 MAKTASFVPLIALLLVAPALCSPPPKRCPAGDLQALFRVKQSLGNPATLKTWSAASPDCCSTWDHVRCDEATGRVNNVFIDGADDIHGQIPSAVAGLTALMSLSLFRLPGLQGTIPACLTTLSNLQFLTISHTNVSGPIPDSLARLHSLDSVDLSNNKLTGPIPNSFADLPNLRSLDLRRNLLTGPIPASLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAEGRPIGKVDLSWNDLEFDLSTLVFPKELTYLDLSHNRIRGTVPRSLEALSTLQTLDLSYNQLCGPLPKLHGVMRHGCKPYEHNLCHRGAPLEGSCHQLL* >Brasy6G089500.1.p pacid=40048458 transcript=Brasy6G089500.1 locus=Brasy6G089500 ID=Brasy6G089500.1.v1.1 annot-version=v1.1 MAAAEGGAPALTQQQQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLVMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKELEEEEALVAPDYGAVAEYAAPTADTWGGEWPGAAAPPAAVVAEAGADWTGAAAPAADAGWDAVAAPPPAATGWEQGSVPAPAVATPNWE* >Brasy6G089500.2.p pacid=40048459 transcript=Brasy6G089500.2 locus=Brasy6G089500 ID=Brasy6G089500.2.v1.1 annot-version=v1.1 MAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKELEEEEALVAPDYGAVAEYAAPTADTWGGEWPGAAAPPAAVVAEAGADWTGAAAPAADAGWDAVAAPPPAATGWEQGSVPAPAVATPNWE* >Brasy6G068500.1.p pacid=40048460 transcript=Brasy6G068500.1 locus=Brasy6G068500 ID=Brasy6G068500.1.v1.1 annot-version=v1.1 MAPEDAEAVLETICDLHDKVSNAIHALSRAHFLRSARRRAGDKPAGVVHIKGVPGEDDEAAALTAVAEEARSLHAIRAALEDLEDQFECFLAVNSQPQAERDGALARLQQSHIMLAIRLKQHHGKNRGVIDEASNFVNDVYHDVWPPGSLNEPEKSRSHSGTNSTNKKENGFNFFRWMVAPSLDLARNSFSMKNIGGLLGNSAVFAIGMITLMQLHFMASGEQSPSSGKYSYRRINRDNSSRSETSPLAVDSRMSHLDVFLAKS* >Brasy6G154400.1.p pacid=40048461 transcript=Brasy6G154400.1 locus=Brasy6G154400 ID=Brasy6G154400.1.v1.1 annot-version=v1.1 MAAAVLPPLLPTPPSSAMLPILPTPPRSAMLPLLPTPCGLAVLPNHSSASSSSSSGGAGIPGRADSVERWDSKKLGKSSPPMDQGRRSESETETSSNRPPSRASSAERWDLHKKPRPEETAASKNITEPAKAFAGANFFASPEPSMLLLLAAGALASLSCETITPSDPKLLSKYYMYLDVI* >Brasy6G186200.1.p pacid=40048462 transcript=Brasy6G186200.1 locus=Brasy6G186200 ID=Brasy6G186200.1.v1.1 annot-version=v1.1 MMGSNGGGGGGGGMVPSTGAVGGSGSGIGGDGRHDDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFQCPDVRLTRLVAVAAQKFVSDVASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKGLLEHGVNLKHPEYFADSPSAGMAPSAREE* >Brasy6G186200.2.p pacid=40048463 transcript=Brasy6G186200.2 locus=Brasy6G186200 ID=Brasy6G186200.2.v1.1 annot-version=v1.1 MMGSNGGGGGGGGMVPSTGAVGGSGSGIGGDGRHDDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFQCPDVRLHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKGLLEHGVNLKHPEYFADSPSAGMAPSAREE* >Brasy6G203200.1.p pacid=40048464 transcript=Brasy6G203200.1 locus=Brasy6G203200 ID=Brasy6G203200.1.v1.1 annot-version=v1.1 MATMMALSSFAGAAVVGRSANRSSVAPLRRRALVVRAQAEPEMDPTKETATSASTSSPTPSPTPTPSPAAPKPKVKASPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLSQAGSGAGLGWFLTTTALLSVASLVPLLQGQSVESKSSAFWSSDAELWNGRFAMLGLVALAVTEFITGTPFVNV* >Brasy6G072700.1.p pacid=40048465 transcript=Brasy6G072700.1 locus=Brasy6G072700 ID=Brasy6G072700.1.v1.1 annot-version=v1.1 MASKAMGALLNQGGPQADMIRLARKTDNHLLSLVTDLASPNANNNLTLYPSSLYPTSTWEVATGAGGHDDVGVSLSPTSSGGHGHRGSFSLRDNGGACRFAYVVPYDGTITAARARMAVVFLPPPPPSLGGLDFLGRCRWPARDGFGKGEIRRSDPQMGELRVFETCRMDGRDFGAGQGARQIYITFPAASTFSKDEVSAYFSGFGPVQEARIPQQARRMFGFIAFVYPESVRLVLVKGNPHLVFSNLNTRLNFSHLCY* >Brasy6G205200.1.p pacid=40048466 transcript=Brasy6G205200.1 locus=Brasy6G205200 ID=Brasy6G205200.1.v1.1 annot-version=v1.1 MAARALLAVAMAAAVVAVASAQSSSSGCTQTLIGMSPCLGYITGNSTKPSSSCCSQLASVVKSQPECLCVALNADPAALGLGSVNKTRAVGLPDECSVKTPPLSNCNSGAAPTTSPSAGTPAGQTPTAAGTGSKTTPTAPLGSGVAPSLRGIAGIVAGLVVAAVSVM* >Brasy6G019400.1.p pacid=40048467 transcript=Brasy6G019400.1 locus=Brasy6G019400 ID=Brasy6G019400.1.v1.1 annot-version=v1.1 MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLLRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMDGKGDQIAVIVGTITDDKRVYEIPAMKVSALRFTETARARIVNAGGECLTFDQLVLRAALGQSTVWFEFRFEASNSVLYISCLALVTRELKLKDNLLV* >Brasy6G019400.2.p pacid=40048468 transcript=Brasy6G019400.2 locus=Brasy6G019400 ID=Brasy6G019400.2.v1.1 annot-version=v1.1 MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLLRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMDGKGDQIAVIVGTITDDKRVYEIPAMKVSALRFTETARARIVNAGGECLTFDQLVLRAALGQSTDCSLRSYVCAYKGAVSCVCK* >Brasy6G197100.1.p pacid=40048469 transcript=Brasy6G197100.1 locus=Brasy6G197100 ID=Brasy6G197100.1.v1.1 annot-version=v1.1 MDKLKGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESLYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDAGWEEYLNNKWDKNIVLEETAKFPQLKLQVESEQRPHKVSFLVDKKTAQEVIKSLSENFEKRGVDAKIIYSGGQDLDILAQGAGKGQALAYLLKKLGSCGKTPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWRAENAKDNPKVIHATERCAAGIIQAIGHFKLGPNVSPRDVEFPYVKENSFKPTEAVVKFYVLYEKWRRADVPKADSVVEYFKNVTDANGVTIHPAGLEFSIHSAIDALGSCYGDKQGKKYRAWVDRLVISQSASDSWLVRFDLWESEGDAWVCCLASLALTVKPESPAGFVVTHIHKTWLKGHSGDEQASKL* >Brasy6G186600.1.p pacid=40048470 transcript=Brasy6G186600.1 locus=Brasy6G186600 ID=Brasy6G186600.1.v1.1 annot-version=v1.1 MEAFGWGSSLSSGIVLSLVAVVWTMIWNNLQGLQLQQFIGRHLSRHARRLAAIVDPYLTVTVAEYDGGGRMRRSDAYKEVQAYLQGATCAAGGGVRHLKAETPAKDDNPDALLLSMGDNEEVADEFRGATVWWLAYSAPPREDNAPSYWGSRGQRADRRFYRLFFLDRHRDLVLAEYLPHVRRQGRAVMLRNRQRKLFTNLSGDGFNADGMWSESVWSHVVFEHPKTFATLAMDPAKKKEVTDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMVAAMANHLDYDVYDIELTSVRTNSDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKKAAAEKDGKKESPPDSEEEEKEKEDAGASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVENLDPALIRRGRMDKHIEMSYCCFQAFKLLAEVYLGVDDHPLFGAVEALLPGADMTPADVAENLTPKSASDDAESCLAGLVEELHKAKEAKEKALLQAKVAGKGKEEAAAEEDDDDDE* >Brasy6G186600.2.p pacid=40048471 transcript=Brasy6G186600.2 locus=Brasy6G186600 ID=Brasy6G186600.2.v1.1 annot-version=v1.1 MEAFGWGSSLSSGIVLSLVAVVWTMIWNNLQGLQLQQFIGRHLSRHARRLAAIVDPYLTVTVAEYDGGGRMRRSDAYKEVQAYLQGATCAAGGGVRHLKAETPAKDDNPDALLLSMGDNEEVADEFRGATVWWLAYSAPPREDNAPSYWGSRGQRADRRFYRLFFLDRHRDLVLAEYLPHVRRQGRAVMLRNRQRKLFTNLSGDGFNADGMWSESVWSHVVFEHPKTFATLAMDPAKKKEVTDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMVAAMANHLDYDVYDIELTSVRTNSDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKKAAAEKDGKKESPPDSEEEEKEKEDAGASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVENLDPALIRRGRMDKHIEMSYCCFQAFKLLAEVYLGVDDHPLFGAVEALLPGADMTPADVAENLTPKSASDDAESCLAGLVEELHKAKEAKEKALLQAKVAGKGKEEAAAEEDDDDDE* >Brasy6G186600.3.p pacid=40048472 transcript=Brasy6G186600.3 locus=Brasy6G186600 ID=Brasy6G186600.3.v1.1 annot-version=v1.1 MEAFGWGSSLSSGIVLSLVAVVWTMIWNNLQGLQLQQFIGRHLSRHARRLAAIVDPYLTVTVAEYDGGGRMRRSDAYKEVQAYLQGATCAAGGGVRHLKAETPAKDDNPDALLLSMGDNEEVADEFRGATVWWLAYSAPPREDNAPSYWGSRGQRADRRFYRLFFLDRHRDLVLAEYLPHVRRQGRAVMLRNRQRKLFTNLSGDGFNADGVWSHVVFEHPKTFATLAMDPAKKKEVTDDLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGKSTMVAAMANHLDYDVYDIELTSVRTNSDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKKAAAEKDGKKESPPDSEEEEKEKEDAGASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVENLDPALIRRGRMDKHIEMSYCCFQAFKLLAEVYLGVDDHPLFGAVEALLPGADMTPADVAENLTPKSASDDAESCLAGLVEELHKAKEAKEKALLQAKVAGKGKEEAAAEEDDDDDE* >Brasy6G041900.1.p pacid=40048473 transcript=Brasy6G041900.1 locus=Brasy6G041900 ID=Brasy6G041900.1.v1.1 annot-version=v1.1 MEAIAFSTFVVQPAHRHCICMYQIPPKKITKTITRSGALGAWIEEGSYGSEKDGSSWVGGGAPWQAGLEVGGARLRPLCVECGVEQRRQADERREQTEPTTTSTKRTGEARHMSGSSEPGARTNRRVKTPPTQSLTRGRAQLSRRAPNSSGRRGSISTKSREEREEAQGDNRLRRSVQFRTRTTNPTHSTNDKGTSVPSWQESLEGLREASSKQRGARARALTARPATPPAMASPCAAAVPYTLLGALLSGGGSYGPSWPACGGRAFLRDYARRSTNATLWVGLIAVTWVLLRRVAVLLRLWALGSRLPGPPALLAGAGLAAVCRARGDVTGYLSKLHGKFGPVVRLWVGPCQLLVSIKDASIIKEMLIKAEDKLPLNGRIYSLACGRLGLFISSFEKVKSRRESLIVFLNEKLDVGASESSFKIIEAVLERVGSTINMDFLDCRSFSQHMAFNIIGAALFGDVFFDWPDAAAYEELLMMVANGSCLWASYAVPPFWKPGYRRYRALCAKLKILTRAIIRKSIDRNSVLSHKDLRSCKKSEGVVQDPVGYTSLLDGIVSGRCLYEAVEGPHSSEEEICGNIVGLMLHGISTSANLVGNILTRLILYPKLKDQLYEDIVAVCDKSSGLEVDDVLRMPFLLATVCESARLLPAGPLLQRCSLRHDLTLKSSMTIPAGAMLVVPLHLVQMDVSIWGNDACQFNPSRFLQKDIHLGEILAAHKGSNVIKLFAECEKTDSFLPFGSGSRACVGEKFAILGISMLIAFLLHNYEVKPHPAFTEELGSTVDSNNLHHLPNPKIILAKRNLKQENTNKL* >Brasy6G118400.1.p pacid=40048474 transcript=Brasy6G118400.1 locus=Brasy6G118400 ID=Brasy6G118400.1.v1.1 annot-version=v1.1 MAQPPPSPYRLALLTFLCLCLPALALAGRAAPAADKTIHELLRSQGLPGGLLPRGVVSYTLEANGLLEARLSSSCYAKYDSGDLAFFDTVVRGNLSYGALRGCEGLAQEELFVWLPVKGILIAEPDSGVITFDIGYAKKKLSKSLFEEPPECKPSVSTELGAVEAARWRDRPGVPGLRMRGAQGEGHQDQR* >Brasy6G024700.1.p pacid=40048475 transcript=Brasy6G024700.1 locus=Brasy6G024700 ID=Brasy6G024700.1.v1.1 annot-version=v1.1 MEALLSTQHAAAASSPTMTRRLPGAAPPGRVAFGRARRDGRPRVATGHGRLLGQAAAAVADSKKSTRDAEDASTFRLFVGLPIDSVTPDGSSVSSAKAKGIEAGIRAVKLLGADGVELQVFWSVVQPESPDRFSWAGYRAVADMAAAEGLSLRVSLRIHGSPGGNVPILPGWVSAAAASDPDIFFTDRSGGRHDDCLSFAVDELPVLAGRSPLSRYEAFFRSFADAFHDLFDSTITDVTVGLGPNGELRYPSYPPGSSAATFTGVGEFQCYDKYMLAQLKQHAEESGHPLWGLSGPHDTPGYNESPDSKDFFREHGGSWESPYGDFFLSWYAGNLVNHGDRVLGMASAVFGDKPVELSAKVPFMHWWHGAKSRPAEAVAGFYKSNKKNGYSPVAKMFARHGVTMVVPGMDVCMNKQHRSTGSSPDQLLVQIKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTERVRPSHFTYQRMGADFFSPKHWRPFTEFVRGVVCGEWDEDDADMAANAANAAGRRDKAWETQLV* >Brasy6G046900.1.p pacid=40048476 transcript=Brasy6G046900.1 locus=Brasy6G046900 ID=Brasy6G046900.1.v1.1 annot-version=v1.1 MEKKRNISNSPLQVQSGYKGILPPKKSYLSDTFSRVFYQPCIPFVGKCTNFKTPMTNGFEADVLLREAEAESRTNRASNTLVPCAYMLVLSISLYAIYCSLYKKYFQKFWL* >Brasy6G201800.1.p pacid=40048477 transcript=Brasy6G201800.1 locus=Brasy6G201800 ID=Brasy6G201800.1.v1.1 annot-version=v1.1 MIAAPVTGDIPTGAPPPAPAEEAGPPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCMGPMLAKKEYSELIEPVEKRIKAVGDYIKSIKPELIVQVEPIGDPYGPSIIDEKLDAIIVSKETLNGGLAVNQKREEKELPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEQAQQQKETIANQEGV* >Brasy6G201800.2.p pacid=40048478 transcript=Brasy6G201800.2 locus=Brasy6G201800 ID=Brasy6G201800.2.v1.1 annot-version=v1.1 MIAAPVTGDIPTGAPPPAPAEEAGPPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCMGPMLAKKEYSELIEPVEKRIKAVGDYIKSIKPELIVQVEPIGDPYGPSIIDEKLDAIIVRLRLLIFYLEVQRGKS* >Brasy6G107100.1.p pacid=40048479 transcript=Brasy6G107100.1 locus=Brasy6G107100 ID=Brasy6G107100.1.v1.1 annot-version=v1.1 MGLLKNNVDAAFSDDEGIGSAGVVVRDFCAKFVAAALSAHSFCAMADGGAGAGAGGGAGQFARAVAGLAAAGGAARGRGSGGPVRARASRPRPPVFLPRRFFRPRQRGAKLVDLDEDEDGGGGAVPGDGGGGAVPGDGAGQGAVPGDGAGQGAVQDGAGHVSEKNCK* >Brasy6G236600.1.p pacid=40048480 transcript=Brasy6G236600.1 locus=Brasy6G236600 ID=Brasy6G236600.1.v1.1 annot-version=v1.1 MDAMEAHVGGAGGGPPIRKDEEGRQPIWKIIALEDYKRLSEREKSRVWNEYIRQEQNVHGRSTLWKFISPFFWVQLQAEAKAVVWDLFSSHLKWHESSKVPMEWRMICSDEVKLLEQVQPDVQICWTMPPAHERELEAYEEDEIQELATEIYDLLTLGKGLPKWEPIKGDGTMSCPFCDKIINQTFLSVLQHTDCTPKDPNAGGKRARHLGLKWFLRANEPADLADMLAERDRKLREGRGKKARHHPYKEGRHHP* >Brasy6G038500.1.p pacid=40048481 transcript=Brasy6G038500.1 locus=Brasy6G038500 ID=Brasy6G038500.1.v1.1 annot-version=v1.1 MALSWPSAIRLAVGAALLVAVAVALFTLPVEKILKDFLVWIKENLGAWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLAKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIICVTRVAKSSLDKALAENGEVDIGTPQLPVVASPSDLHQPLVIKIDTSNEDHEK* >Brasy6G237300.1.p pacid=40048482 transcript=Brasy6G237300.1 locus=Brasy6G237300 ID=Brasy6G237300.1.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.2.p pacid=40048483 transcript=Brasy6G237300.2 locus=Brasy6G237300 ID=Brasy6G237300.2.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.3.p pacid=40048484 transcript=Brasy6G237300.3 locus=Brasy6G237300 ID=Brasy6G237300.3.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.9.p pacid=40048485 transcript=Brasy6G237300.9 locus=Brasy6G237300 ID=Brasy6G237300.9.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.4.p pacid=40048486 transcript=Brasy6G237300.4 locus=Brasy6G237300 ID=Brasy6G237300.4.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.5.p pacid=40048487 transcript=Brasy6G237300.5 locus=Brasy6G237300 ID=Brasy6G237300.5.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.10.p pacid=40048488 transcript=Brasy6G237300.10 locus=Brasy6G237300 ID=Brasy6G237300.10.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.6.p pacid=40048489 transcript=Brasy6G237300.6 locus=Brasy6G237300 ID=Brasy6G237300.6.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.7.p pacid=40048490 transcript=Brasy6G237300.7 locus=Brasy6G237300 ID=Brasy6G237300.7.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.8.p pacid=40048491 transcript=Brasy6G237300.8 locus=Brasy6G237300 ID=Brasy6G237300.8.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKVSRESSPDSKDTFSKSDLKNLMKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.11.p pacid=40048492 transcript=Brasy6G237300.11 locus=Brasy6G237300 ID=Brasy6G237300.11.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.12.p pacid=40048493 transcript=Brasy6G237300.12 locus=Brasy6G237300 ID=Brasy6G237300.12.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.13.p pacid=40048494 transcript=Brasy6G237300.13 locus=Brasy6G237300 ID=Brasy6G237300.13.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.14.p pacid=40048495 transcript=Brasy6G237300.14 locus=Brasy6G237300 ID=Brasy6G237300.14.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCSHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.15.p pacid=40048496 transcript=Brasy6G237300.15 locus=Brasy6G237300 ID=Brasy6G237300.15.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.16.p pacid=40048497 transcript=Brasy6G237300.16 locus=Brasy6G237300 ID=Brasy6G237300.16.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.17.p pacid=40048498 transcript=Brasy6G237300.17 locus=Brasy6G237300 ID=Brasy6G237300.17.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G237300.18.p pacid=40048499 transcript=Brasy6G237300.18 locus=Brasy6G237300 ID=Brasy6G237300.18.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKKALEAPKEPRKPKVHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEYRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTHENGPLRTNLPSQEEVAEPSQTNQPSLEEVADPLQTNQPSHEKVAEENTNALMHDDLDKMEIDDDYNSSLNEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYAKTKDPSDQANGCNHESGGTSSDEGNSSEEVDDSYSYTEFVKKNHGKSNGSISSVGEQEDKDYVAADEGKDDEATLSEEEELAKEDGPDPLDEIKLLQKESEIPLEELLARYQMDGYPDDVTTELENSRTHYNEEVNTDVSLDGQSVDILKLNNDMLENHEITDILETKLVSGNALQPEIVPEDILETKLVSGNALQPEIVPESSVQGCSVKEDELTDAKVANEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFSQADLSDMNLVFTQNEYNMTSWEVDEVAAAFSPGITSRGSGAEFSCSNKDGQRGIGKNIFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPVYGTNIREVLTIRHPICDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYREQCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHAPLNVEDQKKDRSMPSVASNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEAKPDEHSSAEHKHQCSDVDKDNVALPVNQLYEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQANVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIIRCHRKKSKKNKRKAGKFKSLKRGRLSSESEAMLDETSVDTMSIDDNAPSPELISDESPHHCSHKRKKMMSRNEEVNSSSRSLKKFKKAPKSNCISESSSHKHLLEGKRLKLMDEVNDSDPKLASIKSDGRISTPCMPVKRVMVIKPERLKRKGLIWPRDCASDSWTAEEDAVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRHRHPVHCCERFRELICKHVLSATDNSNSEKAPSGTGKAILKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGHEPRRITSTCSSALHQPVRLSEKWSMTNYRPTSNLIKTALADAQGQCPRAVLPRNQESRRNYLELALDFRTDQHAYEADFPSVVNVSILEPEPVKRAVVQVDQSLLSGPSHRNAEKRFRLASEACFDGEGSHWASSAFHVYDAARHKSGPKSVGKHKTSSESGRPAKSKIQRTTEPHDVPTAMNDFLRAPGQLLASAAEFHIAQSLSDVVINDSEFTCFHGLPLETDTEFAPCQYELASLPVIEELDPLSDFTDIG* >Brasy6G154200.1.p pacid=40048500 transcript=Brasy6G154200.1 locus=Brasy6G154200 ID=Brasy6G154200.1.v1.1 annot-version=v1.1 MGLIRRALLPLVLLSGIALHGILSLSPQLQQPALTPSPLLLPAGGGRGDEADSTEIAAAAPGKLLVRPTNVVTNTGPGTSSNLHFNDDGTIQLVDRLSGSSLWKFSTGPPLSRDITTANSDLSYLIYPLDGSELVEIHNGTVTTLAWELEDFITRTPYVRDSVVTIGSKASTIFAVDADSGEIIYKHSLSASLDEVGAPHVEKAPSKLDAGSIIMLIRTDYSVSASDLGVHLFNWTRTSFSANYYETPPR* >Brasy6G154200.3.p pacid=40048501 transcript=Brasy6G154200.3 locus=Brasy6G154200 ID=Brasy6G154200.3.v1.1 annot-version=v1.1 MGLIRRALLPLVLLSGIALHGILSLSPQLQQPALTPSPLLLPAGGGRGDEADSTEIAAAAPGKLLVRPTNVVTNTGPGTSSNLHFNDDGTIQLVDRLSGSSLWKFSTGPPLSRDITTANSDLSYLIYPLDGSELVEIHNGTVTTLAWELEDFITRTPYVRDSVVTIGSKASTIFAVDADSGEIIYKHSLSASLDEVGAPHVEKAPSKLDAGSIIMLIRTDYSVSASDLGVHLFNWTRTSFSANYYETPPR* >Brasy6G154200.2.p pacid=40048502 transcript=Brasy6G154200.2 locus=Brasy6G154200 ID=Brasy6G154200.2.v1.1 annot-version=v1.1 MGLIRRALLPLVLLSGIALHGILSLSPQLQQPALTPSPLLLPAGGGRGDEADSTEIAAAAPGKLLVRPTNVVTNTGPGTSSNLHFNDDGTIQLVDRLSGSSLWKFSTGPPLSRDITTANSDLSYLIYPLDGSELVEIHNGTVTTLAWELEDFITRTPYVRDSVVTIGSKASTIFAVDADSAR* >Brasy6G054000.1.p pacid=40048503 transcript=Brasy6G054000.1 locus=Brasy6G054000 ID=Brasy6G054000.1.v1.1 annot-version=v1.1 MEGLIPFVIDAIRKSAHGTGGGYRCVSSDGSSHGGGSRRHLMDYWELPAGKASDVGGSGLVQTTNVDAGRAEEYTLPARPAATAVVAGSAAYRRK* >Brasy6G224500.1.p pacid=40048504 transcript=Brasy6G224500.1 locus=Brasy6G224500 ID=Brasy6G224500.1.v1.1 annot-version=v1.1 MPLASIRGSTAPLFSCGLSLGGTGKVRSPCCRPKRISGVGSHGLVDAMGKVRGDGPCPSLQLPLLVPRPGNGVELQTNELHQGSICWATAEPHISF* >Brasy6G157700.1.p pacid=40048505 transcript=Brasy6G157700.1 locus=Brasy6G157700 ID=Brasy6G157700.1.v1.1 annot-version=v1.1 MEKYHRDARFAAFKDTTFALCGALGIPNSSFNSMDGFRDFSSIRQARSYASCPLVAGHLKVSPSGSRSLHTSRSLSAPVANRPLSPHLPLKKPQLCATFSISHRIFGVALGAAVISVPLATKFSLMFDV* >Brasy6G157700.2.p pacid=40048506 transcript=Brasy6G157700.2 locus=Brasy6G157700 ID=Brasy6G157700.2.v1.1 annot-version=v1.1 MEKYHRDARFAAFKDTTFALCGALGIPNSSFNSMDGFRDFSSIRQARSYASCPLVAGHLKVSPSGSRSLHTSRSLSAPVANRPLSPHLPLKKPQLCATFSISHRIFGVALGAAVISVPLATKFSLMFDV* >Brasy6G108600.1.p pacid=40048507 transcript=Brasy6G108600.1 locus=Brasy6G108600 ID=Brasy6G108600.1.v1.1 annot-version=v1.1 MRAMAGGPAMAPAWPGAAAGSAAGAAAATQDEMRWRQLDSGVSAVSFGFVATAIFVSMFLAMGILEHFLRAPARARRMGMGRMYAPSPPRGGILLRLRFLLLRRPGAAGGEAGFSGADLEVARKLDGRASPEMPVYARGVSVLMPGHDVPTFIAYPAPAPCPPERIRWPSHQPTPFTGSSSDPT* >Brasy6G252200.1.p pacid=40048508 transcript=Brasy6G252200.1 locus=Brasy6G252200 ID=Brasy6G252200.1.v1.1 annot-version=v1.1 MAATSNLTATAASSAPTALRTSPPPPPPFISLRPISRCSRFHSVKTKATEKGQGGKKPVKAYSLVCADCEGNGAIACGQCKGSGVNSEDHFNGRFKEGAMCWLCRGKREVLCGSCNGAGFLGGFMSTADDTSE* >Brasy6G138800.1.p pacid=40048509 transcript=Brasy6G138800.1 locus=Brasy6G138800 ID=Brasy6G138800.1.v1.1 annot-version=v1.1 MGLLELKRLISIQQDRRRRQIQAHDVYVPLLAKRGCSSRQKHDDSEGGKKRLKYSGLDLPEDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNVRINEDELGVLLSSCSALEQFGFGHNNEITCLKIPCMLQRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy6G138800.4.p pacid=40048510 transcript=Brasy6G138800.4 locus=Brasy6G138800 ID=Brasy6G138800.4.v1.1 annot-version=v1.1 MGLLELKRLISIQQDRRRRQIQAHDVYVPLLAKRGCSSRQKHDDSEGGKKRLKYSGLDLPEDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy6G138800.2.p pacid=40048511 transcript=Brasy6G138800.2 locus=Brasy6G138800 ID=Brasy6G138800.2.v1.1 annot-version=v1.1 MDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNVRINEDELGVLLSSCSALEQFGFGHNNEITCLKIPCMLQRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy6G138800.3.p pacid=40048512 transcript=Brasy6G138800.3 locus=Brasy6G138800 ID=Brasy6G138800.3.v1.1 annot-version=v1.1 MDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy6G156600.1.p pacid=40048513 transcript=Brasy6G156600.1 locus=Brasy6G156600 ID=Brasy6G156600.1.v1.1 annot-version=v1.1 MVALPLPPASTTDPLPAIIIPMPLQRAATGTATEANRRTGDVRDGAGGTGLTVATRDREGPAATESRRSAARAGLGCSHRSS* >Brasy6G109800.1.p pacid=40048514 transcript=Brasy6G109800.1 locus=Brasy6G109800 ID=Brasy6G109800.1.v1.1 annot-version=v1.1 MKRFVYINDESYQNDYCDNQISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKKVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLTGTSEPQGICHVETAALDGEIDLKTRVIPPTCAGLDAEQLHKIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDQETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTLYGNESGDALKDVELLNAVANNSPHVIKFLTVMALCNTVIPIKSPSGQISYKAQSQDEDALVNAASNLHMVLVSKNGNNAEIHFNRRVVQYEILDVLEFTSDRKRMSVVISDSESGKIFLLSKGADEAILPLAYSGQQIKTFIDAVDKYAQLGLRTLCLGWRELGLQEYLEWSRLFKEANSALIDREWKVAEVCQKLEHTLDILGISAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGKTEDEVARSLERVLLTMRITSSEPKEQELAFVVDGWGLEIILTRYKEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFVSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSERTVTQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITIHVYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLGIWGNFAAFYIINFCISSIPSAGMYTIMFRLCRQPSYWITMLLISGVGMGPVLALKYFRYTYSPSAINILQKAERSCGPMYTLVNLESQLRLDNNATDSISSMPVKKSSVYEPLLSDSPMASRRSVASSSFDIFQPAQSRVPASYPRNIKAS* >Brasy6G011600.1.p pacid=40048515 transcript=Brasy6G011600.1 locus=Brasy6G011600 ID=Brasy6G011600.1.v1.1 annot-version=v1.1 MCKGGACGFDFLRARVGIRTRGVEKGMLRASLPRALGARCSGGNGDRGEEGGGRWVVASRVRRAGAGAAFFFVCWRLGRVGLVASAVSSRMPEQYAGRDRRGRRRPPPQLPAPFSQSLPNDAASVTGVPSPDVNPPPARTHMHSYPTPTCPPHPPARTTAH* >Brasy6G058300.1.p pacid=40048516 transcript=Brasy6G058300.1 locus=Brasy6G058300 ID=Brasy6G058300.1.v1.1 annot-version=v1.1 MGGCHAKPLTHDANDGSPPRAAPATPPPQQLSAPTTPAAKKHWTSSPFFPFSTPSPSPAHHLFSSSSAASPRTSKQSPAGSTTPNTPARRLLRLPFPPPSPAKHIRQALARRHGPPRPAIPEEGGDGEGGGRGLDKGFGFNKAFAAKYEMGDEVGRGHFGYTCAARIKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHIQGVVHRDLKPENFLFSSKDENSQLKTIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALGHPWIRNYNDIKMPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYMKGQFSLLEPDRNGCITLDNIRMALTREATDAMKETRVQEILVSLSALQYRRMDFHEFCAAAVSVHQLEALDRWEQHARSAYELFERDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKIR* >Brasy6G150400.1.p pacid=40048517 transcript=Brasy6G150400.1 locus=Brasy6G150400 ID=Brasy6G150400.1.v1.1 annot-version=v1.1 MSSFDSVAAIAGDDDAPPLQAPFDDIPAAADGAAEQDGVGVGLHRGHRFATSHSSFGTAISEDDLTGFGMPPDSNGAPGSYGYGGYIGAEEVIGGGLHMSGSGIITDDDVLFVGAAAANDGGAVLPPPEAMREEGVLRREWRRQNAVTLEEKERHERERRSEIVAEAEAFKKAFLDRRRLNCQTKRTHNRDREKLFLANQDKFHKEADRQYWKAIAELVPHEIPGLDKRGAGRKKKDQDKKPNIVVLQGPKPGKPTDLSRMRQALNKLKQTPPPHMVPPAKDDDANKDAKEAEEKKVEGKKSAGEGEKKADGGNATTAASPAAAAAAAAATERAPEQQAKK* >Brasy6G195000.1.p pacid=40048518 transcript=Brasy6G195000.1 locus=Brasy6G195000 ID=Brasy6G195000.1.v1.1 annot-version=v1.1 MFDWGSMICLMDLDLTCFFQEIPACLQVNAEDLILCLDRHTAKLGTIMDHNLDLLIPLMSVTFSTFTEAYKLHTCA* >Brasy6G037100.1.p pacid=40048519 transcript=Brasy6G037100.1 locus=Brasy6G037100 ID=Brasy6G037100.1.v1.1 annot-version=v1.1 MSSQSSPKAFIDGVPPDVAAIPGVIRTAAANHSPALARGYSPKLSVDMASAHRWAPYEATISALRALALTPLTSSRTRGQARDTVAELFDHDAPFAAALVADESFGRKARRFPEGQVHVCLDRAPFARRMQGILEPLARAEAAADHVEELVSACSGFVRGIGDALDELTRGDAAAAVLYDRAVFESAFLLTWTEPEKTKKAEKEKR* >Brasy6G098600.1.p pacid=40048520 transcript=Brasy6G098600.1 locus=Brasy6G098600 ID=Brasy6G098600.1.v1.1 annot-version=v1.1 MTMQCLLLAILTASIFHMASSARGTISGNSTIGVDSIGTAYEVLEDNDLPPGLLPLGVESYTLKGGNLSVTLPNTCELSIPIAGKQYKFRYDRTIGGILQDGSISRVYGVRLQVEFAWLGLSQIQHLGDQLKLQLETSTQSFPASAFVLSPSCN* >Brasy6G101200.1.p pacid=40048521 transcript=Brasy6G101200.1 locus=Brasy6G101200 ID=Brasy6G101200.1.v1.1 annot-version=v1.1 MSSPAPPRPPAPSAPTPAPAPTATPMSVQPPPPQPKPPPLPQPSGSSAPPPPQFHPPPSHQQPQPHPAASHPQPQPHPAASHQQPQQPHPAASHQQQQQPHPPASHQQRPRICFNTHCKDPKSEGPRRRGWRLRSGDFAELCDRCYGSFEQGSFCETFHSEVAGWRNCEACGKRLHCGCIVSIHAYALLDAGGVDCILCTRKSYAAAAMAPNQMCPTPTMHMPQNVADKKDSFVKSWRPPGGQYPSQWRQNSLWSAGIQSDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKFDDMHDRPTTPAGMNQIIRDRHADGHSQQTSMDPAHSYALYQREGPNPNSLHDRSHHGHGGESDSTSARKGIISEGCSSNVVSSSFKLDSRHPLESLLKENISLLPVGVGCTITNCPPVNGRNDIVRIIPHQPTSQTPSSAASSAQKQFYSHTVIDPEYQSHFRNGKPRMDAKARSQLLPRYWPRITDKELQHLSAEYPKYTNSKSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDGSGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMHLQAGDIVTFSRIDPEGKLIMGFRKSTTQEQILRQEQPTKPANAAVTAPPEVNVNVTRPHEVNTENKDTSPVDQAAVGKVENGGVAQKEGPGTARSSPGSLKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPLKAPSVVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVGALLPSKWTCSDNKWDPERTSCESAQEATTDELAELFRIKAGAAKKPKARIELDSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFKTLMLRREKRLSEKDTEEPRRKEVPQTGSDPPLGSTSPTSSPQKADANPDDAEDMIVDHRMSSSPVKNQIDLNIQPEREDEQSPKSNAVGAARLPRDNPT* >Brasy6G101200.2.p pacid=40048522 transcript=Brasy6G101200.2 locus=Brasy6G101200 ID=Brasy6G101200.2.v1.1 annot-version=v1.1 MSSPAPPRPPAPSAPTPAPAPTATPMSVQPPPPQPKPPPLPQPSGSSAPPPPQFHPPPSHQQPQPHPAASHPQPQPHPAASHQQPQQPHPAASHQQQQQPHPPASHQQRPRICFNTHCKDPKSEGPRRRGWRLRSGDFAELCDRCYGSFEQGSFCETFHSEVAGWRNCEACGKRLHCGCIVSIHAYALLDAGGVDCILCTRKSYAAAAMAPNQMCPTPTMHMPQNVADKKDSFVKSWRPPGGQYPSQWRQNSLWSAGIQSDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKFDDMHDRPTTPAGMNQIIRDRHADGHSQQTSMDPAHSYALYQREGPNPNSLHDRSHHGHGGESDSTSARKGIISEGCSSNVVSSSFKLDSRHPLESLLKENISLLPVGVGCTITNCPPVNGRNDIVRIIPHQPTSQTPSSAASSAQKQFYSHTVIDPEYQSHFRNGKPRMDAKARSQLLPRYWPRITDKELQHLSADSKSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDGSGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMHLQAGDIVTFSRIDPEGKLIMGFRKSTTQEQILRQEQPTKPANAAVTAPPEVNVNVTRPHEVNTENKDTSPVDQAAVGKVENGGVAQKEGPGTARSSPGSLKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPLKAPSVVIVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVGALLPSKWTCSDNKWDPERTSCESAQEATTDELAELFRIKAGAAKKPKARIELDSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFKTLMLRREKRLSEKDTEEPRRKEVPQTGSDPPLGSTSPTSSPQKADANPDDAEDMIVDHRMSSSPVKNQIDLNIQPEREDEQSPKSNAVGAARLPRDNPT* >Brasy6G101200.3.p pacid=40048523 transcript=Brasy6G101200.3 locus=Brasy6G101200 ID=Brasy6G101200.3.v1.1 annot-version=v1.1 MSSPAPPRPPAPSAPTPAPAPTATPMSVQPPPPQPKPPPLPQPSGSSAPPPPQFHPPPSHQQPQPHPAASHPQPQPHPAASHQQPQQPHPAASHQQQQQPHPPASHQQRPRICFNTHCKDPKSEGPRRRGWRLRSGDFAELCDRCYGSFEQGSFCETFHSEVAGWRNCEACGKRLHCGCIVSIHAYALLDAGGVDCILCTRKSYAAAAMAPNQMCPTPTMHMPQNVADKKDSFVKSWRPPGGQYPSQWRQNSLWSAGIQSDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKFDDMHDRPTTPAGMNQIIRDRHADGHSQQTSMDPAHSYALYQREGPNPNSLHDRSHHGHGGESDSTSARKGIISEGCSSNVVSSSFKLDSRHPLESLLKENISLLPVGVGCTITNCPPVNGRNDIVRIIPHQPTSQTPSSAASSAQKQFYSHTVIDPEYQSHFRNGKPRMDAKARSQLLPRYWPRITDKELQHLSADSKSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDGSGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMHLQAGDIVTFSRIDPEGKLIMGFRKSTTQEQILRQEQPTKPANAAVTAPPEVNVNVTRPHEVNTENKDTSPVDQAAVGKVENGGVAQKEGPGTARSSPGSLKRKATSVGPKIKRFRMDNEESMELKITWEEAQELLRPPLKAPSVVIVDGHEFEEYEEPPILGRRTYFVTDQSGTSCESAQEATTDELAELFRIKAGAAKKPKARIELDSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFKTLMLRREKRLSEKDTEEPRRKEVPQTGSDPPLGSTSPTSSPQKADANPDDAEDMIVDHRMSSSPVKNQIDLNIQPEREDEQSPKSNAVGAARLPRDNPT* >Brasy6G167400.1.p pacid=40048524 transcript=Brasy6G167400.1 locus=Brasy6G167400 ID=Brasy6G167400.1.v1.1 annot-version=v1.1 MNVKGAARVPVPPAGAGALAKVLLLGGAAVYAATNSFYNVEGGHRAIVFNRIQGIKDRVYPEGTHFMIPWFERPTIYDVRARPNLVESTSGSKDLQMVKIGLRVLTRPMPEKLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAELIGQAIANNPAFLALRHIEAAREISHTIASSANKVFLDSNDLLLNLQQLNVGGNKQKK* >Brasy6G161800.1.p pacid=40048525 transcript=Brasy6G161800.1 locus=Brasy6G161800 ID=Brasy6G161800.1.v1.1 annot-version=v1.1 MSTSSRKRAAADQEPRVDGGKKRPRYAFGSIYGYEKLESLGEGAYGEVIKARHLRTSKKVAVKWVRGDGDGGHGPPDFRAFTREAGCQATCRGHPSIVEILDVVGDAKTGDMFIVMELVAGGQSLREQIYRPLSEDVTRVMMRQLIDATKKMHGAGIIHRDIKPENVLVGIFGGLKVCDFGAAARQKPAGVLYEDCRVGTMIYTSPEQLSGNRNYGPAVDMWALGCIMAELLGGENLFEAETEKDMLAEMSKLREQVRTTGKLDLEWFAELSEAGREILMGLLAFCPEERLTAAEALEHRWFSKSSAFA* >Brasy6G066600.1.p pacid=40048526 transcript=Brasy6G066600.1 locus=Brasy6G066600 ID=Brasy6G066600.1.v1.1 annot-version=v1.1 MGPSPSPFLPHPLRRKTLDHRRPPHPDRNGAQAVVEEDRQRPRGVVLLWSATEEEDADLQAAIAASKEEVVPLPQTTEEEEAEMLRKAAEESELAELAVWPELARALHMSAQEVATAAPALPPAAAPAPAPWGLQVKEEEEDDDGWVWEDRGRHGSSGSRGNTRRRTRRWRTRRNLRRWSSGLHRHRP* >Brasy6G111600.1.p pacid=40048527 transcript=Brasy6G111600.1 locus=Brasy6G111600 ID=Brasy6G111600.1.v1.1 annot-version=v1.1 MASSSPPPPPQELADDDQRPHNPLLAAAAAASLLAVLYIPLPVLQLLLSPAPLSSVILLLCLLRLGSPPPPPATAAPPHPPPEHASEVAPPPPPPPPKPTQNAVLLEAEFASWAPKGRALEVIHEEFEAEWGPEEMGLPWTSDSDSDSDSGSDDYYGGGGRGREEYGMIEIELEEDNLIEIDISSCR* >Brasy6G097600.1.p pacid=40048528 transcript=Brasy6G097600.1 locus=Brasy6G097600 ID=Brasy6G097600.1.v1.1 annot-version=v1.1 MLTNWSITKTRLSQFRDLRAEEKMGKFHHLPKRDVAILKRKLSTLQDISAGSNI* >Brasy6G021200.1.p pacid=40048529 transcript=Brasy6G021200.1 locus=Brasy6G021200 ID=Brasy6G021200.1.v1.1 annot-version=v1.1 MAQAERERMSVLMFPWLAHGHVTPYLELARRLVTSDHLDVVVHLVSTPANLAHIAASTIPDNLRLVELRLPVDEKNLPASLHTTKRLPSRLMPALKRACDLAAPRFGALIDEIRPDLVLYDFLQPWAPLEAARRGVPAAHFSTCSAAATAFFLHCLRTDRSPSAFPFDAISLGGAAQDAKYTALLSARKAEGGDAPPPLVPERDRLPLSLDRSTEFVAVKTCADIERKYMDYLSRLTGKEIIPVGPLLVPDGPENPVGESEDVMRWLDGEEPGSAVLVSFGSEYFLSEHQMAQMARGLELSGAPFLWVVRFPDDDDTDTDNGGGGAARSLPRDYAPARGKVVRGWAPQRRVLAHGACGAFLTHCGWSSVLEAMAAGVPMVAMPLHIDQPLNANLALELGAAAARVEQPERFGEFAAEDVARAVRAAVNGEEGKAARRRAAELREVVARNNDGDDARRQVGVLLEKMARLCGKGQGQAVAN* >Brasy6G047700.1.p pacid=40048530 transcript=Brasy6G047700.1 locus=Brasy6G047700 ID=Brasy6G047700.1.v1.1 annot-version=v1.1 MGDQSLSLGVLIDVVDEQWMRDTLPADDIPFPPQMAVKTEETEDPAPANQESQPAQGDVWRDFALETI* >Brasy6G047700.2.p pacid=40048531 transcript=Brasy6G047700.2 locus=Brasy6G047700 ID=Brasy6G047700.2.v1.1 annot-version=v1.1 MGDQSLSLGVLIDVVDEQWMRDTLPADDIPFPPQMAVKTEETEDPAPANQESQPAQGDVWRDFALETI* >Brasy6G047700.3.p pacid=40048532 transcript=Brasy6G047700.3 locus=Brasy6G047700 ID=Brasy6G047700.3.v1.1 annot-version=v1.1 MGDQSLSLGVLIDVVDEQWMRDTLPADDIPFPPQMAVKTEETEDPAPASSVLSWPRDYY* >Brasy6G047700.4.p pacid=40048533 transcript=Brasy6G047700.4 locus=Brasy6G047700 ID=Brasy6G047700.4.v1.1 annot-version=v1.1 MGDQSLSLGVLIDVVDEQWMRDTLPADDIPFPPQMAVKTEETEDPAPASSVLSWPRDYY* >Brasy6G258400.1.p pacid=40048534 transcript=Brasy6G258400.1 locus=Brasy6G258400 ID=Brasy6G258400.1.v1.1 annot-version=v1.1 MTQEGEASGSGAPALRRGRSSAVAATSTTTAAQVRTRRQSSGSQSAQRRKRKRREAGNPRSSKRKRASEEQEEEEVSSAGSSPLRELDVPDEIYDLNDPGIWKVIDKAQAEIDEKLARRTAWATLNMRMLRGSMCVLDDPKLVPDRESARKAVLNAAQSFVGLTSSVGGKPLARSCGFWVHWDEEKKIGTVLTTSRLICTKSPSRSAWLGQEEYDIDAEVLVHLRGNTTEKAQLQYHQKHYDLAFFKVKVDQPVHILPFNDGVKHGDLVLELGRDEQSFLRISHGVVRYSRENLLERYHYMHVDGADQHAKYGKGGPLIDFDGKIVGMFNGNTRGSFIPSSILVKCLQLWRKFQCIPRPHLGMKFWSIKFVDIAVAENILCMCNIDDGLIVEEVSQGSLAERLGIRDGDVIRCVDGEHISTTVELENMLLGKCEDESGSLNSKVDIELWIFHIRKSLWRSRTLNVNVLDDGEVVDKGVRCCPWKRELSETPGSVPCPT* >Brasy6G258400.2.p pacid=40048535 transcript=Brasy6G258400.2 locus=Brasy6G258400 ID=Brasy6G258400.2.v1.1 annot-version=v1.1 MTQEGEASGSGAPALRRGRSSAVAATSTTTAAQVRTRRQSSGSQSAQRRKRKRREAGNPRSSKRKRASEEQEEEEVSSAGSSPLRELDVPDEIYDLNDPGIWKVIDKAQAEIDEKLARRTAWATLNMRMLRGSMCVLDDPKLVPDRESARKAVLNAAQSFVGLTSSVGGKPLARSCGFWVHWDEEKKIGTVLTTSRLICTKSPSRSAWLGQEEYDIDAEVLVHLRGNTTEKAQLQYHQKHYDLAFFKVKVDQPVHILPFNDGVKHGDLVLELGRDEQSFLRISHGVYGKGGPLIDFDGKIVGMFNGNTRGSFIPSSILVKCLQLWRKFQCIPRPHLGMKFWSIKFVDIAVAENILCMCNIDDGLIVEEVSQGSLAERLGIRDGDVIRCVDGEHISTTVELENMLLGKCEDESGSLNSKVDIELWIFHIRKSLWRSRTLNVNVLDDGEVVDKGVRCCPWKRELSETPGSVPCPT* >Brasy6G258400.3.p pacid=40048536 transcript=Brasy6G258400.3 locus=Brasy6G258400 ID=Brasy6G258400.3.v1.1 annot-version=v1.1 MTQEGEASGSGAPALRRGRSSAVAATSTTTAAQVRTRRQSSGSQSAQRRKRKRREAGNPRSSKRKRASEEQEEEEVSSAGSSPLRELDVPDEIYDLNDPGIWKVIDKAQAEIDEKLGGKPLARSCGFWVHWDEEKKIGTVLTTSRLICTKSPSRSAWLGQEEYDIDAEVLVHLRGNTTEKAQLQYHQKHYDLAFFKVKVDQPVHILPFNDGVKHGDLVLELGRDEQSFLRISHGVVRYSRENLLERYHYMHVDGADQHAKYGKGGPLIDFDGKIVGMFNGNTRGSFIPSSILVKCLQLWRKFQCIPRPHLGMKFWSIKFVDIAVAENILCMCNIDDGLIVEEVSQGSLAERLGIRDGDVIRCVDGEHISTTVELENMLLGKCEDESGSLNSKVDIELWIFHIRKSLWRSRTLNVNVLDDGEVVDKGVRCCPWKRELSETPGSVPCPT* >Brasy6G155200.1.p pacid=40048537 transcript=Brasy6G155200.1 locus=Brasy6G155200 ID=Brasy6G155200.1.v1.1 annot-version=v1.1 MVSFTARRSEPELMAPARPTPRETKLLSDLDDQWTLRFYESVVGFFRSPPGKENRAGAGGNNVAKALKAALAGALTHYYPIAGRLRKLPGGNKLAVDCTAEGVVFVEAAADVRLEELGEPLLPPYPCVEEFLGDAGDPRDVVGKPLLYMQVTQLKCGGFVIGLHMCHCIADGFGILQFIKSIADFACGELVPTTLPVWKRDVYTARVPPSMDHVYPAYKPFLRGLDCTGDDVMLSTPPENMVVQYFFFGPREIETLRSHITGQLSKSATTFELITAVMWRCRTLALGYGSCQKVRVMFTLNARGRSINGGTPLPHGYYGNAHFSPMVEATVDELTGRPLSHILELMRKAKLDTTKDCMESMVDLTALWREQPPFDMDRVYEVSDTKWIGGGNALRLGKAELIAAGTPLAGDLVSKLISYHTRCKNEDGEDSTVVSLLLPKPAMERYTKEMSVWLR* >Brasy6G269900.1.p pacid=40048538 transcript=Brasy6G269900.1 locus=Brasy6G269900 ID=Brasy6G269900.1.v1.1 annot-version=v1.1 MAAVPRPSWSRSVSETVRGSHQYTVKGFSLAKGIGPGRHLASDTFAVGGYDWAVYLYPDGKNPEDNASYVSVFVALASEGTDVRALFELTLLDQSGRARHKVHSHFDRSMQAGPYTLKYRGSMWGYKRFYRRSQLETSDFLKNDCLVMNCTVGVVKNRLETPKNIQINVPPSDIGRCFKELLRLRIGCDITFEVGDEKVQAHKWILAARSPVFKAQFFGPIGKPDLDRVVVEDVEPIVFKAMVNFIYSDELPSIQELAGSFSMWTSTAVIQHLLAAADRYGLDRLRILCEAQLCDGLTAETVATTLALAEQHHCAQLKSACLKFTAVRRNLGAVMGTDGFNYLEETCPSLLSDLLATVAVVDDDPTSVNRKRGVCINEDVNPVESVEASDRRTRRRV* >Brasy6G269900.2.p pacid=40048539 transcript=Brasy6G269900.2 locus=Brasy6G269900 ID=Brasy6G269900.2.v1.1 annot-version=v1.1 MAAVPRPSWSRSVSETVRGSHQYTVKGFSLAKGIGPGRHLASDTFAVGGYDWAVYLYPDGKNPEDNASYVSVFVALASEGTDVRALFELTLLDQSGRARHKVHSHFDRSMQAGPYTLKYRGSMWGYKRFYRRSQLETSDFLKNDCLVMNCTVGVVKNRLETPKNIQINVPPSDIGRCFKELLRLRIGCDITFEVGDEKVQAHKWILAARSPVFKAQFFGPIGKPDLDRVVVEDVEPIVFKAMVNFIYSDELPSIQELAGSFSMWTSTAVIQHLLAAADRYGLDRLRILCEAQLCDGLTAETVATTLALAEQHHCAQLKSACLKFTAVRRNLGAVMGTDGFNYLEETCPSLLSDLLATVAVVDDDPTSVNRKRGVCINEDVNPVESVEASDRRTRRRV* >Brasy6G043700.1.p pacid=40048540 transcript=Brasy6G043700.1 locus=Brasy6G043700 ID=Brasy6G043700.1.v1.1 annot-version=v1.1 MATAGSEGGGGDVKLLGLRLSPFVARVRMALAAKGVRYEYIEQDLAAKSGLLLRSNPVHRKVPVLIHGGRPVCESLVILHYIDEAWPIAAPGPAILPRGPLERAAARFWAAYVDGELFPAWGRVMMAAEEDERDERAPREAAQMVARLEEVFVAGAGAGGGFFGGDAMGYLDFVLGNNLFWFEALRRMFGVALIDESRTPRLAAWAERVGKAAAAEGMIMSEKLVEMAVEHVKKLRAAAKRE* >Brasy6G141500.1.p pacid=40048541 transcript=Brasy6G141500.1 locus=Brasy6G141500 ID=Brasy6G141500.1.v1.1 annot-version=v1.1 MEDIVDMPLICSLYGDPSTTHIDPHPPPPQPIPSTPSSSSCGSPPSFLQTLAQALMSTAAQPPVSVSGDSSPSSPTTSGSSSRSSSAAAAGSEDPALLGQATSTSAGDDAAVPTSPRMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETNTALVAAAASPPPPRCPDSRTGCLASLTIKLIPSANAFRVTDFVIEHNHPLASAAPALSLAMIPSSSSHHTIAAVASLPDPRDGPQTDMHFETEDDAYVFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHEGLAIASTNTGTGPAGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFFADHNHPLANSETVHKLRSHKMKARAHELGTGEHHRKKQGKGVQLGDAGAALEYLERLQVGNPSVYYVVGMGPDGHSATNFFWADAKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDSIQSLKWVFQAFADAMRARQPKTILIDERSACAIAAAEVWPGSNYCTSVWHIYHNSKRHLKQVFENSKSFGNALSQCLFDCEDEMEFLSAWEKLVEKYEISESEWLSTLFLEKEKWALPYRRTIFSADIITTLRKDNMINELKRELSELEDILQFFKRYETMLEEHRSKKLQADVDGNQVTLPIPSLRMLKQASNAYTPEAFKIFQGEFEAYMNCMSFPCTVIGTISEHKVTLDEKPSEGIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVRMGEEPNYGSVSSMRSSSEVRFGNMCRFVSLIASRAAKSEEAVAYIESQSSVFLKQLDDILQTGYPEIGNHDVASSSQAISFVENQHPDHTTQARAVAQANAAFGPQSHIWPLPSSSVVLCLSELAISGHQHGELHC* >Brasy6G141500.2.p pacid=40048542 transcript=Brasy6G141500.2 locus=Brasy6G141500 ID=Brasy6G141500.2.v1.1 annot-version=v1.1 MEDIVDMPLICSLYGDPSTTHIDPHPPPPQPIPSTPSSSSCGSPPSFLQTLAQALMSTAAQPPVSVSGDSSPSSPTTSGSSSRSSSAAAAGSEDPALLGQATSTSAGDDAAVPTSPRMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETNTALVAAAASPPPPRCPDSRTGCLASLTIKLIPSANAFRVTDFVIEHNHPLASAAPALSLAMIPSSSSHHTIAAVASLPDPRDGPQTDMHFETEDDAYVFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHEGLAIASTNTGTGPAGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFFADHNHPLANSETVHKLRSHKMKARAHELGTGEHHRKKQGKGVQLGDAGAALEYLERLQVGNPSVYYVVGMGPDGHSATNFFWADAKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDSIQSLKWVFQAFADAMRARQPKTILIDERSACAIAAAEVWPGSNYCTSVWHIYHNSKRHLKQVFENSKSFGNALSQCLFDCEDEMEFLSAWEKLVEKYEISESEWLSTLFLEKEKWALPYRRTIFSADIITTLRKDNMINELKRELSELEDILQFFKRYETMLEEHRSKKLQADVDGNQVTLPIPSLRMLKQASNAYTPEAFKIFQGEFEAYMNCMSFPCTVIGTISEHKVTLDEKPSEGIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVRMGEEPNYGSVSSMRSSSEVRFGNMCRFVSLIASRAAKSEEAVAYIESQSSVFLKQLDDILQTGYPEIGNHDVASSSQAISFVENQHPDHTTQARAVAQANAAFGPQSHIWPLPSSSVVLCLSELAISGHQHGELHC* >Brasy6G141500.3.p pacid=40048543 transcript=Brasy6G141500.3 locus=Brasy6G141500 ID=Brasy6G141500.3.v1.1 annot-version=v1.1 MEDIVDMPLICSLYGDPSTTHIDPHPPPPQPIPSTPSSSSCGSPPSFLQTLAQALMSTAAQPPVSVSGDSSPSSPTTSGSSSRSSSAAAAGSEDPALLGQATSTSAGDDAAVPTSPRMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETNTALVAAAASPPPPRCPDSRTGCLASLTIKLIPSANAFRVTDFVIEHNHPLASAAPALSLAMIPSSSSHHTIAAVASLPDPRDGPQTDMHFETEDDAYVFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHEGLAIASTNTGTGPAGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFFADHNHPLANSETVHKLRSHKMKARAHELGTGEHHRKKQGKGVQLGDAGAALEYLERLQVGNPSVYYVVGMGPDGHSATNFFWADAKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDSIQSLKWVFQAFADAMRARQPKTILIDERSACAIAAAEVWPGSNYCTSVWHIYHNSKRHLKQVFENSKSFGNALSQCLFDCEDEMEFLSAWEKLVEKYEISESEWLSTLFLEKEKWALPYRRTIFSADIITTLRKDNMINELKRELSELEDILQFFKRYETMLEEHRSKKLQADVDGNQVTLPIPSLRMLKQASNAYTPEAFKIFQGEFEAYMNCMSFPCTVIGTISEHKVTLDEKPSEGIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVRMGEEPNYGSVSSMRSSSEVRFGNMCRFVSLIASRAAKSEEAVAYIESQSSVFLKQLDDILQTGYPEIGNHDVASSSQAISFVENQHPDHTTQARAVAQANGLTSLLGISACPEPNGQLSGSIGSL* >Brasy6G141500.4.p pacid=40048544 transcript=Brasy6G141500.4 locus=Brasy6G141500 ID=Brasy6G141500.4.v1.1 annot-version=v1.1 MEDIVDMPLICSLYGDPSTTHIDPHPPPPQPIPSTPSSSSCGSPPSFLQTLAQALMSTAAQPPVSVSGDSSPSSPTTSGSSSRSSSAAAAGSEDPALLGQATSTSAGDDAAVPTSPRMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETNTALVAAAASPPPPRCPDSRTGCLASLTIKLIPSANAFRVTDFVIEHNHPLASAAPALSLAMIPSSSSHHTIAAVASLPDPRDGPQTDMHFETEDDAYVFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHEGLAIASTNTGTGPAGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFFADHNHPLANSETVHKLRSHKMKARAHELGTGEHHRKKQGKGVQLGDAGAALEYLERLQVGNPSVYYVVGMGPDGHSATNFFWADAKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDSIQSLKWVFQAFADAMRARQPKTILIDERSACAIAAAEVWPGSNYCTSVWHIYHNSKRHLKQVFENSKSFGNALSQCLFDCEDEMEFLSAWEKLVEKYEISESEWLSTLFLEKEKWALPYRRTIFSADIITTLRKDNMINELKRELSELEDILQFFKRYETMLEEHRSKKLQADVDGNQVTLPIPSLRMLKQASNAYTPEAFKIFQGEFEAYMNCMSFPCTVIGTISEHKVTLDEKPSEGIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVRMGEEPNYGSVSSMRSSSEVRFGNMCRFVSLIASRAAKSEEAVAYIESQSSVFLKQLDDILQTGYPEIGNHDVASSSQAISFVENQHPDHTTQARAVAQANGLTSLLGISACPEPNGQLSGSIGSL* >Brasy6G077900.1.p pacid=40048545 transcript=Brasy6G077900.1 locus=Brasy6G077900 ID=Brasy6G077900.1.v1.1 annot-version=v1.1 MLIVHSGDPILLSTMANVKFILEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVDTLIVWENLDINRYVLKHSTSGEVIIKHLNKEQELDQSNFRDPETNAELEVQDKTSLLEWFANEYKKFGCSLEFVTNKSQEGWQFCRGFGGIGGMLCYQLDIRSFDEVSDDEGLYEDSD* >Brasy6G146700.1.p pacid=40048546 transcript=Brasy6G146700.1 locus=Brasy6G146700 ID=Brasy6G146700.1.v1.1 annot-version=v1.1 MGGGHDRGGEGGGLGGGDDNSRWSTAGGGGWAKVTGEAYWDPRASSKGNCTDEIVEVKKDSSVQKRQYNNMLVALEGENRRLLQTVAELEVVIKKMKKEKKLNERSHLEEIRGRDRKELCILMLVGACAIGYALTALITRGFI* >Brasy6G039700.1.p pacid=40048547 transcript=Brasy6G039700.1 locus=Brasy6G039700 ID=Brasy6G039700.1.v1.1 annot-version=v1.1 MAAGKASVRTRPNVLVTGTPGTGKTTTCSLLADAAGLAHVNIGDLVREKGLHDGWDENLECHVINEDLVCDELEDRMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARESYKEDIVMPLRSDNVEDISKNVGTLTEWTNNWRPSQ* >Brasy6G195700.1.p pacid=40048548 transcript=Brasy6G195700.1 locus=Brasy6G195700 ID=Brasy6G195700.1.v1.1 annot-version=v1.1 MGQHSKRTRAPAPQPVPPSVPRSVPPLAPQSVPPRPPHPFLPSAFTSMHGPGSWIPPRPPQSVAPSSASSWLAGLQQQSAAGDSAQGPCWAPPADIGGSASPWYTTGNIDDSNPQAWGMDSYLPGGFLSSLFKNTSSQAQAVSNGTPHQPINVGDDNNCGNCPRTEKRLLWTKEEDRRLVSAWLNNSNDPIQSNYKKNDQYWKDVAAVYNSTTPKNRARLVKQVKDRFARIKKRVAWFCASWKEANALYASGESDVDLRERAMRTYEADHKEDGPFMFEHCWEFLKKEPKWDAYLERLEDLEPDKRKFSVDDEVGQHFTLDDARDERPPGGKQAKEQRKRKIKDQDCIIDLEVELTKFVDAQSAANEGRKEMLETQRRVSSENLEARKLACLAAKDHKESVMLETYRSLMMQDTSVMPEDVRSEHVLALKCFRERLFGKTD* >Brasy6G138500.1.p pacid=40048549 transcript=Brasy6G138500.1 locus=Brasy6G138500 ID=Brasy6G138500.1.v1.1 annot-version=v1.1 MRSGGGPRSAERRQKGLGNSCAGNQIWGTNDDFLRSLGTTGLGKSGGGDVLLGSWRPATSSWTRAPTADPRERMRAMRRTSARGSGVWVGSPWG* >Brasy6G200300.1.p pacid=40048550 transcript=Brasy6G200300.1 locus=Brasy6G200300 ID=Brasy6G200300.1.v1.1 annot-version=v1.1 MGAAPARSGMDGVRQAGSIDGSYGAVGAQQQKRESERTESEYNFHLKWLGVNCIKWWLYIFDSSCLSSKAHAKAASTVSILVLWETWKERNHRVFQNKLSSSSHVLAIIKEEAAAWKCAGADLGALASGSDDVP* >Brasy6G115500.1.p pacid=40048551 transcript=Brasy6G115500.1 locus=Brasy6G115500 ID=Brasy6G115500.1.v1.1 annot-version=v1.1 MSQRGDRGEGHARRPGRSSSFSGHRGGGVGGAGKGGGGPSGGQPPLSSNRSFRKPGNGHGGHQRVVNQPDTTGFQPAPAPGPLQTPPPRPPVPQNVRVHVPVSAPRPQNHDSSGVQAPSLSPASENPVYIPLPKNIPRAAPKAPSKSSNAPSPQGAPKGESSKGFNLQFGSINMNGLPQFPARTTSAPPNLDEQKRNQVLPEGLKVAPSMPVPPAVKQLHPPPQQQQPTPQKQQQLQPMPQHQHQPSTQQQQQQQLLQQQQTRKDALGPSQSNSVNTHVPSQVKRDVLVSPSIPNVAQLRPTVQSLPGMPMHFHPQQPQGPLQFGGHNQGVVPSSMQMSMGLPGGNVSQVQQQMYVQNLQQHQLQQMMHQGQPMMYPGQLQPQLTNVGLNMAQQYPQQHQNKLVAPPRRSGVKIIDPHTNKEVVLHNKPVQRPPSSNVTTQSQQASGFAAPHMGYYPSPQQSYNQSGMYYPSTTGVGQLPTGSQAPRFTYPATQAGQPIAFMNPSMSNTVPASHKDNIAAPAASGQSHITGKLHQGGLHMEKSIPTVKISTPPVKPDTPKLRVTEHAAPHQQKDNEVISGIVVSNKSNEKESKAPSATEKHSTAVTQPLLIQATKLETDAVTSPVANSPSFLSGADRKNKEVIQRTDSVKDNKKNASRKDTKNSPQHPHSVSPAEELKVQSSMKFGDVVGPMEANSFNSNNELSLTSTASNLTAVSSESTISAVVDASEANSTSINASDDPNTDISSAKLSSESTGELFVAAAEFESDITQKISPESSGDKISSKGAENESVQTCTMDLVQQASVEPPKPDNSDTAACVTDLKELQKDSTPSIPEEQSIVNRSHGNTEVSSASVDGNALSAADSATSSESTIQISNDKDDTSIQDAGLAISSITPSMLPVNHSVTSEGQGEHADAVKDQSSVDQSSAAPTGSIQPLSREKPTAELTRTKSTSGKKKKRKEMLSKADAAGTSDLYNAYKGPEEQLESTVTAEGADSSSMVDGTDVLPEESEREVSAREDDGKKKVEPDDWEDAADMSTPKLHSSGSGNQASVVQVPDSDTNEANGRKKYSRDFLLTFAHQYPGLPVGIRMDNVTSSLFKDLVGKSYVIDREPHPSPGRGSDRPTSRGDRRGAAMDDDKWTKLGIPFSPGRDAHMDLTNGPAVMNYRGAPGVNHGVLRNPRGGLLVGPMQSVAPQMSRSGSDADRWQQKGLMPSPVTPMQIMHKAEKKYVVGKVSDEEQAKQRQLKAILNKLTPQNFDKLFESVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEVKQTKEEREEKRVRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGGDFETLDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFERMRSLSTNQLISSRVRFLLRDSIDLRKNRWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGPVASSAPRRGAPPMDYSSRGSAAALVSPGSQQAGSRGMPSHSRGFGHQDIRFEQERHQFDGRTVPLPQRSVKDEITLGPQGGLARGMSLRGQPPLSNVELPSVDNRRVVAGANGYNSVASTPREDTSSRIPDRISGRTAPATQSAGSSHRPASQEGRTGNKSYSEDDLREKSIAAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLFVGLYSGGHNLLSKPQLIEGISSVLASLEDALSDSPRASEYLGRLLARFVLEKILLLQDVGRLIEQGGEEPGYLVREGIAADILGAVLESIKVEKGDAVLNEAKSSSNLKLEDFRPQHLKRSKLDAFM* >Brasy6G115500.2.p pacid=40048552 transcript=Brasy6G115500.2 locus=Brasy6G115500 ID=Brasy6G115500.2.v1.1 annot-version=v1.1 MSQRGDRGEGHARRPGRSSSFSGHRGGGVGGAGKGGGGPSGGQPPLSSNRSFRKPGNGHGGHQRVVNQPDTTGFQPAPAPGPLQTPPPRPPVPQNVRVHVPVSAPRPQNHDSSGVQAPSLSPASENPVYIPLPKNIPRAAPKAPSKSSNAPSPQGAPKGESSKGFNLQFGSINMNGLPQFPARTTSAPPNLDEQKRNQSVSPAEELKVQSSMKFGDVVGPMEANSFNSNNELSLTSTASNLTAVSSESTISAVVDASEANSTSINASDDPNTDISSAKLSSESTGELFVAAAEFESDITQKISPESSGDKISSKGAENESVQTCTMDLVQQASVEPPKPDNSDTAACVTDLKELQKDSTPSIPEEQSIVNRSHGNTEVSSASVDGNALSAADSATSSESTIQISNDKDDTSIQDAGLAISSITPSMLPVNHSVTSEGQGEHADAVKDQSSVDQSSAAPTGSIQPLSREKPTAELTRTKSTSGKKKKRKEMLSKADAAGTSDLYNAYKGPEEQLESTVTAEGADSSSMVDGTDVLPEESEREVSAREDDGKKKVEPDDWEDAADMSTPKLHSSGSGNQASVVQVPDSDTNEANGRKKYSRDFLLTFAHQYPGLPVGIRMDNVTSSLFKDLVGKSYVIDREPHPSPGRGSDRPTSRGDRRGAAMDDDKWTKLGIPFSPGRDAHMDLTNGPAVMNYRGAPGVNHGVLRNPRGGLLVGPMQSVAPQMSRSGSDADRWQQKGLMPSPVTPMQIMHKAEKKYVVGKVSDEEQAKQRQLKAILNKLTPQNFDKLFESVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEVKQTKEEREEKRVRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGGDFETLDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFERMRSLSTNQLISSRVRFLLRDSIDLRKNRWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGPVASSAPRRGAPPMDYSSRGSAAALVSPGSQQAGSRGMPSHSRGFGHQDIRFEQERHQFDGRTVPLPQRSVKDEITLGPQGGLARGMSLRGQPPLSNVELPSVDNRRVVAGANGYNSVASTPREDTSSRIPDRISGRTAPATQSAGSSHRPASQEGRTGNKSYSEDDLREKSIAAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLFVGLYSGGHNLLSKPQLIEGISSVLASLEDALSDSPRASEYLGRLLARFVLEKILLLQDVGRLIEQGGEEPGYLVREGIAADILGAVLESIKVEKGDAVLNEAKSSSNLKLEDFRPQHLKRSKLDAFM* >Brasy6G248600.1.p pacid=40048553 transcript=Brasy6G248600.1 locus=Brasy6G248600 ID=Brasy6G248600.1.v1.1 annot-version=v1.1 MEGGAHGFDGSAFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRAVDKNTWLQEMIVSMAVAGAIVGAAAGGWANDRFGRRASLLAADVLFFAGAAVMASATGPAQLVAGRVLVGLGVGVASMTAPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTRAPGTWRWMLGVAGVPAVVQFALMLFLPESPRWLYRKGREGEAEAILRRIYTAEEEVEREMAELKESVSSESETKAGLAAAVGNAAVRRALVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSVVSIFFIDRTGRRKLLVISLAGVVATLALLSAVFHQATSHSPLVGAADTAKFDASLTCPAYRSSLSSSSPSSSPAIWDCTRCLKATDCGFCAGQQKLLPGACLVANSTSKDACAIHGHGGGGSSWYTRGCPSRYGWLAMAGLALYIAFFSPGMGTVPWIVNSEIYPLRYRGVCGGAAATANWAANLAVAQSFLSLTEAVGPAWTFLVFGAMSVLALGFVLLCVPETKGLPIEEVEKMLLKRRFSLRFWKAPAAEERPDGGKNEGV* >Brasy6G152400.1.p pacid=40048554 transcript=Brasy6G152400.1 locus=Brasy6G152400 ID=Brasy6G152400.1.v1.1 annot-version=v1.1 MAAEGEAKDTNHVGGSGGGENTLEAAEEEVMHEAGGHQSSQEPEGEGEGEENKDREGKYDDAGKEDNGCKEIVLVEDPVMVEDPEEAAATAALQEEMRVLVASVAEGAGALFTAIQLQELEQQSRIYQYMAARVPVPTHLVFPIWKSVTGASSEGAQNYPTLMGLATLCLDFGKSPELEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQLVVEDDDPDSASTSSSGKVTEGGKKTDDKSSSSKKPAVAAPATVEST* >Brasy6G152400.2.p pacid=40048555 transcript=Brasy6G152400.2 locus=Brasy6G152400 ID=Brasy6G152400.2.v1.1 annot-version=v1.1 MAAEGEAKDTNHVGGSGGGENTLEAAEEEVMHEAGGHQSSQEPEGEGEGEENKDREGKYDDAGKEDNGCKEIVLVEDPVMVEDPEEAATAALQEEMRVLVASVAEGAGALFTAIQLQELEQQSRIYQYMAARVPVPTHLVFPIWKSVTGASSEGAQNYPTLMGLATLCLDFGKSPELEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQLVVEDDDPDSASTSSSGKVTEGGKKTDDKSSSSKKPAVAAPATVEST* >Brasy6G090400.1.p pacid=40048556 transcript=Brasy6G090400.1 locus=Brasy6G090400 ID=Brasy6G090400.1.v1.1 annot-version=v1.1 MTSRAHRQGLLPDRSLGFPIWLRRRRRTALRRARPPAAHRAPVSCSRSPRPLRCRRRRPGVLFLSPRQQARHGRTFRRSCWASCSRGCRRTPTASASPPSAAVSLPAGGAVREDCIYFMNEENTAGGNHENPLLDSGFYNMRDRTVTPLLLETSATPAAGDGPWPPAWFFPKT* >Brasy6G007700.1.p pacid=40048557 transcript=Brasy6G007700.1 locus=Brasy6G007700 ID=Brasy6G007700.1.v1.1 annot-version=v1.1 MAPPAAATYAPTLPMATYLPIGTPSSSSSPAAAGGAHEPQTLPFFLSPLPPPPPPLPAGHRVLSSLPPPPPPWPIAVRVPPAFLFEADFRRSHSLVQFLESEGAVPTAEEDKTREQVIRKLKEIVMDWAKVVAYEQRVPPRRVTATVLTYGSYTLGAHGPESDIDALCVGPCIATLQHHFFVALRQILERRPEVSGMQTVENAKVPLMRFTFSGISVDLTYAQLPVVDAVEAINTSSPQFLRRLDSRSWRSLSGVRVNEQIVQLVPNAKKFQVLLRSIKLWARRRGLHCHLLGFFAGIHLAILAAYVCQRYPNATANGLFTMFFEIFAHWDWRIPVNLHGEPTDCKCSDGYYMPIVMPCTPPELCMSNMTKGTFKKIREELMRGYALTKDLWRHDFEWTWLFAPFPYATRYQQFLRIALCSPTSEELRDWTGWVKSRFRQLILKLESNGLECDPYSSEEVDHRVIKPNIMYHWGLISEANTYLDISSLREDFMKDIINDVYGKVKCRRSELTLSVVGSSLLPKSMYSDSAYFGYMPQHMVGYQPAHDCWRAAG* >Brasy6G007700.2.p pacid=40048558 transcript=Brasy6G007700.2 locus=Brasy6G007700 ID=Brasy6G007700.2.v1.1 annot-version=v1.1 MAPPAAATYAPTLPMATYLPIGTPSSSSSPAAAGGAHEPQTLPFFLSPLPPPPPPLPAGHRVLSSLPPPPPPWPIAVRVPPAFLFEADFRRSHSLVQFLESEGAVPTAEEDKTREQVIRKLKEIVMDWAKVVAYEQRVPPRRVTATVLTYGSYTLGAINTSSPQFLRRLDSRSWRSLSGVRVNEQIVQLVPNAKKFQVLLRSIKLWARRRGLHCHLLGFFAGIHLAILAAYVCQRYPNATANGLFTMFFEIFAHWDWRIPVNLHGEPTDCKCSDGYYMPIVMPCTPPELCMSNMTKGTFKKIREELMRGYALTKDLWRHDFEWTWLFAPFPYATRYQQFLRIALCSPTSEELRDWTGWVKSRFRQLILKLESNGLECDPYSSEEVDHRVIKPNIMYHWGLISEANTYLDISSLREDFMKDIINDVYGKVKCRRSELTLSVVGSSLLPKSMYSDSAYFGYMPQHMVGYQPAHDCWRAAG* >Brasy6G177000.1.p pacid=40048559 transcript=Brasy6G177000.1 locus=Brasy6G177000 ID=Brasy6G177000.1.v1.1 annot-version=v1.1 MKIVSWNCRGLGNRPAVRGLLELQKSENPDMVFLSETRLVKSKLERFRWMLGLPNLLVRDCDETGGRGVALFWRSDVDVVLHNYSRYHIDVEVTESSGFVWRFTGVYGESNSDKKHVTWRLMRELNIQLDRPWLCAGDFNEILFSHEKEGGRPKSQQKMEHFKVALEQCDLHDLGFEGDRFTWRNNSKTKEDYIRQWLDCAVANSRWCAHFPNSPVHNGDPRHSDHRPVIINTEEGVKRERWKGVSGNFKFEARWLAENDCRGIVEKAWEDAALMSGSSVLETLSKVARDLKAWDRDVLGDLEKRLNKAKVDLEKCRRRNLNAYNVSQEGLLRRKVDKLEEETDTSWRQRSHTDWLKMGDRNTAYFHARVKERRRINTIGKLQKEGGGWAVKEEEKKEVVRFWRQLRLELLLR* >Brasy6G160600.1.p pacid=40048560 transcript=Brasy6G160600.1 locus=Brasy6G160600 ID=Brasy6G160600.1.v1.1 annot-version=v1.1 MAMLRYVAGLALRRRTTSPAAVVPRSFGSPGCSGPASGLALLQRPASPAAAPRFFSNGRSSNTKSTHGEPNPITFKEKMKIVSETVHLLTGFSAVLLKVMFVGIAINLLIKDLSGPRYVPTIARVMFGPIEDLKELHDLHPRGRKESNEGVAESE* >Brasy6G103100.1.p pacid=40048561 transcript=Brasy6G103100.1 locus=Brasy6G103100 ID=Brasy6G103100.1.v1.1 annot-version=v1.1 MNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELVNKNITGFGVGTGRLSTDQKKKLLWGSKKSNPAETSTHWDSNLFSDRERQEKFNKLMGVKSSASVSVQESKTDNKEEGSVEVKKQEELDTDLEKLYVAGLRRRDGRTVGLGL* >Brasy6G213100.1.p pacid=40048562 transcript=Brasy6G213100.1 locus=Brasy6G213100 ID=Brasy6G213100.1.v1.1 annot-version=v1.1 MVGSIHVRAGVGCGGRGSSGEVALAAAAGSLAPASATARSTAPGEEGGGRGASGEVALAVAAGSAAARSPASGGRRWWPRRGREGAGARAAAAGSLASASAAARSPAPGVGGGGSPRRWEEGGRCVAAAAGSLALASAAARSPVSGVGGGGSRGALGSGVVSSRDGVFVGSDG* >Brasy6G185800.1.p pacid=40048563 transcript=Brasy6G185800.1 locus=Brasy6G185800 ID=Brasy6G185800.1.v1.1 annot-version=v1.1 MDEKASNLDAVLKESVDLENIPLEEVFENLRCSREGLSSTQAEQRLAIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWNEEESAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSSLTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFQRGVDQDTVILMAARASRTENQDAIDATMVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYLDGEGKMHRVSKGAPEQILDLAHNKSEIERRVRVVIDKFAERGLRSLGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQHKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWAAYKTDFFPRVFHVESLEKTAQDDFQKLASAIYLQVSTISQALIFVTRSRSWSFMERPGFLLVFAFLVAQLIATLIAVYADWGFAAIKGIGWGWAGVIWLYNIVFYLPLDVIKFLIRYALSGRAWDLVLDQRIAFTRKKDFGREERELKWATAQRTLHGLQPPESTTFQGMTSYSELNQLADEARRRAEIARLRELNTLKGRMESVVRQKGLDLETIQQSYTV* >Brasy6G040600.1.p pacid=40048564 transcript=Brasy6G040600.1 locus=Brasy6G040600 ID=Brasy6G040600.1.v1.1 annot-version=v1.1 MWAVVLLLVACYWVRAAAEALWLRPRRLERHFARQGVRGPGYSFFVGSSVELVRLMLDAASRPMAPQDSHHVVPRVLPFYHRWRQLYGPKHLIWFGTKARLTISEPELIREVLVTRAEHFDRYEAHPLICQFEGYGLSNLHGDPWARHRRVLAPAFHSENLKPLVPFVADTVRRMLDELADRAGANGGEAEVDVAEWFPRVPQEAITYATFGGSRRRGKSYDDGRAVFRLQDELAGLAADAHSKVYIPGYRFLPFRRNLRVWHLVKEIRRSLASFVTDLQKKDDKADDDGGDGGMRDLMSFMAPAMTADEIIEECKNFFFAGKETLSSLLTWATVALAMHPEWQDRARHEVLAVVGPLGHGLPTKDHLPKLKTVGMIVSETLRLYPPAVAMIRTAKRDVELGGCVVPAGTELLIPILAVHHDEALWGADATEFNPARFGDDQPRREHQMAFMPFGGGARICIGQNLALMEAKVALAVVLQRFAFRLSPAYVHAPRVLMILNPQHGAPVIFRPL* >Brasy6G140600.1.p pacid=40048565 transcript=Brasy6G140600.1 locus=Brasy6G140600 ID=Brasy6G140600.1.v1.1 annot-version=v1.1 MPATPTVIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKWDEKLKQDLDKMLERAKQANERRYFDEDDD* >Brasy6G183200.1.p pacid=40048566 transcript=Brasy6G183200.1 locus=Brasy6G183200 ID=Brasy6G183200.1.v1.1 annot-version=v1.1 MVKGRPPQRTARTRCCHDSTANPSRNAYRDRILAGGTPILTGEAAVLGREGRRQRGTTGGGGRGCERRVDGERRGGERRRAARGRGDREIGEGRREDGEGGDRGGLGGERRRAERGQGRAGRREEEGSERRRRQRGWTGEGWAARGGGRREGRGGRGGERRAAR* >Brasy6G141100.1.p pacid=40048567 transcript=Brasy6G141100.1 locus=Brasy6G141100 ID=Brasy6G141100.1.v1.1 annot-version=v1.1 MGRLLPCHPTLPLPLPLLLLLLLLLLAAAMAAVRCAPVYRADYLVDGNQLVNMQYHMGPVVSGSPTNLYLIWYGRWDPAAQAVLRDFLASLSSPAAPSPSVSDWWAGAPRLYADQTGANVTAAFAVAGEHSDTGYSHGASLRRIDVQSVIRAAVVAYPDPLPLDPYSGAYLVLSSPDVQMEEFCRAMCGFHYFTFASVVGVTVPYAWVGNSATQCPGRCAYPFAPAPDYGGGSGGGGQVLRPPNGDPGVDGMVIVLGHELAEMATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGFVGNVSRAADGSAYNVNGVNGRRFLVQWLWNPVLGKCYGANASN* >Brasy6G129000.1.p pacid=40048568 transcript=Brasy6G129000.1 locus=Brasy6G129000 ID=Brasy6G129000.1.v1.1 annot-version=v1.1 MASLQQRKVGDQEDDLHAEHEQEAVRATGRGKSKKASSAGQKVTYGYHLVEGKMPHGMEDRHVAEFRRLEDGNEVGLFAVFDGHSGADVAAYLRDHLFDDILAEPDFWDDTMGTVRRAYHRTDRKVLRKKKKKKDGAADDRPRRGGSTAVTVILVNGETLVVANVGDSRAVVCEAGRARQLSVDHEPLRERRAIESRGGYVTEIHGDVPRVDAQLAMARAFGDRKLKEHISSDPDVAVEGVGDDAELLVLASDGLWKVMSNQEAVDAARATRDARKAAVKLVHEAVRRGSKDDVACVVVRLR* >Brasy6G096900.1.p pacid=40048569 transcript=Brasy6G096900.1 locus=Brasy6G096900 ID=Brasy6G096900.1.v1.1 annot-version=v1.1 MLSGTSSCSAELELCRSLQCLHQTNLKLNYSWD* >Brasy6G063200.1.p pacid=40048570 transcript=Brasy6G063200.1 locus=Brasy6G063200 ID=Brasy6G063200.1.v1.1 annot-version=v1.1 MAASLGCILGATALRPTSLVGHGSEMVLVCLPAGRDAGLGFPESMRLGLASGIARPTMMKFSKLGQGSDPSELDDFAAKLEDVKVLYARIINAAGLPEGEEFAQGRLQCLAEKSEGLLLQVVGRLNPAPGSDLYKALWITAVKFAGVSESARAKCAPWGFANSLFTELDVLRSVVSSATDRPLPCKRANLDFGHMEIADPEVALLALLGTQLAMVEADIKAMME* >Brasy6G090000.1.p pacid=40048571 transcript=Brasy6G090000.1 locus=Brasy6G090000 ID=Brasy6G090000.1.v1.1 annot-version=v1.1 MSSLSTTTAARGDKREREDGGRIRIKVQDLNGSRIYYTMRKTDKLQNLFDFYYRSMPDLDPNTGRFVVDGKRMQGWQTSSDFNMEDGDEVDFFIQCLGGARRSV* >Brasy6G002100.1.p pacid=40048572 transcript=Brasy6G002100.1 locus=Brasy6G002100 ID=Brasy6G002100.1.v1.1 annot-version=v1.1 MHCGYESEEVESLYDYSLRFRLHELTQVPGCSTTLKLAKLTTKVVLLRCYSQIAKLHEDGFSLGGCFTSRNFVIYADDSIKVTNLADGAILSFSDINGNLDYRQFVKMVQDEVFLKQPVPDDLHEWLRIVSQGIKACDRNLLANHIHLMEAFQGYGTFVSMYQQFRTIEGSAGWADLLDSLGHYFFRENAKL >Brasy6G127700.1.p pacid=40048573 transcript=Brasy6G127700.1 locus=Brasy6G127700 ID=Brasy6G127700.1.v1.1 annot-version=v1.1 MDYPTEEMHEHPGLRAANPWRQWWQWQLYSLLSSSSSSPSPATRPHNHVSWDETAAAHIFTASFPGVRKEEVRVEVEDGRYLVIRTELDGAEADRRSFARKFRLPGMVDFAGISADYAHGVLTVTVPRMHTRARPVVDLAGPGPACDPVARAA* >Brasy6G033700.1.p pacid=40048574 transcript=Brasy6G033700.1 locus=Brasy6G033700 ID=Brasy6G033700.1.v1.1 annot-version=v1.1 MAAEREPELEPEPEPVAEASTFAELGICRELVDACDAMGWKEPTKIQAGAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLEHRQPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLNKVKYLVLDEADKLLNLEFKESLDDILKAIPKERRTYLFSATMTKKVAKLQRACLRNPVKVEVSSKYSTVDTLKQEWYFVPAAYKDCYLVHALNELPGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGKSGYAVSLVNQYEGQWFKMIEALLGKEIDLRKVDADEIMILREHISDSRRIALTKLKEDGGHKKRRKADDDDDEEEEKAPRGHRKPKSFKKSSRR* >Brasy6G117200.1.p pacid=40048575 transcript=Brasy6G117200.1 locus=Brasy6G117200 ID=Brasy6G117200.1.v1.1 annot-version=v1.1 MSSPAVTTDAGAVRVGNDDAAGLGKPLLANGKEKKDAVKASERYWVDVDQPDVASAADLEGGSGRPLLLFRNRRVKNILLYPYRALTAVRLIAVTLFITWRIKHNKSDVMWFWVTSIVGDVWFGLSWLSYQLPKFNPIKRIPDLATLRQHYDLPDGSSDLPGIDVIVTTASPINEPILYTMNCVLSVLAADYHIDRYTCYLSDDSGSLIIYEALVETAKFAAVWVPFCRKHRIEPRAPESYFESEESVMVYRGRSQQELMRDYKHVRAQYEEFKVYLDKLPNSIQQRSDVYNGMETKGGDAKATWMANGTQWSGTWIDPIENHRTGHHAGIVQIVQEHPKHMAQQSIGNPLNVDDADLLLPMLVYVSREKSPHYDHNKKAGALNAQLRISALLSNAPFIINFDCDHYINNSQALRAAMCFMLDQREGENTAFVQFPQRFENVDPTDRYGNHNRVFFDCAMYGLNGLQGPTYLGTGCMFRRVSLYGIDPPCWKPDDIVVDSSKFGNSVPFLKSVLAAIKQERYVTPPSLDELFLSEMIDVVSSSYDKETEWGRSVGYIYNIATEDIVTGFRIHGQGWRSMYGTLLEREAFVGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLFAGPRLHWLQRVSYINFTVYPITSLFILMYALCPVMWLLPWEIFIQKPFTTYVLYLIAIVVMIQTIGLFEIKWAGIGWLDWWRNEQLFMIGSTSAYPAAVMHMVVKLLLRKGIYFRVTTKQAVVDTDDKFAELYELRWVPMMIPAIVVLFSNILAIGVAIGKFILYMGTWSAAQQRNAALGLMFNMWVTMLLYPFAQAVIGRWGKRPGILYILLPIAYVAIALIYLCIHAFLVHFLPSMVI* >Brasy6G117200.2.p pacid=40048576 transcript=Brasy6G117200.2 locus=Brasy6G117200 ID=Brasy6G117200.2.v1.1 annot-version=v1.1 MSTSRTWRPRQIWKAAAAGRCCCSGTGGSRTYFSTRTDDSGSLIIYEALVETAKFAAVWVPFCRKHRIEPRAPESYFESEESVMVYRGRSQQELMRDYKHVRAQYEEFKVYLDKLPNSIQQRSDVYNGMETKGGDAKATWMANGTQWSGTWIDPIENHRTGHHAGIVQIVQEHPKHMAQQSIGNPLNVDDADLLLPMLVYVSREKSPHYDHNKKAGALNAQLRISALLSNAPFIINFDCDHYINNSQALRAAMCFMLDQREGENTAFVQFPQRFENVDPTDRYGNHNRVFFDCAMYGLNGLQGPTYLGTGCMFRRVSLYGIDPPCWKPDDIVVDSSKFGNSVPFLKSVLAAIKQERYVTPPSLDELFLSEMIDVVSSSYDKETEWGRSVGYIYNIATEDIVTGFRIHGQGWRSMYGTLLEREAFVGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLFAGPRLHWLQRVSYINFTVYPITSLFILMYALCPVMWLLPWEIFIQKPFTTYVLYLIAIVVMIQTIGLFEIKWAGIGWLDWWRNEQLFMIGSTSAYPAAVMHMVVKLLLRKGIYFRVTTKQAVVDTDDKFAELYELRWVPMMIPAIVVLFSNILAIGVAIGKFILYMGTWSAAQQRNAALGLMFNMWVTMLLYPFAQAVIGRWGKRPGILYILLPIAYVAIALIYLCIHAFLVHFLPSMVI* >Brasy6G171900.1.p pacid=40048577 transcript=Brasy6G171900.1 locus=Brasy6G171900 ID=Brasy6G171900.1.v1.1 annot-version=v1.1 MRKKLQKPPPLLAKATKKRRIRLSPHSEAQDPNASFPIQVATPPGPVASRSRVAEQAEPAPPPASPRSHAAEPRIRLDGSGSRPSLPRRQLAREATPPSPESVWTDLAFRRRQALEPACTAPDRRRGPSPCRGRPADGKNRAWDAPCRRAPPTTANHERARKMTLEEPALALILTKAPLLPSTLRRLSLPP* >Brasy6G038000.1.p pacid=40048578 transcript=Brasy6G038000.1 locus=Brasy6G038000 ID=Brasy6G038000.1.v1.1 annot-version=v1.1 MKPGCFLLEGFEVTCNRSFNPPRAFLANHSIYHRAADLSYRTWNYSDLATVKRPDVTNTSVAPAELVDVSASKSELRAYGAIRTDCLFTALGEFGSPFLLSPLRNALVGVGWNVQPEMVFRKPTKDMTSYLTSCLSVGFSISGFSVSFEPQKGAGGGGDDTSAACPCSYGMIVESSWYNFSSEDVCGRMVLPEKYPRGVPFVLDFAIRNRSCPARGQQPPHDYACAPNGDGYVCRCWDNYDGNPYITDGCQDINECDLHPNNCSAGSFCRTHPEDMTLAGMKGNGKEGPCTENFPLPAKVIVGISSSIVVVVLMLMVNQFLKLKKFYEQNGGPILKGVKNIRIYTRKQLKQITGNYKHPIGEGAFGKVYLGTLEDRQQVAIKMSIKVEKERKKEFTDEVIIQSEMRHKNIVRLLGCCLEVDVPILVYEFVPRGSLYEVLFRGGDSIPVNTRLGIALGSAEGLAYMHSAGESTIRNGDVKSANILLDENFSPKVSDFGTSSLMARGTDEMTENVNGDKSYIDPIYMQKGIVTQKSDVYSFGVVLIELVTRRAAKYDKEMSYIKNFVQACLGKRAREFFDNDITSDEDIKILEMVSEVAVECLKTNPEERLDMRQVESRLFYISTQSVHYGQEMNDLRNLSPSPEDIALPTSGENEASTN* >Brasy6G248200.1.p pacid=40048579 transcript=Brasy6G248200.1 locus=Brasy6G248200 ID=Brasy6G248200.1.v1.1 annot-version=v1.1 MEGLIPLVYRAVVEYRKVRQQLMPASATPATGCRLQQLYGDRSPSPSPSSSSHPLLLYDYSASPAKALVSPLLRSPAPSRRR* >Brasy6G199100.1.p pacid=40048580 transcript=Brasy6G199100.1 locus=Brasy6G199100 ID=Brasy6G199100.1.v1.1 annot-version=v1.1 MKRKFPLQEETPNPDPFRIPSPPSPLSMFQTQAVDGGVEGSSSDAMNPSLPDWCFLEESLLSIPNPLAANRNVLVLNPDVMMDTSLKRRCVDPEVERVEVIPSPLPSPATTSVMQDPAVYNAMLREKLDEDLAAVALLTVLLPSLLTSATLLTWNCANLLHDATIKQPSCFNLNFWHVRPQASRSSQSNTTSNQGSQNYIGGNEILVQQMSDGGQYGGTSPNLSQNPDLGVRQVSSPLREQSPSTNNIEGEAETMGNMNFSAEKVKMRRESNRISARRSRYKKATQMQNLQHQLSLLEAENKYLVRRQADLIQKYSNAAIDNRVLKANVETLQTKVKLVEEIIKRLTSTQDVPQVVSSLTSLGFPLSASPSNGAYETFVPTQNTPFNYFTAVTSNGGVNNIYTPKATSTFQNQDPVALLQMQSESSLEHLQRRVCDSVPSSSVLAPQEVTPFNPNEFINMGMQ* >Brasy6G103800.1.p pacid=40048581 transcript=Brasy6G103800.1 locus=Brasy6G103800 ID=Brasy6G103800.1.v1.1 annot-version=v1.1 MDSRPRDGDAPTEPADGAAPPATAKVEVEITKPRNDKREYRRVVLPNALECLLISDPDTDKAAASMNVSVGYFCDPDGMEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTSSEHTNFYFDVNSDCLDDALDRFAQFFIKPLMSSDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCSNNHPYHKFSTGNWDTLEVKPKEKGLDTRLELIRFYDSHYSANLMQLVVYGKESLDKIQTLVENKFFDIKNVQRKPFSFLGHPCASKDLQILVKVVPIKQGHTLKILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYILKKLGWAMSLGSGEGDSNYEFAFFSVVIQLTDVGHEHMEDIVGLLFRYITLLQTSGTPKWIFDELLAICETGFHYRDKSPPIHYVVNLSSNMQIFPPEDWLTASFVPSKFSPDAIQKVLDELTPENVRIFWESKKFEGQTNLIEPWYGTSYCVEAVPPSIIQKWIEKAPKEDLHLPKPNIFIPSDLSLKNVEDKANFPCVLRKTPFSRLWYKPDTMFSTPKVYIKMDFHCPLAHSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYVVGPNDTGFQVTMVGYNDKMRTLLETVIGKIAEFEVRVDRFSVVKETMTKQYENFKFLQPYQQAMDYCRLILEDQTWPWDEELAVLSNLGANDLEIFWPHMLAKTFIECYFAGNIEPGEAKGVVQHVEDTLFNSPIGVCKSLLPSQHLTKRIVKLERGLKYYYPVMGLNQQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQRNDSGVRGLQFIIQSTAKDPFSLDARVEAFLTMFEGTLYQMPDAEFMSNVNALIDMKLEKYKNIREESAFFWGEISEGTLNFDRKEAEVAALRELKKDELIEFFNNHVKVNAPEKKILSVQVYGGLHSSEYEKILLDAPPPHSHRITDIFSFRRSRPLYGSFKGGVSQMKL* >Brasy6G221600.1.p pacid=40048582 transcript=Brasy6G221600.1 locus=Brasy6G221600 ID=Brasy6G221600.1.v1.1 annot-version=v1.1 MSTTSKAKRSAAAGGGLQGPRPQPLSLTTSSPPSKKPRFNTGGGSTAAGPVIVYERTPRVVHARPEEFMAVVQRLTGKQHPPSPQGAAGEEAREDDAADALVLTLGQQQQRPPSSASSPGSFASATALVLSPGSFIFSPATMQAILRELIS* >Brasy6G098800.1.p pacid=40048583 transcript=Brasy6G098800.1 locus=Brasy6G098800 ID=Brasy6G098800.1.v1.1 annot-version=v1.1 MEAIVRICLIVLFVVAGSADAIHEQWGYVQVRDKANMFWWYLKSPQHVSSAKPWPTILWLQGGLVGSGVGRGNFLEIGPLDVNLQPRNSTWLQTADLIFVDCPVGVGYSYVEDPSALATTDSQVAADVMQLIKSISKKIPTLQSSPLFLAGEFYGGKLAAMLGVSVARAIRDGSLKLTLGGVVLGDSWISPDDSALSYAQLLQGVSRLDDGAVPHGNRMAAMVKKQMAEGQFAMARSTWIDLLDFIDYQTDSVNVENFLLDTSMNPLLARSSSLTSTQSMSQTAPNSLNEIMNGVIKKKLKIPKDLIWQEVSLEADEALAQTFMKPAINEVDELISYGVNVTVYNGQLDVICSTIGVEAWVKNLKWDGLKNFLRVPRQPLYYCDSSTHCSSAIKAYVRSYENLHFYWILGAGLMVPADQPEVALRMTSCITQSPGS* >Brasy6G165500.1.p pacid=40048584 transcript=Brasy6G165500.1 locus=Brasy6G165500 ID=Brasy6G165500.1.v1.1 annot-version=v1.1 MPRPSFCAARLLCCSAPPSPIRAASLLAVAAEPLASDQSCLSLLSFFSLKQSPCPQPILIFFYRSKSPNHSHSRPPSRGLIARPPHPPLLVPSWTGAMQRAQGALARRSPRAAARDGRRRRLEGAGGMRRHVPGSSGAQVARQAACNGLGRHGREAAVCGGLGRRADGPSGGECLAQAAEGGEQDQRRGASRRAAATPWRLQAGCAEEKGCTEQE* >Brasy6G178600.1.p pacid=40048585 transcript=Brasy6G178600.1 locus=Brasy6G178600 ID=Brasy6G178600.1.v1.1 annot-version=v1.1 MAGDAGADLLSFLPDDLLHEILLRLDSTETVAQTSLLSRNWRHFWAQIPDLKFPLPGNLDHVRYALTAYASTNLRDLHITTRDASPELVEAILFLAASLLTGEIFIEKEMLSDDETDGEEDEPEGEEDEPEGEIEEEWVGRGDAFELPCFHRATKIYLNLYFLGLKMPTSGVFVKLVSLYLCNMWLDGCCDLGELVSSARCPLLQELGIDNIHGITNLAIRSKSLLQIQLHSLEGLQKITIVAPMLDILDVRYCFARRQLVADISAPALRTLYWDDMFDLISAKLNEMVHLMNLSTSFMIMDNCQYLMEAITILPDTKTLSLGLLTSGHVFGPCVFYLLSLSTGIRNLKLKLCWDSQEQTTCSLGCICYQPETDDILLNSLMEVEIIGFYGTEHEFAFVERLLRWAANLNTITLSFQCGIKTVTEEVCEKLRRLCHPKTCMKINY* >Brasy6G035600.1.p pacid=40048586 transcript=Brasy6G035600.1 locus=Brasy6G035600 ID=Brasy6G035600.1.v1.1 annot-version=v1.1 MSTPADGAAYWLRWQVFVCGALIALPTVAAAALLPRLRRSAAPLRATDLWVPCWSRLHPGWLLGYRAFALAAAAALLLRDVLAHGHGLVVFYFYTQWTFLLVTIYFVFATAISAHGCWVYSKKSLRKADESHGFLNGDVENRDFSTSIPGERKRDEVDKMTSYYEQIAIEKRAGFWGRCMQIIYQASAGATMLTDVTFWGLLVPFFYRDKFGLAMVTDGMHSLNAVFLLIDTVLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLSSSGAPLWYLAMAIAHVPCFSLYWLVVKGKQTYFPRLFPRSYVRTS* >Brasy6G131900.1.p pacid=40048587 transcript=Brasy6G131900.1 locus=Brasy6G131900 ID=Brasy6G131900.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy6G164500.1.p pacid=40048588 transcript=Brasy6G164500.1 locus=Brasy6G164500 ID=Brasy6G164500.1.v1.1 annot-version=v1.1 MDMSAPVGCRGGGEEAEGKEKSAKMAQVVPVDDVVVAAAVKEAELVVPYVMAVDDSSVDRAVITALLRRSKYKVTAVDSGKRALEILDSEPNVSMIITDYWMPEMTGYDLLKKVKESSELKQIPVVIMSSENVPTRITRCLEEGAEEFLLKPVRPSDISRISTRMLQ* >Brasy6G091200.1.p pacid=40048589 transcript=Brasy6G091200.1 locus=Brasy6G091200 ID=Brasy6G091200.1.v1.1 annot-version=v1.1 MQNGNCDYNPAGGHYYPQQYAPPPPGSNPHPPTAAAEAPIPGGYASAPPYSASGYPEQPPSAPSYSQPPQYAGYPPYNPAPYPAEPSPAPYYTYPTPTHPAPAAEPSPQPLPYDAPYYGGGYQQPAPVAGYGDDDYLNEGAYAYTGDGGAEPYGARGTAPTRSGAAMFDDYGRSIGLSSGGAEQPRGGGGTVGGSFGKIARAVPKAETHEDANGGAQKFRVKLLPEGAGNPSDVLCQIGLDGIRMLEPSTSRTLRIYPLDTLTKWEVLDSTVFAIWAKTSVDFEPKRIRLKSNSYTSNTLLDTVTAATVQFKEIGEDARDKGNLDGGIPSVQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCQSCAGDFSHFNRRHHCRNCGEIFCDKCSQGRIALTTDDNAPLVRVCDRCMAEVSQRLSMAQEAAQRSATVQSHGDLARKLKEEMERNRKSSGSASAGASGTRMREVACPTCTVHLQVEVPTSGSETVECGVCQHAFLVSAN* >Brasy6G254300.1.p pacid=40048590 transcript=Brasy6G254300.1 locus=Brasy6G254300 ID=Brasy6G254300.1.v1.1 annot-version=v1.1 MAGGGGGGPNTWRGKVLDTIYGHYKDALAALPPALAPRLLVAGVSFGFADPVTNIITNTVVFSDNGATKKKRKRPATAREVLSKVVAAGNCPSPPESRTIAERSLVGLLTFLTSYFRHLSSWDALHYLYLSRADLLVAVHLVEVDRCYRRRKDKFCIRSLAVKTALKCAALSASLPNIDEFLTGSYACAFHLKLITQIAPPAKRCRRLLSGLLQKPPVKLNKSNNDPIDLAVVRFHHHKPDASIQKVPSELTDSLRGVLMDRIHKQYLKAVSRLASEEFRISHHHSLFKASYCYGPFSSPVSNIIVNTIWYGTAFPALQDFEVDMISTLSHVEFRSLDGLIAFMRVSIPEVPDHDAMVYLLKSNLNIRKAIRMVTQGGHDTSGRHESGYKAAADVSYHPKSEAYLEFVMHFLPTVRTAVRSLLQDSQTPSSSKVLDLSRLLSPLGAKSSEPIDDELTKNALERLSNFKTDFLTRQSFVCGKVKAVLRKYEQTVGCCYELCIICGVNESVGKTTGIRHLKSQYTHANFWAMPKNGNSATLFFAEFSNDEDSKNLQSFCHPVSDLSRHGRCCYCEFEGIRIVHPIEICWEGANDFEKMARGEHKITNELMTSSGKQEDYMVGIYKEDYIYMDPTQDAKLIRIMNRATYMMSLDRVDEKGRLRELDPQQAQ* >Brasy6G008900.1.p pacid=40048591 transcript=Brasy6G008900.1 locus=Brasy6G008900 ID=Brasy6G008900.1.v1.1 annot-version=v1.1 MSPPRPAATASLRGFLDAHFASAEDLAAAPALSELLRRECAGLEGSLRRLEAHLASASASWLARSADARSGLRRISRSSRGGGVGARDEPAEETAVPGAELPAIVREIQRIDTIRLYAEATLQLEALVGNLEDATFSIVRQASKLNLSSVFRRASNGMERKQEKLLQAVDAVRDIERELVRISTSRPQWTNLIMAVDSRVDKTLAILRPQALTDYRALLAALGWPPSLSSPDMEKDKYSQVPNPLVLMNEANKEKYSESFLALCALQHVQANRDLRQCQSSAATSSMSDSKYFDKTARFDNGLWAVDELVQPIVSRMEYHFSKWSEQPELIFALVYKITKDFMDGVDDILQPLIDQARLVGLSAKESWVTGMVKMLIGYLETQIFPALVSSYRSTDDKVEVHSSWMHLNDLMVAFDKKMQLLADSGIQIIASISEGLSRSLSVFSIYSEHSDWLQIWADIELSSAQHKLKSEMEDETSWLCSIGHQDELDHQESTTKFLLSTREDYKAPPVSEFVIKTASSMIERSHALPNKGMKIQYTRSTSSQFLNDFFIVLHERCDALQLSNTALEDESLLKASYAINAARYCEYVLRGWDEDATFSEMGAQHQSSFFADEIAFLVKLGTNFLEQIMSSILLEFEDLSWDYVQSIGSLNEQDQPDDQVLDEENLEVSPGFVASLETLSMRTTKLKLYLNSKDFLDLWRSIAEGLDYFIYSSIKWGEVKFSCSGVIQLRVDTKALLRIFKPYCLRPEAFFPFVTDSLRLLTMREADTQYLLEALKDGSKEQESCLRQQGLHHVDAKQAVKVLRSKKSGR* >Brasy6G182600.1.p pacid=40048592 transcript=Brasy6G182600.1 locus=Brasy6G182600 ID=Brasy6G182600.1.v1.1 annot-version=v1.1 MPAAASNSMAAAQFGAVPNTPGEWCYYQVMGFPAYPFPRCRALVKSQCLGAQVTQSVREDCCRQLAAIPDEFFKCPALGHMRDSMYKELGVVMKGEGVGHGTVEAVEIFPGCRTEVMDRAIASIPAFCNQYIPTGTGGIGEPDPSPYRPRGATIPPSRHLQVLLTLRPSPFSVFVRFGAGGGSQQGSGRRRPAGDEEEEGGGQIRAAAASRGMKGGGQQAGGGGQQGSGGRRPARDEEEEGGDQQGSVVRRRIAGDEMEEGGGLLPVEQLIEIFYFPWNN* >Brasy6G148800.1.p pacid=40048593 transcript=Brasy6G148800.1 locus=Brasy6G148800 ID=Brasy6G148800.1.v1.1 annot-version=v1.1 MEIQDFKSFSTFVRLPSFYGRASFSGRSFIFTALLIWKQRNSFIFNRTPPSFNNWFVGFKQELFLLSHRLQELFTSPSG* >Brasy6G071100.1.p pacid=40048594 transcript=Brasy6G071100.1 locus=Brasy6G071100 ID=Brasy6G071100.1.v1.1 annot-version=v1.1 MSSPSCWESFASALSRGGPSREAALREQLLAREEAAALHRAAVQRLTERADALQRRIEVVAREADAAQRAAHSAVARARRAEDQRRVAARTNEMHEEMLWALDKEIKRKDSQVNVLTAIVGTVKSSGEKKRISF* >Brasy6G127000.1.p pacid=40048595 transcript=Brasy6G127000.1 locus=Brasy6G127000 ID=Brasy6G127000.1.v1.1 annot-version=v1.1 MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGEVRMRLCETYLGIQIFRFYFKCTRCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVVDKEKRKREAEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKHSTHQKEEKTVAELDEEDEELIKSITFRNSKDYVKRIEDDDDDDEDEDSFAPGQSSVTSKINGSSESVLHPTDVLTKTNGPEGGSKEENKGWASKMPKFIVKPKPAAAVPNKKQKTEAAAAQDNGKAPVAEEKSEASEKTNVLQSLCQYDSDESDD* >Brasy6G127000.2.p pacid=40048596 transcript=Brasy6G127000.2 locus=Brasy6G127000 ID=Brasy6G127000.2.v1.1 annot-version=v1.1 MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGETYLGIQIFRFYFKCTRCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVVDKEKRKREAEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKHSTHQKEEKTVAELDEEDEELIKSITFRNSKDYVKRIEDDDDDDEDEDSFAPGQSSVTSKINGSSESVLHPTDVLTKTNGPEGGSKEENKGWASKMPKFIVKPKPAAAVPNKKQKTEAAAAQDNGKAPVAEEKSEASEKTNVLQSLCQYDSDESDD* >Brasy6G017800.1.p pacid=40048597 transcript=Brasy6G017800.1 locus=Brasy6G017800 ID=Brasy6G017800.1.v1.1 annot-version=v1.1 MTSRRARKLLQTGRPAHDDANKPRLAWPKGGKNRGEQSSRPSNLIAIVVVYSLLPQIQINPGVSKAREGARMAARRTRELLRPVDAAQALDEAALLRYAAAHVPGFPSPAPSLALSQFGHGQSNPTYCLEVSVPGGETRRYVLRKKPPGAILQSAHAVEREFQVLKALGAYSDVPVPKVFCLCTDASVIGTPFYIMEYLEGILYLDTKLPETTPSKRKAIYFAAAKTLAAIHKVDVAAVGLQKYGRRDNYCKRQVDRWERQYLHSTGEGKPARYPKMLDLVRWLKENVPEEDSSTGLGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCMQYIIDSTPTENSSYGGFERSGIPDGIPQLEEYLAVYCSMSARPWPVANWKFYIAFSLFRGASIYAGVYHRWTMGNASGGERARFAGKAGNAMVDCAWNYINRENVLREQPATGMLVSKAPRQEFHREQEGSTSMNGQGRFVPSEKVMQLREKIMKFMEDHIYPKEDELYKHAQSASRWTIHPEEENLKALAKEEGLWNLFIPLDSAARARELLLEDRSHISPGSSDDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECAISRQGDFYVINGKKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDINTPGVQIRRPLLVFGFDDAPHGHAEITFDNVCVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNMMVERALSRTVFGKRIAQHGSFQSDLAKCRIELEQTRLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL* >Brasy6G053700.1.p pacid=40048598 transcript=Brasy6G053700.1 locus=Brasy6G053700 ID=Brasy6G053700.1.v1.1 annot-version=v1.1 MSVLIVTSVGDIELDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQSGDPTGTGSGGDSVYKFLYGDQARFFNDEIRPELRHSKTGTVAMASAGENCNASQFYITLRDEVDYLDDKHTVFGTVAEGFDTLTKINEAYVDDKGRPFKDIRIKHTYILDDPFDDPPQLAELIPENSPLGKPHDEVAEERLEDSWVPLDETVDPEQLEEMIRSKEAHANAVILESVGDIPDAEVKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDFKTGDSLCYAFIEFEVKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHLARDCDQDAEQKNKGPNYVLKDDNTQRGSNNRRSYDLVFDDDTAGQQDRHQNTDRRKIQKVDDRRSGLPPRGDRDRNGRDRNYSDEKGRRQDGHHRSGDRSSGSYDDRDYKKLESKSRNKDDDEDYKRRSGAGRYDRDRSDGERRHRDDLDRGKSDHHKRDESGRPRTRSPDADRHRREDVGHRETSRHRERRHRDDR* >Brasy6G075300.1.p pacid=40048599 transcript=Brasy6G075300.1 locus=Brasy6G075300 ID=Brasy6G075300.1.v1.1 annot-version=v1.1 MTSAWVQLPLLPMSIGQSGPETTETIFSSEGEMR* >Brasy6G245900.1.p pacid=40048600 transcript=Brasy6G245900.1 locus=Brasy6G245900 ID=Brasy6G245900.1.v1.1 annot-version=v1.1 MLQQPSLLRYYSGKGEQRCNPVPNTEVGDLLKMIMEHLENTVNHVLEQVQLAKKAAGTCERAAGMLGDGDLDKAFALWEEGSDELERSVALLKLRLDGIAKLNNIIKGSPFGVMDPSNTEFSETMRKFIESTEDVVRDTRLIVAEVEEFHSTSSMTKLYRSRRELETMRRSNTGLGLSLMLVLSSIAIYEWGWVLTN* >Brasy6G059600.1.p pacid=40048601 transcript=Brasy6G059600.1 locus=Brasy6G059600 ID=Brasy6G059600.1.v1.1 annot-version=v1.1 MTILSTGDAPAEIFHPAVHPHKLKKTKPGDVKRFNCDGCKEAGAGVRYRCTSPACDFDLHTCCAKLAGATLVHPFHKSIELKFLYEAPTSKNNRRLCDACGGKVLGFNYQCGDLNLHPCCAELPPLIEKEDLAFELRVEASHKCSGCGGRGGYMTFWFYRSRCKKYYLHVRCVKDILLGQQLNQLAAAGGGGSSRSSSSSSSAVAAGDVITNFVKERALEKYKEEKSGKTFERILRVVATVLRVILGVLIGDPTTLLIAGAAFLSGSG* >Brasy6G208900.1.p pacid=40048602 transcript=Brasy6G208900.1 locus=Brasy6G208900 ID=Brasy6G208900.1.v1.1 annot-version=v1.1 MLCPLVSPGPLRRVLLLSGHEPPTPAHVAVDIPDEDELEVDGEETAAAAASGHISPVCRVLVRAAFVAYTAFAAPAVTRYGGGGLRALMVLLLVALTVAALFMATVRVSEDEDREREEEGRGEGPPRLTRIN* >Brasy6G070300.1.p pacid=40048603 transcript=Brasy6G070300.1 locus=Brasy6G070300 ID=Brasy6G070300.1.v1.1 annot-version=v1.1 MANLLHLPDFAAARPPAACSARRRGRVVAAAARGRLKQQEEAGKGKGRVIRVADPVREGRLPLQPPPPLFSVPVTPAPEAPESPAATGRRDEDEEEKRRYYLNMGYAIRTLREEIPNVFYKEPSFDIYRDDIVFRDPFNKFEGIDNYRSLFWALRFTGRIFFKALWIDIVSIWQPAENLIMIRWIAHGIPRVPWDGHGRFDGASVYKLDRNGKIYEHKVHNIATNPPTKFKGLSVEELIRTLSCPSTPKPTYFEASSQSLSNAPFHSRLTWIRHYLSLCHTLSLANVGKG* >Brasy6G195800.1.p pacid=40048604 transcript=Brasy6G195800.1 locus=Brasy6G195800 ID=Brasy6G195800.1.v1.1 annot-version=v1.1 MTVTPQITVSDGRLAVRGRTVLSGVPENVEAAHAAGAGLVDGAFVGAAAAEAKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGSSGRDVPLETQFILIEVPAAPGNGDADGEPLYVVMLPLLEGPFRTVLQGNDLDQLQICIESGDKAVQTEQGMQMVYIHAGDNPFDTITQAVKAVEKRMQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLADGGAPPRFLIIDDGWQQIGTENKEDDRSGGAAVAVQEGAQFASRLTGIKENTKFQNTKTNNADDNNTGGLKQLVEATKEEYGVRSVYVWHAMAGYWGGVNPSPAMARYEPSLAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPRKAHSFYAELHAYLAACGVDGVKVDVQNIIETLGAGHGGRVEITRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHDFGLLRKLVLPDGSVLRAQLPGRPTRDCLFTDPARDAASLLKIWNLNKCGGVVGVFNCQGAGWCRVVKKTRIHDDAPGTLTGSVRAADVDAIAQVLPTGGEDWDGEAVLYAHRAGELVRLPPGAALPVTLRTLEYEVFHVCPVRAVGVAGVSFAPIGLLDMFNAGGAVEECAASEDDDGGAAAVMAIRVRGCGRFGAYCSRRPVRCSIDSKEVGFSYDDASGLVVVDVPVPEQEMYRWALEIRV* >Brasy6G195400.1.p pacid=40048605 transcript=Brasy6G195400.1 locus=Brasy6G195400 ID=Brasy6G195400.1.v1.1 annot-version=v1.1 MAESEQRRRLATPSAPAAEDEVDVDADGTTFRDIHPSPAPPPLRQPSWDAASQRSLSSYSDEQFMATMSREFTAMVDAGDTYYSASAGGASNSSDLQLARIGEHEPPSVERNPLAIVTTEEEDTSSCPPPLPPAAASAAAPAASTEVVEVRQVKKEEAEAKVAAWQAEEVAKVNNKFKREEVVINGWETQQIQKATAHLNKIERKLEEERAKAMEKAQNEVARARRKAEERRASAEAARGTKTARVMELANFMKAVGRVPTKRSFFSFS* >Brasy6G128100.1.p pacid=40048606 transcript=Brasy6G128100.1 locus=Brasy6G128100 ID=Brasy6G128100.1.v1.1 annot-version=v1.1 MAATESPEPRKTAASGRLPGVTRGCGFVYEVCGDEASSRAFSLGSEERWRRPSTGSSGAGGGAPWQGHLEQPGRRERVAANSQERCGPSCARIEAGEPRPQRIGSGGAGGIEAGLREDEQRRAVPRSGHPPRLHARRPGAAGQQEAVRREQEDDGQGEVADAGGHHLTQGPAKPRGKGN* >Brasy6G237100.1.p pacid=40048607 transcript=Brasy6G237100.1 locus=Brasy6G237100 ID=Brasy6G237100.1.v1.1 annot-version=v1.1 MDQSSFGNILGGGGGSSKASFLQLQPLSPMGGGAYYGTPLALHQAQQAAAAVGGSSSSSQYGHHQYSSSSQSQRHGGGGAEISAAEAEAIKAKIVAHPQYSALLAAYLDCQKVGAPPEVMERLTAMAAKLDARPSPGGYEQRGDPELDQFMEAYCNMLAKYREELTRPIEEAMEFLKRVEAQLDSITGAGHGGSSARLSLLADGKSEGVGSSEDDMDVSGRENDPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGQFMADGMYRLGS* >Brasy6G168700.1.p pacid=40048608 transcript=Brasy6G168700.1 locus=Brasy6G168700 ID=Brasy6G168700.1.v1.1 annot-version=v1.1 MRRAGTSRGGAVGCGWSGGFDDEYREEQLELDAYRKLAERNASPSPAISPSARPSRRRPGALHPSGTSTPPPGSPSPAPAPAAPAARPCFAGVMRSRPPGQPLPIDGGGEPATQRQDGLWRRRGLCCWRGFGGQPAPSMRRRTPPPLHSSVRQRRGEFILGGLSLQISIQLSNAGMCSGLSNAGCQGGTGGVSSNKQEDRTSEIFVNSLAFSKDTEGASRKCVSLSDFGN* >Brasy6G229100.1.p pacid=40048609 transcript=Brasy6G229100.1 locus=Brasy6G229100 ID=Brasy6G229100.1.v1.1 annot-version=v1.1 MDPQLHKAAVQGSVATLRKLICERPDILLSRTPWDNTALHIAGELGHAPFAQEILDQNDRLLLSKNADGDTPLHLAAKAGKLEVVGLLIERATRIQMDLSPTSFSDTENALLMANRAGDTPLHEAVKHGMSAVALKLLAAEPSCGHKLNVKTKISPFHIAAREGLIVVVSRIVAQPWVGERLSPSDAVKGTALHQAVLGGHIRVVEILLHAVAEEEVGRTDSSENNALHYAMQKNKKQMVKLLLNQKAELAYKRNRDLLPPLHMAVYYGSTEAMSELLKHCPDVVEMVDSAGRNALHIAIVSGKMDVLRCLLKYVHPEDVVNRVDRQGNTPLHLAAKLNRSHLGLLLLKNRRVNPCLLNRDCRTARSLIEFETQIPVDTTTIYFWKALKKQESTKCKAHQLPPGKTPDWLDDFNKYVQLRMGTYTLVATLTATVTFSSTFTMPGGYDQQYGTAVLVHRTAFKVFIVANTLAMLSSIVVVFGFIWTKRQPQDFRTGQVVWSHRLTVVACVSMVVSLMTAVYLTIEPKSPWLAYLVIAMGCCTPVVMYLMLGRDLLSTRV* >Brasy6G111100.1.p pacid=40048610 transcript=Brasy6G111100.1 locus=Brasy6G111100 ID=Brasy6G111100.1.v1.1 annot-version=v1.1 MLSAAAVMAPVPAVQKSSLEVLLETIKKRDEQPKDVPPALPARPTCRGRLPTARRSPTPPRVHLENCMAEGVVTDTVMADKKPEVQKVNNPEIEKEKKPEVNKEKRPEIEKEKKPEVKKEIGAQEAKEGKTVKSRIFGVKRKLCNVEPLDESPYVEDFHEERKDTIACKEPPSSSVSSARAKRNGKPMDYVLQKKLRVWCSSSDEKWELGQVQSISGDDVEILLSNGEILTLSPERLLPANPDILDGVDDLIQMSYLNAPSVLYNLQFRYSRDLIYTKAGPVLIAVNPLKEVPLYGKDFIRQYRQKLKNDPHVYAIADLAFNEMLRDGTNQSIIISGESGAGKTETAKIAMQYLAALGGANGMESEVLQTNVILEALGNAKTSRNDNSSRFGKLTEMHFSETGKICGAKIQTFLLEKSRVVRRASGERSYHIFYQLCSGASPLHRKKLFLRDADYYNYLKQSACLRIDDVDDAKKFSSLLDALDIIHISGENQMELFSMLAVVLWLGNISFSVIDNENHVEVDSNEGLSTAAKLLGCSVPQLVIALSTRKIQAGKENIVQRLTLTQAIDARDALAKSIYAHLFDWIVEQINRSLGMGRQRTRRSISILDIYGFESFNKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLDDGIDWASVEFVDNTDCLSLFEKKPLGLLSLLDEESMFPKATDISFASKLKQHLSGNSVFKGEQEGTFKICHYAGEVTYDTTGFLEKNRDPLHSESIQLLSSCKSDLPKDFASVMIADSQSKSSLSRHLVVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNNKQCPRQFEHDLVLHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGFLVSHFGASQNPLSISVAVLQQFSIPPEMYQVGYTKLFLRTGQVAALEKAKSQMLHGALRIQKNFRGMHTRQEYHRLKKGATTLQSFVRGEKTRFQFDYLFKRWRAAVVIQKYSRRRLAATMFTDQLKDIVLLQSVMRGCLARRKFKCLQEERESRVIQNQVKRDARKSISQERICHETNGEYAPQPVVTELQGRVLKAEALLQDKEEENAMLKQQLEQYENKWSEYEAKMKTMEEAWKKQLSSLQLSLVAAKKSLTSDDMAPRAARTDTIPTHAQYDSEDTVSTGTHTPEGAELKYQNHNPEARVATGNSDRRINVVNHLAKEFEDRRQVFDDDAGFLVAVKSGQIGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGGHDEKSRKRWWGKKSSK* >Brasy6G046200.1.p pacid=40048611 transcript=Brasy6G046200.1 locus=Brasy6G046200 ID=Brasy6G046200.1.v1.1 annot-version=v1.1 MELRQIWAFFLRRWRRLDLMPVGEVSLKQWWSGLPLANKKVRRDFATGTILLCWCIWRHRNTVVFEGERPSVPKVTHTIEQEGIA* >Brasy6G131000.1.p pacid=40048612 transcript=Brasy6G131000.1 locus=Brasy6G131000 ID=Brasy6G131000.1.v1.1 annot-version=v1.1 MPRRKGNASASGSRQRRRRDSDSDGDESVPSDDTSDFEFVADLEEETAEDAEDFVSDEEDVAPAPAPAPAPAVPRYVYVPPPPGLPARRRRARVRGKKKGKAADNRPPLPWEEWEEANEQWLDERIETADLEEADASHAPVALPAVPTAEPPPEVLLQLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQGIALVLTARQLRAPASGPSSPPSLSLGLPIQRVGCTLVICPVVAVIQWAQEIERHTAKGSARVLLYYGARRGSQKYDFDTYDFVVTTYSTIEADYRKHIMPLKIRCEYCDKLFYPNKMKVHLTYYCGPDALRTEKQAKQMSKKWADKKGKGKGKKSGSKRKISAQEEEEDNEELEESERQSRGRSPLHSVRWERIILDEAHFIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLIRFLQVFPYSNYFCKDCDCKILDTNMKKQCDCGHSSVRHFCWWNKFIARPILYGGPEGRRAMILLKEKVLKGVVLRRTKKGRAADLALPPKIVTLRRDSFDRNEMEFYEALYTQSCTQFDSYVDAGTLLNNYAHIFDLLTRLRQAVDHPYLVAFSKSAELREGYKNEGNQTMESQCGICHDMAEDVVVTSCDHVFCKTCLIDYSATLGNVSCPSCSKPLTVDLTTKSSKGKVPANLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMIEHDGSAKGIVFSQFTSFLDLIEFSLQKSGVKCVQLNGKMNMSEKGRAIDTFINDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSVRFVIKDTVEERILQLQDKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN* >Brasy6G078100.1.p pacid=40048613 transcript=Brasy6G078100.1 locus=Brasy6G078100 ID=Brasy6G078100.1.v1.1 annot-version=v1.1 MEMSRTWQELGVVDTIYEGDHEEEEEEEDCSFNSPSMQSSSPATSASCSPAARSSLPPVLRNAVQAWSRANGSCKPDVIVRVQEHCFHLHRAPITSESGYLKRQLSESSDIAVDLPAGFTLDDFAGAVATCYGADAVPLSSAGLASAWAAAGWLELSAEDGLARRAEDYFFREVAADKGRAAQVLRSCAPLLGGGSGEAAAALLVRCLETLAASSGGGGHGGWLEDVAALPLEEFQVVMEAMRARFAHDHDLMYTIVDHYLENHKGKLTEEEKSRLCYNVNCANLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLTHHHHPAAAPLPPLLLKRFVSGHGAFSNGAGDEADAGAGMSLGDILQRDAVMRQSAHIRASMQATGRRIDALERELAGLRGRLRRSEEQQAATAAITDDRASAKSASFRIPRNRLWDGEDVTSSGTGIVIGIGNAKDSSVGAKAGGGIRSRLLHGFKNLFGRRPPGVNGAAPPASSNGGTADVCVGEKGSPEPGEEEYWSRPHRRSLSVV* >Brasy6G142000.1.p pacid=40048614 transcript=Brasy6G142000.1 locus=Brasy6G142000 ID=Brasy6G142000.1.v1.1 annot-version=v1.1 MAGGRRNRGRTDQPAAAARLDAAELEEESLHGARSRYRHLQDIDADLRGQNQELRHQVQALEQQMGAMQAQLAQITAHGRRRDVPEDQESEEASYSSDGSSVSSLRRPDVNPFHARYRRHRSHATTERVSSRRHCFRVNLPEFSGGLSAEDFVDWLDEVERIFEYAEVPEEERVPAVAMRLKGRASVWWKNLGQSRKDMNWDLSQWLPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGGTSHPAWQSVGHVIKLTAQEEVALELRASQGVPVELSHGFSMDFVWKSTSFDRMQGAMKTFAVDETVLVGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSEMHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIICKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQDGVTVNERQSTGIDFPWPVPNRPMFFYVQMEVEEISASGTSYHNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNSLLTHYKSMVQFQKPKKIYNDRRLFLGGGQGVMHGSSFGTAGSVDKRSGRGKAHPFVPFGPPNGAHKPGVHPSYPLPRMPFPPFPGSPHSQPYAIPTRGSLHGPIGAVPAVPQPGNRNFGAPRANTGPIGGHLAAHQQNSQQPMGNVGPTYNFTGLENPSSQPSGGGPMSQPGLMTQMPVQGLSQTFRDGFAIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVEYTQGPQSGYPGNYLNQSAHPGYPHMGTTNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMGAPGHLQSQSMMNPMYSQSYAHYNTQPQSLQPPPQ* >Brasy6G080400.1.p pacid=40048615 transcript=Brasy6G080400.1 locus=Brasy6G080400 ID=Brasy6G080400.1.v1.1 annot-version=v1.1 MVAAHDVLDALAAVVDATPRARCARGSRCTRRERYRQQRSGFQRWNRLPVALTTSSEAPKTCRGPPRPIGSPAKSRAVAKSATHRSVDADSAPPRTGGDRAAVTLRLANTKRAGIAAAKSGERTLPPLPAFPPLPPVTPPSNGPQPSPSPPPETSHCMFPLLRTFPCLDFLTNTSVPAPSSHCCDRFRSLVGNASESICICHLILSDPNVTSIVGNVDLGRLVALQLRCNVLVPPMLIFSCVSSMSTESSYEHLDLGTMSASHEKVQQPELVSTIEQPPPPPPPKPVGTGENKGV* >Brasy6G070800.1.p pacid=40048616 transcript=Brasy6G070800.1 locus=Brasy6G070800 ID=Brasy6G070800.1.v1.1 annot-version=v1.1 MASVLRLARAAREAARAVGSGRITRGAVSPLSFCSSAAAGGPKAPHSGPKGPGNVPIDVDWVRAGAVTPLQDQGDCGACWSFATVATLESANFRFTKELIKLSEQQLIDGNNLRNFGCDGGSPTEALQYVIRNGGIVSNEDYPYTGVQGPLKPMTAYGVTITGFHTLYNTTELGLQICVAEHGPVIVSIGADKTLMEHIEVGDIEDVVDGPNYTLDEMTSFGIAHAAAVVGYGVTLLGKKFWKVKNSHKGTTTEKLISNGRKTTSLTVGVPVTNFLPVMMVIITNGLSDR* >Brasy6G075000.1.p pacid=40048617 transcript=Brasy6G075000.1 locus=Brasy6G075000 ID=Brasy6G075000.1.v1.1 annot-version=v1.1 MAGRIRFLFFLLALVLLATAVVGEDAAAAANERPRHDGEFDVRARKWWPRFPPAEGLVRGSERRVPNSSDPLHNR* >Brasy6G219400.1.p pacid=40048618 transcript=Brasy6G219400.1 locus=Brasy6G219400 ID=Brasy6G219400.1.v1.1 annot-version=v1.1 MAGASGKGLKKRGRPPCATKRSKSPLELLEELIFQILLCLPVKSLVRFKCVSKAWHAIISDPMFISSHLRHWTSKREQNPSLLVSPLALDGIFKEDPWPPTTFSTRIRFYQWQPDLALDQASLIHSKDYSGEFSSVCHFAQCDGLVLLPTNTKVYLFNPATRDAITLPEGNPNTMPVPRRVCLPVGLGLDPGSGRYKVVRPFFRSRHPQTDIYLMGTQVYTIGCDTAWREVADPPYPPVEWITAKSLNGHVFWNIDTYNLNPRPRCLLRFSLEHETFGVTALPESLDPDLDEPFLLEAVRGELFLATPASSRPGPQPLSLWALVRDDGMNSRWELRYSIDVTYNCRPLALLPGGRVMLLVRGCVIYHYRPDSNELIEVCAFEDLRYQRRRAGTFEPAGRDAFLFIVTSYAESLVRVTA* >Brasy6G127400.1.p pacid=40048619 transcript=Brasy6G127400.1 locus=Brasy6G127400 ID=Brasy6G127400.1.v1.1 annot-version=v1.1 MELAPGSSSSAYGGKAADAYRKALGTAASAAAYAVLARSMARELLPDELRAAAAWAASAVRARFGWGGKERRTLVVRSQTRPAGSGCEENLLFDAARTYLSSRLDLRAMRRLGITLCKTALDDGGGGLRSWRRRLFIEPGDSTVDVFHGVEFTWTSVYTNGGEGGGGRKKAVQNGESGTGGDREFLLHLSFDAEHTDMAMERHVPFVMASAEETRQRERSLQICMNEGRMWYRLQHHHPATFDTLAMDPALKRSIVADLDLFAGRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMDNHLRYNLYDLDLSSARNSTLLWLLVSMSDRSILVIEDIDCCFDAAKSRDSAKKMPVPADAGDSSEDDAAPPGKSSSCLPGPKQQQQQDVTLSGLLNFIDGLWSTSGQERIIVFTTNYKDRLDPALLRPGRMDMHVYMGFCGWEAFKTLARNYFAVDDHPLFTEIQQLLAAVEVTPAEVSEMLLRSNDPDVALRGLREFLEEKKQQREICEIQA* >Brasy6G212700.1.p pacid=40048620 transcript=Brasy6G212700.1 locus=Brasy6G212700 ID=Brasy6G212700.1.v1.1 annot-version=v1.1 MAKTARVRRPGNVAVRSVWAHNLEEEMALISSLLPRFRYAAVDTEFPGTVYGATVPAYALTPEKRHALLKANVDELHLIQLGLTLFDSTPGRLPELHCAVWEFNFREFDSIALLRAKGVDFRRAREQGLDAAAFGPRLRKLLRAGLGERGVVTFSGAYDVAYLVKMMLGAGYRLPASPDAFQGVVRAMLRKRLYDVKEMARRCVNSPGAGVVYLRGGLDRLAAKLGVPRAVGEAHQAGSDSLLTCQAFVEMKERFFADDDDELATVGVLN* >Brasy6G039600.1.p pacid=40048621 transcript=Brasy6G039600.1 locus=Brasy6G039600 ID=Brasy6G039600.1.v1.1 annot-version=v1.1 MAKAHPMPALALLAVALLVLAASAQAICDISSDGIRACQPAAAVRNPTEAPSAECCAALAGADLACLCRYKSVGGMWVRFYKIDVKRAMALPGKCGLTMPANC* >Brasy6G039900.1.p pacid=40048622 transcript=Brasy6G039900.1 locus=Brasy6G039900 ID=Brasy6G039900.1.v1.1 annot-version=v1.1 MEKGKLSSALFAGTHFDRKRFSGDFARFRKGAPPVPAPEAAPPAPEKKRKRKSKTNAKKNSKKKKRADGAASASEVVEGFSVFKGVEAKDAAEDSEEVEVGKDVDEEFVRRRKEAEREIERAAILRKRFDIHISGLNVPAPLESFEELVSRYGCDSYLVGNLSKLGYQEPTPIQRQAISILLSGRECFACAPTGSGKTLAFLLPMLMKIKPGSKGGVKAVILCPTRELAAQTTRECKKLAKGRKFSVKLMTKDLSQDGNFKDMHCDIVVSTPLRLDHAVKKRDLDLSRVEYLVLDESDKLFELGFVEVIDSIVKACSNPSIIRSLFSATLPETIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTERGKLMALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDIRVDVIHGDLTEEQRQDAVDNLRAGKSWVLIATEVLARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVVVSSGCEVPSWMVALPKLKSRKHRVDREPISVLSDED* >Brasy6G042800.1.p pacid=40048623 transcript=Brasy6G042800.1 locus=Brasy6G042800 ID=Brasy6G042800.1.v1.1 annot-version=v1.1 MYIRVKRNKSTYFIQCDPTETILNIKQKVQSIIDHPPNNQRLILLSTNNVLNDSKTLADQKVENDTIVALTLRKDNNEFEEVSIANPDDFMSSS* >Brasy6G037200.1.p pacid=40048624 transcript=Brasy6G037200.1 locus=Brasy6G037200 ID=Brasy6G037200.1.v1.1 annot-version=v1.1 MKSTALVSLLLLLAQCLALSSATAPRRTRQGDNLNRLHGSPSSSSWPESSSMAVSTTKADRRAASPPPAKAAAGSKEADRVEKLPGQPAAAGDGGSGEFAQYAGYVTVDAAAGRALFYYLAEAVGTGDGNSSPKPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKTLYRNPYSWNHAANVLFLESPAGVGYSYSNTTADYSRFGDNKTAEDAYLFLANWMERFPEYKGRDFYITGESYAGHYVPQLAHQILRHKSPSINLKGIMIGNAVINDWTDSKGMYDFFWTHALISDDTADAINKNCNFTAAGASASSSDLCHDASGEADESLRDIDIYNIYAPVCQSDKLVSPPITPSIESFDPCTDYYVEAYLNNPDVQKALHANVTRLDHPWSACSDVLRRWVDSASTVLPIIRELMKNNIRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAEKWRPWFSSTKGTGEVGGYVVQYKGGLSLVTVRGAGHEVPSYQPQRALVLVQSFLAGKTLPDCKKCEQG* >Brasy6G267500.1.p pacid=40048625 transcript=Brasy6G267500.1 locus=Brasy6G267500 ID=Brasy6G267500.1.v1.1 annot-version=v1.1 MRTFYVMLLAFAIGVLFSDVATKPAVMADQNIGINPTFEYNKNCTFPPIIPGKCNADCTQLAIGKGRYAGGECVPQGCKCFYCLPPLGSRS* >Brasy6G230100.1.p pacid=40048626 transcript=Brasy6G230100.1 locus=Brasy6G230100 ID=Brasy6G230100.1.v1.1 annot-version=v1.1 LPSPANTLRPPVSPPLAEKERKRNLLASLAAACSPAPSARSRRPPRRRRPPPPQPMPAPSTADDDAALPRGSRRHRPPPRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLVSNPIFFSFFSSFLPRIHGILFADWLFLRARLG* >Brasy6G170100.1.p pacid=40048627 transcript=Brasy6G170100.1 locus=Brasy6G170100 ID=Brasy6G170100.1.v1.1 annot-version=v1.1 MFFATLASFSLTPPPPSLPLRAPPPAQARRPATSSPLRPNCPARDPLASRRRSPLASSLLPCVCRCPSSLFSRHRPPLQRASASCCYFLSASEDVGSNHDPFIRFPSAMNSAALHDPITPFTIQTTPAKKAEPAP* >Brasy6G212000.1.p pacid=40048628 transcript=Brasy6G212000.1 locus=Brasy6G212000 ID=Brasy6G212000.1.v1.1 annot-version=v1.1 MALAHQITNRPLLSSPPRLPRTAGSSNSNARPPPFLGTHTCCLRLQQQGAAVVVRASSAQAEPKSGGGDGGGGGGEDPYEEYEVEILKPYGIKFAKGRDGGTYIEAIFPGSSADQTGKFTVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMQKKFGKWDGSGELSDKEIIRAERNTGNVSTKLREIQMQNYQKKMEQKIQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEIDEASVASYNVACCYSKLDRIQAGLSALEDAMKAGYEDFKTIRTDPDLANLRKSEEFDPLLNKYDESFINESAINAIKSLFGFGKK* >Brasy6G103700.1.p pacid=40048629 transcript=Brasy6G103700.1 locus=Brasy6G103700 ID=Brasy6G103700.1.v1.1 annot-version=v1.1 MGAARRGSAMAVAALAAAAMWAGMAAAASYEVGDKLGWTIMGNPNYGAWANSKKFHVGDTIVFTYNKQFHNVMAVSKADYKSCDVTKPMATWSTGKDSVVLNTTGTHYYLCGYPGHCGMGQKVAVHVSAASSDAPSAAPSMAPAPATSGGTAGRAAAAAPSPNKNAAPSTVGCSVALAVAASVLSVAAAGLNLL* >Brasy6G118700.1.p pacid=40048630 transcript=Brasy6G118700.1 locus=Brasy6G118700 ID=Brasy6G118700.1.v1.1 annot-version=v1.1 MPRRCNACNRFDVVCKRPNVCLSSFAIAMGDGCRDVVCFKDRLNDFVENFLVVRDISGFNYDVFVERRNDATMMVLIGDFSIHKLKVGDSVRFSAADYGSVFEAAVEGQGDVIGENAILFGLQEVTYEVRDLFHSIVFTDMASLRDVDVSRILVALKDVDLYVEYQCEFIVHRMSDVDMALELMGIALQVKHALKIPFSGEAGLDSDKLNNSMIGEYTTVSGSTVIIDGWADFCLCHGIRRGSVLMIEVDTMGGLHLYVESVGGVDFVPFFSSLAHCALVCAH* >Brasy6G244400.1.p pacid=40048631 transcript=Brasy6G244400.1 locus=Brasy6G244400 ID=Brasy6G244400.1.v1.1 annot-version=v1.1 MMSESQSGYTVMGVVAVVVLGMLIMCCCANWCSKGSRSVSRSTVAAAMMKHATVERFLGEIAGERPIRFTPEQLSGFTHGYSARLGAGGFGVVYKGMLPNGLIVAVKRLHATHDDKTSEGQFMAEVGTIGRTHHINLVRLLGFCFDDAALALVYEYMDNGALDAYFFDRGHVVALPKRRDIALGVARGLRYLHEECQQKIIHYDIKAGNVLLDGGLTPKVADFGLARLVNRADTHVSVSCVRGTPGYAAPEMWMQSGVTEKCDVYSFGMLLLEIIGQRRNFDQTAPESLQWFPKLAWTKYEIGEPMELVAPTARCEELHGIAAANCRELADRMCKVAFWCVQQQPSARPQMSAVVKMLEGEMDIAEPTNPFQHLMSSATVADLSTTMESVNTVPVPINETTTSYTRCKSF* >Brasy6G023900.1.p pacid=40048632 transcript=Brasy6G023900.1 locus=Brasy6G023900 ID=Brasy6G023900.1.v1.1 annot-version=v1.1 MLLSVRRLPVAPSRPDAHPHASPAPPYLRLRRQHGRVAMAMARTSATPVINSHIFSPPLTAKLRLRRQPNIKRFVVRSNLFDRLTRVARSYANAVLSSFEDPEKILDQAVLEMNDDLIKMRQATAQVLASQKRLENKYKAAEQADTDWYRRAQLALQKGDEELAREALKRRKSYADNASSLKAQLDQQKSVVENLVSNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALGQLGADDLEGKFAMLETTSVDDDLAQLRKELSGSSLKGELPPGRPLRDTEIENELNELRKKAKEY* >Brasy6G255200.1.p pacid=40048633 transcript=Brasy6G255200.1 locus=Brasy6G255200 ID=Brasy6G255200.1.v1.1 annot-version=v1.1 MNCNMASKILVVLFLILQASLMFIAQDVLAARDLAKKSDCVESGGANAKSSSYTGNPNNYDGPSYGKPPH* >Brasy6G192700.1.p pacid=40048634 transcript=Brasy6G192700.1 locus=Brasy6G192700 ID=Brasy6G192700.1.v1.1 annot-version=v1.1 MGCGTGSGGLGMSASLSYFDTYRCNRLPANLIQAPRDLFGAHTYERIDHPGSFNAEWTKLATKSK* >Brasy6G132600.1.p pacid=40048635 transcript=Brasy6G132600.1 locus=Brasy6G132600 ID=Brasy6G132600.1.v1.1 annot-version=v1.1 MDAVVAGLPGQQARRRIRPPEPLVMAASPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLLSTDLVPNLLLRRLIHLHAATLPPSPSPEEVLSQLAASHGEPAAAEKAVRSLAAKIAPEKGKQASVASAVAADLDSTVPALLSFAKGGAGTDARVDAVRILATVAPEIATYITGDGTEIKRGKVKMAVEALAAVLSAGGVNEEAKKALISALVAADLGRVVTTLLTAGPTGVVVLEAILTSPVPDADVKTAIADRSELFPDLVRILREAASPAAIKCMAAAVQVRGRPARASMVRAGAVPALALAVSAAPTAAAESALALLVEAARCSDGKAAIAGDAAGVAAAVMGRMIRVGPVGREAAVAVLWLSCCAGGGERRMREALAAAPEAVGKLLVVMQGDCSPATSRMAGELLRAVRMEQERKGMAAAYDSRTIHVMPY* >Brasy6G071200.1.p pacid=40048636 transcript=Brasy6G071200.1 locus=Brasy6G071200 ID=Brasy6G071200.1.v1.1 annot-version=v1.1 MTPGSSLLTKVLSFLRLEPQSTASLRRRLREADNEAASDVESIGALHARAVSLERGLRAAVLERNAAVVRMHEAEARAEEAEERAEAAVRAGEIAAAEVREKERVALARDAQIRELTAEMLSYDEQPAGVIDAAGRLVPSSLQNTSTSNRVFWRPPVVG* >Brasy6G057900.1.p pacid=40048637 transcript=Brasy6G057900.1 locus=Brasy6G057900 ID=Brasy6G057900.1.v1.1 annot-version=v1.1 MAIRGVPSAREMTVEDFKTWLKQFDVDGDGRISRGELREALRRRGGWFTTIKAGRAVRRADKDNNGFVDNAEVENLVAFAQKDLGMKISAW* >Brasy6G114400.1.p pacid=40048638 transcript=Brasy6G114400.1 locus=Brasy6G114400 ID=Brasy6G114400.1.v1.1 annot-version=v1.1 MVPYDNQRCVDSLGAALEKRGVAMPRPNATCDMVLCFCGIRLHQIGSLRCPAAFSVGGAAKNATPTAAVKDLEKSCRNASYAGCSRCVQALQKVKGNVSREAGGGGDRARRMLGLDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYSAHPTEPGSGSHSSRISGGGSNSAPPPPKCSPDQENMPLAVDSLQFEHASSTASSAAAAVPRRAFCCFLLLLLCLIWDAFL* >Brasy6G039300.1.p pacid=40048639 transcript=Brasy6G039300.1 locus=Brasy6G039300 ID=Brasy6G039300.1.v1.1 annot-version=v1.1 MVAVVARERKYTSSLVGKVMRCRRPCAISGSGGAMNAKDTLDDDVWERIKLGRRLSRSHPWPSSGTASRRKEEARRRRVMVATMRPRRGGDYRTARAGRQIAFPVSLISRMTRPVF* >Brasy6G141200.1.p pacid=40048640 transcript=Brasy6G141200.1 locus=Brasy6G141200 ID=Brasy6G141200.1.v1.1 annot-version=v1.1 MAAAGFSDLPTEALDEIARRVGPFDNVSCAAVCKPWRRALYTARTRRLKKPPTNRPLYVCVEPRHELCPWELAYGRKRESWANKVCVFARPTNGYGCPQDMIGVAIDGGGAPAQYPTRVIGGSRYGWVVTVDKSCSLSLLEPLTGRRFPLPPITSSLGRSKKLHKDLNLMGQDMFRKAALGPGRRLGTFAVMLIHGGAGYAGLSFLAPGSKSWTALRAPAWAPKNYLDVLLHKGVFYTVSIDAELNAWAPDGSSTGLRARLAVASPRADQRLWAVLVHADSRSRDDLILMVSTPVGGCGLYAGRLFDVSRYDERQRRWIPEMNRGDLTILLNDNGGVVRLEATDARKPADGKGDVVACRYQYSSDPSYDRLFLGYGSWFLPYVAPVSPHN* >Brasy6G137400.1.p pacid=40048641 transcript=Brasy6G137400.1 locus=Brasy6G137400 ID=Brasy6G137400.1.v1.1 annot-version=v1.1 MPAMTVTSAAMRVLRRKLQSSRGLNLTLAPTGGIGKNFPAPPQEPAATISTPQRKRVVQAPLKPPASSEAIFAKGTKVRVRTCCAGRLCTGQRLVLVLDAVVLSAAADGYVVYNGDPLKTMLVGKDEVKMIDDAAAG* >Brasy6G071300.1.p pacid=40048642 transcript=Brasy6G071300.1 locus=Brasy6G071300 ID=Brasy6G071300.1.v1.1 annot-version=v1.1 MIAAECEQPGVGLTAALAGETSDVIKGLLFRRVIMVPERQGARGDSLFSSLSRHVFPGFSI* >Brasy6G110900.1.p pacid=40048643 transcript=Brasy6G110900.1 locus=Brasy6G110900 ID=Brasy6G110900.1.v1.1 annot-version=v1.1 MADPQAGVGGPPEPQADALVESVRVDGTAAEATLSGAELAWRPSGRVRDGAGRRKLELESDVLGFRVEGRALKVSIFARWEEARVGRPPSPLGCGGAGGGDRKRGEVVVEMESEEAAERWGDAMRDRFVSLGRPKRLFIIVNPFGGKRSGRRIFQIEVLPLIEAAGIQYTMQETKHRLHAQEIAGSLDLMKYDGIICVSGDGILVEVVNGLLQREDWSTAIKVPLGIIPAGTGNGMVQALLHSAGEPFSMSNAVFAIIRGHKRALDVTSVVQGKTKFFSVMMLTWGLVADIDIESEKFRWMGSARIDVYSLVRVVSLRRYNGRILFIPAPGYEGFGDPVEQTTSCKSNGASNNVEGDRSSVSNDETCTYPGPSVDEADVKWRSLNGPFVNVWISNIAFACEGVMIAPQAKFADGFLDAAIVKDCPRSVALGLLLRMKDGSYVESPYVQYFKVKALRIEPGLRVGNSNLGGIIDSDGEVLARGDVSKAGGEPEHLMAYGPPIQLTVDQGLATIFSPR* >Brasy6G241300.1.p pacid=40048644 transcript=Brasy6G241300.1 locus=Brasy6G241300 ID=Brasy6G241300.1.v1.1 annot-version=v1.1 MVMEKAASFGVAEAGLVGRADVDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLLAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVGKYRLCSLAQYINLVGVTIGYTITTAISMGAIGRSNCFHRNGHDANCEASNTTNMIIFAAIQVMLSQLPNFHKIWWLSIVAAVMSLAYSSIGLGLSIARIVGGAHAKTTLTGVTVGVDVSSSEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSNPAENKVMKKASLIGVSTTTTFYMLCGVLGYAAFGSGAPGNFLTGFGFYEPFWLVDIGNACIVVHLVGAYQVFCQPIYQFVESWARARWPDSAFLHAEFPVGPVHVSPFRLTWRTAYVALTAVVAMLFPFFNDFLGLIGAVSFWPLTVYFPVEMYMAQAKVRRFSPAWTWMNVLSAACLVVSLLAAAGSVQGLIKAVSGYKPFKAS* >Brasy6G203500.1.p pacid=40048645 transcript=Brasy6G203500.1 locus=Brasy6G203500 ID=Brasy6G203500.1.v1.1 annot-version=v1.1 MRSSILSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLNYVEVLSRIHEELEQCVPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCASSVHEKVIFGAWLRYEKRGEEIIADVLASCRKCCREFGPINVASEMPVRNFEIVGSGVMGSSSHISSMVTFKIRDGRVTCDRCKIASLSIPFSSMLNGPYTESQLELVDLSENGISLEAMRAVSEFSCTYSLEDMPLEILLEILVFANTFCCDKLKDACDRKLALFVSSRQDAVELMALAFDENAPVLAASCLQMLLQDLPDCLTDELVLDIFLSATEQQQLIMAGHASFLLYCFLSEVTMNIDPRTETTVILSEKLVQLAVTPSQKQIAFHQLGCIRLLRKEYNEAEQLFEVAFSAGHVYSIAGLARITNVKGKKTSAFEKLSAVIISSVPLGWMHLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDPRLALEEINRLLGFKLALECLELRICLYLALEDYKSALCDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVDQWNTAECWLQLYERWSSVDDIGSLSVIYKMLESDASKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSTNVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLESAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYDEMTKLIEKAKNNASAYEKRSEYCEREQTMSDLQMVTQLDPLRVYPYRYRAAVLMDTHKEEEAIAELTRAISFKADLHLLHLRAAFHEHIGDVSSALRDCRAALSLDPNHQEMLDLQKRVNSQEP* >Brasy6G203500.2.p pacid=40048646 transcript=Brasy6G203500.2 locus=Brasy6G203500 ID=Brasy6G203500.2.v1.1 annot-version=v1.1 MRSSILSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLNYVEVLSRIHEELEQCVPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCASSVHEKVIFGAWLRYEKRGEEIIADVLASCRKCCREFGPINVASEMPVRNFEIVGSGVMGSSSHISSMVTFKIRDGRVTCDRCKIASLSIPFSSMLNGPYTESQLELVDLSENGISLEAMRAVSEFSCTYSLEDMPLEILLEILVFANTFCCDKLKDACDRKLALFVSSRQDAVELMALAFDENAPVLAASCLQMLLQDLPDCLTDELVLDIFLSATEQQQLIMAGHASFLLYCFLSEVTMNIDPRTETTVILSEKLVQLAVTPSQKQIAFHQLGCIRLLRKEYNEAEQLFEVAFSAGHVYSIAGLARITNVKGKKTSAFEKLSAVIISSVPLGWMHLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDPRLALEEINRLLGFKLALECLELRICLYLALEDYKSALCDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVDQWNTAECWLQLYERWSSVDDIGSLSVIYKMLESDASKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSTNVISLLEDALKCPSDRLRKGQVA* >Brasy6G015600.1.p pacid=40048647 transcript=Brasy6G015600.1 locus=Brasy6G015600 ID=Brasy6G015600.1.v1.1 annot-version=v1.1 MASCYNWSELPQDLLGLLIDRLPFPNVRARFRAVCRPWRSAMRHRAPPMQQQLVLDLPEGLFMAPSDGCNDSHPLPSSFPDGNTISCVGSTNDWVALRRSTPCTTFSPARPLRSPGSAPSGSVIRKVLMRSAPDDLTAVVTRDWGCPWLPPESLMEVPCSFIIDVAFLGGKLYAITKAEDLMLIYVDLRGGGKRMVVRLKRLIRRPFTRGAEVFEAGVDAWVPARRIFVGAHFSKSVPAPCGDQIKEDAIYFVNTGEVFDMSWVFPPELVV* >Brasy6G184700.1.p pacid=40048648 transcript=Brasy6G184700.1 locus=Brasy6G184700 ID=Brasy6G184700.1.v1.1 annot-version=v1.1 MASSSSAWVEWAAEYTKAAQAEARPAHEWVARVAAAAGDGEDVQFSAGLAEMLARVLLSGGGSGAAPAAAWKYAEAALAARLASPALLLALLSTRVIPHRLASPTAYRLYLELLRRHGFKLCFQIKGSNFRKIMQSIDDNLNLSKIFGISTCEPGVFVVEFVLCILWQLVDTALDHEGLLELTPEKAARWPTRPQDVSTFEGSLSEQMPEKIEKLQKMNSVITIELIGHLLHDKVVTRILSLARENMKTQWGVFANRLQLLVANSSTLKASKMSLEAFQQLILDEHNVYGENKHSLRKKFHPMVAFNPISSPNGRCLGASYSALWIPIDMYLEDCLHGSIAATNSIEILSGLVKALQAVNRSTWRDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIEEADSCHDETELNNHWKGKSAKDDLRKELMLSLQVLGDYESLLVPPPCIISAANLAASKAAMFVSAANISNGYMESGNDSTMNYSGNMRHLIVESCISRNLLDTSAYFWPGYINGHVNSMSHTLPSQLAGWSSFMNGAPLTQSLVNTLVSIPASSLAELEKLFEVAVNGSDEDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHSDPADYSGRESQLMKHGPMLNVILTGISPVDYAPIFSFHGLVPELAAALMAICEVFGCLSPSVSWTLGTGEEISAHSVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNPQVLSASSLSKSRSSQKQLPVNSSPSSYNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNLMFRKANKGSTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASIATIASYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGSTLGRLPLPLAAFVSLTITYKLDKSSERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTSTLGMSSTSLCCCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILGDDILSLLMLSVKDIAETTVSRHRSDKLKRTKYGMRHGQISLAAAMTQVKVAASLGATLVWLSGGTTLVQSLFQEMLPSWFLSVQDLDQGGASGATVYKLGGHALAYFAVYSGMFAWGIDPTPVSRRRERVMRSHLEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCLLHEVDLKVLKKLSVGLQQLKENELAIAVLRGGGPKAMAAAAELILGS* >Brasy6G184700.3.p pacid=40048649 transcript=Brasy6G184700.3 locus=Brasy6G184700 ID=Brasy6G184700.3.v1.1 annot-version=v1.1 MQSIDDNLNLSKIFGISTCEPGVFVVEFVLCILWQLVDTALDHEGLLELTPEKAARWPTRPQDVSTFEGSLSEQMPEKIEKLQKMNSVITIELIGHLLHDKVVTRILSLARENMKTQWGVFANRLQLLVANSSTLKASKMSLEAFQQLILDEHNVYGENKHSLRKKFHPMVAFNPISSPNGRCLGASYSALWIPIDMYLEDCLHGSIAATNSIEILSGLVKALQAVNRSTWRDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIEEADSCHDETELNNHWKGKSAKDDLRKELMLSLQVLGDYESLLVPPPCIISAANLAASKAAMFVSAANISNGYMESGNDSTMNYSGNMRHLIVESCISRNLLDTSAYFWPGYINGHVNSMSHTLPSQLAGWSSFMNGAPLTQSLVNTLVSIPASSLAELEKLFEVAVNGSDEDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHSDPADYSGRESQLMKHGPMLNVILTGISPVDYAPIFSFHGLVPELAAALMAICEVFGCLSPSVSWTLGTGEEISAHSVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNPQVLSASSLSKSRSSQKQLPVNSSPSSYNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNLMFRKANKGSTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASIATIASYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGSTLGRLPLPLAAFVSLTITYKLDKSSERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTSTLGMSSTSLCCCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILGDDILSLLMLSVKDIAETTVSRHRSDKLKRTKYGMRHGQISLAAAMTQVKVAASLGATLVWLSGGTTLVQSLFQEMLPSWFLSVQDLDQGGASGATVYKLGGHALAYFAVYSGMFAWGIDPTPVSRRRERVMRSHLEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCLLHEVDLKVLKKLSVGLQQLKENELAIAVLRGGGPKAMAAAAELILGS* >Brasy6G184700.2.p pacid=40048650 transcript=Brasy6G184700.2 locus=Brasy6G184700 ID=Brasy6G184700.2.v1.1 annot-version=v1.1 MASSSSAWVEWAAEYTKAAQAEARPAHEWVARVAAAAGDGEDVQFSAGLAEMLARVLLSGGGSGAAPAAAWKYAEAALAARLASPALLLALLSTRVIPHRLASPTAYRLYLELLRRHGFKLCFQIKGSNFRKIMQSIDDNLNLSKIFGISTCEPGVFVVEFVLCILWQLVDTALDHEGLLELTPEKAARWPTRPQDVSTFEGSLSEQMPEKIEKLQKMNSVITIELIGHLLHDKVVTRILSLARENMKTQWGVFANRLQLLVANSSTLKASKMSLEAFQQLILDEHNVYGENKHSLRKKFHPMVAFNPISSPNGRCLGASYSALWIPIDMYLEDCLHGSIAATNSIEILSGLVKALQAVNRSTWRDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIEEADSCHDETELNNHWKGKSAKDDLRKELMLSLQVLGDYESLLVPPPCIISAANLAASKAAMFVSAANISNGYMESGNDSTMNYSGNMRHLIVESCISRNLLDTSAYFWPGYINGHVNSMSHTLPSQLAGWSSFMNGAPLTQSLVNTLVSIPASSLAELEKLFEVAVNGSDEDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHSDPADYSGRESQLMKHGPMLNVILTGISPVDYAPIFSFHGLVPELAAALMAICEVFGCLSPSVSWTLGTGEEISAHSVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNPQVLSASSLSKSRSSQKQLPVNSSPSSYNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNLMFRKANKGSTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASIATIASYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGSTLGRLPLPLAAFVSLTITYKLDKSSERFLNLAGPALENLAASCPWPSMAIVAALWTQKKLLHFYPWHVVDIIMLLRRCCQPSGSRFWLSLLWWSVPCCSRNSLPPDIPVHQGLLYTWRRYTFPADAFGERHS* >Brasy6G184700.4.p pacid=40048651 transcript=Brasy6G184700.4 locus=Brasy6G184700 ID=Brasy6G184700.4.v1.1 annot-version=v1.1 MQSIDDNLNLSKIFGISTCEPGVFVVEFVLCILWQLVDTALDHEGLLELTPEKAARWPTRPQDVSTFEGSLSEQMPEKIEKLQKMNSVITIELIGHLLHDKVVTRILSLARENMKTQWGVFANRLQLLVANSSTLKASKMSLEAFQQLILDEHNVYGENKHSLRKKFHPMVAFNPISSPNGRCLGASYSALWIPIDMYLEDCLHGSIAATNSIEILSGLVKALQAVNRSTWRDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIEEADSCHDETELNNHWKGKSAKDDLRKELMLSLQVLGDYESLLVPPPCIISAANLAASKAAMFVSAANISNGYMESGNDSTMNYSGNMRHLIVESCISRNLLDTSAYFWPGYINGHVNSMSHTLPSQLAGWSSFMNGAPLTQSLVNTLVSIPASSLAELEKLFEVAVNGSDEDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHSDPADYSGRESQLMKHGPMLNVILTGISPVDYAPIFSFHGLVPELAAALMAICEVFGCLSPSVSWTLGTGEEISAHSVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNPQVLSASSLSKSRSSQKQLPVNSSPSSYNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNLMFRKANKGSTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASIATIASYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGSTLGRLPLPLAAFVSLTITYKLDKSSERFLNLAGPALENLAASCPWPSMAIVAALWTQKKLLHFYPWHVVDIIMLLRRCCQPSGSRFWLSLLWWSVPCCSRNSLPPDIPVHQGLLYTWRRYTFPADAFGERHS* >Brasy6G101400.1.p pacid=40048652 transcript=Brasy6G101400.1 locus=Brasy6G101400 ID=Brasy6G101400.1.v1.1 annot-version=v1.1 MADPQAGVGGPPEPQADALVESVRVDGTAAEATLSGAELAWRPSGRVRDGAGRRKLELESDVLGFRVEGRALKVSIFARWEEARVGRPPSPLGCGGAGGGDRKRGEVVVEMESEEAAERWGDAMRDRFVSLGRPKRLFIIVNPFGGKRSGRRIFQIEVLPLIEAAGIQYTMQETKHRLHAQEIAGSLDLMKYDGIICVSGDGILVEVVNGLLQREDWSTAIKVPLGIIPAGTGNGMVQALLHSAGEPFSMSNAVFAIIRGHKRALDVTSVVQGKTKFFSVMMLTWGLVADIDIESEKFRWMGSARIDVYSLVRVVSLRRYNGRILFIPAPGYEGFGDPVEQTTSCKSNGASNNVEGDRSSVSNDETCTYPGPSVDEADVKWRSLNGPFVNVWISNIAFACEGVMIAPQAKFADGFLDAAIVKDCPRSVALGLLLRMKDGSYVESPYVQYFKVKALRIEPGLRVGNSNLGGIIDSDGEVLARGDVSKAGGEPEHLMAYGPPIQLTVDQGLATIFSPR* >Brasy6G012400.1.p pacid=40048653 transcript=Brasy6G012400.1 locus=Brasy6G012400 ID=Brasy6G012400.1.v1.1 annot-version=v1.1 MKATPPQRRRGPRVAVLALVLCSLLVPLAILFDHASSSSGDGTADERRRQEVVLPSIDRVERRDHGDAAAVDPVIRLPIGGGVRQDGPKKISNGSSGVLPQPKQIDRRPSPSSTKPKVLTVPRTEPPKAVMEPNRQEVANDRKRRDRGAKADEVENAKACQLEFGSYCLWSTEHKVMMKDSIVKRLKDQLFVARSYYPSIAKLEGQEALSQEMKQNIQDHERILSASAVDADLPSFINKRILEMEHTIARAKSCTVDCHNVDKKLLQILDMTEDEAHFHMKQSAFLYNLGAQTLPKTHHCFSMRLTLEYFKSSSLDSDVSSAHKFNTPNRRHYVILSKNVLAASVVINSTVINSKDPGNDVFHVLTDAQNFYGMKYWFARNSYKKSALHVINYEETILEKLPKHSMREMYLPEEFRVLIRDTEQPTEKARMEYLSLFSHSHFFIPEIFKDLKKVIVLDDDVVIQRDLSFLWNLNMGDKVNGAVQFCGVRLGQVRNLLGKTKYDPKSCAWMSGVNVINLEKWRKHKVTENYLQLLKQVKRTDEASLRAAAFPLSLLSFRHLIYPLDVNLTLSGLGYDYGIDQEVAWSYASLHYNGNMKPWLELGIPDCRKYWRRYLTREDRFMDECNVNP* >Brasy6G076800.1.p pacid=40048654 transcript=Brasy6G076800.1 locus=Brasy6G076800 ID=Brasy6G076800.1.v1.1 annot-version=v1.1 MRGIEWAAAGMSCNGCRVLRKGCNEACVLRPCLHWIEAAESQGHATVFVAKFFGRAGLLSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLWAGSWQLCEAAVQTVLRGGAIGPLPDLAGGVPEGGVGGSDLFASSAWRATAVGCSTFSTAKRVNTTTRRPGMRPQAAPAHQQLQDPSCDLGLWLSGPGSPSVAAAAAGKRAGTPSMSSDDDSVTTSGGAGGGREPELLNLFV* >Brasy6G001200.1.p pacid=40048655 transcript=Brasy6G001200.1 locus=Brasy6G001200 ID=Brasy6G001200.1.v1.1 annot-version=v1.1 MDPNQRHVGPDSPNHQPRNAANMQYAPNYPQPQHHTNSPQSSYGQGISPSNFLQNFHPFASPHNYQQYAQPPASYQGLQHQGRMGYSPHGVFSHAAAGSSPLLQPVSLFGGPGNTSSYGSQVGTPQSGREEPAHVEDLSDSSEEDGRKVVRTNWTDEENTRLGSSWIKHSVDSIDGNGKKAEYYWRQVAEEFNSNRPVNGTKRSVKQLRSHWSTINKAIAHFNGVYSRARSTYVSGQCDDMLMKTTREWYKGENNGKPFTMEVLWDILKEQPKWKNTFVVEKNKRTKISASGAYASSSNPETDVELNKEKRPEGQKKAKERRKAKGKSALQPEDKPSEDMLLFHDAIGKRSAALVQTAEASVERTNLNKMQTYLDALAKDTSGYTQEKLKRHEQALDTLGKQLFPENV* >Brasy6G245600.1.p pacid=40048656 transcript=Brasy6G245600.1 locus=Brasy6G245600 ID=Brasy6G245600.1.v1.1 annot-version=v1.1 METRPDPKQQQLPDEHDDSSPPAYPRWEAPDAKTAAHARISDGRPIRVSFGFAPPPAASRLRADIPGCADDGIATLAIAAQGDSVLFRVLTRNVSREEAGGYTYTSDYFVYSAGLSPSPSLAVIPPFGPVTKYGELYMDKQGTALLRDGGDGELVVALMDSVQAEEDGDEEGAVGADVCVFRPLSGGWKLKRLPVLHDEGKRRELLCWETDAVFPVGDRFLYWVDYGRGIIFSDVRREAPELRYVSLPVKPDLDDDRDCHDQGRYRRLCATDGGTVRFVEVFPRCCCGCPGPTACALSQHAFTVATWTLRTNDMTWHKDGMVDCDDIWSLAGYDGVLPRIKPMYPMLSLDDDDPDALCFMVDTRRMELRSIFRYGDVAKDEEPTFVPSKVSQYLNTSHGGLMPPAKPSLKPTLSNKKGPLSTTLPKTPDNLLCDDMRRAYTVLACDEDRLKFRALYLALPEDMRKDYCYLMTKMYSFDIYR* >Brasy6G132900.1.p pacid=40048657 transcript=Brasy6G132900.1 locus=Brasy6G132900 ID=Brasy6G132900.1.v1.1 annot-version=v1.1 MGVNRKFGQIILPRMMTSKILHHQQLHDYQSISEISSTVDEPLGKRPLDGPKYRVFTGTWNVGGITPSDDLDLEDWLDTRANSYDIYVLGFQEIVPLNAINVLGPRNRFISKKWNSLIGKALNKEKSQDGAQLHHTTTNSSAVECFAQEGYFRCIRSKQMVGIFTSVWTRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSVRFVLHETSFCIVCCHLASGGKQGDILLRNFDAADILARTNFRGGGNQKLPKKILDHDQVVLLGDLNYRISLEEPQTRLLVTAKNWSTLLENDQLVSEFLTGRVFEGWQEGSVTFSPTYKYQPNSDQYYWCFDTARGEKKRAPAWCDRILWHGKGMKQIRYETCNYKFSDHRPVRTGFIIECKVRDENSMGRFMR* >Brasy6G128000.1.p pacid=40048658 transcript=Brasy6G128000.1 locus=Brasy6G128000 ID=Brasy6G128000.1.v1.1 annot-version=v1.1 MQPPYQPPMNGQHAPPQAPPQSSGPPPPPPQQQAPPPQQYYQAPPQYYQPGPPPPMWGHPQQHMPPQYAPPPQQYAPPPPHQYGPPPQQYAQPPPQQYGGQMAGGPAPGGEDIRSLWIGDLQYWMDEAYLHNAFAPMGPQQVASVKIIRNKQTGQPEGYGFIEFHSRAAAEYALASFNGHAMPNVDLPFKLNWASASAGDRRGDDGSDHTIFVGDLASDVTDSMLQEIFKANYPSVRGANVVTDRATGRSKGYGFVRFGDVNEQTRAMTEMNGVTLSSRQLRIGPAANKKNMGTQQTYSTNGYQSQSSQGNDVQNDPNNTTIFVGGLDSNIDENYLRQVFTPYGEVGYVKIPVGKRCGFVQFTSRSCAEEAINALNGTPIGGNNVRLSWGRSTQNKQAPQQDANQSNGSNYYGYQQGNDAYYGAPNAQDPSMQNYGYSGYGNYEQQQPAQQQQPPQQ* >Brasy6G128000.2.p pacid=40048659 transcript=Brasy6G128000.2 locus=Brasy6G128000 ID=Brasy6G128000.2.v1.1 annot-version=v1.1 MQPPYQPPMNGQHAPPQAPPQSSGPPPPPPQQQAPPPQQYYQAPPQYYQPGPPPPMWGHPQQHMPPQYAPPPQQYAPPPPHQYGPPPQQYAQPPPQQYGGQMAGGPAPGGEDIRSLWIGDLQYWMDEAYLHNAFAPMGPQQVASVKIIRNKQTGQPEGYGFIEFHSRAAAEYALASFNGHAMPNVDLPFKLNWASASAGDRRGDDGSDHTIFVGDLASDVTDSMLQEIFKANYPSVRGANVVTDRATGRSKGYGFVRFGDVNEQTRAMTEMNGVTLSSRQLRIGPAANKKNMGTQQTYSTNGYQSQSSQGNDVQNDPNNTTVMR* >Brasy6G179100.1.p pacid=40048660 transcript=Brasy6G179100.1 locus=Brasy6G179100 ID=Brasy6G179100.1.v1.1 annot-version=v1.1 MLRLQSSRRHLAVSPPTTTFSSPNGLRLLSARTPRAPTLASTKAPTRPLPLQFLAPRRQRRWRCSSSDAVQEAPFVEASASGGGGEKKSFWAAVSLIIGTAVGPGMLGLPSATIRSGPVPSTVAILLSWAYVVSSIILVAELSFAAMGSGGVEEVSFTGLASSTLGATFGGVVAVVYAALSFSLIVACVAGIGSLVSQLFPTVNPVLANALFPCFAGVLIAFFPFKAVDGANRVLCGLMLVSITALVVTGASVGRSSMLKSLGYACWSPSAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAAILGLAGTAGGAAFDDPIKLLLSVNPEALPAVRGFAFAALATSLIGYAVSFPKQLADTLELFAKRFSLKQGSLQLSDAGGSHGRNGAILTWIVLIIPVFIVSFFSAAFARALDFAGVYANCFLFGILPPVMAWIYQSQKRKRSPDSCEDILPGGNAALLILFIVAVVLAFWH* >Brasy6G179100.2.p pacid=40048661 transcript=Brasy6G179100.2 locus=Brasy6G179100 ID=Brasy6G179100.2.v1.1 annot-version=v1.1 MLRLQSSRRHLAVSPPTTTFSSPNGLRLLSARTPRAPTLASTKAPTRPLPLQFLAPRRQRRWRCSSSDAVQEAPFVEASASGGGGEKKSFWAAVSLIIGTAVGPGMLGLPSATIRSGPVPSTVAILLSWAYVVSSIILVAELSFAAMGSGGVEEVSFTGLASSTLGATFGGVVAVVYAALSFSLIVACVAGIGSLVSQLFPTVNPVLANALFPCFAGVLIAFFPFKAVDGANRVLCGLMLVSITALVVTGASVGRSSMLKSLGYACWSPSAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAAILGLAGTAGGAAFDDPIKLLLSVNPEALPAVRGFAFAALATSLIGYAVSFPKQLADTLELFAKRFSLKQGSLQLSDAGGSHGRNGAILTWIVLIIPVFIVSFFSAAFARALDFAGVYANCFLFGILPPVMAWIYQSQKRKRSPDSCEDILPGGNAALLILFIVAVVLAFWH* >Brasy6G179100.3.p pacid=40048662 transcript=Brasy6G179100.3 locus=Brasy6G179100 ID=Brasy6G179100.3.v1.1 annot-version=v1.1 MLRLQSSRRHLAVSPPTTTFSSPNGLRLLSARTPRAPTLASTKAPTRPLPLQFLAPRRQRRWRCSSSDAVQEAPFVEASASGGGGEKKSFWAAVSLIIGTAVGPGMLGLPSATIRSGPVPSTVAILLSWAYVVSSIILVAELSFAAMGSGGVEEVSFTGLASSTLGATFGGVVAVVYAALSFSLIVACVAGIGSLVSQLFPTVNPVLANALFPCFAGVLIAFFPFKAVDGANRVLCGLMLVSITALVVTGASVGRSSMLKSLGYACWSPSAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAAILGLAGTAGGAAFDDPIKLLLSVNPEALPAVRGFAFAALATSLIGYAVSFPKQLADTLELFAKRFSLKQGSLQLSDAGGSHGRNGAILTWIVLIIPVFIVSFFSAAFARALDFAGVYANCFLFGILPPVMAWIYQSQKRKRSPDSCEDILPGGNAALLILFIVAVVLAFWH* >Brasy6G220600.1.p pacid=40048663 transcript=Brasy6G220600.1 locus=Brasy6G220600 ID=Brasy6G220600.1.v1.1 annot-version=v1.1 MLLVIELIVPPRLRHPNHHAPALSSSKSKPQPSSPSSRVYPRLPPNQAREPPRAARAPMAFAGPSHLLSSSSACLRRLNPSLFSAHSRSPWTPRRAARRSCAAIASERDVFTSPEDAKSFDFTSEERIYRWWESQGFFKPNVDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMIRYFRMKGRPTLWLPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTTRVWEWKEKYGGTITNQIKRLGASCDWSRERFTLDEQLSRAVVEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGRLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDQNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEARDKLWAELVETDLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAERALDAVEKGQLVILPERFEKIYNNWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARNAEDALTKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDLSREDFKHFYPTTVLETGHDILFFWVARMVMMGIEFTGTVPFSHVYLHGLIRDSEGRKMSKSLGNVIDPLDTMKEYGTDALRFTLCMGTAGQDINLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDFTAWDLLLANKFDTEASLEKLPLPESWVVTGLHELIDRVSTSYDKFYFGDAAREIYDFFWGQFADWYIESSKTRLYHSGDGSASNMTQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKHALIVAPWPTTDLPKNLLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVATADVLEYISKEKKVLALLSKLDSENVQFTESLPCDANQSVHIVAEEGLEAYLPLADMVDVSEEVKRLSKRLSKMQTEYDALLARLNSPSFVEKAPEDIVRGVREKASEAEEKISLTKNRLSFLQSTVST* >Brasy6G152300.1.p pacid=40048664 transcript=Brasy6G152300.1 locus=Brasy6G152300 ID=Brasy6G152300.1.v1.1 annot-version=v1.1 MATPFCAAATSTLACRLSVPSSAGPSGLRRSRACRGVVAVRAEAEAAAGGSGINPAIRKEEAKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK* >Brasy6G152300.2.p pacid=40048665 transcript=Brasy6G152300.2 locus=Brasy6G152300 ID=Brasy6G152300.2.v1.1 annot-version=v1.1 MATPFCAAATSTLACRLSVPSSAGPSGLRRSRACRGVVAVRAEAEAAAGGSGINPAIRKEEAKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK* >Brasy6G003600.1.p pacid=40048666 transcript=Brasy6G003600.1 locus=Brasy6G003600 ID=Brasy6G003600.1.v1.1 annot-version=v1.1 MALQQFAASPDYDLAKLPPPPPQLPPSPPPLPPSPPQLPRKQTYTSTTTDQQQQQRRPVATAAPGSVYGPAGQQRVLEGFVLVLRATAAAAGFVAMALVASCRHGDWMDFTRYQEYRYLLGVAVAAFLYSSAQALRSFRRMRGGGHAASCFLDFAGDQAVAYLLVTAAAASLPITIRMRSAVANAFTDAMAASIVLCFVAFAALALSALLSGCSLVSTP* >Brasy6G005200.1.p pacid=40048667 transcript=Brasy6G005200.1 locus=Brasy6G005200 ID=Brasy6G005200.1.v1.1 annot-version=v1.1 MASIQNSMRAMALLLMISSTLLSSQVSARSIVLITNLWFIFLWTCITGTDEYREPYVFLCEPKRCDEICKEDKYSHGTCHKDSKGSPDLHCYTTPRTSLENKLASLLSL* >Brasy6G129600.1.p pacid=40048668 transcript=Brasy6G129600.1 locus=Brasy6G129600 ID=Brasy6G129600.1.v1.1 annot-version=v1.1 MPNVNLKSHATLAAAAACLAVLAAAALLHRRRSRCHAPATSRRVEGRRPRRARRACEEEEKPQGRFKRVFADNSYSPFKHLRRQGADPVADGQRGDAQPQPLESSPKMHPFGEEITSLLENPTGFSTFSNFTLSSQCPEMSTSYNWVNTEAQLQDLARLLSEEKVFAVDTEQHSLRSFLGYTALVQISTQKEDYLIDTIVLHDAMGILRPVFSSPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLEIYCGVTTDKIMQREDWRVRPLTPEMVEYARSDAHYLLKIANCLASELHAKACDSPDGKVNFFLEASRRSNMVCMQLYAKEIECPPGASSAASILSRNLQTHGLDSKKSSEVKDLVWKFCAWRDLMARMHDESLRYILSDQAIAALAVSVPKGPMEMCTVIAETELSSSTAHPSLSSPSPIVVTHIEELCYLIEDTTVSMDDLFTSLLGKYKEPSGLCRLSVYNYNLISQLSLKQTNMFAFASSGEKLLTAPPNKKASRESFIKKFSCKSPVYHNCRIYASDARLLCYCDRKKLEWYIQRDLAKLVEDNPPGIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRRLAEELGIPLFVQRIVNSGDRSLITDASVSEEKSNGSGVSPLLLRTAAMALLRHGSNMPSKRCEELMQIVESYYGGRDVTPEDLEMALLVGMSPHERRRLEKKKGYPHSFRAETQNIIRKSSNGTILEENGHDSEKSHALPQQLPEDGNKNNGEQDADETGCNSQAEDLTVSQGSTSILLSVDDSTSGPNTETLRTDAVQHLSSAFTRANVHLDEDPTSSDNSSQTISRNADKKISLLGHGHHGKQVVELLLANGGEEAVHQFCQRWRHVFVEAVHPRYLPSGWNINHSGRRDFGDFSVYKPSNQEPQPADL* >Brasy6G167100.1.p pacid=40048669 transcript=Brasy6G167100.1 locus=Brasy6G167100 ID=Brasy6G167100.1.v1.1 annot-version=v1.1 MTGEVAAHEAPPPLPVLFEEEKDRRSFLDFMTKVTQYEELVDAGKRFLVKFHQELEHFRRPKLPMESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.2.p pacid=40048670 transcript=Brasy6G167100.2 locus=Brasy6G167100 ID=Brasy6G167100.2.v1.1 annot-version=v1.1 MTGEVAAHEAPPPLPVLFEEEKDRRSFLDFMTKVTQYEELVDAGKRFLVKFHQELEHFRRPKLPMESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.3.p pacid=40048671 transcript=Brasy6G167100.3 locus=Brasy6G167100 ID=Brasy6G167100.3.v1.1 annot-version=v1.1 MTGEVAAHEAPPPLPVLFEEEKDRRSFLDFMTKVTQYEELVDAGKRFLVKFHQELEHFRRPKLPMESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.4.p pacid=40048672 transcript=Brasy6G167100.4 locus=Brasy6G167100 ID=Brasy6G167100.4.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.5.p pacid=40048673 transcript=Brasy6G167100.5 locus=Brasy6G167100 ID=Brasy6G167100.5.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.6.p pacid=40048674 transcript=Brasy6G167100.6 locus=Brasy6G167100 ID=Brasy6G167100.6.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.7.p pacid=40048675 transcript=Brasy6G167100.7 locus=Brasy6G167100 ID=Brasy6G167100.7.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.8.p pacid=40048676 transcript=Brasy6G167100.8 locus=Brasy6G167100 ID=Brasy6G167100.8.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G167100.9.p pacid=40048677 transcript=Brasy6G167100.9 locus=Brasy6G167100 ID=Brasy6G167100.9.v1.1 annot-version=v1.1 MESGALSELVKSNYTDRMKCYLEAGGHLQHQSIRNINQLQSCEEKLEDHINKAKLLLEELQYLAEHVYSTTLTANLGVIRSSNYFHGDNNLTDECCEDEQQPVDQLDSTVTYSSVMILVHNMLKLDYSMQEKIVKALCLKASSSELAGYCLMWDLRPYVDDNVMQLAWKFVR* >Brasy6G108900.1.p pacid=40048678 transcript=Brasy6G108900.1 locus=Brasy6G108900 ID=Brasy6G108900.1.v1.1 annot-version=v1.1 MRRIPTSSADSRLYGSETHGPCLCRRRFMEPLATESLPAASRPTSHNVATPLGTLGGYCRLAFSGHTAGKLGTVFRRHSTNGASGVADCTLIRCAPYTDMPHPPSRLARYSHNAGKFGTAFRRRRTQAASYEDLSSTFSSPSSPSSEDSSASAGSLVDCTLSLGTPSSRAHRVAQPVSATNACYHFYHQQQQSKLASRRHRHRHGRRLERRPARAAARGGPAMLRQLRFAAPRPRRSGATALAGPSRSATRAASGSRKRSGARPRPTPEGAACQYTTRSGRGRREPRRRVQHVSIGRVADEGAAPEAPFVEWRLNAVPNLPAVWPEKARRWR* >Brasy6G165400.1.p pacid=40048679 transcript=Brasy6G165400.1 locus=Brasy6G165400 ID=Brasy6G165400.1.v1.1 annot-version=v1.1 MAAGASANMWRRWLRPEAYPIFATTGVAVGICVMQLVRNITTNPEVRVTKENRAAGVLDNHAEGERYSRHGFRKFIDGRSREIMPALNSFFTDPPKY* >Brasy6G067800.1.p pacid=40048680 transcript=Brasy6G067800.1 locus=Brasy6G067800 ID=Brasy6G067800.1.v1.1 annot-version=v1.1 MSKVCVTGGAGYIGSWLVKKLLDRGCTVHTTLRDLGDEKKTGLLRAFAAAAPERLVLFEADIYDAGSFEPAIAGCEFVFLVATPLQHTAGTSKYKDTTEALVDATRAILQQCERAKTVRRVIHTGSVLAAAPLREDGSGFKDFANESCWTPLGLQYDHSNEIMDAYIPSKTLSEKELLKHNSEAESKGFDVVVLLCGLVGGDTLLPYVPASMQVVISPLTGIGPYHGSLKFMQALLGSVPLVHVDDVCEAHIFCMDSTKIAAGGGRFFCATAQPNMEDIVKHYAAKHPELKLLIKEVNNHKLLFFLLITRNPIKIKRCLITILSNHRVVGEGVSVQANTNKLAELGFEFKYGAEEVLDGSVECGKRLGLL* >Brasy6G067800.2.p pacid=40048681 transcript=Brasy6G067800.2 locus=Brasy6G067800 ID=Brasy6G067800.2.v1.1 annot-version=v1.1 MSKVCVTGGAGYIGSWLVKKLLDRGCTVHTTLRDLGDEKKTGLLRAFAAAAPERLVLFEADIYDAGSFEPAIAGCEFVFLVATPLQHTAGTSKYKDTTEALVDATRAILQQCERAKTVRRVIHTGSVLAAAPLREDGSGFKDFANESCWTPLGLQYDHSNEIMDAYIPSKTLSEKELLKHNSEAESKGFDVVVLLCGLVGGDTLLPYVPASMQVVISPLTGIGPYHGSLKFMQALLGSVPLVHVDDVCEAHIFCMDSTKIAAGGGRFFCATAQPNMEDIVKHYAAKHPELKLLIKEVVGEGVSVQANTNKLAELGFEFKYGAEEVLDGSVECGKRLGLL* >Brasy6G059800.1.p pacid=40048682 transcript=Brasy6G059800.1 locus=Brasy6G059800 ID=Brasy6G059800.1.v1.1 annot-version=v1.1 MLRRMGCQGDDGAPSPNAFSYNVVIAGLWKAGRDSDAVKLFDEMSEKAVVPNHITYNTMIDGHIKKGDLESGFRLRSRMLCHGLKPNMITYNVLLSGLCRAGRMGETAAVLDEMASRKMVPDGFTYSILFDGHSRVGDSQTMLSLFEESVKKGVKIGAYTCSILLNGLCKDGKISKAEEVLQTLVNAGLLQTTVIYNTLINGYCQIGELDGAFSIFQQMKSRLIRPDHITYNALINGLGKVERITEAHDLVIEMEKNGVNPNVETFNTLIDAYGRAGQLEKCFIILSDMQEKGLKPNVVSYGSIVNAFCKNGKILEAVAILYDMFIKDVLPGAQVYNAIIDAYIECGSTDQAFMLAEKMKSSGVPPSIVTYNLLIKGLCKQSQISEAEELLDSLRNYGLAPDVVSYNTLISACCYRSNTNRALELEKEMWKCGIKPSPRTYRMLFSSLGGSGRVHEMENLYQQMLDKDVVPCSGIYYIMVDAYAKCGEESKVEALRKEMSDKGIAVGDYTSMTNCE* >Brasy6G118800.1.p pacid=40048683 transcript=Brasy6G118800.1 locus=Brasy6G118800 ID=Brasy6G118800.1.v1.1 annot-version=v1.1 MSTMYSWSCRAAGAGATRTALCGTTSPRKAWGSPRWGNEYILKGSRLLDYMKWTTHAAFSKSTSRRTETVDLLTRAEIRARLFFLCLSSVRPSVLGCLCLCSVLGRERALVVGFFFAGRRARLHLKSRRESWGCLLCRSRKAEGTGTDAEEELHRRDTRVSRKRSCIAGFARLAPGS* >Brasy6G118800.2.p pacid=40048684 transcript=Brasy6G118800.2 locus=Brasy6G118800 ID=Brasy6G118800.2.v1.1 annot-version=v1.1 MSTMYSWSCRAAGGATRTALCGTTSPRKAWGSPRWGNEYILKGSRLLDYMKWTTHAAFSKSTSRRTETVDLLTRAEIRARLFFLCLSSVRPSVLGCLCLCSVLGRERALVVGFFFAGRRARLHLKSRRESWGCLLCRSRKAEGTGTDAEEELHRRDTRVSRKRSCIAGFARLAPGS* >Brasy6G253000.1.p pacid=40048685 transcript=Brasy6G253000.1 locus=Brasy6G253000 ID=Brasy6G253000.1.v1.1 annot-version=v1.1 MFAVGFSASLHFSRWIFACGWPGSMRSIAGHFLNKAHSLCGCHWALGHCYLATCGSSLSSALEILPKTPPLIHSSPFLIVPAPDPRFRLSSSPSSSAANKILLCPTAPPPPQWWSRLAAATVWICLEVFYFNPKYHCSLMKVLQTSTGGHLGSPGGDFAQVTPDLAASSPDLAASSPDLAPLSLDLPDELIHEILFRLPPDEPAHLVRLSILSKSWDRLLSDPAFHHRYRKLHQTTPTLGFLYSEYSTKIVTSFVPATGFCPPSIPNYKLADFAMRDCRHGHVLGRAFHFGFVHMQLVVWNPVTGHHTHLKSFDDSLICMGEAVLCPVDGCDHATCHDFHVVCVGINKEEGTTTAYVYSSQTDEWSAPTAGLQLDGCNIQTHNVLVGGAIYFLLTYGPHGTQILKYDIGRHQISIIEPPVAAAMFDQGTILMAPKDGVLGIAHLKTLSVYLWTMKAGPDGAVAWTQDKIIDLAALLPIGDPVSKVRLIGSVEGTNIIFASTSLSIYSIDLKLLKSKKLHEQQNRRDIFPFMSFYNPLERRSGTSLSAGDNSSSASLGEVLA* >Brasy6G112200.1.p pacid=40048686 transcript=Brasy6G112200.1 locus=Brasy6G112200 ID=Brasy6G112200.1.v1.1 annot-version=v1.1 MPPPNSFPRTSPPPYGKEKKHPLARNFPSRVSLVPASPQALTAPPLRPGQPSTLCPPLSSVKATRLASAPSSLVATRLARLAADPADPASLHHHRRK* >Brasy6G248700.1.p pacid=40048687 transcript=Brasy6G248700.1 locus=Brasy6G248700 ID=Brasy6G248700.1.v1.1 annot-version=v1.1 MATSDELEQIGISLEEKDDLVGKVMRYVLFKTHQTAGCPIKREELTQIVTKDYQKRALPALVIKEAGDRLAATFGYEMKELQRTRAPSNRSVRSSSQAPSSVDAKTYILVSKLDPEVYSKYVEDKERAHLSGFAFVVISIVHVAGGKISEEDLWHQLKRLGLNENDEKHPVIGNNKQALELLVQQRYLLKEKIAGPEGHFIVYELAERALDESISVKLKDYISQIVGTSTATEE* >Brasy6G115900.1.p pacid=40048688 transcript=Brasy6G115900.1 locus=Brasy6G115900 ID=Brasy6G115900.1.v1.1 annot-version=v1.1 MDGLAVAVAAANCRCSRVVYVGNIPFHASEKEVRDACELIGPVLSFRLAADAATGKRRGYAFVEYADDATARSACRNLHGHPLRGRELRVGLADRRPRRQGEHDPVGMDDAIHAASLVDSAARPAVIASMARHLAGLSRHQLREAAAEFEKHGPDTCRILKKHIPGLDTAMEMVQRLLAMAAADDAAEEAKRKKRANGCLNAEGSDQHAKLRKMEDGGKATTVPAGVVWS* >Brasy6G141300.1.p pacid=40048689 transcript=Brasy6G141300.1 locus=Brasy6G141300 ID=Brasy6G141300.1.v1.1 annot-version=v1.1 MEEEHYITESSNEEDVQEDGEKDISITEGDVFKPVDIEQELVPKVGMVFDSEEDAFQFYVAYGCHSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKYKKHLKDSPFSLNPPHMSETPQSSSRAAHSSRDGDGGIPSCAQIEFKSKVDRNRKLKLAEGDLEALLSFFNDMQDRNPCFFYCLDMNEQGQLRNVLWADAKSRSSYNYFGDVVAINATNFSDQYDIQFVSFVGTNHHAHPVLLGCALLAGRSLGAYVWLFDTWLRCMNGIPPSSVITNHCQDVAIAVKKVFRNARHRFCLRHILNELPEKLDGMGKKDEMISTFSALAYDSVTMPDFDKEWQEMTQQFHLEGNEWLSNLYEVRMQWAPVHVKDSFWAGLSVTDRSDSATDYFDGWLMSGTSVKMFVEQYESTVRSKLEKESHDDLQSSQLRPQMMTGLPVEEQAAKVYTIEIFQMFLNEIGHSFHCNYNILDRSDSGVTYIVSEHVNRAKKVDYKVAYNNGEDDIWCLCRLFQSKGILCRHALTVLRQELVLMIPPKYIIRRWCKDYKQTCVLTSQTVSVSTQELGSYDDLYKSSHQYFTEVVELGSLNLESKEYALSIMREVRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLMQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANNLNTTIITTDNVTDDTNVQQNQVNEGWSLTSTGAPETFPYGVENISFDLSQYNSAPSFHWPESSSRSQLQ* >Brasy6G141300.2.p pacid=40048690 transcript=Brasy6G141300.2 locus=Brasy6G141300 ID=Brasy6G141300.2.v1.1 annot-version=v1.1 MEEEHYITESSNEEDVQEDGEKDISITEGDVFKPVDIEQELVPKVGMVFDSEEDAFQFYVAYGCHSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKYKKHLKDSPFSLNPPHMSETPQSSSRAAHSSRDGDGGIPSCAQIEFKSKVDRNRKLKLAEGDLEALLSFFNDMQDRNPCFFYCLDMNEQGQLRNVLWADAKSRSSYNYFGDVVAINATNFSDQYDIQFVSFVGTNHHAHPVLLGCALLAGRSLGAYVWLFDTWLRCMNGIPPSSVITNHCQDVAIAVKKVFRNARHRFCLRHILNELPEKLDGMGKKDEMISTFSALAYDSVTMPDFDKEWQEMTQQFHLEGNEWLSNLYEVRMQWAPVHVKDSFWAGLSVTDRSDSATDYFDGWLMSGTSVKMFVEQYESTVRSKLEKESHDDLQSSQLRPQMMTGLPVEEQAAKVYTIEIFQMFLNEIGHSFHCNYNILDRSDSGVTYIVSEHVNRAKKVDYKVAYNNGEDDIWCLCRLFQSKGILCRHALTVLRQELVLMIPPKYIIRRWCKDYKQTCVLTSQTVSVSTQELGSYDDLYKSSHQYFTEVVELGSLNLESKEYALSIMREVRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLMQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANNLNTTIITTDNVTDDTNVQQNQVNEGWSLTSTGAPETFPYGVENISFDLSQYNSAPSFHWPESSSRSQLQ* >Brasy6G126700.1.p pacid=40048691 transcript=Brasy6G126700.1 locus=Brasy6G126700 ID=Brasy6G126700.1.v1.1 annot-version=v1.1 MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGEVRMRLCEVRISRGASVLSQDLDLDFQP* >Brasy6G266900.1.p pacid=40048692 transcript=Brasy6G266900.1 locus=Brasy6G266900 ID=Brasy6G266900.1.v1.1 annot-version=v1.1 MATTTYLSSFHAVAATATTSNSAGGVLSPRPRPSRVITCQASEPSSRRSACLSIGLGLATAAVLHTSPAHAADNTDEDPEPANNGWWLTEFPLPVPKIRNKEINNGETGTRSFLKNGIYMADIGPSFAAHAYRLRSNAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLITAADADSKPPLLELANRLFDSFERLQSAVTSKEDDAIGSRYADTKVILQELMTRMA* >Brasy6G197000.1.p pacid=40048693 transcript=Brasy6G197000.1 locus=Brasy6G197000 ID=Brasy6G197000.1.v1.1 annot-version=v1.1 MVKSPRSPVTTGTKRCRAKPQKNVGGSTGEGQLETGPKDSATVMHNEVENGAGSAARKRPKRAAACSDFKEKSIRLSEKSNVVMIKKNRMEEEEIDAINLTKLGPEDSPPCRKLIDFILHDADGNLQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWAISGYDEGTAVVWVSTELADYECVKPANNYRSYYSHFYEKAQVCVEVYRKLMRSVGGNPNLSLEELLATVVRSINAIQGYSGTMSKDFVIATGEFVYNQLIGLDQTAGNDDEKLATLPVLLALRDECKSRVEFNKMPPKISNGSLKINDAECNEVAEDDDEKLARLLQEEEEWKMMKKQRGKRGVPSQKNIYIKISEVEIANDYPLPAYYKPSTQEMDEYIFDGDDGMFSDDVPVRILNNWVLYNADSRLISLELIPMKSGTENDIVIFGSGFMRDDDGSCCSTAELANSSSSSSKADHQDAGVPIYLSPIKEWLIEFGGSMICITIRTDVAWYKLRQPIKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFVDVIKKVAEFDKGDPAFISSSISLVERYIVVHGQIILQQFADFPDETIRRSAFATGLLMKMEQRRHTKLFMKKKAQVTRGENLNPIATMGTTSKRKAMRATTTRLINRIWSDYYAHHFPEDTKDVDGNEAKEIDDEQEENEDEDAEEEVQIEEEKVSETPPSTRSRKLVSQTSKEIRWEGKATGKTASGEDLYKCGYARELRIAVGGTVTLEDDSGEVVICFVEYMFQKPDGEKMVHGRILQKGSETVLGNAANERELFLTNDCLEFELKDIKELVSVNLQSMPWGHKYRKENSEADKIERARAEERKKKGLPMEYLCRSLYWPEKGAFFSLPHDKLGLGSGVCSSCEHREPDCDELRILSKTSFIYRKVTYSVHDFLYIKPEFFSQEEDRGTYKAGRNIGLKPYAVCHLLDVCEPAGSKKIHPASAKVSVRRFYRPDDISSAKAYTSDIREVYYSEDIINVPVDMIEGKCEVRKKVDISNSDLPVMVEHVFFCEHIYDPTTGALKQLPPNVKLMSMVQKAAGALKKNKGKQICENDQVDLDKQKEMPRENRIATLDIFAGCGGLSEGLQQAGASFTKWAIEYEEPAGEAFKQNHLEAAVFVDNCNVILKAIMDKCGDAGDCVSTSEAAEQAAKLAEENIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGEILPDWPEPMHVFASPELKITLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGANKLILEYGGEPTSWFQKKIRGSTIALNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSSGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFAGTIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQQA* >Brasy6G123500.1.p pacid=40048694 transcript=Brasy6G123500.1 locus=Brasy6G123500 ID=Brasy6G123500.1.v1.1 annot-version=v1.1 MASSVDLEDRFDISGPTHIMSRAGTGRSSPTTIDWNKEEHRRCVAACIVKGTYILENDRTRCRVHAEALAPPWWESFHFRLVDVLKDESYKRSGDKFIFGAVYEHVPPPSAGVRRHHPAAPRYVVAFRGTMLLHPKAIHDLCLDFKILVNTLAECKRSQRAHQAVDTLLKTIANGKTAAAGGGGSDSVWLTGHSLGASLALDVGRAMMSEQGLSIPTFLFNPPQVSLAPAINKLLPSEGLRRDLYAKSNLVKAGLGLVLSPHRKRMEKLFELLSPWAPNLYVHDKDLICQGFIDYFEQRRQWEQEEQRCRGGVSKSSSAMTLSYRDMLFSVLGKEKERPHLLPSATLWRNSGVGGAGDAHGLQQWWKPDGELSLSDRRYSYP* >Brasy6G100700.1.p pacid=40048695 transcript=Brasy6G100700.1 locus=Brasy6G100700 ID=Brasy6G100700.1.v1.1 annot-version=v1.1 MNEKASVSKELNARHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFVCMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANGYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSRLPSGARDEKSSESQTSHANRGGHGQRSSFEPHRASPAAAPKIAPVASRMHTQASPQAKAELSVPKVASPPQPAKSPAKVQVAPPKVDQPSVAAPPKVDYATDLFDMLSMNETTEKEPESSANDNNGWDGFQSAEPVPSSVKKDAAKPVEGKAQSTSGIEDLFKDSPALGLSSAPAVSQTNPKTDIMSLFEKSNMVSPFAIHQQQLAFMTQQQAFLMAALKAGNAPQMVPGNGGLLSTNGSNAPNGSLPSQSWPNLGYQNPAATPAAVPQNGVAKAGNNNQDFSSGNFGFGAPVVYNNMSSAVPANGATTANKSTSSPTSSTLPSQSGKEYDFSSLTQGMFSKR* >Brasy6G077000.1.p pacid=40048696 transcript=Brasy6G077000.1 locus=Brasy6G077000 ID=Brasy6G077000.1.v1.1 annot-version=v1.1 MDRSATMSSLFEEASRAKSPVPPPPSPPRMDSWGARGGRGSRRRGAGSGSGSGSGSLGGGGAESEEEYLALCLLMLARGVRGDGGNVKGVGAATKGYECSVCGKVYASYQALGGHKTSHRKPPTPPPAQAVSATSEETSGAAAVVATAEAKVHRCSLCLRTFPSGQALGGHKRLHYEGGSAGGDGAKEAGAKAKGAAAALLRDFDLNLPAAAAPSTAAGGDDAEAESAPPEAKRARLQVLLAAV* >Brasy6G049800.1.p pacid=40048697 transcript=Brasy6G049800.1 locus=Brasy6G049800 ID=Brasy6G049800.1.v1.1 annot-version=v1.1 MEAGEIGGALLFALAAAAALTAAISSGAVDFRAPPTVGAPLGLEEAVSLFIRVFDGSNNSSSGAAGEVHELWVRVRGGVIAPVLQVAVWACMAMSVMLVVEAVYNCVVSLGVKAVGWRPEWRFKWEPLAGAGAADEEKGTSVHYPMVLVQIPMYNELEVYKLSIRAACELEWPKDRIIVQVLDDSTDPFIKNLVELECESWASRGVNIKYTTRSSRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFFIHNPEVGLVQARWAFVNDTSSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTAAIKEAGGWKDRTTVEDMDLAVRATLKGWKFIYVGDIRVKSELPSTYKAYCRQQFRWACGGANLFRKIAKDILAAKDVSLLKKFHMLYSFFLVRRVVAPTVAFFLYNILVPISVMIPELFLPIWGVAYIPMVLTVVTAIRHPKNIHLLPFWILFESVMTMHRMRAALAGLFELSEFNQWVVTKKVGTSFEDNEVPLLQKTRKRLIDRVNFREIGFSVFLFFCASYNLVFHGKTSYYFNLYLQGLAFVLLGLNFTGTCACCQ* >Brasy6G122500.1.p pacid=40048698 transcript=Brasy6G122500.1 locus=Brasy6G122500 ID=Brasy6G122500.1.v1.1 annot-version=v1.1 MDQQPKDDVDFLEPSILLDETHYQEGYKNGYDDGLVSGMEEGRQVGLKMGFQVGEELGFYQGCLDVWMSVIHLEEGAFSARVRKNMEQLAALLSKYPLSDPENEQVQDMMEKIRLKFRVITASLGAKLEYEGRPTSSKQDVEDL* >Brasy6G170500.1.p pacid=40048699 transcript=Brasy6G170500.1 locus=Brasy6G170500 ID=Brasy6G170500.1.v1.1 annot-version=v1.1 MDALPLQELVDWEYKSQENGKMHACGHDAHTAMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGNGGAYYVLEEGALGDASAIFGLHVDPALPVGVVAGRPGPFAATSGRFLATITGKGGHAAGPHDAIDPIVAASAAVLALQQIVSREIDPLQGAVVSITFLKGGEAYNVIPESTTFGGTLRSMTNEGLAYLMKRIREIVEGQAAVHRCSGSVDLMEETMRPYPAVVNDEGMYALAKAVAGRLLGEKNVRLAPQLMGAEDFGFYAQRMAGAFFVIGVGNETTMKQVRTTHSPYFVIDEDVLPVGAAFHAAVAMDYLNKQHVSVSASA* >Brasy6G228700.1.p pacid=40048700 transcript=Brasy6G228700.1 locus=Brasy6G228700 ID=Brasy6G228700.1.v1.1 annot-version=v1.1 MAKGKDVRIRVILKCISCVRKGANEEPTGISRYSTEKNRHNTPR* >Brasy6G241600.1.p pacid=40048701 transcript=Brasy6G241600.1 locus=Brasy6G241600 ID=Brasy6G241600.1.v1.1 annot-version=v1.1 MACICACLGRRLGRCVEEHRRECRRGCCECLRNLCVACAGYVLCRCQYLCFQSGLCLCCTCLFQPLYCVLSWVDGRRQACRHLRAACVQFWTPLSATVLLIWLLYRPDRFHPRVDGAVLARLDVLGNANGNGSNGSRMIRYDMAVDLSFRNSHGRLSIQYLDVGAAAFYNGTKLGPAQNSFPSSFHQGPKNTTVLHPAFRGVVAVDSGVAAELQRERAAGTVHVRVTVDLTLRYKAWFGNELFFYKYDCWLWFPHRGETPPSSTATAHGVGAFD* >Brasy6G051600.1.p pacid=40048702 transcript=Brasy6G051600.1 locus=Brasy6G051600 ID=Brasy6G051600.1.v1.1 annot-version=v1.1 MVPWEGYVSDETMGTFAPILLYWVYAGGYQLVLHRRPLERYRLHTRAEEDEKNLVTLPAVVRGVLLQQLVQAIVAMFLFMITSDSSTVIVQPSMVVQMFQFLVAMLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAMSFLVSGMTPRTAAFFFCFAVLKTVDDHCGLWLPYNIFQRLFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDKILGTHMAYSLVSRKEGGFEARASRD* >Brasy6G201400.1.p pacid=40048703 transcript=Brasy6G201400.1 locus=Brasy6G201400 ID=Brasy6G201400.1.v1.1 annot-version=v1.1 MSRSCTAQTSYAGHTCIVHPFPSSLFQIPRGRGRISPTIPNSGHRAVPYPAILYATPPTKRQPPRPPLRAPPPWRRRPSRLVAAAPKAAATPVELVVIVADAVRRAGPTLRSSRSDLDPHGCLFPFALPPLARPVELCEPVSPHAPLITTSIQSSSTHHDSRRVSSSGLTATGPLPLACSLPWRRRLARCHLRCAQREPVRHCPEKGNAAAVLRSGNAATPSPYLPSSRGPCCPRSPLGALA* >Brasy6G265100.1.p pacid=40048704 transcript=Brasy6G265100.1 locus=Brasy6G265100 ID=Brasy6G265100.1.v1.1 annot-version=v1.1 MLFFSIATIVSSFAADAGTRKQVNLHFYMHDITGGPGQTAARVVTGSAQHPGKLPGTFFGDTTAIDDLLTDGPSPASRPVGRAQGTYVLAAVDAPVLLVSATLKLTEGPYNGSTVVVAGRDDVSENVRELAVVGGTGKLRRADGYVLWKTAQMLSPDHVVLELDVHATVPAAS* >Brasy6G240200.1.p pacid=40048705 transcript=Brasy6G240200.1 locus=Brasy6G240200 ID=Brasy6G240200.1.v1.1 annot-version=v1.1 MKCSNDDASRVPATVVLGVDSKPNDTTGLVTPTAATGLMPRHDRGTTRIGVVPESLNVVAAYTTDTSCWKTPLALPLQPFVDLGLVMLTPARCSMEFPDDAGNWLRNVFMPCAPLLSVIPLCQVHSSPIQHATQVSVRHDHICNTKLQPKDGIAT* >Brasy6G219000.1.p pacid=40048706 transcript=Brasy6G219000.1 locus=Brasy6G219000 ID=Brasy6G219000.1.v1.1 annot-version=v1.1 MKEEIDVYTQCFGPCVHNLYWANRVRFKKAQVSLRIKYSVRARKYTTHLIQPTNPTPSPISSIRSRPPRTPRRRHRAGSSPPPSPGWFFSAAFLLHRWYPLRRFFSTTAAALFLHRRKPDSVFLLCSRLGAWTACRS* >Brasy6G003400.1.p pacid=40048707 transcript=Brasy6G003400.1 locus=Brasy6G003400 ID=Brasy6G003400.1.v1.1 annot-version=v1.1 MAPSTFFFQPSTAETGFPDGAILCKAPRISELRNSTTAECETSECHTVVVSFWLVDPPGISYFSVNCPGVDASDFTDPMGHPWLICAEAGFVLFVVTVRRTTDLFLYTAGKQSLQLIPGPNNAPSRNYRYALLPLGGESYAVAFLTRRWISQHVGWQFHAFVFSSETQAWTKKMVSLQHLSEADKSSCGFHGLSAQMAVGGDSLAWVDLACGILLLQNIFHGNPVIRFIPFPESRVRFLDADGLPYYLDDYYCNVSCCHDLIKFVHIEFDNPVIRTNGQGWKATMWHRKLSWNNWHLHSTVDVADISVDPSYSALLPVLRDDEIQRLELKRLIFMTPVPSAHNDDIFYMMAKVNDEDDTAWAITIDMARASVEAMAPFSVRIYHIITMYRPCVFPKYLNMTPGAGMVNPVDNCFKRLNAKQCLMQVLWTLDWLRELDQCLEIERTTYNTCRLLLKLSPVSSLRSNIGSMVKCASFSNSQGEVVSKAVNFFFKRLLFPRALDDFDLALHESPSDLSAASIEAIRSKISDVLQALDNILRIVTPTLMPKALAIATDTESSDNESDEWQKGTSKPSTSEVGKYQESKGRCETKQGRAMVWWDGRLLVFIVCLLIIIVVIPSSPLTVRIVVSS* >Brasy6G003400.2.p pacid=40048708 transcript=Brasy6G003400.2 locus=Brasy6G003400 ID=Brasy6G003400.2.v1.1 annot-version=v1.1 MAPSTFFFQPSTAETGFPDGAILCKAPRISELRNSTTAECETSECHTVVVSFWLVDPPGISYFSVNCPGVDASDFTDPMGHPWLICAEAGFVLFVVTVRRTTDLFLYTAGKQSLQLIPGPNNAPSRNYRYALLPLGGESYAVAFLTRRWISQHVGWQFHAFVFSSETQAWTKKMVSLQHLSEADKSSCGFHGLSAQMAVGGDSLAWVDLACGILLLQNIFHGNPVIRFIPFPESRVRFLDADGLPYYLDDYYCNVSCCHDLIKFVHIEFDNPVIRTNGQGWKATMWHRKLSWNNWHLHSTVDVADISVDPSYSALLPVLRDDEIQRLELKRLIFMTPVPSAHNDDIFYMMAKVNDEDDTAWAITIDMARASVEAMAPFSVRIYHIITMYRPCVFPKYLNMTPGAGMVNPVDNCFKRLNAKQCLMQVLWTLDWLRELDQCLEIERTTYNTCRLLLKLSPVSSLRSNIGSMVKCASFSNSQGEVVSKAVNFFFKSFG* >Brasy6G052700.1.p pacid=40048709 transcript=Brasy6G052700.1 locus=Brasy6G052700 ID=Brasy6G052700.1.v1.1 annot-version=v1.1 MRTTSRLEVDELLQLRPLLLVQPTPPLVPIRTAVAAATAPLAAARLHGLLPRGHHRAAPDLAAAHPPTPPPTAPAPSPHGHRWHPSPPDTPLPWPSSAFPSQGKTATSSSSGRPHPPPPPRVLGSPRASGSQLLRQGEGPPAAAGWRRGGGAAAVEPERTAAARADGGNRGGGEDRGSGASVGRRESGKVQENPVFAEKPSSVLKLDCGLN* >Brasy6G016200.1.p pacid=40048710 transcript=Brasy6G016200.1 locus=Brasy6G016200 ID=Brasy6G016200.1.v1.1 annot-version=v1.1 METRKSSWRTTVVLAYQSLGVVYGDLSISPLYVYRSTFAEDITHSETNEEILGVLSLVFWTLTLVTLLKYVFIVLRAHDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYNRLDRPPEVAGRSRVKEMLEGHRKVKTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKDQHEYAVIPITCAILVFLFALQHFGTHRVGFLFAPIILAWLICMSALGVYNIIHWNPQVYQALNPNYMLTFLKKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLVFTSLVYPALILGYMGQAAYLCKHHDFDSSNQIGFYVSVPAVVRWPVLLLAILASIVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVMMLCWHRPPILALAFFVFFGSVEALYFSASLIKFREGAWLPILLALILVAVMFIWHHTTIAKYSFDLHNKVTLEWLLALCDKLGMVRVPGIGLVYTDLTAGVPANFSRFVTNLPAFHRVLVFVCVKWVPVPRVPAAERYLVGRVGPPGHGSYRCIVRYGYRDVHQDVDSFETELVESLAAFIRLDALVRSSEACAGAGEEDVGGGYERQNALTVIGSNPLRQRGRRISYEDGHDGAASVEIRVESPAAVNKVRFLVESPHRGHGGETEEERMVGEELRELWEAREAGTAFIMGSSHVKAKHGSSVVRRVAIGYGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL* >Brasy6G074500.1.p pacid=40048711 transcript=Brasy6G074500.1 locus=Brasy6G074500 ID=Brasy6G074500.1.v1.1 annot-version=v1.1 MAAPHAGPTMAVPTDAELVQAQADLWKHSLCYLTPMALRCAVQLGIPTALHRLGGTASLPDLMAALSLPQSKAPFLGRLLRLLVTTGVLGGSSPSSTAAVYRLVPLSYLLVEGVRIDGEASQRAVVLAATSRHHLEAALGMADWFRKDVPPLPGAEVPAPFEDVHGAKLFEESMADLDPESDKVFHEALAAHDHMGIGLILREGRALFEGLRSLTDCCGGDGTTARAIVEAYPHLKVHVLDLPKVIQRAPPGDDGSVNYVAGDLFHSIPPAQAVMLKLVLHFWSDEDCIKILAQCKKAIPSRDEAGKVIIIDIVVDPSGPMLETQLLMDVGMMVCTRGRQRDENDWSTIFTKAGFSDYKIVKKLGVRGIIEVYP* >Brasy6G074500.2.p pacid=40048712 transcript=Brasy6G074500.2 locus=Brasy6G074500 ID=Brasy6G074500.2.v1.1 annot-version=v1.1 MAAPHAGPTMAVPTDAELVQAQADLWKHSLCYLTPMALRCAVQLGIPTALHRLGGTASLPDLMAALSLPQSKAPFLGRLLRLLVTTGVLGGSSPSSTAAVYRLVPLSYLLVEGVRIDGEASQRAVVLAATSRHHLEAALGMADWFRKDVPPLPGAEVPAPFEDVHGAKLFEESMADLDPESDKVFHEALAAHDHMGIGLILREGRALFEGLRSLTDCCGGDGTTARAIVEAYPHLKVHVLDLPKVIQRAPPGDDGSVNYVAGDLFHSIPPAQAVMLKLVLHFWSDEDCIKILAQCKKAIPSRDEAGKVIIIDIVVDPSGPMLETQLLMDVGMMVCTRGRQRDENDWSTIFTKAGFSDYKIVKKLGVRGIIEVYP* >Brasy6G151700.1.p pacid=40048713 transcript=Brasy6G151700.1 locus=Brasy6G151700 ID=Brasy6G151700.1.v1.1 annot-version=v1.1 MIDDCRGFEPIEAHANINTALLRRLTNSTVGRGVCWPEVAPPGCRGFDPYTEKPELYQTDPSGTFSAC* >Brasy6G021600.1.p pacid=40048714 transcript=Brasy6G021600.1 locus=Brasy6G021600 ID=Brasy6G021600.1.v1.1 annot-version=v1.1 MAEEPSQQAVVSGRPESLLPAAFPLDSVLIWFGILGGLVGWLQEREVEVGREEGRPRLRRLEFVHVAAAQAALCLAGLYALARDHSGPLRPGVDAVESAVRGVAGPVVGRFKGVPLHVLAFVDRKVDGTVQELDRHLPSALKAASARAYAAAQGVPEAAREITSEAQRSGLSGAARVAYGKVEPVAKDVYAKVEPAAKDLYARYEPAAEHLAVSTWRALNGLPLFPHVAQIVVPTAAYWSEKYNSVIASAAQQGYTGARYLPAIPTERIAKVFGEPSPPEAAEPLKGGAAETQ* >Brasy6G021600.2.p pacid=40048715 transcript=Brasy6G021600.2 locus=Brasy6G021600 ID=Brasy6G021600.2.v1.1 annot-version=v1.1 MAEEPSQQAVEREVEVGREEGRPRLRRLEFVHVAAAQAALCLAGLYALARDHSGPLRPGVDAVESAVRGVAGPVVGRFKGVPLHVLAFVDRKVDGTVQELDRHLPSALKAASARAYAAAQGVPEAAREITSEAQRSGLSGAARVAYGKVEPVAKDVYAKVEPAAKDLYARYEPAAEHLAVSTWRALNGLPLFPHVAQIVVPTAAYWSEKYNSVIASAAQQGYTGARYLPAIPTERIAKVFGEPSPPEAAEPLKGGAAETQ* >Brasy6G214600.1.p pacid=40048716 transcript=Brasy6G214600.1 locus=Brasy6G214600 ID=Brasy6G214600.1.v1.1 annot-version=v1.1 MFDVDVHSVQLLLFLTRFMDLNPFSCGKVFCRGGINQNSAGPSEIPHVAYETMSTTNASEVLNYYFDRLVNHWHERRKDPVNLMILHKEYSKIRTVEGDGECFYRSFIFSYLEQVIDMKDTYEEQRVLAIAERAAIQHTRYIWASEFPRSHEAFKKLIKKIMRWKRRGRFNFRSSTNSHRKQKLLEFFSTYERTEDIFVFLRLLAAIEICSDREEYEPHIAGLGQNCSLEDWCHRHVTRRREWADIVQMRALASAFEVPLTLLPFDVGDAQPIYICFGVPLARVTLLFTGNHYDILYLGPPKPEEAVDPHAKSQGTLPARSERQALNAQEIFRDQSESQDLDAENQEILCVQTESQDRDAENQEILPAQTESQDLDAENQEISLD* >Brasy6G014300.1.p pacid=40048717 transcript=Brasy6G014300.1 locus=Brasy6G014300 ID=Brasy6G014300.1.v1.1 annot-version=v1.1 MSNPSQPPAPRSMASNLHRRQSPQTPASAAAAARPLSIPGNRGSNRTDYRFRPRQATAHAHYNDVADYTIPAHEGIAITVRYTSKARIVEECIDRFYELLDKEPHRIVGLDVEFTRAKRGKQKNLPDYLKQKAAVIQLCVGTDCLVYQICHADRFSPKFRDFLLDESVEFAGVGITQDTEILARCQLRVAKHVDIQKIYKVPDNGKETDSLCDLAKHLIDPWYKDMKHGVDHWFHNHWEKKPLSEEQVRYASLDAYASYEIYRQLRNRREAQDDPAGKGKMELDEGSPGQPSKPMWLPGLP* >Brasy6G164800.1.p pacid=40048718 transcript=Brasy6G164800.1 locus=Brasy6G164800 ID=Brasy6G164800.1.v1.1 annot-version=v1.1 MPLQGAAVGLASAAAASGRVLGRLPFLASPPPTGLPWCRLPRISRPSLLSDPVPVRQETTSILVPSNSTGFLGRQGPGGMLSLTTIFATLHLLGERGLKNCLDYFILF* >Brasy6G234800.1.p pacid=40048719 transcript=Brasy6G234800.1 locus=Brasy6G234800 ID=Brasy6G234800.1.v1.1 annot-version=v1.1 MTILSILVFFLVALDAARVESRPNPDDRLHHLFVFGDSFGDNGNTRQPLVDVVLGTDKVTQDTRQWFFPYGSFTDGRQHPTGRFSNYMVQSDLVANIMGLAVAPPAYKLTKKNTWDKSGMTFAVGGANVFHAPTSNKAVPTLRDQVDTFESLIAHGTISRKHVEHSVALIAISGNDYVSVGDAGGMNIGIGAFVKNVSREIVSNVQRLQEMGAAKVLVNNVPPSAARRRRPRRAASPDATAEATTTREKTEVSSFFDERLAPCCRSTDPSGYCGQMGDSDSDFRYTLCENADKYFYWDEMNPTQAGWETVMEQLEDPIKKFLELS* >Brasy6G160200.1.p pacid=40048720 transcript=Brasy6G160200.1 locus=Brasy6G160200 ID=Brasy6G160200.1.v1.1 annot-version=v1.1 MAAAAAACRLLRLAPRRLRSPRHSPLTALSLLPTPLATSSGWRRYCAAAQASTAPPAAAAAAATGEAVGEFRRRLRVAEVKGGEDEGAAWVEKELTVRGWVRTCRAQRTVTFVELDKRTEETKEALYPRKMHTNDSAAKIRVVMKSFNNQKNNLVGLAPYTQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKIFVVKKAETHELAKKFFWLKRMRIMGAQYEIHINFKTRLDKKIGCSKGSGLL* >Brasy6G034100.1.p pacid=40048721 transcript=Brasy6G034100.1 locus=Brasy6G034100 ID=Brasy6G034100.1.v1.1 annot-version=v1.1 MSKTFTSSKSRMYFSVHFAKEFLLPFLDTPLNVCA* >Brasy6G224600.1.p pacid=40048722 transcript=Brasy6G224600.1 locus=Brasy6G224600 ID=Brasy6G224600.1.v1.1 annot-version=v1.1 MAARVAEDGAPAEGESGGKRAGRSTGRVRAPRRGGRPAWPGLHLDVGDGQGAASPCLAVGRRGAGLLFHPRARPRRACACALRRRRRFYRRLLGSGIGGGSGGGGVQGCGRVRPGLGAGRRQRVRPGLGAPTACGGRDRGWGRPGGGEARRIEREE* >Brasy6G252500.1.p pacid=40048723 transcript=Brasy6G252500.1 locus=Brasy6G252500 ID=Brasy6G252500.1.v1.1 annot-version=v1.1 MICGCIPASLRFSRWIFASRCIAGCILRWLSRPVPLLLGLGDIAGPLTCDGSSLSSVLQTLPQAPPLIHSSPFLIAPAGSRSTIDSAPPDSGHLLLRRRRRRPNPPRSAPTNRTAASPLTPRGPTDRRIYTGCAISALAYSRPESRETIPATRAGAATSIFSADERSSA* >Brasy6G133000.1.p pacid=40048724 transcript=Brasy6G133000.1 locus=Brasy6G133000 ID=Brasy6G133000.1.v1.1 annot-version=v1.1 MWAPAASPAGRAQPSLRPPPRLSAVVRVVIPPPVATRARRLCVAVPRASEMAAAAEEGEEEEKRWWRGPVEMDAAVRRDMAIRRLQEEAEAAGMGRSRREFAVFETTRGDTLFTQSWTPAAADPIRGIVVLLHGLNEHSGRYDHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAALDPCVKVHIEGLILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTYKSIKLYDGYLHDLLFEPERDEIANDIITWLSSRLNALQRW* >Brasy6G133000.2.p pacid=40048725 transcript=Brasy6G133000.2 locus=Brasy6G133000 ID=Brasy6G133000.2.v1.1 annot-version=v1.1 MLLLKSCRGIVVLLHGLNEHSGRYDHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAALDPCVKVHIEGLILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTYKSIKLYDGYLHDLLFEPERDEIANDIITWLSSRLNALQRW* >Brasy6G133000.3.p pacid=40048726 transcript=Brasy6G133000.3 locus=Brasy6G133000 ID=Brasy6G133000.3.v1.1 annot-version=v1.1 MQWTGSFVEFDLFSQGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAALDPCVKVHIEGLILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTYKSIKLYDGYLHDLLFEPERDEIANDIITWLSSRLNALQRW* >Brasy6G133000.4.p pacid=40048727 transcript=Brasy6G133000.4 locus=Brasy6G133000 ID=Brasy6G133000.4.v1.1 annot-version=v1.1 MLLLKSCRGIVVLLHGLNEHSGRYDHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTYKSIKLYDGYLHDLLFEPERDEIANDIITWLSSRLNALQRW* >Brasy6G114300.1.p pacid=40048728 transcript=Brasy6G114300.1 locus=Brasy6G114300 ID=Brasy6G114300.1.v1.1 annot-version=v1.1 MLRLQSIGERLLAIGTNRRTTHFPQSRHGSGYYATVRDNGLSRKTPSVFSRMFSHYKVIVRKKVEDHNYRKRISRGYGSLSVALSNSSARQQPQLALKQFSHRYSYNGPRFPLLSRAACAISLSLTRSHVIPGVMALAFGKMALSQPVLADSSSHMPKMESIVMKTRDTGQLLSSMVWSVWEGITLFIRAVHLAFLFFPATALAPFADSFSIEFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSGAPMHGFAYSKAAIEKAFGRKLAEIFETFEENPVASGSIAQVHRATLRNQHPGKYVAVKVRHPGVGESIKKDFLLINFMAKVSNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAGHLHRFIHNFRKWRHVSFPEPLYPLVHPAVLVESFENGESVARFVDEIEGNARIKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKNKRKRFFRSKPHIVFLDVGMTAELSTDDRDNLKQFFKAVAIRDGRTAAKCTLQLSKHQNCPDPVAFTEELDKKFAFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMESLKTLLIEKEVKQPPDYFG* >Brasy6G114300.2.p pacid=40048729 transcript=Brasy6G114300.2 locus=Brasy6G114300 ID=Brasy6G114300.2.v1.1 annot-version=v1.1 MLRLQSIGERLLAIGTNRRTTHFPQSRHGSGYYATVRDNGLSRKTPSVFSRMFSHYKVIVRKKVEDHNYRKRISRGYGSLSVALSNSSARQQPQLALKQFSHRYSYNGPRFPLLSRAACAISLSLTRSHVIPGVMALAFGKMALSQPVLADSSSHMPKMESIVMKTRDTGQLLSSMVWSVWEGITLFIRAVHLAFLFFPATALAPFADSFSIEFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSGAPMHGFAYSKAAIEKAFGRKLAEIFETFEENPVASGSIAQVHRATLRNQHPGKYVAVKVRHPGVGESIKKDFLLINFMAKVSNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAGHLHRFIHNFRKWRHVSFPEPLYPLVHPAVLVESFENGESVARFVDEIEGNARIKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKNKRKRFFRSKPHIVFLDVGMTAELSTDDRDNLKQFFKAVAIRDGRTAAKCTLQLSKHQNCPDPVAFTEELDKKFAFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMESLKTLLIEKEVKQPPDYFG* >Brasy6G189500.1.p pacid=40048730 transcript=Brasy6G189500.1 locus=Brasy6G189500 ID=Brasy6G189500.1.v1.1 annot-version=v1.1 MARLAVVVFLVLVVSMAASVAAKDPECCKSFHEWGDNKKGCAPENDDDCNTWCQSSCRGGFCKQENHLHVCHCYC* >Brasy6G228000.1.p pacid=40048731 transcript=Brasy6G228000.1 locus=Brasy6G228000 ID=Brasy6G228000.1.v1.1 annot-version=v1.1 MATAVAAFRSLLRPTVSSAAASNSSTLPLPCLRFQILQNDRLGIRLFSSHHHSLPPSAAAASGEEFSSDGEYYSEVEEEGAEYGEVEEGEEVEPEAQPVRGYYPPRTRPALGQEPGRIYVGNIPYTFTAAELTSVFSEAGSVDDVQIIYDKITDRSRGFAFVTMATAEEAAKAVQMFNGALLGGRTIRVNFPEVPRGGERAVASAAAARTSLRVVDDGTYKVYAGNLGWGVRADALRTAFEGQPGLLGSRVIFERDTGRSRGFGFVSFQTLEDANAAIQAMDGVELDGRPLRLSLASQNPPAGSTPSTALPKQEETASEVETSTGSEQLEAETEESNLQTTASY* >Brasy6G080300.1.p pacid=40048732 transcript=Brasy6G080300.1 locus=Brasy6G080300 ID=Brasy6G080300.1.v1.1 annot-version=v1.1 MNTIEEDEAPKDAEAGDLIPHSRRHDVKDGQHSVAAPGEGNTAGVDNMLQAVDGITVAEALNEITGVEPAIDKTGRLPRWFQQVDM* >Brasy6G095000.1.p pacid=40048733 transcript=Brasy6G095000.1 locus=Brasy6G095000 ID=Brasy6G095000.1.v1.1 annot-version=v1.1 MVEGRRARNNFCRDLRGCYICMLLQITKKLPTWLALDSLTYTCRYFGFHTAGLPIGAEKTDVKHRRSISDLELTLVAVEVSEAVK* >Brasy6G140100.1.p pacid=40048734 transcript=Brasy6G140100.1 locus=Brasy6G140100 ID=Brasy6G140100.1.v1.1 annot-version=v1.1 MYIVHVCFSFQRVYSLPICRAMESSMQMLLNDEGFTEPIKKASVSLFCSSFIPECKENLKPKVGMTFEGLKGRGGVLQILYTSVVNQSWIWARVPGN* >Brasy6G076000.1.p pacid=40048735 transcript=Brasy6G076000.1 locus=Brasy6G076000 ID=Brasy6G076000.1.v1.1 annot-version=v1.1 MEKERKQGFFSALKDEVVRGLSPARSRGKTPARSSSPARMLIPRRRKAPPPSAKQMRPEQQQQQYIPEQLIPRSGSLRPGGEALEPLIEGPDADRLAAGDFVGEDSGRRGEGFGQWVRGHLTRTPSMASAGSSGSFRHSDLRLLLGVMGAPLAPISSNLADPLPLLSIKGTPIESSSAQYILQQYMAASGGHRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAAAIEQGGFVLWTMAPGMWYVELAVGGSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSSLEDYRAVEGIVIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSPDCFIPPADIRSGSVGEACELPPTHGGGDRAANKGGAVHPARVAAVERSSHGHNGAAGVNVGAAGGRGEKIVWRVQV* >Brasy6G264600.1.p pacid=40048736 transcript=Brasy6G264600.1 locus=Brasy6G264600 ID=Brasy6G264600.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSRIFSGITTRKDQDNANEMAGGGLERLELAHIKMEAALETSNKWQINDVSLLHWRKKLKRAAQDCQDAARRCRQLSIEEDEMEQMVRGSSFHRRVVHTTKAFVSSFLGHNNDRYSDTVTAVRRFERFADGAIEFVRFVQLGGTPRQHLFFDPLIRHIFAGKSLRYMVLHPGGRYHFFGIRPMGFEERGMEAMLFFTYQDCKMPKNSFRFGFMMRLSESTDIIGTTVKCLRLVTPHFKSTADVVIKEITQLPTQDFSCLPPEVASADIEHWNQIHTTLAEWSRPDPLCCQGYENNIGAESKRQNFLRLSSIFPEPVCEVFLQRHISLSEYCNLGGSTTGYNTSSLENFPPFKLGILFMLHDTLEKPNPTGECSAIEAIDGEKQHLTHVNVHPDQLDEMLLPKAVDYLYHNDAATTYQICWSSNHGSAHLCVEKMSTTRKPGARRASIQKGRNKSSKVLDHEKRQEQMKKEQGKQVARDFLKLMVVRSSERLQSTFTALLK* >Brasy6G088500.1.p pacid=40048737 transcript=Brasy6G088500.1 locus=Brasy6G088500 ID=Brasy6G088500.1.v1.1 annot-version=v1.1 MNTEFQDINSNHVGIDVNSLMSRQAKSAGYYADEDGSFRDLRLNSHKPMQVWVDYDSQAKQLNVTLAPMQVTKPKTPLLSEAIDLSKVMADTMYVGFASSAGIILTTRHYVLGWSFSLDGPALPLDFSKLPALPPVGPKPRSKVFDVVMPLATALLVAAVLAAIFFFLWRRHRFAEVKEDWEDEFGPHRFAYKDLFLATNGFKENNLLGIGGFGRVYKGVLPTTNLEIAVKRVSHDSKQGVREFIAEVVSIGRMRHRNLAQLLGYCRRKGELLLVYDYMVNGSLDKYLYNRNGMALHWHERYRIIKGVASSLLYLHEEWEQIVIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGTVAKTTHVVGTMGYLAPELVRTGKATPLTDVFAFGIFLLEVTCGHRPISIDENNNQVVLVDWVLEHHHNGSVLDVVDRKLLGNFNREEATLVLKLGLLCSHPSPNTRPSMRKVMQYLDGGQSAPDLSPTYMSYSTMTMMQNEGFDSYISTCPLSETSILTVSGECSVSALLDGR* >Brasy6G207100.1.p pacid=40048738 transcript=Brasy6G207100.1 locus=Brasy6G207100 ID=Brasy6G207100.1.v1.1 annot-version=v1.1 MGLFSGSFKFLMGMGCGVYVAQNYNVPNVKKLFNTYVFLAKHLEETYRKPKKED* >Brasy6G217400.1.p pacid=40048739 transcript=Brasy6G217400.1 locus=Brasy6G217400 ID=Brasy6G217400.1.v1.1 annot-version=v1.1 MEVEKKSKDEIHLKIKNKDKLSGNEEDEKKEIEIEIDAKVVEKEEVKHDSGDGSKSVGNGKEIKRDKDKKSEKHADEHEGDDKAGKKKDKKEKDKKKKEDGEGTEKEKSKKEKDEKTESKAKDEKKGSIKKTGADADDSSSKHEKKDKKAKDKGKKKDESDSKGLGDEKQEKGKDKENKKKDKEVDQEDSQSEHKNGKGAHNEVASAERSKDSMYGGLGTREIKLTNDESQKKGTDTSEEKDGADKVKDKRKREKEKKQDEKIDGGDGPGEKQDKEKDKKKDKVEKMEEGKKKDKDKKGKDGDGEEEEGKKKDKDKKKDKGAKEKMNDPAKLKKKLEKIDAKLQDLHLEKDDILRQLKELEEGKTTEEKPVKMLEEGKTNEDVVPAV* >Brasy6G253700.1.p pacid=40048740 transcript=Brasy6G253700.1 locus=Brasy6G253700 ID=Brasy6G253700.1.v1.1 annot-version=v1.1 MEPSTSGTCGPKASAITPDVSLCSILTPIRKSPTSPSARELSRWRPSPARSRPFVTRSGSAAGRSGSAAGVEFGGGGETRPARGFGRGAVRRGEEEAGEGIRQRSSLAGSGGGRRGDSAEEQEKRSGGGRRGDSAEEQFGGEKRRPARGFGRGAVRQGVEEAGEGIRQRSRRRGGRR* >Brasy6G187000.1.p pacid=40048741 transcript=Brasy6G187000.1 locus=Brasy6G187000 ID=Brasy6G187000.1.v1.1 annot-version=v1.1 MDKLSSELKLRVDTFEKAVEDVFNNVPSFDHVPDKYEGMPGVILRVSDITDSSEQDFFLYVKQDLELLQKLVNAEELDKTVLAETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYQGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNLSINVKKMADRGELVKAEAEKHMEYAGENLKSSQPKPVRSLLAYMQSSSCEE* >Brasy6G080200.1.p pacid=40048742 transcript=Brasy6G080200.1 locus=Brasy6G080200 ID=Brasy6G080200.1.v1.1 annot-version=v1.1 MSYYNQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPPAQGYPPAGYPQQGYPPQYAQPPPRQQHQSSGPSFMEGWYASRLTSTP* >Brasy6G225700.1.p pacid=40048743 transcript=Brasy6G225700.1 locus=Brasy6G225700 ID=Brasy6G225700.1.v1.1 annot-version=v1.1 MSSGGGSSPSPAGRHGMFGSSGAGGFGYGVVVSVGILLLLVIIAFAAFYFCCARTAPSHTGAGAPGHGDEEAGGGVDEATLEAYPAMAYGELRKKQRRRGQEETEACCPVCLESYGEAEVVRALPECGHVFHLSCVDPWLRRRATCPVCRTSPLPSPLAEVTPLALARPSSS* >Brasy6G225700.2.p pacid=40048744 transcript=Brasy6G225700.2 locus=Brasy6G225700 ID=Brasy6G225700.2.v1.1 annot-version=v1.1 MSSGGGSSPSPAGRHGMFGSSGAGGFGYGVVVSVGILLLLVIIAFAAFYFCCARTAPSHTGAGAPGHGDEEAGGGVDEATLEAYPAMAYGELRKKQRRRGQEETEACCPVCLESYGEAEVVRALPECGHVFHLSCVDPWLRRRATCPVCRTSPLPSPLAEVTPLALARPSSS* >Brasy6G225700.3.p pacid=40048745 transcript=Brasy6G225700.3 locus=Brasy6G225700 ID=Brasy6G225700.3.v1.1 annot-version=v1.1 MSSGGGSSPSPAGRHGMFGSSGAGGFGYGVVVSVGILLLLVIIAFAAFYFCCARTAPSHTGAGAPGHGDEEAGGGVDEATLEAYPAMAYGELRKKQRRRGQEETEACCPVCLESYGEAEVVRALPECGHVFHLSCVDPWLRRRATCPVCRTSPLPSPLAEVTPLALARPSSS* >Brasy6G179900.1.p pacid=40048746 transcript=Brasy6G179900.1 locus=Brasy6G179900 ID=Brasy6G179900.1.v1.1 annot-version=v1.1 MAWRLAKIFLLFVLCSTIHAQGGSVAADLVPVWEPSSEGRAWRPAEPAISGTFRNCGKCTCCNHLNPRGCVEIDCCKEIVCGDQGCTPPKVLYCGCDPKTCVVH* >Brasy6G130200.1.p pacid=40048747 transcript=Brasy6G130200.1 locus=Brasy6G130200 ID=Brasy6G130200.1.v1.1 annot-version=v1.1 MNSHQRSTEQPDDDSFSSAMCDLKSSVTKNWRFLIPSCFRGASPSALPLSSPPPTTVHQVWPGRNVFFLDGRVICGPDPRGLILSAISVLLSEWIFLAYVVDPSSKHPMLIAVFSMALAATVLVTLLLTATRDPGIIPRSRTSPSQEPGTSTVRRTRSQRIVVDGVEMKQKYCRVCKMFRAPRSSHCAVCDNCVDKFDHHCPWIGQCVGLRNYRFYLLLMALALAFYTYTLAFSVRRIRAKLDAPAGAGFFGLLRSSPEMLALAAFSSMAVCLLACLLAFHAFLVAMNQTSHERHKERYRSSSNPYDRGALGNIKECLFQKLPPPRVNFRAVAESGTN* >Brasy6G201600.1.p pacid=40048748 transcript=Brasy6G201600.1 locus=Brasy6G201600 ID=Brasy6G201600.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILVMLFMPGAMAAAVASFDATRSQHLPLPRGYLRGPESVAFDGEGHGPYSGVSDGRVLRWNGDKIGWTTYAYGPDYSGEMCTASKLRSETVTESRCGRPLGLQFHHRSGNLYIADAYKGLMRVGPAGGEATVLVNQVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPHTNDVTTLQSGITYPNGVSISHDRTHLVVASTGPCKLLRHWMKGPNAGKTEPFADLPGYPDNVRQDKRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKILEEMRGPKNVRPTEIMERGNGKYYMGSVELPYVGVVTHK* >Brasy6G026400.1.p pacid=40048749 transcript=Brasy6G026400.1 locus=Brasy6G026400 ID=Brasy6G026400.1.v1.1 annot-version=v1.1 MIDHERAEEMQVNNEALPGCLKPNISQYNPQEHRGVIEGFPENNEKKNNSIATDKIWEASPLPNQGFSRPLYRQEFCAWPHIHSDYQMLRQPQPYGFDNQFYQMNRDHNFAIEKRVQFPLKMLPQGYPHDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYHQNLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEDKGIKHKNFAVVTWSNWDCRVMLESECRFKSIRKPPYFNRWINLKVPFQEMYGGVRCNLKDAVQLAGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQPAPQQITCQPLPDRSLEPIQLQHKAKEMLLGPHVQVNPYAGSTAGKDKPMYCYCGVLSRWSVVRKPGPMQGRYFYGCGNWTVTRRAICPYFAWAS* >Brasy6G105200.1.p pacid=40048750 transcript=Brasy6G105200.1 locus=Brasy6G105200 ID=Brasy6G105200.1.v1.1 annot-version=v1.1 METIPGAGGLGGGGFLVSRRRIPGGGRDGLLSTTTYTAKERKSERGREREGEREGEKERTGRPGSGGSKGVGAADPVTVGGRGRGRPTRGGRGRGRPTEPDPEASGPGRTDPAASGPDPEAAGSGRPDPAAWGPDPEEAGSGRPDLVAWGPDPEEAEPVRASMEAAAAGKPWRRRGRGRPRGRRRMSSGETGSGRGSGAGEMESKEGGSGAGVRGREEEGSRGSSEERPI* >Brasy6G094700.1.p pacid=40048751 transcript=Brasy6G094700.1 locus=Brasy6G094700 ID=Brasy6G094700.1.v1.1 annot-version=v1.1 MARKRKGTDSGGEDPQPHVHARNRASPYGLTQLYGHFNDGQKQAFREFGWPSFLHIKTRKLHNRLIDQLATCYDPSDRCLVIPDKGRIPMTEESVYNALGAPRGHLPVPYRVDKDIEARLAPELFPGMDLSKAPLHTQVNTMLKDMTDYGDRFKRLALMYIMSTIIAPTTSTRISNRCYPAVDNIENAHNYNFCKFVIDQLHEALSKKQLNKGCRLYLMLLYVDSLDISELGLAMPTAPVGVSAWTNQLIDEVIRADMKEDGSFGNL* >Brasy6G118600.1.p pacid=40048752 transcript=Brasy6G118600.1 locus=Brasy6G118600 ID=Brasy6G118600.1.v1.1 annot-version=v1.1 MARKKVSLRRIPDAAARRTTFRKRRDGLMKKASELATLCNLKACVIVYDEGEAQPHVWPSVAEAVPILHRFKAMPDMEQYKKTVNQEGFLRQRVDKLREQNQKMQRENHERHTMCLLHKAMLGQLPGLMGLTIEEATSVGWMAKNYRKSIGDRIVELSRQAGLQAPPATYIPSNYMMTMGDPSREEYLQMPQQEMWIDNMRMEGEDLNVLPYSDNGAGPSTTDLRGDLISWAEGFDLDASSSSFTPK* >Brasy6G138000.1.p pacid=40048753 transcript=Brasy6G138000.1 locus=Brasy6G138000 ID=Brasy6G138000.1.v1.1 annot-version=v1.1 MYDVANGLRYCKGCRRVTAVTVDPASGDTVCTECSLVLNERYVDESTEWRSFLNHGAPSDESRRPFLHQPSTNKALPRMRGGSAGGSGVAMPKTRGLAEMYDVSHSLRYCKGCSRVTTITVDPCSGDTVCTECSLVLNERYVDESLEWRAFLNHGAPADESCRRVGDPVAGPAPGDAQTNTALPRKRGGGAGGSGVAKPKTRGAAATAGLDRALADAFHAMDGMAERLGLATTVKDRAKEVLRKLEVAKVFRKGGKCRNRQALYAACLLMACRGEGTPLTFKELASVTGDSATAGIKDIGRLAAALEMGAVVRASDYLCRFGSQLGMGQEELQAAQEAVRRLEKDLDVRRNPDSIAAAVIYMAMERASAGKSIRDVSTATGVGEVTIRDVYNKDLSPHADLLFG* >Brasy6G244800.1.p pacid=40048754 transcript=Brasy6G244800.1 locus=Brasy6G244800 ID=Brasy6G244800.1.v1.1 annot-version=v1.1 MPEQSENAAESMVSGIMDAIADKLPKQKSVRFDDEPGSSISGQAKRLFGGHKSVHHILGGGKSADVLMWRNKKMSSSVLAGATVAWVFFEWFGYHFLTIVSFVLVLGMVAQFGWSSFSGMLNRSSSNVPRVELPEELFANAGAAIGAQVNRFLGSLQDVSCGRDLKQFLKVIAGLLAAAFVGSWCNLITVIYIGFVCAHTLPVFYEKYHDQVDEVVNNMLGLIGSQYQKLDKGVLSKMPKGNLKFKKSQ* >Brasy6G028800.1.p pacid=40048755 transcript=Brasy6G028800.1 locus=Brasy6G028800 ID=Brasy6G028800.1.v1.1 annot-version=v1.1 MSCFPCSGSSGKAGEEAAAAALSPSPRPAAKAPPDQSNSRRSGSKKEDSVRRGGSIAHGPAKIFTFRELAVATKNFRRDCLLGEGGFGRVYKGHMENGQVIAVKQLDRSGFQGNREFLVEVLMLSLLHHANLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPNKEPLDWNTRIRIAFGAAKGLEYLHDKANPPVIYRDFKPSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELISGRRAIDHTQPDGEANLVAWARPMFRDRARFCQIVDPLLQGRYPQRGLYQALAVTSMCLLEHAASRPLIRDVVSALGFLDKHPYDPNAPRRKDSKTCPSTPRAKAHRRTTSVPDAQHAADSLNWNAVDLRRKKTIGGGEFEQDRSEGCGSGSSSGRNECLDVPELLALDNGKLFGEADITRRSTVKVDARENLRADSGKYRRQV* >Brasy6G028800.2.p pacid=40048756 transcript=Brasy6G028800.2 locus=Brasy6G028800 ID=Brasy6G028800.2.v1.1 annot-version=v1.1 MVMFIWLVGQDQSNSRRSGSKKEDSVRRGGSIAHGPAKIFTFRELAVATKNFRRDCLLGEGGFGRVYKGHMENGQVIAVKQLDRSGFQGNREFLVEVLMLSLLHHANLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPNKEPLDWNTRIRIAFGAAKGLEYLHDKANPPVIYRDFKPSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELISGRRAIDHTQPDGEANLVAWARPMFRDRARFCQIVDPLLQGRYPQRGLYQALAVTSMCLLEHAASRPLIRDVVSALGFLDKHPYDPNAPRRKDSKTCPSTPRAKAHRRTTSVPDAQHAADSLNWNAVDLRRKKTIGGGEFEQDRSEGCGSGSSSGRNECLDVPELLALDNGKLFGEADITRRSTVKVDARENLRADSGKYRRQV* >Brasy6G028800.3.p pacid=40048757 transcript=Brasy6G028800.3 locus=Brasy6G028800 ID=Brasy6G028800.3.v1.1 annot-version=v1.1 MENGQVIAVKQLDRSGFQGNREFLVEVLMLSLLHHANLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPNKEPLDWNTRIRIAFGAAKGLEYLHDKANPPVIYRDFKPSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELISGRRAIDHTQPDGEANLVAWARPMFRDRARFCQIVDPLLQGRYPQRGLYQALAVTSMCLLEHAASRPLIRDVVSALGFLDKHPYDPNAPRRKDSKTCPSTPRAKAHRRTTSVPDAQHAADSLNWNAVDLRRKKTIGGGEFEQDRSEGCGSGSSSGRNECLDVPELLALDNGKLFGEADITRRSTVKVDARENLRADSGKYRRQV* >Brasy6G256400.1.p pacid=40048758 transcript=Brasy6G256400.1 locus=Brasy6G256400 ID=Brasy6G256400.1.v1.1 annot-version=v1.1 MAEMMAISLSSKLAVSLSRTAAVSFLAIRPGIAAAARDLDLLHAFLRFADSRRGTNEHVKVWVDQVRDVGFELEDIADEYTFLSGNGLVHACTDVMAWFALFRRLRKARERLRSLSAGRELYGIRPAEASASGPAPDAVITCRNLSEAAHFIGEKQIFGFGEHMRLLMELLTEDAEPRRTIIAVCGMPGAGKTTLATNVYRKVAGGSHFDCAAWVSVSNNFTPDDLLRTIVKELHRDARGGAPQNVEEMDYRSLAKALNEHLAQKRYLLLLDDVWDADAWFQLRNVLVDSGTRSRIVITTRIPKVAALASVRTIRLDSLSRQEAWSLFCSTTFREVADRECPDHLQHWASKILDRCYGLPLAIVSVGNLLALKEKTQFAWKNVHDSLVWDESSDQETGRVWSILNLSIDDLPYHLYRCFLYCSIYPEDFFFKRKILIREWIADGLVEEKGHVTMEEVADGYVNELVQRNLLLVALKNEYGRAKRLHIHDLFREIIVHRSKDERFFHQLSSRSTKMDPEHKIRHLTLYKCRSDHQSGPNMASLRSFYVFKSELDASLLSDFRLLTMLSLWNIKTSKLPSAVAKLRNLRYLGIRSTYIEELPKELGKLRNLQTLDAKWSMIKILPSSITKLKNLRHLILFRRQGTDFMHPAPGTAIALPDGLENLRCLQTLKYVQADNKVVRSLGSLEQMRSLELFGVDERILVDLPSALSKMSFLLRFGIVSSDATVTLDLESFSPPPVKLKTLAITGKLTGGKLPSWFGSLTNLGQLHLHSCELGEDSIAILSSLPRLLHLSFTNAYTERSLTFAAGCFPVLRELSLQGLPNLTRIEFQKKSLADLRLLMLGRCLELTEIPQSIENLLHLANVELFEMEHILDGEGYGANHRGYQRVTIVKNLFLRNGRSAQ* >Brasy6G078500.1.p pacid=40048759 transcript=Brasy6G078500.1 locus=Brasy6G078500 ID=Brasy6G078500.1.v1.1 annot-version=v1.1 MATHDNLPCSTSWRELTHTSWRDDDYRRMVMASLIEAVYLLELERQERRDAAEVAQQWWKPFSYRLAHELVDERDGSVFGAIFERDAHHLFDGRDADRRGAPSAVIAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLAGAMRALRDTIDRFGSENVCLCGHSLGAGFARQIGRMLMASSRPQQQQEQQGALPLEFHLFNAPYLSLPMGVRRVVRTADCLVKTLRTGAAAVGKWHGKALKNVGYANCVLGYTRLDSGSRRLFD* >Brasy6G224800.1.p pacid=40048760 transcript=Brasy6G224800.1 locus=Brasy6G224800 ID=Brasy6G224800.1.v1.1 annot-version=v1.1 MPVWRLAAYPRRRLAPSLACDRGELSLQKRWGLIQAECNKFAGAHDHVKARPMSGFDVHNVKRDGSTIDLEASGYTEAATRAVWPRGRTNFKVETKREAYNLAFEETLKKIWSEKEPGREKLQQRKEEKMKDFLEVQKRMIAVDEANARATDKAADAALLSEETRIMTADLSILDPATRAWSEVRRKMIQE* >Brasy6G059400.1.p pacid=40048761 transcript=Brasy6G059400.1 locus=Brasy6G059400 ID=Brasy6G059400.1.v1.1 annot-version=v1.1 MEANLADALVAAQRSLVLQVNIGGQVFHVATGEGLPRIGTVTAVGAALLANIRALRNATTSPLYRVVLGVPALGPAHLLVQLGREEGIAGAHGNFRMLPGTGPGTVVSPDETVVLVGTTNGYQLRIALLGNPLDVYYDICYVAAAAGAGFVLLVSGAASLYFMRRGT* >Brasy6G124900.1.p pacid=40048762 transcript=Brasy6G124900.1 locus=Brasy6G124900 ID=Brasy6G124900.1.v1.1 annot-version=v1.1 MLAAAASVKLSPASTAAAATKLAFKPIHLPPLHKSSPRPLSLSARPLYRQEPFLAAPPPRTAPPAATADGARPVETAPEAARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPQTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFRPSVYFSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQNAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQAKQ* >Brasy6G189800.1.p pacid=40048763 transcript=Brasy6G189800.1 locus=Brasy6G189800 ID=Brasy6G189800.1.v1.1 annot-version=v1.1 MMLHADSLCRRPEAAGNRHSKAIASWKTLRQHPGAAASSSSSFECWVVAVEIEVLPRASLLVVAFERWVVMGARWLLSREGAGDWRKPASARSTLKVGGDCCSEERARGVEQRRCRSQLGLDATSSSFPSPAGTAASSTPRPASPAPISALLLHPFPCGRRRLLLPAARLARPHLPSLLLPFPCRSAPAPSQHRPARRAAVAAPHDGRPIPRLPLCNLRPPPSSRPAHHAAENHAFETVSGGVRVNCSGGGSVGGELRGVGEAASAADGGVAWEGARHFGGAGAGALAGGAAAMDRRWGVGKEETSCRRGQNIVFFRDNAWLFGRFD* >Brasy6G228400.1.p pacid=40048764 transcript=Brasy6G228400.1 locus=Brasy6G228400 ID=Brasy6G228400.1.v1.1 annot-version=v1.1 MPCAAVPPSPSPTLTASPRFLCSPPSRLSPARHPTPPPAAPLPRPHAPIRPRQAAPVAAPLPPAHPPDRVPAGIEAGGAGLIPPPPIAIRSTAAVSQAHAM* >Brasy6G160500.1.p pacid=40048765 transcript=Brasy6G160500.1 locus=Brasy6G160500 ID=Brasy6G160500.1.v1.1 annot-version=v1.1 MVKYLRENNVPLTKVHGVLGSMFGSVSDLPFTKRSLRTVCAKLASEGMDDDVKKTLGTFRKMRSEDPQFTFNVDVDADNRIKTLMWTNMRSKNQYMCFGDVFTFDTTYCTDIYKMPFGLFVGVNNHFQSTIFAGVLMREETTESFQWVFQEFLATTGGKAPTTVLTDQCAAMTAAFRLEWPEATHLWCKWHVLRKAQESLGPVYSKNTVFRQQFHKVINEMLTVDEFERAWGDLVVRYNLQKNPFMIRIHTSKDKFCARMMSTQRGESANHMLKVHVPVNSSMNIFVQ* >Brasy6G240700.1.p pacid=40048766 transcript=Brasy6G240700.1 locus=Brasy6G240700 ID=Brasy6G240700.1.v1.1 annot-version=v1.1 MGYLFLLVIIWSGLIQIVAINTTAIVVASDARERRTVGPPVVLIVQAIWTSYLALISLQTTNTSGNSYGYFSWSIESVFGTKGFLILPLFAIIFAKLLLQYSAWYMARKSLALGRIPRLIVGYMEQIQDGNQHKAELTIGEHIHIPPPLIVMGEENIFVETQPHGYTTSNGIDISIRTSNKSLVTMDRIWQLNDMLIQRSRPTTRLKDLCLSFALFKLLRCRFARYTIVEAGFIKACDFLWHMLLEDCNDERIFVVIEYELSFLHDYYYSSFPISYSRSWLPFLSMFISLLSISYCLLLSLTYIISVATVLRFKFANQLLCKVQCTSRVTDDSNRFHHEPGSDQTNFGSMLLVFLMLLLLYALVMLAEAREIASYVCCNWTKVSLLCLYVNNASWKHSPIMQKCLGLIFQCRCNLFKHWEGKMNQCSILVLRPRKTPLALLRCLLAMPDKKTVVPKAVKAAILHALRSYDVRSRSNNVVSPPSNLRVGDKLVWEFNVTKGTTHTMLVCHIATSILDVRKRSEPSQPLSNHKIVANHLSRYCAYLVAHCPDLLPDDDYWCKSLYKAVKKDADRVQITSIAVSTPEEEYRQLVELLGTRSKHEVLMNGVELGKKLVDMAEGEEAAWRVLASFWSEMILYIAPSENVDGHAEAIARGGELITLVWALLAHLGIVRRADDTATSANANANAPGAV* >Brasy6G148400.1.p pacid=40048767 transcript=Brasy6G148400.1 locus=Brasy6G148400 ID=Brasy6G148400.1.v1.1 annot-version=v1.1 MGAEAKGIEINGGGGPLRRQPVICRCGRPGEEYGVPIVPAAVPATPSGGEDVHVARERRGAAGREQRRRGHLSAYLRVPKGRRRSQSIRRPTRRLPAADLTPRCRIGINPTESRPLAPPRAPRGGRGGRHETTEAAETTARGGGLALGGRRRRGEVAASCTETGERHRGS* >Brasy6G136500.1.p pacid=40048768 transcript=Brasy6G136500.1 locus=Brasy6G136500 ID=Brasy6G136500.1.v1.1 annot-version=v1.1 MAGRHRNPLPSSFSRGTGGGNHPHPPPPHHPHLPPHHIDEFREPPRLPPHHLDDFRDPPRLPPGHPDSFREHPPPPRHHFAGHGGGHLPPASHVAAALEERIGAEIDEAHALLGQNQRLAATHVALVQEVSVVRHELGRTARAIGAAQQEADLRIREVYERLMKMEAELRVAEEMRAELAHVRMDIQQLGATRQELMGQIQGYTQDLARSAVDLQQVAAVKAETQELRHETQHLRSGIELEKKGYAESYEQGQEMQKKLVSVASEVEKLRAEVVNTEKRSRAAVSASNQGYAGSYGNPNANYPANPYNAGYNMNQANAAESGPQYVAGSTHSSWGGYDMQRASGRR* >Brasy6G042400.1.p pacid=40048769 transcript=Brasy6G042400.1 locus=Brasy6G042400 ID=Brasy6G042400.1.v1.1 annot-version=v1.1 MEKPSHQSPPSAGCPAAAAAIAWAAAFFSATAALLRRLAPRNGAMTLGRPDESRMRSNQDGPGKIKNRGVAVVDAVGRDVTRAASAGVAGAGDGEGDGLQRRMWEAEGLGSGGRRRRRQSEIGGAEEIGGRFGPSLGEKGYEGSERGLGGLTKDENSTKRRSEGVGRFPPPQQVSASWDRCVAAAAMGAVSSLFVHGQHQELAGRKEAASTCLALRRAGCGGAEGRSRAWTTGAAEWRRGAEEAPLTDFRWRWRPISGGAVGVAAAEPEARGVRLPCRPWRCVAS* >Brasy6G016100.1.p pacid=40048770 transcript=Brasy6G016100.1 locus=Brasy6G016100 ID=Brasy6G016100.1.v1.1 annot-version=v1.1 MVEQKGNILMQKYEMGKMLGQGTFAKVYHARNVETSQSVAIKVTDKEKVLKGGLTDQIKREISVMKLVKHPNIVQMYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDEHSNLKVSDFGLSTISECRRHDGMLHTSCGTPAYVAPEIINRKGYDGAKADIWSCGVILFVLLAGQLPFHDKNLMNMYKKIGKAEFKCPSWFSTDIRRLLLRILDPNPSTRISIEKIMENPWFRKGLDAKLLRYNVQAKDAIPVDMSLASEAAMEGKLQEEKKPTNLNAFDIISLSTGLDLSGLFEESDKKSESKFTSTSTASSILSKIEDTAKSLRLKLTKKEGGLLKMEGSKPGRKGVMSIDAEIFEVTPSFHLVELKKANGDTLEYQKVMNQDVRPALKDIVWAWQGEQPKEQQPQQ* >Brasy6G016100.2.p pacid=40048771 transcript=Brasy6G016100.2 locus=Brasy6G016100 ID=Brasy6G016100.2.v1.1 annot-version=v1.1 MVEQKGNILMQKYEMGKMLGQGTFAKVYHARNVETSQSVAIKVTDKEKVLKGGLTDQIKREISVMKLVKHPNIVQMYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDEHSNLKVSDFGLSTISECRRHDGMLHTSCGTPAYVAPEIINRKGYDGAKADIWSCGVILFVLLAGQLPFHDKNLMNMYKKIGKAEFKCPSWFSTDIRRLLLRILDPNPSTRISIEKIMENPWFRKGLDAKLLRYNVQAKDAIPVDMSLASEAAMEGKLQEEKKPTNLNAFDIISLSTGLDLSGLFEESDKKSESKFTSTSTASSILSKIEDTAKSLRLKLTKKEGGLLKMEGSKPGRKGVMSIDAEIFEVTPSFHLVELKKANGDTLEYQKVMNQDVRPALKDIVWAWQGEQPKEQQPQQ* >Brasy6G265400.1.p pacid=40048772 transcript=Brasy6G265400.1 locus=Brasy6G265400 ID=Brasy6G265400.1.v1.1 annot-version=v1.1 MVRFFCFSSSATQPKLKECFLLSDETMMATSKKDSRDQTVKFAAGSASLNQMTDENNHSAASGQYDIDSSSHQECRRSEDWNRHACSDDDKEEKVRHIPKSQSLGNMLQKDYDHHGSEGTECDVMLESSFKINTDFAESTNLRSKENAFDASSDLTSHGVYEPSVDHAVDSDRHHMSYDRSKFLRSQSAIFQIESTSDVEGSVDSERLGPRCRSFEGLCSLDGEKVASLSGDETNRSKSNLDEALGLDDCSGGRSDAAEGGQRSSASLDENFVRDGMPSHEYWDGKYNTGDNSADPVAPCCADAGHDCHHSGKDIGLNIAIDQDREERLWNRDRALHHESLGIDMLNLKKLSASKDISEEAEHNGTGMNGSQQLDEDPDELSPRTYSFKRIEDWISQIDLDSDIIVEEQGESSSSASTKYSESPTSVHAVRPDAKSPLGMEIAYTYISKLTPVSSSAQLENLGLVAIPRLSAFSGLRLLNLSGNSIVRVTAGSLPKGLHMLSLSKNNISIIEGLRDLTRLRLLDISYNRISRIGHGLSSCSSLKELYLSGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDQHLKKYLLGLLPNLALYNKHPVRATGSKEVSDRHSHKISCSHRSERSSWSDRKSSRLAPATSSRHARTSSSSLKQSRSRNMPAPVLVSRPVEHLGAVDPAMQIQIEGQSQ* >Brasy6G209100.1.p pacid=40048773 transcript=Brasy6G209100.1 locus=Brasy6G209100 ID=Brasy6G209100.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPVEEEPAAEKAEKAEKAPAGKKPKAEKRLPAGKTAAKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy6G158100.1.p pacid=40048774 transcript=Brasy6G158100.1 locus=Brasy6G158100 ID=Brasy6G158100.1.v1.1 annot-version=v1.1 MESRPGGRPRSQSPPAARRRSPPRRSPDDGGADRIGALPDEMKLEVLNRLRCPRAAALTSALSRRWRGLWTYLTELSFRDIAPDALDAALKQVACPTLSCLEIDIPPRHICRGGPARVSALLHTAARLAPADLVFTVRGYPRDLDIPIEIPCFHRATSVKLRVDGLYLTLPAQGAEFPVLERLSIASFRFDIPELILLRCPRLRVLEVCGRPDLGTIRVHSPMIEELFVTSNGMLSNIDIMAPVLKHCKVSGWMDKDFTVSFSAPMMENLLWESSCCYNNVGIGEMWRLSGLNLQMVGSVYVLTLLVSALPPDLVASADLSFSQAIALLPAISVCQLSLYLTPGHVFGAMVLDVLGACSTITRLKVAIGLNEGTKACRLPNCPCDQPQNWRSENISLIALEEVEIVGFGGTDHEVDFLKLLLRCATLMKRMTVKLSPEVLPSDRGCKEIYNICMGNPDIQCSIYSSGGDQGQYA* >Brasy6G111900.1.p pacid=40048775 transcript=Brasy6G111900.1 locus=Brasy6G111900 ID=Brasy6G111900.1.v1.1 annot-version=v1.1 MAAGGRGGSPAPGWGRRRRPGAGASRRRRAGAGAAAQGRGGSPAAGRDRRGRGAGGGGAGQGRGAGARVWRRRRGAGADGGGAGAGEGGGSAGARGGGRRRRRGAGARRRAGARVWRRRRGAGTDGGGAGAGEGGGGAGAGEGGGSAGGGGPGQAEAAPGRSPAAGKVERKKEEEGELCEYSESEGAM* >Brasy6G107500.1.p pacid=40048776 transcript=Brasy6G107500.1 locus=Brasy6G107500 ID=Brasy6G107500.1.v1.1 annot-version=v1.1 MPAHRHVDLKLSSTAPRPPSSPPCLGPSHARPPPVVPAGHMWERGRPPPRKPRPSPLILPASALVSPPPPRFVFPRSLFALAARAMPSRRPSPALLLLLALALALLFLLLSPSGPSASRLSHSFASASSSYASSSPLPSPVKIYLYDLPSKFTYGVVRSYMSARAPPGSADAVATLPDEELRYPGHQHSAEWWLFKDLHRRGPRDRPVARVDDPAEADLFYVPFFSSLSLVVNPIRPLAAANASVAAAEPAYSDEAMQDELVGWLERQPYWRRHRGRDHVFICQDPNALYRVVDRISNAVLLVSDFGRLRGDQASLVKDVILPYSHRINPFKGDVSIEARPALLFFMGNRYRKEGGKVRDTLFQVLENEGDVIIKHGTQSRVSRRMATQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIISDHIELPFEDVIDYSNISIFVDTSKAVQPGFLTSMLRRVSSERILEYQREIKRVKHYFEYEDPNGPVNQIWHQVSMKAPLIKLLINRDKRLVERATNETDCSCICSTPSEISTGN* >Brasy6G241700.1.p pacid=40048777 transcript=Brasy6G241700.1 locus=Brasy6G241700 ID=Brasy6G241700.1.v1.1 annot-version=v1.1 MLRLPLPFLVLLLAAVAAIGPASGSDADALLAAKAALDDPTGALASWTSTTAATPCAWSGVSCAAGSNSVVSLDLSGRNLSGPIPPSLSSLPSLTRLDLAANSLSGAIPTQLSRLRLLASLNLSSNALSGSFPPQLSRRLRALKVLDLYNNNLTGPLPVEIAAGTMPELTHVHLGGNFFSGAIPAAYGRWGKLRYLAVSGNELSGKLPPELGNLTSLRELYIGYYNSYSGGIPKEFGNMTELVRFDAANCGLSGEIPPELGRLGKLDTLFLQVNGLTGAIPMELGKLGSLSSLDLSNNELSGEIPPSFAELKNLTLFNLFRNKLRGNIPEFVGDLPGLEVLQLWENNFTGGIPRHLGRNGRFQLLDLSSNRLTGTLPPELCAGGKLHTLIALGNSLFGAIPESLGKCRSLARVRLGNNFLNGSIPEGLFQLPNLTQVELQGNLLSGGFPAMAGASNLGGIILSNNQLVGALPASIGSFSGLQKLLLDQNAFSGPIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDVSRNNLSAEVPPAISGMQILNYLNLSRNQLEGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFLGNPGLCGPYLGPCHSGSAGADHGGHTHGGLSSTLKLIMVLVLLAFSIVFAAMAILKARSLKKASEARAWRLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMRDGEHVAVKRLSTMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGCHLHWDTRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWIKMMTDSSKERVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKLIPKQGEEFPGSGEDDELDPAIPVETAESVSNEAQEQQQLSPKSSLPPNLISI* >Brasy6G118100.1.p pacid=40048778 transcript=Brasy6G118100.1 locus=Brasy6G118100 ID=Brasy6G118100.1.v1.1 annot-version=v1.1 MAAARALLARLLRPKIPPLSSSHGFLRGGGPMPLPPPPVGEWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLKEFVKRHVARLLKADKLAVLGELERQEEVDLSVKMFRIVQKEDWYKPDVYMYKDLIIALAKCKKMDEAMEIWGNMRDEKLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKRSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMH* >Brasy6G263200.1.p pacid=40048779 transcript=Brasy6G263200.1 locus=Brasy6G263200 ID=Brasy6G263200.1.v1.1 annot-version=v1.1 MNGATGLGYRLSCGGEDTTAASPLLLLLLPLGTGKVRNGKKMCQNGRINTKIQAIFMGKGPNLLLEHVSVLLLEHVSWFQKCSLLLQSVSVSETGN* >Brasy6G263200.2.p pacid=40048780 transcript=Brasy6G263200.2 locus=Brasy6G263200 ID=Brasy6G263200.2.v1.1 annot-version=v1.1 MNGATGLGYRLSCGGEDTTAASPLLLLLLPLGTGKVRNGKKMCQNGRINTKIQAIFMGKGPNLLLEHVSVLLLEHVSWFQKCSLLLQSVSVSETGN* >Brasy6G171600.1.p pacid=40048781 transcript=Brasy6G171600.1 locus=Brasy6G171600 ID=Brasy6G171600.1.v1.1 annot-version=v1.1 MDNGRAAELRETHCLKGHADRAWSLAWNPSPGAGAGPVLASCGGDKTVRIWKRAPDGTWHCSDVLEDTHNRTVRSCAWSPDGKLLATSSFDATTAIWEYSGGDFECVATLEGHENEVKSASWSQSGSLLATCSRDKAVWIWEMHPGNEYECVAVLQGHTQDVKMVQWHPVLDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEADNCGHSSTVWSISFNQNGDRMVTCSDDCTLKIWDTSIDLSQPKTGEGHESWRHLSTLTGYHDRTIFSAHWSSEDVIASGAGDDAICLFVEEKSSMVEGPSYRLILKKEKAHDMDVNCVRWCPQDPRVLASASDDGTVKLWELGGSVLD* >Brasy6G199700.1.p pacid=40048782 transcript=Brasy6G199700.1 locus=Brasy6G199700 ID=Brasy6G199700.1.v1.1 annot-version=v1.1 MIGRWPAAAKTAGRHDRCSSVAPVPNHHSGGGYGGSEERRIAQHYSARSNQTLREREKSPIIHLKKLNNWIKSVLIDLCGRQGDRVLDLACGKGGDLNKWSIAKAGYYVGVDIAEGSIRDCLARYNCQQQQGIRIRRSFPFPARLICADCFEAPLDDFLHQDSPFDICSCQFALHYSWSTEARARQALANVSALLRPGGAFIGTMPDATVILNMLRETDDGSLRFGNSVYSITFGQECADKMRFPPDASSGSPFGIKYMFHLEDAVDCPESLVRFHLFESLAGEYGLELVLVKNFSDFVHEYLQEPKYSNLMQKLGALDGLSPDEWEVSCLYLAFVLRKRGQPALPSRRRADNAKRGKTFLDESDIEFLGM* >Brasy6G169800.1.p pacid=40048783 transcript=Brasy6G169800.1 locus=Brasy6G169800 ID=Brasy6G169800.1.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.2.p pacid=40048784 transcript=Brasy6G169800.2 locus=Brasy6G169800 ID=Brasy6G169800.2.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.3.p pacid=40048785 transcript=Brasy6G169800.3 locus=Brasy6G169800 ID=Brasy6G169800.3.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.4.p pacid=40048786 transcript=Brasy6G169800.4 locus=Brasy6G169800 ID=Brasy6G169800.4.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.5.p pacid=40048787 transcript=Brasy6G169800.5 locus=Brasy6G169800 ID=Brasy6G169800.5.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.6.p pacid=40048788 transcript=Brasy6G169800.6 locus=Brasy6G169800 ID=Brasy6G169800.6.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.7.p pacid=40048789 transcript=Brasy6G169800.7 locus=Brasy6G169800 ID=Brasy6G169800.7.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.8.p pacid=40048790 transcript=Brasy6G169800.8 locus=Brasy6G169800 ID=Brasy6G169800.8.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.9.p pacid=40048791 transcript=Brasy6G169800.9 locus=Brasy6G169800 ID=Brasy6G169800.9.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.10.p pacid=40048792 transcript=Brasy6G169800.10 locus=Brasy6G169800 ID=Brasy6G169800.10.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.11.p pacid=40048793 transcript=Brasy6G169800.11 locus=Brasy6G169800 ID=Brasy6G169800.11.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.12.p pacid=40048794 transcript=Brasy6G169800.12 locus=Brasy6G169800 ID=Brasy6G169800.12.v1.1 annot-version=v1.1 MVGRPPYLALLGPPPVEGCLHKFGGSVGMERFCLKMANYRTHKAFNFRCFASSGRGFGTDFTNKKKIKSKKRRKDVELEPSKVASGDSKNQEEWVPELGLGSENKSGKTVMDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFEGAAVSLVELGEYEKASDLLEKLVKAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.15.p pacid=40048795 transcript=Brasy6G169800.15 locus=Brasy6G169800 ID=Brasy6G169800.15.v1.1 annot-version=v1.1 MDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.13.p pacid=40048796 transcript=Brasy6G169800.13 locus=Brasy6G169800 ID=Brasy6G169800.13.v1.1 annot-version=v1.1 MDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.16.p pacid=40048797 transcript=Brasy6G169800.16 locus=Brasy6G169800 ID=Brasy6G169800.16.v1.1 annot-version=v1.1 MDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G169800.14.p pacid=40048798 transcript=Brasy6G169800.14 locus=Brasy6G169800 ID=Brasy6G169800.14.v1.1 annot-version=v1.1 MDKVFLEKVEAVRRSALDKKKVEENKTYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFTLGDFIPYGSFSPNKESSVVNKQLSTEEKTKFERDLKGFEEALAKSPNDPTALEGAAVSLVELGEYEKASDLLEKLVKVIPDKAEAYRLLGEVKFELRDYEGSSSSYRNSLSSSDGIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLNEKSQTRRTDLEAANDNGAQKSQDIDLIQVDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIVLKQNGKSGDAERMFIQAKFFAPETAKALVDIYAQK* >Brasy6G214900.1.p pacid=40048799 transcript=Brasy6G214900.1 locus=Brasy6G214900 ID=Brasy6G214900.1.v1.1 annot-version=v1.1 MAEEAAEKARSQILALYRRAGGVPATGVLANNAADGARFGAISAFAGKPAAATMSRPDADMYAHSCAAGAVVATLGNIVSTFCGTETGGERNNNWLSYFILAFSVIIAIVSLFVVVFRAV* >Brasy6G151200.1.p pacid=40048800 transcript=Brasy6G151200.1 locus=Brasy6G151200 ID=Brasy6G151200.1.v1.1 annot-version=v1.1 MDFSDPQRKSRYLSKIMMAVLLIAMWSSCSPCHPSALFIFKSLPSFTVEKAYEDVFVERDKVTILDAEAIGCATVARFIFLRGLMDRPRTSPTRLYLELRVEPSEIAPGLYDSRSIWNINSLRGKSIKHHTLTTGFAGAKVINCSNRISQEPLSWSCLHFSRSEIFPQASCRI* >Brasy6G150100.1.p pacid=40048801 transcript=Brasy6G150100.1 locus=Brasy6G150100 ID=Brasy6G150100.1.v1.1 annot-version=v1.1 MAGPTSRLLSRGADIGRHRHSLLLLRTLQSAAAAAADPKLCSISSAASPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSSSHTIFADRRFGPFLAVYRAATISFHVVKLTVWHLLLSDVHKRAEKFRETLILLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFPTRIAVRTIESELGSRISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFAILYSHGSGGGSMTSIKVPKVYWNYTRKSILALEWIDGIKLTDAERISKANLNRKSMIDEGLYCSLRQLLEDGFFHADPHPGNLVATEDGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAIATALRVAFGDGRRQSNDFQGVMNHLYDIMYDFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISQQSQESSNEPGDENAASSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLIQDILKASDAFLQEATFPGIFDREGSTGELHPERSKMIRRLINGVQSFRQATSLAPDAWLAMLIRTVMKHESQRFVLDVFLALVNHSCNKIPETCWICISRYLKYLDKQSR* >Brasy6G150100.3.p pacid=40048802 transcript=Brasy6G150100.3 locus=Brasy6G150100 ID=Brasy6G150100.3.v1.1 annot-version=v1.1 MAGPTSRLLSRGADIGRHRHSLLLLRTLQSAAAAAADPKLCSISSAASPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSSSHTIFADRRFGPFLAVYRAATISFHVVKLTVWHLLLSDVHKRAEKFRETLILLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFPTRIAVRTIESELGSRISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFAILYSHGGGSMTSIKVPKVYWNYTRKSILALEWIDGIKLTDAERISKANLNRKSMIDEGLYCSLRQLLEDGFFHADPHPGNLVATEDGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAIATALRVAFGDGRRQSNDFQGVMNHLYDIMYDFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISQQSQESSNEPGDENAASSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLIQDILKASDAFLQEATFPGIFDREGSTGELHPERSKMIRRLINGVQSFRQATSLAPDAWLAMLIRTVMKHESQRFVLDVFLALVNHSCNKIPETCWICISRYLKYLDKQSR* >Brasy6G150100.2.p pacid=40048803 transcript=Brasy6G150100.2 locus=Brasy6G150100 ID=Brasy6G150100.2.v1.1 annot-version=v1.1 MAGPTSRLLSRGADIGRHRHSLLLLRTLQSAAAAAADPKLCSISSAASPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSSSHTIFADRRFGPFLAVYRAATISFHVVKLTVWHLLLSDVHKRAEKFRETLILLGPFYIKDQIPPFPTRIAVRTIESELGSRISDLFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFAILYSHGSGGGSMTSIKVPKVYWNYTRKSILALEWIDGIKLTDAERISKANLNRKSMIDEGLYCSLRQLLEDGFFHADPHPGNLVATEDGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAIATALRVAFGDGRRQSNDFQGVMNHLYDIMYDFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISQQSQESSNEPGDENAASSSEWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLIQDILKASDAFLQEATFPGIFDREGSTGELHPERSKMIRRLINGVQSFRQATSLAPDAWLAMLIRTVMKHESQRFVLDVFLALVNHSCNKIPETCWICISRYLKYLDKQSR* >Brasy6G106400.1.p pacid=40048804 transcript=Brasy6G106400.1 locus=Brasy6G106400 ID=Brasy6G106400.1.v1.1 annot-version=v1.1 MGKPAMESSSEEELEDDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGMLVQDLMSGACRELEIWLKNSMEEHMLEKDFQTELNEILSVTTQDPKAVFLDKLDILLAEHRMEDAVLALESEEKKYMVADNSGKESDAEISAFKTALLKRKSILENQLVRYSEQPCLSVTELRKSLSGLAKIGKGSLAHQVLLKTYGSRLQKSIEAFLPTCSIYKETYSATLSQLVFSAIAKVLKESSTLFGDSPTNMNRIIQWAEYEIETFARLVKENSPLPESASALRSACICIQASLTHCSCLESHGLKFSKLLMVLLRPYIEEVLDLNFRRVRRNIIDSARNDDILLLTSQEGSPPSGSVAPNMMLTSSGKNFLCIVNDVLHHVTPMTIVHFGGTILNKFLQLFDRYVETLIKVLPGPSEDDNVVDSKEPVEFKAESDAQQIALIGTAYTIADELLPAAVSKFFDMQTEKKGTSGSSEGLGPGSVYSVEYKEWKRHLQHSMDKLRDHFCRQYVLSFIYLEGKSRLDARMYMDGNREDLFWDSDPLPSLAFQALFGRLQQLASIAGDVLIGKEKIQKVLLSRLTETVLMWLSNEQEFWDVFEDGSTQLQPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIVTRAITAFSAREVDPQSALPEDEWFHETAKAAIHKLMLGNSGSESDLEEPIVPHDEISDTEESTSTLSTIGSEDSFASANNDDLESPVYFTDPEP* >Brasy6G081500.1.p pacid=40048805 transcript=Brasy6G081500.1 locus=Brasy6G081500 ID=Brasy6G081500.1.v1.1 annot-version=v1.1 MVSLAAAGSQILSPKQSPSCAPSSSAAAARRPGHSMRTIRSALLHPDSPPGPGSPLRAAAAADEGGDSDMENLTDSVIDFHLSELAAAAGPAHAAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAATATPRSDAPERLAAVDLNDLESMDLSVDAAPLERVEPFVLACVQALGPDAAPDARRTAAARIRLLAKHRSDIRELVGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIAPLVSLLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVLPLVLLIGERGTGTSEKAMVVLASLASIAEGRDAVVEAGGIPALVETIEDGPAREKEFAVVALLQLCSDCSSNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGCRAGPVAATSMAR* >Brasy6G250300.1.p pacid=40048806 transcript=Brasy6G250300.1 locus=Brasy6G250300 ID=Brasy6G250300.1.v1.1 annot-version=v1.1 MRRVRVSSHQSPVHKLGDSQMKLTPKFRLAAASALPSPPPSPDFEPSSWETPLIPGLPDDAALNCLLRLPVEAHEACRLVCRRWHHLLADKARFFTQRKAMGFRSPWLFTLAFHRCTGKIQWKVLDLNYLTWHTIPTMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLSARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEAMPVGMREGWTGLSVVIDERLFVISEYERMKVKVYDQETDSWDSVNGPPMPERIMKPLSVSCLDSKIVVVGRGLQVAIGHIKRQPGSGSGANNRSSSYLICWQDVDVPRTFSDLTPSSSQILHA* >Brasy6G190500.1.p pacid=40048807 transcript=Brasy6G190500.1 locus=Brasy6G190500 ID=Brasy6G190500.1.v1.1 annot-version=v1.1 MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQGIDDLDKELEILKQAFETCHFQRSNFPSKIVKAKWMVTKPCL* >Brasy6G190500.3.p pacid=40048808 transcript=Brasy6G190500.3 locus=Brasy6G190500 ID=Brasy6G190500.3.v1.1 annot-version=v1.1 MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQGIDDLDKELEILKQAFETCHFQRSNFPSKIVKAKWMVTKPCL* >Brasy6G190500.2.p pacid=40048809 transcript=Brasy6G190500.2 locus=Brasy6G190500 ID=Brasy6G190500.2.v1.1 annot-version=v1.1 MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQGIDDLDKELEILKQAFEDEKNRFEERMKQGY* >Brasy6G251300.1.p pacid=40048810 transcript=Brasy6G251300.1 locus=Brasy6G251300 ID=Brasy6G251300.1.v1.1 annot-version=v1.1 MAPPPPPSDHLESPQTILDEISEEILLRLPPDDPSCLARPAAVCKRWRRLVAHPNFLRRLHELYHRRPLPLLGLFQSDYVKPSTGSGRLVPTTRFIPTTPSAFSLPIPDSRGWKVLNYRHGRVLFSSQVTEEGQDFLIWEPITGDQRLVPVPGAPKSMLVDAAVVCAADGCDHRHCHGGPFRLVFLYIVRNVNLTYACAYLSESGSWGKVYAVVYEPVKENSSVLVGQSRICFLSGFDRILEYDWTSQPCLSVIDLPDTLAALKGIIGLVLTRERELGVAGVKEACLYLWSREASDKGEFQSTIRIIELHNMLPAAALSASQRLDVLGFAEDANVIFLNTNAGVFAIDLEPERARKVCETHKSCRPLIPFSSFYIPGKRVAAAGGGEGTS* >Brasy6G251300.2.p pacid=40048811 transcript=Brasy6G251300.2 locus=Brasy6G251300 ID=Brasy6G251300.2.v1.1 annot-version=v1.1 MAPPPPPSDHLESPQTILDEISEEILLRLPPDDPSCLARPAAVCKRWRRLVAHPNFLRRLHELYHRRPLPLLGLFQSDYVKPSTGSGRLVPTTRFIPTTPSAFSLPIPDSRGWKVLNYRHGRVLFSSQVTEEGQDFLIWEPITGDQRLVPVPGAPKSMLVDAAVVCAADGCDHRHCHGGPFRLVFLYIVRNVNLTYACAYLSESGSWGKVYAVVYEPVKENSSVLVGQSRICFLSGFDRILEYDWTSQPCLSVIDLPDTLAALKGIIGLVLTRERELGVAGVKEACLYLWSREASDKGFAEDANVIFLNTNAGVFAIDLEPERARKVCETHKSCRPLIPFSSFYIPGKRVAAAGGGEGTS* >Brasy6G139700.1.p pacid=40048812 transcript=Brasy6G139700.1 locus=Brasy6G139700 ID=Brasy6G139700.1.v1.1 annot-version=v1.1 RPAFPPFPPRSPASGRSSPSFQRRPVAVVLALAPQRIPCHLQRAPDPRSWPGLRASDPANQLVGAAPVVQRMVRRDPTANSPTVRCRRNPALLRHRRHH* >Brasy6G248500.1.p pacid=40048813 transcript=Brasy6G248500.1 locus=Brasy6G248500 ID=Brasy6G248500.1.v1.1 annot-version=v1.1 MLGSWYHSFPADQRKLLLCGAAAVIWTIWKARNNACFRNSFPSDPAALVFTLCNNLSTWASLQKEAKRRKLEEGISRIKMVVAEAYSRSHGWNLTRRRITA* >Brasy6G066800.1.p pacid=40048814 transcript=Brasy6G066800.1 locus=Brasy6G066800 ID=Brasy6G066800.1.v1.1 annot-version=v1.1 MASRDFLGRFGGEKGAASERAGGGGGGEAEEAVELSLGLSLGGCFGASSGRDAKKPRLLLERSSSIGSMCSLPAGGGAGSENDDLAAATLAPAPLMRTSSLPTETEEERWRRREMQSLKRLQAKRKRLERRNSMNSGKSGAGDAQEPLYPSAFQLRRSVVSQGSTSSGVPDQGGAAAAAAEARSTSSMETSSDNNNGSNQSKSLPPPAPTPAGKPPAPPPNGTANEQPPPPPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSKVDGPNGKKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL* >Brasy6G082700.1.p pacid=40048815 transcript=Brasy6G082700.1 locus=Brasy6G082700 ID=Brasy6G082700.1.v1.1 annot-version=v1.1 MAQVPDDVILEILLRLPATDIARCRQVCRAWRSAIADPSFDRAHAAKRPAAAARVAAQHEVYYHGSLGLPGSRVTRIVAFDFFLRGEHAAPLRPRALCFSSPQAHFTMLVGSWDGVVCLKQGILQGRSRFDDVPREAPFGFYVHQFVLWNPVAMTSATVGVPGPDHRQHQLSHIIGGYAHLGTRRFHLLHASGETNGGFRISPTPFRILSVGDDAAWRELPFLLGEEDTFQEIMMGKGNAVRLHDNLHWLAQPSSPSSSGTMMQLRVLVFDTTREKFWLMETVTAKERPGGPLMCLWLARLGVISKKLCIFAVEPSSSTMELWMLEGYDSGEATPRGSWRLEARISLITWDRSDLSRVFSAMAEVQVEVVEDDVVGKGEEEVLIKQMEGRIDSYNVRHKAWGDVGNLRTSFMAHESLVMHRESLVQGQVSFGKASRALHKYIDFYGQRLYYL* >Brasy6G067300.1.p pacid=40048816 transcript=Brasy6G067300.1 locus=Brasy6G067300 ID=Brasy6G067300.1.v1.1 annot-version=v1.1 MRPSSSAEAMHACLFLALFIGATAQNATTPPLPVAQPDHPPEVPPVLLASEQLRTVGRSQIGLRTSAPPPVAQPDHLPQNRSS* >Brasy6G252700.1.p pacid=40048817 transcript=Brasy6G252700.1 locus=Brasy6G252700 ID=Brasy6G252700.1.v1.1 annot-version=v1.1 MTGVAHESTALLGIPLPQNASLASRVQTSLTLWFHPAQDASTPPTDSLRFHSSTGRLSSDRRSAKTLTKLFQARAGRFDFDSIRREEMSPSHSRHSSELLPGLLDELVLEILLRIPPDRPECLVRASLVSKAWRRALSGPAFCRRYRDFHRTPPMLGFFFSDPSYSGSSYRGFIPTTSFRAPITAPTPSSTFERVVNACHGRVLFRDLHPLYMVVWDPITGDQRCVFFPWPLCLSSATDYAVLCATAGCDHRGCQGGPFFVVRVCRESTTAFQARVYSSGDGAWGDTTSVEHPDSPRSTTPASFWETLSTCPGEP* >Brasy6G136100.1.p pacid=40048818 transcript=Brasy6G136100.1 locus=Brasy6G136100 ID=Brasy6G136100.1.v1.1 annot-version=v1.1 MRTPSLLQLFVVVSFQRDTAANDEYPPGPEKVTKLHFYLHDTLSGKDPSAVLVAHAPPHPREARGLGMQTGSRERIIGNEQALTGDPTPISPVYATDDVLTEGPQRASRVVGSTQGLYVSSGRKQGGGGVLGMDFELTDHGNASSFVVFSRNPVLAGDGRELAVVGGRGKFRMARGFALLRTQCLDTGNGDAIVEYNVTLFHH* >Brasy6G092600.1.p pacid=40048819 transcript=Brasy6G092600.1 locus=Brasy6G092600 ID=Brasy6G092600.1.v1.1 annot-version=v1.1 MCSAIRRFVHLIADDLKGSYTLRRIDTTPLFAGVNGKDLGMRRAQLPRPNSSIGVGPDLRQSKSAEPAWATVQGKLYLVRAHSAVDLPEGNIRDRWYYGSDPLQSSPILPAGNGGTELGLDGFTDDDYPKPFFEALPFDERLKDWFWDQLPSPPFMGAVGKTIWMSTEGKGTYTFDTMTSTWSKEGDWALPFDGQFQYISEYDQYFGFSQGSNSLICSDQLMVGANTPEPPMHGVLWDDLDGSTGCAKWSLARSYLTYLGGGRFCVTRFYDTRPDCFYKLCDVAVMTAVEVKRASSSGELQMVNVGASRCYKFSWGTLSGWAL* >Brasy6G242200.1.p pacid=40048820 transcript=Brasy6G242200.1 locus=Brasy6G242200 ID=Brasy6G242200.1.v1.1 annot-version=v1.1 MDQWISFPPSPSSQDQQDEWSPAAPPKRPAGRTKFKETRHPVYRGVRTRGAAGRWVCEIRVPGKRGKRLWLGTYLTAESAARGHDAAVLMLRSSCPVAAACLLNFPDSAWLLDVPCALPADLGDVRHVALAAVADLQRREAADGAADVPWATASQPCANNGMLLGVFDFEVPVATGSGMFELDVSGDMELGMYYADLAEGLLMEPPSPVPHARASWESRDYGHGGSADADLWSCY* >Brasy6G099700.1.p pacid=40048821 transcript=Brasy6G099700.1 locus=Brasy6G099700 ID=Brasy6G099700.1.v1.1 annot-version=v1.1 MRLRLHLLVLCLIILFVVYNMASYQHRQTALEAKSRPFDTMIESDGAAVKVSGRATVKVSERADGRIGFLPHGIVEPYSDMELKPLWLTRSVQSQKSNQNDRCLIAIPAGINQKKSVDAIMKKFLPENFTAILFHYDGKVNEWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYEYIFLWDEDLEVDNFNPRRYLNIVKSERLVISQPGLDPKLSEIHHPITVRKKTGNFHRRVSRANKDCSREGPPCSGWVEGMAPVFSKSAWKCAWHLIQNDLVHGWGIDYKFGYCAQGDRTKHIGVVDSEFVVHRGVQTLGGSATTKRTRGKNSQALRQKNAQVQQQTRVRAPGLDMRTKVRRKSRVELRDFQKRWERATREDRTWVDPFARRRKRRNRPTVD* >Brasy6G250500.1.p pacid=40048822 transcript=Brasy6G250500.1 locus=Brasy6G250500 ID=Brasy6G250500.1.v1.1 annot-version=v1.1 MDSRGAVVRPWLFHAQAAAAAAELSLSLAPAGSIQVSCQDQLAEAATPTARVGGKDVRLFQCLFCDRTFLKSQALGGHQNAHRKDHRLAAGFSDPYGEEEDQAHYYGHGHGPFAGLASHAGHGHGPIDGSWAAAAGRGAAPRLPETSAGAVAVDMQMQMQQLSRPRASVVSGAGAGETLDLELRL* >Brasy6G219200.1.p pacid=40048823 transcript=Brasy6G219200.1 locus=Brasy6G219200 ID=Brasy6G219200.1.v1.1 annot-version=v1.1 MRNNMQVTEPDISADHAGIISDMAANLKFGSKPKLLSESEDPQIGLDKPAKRRRTASTMLIRKPSSNNGDSQTGQEKTTKRRRTSNPTTRKSSSKKDSQANASMDNALGAAM* >Brasy6G065400.1.p pacid=40048824 transcript=Brasy6G065400.1 locus=Brasy6G065400 ID=Brasy6G065400.1.v1.1 annot-version=v1.1 MAARRRLLFLPLAALLFALSALVPSSGAYDPLDPGGNITIKWDVMQWTADGYVAVVSLYNYQQYRHIQPPGWKLGWIWAKKEIIWSTMGGQTTEQGDCSRFKAAPAPPHCCRKDPEVVDLLPSAPYSARIANCCKGGVLGSWAQDPAAAAASFQFSVGQAGTSSRSVRVPLNFTLRAPGPGYTCGPAKVVRPTRFVSPDGRRSTQALMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVHCPTCSCGCQNSNSKPDTCVEGNSPYLASVVNGDGKDSLVPLVECTPHMCPIRVHWHVKVSYREYWRVKITVTNFNYRMNYSRWNLVSQHPNFDNLTTIFSFNYKALNPYGTINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKDAATFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRLSASLVLTSIAVWAALVLLQLVHA* >Brasy6G065400.2.p pacid=40048825 transcript=Brasy6G065400.2 locus=Brasy6G065400 ID=Brasy6G065400.2.v1.1 annot-version=v1.1 MGGQTTEQGDCSRFKAAPAPPHCCRKDPEVVDLLPSAPYSARIANCCKGGVLGSWAQDPAAAAASFQFSVGQAGTSSRSVRVPLNFTLRAPGPGYTCGPAKVVRPTRFVSPDGRRSTQALMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVHCPTCSCGCQNSNSKPDTCVEGNSPYLASVVNGDGKDSLVPLVECTPHMCPIRVHWHVKVSYREYWRVKITVTNFNYRMNYSRWNLVSQHPNFDNLTTIFSFNYKALNPYGTINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKDAATFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRLSASLVLTSIAVWAALVLLQLVHA* >Brasy6G115300.1.p pacid=40048826 transcript=Brasy6G115300.1 locus=Brasy6G115300 ID=Brasy6G115300.1.v1.1 annot-version=v1.1 MRRAIADPLRPGVGQKLGRWAATVLPIPNSMAGCSDHTMVSQSPTSPPPTADDPPSPSNPDDSPTPNSSAPPPPPEPLASLPDELLEEILLCLPAAADLARASTTCASFRHIVAPLSFVRRFRSLHKLPVLGFLRADFYPAQPPHPSAPAAHALAQAADFTFSFLPNPTCWSPRDVRDGRVLFSAVSASEGRGDFIEATSNTFVDLVVCDPLSRRYVQIPPVPEDLVAPVEHHGVLDFEPFFAPASDADVHEGSSFSVICKVLCENNVAVFVFSSRTGEWSSILCHGLGALSSDVVDALYARCGLHRRHYAHGFFCWVLEWMDKLLMLDPCEMKFSIIDLPPNSHGGRLAIVDAGEGKIGLLNIGMRTLDFYCKIWPNKSGGTKEWQHNAIDHPLPNYHWCIIGADEEYLLLRGISLDWPWFDSSSQHRPDIEYFAFDLKTLQFERMYVSKHKMMHAHLYRGFPPLLSPPSI* >Brasy6G115300.2.p pacid=40048827 transcript=Brasy6G115300.2 locus=Brasy6G115300 ID=Brasy6G115300.2.v1.1 annot-version=v1.1 MRRAIADPLRPGVGQKLGRWAATVLPIPNSMAGCSDHTMVSQSPTSPPPTADDPPSPSNPDDSPTPNSSAPPPPPEPLASLPDELLEEILLCLPAAADLARASTTCASFRHIVAPLSFVRRFRSLHKLPVLGFLRADFYPAQPPHPSAPAAHALAQAADFTFSFLPNPTCWSPRDVRDGRVLFSAVSASEGRGDFIEATSNTFVDLVVCDPLSRRYVQIPPVPEDLVAPVEHHGVLDFEPFFAPASDADVHEGSSFSVICKVLCENNVAVFVFSSRTGEWSSILCHGLGALSSDVVDALYARCGLHRRHYAHGFFCWVLEWMDKLLMLDPCEMKFSIIDLPPNSHGGRLAIVDAGEGKIGLLNIGMRTLDFYCKIWPNKSGGTKEWQHNAIDHPLPNYHWCIIGADEEYLLLRGISLDWPWFDSSSQHRPDIEYFAFDLKTLQFERMYVSKHKMMHAHLYRGFPPLLSPPSI* >Brasy6G115300.3.p pacid=40048828 transcript=Brasy6G115300.3 locus=Brasy6G115300 ID=Brasy6G115300.3.v1.1 annot-version=v1.1 MRRAIADPLRPGVGQKLGRWAATVLPIPNSMAGCSDHTMVSQSPTSPPPTADDPPSPSNPDDSPTPNSSAPPPPPEPLASLPDELLEEILLCLPAAADLARASTTCASFRHIVAPLSFVRRFRSLHKLPVLGFLRADFYPAQPPHPSAPAAHALAQAADFTFSFLPNPTCWSPRDVRDGRVLFSAVSASEGRGDFIEATSNTFVDLVVCDPLSRRYVQIPPVPEDLVAPVEHHGVLDFEPFFAPASDADVHEGSSFSVICKVLCENNVAVFVFSSRTGEWSSILCHGLGALSSDVVDALYARCGLHRRHYAHGFFCWVLEWMDKLLMLDPCEMKFSIIDLPPNSHGGRLAIVDAGEGKIGLLNIGMRTLDFYCKIWPNKSGGTKEWQHNAIDHPLPNYHWCIIGADEEYLLLRGISLDWPWFDSSSQHRPDIEYFAFDLKTLQFERMYVSKHKMMHAHLYRGFPPLLSPPSI* >Brasy6G139000.1.p pacid=40048829 transcript=Brasy6G139000.1 locus=Brasy6G139000 ID=Brasy6G139000.1.v1.1 annot-version=v1.1 MSSAPLLERDGEAAAARRPWLRRLIDTEEAWAQLQFAVPMVLTNMAYYAIPLVSVMFSGHLGNVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARLYRMLGLYLQSSLIMSAVVSVLISVLWCFTEPLLLLLHQEPDVARAAAVFVAHQIPGLFAYSFLQCLLRYLQTQSVVVPLVVCSMVPFLLHVGLNYLLVNVLGLGLAGASSAISATFWVSCLMLLAYVVWSDEFGETWKGFSTDAFTYVLPTIKLAMPSAIMVCLEYWAIEFLVLLAGLLPNSTVSTSLIAMCASTQAIAYMITYGFSAAVSTRVSNEVGAGNVDGAKNAVVVTMKLSVFLALSFILLLAFGHNLWANLFSSSAVIIAEFATITPLMMISIVLDSTQGVLSGVARGCGWQHLAAMTNLVAFYVVGMPLAILFAFKLNFYTKGLWAGMICGLACQATALVVITIRTKWSKMVDAMQLEKANYVA* >Brasy6G010800.1.p pacid=40048830 transcript=Brasy6G010800.1 locus=Brasy6G010800 ID=Brasy6G010800.1.v1.1 annot-version=v1.1 MSDVTAVMDLGEAAPGRRRPQQQLDLPPGFRFHPTDEEVVTHYLTPKALDRRFASVVIGDVDLNRCEPWELPGKAKMPGENKEMFFFVHKDRKYPTGSRTNRATKSGYWKATGRDREIFRGRGRSEVLVGLKKTLVFYLGRAPQGKKTAWVMHEFRLDGQLPANLPPSAKDEWAVCRVFNKDMAAAAAAKAVPAPQMEPAPAAAAAMGMGMERSDSITDFLDGFLLDQLPPLMDWPLATGAVEDDAVSFEVKAEQQQDPSYFSLPATSTRVGGGQADSMASSSKQYPELDDLDPLMDYSNDFWKF* >Brasy6G259600.1.p pacid=40048831 transcript=Brasy6G259600.1 locus=Brasy6G259600 ID=Brasy6G259600.1.v1.1 annot-version=v1.1 MEGKRTTTTLMVIVCLLILSLAAHSATAAECSCCVAARAKACCFACIAGGGHDTICKNTCCFPCTLTDSVIANMEEMGVGSKMEEGRA* >Brasy6G261300.1.p pacid=40048832 transcript=Brasy6G261300.1 locus=Brasy6G261300 ID=Brasy6G261300.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILALLFMPGAMAAAVASFDATHNQQHLPLPRGYLRGPESVAFDGEGHGPYSGVSDGRVLKWNGDKIGWTTYAYGPDYSSEKCTASKLRPETVTESRCGRPLGLQFHHKSGSLYIADAYKGLMRVGPGGGEAAVLVNEVDGAPLRFTNGVDVDQITGQVYFTDSSMNYPRSQHEMVTRTGDSTGRLMRYDPRMNNVTTLQSGITYPNGVSISHDRTHLVVASTGPCKLLRYWIKGTNAGMMEPFANLPGYPDNVRQDRRGGYWVALHREKNELPFGFDSHLLAVRVGPNGKVLEEMRGPKSVRPTEIMERGNGKYYMGSVELPYVAVVTRK* >Brasy6G165600.1.p pacid=40048833 transcript=Brasy6G165600.1 locus=Brasy6G165600 ID=Brasy6G165600.1.v1.1 annot-version=v1.1 MMKQIFRRRKPSKSSDKEFIGGTSPSVSYQLSGQGVAYRASSNLITQPPSTSDNGLSHSSGNYVHMPNSRTNGNLFASSFQPLPSFKDVPSTEKQHLLIRKLNLCCVVFDFTDPTKNIKEKEIKRQTLLELVDYVASANGKFPEIVMQEITIMVSVNLFRTLTSPPREKKVLEAFDLEDEEAVTDPALSHLQIVYELLLRFIQSPETDAKLAKRYIDHSFIIRLLDLYDSEDPREREYLKTTLHRIYGKFMVYRPFIRKSINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKPKCISKYHQQLSYSITQFVEKDCKLADTVIRGLIKYWPVTNSTKEVMFLGELEEILEATQPAEFKKCMVPLFRQIARCLNSSHFQVAERALFLWNNDRIENLINQNSKVLFPIIFPALEKNINGHWNQAVQSLTLNVRKLFSDCEPELFAQCLGRYEEEKAKEAEHKLKQESTWKRLEEIASSKATTGEAVLVSRNLPRLSSTV* >Brasy6G164300.1.p pacid=40048834 transcript=Brasy6G164300.1 locus=Brasy6G164300 ID=Brasy6G164300.1.v1.1 annot-version=v1.1 MDPQQPEPVSYLCGDCGAENTLKSGDVIQCRECGYRILYKKRTRRIVQYEAR* >Brasy6G261400.1.p pacid=40048835 transcript=Brasy6G261400.1 locus=Brasy6G261400 ID=Brasy6G261400.1.v1.1 annot-version=v1.1 MRCKPELRRRLRIVAPPPAPSAAHDDGLRALGLLDFVRLDLRASSGERCREDLVDLISNYHGEGRSLTTKGNIEVSLSAFAEALRLPPSPAGQIPNADDVDPAAVASAATEFMKAYILKPLEAISKSELAVQVDMAAQRVEEGLAHTVDWTALIWSLVEEEMYHLAKGYGKYSECYSAAYLQRLMLVQIPDIFDPVSKLTSRPAHKKKPMSKKQKLFDVKSKQVDAEPVGFEQRSREFESTTKQAGAKSKQVGVEPMLFQASSGEFEPRTKQPDANSKQVGAEPMQLQASSREFESRTKQPDTDSKQVGAEPIQFQASSREFESRTKQPDTDSKQVDGEPMQFQASSGEFESRAKQSEAKSKQVDTKCMQCEARSREFEDRNKQLDATSKQIDARSIELGVRSKQLDARSKQIDARSMQAKARATELEARTNQLDAISKQIDASSLQLEARSGEFKARTKRLDARSKQIDARYMQLEARSMELDAKSKRLDARSTELEAKSIEFEVLRTQSNCERMNLEQDIEKLHADMHAMESLNHTLVTQKRETYDELQHVQKELLDVSEQLAQLHNDMHAMVSLNHTLVTQQRETYDELQRVQKELLDVSERPAQLHNDREAMDSLNQVLLTKEIESNNELQHVRKRLKDALTKFKNGRANIGVKMMGELDVKVFGNACRQDLSQEDAQVTSAFLCSKWQAEITNSNWHPFKLVMVDGKETEILAEDDDKLQKLKEEHGEELRSLVKRALLEINEYSPSGRYVVPELWNYKDGRKASLEEVIEFILTQWQSSRKRKR* >Brasy6G164600.1.p pacid=40048836 transcript=Brasy6G164600.1 locus=Brasy6G164600 ID=Brasy6G164600.1.v1.1 annot-version=v1.1 MEIVSFSYSHYSCLQILADIDCVPVLLSVLVRAPGPTTTWTTSALDNSNTSLSFGFAFGMSQLSLQGNSISWSWRRTPSGVYSAASAYHAQFRGSLPPFSVSEIWKSPAEPKCKFVAWTVLHGKILSADNLAVRNWTHNPICQLYRIHPEMILHLCQECSFTKEVWGHMQSWTPASPVPTPAANMVNELWDGFLVGIHKKAKKELSGRLIYSWWGGPEGEEQPNFPGLCHACPPCGLRCVEHESDTGWQELVSYCRLEGGSDPGSWASDWTGGRSKREWWGVSSGATAAWLGGDERRASEQRMGDELLNGSGGSRQGTGKRVLRRWRFLTRSWRRATSSWYESGGGSGRRIREGGMQCCVSSTGRPATNSRTAADELLVRVGEAPADPGRWGRWRLRGRWVGGATRADGGGGPPAVGMDGRVAVGMEGIVAAGEGAGGGGGTLAGAGREAERRRSGRIWGLA* >Brasy6G258800.1.p pacid=40048837 transcript=Brasy6G258800.1 locus=Brasy6G258800 ID=Brasy6G258800.1.v1.1 annot-version=v1.1 MAREAEPSAASASSAQAGSSGELPPAAGGGGDTPRGRRRRARGDPLLIVCGCFSVVTAATALLCVAVNVLSAVQSFRRNHGDIFGGIFRCYAVVISLFVGAIETEWGFILKFCAILEYWPARGMLQIFVAVMTKAYPNVERSDLILLQDIASYLLLACGLIYVVSGVLCLGVLKRSRQQKAISREQAAKDLEELEKRREELEALLLAERSELV* >Brasy6G216200.1.p pacid=40048838 transcript=Brasy6G216200.1 locus=Brasy6G216200 ID=Brasy6G216200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy6G033800.1.p pacid=40048839 transcript=Brasy6G033800.1 locus=Brasy6G033800 ID=Brasy6G033800.1.v1.1 annot-version=v1.1 MEPSSDDCGVAAAAAGGGNKKQQPQLGRSLTYHHGGQRRGQRRPQLADEPKARADAVVLYTTSLRGVRRTFADCCSSRAILRGLRVAVDERDVSMDAALRRELQALLAACGRGFSLPQLLVGGKLVGGADEVRRLHESGQLRRLLRSAAGQDPAFVCGACGGARFAPCPACDGARKVFDEGLGRARRCGDCNENGLVRCAYCSC* >Brasy6G073300.1.p pacid=40048840 transcript=Brasy6G073300.1 locus=Brasy6G073300 ID=Brasy6G073300.1.v1.1 annot-version=v1.1 MAAGQWPGGRLWAPPSAALESLFPDEQAPGAAVLGFSGAGGLQAQLHSLPPLCAAALLGYPQDNFDMFHAQDLAQLAAQVAQKAELEETQSGELNPKITPQLAYTKYSILDQAHNSSFSSATSAQTSQHVSSVIAPSMRCIPTLPSHTECIKTESNRVSQVLQGASITLDRPADDGYNWRKYGQKAVKGGRYPRSYYKCTLNCPVRKNVEHSEDGKIIKIIYRGQHSHERPSKRYKDCGILLKESDDFSDTEDASTKSQLDCLGYDGKPVTSISTMADYLLPTREGGDEKVSGTSDNRGEGDEETRTADEAVGDTDANERNAPGQKIIVSTTSDVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQEPHAVITTYEGKHVHDVPVSRIRSHAAGQPYCTEKTYSEQSSASFCSRSEKGKYSTIILKHVAF* >Brasy6G073300.2.p pacid=40048841 transcript=Brasy6G073300.2 locus=Brasy6G073300 ID=Brasy6G073300.2.v1.1 annot-version=v1.1 MFHAQDLAQLAAQVAQKAELEETQSGELNPKITPQLAYTKYSILDQAHNSSFSSATSAQTSQHVSSVIAPSMRCIPTLPSHTECIKTESNRVSQVLQGASITLDRPADDGYNWRKYGQKAVKGGRYPRSYYKCTLNCPVRKNVEHSEDGKIIKIIYRGQHSHERPSKRYKDCGILLKESDDFSDTEDASTKSQLDCLGYDGKPVTSISTMADYLLPTREGGDEKVSGTSDNRGEGDEETRTADEAVGDTDANERNAPGQKIIVSTTSDVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQEPHAVITTYEGKHVHDVPVSRIRSHAAGQPYCTEKTYSEQSSASFCSRSEKGKYSTIILKHVAF* >Brasy6G073300.3.p pacid=40048842 transcript=Brasy6G073300.3 locus=Brasy6G073300 ID=Brasy6G073300.3.v1.1 annot-version=v1.1 MFHAQDLAQLAAQVAQKAELEETQSGELNPKITPQLAYTKYSILDQAHNSSFSSATSAQTSQHVSSVIAPSMRCIPTLPSHTECIKTESNRVSQVLQGASITLDRPADDGYNWRKYGQKAVKGGRYPRSYYKCTLNCPVRKNVEHSEDGKIIKIIYRGQHSHERPSKRYKDCGILLKESDDFSDTEDASTKSQLDCLGYDGKPVTSISTMADYLLPTREGGDEKVSGTSDNRGEGDEETRTADEAVGDTDANERNAPGQKIIVSTTSDVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQEPHAVITTYEGKHVHDVPVSRIRSHAAGQPYCTEKTYSEQSSASFCSRSEKGKYSTIILKHVAF* >Brasy6G151400.1.p pacid=40048843 transcript=Brasy6G151400.1 locus=Brasy6G151400 ID=Brasy6G151400.1.v1.1 annot-version=v1.1 MIVFLDAPHLPFPSRSFPELVRSAPSLPSSLHSCLSSRARRQGVRPKDWFLVGSRGRGVCTREMAGEANKADEDNAGKSQQTVGRTGATATARDSSVNATLPGGARPPMASFPRPAAAAAGSSMEYNVRQSVTNAIPGNGQTGHQHGDALGRASDANAAAAAANVKPQRLEQQGNFGIGYGQQRDARGSSSAVPRTLIVLDREPQPPTAIPSHGQTGHRHGDALGQQQRRNAVAAAAAPPMCLACKIMPMRTLPDPSTMHHCVCENCFKAGIACPYCRLGQSSTAQEKSALPPHEADLQRAVRRYLARFSSPAALPQMLPQPQAVQASSQAPPFPDVQPTAAAAPGTLNHGLGLGPQQPQQIFKCWECDSSVAPWVTYYPTVPEHLCVCITCFNNGSTACPLCRGDPMPRHGR* >Brasy6G071800.1.p pacid=40048844 transcript=Brasy6G071800.1 locus=Brasy6G071800 ID=Brasy6G071800.1.v1.1 annot-version=v1.1 MPSSKQNQIELSSESVPKKNKNKLEMVSESMKVLAFGLVLLGCLQPFAAIDLQVIALNETRMMLTDSRGVLDDWSSHQVSPCYLVNVKCNQDGNVIGIILSSSGLSGVLSPSIAKLTALEQLLLDDNSITGRITQELGNLSKLMTLKLGRNRLNGSIPETFGLLSELQNLDLSQNLLSGNIPSSLSNLSALNDINHANNNLTGEIREQLLQVSQYNYISNHLNCGQNLISCEGGTTNTGGSRKSTLKVILGSIGGAVTLLVVVLFVLWWQRMRHRPEIYIDVAGQHDHSLEFGQIKRLSWRELQIATNYFSEQSVLGKGGFGKVYKGLLPGPDGKSPEGEMAFLREIELISIVVHKNILRLIGFCMTPTERLLVYPFMENLSVASRLRDIKQNEPILDWPTRIRIALGAARGLEYLHEHCNPKIIHRDVKAANIVLNGNLEAVIGDFGLAKMMDMGRNTITTVVRGTMGHLAPEYFKTGRASVKTNIFGYGVMLLEIVTGERTIFPDFMLIDQEWQIAELTRRQQYENRQQHNVFSFSAESLNIHEAIELSGGR* >Brasy6G100100.1.p pacid=40048845 transcript=Brasy6G100100.1 locus=Brasy6G100100 ID=Brasy6G100100.1.v1.1 annot-version=v1.1 MAWSRIARGSQLSRSLSRIASESGARTPASSALRNAAAAQAHRGAAHSFHSLALAGLSDKCGAGHLLHPNRGISTTPPMLHPAAAAAAAEPVECSDAEDHSAAVPDLGPTKPGEKPRVVVLGTGWAACRFLKDVDTSAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLANCTGVDTRRHEVHCTVASDEAAAGTLPRNPYRFRVAYDKLVIASGAEPLTFNIKGVEENAVFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVRGVVKEVEPTKIVLSDGTSVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPSADDVYALGDCAGFLERTGKPVLPALAQVAEREGKYLAALLKRVAAQNGGKAHCCGKKTDLGEPFVYKHLGSMASVGRYKALVDLRENKDAKGVSMAGFVSWLVWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG* >Brasy6G097900.1.p pacid=40048846 transcript=Brasy6G097900.1 locus=Brasy6G097900 ID=Brasy6G097900.1.v1.1 annot-version=v1.1 MASSGLSSRRSVMASRLTASAEAVNEPRRPGAVVSRRVEYDEESLGAGGEPRYEVFINHRGADTKRTVARLLYDRLAQAGLRGFLDNMSMRPGDRLEERIGSAIRECTVAVAIFSPSYCDSEYCLRELAMLVESRKAIIPIFYDIKPSDLLLPQAVADSEVYLPRDLERFKFALREAKHTVGLTYDSATGDMAELVSAAADAVMYNMEKMETVQRRETMIVSRL* >Brasy6G032000.1.p pacid=40048847 transcript=Brasy6G032000.1 locus=Brasy6G032000 ID=Brasy6G032000.1.v1.1 annot-version=v1.1 MSSAAMTQAGLNPDAPMFIPAAFRRVEDYSPQWWELVNSTAWFRSHALQNPQEHRVEAKVEEEDDHDDIAALLPDDLLHDAADLFLYSPPPPQPQPQPQPQPDLLHRYGPAAGFGGHGMDAVVLQAQLSVNSPRDTQPSWRAQKPAQQQLVGGGNHQWR* >Brasy6G226700.1.p pacid=40048848 transcript=Brasy6G226700.1 locus=Brasy6G226700 ID=Brasy6G226700.1.v1.1 annot-version=v1.1 MGRLTILEASSTIEQPCIEGGDHSSLGNTIRGLGGFLSSRGDSLPWYRMYQEYPSWQQQSQLLRQRLDKDAVLQDVSFAVFLTLIEPRHRQALESTHTWHSKGSRPTDIENKFDTRKLYYKYINKQASSSRGNEFTVFEFVLKVDKPRVAICVLYRKDFRNLIRDMERRANEGNILGANQQAPVLAVETPTNSAHTLDGFLNGSRVGYTIYQASNGVASGSESAAYFSPNEDFWMDRIPYFGLND* >Brasy6G226700.2.p pacid=40048849 transcript=Brasy6G226700.2 locus=Brasy6G226700 ID=Brasy6G226700.2.v1.1 annot-version=v1.1 MGRLTILEASSTIEQPCIEGGDHSSLGNTIRGLGGFLSSRGDSLPWYRMYQEYPSWQQQSQLLRQRLDKDAVLQDVSFAVFLTLIEPRHRQALESTHTWHSKGSRPTDIENKFDTRKLYYKYINKQASSSRGNEFTVFEFVLKVDKPRVAICVLYRKDFRNLIRDMERRANEGNILGANQQAPVLAVETPTNSAHTLDGFLNGSRVGYTIYQASNGVASGSESAAYFSPNEDFWMDRIPYFGLND* >Brasy6G226700.3.p pacid=40048850 transcript=Brasy6G226700.3 locus=Brasy6G226700 ID=Brasy6G226700.3.v1.1 annot-version=v1.1 MGRLTILEASSTIEQPCIEGGDHSSLGNTIRGLGGFLSSRGDSLPWYRMYQEYPSWQQQSQLLRQRLDKDAVLQDVSFAVFLTLIEPRHRQALESTHTWHSKGSRPTDIENKFDTRKLYYKYINKQASSSRGNEFTVFEFVLKVDKPRVAICVLYRKDFRNLIRDMERRANEGNILGANQQAPVLAVETPTNSAHTLDGFLNGSRVGYTIYQASNGVASGSESAAYFSPNEDFWMDRIPYFGLND* >Brasy6G156000.1.p pacid=40048851 transcript=Brasy6G156000.1 locus=Brasy6G156000 ID=Brasy6G156000.1.v1.1 annot-version=v1.1 MSEERTAPLRSAPPLLLRRRARPRAAASSTACLCCRPRAAASSPAAPPPRAHGSARLRSRPRMPPRAHGSVRSCACLRADASSPARRPCSPVRGRACLRADASIPAHGRLLPRAPPPLGCGRRETARRKGRGRGASPCCLPRHRRLPLTASRSGARAGPRGCLPETKKRS* >Brasy6G239200.1.p pacid=40048852 transcript=Brasy6G239200.1 locus=Brasy6G239200 ID=Brasy6G239200.1.v1.1 annot-version=v1.1 MPLLLVLSLLFSTTVINLATLTAGEDEFVFTGFKGANISIDGVATVTPNGLLDLTNAHERLKGHAFYPAPLRFRESPNGTVKSFSVSFVLAIYPNYRPSQGMTFFIAKSMDFSSALPVQYFGVFNAVNQGNSSNHIFAVEFDTVINMDLRDIDANHVGININSAISNKSSTAGFYDDQTGSFSTLNLTGGEGLRVWVDYERESTRINVTMSPVSMATKPARPLISAIYDLSTVITEDAYLGFGAAAGRDGSRHYILGWSFGMNSPAPPIDISKLPRLPRLGPKPRSKVLEIVLPVATAAFVLALGIIIFLLVRRHRRYAELKEDWEVEYGPHRFPYKDLYDATQGFKNKNLLGVGGFGRVYKGVLPKSKLEIAVKRVSHESKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRRGQLFLVYEYMPNGSLDRYLYHQEKSKLQPTLNWAQRFQIIRGIASGLLYLHEEWEKIVIHRDVKASNVLLDGEMNARLGDFGLARLYDHGIDPQSTHVVGTIGYLAPELACTGKVTPLTDVFAFGIFILEVVCGQRPIKQDTSEKQPMLVDRVLEHWNNGSLLDIVDIRLHGDYDVNEAYLALKLGLLCSHPFMDARPSMRQVMQYLDGDRTTPEPLPMDTTFEMLAAMQNEGFDPYVVSYPSSLASHGTSSIVSGGR* >Brasy6G174100.1.p pacid=40048853 transcript=Brasy6G174100.1 locus=Brasy6G174100 ID=Brasy6G174100.1.v1.1 annot-version=v1.1 MSRSVSIFQALLVFTTVAAEIQWSSVSGMYCKDLTASVYRPHSVSITEFGAVGDGMTRNTKAFENAIFYLSSFANKGGVQLFVPAGRWLTGSFSLVSHLTVSLDKDAVILGSPDSSDWPVIDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGEIWWNWFHNHTLNYTRPPLVEFMYSTRVVVSNLTFTNSPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAYPSSNISIQNITGQTRNSAGIALGSEMSGGISNIRAVGIRIVNSVHGIRIKTAPGRGGYVKNVYIADVSMDNVSIAIRITANYGEHPDDKYDKNALPIISNITIKNVIGANIGVAGMLQGIQGDSFSNICLSNVTLSTKSMDPWNCSLVEGYSNSVSPEICEELKTNPGPDQVCYDGNYLASAEVPSQAPQKSSASRLLNPFHKLASVRG* >Brasy6G024300.1.p pacid=40048854 transcript=Brasy6G024300.1 locus=Brasy6G024300 ID=Brasy6G024300.1.v1.1 annot-version=v1.1 MMQKEQPQAWWFDSHNLARPSPWLGNTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQCDLLKHGGGTRRSTVFASPSPCNKSWSPAASMDGKAGAGSSSSSTSLCSGSSYDSESEVDDPEEEDHHHGDHQKVHHHHHHGDHQKVPEGETEAEKKKKKEQQPEQQQEAAELMEEIRRLKEQNSELQKAMEESTAMFKAELAAKDEEKREVIRQLAASLDVVQGENSTLRECIKSSNHHHRRPAAARAFDLRKLTRDLFSAKLFTAHCKPSGPVVAL* >Brasy6G024300.2.p pacid=40048855 transcript=Brasy6G024300.2 locus=Brasy6G024300 ID=Brasy6G024300.2.v1.1 annot-version=v1.1 MMQKEQPQAWWFDSHNLARPSPWLGNTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQCDLLKHGGGTRRSTVFASPSPCNKSWSPAASMDGKAGAGSSSSSTSLCSGSSYDSESEVDDPEEEDHHHGDHQKVHHHHHHGDHQKVPEGETEAEKKKKKEQQPEQQQEAAELMEEIRRLKEQNSELQKAMEESTAMFKAELAAKDEEKREVIRQLAASLDVVQGENSTLRECIKSSNHHHRRPAAARAFDLRKLTRDLFSAKLFTAHCKPSGPVVAL* >Brasy6G024300.3.p pacid=40048856 transcript=Brasy6G024300.3 locus=Brasy6G024300 ID=Brasy6G024300.3.v1.1 annot-version=v1.1 MMQKEQPQAWWFDSHNLARPSPWLGNTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQCDLLKHGGGTRRSTVFASPSPCNKSWSPAASMDGKAGAGSSSSSTSLCSGSSYDSESEVDDPEEEDHHHGDHQKVHHHHHHGDHQKVPEGETEAEKKKKKEQQPEQQQEAAELMEEIRRLKEQNSELQKAMEESTAMFKAELAAKDEEKREVIRQLAASLDVVQGENSTLRECIKSSNHHHRRPAAARAFDLRKLTRDLFSAKLFTAHCKPSGPVVAL* >Brasy6G024300.4.p pacid=40048857 transcript=Brasy6G024300.4 locus=Brasy6G024300 ID=Brasy6G024300.4.v1.1 annot-version=v1.1 MMQKEQPQAWWFDSHNLARPSPWLGNTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQCDLLKHGGGTRRSTVFASPSPCNKSWSPAASMDGKAGAGSSSSSTSLCSGSSYDSESEVDDPEEEDHHHGDHQKVHHHHHHGDHQKVPEGETEAEKKKKKEQQPEQQQEAAELMEEIRRLKEQNSELQKAMEESTAMFKAELAAKDEEKREVIRQLAASLDVVQGENSTLRECIKSSNHHHRRPAAARAFDLRKLTRDLFSAKLFTAHCKPSGPVVAL* >Brasy6G054900.1.p pacid=40048858 transcript=Brasy6G054900.1 locus=Brasy6G054900 ID=Brasy6G054900.1.v1.1 annot-version=v1.1 MAAAAAPPLCTWLVAADCGAEEQHHQHQKLCCDGGSVATFGPRRRAARRRGAARSGMAMSVALQPERVIVEKKRPDVKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGRSGISEIETFDCSKFPTRIAGEIKSFSTEGFVAPKLAKRMDKFMLYLIAAGKKALENGGLTEEVRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEAEVMLCGGSDAPLIPIGLGGFVACRALSRRNSDPTKASRPWDMGRDGFVMGEGAGVLVLEELEHAKQRGATIYAEFLGGSFTCDAYHMTEPHPEGTGITLCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAVIQAIRTGFVHPNLNLENPEKIVDVGVLVGSKKERCEVKVALSNSFGFGGHNSSILFAPLK* >Brasy6G236700.1.p pacid=40048859 transcript=Brasy6G236700.1 locus=Brasy6G236700 ID=Brasy6G236700.1.v1.1 annot-version=v1.1 MAAAQLRMSEEKAILAAHNDAARRAVGIVKDQDQMVWDEKLALLAQIHADGCRFEHGAVDGGSKVWIGQNLAWSTHRESIHRAAVDMWVKEKKDYDYESNSCADGEVCGHYTQVDNNNIIVCYYRPGGNHEDQRPYG* >Brasy6G224700.1.p pacid=40048860 transcript=Brasy6G224700.1 locus=Brasy6G224700 ID=Brasy6G224700.1.v1.1 annot-version=v1.1 MGLAFECPCRARPWGYACGQYCRGEQTKPRGAFPRHASMRRIRPRTSCLTSSADGTGCSTDLAGAENESVNRKNGYHQDPNRTS* >Brasy6G003700.1.p pacid=40048861 transcript=Brasy6G003700.1 locus=Brasy6G003700 ID=Brasy6G003700.1.v1.1 annot-version=v1.1 MSRACSTKRKWTSQNTGTISEAGSGNLRIIGDSTLTGPSKFVAARLSEYVVSLAAFIGDTQLFGCAGIIIGISCSNTTSILTSASLVRSCDDDSKIDDNLTIKVRLPDDLIVIGWLHHYDLNYDLAVINIERLHGFRVPNFTAGFQVHGSIRVVALGRCFNSGMLTMSRVLVIGEQSSELSEREVGEIINELYEDMHSTYGIDKGWIGGPLVGKGRLLGINCHGGGRTFLPRSRIVEFLETSGILRTDVNQEFGGTNKTVPTACEIFCDVSQWNGYALPKMLGFDISAMQVFNSFEEEFDHDIWSKLSEEVSSTLSECVVALASFKDYYPARILTSASLIRKSGDKSKICDNLRIEVCLQNKSRVTATLKHYNLHYNAAVFEIPCFRSLRAIELEKDISFPRKTHVVAVGCRFKGYKLMATKGVLVDKPCILDCKDLGTSTCNITKAGIGGPLVDWCGNFVGMNFFHEEETPFIPRKIIQELLKQFNSIWRQADWTLEKGGDPYRWPVPEPYWSWPTE* >Brasy6G148000.1.p pacid=40048862 transcript=Brasy6G148000.1 locus=Brasy6G148000 ID=Brasy6G148000.1.v1.1 annot-version=v1.1 MYAKCGDAAAARRCFRGMLPAKNVVSWNTMISACARSGDAREALSLFREMQRSGSVRPDDATFVAVLGACADLGALDAGRWVHAYMMGRHTKAVVVGNALLDMYAKCGAVDGAKEVFDAMPRRDVYTYTSMISGLAMHGRGEEALALLADMRRAGVRPNAVTFLGVLSACCHAGLVEEGLLHFKAIPESCGVAPGIEHYGCVVDMLGRAGRLDEAEELVATMPTRPDALIWGSLLSACRAHGHVDRAERVMRRMADGGGDLADVGDYVLMSNMYASKGRHGKAVQLRKQMRRGRMDKVPGCSLIEIDGVVHEFRALRPSSGEPPDALQAVG* >Brasy6G045300.1.p pacid=40048863 transcript=Brasy6G045300.1 locus=Brasy6G045300 ID=Brasy6G045300.1.v1.1 annot-version=v1.1 MAFLVERCGEMVVSMESSAHGGGGGGVGGGKAVPAPFLSKTYELVDDPCTDHIVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPPHHHHAYHHLHHHHHHLGTAFSPAPPPPLAHHHHPMASYHFQDQEPPAPAIASNNNGGQGSGGEFLAALSEDNRELRRRNSVLLSELAHMKKLYNDIIYFLQNHVAPVSPPAPPSNLLVHGGAGAAAAANVANSSCRLMELDPEEDGDETASVKLFGVALKRTTTAATKRARRPEERFCDLGSEA* >Brasy6G010500.1.p pacid=40048864 transcript=Brasy6G010500.1 locus=Brasy6G010500 ID=Brasy6G010500.1.v1.1 annot-version=v1.1 MSSDGAASPPPPKPCTLPAVAEFLPPAGVPRLPIPLPRTQRCSPPYLSLQPPIPKPESLRPNPPQQRACSVRRRPWGTSSVRHRCVPPRSSEAPFLGLASPLSWMQMVLPTNCSLLCLREGIDNFRCEVMLPIPVMFYLETQNFLAQKISYRKWWYVYNQSSFFLKGLQDHWKNIVLQHCFGKVGFGTIVFVRNNKGKGSHIYFL* >Brasy6G010500.2.p pacid=40048865 transcript=Brasy6G010500.2 locus=Brasy6G010500 ID=Brasy6G010500.2.v1.1 annot-version=v1.1 MSSDGAASPPPPKPCTLPAVAEFLPPAGVPRLPIPLPRTQRCSPPYLSLQPPIPKPESLRPNPPQQRACSVRRRPWGTSSVRHRCVPPRSSEAPFLGLASPLSWMQMVLPTNCSLLCLREGIDNFRCEVMLPIPVMFYLETQNFLAQKISYRYFLGISWGQTVMSMLRQY* >Brasy6G010500.3.p pacid=40048866 transcript=Brasy6G010500.3 locus=Brasy6G010500 ID=Brasy6G010500.3.v1.1 annot-version=v1.1 MSSDGAASPPPPKPCTLPAVAEFLPPAGVPRLPIPLPRTQRCSPPYLSLQPPIPKPESLRPNPPQQRACSVRRRPWGTSSVRHRCVPPRSSEAPFLGLASPLSWMQMVLPTNCSLLCLREGIDNFRCEVMLPIPVMFYLETQNFLAQKISYS* >Brasy6G002800.1.p pacid=40048867 transcript=Brasy6G002800.1 locus=Brasy6G002800 ID=Brasy6G002800.1.v1.1 annot-version=v1.1 METGIGSVDGHKPAPNGTVGCPASASAAGYLASASSIPGDPAATLGRHIARRLVQVGVRDVFAVPGDFNLTLLDHLIAEPGLNLVGCCNELNAGYAADGYARAKGVGACAVTFTVGGLSVLNAIAGAYSENLPVICVVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQPVTCHQAVVNNLDDAHEQIDKAISTALRESKPVYISVSCNLPGVHHPTFVRDPVPYFLHPKQSNQAGLEAAVEATVEFLNKAVKPVMVGGPKIRVAKAGEAFVDLAEASGYAVATMPSAKGLVPETLPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAVVVQPDRVTVGNGPAFGCVMMKDFLSALAKRVKKNTTAYENYRRIFVPDGQPPESEPGEPLRVNVLFKHVQKMLTGDSAVIAETGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGALLGYAQGVAGDKKRVIACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVGTEEELVAAIETATGEEKKDCLCFIEVIAHKDDTSKELLEWGSRVCAANSRPPNPQ* >Brasy6G076400.1.p pacid=40048868 transcript=Brasy6G076400.1 locus=Brasy6G076400 ID=Brasy6G076400.1.v1.1 annot-version=v1.1 MVANGVCPALVTYNVVLHVYSKIAVPWKEVLALVDSMRKDGIPLDRYTYNTLISCCRRRALYKEAAKVFDEMRAAGFEPDKVTFNSLLDVYGKARRYDEAIGVLKEMEQGGCPPSVVTYNSLISSYVKDGLLEEAAELKKEMEVKGIEPDVITYTTLISGLDRAGKIDAAIGTYNEMLRNGCQPNLCTYNALIKLHGVRGKFPEMMVVFDEIRSAGFVPDVVTWNTLLAVFGQNGLDTEVSGVFKEMKKSGYVPERDTYVSLISSYSRCGLFDQAMEIYKRMIEAGIHPDISTYNAVLSALARGGRWEQAEKLFAEMENLDSRPDELSYSSLLHAYANSKKLDKMKSLSEDIYAERIESHNGLVKTLVLVNSKVNNLSDTEKAFLELRRRRCSLDINVLNAMISIYGKNGMVKKVEEILSLMKESSINLSTATYNSLMHMYSRLGDCEKCENILTEIKSSRARPDRYSYNTMIYAYGRKGQMKEASRLFSEMKCSGLVPDIVTYNIFVKSYVANSMFEEAIDLVRYMVTLGCKPNERTYNTILQEYCSHGRIADGKSFISNLPELHPGISKREQQRLLELWAKHTSRDSG* >Brasy6G203100.1.p pacid=40048869 transcript=Brasy6G203100.1 locus=Brasy6G203100 ID=Brasy6G203100.1.v1.1 annot-version=v1.1 MARMIIFIVASILIMSLLVSCDVVQRECDDHRTTLPCDKSGSCDPWCQHSGDQYGYCKDENPTKARFFAEKPT* >Brasy6G193200.1.p pacid=40048870 transcript=Brasy6G193200.1 locus=Brasy6G193200 ID=Brasy6G193200.1.v1.1 annot-version=v1.1 MKMLVSAIPSTSGLKHWFVGHTIYKWPEALVFINVVLVNRQHWSEAKVAQRHKAKFGDGDIIQDITNDAEYALHRHVHTTNHVYVCTNESILKQILHRLGALENKYIHNDYVYENQWFRPVVDTEHSDKGYIYPEIPSYRLRLTSDCTVSYKTYCHVGDEYKEKDGDEERDKDGDEKNDNIVKAKPNTIAKRYVASPQGENEHRPSTKQMHIATTITTRGHGANKRRKKMM* >Brasy6G227500.1.p pacid=40048871 transcript=Brasy6G227500.1 locus=Brasy6G227500 ID=Brasy6G227500.1.v1.1 annot-version=v1.1 MTTTTAAAAVATSSPMVLSLLLFASLTALLVLAPRVHPPLARGNKAVVLGAEEAPPAAAGSYAADLGTGAVGAEEDGADDLALFRRVTLDSGEGVTTAAPPKVAFLFLTNSDLTFAPLWERFFSGHGDLLNVYVHADPASRLLLPPTPSFRGRFVAAKPTRRGDPSLIAAARRLLAAALLDDPANAYFALLSQHCVPLHSFPYLHATLFPPAASHHHRLLSYIEVLADEPQMAARYAARGGEGAMLPEVPFARFRIGSQFFTLARRHAVLVVRERRLWRKFREPCLPESRLDSCYPEEHYFPTLLDMADPAGVARYTLTRVNWTGSFEGHPHRYAAPEVTPRLIGELRRSNGSDYEHMFARKFAPDCLGPLLAIADSVIFKD* >Brasy6G227500.2.p pacid=40048872 transcript=Brasy6G227500.2 locus=Brasy6G227500 ID=Brasy6G227500.2.v1.1 annot-version=v1.1 MTTTTAAAAVATSSPMVLSLLLFASLTALLVLAPRVHPPLARGNKAVVLGAEEAPPAAAGSYAADLGTGAVGAEEDGADDLALFRRVTLDSGEGVTTAAPPKVAFLFLTNSDLTFAPLWERFFSGHGDLLNVYVHADPASRLLLPPTPSFRGRFVAAKPTRRGDPSLIAAARRLLAAALLDDPANAYFALLSQHCVPLHSFPYLHATLFPPAASHHHRLLSYIEVLADEPQMAARYAARGGEGAMLPEVPFARFRIGSQFFTLARRHAVLVVRERRLWRKFREPCLPESRLDSCYPEEHYFPTLLDMADPAGVARYTLTRVNWTGSFEGHPHRYAAPEVTPRLIGELRRSNGSDYEHMFARKFAPDCLGPLLAIADSVIFKD* >Brasy6G083900.1.p pacid=40048873 transcript=Brasy6G083900.1 locus=Brasy6G083900 ID=Brasy6G083900.1.v1.1 annot-version=v1.1 MASDALTEAGQPKKKGSVKFAFACAILASMTSILLGYDIGVMSGASLFIKKDLKISDVQVEVLMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGALVMGFSVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLSLRLGWRVMLGIGAAPSVLLAFMVLGMPESPRWLVMKGRLADAKVVLAKTSDTPEEAAERLADIKDAAGIPQDLDGDIVEVPKKGSSEEKRVWNELILSPTSAMRHILISGIGIHFFQQASGIDAVVLYSPRVFKSAGITNENRLLGTTCAVGVTKTVFILVATFLLDRIGRRPLLLSSTGGMIVSLVGLAAGLTVVSRHPNEQIPWAIALCILCILAYVAFFSIGLGPITWVYSSEIFPLHVRALGCSLGVAANRLTSGVISMTFISLSKAITIGGAFFLFAGIASLAWVFFFTYLPETRGRTLEDMSALFGNTATHKQQGSNGAGDDDVGKEKKVEMAATN* >Brasy6G029500.1.p pacid=40048874 transcript=Brasy6G029500.1 locus=Brasy6G029500 ID=Brasy6G029500.1.v1.1 annot-version=v1.1 MAAGGDLTDEERRALRGSKFAPLPAPPPSSRPNPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVNLAVKNAKETIKASKGESSTSGRVVRHVPSFEDSSEVSNEDDGEQKKKRKKKKKKKKRKTKVPKDSKIQNKSKKKKLSL* >Brasy6G127100.1.p pacid=40048875 transcript=Brasy6G127100.1 locus=Brasy6G127100 ID=Brasy6G127100.1.v1.1 annot-version=v1.1 MKTMVASSEGGNAVDAYKKALATAASVMAYAMLARGMARELLPDLLAAVRWGAALVRARLGARDKERNTLVIRSQFADPFQNNGHTSGNDLFDASRAYLATKIDPRAMRRLCLSQACIKDSDDGSSSWSTLLSMEHGCSTTDEFEGVEFRWTSNEGGGSGDDGDRQGKGGESLELSFDAEHTDTALKKYVPFIMSVAEELRQRDQALKIFMNDACYWNGINHYHPATFDKLAMDPALKRAIVDDIDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVESNSLLQRLLIDMPNKSILVIEDIDCCSNATSREDGKERKAKAAGDHDGDDYVHNGSVDREKKTPSITLSGLLNFIDGLWSTSGEERVIIFTTNYKDRLDPALLRPGRMDMHIYMGYCGWDAFKTLARNYFLIDDHPLFPEIQRLLAVVELTPAEVSEMLLRSEDLDVAMRLLMEFLRQRRRAAKEADDKNNVAL* >Brasy6G243000.1.p pacid=40048876 transcript=Brasy6G243000.1 locus=Brasy6G243000 ID=Brasy6G243000.1.v1.1 annot-version=v1.1 MASNGSSTTVGEMESSLERVRRQLSSTSSRHILQGPLLKRSDTLRKWNERWIILDPATGKMEYKVCRSDTGVRGVIVFDSTSTVTLSPTNFHGLPKYEGCCFYIGTPQKKEYFLCAETPSAARAWVSTLHATQLVLQAHKQAVNSLGGNGSAKLGTVATVVAVANSTAIEASKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTIREITDKLQETAEAAETAASAAHSIDEGRRFLSSELERLKKDQENQVELSLLRLKESEEKAKLLAEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARISAADAGMRIKEAMSRLESCTKEKEELLILVDALRSQIQRQETNTKQVREEKTDLCSTSKHVDMEDNNVDKACLSDTNLIPITENIVDFEDEGVDIRTIGDTEWENPHSSEVSDVREVTTEPEESSLDIPVDSQPVSENTFQG* >Brasy6G243000.2.p pacid=40048877 transcript=Brasy6G243000.2 locus=Brasy6G243000 ID=Brasy6G243000.2.v1.1 annot-version=v1.1 MASNGSSTTVGEMESSLERVRRQLSSTSSRHILQGPLLKRSDTLRKWNERWIILDPATGKMEYKVCRSDTGVRGVIVFDSTSTVTLSPTNFHATQLVLQAHKQAVNSLGGNGSAKLGTVATVVAVANSTAIEASKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTIREITDKLQETAEAAETAASAAHSIDEGRRFLSSELERLKKDQENQVELSLLRLKESEEKAKLLAEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARISAADAGMRIKEAMSRLESCTKEKEELLILVDALRSQIQRQETNTKQVREEKTDLCSTSKHVDMEDNNVDKACLSDTNLIPITENIVDFEDEGVDIRTIGDTEWENPHSSEVSDVREVTTEPEESSLDIPVDSQPVSENTFQG* >Brasy6G102400.1.p pacid=40048878 transcript=Brasy6G102400.1 locus=Brasy6G102400 ID=Brasy6G102400.1.v1.1 annot-version=v1.1 MPLLATLPLILAALIFFAAGPAACTHPLDPFSPAEITAIRAAVLASPLVPARPLTFHYIGLDEPDKPAVIAYATNNASSASASAIPRRALVIARAGGESHELLVSVSGVSSASVLSHAVHRGAGFPTLTLEEQFAAVALPPRHPPFMESVLARGVDMDDVLCAVFPVGWFGDDPSLQQRRVVKLLCFVAGADTANFYARPIEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGHVGPPMAGVGRQPEAGRGFHIDGHLVRWAEWEFHVGFDMRAGTVISLASIHDALHGTRRSVLYRGFVSEVFVPYMDPAEEWYYRTFLDAGEYGLGLWAFPLQPGADCPHGAAFLDGHYAGQDGRPVENKNMICVFERRGAGDVAWRHTEAGFPNRLITEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSIKVTVSLTGLLEVKGTGYTHTDQIPPSEDAHGTLVAENTVAVYHDHFVTYHLDLDVDGTRNSFVKNTITTTKNNGTTPRKSYWTVRRDVAETEADAQIDLNASPPADLLIVNQGKRTRMGNEVGYRVIPDGATAASVLADGDFPQRRASYCKKQVRVTPYDRSEKWAPGVYADQSTGDDGLGVWSERDRGVRDEDIVLWYTVGVHHIPYQEDFPVMPTVSGGFELRPANFFDRNPLLGARPPPAASRRRGQAPNVNCSCTGG* >Brasy6G167700.1.p pacid=40048879 transcript=Brasy6G167700.1 locus=Brasy6G167700 ID=Brasy6G167700.1.v1.1 annot-version=v1.1 MGKHSAESGTSMLLHGDLDIQIVEAKCLPNMDLMTERMRKCFTGYGACRTDCGNSDPHPDVRKIITSDPYVSVCLSGATVAQTRVISNSENPKWDEHFCFQVAHSVSRLEFHVKDNDVFGAELIGVASIPVEQIAPGDVVSGWFPISGHYNNPKTSPELHLSIQYKPFEQNPLYKDGVYADSFENAGVPNAYFPLRKGGKVTLYQDAHVSDDFRPNIEIDGERIYEQNKCWEDICHAIVEAHHLIYMIGWSLYHPIKLLRESTKPLPNGVPQTIGEILKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLSPRYASNKMSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLNTVFKDDFHNPTFQVNKSDGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCDEKDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRCAQHFIYIENQYFVGSSYYWSSHRNAGAENLIPIELAIKIARKIKAREPFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIIADALRKEGLDDAHPQDYLNFYCLGKREISSEVAAPSHSSHSNENSPMRLAQKFKRFMIYVHSKGMIIDDEFVLIGSANINQRSMDGLRDTEIAMGAFQPHYSWAGSSSPPRGQVYGYRMSLWAEHLGTLEECFKRPQSVECVQLVNCMAEDNWQCYVSPQMSEMKGHLMKYPIKVESDGRVGALPGYENFPDVGGKVLGSHSSLPNALTT* >Brasy6G218500.1.p pacid=40048880 transcript=Brasy6G218500.1 locus=Brasy6G218500 ID=Brasy6G218500.1.v1.1 annot-version=v1.1 MPTATPAPTPKAVIHQRYGAKAVYRVEEVREAVDGGGCPGLALPQQGTRCVYRCELDIAGVLRVATPGTFVRKKDAEQAAAQIALDKLGIQPTANTPATPEEAWEELIGRISYFFADENFPASSHPLVGHLSVSLRRTGDLLGRIPISAIVACDVKVHTLCKIIDPKAEFDPLLVLSMIYNAAKQSPGVSVSDSDFWIQSQRPYSPEAIDLAFQRWSGISDPISVEAVFVPCVMEDEPKIVRLNLSQNEHYMGDIASTLSATDSSHVLVSRTVGKTSSEIRFYFPAPNVQLVSDISINVVSHRGDGNMNCVINKRASYISGQTIYGDAILANVGYTRRDTELQTEHVTLCTYYRILLGKLPDGIYKISKESILVAELPCVYSRTSWKGPSPRDLLCSFCRLQRLSEPHFAANRVRASCNTLGSAVCSEKIGSPKPTTGSQYANDGRIDKENPDMFKCGVKIYSKKQELLLEYSTDDNWSKESDAIQNSALKVLVWFNHYFKQLNTLLEKIYLPKSTDGFTIYPNTFSQEFAMCLSVYGKTSGGNSITCSTVGLFPMDPSHQQLENSAFLTDVDGQDSGVFPSHGSLTCVDYTVYLFMKDKRKKYILEVNNEFEFEIGAGAVRNQLESCVTQLSVNQSACFVDELSDRDIFLAAASELSPDLSKISRDSCVLEFSVKVLQVTEPLEDRMEKALFNPPLSKQRVEFAVRHINQLHATTLVDFGCGSGSLLDSLLEHPTTLDKIVGVDISRKGLTRAAKSLHQKLSKKSLMQTAVPTAVLYHGSITDFDSRLYGFDIGTCLEVIEHVEEDQASLFGHVVLSSFCPAVLIVSTPNYEYNPILQRSAMPTKDEEPEENAGPCKYRNHDHKFEWTRSQFQRWATGLAVNHNYSVEFSGVGGSGEEPGYASQIAVFRRMARDQVETVQNEDDPPRPYETLWEWPTTSIPSPLSTGGGVNTPDSSLCS* >Brasy6G218500.2.p pacid=40048881 transcript=Brasy6G218500.2 locus=Brasy6G218500 ID=Brasy6G218500.2.v1.1 annot-version=v1.1 MPTATPAPTPKAVIHQRYGAKAVYRVEEVREAVDGGGCPGLALPQQGTRCVYRCELDIAGVLRVATPGTFVRKKDAEQAAAQIALDKLGIQPTANTPATPEEAWEELIGRISYFFADENFPASSHPLVGHLSVSLRRTGDLLGRIPISAIVACDVKVHTLCKIIDPKAEFDPLLVLSMIYNAAKQSPGVSVSDSDFWIQSQRPYSPEAIDLAFQRWSGISDPISVEAVFVPCVMEDEPKIVRLNLSQNEHYMGDIASTLSATDSSHVLVSRTVGKTSSEIRFYFPAPNVQLVSDISINVVSHRGDGNMNCVINKRASYISGQTIYGDAILANVGYTRRDTELQTEHVTLCTYYRILLGKLPDGIYKISKESILVAELPCVYSRTSWKGPSPRDLLCSFCRLQRLSEPHFAANRVRASCNTLGSAVCSEKIGSPKPTTGSQYANDGRIDKENPDMFKCGVKIYSKKQELLLEYSTDDNWSKESDAIQNSALKVLVWFNHYFKQLNTLLEKIYLPKSTDGFTIYPNTFSQEFAMCLSVYGKTSGGNSITCSTVGLFPMDPSHQQLENSAFLTDVDGQDSGVFPSHGSLTCVDYTVYLFMKDKRKKYILEVNNEFEFEIGAGAVRNQLESCVTQLSVNQSACFVDELSDRDIFLAAASELSPDLSKISRDSCVLEFSVKVLQVTEPLEDRMEKALFNPPLSKQRVEFAVRHINQLHATTLVDFGCGSGSLLDSLLEHPTTLDKIVGVDISRKGLTRAAKCRAFIRSLARSP* >Brasy6G196000.1.p pacid=40048882 transcript=Brasy6G196000.1 locus=Brasy6G196000 ID=Brasy6G196000.1.v1.1 annot-version=v1.1 MAMRHRARSSPSSPLTPSASMRAKKIFGFSVSLILINLASIMERADENLLPAVYKEVSATFNVGPTDLGYLTFLMNFLKSIASPLAGVLALHYDRPAVLAIGTVFWALSTGAVGVSQHFGQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATLMAGKDYWGLPGWRVAFIMVALLSLIIGILVYLYATDPRRIPGNHLLDDDDYERLHLSSKDVLPPPSIWWDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNRSSAALNSLFAIGCASGAFLGGVIADRLSRHYPDSARVMCAQFSAFMGIPFSWILLTVIPQSTDYWLAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNIANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKHDRDNAKLSSFKDQELI* >Brasy6G146200.1.p pacid=40048883 transcript=Brasy6G146200.1 locus=Brasy6G146200 ID=Brasy6G146200.1.v1.1 annot-version=v1.1 MQQSMFSRGICPSIGISLLEEEEDEGSWAWRLEIADTRSTMAMPRTKTKRREKRSEPAARASAATAEGAASRPAGDLLPRRPAGDLLPPPHRDAGVRELLRVREMWRELWREGSGRPAARERPPSHSTPPLLQAVWLPSPMTLPRDATFGVAAISDAGGKPSGGGRRRPANAAAGRRIQTRRSTAVSEISLARVAGPDGFRGTQGALPDWIEHRAAPPDARKKAEGAVSQNLPRDAADGFAAWRVGPRRRRRCGEGRSASGGLIVVEDSGGGGSFSGKKDLWGGDLTRWRATWPDMWASFVRFGVKRSEPSA* >Brasy6G047900.1.p pacid=40048884 transcript=Brasy6G047900.1 locus=Brasy6G047900 ID=Brasy6G047900.1.v1.1 annot-version=v1.1 MEVDGEARPFLLFSKPKSNRRAEPQAQVQAQPKPAMPDPAPAPAAETDSGGSDSDSDSEPAPTVVTENGESCQADAALPASFADLGLSEWLVDVCSSLGMRRPTDVQRRCIPRALAGENVLGIAETGSGKTAAFALPILHRLGEDPFGVAALVVTPTRELAAQLAEQFRALGSPLGLRCLAAIGGFDSLAQAKGLSRRPHVVVATPGRIATLINNDPDLAKVFARTKFLVLDEADRVIDSNFEEDLKVIFDCLPKKRQTFLFSATMSENLRSLLELSGDNSYFFEAYEGFKTVETLKQNYIHIPPDGKELHLMYLLSKMKEDNIRSAIVFVSTCRTCQYLDFLLEELGRSAVSLHSHKAQSRRLSALHRFKSGQVPVLIATDVASRGLDIQTVDLVINYDIPRFPRDYIHRVGRTARATRGGLSISFVTQRDICLLHEVEDDVGKRFDAYECDDKEVTKDITKVFKARRLANMRMADEGHEDKVQDRKDQKKRDQARKRKHKE* >Brasy6G149900.1.p pacid=40048885 transcript=Brasy6G149900.1 locus=Brasy6G149900 ID=Brasy6G149900.1.v1.1 annot-version=v1.1 MSSSGVPIPAKFGGGVAFPSALQVQEFDCFVVVDFEATCKKDARIYPQEIIEFPSVLVDGATGRLASAFRRYVRPRHRPVLTDFCRELTGIRQEDVDGGVDLAEALRLHDAWLEETMVTTKKGGRFAVVTWGDWDCRSMLDKECRFKGLTKPAYFDRWINLRVPFQAAFGGSGRVTLQEAVRAAGLEWEGRLHCGLDDAINTAYLLMEVMQQGVQLAITGSLALPPPSKQQLVLPPPPQPQPQHVLPPPPQPQLQQVLRPQPHLHHGGAMVMGCCYCAVLARRGVVVASGPMQGQCFYGCGNLTPAMRPMCPYFMWADC* >Brasy6G265300.1.p pacid=40048886 transcript=Brasy6G265300.1 locus=Brasy6G265300 ID=Brasy6G265300.1.v1.1 annot-version=v1.1 MAGGAMVNSAGGKDYPGGLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMNPFLKKFFPEVYEKKQSASGTNQYCKYDNQLLQTFTSSLYLAALVASVFAATVTRVMGRKWSMFAGGLTFLVGAALNGAAENIAMLIIGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAALINYGTSKIKAGYGWRVSLALAAVPAGIITLGSLFLPDTPNSLIERGHPEPARAMLARIRGADVDISAEYADLVAASEESRLVKHPWRDILERKYRAQLTMAVAIPFFQQLTGINVIMFYAPVLFETLGFKGDAALMSAVITGLVNVFATLVSVFTVDRLGRRKLFLQGGSQMLLSQLVVGTLIAVRFGTSGVGDMPKGYAAAVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHLKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKGHWFWKRFIADEDVHVPAAAAKAAATA* >Brasy6G007600.1.p pacid=40048887 transcript=Brasy6G007600.1 locus=Brasy6G007600 ID=Brasy6G007600.1.v1.1 annot-version=v1.1 MLASLTILIMAAAAGLVSAAAMLPSSLEEQAGALLVWKATLNNQSQLALHSWRNKSSSPCNWHGIRCGARTMRQGRLQPLITGVSLRRMRLRGTLESLDFSALRSLRSLDLSNNELVGSIPSSIEILVKLRALHLQGNKIRGSIPPALANLVKLRFLVLSDNQVSGGIPSQIGKMSQLVELNFSCNHLVGPIPPEIGHLKHLSRLDLSKNNLSDSIPTNVGDLTKLTILYLDQNQLSGYVPIGLGYLMNLESLALSKNLITGPIPTNLCNLTNLVSLYIWDNRLSGNIPQELGDLVNIEYLEISDNTLTGPIPNSLGNLTKLTWLFLHRNQLSGDLPRELGYLPNLETVMLHTNKLTGSIPSIFGNLTKLINLDLYDNQLSGGIPWELGYLVNLEEMALENNTLTDPIPYSLGNLTKLTKLYLYNNQICGPIPHELGYLIKLEEMALQNNTLTGPIPYILGNLTKLTTLYLDENQLSGSIPQEVSKLTSLVNFRLSNNNLSGALPSGLCPGGRLQNFFASRNNLIGPIPSGLLSCTSLVRLHVDENQLEGDISEM* >Brasy6G205300.1.p pacid=40048888 transcript=Brasy6G205300.1 locus=Brasy6G205300 ID=Brasy6G205300.1.v1.1 annot-version=v1.1 MGLRVLAMAAAMLLLLSFSAPLASAQSGGVATSCTASLVTSFTPCLGYITNSSNGSGSSPTADCCQSLAAVVSASTSCACLILTGNVPLGLPINRTLAVTLPKACKSKSVPLQCKDTAAQLPAPGPVAVSPAMPPLPPMTPEAPEAPAPPAGTTVTMAPSNQSQGQTRPQVVPSSGWRSASAGVSVVLVAAVGSMLV* >Brasy6G194200.1.p pacid=40048889 transcript=Brasy6G194200.1 locus=Brasy6G194200 ID=Brasy6G194200.1.v1.1 annot-version=v1.1 MCAAAIPPSARSPALLLNEPPPLPPPSHDAVGEVPQQEEEEAPSPAPRRSRIQARAPSPSVHAQPRHQPLTAAAQPSPRQPPAPAAPCPAAHRTRDLGPPPRCLLHAQNRLRLLYSGASPASSPPVPSSSTYTRCVCHFQVFVDPASQPILFLEGRPELSLIRQRSLAKRAYNEIINMTWIYHLHCNQLFL* >Brasy6G226000.1.p pacid=40048890 transcript=Brasy6G226000.1 locus=Brasy6G226000 ID=Brasy6G226000.1.v1.1 annot-version=v1.1 MSSGGGNNPSPAGHGVFGSSGAGGFGYGVGVSIGILLLLAIIASAAFYFCCARAEPTPAANTGIGTGAPRGRDEEAGGGVDEATLEAYPAMAYGELLKKKQRQEEERQEACCPVCLESYGDGEVVRELPECGHVFHRGCVDPWLRRRATCPVCRTSPLPSPLAEVTPLALARPPSS* >Brasy6G263400.1.p pacid=40048891 transcript=Brasy6G263400.1 locus=Brasy6G263400 ID=Brasy6G263400.1.v1.1 annot-version=v1.1 MASEVAAALVPDGVLSVRVLRGVNLVRRDADGSDPYVVLHLDAQKLKTGVVRNTVNPIWNEDLTLAVKDPSTPIKLEVYDKDRMSKDDAMGWAEVELEPLLQMARMDLEDIKSGTVVRTVRPHAKSCLADESQIVWEQGQVLQDVLLRLRDVDTGIVQLQLRWVKIPAAAA* >Brasy6G261100.1.p pacid=40048892 transcript=Brasy6G261100.1 locus=Brasy6G261100 ID=Brasy6G261100.1.v1.1 annot-version=v1.1 MERKVSPEEDWISESEESDFDELGDHSWTPAEELRATQRQMKNKKALVANSSRMNKGAAELYTEGERNATQLKMKRVRPCKIRAPDEAEAGMSVKVAEGSSSPPRMINHLGKSLGWSRKALATRDRLALDGVSPALSPGGAIKNMTQDSAQMMETGEEAPNVAGDDESEAQPIDNERTAAPIVKFRFDLNLPPE* >Brasy6G132100.1.p pacid=40048893 transcript=Brasy6G132100.1 locus=Brasy6G132100 ID=Brasy6G132100.1.v1.1 annot-version=v1.1 MGVGSITCFTRCGDRFGLWKARRVTQGGLWQSNETKELGDGWRKDGSRGAVVELAVGQSRGAAGGRAGRGIAASGGAGEGRGSGGRSSGWGRAGERPAAVQEGELRRPGGAGDGRGSGGRGAHAARGRGMQSGDAAEEESGGTDQPLLLEEARGSGGQWMGGAAAASDSSERRRLGSGDAVRSEGAGGLSEDDGGARAAVTAKMRAATTSEVGSEKGKMEKKNDAREE* >Brasy6G177700.1.p pacid=40048894 transcript=Brasy6G177700.1 locus=Brasy6G177700 ID=Brasy6G177700.1.v1.1 annot-version=v1.1 MEPKESCQVSPTCCTRPKRYYHCTPIPEEANDQETHRDHRASSSSSIMASGSVPDIWKWITSLPKQWREEKSYSLQICNSPATNESLNLVVSRKSEEAHSIILCFSICGETHDPVSLWSSHYSRLKPANNNTTDVAVQFLLEIICGVLKYGPYSSSRSIFRLPDVPMSEDSGRILSLSALTLALLVCVYEAPSPLRREFIGVISPQLARDEMRCAARALMLALGSNLEEQWMRSLNLGVTNWAMEALRSGGGGPQAPARFAVFSYALSASRLWKVQVYCPVVAMAMEQSSHHQQQAKDERLLFSLNYQQLESVIQLVCRVAFKENWIDVSVNVDNIRCDMIQLVSETLMARQGYGSDEKHFPSRISLQLTPLQQSDILSLSVSRSTENPVQEVATDKGVDTTLGAAPAASVGISVSAHETVTRSVRPWKFEHSVQGNTASLSWFLHGGGGGGREVFSSEPHRLDLLCQPRSWFRNRYTSPSRPFTRGGGVIFAGDEYGEGVCWRMAAAAAGKTLEWEIKGRVWVTYWPNKKRTLHTETRRLQFRELLRLTL* >Brasy6G125500.1.p pacid=40048895 transcript=Brasy6G125500.1 locus=Brasy6G125500 ID=Brasy6G125500.1.v1.1 annot-version=v1.1 MEERARPAARKRRRRTVEKLRWQAGRRRENGREAGNGGGRSRPEAALGGEAAAALGGGGGSGGGSGRETGGRRDRSPRSAAAAASPSQRQRSWKRRREIETGGSAGRGGGGGAGRGRRQRRRIWEGDWRQERSIPQIRRRCRLPLPAAAAADLGGETGGSGGRLAGDRDRRQRWEGRRRRRWEGEAAAAADLGDRSLVKLCET* >Brasy6G026100.1.p pacid=40048896 transcript=Brasy6G026100.1 locus=Brasy6G026100 ID=Brasy6G026100.1.v1.1 annot-version=v1.1 MLHLLPLSFSAQCRPPRRRFVLDGASSQRTGLGLGALGGSIRVRRRAIRGGTDVQPDTPSSSSHRDGDEPPPHAEAGDGDDGSGALLASVRQLLLLDDTAAGKEEPGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAIMPMSAEFDWNPQTVGLIQSSFFWGYLLTQIAGGIWADKVGGKTVLGFGVIWWSVATALTPIAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHKFGWPSVFYSFGSLGTVWFATWVTKAYSTPLEDPGISAEEKKLITTQTTCGEPVTTIPWRLILSKPPVWALIVCHFCHNWGTFILLTWMPTYYNQVLKFNLTESSLFCVLPWLTMAISANVGGWIADTLVSRGTSVTTVRKIMQSVGFLGPAFFLTQLSHIDSPALAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKLSVVLYLIGTVVWNVFSTGEKIID* >Brasy6G026100.2.p pacid=40048897 transcript=Brasy6G026100.2 locus=Brasy6G026100 ID=Brasy6G026100.2.v1.1 annot-version=v1.1 MLHLLPLSFSAQCRPPRRRFVLDGASSQRTGLGLGALGGSIRVRRRAIRGGTDVQPDTPSSSSHRDGDEPPPHAEAGDGDDGSGALLASVRQLLLLDDTAAGKEEPGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAIMPMSAEFDWNPQTVGLIQSSFFWGYLLTQIAGGIWADKVGGKTVLGFGVIWWSVATALTPIAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHKFGWPSVFYSFGSLGTVWFATWVTKAYSTPLEDPGISAEEKKLITTQTTCGEPVTTIPWRLILSKPPVWALIVCHFCHNWGTFILLTWMPTYYNQVLKFNLTESSLFCVLPWLTMAISANVGGWIADTLVSRGTSVTTVRKGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKLSVVLYLIGTVVWNVFSTGEKIID* >Brasy6G091300.1.p pacid=40048898 transcript=Brasy6G091300.1 locus=Brasy6G091300 ID=Brasy6G091300.1.v1.1 annot-version=v1.1 MVFSSAWLAAAARVPAELCQGPAGRRRRRLRADEVLRALFTPPVQGLERLADCLFVFFCLPLPELDQYYVSSGRGGWMPRRPPGAAVLYSYRRSLSVDESSSGSSSSSWVSSSEEGLYYSDD* >Brasy6G153400.1.p pacid=40048899 transcript=Brasy6G153400.1 locus=Brasy6G153400 ID=Brasy6G153400.1.v1.1 annot-version=v1.1 MVLDTTRQLEATAIAGVHHTATTSLPASLRRSHSRQWARSSSACSSANRSFAAASLRCRSVHAGRAASARQLAPADVAEPVVGGRVAGAKASAENLPAPRWPRSVGSTSLPNTTTCFPPTPAAQSTLRGDGLSPVVVHVVELRVLGAPNGGGNGLIFNPWKSGISKLVHLPSLITRRAIKPVHNFELLVFTGSTQPVFKHPYLTRLAYVIHTPHSTHVHVLISGWLHKSILVLVHRCLKRKSFAISLSTYLK* >Brasy6G028600.1.p pacid=40048900 transcript=Brasy6G028600.1 locus=Brasy6G028600 ID=Brasy6G028600.1.v1.1 annot-version=v1.1 MASMDMPPSSYGVLNRQVIDGEAGAWLWAQAAHRLWATMPEHFWVYVYKVRKCPQPGSHDWTCCPYAHKGERARRRDPQRYNYLAVSCPDHPGSNGGGFKGSCRRGLRCKYAHGVFELWLHPARFRTRMCEAGARCPRRICFFAHFRSQLREAGNSACSDSVSFLPPRILQRSAVPASYSPAPSAVSALAAAARDHDMGNQFGLQDVANRLQLLSLRSASNGGGGMISAFSAAAAAAPVPELQAVVAPPPPFLGGRGRGISVFSAAAPTPELLMVAPREAGGSARACGGDDEQYPHVDLINDLVD* >Brasy6G209400.1.p pacid=40048901 transcript=Brasy6G209400.1 locus=Brasy6G209400 ID=Brasy6G209400.1.v1.1 annot-version=v1.1 MAATEDISSYVLVLRDLGEEGGTGYALQLAPLQDRRARPTSPSPGSGGRRPSPLSPASGDPTRVAATMDGVAAGALGDTGGGGGSGSGTRATPASPASGGPASAAVTVDDVAHGDTGVGGGGTRATPSSSASGGPLSVPLTVDGVAHGVQGGIGSGGGDGIVEVDEMAAAADRIPGLIRTFLPNRRQIIKQQEKVLIPIFRAPKKNKDDIWDRRQVKYVVALVGPLGFIFAATAYLPPAVLLAFATAWGAGSVGFPMGLFGRYRSEQCFGRHMARLLLMSFSLLVLYVMYLSMVPVSTNVPSSTGVAPASPPGHQAPGLIWTILYGAIGIAVMVGHIISWITGCRTGSDQDEDDSKSTEKAMDTSDLVQRFRDSL* >Brasy6G177500.1.p pacid=40048902 transcript=Brasy6G177500.1 locus=Brasy6G177500 ID=Brasy6G177500.1.v1.1 annot-version=v1.1 MATTELTANAGCASCRLARECLHLRYRRSGFLGVFDVKIAAAIPQAQVNRGAWGSVVPDSADESSDGSMECGARNGSRGGGDSGERRQRWGGGFRGKAAEVGVGRAEELRGLGKAAAGGSPGAEGSAWGGEAWIGEENASRARGEERSRGKRVGKKALGWPGT* >Brasy6G036600.1.p pacid=40048903 transcript=Brasy6G036600.1 locus=Brasy6G036600 ID=Brasy6G036600.1.v1.1 annot-version=v1.1 MKSTELVFLLLLVQCLALSSCTASAANKAPRRARQGDYLNRLLRRSPLSEPSVAGAAMEDTAVSRTEADRRATSIGSKEADRVERLPGQPAAAGDGGSEFAQYAGYVTVDAAAGRALFYYLAEAVGAGNGSSGSKPLLLWLNGGPGCSSLGYGAIEELGPFRVMSDGKTLYRNPYSWNHGNGDNKTAEDAYLFLANWMERFPEYKGRDFYIAGESYAGHYVPQLAHQILRRKPPSIDLKGIMIGNALLDEWTDNKGMYDFYWTHALISDGTADAIHNNCNFTRPNDSDSFTVLANSSSNPCDEAIHDADEELRHINIYNIYAPICQSRIESLDPCTEHYVEAYLNNPDVQKALHANVTRLDHPWIACSDHLWRNWTDYDSTVLPIIRELMKNNIRVWVYCGDIDGNVPVTSTRYSLKQLQLPVAEKWRPWFSSTKGTGEVGGYVVQYKGDLSFVTVRGAGHEVPSYQPQRALVLVQHFLAGKTLPDCKNCGQD* >Brasy6G236300.1.p pacid=40048904 transcript=Brasy6G236300.1 locus=Brasy6G236300 ID=Brasy6G236300.1.v1.1 annot-version=v1.1 MAAEVGDPAAAVAAAAAGESRKLFVGGIPSSAQEGELRGHFARFGAVRSVVVMRDRETGHGRGFGFVEFEGEDAAAKALGDGDKPKHFICGREVDVRRARVRPPRNFGEQPVHHHQPEQVHDQGHQDNQPAGNGVVDGDDSASYSSKKVFVGGLRDNITEQEFRAYFEAFGTVTDVVVIYDSVTSRSRGFGFVTFDSEEAVRKVMGKSFHDLKGTRVEAKIAIPKDAHYYRNGRGRGSRPFGMGGPASYEGLFRPYNDRHGFYNGFVPQPVPAPPYYPGLYVGMGGYPYANAYPNQGVMPNVPSMVARRPVYSPYPPMYPGYGFPYRTGYAGPTSFQHGVNGGSGYNNDQASLDVQELDSASTVATKFEYMKLGSQ* >Brasy6G048500.1.p pacid=40048905 transcript=Brasy6G048500.1 locus=Brasy6G048500 ID=Brasy6G048500.1.v1.1 annot-version=v1.1 MSGPEEAAGSGSGSGSGGGGVGEARRRFDDKGLVARTSLILWHTHQNDAAAVRKLLEEDGALVNARDYDSRTPLHVAALHGWQEVAECLVANGADVNAQDRWQNTPLADAEGAKKHAMIDLLKKHGGLTYGKTGSHFEAKTIPPPLTNKADWEINPLELDFTKEIIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKEKGSLSPVTAVNFALDIARGMAYLHNEPNVIIHRDLKPRNILLVNTAANHLKVGDFGLSKIIKSQHANDVYKMTGETGSYRYMAPEVFKHRKYDRKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVADGHRPAFRSKGHISELKDLTELCWAADINLRPSFLEILKRLEKIKETLSSHDHHWHLFSQ* >Brasy6G263100.1.p pacid=40048906 transcript=Brasy6G263100.1 locus=Brasy6G263100 ID=Brasy6G263100.1.v1.1 annot-version=v1.1 MMMGRKALDYEELNENVKKVQYAVRGELYLRASELQKEGKRIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSMAPGGLGAYSDSRGIPGVRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNAIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDIVTTRQSVAAARSKGMTVRAMVIINPGNPTGQCLSEANIRELLNFCYQENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPISREVQLISFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASIALSPNVPGQIFMGLMMNPPKPGDISYLKFATESKSILDSLRRRAQIMTDGFNSCQNVVCNFTEGAMYSFPQIRLPKRAIDTAKSAGKEPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDSFMEQYQDYSRL* >Brasy6G171700.1.p pacid=40048907 transcript=Brasy6G171700.1 locus=Brasy6G171700 ID=Brasy6G171700.1.v1.1 annot-version=v1.1 MEASAGLVAGSHNRNELVVIRRDGGAGAGGAARMAEAPACQICGDDVGPGPDGEPFVACNECAFPVCRACYEYERREGSQACPQCKTRFKRIKGCARVAGDEEEEGVDDLEGEFGLDGREDDPQYIAESMLHAQMSYGRGGDPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKHKQERMQQLRSEGGDWDGDGDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFEKEGKPSQLAPIDFFVSTVDPSKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFSKKFNIEPRAPEWYFQQKIDYLKDKVAANFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCFWCTDRNKKKTTKAKPEKKKRLFFKRAENQSPAYALGEIEEGIPGAENDKAGIVNQEKLEKKFGQSSVFAASTLLENGGTLKSTTPASLLKEAIHVIGCGYEDKTAWGKEVGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNAASLWFMSLFICIFTTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAIFQGLLKVLAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLMLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPVLEQCGLDCN* >Brasy6G149400.1.p pacid=40048908 transcript=Brasy6G149400.1 locus=Brasy6G149400 ID=Brasy6G149400.1.v1.1 annot-version=v1.1 MESVELEEVQNCVVKQRSNPQRQRNKVYVGCGAGFGGDRPMAALKLLERVKELNYIVLECLAERTLADRYQAMVSGGKGYDPRVKEWLSVLLPLALDREICIITNMGAIDPPGAQKEVLDLASNLGLEITVAVAYESSSSSPGSCVLSDESIGAGQGRSTYLGAASIVHCLENYKPHVVVTSRVADAALFLAPMVYELGWNWNDFEKLAQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLLDLSLPYAEVSYEGEVFVGKANDSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFSDVQFHQISKDKVHCIGAKPSNPCQPEKLLQLLPTEIGWKGWGEISYGGQECLRRTQAAEYLVRSWMDERYPSIEEKIVSYIMGYDSLKTIGGNKGSYCAKEVIDARLRMDGLFEREEHADGFVEEFTALYTNGPAGGGGISTGRKKEMILQKLLIDRENIFWQANVKNSVIPTSQNQSTDAEKGRVNILHDNRYAGSYTRGIQHFNTNLEEPPSPVSAPSGSEIALYHVAHGRAGDKGNDLNLSIIPHFPRDIVRLRTLITPDWVKNAVLPLLDFSPFPNNRAIMRRDNLLEHVVVEIYDVPGISSLNIVVRNILDGGVNCSRRIDRHGKTLSDLILCQKVILPL* >Brasy6G203700.1.p pacid=40048909 transcript=Brasy6G203700.1 locus=Brasy6G203700 ID=Brasy6G203700.1.v1.1 annot-version=v1.1 MVAYCSARSLHARLLLAQAPCPRPCCLGLAHTLLLFPIRRAPSPPSAAAPDTRAAAAPRAALPHRQRLSPPPLSPSAAPHPSSNSPAAALPPLPLSSPRQRPTPPPTPLRQRLRTLACLLQQNVSIRCLLFGVPSPWAAGTALGAEPGDGGDAAVGGSGLSTGAAGTALGSDRGGAMGGVDGARPRLAHWHVAQGD* >Brasy6G132500.1.p pacid=40048910 transcript=Brasy6G132500.1 locus=Brasy6G132500 ID=Brasy6G132500.1.v1.1 annot-version=v1.1 MKETPEGTPICGYVGPGGDRLQTFFPEINDFCSFLANDGHLPGLDQVLPGHEEQSARSRFGSAHGAAPEVATKELEGVGGGDCSEVDPAENTSPASDLAGNKHPLSKAEGPVAWKKRVRVGSAPEERETNPNSPCALELSVRSYAERKSEVVVNPSVGTAFDSLEEAYCFYNLYSWEIGFGVKYAKSRLNVKRKKCMQEIVCGCAGKPMKENSRSTRCGCPAMIRLLRSSDNGWYICEHRDKHNHPLSTTCGDKLHWPSHRHIDKYTKELVKHLRENNVSLGKVYSIIGSYFGSMENIPFTKRSLKTLCGKISREHSDNDAVKTMDVFSKMLDADPEFKYIVQIDDDSRIKTLIWTSGRCCEKYACFGDVLTFDTTYRTNLYDMPFGLFVGVNNHFQSIILGGVLMRDEKVESFTWVFREFMRMIGGKDKHPRTILTDQARAMEVAIADVLPNTTHRWCKWHVLKKAKECLGALYGKHSDFRFEFHRLVSEQYTEEEFEKGWAAMIDKHGLQKQPYLTQIYEVRQKWAKPYFRNVFCAKMTSTQRSESANHMLKRYVPPGCAMHLFVKQYEKLQFDRDSEESFQEKRTALAGVVLKANLPIELHASKVYTRTMFEQFGLALYESGQYLLDELESGKLYLARHTRAAAKEKWCKVLFHVRVDRSSEEFDCECGYFEHAGMLCCHALKVMVHLGYESIPDPYVLKRWTKDARDILPPNLVRYQKDRGVPNCSSYRHSSLQLACLEVNALGDANVQCYEKTMRVMLKLKNDLLPMSKVKDGLGVEEREKNLLQVTMDAPAFLF* >Brasy6G074800.1.p pacid=40048911 transcript=Brasy6G074800.1 locus=Brasy6G074800 ID=Brasy6G074800.1.v1.1 annot-version=v1.1 MVSFDMSDRKKIGLGLTGFGVFFSFLGIIMLFDKGFLAMGNILFVSGVSLTIGLKSTVQFFTKPKNHKGSIAFGVGLFLVLIGWPILGMMAELYGIVALFSGFWPTAAVYLQKTPTFGWIFQHPFVTSLLTRFRGRRVPV* >Brasy6G150300.1.p pacid=40048912 transcript=Brasy6G150300.1 locus=Brasy6G150300 ID=Brasy6G150300.1.v1.1 annot-version=v1.1 MSAASRKRPAVADQEPRADGGSKKRPRYAFGSIYDYEKLEVLGEGAYGQVLMARDRRTGKKVAVKWVRGDGDGGHGPPDFRALTREAGLHAACRGHPSIVEILDVVGDAKTGDMFLVMELVAGGRSLREEIWRPLSEDVTRVMMRQLVDAARKIHGAGIIHRDIKPENVLVGMFGGLKVCDFGAATRQKPAGVPHEDSRVGTMIYTSPEQLAGNRNYGPAVDMWALGCVMAELLGGETLFEAETEKGMLAEVSKLREEIRSTGKLDREWFAELSEAGREVVLGLLAFCPEERLTAAQVLEHRWFSKSSVSA* >Brasy6G126800.1.p pacid=40048913 transcript=Brasy6G126800.1 locus=Brasy6G126800 ID=Brasy6G126800.1.v1.1 annot-version=v1.1 MVFQFYVSPIPMFLDSCDPNEESKKANHSCRDQSAPPAASGSTTSSNTGSISPSSIAGEELKLAAQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSFPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGAQKTAQLAHACLSRDPKVRPLMSQVVEILKPLPNLKDMAQPKW* >Brasy6G226500.1.p pacid=40048914 transcript=Brasy6G226500.1 locus=Brasy6G226500 ID=Brasy6G226500.1.v1.1 annot-version=v1.1 MDVLCSILSRLPMEEAVKTSILSCQWKYIWCHQTSLKFDSKTIMPRVAWSTREAVRQEFIKRGLTKINIRFDLDDRHAAYVDRWVNLAIASKTKVLTLELWLDSPAIVYRLRVSDPERMEPYNFPFQLFDERNGSHLQFLHLSTVSLKLPTNFKGFISLKKLYLSGVSITDEDLGHFVSKCSFLEFLGVLFCTKLETLTIPHSSNQLKHLQIRCCPLLHNVQLNYRLKMLEYKGPVTYLVSDRTSSLTDACIKLLDVRTSLKHLFAELSGSTCRPKIVTTKCRDLECSVCVCRGLFHPEAPHVFLVFDMTVLVSSESGTDVLDLGHLLKAAPSMEKLELHTCMRRKHKPYCRDDGDLRILPAHPHSHHKLVHITGFYGHKDQLELADHIVRNCVVLEEMMVDPRVAIEKLSTPEDDYEESRNLDGLRVASEFLHDADRRGALTVSLSLPRPKVTMIWI* >Brasy6G147900.1.p pacid=40048915 transcript=Brasy6G147900.1 locus=Brasy6G147900 ID=Brasy6G147900.1.v1.1 annot-version=v1.1 MAMLRYVAGLALRRPATSPAAVVPRSFGSPGCSGLALLQRPASPPAAVAAAAAAASRFFSNGRSSNTKSTLDKRTEETKEALYPRKMHTNDSAAKIRVVMKSFNNQKNNLVGLAPYTQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKVFVVKKAETHELAKKFFWLKRMRIMGAQYEIHISFKTRLDKKIGCNKGGGLLRQ* >Brasy6G149300.1.p pacid=40048916 transcript=Brasy6G149300.1 locus=Brasy6G149300 ID=Brasy6G149300.1.v1.1 annot-version=v1.1 MAIDTNPELLEETIEQGRSPGDLRIPGGQKLKSTKLQLAEEETKQAWRANEALKEEVDDIQHDTQLRIDALTEELSQLKNLIVGNLTSQVIPTERDDSVGESVAVGEHIVYNVEQEEQIQREMMEAQEVFEKKKALADILKRKKEAAIREQKEADQLQAGTRALGEERGRIEAEQGSSSPSGEKEQNKAAAALQQKKNHELEPSKNKEAAVKQNKAAAAHQQKKKNPTVVTQPNYLQI* >Brasy6G027900.1.p pacid=40048917 transcript=Brasy6G027900.1 locus=Brasy6G027900 ID=Brasy6G027900.1.v1.1 annot-version=v1.1 MHAADGVHFDEGIVGEILVRLPAGSVLRCRAVCTAWRRVAESPEFLAAHARRRPLEILQYTRTEIWPDGVRREAARGSGEDFDVDALAVFSGAGDRRRRLARYPVAEKNPVYMSMPYSPLLASCDGLLLLGHGRGHEQRPYASYLVCNPSTRQWTELPRLTAGFAGGGEQPGQDDPARLDLRESGFYRHAPSGEYRLLCHVTPYSGQAPYYCVLSAGADEPRRLATTIAHGTVNIYGDLMMPAVLGGRLHWLRHMEAGSTDSMVAFDTAAETFSRMPPPPVACKKNSRLLVADGGNTLMAAELGDLAFDLWALEGYTVIGGEAEEGDAMRWVLRHRVEVPWRAGWPSVVCGTEDGSGDVVLGSGYGVVAYNVRSGAARRIVIDDVRESKEERLLLSRRVLRESLVRHGFFDARPHPGLPLFHFF* >Brasy6G162300.1.p pacid=40048918 transcript=Brasy6G162300.1 locus=Brasy6G162300 ID=Brasy6G162300.1.v1.1 annot-version=v1.1 MSLACCVPVVECVYCLACARWAWQRCLHTTGYDSHTWGLASSGDFAPVPRLCRLILAVYEDDLDNPQWAPPGGYGIDPRWVVRPPQHTHHQHERAAPTYLLYVDHRHADVVLAVRGMDMARESDYAVLLDNRRGQRRFDGGFVHNGLLKAAEWVFDAESDAIRDLLERNPGYTLTFAGHSLGSGVVALLALLAVQRRDALGGVERKRIRCFAMAPPRCMSLNLAIRYADVINAVILQDDFLPRTDIPLEDIIKSLFCLPCLLCGNCLVDTCIPESVMLRDPRRLYAPGRLYHIVERKPFRCGRYPPTVRTAVPVDGRFEHIVLSCNAISDHAIIWIEREAQRAVDLMLESERTMKAPENQRMDAETTLTRDHAEEQQAALRRAVALGIADVNLPSTYGTFSENPAPEEDSAPPVLLESGRSRLMVWDEWVARIFEKDESGQMVPRR* >Brasy6G027500.1.p pacid=40048919 transcript=Brasy6G027500.1 locus=Brasy6G027500 ID=Brasy6G027500.1.v1.1 annot-version=v1.1 MSGAMDKPCTLLVHFDKGSAAMANEIKANLEGSDVAAKVEAMKRAVMLLLNGESLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKRDATGRALPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISSIYRLPHGDQLIPDAPELVERALGSEKDASARRNAFLMLCLCGQERAVVYLFSNTERVTEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSTAVVYECAGALVSLSSAPTAVRAASNTYCQLLSSQSDNNVRLILLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDNNVAAAVDVVLFVREIIETNPKLRVSMIQRLVDTFYQIRASRVCSIALWILGEYSLSLSEIESAIATIKQCLGDLPFFTVSEEGETTDSTKPAQPMVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVTLGSSASTMNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPAKVEANKACTGALLILTSILQLGLSSYLPQPIDNDSYDRILLCVRLLCNTGDDVRKIWLHSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKETDDANRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHVIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQVDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS* >Brasy6G121000.1.p pacid=40048920 transcript=Brasy6G121000.1 locus=Brasy6G121000 ID=Brasy6G121000.1.v1.1 annot-version=v1.1 MPPPFVPRAGVVLLQRVAAGLAPACRCPRSGHRRPRLARRRRPPQAPAPPSAQFTAAAPQPLQRLPPPSSGAPAPSSSGTAAALSAGRCRAALFRRPPRPSSSAPTASSSGAAAAVLQRAEVALRATRRHGRPPVCRSSLRATRRRGPRSCTRRPPARRSPSRSLQRPPPSSARAGAVVAAAVTNPSQPLSFFKHGLDYVCTLPSNYSILILRSFKLEY* >Brasy6G205500.1.p pacid=40048921 transcript=Brasy6G205500.1 locus=Brasy6G205500 ID=Brasy6G205500.1.v1.1 annot-version=v1.1 MAPQRPPLAPELADDVVEEILLRLPPHEPGSLVRASAVSKPRLELFSDPAFRRRYRDFHRTPPRLGFFTDVIDQAQCVPTKDSWLRNTDVRDFDFCDCRHGRVLLYDDDAAGFSVWDSMTGTREILPQRDFDMPDFFGLAVLCAVDGCDHRACHEGPFVVVVLEGDSSDVMAAHVYTSATDAYWEDMGRQASSVLVGDALCFLYNVYITVEPHRTIILKYDLGHNCMSVIHAPVVETPNDTALVAGENDMLQLLHLRGHTLYKWSMDVDPAGLVAWTERSVFNLNFGRDLIANPCFNGSLEGTNVVYVVTDCHSFYEIDLKSLWSRKLFEREICGSCFPFVSFYNAPGNT* >Brasy6G124700.1.p pacid=40048922 transcript=Brasy6G124700.1 locus=Brasy6G124700 ID=Brasy6G124700.1.v1.1 annot-version=v1.1 MEKGNAEGICQLLDAGADPNFRDSYGRTAMHISACKGHAKVVELLLDHEAEAVEDLQLGSTPLADAMHYQNHDVIKILEKHGSKNKVAPMHVDSDRDVPEYEIDPTSLTSLIAKIYLRVHFGKQHGGAFLLLRSWMVMSLMTRTKLRHITHDLLLRPSPAPVVDLCAPAAEPLCCSVARRFSLRRRPRRRLPLE* >Brasy6G245300.1.p pacid=40048923 transcript=Brasy6G245300.1 locus=Brasy6G245300 ID=Brasy6G245300.1.v1.1 annot-version=v1.1 MVVAWRRMEAGGGGELMELLWQDGAVVAQAQRRCSQSGAGASGVTGEGDAAVWLAPDGGGGGRDLYSQLWRSIADADGAGAFVAGSSSRTGEAAAGSSFCGSNAVAPPPPPLLPSPEEEEEEPGASSAAGSHSLLPKRGRDELDDSRGEDADDCEAVDETRPSRRPAAKRRARAAEVHNQSERRRRDRINEKMKALQELVPHCNKSDKASILDEAIEYLKSLQLQVQIMWMTTGMAPMMFPAAHQLMPPMAMGLNPACMPAAQSLSQLQRVAPFMNNPLPNQMPQVQPPATDSPNVSNQMPNNGVCEPTNPFLHPNDTLAAATQVQGMFSYGSQRAQQNEIHELMASTAIPASGVCPPSSADRTGT* >Brasy6G206100.1.p pacid=40048924 transcript=Brasy6G206100.1 locus=Brasy6G206100 ID=Brasy6G206100.1.v1.1 annot-version=v1.1 MGLTLLLQTRVAGRWGRKELSGSAGGRGWVAAGRRQRKLGELPLRAGSGQARGRGGVAVDRPTRRRSPAAVPRERARSLAEIGRGRIQEGSRGRRRGLRGRRRGRTLGAAASPVRASCGAGGGWGAPGRG* >Brasy6G128200.1.p pacid=40048925 transcript=Brasy6G128200.1 locus=Brasy6G128200 ID=Brasy6G128200.1.v1.1 annot-version=v1.1 MCTRPCQGQLPTPIQLRARRSIAAAQIHLLFLPRSLPQAGTMLLLRAGPTAYAKVDKVDAEEARHWKAQFLIHKLLEEERPTRRRPSAAAAFARGGACRVVARAARIGVRLKRLRLAVRSFRLRVCRSVHRHLRNIARLGSPRS* >Brasy6G070200.1.p pacid=40048926 transcript=Brasy6G070200.1 locus=Brasy6G070200 ID=Brasy6G070200.1.v1.1 annot-version=v1.1 MLGLEVAWSSAASEPNMKMMNCKFFTRPTVYRDVRKRVLNVITEE* >Brasy6G182500.1.p pacid=40048927 transcript=Brasy6G182500.1 locus=Brasy6G182500 ID=Brasy6G182500.1.v1.1 annot-version=v1.1 MMASNKKLLSLALLLALVLLAATAAEGSDYGEYCRVGKKIPYNPLPGCRGYITRWCAVRNDPNQQVPDQLKRRCCSEISDLPCDALGILVNGVITEEGVKVGRMEAVPGCDREALHSMANGLLEYPQGCSLGNPCGIFGGGMD* >Brasy6G262700.1.p pacid=40048928 transcript=Brasy6G262700.1 locus=Brasy6G262700 ID=Brasy6G262700.1.v1.1 annot-version=v1.1 MHPKTPHLFFFLAALAAAAAGAAAATTLTATPAKLTESDRSITIRWSNLPDPDALDHVAIYSPPSSADRDFLGYLFLNGSSSWRSGRGELSLPRLPSLRAPYQFRLFRWPAKEYSYHHVDHDGNPLPHGRHRVAASADVSIYAAATRPEQVHLAFADGVDEMRVMFVCGDQGKRAVRYGLEKEKEKDSWVEVGTEVRTYEQKHMCDSPANDSVGWRHPGFVFDGLMKGLQPGRRYFYKVGSDSGGWSKTYSFISRNSEANETNAFLFGDMGTYVPYNTYIRTQDESLATVKWILRDIEALGDKSAFISHIGDISYARGYSWVWDHFFSQIEPIAASTPYHVCIGNHEYDWPSQPWKPSWATYGTDGGGECGIPYSVKFRMPGNSILPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFIQGSNQHNFLKADLEKVNRSRTPFVVFQGHRPMYTSSNEGRDAAMRQQMIQHLEPLLVTYNVTLALWGHVHRYERFCPMKNYQCLNMSSSFVYPGAPVHVVIGMGGQDWQPIWQPREDHPDVPIYPQPGSSMYRGGEFGYTRLVATREKLTLIYVGNHDGQVHDMVEIFSGETSTDASAANSVDGTKLSSGVSTKLKISPLYLEIGGSVMFALILGFAIGFLVRKKREAAQWTPVKNEES* >Brasy6G067000.1.p pacid=40048929 transcript=Brasy6G067000.1 locus=Brasy6G067000 ID=Brasy6G067000.1.v1.1 annot-version=v1.1 MAVAAASLLLALILGSTSNGAAAARPMTAPSPAPAPGPWPGLGMEAEKQPLQTSRPYNIAHRGSNGEFPEETAAAYLRAVDEGADFIEADVAATKDGHLVCFHDMTLDDTTDVAAHPEFAGRRRTLEVQWANVTGFFITDFTLAELKTLRTKQRWEFRDKSHDGVSPIITFDEFISIALNAKRVVGIYPEMKSPVFINQHVKWADGKKYEDKFIGTLKKHGYGGKYMTPAWKAKPVFIQSFAPTSLIYAAELTDSPKVFLIDDVTVRTEDTNQSYDEITSGQYLEYMKEYVVGIGPWKDTVVPPTKDNRLAAPTGLVAAAHARGLQVHPYTYRNENKFLRFNFRQDPYAEYDYWLNVIGVDGLFTDFPASLRRFQQWTAKKRS* >Brasy6G054100.1.p pacid=40048930 transcript=Brasy6G054100.1 locus=Brasy6G054100 ID=Brasy6G054100.1.v1.1 annot-version=v1.1 MALARTLPLPHLAPAPASPGRRRGCIRVLPALSSQAGGPPAARGIACRSAKATATTTMTTTAAEVAAVGVGEELPEGYEQMMPTVEESQRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPAPLDVEYVEFDTVANLKEPLIAKAAERLLLSHGELRMQYDEFKKNPDISGWLEDAALFAAIDKSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQKQWQRVRTHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKFMEADGFTWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAEVAMVGSWRAGPRNAFFDALFKAVGRANIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSGNPHLPHNHELNQVVYTGTHDNDTVVGWWKSLPEEEKQIVLKYLPEASKTDVSWALISTALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRIPSSVSFDSLSPEAAKLKQLLAFYSRL* >Brasy6G054100.2.p pacid=40048931 transcript=Brasy6G054100.2 locus=Brasy6G054100 ID=Brasy6G054100.2.v1.1 annot-version=v1.1 MALARTLPLPHLAPAPASPGRRRGCIRVLPALSSQAGGPPAARGIACRSAKATATTTMTTTAAEVAAVGVGEELPEGYEQMMPTVEESQRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPAPLDVEYVEFDTVANLKEPLIAKAAERLLLSHGELRMQYDEFKKNPDISGWLEDAALFAAIDKSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQKQWQRVRTHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKFMEADGFTWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAEVAMVGSWRAGPRNAFFDALFKAVGRANIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSGNPHLPHNHELNQVVYTGTHDNDTVLKYLPEASKTDVSWALISTALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRIPSSVSFDSLSPEAAKLKQLLAFYSRL* >Brasy6G054100.3.p pacid=40048932 transcript=Brasy6G054100.3 locus=Brasy6G054100 ID=Brasy6G054100.3.v1.1 annot-version=v1.1 MEDELPAPLDVEYVEFDTVANLKEPLIAKAAERLLLSHGELRMQYDEFKKNPDISGWLEDAALFAAIDKSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQKQWQRVRTHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKFMEADGFTWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAEVAMVGSWRAGPRNAFFDALFKAVGRANIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSGNPHLPHNHELNQVVYTGTHDNDTVVGWWKSLPEEEKQIVLKYLPEASKTDVSWALISTALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRIPSSVSFDSLSPEAAKLKQLLAFYSRL* >Brasy6G054100.4.p pacid=40048933 transcript=Brasy6G054100.4 locus=Brasy6G054100 ID=Brasy6G054100.4.v1.1 annot-version=v1.1 MEDELPAPLDVEYVEFDTVANLKEPLIAKAAERLLLSHGELRMQYDEFKKNPDISGWLEDAALFAAIDKSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQKQWQRVRTHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKFMEADGFTWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAEVAMVGSWRAGPRNAFFDALFKAVGRANIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSGNPHLPHNHELNQVVYTGTHDNDTVLKYLPEASKTDVSWALISTALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRIPSSVSFDSLSPEAAKLKQLLAFYSRL* >Brasy6G148700.1.p pacid=40048934 transcript=Brasy6G148700.1 locus=Brasy6G148700 ID=Brasy6G148700.1.v1.1 annot-version=v1.1 MGPLLVHCPFSRSLWYEVLSWIRSTEQPPSTGADFVDWWRMVSISTHVEAPWWIWKRRSAIIFDNARPDLTILLATIKEEALLWAMAGARGLGEISPSPMGG* >Brasy6G094600.1.p pacid=40048935 transcript=Brasy6G094600.1 locus=Brasy6G094600 ID=Brasy6G094600.1.v1.1 annot-version=v1.1 MGVVSSTVPAVLLLTSFLLISKLPRCSPLSFSYNFSDSATFDHADITADGAATLPQQDDSPVDLTQNPDPTSEGKFDRAGRVSYGHPVPLWDKASGEVTSFTTSFSFVIKTSSSDDRPAKYAPGDGIAFFLSPYPSKMPHYDGGGYLGVFANRSTPATVAVEFDTFQNDWDPSIDHIGIDINSIKSAAVELLGRGELARSAEPVTAWVSYSNSTKLLAVALQLKRSSDGGMRRYELNSTVDLKSLLPPEVSIGFSAASGWSVDLHRVFTWSFNSTLAATKLVVTEESSGKNVTEEKTPAISVQQFPSKSMVRPLAGAAVGAVLIFVAVLGVLIWFIVVRRRRRSEEEELEMAAADSDGCSMDEEFENGTGPRRFRFGELAAATNNFSEDGKLGEGGFGEVYRGSLSDLGIDVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGTSVLTWPSRYEIGLGLGSALLYLHAGCDKCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLSPRDDQEANDNKYSSLLEWVWGLYGRGAVLEAADHRLTRGEFNQTQMECVLVVGLWCAHPDRSVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLAGDSSGAGASSSFTTGGTRSTTTSSSITVGPSSCCPESSVAVSMQQTAGM* >Brasy6G000200.1.p pacid=40048936 transcript=Brasy6G000200.1 locus=Brasy6G000200 ID=Brasy6G000200.1.v1.1 annot-version=v1.1 MPPLAAADAFLVLEFVAGNRLVPPPVFAALLASLPSVSPHTSPRLRRGIALRALDAVFSLSDADAAILLRKARAVLADPDLASCFPDRLTLPVARDDEAAVVDLKRLVDVEWADLPPSTLEIAADRIAGDGALHTWANADQDTRKKLRLLVGESMELDILGKLGQGPSKVGADEADLTRESGKSGQAQEGCSTPHQQDPAKTTTDAQKLVTSATIKAKDKATSSYVTGLVAPDNHKTHPVTGSKRGLMERNRTATVYEWDDRGDSDHERVPHKRQLPTYKKKSEPPFPHKSRKKWSEMQEKTLMEGVEKYGKGNWKEIKIAYPDVFEDRSTVDMKDKFRNMERR* >Brasy6G026700.1.p pacid=40048937 transcript=Brasy6G026700.1 locus=Brasy6G026700 ID=Brasy6G026700.1.v1.1 annot-version=v1.1 MDSLRVQGDEKMKEARQQLVSAVKILWLQEYKESKINILLNLFLPQSRRTYKYSLVQFILLNLLDVLIYSVDKLPSIFYVLKQRMHDCGYFMLKFIECWDGRVRCPFVQNLKLHMPLCNDCTEGLPGVCNLRVPVSFCWQSFAVYFCLRH* >Brasy6G091500.1.p pacid=40048938 transcript=Brasy6G091500.1 locus=Brasy6G091500 ID=Brasy6G091500.1.v1.1 annot-version=v1.1 MLRPRRRSRIEIGQFTPSHRQSISIPLPALYNLSGSNKPQACNDSCLVTQTHTLSLERAETHCSSRSISLIRSRLRSMASLVHHQAAPMPMPAAAAAACGDDDAFMPQSFGCFGRSLSRASSGRRLEYRALSVSGGESEETRSRQERSARAKLRWKAVAQEIIMASRRGGSGGGSGGAGARRSRKAAALPAFSYDSRSYALNFDQGAADE* >Brasy6G187700.1.p pacid=40048939 transcript=Brasy6G187700.1 locus=Brasy6G187700 ID=Brasy6G187700.1.v1.1 annot-version=v1.1 MDTTDGSALALSGGNPGSSTVMATFLVEGGEDLGVVAVEVVVVHVEDGGRQDPVDRRRTWQNMIGAGAEEDGDARRSGWQKQSFTYSVQHYIFIKIIYLFMYL* >Brasy6G067500.1.p pacid=40048940 transcript=Brasy6G067500.1 locus=Brasy6G067500 ID=Brasy6G067500.1.v1.1 annot-version=v1.1 MAAASSAAAGAAHPVAVAPRVGLLYDERMCAHATPDGKHHPENPGRLRAIWKKLGDEGVADRCVIMPAKEAKDKYIASVHSLNHVDLMKSISSKEYNYRREKIASQYNSIYFNEGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEPMGFCLFNNVAIAANYLLNERPDLGIKKILIVDWDVHHGNGTQKMFYNDSRVLFFSVHRFDHGAFYPSQGDASHCFIGEGDGQGYNINVPWNHGGCGDADYTAAWDHVLLPVAEAFDPDIILLSAGFDAARGDPLGGCDVTPDGYARLLRKLLGFAKGRMVMALEGGYNTVSIANSVLFCAKVLLGDRFRLKPPKDSPFDSTWNVIKEVRDELKMCWPVLRSKLPKNVPLRSSSSYIELYSSSGSECDVEDDNDRPDAISSVSIIQVDDDVVSERIAMLTLDEDNLATKTTTSCTTVERRATDSVQAQNDGFVVASTGIYDRSLTWRSALSRVYVWYASFGSNMWKPRFMCYIQGGKVDGMSIACFGSHDTSPPRGAMWKTVPHRLFFGRSSTPCWGAGGVAFLNPEINYNEKSWICMYKITLEQFNDVLFQENRLVKDNNEGGKAESPDSPLIGLSEIESLSSNKSLHLEPIKDSWYSNVLYLGQEDSIPILTMTCPSSDVVRHKAGELPLSPPSDTYSATLIRGLVEGKQLDGDEAASYINAAAARGL* >Brasy6G170000.1.p pacid=40048941 transcript=Brasy6G170000.1 locus=Brasy6G170000 ID=Brasy6G170000.1.v1.1 annot-version=v1.1 MFVTMIIWFCKDSYHQYLFLQISCIIIVPNRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy6G113700.1.p pacid=40048942 transcript=Brasy6G113700.1 locus=Brasy6G113700 ID=Brasy6G113700.1.v1.1 annot-version=v1.1 MAASSGLPAPWSLFMATLVLLIVQAQGITRHYDFNVQMANVTRLCATKSIVTVNGEFPGPALVAREGDRVLVRVTNQVSHNMTLHWHGIRQLRSGWADGPAYVTQCPIQTGRSYVYNFTITGQRGTLWWHAHISWLRATVYGAIVILPKLGVPYPFAAPHEEVPVLFGEWWKADTEAVVKQVLQTGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKTYLLRLINAALNDELFFSIANHTLTVVEVDAVYVKPFTVDTIVISPGQTTNVLLTAKPFYPKANFYMSASPYSTIRPGTFDNTTVAGILEYHNPSSGSASSSFNKDLPLFRPTLPRFNDTGLITNFTSKLRSLATASYPAAVPQSVDKRFFFTIGLGTLPCPANTTCQGPTNMTRFAAAVNNVSLVLPSTALLQSHYTGMGMSKGVYASNFPTAPISTFNYTGTPPNNTNVAQGTRLLVLPFNASVELVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPSDLPKC* >Brasy6G025500.1.p pacid=40048943 transcript=Brasy6G025500.1 locus=Brasy6G025500 ID=Brasy6G025500.1.v1.1 annot-version=v1.1 MARKKATPRRIPNDAARNATFRNRHNDLVKKASELSTLCNVNTCVIVYGEGEVQPEVWPSVDEAVPILQRYKAMTEEGFLRQSMDKLREQVHKARHENRELHTACLVQKAMLGCLPGLNGLTVEEVANVGWMVQMKLKSIGDHIANLQAQAGRGNDASSSTADNMASTSASGGFSWQWRDDTGDSSSPFHPK* >Brasy6G270900.1.p pacid=40048944 transcript=Brasy6G270900.1 locus=Brasy6G270900 ID=Brasy6G270900.1.v1.1 annot-version=v1.1 MAMAAARGDHEEEKPAETTMDGTVDFSGKPAVRRKTGGWRACPFILGNECCERLAYYGMSSNLVNYMVSELHQGNAAAAANVNNWSGTCYVMPLVGAFLADAYLGRFRTIAAFMALYIAGLALLTASAAVPGLKPPACAGCEPSRGQNAAFFSALYLIAVGTGGIKPCVSSFGADQFDDADPGELRSKGSFFNWFYMSINVGALVASSVLVWVQTNVGWGWGFGIPAAAMAVAVLSFLLGSRLYRYQKPGGSPLRRLGEVVVFAFLKSHLPLPRDYASRLHETPPAEDGRRPLAHTEQLRWLDRAAVVTLENEVAARPRRLCTVTQVEETKAMIRLLPVWASGIVMAAVYSQMSTMFVLQGNTLDPRMGARFSIPAASLSIFDTVSVIFWAILYDRLIVPVARRLTGHPRGFTQLQRMGIGLVISVFSMVAAGVLEVARLRAAAQHGMLDSKGFLPVSIFWQVPQYFIVGAAEVFVFVGQIDFFYDQAPDAMRSLGAALSLTSAALGNYLSTLLVVIVTAVSTRNGGIGWIPDNLNRGHLDYFFWLLAALSVLNFVVYLWIAKWYKYKVYKTTVEPSSSQMDTAAS* >Brasy6G012300.1.p pacid=40048945 transcript=Brasy6G012300.1 locus=Brasy6G012300 ID=Brasy6G012300.1.v1.1 annot-version=v1.1 MADEDGDVLPAYIEEDAKEAAVAKEQRHQQSTKPKKRWPWEFATPEEEAKNKARMDLLDKLYEHDPKTGHGSYVRAWFVDPSFDLDAETQYGPMRHTDSIIPDDHRLRDSLNVLCLKIVSSDVGYPISVYGTVIVRDILDYKCVNIFRRDRINCQHIWSENEDLILTGPTRGIFYAGEAFFEINLKIKEDEECNDKQFSKALIDVLVGRSPKVQSRTVPSRLSEVQLVFAYVKNALEGTFEIKILSGPEVFYGNITACTTDVPNNILLYDSDVGGGMTVADDGIVRLFRRVVAVSEDEMLILNIDARGGDLNGNISRGISKFTPRINGADEEEVACGLYKIRVKVTWSTVHVPFE* >Brasy6G012300.2.p pacid=40048946 transcript=Brasy6G012300.2 locus=Brasy6G012300 ID=Brasy6G012300.2.v1.1 annot-version=v1.1 MADEDGDVLPAYIEEDAKEAAVAKEQRHQQSTKPKKRWPWEFATPEEEAKNKARMDLLDKLYEHDPKTGHGSYVRAWFVDPSFDLDAETQYGPMRHTDSIIPDDHRLRDSLNVLCLKIVSSDVGYPISVYGTVIVRDILDYKCVNIFRRDRINCQHIWSENEDLILTGPTRGIFYAGEAFFEINLKIKEDEECNDKQFSKALIDVLVGRSPKVQSRTVPSRLSEVQLVFAYVKNALEGTFEIKILSGPEVFYGNITACTTDVPNNILLYDSDVGGGMTVADDGIVRLFRRVVAVSEDEMLILNIDARGGDLNGNISRGISKFTPRINGADEEEVACGLYKIRVKVTWSTVHVPFE* >Brasy6G042600.1.p pacid=40048947 transcript=Brasy6G042600.1 locus=Brasy6G042600 ID=Brasy6G042600.1.v1.1 annot-version=v1.1 MPSAAAGPVAVALAGKASSPAAFAAPSIEISPDLYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFPKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPVSHQAYTALNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRGRRSFDRALRALPVTQHDRIWPLYLRLASLPACPAETSLRVFRRYLQYDPSHAEDFIEFLVSAKRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVKEFSVVFEAYTQFEQSMLAAKLEAAEDDDDAGSDEDDEGGRKNGVEKQSMKYLAGCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPAKQGATYVEAVRTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKKATQVNYKAVDHLATIWCEWAEMELRNNNFDKAIELMRLATAEPSVEVKRRAAAEGDEPVQLKLHKSLKLWSFYVDLEESLGTLESTRSVYERILDLRIATPQIILNYAYLLEESKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFTEAVQKAPPEEKKALYLQYAKLEEDYGLAKRAMNVYDEAVRAIPNNEKMGMYEIYIARAAELFGVPRTRQIYEQAIESGLLDRDVMTMCMKFAELERNLGEIDRSRAIYVHASNYADPNSHPEFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLTRAGVPQDEMAALERQLAPSTAPSAAAAPSTSTTPANRMMNFVSAGVEARAESSTQQAGNNEDIELPDDESDEEDVQIAERSVPAAVFGELGKRAAENREESSGAQENEQQLGALERIKRRRQ* >Brasy6G125400.1.p pacid=40048948 transcript=Brasy6G125400.1 locus=Brasy6G125400 ID=Brasy6G125400.1.v1.1 annot-version=v1.1 MTLLLGPPSSGKSTLMRALTGKPDTKLKVSGNITYCGHTFSEFYPERTSTYVSQYDLHNGEMTVRETLDFSRRCLGIGARYDMLSELARREQNAGIKPDPEIDAFMKATAVQGKETNVITDLILKVLGLDICADTIVGDDMKRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYIRQMVHVMNYTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFQCPERKGVADFLQEVTSRKDQQQYWCHDHAHYRYVSVLEFSQLFKTFHAGQKLQKELQIPYVKSKTHPAALTTKKYGLSSRESLKAVLSREWLLMKRNAFLYIFKSFQLFVLAIITMTVFIRTKMPHEKFSDTIKFTGVLTSSLITIMFGGLSEVQMTIKKLPVFYKQRDYLFFPAWTFGVANIILKLPFSLVDTSVWTIVTYYVIGYAPGPGRFFRQLLAYFCTHQMAVAMFRLLGALLQTMVVANTFGMFVLLLVFLFGGFVIPRTDIRSWWIWGYWISPMMYSNNAISVNEFLASRWAIPTAEGSIGSSTVGKAYLKSKGYFTGEWGYWLSIGAMIGFMILFNILYLCALTFMSSAGSSSTVVSDDTTEDELKTGSTNQEQMSQVTHGTDAAANRRTQTGMVLPFQPFSLSFNHMNYYVDMPAEMKAQGFTENRLQLLSDICGAFKPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIRLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLVYSAWLRLSSEVDENTRKVFVEQVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLMKRGGRVTYAGKLGRYSNILVEYFEAVPGVPKIAEGYNPATWMLEVSSPLAEARLNVDFAEIYANSALYRSNQELIKELSIQPPGSQDISFPTKYSQNILNQCMANSWKQFRSYWKNPPYNAMRYLMTVLYALVFGTVFWRKGKNIESEQDLYSLLGAIYAAVFFLGASTSFSILPVVSIERTVFYREKAAGMYSPVSYAFAQALVEFVYSAAQGILYTVLFYGMVGFEWKADKFFYFMFFLVACFTYFTLYSMMLIACTPSQILGSVLVAFSLTQWNIFAGFLISRPMIPVWWRWFYWADPVSWTIYGVIASQFGDDNRKVTAPGLRDGVVVKDFLNDKLGYKHDFLGYLVLGHFGYILLFFFLFAYGITKLNFQRR* >Brasy6G160300.1.p pacid=40048949 transcript=Brasy6G160300.1 locus=Brasy6G160300 ID=Brasy6G160300.1.v1.1 annot-version=v1.1 MRLAPNQTRRQPNHTWEEELYKEEEGEINSSWSRGLRFLIGGAAVGGRERERESCSLLSPLASPNPQVRMESSNGKTPQGEEEAKAVAEGSIGGYESLHRLLESNLSPELFKEASRLLLGLNCALPLQTMSLPGVTTALAEEHDFDVQAYRFKADKEYMRQPRIVRVGLIQNSIAVPTTCHFADQKKAIMEKVKLIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVEGESTQFLQELAQKYNMVIISPILERDINHGEIIWNTVVVIGNNGNLIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISGMDLNLCRQIKDKWAFRMTARYDMYASLLSEYLKPDFKPQVITDPLINKRRA* >Brasy6G097500.1.p pacid=40048950 transcript=Brasy6G097500.1 locus=Brasy6G097500 ID=Brasy6G097500.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSGGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQAREMARETARQAKEDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDKKEYYKMLRRDIIDRRSKRSI* >Brasy6G177300.1.p pacid=40048951 transcript=Brasy6G177300.1 locus=Brasy6G177300 ID=Brasy6G177300.1.v1.1 annot-version=v1.1 MSGGELRRGAPDDAAALEESLLRGTKWEKTYLDVLGVCCSAEVALVERLLSPLAGVRAVSVVVPSRTVVVQHDPAAVSQSHIVKVLNGAGLEASVRAYGSSGIVSRWPGPYILASGVLLLASSLRWLLPALHLQWLALAAACAGAPPMLLRAFAAASRLTLDINVLMLIAVAGAAALGDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMGMIPPKAVLAETGQVVNVSDLGVGAVVAVRAGEVVPVDGVVVDGQSEVDERSLTGESYPVPKQPQCEVWAGTLNLDGYIAVRTTALAENSTVAKMEKLVEEAQNSRSKTQRLIDSCAKYYTPAVVVMGAGVALLPLLLPGAGDPERWFRLSLVLLVSACPCALVLSTPVAAFCALLTAARMGVLVKGGDVLESLGGIAAVAFDKTGTITRGEFSVAAFHVVADKVQISSLLYWVSSIESKSSHPMAAALVEYAQSKSIQPKPENVAEFRVIPGEGIYGEIHGKHIYIGNKRAALARGSCQTVPEKTDDDLKGVSVGYVICDGDLVGAFSLSDECRTGAAEAIRELRAMGIKSVMLTGDGAEAAAHAQEQLGGALEELHWDLFPADKVRLVGALKASSGPTMMVGDGMNDAPALAMADVGVSMGVSGSAAAMETGHATLMSSDVLRVPEAVRLGRRARRTIAVNLVASVAVKAAVLALAVAWTPVLWAAVLADVGTCLLVVLNSMRLLGEGNRGGGGKKEEEACRATARSLEMRRAQLLLNADASNVVVERPGGGGATENCHCCHKQNESPERSVAIDIRVDERPEKVRKTAGCAAAKGGADAGCCGGSKATGPLSSTRASLRCCSGQVDS* >Brasy6G088700.1.p pacid=40048952 transcript=Brasy6G088700.1 locus=Brasy6G088700 ID=Brasy6G088700.1.v1.1 annot-version=v1.1 MLSLFLLLLLSLGGEMASSADDGQFTYQGFAAANLTLDGLATVLPNGLLALTNFTYQTKGHAFHPVPLRFLNKPTSTTTNTTAMARSFSTSFVFAVVSRYDGLSDQGLAFVVTPTMNLSTANAGQYLGLLNATNGTASNRILAVELDTIMNPEFSDINSNHVGINVNSLMSRQAKPAGYYGDDDGAFRGLMLNSRKPMQVWVDYDGQGRQLNVTLAPIQVPKPRKPLLSEVIDLSTFMEDPMYVGFSSSSGVVFTHHYVLGWSFSLDGPSPPLHLSKLPTLPRVGPKPQSKLLDVVLPLATALLVAAVLVVVFFFVWRRHRYAEVREDWEDEFGPHRFAYKDLFCATDGFKDKNLLGAGGFGKVYKGVLPASSLEVAVKRVSHGSRQGVREFVAELVSIGRLRHRNLAQLLGYCRRESELLLVYDYMENGSLDKYLYNKNAPSLNWQERYWVIKGIASSLLYLHEDWEQIVIHRDIKASNVLLDSQMNARLGDFGLARLYDHGMDAQTTHVVGTMGYLAPELVRTGKATPSTDIFAFGVFLLEIVCGRRPIETEKHGNKMVLIDWVLEHHRNGSILDTVDPRLMGKFDIQEVTIVLKLGLLCAHPSPSTRPSARKVMQYLDRSRSVPNLSPTYMSYSTLALMQNEGFDSYIMPCPLFAKSVHTVSGDSSVTVLLDGR* >Brasy6G042200.1.p pacid=40048953 transcript=Brasy6G042200.1 locus=Brasy6G042200 ID=Brasy6G042200.1.v1.1 annot-version=v1.1 MLPRPMVLHPCLQERCVRIAPCRFVSNEDFHSYRLYHGPALDEDSLIGGSGDHLIFFHDCVCYTINIHTGLRTAQISVPGSSDVYYAAMSGSLESADCYIVLASPSLLISKFVNRNDPMLVSTLEGTSVGRIAHIMFLGTTALLIDINQGIYKVSDGLQVSLYSRRIGTLPAYPGINFGPRARYKVSCHQSWFVDWHGKLLCIRYITCLSCPDPVAGFFASYLDDSEIDVTPRHFALLSQQIWRPLNDLGDCSVLLNCGPHAASFICDSPRRWGLWPGKIYVCGSDMIPWAPWTSFDLGWFKCTADFVLPQKEWPSPVFMNSGC* >Brasy6G096000.1.p pacid=40048954 transcript=Brasy6G096000.1 locus=Brasy6G096000 ID=Brasy6G096000.1.v1.1 annot-version=v1.1 MVLCQGLSAGHAQLFAFPSAKHKPSLLRRSCLRIPSGSLSLKSVRLQSESKNFSVRAVRAGTDFLQNDTLTSFPRMSSIKGIPPLLTRHNQRRRSEVGCRASSLASFSYPELTAKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLLPGWLLLVIFMTVYFFVVRRKWSPHFMRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR* >Brasy6G096000.2.p pacid=40048955 transcript=Brasy6G096000.2 locus=Brasy6G096000 ID=Brasy6G096000.2.v1.1 annot-version=v1.1 MVLCQGLSAGHAQLFAFPSAKHKPSLLRRSCLRIPSGSLSLKSVRLQSESKNFSVRAVRAGTDFLQNDTLTSFPRMSSIKGIPPLLTRHNQRRRSEVGCRASSLASFSYPELTAKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLLPGWLLLVIFMTVYFFVVRRKWSPHFMRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR* >Brasy6G210800.1.p pacid=40048956 transcript=Brasy6G210800.1 locus=Brasy6G210800 ID=Brasy6G210800.1.v1.1 annot-version=v1.1 MWRLKIGEGNGPWLRSANGFLGRQVWEFDPNAGTPEERALIERLREDFTKNRFERKESQDLLLRLQYAKLNLLPGNVPTVKLEKDAQVTEEIISTSLRRALNQYSTLQADDGHWPGDLSGILFIMPMLIFSLHVTGSLNVVLSTEHRHEICRYIYNHQNKDGGWGIHVRGPSNMLGSCLNYAALRLLGEILDGDNDALTKGRAWILSHGSATAVPQWGKIFLSIIGVYDWSGNNPINPELWLVPYFLPIHPGRFWCFCRIVYMSMAYLYGKKFVGPITPTTMALREELYNIPYANIDWRKACNYCAKEDLHNPRSQVENIIYLCLNKFVEPVFSWWPATKLRERALSKLMEHIHYNNETTEYINICPVDKALSMICCWVENPNSDAFKRHLPRVYDYLWLAEDGMKAKISDGCQNWETPFIIQAICSTDLTGEYGPTIKKAHEFMKKSQVRTNFPCYESFYRHKSKGAWTLSTIDNGWIVSDCTAETLKALLLLSKISPNLVGDPIEEVKLYDAIECLLSLMNKDGSISTYERTRTSAWLELLNPSESFKNIVIDHPSAECTSSVLDALILFKELYPQHRSEEIGNCIKNAVTFIGRKQLNDGSWYGNWGICFTYGTMFAVKGLVAAGRNYHNCSYIRKACNFLLSKQQITGGWGESYLSNYTEEYIDSGTAHTVETSWAMLGLIYAGQVEIDPVPIYRAAHLLISMQLDTGEFPQQKSSLFLSFLWQEHPGCANSAFYFNYPNYRNLFPIMALGEFRRRLLANKN* >Brasy6G033600.1.p pacid=40048957 transcript=Brasy6G033600.1 locus=Brasy6G033600 ID=Brasy6G033600.1.v1.1 annot-version=v1.1 MAADREPEPEPEPVAEASTFAELGICRELVDACDAMGWKEPTKIQAGAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLEHRRPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLNKVKYLVLDEADKLLNLEFKESLDDILKAIPKERRTYLFSATMTKKVAKLQRACLRNPVKVEVSSKYSTVDTLKQEWYLVPAAYKDCYLVHALNELTGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYIHRVGRTARAGKSGYAVSLVNQYETEWFKMIEALLGKKIGDPRKVDADEIMILREHISDSRRFALTKLKEDCGNKKRRRKGDDDDEEEEQAPRGHRKQKSFKKSSGRR* >Brasy6G033600.4.p pacid=40048958 transcript=Brasy6G033600.4 locus=Brasy6G033600 ID=Brasy6G033600.4.v1.1 annot-version=v1.1 MAADREPEPEPEPVAEASTFAELGICRELVDACDAMGWKEPTKIQAGAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLEHRRPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLNKVKYLVLDEADKLLNLEFKESLDDILKAIPKERRTYLFSATMTKKVAKLQRACLRNPVKVEVSSKYSTVDTLKQEWYLVPAAYKDCYLVHALNELTGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYIHRVGRTARAGKSGYAVSLVNQYETEWFKMIEALLGKKIGDPRKVDADEIMILREHISDSRRFALTKLKEDCGNKKRRRKGDDDDEEEEQAPRGHRKQKSFKKSSGRR* >Brasy6G033600.2.p pacid=40048959 transcript=Brasy6G033600.2 locus=Brasy6G033600 ID=Brasy6G033600.2.v1.1 annot-version=v1.1 MAADREPEPEPEPVAEASTFAELGICRELVDACDAMGWKEPTKIQAGAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLEHRRPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLNKVKYLVLDEADKLLNLEFKESLDDILKAIPKERRTYLFSATMTKKVAKLQRACLRNPVKVEVSSKYSTVDTLKQEWYLVPAAYKDCYLVHALNELTGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYIHRVGRTARAGKSGYAVSLERKLEILVR* >Brasy6G033600.3.p pacid=40048960 transcript=Brasy6G033600.3 locus=Brasy6G033600 ID=Brasy6G033600.3.v1.1 annot-version=v1.1 MAADREPEPEPEPVAEASTFAELGICRELVDACDAMGWKEPTKIQAGAIPHALQGRDLIGLGQTGSGKTGAFALPIIQALLEHRRPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLNKVKYLVLDEADKLLNLEFKESLDDILKAIPKERRTYLFSATMTKKVAKLQRACLRNPVKVEVSSKYSTVDTLKQEWYLVPAAYKDCYLVHALNELTGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYIHRVGRTARAGKSGYAVSLERKLEILVR* >Brasy6G242600.1.p pacid=40048961 transcript=Brasy6G242600.1 locus=Brasy6G242600 ID=Brasy6G242600.1.v1.1 annot-version=v1.1 MDRVQDGSSWSLTSLDGKDLGNFDYVAATDKNVASQKFAGLTGRHPHLASLSLWCPEENYELERVQKI* >Brasy6G209200.1.p pacid=40048962 transcript=Brasy6G209200.1 locus=Brasy6G209200 ID=Brasy6G209200.1.v1.1 annot-version=v1.1 MFCLLVSPDPLRRALLFPGGSEPPTPKPAEGCHVAVDVPEDEDEKVVVIQCSSDGVEGEETTLASPSGHISPVCRVLIRAAFVAYTAFAAPALAATAVTRYGGGGLRALMVLLLVVLTIAALFMATVRVSQDEDREREEEQEEQEQEKGPNKC* >Brasy6G259900.1.p pacid=40048963 transcript=Brasy6G259900.1 locus=Brasy6G259900 ID=Brasy6G259900.1.v1.1 annot-version=v1.1 MEGKRTTTTSMVIMCLLISSLAAHSATAAECSCCLSSRAKACCFACIAGGGSDTVCKNTCCFPCILSDSVVAKMDEMGVIAKMEEAGQA* >Brasy6G207800.1.p pacid=40048964 transcript=Brasy6G207800.1 locus=Brasy6G207800 ID=Brasy6G207800.1.v1.1 annot-version=v1.1 MDLSVTVALNSPSEHVEQLRRRQHSLSELTFVRDEDAKLETTRARLSNILKRHEELKERLSRDSDKLIFERLQKEFEAARVAQTEEISIDDDQWNDGLLATIREKVHMEADRKAMTNQQSVPSDPQFQSRTTYRIKNKVIYCLDGARIGIQYDTFFAGETCEIYHCVLESKSFLEKMTVTEHTLPFFLPIREVESDHLSSNAIKFIDHLEEILQSYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLILTLPSQARVLAWPLRSSKRTSAADRRASSAATAQPVPSRLSYAEDALKNLSLPEAYAELVLNLPRALKQVFYSQESD* >Brasy6G207800.2.p pacid=40048965 transcript=Brasy6G207800.2 locus=Brasy6G207800 ID=Brasy6G207800.2.v1.1 annot-version=v1.1 MDLSVTVALNSPSEHVEQLRRRQHSLSELTFVRDEDAKLETTRARLSNILKRHEELKERLSRDSDKLIFERLQKEFEAARVAQTEEISIDDDQWNDGLLATIREKVIYCLDGARIGIQYDTFFAGETCEIYHCVLESKSFLEKMTVTEHTLPFFLPIREVESDHLSSNAIKFIDHLEEILQSYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKVTVSIRYSDLILTLPSQARVLAWPLRSSKRTSAADRRASSAATAQPVPSRLSYAEDALKNLSLPEAYAELVLNLPRALKQVFYSQESD* >Brasy6G194700.1.p pacid=40048966 transcript=Brasy6G194700.1 locus=Brasy6G194700 ID=Brasy6G194700.1.v1.1 annot-version=v1.1 MSRRSSALDIPSWVLLRVVVPVFPCDVKVQELEILGRAKKQPIAGDKDDMATWILRAIKLDAHLVRAPEISTFSLRADLNELKCIIAGGVLSVEDNLTVISLDAIDDGDQVRYFLVHDSSKNSLSLIPEIAEKFAEQSLKFMYLPVALRLDHCSYALANLGFENRFAQQGLLPMSGILFQWSSTSPGKWTSTNLRFHPHMRPPSKQWRGHSFTADVSFTFQGKAFWVDLLFGAVFCDLRSDTELRFVRLPPECESADNRRGVPANHLRGVPKNYRSMGRVGDSIRFIDISDLNGAHPDEDDLQPSKIVVNTWTLSLDQGLWDKDCDMTLPLPKLWKSESFKQRRLPRCVPKSPVLTPSRDGFVFFLLGDYYLDRHGEMLSRGEFLINVDMRHKTLISSSHLPLSSGLHMPYEEPEELSPGVGFYPVVPRICGGMFSWDGKTLAKAAEKKRKHF* >Brasy6G123400.1.p pacid=40048967 transcript=Brasy6G123400.1 locus=Brasy6G123400 ID=Brasy6G123400.1.v1.1 annot-version=v1.1 MDPFTGANQNADTYWSRVKAAYDERRLIDREFSMLTQDDKLEILKANGAAKKRQEDLLILTCDTTGMDAEVKAWYDGQRRLILAEARAPASSTLPSAPDTAAPTTSTPSAPSSPETVTPATSTPPAGSEVPSTPADDEGAE* >Brasy6G222800.1.p pacid=40048968 transcript=Brasy6G222800.1 locus=Brasy6G222800 ID=Brasy6G222800.1.v1.1 annot-version=v1.1 MASKVFGPRNLHVFAPRRHMLDEAFCHGPFNRGIQRRWKKPVDSARTRLEGRTRDHRLDKLMIQLRNLRLALDLHEVISQQRNRYASFQLLLRWRHEIGLNIEIGSFLKKYPHIFEIYVHPVKRNHCCRITQKMADLVAEEDAVIRENEPAVAQRLKKLLMMSMNGTLNMHALWLIRMELGLPDDYRSSMLPNHQSDFSLETPDTLTLISRDLNLAVANVEQWREKEYTEKWLAEYETKYAFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKTDLHPISNVERLEKRIVGILHELLSSTVEKKIPLERLSHFRRPFSMEVNLRELLLKHPGIFYISTKGSTQTVILRESYHKGCLVEPNPVYSVRRKMLDLILSGCRNIGETEKAICLAKEHNQGSSHGSQNHTFQVDSMNSKLHFESDFCGESHFHDESFSD* >Brasy6G056500.1.p pacid=40048969 transcript=Brasy6G056500.1 locus=Brasy6G056500 ID=Brasy6G056500.1.v1.1 annot-version=v1.1 MAVTASTVAAAATMLAAVAAIFLSFVLCFYICLCAKRSSSRASGSPPPPPGSGVLAHLRYLFCGDASPGRSTAADGSSGAALWFYDGGLDDASMASLPKKEVSKGDAATDCAVCITELAPGDTARVLPRCGHAFHVDCVDLWLKSHSTCPLCRCPAVDSPPLPPAPVHAPEADPDSPNFPTNVLFFGSQDDVSTGRAQPQPQLPALVTAAAQSEAPAAAGVLGLRRLLGCGGASSPPQQQQQQQDIEMGLAPGGENCAPRPSNQEAGSS* >Brasy6G233200.1.p pacid=40048970 transcript=Brasy6G233200.1 locus=Brasy6G233200 ID=Brasy6G233200.1.v1.1 annot-version=v1.1 MSCMSWNCRGLGNPETVRELRNLGKQEDPTLLFVMETKISEKRVEKLCRALGFQSCLGVDSVGLSGGIGLFWKADTGVELKSYNSNHIDVIVRPQDDGTPWRFTGLYGEPRRENRHLSWTLLRRLCDVGWSGLPFSTWDNRQADHANVKARIDRALVSDSLYNLFQVPRVRHINTVESDHSMLLLRLRPKEDRRGAGPSRSFRYENAWQTLDNYDQVIADMWRENMSGGGLADVANALKKMQAGLDNWGAARLLGNFKRKLAGLRKDLDRTCSQGLGWS* >Brasy6G267600.1.p pacid=40048971 transcript=Brasy6G267600.1 locus=Brasy6G267600 ID=Brasy6G267600.1.v1.1 annot-version=v1.1 MAAAPWLAAFATIAALLCASEGVGNGIAFGYYNATCPEAETIVFRETARILRASPDLAAALLRLHYHDCFVQGCDASVLLDTTDAGNPTEKDGKPNESLRGFGAVARVKDKLEKACPATVSCADLLALMARDAVLLSKGPRWAVALGRKDGRSSSAGNCGELPPLYGNMTVMAEVFAGKGMDAKDIAVLSGAHTLGKAHCSSFADRLYSGANAACVTDPALDGRYAARLRLRCPGGGNGDAAAEMDAGSCGTFDTSYYRHVAGKRGLLQSDAALMEHPVTAAYVRRAATGRYDGHFFRDFAESMAKMGAVGVLTGDQGEVRTKCNRVN* >Brasy6G258700.1.p pacid=40048972 transcript=Brasy6G258700.1 locus=Brasy6G258700 ID=Brasy6G258700.1.v1.1 annot-version=v1.1 MEDAAAAELSAGDGEDRLSALPDDVLLQILLRLRDAASAAQTSALSRRWRRVWALLPELRISGNTAPDLALAAHEAPAILNLSVTLKDATAGSLAAWLPAASRRLTGDLVLLNALPLDNIWLYNEADAAAVDEAFELPCFERATKISLDLGFLALAAPPSGVFARLTALSLINARLQGGLGDAVSSHRCPSLRDLTVKDVWGLDDLTIHSESLLNVLLNGLAGLQRLTVVAPALTKLSLLYCFDNHRRSSPPVASVSTPQLEELVWGDSYDPISVQLGEMEQLQRLGVYTTVVYGPPQPTLNRDVMRLLQHFKFIRSVTLVLVCLQDLGNTQLLMEEITTMPPVISSLEVIVMLNGHSFGASLFHVLRMSTGLRRLKLVFEVLLTSTNSEAQTTCPPGCICNQLQNWEYEEFVLDRLEELEIFQFTGNEHEVAVLKQLCRRSPSLKEPKIIFCPTVTESKMEEFEQMFQNFLEMARFGTL* >Brasy6G162500.1.p pacid=40048973 transcript=Brasy6G162500.1 locus=Brasy6G162500 ID=Brasy6G162500.1.v1.1 annot-version=v1.1 MECAAKGLVAEPCVGGAHRRCGSCGAVAYCSQAHQFIHWTLHKEECARLATQMSHIDVLSQFPFVFSVESPALNHAIPNTRCFFLESFKVHLKGLWKSECRCGPEISSVKDLSITAEWNMESSLCPCTEPGNSLSAPLASWEEYYRWRSLPLHSPAAVLLHWPLTLYHCLQLSRIQASRCDGNGTLRIHYLGPEKELLQLPVFAELLALFPGVRLCIELVGPTVPRSRDGEVLNISNYAHCSAESCCCRSFVASEDVNCSALTLKLWKGVYHERYSDMVKDSNPHLIVAPNAGLAAYPTWLPTIEMIRKIGIPAMFTDFCEEAAHLASCCISSITGQPLRVPIQVNPFRQPIAENNSALYIPCYSNCFIFGM* >Brasy6G162500.5.p pacid=40048974 transcript=Brasy6G162500.5 locus=Brasy6G162500 ID=Brasy6G162500.5.v1.1 annot-version=v1.1 MECAAKGLVAEPCVGGAHRRCGSCGAVAYCSQAHQFIHWTLHKEECARLATQMSHIDVLSQFPFVFSVESPALNHAIPNTRCFFLESFKVHLKGLWKSECRCGPEISSVKDLSITAEWNMESSLCPCTEPGNSLSAPLASWEEYYRWRSLPLHSPAAVLLHWPLTLYHCLQLSRIQASRCDGNGTLRIHYLGPEKELLQLPVFAELLALFPGVRLCIELVGPTVPRSRDGEVLNISNYAHCSAESCCCRSFVASEDVNCSALTLKLWKGVYHERYSDMDSNPHLIVAPNAGLAAYPTWLPTIEMIRKIGIPAMFTDFCEEAAHLASCCISSITGQPLRVPIQVNPFRQPIAENNSALYIPCYSNCFIFGM* >Brasy6G162500.4.p pacid=40048975 transcript=Brasy6G162500.4 locus=Brasy6G162500 ID=Brasy6G162500.4.v1.1 annot-version=v1.1 MECAAKGLVAEPCVGGAHRRCGSCGAVAYCSQAHQFIHWTLHKEECARLATQMSHIDVLSQFPFVFSVESPALNHAIPNTRCFFLESFKVHLKGLWKSECRCGPEISSVKDLSITAEWNMESSLCPCTEPGNSLSAPLASWEEYYRWRSLPLHSPAAVLLHWPLTLYHCLQLSRIQASRCDGNGTLRIHYLGPEKELLQLPVFAELLALFPGVRLCIELVGPTVPRSRDGEVLNISNYAHCSAESCCCRSFVASEDVNCSALTLKLWKGVYHERYSDMVKDSNPHLIVAPNAGLAAYPTWLPTIEMIRKIGIPAMFTDFCEEAAHLASCCISSITGQPLRVPGSLRQAWKPLFQAQQQAPGPAC* >Brasy6G162500.2.p pacid=40048976 transcript=Brasy6G162500.2 locus=Brasy6G162500 ID=Brasy6G162500.2.v1.1 annot-version=v1.1 MECAAKGLVAEPCVGGAHRRCGSCGAVAYCSQAHQFIHWTLHKEECARLATQMSHIDVLSQFPFVFSVESPALNHAIPNTRCFFLESFKVHLKGLWKSECRCGPEISSVKDLSITAEWNMESSLCPCTEPGNSLSAPLASWEEYYRWRSLPLHSPAAVLLHWPLTLYHCLQLSRIQASRCDGNGTLRIHYLGPEKELLQLPVFAELLALFPGVRLCIELVGPTVPRSRDGEVLNISNYAHCSAESCCCRSFVASEDVNCSALTLKLWKGVYHERYSDMDSNPHLIVAPNAGLAAYPTWLPTIEMIRKIGIPAMFTDFCEEAAHLASCCISSITGQPLRVPGSLRQAWKPLFQAQQQAPGPAC* >Brasy6G162500.3.p pacid=40048977 transcript=Brasy6G162500.3 locus=Brasy6G162500 ID=Brasy6G162500.3.v1.1 annot-version=v1.1 MECAAKGLVAEPCVGGAHRRCGSCGAVAYCSQAHQNHAIPNTRCFFLESFKVHLKGLWKSECRCGPEISSVKDLSITAEWNMESSLCPCTEPGNSLSAPLASWEEYYRWRSLPLHSPAAVLLHWPLTLYHCLQLSRIQASRCDGNGTLRIHYLGPEKELLQLPVFAELLALFPGVRLCIELVGPTVPRSRDGEVLNISNYAHCSAESCCCRSFVASEDVNCSALTLKLWKGVYHERYSDMDSNPHLIVAPNAGLAAYPTWLPTIEMIRKIGIPAMFTDFCEEAAHLASCCISSITGQPLRVPGSLRQAWKPLFQAQQQAPGPAC* >Brasy6G003300.1.p pacid=40048978 transcript=Brasy6G003300.1 locus=Brasy6G003300 ID=Brasy6G003300.1.v1.1 annot-version=v1.1 MAGKTLKGPSRAAGHPICATPFEAFHDGSWHGVNCIRVQNSRLFVRFVYSGSTVERNIDRDHLRLRSRRATCFDCSHVLKPGVDVCVQSPHPLQACSTGVQKSSILRCHDARIVTIKRNHNADQCLCLFLVILDDSQCPSNTEKGEKVTDRRQEVVTLNNIFLLQKLQPKELQEGSVQWNSAEDCLYRNRSRLLSAARFSSEISHLIVLSTLRGMEFSIKLVEGNIIYRVIKGDQARRGVDSMSIPPGFRKNMEIVSFQLHDKNLRPTIRNIPVTHARKHNLTEDNRFTLKTELDDQLEFIRVAVKTELDDQLEDRFDVGLLYKHVDLRRSKRLKTQPERFTSYDAPNFNRDSKKKGASSSSIRHYDPQRASQVKVESSCGEDPVKITGASSFMFNENPRTTKGQHKNTTRRTPCSLPMKEKPRSVKEEESKTEERASDSHIPRTPAQNKDKKYRTPSSFHGKSGTSSCSLGGNYEPAFCQKRGRKRKERMCDEEYEKIIKQCIGNIQSEMDRDSEFNLDVPMMHCCQGAYPEEDFTWPSLADSQEEKKDELDELWKEMDFSLTTLALDQMQDPDSEAVHESTAGLGKNGEIPCYHDCILDEELGLMCRLCNVVCIEAKDIFPQMFNGNGYNKERPGGSYFCLDDHVLDSSVLGTFAPEFSELRGSGNLWSLIPDLESKLLPHQKEAFEFIWKNLAGSLQLEEMDNPAASSTGGCVVAHTPGSGKTLLLIAFLVSYLKVHPRSRPLILAPKSAIHTWRREFEKWGISLPLHVLHHADSRGRPMGAIDPRMQEILSKFHRSSWKNMRFVDCMDKLCKWHASPSVLLMTYSSFLGLTREGSRMQQRASMVQVLINNPGLLVLDEGHNPRSNKSKLRKMLMKVKTEYRILLSGTVFQNNFEEYFNTLSLARPRFVDDVMAALVPERKKKMRGRRAKHTEAVARRIFVERVAQKMESSNSRDRIDGLNLLNKLTCGFIDSFEGAKLSNLPGIHVYTIFMKPGKIQEEILAKVTMSTSCTGRYPLEIELLITVGSIHPWLIKTTNCASTFFTSAEIDKVDKYKKDFAVGCKAKFVIDLLHKCSFRGERVLIFCHNVSPINFLVKLIENVFGWRLGEEVLVLQGDQDLPVRSDVMDKFNGDGEGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERTVYVYLLVASGTWEEGKYNRNRRKAWMSKMVFFGRYVDYPLQNAVTAVDDDGVLKELVDEDKTNTFHMIVKQD* >Brasy6G163800.1.p pacid=40048979 transcript=Brasy6G163800.1 locus=Brasy6G163800 ID=Brasy6G163800.1.v1.1 annot-version=v1.1 MEMPAARVATAKEVEKKVELMKEVRAREVAIGELNNLPPSRAAYQKNCNIFFRKNIKTAVVSEQKQLDLAKARLQKLDQA* >Brasy6G248100.1.p pacid=40048980 transcript=Brasy6G248100.1 locus=Brasy6G248100 ID=Brasy6G248100.1.v1.1 annot-version=v1.1 MSAPPSPVASADQSLPEFGGIANPANMEDHDHIANEQKVITNDSQNMQEKEDLDHIANEQEEVITTDSENMQEKLHTQEESSAITIEELEYFEPTNKLADNQTSQEIPECTEEETMEDILTMPMQMEKPMRRHNKPKKAKDYMVTPEDYRCAIDDFSVIERIKSEPSVKKKLVVNAYIYCISGEEALQVRSGGSVFFETSLVSKLIQDCANKPKDEIPEWIVERVKKYLEHDMLVVVEKLFRIASRQTELNSDKWKDLNVTTWSREECVKSTMQTDGSSCGLWMLNFMEYWTGDILSDIPNQAMSKFARDAGCREMLDVDQLAQLFRSWPGGIDEYHISDCDTKKIVYILNPLPIQSWGEHLFKTMEMGKNLNLALEVANPGWNDDICKWECKVSDWSVWIFDFNFMHSYHNERLHYSIPTGDFLLKRRFLAHILKHELNEVVDNISPEERDVLDRIEKWTFTDLIE* >Brasy6G268700.1.p pacid=40048981 transcript=Brasy6G268700.1 locus=Brasy6G268700 ID=Brasy6G268700.1.v1.1 annot-version=v1.1 MGTDASMLVALILASIFLVLLRKQSSSSRRPPGSFGLPVIGQTLGFLRALRGNTAETWLRQRAAAYGPVSRFSFLGVPTVLVVGPSTNKFFFSSPGLTSKSTMAVNRMVGTRNIRELTGSDHRRVRAMFARFLKLDAVRGYVTIIDDEVRHHLSTEWRGRATVTVMPSMKSLTFDIMCTAIFGLDRGAHAAVRRKLSTEFRQLVRGILSVPVNMPFTTFRKCLIASRRGRQTIAGIMEEKRGKLDRGESSPSDDLMTHMLAEGIADKDIIDNVIFMMLAAHDTTAILLTFLIRHLDSNRDAYARVVAEQEEVARSKAPGEALSWDDLGKMRYTWAAAMETLRLVPPVFSNIKRAVDDVEFNGHLIPKGWQVMSAVNLTQWDPAIFPNPDKFEPARFESPLPPYSFVAFGGGARVCPGNEFARVEALVAMHYIVTGFRWKLAAGCDGTFSRYPMPSLAQGLLIDIEPIDNGHDEKLE* >Brasy6G102100.1.p pacid=40048982 transcript=Brasy6G102100.1 locus=Brasy6G102100 ID=Brasy6G102100.1.v1.1 annot-version=v1.1 MLESCRQNDITMCPSRYTSAMGSPTKFGDITVGQQNCKVFGRLIRLWDAKNKYAKIADSLLCIDGVLLAEDGAMVQITIPKKVEKQFRPLLSLGSVYMLTDVSAVHCTYKKFIYHHQSYKLQFKTSSKVHLMQSRGASIPRFAFDFSQFDQLPSKDNQSKPLLDLIGVISYVGPYDYASPTSQYKLRKIHIRNQEMVKHLTKARSSTNQMGRLLFVFLLA* >Brasy6G062700.1.p pacid=40048983 transcript=Brasy6G062700.1 locus=Brasy6G062700 ID=Brasy6G062700.1.v1.1 annot-version=v1.1 MSAVELAPPAAGTEEEITASVVSPVAAEHHVEEEPAVLGPASIFSFPVVVLPNPTASLPNGMQVLLVHQSGTTAAGLQALLVPSPATGNAHEEPRQEHLQVDNARKAARELRGWLMVLATVMASITGGFQNGKYFSSPRRPVLQATNPRRYAAFYYCNTGAFALSLSIVLLLASQDLRRLAKIKALEILVALDVLALLVGSTFGLKELGVCVGLVLIVPVALVVMSSRACGNYFWDEI* >Brasy6G247500.1.p pacid=40048984 transcript=Brasy6G247500.1 locus=Brasy6G247500 ID=Brasy6G247500.1.v1.1 annot-version=v1.1 MLEVEFAPDGFTVVSVLTACAEIGALALGRRVHVFVAKVGLVGNAHAGNALIDLYAKCGGVDDARNMFEEMGAGRTVVSWTSLIVGLAVNGFGKDALQLFNVMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDQMKAEYGITPRIEHLGCMVDLLGRAGRVEEAHHYIATMPLEPNAVVWRTLLGACAMHKKLEIGEAAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVTHGVRKNPGHSLVELRNSVYEFVMGDRSHPETDQIYEKLAEIAERLRRQGYIPHTSNVLADIEDEEKETALNYHSERLAIAFALLKSLPGTPIRIVKNLRVCGDCHMSIKLISKVYDREIIVRDRSRFHHFKGGACSCKDYW* >Brasy6G166600.1.p pacid=40048985 transcript=Brasy6G166600.1 locus=Brasy6G166600 ID=Brasy6G166600.1.v1.1 annot-version=v1.1 MNRRWRWRRSRKEEDERGGLGRQVRLTKKKPHDHRLSRSCSTSPHACAPTQSPPPARPPPNSPEHVSWSVSSSDTSPPSRPLDALPVRLQDSVPADVSPPVPVRHARRLQDSPHAEDSSPVPVRSTPASFAQVCAVSGPSSALAPGGSRAPPRLPDFAIYSAPVASPPMLTAAPADGTCVADGSRGTTRSDQAWQLVGPGGRPVKQAPPMPTRRVPARQARAPVRQGFFRPDGAFVDLAGRCFRCLSSLLQVAFCTFPIKCTHCFEPGHIAKVSRSRDLSPCAIRPPSHATPAPLVLASPTPILTQLPPPPTSIPHHLHHHLAPPLLPFALRSPSPPNRLPLLCALALPFPSLHLLRLHPLRPPHPPPHHPPALLRCWTLLGPTPCCPSLPLKRTAVLFAALTMAGRWVCATRRSTLPSVSMKRTRWSFWSLGCAPALLPRTSTRR* >Brasy6G031900.1.p pacid=40048986 transcript=Brasy6G031900.1 locus=Brasy6G031900 ID=Brasy6G031900.1.v1.1 annot-version=v1.1 MEELVGRAVRKAFPGFGVFGGVVESYDAEAGYFRVLYEDGDSEEVDGAEMAAILVGAPMPAQPETPGGSAGKRPKKRRRGDEESSSPQGDVSAAADGSNCVTPAEVRLGGGNGEVVAEKKRRVDPGPESSRPVRRSARQAKAAALAAEMEAVAAVAAAAEAAETAAVAGGPVAAVPVAATPQQSGRKRQRGNGSDRYRSVAKDLEDAALDKLPPKPELPPSSQGLDLGGLPVLDVFQVYSCLRSFSRQLFLSPFALDAFVAALRCTHVDPLIDWVHFALLRSLRSHLEDLADEGDPSAVHCIRNLNWELLDLATWPIYLAEYILTRGSELRYGMKLTDLKLLNTEYYRQPATVKLELLRTLCDDVLEIEAVRSELGLRELDGNDEGYRSTRVRRQRRDSSVKGLADSSLPPEGSDDMDDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGEWYCPECLMQRNNGSRNMAKLGRGAEVLGIDPHGRLYFGACGYVLVVDSCDVDSPCHYYGQIDLHSLVTVLTSCHRSYNSIVNVISLFLSIAIEASNCNGRYKNSKECSTSDHEKDCRESSLKRPSESEQYKIEKDGSSEQLDAGKVCTSKSDQDASNGKYNLTSATVSQNGNETIVGKLNQTFQSNTSSANKDSCHSQQDDVCLHVNGLPAENQNGPPPKKEASDFSLHSDPARYINYYSFGQIAASAARELKHKLSENEEGKKHGQDAVSFRLKTICKKYVNVFALTDQKLSVELLKEKCGWCNSCQISSGTDCIFRVVDGPKPCNVGLLSGKNKESHIVLAMHNILSIEERLNGLLSGPWQNPQYSIYWRKAVLRASDLSSLKQPLLMLESSLRRVAFFGDWQKPADSVEVVGSAAHILVRSSNKSKSYASARKPGRKPSIDELKVDSPDVGVYWWRGGTLSRQVFHWKRLPQSLASRAARQAGRKKISTIVYPEGSQFARRLKYIAWRAAVEMAQNVSQLILQIKELELNIKWNEILSTLSSALATKESQRIARLFKKVIIRRKRIEATNAEYLLDFGKRENIPPVVVKHGIKLEEPSSERNRYWLSEGHVPLSLLKAYEAKTITRLLKKKDTDDFARKTSDFRPKKPKTSVFDDLLEKAKKLPSRLCGQCYKNVIASDAVNCQYCEALFHRKHFKVPRGAVDAFYVCNKCLAEKVSPVKSPQKKAASKKSSPKKKQKKQPRKILRRGNQMVINLKKKAGQKNGKRGRPRKNPLSVSKNESLKMPESQPSSEPKNEPVKRISKRLYDKYMKGNSSVSEHKASCRKRKRTALHYSYWLDGLRWTQNTDDEQARSFMKERVLFPSEDVELSDFSPVCCLCKKCYSGDAIYIACENCEDWFHGDIYSITLENVGNLIGFKCHACRLRAVPVCPYAQTDAVLGDQSREDAVDMCIEDKDINCPEDPGTSDGLKELDGHNIKEVIHCHGIGKQVSDHICLKVLEDQSREDAVAMSIEDKDINRPEDLGTFDGLKELDDHNIKEVLHGHDIEKQAGDHNCLKVLENESREDAVDMSIEDKDINCPEDLVTSNGLKGFDGHNVKEVLHSHGIEKQVDDHNCLKVLEDHNGLKEPSSHSTEKELDDCNSLKDPGSRNNMKEADSHITAEGPDDHNNHWSEKELDNYNCLSELSSNNNVKNLDSGNNTEELGSTKDSKFAAGETQCMKELENHNSLADLDNHNGTKELDNHNSVDKLVGINNLKTLDNHNSLEELDNQNSLKDLDNHKSPKELLSAEDGKFFAATHTGGFLDEQSNTRISSEEAITK* >Brasy6G055600.1.p pacid=40048987 transcript=Brasy6G055600.1 locus=Brasy6G055600 ID=Brasy6G055600.1.v1.1 annot-version=v1.1 MAYSNSPLLLLALLLAASSSPSLVPVAAQYPGDWLTHIAVFMHETVEGRHATMLRSVQSPLGSNSMFGTVNVLDNELRDGPDRWASSLVGRFQGLFVGSGVVSPPGLMTSMNVVFTAGKYNGSTIALLGPVLNFEAPVERSLVGGTGRFRMARGYSIMTSVRNYTTPDSVVLVDKIDLYVKIRYQEITLTPPEKFKSESLFEE* >Brasy6G161400.1.p pacid=40048988 transcript=Brasy6G161400.1 locus=Brasy6G161400 ID=Brasy6G161400.1.v1.1 annot-version=v1.1 MAATTAAAVRRLSAAGEVRSALALLARGAKAGDATLDVAACTALVHGYCRSGDVAEAQRVFDVMPRLGLTPNEVTFTALIHGYFIHGRREKGLALFEKMWIGGIAPNLYTYNILIGEWCRTGEFERARLLFEEMPAKGITRNVVSYNTLIAGLCRYGKMKDATQLLELMRREGIRPSVVTFNLLVDGYGKAGKMSNALHFSNQMRMSGYQPSAVTYNALIAGFCRARDMTRANRAFSDMKERGLAPTKVTYTILIDAFARENDMDKAFEMFAEMEKTGLEVDVCTYGVLVHALCMEGNMKDARKLFQSIGEKGLLVGNVIYDMMIYGYGREGSSYKARKLISEMRKKGFVPNSASYGLTIRILCNDGKCSEAEALIDDMVRAGVQTSDSVHQVLLNAKAR* >Brasy6G161400.2.p pacid=40048989 transcript=Brasy6G161400.2 locus=Brasy6G161400 ID=Brasy6G161400.2.v1.1 annot-version=v1.1 MAATTAAAVRRLSAAGEVRSALALLARGAKAGDATLDVAACTALVHGYCRSGDVAEAQRVFDVMPRLGLTPNEVTFTALIHGYFIHGRREKGLALFEKMWIGGIAPNLYTYNILIGEWCRTGEFERARLLFEEMPAKGITRNVVSYNTLIAGLCRYGKMKDATQLLELMRREGIRPSVVTFNLLVDGYGKAGKMSNALHFSNQMRMSGYQPSAVTYNALIAGFCRARDMTRANRAFSDMKERGLAPTKVTYTILIDAFARENDMDKAFEMFAEMEKTGLEVDVCTYGVLVHALCMEGNMKDARKLFQSIGEKGLLVGNVIYDMMIYGYGREGSSYKARKLISEMRKKGFVPNSASYGLTIRILCNDGKCSEAEALIDDMVRAGVQTSDSVHQVLLNAKAR* >Brasy6G161400.4.p pacid=40048990 transcript=Brasy6G161400.4 locus=Brasy6G161400 ID=Brasy6G161400.4.v1.1 annot-version=v1.1 MAATTAAAVRRLSAAGEVRSALALLARGAKAGDATLDVAACTALVHGYCRSGDVAEAQRVFDVMPRLGLTPNEVTFTALIHGYFIHGRREKGLALFEKMWIGGIAPNLYTYNILIGEWCRTGEFERARLLFEEMPAKGITRNVVSYNTLIAGLCRYGKMKDATQLLELMRREGIRPSVVTFNLLVDGYGKAGKMSNALHFSNQMRMSGYQPSAVTYNALIAGFCRARDMTRANRAFSDMKERGLAPTKVTYTILIDAFARENDMDKAFEMFAEMEKTGLEVDVCTYGVLVHALCMEGNMKDARKLFQSIGEKGLLVGNVIYDMMIYGYGREGSSYKARKLISEMRKKGFVPNSASYGLTIRILCNDGKCSEAEALIDDMVRAGVQTSDSVHQVLLNAKAR* >Brasy6G161400.3.p pacid=40048991 transcript=Brasy6G161400.3 locus=Brasy6G161400 ID=Brasy6G161400.3.v1.1 annot-version=v1.1 MAATTAAAVRRLSAAGEVRSALALLARGAKAGDATLDVAACTALVHGYCRSGDVAEAQRVFDVMPRLGLTPNEVTFTALIHGYFIHGRREKGLALFEKMWIGGIAPNLYTYNILIGEWCRTGEFERARLLFEEMPAKGITRNVVSYNTLIAGLCRYGKMKDATQLLELMRREGIRPSVVTFNLLVDGYGKAGKMSNALHFSNQMRMSGYQPSAVTYNALIAGFCRARDMTRANRAFSDMKERGLAPTKVTYTILIDAFARENDMDKAFEMFAEMEKTGLEVDVCTYGVLVHALCMEGNMKDARKLFQSIGEKGLLVGNVIYDMMIYGYGREGSSYKARKLISEMRKKGFVPNSASYGLTIRILCNDGKCSEAEALIDDMVRAGVQTSDSVHQVLLNAKAR* >Brasy6G000500.1.p pacid=40048992 transcript=Brasy6G000500.1 locus=Brasy6G000500 ID=Brasy6G000500.1.v1.1 annot-version=v1.1 MDCCFSCLGEKKKKKPPLEKPQIPPAAEKAKPRGSSSSRQESQKEMVLSNGAAQIFTFRELAAPTNNFSADCLLGEGGFGRVYKGYLDSVSQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKTRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALKGQYPSRGLYQALAVAAMCVQEQPTMRPVIGDVVTALSYLASQTYDPEVHGIHRTSLLIAPSTPPRDRNRGADQRENR* >Brasy6G000500.2.p pacid=40048993 transcript=Brasy6G000500.2 locus=Brasy6G000500 ID=Brasy6G000500.2.v1.1 annot-version=v1.1 MDCCFSCLGEKKKKKPPLEKPQIPPAAEKAKPRGSSSSRQESQKEMVLSNGAAQIFTFRELAAPTNNFSADCLLGEGGFGRVYKGYLDSVSQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKTRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALKGQYPSRGLYQALAVAAMCVQEQPTMRPHTTPR* >Brasy6G000500.4.p pacid=40048994 transcript=Brasy6G000500.4 locus=Brasy6G000500 ID=Brasy6G000500.4.v1.1 annot-version=v1.1 MDCCFSCLGEKKKKKPPLEKPQIPPAAEKAKPRGSSSSRQESQKEMVLSNGAAQIFTFRELAAPTNNFSADCLLGEGGFGRVYKGYLDSVSQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKTRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWIPAS* >Brasy6G000500.3.p pacid=40048995 transcript=Brasy6G000500.3 locus=Brasy6G000500 ID=Brasy6G000500.3.v1.1 annot-version=v1.1 MLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKTRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALKGQYPSRGLYQALAVAAMCVQEQPTMRPVIGDVVTALSYLASQTYDPEVHGIHRTSLLIAPSTPPRDRNRGADQRENR* >Brasy6G210200.1.p pacid=40048996 transcript=Brasy6G210200.1 locus=Brasy6G210200 ID=Brasy6G210200.1.v1.1 annot-version=v1.1 MLVRGFGSTRGCRRRRWTSAAEREGGGGWRRPGVPREDDHGSRSSRACRRRIFQVVVGGGRRADAADDRRAEEARRGGGGGGSSRLSSAAGDGRTSRMTGGPRRRGAVVAAADSPSPAARVVGSERKANQTRTKSDSEAPTSCTSRNFHS* >Brasy6G212500.1.p pacid=40048997 transcript=Brasy6G212500.1 locus=Brasy6G212500 ID=Brasy6G212500.1.v1.1 annot-version=v1.1 MFLAGVAASVFVPCGPRVRRTARGGGREMVVRRGAADSGRRWWGVSEAEGGGIRKREREADGSRKERLDKKGRSAAEDPLEPQYGERLIAAPEDPRELRHGGRQSAASEDPREPRHGRTQGSAAEEDPKELPDGGRQSAAAEDPREVRHDKRGSSASEDPCELPHCERRNAAAEGSREPRQDLIQCRPAQQV* >Brasy6G188600.1.p pacid=40048998 transcript=Brasy6G188600.1 locus=Brasy6G188600 ID=Brasy6G188600.1.v1.1 annot-version=v1.1 MAAAPSRSRGDFDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGRRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFFSIARDIKQRLTETVAAATEPPTIQISRQEPDQAGGAASRWSSSCCNT* >Brasy6G021800.1.p pacid=40048999 transcript=Brasy6G021800.1 locus=Brasy6G021800 ID=Brasy6G021800.1.v1.1 annot-version=v1.1 MAPRALPLLLLLALFAAVALAVAGATGGDFGERRRWLQEDNGSSAGAASLLMGYISYSALFADSVPCSRQGASYYNCQPGAEANPYQRGCSAITRCRD* >Brasy6G182700.1.p pacid=40049000 transcript=Brasy6G182700.1 locus=Brasy6G182700 ID=Brasy6G182700.1.v1.1 annot-version=v1.1 MTMPWGLAAYMVDMVWAVLAGWVSTCLLVANEIARGMRAGEIGPFIVG* >Brasy6G139100.1.p pacid=40049001 transcript=Brasy6G139100.1 locus=Brasy6G139100 ID=Brasy6G139100.1.v1.1 annot-version=v1.1 MSSAPLLGACEREGKDGKDVGESPAPAARPWLRRLIDTEEAWAQLQFAVPMVLTNMAYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARLYRMLGLYLQSSLIMSAVVSVVISALWCFTEPLLLLLHQEPDVARAAAEFVRYQVPGLFAFSFLQCLLRYLQTQSVVLPLVVCSVAPFAIHVPLNHLLVNVLGLGLAGASAAISVTFWASCLMLLAYVLRSKEFSETWRGFSADALKYVLPTIKLATPSAIMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVDGAKNAVAVTMKLSVFLALSFILLLGFGHSLWASLFSGSAVIIAEFAAITPLMMISIVLDSAQGVLSGVARGCGWQHLAALTNLVAFYFIGMPLAILFAFKLDFYTKGLWSGLICGLTCQSSTLVVITVRTKWSKIVDRMQLEKANYVA* >Brasy6G151500.1.p pacid=40049002 transcript=Brasy6G151500.1 locus=Brasy6G151500 ID=Brasy6G151500.1.v1.1 annot-version=v1.1 MAGEENKADEDNAEKSQQPAGRKAATATARDSSVNPSLPGGGEEKKATEDNDGKSQQPVGSTATTTAATAGDSDSSVNSSLPGGARRPMASFPLPAAAAASASMNYNVQQSVTNAIPSHGQTGHQHGDGLGTQQRRNAVVAAPAGNLKRQRLEQQGNFGFGYGQPPPPLPQQRDTRGSSLAAPRFLIVLDPEPQSPTAIPGTARRQQQRGNADTNVATTAPAAAAAAANVKHQMLQQQGNFVFGYWPQQPPPLMMRASLALYHLCLMMILLFKTNLMIYALDQLHEHPSSAGLSLLPMAARAPRTLNLLDRLAPLMCLACKIKPMRTLPYPDTMHHCVCEDCFRAGISCSNCHRNINLGQSSSSAALPQMLPQAGQASSQAPPVPDVQPTAAEAPEIPLCWSCHIRSAPWTTYYPNEQEPHPSTPSSFHHLPSTLRRRVRTCVTGRPFQFWLGF* >Brasy6G022700.1.p pacid=40049003 transcript=Brasy6G022700.1 locus=Brasy6G022700 ID=Brasy6G022700.1.v1.1 annot-version=v1.1 MAHRVMELTLVSASDLKDVNTFSDMEVYAVATVSSDPTTRQRTRTDRWGGTGPSWDHHTHRFTVPPTAADAAASGAVLRVLLRTERFFARDRDVGEVIVPLAELLDGAGGAAATSPRCASYRVRKVNCGAEHRGKLRLSYRLGPVVVPLLMLPPGHDYAHGDGQYYHRPPPYYWQYYGYRPPSYSGGYPAPPYHGAPPYHGAPPSRYPVPYLGAPAPGKKDGGSNYVGLLGGLQGGIPGGMLFGGDMRILSSSDITSPSAAPAAAYDAGNKSAAAIEDTGRAAAAADGAGRAAADGARRVVAQKI* >Brasy6G239700.1.p pacid=40049004 transcript=Brasy6G239700.1 locus=Brasy6G239700 ID=Brasy6G239700.1.v1.1 annot-version=v1.1 KKKKKHTSGAGGGQGRAPRGSRRAGAARSDRGVVGGGLDPAGGAEQAGGSAREARGGEGGARGSGGARGAGGRRHAGRRGGGGGGARWEEARRRRRGGGGRAGDGGRRTG* >Brasy6G070700.1.p pacid=40049005 transcript=Brasy6G070700.1 locus=Brasy6G070700 ID=Brasy6G070700.1.v1.1 annot-version=v1.1 MLAQIAHAARTSMLVRIAHAARTAAAATCRFARLAERAALSPEAVAALSPEARAGAGDLLLHRSSAVPPPHALAAPSPGMDASFAFPTHYLKDKFVGSNKNMVEFVVQEEDLKSDETLWALYERWCKAFNQKRDHDEMVSRFNEFKDTVLCRHRNHVQMKPSLPFKLSKYADGKLMKMRANRDGHEAMLAKKAGNSSVLWKLDGSFLREVFAEFRVVDGKLFVFTPVGEKVELTTKYEVVAGKLFADLPEENELVIQNDEFMLRRYCMNMY* >Brasy6G030200.1.p pacid=40049006 transcript=Brasy6G030200.1 locus=Brasy6G030200 ID=Brasy6G030200.1.v1.1 annot-version=v1.1 MAAVHPPSLALPRPGGRFPAAEIDSDDSESVAESSSSSSPRQRPRRPTASGEGDGDGEDDDDDDGCSSCVEGGEEEDPYIQQQKRPRMAETDDEDEDEVSTASFWWNKTPAVAAAAVSDRVKRRREGEEEDPERAAARQEEDRKFWELCLATGYP* >Brasy6G154500.1.p pacid=40049007 transcript=Brasy6G154500.1 locus=Brasy6G154500 ID=Brasy6G154500.1.v1.1 annot-version=v1.1 MEALLRWAAELGVSDSPSSASSSSCLGHSLVVADFPDAGGRGFAAARDLRRGELVLRVPRAALLTSDRVMADDPEIASCIAARHPRLSSVQRLIVCLLAEVGKGKSSSWYLYLSQLPSYYTVLATFNDFEIEALQVDDAIWIAQKSLSAIRSEWEDATPLMQGLKFKPKLLIFKTWLWAFATVSEVSSVHLKVSSRTLHVAWDDAGCLCPVGDLFNYAAPDDDISSEEENREEVTECQQKNEMLEEVKFGRSSERLSDGGYEDSEAYCLYARKCYTKGEQVLLGYGTYTNLELLEHYGFLLGENPNEKTYIQLDLDLYSVGTWPTDSLYVHTSGNPSFALLCLLRLWMTPANRRKAFSHQIYSGSMLSVENELEIMKWLGSKCVETLQKLPTTVESDASLLSFLQKLQNSTNWRVDVDQSSLGEEFRVFLRFHGLDLNYTESQLPVRLLRSLERWELAVRWRCTYKRTLIKCIFHCKRLIHELSLQQNQ* >Brasy6G154500.2.p pacid=40049008 transcript=Brasy6G154500.2 locus=Brasy6G154500 ID=Brasy6G154500.2.v1.1 annot-version=v1.1 MEALLRWAAELGVSDSPSSASSSSCLGHSLVVADFPDAGGRGFAAARDLRRGELVLRVPRAALLTSDRVMADDPEIASCIAARHPRLSSVQRLIVCLLAEVGKGKSSSWYLYLSQLPSYYTVLATFNDFEIEALQVDDAIWIAQKSLSAIRSEWEDATPLMQGLKFKPKLLIFKTWLWAFATVSSRTLHVAWDDAGCLCPVGDLFNYAAPDDDISSEEENREEVTECQQKNEMLEEVKFGRSSERLSDGGYEDSEAYCLYARKCYTKGEQVLLGYGTYTNLELLEHYGFLLGENPNEKTYIQLDLDLYSVGTWPTDSLYVHTSGNPSFALLCLLRLWMTPANRRKAFSHQIYSGSMLSVENELEIMKWLGSKCVETLQKLPTTVESDASLLSFLQKLQNSTNWRVDVDQSSLGEEFRVFLRFHGLDLNYTESQLPVRLLRSLERWELAVRWRCTYKRTLIKCIFHCKRLIHELSLQQNQ* >Brasy6G156700.1.p pacid=40049009 transcript=Brasy6G156700.1 locus=Brasy6G156700 ID=Brasy6G156700.1.v1.1 annot-version=v1.1 MSGGCLLLWPACRMFVLSQIEHNLPMPPHLLSRPLVDAIKSELERLFLDKVVANLGLCVSVDGFIFPGEGCSTYKVSFRLLMFRPFIGEVLVGKISGYDEKGLQVSLDFFSDICIPGHLMQYGTVRGEDGRWMLKTDDGDELYLDIDDEIRFLVSGIKYPPIPVEQNEHDKPFAPMQINGSIKGDGLGLLAWWAADEEEAEEEEEEQ* >Brasy6G262500.1.p pacid=40049010 transcript=Brasy6G262500.1 locus=Brasy6G262500 ID=Brasy6G262500.1.v1.1 annot-version=v1.1 MASSSSGEPLGRPGGRFWALTAEFAGDVCDDDGDAATSPVDLARWCCTPVSALSRDLPAGSGVSVTSSAREEKRRRKKAEVRMALMNFSPASGSSAEQSSCTPARTSRPALGKVQEPPESVLPPLPMLLDAESFDAAEWTMVIRRRRRAAATRQVSLPRCRSTARSNSAVGLRGQTVRFVAGRCDVGRVGPPSRSGMLGRAQHGDRIDVISVGWGLRRIFRSFLGQSSSFAPVVPAVKMANRGGGNFGGGGGGFNGNQARGGYGRGGGFNGGNFVGGHGDFEGPGDQGFHPGYGYGGGFGGGYGGGGRGAGGYGGGGFHPGRWNPRPAGGFHARQSGTGYGNRRRFEFRAGRGDGGRSRGSSTDRGRTHGSSLGASGPVQASGSAAGTTAAAADSTPAAVASSATPPVALGAGVTAVPRVGSTSASAPPVAPVVPVATVVQAVGAPAATGRSSTRPPVAAKDAAGPGPTSAALAVAKGKAAAVLPSVEVADAGTSSNPIDGGAAIQAKKRARCYRCNLAGHVSMDCDTILCDYCESAEHASGVCPLLEAPKPQMTMYGVADEELLMFVMPLTNSFWTKLDSGRVGMVTVSGGSLSIDEMVSLLQLFVPTENFIWDVTLAEKDVFKVHFPNKAELQRMVRFGNFKVPGSPCLLTFEEWTVKVKPVWTLQEVWVLVSGIPTEALRDFLGLWGLGSLFGVTKEVDMVYTRLHSVLRIRVACVDYKRIPNRRFVLIKGEGYELFFEVEAPLEVQQPVDETMADVFDSDGDGNNDNDNSSREQFDGSGNLTKNSDGPSSSPVVGDVSSPPTSQLACSPLPSIRFGSFPVGALSVGASVPGGRWADMVEEDEHAASAPPFAADGCRLPPVVADQTATAGGSASGGSVDTSLRRGPSMPAAKRSLAAVAGAAAVPVRASPQLAVGAGAAAASLPRPAVWAGSPQPASTRELRLSGVQQQAAVVLGQSSAAPAAPVRVSGGHANGISTPTMVDLIAFGGIQNAATSGVRSSARLRAQPDGDDTQLERASRRTRDRNENFNSVVLGFL* >Brasy6G112600.1.p pacid=40049011 transcript=Brasy6G112600.1 locus=Brasy6G112600 ID=Brasy6G112600.1.v1.1 annot-version=v1.1 MRIKTGEKELDDLQLIDGVVLFNNRESPINYTHRYSGCHGGAVDMRFAHLEHGMEAVIEVDIPEVKSAFNISLVSALEYGEIELFHGDIAEFRKKRFVIAVPVDTMMYLKFKVGKEGKEGDLMHNCKFDTSRHGCVSRQIVLEMVCILVKVSILSADPEPYP* >Brasy6G056300.1.p pacid=40049012 transcript=Brasy6G056300.1 locus=Brasy6G056300 ID=Brasy6G056300.1.v1.1 annot-version=v1.1 MYGESPYHVVLILGELPDMLSMAKFDSSTNSWEDIGPLSRTKPSPSSSPASADGDGDEDEDEDEDEDEDGVGDDGTVYFLSKTGDIMASTVQRSASRQHYAAVTLNGRGGDPVAHFLTDSGAVVACDISRRVFAELPRVLPAHFEYSIDVVACGDGRAVVVVLSELMETASLRVWEFGGGGAGEWRQVAAMPPAMSHAFYGKKADVNCVGHGGRVMVCVSSSSVDGDGGESSGCFVCDVGSNRWEELPRCGGGGEVTDFVAAFSFEPRMEAAV* >Brasy6G092400.1.p pacid=40049013 transcript=Brasy6G092400.1 locus=Brasy6G092400 ID=Brasy6G092400.1.v1.1 annot-version=v1.1 MRDFASCLSQTGVQVAHSSSSGGQGLVQCAYSARLRGKSCRVTVTWSKVAMGQALAIAIEDSSSGCPLCKTEIKPWMFSKMKGSKAVQVDGAALDILWDLSSAKFAAGPEPLDGFYVALVFDCEAVLVLGDMLKLGDHKVIMDALPSSNAVMVARKEHIYGKKVYSAKARFLDSGQLHHIIVECDMSGSKDPGLEIRIGKKRVLQVKRLAWKFRGNQTVFVDGLPVEVLWDVHDWLFGSSNRCAVFLFQSGKSMENFLSSSRSQDKKEHEARRFGFTLILHAWKVE* >Brasy6G111200.1.p pacid=40049014 transcript=Brasy6G111200.1 locus=Brasy6G111200 ID=Brasy6G111200.1.v1.1 annot-version=v1.1 MASTLMAPASRAVAAKTPFLGARPAAASPLRDVAAAASNGRISMSNDLWYGPDRVKYLGPFSAQTPSYLSGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCVFPEVLQKWVGVEFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGSQVLLMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPITFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLFDHLDDPVANNAWVFATKFAPGS* >Brasy6G118300.1.p pacid=40049015 transcript=Brasy6G118300.1 locus=Brasy6G118300 ID=Brasy6G118300.1.v1.1 annot-version=v1.1 MVTDAAAMAVVKAARPAFRGAPDGVAFAANAAFLAAGYSLCAVGPAALTDPPPTGVEEVGIDGWNSMENCYAFLYSKEEKGEKKHILMKCLVIGEFLAIDVLDLEAQHKEPCHVQINVKDFFSEEQPKNYKDMYKNFAGFIDTLNSSLLVKLDGKDADAAQKPGVESSSSMSSSENATWENPNSRITEPAGLVYPPIAPFGNDDLFPAPGAGFYPHSGAGRGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHPGGSTHPDLEFFQQGPDF* >Brasy6G211900.1.p pacid=40049016 transcript=Brasy6G211900.1 locus=Brasy6G211900 ID=Brasy6G211900.1.v1.1 annot-version=v1.1 MAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPTNPSPGPGDGDAPRPSCPFCLGREPECAPEIFRVPEPETPGEAPSPWRIRVIENLFPALRRDAEPPAPEEEPAAVWECAVRGFGFHDVVIETPRHDVRLWDLDAEGVRDVLLAYARRVQQLSEHQAVKYVQVFKNHGVSAGASMAHSHSQMLGTPFVPPSVTSRLNCMKEVFERTGKCSLCEIQSKDSLVSETPNFLAIVPFAASYPFEMWIIPRQHGSYFHEIDQDKALDLGSLLKSMLQKLCKQLNDPPFNYMVHSAPFGIASSCLPYTHWFLQIVPQLSVIGGFEMGSGCYINPVFPEDAAKILREIDCSMLFL* >Brasy6G148900.1.p pacid=40049017 transcript=Brasy6G148900.1 locus=Brasy6G148900 ID=Brasy6G148900.1.v1.1 annot-version=v1.1 MKAVRSLDIGLLLVIMECNKDEALRAKALAEKKMLEKDFLGARKMIHKAQKLSSEVNNISQMLTVCDVHCAAGTKVNGEIDWYGVLQVPAFTNDDTLIKRQYRKLALLLHPDKNKFAGAEAAFKLVGQANMTLTDSSKRSAFDMKRRASARPSSYQQPRRPAPPVRPVNLHQASNSAGSQTFWTICSNCAMRYQYYTSMLKKAIRCQNCLKPFIAHELNEQTVPSGANQQSAGMYTNAGAPHNFPDLQTNVPGQQAWNHATPGVHANYGSRNADVNLKRGADGTTASAAGGRKAKTEFARTAKASSTAGLKRGRKSVVESSESSDSETSTDIEEEITVDGSAAINGRPSQHSRRSSRQKQEVKYKEETDDEDVDDGNDNIGIFSFKRLRKGGILHSDDQSNERKLNEEKTGHNGFANGLSREKEKIFNSVSNNGDDASYGDTIICADSEFFDFDQLRDEKQFRANQIWAVYDSQGCMPRFYARITKVSMTPKFMVHFVWLEFDPTNKAEVAWSHGHLPVACGHFKVGSSGRAKETNMFCQTISCVKGKTKVTYEIYPRKGEIWALFKGWDIGWSSDAHKHTDFEYEVVQVVSDFTTSTSIIVMPLVKIKGFTSLFIQSKEATPYVIPQDNILRFSHCVPHHLMCGTEREGIPEGALELDPAALPLNLDEAFASVVLESSSVKGQEFDTKYAGSSRGNNSCQGSMSVGERQQSTFLNAGIFTKTLKEEKREHNTQSSVEGTGVDEEFEDIAQAEFECPEPEFYEFSEIRCLEKFEAGQVWALYSDMDTFPNYYAYIKKVDLKNNKVQAIFLDVCPRGEEEKRLVKEDRTVGCGIFKVSGGHCMTYTGTESFSHLVYTRPTGRKNEFQIIPRLREIWAVYKNWRAGWTAQDFKNCSYELVEIFGHTDSSIQVQLLRKVDGYMAVFKREEAVETICKDEYPKFSHQIPCFHLTNEKGGKLRGYLELDPYSVPKEFLSTE* >Brasy6G148900.2.p pacid=40049018 transcript=Brasy6G148900.2 locus=Brasy6G148900 ID=Brasy6G148900.2.v1.1 annot-version=v1.1 MKAVRSLDIGLLLVIMECNKDEALRAKALAEKKMLEKDFLGARKMIHKAQKLSSEVNNISQMLTVCDVHCAAGTKVNGEIDWYGVLQVPAFTNDDTLIKRQYRKLALLLHPDKNKFAGAEAAFKLVGQANMTLTDSSKRSAFDMKRRASARPSSYQQPRRPAPPVRPVNLHQASNSAGSQTFWTICSNCAMRYQYYTSMLKKAIRCQNCLKPFIAHELNEQTVPSGANQQSAGMYTNAGAPHNFPDLQTNVPGQQAWNHATPGVHANYGSRNADVNLKRGADGTTASAAGGRKAKTEFARTAKASSTAGLKRGRKSVVESSESSDSETSTDIEEEITVDGSAAINGRPSQHSRRSSRQKQEVKYKEETDDEDVDDGNDNIGIFSFKRLRKGGILHSDDQSNERKLNEEKTGHNGFANGLSREKEKIFNSVSNNGDDASYGDTIICADSEFFDFDQLRDEKQFRANQIWAVYDSQGCMPRFYARITKVSMTPKFMVHFVWLEFDPTNKAEVAWSHGHLPVACGHFKVGSSGRAKETNMFCQTISCVKGKTKVTYEIYPRKGEIWALFKGWDIGWSSDAHKHTDFEYEVVQVVSDFTTSTSIIVMPLVKIKGFTSLFIQSKEATPYVIPQDNILRFSHCVPHHLMCGTEREGIPEGALELDPAALPLNLDEAFASVVLESSSVKGQEFDTKYAGSSRGNNSCQGSMSVGERQQSTFLNAGIFTKTLKEEKREHNTQSSVEGTGVDEEFEDIAQAEFECPEPEFYEFSEIRCLEKFEAGQVWALYSDMDTFPNYYAYIKKVDLKNNKVQAIFLDVCPRGEEEKRLVKEDRTVGCGIFKVSGGHCMTYTGTESFSHLVYTRPTGRKNEFQIIPRLREIWAVYKNWRAGWTAQDFKNCSYELVEIFGHTDSSIQVQLLRKVDGYMAVFKREEAVETICKDEYPKFSHQIPCFHLTNEKGGKLRGYLELDPYSVPKEFLSTE* >Brasy6G078000.1.p pacid=40049019 transcript=Brasy6G078000.1 locus=Brasy6G078000 ID=Brasy6G078000.1.v1.1 annot-version=v1.1 MEGLIPFVIDAIRKSAHGSTGGGYRCVSSDGSSHGGTSWTTGSCRPGRRRTSAGRGLSRRRTSMPAVPRNTRCPLARPPPPS* >Brasy6G153000.1.p pacid=40049020 transcript=Brasy6G153000.1 locus=Brasy6G153000 ID=Brasy6G153000.1.v1.1 annot-version=v1.1 MGASKDDVDRLFACFKCGISPPQSAFRERPSRQGKKLRVASAAESDGGGSSSSAPTRDAGEKVSEHTEPYSAAMKFRSRKQMSPIVFYGSPQGVPVKKPRSLLRLLREIRIDLNKQTELISRDVVWATFPRQEGAIRFSKEHAHTNVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDSQIRHHYEVILEGSPCHIYFDLEFNAKLNKNKDADEMVDILVAVTFSALHDKYSIEGQEEWIVELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICLRIAAQRAANPNLDKLYIRKDSSCAESVDQLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCQNMNNKELFMESLICRLDDDCDKLLICKLDLDCQKALHFDSEASITRIERRTCKDAIGTYRSDFPHAYTYGRSPFPALDVFIESIASFGNVSGKIRSWYWFSEYGLMIYSMSKSRYCEHIGREHKSNHVMYIVDFQRAAYYQKCYDPDCRDYRSPLRAVPLDVIPELSSIADSAQRGYQGKVVEVNIEGSSRNEFLSDGNSVVENGEEDPSWWEEAVIYADSVENADHAPGLCNLEDDSCEDAHWWMDAERFMVQMESESQIESQSNA* >Brasy6G153000.2.p pacid=40049021 transcript=Brasy6G153000.2 locus=Brasy6G153000 ID=Brasy6G153000.2.v1.1 annot-version=v1.1 MGASKDDVDRLFACFKCGISPPQSAFRERPSRQGKKLRVASAAESDGGGSSSSAPTRDAGEKHTEPYSAAMKFRSRKQMSPIVFYGSPQGVPVKKPRSLLRLLREIRIDLNKQTELISRDVVWATFPRQEGAIRFSKEHAHTNVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDSQIRHHYEVILEGSPCHIYFDLEFNAKLNKNKDADEMVDILVAVTFSALHDKYSIEGQEEWIVELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICLRIAAQRAANPNLDKLYIRKDSSCAESVDQLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCQNMNNKELFMESLICRLDDDCDKLLICKLDLDCQKALHFDSEASITRIERRTCKDAIGTYRSDFPHAYTYGRSPFPALDVFIESIASFGNVSGKIRSWYWFSEYGLMIYSMSKSRYCEHIGREHKSNHVMYIVDFQRAAYYQKCYDPDCRDYRSPLRAVPLDVIPELSSIADSAQRGYQGKVVEVNIEGSSRNEFLSDGNSVVENGEEDPSWWEEAVIYADSVENADHAPGLCNLEDDSCEDAHWWMDAERFMVQMESESQIESQSNA* >Brasy6G153000.3.p pacid=40049022 transcript=Brasy6G153000.3 locus=Brasy6G153000 ID=Brasy6G153000.3.v1.1 annot-version=v1.1 MGASKDDVDRLFACFKCGISPPQSAFRERPSRQGKKLRVASAAESDGGGSSSSAPTRDAGEKHTEPYSAAMKFRSRKQMSPIVFYGSPQGVPVKKPRSLLRLLREIRIDLNKQTELISRDVVWATFPRQEGAIRFSKEHAHTNVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDSQIRHHYEVILEGSPCHIYFDLEFNAKLNKNKDADEMVDILVAVTFSALHDKYSIEGQEEWIVELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICLRIAAQRAANPNLDKLYIRKDSSCAESVDQLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCQNMNNKELFMESLICRLDDDCDKLLICKLDLDCQKALHFDSEASITRIERRTCKDAIGTYRSDFPHAYTYGRSPFPALDVFIESIASFGNVSGKIRSWYWFSEYGLMIYSMSKSRYCEHIGREHKSNHALFSELCGYFLFAH* >Brasy6G153000.4.p pacid=40049023 transcript=Brasy6G153000.4 locus=Brasy6G153000 ID=Brasy6G153000.4.v1.1 annot-version=v1.1 MGASKDDVDRLFACFKCGISPPQSAFRERPSRQGKKLRVASAAESDGGGSSSSAPTRDAGEKVSEHTEPYSAAMKFRSRKQMSPIVFYGSPQGVPVKKPRSLLRLLREIRIDLNKQTELISRDVVWATFPRQEGAIRFSKEHAHTNVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDSQIRHHYEVILEGSPCHIYFDLEFNAKLNKNKDADEMVDILVAVTFSALHDKYSIEGQEEWIVELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICLRIAAQRAANPNLDKLYIRKDSSCAESVDQLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCQNMNNKELFMESLICRLDDDCDKLLICKLDLDCQKALHFDSEASITRIERRTCKDAIGTYRSDFPHAYTYGRSPFPALDVFIESIASFGNVSGSRWYGVTVPCFSYSYLSGIL* >Brasy6G153000.5.p pacid=40049024 transcript=Brasy6G153000.5 locus=Brasy6G153000 ID=Brasy6G153000.5.v1.1 annot-version=v1.1 MGASKDDVDRLFACFKCGISPPQSAFRERPSRQGKKLRVASAAESDGGGSSSSAPTRDAGEKHTEPYSAAMKFRSRKQMSPIVFYGSPQGVPVKKPRSLLRLLREIRIDLNKQTELISRDVVWATFPRQEGAIRFSKEHAHTNVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDSQIRHHYEVILEGSPCHIYFDLEFNAKLNKNKDADEMVDILVAVTFSALHDKYSIEGQEEWIVELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICLRIAAQRAANPNLDKLYIRKDSSCAESVDQLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCQNMNNKELFMESLICRLDDDCDKLLICKLDLDCQKALHFDSEASITRIERRTCKDAIGTYRSDFPHAYTYGRSPFPALDVFIESIASFGNVSGSRWYGVTVPCFSYSYLSGIL* >Brasy6G222500.1.p pacid=40049025 transcript=Brasy6G222500.1 locus=Brasy6G222500 ID=Brasy6G222500.1.v1.1 annot-version=v1.1 MALLPIIVFLVVTYIFSRLGSRHKPPNLPPGPRGWPVIGSLGLLAGPLPPHRALASLAARHGPLMHLRLGSFPTIVASTADAARLVLKTHDLSFADRPPTAAGQHAAYGYRGIVHTPYGAYWRMARRVCAAELFSPRRVASFERVRAQELRALARGLFELGLGHGAPVAVREHVAGATMRNALRMAVGEEWVARYYGSAEGVEFRRALDEAFAVTGAVSSVGEWIPWLGRLDLQGHVRSMKRLSERLDRFLEKILDDHERDRRPREDAPRDLVDVLLRLADEEEEGLTREGVKAFVQDIIAGGTESSAVTVEWALAELLRRPESMAAATEELDRVVGRARWVAESDLPDLPYMDAVVKETMRLHPVGPLLVPHMARERTAVAGGYEVPAGARVLVNAWAVGRDPESWPDRPDEFRPERFRLPDVDVAVRGQHFQLLPFGAGRRMCPAVGLAMKVVAGGLATLLQGFAWRLPEGVSPGDLSMEEFVGLSTRRKVPLVAVPVPRLPAHLYTAAAE* >Brasy6G049100.1.p pacid=40049026 transcript=Brasy6G049100.1 locus=Brasy6G049100 ID=Brasy6G049100.1.v1.1 annot-version=v1.1 MAELATTGHVAVDIDDTAGAKLTEQQQQQLASPGKPCSHVAINVVKLARVVAPPPADADADADAEECAVCMEPLEFVAIGPCGHSSVCSKCALRIRISYLGTTTTTSASAASAGSPARSSWSRGPGGSGQLMPPCTRGCRAWAPTRAGASAAYFDDERQYDAACSAAAGGESKDNGGGGGGGVAVAQAAEWPAWFDPNKAIAVFCVAAVLGIMAMMIFG* >Brasy6G263900.1.p pacid=40049027 transcript=Brasy6G263900.1 locus=Brasy6G263900 ID=Brasy6G263900.1.v1.1 annot-version=v1.1 MVSGSGISARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEISVSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALARLKAYEGVPPPFDRTKRMVIPDALKVLRLQPGHKYCQLGELSKEVGWNYQDTIRELEEKRKEKAKVSYDRRKQLAKLRVKAEKAAEEKLGSQLDILAPIKY* >Brasy6G055800.1.p pacid=40049028 transcript=Brasy6G055800.1 locus=Brasy6G055800 ID=Brasy6G055800.1.v1.1 annot-version=v1.1 MRPSRPPPTGRRRGRPYLPCCWRKGRILPSRLLPAPSPSFATPRRPPSFPPPPPSRFNRFSISCRRRAFYGRGRALDGYGSRGSLRRSWWRRGWMGAVRQATAPATVVSADVPEPEDAAEQINSWVNMSTKQTISSLLTHGLIDQNTGLVLGSALYFRGRWLDKTDTRKTAEENFYCLDGTSLVSFCIVRQKSSVHSMMDSKLLSFLTSKEIMNGSFPCKLYSQMLMMAYLN* >Brasy6G031200.1.p pacid=40049029 transcript=Brasy6G031200.1 locus=Brasy6G031200 ID=Brasy6G031200.1.v1.1 annot-version=v1.1 MAAADDRAAAAAAALKPFEERASDAEARLAKLEALLLKKEGLSSGSETSSSAMKDLQSKLDAVSAECLAEKEKNRKLTMENEKLQYRISHLIRAMKETDSR* >Brasy6G058400.1.p pacid=40049030 transcript=Brasy6G058400.1 locus=Brasy6G058400 ID=Brasy6G058400.1.v1.1 annot-version=v1.1 MNPSNPVLLCCRRTIRASLPRGHGPRNFFRFASPPRRRQRNSESATVARVMAVAVEPSRCRSRTRGTQSSFRHLAAEEAAQLLHDEACPRAEPASRLTRSRLHLPAPPPVIHVAAWPALACLPPPGARRVQPRHGRGPARPGRAPRRLGLLHARLGTPLHGLHGPPQLLVARGTGRQPQRLGGGRGGPRQRVRLGRQGALREPQRARGLAPDERAPLQRVLGAARRGQLRQDPPERVVLAAAGPGQPAPERVQGRLLPALLFVTLGDGPVGGLDQGLEARDLRGRGREPGLGRGQLGAEPLELLDQRHGYLWARKLSPERLSCCCLLSFLHCQ* >Brasy6G159900.1.p pacid=40049031 transcript=Brasy6G159900.1 locus=Brasy6G159900 ID=Brasy6G159900.1.v1.1 annot-version=v1.1 MTGYAKKTKSSDAGAIGKLTDDLLVEILSRLPAKSLRRLQCVSRSWRDIISDPYNRKELPQTLAGFFHLTYGVSAGTKQQRFTNASGRGPPLVGPDLAFIPNNDDNAGDIVLLDSCNGLLLCRNGGWIRSDIDHALRLPPKRFNCIVCNPATKNWITLPDSRVQTHRRVQDRPAVSSHFHVLEYEYLDEDGDEDVDDDVDEDKATVVEFYFYSSRTAGWSRSVRQFSQETDLGSYADTEWRSVFHSGALHFICSMDVIGAMDIDTQKCKHVDKDYNDQLSFWALEDYASGVWSLKPAIQSQGFPGQVPRDRGSPGMQYNLL* >Brasy6G182300.1.p pacid=40049032 transcript=Brasy6G182300.1 locus=Brasy6G182300 ID=Brasy6G182300.1.v1.1 annot-version=v1.1 MASNQKLLFLCSAAVLLSVLTAATAAAADGVDQEDGQNEEWCYPGKAFPHNPLGSCRTYVISRACHRGPGLPMLVKERCCRELAAVHPDRCRREALRVLMDGVVVEGGRVVEGRLGDLRGCPRDLQRGFAATLLTPAQCGLRA* >Brasy6G079900.1.p pacid=40049033 transcript=Brasy6G079900.1 locus=Brasy6G079900 ID=Brasy6G079900.1.v1.1 annot-version=v1.1 MAMVRPADTAVKANEILARLRPIAPKPPAALPMTSPAQTIGGAATSRVLSHLQTRPCRARKRGRPSVVPPVHAEEENGEEDRDVPVERDLLRKLLEPKVISPRAVRPVSSTIHVLDPVVIHSAGTDNIYVPSKSAEEVEAALEADALPAVVSDSSSRVRLVNDAYKEMVGAPECPWLGAVAAAAASRRISGEVALVVAEQAAMPESQNGGFSCAAKIEWERGGGERASIHAACDVTRLQCESRDYLFAWRFRTADASSSVSCHRSDA* >Brasy6G055100.1.p pacid=40049034 transcript=Brasy6G055100.1 locus=Brasy6G055100 ID=Brasy6G055100.1.v1.1 annot-version=v1.1 MAAEWNDDNTRILTELFVIQVHAGNRPSTHLTPNAFEDVAKDFKARTGLEYTKAQLKNKWDKLKIDYNIFKKLKLRETGGGWDIERNTVKQDAEWWRKAKIDIPNCGKFKKWGLRNEDNLEIMFSDITSDGNDHWNPASGSLPKSSEAAASILNVDAIEDLDLDMIEEEAPPSAGKGKRLGRWIDDKSKKPKTCQSFIKEDDTTSVKYVMTQVVACGAAEGADEYFIATELFVKREQREMFMQMSEASRFDWLKRKFRIKYGG* >Brasy6G177800.1.p pacid=40049035 transcript=Brasy6G177800.1 locus=Brasy6G177800 ID=Brasy6G177800.1.v1.1 annot-version=v1.1 MGETGGAAAPLLPREDGKAHGRGGATCAQTLGNVVVSIVGTGVLGLPYAFSAAGWVAGSLGVAAAGFATLYCMLLLVDCRDKLAEEETEEPCHVHYTYGDLGEKCFGTIGRSLTEIFILVSQAGGSVAYLVFIGENLHSIFGQSMSPAGFIFAILLPVQIALSFIRSLSTLSPFSIFADVCNVLAMAMVIRKDLQLIDHPFANRNTFNGVWSIPFTFGVALFCFEGFSMTLALESSMAERRKFRLVLSQAVMGIIVVYACFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIIETRFRSSGWFQKLSHNVHGAEWLGLHSSRIVMVAILAVVASFIPAFGSYVSFVGSTVCALLSFVLPTIFHLIIVGSSMSLCRRVLDYGFLLFGLVFAGYGLFNTFSSH* >Brasy6G177800.2.p pacid=40049036 transcript=Brasy6G177800.2 locus=Brasy6G177800 ID=Brasy6G177800.2.v1.1 annot-version=v1.1 MVESGDTVDCRDKLAEEETEEPCHVHYTYGDLGEKCFGTIGRSLTEIFILVSQAGGSVAYLVFIGENLHSIFGQSMSPAGFIFAILLPVQIALSFIRSLSTLSPFSIFADVCNVLAMAMVIRKDLQLIDHPFANRNTFNGVWSIPFTFGVALFCFEGFSMTLALESSMAERRKFRLVLSQAVMGIIVVYACFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIIETRFRSSGWFQKLSHNVHGAEWLGLHSSRIVMVAILAVVASFIPAFGSYVSFVGSTVCALLSFVLPTIFHLIIVGSSMSLCRRVLDYGFLLFGLVFAGYGLFNTFSSH* >Brasy6G177800.3.p pacid=40049037 transcript=Brasy6G177800.3 locus=Brasy6G177800 ID=Brasy6G177800.3.v1.1 annot-version=v1.1 MVESGDTVDCRDKLAEEETEEPCHVHYTYGDLGEKCFGTIGRSLTEIFILVSQAGGSVAYLVFIGENLHSIFGQSMSPAGFIFAILLPVQIALSFIRSLSTLSPFSIFADVCNVLAMAMVIRKDLQLIDHPFANRNTFNGVWSIPFTFGVALFCFEGFSMTLALESSMAERRKFRLVLSQAVMGIIVVYACFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIIETRFRSSGWFQKLSHNVHGAEWLGLHSSRIVMVAILAVVASFIPAFGSYVSFVGSTVCALLSFVLPTIFHLIIVGSSMSLCRRVLDYGFLLFGLVFAGYGLFNTFSSH* >Brasy6G177800.4.p pacid=40049038 transcript=Brasy6G177800.4 locus=Brasy6G177800 ID=Brasy6G177800.4.v1.1 annot-version=v1.1 MSPAGFIFAILLPVQIALSFIRSLSTLSPFSIFADVCNVLAMAMVIRKDLQLIDHPFANRNTFNGVWSIPFTFGVALFCFEGFSMTLALESSMAERRKFRLVLSQAVMGIIVVYACFGVCGYLAYGEATKDIITLNLPNNWSSAAVKVGLCIALAFTFPVMMHPIHEIIETRFRSSGWFQKLSHNVHGAEWLGLHSSRIVMVAILAVVASFIPAFGSYVSFVGSTVCALLSFVLPTIFHLIIVGSSMSLCRRVLDYGFLLFGLVFAGYGLFNTFSSH* >Brasy6G036500.1.p pacid=40049039 transcript=Brasy6G036500.1 locus=Brasy6G036500 ID=Brasy6G036500.1.v1.1 annot-version=v1.1 MEIFVTLDGHNAGNVTLNKNQTLPRARPPPTRLPLGLRSAPSASRRRRRRPLLPAGIHHEAILAGGRASPCGRRPRRHPHGGGGGALTHRGPRLQPQAVAVHGQRPQLGSLRGHHRRPPLPQPRLPRRRRLPRGREVHHRPALRLRPAVRRRDARQRGLLPRSAARAPVPGGRGVRVPGPRALLPEDAGDPGAAGAGGCRGARGRARPRDRRRARRAHPRRRRRRRGRRRRRSV* >Brasy6G220400.1.p pacid=40049040 transcript=Brasy6G220400.1 locus=Brasy6G220400 ID=Brasy6G220400.1.v1.1 annot-version=v1.1 MASEDSVAAPAPVVVDECRGVLFVYSDGSVVRRAGPGFATPVRDDGSVEWKDAVFDAAHGLGLRLYRPRERKKNQLLPVFFYFHGGGFCIGSRTWPNCQNYCLRLAADLNAVVVAPDYRLAPKHRLPAALDDAAAALLWLASHAAPGGDPWLAEAADFGRIFVSGDSAGGTIAHHLAVRFGSPTARADLGPGVRVKGYVQLMPFFGGTERTRSEAECPDDAFLNRPLNDRYWRLSLPDGATTDHPASNPFAPGDGLEALEKAEMAPTLVVVGGRDILRDRAVDYAARLRAMGKPVEVREFEGQQHGFFTIDPWSDASADLMRALKRFVDTDGRFD* >Brasy6G181200.1.p pacid=40049041 transcript=Brasy6G181200.1 locus=Brasy6G181200 ID=Brasy6G181200.1.v1.1 annot-version=v1.1 MVASQDPSILLGTTPQGNNCLHLSDAILRQDKDGCNALHHAISSDHSELALELIAAEPDLSKHVNKKGQSPMYIAAMRDFTNVVERLLEIHDSAHGGEFGINALHAAVRNGNSAIAKRIMERRPELARQVSGQNWTCLHTAVDSDHVEFVEFILRTPQLHKLVNMQDKDGKTALHYAVQKCNPKTVAALLSHKGIDTTATDNSGFSAAWQLSVVMDHAKTLNWNEVIMLMMRADPQDATSLYNLHKQAKQRATNASRKDTKSLTQIYTSNTSLVAILITTITFAAAFTLPGGYSSASGSEGLPIMSKKFVFQAFLISDTLALCSSFAVAFICIIARWEDYEFLIYYRSITKKLMWFAYVATTTAFSTGLYTVLAPHLHWLATAICVLVALLPILTKLLGEWPVLKLRFRLGKTFNSDLLDMV* >Brasy6G026200.1.p pacid=40049042 transcript=Brasy6G026200.1 locus=Brasy6G026200 ID=Brasy6G026200.1.v1.1 annot-version=v1.1 MALAAAAAAAALVVAAAVLSPASVVSASNSEGDALYALRSALADPRGVLQSWDPTLVSPCTWFHITCNRDNRVTRVDLGNSNLSGHLVPELGHLEHLQYLELYKNNIQGTIPAELGNLNSLISLDLYNNNITGTIPKELGKLRSLVFLRLNDNHLTGPIPRELKNISSLKVINVSNNDLCGTIPTAGPFEHIPLSNFENNPRFEGPELQGLAAYDTNC* >Brasy6G035200.1.p pacid=40049043 transcript=Brasy6G035200.1 locus=Brasy6G035200 ID=Brasy6G035200.1.v1.1 annot-version=v1.1 MCFVEARTSCSSVHAGCKRPLELTVYDPAAAAEAQQRANAAALPGPLVPYSAPSSPSSSSLSLSEPINAVPLAAFAPEDRAEPWWVRGKLFPHLKLRFDLPLHFIAEKTVTATDLDPHQNRFRLPTDGVLRNLRPILSPLELAAANLLHDEAPRPRPPRQQLVLPEDQNQEGVMMKKKKRKGKKHGGLPVLVVDYEAGIRELQMSRWESSRGIIIKGEGYLDFIADCSFKAGDVVEIWAFRERFFRLFGSNVCADSPLYVLITKKG* >Brasy6G053400.1.p pacid=40049044 transcript=Brasy6G053400.1 locus=Brasy6G053400 ID=Brasy6G053400.1.v1.1 annot-version=v1.1 MSSLRAISTLLHTYYCSSAGRGARRLWFAPPLGGSFRAPSKAPPAFVLDEVATAAGAARRRAASTRATASWDSEKSPYETLELDRDANEDTIKTSYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKAYDKEHFVNPLKASQAWMEWVMKKRKAFDKRGDMAVAAWAEQQQRELTLRARRLSRSKVDPEEERRLLAKERKASMEFYSTTLKRHTLVLRKRDLMRKKAEEDRKDEISRLLAAEGLELDTDEDDNKTFLK* >Brasy6G053400.2.p pacid=40049045 transcript=Brasy6G053400.2 locus=Brasy6G053400 ID=Brasy6G053400.2.v1.1 annot-version=v1.1 MSSLRAISTLLHTYYCSSAGRGARRLWFAPPLGGSFRAPSKAPPAFVLDEVATAAGAARRRAASTRATASWDSEKSPYETLELDRDANEDTIKTSYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKAYDKEHFVNPLKASQAWMEWVMKKRKAFDKRGDMAVAAWAEQQQRELTLRARRLSRSKVDPEEERRLLAKERKASMEFYSTTLKRHTLVLRKRDLMRKKAEEDRKDEISRLLAAEGLELDTDEDDNKTFLK* >Brasy6G172800.1.p pacid=40049046 transcript=Brasy6G172800.1 locus=Brasy6G172800 ID=Brasy6G172800.1.v1.1 annot-version=v1.1 MADASQRRGRRRGGGAARPRGWCCSFAGVPPSPDHRSLPSAAASVPAAASPAGGGGGGRKLPPKSPSSLPSFQGSPASSRLAGLGGLIDPRRILSPGRVSPIDPDESIPLPLPLPLPMPPRAEAVDDVVPTEQPASASASAVVPLVAVREDDGGNEAMDLRLFIRGRDGRCVVMELDSRVLCDSSAFFAGMAPDAGFSAGGGGGSGKRIEVDGVDNVEAFRTAVELMYEPDPVRWLAAAGVSRAIDVLEVSSSIMFDKGINACLTYIEAAPWNENEEEKLKSLFARCTFDDAISQDVLARLRPDSSSSSEDLTVHLIQSVTSSTNSAARKDMQSLVNGLLSKSSVYQKDLVGLNKESIYHISLSCFRKLVDLFEEATESTNHTAQVLVAKESKPLIERISQQTENLNWLLDILVNNDMAEEFVELWAMQDGLIKMHKEASPMIRYELSRISAGVFIALGKGKVQCRGDLRSLLFYGWFGPMLLDFGWLQRCSKGLDLRTLEENIGHALLTLSLKQQQFLFEEWFQCFASRGAECPNLTRAFQVWWRRSFVRSSVEAGR* >Brasy6G247800.1.p pacid=40049047 transcript=Brasy6G247800.1 locus=Brasy6G247800 ID=Brasy6G247800.1.v1.1 annot-version=v1.1 MLLVFLSSVVAYCSARSLHARLLLPQAPCPRPCCLGLARTLLLFPIRRAPSPPSAAAPETRAAAAPRAALPHQQRLSPPPLSPSAAPHPSSNSPAAALPPPPLSSPRQCPTPPPTPHGAKCFHPLPFVWSAEPVGGGDGARG* >Brasy6G192600.1.p pacid=40049048 transcript=Brasy6G192600.1 locus=Brasy6G192600 ID=Brasy6G192600.1.v1.1 annot-version=v1.1 MAAALGGLARLLAAAAVCVLWAAAAEARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPLLKNHTLQLRPAYHPEGLSDDAKSSLASGEAGEKPMLQLWHQNGRCQEGTVPIRRTKKDDLLRASSMRRYGRKQHTTPNPLSVDLSMLNEGGHQHAIAYVEGEKYYGARATINVWEPKIQQPNEFSLSQLWILGGSFGADLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNLAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGAHTSTQMGSGRFPEEGFGKASYFKNIQLVDSTNQLKAPKGVGTFTEQSNCYDVQDGNNGDWGTYFYYGGPGKNSNCP* >Brasy6G192600.2.p pacid=40049049 transcript=Brasy6G192600.2 locus=Brasy6G192600 ID=Brasy6G192600.2.v1.1 annot-version=v1.1 MLQLWHQNGRCQEGTVPIRRTKKDDLLRASSMRRYGRKQHTTPNPLSVDLSMLNEGGHQHAIAYVEGEKYYGARATINVWEPKIQQPNEFSLSQLWILGGSFGADLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNLAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGAHTSTQMGSGRFPEEGFGKASYFKNIQLVDSTNQLKAPKGVGTFTEQSNCYDVQDGNNGDWGTYFYYGGPGKNSNCP* >Brasy6G161300.1.p pacid=40049050 transcript=Brasy6G161300.1 locus=Brasy6G161300 ID=Brasy6G161300.1.v1.1 annot-version=v1.1 MEIKVERLGETSHGYEEFTNSLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRREMDGIQCEIQATDPSEMSLDIVKSRAL* >Brasy6G263000.1.p pacid=40049051 transcript=Brasy6G263000.1 locus=Brasy6G263000 ID=Brasy6G263000.1.v1.1 annot-version=v1.1 MREYISNMVRSFGRIKGKRKRSDADCREVGYSFKLATWCCIAAVFPFYIPAPTCPVLQQKPQLLLKALHITKQKAQSSRDSEKESKQRMGEQGAAAAPLLDGRSSNGGSGKEEEEQLIEGRRGWGEYEKEEAWGQVSFAAPMVATSMAFYAIPLVSVMYAGRIGDVELAGATLGNSWGTVTGIALMTGLSGALETLCGQGYGAKVYHMLGVYLQASIITSALFSVLVSILWLYTEPLLIFLHQDPEVSRMAAVFLRYTIPAQFAYGFIQCTLRFLQTQSVVMPLVAFSLLPLVFHVGITHASVHYLGLGFAGPAMSTSISLWLSFIMLATYVMLSERFKHTWGGFSTEAFQHVLPGLKLAVPSAVMVCFEYWAFEVLVLLAGLMPDSQMSTSIIAMCVNTEAISYMVTYGFAAAISTRVSNELGAGNIDKAKKALKVTLALSLLLGVTFLLLLGLGHNMWAGLFSHSDAVISAFASMTPFLIGSVVLDSTQGVLSGVSRGCGWQHLVAWTNLVAFYIIGLPLSLLLGFKLGFHTKGLWMGQICGLLCQNAVLLFITLRTKWERLELATSSKEGDFVC* >Brasy6G263000.2.p pacid=40049052 transcript=Brasy6G263000.2 locus=Brasy6G263000 ID=Brasy6G263000.2.v1.1 annot-version=v1.1 MGEQGAAAAPLLDGRSSNGGSGKEEEEQLIEGRRGWGEYEKEEAWGQVSFAAPMVATSMAFYAIPLVSVMYAGRIGDVELAGATLGNSWGTVTGIALMTGLSGALETLCGQGYGAKVYHMLGVYLQASIITSALFSVLVSILWLYTEPLLIFLHQDPEVSRMAAVFLRYTIPAQFAYGFIQCTLRFLQTQSVVMPLVAFSLLPLVFHVGITHASVHYLGLGFAGPAMSTSISLWLSFIMLATYVMLSERFKHTWGGFSTEAFQHVLPGLKLAVPSAVMVCFEYWAFEVLVLLAGLMPDSQMSTSIIAMCVNTEAISYMVTYGFAAAISTRVSNELGAGNIDKAKKALKVTLALSLLLGVTFLLLLGLGHNMWAGLFSHSDAVISAFASMTPFLIGSVVLDSTQGVLSGVSRGCGWQHLVAWTNLVAFYIIGLPLSLLLGFKLGFHTKGLWMGQICGLLCQNAVLLFITLRTKWERLELATSSKEGDFVC* >Brasy6G231800.1.p pacid=40049053 transcript=Brasy6G231800.1 locus=Brasy6G231800 ID=Brasy6G231800.1.v1.1 annot-version=v1.1 MTVSTVFGHSTPALSCAQTQPLLPSPFPPAPRCLPLDRPLRRRTGPAPPTASRRRGEAPRVDGSGKITEVEAVHDDGRRPTAIADAGDDAGATQASEGSGERTGRSTEVPRCSLGVAAGVVDRVQAGRRGRRFRRI* >Brasy6G010600.1.p pacid=40049054 transcript=Brasy6G010600.1 locus=Brasy6G010600 ID=Brasy6G010600.1.v1.1 annot-version=v1.1 MAKVLYTSGSVAAPCGDVGAAAAALAESVAMGGGYRGKSSFPAGRMAVSDRQPLARSLQAAAVGQMNGNLTIGKAMRWWEKGTQPNMREVRSAQDLADSLRNAGDKLVVVDFFSPGCGGCRALHPKIAQFAERNPEVLFLQVNYEQHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHSPDRCSLGPARGLEESELMALAANRDLEFTYGGDKPTLVPIAEAIKMEAATAGGPWLPLPATSTPYFSQGSQNSLTPSGR* >Brasy6G223800.1.p pacid=40049055 transcript=Brasy6G223800.1 locus=Brasy6G223800 ID=Brasy6G223800.1.v1.1 annot-version=v1.1 MGFGSLGGRENRRCDEEKHLGGTAEPTSAQTMQEQESSIAAASSSSPPAPEAGSHVTTEVVPPASGLPSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDLDGPFQSLQEAQNAIDRHLDARRVPKMCMEQAKVSVTEMAIRTCLYWPDGTRKKCSKSHAIEKDCDDKRRFVQALVDNYNKDHNLFGDIAYELKDVLHRQSIPENRMVYYHFNFTAKTKGADDFDTGTDNLFFAEVQSKRQGEHEELVVSCFCMVKPIDNGHCYGCTNYGSVDIKHPNEASAYTGGHLNICMTLTPRTDWSDEDEEAEEARIRYTYKGFKRFKKKLFSELPPDVKKRCLKITKSAPA* >Brasy6G223800.2.p pacid=40049056 transcript=Brasy6G223800.2 locus=Brasy6G223800 ID=Brasy6G223800.2.v1.1 annot-version=v1.1 MQEQESSIAAASSSSPPAPEAGSHVTTEVVPPASGLPSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDLDGPFQSLQEAQNAIDRHLDARRVPKMCMEQAKVSVTEMAIRTCLYWPDGTRKKCSKSHAIEKDCDDKRRFVQALVDNYNKDHNLFGDIAYELKDVLHRQSIPENRMVYYHFNFTAKTKGADDFDTGTDNLFFAEVQSKRQGEHEELVVSCFCMVKPIDNGHCYGCTNYGSVDIKHPNEASAYTGGHLNICMTLTPRTDWSDEDEEAEEARIRYTYKGFKRFKKKLFSELPPDVKKRCLKITKSAPA* >Brasy6G043200.1.p pacid=40049057 transcript=Brasy6G043200.1 locus=Brasy6G043200 ID=Brasy6G043200.1.v1.1 annot-version=v1.1 MEAQAAADEQGAVVAPPALPLTVRLQLAGLTAAIDAVERPDGTVNRCLYSVVDRLLSARARTASGVRSHDVVLDASRNIWARVFSPAAANAPAPSAPLPVVVYFHGGGFALFSPAIGPFNGVCRRLCAALGAVVVSVNYRLAPEHRFPAAYDDGVDALRFLDAHGPTVPGLTSMAVDLGSCFLAGESAGGNIVHHVANRWASQHQGTTARHVRAPSIIIIQRCAGR* >Brasy6G195100.1.p pacid=40049058 transcript=Brasy6G195100.1 locus=Brasy6G195100 ID=Brasy6G195100.1.v1.1 annot-version=v1.1 MATAAARSTATGVAAAGRSNPNPNADGPKLRSPLGKPVPLSDITNTGRTNAARSIHVADLLKENAKLLHLLGEKSKIIELSRVEMHKLSFALQASRQQNLHLAQTNCQMLAELNIGKDRLKALEHELSCTTAVLKIKNSELEEMREAMPSKLAAVEVHQIYNRTSSTSAHHLVETESGVPSKTGCQEPLQDKTNKRNTNKPKSELSEGIKNTDIVQDHYEAHLKPIGSMHLEDPRKPLQRRSARLNQGSTGIPQVPCGTLHEDIFVPSAPSSNDMGRLLQNEIPCNAAVQEVKTIELKHSEINEQPQSTVNVEEIQEACSRIAGVEAHQIDDKDYIANQKHLPENQPHLPVNIEEPPQKRGNKKRARNQKLELCEDRKESNEEETNVKCHSNSSEPWNHAENMEP* >Brasy6G195100.2.p pacid=40049059 transcript=Brasy6G195100.2 locus=Brasy6G195100 ID=Brasy6G195100.2.v1.1 annot-version=v1.1 MATAAARSTATGVAAAGRSNPNPNADGPKLRSPLGKPVPLSDITNTGRTNAARSIHVADLLKENAKLLHLLGEKSKIIELSRVEMHKLSFALQASRQQNLHLAQTNCQMLAELNIGKDRLKALEHELSCTTAVLKIKNSELEEMREAMPSKLAAVEVHQIYNRTSSTSAHHLVETESGVPSKTGCQEPLQDKTNKRNTNKPKSELSEGIKNTDIVQDHYEAHLKPIGSMHLEDPRKPLQRRSARLNQGSTGIPQVPCGTLHEDIFVPSAPSSNDMGRLLQNEIPCNAAVQEVKTIELKEIQEACSRIAGVEAHQIDDKDYIANQKHLPENQPHLPVNIEEPPQKRGNKKRARNQKLELCEDRKESNEEETNVKCHSNSSEPWNHAENMEP* >Brasy6G195100.3.p pacid=40049060 transcript=Brasy6G195100.3 locus=Brasy6G195100 ID=Brasy6G195100.3.v1.1 annot-version=v1.1 MHKLSFALQASRQQNLHLAQTNCQMLAELNIGKDRLKALEHELSCTTAVLKIKNSELEEMREAMPSKLAAVEVHQIYNRTSSTSAHHLVETESGVPSKTGCQEPLQDKTNKRNTNKPKSELSEGIKNTDIVQDHYEAHLKPIGSMHLEDPRKPLQRRSARLNQGSTGIPQVPCGTLHEDIFVPSAPSSNDMGRLLQNEIPCNAAVQEVKTIELKHSEINEQPQSTVNVEEIQEACSRIAGVEAHQIDDKDYIANQKHLPENQPHLPVNIEEPPQKRGNKKRARNQKLELCEDRKESNEEETNVKCHSNSSEPWNHAENMEP* >Brasy6G195100.5.p pacid=40049061 transcript=Brasy6G195100.5 locus=Brasy6G195100 ID=Brasy6G195100.5.v1.1 annot-version=v1.1 MFCASLQELNIGKDRLKALEHELSCTTAVLKIKNSELEEMREAMPSKLAAVEVHQIYNRTSSTSAHHLVETESGVPSKTGCQEPLQDKTNKRNTNKPKSELSEGIKNTDIVQDHYEAHLKPIGSMHLEDPRKPLQRRSARLNQGSTGIPQVPCGTLHEDIFVPSAPSSNDMGRLLQNEIPCNAAVQEVKTIELKEIQEACSRIAGVEAHQIDDKDYIANQKHLPENQPHLPVNIEEPPQKRGNKKRARNQKLELCEDRKESNEEETNVKCHSNSSEPWNHAENMEP* >Brasy6G195100.4.p pacid=40049062 transcript=Brasy6G195100.4 locus=Brasy6G195100 ID=Brasy6G195100.4.v1.1 annot-version=v1.1 MHKLSFALQASRQQNLHLAQTNCQMLAELNIGKDRLKALEHELSCTTAVLKIKNSELEEMREAMPSKLAAVEVHQIYNRTSSTSAHHLVETESGVPSKTGCQEPLQDKTNKRNTNKPKSELSEGIKNTDIVQDHYEAHLKPIGSMHLEDPRKPLQRRSARLNQGSTGIPQVPCGTLHEDIFVPSAPSSNDMGRLLQNEIPCNAAVQEVKTIELKHSEINEQPQSTVNVEEIQEACSRIAGVEAHQIDDKDYIANQKHLPENQPHLPVNIEEPPQKRGNKKKSPL* >Brasy6G187400.1.p pacid=40049063 transcript=Brasy6G187400.1 locus=Brasy6G187400 ID=Brasy6G187400.1.v1.1 annot-version=v1.1 MKTTKGGKVMNPTDAFRKEQRRKELKRNKKERKKVREVGILKKDPDAIKDQIDKLEKMKADGALDKARKHKKRQLEDTYNLVVKKRKEYEDKMKEKGEQPIMFSHLGPPKRRPAADEDDRAKNPMPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSSAGASSSMQESEEAGPSTMPPPPPPPPLPASSEPTDPSAPSLPLPPPPPPPPPKPAGDAALPGLPPPPPPPPGAPPREPVLGHTLLPPPPPPPQRPSQPPTLPGTMELDNKQVVGEGASSTDSAQAPVVLPPPPPPPRLPPKSNEMQAADNNARDAPVVNEDAKISRVLPPPPPHPSQLLPLPPRPPMMPPIQPELLTPGMARFPPPPPPPDSRPPFMAPGVAARPPPPPPPGLPPAQRPMAPYGVLPGPPPGPPPMLRPPFFPGPPIQADDFAAFAPRPQLPQQPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVKRESAAPKPKPKAQQQSSTPSSSALKPSVTLIKSVAQPSPAIPKPQSIDDSYMAFLEDMKQLGALDE* >Brasy6G226200.1.p pacid=40049064 transcript=Brasy6G226200.1 locus=Brasy6G226200 ID=Brasy6G226200.1.v1.1 annot-version=v1.1 MSDWGEVSFQKRWGLIQSECHKFAGAQDHVKARPVSGVGVGDMAYQTFEYFKVMYKKPFTLIHCWRILKEAPKWQDLYLATKKSPGDGKKCDRSVIDLEASSHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKDAVKEKMLQLKEEQMKEFIDVQKSKLAIEEANAAPTRTAAAAAMLAEETRIMTADLSLMHEPTRAWFLAKRKMIQERDAPAPLEE* >Brasy6G166100.1.p pacid=40049065 transcript=Brasy6G166100.1 locus=Brasy6G166100 ID=Brasy6G166100.1.v1.1 annot-version=v1.1 MGSRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKQNRAAGVLENHDEGKRYSQHRVRRFWLSQRRDYMHALDNDPPAPK* >Brasy6G015000.1.p pacid=40049066 transcript=Brasy6G015000.1 locus=Brasy6G015000 ID=Brasy6G015000.1.v1.1 annot-version=v1.1 MPLAFPCLTDLRLESCYLLQGYLQAMVDAAPALTALSLVNVVHSPPDHSKKNSYYHRYLSLPFSLRCPTATALVLHICNVDMVELEPSADTGIELDMPSLRFFRYRGHPVKLSLTSPAPQLAQVDLDAIVRHQHAWRYRQTALHMLPSLCSTRALKLRLHRIEDLVDNGEQHVVLPTFPNLKLLDLDTMYQYRDGKTAVAMARLLHSCPALAELRLRLNMLYDYDHERRIKAACPTGGPFFQSMDRFERLAPMSGACRSNVELGEVSELPDAMTNNCTFKCLQTSLRKVTLQFQAKEVDCFQARLAKFLAENAMVLEEMHVYDGSHCWPDHLLHKVAIWRADSFRRRNLTDTAGFRVYQLANPVVDSKKHGYY* >Brasy6G108200.1.p pacid=40049067 transcript=Brasy6G108200.1 locus=Brasy6G108200 ID=Brasy6G108200.1.v1.1 annot-version=v1.1 MSVAKNSTQYEVESKDSSPDEEERKGRSNWTKQENERLINAWIKNSVDPIAGNGKKFDYYWKQVAEEYNKNSPPGEKRSAAQCKGHWTKTTPSVTLFHSFYIRTKNVYASGQSEEGLMKKVRAMYINQAKVKRPFALEYWWRVVKEEPKWRNQYMEEDLGGKRQKLDALGGYNSSSNQDSEGTDAIREPRPHGTKAAKEARKAKGKVKGKGKATPDFMPLHLSDETSELLREGQGVRAAALSKWADAPTAMAEAKKEKAKLDKFSMHMELLKVDTSAFSDVQLERHEKMVESLCRDLNGNV* >Brasy6G067600.1.p pacid=40049068 transcript=Brasy6G067600.1 locus=Brasy6G067600 ID=Brasy6G067600.1.v1.1 annot-version=v1.1 MPPLLASFSPPVLPPPAPSRARFRVAASADVGANGRAAAASAVGGDGFPPFLPRAVERIRDAAAIRLGKRIERVPVQTGFSKSPILSSCVRPLRQQQDSDPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSNLETRPPCDIGSKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFAVHYPEAVSKLIFIGASVYAEGTKDMTRLPKFVPYAGVLLLKSLPLRLLATLLAFNKIPDGFFDWVQIGRLHCLLPWWEDATVNFMLTGGYNILKEIKQVKHKCLVLWGEDDGIISNKQAYRLQQELPSAILRQVGQCGHIPHVEKPREAAKHVLDFLRSEKTEKADQALSV* >Brasy6G188100.1.p pacid=40049069 transcript=Brasy6G188100.1 locus=Brasy6G188100 ID=Brasy6G188100.1.v1.1 annot-version=v1.1 MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQTPIAFLDRVKDDFTKRYGGGKAATAGASSLNREFGSKLKEHMQYCVDNPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCNK* >Brasy6G114200.1.p pacid=40049070 transcript=Brasy6G114200.1 locus=Brasy6G114200 ID=Brasy6G114200.1.v1.1 annot-version=v1.1 MAIAIPSGLPSPCSLLMAILVLLIIQVQGITRHYDFNVQMANVTRLCATKSIVTVNGEFPGPALVAREGDRVLVRVTNQVSHNMTLHWHGIRQLRSGWADGPAYVTQCPIQTGRSYVYNFTITGQRGTLWWHAHISWLRATVYGAIVILPKLGVPYPFAAPHEEVPVLFGEWWKADTEAVVKQALQTGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKTYLLRLINAALNDELFFSIANHTLTVVEVDAVYVKPFTVDTIVISPGQTTNVLLTAKPFYPKANFYMSASPYSTIRPGTFDNTTVAGILEYHNPSSGSASSSFNKDLPLFRPTLPRFNDTGLITNFTSKLRSLATASYPAAVPQSVDKRFFFTIGLGTLPCPANTTCQGPTNMTRFAAAVNNVSLVLPSTALLQSHYTGMGMSKGVYASNFPTAPISTFNYTGTPPNNTNVAQGTRLLVLPFNASVELVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPSDLPKC* >Brasy6G254200.1.p pacid=40049071 transcript=Brasy6G254200.1 locus=Brasy6G254200 ID=Brasy6G254200.1.v1.1 annot-version=v1.1 MSLSPENLFDDLIVQILVRLPPDEPECLVRASLVCKPWYSLITGCTFIRHYREFHRLPPLLGFLRVEVDEFISHFVPTTAFRPPESCLSNCLTLDCRHGRVLLRDTGSVDLLLWDPLTGEKIHLREPEVAECYFTAAVAYACLYSSVTDEWSDVTKLQLEYSFTTLPMAPVLVGDALHYMSDFGIILRYDVGSKRCISVFDQVDDYSDDIVLMLTEDGGLGLASIDMLTLCLWSLKTGPDEAIRWEKLRSINLKMLPNHNPSFLAPSLVGFVQGMDSRTIFMSTHTCILMIDLKSEQGVKGVPDG* >Brasy6G221200.1.p pacid=40049072 transcript=Brasy6G221200.1 locus=Brasy6G221200 ID=Brasy6G221200.1.v1.1 annot-version=v1.1 MKESGYSQHDAIVYIKVHCNYESLGQSLMPDGHVDSFLVPCFCRKLFHDSHPSISGKHHFFSYIGESILKYETERNMTLIRTSFVGAGKASLDKRLGRSVMLQFPICLDKHWFLFVVHLGYQLFAFLDSFYSSESDYHEEIRGPMINNFIHLWEEIVDPIHSFDKFRILYPKVPRQRNLHDCGVFMMKCMEIWDPTVTLSDQFNVSDIPNIRIQYANQLYFSNQNTVDKNVVTNYYH* >Brasy6G221200.2.p pacid=40049073 transcript=Brasy6G221200.2 locus=Brasy6G221200 ID=Brasy6G221200.2.v1.1 annot-version=v1.1 MKESGYSQHDAIVYIKVHCNYESLGQSLMPDGHVDSFLVPCFCRKLFHDSHPSISGKHHFFSYIGESILKYETERNMTLIRTSFVGAGKASLDKRLGRSVMLQFPICLDKHWFLFVVHLGYQLFAFLDSFYSSESDYHEEIRGPMINNFIHLWEEIVDPIHSFDKFRILYPKVPRQRNLHDCGVFMMKCMEIWDPTVTLSDQFNVSDIPNIRIQYANQLYFSNQNTVDKNVVTNYYH* >Brasy6G220300.1.p pacid=40049074 transcript=Brasy6G220300.1 locus=Brasy6G220300 ID=Brasy6G220300.1.v1.1 annot-version=v1.1 MPTMPAAGESPRDGVDVVEDVFGLLRVLRDGTIVRSPDPPAFCPKTFPTEHPSVQWREAVYDESNNLRVRIYYNPDAAMAAEKQKQKLPVLVYFHGGGFCIGSCAWANTHSFCLRLAADAGAVVLSAGYSLAPEHRLPAALHDAAAVLTWLAAATAAGEDDGEGDTWRLLAEVADFRRVFVTGDSAGGTLAHHLAVSSGSGEKAALVSNDVTVNVKGYVLLMPFFGGERRTASEEADQSTQLMSRDTLDRYWRLALPAGATRDHPLANPFGPDSPGLDAVELPPVLVVAAGGDMLRDRVVDYAGRLAAMGKPVELVEFAGEPHGFFTLDPWNEPTGDLIRLVRRFVHGDACVGEETKTAA* >Brasy6G209000.1.p pacid=40049075 transcript=Brasy6G209000.1 locus=Brasy6G209000 ID=Brasy6G209000.1.v1.1 annot-version=v1.1 MPRLSPGAETAEPSPYSTSYVEFRDHCSVQACLSSSMPPLQEEHQTLGSHVPGRVPYQHFGSPRLLEQDKPRPDKRNQALLDSASPVHQHCRIAYLHDSARENACRLMLEQLSSR* >Brasy6G181400.1.p pacid=40049076 transcript=Brasy6G181400.1 locus=Brasy6G181400 ID=Brasy6G181400.1.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVRQTLHYFTGSYCPMFFSKVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.2.p pacid=40049077 transcript=Brasy6G181400.2 locus=Brasy6G181400 ID=Brasy6G181400.2.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVRQTLHYFTGSYCPMFFSKVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.3.p pacid=40049078 transcript=Brasy6G181400.3 locus=Brasy6G181400 ID=Brasy6G181400.3.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.4.p pacid=40049079 transcript=Brasy6G181400.4 locus=Brasy6G181400 ID=Brasy6G181400.4.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.5.p pacid=40049080 transcript=Brasy6G181400.5 locus=Brasy6G181400 ID=Brasy6G181400.5.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQEDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.6.p pacid=40049081 transcript=Brasy6G181400.6 locus=Brasy6G181400 ID=Brasy6G181400.6.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQEDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.7.p pacid=40049082 transcript=Brasy6G181400.7 locus=Brasy6G181400 ID=Brasy6G181400.7.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVYPVAVMGGEMYMSALKKPFPVLPMVASQGITIGSIKSYMEAGASAVVLSDAIFSKELMGEKKFVEISELANRATLQALQSGK* >Brasy6G181400.8.p pacid=40049083 transcript=Brasy6G181400.8 locus=Brasy6G181400 ID=Brasy6G181400.8.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVRQTLHYFTGSYCPMFFSKVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVS* >Brasy6G181400.9.p pacid=40049084 transcript=Brasy6G181400.9 locus=Brasy6G181400 ID=Brasy6G181400.9.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQDRDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVS* >Brasy6G181400.10.p pacid=40049085 transcript=Brasy6G181400.10 locus=Brasy6G181400 ID=Brasy6G181400.10.v1.1 annot-version=v1.1 MFVAAAQPPLPTSQLRRRRRDPSRPPKALASILRSRVIACLRAQEDMALQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLSVDDARKAIRAGAQFLMSPGTVREILHELEESEVLYIPGVLTPTEIISACNAGAKIVKVS* >Brasy6G157100.1.p pacid=40049086 transcript=Brasy6G157100.1 locus=Brasy6G157100 ID=Brasy6G157100.1.v1.1 annot-version=v1.1 MRWQAERSFELTTSSMETMQKPLLVRCQEPFLPEMLEFADRSKVEVAVWELLVGLNYVWRLGKAGRALRLRCGRVDSSDGLSSVAPQWHRHVSLLSVFHQSVLN* >Brasy6G087700.1.p pacid=40049087 transcript=Brasy6G087700.1 locus=Brasy6G087700 ID=Brasy6G087700.1.v1.1 annot-version=v1.1 MLRLNNMLPKRLEGVVLLFLLMVSNASGAFVGIHVGTGVSDLPSPSDIVSILKEKRIQHVRLVDSDHKMLVALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGDEVLTTIPNAALVLVPALQFLQSALLAANLNTQVKISSPHSMDMISKAFPPSTATFNSTWSSIMSQYLQFLNSTGSSFMLNAQPYYGYVKGQGVFPLEYALFRSLNPNSKIADPNSNLFYTNMFDAMVDAAYNSMQAMNFTGIPVMVTASGWPWHGARNEPAADVDNALAYNTNLIRHVLNNSGTPSQPKNQVSTYLFELFSEDRRAGPVSEQNWGIMFTNASAVYSLAFEDVAANNTDSPALRGMFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTQTSGGTCNFNGTATISSTDPSHGSCIFSGSTGANGSGNGAASGPVSQDSFASGLQSCWLAHLVVLLPVVLLL* >Brasy6G087700.2.p pacid=40049088 transcript=Brasy6G087700.2 locus=Brasy6G087700 ID=Brasy6G087700.2.v1.1 annot-version=v1.1 MLRLNNMLPKRLEGVVLLFLLMVSNASGAFVGIHVGTGVSDLPSPSDIVSILKEKRIQHVRLVDSDHKMLVALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITYIAVGDEVLTTIPNAALVLVPALQFLQSALLAANLNTQVKISSPHSMDMISKAFPPSTATFNSTWSSIMSQYLQFLNSTGSSFMLNAQPYYGYVKGQGVFPLEYALFRSLNPNTSGWPWHGARNEPAADVDNALAYNTNLIRHVLNNSGTPSQPKNQVSTYLFELFSEDRRAGPVSEQNWGIMFTNASAVYSLAFEDVAANNTDSPALRGMFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTQTSGGTCNFNGTATISSTDPSHGSCIFSGSTGANGSGNGAASGPVSQDSFASGLQSCWLAHLVVLLPVVLLL* >Brasy6G075600.1.p pacid=40049089 transcript=Brasy6G075600.1 locus=Brasy6G075600 ID=Brasy6G075600.1.v1.1 annot-version=v1.1 MASRGCRRWLGSLPALDVALLLLATVAAAGSLDRPALSRPPLVLPLTLSYPNASRLASSRRVLGDGGRPSARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSTYSPVKCSADCTCDNDKSQCTYERQYAEMSSSSGVLGEDIVSFGTESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVIGDSFSMCYGGMDIGGGAMVLGAMPSPPDMVFSRSDPVRSPYYNIELKEIHVAGKALRLDPRIFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVRPLKKIRGPDPNYKDICFAGAGRNVSQLSQAFPDVDMVFGDGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHVSGTPSPAPSSDPGSLGDLSPAPAPSGLPEFDVGLITLYMSINVTYPNLKPHLNELAELLAKELEIDSRQVQVMNVTAQGNSTLIRWDIFPAGSSNSMSNATAMDIIYRLTQHHVQLPEHLGSYQLLEWNVQQPLSRRSWLQEHVVSILVGILLAILLSLSAFLGLYLWRKKFRGQVAYRPVGSVGPEQELQPL* >Brasy6G075600.2.p pacid=40049090 transcript=Brasy6G075600.2 locus=Brasy6G075600 ID=Brasy6G075600.2.v1.1 annot-version=v1.1 MSSSSGVLGEDIVSFGTESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVIGDSFSMCYGGMDIGGGAMVLGAMPSPPDMVFSRSDPVRSPYYNIELKEIHVAGKALRLDPRIFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVRPLKKIRGPDPNYKDICFAGAGRNVSQLSQAFPDVDMVFGDGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHVSGTPSPAPSSDPGSLGDLSPAPAPSGLPEFDVGLITLYMSINVTYPNLKPHLNELAELLAKELEIDSRQVQVMNVTAQGNSTLIRWDIFPAGSSNSMSNATAMDIIYRLTQHHVQLPEHLGSYQLLEWNVQQPLSRRSWLQEHVVSILVGILLAILLSLSAFLGLYLWRKKFRGQVAYRPVGSVGPEQELQPL* >Brasy6G212900.1.p pacid=40049091 transcript=Brasy6G212900.1 locus=Brasy6G212900 ID=Brasy6G212900.1.v1.1 annot-version=v1.1 MASGSSAGRQPYDEEAASSRPLEVGVGHSASASGDQSGSPIKQTGNSEEDSAKGRKKGPKFGSRGYVTGDYRRKVFLDCMACESETSEIHRTCCDKVYCRLCAASCCEQNEHSIYTRFLSSTNPKIIPFPEQFIVPEPYLPPPFPYYFHGMATLESMKDSNKERITHEFINLREKEINIKEARRIVHYYSFVNKEQVHSGVHRTTSGQP* >Brasy6G212900.2.p pacid=40049092 transcript=Brasy6G212900.2 locus=Brasy6G212900 ID=Brasy6G212900.2.v1.1 annot-version=v1.1 MASGSSAGRQPYDEEAASSRPLEVGVGHSASASGDQSGSPIKQTGNSEEDSAKGRKKGPKFGSRGYVTGDYRRKVFLDCMACESETSEIHRTCCDKVYCRLCAASCCEQNEHSIYTRFLSSTNPKIIPFPEQFIVPEPYLPPPFPYYFHGMATLESMKDSNKERITHEFINLREKEINIKEARRIVHYYSFVNKEQVHSGVHRTTSGQP* >Brasy6G143500.1.p pacid=40049093 transcript=Brasy6G143500.1 locus=Brasy6G143500 ID=Brasy6G143500.1.v1.1 annot-version=v1.1 MTRNFTDKEDEVLVAAWLHASMDPIVGTEQKHATYWNRIHEEYELHKPQGSERNVNSISHRWSVVKEQVGRFCGCYEQIMHRHESGKTEQDKIVDALKLFKSQDKTHKGFVLLHCWNMLRFEQKWLAQADRSSHSNKKQKSSSNASPSMSTPGVDAIHIDDFETTSPVKVGHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETSRQAREMARETARQARDDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNNDLSSLDDDQKEYYKMMRRDIIDRRSKRSI* >Brasy6G158300.1.p pacid=40049094 transcript=Brasy6G158300.1 locus=Brasy6G158300 ID=Brasy6G158300.1.v1.1 annot-version=v1.1 MGRPTPCPASSVGTTARSWERPLVHKDGRRVGDLGAARGGPVETARGGPGDVLRHARPRRGGRPLVHGDGSWGGGSPMEPARRPRPLNEDGISSLLGPPPSMGTGPRATAPRRQ* >Brasy6G009000.1.p pacid=40049095 transcript=Brasy6G009000.1 locus=Brasy6G009000 ID=Brasy6G009000.1.v1.1 annot-version=v1.1 MDAISCLPPLPAATYHTSTSAAIARALNFPMPAELDPCSSSSPLFADLVPGSVGNWFASESSPPMVASVPGPGNASKRQQQQQLGLGPRAGKRRAKRRPSKRAPTTYISTDTANFRFMVQHVTGAHHADGLLAVDVVDGFLSPAAASASNLLLAQGAAAPYGCGAAFSNKDDGGMILVAEDGAAALQQQHQHRQQQVQQQQACFPTLDSWSVIYHDHQI* >Brasy6G072600.1.p pacid=40049096 transcript=Brasy6G072600.1 locus=Brasy6G072600 ID=Brasy6G072600.1.v1.1 annot-version=v1.1 MASRTLSFYSLASAFAPYAPRSRLPHPPLRLLGLHLSSGHLLRASTLSTAAATDAYADADADPYEGVDTVEQLLAPKPASPGPARMGRLMKMQRRADGEGLAAGRGRWFPYLDAFRGAGGVDVTSQEIVDVLEPYILEPRQDRIRRAAESRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCENNKRYRDNRHVSMGAEKWLDIELWNSPAECFDALKKRGYRIATTCLGTDSVSVYDMDWSHPTAIVVGNETMGISDVALNLSDLHCCVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGQHGDLLPEESRILLAEFYLRHRESTATVIHEYAKRKAANFVAKL* >Brasy6G072600.3.p pacid=40049097 transcript=Brasy6G072600.3 locus=Brasy6G072600 ID=Brasy6G072600.3.v1.1 annot-version=v1.1 MASRTLSFYSLASAFAPYAPRSRLPHPPLRLLGLHLSSGHLLRASTLSTAAATDAYADADADPYEGVDTVEQLLAPKPASPGPARMGRLMKMQRRADGEGLAAGRGRWFPYLDAFRGAGGVDVTSQEIVDVLEPYILEPRQDRIRRAAESRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCENNKRYRDNRHVSMGAEKWLDIELWNSPAECFDALKKRGYRIATTCLGTDSVSVYDMDWSHPTAIVVGNETMGISDVALNLSDLHCCVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGQHGDLLPEESRILLAEFYLRHRESTATVIHEYAKRKAANFVAKL* >Brasy6G072600.2.p pacid=40049098 transcript=Brasy6G072600.2 locus=Brasy6G072600 ID=Brasy6G072600.2.v1.1 annot-version=v1.1 MASRTLSFYSLASAFAPYAPRSRLPHPPLRLLGLHLSSGHLLRASTLSTAAATDAYADADADPYEGVDTVEQLLAPKPASPGPARMGRLMKMQRRADGEGLAAGRGRWFPYLDAFRGAGGVDVTSQEIVDVLEPYILEPRQDRIRRAAESRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCENNKRYRDNRHVSMGAEKWLDIELWNSPAECFDALKKRGYRIATTCLGTDSVSVYDMDWSHPTAIVVGNETMGISDVALNLSDLHCCVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLVISGAE* >Brasy6G147400.1.p pacid=40049099 transcript=Brasy6G147400.1 locus=Brasy6G147400 ID=Brasy6G147400.1.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKAHGGLGQIQTAIAGAFYGNGRPEVADTHIPKVTITAMHIHKQH* >Brasy6G147400.8.p pacid=40049100 transcript=Brasy6G147400.8 locus=Brasy6G147400 ID=Brasy6G147400.8.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVPSLCIQVCFKISEYFSCCFNL* >Brasy6G147400.9.p pacid=40049101 transcript=Brasy6G147400.9 locus=Brasy6G147400 ID=Brasy6G147400.9.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQAHGGLGQIQTAIAGAFYGNGRPEVADTHIPKVTITAMHIHKQH* >Brasy6G147400.4.p pacid=40049102 transcript=Brasy6G147400.4 locus=Brasy6G147400 ID=Brasy6G147400.4.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVCGV* >Brasy6G147400.5.p pacid=40049103 transcript=Brasy6G147400.5 locus=Brasy6G147400 ID=Brasy6G147400.5.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVCGV* >Brasy6G147400.6.p pacid=40049104 transcript=Brasy6G147400.6 locus=Brasy6G147400 ID=Brasy6G147400.6.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQFTFQGTDFLLW* >Brasy6G147400.7.p pacid=40049105 transcript=Brasy6G147400.7 locus=Brasy6G147400 ID=Brasy6G147400.7.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQFTFQGTDFLLW* >Brasy6G147400.2.p pacid=40049106 transcript=Brasy6G147400.2 locus=Brasy6G147400 ID=Brasy6G147400.2.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQVCGV* >Brasy6G147400.3.p pacid=40049107 transcript=Brasy6G147400.3 locus=Brasy6G147400 ID=Brasy6G147400.3.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRRGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQVCGV* >Brasy6G230800.1.p pacid=40049108 transcript=Brasy6G230800.1 locus=Brasy6G230800 ID=Brasy6G230800.1.v1.1 annot-version=v1.1 MATNSVAIQGQDFTFDAVADAASTQEDVFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLPALSGGSMGCERGLTPRVFEQLFSRIKEEQAKHVDKELTYNCTCSFLEIYNEQITDLLDPLQKNLQVREDVKTACVYVESLTKEFVFSVNDVNQLLVKGLANRRTGSTSANVDSSRSHCVFTCVIKSESKNLQDGSNCIRISRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSALGNLINILAEISQSGKQRHIPYRDSKLTFLLQESLGGNAMLAMICAVSPSERCKSETLSTLRFAQRAKAIKNNAVVNEQKEEDVNALHEQIRHLKDELHRMKSNVGSEGGNASFSTGWNARRSLHLLKMSLNRPTTFQAIKEDSDEEMEIDENDVEDPCNHESISKKFQASGDIHAGSSHIDAFDGDKNSLPTKRSCSDANKLGDDRCKLNLAASIQRGLQVIESHQNNGAWRRASVGLNARIREVLPCKVDVATQTDPEEPHARDNTLALVPTSLVEVSSNESKDPDACRDLQLVPADDAVPSDELKQQKILKAVEKVLAGAIRREMARDEQCAKQAVEIQQLNRLVQQYKHERECNAVIAQAMEGKIARLESLMDGTLPTDEFVHEEYLSLMNEHKILQKKYDNHPEVLHAEIELKVLQQELDLCRNYMDEKEVLQEEIHHLKSQLHYMLSSSASIRRLWPPLQLCQSINPSPGAKDGDGDGDTNVTDTPDCAEAESKWITLTEELRVELEANKSLVGRLRSELESEKKCSEELKEALQTAMQGHARILEQYAELEERHIGLLAVHRRIREGVEDVKTRAAKAGVKGAELRFINSLGAEISILRAENKGLQDQLRDTAEAVQAAGELLVRLKEAEEATSVAQKRASLAEQETEKAYQEIDNLKKNYDQEIVALNQRLAEYCQQNNNALLPAEQRLASSNLEAASTDDTVGSGPGDQQWPRELDAIHQRGSLEVTKSNDLNTWFSGYDRCNI* >Brasy6G230800.2.p pacid=40049109 transcript=Brasy6G230800.2 locus=Brasy6G230800 ID=Brasy6G230800.2.v1.1 annot-version=v1.1 MATNSVAIQGQDFTFDAVADAASTQEDVFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLPALSGGSMGCERGLTPRVFEQLFSRIKEEQAKHVDKELTYNCTCSFLEIYNEQITDLLDPLQKNLQVREDVKTACVYVESLTKEFVFSVNDVNQLLVKGLANRRTGSTSANVDSSRSHCVFTCVIKSESKNLQDGSNCIRISRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSALGNLINILAEISQSGKQRHIPYRDSKLTFLLQESLGGNAMLAMICAVSPSERCKSETLSTLRFAQRAKAIKNNAVVNEQKEEDVNALHEQIRHLKDELHRMKSNVGSEGGNASFSTGWNARRSLHLLKMSLNRPTTFQAIKEDSDEEMEIDENDVEDPCNHESISKKFQASGDIHAGSSHIDAFDGDKNSLPTKRSCSDANKLGDDRCKLNLAASIQRGLQVIESHQNNGAWRRASVGLNARIREVLPCKVDVATQTDPEEPHARDNTLALVPTSLVEVSSNESKDPDACRDLQLVPADDAVPSDELKQQKILKAVEKVLAGAIRREMARDEQCAKQAVEIQQLNRLVQQYKHERECNAVIAQAMEGKIARLESLMDGTLPTDEFVHEEYLSLMNEHKELDLCRNYMDEKEVLQEEIHHLKSQLHYMLSSSASIRRLWPPLQLCQSINPSPGAKDGDGDGDTNVTDTPDCAEAESKWITLTEELRVELEANKSLVGRLRSELESEKKCSEELKEALQTAMQGHARILEQYAELEERHIGLLAVHRRIREGVEDVKTRAAKAGVKGAELRFINSLGAEISILRAENKGLQDQLRDTAEAVQAAGELLVRLKEAEEATSVAQKRASLAEQETEKAYQEIDNLKKNYDQEIVALNQRLAEYCQQNNNALLPAEQRLASSNLEAASTDDTVGSGPGDQQWPRELDAIHQRGSLEVTKSNDLNTWFSGYDRCNI* >Brasy6G122200.1.p pacid=40049110 transcript=Brasy6G122200.1 locus=Brasy6G122200 ID=Brasy6G122200.1.v1.1 annot-version=v1.1 MGDATSNHPVLVPATGLGQSNLDAGGFIALDVGALSSLAGDGPDTTTTAAAAATPRTPRVVRSLSRKGSERKQADGDTNGTAGGGSGAGTGGDRPPLSPLFVHVAAADDGLRLAHTPGAVGTPGGKSRRLGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSKMDSAGSGTGAADSDAR* >Brasy6G035400.1.p pacid=40049111 transcript=Brasy6G035400.1 locus=Brasy6G035400 ID=Brasy6G035400.1.v1.1 annot-version=v1.1 MAAGSACCWGSYGWTGWWVGGWGCCCWLTRTICGGGDGGGGGGRPAAAAAAGRGCGGNGDERRRGEWPSSVLLLLGFLACVWGKTTHAVAGALGWSLVSHAPPRCFAFRCVSLICSSNFRRLSYRVDSE* >Brasy6G262800.1.p pacid=40049112 transcript=Brasy6G262800.1 locus=Brasy6G262800 ID=Brasy6G262800.1.v1.1 annot-version=v1.1 MAMAGAGGGEWPFFSGDDDSSALLAELGWVVDDCALLLPPLELHPPPPDEGGGAASSCSTDDGAATPEPTAGADGKPATGATEAASKPAPAPGKKGQNNNKRARQPRFAFMTKTEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSSDPSVVITTYEGQHCHHTVTFPRHHFSPHHGHHLLYNDEHPPPPMHGYSPSSSLFCRPSSSSSTSSLLQQLHCNRQELQAVSSYTAASSTVPAVDKGLLDDMVPPAMRHG* >Brasy6G157500.1.p pacid=40049113 transcript=Brasy6G157500.1 locus=Brasy6G157500 ID=Brasy6G157500.1.v1.1 annot-version=v1.1 MAPTGQCQALLLLLLAAAAAAAAAAADEAFLRLPSFAPYAGSLAQKTPRSAGADLIRALNLHPRDSSPRRSGSGDALPAGTLVERPIRLASLVAGSDGAGDTSVSNLGHHAGYYRLANTHDARLFYFFFESRRHKKEDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLLWNDFGWDKESNLIYVDQPTGTGFSYSSDSRDTRHNEASVSNDLYEFLQAFFKEHPEYAENDFYITGESYAGHYIPAFATRVHQGNKKKQGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMDLITQSQFNKINKIVPTCELAIKLCGTSGTVSCFAAYIVCNTIFSSIRLIIGSKNYYDIRKPCVGSLCYDFSNLEKFLNLKSVRQSLGVGDIEFVSCSPTVYQAMLLDWMRNLEVGIPELLESDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKKAFVSSTEESFTVDGKEAGVLKSYGPLSFLKVHDSGHMVPMDQPKAALEMLSRWISGNLSDASSSSQQLDFAM* >Brasy6G228800.1.p pacid=40049114 transcript=Brasy6G228800.1 locus=Brasy6G228800 ID=Brasy6G228800.1.v1.1 annot-version=v1.1 MERRRAVATRKKGGGPDSESEVAAAGGAPRSSSSGDRGMGEVGPGVVGRWCGCLGLWRGRGRLGGRGRRRRLGGGAAEGGDPATEGWERLGRASSAGGVAAWGCGEGEGGLGAEEGEGGLGADRRSRGGRREGGVDGMLAAHGS* >Brasy6G198400.1.p pacid=40049115 transcript=Brasy6G198400.1 locus=Brasy6G198400 ID=Brasy6G198400.1.v1.1 annot-version=v1.1 MTPAEAAWFGYSAAVPDRWLYCHNVPILLLVYTLAPLPLALLELTAAGASSLVHRYKLQPRVRLSPAAFLRCYLDTARGLLLTVGPLSLVSYPAGKIHPCLRKFETPNMGRRDVAAQLVVYLLVEDYLGYWMHRLLHTKWGYNKIHHVHHEFTAPIGFAAPYAHWAEVLILGVPAFTGPAIVPCYMTTFWLWFVLRHIEAIDHIDAHEYVCRVQICAIHPRLFSFPLNPTKFIPFYGGAEHHDYHHRVGGKSQSNFSSIFTFCDYLYGTDKGYRYHKASLAI* >Brasy6G084800.1.p pacid=40049116 transcript=Brasy6G084800.1 locus=Brasy6G084800 ID=Brasy6G084800.1.v1.1 annot-version=v1.1 MSELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSAAGGSSGAPSGAAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITTIRGTNYRSPHGIPSDFLDRLLIITTQPYTEDEIRKILDIRCEEEDVDMSADAKVLLTKIGTETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFSEVQGEADGDDAMQS* >Brasy6G232800.1.p pacid=40049117 transcript=Brasy6G232800.1 locus=Brasy6G232800 ID=Brasy6G232800.1.v1.1 annot-version=v1.1 MGNNNGLRSVIVCALILGLVLEQYQVEGKSCCKTTMARNCYNVCRFGGGARPVCARSCGCKIIKGSTCPNGFPTMHLPADSREPDAIKYCNIGCSSTVCDKMDHVFRSEEMKINVELCLDACVSFCNVDAAVESVAA* >Brasy6G024900.1.p pacid=40049118 transcript=Brasy6G024900.1 locus=Brasy6G024900 ID=Brasy6G024900.1.v1.1 annot-version=v1.1 MASGAGVAAAACYYCDGAASAVVYCRADAAGLCLPCDRLVHAANTVSSRHARVPLCAACHAAPASIHSAARFLCSDCHSNNSDDDGGVAVEGYTGCPSAGELATILGDARGHGNEAAAANGDDEGWWLPVWEKESPVISMDDVIVPTTCHRLLTSSSSCPKGRGRSSPCGELDGEVLRQLRELATSEAAAAAYVEAKPVAPWGPSDYYAADHGDLGALGDEGTFEAAIMAVPSCDQFNRSATFFQHDRYDTLPHQAWIAADLPGVVEAAERELAPAEPTLSSLVLEIADVAPARAAAQPKDDDDEGRRDDHRPSPSAAAPAEEARLQPGGRSVGGYDIAYPDRGTVISRYKEKRKNRRFGKQIRYESRKARADGRLRIKGRFAKGGSA* >Brasy6G024900.2.p pacid=40049119 transcript=Brasy6G024900.2 locus=Brasy6G024900 ID=Brasy6G024900.2.v1.1 annot-version=v1.1 MASGAGVAAAACYYCDGAASAVVYCRADAAGLCLPCDRLVHAANTVSSRHARVPLCAACHAAPASIHSAARFLCSDCHSNNSDDDGGVAVEGYTGCPSAGELATILGDARGHGNEAAAANGDDEGWWLPVWEKESPVISMDDVIVPTTCHRLLTSSSSCPKGRGRSSPCGELDGEVLRQLRELATSEAAAAAYVEAKPVAPWGPSDYYAADHGDLGALGDEGTFEAAIMAVPSCDQPHQAWIAADLPGVVEAAERELAPAEPTLSSLVLEIADVAPARAAAQPKDDDDEGRRDDHRPSPSAAAPAEEARLQPGGRSVGGYDIAYPDRGTVISRYKEKRKNRRFGKQIRYESRKARADGRLRIKGRFAKGGSA* >Brasy6G016900.1.p pacid=40049120 transcript=Brasy6G016900.1 locus=Brasy6G016900 ID=Brasy6G016900.1.v1.1 annot-version=v1.1 MRSTNNFVGRQTWEFDPELGTPEELSQIENAREEYRKNRFRRRECDDLLTF* >Brasy6G166800.1.p pacid=40049121 transcript=Brasy6G166800.1 locus=Brasy6G166800 ID=Brasy6G166800.1.v1.1 annot-version=v1.1 MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAHIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGLDLTKMTSKELISKYGLDDNTIDFIGHAVALHKDDSYLSEPAIDIVKRMKLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDDEGKVCGVTSEGETAKCKKVVCDPSYVPEKVKKVGKVFRAIAIMSHPIPNTAESHSVQIIIPQKQLGRKSDMYVFCCSYSHNVASKGKFIAFVSAQAESDNPEAELKPGIDLLGPVDELFIDIYDRSEPANDPSSDNCFISTSYDATTHFESTVMDVLSLYTKITEKTVDLSVDLSAASAAEDDF* >Brasy6G014000.1.p pacid=40049122 transcript=Brasy6G014000.1 locus=Brasy6G014000 ID=Brasy6G014000.1.v1.1 annot-version=v1.1 MANMNITGILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTNIVLQQLEDASGDVSGLAVKCLAPLVKKVGEERVVEMGNKLCDKLLNGKEQHRDTASIALKTIIVEVTTTSLAEKILIALAPQLINGVTNGKTAEIKCECLDILGDVLHRFGNLITKDHASMLTALLSQLNCTQASVRKKSVSCIASLAPCLSDDLLAKATLHVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLGEAVPLLINYCTSASENDEELREYSLQALESFVLRCPRDISPYCEGILNLSLEYVSYDPNFTDSMEEDTDDEGHDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPQMLSKMYQEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADLFGSLVPGIEKALNDKSSTSNLKIEALAFLRIVMASHSPSVFHPYIKALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEAHSLDFRPYIGPIYNAILARLANQDQDQEVKECAISCMSLVISTFADGLQRELPSCLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETILAELSTLISDMDLHMAALALELCCTIIVDRKSIQNVSLAVRSKVLPQALILIRSALLQGQALQALQKFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSSTNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIVKILALLFNHCESEEEGVRNVVAECLGKIALIEPQKLIPALKERTRSPAANTRATVAIAIKYSIVERAGKIDEIMCSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPSLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPIEKTISHKPKGDAVKQEVDRNEDMIRSALRAIAALSRLSGSDYSLKLKNLMNKITATPSLAEKYNSVRSE* >Brasy6G117800.1.p pacid=40049123 transcript=Brasy6G117800.1 locus=Brasy6G117800 ID=Brasy6G117800.1.v1.1 annot-version=v1.1 MAAVASRAGAARAGFRRMFSVSAFSPPPPPAARPAAEPCNNLFVSGLNKRTTTDGLREAFSKFGQVTEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPPQSAGTPSGYQYSS* >Brasy6G137600.1.p pacid=40049124 transcript=Brasy6G137600.1 locus=Brasy6G137600 ID=Brasy6G137600.1.v1.1 annot-version=v1.1 MGRLRLLEAPPGSLGICYTYSRSDLACVSA* >Brasy6G075700.1.p pacid=40049125 transcript=Brasy6G075700.1 locus=Brasy6G075700 ID=Brasy6G075700.1.v1.1 annot-version=v1.1 MQTCQDTFATQIRSSDQSQIRHSALLLRRMLRAMHLAFRSENSSRRAAVTSLRGLAGHFSTASTNNCQRLAGKVALITGAASGIGKATAIEFVRNGAKVIIADIQDSLGCSLAASLGPDAAEYTRCDVTDEAQIAAAVDLAVSRHGRLDVLYSNAGISSGTHPSRIAELDLADFDRVMAANARSAVAAVKHAARVMAPRGGGCVLCTGSTTGMMGGVAALPYSLSKAAVVAAVRLAAAELARAGVRVNAISPHAIPTPMVVAALARAHPGVGDEALRGMVERGIGMGGGGILGAVLEVEDVARAAVYLASDEAKYVTGHNLVVDGGFTVGKPIDVSAAT* >Brasy6G163900.1.p pacid=40049126 transcript=Brasy6G163900.1 locus=Brasy6G163900 ID=Brasy6G163900.1.v1.1 annot-version=v1.1 MGRPRATAAAGTAASRPRRANAKSKPAFLSPAAPATRKRTVRARAPSASSPSSPSPSPSSPTDVSFVASPEPAPKSRSRKPSSKAKAKPAARSPSLASPRPVSPLSAGAPAAAAAVVSSVGDLRSAAASQMESLRHRLDGLHSRVHTDLDASLSRASKRFKTQNQACQQLTDEVDNEYRKISDNLKENAEKLKAKYKQIMAETQSSTSRACKVTIPEITKSVEKAIDGLRSHYNISMPV* >Brasy6G163900.2.p pacid=40049127 transcript=Brasy6G163900.2 locus=Brasy6G163900 ID=Brasy6G163900.2.v1.1 annot-version=v1.1 MGRPRATAAAGTAASRPRRANAKSKPAFLSPAAPATRKRTVRARAPSASSPSSPSPSPSSPTDVSFVASPEPAPKSRSRKPSSKAKAKPAARSPSLASPRPVSPLSAGAPAAAAAVVSSVGDLRSAAASQMESLRHRLDGLHSRVHTDLDASLSRASKRFKNQACQQLTDEVDNEYRKISDNLKENAEKLKAKYKQIMAETQSSTSRACKVTIPEITKSVEKAIDGLRSHYNISMPV* >Brasy6G163900.3.p pacid=40049128 transcript=Brasy6G163900.3 locus=Brasy6G163900 ID=Brasy6G163900.3.v1.1 annot-version=v1.1 MGRPRATAAAGTAASRPRRANAKSKPAFLSPAAPATRKRTVRARAPSASSPSSPSPSPSSPTDVSFVASPEPAPKSRSRKPSSKAKAKPAARSPSLASPRPVSPLSAGAPAAAAAVVSSVGDLRSAAASQMESLRHRLDGLHSRVHTDLDASLSRASKRFKACQQLTDEVDNEYRKISDNLKENAEKLKAKYKQIMAETQSSTSRACKVTIPEITKSVEKAIDGLRSHYNISMPV* >Brasy6G119100.1.p pacid=40049129 transcript=Brasy6G119100.1 locus=Brasy6G119100 ID=Brasy6G119100.1.v1.1 annot-version=v1.1 MWNSKCALRTRTDRLGNMNPVACLRLRASAATPSFPSRCRAAPQPPRSVSRLPPRASVSTAVQSVSSGAGSQSSIADESETVEWAMQDFYALRKDVELSVDRVNEVRRSAGLELLEEEIASLEKKSGDSTLWDDPSKAQELLVALTEVKEKVKLLNDFKSQVEEADTIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTRLLSGPYDKEGAVITISAGAGGTDAQDWADMLLRMYVRWGEKQRYKSRVVEKSPGEEAGIKSATVEIEGKFAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESLDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALRRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDISGVMDGELDPFIRAYLQYKLSVASEEQSVN* >Brasy6G119100.2.p pacid=40049130 transcript=Brasy6G119100.2 locus=Brasy6G119100 ID=Brasy6G119100.2.v1.1 annot-version=v1.1 MQDFYALRKDVELSVDRVNEVRRSAGLELLEEEIASLEKKSGDSTLWDDPSKAQELLVALTEVKEKVKLLNDFKSQVEEADTIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTRLLSGPYDKEGAVITISAGAGGTDAQDWADMLLRMYVRWGEKQRYKSRVVEKSPGEEAGIKSATVEIEGKFAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESLDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALRRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDISGVMDGELDPFIRAYLQYKLSVASEEQSVN* >Brasy6G261500.1.p pacid=40049131 transcript=Brasy6G261500.1 locus=Brasy6G261500 ID=Brasy6G261500.1.v1.1 annot-version=v1.1 MSLPAGDGDGELAAGIEDLSLGGGEGEEDDRLGALPDDVLRSILLRLPSTAAAARASVLSRRWRRLWTHLPEIRFPFPSPPTSVLPALASRTSSSPGLRLIHVACRDAPAALTDAWLAAAAAPGRLAPGGEIYFYNRTPGDERQQVGAAAWQRTAFRLPCFEAAAKLWLRLGFAHLDLPPGPGAVFAGLTELRLERVHFRCGGYHLGDVLSIPQCPALRDLRISIARGVEKLTIVSETLERLELDILYGLEELTVMAPVLRALNVHACFAWRQPVAMVCVPRLELLWWNDAFDPSLTIFGIGDDDGDAEAASLQQLTTFNIPVYGRFDYALLQDYVMLLQHFPVVHRLDLKLIYERDLSQFEYLMEIITGLPNIQTLSLWLQTKGHAIGASVFHLLRICPGIRKLQVTLLDNFKVDTPCTSVCACGQQPNLSKCVTLDVLEEVEIHNFRGSEHDFAFVETLFSMSKEIKRLTITYHHLARPSEERCKDLCSLGTPGICPEIYFNTTEVLYQPPSSLFPKTPDDQL* >Brasy6G261500.2.p pacid=40049132 transcript=Brasy6G261500.2 locus=Brasy6G261500 ID=Brasy6G261500.2.v1.1 annot-version=v1.1 MSLPAGDGDGELAAGIEDLSLGGGEGEEDDRLGALPDDVLRSILLRLPSTAAAARASVLSRRWRRLWTHLPEIRFPFPSPPTSVLPALASRTSSSPGLRLIHVACRDAPAALTDAWLAAAAAPGRLAPGGEIYFYNRTPGDERQQVGAAAWQRTAFRLPCFEAAAKLWLRLGFAHLDLPPGPGAVFAGLTELRLERVHFRCGGYHLGDVLSIPQCPALRDLRISIARGVEKLTIVSETLERLELDILYGLEELTVMAPVLRALNVHACFAWRQPVAMVCVPRLELLWWNDAFDPSLTIFGIGDDDGDAEAASLQQLTTFNIPVYGRFDYALLQDYVMLLQHFPVVHRLDLKLIYERDLSQFEYLMEIITGLPNIQTLSLWLQTKGHAIGASVFHLLRICPGIRKLQVTLLDNFKVDTPCTSVCACGQQPNLSKCVTLDVLEEVEIHNFRGSEHDFAFVETLFSMSKEIKRLTITYHHLARPSEERCKDLCSLGTPGICPEIYFNTTEVLYQPPSSLFPKTPDDQL* >Brasy6G253500.1.p pacid=40049133 transcript=Brasy6G253500.1 locus=Brasy6G253500 ID=Brasy6G253500.1.v1.1 annot-version=v1.1 MIILSSPLVDQSPSTKLSSTPNHKKAGDNAQVRDLQRKVKSLEAEIEKMKKEHFLQLKQKDEFIRGLINRKNSNDPDAATTQRRVITRTSLRKAQKDTAAAGELKSPSHRFTSPIPTAKKRTFWDFGGESPSVHAVNGRKTRSHVAAETPPRAPSMLLQPGFTRQRA* >Brasy6G194000.1.p pacid=40049134 transcript=Brasy6G194000.1 locus=Brasy6G194000 ID=Brasy6G194000.1.v1.1 annot-version=v1.1 MDLLPEDAIHEILLRVPAKPLCRLRAVCRPWRSLTTNPSFIAAHTARHGPLVAAIKWIPYLDASRRSQLHLLDTSGHVVWQMRLDKNYHHLDQNGEVNLTNLDLLCLVVGAGEDKRLCVLDPACSAGPMSFLPPYCHHDTDYCHYPVYTPVAVGRVSSTGETKVLGFFTMADSLEIEGCKIITLAGAGSEWRDTGCPRPPGGSVHQTLLPGMPRCVALVKGFLYFLSYGFEEHRITGVGAYDVGQEKWRPDLLHLPATAVPGQEVGLVELSGSLVAFYYKHKKDSDAGKESGFSMDLWFLTDREKVIWSKQYTVRSYDDSTGGQPLWMLDDGRIVLSIWKYGWDTPVESSLRVYDPRTNTFTSGAEMPDYHIIGVYNGSLLKSCVDQ* >Brasy6G151900.1.p pacid=40049135 transcript=Brasy6G151900.1 locus=Brasy6G151900 ID=Brasy6G151900.1.v1.1 annot-version=v1.1 MAPPQPEELRKPSPAESREWTLRFLQALGVDESLPASAERPDAYSALIRALLSSATVSSSPAPRVSCTLLVSSAATNSYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLAAARLDSEVEVEGQILRKGRSVVVTTVEFRLKDTKKLCYTSRATFYIMPVASL* >Brasy6G151900.2.p pacid=40049136 transcript=Brasy6G151900.2 locus=Brasy6G151900 ID=Brasy6G151900.2.v1.1 annot-version=v1.1 MAPPQPEELRKPSPAESREWTLRFLQALGVDESLPASAERPDAYSALIRALLSSATVSSSPAPRVSCTLLVSSAATNSYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLAAARLDGQVTAVSYLRLKLKWKARY* >Brasy6G209500.1.p pacid=40049137 transcript=Brasy6G209500.1 locus=Brasy6G209500 ID=Brasy6G209500.1.v1.1 annot-version=v1.1 MVQDEVLLATAAILLLIPLLLKLRRHRRKHHGTSSNPPPGPWQLPVIGSLHHIAGALPHRAMRDLALRHGRPLMLLRMGQLPVVVASSPGAAREVMKTHDAAFATRPRTHTARTLTRDGLGVALAPAGEHWRRVRKLCATELLGAPRVRAFRASREAEAARLVASLASASASASASAVNVSSAVAAYVADAVVCAVTGDRIRDGEAFLESLDEGMKVGAGFSLADLFPSSRLARVLSGTARRVEAVNRQMNRLMDGVIEDKRARRAALAAAGAGDEEEEDILDVLLRTQPDGEPLDIGTIRTMIRDLFGAGSESSATTLQWAMSELMLNREALRRAQAEVRGALAGQSRVREEALPGLKYLQLVVKETLRLHAPAPLLLPRECMEPRRVLGYDVPQGAMVLVNAWAICRDTAIWGPDAEEFRPERFEEEDQAADFEFVPFGAGRRICPGISFGLAVMELALASLLFHFDWELPEGAIGLDMSGELGVTGSPPAGRRTCGFVPPFMCLPNA* >Brasy6G170900.1.p pacid=40049138 transcript=Brasy6G170900.1 locus=Brasy6G170900 ID=Brasy6G170900.1.v1.1 annot-version=v1.1 MRANPGTERVTVRLIGGCDKAVGVASCRNIWSSEGCGGSWAKVTGEAYWDTRACSKLILHFSCDFMNPPLHEQNGEVKKLSIVENNVRLYTSMVSALEGENLRLMQTMKQEKKMIERRHRVEIRGRDMKELCIAMVVAICAIRYALEALITRGFI* >Brasy6G133800.1.p pacid=40049139 transcript=Brasy6G133800.1 locus=Brasy6G133800 ID=Brasy6G133800.1.v1.1 annot-version=v1.1 MVSRSYSNLMELATGSGQEPLPSLGRRRIPRVVTASGIVPDLDCSDDDAASAASDHSSHAPRERTIIVANQLPIRASRRAGSGGGWEFSWDEDSLLRQLKDSLRAHQGRADMDFVYVGGLREDIPAADQDQVAHELFEGFRCVPTFIPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRVLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVRLGFFLHSPFPSSEIYKTLPVREELLRALLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGIHLTQLQSVLNLPETGVKVAELLKQFCDRGRLLMLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGKVVLVQIANPARGRGKDVQEVQDESYAMVRRINEAFGQPDYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNEKIDRILGLSPSTRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEIPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHNKRRCWGIGFGLKFRVVALDTSFKKLAVEHLVSAYRRTTTRAILLDYDGTLMPQTSFGKNPSSKTMDMLNSLCRDKNNMVLLASTKTRATLNEWFSPCENLGLAAEHGYFLRMRRDAEWEMCAPATDCSWKQIVEPVMKTYTETTDGSTIEDKETAIVWCYEDADPDFGSCQAKELHDHLESVLSNEAVSVKADLNHVEVKPQGVNKGLVAKRILSTMQEQGLQPDFILCIGDDRSDEDMFEVITTAVDGPYLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQALANVSNQAQSSTPSSFASD* >Brasy6G237900.1.p pacid=40049140 transcript=Brasy6G237900.1 locus=Brasy6G237900 ID=Brasy6G237900.1.v1.1 annot-version=v1.1 MRERLPVVGGGGTVARSGIGSAGSGAGAAGSRWTRSPAPPPVLQAPRADAPPAAGSRWTGAPSAAGGCSRRAGWRTEGGGYQPLRGVEDRREEVEEIGRDGGDRERWRRSGEGGGGGDRGRWMRRGERRSGEMDETGGEEIGGDG* >Brasy6G177600.1.p pacid=40049141 transcript=Brasy6G177600.1 locus=Brasy6G177600 ID=Brasy6G177600.1.v1.1 annot-version=v1.1 MAGGRRHRCKVCSLGLEPLSTRSRRAWPHLSFHLPCLISLSLLAAPRLAACCLAARPAPPLLHGGRPSSGGPGDSWARTDISRRSSIDRGQPALILPGLRFLPQPTHLLPTPFPLLLESRRPPPSLLPSRFPLQVESRRPPLSAPPHALPSGWLFLPQPTPLLPTPFPLLLESRRPPPSLLPSRFPLQVESCRPPLCAPPHALPSGWLFLPQSTPLLLTRFPLLLESRRPAPSPTDASPPHALPSAPGEPPAAALHTHLLENFRGRQAHCVARDDTMSCWGSFTELLASVGGALPASSERYSSAAIQICRPRR* >Brasy6G019900.1.p pacid=40049142 transcript=Brasy6G019900.1 locus=Brasy6G019900 ID=Brasy6G019900.1.v1.1 annot-version=v1.1 MARGAAAAAAKGGAGGKKKGSVSFVIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKERNVYELRYFNIAENDGEEED* >Brasy6G060900.1.p pacid=40049143 transcript=Brasy6G060900.1 locus=Brasy6G060900 ID=Brasy6G060900.1.v1.1 annot-version=v1.1 MICLKSIIHPSPRLHILASSPLRRRLKPSAVRAAAAMSSSSAAAAAIATPIEHFVLIKVRPESLTSGAAAAMVSSLQALSSQVPGLSYIHAGPVLRLRSPAAEALGPTHLLHSRYATKPDLAAYAAHPAHVAAVQAHVQPNALDATAVDWVNAAAGPSPVTPGSAIRLTLAKVKEGVEVARLVEEVAAATKAAGEARGSRVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEAAKAAVRPLLDEVLVLDFVAGPAGDAPAAASL* >Brasy6G022200.1.p pacid=40049144 transcript=Brasy6G022200.1 locus=Brasy6G022200 ID=Brasy6G022200.1.v1.1 annot-version=v1.1 MRRRGVELNACCYNSLLDSYTRQRNDERVAGLLKEMENRGVEPTVGTYTILVDGLSRAGDIGKVEAVFDEMKRKNVAGDVYFYSAVINAYCRSGNVRRASEVFDECVANGVEPNERTYGPLINGFCKIGQIEAAEMLLADMQLRGVGHNQIVFNTMIDGYCRHGMVEKALEIKAVMEKMGIELDIYTYNTLACGLCRVNRMEDAKKLLHIMAEKGVAPNYVSYTTLISIHSKEGDMVEARRLFRDMEGKGSRPSVVTYNVMIDGYIKNGSIREAERFKKEMEKKGLVPDIYTYAALVHGHCVNGKVDVALRLFEEMKLMGTKPNVVAYTALISGLAKEGRSEEAFQLYDDMLAAGLTPDDTLYSVLVGSLHTESRKDPLPRTT* >Brasy6G089200.1.p pacid=40049145 transcript=Brasy6G089200.1 locus=Brasy6G089200 ID=Brasy6G089200.1.v1.1 annot-version=v1.1 MAVKRSAAAAAFSVEFSPTSPTTHKRRRVYCPSDYEETGVLGEGGFGVVVSARHRATGELVAVKSLHSSSSTSSESSSDAAAVSGPLMREASFLAACRGHPSLIGLHSVSRDPATGELSIVMDYAAGPSLHDVLHVHRGSRPFPEAEVRGIMKELLGGAEHLHARGIVHRDIKPENIIVVNNNGGIKICDLGLAMSTASDAPPYTRCGTVPYMAPEVLLGMPDYGAMVDAWSLGCVMAELLAGARLFDGDEPGAQMLAIFDVLGAPGYSTWPAYKSLPLAGKLAKPPRCIRSCRRLRKLFPEEVLSREGYQVLRGLLSCNIDKRLSATAALGLPWFADSGDASAV* >Brasy6G098000.1.p pacid=40049146 transcript=Brasy6G098000.1 locus=Brasy6G098000 ID=Brasy6G098000.1.v1.1 annot-version=v1.1 MRSMGSPDSSSGSAPPQHQQQRRRAGSAPELPPGFRFHPTDEELVVHYLKKKAAKAPLPVTIIAEVDLYKFDPWELPEKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGWAREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLADSSSSGATASRPPPPVVAGGSGGRAASLRLDDWVLCRIYKKINKAAAGDQQRSMECEDSVEDAVAAYYPSSYAMAGMAGAGASAGAHGGNNYTSLIHHHHHDSHEDNFLDGLLTVTAEDHAGLSAGATSLSHLAAASRASPAAAATKQLLAPPSSSATPFNWLDASTAVGILPQDASAYHQQHVILGTSLPVPPESGAASAFQQQHPVQISGVNWNP* >Brasy6G047600.1.p pacid=40049147 transcript=Brasy6G047600.1 locus=Brasy6G047600 ID=Brasy6G047600.1.v1.1 annot-version=v1.1 MQGLTASWNHNIVLAVFLLCLACATAAAELGQRRLVSSSSLDSPANATSAPATKPTALSTTRWSNGTFLGPVTDDNALPSSATTGTAGDEDEDEGAAAERARGLYLYDGKDKYKVWMAMSLLMGADLMDPETRDIAVVGSTGDFLMARGVATLRSTDATEGLFYFRLQMDIKLYQCYV* >Brasy6G046300.1.p pacid=40049148 transcript=Brasy6G046300.1 locus=Brasy6G046300 ID=Brasy6G046300.1.v1.1 annot-version=v1.1 MAANKLAILISFALLSSPAAFHSHALQVGYYSQTCPNAEALVRAQVKKALRSNPGTGAGLIRMLFHDCFVNGCDASVLLDPTPTNPRPEKLGAPNNPSLRGYEAIDAAKSALERACPGVVSCADVVAFAARDASYLLSRGRVSFLVPAGRLDGRVSLENDTQFLPGPSSNLTTLVGSFAAMGMSKEDMVVLSGAHSIGRSHCSSFAQDRLNASSSSSPAPAADMSAPLASFLRRRCDPAAAAGVKDPTDVVTRDVLDNQFYRNVLARRVLFASDAALLEEGGAGRMVRANARFPASWERKFARAMVKMAAIGVKGPQGDDGRGEVRSNCRLVN* >Brasy6G006800.1.p pacid=40049149 transcript=Brasy6G006800.1 locus=Brasy6G006800 ID=Brasy6G006800.1.v1.1 annot-version=v1.1 MVGAGTAGSGGGEARDGSGTRQGGWPPTICEREGERESTRDGDRSGSGAAAAGGAGSTAVAAGVLQAPPQQLLQVRRAAAVAGGREMGRGSERHGTGERKRERGRGRRNLDLGLPGAAGSGDGRRRRAALPSMAAAALCWLGVLSLWRGLGRRRRLAKGKDERRAES* >Brasy6G086200.1.p pacid=40049150 transcript=Brasy6G086200.1 locus=Brasy6G086200 ID=Brasy6G086200.1.v1.1 annot-version=v1.1 MQTRGFCLVSRSLIMEGHTANGRLGVHGSQMIGDRMSTGYRAPPGQGGAVVFLGIIFLLRLNYVKTCIVKSIFHSR* >Brasy6G193900.1.p pacid=40049151 transcript=Brasy6G193900.1 locus=Brasy6G193900 ID=Brasy6G193900.1.v1.1 annot-version=v1.1 MADRGGERGGDRGGFGRGFGRGDRGGRGDRGRGGRGGRGGRRGGRQEEEKWVPVTKLGRLVREGKFQKIEQIYLHSLPVKEHQIVDTLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWTETRFVKAPYQEYTDLLAAKPAKLMIEAPVDLPEA* >Brasy6G000400.1.p pacid=40049152 transcript=Brasy6G000400.1 locus=Brasy6G000400 ID=Brasy6G000400.1.v1.1 annot-version=v1.1 MLQRAASNAYSWWWASHIRTTQSKWLDANLQDIENRVKIMLKLLGEEADSFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPEQVQYALLEEEDDNFPRAIMPINSHKIQKSTVEDILKRKRQGPSGPSRERSAPQMSKDDAQEEIGRLQKAILVMQTEKEFVKSSYESGIAKYWEIEKQIAEMQEEICHMQDEFDAHAAIEDDEARALMTMTALRSCQGTVAELVKKFEELIRIAKLESEKIMSLREKLYAMRRSFDSSEEEVGGANMTVNNRVYPVTQEILELQTIYEKIENFFENNSESSAEEMAYKVDELVDKVINLELKFPKQSAQIKQLREQNENIKNKLDDLQDEMALRDDPSDSSEDLKLVEDELDRIRVLEGSIIEEEVLVSTAFSEVFSCITNISKAFAPMVPEDLPGLSDADRDMTTPSEDVCMENFTKESTKLNGGEIRDIESQTTGDNLSRHRPWQEDDSKVVDHNSSGGTDGIYDSKRDGEGNVLTGHCLMQEEFRGKKSMEAGNHIDLIVSSDNENGHNKICEGKTDSSLEASGVLDKKGDMGNDRFEEQTLEVEYPHSAASQTHLLPSTLNNKHDYTEDGSSVEVAENLFGAENGTQDLKMDGDENHVPGNSLIQDEGFGVGDGKLPKVHGEINLADSANLQNFCEVATGNNTLPDASHSCSGDSEKKLDLWQADEAKSVEELPKQGGQLIAPENIEVLNKDNKVESSEGGQTSFGLLNAYSLEVRDDTSLYVAAGDSEETRGMNRLLSEVPTDSEDMASRVSNSQLEKKNLNVEVLAREASIFSNHGSRSGHEKSTMIAQEDVPNWLDGLEGRDTILLADYTSVLRNYKETKRRLAELEKKNQEHLEETKAVIRELRNANSMKYVEIQSLRDLIDSSEIPPNKMGNDISNQTLDREISTVEETNSRRTAALENASPFEMKFRTEIDGLVEENLQFLARYSMACHQVQHFSSRYQELQNEVENSEHKKAGGESDAVTEPEPAEKKLRELRTEVDVWFEQNALLDQDLQLKIVSLCRLQEEIAESLRSSTETDGARFTPYEAAKFQGEVQNMQQSNKKIESELLAALERMRELEGKVSYTLRKLRENFELSSRRSTRLEADSSYQNQFKHFPSRTRVPLRNFLFGTKPKKKSLFACINPTYQRQFSDF* >Brasy6G155400.1.p pacid=40049153 transcript=Brasy6G155400.1 locus=Brasy6G155400 ID=Brasy6G155400.1.v1.1 annot-version=v1.1 MLRRCGEIEAAVGGGEPEMTSTHTSSTAGTTSAPGQERPWSPREVNKWNANTSMILNIFLNSGDGNCQFHALRKAISFTRVSPACS* >Brasy6G084100.1.p pacid=40049154 transcript=Brasy6G084100.1 locus=Brasy6G084100 ID=Brasy6G084100.1.v1.1 annot-version=v1.1 MLKRRHYRLDSGWNCILCPSPPEESLEHLFFACPFSQRCWAAIGIQWNIQTNLSDRLLMSRALWRRGLFGEIFTLAAWSIWGERNAKIFHDVEPSLASWRTRLRVELQRLYHRSAKDAFRAKLTIILRDCF* >Brasy6G227700.1.p pacid=40049155 transcript=Brasy6G227700.1 locus=Brasy6G227700 ID=Brasy6G227700.1.v1.1 annot-version=v1.1 MKKEGGIAEPAADEKEVVVEKASSSPASEETGKEETVADKYKLSSDRGTFGQVVWVLRDVAGDAPKYHDLVV* >Brasy6G149800.1.p pacid=40049156 transcript=Brasy6G149800.1 locus=Brasy6G149800 ID=Brasy6G149800.1.v1.1 annot-version=v1.1 MANAGLRAYREVLRLVRRLPTDARPYYAKYAHENFVNYRHLSADDDLAALLRRAHAHSAWVLSKYSIDAAEAAGRLKDVCEQRGAE* >Brasy6G252100.1.p pacid=40049157 transcript=Brasy6G252100.1 locus=Brasy6G252100 ID=Brasy6G252100.1.v1.1 annot-version=v1.1 MLGFVVNLKEHFDGMARFVPSSSFVPSAPGRAGMNVLDARHGRVLLHGVERRHGLFVWDPITDEQRKIPGMPAYVDCFNAAVLCAAAGCDHLDCRGGPFKVAFVGFGEGGITFACTYSSETGNWSERIMIEEPACVDDRPSVLVGDTVYFACDPWIRSKIIAYDVVAQELSVIWPPPQHDDYSSTAIMTAEDGTLGFAGVQNARLFFEDNANASLHLWSMKPGPDGYPEWTEQRVIRHKTLLRTRGLSKPPRIVGFADGLGLVFVRTGNTVTSMDLRTGHVRKVYTCRASNYEVIVVPYISFYTPDHAMG* >Brasy6G022800.1.p pacid=40049158 transcript=Brasy6G022800.1 locus=Brasy6G022800 ID=Brasy6G022800.1.v1.1 annot-version=v1.1 MAYRVLEVTLQSAKDLRKVNLMTRMEVYAVATISGDPITRQCTPPDPYGGRNPTWNATLRFAIPPDSSSASSGCLHVLLRTARSLGDRDVGEVIVPLSDILHSSGSGSPHGSNSPQSASYQVRKVHRAEARGVLHLSYRLGPVLAPQDQHHQHQQQPQPQRLPVDEKQHVVAYPAVPRPFYPQPYAYYLPPALLPPSPRESGSGGYMALPAPPLPPSPRSYDHTMDLPPPPPPLHKAYNGHLSMPPPPATTSPQPSPGHKADMAPATPPPKASSGYASAAASPAWTPPPKASRYSSAAASPWATTPTAAKNSGGGSEFGEGAPVAGERMMSSDMMADAAAYNAGYRAALAADWRRRGALY* >Brasy6G251800.1.p pacid=40049159 transcript=Brasy6G251800.1 locus=Brasy6G251800 ID=Brasy6G251800.1.v1.1 annot-version=v1.1 MSNAIKWIDDKVGLDKHQWERYKSIAYIQALKIAIGYHNIFRDAVMGGFSEYIANLKFDYDNRKDFDAVYLEIWKRVAKMEFVPAVKQIYEENMFPSRNSDIKLAMENRPLKFRASVKDNYDSYVACIDEKTSEDKARLLIIEAVKKMSPKWKKSYLDYAKKKMQIAVRIGL* >Brasy6G251800.2.p pacid=40049160 transcript=Brasy6G251800.2 locus=Brasy6G251800 ID=Brasy6G251800.2.v1.1 annot-version=v1.1 MSNAIKWIDDKVGLDKHQWERYKSIAYIQALKIAIGYHNIFRDAVMGGFSEYIANLKFDYDNRKDFDAVYLEIWKRVAKMEFVPAVKQIYEENMFPSRNSDIKLAMENRPLKFRASVKDNVGSSSQNI* >Brasy6G069200.1.p pacid=40049161 transcript=Brasy6G069200.1 locus=Brasy6G069200 ID=Brasy6G069200.1.v1.1 annot-version=v1.1 MDTFLASHAFSDLGSDGYMLEINAKQSRGFIIGKKSNRSRYPGTFPSWMGIQEDGESYLLFDNTDVYVKLDAYGTVSAAKLGSCGSVLWSAPDSHCGLHSYCGPNSICLVRPDHRPECKCYDDTTADCSTILSLNCKSNGPVSFHPIDGVYKLPENPRSIELEGTTDCEALCFSDCSCTSYAFNYNGTCLLWYGELKNTVVRQYDSSLGRMYVRLTKQEKSDSKIEIVLIVVGVLVSVLILMCLALLLKSKRKLFMDRPVNSNSSLMIFSNAELKKVTRSFSEKLGEGGFGCVFKGTLPGSSVVAVKKLKDIRQGEKQFRAEVQTIGMIQHINLVRLFGFCAEGSKRLLVYEYMENGSLNSHLFSKSSAKLIWELRYRIALGTARGLAYLHEECKDCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTT* >Brasy6G006600.1.p pacid=40049162 transcript=Brasy6G006600.1 locus=Brasy6G006600 ID=Brasy6G006600.1.v1.1 annot-version=v1.1 MHVWLVLLVALGLGVAAAEARHSEKDIGHGGGAGIGSDGGGGGHGHGRLGDRRHKVGRLVHGRDESHAADEGKSDAVGGGGGAGGTGPAGGAGGGAWSNPTYGPRHRWNTEGEDHVGDPVPPSVPPPAPVPASAT* >Brasy6G140900.1.p pacid=40049163 transcript=Brasy6G140900.1 locus=Brasy6G140900 ID=Brasy6G140900.1.v1.1 annot-version=v1.1 MIQGGERLHLRRLPQGSPNRAGRTEAAADRAEWRPTEHTSAEAGPEPEPSGARARGRRRRRNRWGRSLGSKWGTRTQGQQRAARLSRGRGHPSGGGGRGAVRSAPLHASLSPAHHRGGRWVTGGARGRQGKDTWGRARGECRIPRWRETAGWPRPAAPGGGGGCAR* >Brasy6G113600.1.p pacid=40049164 transcript=Brasy6G113600.1 locus=Brasy6G113600 ID=Brasy6G113600.1.v1.1 annot-version=v1.1 MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGKKKAPAKKASRAPPTTDRPLWFPGAQAPEYLDGTLVGDYGFDPFGLGKPAEYLQYDVDSLDQNLAQNLAGEIIGTRFEDADVKSTPFQPYAEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFTLTTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTLGSS* >Brasy6G038700.1.p pacid=40049165 transcript=Brasy6G038700.1 locus=Brasy6G038700 ID=Brasy6G038700.1.v1.1 annot-version=v1.1 MEAPPCFRAPALLVSMVLLLLLRLSPTSSSAAVPVSRTIVVDQRGGGDFERVQPAVNAVPDGNREWVRIHVRNGSYWEKVKIPREKGFILLQGDGSWNTAISFDGHAPAPNGTDDDLILTALANGTISNGDGGDGDNLTIESATFTVLADDFAAQDIAFRNTYNEDHKDNARRALAALIGGDRSAFRRCGFYGFQDTLCDYKGRHYFQSCSINGGVDFIFGYGQSIYDGCSVVSNVPPAWGKQAGFVTAHARVDGGRPGGLVFRGGQVLGTGRQYLGRAWNRFATVVFYKVAMAGIVVPQGWEAWKAGNDTGDVTFAEVGCSGLGAERAGRVPWEKTLSEAQVEKFVNISFIDDEGWLSRQP* >Brasy6G259100.1.p pacid=40049166 transcript=Brasy6G259100.1 locus=Brasy6G259100 ID=Brasy6G259100.1.v1.1 annot-version=v1.1 MAETRLEIIKCPRLCRQPYSMYLLLEKCMFGRQMEMEHIINFLLEAETTPGAEDPGVLPIIGPGKVGKSTLVEHACNDERVRSHFSQILCFTGDDLMDPSTVTLRNGGIIKHQNLTMGSRRTLIIIELVPDIDERAWKRLFSAAKNRIGTGSKIICASRSDKIASFGTTKALILQFLTQEAYWYFFKVYTFGSMNVQDHPKLAETAMDIARELNGCFMGATMIAGLLKANFNPRFWSMALATIREFKKKNIMLYGTHFLADQWQLREPRYVRRVMKNSSECIVILGEYQTGSAEGLPNNHTSSAQSEPEVPMVSVQDLLFGSVRPQGKFKVLALTSHLPPHYNYMFSCGIQRSRRMVTRNRCFQKSGN* >Brasy6G124000.1.p pacid=40049167 transcript=Brasy6G124000.1 locus=Brasy6G124000 ID=Brasy6G124000.1.v1.1 annot-version=v1.1 MGNSKVYATIVLIRLIYAGMHILTKAAFEEGTSTTVFVLYRHAVAAIFLAPFALLEIRKRPAPPLTFRLSAKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLKLKSVHGIAKALGILLCIGGVVALALYRGPQLKSLNHHPLLLSSGTAMHAHPKNNWALGIFLMTASVVIWALWTVQQGPLLLEYPSKLLNTTLQCTFASLQSFFIALAMERDFSRWKLAGGVSLFSVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVSLGSIIGGALLVAGLYAVLWGKGREERGVVGMDGIGVTLPQIDQGNRRVDQQETKEVSETADAMAKV* >Brasy6G079300.1.p pacid=40049168 transcript=Brasy6G079300.1 locus=Brasy6G079300 ID=Brasy6G079300.1.v1.1 annot-version=v1.1 MVVIKYSSKNISGPLKKRNGTTGHHIVAAKKPRERFLIQENNSTYYYNARDGHSP* >Brasy6G198200.1.p pacid=40049169 transcript=Brasy6G198200.1 locus=Brasy6G198200 ID=Brasy6G198200.1.v1.1 annot-version=v1.1 MEAVKSLLKPKPTPQQQLREWQRRLRNECRNIERQIRDVQKEEKKVEKAIREAAKRDDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATTRTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVQKQKQKVTQPSTSEPVERTAVAEAVGDDDDELDKIRERLAKVRS* >Brasy6G106600.1.p pacid=40049170 transcript=Brasy6G106600.1 locus=Brasy6G106600 ID=Brasy6G106600.1.v1.1 annot-version=v1.1 MIQGVLSRASAADAAAMKAKRVASIPEDEEAAMVSARGKRLQLHGSGMQQQQGSPAAEEGKVVVVEAAAETRGLRLLSLLLRCAEAVAMDSLPEARDLLHEIAELASPFGTSPERVAAYFGDALCARVLSSYLGAYSPLALASAHQSRRVASAFQAYNALSPLVKFSHFTANKAILQALDGEDRVHVVDLDIMQGLQWPGLFHMLASSRSCNNSKPLLSLRVTGLGASLDVLEATGRRLADFAGSLGLPFEFRPVEGKIGHVADTDALLLGRDEGEATVVHWMHHCLYDVTGSDAGTVRVLRSLRPKLVTIVEQDLGHGGDFLGRFVEALHYYSALFDALGDGAVAGAQEEEAQRHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLSGGPAAQARLLLGMCPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTTTDGDEEDDLGAAARQEGHGS* >Brasy6G109900.1.p pacid=40049171 transcript=Brasy6G109900.1 locus=Brasy6G109900 ID=Brasy6G109900.1.v1.1 annot-version=v1.1 MVSMCFLVEQRRKVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRVTWRAIICTFCGAMLKSYRHYRLHY* >Brasy6G173300.1.p pacid=40049172 transcript=Brasy6G173300.1 locus=Brasy6G173300 ID=Brasy6G173300.1.v1.1 annot-version=v1.1 MSHNNFVVADPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSDDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVYDVSPGRIFSTSTQQLKGAGAKISRNCVVLNTPLESPNLQEALCKNGFNGTRPSLWILQGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.3.p pacid=40049173 transcript=Brasy6G173300.3 locus=Brasy6G173300 ID=Brasy6G173300.3.v1.1 annot-version=v1.1 MSHNNFVVADPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSDDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVYDVSPGRIFSTSTQQLKGAGAKISRNCVVLNTPLESPNLQEALCKNGFNGTRPSLWILQGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.4.p pacid=40049174 transcript=Brasy6G173300.4 locus=Brasy6G173300 ID=Brasy6G173300.4.v1.1 annot-version=v1.1 MDTRPYRLCWPRMSVVYDVSPGRIFSTSTQQLKGAGAKISRNCVVLNTPLESPNLQEALCKNGFNGTRPSLWILQGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.2.p pacid=40049175 transcript=Brasy6G173300.2 locus=Brasy6G173300 ID=Brasy6G173300.2.v1.1 annot-version=v1.1 MDTRPYRLCWPRMSVVYDVSPGRIFSTSTQQLKGAGAKISRNCVVLNTPLESPNLQEALCKNGFNGTRPSLWILQGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.6.p pacid=40049176 transcript=Brasy6G173300.6 locus=Brasy6G173300 ID=Brasy6G173300.6.v1.1 annot-version=v1.1 MCNVLPEFQKLVLVGNGWFIGFLVGSARWSKFWKWGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.5.p pacid=40049177 transcript=Brasy6G173300.5 locus=Brasy6G173300 ID=Brasy6G173300.5.v1.1 annot-version=v1.1 MCNVLPEFQKLVLVGNGWFIGFLVGSARWSKFWKWGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G173300.7.p pacid=40049178 transcript=Brasy6G173300.7 locus=Brasy6G173300 ID=Brasy6G173300.7.v1.1 annot-version=v1.1 MCNVLPEFQKLVLVGNGWFIGFLGLPLFTSTSLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNHFFTRGFRVSFDHYENVANDIGVDLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy6G253200.1.p pacid=40049179 transcript=Brasy6G253200.1 locus=Brasy6G253200 ID=Brasy6G253200.1.v1.1 annot-version=v1.1 MGEADASTGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRPDVVDLYQRYIDPDLVVEPMSSTGSTQSNRSAARSSSTPSSENVRARDSGSAATQPPSTERTGSSLRFDGRTIHFSINAWVLVVASLGILPILPKDIASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFRIALLPVLCWAVDHVARFLRRNFARSSFYRRYLEEPCLWVETNNTTVSLLCSNAEIALGFLMIVSLFSWKRNIIQTFMYFHLLKLTYHAPVTSGYHQSVWARIGRAVNPHIDRYAPFLNTPISAAQRWWLR* >Brasy6G253200.2.p pacid=40049180 transcript=Brasy6G253200.2 locus=Brasy6G253200 ID=Brasy6G253200.2.v1.1 annot-version=v1.1 MGEADASTGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRPDVVDLYQRYIDPDLVVEPMSSTGSTQSNRSAARSSSTPSSENVRARDSERTGSSLRFDGRTIHFSINAWVLVVASLGILPILPKDIASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFRIALLPVLCWAVDHVARFLRRNFARSSFYRRYLEEPCLWVETNNTTVSLLCSNAEIALGFLMIVSLFSWKRNIIQTFMYFHLLKLTYHAPVTSGYHQSVWARIGRAVNPHIDRYAPFLNTPISAAQRWWLR* >Brasy6G253200.3.p pacid=40049181 transcript=Brasy6G253200.3 locus=Brasy6G253200 ID=Brasy6G253200.3.v1.1 annot-version=v1.1 MGEADASTGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRPDVVDLYQRYIDPDLVVEPMSSTGSTQSNRSAARSSSTPSSENVRARDSERTGSSLRFDGRTIHFSINAWVLVVASLGILPILPKDIASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFRIALLPVLCWAVDHVARFLRRNFARSSFYRYLEEPCLWVETNNTTVSLLCSNAEIALGFLMIVSLFSWKRNIIQTFMYFHLLKLTYHAPVTSGYHQSVWARIGRAVNPHIDRYAPFLNTPISAAQRWWLR* >Brasy6G075100.1.p pacid=40049182 transcript=Brasy6G075100.1 locus=Brasy6G075100 ID=Brasy6G075100.1.v1.1 annot-version=v1.1 MSGVALAVADAVWEQVKAAGHASDEHLSILEHLFGKNMLRACKILDEGGVRRVTGVPSGRSLFLVMGESKRKEEYICFLEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAIGKHQEMEVTDEELAHMLAKL* >Brasy6G051800.1.p pacid=40049183 transcript=Brasy6G051800.1 locus=Brasy6G051800 ID=Brasy6G051800.1.v1.1 annot-version=v1.1 MDDENAVELLQRYRRDRHVLLNYILSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKRGEPLDLGDAIRLFHDSIDYPYVDNTGAVEEFFLLTKPESSGPPPAREPPPAPANVPSPVVIPPPVVEQPQITVPSPVASANLPKSLSLDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQNDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPKKEKKKEKRHRLMRKLGRSKSESAESQTQRQPGLVGLLEILRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSFSERPARGDLTGEVCHWADGYHLNAALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGQQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDADDSCQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSTMADIVTVAMLTRRILGEENDKAMESPDRDQIDRYITSSVKSAFVKMAHSVEVKADTTHEHILASLAEETKKLLKKDTSIFSPLLSRWHPQAAVLSASLLHKLYGNKLRPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGEDGLDSICRQKLAPYQIESKSGTVVLRWVNGQLERIETWVKRAAEQEAWDPISPQQRHGGSIVEVYRIIEETADQFFAFKVPMRIGELNSLCRGIDKAFQIYTQLVTGPIVDKEDLVPPIPVLTRYKKELGIKAFVKKEIQEVRTVDERKASEIVQLTMPKLCVRLNSLYYGISQLSKLEDSISERWAKRKIDNDNIRRSMSEKSKSVVFSQKNQFDGSRKEINAAIDRVCEFTGLKVIFWDLQQPFIDNLYKNNVQQARLDTIVDVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLFRVILDGGPTRVFSPSDAPLLEEDLETLKEFFISGGDGLPRGTVENLVSRIRPVINLIKQETRVLIDDLREVTQGGKSKFGADSKTLLRVLCHRNDSEASHYVKKHFKIPSSAPPST* >Brasy6G083400.1.p pacid=40049184 transcript=Brasy6G083400.1 locus=Brasy6G083400 ID=Brasy6G083400.1.v1.1 annot-version=v1.1 MALQQLPLHRPQPPLAALGRSVCPCFLFPPAAARCAGAGVAFSLQTNVRLLKPNRRSRRSRYPYYDLDDEDDEEEEGDESEDDPSGLEYPGVLYTNNPRAPSKRAGRQTSLLKENWERRQPKTHDKHGSPENSSSLQPRSKVGRKSLYPTGLNSEVELNNENISRSLFQKLQEEYDFDDKWLPLIDYLCSFGLRESHFTYIYERHMACFQINRASAEERLEFLLSAGVKSKDLKRMLVRQPQILEYTLSNLKSHVAFLAGIGVPNARVGQIISSAPSFLSYSIEQSLKPTISYLIEEVGIEESDVGKVVQLSPQILVQRIDHAWKSRFLFLTKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKILTSLTQVLSLSLEGNLKPKYLYLVNDLKNEAQSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTTLEKYHTFRQRLLLTGFAEKTGRKSLASQR* >Brasy6G117100.1.p pacid=40049185 transcript=Brasy6G117100.1 locus=Brasy6G117100 ID=Brasy6G117100.1.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.6.p pacid=40049186 transcript=Brasy6G117100.6 locus=Brasy6G117100 ID=Brasy6G117100.6.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.7.p pacid=40049187 transcript=Brasy6G117100.7 locus=Brasy6G117100 ID=Brasy6G117100.7.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.2.p pacid=40049188 transcript=Brasy6G117100.2 locus=Brasy6G117100 ID=Brasy6G117100.2.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.3.p pacid=40049189 transcript=Brasy6G117100.3 locus=Brasy6G117100 ID=Brasy6G117100.3.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.8.p pacid=40049190 transcript=Brasy6G117100.8 locus=Brasy6G117100 ID=Brasy6G117100.8.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.9.p pacid=40049191 transcript=Brasy6G117100.9 locus=Brasy6G117100 ID=Brasy6G117100.9.v1.1 annot-version=v1.1 MSPPGTEEAAGLNEPLLANGNNNGVGEAEVVVTVAKRKGAVKSKDDNWCWEDVDQPADEGAAAPDPENGDGRPLLFWNRKVKNIVLYPFRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.4.p pacid=40049192 transcript=Brasy6G117100.4 locus=Brasy6G117100 ID=Brasy6G117100.4.v1.1 annot-version=v1.1 MTFFSLMTNRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.5.p pacid=40049193 transcript=Brasy6G117100.5 locus=Brasy6G117100 ID=Brasy6G117100.5.v1.1 annot-version=v1.1 MTFFSLMTNRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.11.p pacid=40049194 transcript=Brasy6G117100.11 locus=Brasy6G117100 ID=Brasy6G117100.11.v1.1 annot-version=v1.1 MTFFSLMTNRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G117100.10.p pacid=40049195 transcript=Brasy6G117100.10 locus=Brasy6G117100 ID=Brasy6G117100.10.v1.1 annot-version=v1.1 MTFFSLMTNRALILIRIIAIILFVGWRIKNSNSDVIWFWVMSIIADVWFGLSWLSYQLPKCNPIKSIPDLVTLRKHCDLPGRSSQLPGIDVIVTTASPIAEPILYTMNCVLSILAVDYHVGKFTCYLSDDSGSLILYEALVETAKFATLWVPFCRKHGIEPRAPESYFELHGSLYEGESLEVFISDYKHVRTKYEEFKMYLDMLSDTIRERSTIYNRMETKKVDAKAIWMDNGTQWPGTWFDPTENHRMGHHAGIVQIVQSHPNHMAQLGPQEANHYPLNFEDVDVRLPMLVYVAREKRSGCEHNKKAGALNAELRISALLSNAPFFVNFDCDHYINNSQALLAAICFMLDRREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRSKEIIIDSHKFGNSLPFLNSVLASMKQEQCVTPPLDDSFVAEMMRVVSSSYDDSTDWGRGVGYIYKMATEDIVTGFRIHGQGWRSMYCSMEREAFRGTAPINLTERLHQIVRWSGGSLEMFFSYMSPLFAGHRLNTMQRVSYINFTIYPITSLFILMYAFCPVLWLLPTEIFVQRPYTRYIVYLFIVIGMIHVIGMFEIMWAGITWLDWWRSEQFFIVSSLSAYPTAVLHMVVNLLTKKGIKFRVTEKQSVADTDDKYAEMYELRWVPMMIPAVVVLFSNIMAIGVAIGKSILYTGTWTPAQKRHGALGLMFNAWIMMLLYPFALAVIGRWAKKTGILFILLPIAVLAIAIMYIGIHTFLSHFFPSMVI* >Brasy6G221700.1.p pacid=40049196 transcript=Brasy6G221700.1 locus=Brasy6G221700 ID=Brasy6G221700.1.v1.1 annot-version=v1.1 MAQPLSSSSSQDASDSETEHRVVITKKHGEELVGLLNPAGSNEIVVLCHGFRASKNVSIIVDLADALTKQGIGIFRFDFSGNGESGGEFQYANYRKEADDLHSVVLYLQQEKYDVKAVVGHSKGGDVVVLYASLYHDVNMVVSLSGRFYMEKGVEERLGKGFIDRINKQGYIDVTDESGEVLYRVTKESLMERLNTDMRAASLSISKECSFFTVHGSADVDIPLEDAHEFAKHIPTHELRVIEGADHCYTAHRKELSDAVVDIITSNNKAEMQNCRLPCTIHMDIRRQVQVGLASSITMTPFLFGNPLP* >Brasy6G204700.1.p pacid=40049197 transcript=Brasy6G204700.1 locus=Brasy6G204700 ID=Brasy6G204700.1.v1.1 annot-version=v1.1 MTSTAAVSPPVLVLLLCAAAAAHLISSCSGCPVPLPHQTADNNPRLQRAYSALQALKRSITDDPRNLTSSWCGPDVCAYYGVFCAASLDDACSRAVAGLDLNHGDLAGTFPDELGLLTDLAVLHLNSNRFAGTLPETLPKLSLLHELDVSNNRLSGGFPQHILCLPNVKYVDIRFNNLCGPVPPAIFDKDIDALFINDNHFEFELPGNLGNSPASVIVLANLGKLSGCIPPSIGKMAGTLNELLILNSGVRSCIPQEIGQLRELAVLDLSFNELQGTLPESMAGMRALEQLDVAHNELSGHVPAAICALPRLANFTYSYNYFCGEPERCAALRRNDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDPHGCFAHY* >Brasy6G189100.1.p pacid=40049198 transcript=Brasy6G189100.1 locus=Brasy6G189100 ID=Brasy6G189100.1.v1.1 annot-version=v1.1 MEDIEDMLGPAGFSGGGAPPGLRLPLSTVAVKPKRRSSRLQTQQQPEVRIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKNANKPLVVAGCVPQGSRDLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYSIDGLVDRVKIVVSEGIREIWLSSEDTGAYGRDIGTNLPNLLSAIVAELPADRSTMLRIGMTNPPFILEHLNEIASVLRHPCVYTFLHVPVQSGSDAVLKAMNREYTVSEFRMVVDTLCELVPGMQIATDIICGFPGETDEDFAQTVKLIKEYQLPQVHISQFYPRPGTPAARMKKVPSIEVKKRSRELTAVFEAFSPYQGLEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSANVKITSVGRWSVFGEVIEGSIAAKEVLKQNPAEAREEYWQNHAEEATCSTNSCGSCACSGAENAAQQCGPHRSEDPSDAPTDCGDATCQEAAQSTLVRRNVEGTVKTRESDTGKPVVKDQQVNLANRRVLNIDRILWVGLAVSFATTLALFVLLSYKIFWSSY* >Brasy6G053200.1.p pacid=40049199 transcript=Brasy6G053200.1 locus=Brasy6G053200 ID=Brasy6G053200.1.v1.1 annot-version=v1.1 MLRGEISPAAMLTYPVLNERSIDQWSVTELKDELCRRNLPIIGLKDDLVKRLFEDLQGNILGGEGTGGGASPGDHLKEDSTPGSADTTIDQTTMEQSVDEGPSQVATQEGDLFVFVAKDSNERAVATTDFNQEAVVTADEISQTTLVAAAEVTIAPLVDVATTDKASLCDTVATKRDDLGSTPSHGTIVKEVYAQTDSHSVIIAEKTSEEGTSKKMIIDDLPSDVASTDVKLDATSAINKLDADILEHKALSSPLDASAPTVPCGVDDVATAPGQKGMSLILINSGDLKEEKTSSSSGASICQAVMDHIVDEGCSQEGDLVLSATEANKESAVATTDVNQEISVSTGEVSRVTLVAAVEVTDAPLVDVVITDKISLSDAVATKADDLGSTPSNGTIVKEVHPQADGHSEIIAEKHPEKGTSKEMSVDDLPSDATNIDVVYAATAKDKLSSYILEHKAVSSPPEAIAPHATAPGQNAETFIPKIGSGDNASMNDKDQHDSGYTNVTCQPTLSRPEDQVSEANSDLGSQIKCLLICHDNISTNVKGNLNADSSDLELEAKWDMVKPPSSIPSVGDHLQAFNHDKEFSKNDISLQEVQSTTSMNLDKKEISHNGGSPENLDLDRSSGDEMMEVDVMESKHVDPNIKSGDLGGRTEFTSDYEVKEVILIDTVTNDSSVHTKAIAAEEKAVTPSEKRKPEAQEFLANEPIKRQRHVDNLKIPEQQACERGSDAPKDVFQPALKHSFGRSDSTASGESQVQIVQPSLRPATTSLRVDRFIRPFTLKAVQELLGRTGSVCSFWMDHIKTHCYVTYSSVEEAVATRNAVYNLQWPPNNGNYLVAEFVDPCEVKLRLEHPPPPPVPISLSTDTVPKAAPFHQPKANQMMAASRGLLPTPQLPMMLPPTSTPGSGREMLPPPPKEPDSDTAPLSLDELFKRTQASPRIFYLPLSEEEVSAKLAARSKGKRGG* >Brasy6G053200.2.p pacid=40049200 transcript=Brasy6G053200.2 locus=Brasy6G053200 ID=Brasy6G053200.2.v1.1 annot-version=v1.1 MLTYPVLNERSIDQWSVTELKDELCRRNLPIIGLKDDLVKRLFEDLQGNILGGEGTGGGASPGDHLKEDSTPGSADTTIDQTTMEQSVDEGPSQVATQEGDLFVFVAKDSNERAVATTDFNQEAVVTADEISQTTLVAAAEVTIAPLVDVATTDKASLCDTVATKRDDLGSTPSHGTIVKEVYAQTDSHSVIIAEKTSEEGTSKKMIIDDLPSDVASTDVKLDATSAINKLDADILEHKALSSPLDASAPTVPCGVDDVATAPGQKGMSLILINSGDLKEEKTSSSSGASICQAVMDHIVDEGCSQEGDLVLSATEANKESAVATTDVNQEISVSTGEVSRVTLVAAVEVTDAPLVDVVITDKISLSDAVATKADDLGSTPSNGTIVKEVHPQADGHSEIIAEKHPEKGTSKEMSVDDLPSDATNIDVVYAATAKDKLSSYILEHKAVSSPPEAIAPHATAPGQNAETFIPKIGSGDNASMNDKDQHDSGYTNVTCQPTLSRPEDQVSEANSDLGSQIKCLLICHDNISTNVKGNLNADSSDLELEAKWDMVKPPSSIPSVGDHLQAFNHDKEFSKNDISLQEVQSTTSMNLDKKEISHNGGSPENLDLDRSSGDEMMEVDVMESKHVDPNIKSGDLGGRTEFTSDYEVKEVILIDTVTNDSSVHTKAIAAEEKAVTPSEKRKPEAQEFLANEPIKRQRHVDNLKIPEQQACERGSDAPKDVFQPALKHSFGRSDSTASGESQVQIVQPSLRPATTSLRVDRFIRPFTLKAVQELLGRTGSVCSFWMDHIKTHCYVTYSSVEEAVATRNAVYNLQWPPNNGNYLVAEFVDPCEVKLRLEHPPPPPVPISLSTDTVPKAAPFHQPKANQMMAASRGLLPTPQLPMMLPPTSTPGSGREMLPPPPKEPDSDTAPLSLDELFKRTQASPRIFYLPLSEEEVSAKLAARSKGKRGG* >Brasy6G011000.1.p pacid=40049201 transcript=Brasy6G011000.1 locus=Brasy6G011000 ID=Brasy6G011000.1.v1.1 annot-version=v1.1 MDYYRESNYGGGYGAMATPGYAPPVPYGMSQVNIDGCGAPRRPLPPQPTVKVYCRANPNYAMSVRDGKVVLAPANPRDDYQHWVKDMRWSTSVKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVAYNPEYMDESVLWTESRDVGNGFRCVRMVNNIYLNFDALNGDKYHGGVRDGTEVVLWKWCEGDNQRWQIKPYY* >Brasy6G100200.1.p pacid=40049202 transcript=Brasy6G100200.1 locus=Brasy6G100200 ID=Brasy6G100200.1.v1.1 annot-version=v1.1 MALKGADAGTVQLKDLVPAATNTVNTTFIVLDKAARQAHAHAHNGREETCMSLVADETAAVHFLLWGAECDAFEPGDIVRLTGGIFSYHRGNSLVLRAGKRGRAEKVGEFTMLFVETPNMSEMRWGRDPGDPRKMVQEAVVSPYSQVFKPLH* >Brasy6G018000.1.p pacid=40049203 transcript=Brasy6G018000.1 locus=Brasy6G018000 ID=Brasy6G018000.1.v1.1 annot-version=v1.1 MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKGDQIAVIVGTITDDKRVYEIPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTLLLRGPKNAREAVRHFGAAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV* >Brasy6G214000.1.p pacid=40049204 transcript=Brasy6G214000.1 locus=Brasy6G214000 ID=Brasy6G214000.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNVQKESTLHLESS* >Brasy6G003500.1.p pacid=40049205 transcript=Brasy6G003500.1 locus=Brasy6G003500 ID=Brasy6G003500.1.v1.1 annot-version=v1.1 MEPPTASRRWSGKGILARALLLGIAALALRLLYGAFLLVAVGGGSGGGWAVRPAAVVVGRRTHVEAAAGATPEAWRSREWREAVGFHAALLAGHLGGSDGLLLAPSSRAVCLGPAAQEAALALRELGVHGAVAVARKRRPPLAVAGDDRRLPFPAASVDFVLAARALDASSSKRPSDLAAEAARILKPGAHLVVLTAGAADAYSLRSLQALLPSLRLLRSREINGPDGSALRELVFQKLHAAPASDNPVSNCTIGDHKLQLLTRAEPLILEEPLKPWLTLKRNLKNIKYLPELADISFKRRHVYVDVGARSYGSSIGSWFRKQYPKQNHTFEVFAIEADPAFHPEYATRKGVTLLPYAAWVKNETLNFEINGDPGKEEEAKANGRGMGRIRPTAGKKMSGEVRSVPAFDFAEWLKQTVSQQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYQNTYEECLELFSSLRDSGVLVHQWF* >Brasy6G260100.1.p pacid=40049206 transcript=Brasy6G260100.1 locus=Brasy6G260100 ID=Brasy6G260100.1.v1.1 annot-version=v1.1 MEGKKTSTLMVIMSLLILSLTVNSATAAECGCCVAARAKACCFACIAAGGSDSVCKNTCCFPCILADSVVAKMDEMGVIAKMEEAGQA* >Brasy6G120600.1.p pacid=40049207 transcript=Brasy6G120600.1 locus=Brasy6G120600 ID=Brasy6G120600.1.v1.1 annot-version=v1.1 MASVGEQREHTVALLDSPAAEQVEGEYSTDRSLDFDGNPALKHRTGGWRACRSVLGTEFCYCLAYSGVSQNLVTYLTTVLRESNVAAAKNVSTWQATCFLTPIAGAFVADSYWGRYRTMVVSCSMGVAGLVVIALSANLPLLTKNAELFTGFTTTLVSNMASAQESVLFLGLYMTAFGLGGLRPCLMSFGADQFDHNDPSERASKGSFFNWYIFTMSCASVVSSTCLVWAQDRFGWPLGLAIPAAVLAVGLACLVSASRRYRFQRTQGSPLTRVCQVLVAAVCKFNAEQPADSSLLLYELPEDDSMKGIQRIEHTNDLQFFDKAAIVLASETEEEDATLPLPARNPWRLCIVTQVEELKILVRMVPVWASIVFYYAVSAQVSSTFVEQGMAMDTNVGPVRVPPASMSTFDLLTVVVLVPLYDRLLVPAARRLTGRAKGISDLQRIGAGLAMPALAMAAAALVETERLRRAAKAAPSAMSVLWQAPQYVLVGVGDVLATVGQLDFFYSQAPASMKTVCTALGFLVMAAGSYLSSFLLAAVEWATAAGGRPGWIPDDLDQGHLDRFFWMMSALACLNLVAFGSCAARYNTRKAS* >Brasy6G120600.2.p pacid=40049208 transcript=Brasy6G120600.2 locus=Brasy6G120600 ID=Brasy6G120600.2.v1.1 annot-version=v1.1 MASVGEQREHTVALLDSPAAEVEGEYSTDRSLDFDGNPALKHRTGGWRACRSVLGTEFCYCLAYSGVSQNLVTYLTTVLRESNVAAAKNVSTWQATCFLTPIAGAFVADSYWGRYRTMVVSCSMGVAGLVVIALSANLPLLTKNAELFTGFTTTLVSNMASAQESVLFLGLYMTAFGLGGLRPCLMSFGADQFDHNDPSERASKGSFFNWYIFTMSCASVVSSTCLVWAQDRFGWPLGLAIPAAVLAVGLACLVSASRRYRFQRTQGSPLTRVCQVLVAAVCKFNAEQPADSSLLLYELPEDDSMKGIQRIEHTNDLQFFDKAAIVLASETEEEDATLPLPARNPWRLCIVTQVEELKILVRMVPVWASIVFYYAVSAQVSSTFVEQGMAMDTNVGPVRVPPASMSTFDLLTVVVLVPLYDRLLVPAARRLTGRAKGISDLQRIGAGLAMPALAMAAAALVETERLRRAAKAAPSAMSVLWQAPQYVLVGVGDVLATVGQLDFFYSQAPASMKTVCTALGFLVMAAGSYLSSFLLAAVEWATAAGGRPGWIPDDLDQGHLDRFFWMMSALACLNLVAFGSCAARYNTRKAS* >Brasy6G266100.1.p pacid=40049209 transcript=Brasy6G266100.1 locus=Brasy6G266100 ID=Brasy6G266100.1.v1.1 annot-version=v1.1 MGGFTPPIPQDDSNWEIRVAVLLSLLLQMFLIFFGPVRRRSSNPATRFLVWSCYLLADWVADLALGLLLNNMGNIGGSHSTSSSSSGNSTSTFTTKHVTGKRGGNLPPSSSTGSGNSNPIIFSFWTPFLLLHLGGPDTITAYSLEDNELWFRHLIGLLFEFFSASVIFFCSLKGNTMIPATVIMFVGGILKYGERTYSLYSGSVDGFRESILDEPDPGPNYAKLMMEFDAKEKAGLSVQIVITAGDDDEDAKQALTALEEDETKRLVMNKNKSLEAQAFDFFHIFHRLFVNLILRNKERKTSQAYFLERDDIDSTKAFQVIEIELNFIYDMVYTKAQRRLGPEINPGKWKLVSP* >Brasy6G252300.1.p pacid=40049210 transcript=Brasy6G252300.1 locus=Brasy6G252300 ID=Brasy6G252300.1.v1.1 annot-version=v1.1 MPLEDGGLGLAGFDRFNLLHLWSLKMGLGGAAGWERSRVIKLQMLSTSDPGYSSATLIGFAKGCTSNIIFVNKWWCFHG* >Brasy6G057300.1.p pacid=40049211 transcript=Brasy6G057300.1 locus=Brasy6G057300 ID=Brasy6G057300.1.v1.1 annot-version=v1.1 MESTYASEEGNSKESSPRENLETFANSPALTDTLEVSNNGDHEKPDAHKGNFVQAATLDISNGLSDATDSLKLSSQVLENSHRHLTVDHVQSNPPTATAPDMLPNSGPTEAFKHSMDDQADQSSSVNPAEVNHVTDKIRCQTRIAVKPKVREESETKPESPYKGLIDTAAPFETVKEAVTKFGGIVDWKAHKVQMMERRKFIQLELENAQKEIPQCKEELEAAEMAKSQVLDELEITKRIIEELKHDLEKAQMEEVQAKQDSELAQLRAKEIERGVADDLSVIARTQMEVAKERHQKSVAELTSVKEELKNLHEQYATLVDERDRAIRRAEEVLSSGKAIEKRVEGLTLELIASKGSLELAHAAHHDAEERRIGAALAKEQDCLAWEIELSQAQEEMRQLNNELLSKNDVKQKLDANLRKLQSLRSELAAYVENILSKEAEGVAKEHEFEDATQISSSIKETLASTQRELEEVKGNIENAKTEAKVLRVAATTLRSELDIAKASLVSLQEREGMASVAVSSLEAELNRTKKAIESVRSKEEDAQERMVDLPKMLQQSAEEAEEAKVAAHLAQEQLRKIKEETEQIKAAATTLSTRSCAVLKEIEASKASERLALAAVQALQGSNEASDVEDSARGVSLPLSEYYAFSKKAHEAEELANEKVAEALAQVESAKGSESESLDRLRETSKEMDEKKQALELALERAERANEGKLAAEQQLRKWRADNEQRRRAQDAAKRAVNPLSSPPRRFVEQKAPYQKEQDSQLHMSGSSYEDLVPNRKLRKKKSFLPRMGSLLSRNTPAQT* >Brasy6G057300.2.p pacid=40049212 transcript=Brasy6G057300.2 locus=Brasy6G057300 ID=Brasy6G057300.2.v1.1 annot-version=v1.1 MESTYASEEDAHKGNFVQAATLDISNGLSDATDSLKLSSQVLENSHRHLTVDHVQSNPPTATAPDMLPNSGPTEAFKHSMDDQADQSSSVNPAEVNHVTDKIRCQTRIAVKPKVREESETKPESPYKGLIDTAAPFETVKEAVTKFGGIVDWKAHKVQMMERRKFIQLELENAQKEIPQCKEELEAAEMAKSQVLDELEITKRIIEELKHDLEKAQMEEVQAKQDSELAQLRAKEIERGVADDLSVIARTQMEVAKERHQKSVAELTSVKEELKNLHEQYATLVDERDRAIRRAEEVLSSGKAIEKRVEGLTLELIASKGSLELAHAAHHDAEERRIGAALAKEQDCLAWEIELSQAQEEMRQLNNELLSKNDVKQKLDANLRKLQSLRSELAAYVENILSKEAEGVAKEHEFEDATQISSSIKETLASTQRELEEVKGNIENAKTEAKVLRVAATTLRSELDIAKASLVSLQEREGMASVAVSSLEAELNRTKKAIESVRSKEEDAQERMVDLPKMLQQSAEEAEEAKVAAHLAQEQLRKIKEETEQIKAAATTLSTRSCAVLKEIEASKASERLALAAVQALQGSNEASDVEDSARGVSLPLSEYYAFSKKAHEAEELANEKVAEALAQVESAKGSESESLDRLRETSKEMDEKKQALELALERAERANEGKLAAEQQLRKWRADNEQRRRAQDAAKRAVNPLSSPPRRFVEQKAPYQKEQDSQLHMSGSSYEDLVPNRKLRKKKSFLPRMGSLLSRNTPAQT* >Brasy6G216800.1.p pacid=40049213 transcript=Brasy6G216800.1 locus=Brasy6G216800 ID=Brasy6G216800.1.v1.1 annot-version=v1.1 MPPRGRGRSGSRTGGGRSGSGPSDSKPAGSRRIMREHRSSVKGISGSKSKANEGASQSVRRSVSSSSSSSSSSSLPTEAGSDSDTDSSFGIFFNTEFRISSDYGDSDDSDGQVGVFQHNEVGKNFASLVDSLPCHGLMLLLFETPSGFALYTFYGVSCYLDSALDNLWLHFGDPFLASMAVHLKGFLSFEDKSSAINADGIDNQLVALINEWHRPGMKLCVGKPEYKAIIESVLKIPCLRSQAVLEIMWGIQQQMRILVPLELQSKLTKEDRLPTSQGLMKFLRNCGFDVKPEMVNEKIFFTAFTLFRCYADDKRNLAILRDAGLYIRDISGIACEDWEILKLAIAVKVICRPKEELTDFHEVLAEDVLSKLKGDAPKYKGVAVKVNWLSTYIAVASNHRLRIEKKEMLGSLVRKAKKAYEAEQAEVCQKVKLHGESHQIFGEAVRRSPRLKG* >Brasy6G242400.1.p pacid=40049214 transcript=Brasy6G242400.1 locus=Brasy6G242400 ID=Brasy6G242400.1.v1.1 annot-version=v1.1 MMNDYKPTRSGWRMTGCSSSWLNSVGLMKVWSIDAHNLFDSVLCIDYSIDADFLSR* >Brasy6G100500.1.p pacid=40049215 transcript=Brasy6G100500.1 locus=Brasy6G100500 ID=Brasy6G100500.1.v1.1 annot-version=v1.1 MSLPTVVLVPCWGSGHFMSALEAGKRLLDAGGGAFSLTVLLVHSPTQTKASEVEGHVRREAASGLDIRFLQLPAVEHPTGCVDPVEFDSRYAQLHAPHVKSAIASVKGRVAAVVVDLFLTTLLDAAHELAVPAYVYFASPAAFLALMLRLPALRGDLTSAGFEEKGRTVDLPGLPPVPASYMPSCLVRAKIQSYDWFEYHGRRFTEARGVIVNTSLELEASVLAALPASPPVHAIGPVISFSGPTDDEQRPHAHECVRWLDAQPAASVVFICFGSMGFLDAAQVREVAVGLQRSGHRFLWVLRGPPQAGSRFPTDAAQAQLDELLPEGFMAACCAAGNNKGMVWPAWAPQKEILSHAAVGGFVTHCGWNSVLESLWFGVPMLPWPLYGEQHLNAFALVAGVGAAVALGMDRKKGFFVEAAELERAVRSLMGGGSSEGTNARGKAAEMRAACRKAVGEGGSSRAALQRLVREILALPESLEGAS* >Brasy6G109600.1.p pacid=40049216 transcript=Brasy6G109600.1 locus=Brasy6G109600 ID=Brasy6G109600.1.v1.1 annot-version=v1.1 MAWSRIARGSQLSRSLSRIASESGARTPASSALRNAAAAQAHRGAAHSFHSLALAGLSDKCGAGHLLHPNRGISTTPPMLHPAAAAAAAEPVECSDAEDHSAAVPDLGPTKPGEKPRVVVLGTGWAACRFLKDVDTSAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLANCTGVDTRRHEVHCTVASDEAAAGTLPRNPYRFRVAYDKLVIASGAEPLTFNIKGVEENAVFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVRGVVKEVEPTKIVLSDGTSVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPSADDVYALGDCAGFLERTGKPVLPALAQVAEREGKYLAALLKRVAAQNGGKAHCCGKKTDLGEPFVYKHLGSMASVGRYKALVDLRENKDAKGVSMAGFVSWLVWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG* >Brasy6G195600.1.p pacid=40049217 transcript=Brasy6G195600.1 locus=Brasy6G195600 ID=Brasy6G195600.1.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.3.p pacid=40049218 transcript=Brasy6G195600.3 locus=Brasy6G195600 ID=Brasy6G195600.3.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.4.p pacid=40049219 transcript=Brasy6G195600.4 locus=Brasy6G195600 ID=Brasy6G195600.4.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.2.p pacid=40049220 transcript=Brasy6G195600.2 locus=Brasy6G195600 ID=Brasy6G195600.2.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.8.p pacid=40049221 transcript=Brasy6G195600.8 locus=Brasy6G195600 ID=Brasy6G195600.8.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.5.p pacid=40049222 transcript=Brasy6G195600.5 locus=Brasy6G195600 ID=Brasy6G195600.5.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.6.p pacid=40049223 transcript=Brasy6G195600.6 locus=Brasy6G195600 ID=Brasy6G195600.6.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.9.p pacid=40049224 transcript=Brasy6G195600.9 locus=Brasy6G195600 ID=Brasy6G195600.9.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.7.p pacid=40049225 transcript=Brasy6G195600.7 locus=Brasy6G195600 ID=Brasy6G195600.7.v1.1 annot-version=v1.1 MDSSREEMEQRRRWPERLHLARLCDLVAVNLLSHLEPVRPAQLSREDERGVLLALARVNKAIRRWDEEEEEQVCESDQEAVSCSEEAHTCCLPPDQLFDDGFSCLANIVSILVGLQRFSSDYVKHSAGSILIAISNSLMKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLVLTTGIPVLGCFC* >Brasy6G195600.10.p pacid=40049226 transcript=Brasy6G195600.10 locus=Brasy6G195600 ID=Brasy6G195600.10.v1.1 annot-version=v1.1 MKFEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G195600.11.p pacid=40049227 transcript=Brasy6G195600.11 locus=Brasy6G195600 ID=Brasy6G195600.11.v1.1 annot-version=v1.1 MEAVWVQFVELVWAATHIVSTCADNYVPCTIDVSSCSRKGSICCSSDVESIRHDITTASTSITSFMAVLKLRCLNTSGQIMANLFRVLHAILKILKLNDSELKDDFICRSIHHIHKLRGDPCYQPNAEELVSLVKVERHNLSKDSEQFGIVSGSLLQLLCSIVEQRDMEDTDHRDMLVKLVDVIPRLASFLQEQKDIPKSLSQYSKHKILMLMIRLKPHMQQNCSYIVCWLKLLRHHFQDLLHEPMSQHITKLENCLEGSPFLLSVVGLGMLQDKSTRHLQRQALYLFLSCCIFLACSGNDSRPQCSCKRDEFCHNVQGCTNHCNCFGLSEISDWFHRCFLDKIFDSNSSADIVLCFLQLYMEEDDMLFIILLKLLDAPLISLEIDNMENKWTSELIGAKLFSIIFDPVHIFHLLLSLLHYDHLLLVDYLISKDVGVYCAQYLLRCLRLVSQCWDAFVDDSVYEAKIQKLNCKRRRTLKDINSIRDSSTEGTKLGSSCDKESKIKQQLFQSAKVCLLSLKRTLEDLHKKDLFPYDPKPLLRRLARFEELCERC* >Brasy6G063000.1.p pacid=40049228 transcript=Brasy6G063000.1 locus=Brasy6G063000 ID=Brasy6G063000.1.v1.1 annot-version=v1.1 MAALICASWAAAPPAPAAAAAARPARVTLLASRAPSPARPLRIQRRPRAASILSRCHKGPDHRQEPEPEPEPELEPEAAGPNAPGASLEGDVVANFRERLDKHRDVLRASLGQAPIAASSRVAPDMFRKQKDEILGHIREIHRKQKDEIFGHTREIRSSNPDIPNLMANMISLTVCENAQSALDLASTVMEISTLGIGSTEISQHTTNQMVRMYATIFCNAAEEACHEVVQVDTVLSFLGALGSLGAIAHILVQDNMDKPEGGPLKNKINHHQLETDYHKFNKKMGLLKERFMLAAPTGAHKMMSQILGNGSILAESYVSKLIQDALPRD* >Brasy6G217100.1.p pacid=40049229 transcript=Brasy6G217100.1 locus=Brasy6G217100 ID=Brasy6G217100.1.v1.1 annot-version=v1.1 MCFVYYYLLLPLHFSRGGSSLFLSPPACHVFVLPDASTVCGRQAALRSGGFSGGSLPVSAMEEVVEVSAMEVFEVPHAAGSTLGTCCLDKLQSNFSVPATRSKKAGSLCLQSLLVNRIFGGLLLDGCCLSSMLQNLYLMDVDFSFLKLDFRGVKSFKLRVFLLHFEDK* >Brasy6G021900.1.p pacid=40049230 transcript=Brasy6G021900.1 locus=Brasy6G021900 ID=Brasy6G021900.1.v1.1 annot-version=v1.1 MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRGQGDADGALDRLWQQKKAELKQ* >Brasy6G021900.2.p pacid=40049231 transcript=Brasy6G021900.2 locus=Brasy6G021900 ID=Brasy6G021900.2.v1.1 annot-version=v1.1 MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRGQGDADGALDRLWQQKKAELKQ* >Brasy6G076500.1.p pacid=40049232 transcript=Brasy6G076500.1 locus=Brasy6G076500 ID=Brasy6G076500.1.v1.1 annot-version=v1.1 MKTRTQQNPTISSPPFSSDQGKKPTMADTRDESQEATTDDFEFRILSTGGLLPAGAGDMCVADELFSHGKLLPLHPASDSPSLPRSESAAGGGLGSRSDSGCRSASSSGSSSAGCVSRSHSSKSASDAVLHGAAAAPRRSLTSSLFYAHPSPSPQLRSRSARPRRSTGSSAPPPPAGAAWGGFNFIRLGVVGAPDVYPPRLADAKITAASRGGSGRSARFERAASVVEKSLRKHGAGLFGDGFGCRCSPDVVVEPAGLAPEAAKKSGDDGGVKKKGRSIRRIRILDWLEELSISKQKK* >Brasy6G267800.1.p pacid=40049233 transcript=Brasy6G267800.1 locus=Brasy6G267800 ID=Brasy6G267800.1.v1.1 annot-version=v1.1 MEQDESNPPLLATYKHLLDAAADHRLPLGGVAGEEEDECDLPVIDLAPLLQQSTVNGSSSSAASAACRAAMVRAASEWGFFQVANHGVPPPLLDELHAQQLRAFRRPFHRKLAPGDPGDEEDDQRLLSSEAYRWGTPTATCLAQLSWSEVYHIPTINPSPSPETKKTTTSARRVIDEASAAMSSLARRILSVLVEEPPETETTTCFLRLNRYPPAPAPAPGSVGGHGRHGVLGLCGHTDSDFLTILRQDDRVGGLQLLLDNKDGSGGRWRTVRPNPGALTVNVGDLLQAWTNDVYASVEHRVVAHPDSERFSVAFFLCPSYGTLIRPPLPEPGKTKTSRQQQVYRSFTFGEYRSQVMEDVRLTGRKVGLPRFRRHVSTSPSPVSSPGPACQSHTLASLL* >Brasy6G062100.1.p pacid=40049234 transcript=Brasy6G062100.1 locus=Brasy6G062100 ID=Brasy6G062100.1.v1.1 annot-version=v1.1 MLALRRKMEDHPGHPISNYDFLSGNGCHTKKLGHKIYDQDSSSTKSGRSQQEASAMSDSNLNEQHTSRSSAQSDNDDGHGKHNQVMMKLPLSLGNPEAGSSPTKVDYSQSFACIPYTADAYYGGVLTGYASHAIVPLSAESTAEEPVFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQKQMQASTGGKNTFLQSSPTFVPSASAPSDMSNVSTSGRMLANHQERACFPSAGFHPVASLGARSGGDAELAVNGVQQRASMMS* >Brasy6G062100.2.p pacid=40049235 transcript=Brasy6G062100.2 locus=Brasy6G062100 ID=Brasy6G062100.2.v1.1 annot-version=v1.1 MLALRRKMEDHPGHPISNYDFLSGNGCHTKKLGHKIYDQDSSSTKSGRSQQEASAMSDSNLNEQHTSRSSAQSDNDDGHGKHNQVMMKLPLSLGNPEAGSSPTKVDYSQSFACIPYTADAYYGGVLTGYASHAIVPLSAESTAEEPVFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQKQMQASTGGKNTFLQSSPTFVPSASAPSDMSNVSTSGRMLANHQERACFPSAGFHPVASLGARSGGDAELAVNGVQQRASMMS* >Brasy6G062100.3.p pacid=40049236 transcript=Brasy6G062100.3 locus=Brasy6G062100 ID=Brasy6G062100.3.v1.1 annot-version=v1.1 MLALRRKMEDHPGHPISNYDFLSGNGCHTKKLGHKIYDQDSSSTKSGRSQQEASAMSDSNLNEQHTSRSSAQSDNDDGHGKHNQVMMKLPLSLGNPEAGSSPTKVDYSQSFACIPYTADAYYGGVLTGYASHAIVPLSAESTAEEPVFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQKQMQASTGGKNTFLQSSPTFVPSASAPSDMSNVSTSGRMLANHQERACFPSAGFHPVASLGARSGGDAELAVNGVQQRASMMS* >Brasy6G059500.1.p pacid=40049237 transcript=Brasy6G059500.1 locus=Brasy6G059500 ID=Brasy6G059500.1.v1.1 annot-version=v1.1 MGRSGFTDDGGASMMDWLMDSVESSIDSVEKRNQGYFDPDYLLEHEHDDDEQNLGESSDVMNKILASYEAQVKEEKMKNQKLLDDMLKLEIELAFQDQVIEELESKMAENQNMLKLEIELAFQDQVIEELESKIAENQKQNEELKRELEERKILNVEVGQCHHEVGMGIAFSCRFS* >Brasy6G196600.1.p pacid=40049238 transcript=Brasy6G196600.1 locus=Brasy6G196600 ID=Brasy6G196600.1.v1.1 annot-version=v1.1 MVLSDLYTFEFVHLQRKTMRVLNIYPHVKRIRTQESKLRYSYAPNNENSVSYLLLRHYRTLINHIIWIRFIIEPK* >Brasy6G012100.1.p pacid=40049239 transcript=Brasy6G012100.1 locus=Brasy6G012100 ID=Brasy6G012100.1.v1.1 annot-version=v1.1 ADEDGEVLPAYIEEDAKEQRRQQSTKPKKRWPFGVRHAGGGLEEAKNKARLALLDKLYEHDPKTGHGSYIRAWFLDPSFDLDAETGVMFLQMAIRPATADAAQIEAAVSLEISILKFCISDTFIFLIIIYEWMVK* >Brasy6G260200.1.p pacid=40049240 transcript=Brasy6G260200.1 locus=Brasy6G260200 ID=Brasy6G260200.1.v1.1 annot-version=v1.1 MASATGYTGPTAEQPAYGRPLLEVFSLRLVGRAAGGPPCGTVSVSDGRRGQIVYSRERDQPTHLHPSSRAQDGLVLTGPHRAISAEGSVAITLDLDDGSQEPPPSSEDERGNEIGKIYWDAYHPTVEYDKAISQTVDTRCGPAEVTYAVLSNAVEGVVEVKLVRGGDEGVGGRIIARSRLFAVGTVLFDGEPEEGVSAREGTIPLVRSVLAVPLVWPLTVEADLRASSGDEIVKGCLEFYPELEGEHVKRLVGSNGEIEVKITWWDI* >Brasy6G172100.1.p pacid=40049241 transcript=Brasy6G172100.1 locus=Brasy6G172100 ID=Brasy6G172100.1.v1.1 annot-version=v1.1 MASTERCSCLLTFLLLILFLLAPTVRGAQFVADVKGTGAADSSGVTVTMREGVAGGHAHGGYSSSHDMHNPNGMPDNGVDPRNIAGRGSHRRGAASRACRRYPRLGAWMVVGAMSFNFWCFLA* >Brasy6G199800.1.p pacid=40049242 transcript=Brasy6G199800.1 locus=Brasy6G199800 ID=Brasy6G199800.1.v1.1 annot-version=v1.1 MSELDLVAYITEENRRRKRKRILLTKAYFEMSCLALAYLSTQRAPRNLGCFDDDEHRRGLRKYLLKEMYDAILCMMGDMKAEFQEVLCMMGDMKAEFQEVLKTTDPLTLPKVTPSAEILAALQIIPDLAECDMLKAYEKLSLSECLFESLMELPMTLRKAWLLSLA* >Brasy6G102200.1.p pacid=40049243 transcript=Brasy6G102200.1 locus=Brasy6G102200 ID=Brasy6G102200.1.v1.1 annot-version=v1.1 MLISSFRPQTNVSRHLLTYLLHHYSVYEIPMYLLPIVLFLYRYKLSLKVTDDTASMETIAFSFVAEDLLEQTAMQASQNMKIDASDHAVALEKAIGKKRFFSIGMNPKYFSRFSINHVLKKSYKIHDDTSQVNV* >Brasy6G130700.1.p pacid=40049244 transcript=Brasy6G130700.1 locus=Brasy6G130700 ID=Brasy6G130700.1.v1.1 annot-version=v1.1 MGQQSKRTRAPAPHLVQPPATPPLIPPPATPHLVPQPAALHLVRPPSAPQFVPPAAPQFVPPATTQFVPPAAFPSTHGPGAWMPPRPPPSMEASSAPCWASPADIGVSTSAWYTSGNMNDSDPQAWYGSSIAYAFMVTTVILQLYTVLVCWLLNLCP* >Brasy6G041200.1.p pacid=40049245 transcript=Brasy6G041200.1 locus=Brasy6G041200 ID=Brasy6G041200.1.v1.1 annot-version=v1.1 MASGFGRDAGPLNRGPGSAPLAFGTGSAPTPPPAAPPVPVQFPSGRPVAPVGASADASRFPSARPQFPGVAASTRPGVTPPAPTPSARPAATAPGGPSRFPSSLAATVPGTSAATARHVVRHPQLQPRLAAPSVSRPMHPVISSRSRSPPSKQYVGSPADDNNGMGQRRLVNYADPLFGNGSVQPSEQMRTRPPEIGKTARSPPLDITSKFRTASSPENYPLAQSAEPRDHVRKPNLSPSKFGIQNQPPFHNAAAASSPPLNSNRLVPGGRFRPASGTSVSSPLLPGDVTHYDNPTPSVMPQQDMNEHMQLQSRFSAHFQSRTADHSISKRSRSPTASYQDIDGAGARHGTGANPRRLIDYTDTLFDDANVETSKRMRAPSSEFTNMLKSPPSDIRDNIGLAPAGFGSNGAAQKLRSHSDTQRVGSQTQSRIGDVRSPPYQMFRPSDTYSNEHNTPAVSPPKPSILSSSRRSVTPPLDVSDDDYVISSTELEREKQAKAKRLARFNVELSRPVENINDHAKADKQKQTSSTGKVPVRSNDSTLADMDPSGLAAILGLCSDMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYNRTAERDADLIRPLPVLQKTMEYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREMRGSPDILFAREVARACRMGNYISFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQINQGIPISHVVEWLAMEDEDVESLLEYHGFGSRQYEEPYLVKEGPFLNSESDFPSGCSELVRSKKSQRVIDDVSSGPVCAPTSQKLTVAPYSDRFTSPASKRELVPPQSALEVPVNSKRGFGSSLSGSASPTSSGQITSPRFSPFSPKAANQQFSSAYSSPISPTAGRKETVLTFPSTDSPRSSKHAFLNAGWMDDQRVASPKAKGKTKVPDTLMPEDNHGGFVEFSKEQTSVLRSEAYTQHVDALAETVVSHPLADNVSTDYFHMHEKEDEFRSHGSGSETDLDEGNTTCREANPIELGWPTRSPLSGHEEHADHQINKRTDDSLPITVSPKKRISDERLKMILRKWRQRAADKRCLREQKNALAIAALNSLSLGPPVHQTAVVPKHAAHELDIGHAFKERYTRQQRSWSRLNVSELTGPILIERKPDARCLCWKMLVLVPPGAMESQSNYFASKWVLKKLMGSENDGGLLLTSVDLSIWRMWASSPSKCCLSIVRASDQQVIGNDIANSTNCIVFVVSESIPWEMQRARFGSLIASVPAQSSLPLLILSGDTYNEGYDCASQNVIDKLGLSGLSEGKIASSLVVFLAGGCTEGYPNGFFDDDKLREGLKWMANSYPLHPDVILVKTHELLLNCLNPSLEVLHSRVASEVGPEHCISVFNSAINQLAEEILAAAYTNPNQWPALEIDLLERSSSERIFAEKFLPSVGWSLPSRIQPLVENVKSCKLPEFSHDLSWLKQGSYMGSQIQDQKLFLEECLTEYLTHSARLLNGAQAVAEAKIMVQKGVGLEFRDSYHYLVPKWITIFRRIFNWRLARLSTGEFSEAYVLSQRLYQAPAVDSNGVTQHGVAANNVTTDEVSILDDHEMMPDVSSGLSLDEIIEVSCDLDAFSAPPVRSPPLQPPTPVREEPQPPARINGDVNLVDGAIDISNIPRRVEPRDLVPPEWDDELAKLEAQCTKLQARIDERLYLYF* >Brasy6G041200.2.p pacid=40049246 transcript=Brasy6G041200.2 locus=Brasy6G041200 ID=Brasy6G041200.2.v1.1 annot-version=v1.1 MASGFGRDAGPLNRGPGSAPLAFGTGSAPTPPPAAPPVPVQFPSGRPVAPVGASADASRFPSARPQFPGVAASTRPGVTPPAPTPSARPAATAPGGPSRFPSSLAATVPGTSAATARHVVRHPQLQPRLAAPSVSRPMHPVISSRSRSPPSKQYVGSPADDNNGMGQRRLVNYADPLFGNGSVQPSEQMRTRPPEIGKTARSPPLDITSKFRTASSPENYPLAQSAEPRDHVRKPNLSPSKFGIQNQPPFHNAAAASSPPLNSNRLVPGGRFRPASGTSVSSPLLPGDVTHYDNPTPSVMPQQDMNEHMQLQRFSAHFQSRTADHSISKRSRSPTASYQDIDGAGARHGTGANPRRLIDYTDTLFDDANVETSKRMRAPSSEFTNMLKSPPSDIRDNIGLAPAGFGSNGAAQKLRSHSDTQRVGSQTQSRIGDVRSPPYQMFRPSDTYSNEHNTPAVSPPKPSILSSSRRSVTPPLDVSDDDYVISSTELEREKQAKAKRLARFNVELSRPVENINDHAKADKQKQTSSTGKVPVRSNDSTLADMDPSGLAAILGLCSDMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYNRTAERDADLIRPLPVLQKTMEYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREMRGSPDILFAREVARACRMGNYISFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQINQGIPISHVVEWLAMEDEDVESLLEYHGFGSRQYEEPYLVKEGPFLNSESDFPSGCSELVRSKKSQRVIDDVSSGPVCAPTSQKLTVAPYSDRFTSPASKRELVPPQSALEVPVNSKRGFGSSLSGSASPTSSGQITSPRFSPFSPKAANQQFSSAYSSPISPTAGRKETVLTFPSTDSPRSSKHAFLNAGWMDDQRVASPKAKGKTKVPDTLMPEDNHGGFVEFSKEQTSVLRSEAYTQHVDALAETVVSHPLADNVSTDYFHMHEKEDEFRSHGSGSETDLDEGNTTCREANPIELGWPTRSPLSGHEEHADHQINKRTDDSLPITVSPKKRISDERLKMILRKWRQRAADKRCLREQKNALAIAALNSLSLGPPVHQTAVVPKHAAHELDIGHAFKERYTRQQRSWSRLNVSELTGPILIERKPDARCLCWKMLVLVPPGAMESQSNYFASKWVLKKLMGSENDGGLLLTSVDLSIWRMWASSPSKCCLSIVRASDQQVIGNDIANSTNCIVFVVSESIPWEMQRARFGSLIASVPAQSSLPLLILSGDTYNEGYDCASQNVIDKLGLSGLSEGKIASSLVVFLAGGCTEGYPNGFFDDDKLREGLKWMANSYPLHPDVILVKTHELLLNCLNPSLEVLHSRVASEVGPEHCISVFNSAINQLAEEILAAAYTNPNQWPALEIDLLERSSSERIFAEKFLPSVGWSLPSRIQPLVENVKSCKLPEFSHDLSWLKQGSYMGSQIQDQKLFLEECLTEYLTHSARLLNGAQAVAEAKIMVQKGVGLEFRDSYHYLVPKWITIFRRIFNWRLARLSTGEFSEAYVLSQRLYQAPAVDSNGVTQHGVAANNVTTDEVSILDDHEMMPDVSSGLSLDEIIEVSCDLDAFSAPPVRSPPLQPPTPVREEPQPPARINGDVNLVDGAIDISNIPRRVEPRDLVPPEWDDELAKLEAQCTKLQARIDERLYLYF* >Brasy6G249400.1.p pacid=40049247 transcript=Brasy6G249400.1 locus=Brasy6G249400 ID=Brasy6G249400.1.v1.1 annot-version=v1.1 MALCRASTTISVGAGEKALFWHDAWLPDGSAPKNRWLGLFAIASRKNRTVQKELSGRNWIRALLRINNTEQLSDFVALWECIQGVHLSEENDSITWRWTPSGSYTTASAYRIQFAGSIPPFESRKIWKAEAAPSCKFFAWTALCHIHGETIIHLCRDCSFTREVWQHIQTWSLGTVVPTPATADINAHWEGLILGLPKKEKRALSGRLITTWWGIWKERNRRVFRSSALSALEVAYLVWEEVQSRTTASSLDPGDR* >Brasy6G101900.1.p pacid=40049248 transcript=Brasy6G101900.1 locus=Brasy6G101900 ID=Brasy6G101900.1.v1.1 annot-version=v1.1 MRMSCNGCRVLRKGCSEGCTIRPCLEWIKSPDAQANATVFLAKFYGRAGLLNLLAAGPDDLRPALFRSLLYEACGRMVNPIYGSVGLLWSGRWEACQAAVEAVLKGAPIVQVSSEAVPAAQATPPLRAYDIRHVAKNNTEAADPLRVSRAGRTRFKRASSSTSKSKKGSASAGAKSLSPAPRQGSEQAPSHEESAGSHNHGHVDDEGMMMAVEQTREESDQDTEVEAGSHVSQAEQSPLPPMRQEEIGLELTLGLEPAVTRVARSPLPRLDLSGLSAESSHIALGLALPA* >Brasy6G074300.1.p pacid=40049249 transcript=Brasy6G074300.1 locus=Brasy6G074300 ID=Brasy6G074300.1.v1.1 annot-version=v1.1 MAMARTSLALLALLCVVLAGAGAASAGRVDVGDMLMMDRFRAFQATYNRTYATPEERLRRFEVYRRNVDYIEATNRRGDLTYELGENQFADLTVAEFRAMYTMPATKMSRPDALRRQMITTRAGPVAEDGGSYYSDAWEEEAGPSSVDWRAKGAVTPVKDQGACGCCWAFAAVATIEGLHKIKTGQLVSLSEQELVDCDDSDDGCGGGLPESAMDWVAHNGGLTTEANYPFTGKAGKCDRGKASNHAAKIVAAQMVRANSEAELERAVARQPVAVAINAPDSLMFYKSGVYSGPCTAEVDHAVTVVGYGADNKGHKYWIIKNSWAETWGEKGYGRMQRGVAAKEGLCGIATHASYPVM* >Brasy6G194500.1.p pacid=40049250 transcript=Brasy6G194500.1 locus=Brasy6G194500 ID=Brasy6G194500.1.v1.1 annot-version=v1.1 MLQPFPPSHLPRQSPRHAPPPRRCISSARPRTSTAVASRLPPGALPPPPIATTQLYASVSPYSSLICIDPDPPPASSTLRRRTWLPRHAGSISVLDDVALKALRNCVKELGVGSYVPFV* >Brasy6G194500.2.p pacid=40049251 transcript=Brasy6G194500.2 locus=Brasy6G194500 ID=Brasy6G194500.2.v1.1 annot-version=v1.1 MLQPFPPSHLPRQSPRHAPPPRRCISSARPRTSTAVASRLPPGALPPPPIATTQLYASVSPYSSLICIDPDPPPASSTLRRRTWLPRHAGSISVLDDVALKALRNCVKELGVGSYVPFV* >Brasy6G194500.4.p pacid=40049252 transcript=Brasy6G194500.4 locus=Brasy6G194500 ID=Brasy6G194500.4.v1.1 annot-version=v1.1 MLQPFPPSHLPRQSPRHAPPPRRCISSARPRTSTAVASRLPPGALPPPPIATTQLYASVSPYSSLICIDPDPPPASSTLRRRTWLPRHAGSIRY* >Brasy6G194500.3.p pacid=40049253 transcript=Brasy6G194500.3 locus=Brasy6G194500 ID=Brasy6G194500.3.v1.1 annot-version=v1.1 MLQPFPPSHLPRQSPRHAPPPRRCISSARPRTSTAVASRLPPGALPPPPIATTQLYASVSPYSSLICIDPDPPPASSTLRRRTWLPRHAGSIRY* >Brasy6G193700.1.p pacid=40049254 transcript=Brasy6G193700.1 locus=Brasy6G193700 ID=Brasy6G193700.1.v1.1 annot-version=v1.1 MASAAAGDEKPLAHLAHPLLGQPQHPHPYYAYPAATYAYAPAPPPPPPPPPTLFVLPASPVFVRLRRLRPRRIPCIRRFCTRTLPLLLALALLAGLAFLLYPAAPAARVADIRLDRFRVNPPPLPALDFNLALRLRVHNPGFLLPLRYRAVSSTVSYRGHLLGSATARPGSGELAARGDTYAYSEVWVDAGRVLDDVIELIGDIAAGSVPLEIVTEVIGAVRVFRFDIPVKGLISCSVNVSPDSQSIISQDCY* >Brasy6G193700.2.p pacid=40049255 transcript=Brasy6G193700.2 locus=Brasy6G193700 ID=Brasy6G193700.2.v1.1 annot-version=v1.1 MASAAAGDEKPLAHLAHPLLGQPQHPHPYYAYPAATYAYAPAPPPPPPPPPTLFVLPASPVFVRLRRLRPRRIPCIRRFCTRTLPLLLALALLAGLAFLLYPAAPAARVADIRLDRFRVNPPPLPALDFNLALRLRVHNPGFLLPLRYRAVSSTVSYRGHLLGSATARPGSGELAARGDTYAYSEVWVDAGRVLDDVIELIGDIAAGSVPLEIVTEVIGAVRVFRFDIPVKGLISCSVNVSPDSQSIISQDCY* >Brasy6G193700.3.p pacid=40049256 transcript=Brasy6G193700.3 locus=Brasy6G193700 ID=Brasy6G193700.3.v1.1 annot-version=v1.1 MASAAAGDEKPLAHLAHPLLGQPQHPHPYYAYPAATYAYAPAPPPPPPPPPTLFVLPASPVFVRLRRLRPRRIPCIRRFCTRTLPLLLALALLAGLAFLLYPAAPAARVADIRLDRFRVNPPPLPALDFNLALRLRVHNPGFLLPLRYRAVSSTVSYRGHLLGSATARPGSGELAARGDTYAYSEVWVDAGRVLDDVIELIGDIAAGSVPLEIVTEVIGAVRVFRFDIPVKGLISCSVNVSPDSQSIISQDCY* >Brasy6G193700.4.p pacid=40049257 transcript=Brasy6G193700.4 locus=Brasy6G193700 ID=Brasy6G193700.4.v1.1 annot-version=v1.1 MASAAAGDEKPLAHLAHPLLGQPQHPHPYYAYPAATYAYAPAPPPPPPPPPTLFVLPASPVFVRLRRLRPRRIPCIRRFCTRTLPLLLALALLAGLAFLLYPAAPAARVADIRLDRFRVNPPPLPALDFNLALRLRVHNPGFLLPLRYRAVSSTVSYRGHLLGSATARPGSGELAARGDTYAYSEVWVDAGRVLDDVIELIGDIAAGSVPLEIVTEVIGAVRVFRFDIPVKGLISCSVNVSPDSQSIISQDCY* >Brasy6G135300.1.p pacid=40049258 transcript=Brasy6G135300.1 locus=Brasy6G135300 ID=Brasy6G135300.1.v1.1 annot-version=v1.1 MNRAVSNLRARHVARLGSFCASSSSPASEAWDGRFRLHKPRGQHLLTNPRVLDAIARHAALRPGDAVLEVGPGTGNLTARLLASPAARVSAVEIDPRMVDAVTARASVLNLAHKLTVIQGDAVETDFPEFDVCVANIPYGISSPLIAKLLFGTYRFRTATLLLQKEFARRLVAKPGDSEYNRLAANVHMVAETRLLMDVSKRDFVPMPRVDSSLVEIRPRVDMPEADLAEWLAFTRECFGQKNKTLGAIFKQKRKILELFRRSQRTERFTDDAPGRGVILGVLDDGNDEACSYDDGNDDNGRSDVVAGFSKEEVGAFKERIANALESTELAGKRPSQLSNDELLRLLTLLNERGIWFQ* >Brasy6G140700.1.p pacid=40049259 transcript=Brasy6G140700.1 locus=Brasy6G140700 ID=Brasy6G140700.1.v1.1 annot-version=v1.1 MGHHCCSKQKVKRGLWSPEEDEKLLRYMTVHGQPCWSSVPRHAGLERCGKSCRLRWINYLRPDLKRGAFSELEERTILDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGIDPKTHNLLPAASKSLLHGAGAANNNNPASAAGQFQSSSNANASANGTTPFTISSPTKAAVATVAPPAVSMAPTALYDYNSVVPNPGASSGMLMGHEHAAAAMQLQQQGGYPSYDAGNGGGVLMNFRDQNHHAASMSMDFMNASSNSSSSMELAAGGMPNGNGFNPGMGGMAAFLDDEAAAMWATTVEEGMSGPGMLQQQGLVQDQEVVVGPPLMATTNGGGPVGNGKGAVDMMDVSSAVYGAAGATTTAFDLELMESCGMFYGGGAGTGMGMEQLQWDY* >Brasy6G008600.1.p pacid=40049260 transcript=Brasy6G008600.1 locus=Brasy6G008600 ID=Brasy6G008600.1.v1.1 annot-version=v1.1 MYKAKRAASLKVRRRIGNYELGRTIGEGTFAKVRFAKNMESGDPVAIKILDKAKVQKHGLVEQIRREICTMKLIQHPNVVRLHEVMGSKARIFIVLEYVTGGELHDIIAARGSLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDSAGNIKVSDFGLSAISEQAKDDGLLYTSCGTPNYVAPEVIEDKGYDGTLADLWSCGVILFVMLAGYLPFEDDNIASLYKMISGAQFTCPSWFSDGAKRLIARILDPNPSTRIRIPQLLKDPWFKKGYKPPVFDEKYQTSLDDVDAAFGDSEEKHVKEEMEGQPASMNAFELISLNRGLNLENLFETDKKYKREKRFTSQCPPKEIINRIEAAAKPLGFDIQKKNYKMQMENIEAGRKGNLNVATEVFQVAPSLHVVVLKKARGDTLEFQKFYRTLSAQLKDVVWVCEGEAEEKSSTA* >Brasy6G064500.1.p pacid=40049261 transcript=Brasy6G064500.1 locus=Brasy6G064500 ID=Brasy6G064500.1.v1.1 annot-version=v1.1 MEGVAVTAALAVGAAVGAYFLWPAAAAVAGATMKAPGAAGYVISRAAFLSNPQLYFQILRTAGAAAAAAAFGL* >Brasy6G158500.1.p pacid=40049262 transcript=Brasy6G158500.1 locus=Brasy6G158500 ID=Brasy6G158500.1.v1.1 annot-version=v1.1 MPTHQIMKNFWKPKCTMKHKPAPPEETLQHLFFDCPFAQSCWSNLGISWDLSLTITNNIFRAKQHWHRGLFWECFILGSWGIWKERNAIIFENAPPKKESWKSRLKTDLQIFSYRITKEGQKIKLASILATL* >Brasy6G166000.1.p pacid=40049263 transcript=Brasy6G166000.1 locus=Brasy6G166000 ID=Brasy6G166000.1.v1.1 annot-version=v1.1 MAPRSQAVLFLVAAVLAAVVTSVSAEDAKPTILTPVANTPLGSFEGADGPVADDAMEDEDAAPVGAPIGTTMTEPKPELANAPPGATEAEGDDNAAASGAASVLAGASVAAFAGVVAAAFAF* >Brasy6G089800.1.p pacid=40049264 transcript=Brasy6G089800.1 locus=Brasy6G089800 ID=Brasy6G089800.1.v1.1 annot-version=v1.1 MVLQILVMENQSYNGYTDLLQSDVGLDDLHWSGGHGEQHIDLEGHEEHHINLEETQEATPPVKASAKKARASKASAPKSASSKRQKNFSQAEDLTLCDAYLEITQDPIIGVDQSRDCYWKIINAYFHANKTEDSGRTQGSLQHRWAVIQEQVNKFSACYARVMNRNQSGMTHENKLAQALVKYASDEENKPFGLMHCFNKLEDTEKWKSRPKIKKHKTCSMDTPGTSSSVFEDEATSPSKSVPTKRPIGQKRAKEAQRQASASGSSSRELFGDIFETRESKRQERFEPMLAIDKQRDEERLAEERNRTAIKKKRWH* >Brasy6G126900.1.p pacid=40049265 transcript=Brasy6G126900.1 locus=Brasy6G126900 ID=Brasy6G126900.1.v1.1 annot-version=v1.1 MGSAIRAHRQQGNTQSHLENTPPPPFTAVKNVAFEDVAVSDRLRAVLDDLGVVSPNRLLISYKGNSRRSRKRKKLSDQDLKAVHFATMFSEKERPLVHPPEHGNQDGNNKRRSEQPEEEDGERDEGRPEQQEEEDEEEEEERKKKKKDVCLDVRAYDRNGVPYHVKCKFLGCNNEYRIIGMDWRVFLDKNGLVVPENEKPKRKKPSPEPNYGGPPKRKNKSGSASPSPEPDGDADRPNHVRIELWAFRSPKLELGCTDQPGGALGLILLHYPEGVAQPQRVDHIGRAAAGAMELPQGSQQNRAATANVDEMAMAAGALLQGDLTPDFWGAVVGLMLSKQGHWGDSDKGSKEDGGGN* >Brasy6G119200.1.p pacid=40049266 transcript=Brasy6G119200.1 locus=Brasy6G119200 ID=Brasy6G119200.1.v1.1 annot-version=v1.1 MGCVLEVDVDGEGVFFVDKDVLAPFSGKIKNLFDERPAGLGARRPPRVVLHGFPGGAETFELVARFCYTGGGDVAAVTAANACALRCAAEFMDMAPSLAKLAEKALDEMPHWPWQSVVDAVKQCERLLPLAESTGVFDRVAGALLSHMAVVGDATPTSSSPESSAFRFSCDTKSSASLFSSAARCNGSSRTWWFEDLVVVLSPGMLERLARALLARGADHGTVARFLFYYLKCRLAGAANAEDKAAMLEAVITVIADDLDRSSVSCKGLFGILRVASPLLRLRGDCQDRLVAMIGRKLDHATLDNLLVQAPAPASGKKTGGGGSLYDVGLVLRFLEAFLREEPEPDGARLKKVGALVDLYLAEVAPDPSLRPARFVELATALPPAARDCHDALYRAIDVYFQVHGRLTDEEKMKICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHAVLKGIIRHSGPMKPPPSAPPPATGKHHRDSHDHEDEQQQVVLYASRLELSLENQSLKSLLDGMNWRMMELEKVCSRMKTQMTKMKASRRGGGGVAAGCTARSLPRMCS* >Brasy6G119200.3.p pacid=40049267 transcript=Brasy6G119200.3 locus=Brasy6G119200 ID=Brasy6G119200.3.v1.1 annot-version=v1.1 MDMAPSLAKLAEKALDEMPHWPWQSVVDAVKQCERLLPLAESTGVFDRVAGALLSHMAVVGDATPTSSSPESSAFRFSCDTKSSASLFSSAARCNGSSRTWWFEDLVVVLSPGMLERLARALLARGADHGTVARFLFYYLKCRLAGAANAEDKAAMLEAVITVIADDLDRSSVSCKGLFGILRVASPLLRLRGDCQDRLVAMIGRKLDHATLDNLLVQAPAPASGKKTGGGGSLYDVGLVLRFLEAFLREEPEPDGARLKKVGALVDLYLAEVAPDPSLRPARFVELATALPPAARDCHDALYRAIDVYFQVHGRLTDEEKMKICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHAVLKGIIRHSGPMKPPPSAPPPATGKHHRDSHDHEDEQQQVVLYASRLELSLENQSLKSLLDGMNWRMMELEKVCSRMKTQMTKMKASRRGGGGVAAGCTARSLPRMCS* >Brasy6G119200.2.p pacid=40049268 transcript=Brasy6G119200.2 locus=Brasy6G119200 ID=Brasy6G119200.2.v1.1 annot-version=v1.1 MDMAPSLAKLAEKALDEMPHWPWQSVVDAVKQCERLLPLAESTGVFDRVAGALLSHMAVVGDATPTSSSPESSAFRFSCDTKSSASLFSSAARCNGSSRTWWFEDLVVVLSPGMLERLARALLARGADHGTVARFLFYYLKCRLAGAANAEDKAAMLEAVITVIADDLDRSSVSCKGLFGILRVASPLLRLRGDCQDRLVAMIGRKLDHATLDNLLVQAPAPASGKKTGGGGSLYDVGLVLRFLEAFLREEPEPDGARLKKVGALVDLYLAEVAPDPSLRPARFVELATALPPAARDCHDALYRAIDVYFQVHGRLTDEEKMKICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHAVLKGIIRHSGPMKPPPSAPPPATGKHHRDSHDHEDEQQQVVLYASRLELSLENQSLKSLLDGMNWRMMELEKVCSRMKTQMTKMKASRRGGGGVAAGCTARSLPRMCS* >Brasy6G006300.1.p pacid=40049269 transcript=Brasy6G006300.1 locus=Brasy6G006300 ID=Brasy6G006300.1.v1.1 annot-version=v1.1 MALLIPERKEKNHPDLLFPLARLRRLPSTSSALPPPALQPRQHFCRTHDAAASPAHLRRHAPLPSTALGASATGSPRVCAAAVALRRIALALHPASRSPTAEPLSTLRSPPSEGPRRPTASLAGTPSRLPRPHGQAPPNSRPQVKATAATMAHTWNRLEPTSVKVRDGIYIDILDEDNDGLRENLKTYSNWQTFLQVFVGGELVGRCDIISSMAENGELAALLQK* >Brasy6G200600.1.p pacid=40049270 transcript=Brasy6G200600.1 locus=Brasy6G200600 ID=Brasy6G200600.1.v1.1 annot-version=v1.1 MIGRWPAAAKTAGRHDRCSSVAPVPKHHSGGGYGGSEERGIAQHYSARSNQTLQEREKSPIIHLKKLNNWIKSVLIDLCVRQGDHVLDLACGKGGDLNKWSIAKAGYYVGVDIAEGSIRDCLARYNCQQQQGIRSRFPFPARLICADCFEAPLDDFLHQDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGTFIGTMPDAKCHSEYAQRN* >Brasy6G034200.1.p pacid=40049271 transcript=Brasy6G034200.1 locus=Brasy6G034200 ID=Brasy6G034200.1.v1.1 annot-version=v1.1 MGQHSKRTRAPAPQPVPPSVPRSVPPLAPQSVPPRPPHPFLPSAFTSMHGPGSWIPPRPPQSVAPSSASSWLAGLQQQSAAGDSAQGPCWAPPADIGGSASPWYTTGNIDDSNPQAWGMDSYLPGGFLSSLFKNTSSQAQAVSNGTPHQPINVGDDNNGGNCPRTEKRLLWTKEEDRRLVSAWLNNSNDPIQSNYKKNDQYWKDVAAVYNSTTPKNRARLVKQVKDRFARIKKRVAWFCASWKEANALYASGESDVDLRERAMRTYEADHKEDGPFMFEHCWEFLKKEPKWDAYLERLEDLEPDKRKFSVDDEVGQHFTLDDARDERPPGGKQAKEQRKRKIKDQDCIIDLEVELTKFVDAQSAANEGRKEMLETQRRVSSENLEARKLACLATKDHKESVMLETYRSLMMQDTSVMPEDVRSEHVLALKCFRERLFGKTD* >Brasy6G203900.1.p pacid=40049272 transcript=Brasy6G203900.1 locus=Brasy6G203900 ID=Brasy6G203900.1.v1.1 annot-version=v1.1 MFGYHQKGGDIEAGTSGGAGAAPTRGLYPGMTESPELRWALIRKIYIILSLQLLLTAAVAAVVVKVRAISHFFVSSNAGLGLYIFLVIFPFIVLCPLYFYRQKHPVNLLLLGIFTVAISFAVGMTCAFTSGKVILEAAILTAVVVVSLTAYTFWAAKRGRDFSFLGPFLFASLIVLIVFAFIQILFPMGKLSHMIYGGLAALIFSGYIVYDTDNIIKRFTYDEYVWAAVSLYLDVINLFMALMTLFSAADS* >Brasy6G145200.1.p pacid=40049273 transcript=Brasy6G145200.1 locus=Brasy6G145200 ID=Brasy6G145200.1.v1.1 annot-version=v1.1 MPPKRYAAPRATLAGEAAAKKPKEWPPGMSNADWAADCTRRSVENAARRGREREAKERNADLARQMEAQRVAALAQMAAKKAGMARQPREVFVVVVTVRHFSGVAPHAHDNHGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLDGFNPNAFASPPLSRGPLSYGGSSPSASFQQFPAGCSWPAPNPFGGMSQGDSIMADMINDGSQHAHHTYTQEEEAYGGEDAEEREEWADGTEEPAEPRGKKKAAAEKKKAGDGGRGPKWTSKEGECLAEAWKIVSMDPFTGANQSGDTYWRRVKTTYDERRVIDREFATVTHDRNESGLSHRWQTIQQACNKWHDIQEEVRRRPASGSSAHDQMVAMFTAFREDNDGADFKLIHVFARIETCDKWMETRNGLAKSGTYDPKAGPPAAAEGRPIGHKKAKAMRDVAPATERLYTCIEKCMSAAAAQATKRDELAAKREAVAASRWATVIKKQDDKLEILKANVATKKRREDLLILTCDTTDMDAEVKARYDCQRRLILAEARAPSPPETATPATSTPPAGSEVPSTPADDQGAE* >Brasy6G263500.1.p pacid=40049274 transcript=Brasy6G263500.1 locus=Brasy6G263500 ID=Brasy6G263500.1.v1.1 annot-version=v1.1 MHKIYSLLPPQLILEVEALQISEASQNYRHTNQSMASSLRSLLGKLPLPASRKGKAAATVAAVSAAAAAGFWYLRDNNADSEVDISTMSREEQQAAAEAVAMKRKLGTAEDAGNKEEVTAAATTQNAGKPAF* >Brasy6G093900.1.p pacid=40049275 transcript=Brasy6G093900.1 locus=Brasy6G093900 ID=Brasy6G093900.1.v1.1 annot-version=v1.1 MDSRPRDGDAPTEPADGAAPPATAKVEVEITKPRNDKREYRRVVLPNALECLLISDPDTDKAAASMNVSVGYFCDPDGMEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTSSEHTNFYFDVNSDCLDDALDRFAQFFIKPLMSSDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCSNNHPYHKFSTGNWDTLEVKPKEKGLDTRLELIRFYDSHYSANLMQLVVYGKESLDKIQTLVENKFFDIKNVQRKPFSFLGHPCASKDLQILVKVVPIKQGHTLKILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYILKKLGWAMSLGSGEGDSNYEFAFFSVVIQLTDVGHEHMEDIVGLLFRYITLLQTSGTPKWIFDELLAICETGFHYRDKSPPIHYVVNLSSNMQIFPPEDWLTASFVPSKFSPDAIQKVLDELTPENVRIFWESKKFEGQTNLIEPWYGTSYCVEAVPPSIIQKWIEKAPKEDLHLPKPNIFIPSDLSLKNVEDKANFPCVLRKTPFSRLWYKPDTMFSTPKVYIKMDFHCPLAHSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYVVGPNDTGFQVTMVGYNDKMRTLLETVIGKIAEFEVRVDRFSVVKETMTKQYENFKFLQPYQQAMDYCRLILEDQTWPWDEELAVLSNLGANDLEIFWPHMLAKTFIECYFAGNIEPGEAKGVVQHVEDTLFNSPIGVCKSLLPSQHLTKRIVKLERGLKYYYPVMGLNQQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQRNDSGVRGLQFIIQSTAKDPFSLDARVEAFLTMFEGTLYQMPDAEFMSNVNALIDMKLEKYKNIREESAFFWGEISEGTLNFDRKEAEVAALRELKKDELIEFFNNHVKVNAPEKKILSVQVYGGLHSSEYEKILLDAPPPHSHRITDIFSFRRSRPLYGSFKGGVSQMKL* >Brasy6G037600.1.p pacid=40049276 transcript=Brasy6G037600.1 locus=Brasy6G037600 ID=Brasy6G037600.1.v1.1 annot-version=v1.1 MSRSPRALALSPTTTLPRLLAGADGGVTGAVGSDTVSCSVPLDVARSQDGAATRQDTTGQTRNSRRVTPGGTARALVGRNKAGDGDPWRAEDEAVEEHFGQLWVLPAERASHARVLAQEPISAAALFWIRRDLFVAKSFSVDDCFPARFSDRFVADPLRFSFARDFWSRIHGRATFAEILKKNPMEGGRGGRGGGRGSGRGYQKKGGYRNPFQYNRPQQQEPPPIQTPQMPQVQNPVIPNQQFQMQYQQFPIPNQISQQMQMLPQQSMMQPIQFAYGQQFPQSQMANFNPQFGQQMPMFQQHLNQELQQ* >Brasy6G019500.1.p pacid=40049277 transcript=Brasy6G019500.1 locus=Brasy6G019500 ID=Brasy6G019500.1.v1.1 annot-version=v1.1 MASSSKLLQAVVLLGLAATAMMIVPAAASLSLPGVAKAKTMFQKAKVVVAGAVPCSTGSLIDIASSPAFPNAEVELRCAGQVVAGATTSTNGTFTMELQLTGAAAVAVEAFVGGCSLVVDTPLVKCNATLPPAGQLVSHLQGPLARLLGGAFHLFPAGFSFHPR* >Brasy6G162200.1.p pacid=40049278 transcript=Brasy6G162200.1 locus=Brasy6G162200 ID=Brasy6G162200.1.v1.1 annot-version=v1.1 MASGGGGGRPPRAQAQNLLEFDFLVVIDFEATCKKDARIYPQEIIEFPSVLVDGATGRLESAFRRYVRPRHHPVLTQFCRDLTGIQQADVDGGVDLGEALRLHDAWLEAATAGRPWAKKCGRFAVVTWGDWDCRTMLESECRFKGIAKPPYFDRWINLRVPFEAAFGGGGRINLQARCGREGGTGICITGSLAPPPLQQPQVSPCGGVAVASREGMVAVPGPMQGKCFLGCGNWTPAMGAVCPYFVWCGY* >Brasy6G200500.1.p pacid=40049279 transcript=Brasy6G200500.1 locus=Brasy6G200500 ID=Brasy6G200500.1.v1.1 annot-version=v1.1 MIGRWPAAAKTAGRHDRCSSVAPVPNHHSGGGYGGSEERRIAQHYSARSNQTLREREKSPIIHLKKLNNWIKSVLIDLCGRQGDRVLDLACGKGGDLNKWSIAKAGYYVGVDIAEGSIRDCLARYNCQQQQGIRIRRSFPFPARLICADCFEAPLDDFLHQDSPFDICSCQFALHYSWSTEARARQALANVSALLRPGGAFIGTMPDATVILNMLRETDDGSLRFGNSVYSITFGQECADKMRFPPDASSGSPFGIKYMFHLEDAVDCPESLVPFHLFESLAGEYGLELVLVKNFSDFVHEYLQEPKYSNLMQKLGALDGLSPDEWEVSCLYLAFVLRKRGQPALPSRRRADNAKRGKTFLDESDIEFLGM* >Brasy6G145900.1.p pacid=40049280 transcript=Brasy6G145900.1 locus=Brasy6G145900 ID=Brasy6G145900.1.v1.1 annot-version=v1.1 MGDNLLNGVARSYFLESDPQRTWKDRKREKREASGRLIYAWWEIWKERNRRIFRGLALAALDLAYTIWEDTQSRARACSNDPRD* >Brasy6G190800.1.p pacid=40049281 transcript=Brasy6G190800.1 locus=Brasy6G190800 ID=Brasy6G190800.1.v1.1 annot-version=v1.1 MVAFRATAAAVAGIFADEGAQQLRLEAGRRSCGPLLPHPDKGQRRWCTLLRHGPEPESTVAAVEEEAEETTLTWARRSSDPEKYCCYQQP* >Brasy6G263700.1.p pacid=40049282 transcript=Brasy6G263700.1 locus=Brasy6G263700 ID=Brasy6G263700.1.v1.1 annot-version=v1.1 MGKKSKQRREGDGDSSAADQATEAPEPPREQAVADSAAASKKKSRRLRAGDSDTQATEAPQPREQAAPAADSAPPSRKKSRRLRGGDASEAVPAAVSPAGADSGMGSPEPQGKKHKHKKRKKDKQIQSPSDSDAVAAGKSVDDCTDGEGALGDVGVSMNPTNGEDSGFPEANIGEEGTKERKKFKVKKRKKDKTEQSLAAADGGDGAPGDDEDSMRPRNGEDTDCPVVSIADKEAPEMKVSNSTRRRKNKQERSAAAVADASDVAAGKSGNDCTDGEGATGVTEFRMSHGNGENPDCPEVNITEKGTPERKKTKLKKRKNRQEQSHSTVSDGGAVEADRSGNGCTDGGEASGDAEVNMSTRNEEDPDSPEANITERGTPERKKTKLKKQKTRQEQSHSAVSDGGAVEADRTGNGCTDGDDASGDAEVSMSTRNEEDPDSPEANITERGTPERKKTKLKKQKTRQEQSHSAVSDGGAVEADRTGNGCTDGDDASGDAEVSMSTRNEEDPDCTEVSIAEKGAQEGKKPKSKKRKNDKERSTSVVLDANAVVADESGNGYTISRNREDTDCPEVNIAEKGTADMKKPRVKKRKKNRQEQSPSTTSDVGDVVVDKSEYGSTNVETASRAAEVAITEDLVAVNKDYSQEPKMKKQKKNKQEQSSSAVPDTCAVVADKSGKGCSDGEGAQEDYSQKPKIKKQKKNKQEQSSSAVPDNGVVVADKSGKGCTDGEGAQEDSEDPDHLKVNIGEDSVEENNGNKDNSQKPKQKKRKTKNMNQVDVTEAPSVDVKSEAIASNVSLALVQDVEINAKAKAECVRARSDKSVIISMTSTSRGNHKEKVQDIYSPRGSLVRFQRKKLLILDLNGLLADINQDHYNAHLSHAKVRGKLVFKRPYYDDFLRFCFQNFELGVWSSRMRANVDTVVNILMKDLKRHLLFCWDLSKCTTTGYKTLENKQKPLVLKELKKLWNKEEPDLPWEQGEFSPSNTLLVDDSPYKALRNPPYTAIFPHPYSYRNKKDNSLGPGGDLRVYLENLAAADDVQHYVQEHPFGQPFITESDRHWNFYVKIHEKLEKTIA* >Brasy6G263700.2.p pacid=40049283 transcript=Brasy6G263700.2 locus=Brasy6G263700 ID=Brasy6G263700.2.v1.1 annot-version=v1.1 MGKKSKQRREGDGDSSAADQATEAPEPPREQAVADSAAASKKKSRRLRAGDSDTQATEAPQPREQAAPAADSAPPSRKKSRRLRGGDASEAVPAAVSPAGADSGMGSPEPQGKKHKHKKRKKDKQIQSPSDSDAVAAGKSVDDCTDGEGALGDVGVSMNPTNGEDSGFPEANIGEEGTKERKKFKVKKRKKDKTEQSLAAADGGDGAPGDDEDSMRPRNGEDTDCPVVSIADKEAPEMKVSNSTRRRKNKQERSAAAVADASDVAAGKSGNDCTDGEGATGVTEFRMSHGNGENPDCPEVNITEKGTPERKKTKLKKRKNRQEQSHSTVSDGGAVEADRSGNGCTDGGEASGDAEVNMSTRNEEDPDSPEANITERGTPERKKTKLKKQKTRQEQSHSAVSDGGAVEADRTGNGCTDGDDASGDAEVSMSTRNEEDPDSPEANITERGTPERKKTKLKKQKTRQEQSHSAVSDGGAVEADRTGNGCTDGDDASGDAEVSMSTRNEEDPDCTEVSIAEKGAQEGKKPKSKKRKNDKERSTSVVLDANAVVADESGNGYTISRNREDTDCPEVNIAEKGTADMKKPRVKKRKKNRQEQSPSTTSDVGDVVVDKSEYGSTNVETASRAAEVAITEDLVAVNKDYSQEPKMKKQKKNKQEQSSSAVPDTCAVVADKSGKGCSDGEGAQEDYSQKPKIKKQKKNKQEQSSSAVPDNGVVVADKSGKGCTDGEGAQEDSEDPDHLKVNIGEDSVEENNGNKDNSQKPKQKKRKTKNMNQVDVTEAPSVDVKSEAIASNVSLALVQDVEINAKAKAECVRARSDKSVIISMTSTSRGNHKEKVQDIYSPRGSLVRFQRKKLLILDLNGLLADINQDHYNAHLSHAKVRGKLVFKRPYYDDFLRFCFQNFELGVWSSRMRANVDTVVNILMKDLKRHLLFCWDLSKCTTTGYKTLENKQKPLVLKELKKLWNKEEPDLPWEQGEFSPSNTLLVDDSPYKALRNPDQVEIFVCIWRTLLLLMMFSITFRSTRLVNLSLQRVIDIGISM* >Brasy6G240100.1.p pacid=40049284 transcript=Brasy6G240100.1 locus=Brasy6G240100 ID=Brasy6G240100.1.v1.1 annot-version=v1.1 MLMQLNMLNVVNAIFVGILVGIGTYMPHYRHRPVIRFFFLGATALFLPSVSYVVSSGSSNASALSDQTRTIQLPDDSYETMWLGCQSDSHLLLTLFWVGLAQIIGINTSIIVAADDREVIWTSYLAFYELFGFNPIIVFQYVFTLAIVKILLKYFTYWMAQRSLAHGRNPRFIVGYMMQLHEKRQHVEPTVEQQAKITKETQHGVPAVEQHVAIICDQEESQQDEEFQLLPPPLIVMGEERQKVEKQPHGYYIRQTSNRAEITMVTIDRIWQLDDPLTRSMPEIKDVCFSFALSKLLRCRFAGYTVAEAGFTQAHNFFWHELLKDSGTSIIVERVFRVIEDELSFLHDYYYTSLPMSYSKSWLPSFSILTSLLTIVFCLYTGWMMVTLTPPEYLGGVGQIVCVIWCGNPSDFENIKLHGAYSMGNIGRLYFDIGLALLLLALVVLVEVQHIASYIFSNWTKVSLICRYVIRHNTKKRPYRIQRLVNIVLKEYRCKLTKPRISMNQCSVLVLHPMVLLTHLRVHLRLSDRTKNVKVQKVVKAAIISAVRRSNGMQGKGGEFLPRSQQDGKNFIWDCSDKGAAHTMLICHIATSLLEVRYPYPAAPASDDKITATHLSRYCAYLVAHAPELLPDDDKWCKSLYKAVKKNSLDILASGYDDLVMLLSGSDRHEVLRKGAALATQLVSLADEEGSTAWSVLAVFWSEMILLVASSSKAHNHLEAIARGGELITVLWALLMHAGPAPEPSTVYP* >Brasy6G106200.1.p pacid=40049285 transcript=Brasy6G106200.1 locus=Brasy6G106200 ID=Brasy6G106200.1.v1.1 annot-version=v1.1 MDYLEIKNKEEYQMDSVQIKDNEGHPVEMLVDQRCFLEPLCPEEVNEDTRIYPHVGDEYQVEVPNLATEEEHVKLRSSAVDGSRMFGFEYPVAVGLTIPVTWIQNTSTRMKEEWRKISGHNSCPPEDGHNNHISGNSPRNLSQDSTYLECLGCKVEYDEQGENLSKIAGQDMHCLQKSKVLSCSCVRREVNDYIPLPGMPRYSWTDEEAQTFLLGLYIFGKNLVQVMKFMKSKTMGEVMSYYYGEFFKSDAYKRWAACRKVRSRRCILGLRIFSGPRQQELLSRLLAGVASEVRDPLLEVFKTFNEGSFDFEQFILCLRSTVGAQVLIDAVGIGKGKYDLTGFALDPSRNNGISTRAEIPIGKACSSLSTGDIIKYLTGDFRLSKAKCNDLFWEAVWPRLLARGWHSEQPKDSSLIGKHALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLRKVASEPRLLEFGVEGGVKLENGWIHNVEADKNTASDKKPPCYIRPSEPGCSPELMKFTVVDTSFVQGEEPGKVRSLRNLPTDASDGYNSSPRSADSGSGISEEPSDSEDSSQPYEDLNTNISTTDASSVNKEREIKPPTGDKMDADVLPKISTFASTINGHIPIDQSYGTMNNVCSSTATVLPVGNQRVHRTNSSTEINFQFDKRVHPEPQVFLAPVSKRSRLVSCKNERTSRKSTAGNKRHYWKQAGTPPQHVPKANGASVGVNPFVWSAIPDSSTSISFDVNNNQAHSRQLHHAPHNVEAISYKEKSQHKHVIDLNIPQMPSDYESTTSYMFPPTIHPSETKEMDDCLPDMNASSNAVLSEQLSFNSRRQSTRSRPPTARALEALAGGFMGTKQKGGEANFPSSSRSSRPVRRPRRSTDVLAPFSSDGEGCSSHFTDPAIVLNECHMSNPQYQTVHSTPSDKSSAKETHELFRADKSTDKGTREIVWHAVDGMKSSKEFHAQQLR* >Brasy6G017300.1.p pacid=40049286 transcript=Brasy6G017300.1 locus=Brasy6G017300 ID=Brasy6G017300.1.v1.1 annot-version=v1.1 MSRDDERKKAAALQEKLQTLRSITHSHAASDASIIMDASEYIKDLKQKVARRLADQETMSMSPEEDDEDVNASPTVRVEALGNGGFLVKVSSSSADRDKKSCCCLVSVLEAFEELGLAVLQACSCSGTTAHTFRLEAAGGDNNVVLDEHVVKQAVLRAVRLQGSGKK* >Brasy6G074100.1.p pacid=40049287 transcript=Brasy6G074100.1 locus=Brasy6G074100 ID=Brasy6G074100.1.v1.1 annot-version=v1.1 MLYPPDIVVCSGLVTVLAFSVVYAHTIIVCNLGGVIAVLEDVAGVNALRRSVQLMRGQTHVGLLIFLVSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLILMYSFVMLVDSMMSAVFYFTCRSSSLEILDEEGGSVEELEMIMGGKSDVVR* >Brasy6G270400.1.p pacid=40049288 transcript=Brasy6G270400.1 locus=Brasy6G270400 ID=Brasy6G270400.1.v1.1 annot-version=v1.1 MEGKKKPAAAGAGSSNSKTTGSSIVDDDLFGPKLIDASNSSSSAGYFSTVFPTPSEAAGKDASRRAQYAAAGNKSSSSSWTGQQQQQQYGSGSPESPYFGSSSVHYGGRDFYSTPGNVNGDQSKTHHGGPIIKHKVDDGDTSGAATRGDWWQGSLYY* >Brasy6G133700.1.p pacid=40049289 transcript=Brasy6G133700.1 locus=Brasy6G133700 ID=Brasy6G133700.1.v1.1 annot-version=v1.1 MVKLVQDEENQRQLLDGHKEKHFTSGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYQRELQREQEEIDTVPDTEAAEIGDILSEYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAATIALAYVVGGLVPLLPYMFVPSADRAMATSVVVTLAALLFFGYVKGRFTGNRPFLSALQTAVIGALASSAAYGMAKAVQAI* >Brasy6G202800.1.p pacid=40049290 transcript=Brasy6G202800.1 locus=Brasy6G202800 ID=Brasy6G202800.1.v1.1 annot-version=v1.1 MDKGELKVRSFQTDQKPWGVEDTFFKRIPCIRVLNLSDSLIQSIPDYIGNLIHLRLLDFDGTNISFLPESICSLMNLQILNLSRCKALNSLPSSFTRLYNLRRLDLDGTPINHVPKGIGRLEFLNDLQGFPASGGRDNAETQDGWKLGELEHLSQLRRLNIIKMERAAPCKDSLLRDKKYLKVMNLYCTKHRGEPHSEDVNNIEKIFEQLIPPHNLEDLGIVDFFGRRFPTWLGTTHLVSVKYLKLIDCNSCVHLPQLGLLPNLKYLRIDGAIAVTKVGPEFVGCKGDNPRSTVAVVFPKLESLIIRDMPNWEEWSFVEEEDAAAASMEEEEDGFAEIRKGEAPSPRLQVLPRLKELELGGCPKLRALPRQLGQEAATNLERLALRGARSLKVVEDLPFLSEALTISRCDGLERVSNLPQLRELYARGCPRLRCVDGLGNLQQLWLDDDMQEVSKLWVSGLQQLRGEDLDVYEV* >Brasy6G198500.1.p pacid=40049291 transcript=Brasy6G198500.1 locus=Brasy6G198500 ID=Brasy6G198500.1.v1.1 annot-version=v1.1 MQQSSGELVTRIPSAAEERKKAEEQGFKLFMGNLGLEIDEAFLTRVFSRYGSFVPGSAIVRRDKDGRTLQYGFVALSKREDVVAAYDQFKDGCMGSTGRVRLSWSPDYAGAWKNLTQAQLQERIEARRRESRAAVPW* >Brasy6G105900.1.p pacid=40049292 transcript=Brasy6G105900.1 locus=Brasy6G105900 ID=Brasy6G105900.1.v1.1 annot-version=v1.1 MAALLAPAISGEVGLRLLLAPLSSNVVVRTASCAVGIGLPVYSTYRAIEKKDQDEKERLLLYWAAYGSFSMVEVFADKLISSVPLYYHAKFAILVWLQFPSNGGSKHVYRRYLRPFFLKHQAKIDRILNIMSKELTKFVSNHEDEIRFVENLAIRGATTASYIVNGVDQPGQPEEVNTTEGPNSTATEEAETPRGETRA* >Brasy6G136300.1.p pacid=40049293 transcript=Brasy6G136300.1 locus=Brasy6G136300 ID=Brasy6G136300.1.v1.1 annot-version=v1.1 MVMLERTPDAAAASNSPLRNSTPRVSREASPPSDPILPYLRSISKVIDEIRRDPEAYRAGVQQLKTYVTECIDKYGDDYQYSTDPRLLKIWILYADAIQDFDKVYKQLEEKNMFLEHALLYEAYSLFLFAKGRVLEADKVYAIGVSRKAEPLDHLKKEHIKFLRQLESIIEESKAEPSKMQKKEPIVVDPWSESTMNDLLAIINGGLKKSIGYHKSNKVYSGKLPLSSSQNALKNKVIELGGRKYQIKGSPGTGAFAKLYKAVVDGNAEETVALKIQKPAFPWEFYMYRQLDMRISDIQRLSYGYAHEVHVFADVSVLVCDYLPYGTLLDVINSHVVVDRHMDEVLCLYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPREEITDDTFRSETRTGQNQGLCLVDWGRGIDLNLFPANTEFLGDCQTSGFSCVEMQEERTWTYQADTFGLCVVAHMMLHGTGMSVEKVPKAGGSYLYQPKSPFKRYWNVDLWKNLFSTLLNLPSTESDVAVLRDLRRSFQDYMCSSWQLVAKLNQQLAKQKSSLCSS* >Brasy6G136300.3.p pacid=40049294 transcript=Brasy6G136300.3 locus=Brasy6G136300 ID=Brasy6G136300.3.v1.1 annot-version=v1.1 MVMLERTPDAAAASNSPLRNSTPRVSREASPPSDPILPYLRSISKVIDEIRRDPEAYRAGVQQLKTYVTECIDKYGDDYQYSTDPRLLKIWILYADAIQDFDKVYKQLEEKNMFLEHALLYEAYSLFLFAKGRVLEADKVYAIGVSRKAEPLDHLKKEHIKFLRQLESIIEESKAEPSKMQKKEPIVVDPWSESTMNDLLAIINGGLKKSIGYHKSNKVYSGKLPLSSSQNALKNKVIELGGRKYQIKGSPGTGAFAKLYKAVVDGNAEETVALKIQKPAFPWEFYMYRQLDMRISDIQRLSYGYAHEVHVFADVSVLVCDYLPYGTLLDVINSHVVVDRHMDEVLCLYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPREEITDDTFRSETRTGQNQGLCLVDWGRGIDLNLFPANTEFLGDCQTSGFSCVEMQEERTWTYQADTFGLCVVAHMMLHGTGMSVEKVPKAGGSYLYQPKSPFKRYWNVDLWKNLFSTLLNLPSTESDVAVLRDLRRSFQDYMCSSWQLVAKLNQQLAKQKSSLCSS* >Brasy6G136300.4.p pacid=40049295 transcript=Brasy6G136300.4 locus=Brasy6G136300 ID=Brasy6G136300.4.v1.1 annot-version=v1.1 MVMLERTPDAAAASNSPLRNSTPRVSREASPPSDPILPYLRSISKVIDEIRRDPEAYRAGVQQLKTYVTECIDKYGDDYQYSTDPRLLKIWILYADAIQDFDKVYKQLEEKNMFLEHALLYEAYSLFLFAKGRVLEADKVYAIGVSRKAEPLDHLKKEHIKFLRQLESIIEESKAEPSKMQKKEPIVVDPWSESTMNDLLAIINGGLKKSIGYHKSNKVYSGKLPLSSSQNALKNKVIELGGRKYQIKGSPGTGAFAKLYKAVVDGNAEETVALKIQKPAFPWEFYMYRQLDMRISDIQRLSYGYAHEVHVFADVSVLVCDYLPYGTLLDVINSHVVVDRHMDEVLCLYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPREEITDDTFRSETRTGQNQGLCLVDWGRGIDLNLFPANTEFLGDCQTSGFSCVEMQEERTWTYQADTFGLCVVAHMMLHGTGMSVEKVPKAGGSYLYQPKSPFKRYWNVDLWKNLFSTLLNLPSTESDVAVLRDLRRSFQDYMCSSWQLVAKLNQQLAKQKSSLCSS* >Brasy6G136300.5.p pacid=40049296 transcript=Brasy6G136300.5 locus=Brasy6G136300 ID=Brasy6G136300.5.v1.1 annot-version=v1.1 MVMLERTPDAAAASNSPLRNSTPRVSREASPPSDPILPYLRSISKVIDEIRRDPEAYRAGVQQLKTYVTECIDKYGDDYQYSTDPRLLKIWILYADAIQDFDKVYKQLEEKNMFLEHALLYEAYSLFLFAKGRVLEADKVYAIGVSRKAEPLDHLKKEHIKFLRQLESIIEESKAEPSKMQKKEPIVVDPWSESTMNDLLAIINGGLKKSIGYHKSNKVYSGKLPLSSSQNALKNKVIELGGRKYQIKGSPGTGAFAKLYKAVVDGNAEETVALKIQKPAFPWEFYMYRQLDMRISDIQDVINSHVVVDRHMDEVLCLYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPREEITDDTFRSETRTGQNQGLCLVDWGRGIDLNLFPANTEFLGDCQTSGFSCVEMQEERTWTYQADTFGLCVVAHMMLHGTGMSVEKVPKAGGSYLYQPKSPFKRYWNVDLWKNLFSTLLNLPSTESDVAVLRDLRRSFQDYMCSSWQLVAKLNQQLAKQKSSLCSS* >Brasy6G136300.2.p pacid=40049297 transcript=Brasy6G136300.2 locus=Brasy6G136300 ID=Brasy6G136300.2.v1.1 annot-version=v1.1 MVMLERTPDAAAASNSPLRNSTPRVSREASPPSDPILPYLRSISKVIDEIRRDPEAYRAGVQQLKTYVTECIDKYGDDYQYSTDPRLLKIWILYADAIQDFDKVYKQLEEKNMFLEHALLYEAYSLFLFAKGRVLEADKVYAIGVSRKAEPLDHLKKEHIKFLRQLESIIEESKAEPSKMQKKEPIVVDPWSESTMNDLLAIINGGLKKSIGYHKSNKVYSGKLPLSSSQNALKNKVIELGGRKYQIKGSPGTGAFAKLYKAVVDGNAEETVALKIQKPAFPWEFYMYRQLDMRISDIQDVINSHVVVDRHMDEVLCLYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPREEITDDTFRSETRTGQNQGLCLVDWGRGIDLNLFPANTEFLGDCQTSGFSCVEMQEERTWTYQADTFGLCVVAHMMLHGTGMSVEKVPKAGGSYLYQPKSPFKRYWNVDLWKNLFSTLLNLPSTESDVAVLRDLRRSFQDYMCSSWQLVAKLNQQLAKQKSSLCSS* >Brasy6G234300.1.p pacid=40049298 transcript=Brasy6G234300.1 locus=Brasy6G234300 ID=Brasy6G234300.1.v1.1 annot-version=v1.1 MSSMADVVKKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTVFGNVHTALATRNALHLLEIAGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGKPLDGQSAAEFLVEQANLYSGQVTVVALGPLTNLALAVELDPGFPSKIGQIVVLGGAFSVNGNVNPAAEANIFGDPDAADIVFTCGADIIAVGINITHQVVLSDVDRNKLEQSDSKYARYLCKILGLYFDYHRDAYFTKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTDGITKGLTVFDNTKKHYGEITAWSGKPTVKVAVTVDAPAVVELIMQRLTMED* >Brasy6G234300.2.p pacid=40049299 transcript=Brasy6G234300.2 locus=Brasy6G234300 ID=Brasy6G234300.2.v1.1 annot-version=v1.1 MSSMADVVKKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTVFGNVHTALATRNALHLLEIAGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGKPLDGQSAAEFLVEQANLYSGQVTVVALGPLTNLALAVELDPGFPSKIGQIVVLGGAFSVNGNVNPAAEANIFGDPDAADIVFTCGADIIAVGINITHQVVLSDVDRNKLEQSDSKYARYLCKILGLYFDYHRDAYFTKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTDGITKGLTVFDNTKKHYGEITAWSGKPTVKVAVTVDAPAVVELIMQRLTMED* >Brasy6G234300.4.p pacid=40049300 transcript=Brasy6G234300.4 locus=Brasy6G234300 ID=Brasy6G234300.4.v1.1 annot-version=v1.1 MSSMADVVKKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTVFGNVHTALATRNALHLLEIAGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGKPLDGQSAAEFLVEQANLYSGQVTVVALGPLTNLALAVELDPGFPSKIGQIVVLGGAFSVNGNVNPAAEANIFGDPDAADIVFTCGADIIAVGINITHQVVLSDVDRNKLEQSDSKYARYLCKILGLYFDYHRDAYFTKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTDGITKGLTVFDNTKKHYGEITAWSGKPTVKVAVTVDAPAVVELIMQRLTMED* >Brasy6G234300.3.p pacid=40049301 transcript=Brasy6G234300.3 locus=Brasy6G234300 ID=Brasy6G234300.3.v1.1 annot-version=v1.1 MSSMADVVKKKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTVFGNVHTALATRNALHLLEIAGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGKPLDGQSAAEFLVEQANLYSGQVTVVALGPLTNLALAVELDPGFPSKIGQIVVLGGAFSVNGNVNPAAEANIFGDPDAADIVFTCGADIIAVGINITHQVVLSDVDRNKLEQSDSKYARYLCKILGLYFDYHRDAYFTKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTDGITKGLTVFDNTKKHYGEITAWSGKPTVKVAVTVDAPAVVELIMQRLTMED* >Brasy6G168100.1.p pacid=40049302 transcript=Brasy6G168100.1 locus=Brasy6G168100 ID=Brasy6G168100.1.v1.1 annot-version=v1.1 MLKDMTESGDRFKRLALMYIMSTILAPTTSTRISNRCYPVLERKKVETLVGQFASGMTCLLGNIVQGWTGLTPPESEEMSRRFATVTGGAPTRSRTARGRFEGYNYPSDTDDEDEHAQDSGDSSDSDDDPPNNPGRGEGEGKKDGDNEDGGMGKGDAHEGQGSGNDGGNNNDGSGHNDNNEATPNDEPGYESGGVGCGGESDASDKGIANAAHREIAKLVVGELNLKRQRYIFC* >Brasy6G167800.1.p pacid=40049303 transcript=Brasy6G167800.1 locus=Brasy6G167800 ID=Brasy6G167800.1.v1.1 annot-version=v1.1 MRAGAAVLAARGGCLPCPRAPPSSPGARPPPSSPRAAAAFPARGRRRPRPVRRRLPCARPPPSSPGAPPPSSPRAAAAFPARERRRPRPVRRRPRPRRERRRLPCARPPPSSPGAPPPSLRAAAAVLARRAAAVPARGPRRRLHRWPPVSSACVLGIFFLTKLHLCPYF* >Brasy6G192200.1.p pacid=40049304 transcript=Brasy6G192200.1 locus=Brasy6G192200 ID=Brasy6G192200.1.v1.1 annot-version=v1.1 MKLLEYTPFDSLNVFLDELNLGDCIIRGSLEAFSCKHAGNDRRLSISLEHEILDYLGKSSDSDPPSPVEHLSCRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFREEDWESFKQMLDTYLSEASTLWAANTEGSSLLDSMTKVIDEVIKIRECDIYSYNPDSDGDPFLEKGAIWSINFFFYNRKLKRVVSFRCCCTSKLAGDDFLAGALSDGEEEDALIDMDI* >Brasy6G216900.1.p pacid=40049305 transcript=Brasy6G216900.1 locus=Brasy6G216900 ID=Brasy6G216900.1.v1.1 annot-version=v1.1 MDPTSPVDSSPPLDPHGRTPCPPRPPCSPRPPARRHGDSRRTAAPPAPAPPASPEYSPTMDWMLSGPATPMLGEEDDFEAALAPPPPPLYCPIHGFGPCPTRDGTAPPLPSPTPPAPPVDDPAPPPAADSAPPPADDPAPPSPPGLAAAPPSPALDEKGLEDVHPEARRLLRKFAAAMAAHRAGPAAGGWNPAALGFSSSNEGGASSL* >Brasy6G267000.1.p pacid=40049306 transcript=Brasy6G267000.1 locus=Brasy6G267000 ID=Brasy6G267000.1.v1.1 annot-version=v1.1 MLNCNSLYVWEAIRFVVTAVLGIAIIGCAFGAGYMYRHGEPRHSCAAAATGCPPVPRPIVGKPPSMVPAAAPAPTPFPARPRPLGAAPPPAAALVLLPPPPPPAAPKAS* >Brasy6G239100.1.p pacid=40049307 transcript=Brasy6G239100.1 locus=Brasy6G239100 ID=Brasy6G239100.1.v1.1 annot-version=v1.1 MAAKLHTSILVYSLCLILLIGPNLVAYCTAQVQSFVYSGFKGADITLDGVAVVRPDGLLELTRIADVKGYAFHRDPLRFRRSPNSTVQSFSVSFVFGVQSDIDVSVDGMTFFIAPGKNFSNTFSGGFLGLFNDSTNGSPNNHIFAVELDTFGNGEFKDMDSNHVGIDVNSLISIQAHAAGFYDDMTGTFTNLTLNSGEPMQLWVEYDAQTTQVISTLARLGATKPRRPLFTTTTNLSDVLEDPSYVGFSGSTGSLSTLYCVLGWSFGMDGPAPAINITNLPRLLRGHRKARSKVLEIVLPIATAMFIATVGIVIVLLIRRRLRYDELREDWEIEFGPHRFSYKDLYHATEGFKNHHLLGAGGFGKVYKGVLPKSKLEVAVKKMSHESRQGMKEFITEVVSIGRLRHRYLVQLLGYCRRKDELILVYEYMPNGSLDKYLHCEEDKPTLDWTQRFGIIKGIACGLLYLHEKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELLRSGKASPLTDVFAFGTFLLEVACGQRPIKQDSKDKQIMLVDWVLEHWHNGTLMQTMDTRLQGDFDKDEANMVLKLGLLCLHPLPTARPSMKQVMEYLDGEAALPELTPTHFNNLNMVSIMERGGFRPSILSYPDLTASIGTFSGLSGGR* >Brasy6G207000.1.p pacid=40049308 transcript=Brasy6G207000.1 locus=Brasy6G207000 ID=Brasy6G207000.1.v1.1 annot-version=v1.1 MRLQIGGSIRVDLYYSLNGSWFCTLTVAKIFFSLFPFSNICQFLCSSNGSILFHRLFFLFSSA* >Brasy6G215600.1.p pacid=40049309 transcript=Brasy6G215600.1 locus=Brasy6G215600 ID=Brasy6G215600.1.v1.1 annot-version=v1.1 MDDDAGDASPPPPGPPPAASAPSSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSSKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYDFGLLLDSNEGIDIILNVRGEKFHAHQLVLAARSSVFRSRLFDDESGGDKDKIDENDDLKEVVIDDMEPKVFKAMLHFIYRDTLVDDYELDASSSEGSIFYTLAAKLLAAADKYDLERLKLLCESYLCKGISVASVASTLALADDHHAMELKAVCLKFAAENLSAVIRTSGFDYLKDNCPALQSEILRTVAGCEEPCISGGKSQSVWGQLSDGGDTSGRRVRPRI* >Brasy6G215600.2.p pacid=40049310 transcript=Brasy6G215600.2 locus=Brasy6G215600 ID=Brasy6G215600.2.v1.1 annot-version=v1.1 MDDDAGDASPPPPGPPPAASAPSSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSSKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYDFGLLLDSNEGIDIILNVRGEKFHAHQLVLAARSSVFRSRLFDDESGGDKDKIDENDDLKEVVIDDMEPKVFKAMLHFIYRDTLVDDYELDASSSEGSIFYTLAAKLLAAADKYDLERLKLLCESYLCKGISVASVASTLALADDHHAMELKAVCLKFAAENLSDTLMSLQL* >Brasy6G215600.3.p pacid=40049311 transcript=Brasy6G215600.3 locus=Brasy6G215600 ID=Brasy6G215600.3.v1.1 annot-version=v1.1 MGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYDFGLLLDSNEGIDIILNVRGEKFHAHQLVLAARSSVFRSRLFDDESGGDKDKIDENDDLKEVVIDDMEPKVFKAMLHFIYRDTLVDDYELDASSSEGSIFYTLAAKLLAAADKYDLERLKLLCESYLCKGISVASVASTLALADDHHAMELKAVCLKFAAENLSAVIRTSGFDYLKDNCPALQSEILRTVAGCEEPCISGGKSQSVWGQLSDGGDTSGRRVRPRI* >Brasy6G202300.1.p pacid=40049312 transcript=Brasy6G202300.1 locus=Brasy6G202300 ID=Brasy6G202300.1.v1.1 annot-version=v1.1 MSQKLRHQRIVRAARWIVLVAAHTAATVAAIEAAHDGAQWRGQHGGGARRRWQCCWGSPRRRPGQLGQLAVGAARRRRLGQLTAGAAGAAWPARGGGRGGARSWRRRADEPARGGGWGRLRLRWGEPAEQAGGWRSVRSRWRRQCVCVSREEKNTAARARRARRRSFIIIPD* >Brasy6G014600.1.p pacid=40049313 transcript=Brasy6G014600.1 locus=Brasy6G014600 ID=Brasy6G014600.1.v1.1 annot-version=v1.1 MRVAEAAAAGVLRCLLPTEEAERRRRQVTDHARRLIGTNFGCQVLTYGSVPLKTYLPDGDIDVTILTHKPLDSTIIDDVRNLLNAEEKNTDAEFVLENRKYVDAQVKVFKCNIANIDVDISFNQIGGVSTLCFLELVDTEVGKDHLFKRSIILIKAWCYNEARIQGSDQWLLSTYALETLILYIFNMFHKSLHGPFEALYMFLEYYSKFDWGKYCVTLDGPVPLSSLANFIAEPAVANDELLLGKESLSASSDRLFVLPKGSDRHDPEFRPKILNIIDPLKGDNNLGRSISLETFPLIRKSFSRGAKKFRQILTLPSELIPLGIYALFPRTLRKHGTGQRSDLGCSSVLPHPMLGKEPHYMDDDEDEPENSLELPDKELNLHKSSNGYFLADFKDCSQKKIWQYLARFYGMASGASGSDATYFSSHSSSHPENGNGSMKQCCGSCATGEGLSLCRSFMIQNHILTAATQANTLYVTESNQTGDVHQENLSLFPFSPSDLLELSGDLGLHLGCLRNVQYHLEALFDELLKSVQEASLAGLINEDSFVVPTLRSSCNADARPPLLALPSPAETDRRNLSPVYGSHSTTAYIPQQSHTQVQVDALDQPFYGPHIPSVDGWSLSPSHAADSESYHVSCSWYCNTEEASQTHGAAAYMSNMSLSLPSGMETLSNGLACYQSSPVDPESYHVSWSYVTGDVPKTRGTGTYIPKMTYDYHRERALFDKARKQRQRQQDKAYSSNEHVAAGNGTDQGNPQQDDSSSSSFVPEGGSGSVSSEEQAPTDCGTKLQVPPPTSKALQAQNNDEQRSENGSSETLRLPMLVTDRGAKQAPPASQTSKAMNGREQRTENGSSKMAAALRPPILALPHNVRGVPNGRGSLPASGNRRSSSPPAAEKGNNIQSVPALQEEALEFGTLGRFSETLKFVGEFPLLTAPVKRPVPSPVWAVQSPRPGTGPAQVKPVEATAITAQSPRPSTSAAQIKPMEATFTRVKSPMPGANGTQSRPVEATMTAVKILRPGVEATSTTVQSPRPAAQCRTEDGQYKLKDDAEFPPLKTGARYEADFPRLKAGARCN* >Brasy6G231700.1.p pacid=40049314 transcript=Brasy6G231700.1 locus=Brasy6G231700 ID=Brasy6G231700.1.v1.1 annot-version=v1.1 MASNNGLKSVIICILVLGLVLEQVQVEGKSCCKNTSARLCYNVCRKAGGSQGTCASRCGCIHISGTRCPADYPKMHLLPDSRESDAIKYCNIGCSSTVCDNMNHVFQGEENAELCLDACVSLCNGNEAAVASLAA* >Brasy6G055500.1.p pacid=40049315 transcript=Brasy6G055500.1 locus=Brasy6G055500 ID=Brasy6G055500.1.v1.1 annot-version=v1.1 METPNELTSYSSLSKIDSYHSQGAPMSNQSPGNNYLPHDYLFEPSLEPDFPSEYGPREDLFTTPRPSSTINLKTVLGGLSAIVSRASKNEDDMLKHQNPSTDVSFLGSGKDGDLHSSVCVPSAPPLLEANALQFRAYREVLQADPPEWLPDSTTSVCLQCSSPFTALTRGRHHCRFCGGIFCKECSKGRSLMPMKFRQRDPQRVCDACYDRLDPLQGLLINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTMRKYCQVSRLNPEKSIPSSILKGAKGLAVLTVAKAGAVLTYKIGTGLVVARRSDGSWSAPSAVLSVGLGWGVQIGGELTDFIIVLHDLKAVKAFSSRMHLSLGAGLSAAAGPIGRALEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRLDTNLRFYGDAYLTTNDILFGRVEKPRAAQPLYSALDDLFSKMVC* >Brasy6G055500.2.p pacid=40049316 transcript=Brasy6G055500.2 locus=Brasy6G055500 ID=Brasy6G055500.2.v1.1 annot-version=v1.1 MSNQSPGNNYLPHDYLFEPSLEPDFPSEYGPREDLFTTPRPSSTINLKTVLGGLSAIVSRASKNEDDMLKHQNPSTDVSFLGSGKDGDLHSSVCVPSAPPLLEANALQFRAYREVLQADPPEWLPDSTTSVCLQCSSPFTALTRGRHHCRFCGGIFCKECSKGRSLMPMKFRQRDPQRVCDACYDRLDPLQGLLINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTMRKYCQVSRLNPEKSIPSSILKGAKGLAVLTVAKAGAVLTYKIGTGLVVARRSDGSWSAPSAVLSVGLGWGVQIGGELTDFIIVLHDLKAVKAFSSRMHLSLGAGLSAAAGPIGRALEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRLDTNLRFYGDAYLTTNDILFGRVEKPRAAQPLYSALDDLFSKMVC* >Brasy6G028900.1.p pacid=40049317 transcript=Brasy6G028900.1 locus=Brasy6G028900 ID=Brasy6G028900.1.v1.1 annot-version=v1.1 MSPSSSSSPAGTPSQVLSVRIVSLDYYMAPPLPGFDFTYSPFHCEEVEEVPVIRIYGSTPAGQKTCLHVHQALPYLYVPCPEELLHDIERGISCMTGLLSDLEKALQVRGPAKRKHVHGCSLVRAKKLYGYHSCEEIFVKIYLYYPHEVSRAATHLLDGAVLNRVFQPYESHIPYLLHFLVDYNLYGMGHVHVTDFKFRPPLPDDFHPNTLHRKVDSSVESEHKAHPDAAAMKNPTIWISSAVPPALILGSFSTSHIMEGSNPNFTRRHSSLMLEADSIVEGIINEKHKMYTPLSQTTTDTKMVQSLLAIWEELEHLRLLEEAKPADIGRPLRDVVLKKFLHGINYESALSMLDPKEEGSHQKVSAIEDSEKIEKCFKSLTDIIGTITFSQGDYCDNIGSDNPAGTQKEDQNASFVCLESTKQNVQAASPERNTQYPVSSVAQRTSQLFDEHQKHLDAEALGLLSWMASSQAAEEPTTDDELINEPILSPLFSKKPIEVALESAHLDFDSASQQECQDILDSVEPVTGAEELNAQTSNHSSSMLDDSTSVNNTIPQVDGSCDENQKESQEYVKGKITRRKIGTSSHSSSKNNSKSASKHGETEPIWGSLPLSTKKRSHGNADGHVKTSSGRAMPSQRDTSSYKSKTEKNSHDTTDSTDRESSSCIGEHDCHSVRDLMRRTRRRRSFHPEPLEFGCSGAAACTASKENVIVNSGGSELQDFTSDFSNSEMYNSGGEYVQMTFAQKPPLKNQVCSGLESSSVGIEHPESAKLGSVDPLPFFNQTAEDNDQNGSFQYMENSEFTNDALGVPTHFQNNGSALYLLTHAFSPPSAVAVDQWLPQQTFSSTVSGDDEIEHIPTFSPHAEALPLMANSPVPRSASEHRAPKFAVDTVTMKPDLSSKESKQLDEWHDFSQISAEAEKDKLTPLSQIGFRDPASTGGGQQLTILSIEVFTESRGELRPDPRFDAINVVSLAVEDDADSTVEVRVLIRGNNENTHRRNLDGIAGCTVDVFPEEKGLLKHLIDVICSIDPDILVGWEIQLESLGFLAERAAHLGVGLLRRISRTLPHESKHPRRDLAHESSQGLPEASSADDVIADVNENDWSHTHVSGVHVGGRIVLNLWRLMRAEVKLNIYSLEAVADEVLRQKVPLVPTKILNRWFATGPGRGRHRCIQYASSRAMLNLEIINKLDLINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVLVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTIVDLKNQLLLTPNGVLYVQPQVRKGVVPRLLEEILSTRIMVKQALKKLSPSQKVLQKILNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWDAKVVYGDTDSMFVLLKGRSREEAFRIGKEIASLITAINPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSLRIMFEEQDLVKVKSYLERQWTRILSGKVSIQDFIFAKEVRLGTYSSRASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVGSLYRLNDLYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSVSGHSAFSRDGSFIRLGLNKKAPAKGGRIDTYYMSSHCTICGDIIQGSDTFCNNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCVSLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF* >Brasy6G028900.2.p pacid=40049318 transcript=Brasy6G028900.2 locus=Brasy6G028900 ID=Brasy6G028900.2.v1.1 annot-version=v1.1 MYLAQRSCFMILKEVRGPAKRKHVHGCSLVRAKKLYGYHSCEEIFVKIYLYYPHEVSRAATHLLDGAVLNRVFQPYESHIPYLLHFLVDYNLYGMGHVHVTDFKFRPPLPDDFHPNTLHRKVDSSVESEHKAHPDAAAMKNPTIWISSAVPPALILGSFSTSHIMEGSNPNFTRRHSSLMLEADSIVEGIINEKHKMYTPLSQTTTDTKMVQSLLAIWEELEHLRLLEEAKPADIGRPLRDVVLKKFLHGINYESALSMLDPKEEGSHQKVSAIEDSEKIEKCFKSLTDIIGTITFSQGDYCDNIGSDNPAGTQKEDQNASFVCLESTKQNVQAASPERNTQYPVSSVAQRTSQLFDEHQKHLDAEALGLLSWMASSQAAEEPTTDDELINEPILSPLFSKKPIEVALESAHLDFDSASQQECQDILDSVEPVTGAEELNAQTSNHSSSMLDDSTSVNNTIPQVDGSCDENQKESQEYVKGKITRRKIGTSSHSSSKNNSKSASKHGETEPIWGSLPLSTKKRSHGNADGHVKTSSGRAMPSQRDTSSYKSKTEKNSHDTTDSTDRESSSCIGEHDCHSVRDLMRRTRRRRSFHPEPLEFGCSGAAACTASKENVIVNSGGSELQDFTSDFSNSEMYNSGGEYVQMTFAQKPPLKNQVCSGLESSSVGIEHPESAKLGSVDPLPFFNQTAEDNDQNGSFQYMENSEFTNDALGVPTHFQNNGSALYLLTHAFSPPSAVAVDQWLPQQTFSSTVSGDDEIEHIPTFSPHAEALPLMANSPVPRSASEHRAPKFAVDTVTMKPDLSSKESKQLDEWHDFSQISAEAEKDKLTPLSQIGFRDPASTGGGQQLTILSIEVFTESRGELRPDPRFDAINVVSLAVEDDADSTVEVRVLIRGNNENTHRRNLDGIAGCTVDVFPEEKGLLKHLIDVICSIDPDILVGWEIQLESLGFLAERAAHLGVGLLRRISRTLPHESKHPRRDLAHESSQGLPEASSADDVIADVNENDWSHTHVSGVHVGGRIVLNLWRLMRAEVKLNIYSLEAVADEVLRQKVPLVPTKILNRWFATGPGRGRHRCIQYASSRAMLNLEIINKLDLINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVLVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTIVDLKNQLLLTPNGVLYVQPQVRKGVVPRLLEEILSTRIMVKQALKKLSPSQKVLQKILNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWDAKVVYGDTDSMFVLLKGRSREEAFRIGKEIASLITAINPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSLRIMFEEQDLVKVKSYLERQWTRILSGKVSIQDFIFAKEVRLGTYSSRASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVGSLYRLNDLYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSVSGHSAFSRDGSFIRLGLNKKAPAKGGRIDTYYMSSHCTICGDIIQGSDTFCNNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCVSLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF* >Brasy6G028900.3.p pacid=40049319 transcript=Brasy6G028900.3 locus=Brasy6G028900 ID=Brasy6G028900.3.v1.1 annot-version=v1.1 MGHVHVTDFKFRPPLPDDFHPNTLHRKVDSSVESEHKAHPDAAAMKNPTIWISSAVPPALILGSFSTSHIMEGSNPNFTRRHSSLMLEADSIVEGIINEKHKMYTPLSQTTTDTKMVQSLLAIWEELEHLRLLEEAKPADIGRPLRDVVLKKFLHGINYESALSMLDPKEEGSHQKVSAIEDSEKIEKCFKSLTDIIGTITFSQGDYCDNIGSDNPAGTQKEDQNASFVCLESTKQNVQAASPERNTQYPVSSVAQRTSQLFDEHQKHLDAEALGLLSWMASSQAAEEPTTDDELINEPILSPLFSKKPIEVALESAHLDFDSASQQECQDILDSVEPVTGAEELNAQTSNHSSSMLDDSTSVNNTIPQVDGSCDENQKESQEYVKGKITRRKIGTSSHSSSKNNSKSASKHGETEPIWGSLPLSTKKRSHGNADGHVKTSSGRAMPSQRDTSSYKSKTEKNSHDTTDSTDRESSSCIGEHDCHSVRDLMRRTRRRRSFHPEPLEFGCSGAAACTASKENVIVNSGGSELQDFTSDFSNSEMYNSGGEYVQMTFAQKPPLKNQVCSGLESSSVGIEHPESAKLGSVDPLPFFNQTAEDNDQNGSFQYMENSEFTNDALGVPTHFQNNGSALYLLTHAFSPPSAVAVDQWLPQQTFSSTVSGDDEIEHIPTFSPHAEALPLMANSPVPRSASEHRAPKFAVDTVTMKPDLSSKESKQLDEWHDFSQISAEAEKDKLTPLSQIGFRDPASTGGGQQLTILSIEVFTESRGELRPDPRFDAINVVSLAVEDDADSTVEVRVLIRGNNENTHRRNLDGIAGCTVDVFPEEKGLLKHLIDVICSIDPDILVGWEIQLESLGFLAERAAHLGVGLLRRISRTLPHESKHPRRDLAHESSQGLPEASSADDVIADVNENDWSHTHVSGVHVGGRIVLNLWRLMRAEVKLNIYSLEAVADEVLRQKVPLVPTKILNRWFATGPGRGRHRCIQYASSRAMLNLEIINKLDLINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVLVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTIVDLKNQLLLTPNGVLYVQPQVRKGVVPRLLEEILSTRIMVKQALKKLSPSQKVLQKILNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWDAKVVYGDTDSMFVLLKGRSREEAFRIGKEIASLITAINPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSLRIMFEEQDLVKVKSYLERQWTRILSGKVSIQDFIFAKEVRLGTYSSRASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVGSLYRLNDLYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSVSGHSAFSRDGSFIRLGLNKKAPAKGGRIDTYYMSSHCTICGDIIQGSDTFCNNCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCVSLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF* >Brasy6G229200.1.p pacid=40049320 transcript=Brasy6G229200.1 locus=Brasy6G229200 ID=Brasy6G229200.1.v1.1 annot-version=v1.1 MASKHASWIACVLFLLAAAAAKANAQLSEDYYDASCPAALLTVRAAVATAVLLNRRMGASLLRLHFHDCFVQGCDASVLLDDTDDGFTGEKGAGPNAGSLLGFEVIDRIKMLLELMCPRTVSCADILAVAARDSVVSLGGPSWTVLLGRRDATTASASLANSDLPGPTSNLSDLLSAFSKKGLSSTDMVALSGAHTIGRAQCKNYRDRIYNDTDIDGSFAASLRADCPQAAGGNDGSLAPLDVSSPDAFDNSYFSGLLYRQGLLHSDQALYDGGSTDELVKSYAADSDRFGSDFAAAMVNMGNISPLTGADGEIRVNCRAVN* >Brasy6G242800.1.p pacid=40049321 transcript=Brasy6G242800.1 locus=Brasy6G242800 ID=Brasy6G242800.1.v1.1 annot-version=v1.1 MAASPDRANDDLRRRLAVDTPPPPQIAREKQGLDTDMPLSPQWLMKEPSSQGTRSDATKTSGNGEDKKKDVFRASVLDGETGRRDRWRDDEREPNSGLRETNSVPRWTRWRETDKEHGDTRKVEKWSDDSSKYSVDGRRAPQERWGDNSNKEGNYDQRRDGKWSTRWGSNDKESENWRDRWGDSGKDGDSSREKGFSQFVSHGKDGNNHDKDTEKDDNISRSWKSSHPVGRGRGDSSYHPLQTSQKPSSMYGYGRGKPDNEPTGFAGSRGKITPGTGSTNTGSTGSSRPFHLGLLSDRPGGASGDRTAFRYSRMKLLDIYRTTSHVTDFKMPFDICEEGSAFMQEEILEPLALFAPTTEETTILKAIDKGEIVNSGVYQVSKDGSVGKSNPDGVPSKQSKLGGREDQPDSTEDFKGEMAGTLRGPGNIDLPIRGDSLRTETPSYVVPQRSRVIGDHRLGPTTDFPQQIPIGFDQDSKVGMTGVDGFASHMQPYPNPESLSLYYKDPQGRIQGPFSGADIIGWFEASYFGIDLLVRVANAPPDAPFLMLGDVMPHLRAKARPPPGFSTAKPSDVLMPETPPAGKFVSSSSAHGGSAGVGIFNSGPGRNGGAVDAQNRFLESLMSNSVHGPSAETISMTGGLNEYGSSNFGNIAMAGGESANNMNYLLAQQRLLERQKPSQIPVPYWSGDGIPAAQAQNKATAPEVSSQHSKLSSSMADPSRQAPQSQNIDLLAMLHSAEKPQAPATNAGLPPWSNYPEARNLNPNVHLDLTQGALNMHQNLQNPQQIAAAVQQQNFMSQNQPPLAHLSHEKLLAEISQDPQLLHMIQQQYMLSQLQLQAQPPVTPQAQPQLSMLDKMILLQQQQQQQQQQQQQQQQQQQQHQQQQQQQQQLQQQLQLEQQQKLLMQQRQHLLPHVVPHGHSNQQLDDPYGTKHISSPAGDSMNLGVRKMQDVLEVDRILTAHGTQQGQQSSHPSMRGIDGVGLSQNTVPTIPLPHEIFVGAPSKEWYPQGGDDAVTKPANILDSRSTDISGSALTEAKVFPETPVDPKSEIASSHISNRVKEMNISSENTLDAVELTTTTEVKVPDTQETKKAEKKKKQKKKQAAVDVGKGAAKTVPQQPMQEAEVDGLNQGVTKHDLPDDTEDLFWGSPGRGENSSRSVGPPLGFESSVVLPPKSLPEEYDVTRAEWEPSALSSDPHAAASHKGWKPTQGPRPKSLLEIQAEEQLRAQRVAVENAKLAVQATSVPSVPWNTMPTPSEQQFGGPGKSLGGQESVADSRNKRSQLHDLLAEEVLARSSNADNENIGNANDVSFPPLSPAAVQTDAPAFDDTDFIEAKDSKKSKKKGSKSKGSAVKAPLPIASADSSAFPVPTEKGKSSKQAQQEKEILPAPPSGPSFGDFVHWKTDQTNFVPAPAWSNDSGKVQKPLSLRDIQREEQRRSGIVQQQPPSPTPAKVPMIQRNPGNVPSRQASGSSPSKAAPVQTTSNASNRGKSNVEDDLFWGPSDHSKQDNKQSEFPSLSSQSKSSITKDQPALKGQKSHSSKLPLSTAPTVNHTGKGKREAGSKQTEAMDFRDWCDSEWSRLTGNNDISFLEFCIKQSTVEAEMLLRENVGSLDHNGQFIDRFLNYKAFLSADVIEMAFRAPSGPRANLASAAKGGPSAEVEQDAGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD* >Brasy6G037000.1.p pacid=40049322 transcript=Brasy6G037000.1 locus=Brasy6G037000 ID=Brasy6G037000.1.v1.1 annot-version=v1.1 MSSSLVSAPFAPAATSRAQRKRLSAPLHSFLLSRRSSSHHHQQHASGTRPGTIRCAVAGNGLFTQTNPDVRRVVPPRGLLPRVKIVYVVLEAQYQSSVTAAVQQLNADPRRAAEFEVSGYLVEELRDASTYEAFKEDLSDANVFIGSLIFVEELAVKVRDAVERERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRNKKNSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGNPGITYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDADDRLKDPDAPIIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPTEKYLVDSVTKKPFVDAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRSGKSHALHKRVEQLCTRAVRWAQLKRKTKEDKRLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLRDLKKDGYNVDGLPETPEELIEEVIHDKEAQFNSPNLNVVYRMNVREYQALTPYASMLEENWGKAPGHLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGNQIVSSIISTARQCNLDKDVDLPEEGEELPANERDLVVGKVYAKIMEIESRLLPCGLHVIGEPPTAVEAVATLVNIAALDRPEENIYALPGILAATVGRTIEDVYRGSDRGVLDDVELLKQITEASRGAVGAFVEKATNSKGQVVDVTNKLSSILGFSLSEPWVEYLSKTKFIRADREKLRNLFGFLGECLKLIVADNELGALKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTAAAMKSAKIVVDRLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVMWMVGVLPVSDAFGRVNRVESVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKLVAELDEPAEMNFVRKHALEQAAELGVSVREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGVGMLEKRRTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPASYIADTTTANAQVRTLSETMRLDARTKLLNPRWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIEDEEMRKRLMDTNPNSFRKLLQTFLEANGRGYWETSEDNLERLRELYSEVEDKIEGIDR* >Brasy6G240900.1.p pacid=40049323 transcript=Brasy6G240900.1 locus=Brasy6G240900 ID=Brasy6G240900.1.v1.1 annot-version=v1.1 MLMRVNAVIVVNVIFVGILVGIGAYMPHYRYRSVFRVIFLGATTLLLPSVSYVVSNGSTTASTLSEQHCNIFPGLTLNSSEIMFLRCETNFHLHLIILWVGLAQIVGINTSIIVAADDREGQNNGLHVQLLAQVIWTSYLAVYELFGFHPVVVIQYVFAPVIAKMVLKYFAYWMARRSLAHGRNPRFIVGYMMQLHERRQHVEQQKSQQDEQFQLLPPPLIVMGEERQKVEKQPHGYYIRQTSNRAEITMVTIDRIWQLDDTLMRSMPEIKDVCFSFALSKLLRCRFAGYTVSEAGFTQAHNFFWHELLKDSGTSIIVERVFRVIEDELSFLHDYYYTSLPMSYSKSWLPSFSILTSLLTIVFCLYTGWMMVTLVPPEYLGGVGQIVCVLWCGNPSDYENIKLHEAYSMGNIGRLYFDIGLALLLLALVVLVEVRHIASYIFSNWTKVALICQYVIRPNTRQRPYCIQRLANIVLKEYRCKLTKPRMNQCSVLVLNPMVLLTRLRVHLRLSDRTTNVKVQKVVKAAIISAVRRSNGMQGKGGEFLPRSQQDGKNFIWECSDKGAAHTMLICHIATSLLEVRYPHPAAPPSDDKITATHLSRYCAYLVAHAPELLPDDDKWCKSLYKAVKKNSLDILASGYDDLVTLLSGSDRHEVLQKGAALATQLVSLPDEEGSTAWGVLAVFWSEMILLVASKSKVHNHMEAIARGGELITLLWVLLMHAGPAPEPRTVDP* >Brasy6G232000.1.p pacid=40049324 transcript=Brasy6G232000.1 locus=Brasy6G232000 ID=Brasy6G232000.1.v1.1 annot-version=v1.1 MPRPPPTLPPELVQEILLRLPPEHPGCLFRASVVCKPWLGLLTGRAFRRRYREFHRTPPLLGFFHNDTADQGVFQTNFVRAAGLIRRPPGPGRLRLRAIDARHGRVLFDTDPAADDADPREFLVWDPITHEEWRIPMPKDTDRSSSAVVCAVDGCDHVDCRGHPFFVAFVYVRNGYRVFSARRYSSETAAWSDKTSVEQNLDLDRSCNIVDIPPNVLLGKALYFNCNAYLIRYDLARRELSLIDKPDMHGLDVGQVTVVNDALGFATIKKSSIYLWSREVDVHEVVAWPVPTQSPQVRDAAPSSRLSTTRDIMGFAEGIGAIFLGTEVGLFTVELKSGRVTKLCNQGQGCKRAPVRGPLSPFSPAPQQT* >Brasy6G220200.1.p pacid=40049325 transcript=Brasy6G220200.1 locus=Brasy6G220200 ID=Brasy6G220200.1.v1.1 annot-version=v1.1 MDHRCNSCNEFDVVCKRPKVCLSSFTIKMVVHTLSILQVVPQYFKDRLDDFAQNFLVLRDCTGFKFDVYIDRKERISVLCGPYWKLFAKTHHLKPGDSVRFFAVEYGTAFGLPEVGDNVRHLFHSIVFTDIDALRDGDVRKILGVLESLDAYVDCPREFMVHRMTDVDMASGSFEIPMQIRHALKSPRSGYASLNAAAIIRESMAAEYKTTFSGSTFIIHGWEDFCTRVGISNTSVLLVELDTMTDYLYLHFYGREDLAEMKTLKGNHARVCQVLD* >Brasy6G097100.1.p pacid=40049326 transcript=Brasy6G097100.1 locus=Brasy6G097100 ID=Brasy6G097100.1.v1.1 annot-version=v1.1 MSGSGGGGRGRQEPEGGSAGLPVPGPCTSTHRALAECHRRAARGPLQPEVLCRHLNRALAECLVTMCCPGETEAVRTLCGSSGTALKRSQCQRARIDLSLCLEAHQET* >Brasy6G067700.1.p pacid=40049327 transcript=Brasy6G067700.1 locus=Brasy6G067700 ID=Brasy6G067700.1.v1.1 annot-version=v1.1 MSRVCVTGGAGYIGSWLVKKLLDGGCTVHATLRNLGDEKKTGLLRGLPGAAERLVLFEADIYDAGSFEPAIAGCEFVFLVATPLQHSSGNSKYKDTTEAIVDATRAILQQCERSKTVRRVIHTGSVVSAAPLREDGGGYKEFVDESFWTPLGLPYGHSNEQLDAYVSSKTLSDNELLKHNDSEAESKAFDIVVLLCGLVGGDALVPHAPDSIQLILSPLTGIEPYHGSLKFLQALLGSVPLVHVDDVCEAHIFCMDSAKVAAAGGRRFLCATAHTNMQDIAKHYAAKHPELKLLIEEVVGEGVRVQANTNKLVELGFEYKYGAEEVLDGSVECGERLGLL* >Brasy6G078400.1.p pacid=40049328 transcript=Brasy6G078400.1 locus=Brasy6G078400 ID=Brasy6G078400.1.v1.1 annot-version=v1.1 MMKLDALSVLSNDYMKKQIVGDKLCELVSKRKKLPHRALDMWKYNRMRQKNIFSEPLECVLTYITLTRMSSLGFFKKLMLRFLKKLLLRFLEKLLLRFLEKLLLLI* >Brasy6G169700.1.p pacid=40049329 transcript=Brasy6G169700.1 locus=Brasy6G169700 ID=Brasy6G169700.1.v1.1 annot-version=v1.1 MKIVCSIKAYVEVVQALNDDDDKRAYICKAGFGGCLRMPDITIHRVLCGWLAENYNIGSKSIKIEEQDIPITTWDAKCIMELPSEGEEISVPLSPATKEDKEAEDKYFAMYSDPITKNISLDSLKEALLEKKKPDEHFIRKFVLFTIGRILCPTTKVYVKSTYLRLVKNIDDIPNINWGSLTKEDLCNNLQAYKLGQKNLEGNLLLLQCWFWEHVHAIYGGRSFIQYHRRDYPLMSFWNKKNVTERNRFDNIYGVGKGKVCSGTYIFVFSSTVLSKVTFSIQIIYVIRSADSGQSSRNSDEGSADKFEDNSNNNKNGSTSPRREGGEYDKTYDAAGVSADFKALLDQIHQLEEAMQHLDNKIYNKLLSIDRKCTKNTQDIKDIKVILEKTSRSKNFTAPTKDSSKKSNQSSIYEITNAGQRVRGPGRRVPRPTERKQKQYVFYGTKVKNHASGKKSTDKSALEGLNLQEEVSIQYILKSSGRKILAEIGGRSLYRRCLYCLVAPDNAPFGEKWLKGQIVDTYTELIRNKQILKQRADGTAYLETEIEGQIWEVDGLGTRDGRRETYRRARANAAEIYLRHNMTFIPLNRGFHWYTVVLNSKQEKVQILDSIAMPRQFYLTLDRLQNTLKGLEKFLPAAKVVAGKQCKWRSLKLTSWHLCVEDVPQQNDSWSCGLYMLKFMEHWTGRMLSKEYNMMVFLTRD* >Brasy6G149000.1.p pacid=40049330 transcript=Brasy6G149000.1 locus=Brasy6G149000 ID=Brasy6G149000.1.v1.1 annot-version=v1.1 MRRNRAKSGVQGHAAINEGGERHQDTRTGRAKHGQGCLELAICSALDSTPDNTTGYKTTGIHKIPPPMIN* >Brasy6G028300.1.p pacid=40049331 transcript=Brasy6G028300.1 locus=Brasy6G028300 ID=Brasy6G028300.1.v1.1 annot-version=v1.1 MGCVHGRPSAASTPSPDASRHRNPLRQEGVAASAAAPAAAAEDGEKVERLAAVAAAVKRERRSRSSRHAPPAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNQGVLHRDIKGSNLLLDDHGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSAIRLIETLLSIDPADRLTATSALNSDFFTTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGARPNGDGARKTRTRDRPRAVPAPEANAELQVNIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPTFEPPDPSSFSTVFPYDKSSVPTWSGPIVDASGGNQKRKHKSGRSSKQPSTARAR* >Brasy6G028300.2.p pacid=40049332 transcript=Brasy6G028300.2 locus=Brasy6G028300 ID=Brasy6G028300.2.v1.1 annot-version=v1.1 MGCVHGRPSAASTPSPDASRHRNPLRQEGVAASAAAPAAAAEDGEKVERLAAVAAAVKRERRSRSSRHAPPAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNQGVLHRDIKGSNLLLDDHGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSAIRLIETLLSIDPADRLTATSALNSDFFTTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGARPNGDGARKTRTRDRPRAVPAPEANAELQKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPTFEPPDPSSFSTVFPYDKSSVPTWSGPIVDASGGNQKRKHKSGRSSKQPSTARAR* >Brasy6G028300.3.p pacid=40049333 transcript=Brasy6G028300.3 locus=Brasy6G028300 ID=Brasy6G028300.3.v1.1 annot-version=v1.1 MPPRERPPAGRRKNAVFFLFHFTNEFWRFFFFFQIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNQGVLHRDIKGSNLLLDDHGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSAIRLIETLLSIDPADRLTATSALNSDFFTTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGARPNGDGARKTRTRDRPRAVPAPEANAELQVNIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPTFEPPDPSSFSTVFPYDKSSVPTWSGPIVDASGGNQKRKHKSGRSSKQPSTARAR* >Brasy6G012200.1.p pacid=40049334 transcript=Brasy6G012200.1 locus=Brasy6G012200 ID=Brasy6G012200.1.v1.1 annot-version=v1.1 MASHKFRFPIGRVWGPSIAGTYASVVGSIQKRIREAAEGENRLIEGKPVCPLHGYFVIILYPTHDSDLGLEDRRGKVRLLFSYPNLYFLAFEVNGVWYPFSDMDVSQYTQNPQVHLPFKCGYHNGGMGTNFNTLLLGHVSVIDVYRSLSRHPHNLGGNLSLRVVLGKCSVLFPEAMRFPGLKKLIVGVMRGLDNVPVTDFSIYFNHWRLYCDAIRAGRDAFQPLSNPEIETFDDLLSIVGILLSMD* >Brasy6G207700.1.p pacid=40049335 transcript=Brasy6G207700.1 locus=Brasy6G207700 ID=Brasy6G207700.1.v1.1 annot-version=v1.1 MAVTRESAAAAASMLAVAFGLLGIYAFCFRIFTRNCRRAAGADREIRGAAAAPPIFIFEGPLGRADDMGLDETSIALLPRRKVTKKADCAVCIEKLAKGATARLMPRCGHMFHVECVDTWLRSYATCPLCRRPVVDDKVVVVLPPPSVNAEPPIQGSIAAAVELHRRYRRQTAT* >Brasy6G044500.1.p pacid=40049336 transcript=Brasy6G044500.1 locus=Brasy6G044500 ID=Brasy6G044500.1.v1.1 annot-version=v1.1 MDEQEFRRMLDLFPVVRSRDYCKAESESSSKGTTQQARSLEIEGAKKEPSAAEDLFLRKLKLAAEKKVGATKAELFCKTFEEAHEKLVYKELNLDAAQRFLDAYKS* >Brasy6G070100.1.p pacid=40049337 transcript=Brasy6G070100.1 locus=Brasy6G070100 ID=Brasy6G070100.1.v1.1 annot-version=v1.1 MKWSLQTSLLKHVHVSTERLQRSIALHSYLFTMMKRKQRRLHSWPAREVDEFEDDDSVVSDMILRMHALESTTALSLATFKSLLIEFVARLDHLVEASEKLAQMARFKQQAGT* >Brasy6G104600.1.p pacid=40049338 transcript=Brasy6G104600.1 locus=Brasy6G104600 ID=Brasy6G104600.1.v1.1 annot-version=v1.1 MVVVSSTIPAVLLLISFLLISKLPRCRPLSFSYNFSDSAPFDGADIKIQGTATLPQQGRPIDLTQNADPRSKGECNCWGRASYSKPVPLWDNATGEVTSFTTRFSFVIKVPDDSNNTRSQPSDGIAFFLSPHPSKMPIIGGGYLGLFNQTTPASTDPTVVAVEFDTYPNEWDPSINHIGIDVNSINSTAVRKLGEDELTSSEEPMTAWVSYNNITKLLAVTLQLKRSSNGGMSRYELNSTVDLKSLLPPEVAIGFSAASGLSVYLHRVLTWSFNSTLAATKLVVTEEARGPNVTVEKAPDTSVQRQFPSKSMVRPLAGAAVGALLISVAVVGVLICFILVRRRRMREEQEQEMAVDSDWCSMDEEFENATGPRRFRYGELAAATNNFSEDGKLGEGGFGEVHRGSLNDLGFVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGSVLTWPSRHKIALGLGSALLYLHVECDNCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLPRQEDQEANDNEYSSLLEWVWGLYGRSAILEAADHRLNGGDLDQTQMECVLVVGLWCAHPDRAVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLTGDSSSAGASSSSFTTGGARSTTTTSSSTTVGPSSCCPESSAAVGMQQTAGM* >Brasy6G068300.1.p pacid=40049339 transcript=Brasy6G068300.1 locus=Brasy6G068300 ID=Brasy6G068300.1.v1.1 annot-version=v1.1 MAAADDRAPEPPPPPAAPERAMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNPGGLKLNGLGPSCSPECFQYRGTMDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPCYDVLRNWIEEYSDQSFPKLKPYAPLIAGSIARSLACVTCSPIELARTRMQAFKESSGGAKPPGMWKTLLGVTSLRQSISHPENFRGYHLLWTGMGAQLARDVPFSAICWTVLEPTRRHLIGLVGEQSNAAVILGANFSAGFIAGVISSGATCPLDVAKTRRQIEKDPARVLNMNTQRMLLEVWRKEGINGLFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHTEL* >Brasy6G068300.3.p pacid=40049340 transcript=Brasy6G068300.3 locus=Brasy6G068300 ID=Brasy6G068300.3.v1.1 annot-version=v1.1 MAAADDRAPEPPPPPAAPERAMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNPGGLKLNGLGPSCSPECFQYRGTMDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPCYDVLRNWIEEYSDQSFPKLKPYAPLIAGSIARSLACVTCSPIELARTRMQAFKESSGGAKPPGMWKTLLGVTSLRQSISHPENYFSPFVMTELCILLQCKQFGDTTFYGLVWEHNLHVMSHFQLYAGPFLNQLEGT* >Brasy6G068300.2.p pacid=40049341 transcript=Brasy6G068300.2 locus=Brasy6G068300 ID=Brasy6G068300.2.v1.1 annot-version=v1.1 MDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPCYDVLRNWIEEYSDQSFPKLKPYAPLIAGSIARSLACVTCSPIELARTRMQAFKESSGGAKPPGMWKTLLGVTSLRQSISHPENFRGYHLLWTGMGAQLARDVPFSAICWTVLEPTRRHLIGLVGEQSNAAVILGANFSAGFIAGVISSGATCPLDVAKTRRQIEKDPARVLNMNTQRMLLEVWRKEGINGLFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHTEL* >Brasy6G068300.4.p pacid=40049342 transcript=Brasy6G068300.4 locus=Brasy6G068300 ID=Brasy6G068300.4.v1.1 annot-version=v1.1 MDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPCYDVLRNWIEEYSDQSFPKLKPYAPLIAGSIARSLACVTCSPIELARTRMQAFKESSGGAKPPGMWKTLLGVTSLRQSISHPENFRGYHLLWTGMGAQLARDVPFSAICWTVLEPTRRHLIGLVGEQSNAAVILGANFSAGFIAGVISSGATCPLDVAKTRRQIEASFEGSC* >Brasy6G068300.5.p pacid=40049343 transcript=Brasy6G068300.5 locus=Brasy6G068300 ID=Brasy6G068300.5.v1.1 annot-version=v1.1 MDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPCYDVLRNWIEEYSDQSFPKLKPYAPLIAGSIARSLACVTCSPIELARTRMQAFKESSGGAKPPGMWKTLLGVTSLRQSISHPENFRGYHLLWTGMGAQLARDVPFSAICWTVLEPTRRHLIGLVGEQSNAAVILGANFSAGFIAGVISSGATCPLDVAKTRRQIEASFEGSC* >Brasy6G031300.1.p pacid=40049344 transcript=Brasy6G031300.1 locus=Brasy6G031300 ID=Brasy6G031300.1.v1.1 annot-version=v1.1 MEPLLEEPAEPELTPDTAADDTRLRELTREEIGRLFPPKPELTAQEKVLHGLHLVYRRDITEYDPKREDFVYTRFCSFNLAFFDLDEESTAMHGPPFEELLMSQMHLMPSSSVNIISLKIIESDVGYPVDVFGTLIARDEVDYKCVYIFRRGRDDSQRIYSPEDITRWGPSRGLSPHPNLSLSPLRLLSPFRPKLSSALFPTELSLAAAFINSGEFVRPPGSSVQGQNASSYDLGLGAADLASSSSSSSGDDATSAVPSGSRAASVPPSASRPPFSSGSSRAGTPTRNVNSEPSPCVTVPPGATRSSGRTCAAGGG* >Brasy6G051000.1.p pacid=40049345 transcript=Brasy6G051000.1 locus=Brasy6G051000 ID=Brasy6G051000.1.v1.1 annot-version=v1.1 MMEMIMLRRVYGDDAATAAVVRDILAPVASQLSSPEDLHRRCVLVEHLRAGTGPEDARAAFAPLGDVEAAAICTCAFLRKAVVVFAAADDAARALQKQQGKTRIGCCRPVPPLDSAPNYRILRSSQIQAVGRGGDRVPTPPEEEEPSGEARAQAFRELCERKPMIVFDDDPEGEACAGGGATRQFAPSVDSLVHGPTLGGDGHLWMHGTMNTSHAPCGLRVERVSIRVAQEMAGPRKIRVTPLRPYSYVLQQEED* >Brasy6G238200.1.p pacid=40049346 transcript=Brasy6G238200.1 locus=Brasy6G238200 ID=Brasy6G238200.1.v1.1 annot-version=v1.1 MRRERRPAGRPEASPGRPFFLPLSGEVGCRQARCLSLSLFPSSGWLIEVGQPPARSRSVAGDRGGGGGGGGGPRGHWRRACCTF* >Brasy6G102300.1.p pacid=40049347 transcript=Brasy6G102300.1 locus=Brasy6G102300 ID=Brasy6G102300.1.v1.1 annot-version=v1.1 MRDFASCLSQTGVQVAHSSSSGGQGLVQCAYSARLRGKSCRVTVTWSKVAMGQALAIAIEDSSSGCPLCKTEIKPWMFSKMKGSKAVQVDGAALDILWDLSSAKFAAGPEPLDGFYVALVFDCEAVLVLGDMLKLGDHKVIMDALPSSNAVMVARKEHIYGKKVYSAKARFLDSGQLHHIIVECDMSGSKDPGLEIRIGKKRVLQVKRLAWKFRGNQTVFVDGLPVEVLWDVHDWLFGSSNRCAVFLFQSGKSMENFLSSSRSQDKKEHEARRFGFTLILHAWKVE* >Brasy6G057200.1.p pacid=40049348 transcript=Brasy6G057200.1 locus=Brasy6G057200 ID=Brasy6G057200.1.v1.1 annot-version=v1.1 MSTVKGQTRRERPRARAHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDTKEELTKAFRIIDQDKNGKISDVDIQRIAKELGENFTLQEIQEMVHEADQNGDGEIDFGEFARMMKRTSYGY* >Brasy6G194900.1.p pacid=40049349 transcript=Brasy6G194900.1 locus=Brasy6G194900 ID=Brasy6G194900.1.v1.1 annot-version=v1.1 MLRRRSGEERRMERTRWGKEALCCLVGGPTEKAPENLPGEDEGNISQRGRICLGGMAKGLFVWAWACACEKHLSRCFPSLHRLFGPARPLLSLSRAWNEAAAPRVAGRPFPVGAGHRRRPSPSSPAGSHPPAPLPGASSASSAPRASLLAVQQIDAGGAARSREEPEMGGERWERVGWGVRRRETVGGARRGRSMPDSAGDGGTGGDWGGAGEGWERTGVRRQRGGEGRRGAGGARRRQRRPDLSPTAADGTNRKLPSGSWSPAGLKFYQMGF* >Brasy6G208600.1.p pacid=40049350 transcript=Brasy6G208600.1 locus=Brasy6G208600 ID=Brasy6G208600.1.v1.1 annot-version=v1.1 MASGSSDGREPYDEEAAGSRRPLELGVRDSASTSDLRSGNIITRHQLGSTKNDTSKRYLEEWHKKQSSTDDVHKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFLVTTIIGSALGMTQVLLVTGLNRQLGISDEWFSIGDSLIITVLGQAAFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTKLFGVTRESFGNLPLLIIICNLSSLLPLPLLGLLPKETADSDDEETKHS* >Brasy6G208600.3.p pacid=40049351 transcript=Brasy6G208600.3 locus=Brasy6G208600 ID=Brasy6G208600.3.v1.1 annot-version=v1.1 MASGSSDGREPYDEEAAGSRRPLELGVRDSASTSDLRSGNIITRHQLGSTKNDTSKRYLEEWHKKQSSTDDVHKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFLVTTIIGSALGMTQVLLVTGLNRQLGISDEWFSIGDSLIITVLGQAAFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTKLFGVTRESFGNLPLLIIICNLSSLLPLPLLGLLPKETADSDDEETKHS* >Brasy6G208600.6.p pacid=40049352 transcript=Brasy6G208600.6 locus=Brasy6G208600 ID=Brasy6G208600.6.v1.1 annot-version=v1.1 MAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFLVTTIIGSALGMTQVLLVTGLNRQLGISDEWFSIGDSLIITVLGQAAFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTKLFGVTRESFGNLPLLIIICNLSSLLPLPLLGLLPKETADSDDEETKHS* >Brasy6G208600.4.p pacid=40049353 transcript=Brasy6G208600.4 locus=Brasy6G208600 ID=Brasy6G208600.4.v1.1 annot-version=v1.1 MASGSSDGREPYDEEAAGSRRPLELGVRDSASTSDLRSGNIITRHQLGSTKNDTSKRYLEEWHKKQSSTDDVHKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFLVTTIIGSALGMTQAAWD* >Brasy6G208600.2.p pacid=40049354 transcript=Brasy6G208600.2 locus=Brasy6G208600 ID=Brasy6G208600.2.v1.1 annot-version=v1.1 MASGSSDGREPYDEEAAGSRRPLELGVRDSASTSDLRSGNIITRHQLGSTKNDTSKRYLEEWHKKQSSTDDVHKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFIVSRTRKACYFHCILAWCRNL* >Brasy6G208600.5.p pacid=40049355 transcript=Brasy6G208600.5 locus=Brasy6G208600 ID=Brasy6G208600.5.v1.1 annot-version=v1.1 MASGSSDGREPYDEEAAGSRRPLELGVRDSASTSDLRSGNIITRHQLGSTKNDTSKRYLEEWHKKQSSTDDVHKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGALSWSLMATAVNSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAISVSGSGFIETSTQHIRQLWSSVKQPNIFLPTLFIFFWQATPHSDSAMFFFMFGPWNDTGSSCHWA* >Brasy6G132800.1.p pacid=40049356 transcript=Brasy6G132800.1 locus=Brasy6G132800 ID=Brasy6G132800.1.v1.1 annot-version=v1.1 MAGWRMRAAAVLPVSGRPPPSTLLAHSAPPPCSFLHVRKHRDVGLRRRPKRRRGRHQILPLAINKGTAAVYLALDLAPARAAAAALHPGHGAASLALSPARPPPSATHPHSSSATRPSPAPPSDPPPRRCACLSWPLDPPPQPASFRCCSACVRRRSGRWSPATAAEPTANGGEEDGSGGDGEAVGVRLLRVLHTLQLKYHQI* >Brasy6G113500.1.p pacid=40049357 transcript=Brasy6G113500.1 locus=Brasy6G113500 ID=Brasy6G113500.1.v1.1 annot-version=v1.1 MAAISSLPFAALRRAADWRPPTATAAVGISGGVVLNARARRGSRSVVRCVAATADVPPTVADTKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKSTYQYDPVFALGFVTVYDQLMEGYPSNEDRDAIFKSYITALNEDPEQYRADAQKMEEWARAQNGNLLVEFSSRDGEIEAVLTDISERAQGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCAALNINKRSVDRDLDIYRNLLSKLVQAKELLKEYVDREKKKREERLETPKPNEPVAKFDGNSYPVMH* >Brasy6G113500.2.p pacid=40049358 transcript=Brasy6G113500.2 locus=Brasy6G113500 ID=Brasy6G113500.2.v1.1 annot-version=v1.1 MNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKSTYQYDPVFALGFVTVYDQLMEGYPSNEDRDAIFKSYITALNEDPEQYRADAQKMEEWARAQNGNLLVEFSSRDGEIEAVLTDISERAQGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCAALNINKRSVDRDLDIYRNLLSKLVQAKELLKEYVDREKKKREERLETPKPNEPVAKFDGNSYPVMH* >Brasy6G054400.1.p pacid=40049359 transcript=Brasy6G054400.1 locus=Brasy6G054400 ID=Brasy6G054400.1.v1.1 annot-version=v1.1 MDVTMGGIMLRGVYGDAAASATLARNLLAPVAGNTADSSELHRRCVLVEDLSMLTKPEDARAAFAPFGEVEAVVTCGFLQKAVILFESPASASRTLQHGPLAPAAFGSPSRLSISAQPTIS* >Brasy6G069700.1.p pacid=40049360 transcript=Brasy6G069700.1 locus=Brasy6G069700 ID=Brasy6G069700.1.v1.1 annot-version=v1.1 MTAGAGEPHRVVLLVDLDPLLPSANPSTPAAQSASSYLAVVLPAVKSLLTASPTSLSAARLFFSSLSPILSSSLLPKHLPAAPSPLSFDLHPATLASLTPLRRLAVPGSPHPRAPAAAASSIAKSLLQLEHDYPWDAEPQHGRRRRRVFDRPPNLVALFTPAADFKEFGENTTFGKRFRAVFGPVRDRLSARGVQVCWVAVGSTSEGIRGAVTDLGWRFTTTDAVALGSAVAPPSLVWGGVGFGLEGGGGRGEVVLEIADVKGKPLVCKGCEVEVVGSTPWRVGVDGVSRIHVKTVCEVRNWEQLMCRDGEVVLVRGLPRDRSKGDSEEAMNNEFFPHRLLELVLADEKKGFGAGMPMWQLILVFLHRRNYCAMVSVSGEDGNSVDGVLVPFSMNYALLHVDRNGTGLRQVVAKGPGMPDSCVPDPSKDQIVRKKRSKLASKLLETTSWSTFCDVLLKHADGSMPVVDLEDLYFTRYAAKSKKLRFLKCWLKQVKLSCLSTSSSTHTEGEKCPNSKDEGEAKNQVSEEEASASHVNFSLDEADGNKMDKPVDETDCNKIEQPVNKESPVFSSMEDVDAFLGSIPQKIEQGLCSEDTDLGNLAERLVGLSVHALLIKHGKITVSYFEHREVDDASDAKLACEASSILLRKPKDLVLKYKDNNSSPATSEKTSKYSTNYKIREHELQILLRMEIIKSEVGPDFEEGLKQKMVKEICSLLQFIDINLQGDSFQSDSIVKFAEKTIKSRYINSMEDVIKKIYTEMEFDLFDEDEVPCSDSLPSSSNHQDDAKADRSRSSGRGNCIGSASASAVPTRSRHHDRHEEKLERARERRNRERRLSSFTSWVPDLHRVWALKHPGKEPPPAVAVPRSRQHSKRRKRRVACTEMVFETPMTAAKKQQQQTASGSEEGDEMRSFDSVSKALFDDVDDTEILSSSSV* >Brasy6G069700.3.p pacid=40049361 transcript=Brasy6G069700.3 locus=Brasy6G069700 ID=Brasy6G069700.3.v1.1 annot-version=v1.1 MTAGAGEPHRVVLLVDLDPLLPSANPSTPAAQSASSYLAVVLPAVKSLLTASPTSLSAARLFFSSLSPILSSSLLPKHLPAAPSPLSFDLHPATLASLTPLRRLAVPGSPHPRAPAAAASSIAKSLLQLEHDYPWDAEPQHGRRRRRVFDRPPNLVALFTPAADFKEFGENTTFGKRFRAVFGPVRDRLSARGVQVCWVAVGSTSEGIRGAVTDLGWRFTTTDAVALGSAVAPPSLVWGGVGFGLEGGGGRGEVVLEIADVKGKPLVCKGCEVEVVGSTPWRVGVDGVSRIHVKTVCEVRNWEQLMCRDGEVVLVRGLPRDRSKGDSEEAMNNEFFPHRLLELVLADEKKGFGAGMPMWQLILVFLHRRNYCAMVSVSGEDGNSVDGVLVPFSMNYALLHVDRNGTGLRQVVAKGPGMPDSCVPDPSKDQIVRKKRSKLASKLLETTSWSTFCDVLLKHADGSMPVVDLEDLYFTRYAAKSKKLRFLKCWLKQVKLSCLSTSSSTHTEGEKCPNSKDEGEAKNQVSEEEASASHVNFSLDEADGNKMDKPVDETDCNKIEQPVNKESPVFSSMEDVDAFLGSIPQKIEQGLCSEDTDLGNLAERLVGLSVHALLIKHGKITVSYFEHREVDDASDAKLACEASSILLRKPKDLVLKYKDNNSSPATSEKTSKYSTNYKIREHELQILLRMEIIKSEVGPDFEEGLKQKMVKEICSLLQFIDINLQGDSFQSDSIVKFAEKTIKSRVQRKVLHASTFIAAFPIAPHQIAILCEANLWMLANGTSTPWRT* >Brasy6G069700.2.p pacid=40049362 transcript=Brasy6G069700.2 locus=Brasy6G069700 ID=Brasy6G069700.2.v1.1 annot-version=v1.1 MTAGAGEPHRVVLLVDLDPLLPSANPSTPAAQSASSYLAVVLPAVKSLLTASPTSLSAARLFFSSLSPILSSSLLPKHLPAAPSPLSFDLHPATLASLTPLRRLAVPGSPHPRAPAAAASSIAKSLLQLEHDYPWDAEPQHGRRRRRVFDRPPNLVALFTPAADFKEFGENTTFGKRFRAVFGPVRDRLSARGVQVCWVAVGSTSEGIRGAVTDLGWRFTTTDAVALGSAVAPPSLVWGGVGFGLEGGGGRGEVVLEIADVKGKPLVCKGCEVEVVGSTPWRVGVDGVSRIHVKTVCEVRNWEQLMCRDGEVVLVRGLPRDRSKGDSEEAMNNEFFPHRLLELVLADEKKGFGAGMPMWQLILVFLHRRNYCAMVSVSGEDGNSVDGVLVPFSMNYALLHVDRNGTGLRQVVAKGPGMPDSCVPDPSKDQIVRKKRSKLASKLLETTSWSTFCDVLLKHADGSMPVVDLEDLYFTRYAAKSKKLRFLKCWLKQVKLSCLSTSSSTHTEGEKCPNSKDEGEAKNQVSEEEASASHVNFSLDEADGNKMDKPVDETDCNKIEQPVNKESPVFSSMEDVDAFLGSIPQKIEQGK* >Brasy6G069700.4.p pacid=40049363 transcript=Brasy6G069700.4 locus=Brasy6G069700 ID=Brasy6G069700.4.v1.1 annot-version=v1.1 MTAGAGEPHRVVLLVDLDPLLPSANPSTPAAQSASSYLAVVLPAVKSLLTASPTSLSAARLFFSSLSPILSSSLLPKHLPAAPSPLSFDLHPATLASLTPLRRLAVPGSPHPRAPAAAASSIAKSLLQLEHDYPWDAEPQHGRRRRRVFDRPPNLVALFTPAADFKEFGENTTFGKRFRAVFGPVRDRLSARGVQVCWVAVGSTSEGIRGAVTDLGWRFTTTDAVALGSAVAPPSLVWGGVGFGLEGGGGRGEVVLEIADVKGKPLVCKGCEVEVVGSTPWRVGVDGVSRIHVKTVCEVRNWEQLMCRDGEVVLVRGLPRDRSKGDSEEAMNNEFFPHRLLELVLADEKKGFGAGMPMWQLILVFLHRRNYCAMVSVSGEDGNSVDGVLVPFSMNYALLHVDRNGTGLRQVVAKGPGMPDSCVPDPSKDQIVRKKRSKLASKLLETTSWSTFCDVLLKHADGSMPVVDLEDLYFTSTSSSTHTEGEKCPNSKDEGEAKNQVSEEEASASHVNFSLDEADGNKMDKPVDETDCNKIEQPVNKESPVFSSMEDVDAFLGSIPQKIEQGK* >Brasy6G234500.1.p pacid=40049364 transcript=Brasy6G234500.1 locus=Brasy6G234500 ID=Brasy6G234500.1.v1.1 annot-version=v1.1 MASQLASCQSRLVPSTLPPPNLSRRRTNAGGCQPEPAMAEYHLSAQLTGHEDDVRGICICGDAGVATSSRDKTVRFWTPHPEKKHEYIFAKTLVGHSSFVGPLAWVPCSDRFPEGAIVSGGMDTMVLLWDLRTGEVVGTMKGHSSQVTGLAIDNNGDIISSSMDCTLRRWRDGNAVEVWEAHKVAVQTVLKLPSGELFTGSSDSTIKLWKGRTCLHTFSGHADTVRCLAEMPGMGILSASHDGTIKLWALTGQPLLEMIGHTSLVYSVDAHSSGLIASGSEDRSVKIWKDGICVQSIEHPGCIWDAKFLGNGDVVTACSDGIVRIWTTDSNRFCSDEELAAYTDIISQFTLSRKTVGGLKLMDLPGIEALQVPGNSDGQTLIIREGDNGVAYSWNAKELNWDKIGEVVDGPGDAAQGQVLDGARYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGNAYVPGQPSSSNGSAPKQTFKHIPKSGMLLFEAAQFEGILKKLTEFNATLSSDLEQKHLSLSEAEFSRFAAIVKVLKDTSFYHRSKLADADMALLLKLLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKTIESGNDVPVESFRKALALPVHPPNVLTILKAITNLFDKSCLHPWLRTHCAEIIDSVSSCKPSFSKNAHLAYATLLLNYSVLSIESKDEQSQAQILSAALEIAEDDTQDFDSKYRALVAIGSLMLNGLVKSIALDLDVKSVASSAKASMESKIAEVGADIELLLTR* >Brasy6G212400.1.p pacid=40049365 transcript=Brasy6G212400.1 locus=Brasy6G212400 ID=Brasy6G212400.1.v1.1 annot-version=v1.1 MLDPIKVVKAAMTCQTIKRIARSIIFKREYSKKHPTAQYLGVYSQESNSLSNRSTNLASQEISTNYFSEALGGLKFDMLDSAGGRLLVTVRGTNLHLHVLNPLCQTYRAIPDPSVSRQYLHHAALFGNLDSSYRILLIHYDGCQVRLSRYQSRADVWTTNRVRIPFRMPPRETLCKPTIEAGSRYYLLNYGQSVLAVDERKLTIESISLPQQQDDEVGAPHILGRTSQGELGLIVLGWKDVIHCWAYRVDEWARVWEWAPPILKQIPTPSILAFGQRSLILVVSQVISIEGPVEEIRVVLCDTGTRTTVSLLERPEILSSPMVYETGWPFHLL* >Brasy6G137900.1.p pacid=40049366 transcript=Brasy6G137900.1 locus=Brasy6G137900 ID=Brasy6G137900.1.v1.1 annot-version=v1.1 MGQKKGGVRLTKEAFPPMARKDFGGWGATASGAEVAGLRVFVFVTDPR* >Brasy6G211000.1.p pacid=40049367 transcript=Brasy6G211000.1 locus=Brasy6G211000 ID=Brasy6G211000.1.v1.1 annot-version=v1.1 MSRQQQHAPLRTPANGASHRKLDREGSGRHDSKSNLMRSSSGGFTNAENGGKLGHSSPSRDRLVYVMTQIIGHHVDVHVKNGSVISGIFHATNTDKDFGIVLKMAQPIKDSSVGGQGNATDVVRKPETMIIPARELVQVFAKDVTLGVDELPKGPVHDKRKDLMIDSAISRSHYPEERELERWAPDEGDSDCIELEKFDRKGHRSWDQFETNAALFGVKSTFNEDLYTTKLERGPHMRELEKHASRIAREIEGEDTEDIHLAEERGLYLDEDLEHDEEIRYSAVRRDTDTCKIKPLVNAPSSVHPFDSLTRTGSIGAKDLPVCSSTMDEESSSHVFGGTHFSVTAATSELASEYQSNKLLSANANRLDGKWSKDSSGDKDNRNPQPKNTLSEGGKPLISEDLDAEPSRSRASEPSSSGQGNKTSDGLTADNTVPSKLPSAPEYVNSSQRPGSSTSSTTERVAANSIASAPGLSPSSSMGSLSSDKSSLNPNAKEFKLNPNAKSFTPLTSLRPPHPPATDAAYYYPNNMPTTPGPGLPVGMGFPQAYGGQPMVYNAQPGSSPQGYMHPSGPQYGQQMMIGQTRPLYYYAPEMQQYRGRNF* >Brasy6G218400.1.p pacid=40049368 transcript=Brasy6G218400.1 locus=Brasy6G218400 ID=Brasy6G218400.1.v1.1 annot-version=v1.1 MFLGSFLDIKCEVLNHNLVDYVARSYDSSSRSFVFTARGVLPLTAESVHEVLGAPNGPDDVPYYEDHAIEAELVPDLFGTGNSRPKVSDVAKAIIACEVADDRFKRLWLIYIVSTCLAPTTDTKISNKCYPMLAYINRMEDLNLCKFVVDQLHMHFSKNKFRKGCLLYCMTRAEAAKLVTKFSIGLNNMLSELVQGLTGLVPSALKRHATHGKRRINSGDDKGDSSDEDGDESDSSDENDADKFKLARRPASKGKETSVPASSPGVVLSQINLPSDSERQGNELSPAQDDPPEHDDRTDEEDGETIRETASALAN >Brasy6G268200.1.p pacid=40049369 transcript=Brasy6G268200.1 locus=Brasy6G268200 ID=Brasy6G268200.1.v1.1 annot-version=v1.1 MSSPMQSSFLPATTESIAMAQEAKDASESISILYRVLEDPSSSSDAVRTKEVAITNLTTYLTKENRAEELRNLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLASLLLESQEYTEALALLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEGFNALEDAKAIFCLKYMLLCKIMVNQADDVAGIISSKAGLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHVAEMIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLVIFEDPKTEAIFPATLETISNVGKVVESLYMRSAKIMA* >Brasy6G032700.1.p pacid=40049370 transcript=Brasy6G032700.1 locus=Brasy6G032700 ID=Brasy6G032700.1.v1.1 annot-version=v1.1 MEAEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDRGVIVFEDVKDVIMKNGRSLPECFKLFDLFHILTTDHDTVTRITKEVVGDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVLKGLKAVEDVDAVLFDSNLRPDETLSRTPMGDLDGDTKKKKIYVRLLLSIDRRETTSAALDTVNLAMEMKDQGVVGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHVCCLNDEEWKKLKSSMIPVEICLTSNIMTGGAPSLELHHFADLYHAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFRLAQGAVEFAFVDDEVKKFLRALFEHAAGKGLAP* >Brasy6G063700.1.p pacid=40049371 transcript=Brasy6G063700.1 locus=Brasy6G063700 ID=Brasy6G063700.1.v1.1 annot-version=v1.1 MSSSSDPPPQGKPPLPWRTRLLVSAVSILHSVSLRDDGTVSRSLLSLFDRTVPPNPVPDPAGVSSSDHAVSDHLRVRLFLPSPAGGGGGSQLPLPVVVYFHGGGFVFHSAASAHFDALCRRLAASIPAAVASVDYRLAPEHKHPAPYDDGEAALLWALAGAGGALPSSSPHAPVFLAGDSAGGNIAHHVAARLPSRVAGLVLLQPFFGGEAPTASELRLRDAPFGAPERLAWLWRAFLPPGATRGHEAADVPAAISAAGALARARAPFPATLVCVGGWDAHQDRQRAYARALRDATGAEEVRVAEFPDAVHAFYVFEELADSKRVLAEVAEFVNRRAAEHLRSARSPHE* >Brasy6G005400.1.p pacid=40049372 transcript=Brasy6G005400.1 locus=Brasy6G005400 ID=Brasy6G005400.1.v1.1 annot-version=v1.1 MAVVSSPSSPAPAPEKKTSNWLRRGNKKLIGRYLGEARAALSAAAAAMEAGDGGGGDDAAAAALGLAAAALELSPRMEAALELRVRALLALRRYRDVADMLRDFIPSCAAAADAMASSSSSSSSGGDSRAGLLSPGRGDDLSVFSGAGGRFLCCFDIKRRLLLCSRGSSSNGETEWRYLVLGQACFHLGLMEDAAALLQTGRRLASAGFRRQSVSWSDDTFSPNSGSSKPPTPSSPAPASPDEDAATTQLLAHAKLLLRRRAAGMAALDAGLPAEAARHFSKILDARRSGGAPKLPHSFAAACLVSRAAAFSGAGKAADAIADCNRALALDPAYIPALRARADLLESINAAAEALRDMDHLKLLYDAALRDGKLPGPAWRPQGGVRHGEIAGAHRALVARIRRLRGKLAAGEAGEVDYYLLLGVRRPEPGKTKQLAPEVVRRAFVILTMRMKPEEQWRRTASFAERVELVDEFRDMDAVRDQARMSGMFLYRMVQKGYAHVMAAAVAAADEEEEEMRRQRAMDAAAVAALAREEEEDDVSSGIVEKGFEAQEPETMAPPSPVPEPRAMTAAAVKSGVFQQQGSFCRDMAVVGTLLSRGGGMEAMSC* >Brasy6G164200.1.p pacid=40049373 transcript=Brasy6G164200.1 locus=Brasy6G164200 ID=Brasy6G164200.1.v1.1 annot-version=v1.1 MAACLSDLIHANNLEFICLQETHKKDYKDSFFRMVDSRNDFFWKWIPSIGRSGGILCGVNNDRFEVKDVKLGKYVLQLKLWDKKKQCDWCLLTVYGAAQEEMREIFLAELAAFCHNVNSPYIVGGDFNVLRHGGEKNKNFHANHSSDLFNSLIHTLGLREIHLNGGVFTWSNNQVDPTLEKLDRVLMSLAWEDLFPLVTVTKLVRDKSDHNPLLLNSNEGIPKVVKRDFHF* >Brasy6G200200.1.p pacid=40049374 transcript=Brasy6G200200.1 locus=Brasy6G200200 ID=Brasy6G200200.1.v1.1 annot-version=v1.1 MPVPVLSMIQFGRGLFSWVFVAVLSMSSERAGSWVWVRAHPDAPYRRSHTLVVIRHQQRRAHPRGAGPEGRSLRRNMVPGMLSTSRLREFGPARRRAMDRFVSRMRAKAAGDEASSVWCSGTRASRCSASCTVCELVIRTPEARTNMMRLSQRMITAFYANISASGSQSCKALSDSTEDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFSHQQVFELLPDEQQRCQLEIMSNGGSLQEVA* >Brasy6G191700.1.p pacid=40049375 transcript=Brasy6G191700.1 locus=Brasy6G191700 ID=Brasy6G191700.1.v1.1 annot-version=v1.1 MEKGGVVSSMDASVQDVWDWEEVFPDEHRSFYAETTPAAAPSHGAGDELLGVPVADQETEEPILAPGAGADHVGDEYKDIGVDVAAAETRLAAQEQEESNMVPAVAEPLVSDAKEGVDDAEEEEEMKKKDWARPDCVVFSVGKLRVNGIGALCSFGVAAATVGVFLAGGRLQHQQKIQQQQQQQQQKIQLQFLGDDKRIQQVVQQTSRLNQAVSSMMGAGASTRAKISFGGFYDGF* >Brasy6G184300.1.p pacid=40049376 transcript=Brasy6G184300.1 locus=Brasy6G184300 ID=Brasy6G184300.1.v1.1 annot-version=v1.1 MKILNWNVRGLGDSDKNVLIMNDIICLQETKLDNIDRFKAAQFLPARLRTFFFQPASNTSGGILVAWNNSAITCNLVRQDLYSISVSCTSTASNKQFMLTTVYAPYSPDQRPAFFQSLNLSAHSVTGPWIILGDFNMYRFQHEKNNNRLNWSVMEAFNEWIQDQDLVEIDIANRLFTWSNKRRCPTLAKLDRTFINIDWNLAFLQTTAITTTATTSDHAPILVDFNFQSPKGKTEVSYQLGDENSRFFHAAANCQFRRNNVKVLEKDDIQYFDIQDELNIATSFFSDIFGCSTPSLPTMQLNTLHNLQDLSHLSAPFT* >Brasy6G079400.1.p pacid=40049377 transcript=Brasy6G079400.1 locus=Brasy6G079400 ID=Brasy6G079400.1.v1.1 annot-version=v1.1 MASRGFFGSAAATAGTAALLSFFLLLQVAAGAGGDCHFPAVFNFGDSNSDTGGLSALFGAAPPPNGRTFFGAPAGRYCDGRLVIDFIAESLGIPYLSAYLNSVGSNFSQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQLVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITAGYFVNMTTEQVIEFIPDLMERLTSIIQSVHWLGGRYFWIHSTGPIGCLPYALVHRPDIAEPKDDIGCSVAYNKAAQVFNQRLKETVARLRKAYPDAAFTYVDVYTAKYKLISQAKKLGFDDPLLTCCGHGAGRYNFDQKVGCGGKVQVNGTSVLVGKSCDNPSRRVSWDGVHFTEAANKFVFDQIVGGALSDPPVPLRQACRSKGQ* >Brasy6G227900.1.p pacid=40049378 transcript=Brasy6G227900.1 locus=Brasy6G227900 ID=Brasy6G227900.1.v1.1 annot-version=v1.1 MASAASDLDPAAAEPQVVDDEQVVEVVVEPEPAKGEAAEEEEEGECGFCLFMKGGGCKEAFEAWEDCVAAAEKDGSDMVERCFQTTANLKTCMEAHSEYYAPVFQAEQAVNEAAAIAHAEKNKGEESAPSPATEDTKKEESVPEPAAAAADEKEESVPEPAAAAAAADEKEVVVEKDSSSPASEETEKEQAVAEKV* >Brasy6G147200.1.p pacid=40049379 transcript=Brasy6G147200.1 locus=Brasy6G147200 ID=Brasy6G147200.1.v1.1 annot-version=v1.1 MAAHVLVFPWPLQGHINSMLPFAVALAGAGVHVTFLHTEHNLRRADATASPAARLRFMSVPDGLPDDHPRSVGDLTELAVSLNTTGAAAHRAILASMLSSAGSDGADAGAALGVFPAVSCVVGDVFLPFTVDVAEELGVPALAFHTASACSVLAYLSLPKLMELGEVPVPVGVGLDAPVRGVPGMEGFLRRRDLPSTCRCRPDTHGVDPALHILAGHAARSSGARALIINTAASLEGPALARIAPRMRDLFAIGPLHAMPSVAPVPASTSLWPEDEGCMAWLDGQADRSVVYVSLGSLAVISLEKFTEFLHGLVNAGYAFLWALRPDTVGAGQNTVLQEAVEEVGNGKAQRDVLRHRAVGCFLTHAGWNSTLEGVVEGVPLVCWPFFADQQTNSRFVGAVWGAGLDMKDVCERAVVEGAVREAMESGELRRSAQSLSQAVRRDVAEGGSSASEFRRLVGFIKELNVKGSIENQ* >Brasy6G235800.1.p pacid=40049380 transcript=Brasy6G235800.1 locus=Brasy6G235800 ID=Brasy6G235800.1.v1.1 annot-version=v1.1 MVMTLGRPSAVPPGGRVVELRWCNVPVTAV* >Brasy6G199900.1.p pacid=40049381 transcript=Brasy6G199900.1 locus=Brasy6G199900 ID=Brasy6G199900.1.v1.1 annot-version=v1.1 MLSLCVLSVLLIKKYRITGHKFIVQIYWYPYQIDDYWLVTCIRHNEAMNRLAPLGRECWVMFLDFPLDYQTNFWVERAVAYFAKLLHWHNPRAPLTRLLVLAHIADTAHVPKSLIMRELGGARHTWAIPVYLLRSDDWAAIMHDDVPLDGEEPPPFNGDPHPFHGPHQTAEQQFQNRLQAWLVHNGVFGHAGGAGGGVGAQGPVHCIVAPAVINFQEFLRAVGLHFSASVTPRDNIVDSSLTVWNDMLSDYSSDSPG* >Brasy6G065700.1.p pacid=40049382 transcript=Brasy6G065700.1 locus=Brasy6G065700 ID=Brasy6G065700.1.v1.1 annot-version=v1.1 MTTALVDLYMSCGRVEEAKRLLAHTDCPKDVALYNAVLSGCVENGQFHEAFLMLGGIGLNGIALTCALTACSATANLAYGLQVHCKVLRHGFDYETVLCNALIDMYAKCGRTVGARVVFDRMTCRNVVSWSSMIDGYSRHGLGKEALDLFKRMEEAVPIVLPNAITFLAVLSACGHSGLVNEGRAVLHLMKSRYAIDPGLEHYTCFIDMLGRAGQMDEAWDLYCSLRANRNELYGAISVAMLNACMVNMDAVRGNEVAKHLLEVDPQNPRILVLISNFHAAARRWSVSDESRKVIMDKGLRKEAAITDYRT* >Brasy6G175000.1.p pacid=40049383 transcript=Brasy6G175000.1 locus=Brasy6G175000 ID=Brasy6G175000.1.v1.1 annot-version=v1.1 MEGWAAAVAYTAAALACAAAATVVVVRLIYRHLLHYAEPTHQRFIVRVIFMVPVYAVMSFLSLALPDSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRSLKPSWFLMTCCFPAMPLDGRFIRRCKQGCLQFVILKPILVVITFILYAQGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSGFIQNAEKAAYLQNFVLCVEMLIAAIGHQFSFSYKEYAGSNARPFGGFRGSLLHALKFSDFYHDTVHQFAPTYHEYVLYSNEGEDEPTKYSPGSILPAGQDIQLVEVSVVDSKAPVSSSLLHHHADSEEMAPSMVMAEGVTSAKPYDLSNLLNSELSDYPSEVSGVPGLRQQ* >Brasy6G175000.3.p pacid=40049384 transcript=Brasy6G175000.3 locus=Brasy6G175000 ID=Brasy6G175000.3.v1.1 annot-version=v1.1 MSFLSLALPDSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRSLKPSWFLMTCCFPAMPLDGRFIRRCKQGCLQFVILKPILVVITFILYAQGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSGFIQNAEKAAYLQNFVLCVEMLIAAIGHQFSFSYKEYAGSNARPFGGFRGSLLHALKFSDFYHDTVHQFAPTYHEYVLYSNEGEDEPTKYSPGSILPAGQDIQLVEVSVVDSKAPVSSSLLHHHADSEEMAPSMVMAEGVTSAKPYDLSNLLNSELSDYPSEVSGVPGLRQQ* >Brasy6G175000.4.p pacid=40049385 transcript=Brasy6G175000.4 locus=Brasy6G175000 ID=Brasy6G175000.4.v1.1 annot-version=v1.1 MSFLSLALPDSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRSLKPSWFLMTCCFPAMPLDGRFIRRCKQGCLQFVILKPILVVITFILYAQGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSGFIQNAEKAAYLQNFVLCVEMLIAAIGHQFSFSYKEYAGSNARPFGGFRGSLLHALKFSDFYHDTVHQFAPTYHEYVLYSNEGEDEPTKYSPGSILPAGQDIQLVEVSVVDSKAPVSSSLLHHHADSEEMAPSMVMAEGVTSAKPYDLSNLLNSELSDYPSEVSGVPGLRQQ* >Brasy6G175000.2.p pacid=40049386 transcript=Brasy6G175000.2 locus=Brasy6G175000 ID=Brasy6G175000.2.v1.1 annot-version=v1.1 MSFLSLALPDSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRSLKPSWFLMTCCFPAMPLDGRFIRRCKQGCLQFVILKPILVVITFILYAQGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSGFIQNAEKAAYLQNFVLCVEMLIAAIGHQFSFSYKEYAGSNARPFGGFRGSLLHALKFSDFYHDTVHQFAPTYHEYVLYSNEGEDEPTKYSPGSILPAGQDIQLVEVSVVDSKAPVSSSLLHHHADSEEMAPSMVMAEGVTSAKPYDLSNLLNSELSDYPSEVSGVPGLRQQ* >Brasy6G219800.1.p pacid=40049387 transcript=Brasy6G219800.1 locus=Brasy6G219800 ID=Brasy6G219800.1.v1.1 annot-version=v1.1 MKTLCWNCRGIGDPATVRELRDLVRDCAMSVLCLLETQLGRQRVEGLAGTLGFDFAFGVASSGRSGGLCMYRKSSINLTIKTCSKYHIDAVVEEVGKDPWRLTCFYGEAQRNLRHLSWQMMLFLRSEYTLPWMCLGDFNEVLRREEHMGVNEREGWQINGFREAIDVCGLSDIEWLAEAIVVSGWIEL* >Brasy6G223100.1.p pacid=40049388 transcript=Brasy6G223100.1 locus=Brasy6G223100 ID=Brasy6G223100.1.v1.1 annot-version=v1.1 MYQVASFAYFSFTGMQVIHCIGRCKMYQLSWKVFKISNIHRTAQLRCLVSL* >Brasy6G238300.1.p pacid=40049389 transcript=Brasy6G238300.1 locus=Brasy6G238300 ID=Brasy6G238300.1.v1.1 annot-version=v1.1 MEHLSFLLHLTLSLALVLAPFTTAAGDDQFVYAGFSPNDLTLDGTATVTQDGVLQLTNGTVHLKGHAFYPTPWQFRRSPSGAVQSFSITFVFGMVPVYSDQCTDGMTFLISPSKDFSGAQTSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFQDIDDNHVGIDINNLTSVRAQPAGFYDDKSGVMKSLSLVSRKEMQVWVDYDGETTQISVTMAPLNVAKPSRPLVSATYNLSTVLEDPSYVGFSASTGPINSLYCVLGWSLGINRPAPAIDTNKLPKLPRTGPKPRSKVLEIVLPIVTATFIFLVGTTIILLVRRRMRYAELHEDWEDEFGPHRFSYKDLFHATEGFHNKNLLGHGGFGKVYKGVLPVSKLEVAVKRVSHESKQGIKEFIAEIVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMSNGSLDQYLYAEEDKPTLDWVQRFEIIRGVASGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDPQTTRVVGTMGYFAPELARTGKATPLTDVYAFGIFLIEVTCGRRPIDNQAKDSSEILVDWVVEHWHKGSLTNTLDRRLQGNYDADEVCLVLKLGLLCAHPIFNARPSMRQVMQYLDGETPLPELMPTNLSYSMLAVMQNEGFSQYASLASTSGTQGMTSIISSGR* >Brasy6G266300.1.p pacid=40049390 transcript=Brasy6G266300.1 locus=Brasy6G266300 ID=Brasy6G266300.1.v1.1 annot-version=v1.1 MDEYDDVNSASVICCRRYPNPSSFTYERRFFCPFEYAMQPPRWYKAEHIALDKPEVPPGVSKMKEYNGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLALHGDIDVYQFKFFAELCRNKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRYKPTFVQHLLVNGCGGAFLHPTHVFKNFERFSGATYECKAAYPSYDESSGIALGNILKFRKKNGQFDIIGGFIYFILVFSMFPQCNLVHILNEETWYGRLQSFSSTIWIGSLTLLMASYSFVPSKLTRKKRAIIGGLHVLAHLTAVLLLMLLMKLGIEVCIRNHLLATSGCHPLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEIMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTSQSLHIKKDGDLEIFTLAVDNVPKDWKLDPKWEAEERGPHQLSHDRRYPSKWRSASSPDPVRSVRVVDHFTITRTRASDPETSC* >Brasy6G257600.1.p pacid=40049391 transcript=Brasy6G257600.1 locus=Brasy6G257600 ID=Brasy6G257600.1.v1.1 annot-version=v1.1 MARAAAPRRRPSPAMAMMQWALLAAMAAAAGAEDPYRYFTWNVTYGPISPLGTTQQGILINGQFPGPTIDCVTNDNLIVNVFNYLDEPFLITWNGIKQRKNSWQDGVAGTNCPIPANGGNYTYKFQAKDQIGTFVYFPSLALHRAAGGFGAINVRQRPSIPVPYPPPAADFTLLVGDWYYAAGGHAELRQSLDSGAPLPVPDAVLINGAPSGATFAGDQGNTYLFRVSNVGMKTTVNVRIQGHSLRLAEVEGTHPVQNVYDSLDVHAGQSVAFLVDLDKPAMDYAVVASTRFNADGAPPLVAVGTLHYNGAIARAPGPLPVPPPEDIEWSMNQARSFRWNLTASAARPNPQGSFHYGTIPTSRTLVFAGSGPVVVAGQTRHAVNGVSFVVPDTPLKLVDNYNIADVIEWDSVPARPDGGAPRQGTPVVRLNLHEFIEVVFQNTEDEVQSWHLDGYDFWVVGYGNGQWTENERPNYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYLRVWTAEQSFSNEYSIPTNAILCGRAAGLQH* >Brasy6G020600.1.p pacid=40049392 transcript=Brasy6G020600.1 locus=Brasy6G020600 ID=Brasy6G020600.1.v1.1 annot-version=v1.1 MAETEAAAAEETRNKTVVLVGVDDSDHSYRALEWAVRHVAGAAMAAAELVVVHAKPSPSSVVTVGGAAAASGGEVLRYVEADLRRRAEEVVDRARRLCAASSVEGVVEVVEGEPRIVLCNAVDKHRADILVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKSSK* >Brasy6G264900.1.p pacid=40049393 transcript=Brasy6G264900.1 locus=Brasy6G264900 ID=Brasy6G264900.1.v1.1 annot-version=v1.1 MAPPAGVLLLAATLLLTTAAMLASAADSTTTHLHFFLHDVVSGSNPTAVQIIKGPASSSAAAFPGIAFGDTTVVDDLLTETSSPTSAAVGRAQGYYMMSSQSGPVLMMCVNLLLTTGAYNGSTLAVLGRDDIMETTRELPVVGGTGKFRMASGYVLWKTSNSSGPDATIELDVYVATANGTGTIDASAPVSPIDGGSGGGAAGKSASGAAAVAAYGRWVSAFVAAVLVAMVGGVW* >Brasy6G015200.1.p pacid=40049394 transcript=Brasy6G015200.1 locus=Brasy6G015200 ID=Brasy6G015200.1.v1.1 annot-version=v1.1 MARLFRSCPAMAELRLRLDMHGTKRKTKGLTGGPFAESMDRFERLALCMSSVRRGAVEVSELLPATMTNNCAFSCLLTSLRKVMLQFAAKEVNCFQAQLAKFLAENAMVLEEMYVNDGSHFWPDHLRHKLPKWRADSFRAGT* >Brasy6G066700.1.p pacid=40049395 transcript=Brasy6G066700.1 locus=Brasy6G066700 ID=Brasy6G066700.1.v1.1 annot-version=v1.1 MFAREGGERAGAASELSRGPPGGGVGSAARGARCGGLTPTYTYGAPLRTPPPSLLKAGGEDAGDVSLRRRPNGRLTGGARGARQVAAAVCWAPPSCRLPRPTLGGRGELPEAAWATWSRAEAE* >Brasy6G170700.1.p pacid=40049396 transcript=Brasy6G170700.1 locus=Brasy6G170700 ID=Brasy6G170700.1.v1.1 annot-version=v1.1 MCCSDCGCYDACCDCFCPCLSSDTRETILCCAVCFAVVAGVVLFAVLLAAYGFVRQAEVSVPEASLTRLTLLDNTSQATAFAYNISLTLNIRNKNWAMAAKNTKPLDAEYNFDGQRFERARLAAEGEKHPAGKTRVYHLSSGADNAYVALGNAGVAEFRKQNATGVFEVEVVVSGEVKYTARYTKCRFEAKCPLKLQLAPPGTPAVVFQAVKCKLTKPEKNC* >Brasy6G155500.1.p pacid=40049397 transcript=Brasy6G155500.1 locus=Brasy6G155500 ID=Brasy6G155500.1.v1.1 annot-version=v1.1 MQYIKNRRSGSGNLRRVLHASTASFPPLKKQRTQQQQPPPPPPRSPPFLPAIPDPTQEETTRTNQPALPANQRSRRLPVAAAGDRGGLRRRRDLGMSRAPLSPSSSGDEEEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDIESQKFVALKIQKSAPEFAQAALHEIEFLSEITNRDPSNCKHTIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGLSRVREICRSILVGLDYLHGELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEVNPNTEAVLNPIDKQLKMRARRVLAKLAEKKKTAAEFARAERNLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGAGYSFPVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMEVLGKVPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKIPPSDARDFADFLCPLLDFAPEKRPTAAQCLQNKWLQCNDGKTNASIGSKSIDVTRNTGSMPDSCAKRNDAKGNTTNNVKSNTENANVRPSESIANRNAKSSDVNPNTGRIMNKDGNNSDVKPQTESITNRNAKTLDVKPFAGSITKKDDKAIDIKPSTGSIGSKDDKSGDTKSSIGSVGNKDAKNTEGKRNIRSVVNSYMKNFDSKWNVGSIANSEVKDLDVNPISSGIANVDANSSNVKPSTVSVENSDAADTSMTETTDDVANSGGKLQTNSGDVDEDDTDSKPNIGRVAARIQRLESTMSKVPSGRFR* >Brasy6G071400.1.p pacid=40049398 transcript=Brasy6G071400.1 locus=Brasy6G071400 ID=Brasy6G071400.1.v1.1 annot-version=v1.1 MSSSVIPKLAVAMLLVLVAISGSRTQAAARPLQGYQVYAAGEPSSGGDPVQNLNPYCLLPRPTPLDPLPLCVARAPPLTSGIRRLLLSDWRAATHLLPLSRRRRLLPSALRAATHLLPSCPRGRRCALRSRRRPPPLRPDPCRRLPPPAGSGPPPTTPERIWRPRPPRASPRAALAARHIRCHR* >Brasy6G058900.1.p pacid=40049399 transcript=Brasy6G058900.1 locus=Brasy6G058900 ID=Brasy6G058900.1.v1.1 annot-version=v1.1 MSVDKGMPVKLGVRSSSGRFASRDSLHGKQAGGPRRRRRRALPGSREIRAAGGKMFDDQDLGFFTNFLGIFIFVLVIAYHFVMADPKYEGN* >Brasy6G079200.1.p pacid=40049400 transcript=Brasy6G079200.1 locus=Brasy6G079200 ID=Brasy6G079200.1.v1.1 annot-version=v1.1 MAKPTVVLLPVWGAGHFMPMIELGKRMLRCSDGALSLTVLLMPAPTAQAVTDIAEHVRREEAAADIRFHHLPSVPLPADHTGVEEFISRIVQSHVPHIMSAVSALACPVAALVVDIFCTPAFDVSSALAVPAYVYFASGAAMLALLLRAPSLHEEITEAEFDDSAVLDVPGLPPVPPSFLPATMLDRKRSTYTWFVYTGRRYTEASGFIVNTAAELEPGILAAIAHGRCTGGVRAPTVYPIGPAISFPAAAENPQPHECIRWLDSQPPASVLLLCFGSKGMLGTRQVHEIAHGLARSGHRFLWVLRGLPLDATQGAREARDADLDERLPEGFLEKTKGIGLVWPKRAPQKEILAHASVGGFVSHCGWNSVLESLWFGVPMLPWPLDADQHLNAFTLVHDMGVAVPLDMGGRQEPYVEAAELERSVRSLMGGGEEGRKAREKAMRMKALCRKAVEQNGCSYVSLKRLSEDVLKGAVLPKNEADDHHLSK* >Brasy6G122000.1.p pacid=40049401 transcript=Brasy6G122000.1 locus=Brasy6G122000 ID=Brasy6G122000.1.v1.1 annot-version=v1.1 MASSLDAPNLDDYLPTDSLPQEPPRSLNLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVVRYGLLFPLRVLTLGLGWMVFFAAFFPVHFLLKGQNKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSSRPYQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVGRKLRDHVQRPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLGRLMTSWAVVCDVWFLEPQYLREGETSIAFTERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLKRLEES* >Brasy6G016000.1.p pacid=40049402 transcript=Brasy6G016000.1 locus=Brasy6G016000 ID=Brasy6G016000.1.v1.1 annot-version=v1.1 MPPAASAVPPSAAAADEAAPAVVLGGRYEVGAMLGRGASAKVYQARDLVNGGDVAIKSFPNPRGAGGGGGPAIEREAAILRRLRHRHVVRLHEILGTRKKVHFVLDLAAGGELFSLVDASGRMAEPLARRYFQQLISAVRYCHSRGVFHRDIKPENLLLDANGSLKVADFGLGTISPNYSKNLPQQQQQQQHTLCGTPAYVAPEILAKQGYDPAKVDLWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGRFRCPPWFSRELRDLLRRILDPNPATRIAADGILSHPWFRGGASEAELADLTRDEDEEEEFKAEESKAEEDMMGREMTAFDILGFSAGSDLSGMFGAGPGRERAFVGEAAAEVMGRVEEAGRKAGWSVRKKEGKVGNGGGGGAVLVESGNGGVVAKVVVFRVAEEVSVVEVARGHGDEAARFWKEWLEPAVKAPAALSD* >Brasy6G006200.1.p pacid=40049403 transcript=Brasy6G006200.1 locus=Brasy6G006200 ID=Brasy6G006200.1.v1.1 annot-version=v1.1 MGMEAKPTAAAMSEDSESALVQKITKLATAIGDLPSLHPSPEVNSLFTELVTACIPPSTIDVDALGPEAQSMRRRLVELCADAEGHLESHYSDLLAAHDGDPLDHLDLFPYFGNYVKLSQLEHGLLSRHVSSESGSFSRVAFLGSGPLPLSSLVLASRHLPDASFVNYDISPDANARASRLVSRDADADIGIGIGARMEFRTADVGGEAVAGEMEGYDVVFLAALVGMAAEEKARVVAHLGKHMAPGAALVVRSAHGARGFLYPVVDPEDVRKGGFEVLCVHHPEDEVINSVIVARKKKVLEVDDDGALCVGAENASGIKGCAAVAAAGNGSGIKGCAAAGANGDVLCKAAAAAVSRPCLGCCEMEARAHRKMEETMAMDQELPS* >Brasy6G207200.1.p pacid=40049404 transcript=Brasy6G207200.1 locus=Brasy6G207200 ID=Brasy6G207200.1.v1.1 annot-version=v1.1 MNDSMSHGLLLEKITCVTICKLNREYGTTRAGLKALGYELDNDEFHANLHGRLPYGNLRPDPVLRIALLPIPQRKIIFTNSDKVHAEEVLGRLGLEHCFEGVICFETLNPPAVPSNGLSKSQDCLFSVEPSAANPPLNQCRLQFGSQLYPKKTFLFDDSRRNIASGKAAGFHTVIFGRSAVVRGADHALESIHNIKGSIA* >Brasy6G268800.1.p pacid=40049405 transcript=Brasy6G268800.1 locus=Brasy6G268800 ID=Brasy6G268800.1.v1.1 annot-version=v1.1 MEMDASVWAAVIVACISILLLALKKRIKIGDRRLPPGSFGLPVVGQTLSFLRALRGNTGEAWLRRWAALYGPVSRFSFLGVPTALLVGPAANKFVFSSSGLTAMTTNSFRRMIGGRNIRDLAGADHRRVRAMMVAFLKLDVVRGYVATMDSEVRRHFRASWHGHATVAVLPSMKSLTFDIMCTVIFGLDADARRQELEVEFTHLVRGIWAVPVNLPFTTFRRCLGAARRGRRLIAGIIEEKRGRLQRGESSPGDDLITHMLAEGTDDEEIIDNVMFSMVAAHDTTALLLTFLIRHLHGNPEAYAKVTAEQQAIAMAKRADEALTWDDLGKMRYTWAAAMETLRLVPPVFVTMKKAVRDVEFEGRVIPEGWQVMSAMNLTQWDPAIFPDPGRFEPARFGAAEAVPPYSFVAFGGGGRICPGNEFARVETLVAMHYIVTGFRWKLAAGCDGSFARFPLPSPAQGLLIDIEPIDN* >Brasy6G133600.1.p pacid=40049406 transcript=Brasy6G133600.1 locus=Brasy6G133600 ID=Brasy6G133600.1.v1.1 annot-version=v1.1 MASSCSSPAPSPWLSRHILLVVAVVLLGQGGEARPPLHGVQPMAFDEGYTQIFGRDNLALRREGKRVHLSLDESTGAGFASQDLFLHGFFSAAVKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTSAGREERYDLPFDPTDDFHHYSILWTKHRIIFYVDETPIREVVRTEAMGAAFPSKPMSLYATIWDGSAWATLGGRYRVNYKYAPFVAEFGDLVLQGCPVNPIDDNSASASSPACGATPGWYEPVALSAEQGVAMAAFRRGHMSYSYCHDRLRYPVALTECDGRATRLFGPDGMKRHRRGGGRGRRSDVVM* >Brasy6G208800.1.p pacid=40049407 transcript=Brasy6G208800.1 locus=Brasy6G208800 ID=Brasy6G208800.1.v1.1 annot-version=v1.1 MYVKCGRFEDALRVFDGMERRDVSSWNTVLSGLVELGRYEEAFELFGDMRAGGVAADRFSLSALLTAAAEGFSLPEGAAVHALSLKSGLEVDLSVGNALIGFYAEHGDSVEDVVGVFQRMPVKDVISWTGLLNGYMEFGLVDMALAVFDRMPERNFVTYNAVLTGFCLNKEGVRATFAKKAGLRGLGLFRQMLENGLEMSDVTVTGVLNACAIVSDRKMSEQVHTFVIKCGCGSSPWIDAGLIDMCIKCGRSGDARLLFEHWRHPESFHIAWNSLLLASFRDGEYEKAFSIFLQKFRSGDVQFIDEFMLSTVLGVCGALGFAELGKEMHSFAAKSGHLRSCGVGNAIISMYGKCGQLENAVNFFEQMPHRDLVSWNALITAHLLHRQGDEILDIWCQMERLAIKPDSITFLLIISACSYTSSDSVDKCMKLFHCMPSKYNIEPAMEHFAAFVYVLGCWDRFDEAEQFIGSMPFKPGALVWRSLLESCSKRSNMIMRRRAMNHLLALEPQDPSTYVLASNLLSESARWHCSENTRQEMREKGIHKIPARSWTFHNNTVHSFFARDRLHPQSKDIYAGLDVLTLVCMKAGYEPDTTFVLHDVEEHQKRHFLMYHSAKLAATYGLLMAGPGQIVHVVKNIRMCGDCHSFMKHASSATGKEISVRDSSGFHIFRGGVCSCRE* >Brasy6G208800.2.p pacid=40049408 transcript=Brasy6G208800.2 locus=Brasy6G208800 ID=Brasy6G208800.2.v1.1 annot-version=v1.1 MYVKCGRFEDALRVFDGMERRDVSSWNTVLSGLVELGRYEEAFELFGDMRAGGVAADRFSLSALLTAAAEGFSLPEGAAVHALSLKSGLEVDLSVGNALIGFYAEHGDSVEDVVGVFQRMPVKDVISWTGLLNGYMEFGLVDMALAVFDRMPERNFVTYNAVLTGFCLNKEGVRATFAKKAGLRGLGLFRQMLENGLEMSDVTVTGVLNACAIVSDRKMSEQVHTFVIKCGCGSSPWIDAGLIDMCIKCGRSGDARLLFEHWRHPESFHIAWNSLLLASFRDGEYEKAFSIFLQKFRSGDVQFIDEFMLSTVLGVCGALGFAELGKEMHSFAAKSGHLRSCGVGNAIISMYGKCGQLENAVNFFEQMPHRDLVSWNALITAHLLHRQGDEILDIWCQMERLAIKPDSITFLLIISACSYTSSDSVDKCMKLFHCMPSKYNIEPAMEHFAAFVYVLGCWDRFDEAEQFIGSMPFKPGALVWRSLLESCSKRSNMIMRRRAMNHLLALEPQDPSTYVLASNLLSESARWHCSENTRQEMREKGIHKIPARSWTFHNNTVHSFFARDRLHPQSKDIYAGLDVLTLVCMKAGYEPDTTFVLHDVEEHQKRHFLMYHSAKLAATYGLLMAGPGQIVHVVKNIRMCGDCHSFMKHASSATGKEISVRDSSGFHIFRGGVCSCRE* >Brasy6G208800.4.p pacid=40049409 transcript=Brasy6G208800.4 locus=Brasy6G208800 ID=Brasy6G208800.4.v1.1 annot-version=v1.1 MYVKCGRFEDALRVFDGMERRDVSSWNTVLSGLVELGRYEEAFELFGDMRAGGVAADRFSLSALLTAAAEGFSLPEGAAVHALSLKSGLEVDLSVGNALIGFYAEHGDSVEDVVGVFQRMPVKDVISWTGLLNGYMEFGLVDMALAVFDRMPERNFVTYNAVLTGFCLNKEGVRATFAKKAGLRGLGLFRQMLENGLEMSDVTVTGVLNACAIVSDRKMSEQVHTFVIKCGCGSSPWIDAGLIDMCIKCGRSGDARLLFEHWRHPESFHIAWNSLLLASFRDGEYEKAFSIFLQKFRSGDVQFIDEFMLSTVLGVCGALGFAELGKEMHSFAAKSGHLRSCGVGNAIISMYGKCGQLENAVNFFEQMPHRDLVSWNALITAHLLHRQGDEILDIWCQMERLAIKPDSITFLLIISACSYTSSDSVDKCMKLFHCMPSKYNIEPAMEHFAAFVYVLGCWDRFDEAEQFIGSMPFKPGALVWRSLLESCSKRSNMIMRRRAMNHLLALEPQDPSTYVLASNLLSESARWHCSENTRQEMREKGIHKIPARSWTFHNNTVHSFFARDRLHPQSKDIYAGLDVLTLVCMKAGYEPDTTFVLHDVEEHQKRHFLMYHSAKLAATYGLLMAGPGQIVHVVKNIRMCGDCHSFMKHASSATGKEISVRDSSGFHIFRGGVCSCRE* >Brasy6G208800.3.p pacid=40049410 transcript=Brasy6G208800.3 locus=Brasy6G208800 ID=Brasy6G208800.3.v1.1 annot-version=v1.1 MYVKCGRFEDALRVFDGMERRDVSSWNTVLSGLVELGRYEEAFELFGDMRAGGVAADRFSLSALLTAAAEGFSLPEGAAVHALSLKSGLEVDLSVGNALIGFYAEHGDSVEDVVGVFQRMPVKDVISWTGLLNGYMEFGLVDMALAVFDRMPERNFVTYNAVLTGFCLNKEGVRATFAKKAGLRGLGLFRQMLENGLEMSDVTVTGVLNACAIVSDRKMSEQVHTFVIKCGCGSSPWIDAGLIDMCIKCGRSGDARLLFEHWRHPESFHIAWNSLLLASFRDGEYEKAFSIFLQKFRSGDVQFIDEFMLSTVLGVCGALGFAELGKEMHSFAAKSGHLRSCGVGNAIISMYGKCGQLENAVNFFEQMPHRDLVSWNALITAHLLHRQGDEILDIWCQMERLAIKPDSITFLLIISACSYTSSDSVDKCMKLFHCMPSKYNIEPAMEHFAAFVYVLGCWDRFDEAEQFIGSMPFKPGALVWRSLLESCSKRSNMIMRRRAMNHLLALEPQDPSTYVLASNLLSESARWHCSENTRQEMREKGIHKIPARSWTFHNNTVHSFFARDRLHPQSKDIYAGLDVLTLVCMKAGYEPDTTFVLHDVEEHQKRHFLMYHSAKLAATYGLLMAGPGQIVHVVKNIRMCGDCHSFMKHASSATGKEISVRDSSGFHIFRGGVCSCRE* >Brasy6G087800.1.p pacid=40049411 transcript=Brasy6G087800.1 locus=Brasy6G087800 ID=Brasy6G087800.1.v1.1 annot-version=v1.1 MSKSKSWLGCVPRSTAALPVEEHFALPAPMPSWPSSDGGFAKGSIDLGGLQARQVTTFAKVWSTAQGGQDGLGATFLKPSPIPAGFHALGHYAQPNSRPLFGHVLVVRDAASGSGSGTGTAQQLLAPPLDYTLVWSSGGQDDDGGFFWLPTPPDGYKAVGVAVTATKDKPRLDEVTCVRADFTEACEAEEPVWSSDKDGFSASSLRPTARGIDARGVRTGTFLAQSNSSITPLSALACLRNNNAVHTSCMPGLAQVHAVLAAYAPLVYLHPDDPYMPSSATWFFENGALLVKKGSETNPTPVAADGSNLPQGGGNDGGFWLDLPADGNEKERVKKGDLGSAKAYAQAKPMLGGTATDLALWFFYPFNGPARAKVGPLNIPLGRIGEHVGDWEHLTLRVSNFSGELLRVYLSQHSAGTWVDASLLEYEDSASGGRRPVAYASRHGHAFYPKEGLVLQGDAKLGVGIRNDCAKGGRMDVAAPTRCEVVSGEYLGVAEPAWLGFERGWGPKEEYDIGREINRAARFLPRAMKERLGKLVNKLFVGEGPTGPKMKGSWRNDEREA* >Brasy6G180000.1.p pacid=40049412 transcript=Brasy6G180000.1 locus=Brasy6G180000 ID=Brasy6G180000.1.v1.1 annot-version=v1.1 MQPDGDWQGGIWGGPTESGGGWEGPWRGPPQPQVAPPFQQEQYGSAGFGHGGPPPRFQHPDRRGMNHGLLAWVTERQNTEDTMIPEDNKYYQCQGSAEEMDDKLVSLKCRNIVESKETPTFGYDTTRQHIVASEDQDGDANVNVGPSHHVITNQSSFNTCREEIIACAHALDNAILNQDKDLKNIQAEIQCMMEEKEEKDNEINSIVQDIMKDLQASDEIFKILKHDKMKMIYTLQQLKQKLKETTDAFQKYKNTISAESGVSALDAVGMWYVEPTLQPAHQVQGSSTGAGLG* >Brasy6G181300.1.p pacid=40049413 transcript=Brasy6G181300.1 locus=Brasy6G181300 ID=Brasy6G181300.1.v1.1 annot-version=v1.1 MAANTLTTTSSSGGMDKRLLQAATSGDSTSMKAMASQDPSVLLGTTPSGNTCLHISSIHGHQEFCKDVITLEESLLTAINSDKETPFLAAVACGRVTLASVLLRCYRVRRLSEAILQEDKDGCNVLHHAIRSSHREFATELIAAEPALSKGVNQFEESPMFIAAMRGFAYVCEELLQIPDSAHVGELGFNALHAAVEYGSPVIAKRIMDKRPGLAREGNMEGSTPVTMAVILKKIDVLRVLLEHDSSLGYEVNKKGFPLLSYAAYRGHVDVARELLKHCPDAPYRQVGGEAQTCFHTAVCYGNTEFVEFIMSTPQLRKLINIRDNKGKTALHYAVRQCSPKIVAALLSHNDIDTTMLDKGLVSATRELSGVMNEAKTVNWNEVCMLMLKANPQDSTSIYNLNEEAKKHTTLESRKQAKSLTQTYTTNTSLVAILIVTITFAAAFTLPGGYSNDAGSEGLPVMSKKFAFQAFLVSDILAMCSSFVVAFICIIARWEDYEFLIYYRSFTKKLMWFAYVATTTAFSTGLYTVMAQRLRWLAIATCILIALLPILTKLLGEWPVLKLRFRLGKTYKSDLLEMV* >Brasy6G136400.1.p pacid=40049414 transcript=Brasy6G136400.1 locus=Brasy6G136400 ID=Brasy6G136400.1.v1.1 annot-version=v1.1 MEALRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQQHQRLEKLYSSTRSGRDFQKDIVRAAEGFVSIGSKHVEVGTKFSEDCFRYRGENNADEEALAKAASLYGGALRNVEKEYEDFSRTLSSQTIDPLRAMATCVPLEDARGLAQRYSRMRHEAEILSAEIARRKARVRESPIAENTTKLQQSEAKMIEHKASMAVLGKEAVAALAAVESQQKRVTLQRLVGMVEAEKLFYLRLASILDDVEAEMSSEKQKRESAPPTISSHKRAEKAQYFLAEAVNNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAGYVERRENIPPNKVFPQA* >Brasy6G023400.1.p pacid=40049415 transcript=Brasy6G023400.1 locus=Brasy6G023400 ID=Brasy6G023400.1.v1.1 annot-version=v1.1 MRRQGFPPPPPHFAPSRSPFSPVSPSPPFPVNAKTRVFRCLRRCRRPPHSSWEEAAARAGCTAAARCEKGVEVGGVGCEEAAACCEEGGQRRRATRRASRSAAWAARRRRRGLRGLGWRAVRREVCGGTLREGRRGRRRGLEEGEAGGGALEEGEAGGGALEEGEGGGDASWA* >Brasy6G219900.1.p pacid=40049416 transcript=Brasy6G219900.1 locus=Brasy6G219900 ID=Brasy6G219900.1.v1.1 annot-version=v1.1 MTSEAAAPTDALMSLALFDQMWRLVLPAGATRDHPTANPLRPDSAPLDGVGFPPVLVVDPEKDLLGDRIWVYVAWLEAMGKPVELVEFARQGHAFVVYEPWGKAADELL* >Brasy6G225800.1.p pacid=40049417 transcript=Brasy6G225800.1 locus=Brasy6G225800 ID=Brasy6G225800.1.v1.1 annot-version=v1.1 MVHSRHSGRASTRHDSRTLSIGGRENPSGGRGRSQAAEDEAARWRSIGAWRRGARKWEKHRHVSHEAERCYQAYLAEEARLAAAEAGSSSEPESSRATAFSPEEEEQQQQQQPEEEDPFRRPGRELIILSDDK* >Brasy6G056700.1.p pacid=40049418 transcript=Brasy6G056700.1 locus=Brasy6G056700 ID=Brasy6G056700.1.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKHLEILKGNFYGSRLENATQRISKLHRTFLYCTVNLGVWLAAKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRHMQNLRCIVFVERVITSIVLESLLSTINQMSGWIVKYMAGDNSGFDPQSRKKHTEIVDSFRSGKVHIIVATQVLEEGLDVPSCNLIIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQRLEFLGDAVLDHIFTLYFYNQYPECTPALLTDLRSASVNNSCYAHAAVKAGLNKHILHSSSELHRKMAYYLENFGQTFRGPSHGWEAGIGLPKVLGDVIEAIAGAIYLDSEYDKEVVWRSMKRLLEPLATPETVACDPVKELQEFCDHRSYSMSYTKTQKDGVSSLVAEVQVEGTTYSATQTGHDKLVAKKLAAKALLEDLKSAIP* >Brasy6G056700.2.p pacid=40049419 transcript=Brasy6G056700.2 locus=Brasy6G056700 ID=Brasy6G056700.2.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRHMQNLRCIVFVERVITSIVLESLLSTINQMSGWIVKYMAGDNSGFDPQSRKKHTEIVDSFRSGKVHIIVATQVLEEGLDVPSCNLIIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQRLEFLGDAVLDHIFTLYFYNQYPECTPALLTDLRSASVNNSCYAHAAVKAGLNKHILHSSSELHRKMAYYLENFGQTFRGPSHGWEAGIGLPKVLGDVIEAIAGAIYLDSEYDKEVVWRSMKRLLEPLATPETVACDPVKELQEFCDHRSYSMSYTKTQKDGVSSLVAEVQVEGTTYSATQTGHDKLVAKKLAAKALLEDLKSAIP* >Brasy6G056700.3.p pacid=40049420 transcript=Brasy6G056700.3 locus=Brasy6G056700 ID=Brasy6G056700.3.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKHLEILKGNFYGSRLENATQRISKLHRTFLYCTVNLGVWLAAKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQRLEFLGDAVLDHIFTLYFYNQYPECTPALLTDLRSASVNNSCYAHAAVKAGLNKHILHSSSELHRKMAYYLENFGQTFRGPSHGWEAGIGLPKVLGDVIEAIAGAIYLDSEYDKEVVWRSMKRLLEPLATPETVACDPVKELQEFCDHRSYSMSYTKTQKDGVSSLVAEVQVEGTTYSATQTGHDKLVAKKLAAKALLEDLKSAIP* >Brasy6G056700.4.p pacid=40049421 transcript=Brasy6G056700.4 locus=Brasy6G056700 ID=Brasy6G056700.4.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQRLEFLGDAVLDHIFTLYFYNQYPECTPALLTDLRSASVNNSCYAHAAVKAGLNKHILHSSSELHRKMAYYLENFGQTFRGPSHGWEAGIGLPKVLGDVIEAIAGAIYLDSEYDKEVVWRSMKRLLEPLATPETVACDPVKELQEFCDHRSYSMSYTKTQKDGVSSLVAEVQVEGTTYSATQTGHDKLVAKKLAAKALLEDLKSAIP* >Brasy6G056700.5.p pacid=40049422 transcript=Brasy6G056700.5 locus=Brasy6G056700 ID=Brasy6G056700.5.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKHLEILKGNFYGSRLENATQRISKLHRTFLYCTVNLGVWLAAKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRHMQNLRCIVFVERVITSIVLESLLSTINQMSGWIVKYMAGDNSGFDPQSRKKHTEIVDSFRSGKVHIIVATQVLEEGLDVPSCNLIIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQ* >Brasy6G056700.6.p pacid=40049423 transcript=Brasy6G056700.6 locus=Brasy6G056700 ID=Brasy6G056700.6.v1.1 annot-version=v1.1 MTQICHRAAAAAPAAAQQSPPPPPSSCPSSEITPTPPQNAAAMDSSSATAAVTTTDDDDDDAAMEEAHKEDPRTRARWYQLEALERAMSGNTVAFLETGAGKTLIAVLLLRSYAHRVRAPAPDFAVFLVPTVVLVEQQARVVEQHTDLRVSTFFGAMGVDLWNAETWRRAVAGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHTKGDSPYARILKEFYHPQLNTRPCDPLPRIFGMTASPVYSKSLDRGSYSKQISELENLLNSKVYTVESEAALSEYIPFATTRIVRYNDSIIPPNSLDHIMNCLRRLEQKAAEVQSNKEQFVSFWGQQIDKNVECFVRKYSEEVCRELSSFSKRGHIGEDFAADLHDGRLTSKVHCLIQSLLEYRHMQNLRCIVFVERVITSIVLESLLSTINQMSGWIVKYMAGDNSGFDPQSRKKHTEIVDSFRSGKVHIIVATQVLEEGLDVPSCNLIIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAEALSKTGKFLASGQIMREESLRLASTMCQPLGNTLHGEDFFRVESTGATVTMKSSVQLIYFFCSKLPSDEYFKPLPRFITNKALGTCTLHLPKSSPVQTVNTEGEVSVLKEAVCLKACQELHTIGALTDSLLPELGVPWEEEPDIVVERHQPKQPDYFPEEFVGNWFSFSHLGIYHCYKISLGGCLKTTASPTDIILAVKCDLGSDFIANSFKLSGAQDFISVSIKYVGNIHLNQEQVNSARRFQTTILSLLINKDSEASNAIKQFHDMQEASVGVVYLLLPFVSGKVDWCSINFSTSHIYETSNKNTRCCHSCKEVNLLQTKDGPLCRCMLKNSIVSTPHNNELYAVTGFLDFSGKSLLHLRDGSVVTYITYYKTRHGVDLNHENQSLLDASKPVQVRNFLHKRHYKEQKESCRSYAVELPPELCRVVMSPVSANTLYSFSIIPSVMHRIQCMLLSAKLKVQLGPRMQQFIIPAVKILEAITTKECQEEFSQESLETLGDSFLKYVTTQHLYSKYKLHHEGILTKMKENLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLCYDACGNGKIFCLSSNDMYSLRTLSLRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGMDIEYHSKIPIEREIGIKSEEFINVKSLEIILGYNFKDPLLLMEALTHGSYQTATTTACYQ* >Brasy6G204800.1.p pacid=40049424 transcript=Brasy6G204800.1 locus=Brasy6G204800 ID=Brasy6G204800.1.v1.1 annot-version=v1.1 MDQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRSPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANGNHFSEEKLCAWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKHAFKAFDMQTLINKINKSVVAPLPTIYSGAFRGLIKSMLRRSPDHRPSAADLLNHPHLQPYVMEVQSKSSPTRNMFLAKLSTRHEINKISCSDDEDNWKPQYNKSHSFKAERMVELGNATAKHSSPESTRIAKHCPELLNQPMDQLSVHVTKKVVEEVIHDKYSKVTRSPAPTPQRASSTPRRRLEPSKTFHARTAHKEQPPPSRSSVDRTGQATRRVSLSPHMFKTPEKRWGADILTRLKSPDVSVNAPRIDRIAEFPLASSEDPLHPIMKLPAPSIVDQSITKDKCTFQVLRSDSGNNTGTPDLNLLDLGNSSPRSSSDWRQKRFDTTSYRQRAEALEGLLEFSAQLLQQERFQELGILLKPFGPGKASPRETAIWLSKSFKETGL* >Brasy6G204800.2.p pacid=40049425 transcript=Brasy6G204800.2 locus=Brasy6G204800 ID=Brasy6G204800.2.v1.1 annot-version=v1.1 MDQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRSPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANGNHFSEEKLCAWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKHAFKAFDMQTLINKINKSVVAPLPTIYSGAFRGLIKSMLRRSPDHRPSAADLLNHPHLQPYVMEVQSKSSPTRNMFLAKLSTRHEINKISCSDDEDNWKPQYNKSHSFKAERMVELGNATAKHSSPESTRIAKHCPELLNQPMDQLSVHVTKKVVEEVIHDKYSKVTRSPAPTPQRASSTPRRRLEPSKTFHARTAHKEPPPSRSSVDRTGQATRRVSLSPHMFKTPEKRWGADILTRLKSPDVSVNAPRIDRIAEFPLASSEDPLHPIMKLPAPSIVDQSITKDKCTFQVLRSDSGNNTGTPDLNLLDLGNSSPRSSSDWRQKRFDTTSYRQRAEALEGLLEFSAQLLQQERFQELGILLKPFGPGKASPRETAIWLSKSFKETGL* >Brasy6G204800.3.p pacid=40049426 transcript=Brasy6G204800.3 locus=Brasy6G204800 ID=Brasy6G204800.3.v1.1 annot-version=v1.1 MDQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRSPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANGNHFSEEKLCAWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKHAFKAFDMQTLINKINKSVVAPLPTIYSGAFRGLIKSMLRRSPDHRPSAADLLNHPHLQPYVMEVQSKSSPTRNMFLAKLSTRHEINKISCSDDEDNWKPQYNKSHSFKAERMVELGNATAKHSSPESTRIAKHCPELLNQPMDQLSVHVTKKVVEEVIHDKYSKVTRSPAPTPQRASSTPRRRLEPSKTFHARTAHKEQPPPSRSSVDRTGQATRRVSLSPHMFKTPEKRRSIASHHEAPSTIYSRPINHQGQMHFPSAPE* >Brasy6G204800.4.p pacid=40049427 transcript=Brasy6G204800.4 locus=Brasy6G204800 ID=Brasy6G204800.4.v1.1 annot-version=v1.1 MDQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRSPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANGNHFSEEKLCAWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKHAFKAFDMQTLINKINKSVVAPLPTIYSGAFRGLIKSMLRRSPDHRPSAADLLNHPHLQPYVMEVQSKSSPTRNMFLAKLSTRHEINKISCSDDEDNWKPQYNKSHSFKAERMVELGNATAKHSSPESTRIAKHCPELLNQPMDQLSVHVTKKVVEEVIHDKYSKVTRSPAPTPQRASSTPRRRLEPSKTFHARTAHKEPPPSRSSVDRTGQATRRVSLSPHMFKTPEKRRSIASHHEAPSTIYSRPINHQGQMHFPSAPE* >Brasy6G110000.1.p pacid=40049428 transcript=Brasy6G110000.1 locus=Brasy6G110000 ID=Brasy6G110000.1.v1.1 annot-version=v1.1 MSLPTVVLVPCWGSGHFMSALEAGKRLLDAGGGAFSLTVLLVHSPTQTKASEVEGHVRREAASGLDIRFLQLPAVEHPTGCVDPVEFDSRYAQLHAPHVKSAIASVKGRVAAVVVDLFLTTLLDAAHELAVPAYVYFASPAAFLALMLRLPALRGDLTSAGFEEKGRTVDLPGLPPVPASYMPSCLVRAKIQSYDWFEYHGRRFTEARGVIVNTSLELEASVLAALPASPPVHAIGPVISFSGPTDDEQRPHAHECVRWLDAQPAASVVFICFGSMGFLDAAQVREVAVGLQRSGHRFLWVLRGPPQAGSRFPTDAAQAQLDELLPEGFMAACCAAGNNKGMVWPAWAPQKEILSHAAVGGFVTHCGWNSVLESLWFGVPMLPWPLYGEQHLNAFALVAGVGAAVALGMDRKKGFFVEAAELERAVRSLMGGGSSEGTNARGKAAEMRAACRKAVGEGGSSRAALQRLVREILALPESLEGAS* >Brasy6G230200.1.p pacid=40049429 transcript=Brasy6G230200.1 locus=Brasy6G230200 ID=Brasy6G230200.1.v1.1 annot-version=v1.1 MPEHLAAEVRAWGEIGSGSAARGGGNGRRWGRSRGDKTSRGSEAANPPTTQLCYSTGYAADELFAGGWRRRTGHQGVLEIGVRVRQREIAMGTGGKGEQPAARGSKERRLAGGGSLGWRRRWRPAAARFLGGGSSGGRREK* >Brasy6G256100.1.p pacid=40049430 transcript=Brasy6G256100.1 locus=Brasy6G256100 ID=Brasy6G256100.1.v1.1 annot-version=v1.1 MPPPPATLVEDLITEILLRLPPDDPASTVRASAVCKRWRRLLSAPAFCRRYREIHGGRPPMLGFLHASTSSPAVGFVPTSSFRPPWPAALPIRDLLDARHGRVLLIQASTDLVVWNPITEATWVVPRPVPPGGYYWHAAVLCAATDGSCDHLACHGGPFLVAFVCDGPGLTSACVYSSETGAWSGKSYIQNPHTLISVPGVLVGNSLLYYTCLSVPRKILQYDVLSGEFSMIDGPGPDVGCILGTAVAMEDGTLGFADHRYYYSASQEKNNCICVWSREVGADGSAVEWGRQPRVIDLEPLLPPSTVLSLSRPADRHYELRGCVVELGIFFMQDTPKAHGVLGRRRNDVEVFTIDVKSGKARRVFPKTLIHGFVFPYTSFYTLGTS* >Brasy6G144900.1.p pacid=40049431 transcript=Brasy6G144900.1 locus=Brasy6G144900 ID=Brasy6G144900.1.v1.1 annot-version=v1.1 MENRGAFGSTKRSRNDVSVREGDWNCLQCGNVNFSFRNVCNRGACGAPRPSPSPSPRLMPAPAGGGYDRSPLFYGSTGAPPHIPLGSAGYGAPYPHLGMRYGYGPPVGAPGSYGLISSYGQPGPMGGPMGGMGYGPGPELGRYGPDLGRYGYGFRGSPMPISSPWSGGPLVENNDSTASRKRRGGPDGMSEGDWKCPKCQNINFAFRNTCNMKKCGAPRPTPGANSSSTQKDKDAPEGSWTCPECNNLNYPFRTACNRKGCSSSKPASSNN* >Brasy6G195200.1.p pacid=40049432 transcript=Brasy6G195200.1 locus=Brasy6G195200 ID=Brasy6G195200.1.v1.1 annot-version=v1.1 MPCRWYCSAWGGWAGFFPRACLRLSVGPAWEMGAGDLLARLPLGFLSHAAAPSSGRLPRCCRCRLNPVDFQRRLRRQRFTTRSAGSRSDGGGSSRPPPPEASLLLLLHRARRSSSSPFLRAARVRSTPAPGGSPPPLSDPGSPDPAASGSESASCSPDAGSPPAQHLWRANPTATARPQTLKTLAAVMAMQTESTAAPRRGHAAFARRPTPPATADYEE* >Brasy6G153100.1.p pacid=40049433 transcript=Brasy6G153100.1 locus=Brasy6G153100 ID=Brasy6G153100.1.v1.1 annot-version=v1.1 MAGEKVAADLVLLDFWVSPFAQRCQISMAEKGLAYESQEQELLGQKGDLLLRSNPVHKKVPVLLHGGAAVCESLVILEYLEDAFPGTAPLLPSSPHARAQARFWADYVDKTVYGIGTRLWKLKGEGRAAARAEMLKTLETLEGELGGKAFFGGEAFGFVDVALIPLTSWFYSYEIHGGFSVKEACPRLAAWAARCGERESVAKTLTPPEEVHGFIGVLKKAYGIEE* >Brasy6G160700.1.p pacid=40049434 transcript=Brasy6G160700.1 locus=Brasy6G160700 ID=Brasy6G160700.1.v1.1 annot-version=v1.1 MAMAALRHAARRIVRPPPPTIHRAAVAEERRRLLPRHIHGGSSANVRIFSLAEEQRRLLPRLFQGGSSAVGRIYSRQFCSFQLDKLTEETKEALYPRKMHTNDSAAKIRVVMKSFNNQKNNLVGLAPYTQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKVFVVKKAETHELAKKFFWLKRMRIMGAQYEIHISFKTRLDKKIGCSKGGGLLGQ* >Brasy6G020400.1.p pacid=40049435 transcript=Brasy6G020400.1 locus=Brasy6G020400 ID=Brasy6G020400.1.v1.1 annot-version=v1.1 MATTASLSAVAAPLAVARGLGKASLGSATFRPLQARPAGRRMAVVRASSSGATAQEKLTAGLAAAAVAAALVLPEVAEAASPGLSPSLKNFLLSIVSGGVVFAGIAGAVVAVSNFDPVKRT* >Brasy6G017100.1.p pacid=40049436 transcript=Brasy6G017100.1 locus=Brasy6G017100 ID=Brasy6G017100.1.v1.1 annot-version=v1.1 MAEADLLCELLMHDTRNTSNVDTCCKIRKLAFRFMTYKGSECFAAATMMATINEAKLMCEYLRKKCLENNCPFSRSCLIRNRTLRAMSKIYRQCSAVEESTGGSTATKLIFNGSDDKNCSGKELVNEDNLLERNKINQNTKVETSLKIGCDNLK* >Brasy6G117000.1.p pacid=40049437 transcript=Brasy6G117000.1 locus=Brasy6G117000 ID=Brasy6G117000.1.v1.1 annot-version=v1.1 MASATSAGGTTGNAGLADPLLVTRDGSTKKQVGPKGKYWEAADKVERRAAKESGGEDGRPLLFRTYKVKGTLLHPYRALIFIRLIAVLLFFVWRIKHNRSDIMWFWTMSVVGDVWFGFSWLLNQLPKFNPIKTIPDLAALRRQYDLPDGTSSLPGIDVFVTTADPIDEPILYTMNCVLSILASDYPIDRCACYLSDDSGALILYEALVETAKFATLWAPFCRKHCIEPRAPESYFELEAPLYSGRAPEEFKNDHRIVHREYDEFKERLDSLSSAIAKRSDVYNSMKTEEKDVKATWMANGTQWPGAWIDTTENHRKGNHAGIVKVVLDHPIRGHNLGSQASIHNDLNFTNIDVRMPMLVYVSRGKNPSYDHNKKAGALNAQLRVSALLSNAQFIINFDCDHYINNSQALRAAICFMLDQREGDNTAFVQFPQRFDNVDPSDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRIALYGIDPPQWRQANIAIEGTRFGSSIPFLDSVSKAINQERSTIPPPLSDLFVAEMEKVASASHDKQTGWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMERDAFCGIAPINLTERLHQIVRWSGGSLEMFFSRNNPLIGGHRIHTLQRVSYLNMTVYPVTSLFILLYALSPVMWLIPDELYIQRPFTRYVVYLLVIILMIHIIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTAADTDDKFADLYDMRWVPMLIPTLVVLVANVGAIGVAMGKTIVYMGVWTIAQKTHAAMGLLFNVWIMVLLYPFALAIMGRWAKRPVILVVLLPVAFVIVGLVYVAVHILFASFVPI* >Brasy6G228300.1.p pacid=40049438 transcript=Brasy6G228300.1 locus=Brasy6G228300 ID=Brasy6G228300.1.v1.1 annot-version=v1.1 MSFRRRREQHSTAARRARAAARADEGSGGMLRAGRRAARAAAAGSEEAAGARGEGSGSGQRGGRGGSRRGQRRRRRRLAARAARRQPGLAAREAAAGSEEAAEARGEGSGGGQRGGGGGSRRGQRRGRRPESQRTAAPHG* >Brasy6G199000.1.p pacid=40049439 transcript=Brasy6G199000.1 locus=Brasy6G199000 ID=Brasy6G199000.1.v1.1 annot-version=v1.1 MELRKNYQGGSYPIDAVSMPVRNVSRNLRQPRSQCLHLLAAPSHCAHLAMVNLDLVNRSAYLKPHTMPHLLSLPTRMSFASS* >Brasy6G178800.1.p pacid=40049440 transcript=Brasy6G178800.1 locus=Brasy6G178800 ID=Brasy6G178800.1.v1.1 annot-version=v1.1 MPTAFAGGPEEKPSAKALPEARGRRQISSSPTLPRSTPSPSASPARHLLPSGRRAASHLLPSCRRRRLPSPRRADAYGSPRAALTRAPLAPPPTRAPPAQPAAACSHRAAAASPPASPGRIRRPRPPRASPRAALAAAGARGGTKAARPGKAGAGAWGRRRDGRKASGGARGARGGGGSAAGGGEEASTARGGRRRAGEHEVGGGGRRGGGVGSEHGTQTQMGGGWGGSAGDGGKKRRVGKEGRGNGRGNWRRKRREFLIDPGGDNATGQLLMELHRRPIPAPQHKLLRAGRGRGRGRDMG* >Brasy6G190600.1.p pacid=40049441 transcript=Brasy6G190600.1 locus=Brasy6G190600 ID=Brasy6G190600.1.v1.1 annot-version=v1.1 MLLRSLLRRGAAAAAGGGCAANARPDLPASLASLLLASRSYAKAKGGGKPASSTTNRGKVRVKDPKGGVSEDSSAAGDFAASAGGADELDAEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSHRGANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAITTKRKDNKRQIILDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDLFDTPVQAAKVLQDFLKYNETRLQQLPCQFFEPIPLGEGTGVGMMRGADTVEMPEGSTLYDLVQTGITHTHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFTEFQEPVTVRSCRSIHAKELTMVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPSDARLMFPRYTVDEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFI* >Brasy6G075200.1.p pacid=40049442 transcript=Brasy6G075200.1 locus=Brasy6G075200 ID=Brasy6G075200.1.v1.1 annot-version=v1.1 MERAPEAVDEAGHADHNTSTPWLKLGLDALRSEEVKPQEAKPVATPQRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHNFQQLLMCFPPTASFIQPMRVNPHSTILTAQDERTTAVVARFHEGQMKSWLPFAVEEAGSLVWPGSFKGRPQEPMKQPQQNIDLSLRL* >Brasy6G162400.1.p pacid=40049443 transcript=Brasy6G162400.1 locus=Brasy6G162400 ID=Brasy6G162400.1.v1.1 annot-version=v1.1 MGDSSAFPGFHSHSYDRDYARPLFRVASFSESSDEHEHHVPSPPRRGMGRTTSSKVAAPSRLSQTMSKLSMKKPQHAVDEKSVEDEEMELMKEKYTKLLLGEDMSGGGKGVCTALAISNSITNLYATVFGTCHRLRSLPPEKRSMWNREMDCLLSICEYIVEFAPTVQAMPDGSTRDVMATSPRSDILMNLPALEKLETMLLGILDSFDKAEFWYADKRKQSFNDSKKSFQRNEDKWWLPEPCVPDSGLSDSVHRELQHKRDQASQIHKMAMEINSAILSEMQIPLSFIETLPKTGKVGTGDAIYRYMSSGDQFSPDHLLDFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVTKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLLADENAKQGNSIRMQKQVFSQISPQR* >Brasy6G134200.1.p pacid=40049444 transcript=Brasy6G134200.1 locus=Brasy6G134200 ID=Brasy6G134200.1.v1.1 annot-version=v1.1 MPFHRRHDAAATLARKGAPSYGIKRQQRVLIQRLGLAREGERIGDETLQAYLRLFNQPLSTEYLGAILALFGWEFQALPLEEGDVVAWRVDYSCFALGLGPLRGRHEDRLVAYGGLWSSKWVLFLQELRDIRDLHVGQWILCGDFNLNVDPSDKNNANINRRMMGRFKRVLRDLDLKELYLNGRRFTWSNERENATLEKLDRVFASVDWEACYPVSFLSALRTATSDHCPLLCSSEAQLLRGRRFIFQCFWPKVEGFLDVVRAAWCEGPASNNPFVRLDARLRTAAKRLQSWSDRFVGNTKLQILVAILRLDVAMELRPLSSEERALRKGLKRKLLGLASLERTMERQRSRLLWLKEGDACTKFFHTIPPIHNKCPRRVDEYYAVIMQLMPQSYS* >Brasy6G262200.1.p pacid=40049445 transcript=Brasy6G262200.1 locus=Brasy6G262200 ID=Brasy6G262200.1.v1.1 annot-version=v1.1 MTSSSWDVKPSPTRTRSSSTSPTTSPGSSATASTSSTPVFPSTRPRPRTASSRSTPAWEFSPSGTTSRKATRSIPASSPSTTPPQLTMTMMMKCSSSGTKNRSTTLLSEGPTATATARRRRSMAARMMGTIVRMAAAVSGTIRATTATGTRKMMIATMRSTSFCISQEDQQSLWFSLAALFW* >Brasy6G041600.1.p pacid=40049446 transcript=Brasy6G041600.1 locus=Brasy6G041600 ID=Brasy6G041600.1.v1.1 annot-version=v1.1 MNTGATTHTLSPPPRILVTDLSERERERERENARTQQIHASTATAAQPGSLQVSSPSPNNGASPPPPKAVLLPLPMGPLLSLPWWLSSSSHGASRFRSKAAHLPSQVNLELRQNLGGKADQD* >Brasy6G041600.2.p pacid=40049447 transcript=Brasy6G041600.2 locus=Brasy6G041600 ID=Brasy6G041600.2.v1.1 annot-version=v1.1 MNTGATTHTLSPPPRILVTDLSERERERERENARTQQIHASTATAAQPGSLQVSSPSPNNGASPPPPKAVLLPLPMGPLLSLPWWLSSSSHGASRFRSKAAHLPSQVNLELRQNLGGKADQD* >Brasy6G103900.1.p pacid=40049448 transcript=Brasy6G103900.1 locus=Brasy6G103900 ID=Brasy6G103900.1.v1.1 annot-version=v1.1 MTRFSFVIKAAINDSQYAPSDGIAFFLSPHPSKMPPHSGGGYLGLFNQRTPVSTTTPAVVAVEFDTFSNGWDPSINHIGIDVNSINSTAVLELLGEDELAGSEEPMVAWVSYNSSTKLLAVALQLERSSYGGTSRYELNSTVDLKSLLPSEVAIGFSAASGCSVDLHRVLTWSFNSTLAATKLAVGTQEARGQNATEEKAPDIPVQQFPSKSMVRPLAGAAVGAVLIFVAVLGVLIWFIVVRRRRRSEEEELEMAAADSDGCSMDEEFENGTGPRRFRFGELAAATNNFSEDGKLGEGGFGEVYRGSLSDLGIDVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGTSVLTWPSRYEIGLGLGSALLYLHAGCDKCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLSPRDDQEANDNKYSSLLEWVWGLYGRGAVLEAADHRLTRGEFNQTQMECVLVVGLWCAHPDRSVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLAGDSSGAGASSSFTTGGTRSTTTSSSITVGPSSCCPEYEIGLGLGSALLYLHAGCDKCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVMAGTLGYMDPEYAASGRASAASDVYSFGIVLLEICCGRAPVLRLPPRAEQEANGSEYSSLLEWVWGLYGQGAVLKAADHRLNGGEFDQAQMERVLVVGLWCAHPDRAMRPSIKQALGVLQFEAPLPALPPKMPVPTYSPSVAAGYSGKRKPAGCCCWLAGGR* >Brasy6G021700.1.p pacid=40049449 transcript=Brasy6G021700.1 locus=Brasy6G021700 ID=Brasy6G021700.1.v1.1 annot-version=v1.1 MASPDQFLNVSFADVNDAASQEPGGGTAVFGGDGAAAPRLRLARTGAEQAVEIDLADAQIFKLGRTEWLCVSGETEAKSGVEEKLFSRAIKVVLRTEAESKAFSLAFQQWKQRMISGKDGEPLENGSITVCKSKFDTKIEASSAQMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMVEHAQRLISGNPSLGQRITIIKGKVEDVELPVKADILISEPMGTLLVNERMLESYVIARDRFLAPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFDGYFSQPVVDAFDPRLLVSPPTYHPLDFTSLKEEDLYEIDIPLSFVSSVGTRVHGLACWFDVLFDGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTAKLELKEPYYRLSQPQPYMPQDQQQQPLSSLQAQVSGQQMQDGLSPGITVEQERDSAAFTR* >Brasy6G021700.2.p pacid=40049450 transcript=Brasy6G021700.2 locus=Brasy6G021700 ID=Brasy6G021700.2.v1.1 annot-version=v1.1 MYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMVEHAQRLISGNPSLGQRITIIKGKVEDVELPVKADILISEPMGTLLVNERMLESYVIARDRFLAPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFDGYFSQPVVDAFDPRLLVSPPTYHPLDFTSLKEEDLYEIDIPLSFVSSVGTRVHGLACWFDVLFDGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTAKLELKEPYYRLSQPQPYMPQDQQQQPLSSLQAQVSGQQMQDGLSPGITVEQERDSAAFTR* >Brasy6G254500.1.p pacid=40049451 transcript=Brasy6G254500.1 locus=Brasy6G254500 ID=Brasy6G254500.1.v1.1 annot-version=v1.1 MWQVILAKNVLVLVGASAVATTAAMFWARSSTKPQPVGSYSQLATLDAGSADPVIGRDAEIDRVVCILCRRTKNCAALVGPAGVGKTAIVEALARRIAAGQVPAALAGARVVQLNVAAMLAGTKWRGMFEARLRSAIKRAEESDGRIILFVDEMHMLVGAGDSREGTGDAANILKPALARGRIRCVGATTDEEYRKYIEPDAALERRFQKVAVEEPSVEATVAILRGLKQRYQDHHGVEIQDDALVAATHLAGRYITGRKFPDKAIDLIDEACAGVNLHVEKQKQVEHEQNSSSINAPKAGIVTTGHVAQDNEKLTHLPEKLHERVVGQDEAVDLVARAVLRSRVGIGQSGQPIASFLFLGPIGVGKTQLAKALAEKLFDNKKMLRRFDMAEYAGSGSVSRLIGGPRRYEEEGLLTEEVRRYPYSVILFDEVDKADPSVYGARPVKMWVQKNVTTTISDMLINGEARQGSTISIDASHDKKGLKYQVVKQGDQFQLPDLGGGQS* >Brasy6G030700.1.p pacid=40049452 transcript=Brasy6G030700.1 locus=Brasy6G030700 ID=Brasy6G030700.1.v1.1 annot-version=v1.1 MAVSFSELHTVDGLKALEEHLAGKTYISRVQISKDDVKVFAAVPSKPGAEFPNAARWYDTVAAALGSRFPGKAAGVNLSGAGSSSAPAAEASKDAEDDDGLDLFSDETEDNKKAAEERAAAKPAKKKESGKSSVLMDIKPWDDETDMQIFEEKVRGVHMEGLTWGASELVAVGYGIKKLQIMMTIVDDFVSVDDLIEEVLCAEPINEYVQSCDIVGFNKI* >Brasy6G157300.1.p pacid=40049453 transcript=Brasy6G157300.1 locus=Brasy6G157300 ID=Brasy6G157300.1.v1.1 annot-version=v1.1 MDHWEPASSSSFSSSSAAAASSSSPRCRFDAVWRACGRCGAVAVETAGWALGALLTCVFALVGSLVGIFIGACMGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINKIPAIRFSDASNSDQETDRSCCSVCLQDFGSRQFVRALPQCHHIFHVRCIDSWLQRNASCPLCRGGVHIDHIHM* >Brasy6G211400.1.p pacid=40049454 transcript=Brasy6G211400.1 locus=Brasy6G211400 ID=Brasy6G211400.1.v1.1 annot-version=v1.1 MYPSQSTSGSRQHAAQLTQDLQAEFAAYGRSLSTGPVLLPREPHQSWLRRMEEKVRSVYAAIMCTRTSDVVHHQASVRPPRPSTLQQRPRQQEAPHLRHHPRPRVPEQSTPRPPPPEQAGGSSWHQPQSSFDYWHGQQPSFQAGGSAWQQQPPSFQAGGSAWQHQQSPRMNFEFRPQTQPQGAYGHQSSLSEPSWGSDQDHAQGEDYSLHHS* >Brasy6G143400.1.p pacid=40049455 transcript=Brasy6G143400.1 locus=Brasy6G143400 ID=Brasy6G143400.1.v1.1 annot-version=v1.1 MPSPSFAPSSPIPLLNAGSHPSSGCPATPLCPFPPPHAMCRQRPPSGSRLAAPGQRRPVPFPSAAACCPSPAPLSATNSPASSCPNLQRLPAGRREEDGEGDTWAEARSGRRRGREISGTAVARWGIPIALADMRDPDRDLGRSSIWGMRRGFCWRVVLDPNPLNLAIGDLRASPGDYPNGSL* >Brasy6G055200.1.p pacid=40049456 transcript=Brasy6G055200.1 locus=Brasy6G055200 ID=Brasy6G055200.1.v1.1 annot-version=v1.1 MAAVEGGAPALTQQQQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLVMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKELEEEEALVAPDYGAVAEYAAPTADTWGGEWPGAAAPPAAVVAEAGADWTGAAAPAADAGWDAVAAPPPAATGWEQGSAPAPAPAAATPNWE* >Brasy6G190000.1.p pacid=40049457 transcript=Brasy6G190000.1 locus=Brasy6G190000 ID=Brasy6G190000.1.v1.1 annot-version=v1.1 MDGRDSHGERKRKKIWRKGRSLHIPSHDKLPEDVIHDILLRVPTKPLRRLRAVCRSWRSLTSTASFIAAHTARHGPLVVAITYNPYLEGSRPTHVRLLDTSGQIVRQIHLKNYEELYWRVICTNLDLLCLLGDDKRLCVLDPASNNTVSLILTEYDGYEDNFSRECGTCTSFAVGRGFSTGETKVLGITLKGRTGCKVITLGAGSSRSAQWRQTGGPPVSVGTSPALVKGVLYFTEYSRKNLPQYIAAYDVDEEEWWPDLLRLPAPATAPRMGLVELSDSLVAFYNRRVKECGSSSMELWFLTDGEKGLWAKRYTISLPGANNFGCSPFDGSAGHPLCMLGDGRIVLSEWQSGWEDEEWVFELLRVYDPRTNTCTDGVKMVGYSIMGVYNGTLLKSCVDQ* >Brasy6G138600.1.p pacid=40049458 transcript=Brasy6G138600.1 locus=Brasy6G138600 ID=Brasy6G138600.1.v1.1 annot-version=v1.1 MADERTICSLEQMKSLFAEATSVPLRASGGTVEILCVDELRHAGEMAALMDGLIHLGWEFKGGVVLRRLARADSLLASIADGPLNNFCENPHAPNLSREVRRQGWKLSNASRIHGHRARAPGVPVPRLPILSRLGNSLRRLARYTYTRMKTVEYLKRRCRLLIDNDIPTSRASKVVLTYPTLPLPLGATTVSGSSASTSTGSSSSTSGSSTGSLSSCNSNCSDCLEGRPHRTPYHMMTCSSSSTSTDPSSGSSAAADF* >Brasy6G132200.1.p pacid=40049459 transcript=Brasy6G132200.1 locus=Brasy6G132200 ID=Brasy6G132200.1.v1.1 annot-version=v1.1 MEPPLQYYSRPLLLSALTTSPSSAPVVPPSITTEAWAAAPADDAGRALTWLKNTDAVVVLALLVCGLLAAIALHVVLRCALRATARGPPRAEWKELQAYSAGLLELSLAGPECAICLAEFAGGEQVRVLPRCRHGFHAGCIDRWLAARPTCPTCRQLAAVAPELEHVRVDCTSHRR* >Brasy6G099100.1.p pacid=40049460 transcript=Brasy6G099100.1 locus=Brasy6G099100 ID=Brasy6G099100.1.v1.1 annot-version=v1.1 MRAMAGGPAMAPAWPGAAAGSAAGAAAATQDEMRWRQLDSGVSAVSFGFVATAIFVSMFLAMGILEHFLRAPARARRMGMGRMYAPSPPRGGILLRLRFLLLRRPGAAGGEAGFSGADLEVARKLDGRASPEMPVYARGVSVLMPGHDVPTFIAYPAPAPCPPERIRWPSHQPTPFTGSSSDPT* >Brasy6G200400.1.p pacid=40049461 transcript=Brasy6G200400.1 locus=Brasy6G200400 ID=Brasy6G200400.1.v1.1 annot-version=v1.1 MARYINDVREKFDRYDGGGGGYPTASSCGSTSTSQKQHNELLGRMKMEIVYEAGLHIYFYKQKHKCGSPANDSVGCRHPGDAPERCNAI* >Brasy6G011900.1.p pacid=40049462 transcript=Brasy6G011900.1 locus=Brasy6G011900 ID=Brasy6G011900.1.v1.1 annot-version=v1.1 MSDKKVVKLVIEANLECEKCYRKIQKILCKLQDKEKISNINYDTKKNTVTVSGGFDDAKKLCRKLRCKAREAIKDITIVVEEEKKKPPPEEKKKPAEEEKKKPGEEKKEPEEAEKKKPAEDEKKKPEEGDKKKPAEGEKPKPSSSSSTTVNVQFTQMCTLCYPWPCSDPTHWGHPQPQWPQCEPAPQPAMPGHHHHHPPPYCPPPPCPPTPKRPCGSCCGGGCGSCGGGGSWPPAMPTPTHMMQPPPMMCAGGGCRGCKGQGCRIVQEGRFIYEEYPPPSACSIM* >Brasy6G050300.1.p pacid=40049463 transcript=Brasy6G050300.1 locus=Brasy6G050300 ID=Brasy6G050300.1.v1.1 annot-version=v1.1 MGRPPCCDKDGVKKGPWTPEEDLVLVSYIQDQGPGNWRSVPTRTGLKRCSKSCRLRWTNYLRPGIKRGNFTAQEEKLIVHLQALLGNRWAAIASYLPDRTDNDIKNYWNTHLRRKLQLQADGVSSSSSSAKPPVTQSRSASASTKGQWERRLQTDIGLARRALREALTAIRDGDEEEDDDVKPQAASGESPAASSSSGASMSISMPQPAPYVLTAENVSRMLDGWTKSKNQGRAAADSPPSSGSSASELSYGGGARAPIRSEPAVAAPAPAPELSAIETWLFADDVSNAVDFHGHGLLDGPAMNYPF* >Brasy6G110100.1.p pacid=40049464 transcript=Brasy6G110100.1 locus=Brasy6G110100 ID=Brasy6G110100.1.v1.1 annot-version=v1.1 MSRPTVVLVPCWGSGHFMSALEAGKRLLDSGVGAFSLTVLLMHSPTPIKASEVEGHVRREAASGLDIRFLQLPAVEHPTGCVDPVEFESRYAQLHTPHVKSAIASLQRVAAVVVDFFLTTLFDAAHELAVPAYVYFPSPAAFLALMLHLPVLREDLTRAGFVEETEATVDVPGLPPVPARYTPACLVRAVQSYDWFEYHGRRFTEARGVIVNTSAELEASVLAAIPAAAPPVHAIGPVIWFSDDAEDEQRPPHECVRWLDAQPAASVVFICFGSMGFLDAAQVREVAVGLERSGHRFLWVLRGPPHAGSRFPTDLLPEGFMAATAGKGMVWPAWAPQKEILSHAAVGGFVTHCGWNSVLESLWFGVPMLPWPLYGEQHLNAFELVAGVGVAVVALGVERKEKKGSFVGPAELERAVRSLMGGGGSSEEGTKAREKAAEMKAACRKAVGKGGSSRAALQRLVREILESPANPMDGTTE* >Brasy6G234600.1.p pacid=40049465 transcript=Brasy6G234600.1 locus=Brasy6G234600 ID=Brasy6G234600.1.v1.1 annot-version=v1.1 MKILSILALFVVVVALNAARVESRPNPDDRLRHLFVFGDSFGDNGNTRQPLVDVVLGTDKVTQDTRQWFFPYGSFIDGRRHSTGRFSNYMVQSDLVANIMGLAVAPPAYKLTKKNTWDKSGMTFAVGGANVFHAPTSNKAVPTLRDQVDTFESLIAHGTISRKHVEHSVALIAISGNDYMSVGDAGGMNIGIGAFVKNVSREIVSNVQRLQEMGAAKVLVNNVPPVGCAPSQTTPSGFARCDRGGNNYASVQNRDLKRQLKAMDDVHIIDLNTAFTNIVGGESTEVSIFFDERLAPCCKSTDPSGYCGQMGDSDKDFRYTLCENADKYFYWDEMNPTQAGWETVMEQLEDPIKKFLKLN* >Brasy6G257400.1.p pacid=40049466 transcript=Brasy6G257400.1 locus=Brasy6G257400 ID=Brasy6G257400.1.v1.1 annot-version=v1.1 MSTKSGTILLAARVAPSVSTDGVWGPIPGVDDSGILFIVNWAVQEHARLSGETLTFDKLLNGSELSQGPVFTFRLLISALNANGQSGSYNVEVLDGPWTNTRVLNSFAPAS* >Brasy6G144000.1.p pacid=40049467 transcript=Brasy6G144000.1 locus=Brasy6G144000 ID=Brasy6G144000.1.v1.1 annot-version=v1.1 MALAAQLLLSSSSVSQKIPILRLQRYTLQSSSFCKCFLHPHRSQSQTPRRRWRRNQPVARPDRPRFLRQQGERDDDEHGVQEDMWGLSASSSGAHFVGALDDEEGGDGCGPVDCDAVEEGEQVQGCELGGWDPDISLFPTQAQEQHRQEDEDEKEEDGGDCAWWDPTFFLRGLEEVSTTTRAMEDILAFARSPVAADGPGFAEFLAGYSRSALGEDECVELMKRLGGEGLPLGCLDLFRWMRAPEEQPTPQAWLVALVVLGRARMADEVLEILESLPQERRFREAVLYNAAMSGVAYCGRYDDAWTIFEFMEKNSVQPDHITSSIMLNVMKKQKVSAKAAWEFFQRMNRKGVKWSLDVSASLIKMFCDEGLKKEALIIQSEMEKRGIPSNTSIYNAIVNAYCKCSQIEEAEGLFVEMKEKGLKPTIVSYNILMDAYSRRLQPEVVESLLLEMHDLGLRPNARSYNYLISAYGRQKKMSEKAEDAFLRMKADGIKATSSSYTSLLCAFAVNGQYEKAHVTYEHMKKERLKPSLETYTALLDIFRRAGDTDKLMETWRSMINEKVGGTRVTFHMILDGLAKYGLYVQARDVIYEFGNIGLPPTTMTYNILMNAYAKGGQHYKLPQLLKEMSNLELKPDSITYSTIIYAYARVRDFSKAFYYHKQMVRSGQVPDAKSYRKLLNTLDVKSVRKNIKDKSAIVGVIKGKSGLKHRKEKKDEFWKDSKKRSMMTQVNGYQRKTFL* >Brasy6G144000.4.p pacid=40049468 transcript=Brasy6G144000.4 locus=Brasy6G144000 ID=Brasy6G144000.4.v1.1 annot-version=v1.1 MALAAQLLLSSSSVSQKIPILRLQRYTLQSSSFCKCFLHPHRSQSQTPRRRWRRNQPVARPDRPRFLRQQGERDDDEHGVQEDMWGLSASSSGAHFVGALDDEEGGDGCGPVDCDAVEEGEQVQGCELGGWDPDISLFPTQAQEQHRQEDEDEKEEDGGDCAWWDPTFFLRGLEEVSTTTRAMEDILAFARSPVAADGPGFAEFLAGYSRSALGEDECVELMKRLGGEGLPLGCLDLFRWMRAPEEQPTPQAWLVALVVLGRARMADEVLEILESLPQERRFREAVLYNAAMSGVAYCGRYDDAWTIFEFMEKNSVQPDHITSSIMLNVMKKQKVSAKAAWEFFQRMNRKGVKWSLDVSASLIKMFCDEGLKKEALIIQSEMEKRGIPSNTSIYNAIVNAYCKCSQIEEAEGLFVEMKEKGLKPTIVSYNILMDAYSRRLQPEVVESLLLEMHDLGLRPNARSYNYLISAYGRQKKMSEKAEDAFLRMKADGIKATSSSYTSLLCAFAVNGQYEKAHVTYEHMKKERLKPSLETYTALLDIFRRAGDTDKLMETWRSMINEKVGGTRVTFHMILDGLAKYGLYVQARDVIYEFGNIGLPPTTMTYNILMNAYAKGGQHYKLPQLLKEMSNLELKPDSITYSTIIYAYARVRDFSKAFYYHKQMVRSGQVPDAKSYRKLLNTLDVKSVRKNIKDKSAIVGVIKGKSGLKHRKEKKDEFWKDSKKRSMMTQVNGYQRKTFL* >Brasy6G144000.2.p pacid=40049469 transcript=Brasy6G144000.2 locus=Brasy6G144000 ID=Brasy6G144000.2.v1.1 annot-version=v1.1 MKRLGGEGLPLGCLDLFRWMRAPEEQPTPQAWLVALVVLGRARMADEVLEILESLPQERRFREAVLYNAAMSGVAYCGRYDDAWTIFEFMEKNSVQPDHITSSIMLNVMKKQKVSAKAAWEFFQRMNRKGVKWSLDVSASLIKMFCDEGLKKEALIIQSEMEKRGIPSNTSIYNAIVNAYCKCSQIEEAEGLFVEMKEKGLKPTIVSYNILMDAYSRRLQPEVVESLLLEMHDLGLRPNARSYNYLISAYGRQKKMSEKAEDAFLRMKADGIKATSSSYTSLLCAFAVNGQYEKAHVTYEHMKKERLKPSLETYTALLDIFRRAGDTDKLMETWRSMINEKVGGTRVTFHMILDGLAKYGLYVQARDVIYEFGNIGLPPTTMTYNILMNAYAKGGQHYKLPQLLKEMSNLELKPDSITYSTIIYAYARVRDFSKAFYYHKQMVRSGQVPDAKSYRKLLNTLDVKSVRKNIKDKSAIVGVIKGKSGLKHRKEKKDEFWKDSKKRSMMTQVNGYQRKTFL* >Brasy6G144000.3.p pacid=40049470 transcript=Brasy6G144000.3 locus=Brasy6G144000 ID=Brasy6G144000.3.v1.1 annot-version=v1.1 MKRLGGEGLPLGCLDLFRWMRAPEEQPTPQAWLVALVVLGRARMADEVLEILESLPQERRFREAVLYNAAMSGVAYCGRYDDAWTIFEFMEKNSVQPDHITSSIMLNVMKKQKVSAKAAWEFFQRMNRKGVKWSLDVSASLIKMFCDEGLKKEALIIQSEMEKRGIPSNTSIYNAIVNAYCKCSQIEEAEGLFVEMKEKGLKPTIVSYNILMDAYSRRLQPEVVESLLLEMHDLGLRPNARSYNYLISAYGRQKKMSEKAEDAFLRMKADGIKATSSSYTSLLCAFAVNGQYEKAHVTYEHMKKERLKPSLETYTALLDIFRRAGDTDKLMETWRSMINEKVGGTRVTFHMILDGLAKYGLYVQARDVIYEFGNIGLPPTTMTYNILMNAYAKGGQHYKLPQLLKEMSNLELKPDSITYSTIIYAYARVRDFSKAFYYHKQMVRSGQVPDAKSYRKLLNTLDVKSVRKNIKDKSAIVGVIKGKSGLKHRKEKKDEFWKDSKKRSMMTQVNGYQRKTFL* >Brasy6G106700.1.p pacid=40049471 transcript=Brasy6G106700.1 locus=Brasy6G106700 ID=Brasy6G106700.1.v1.1 annot-version=v1.1 MLSGTSSCSAELELCRSLQCLHQTNLKLNYSWD* >Brasy6G184400.1.p pacid=40049472 transcript=Brasy6G184400.1 locus=Brasy6G184400 ID=Brasy6G184400.1.v1.1 annot-version=v1.1 MEKDQPSKDKERSLSSLMRVKPATLKGKKQGMGKKAPAGRLGDGKVRLKANPAIVLVDAPVAAGAPAPAARRRGQGLPPPPPRRGRRFPTEQAECSTRSGSAMASGSRISMAGGAAASRTALVEEEYEPAEEDIHMDEDEDLSDDIMSDDDPLFGFSRGTDEWTKKQEGCESSRGQSEIQTQRECSVLEGI* >Brasy6G131500.1.p pacid=40049473 transcript=Brasy6G131500.1 locus=Brasy6G131500 ID=Brasy6G131500.1.v1.1 annot-version=v1.1 MQNNLRASTYYLSNLQRANLNSYASATPEIHMPMNNMLSSVNQYEAPHVRNFYNMQGSVSSFYSSASDSQHVGAPLVIPMDVGIGHATTSYLAGYSQPSYATPHVIDFTAPYAIGDIQYSAPHHHNGYSRISGTSIGANVPSSSTVAYGASPSQLLSFGNRSLPKETKSIAGQSYAGLDELKERLISNFHEFEALHRQLIERPYDPATIQAYEAYKKRSEERNTFKVRLKASRGKSCPEEANILDEEHGECSETTVFDFSGCKGAYVLPYEFRAKEIDDHQKEENIAEQCSVNIDYQIEEARDPLKEEDKVLENHPKAERAIVHAMLPSCSPNVFKEVYLTNNLLVFRFVHNFIVNASISKNFIGFERPIERSILFARNLVVTEHIGQHIFYIFMGSFACFVLGLYLVSTETCML* >Brasy6G009600.1.p pacid=40049474 transcript=Brasy6G009600.1 locus=Brasy6G009600 ID=Brasy6G009600.1.v1.1 annot-version=v1.1 MERSAYGTYIVAVIEPPSLNAGEEEHRRWHESFLPSWRTNTGEPRLLHSYTEAFSGFAAKLTEGELDVMAKKPGFIRAFPDRTLQLMTTHTPEFLGLRNGTGFLNDAGYGKGVIIGLLDTGIYAAHPSLSDHGISPPPARWKGSCKDSAAHCNNKLIGAQSFLGGDSGDRLGHGTHTSSTAAGNLVGDASFHGLAPAHIAMYKVCDHSGCDGVDVLSVSLGITEISVSLDMDVIAVGAFSAISKGITVVCAGGNIKYMVTNDAPCVNLTAIKGQALNLQAARDLKHDKTLLGVRPAPVVARARFSSRGPSPISPGVLKPDILAPGVKILAAWPAPSIHPDWSPATIKSAILTTSYIFNSTGGSILDEKDGKPADAYAIGAGHVNPLRAADPGLSTIDYTGFICSKLGDKGLATIAHSSSLTCAKLPRINEIQLNYPTITVGERKTFNVSVSVGQKEQELFVQGSLSWVSGKHVVRSPIIALSKPGGFPPSPRSV* >Brasy6G125900.1.p pacid=40049475 transcript=Brasy6G125900.1 locus=Brasy6G125900 ID=Brasy6G125900.1.v1.1 annot-version=v1.1 MTTTRGRRRRTGQAINGTMRLQSSRSFGLDVTPFSSSVLTLPLCSSINSASLLLPSSTAPPLSLSPKSSQAVQEAVLPFSTPSASIPSWCAKSSRPRFGRLTMPCAAELVNGEGGAIKVGTTGTIGALMTRELEAIRIAPQGAATPRLRRQSSPVSVPCGASPRKIILRKSSSNVSSSGSSSSNNGRTDRVSAEEACKPRRSSQRPMLHSDTVLADRSPNIEKAKKKGNVHGVEVVDVRCGNPMSSRLRKLGFSKLSETFA* >Brasy6G103600.1.p pacid=40049476 transcript=Brasy6G103600.1 locus=Brasy6G103600 ID=Brasy6G103600.1.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASIAGCGCLSSRSSLLTSSTNFMKLHSGTVGFFSAPLVIQYSDKRAVLRHATIEEIEAEKTIIEDQAKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKTCLKLIEKAIVAANIGVTPSNDGEVIRVTVPPLTSDRRKEMTKTVAKLSEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKLTDEYMKKVEAIQKQKEQELSKV* >Brasy6G163400.1.p pacid=40049477 transcript=Brasy6G163400.1 locus=Brasy6G163400 ID=Brasy6G163400.1.v1.1 annot-version=v1.1 MDAQRALLDELMGTARDLTEEERKGHREAKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCLKIHDPKLKESFESSPRHDAYLRRFEAELAQQCEKLVTDLDRKIRRGRDRLAQDTAVPPPIPGKTSERLAVIEENVKKLLEQIEELGEACKVAEAEALMRKVDLLNAEKAALTNQADHKMLMLQEKKMELCEICGSFLVSDDVLERTQSHLTGKQHIGYGLVRDFLAEYKAAKEKAKEEERLAREKKSEERRKQREKEYSNEGREGRTKREKSGERDYDRDHYYERSREREKPHDHRERGSDYRGSSYRNGRDSERDRHRYRSDDATKGRGRMRSRSRSPSRHGYGRSNSPGH* >Brasy6G163400.2.p pacid=40049478 transcript=Brasy6G163400.2 locus=Brasy6G163400 ID=Brasy6G163400.2.v1.1 annot-version=v1.1 MDAQRALLDELMGTARDLTEEERKGHREAKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCLKIHDPKLKESFESSPRHDAYLRRFEAELAQQCEKLVTDLDRKIRRGRDRLAQDTAVPPPIPGKTSERLAVIEENVKKLLEQIEELGEACKVAEAEALMRKVDLLNAEKAALTNQADHKMLMLQEKKMELCEICGSFLVSDDVLERTQSHLTGKQHIGYGLVRDFLAEYKAAKEKAKEEERLAREKKSEERRKQREKEYSNEGREGRTKREKSGERDYDRDHYYERSREREKPHDHRERGSDYRGSSYRNGRDSERDRHRYRSDDATKGRGRMRSRSRSPSRHGYGRSNSPGH* >Brasy6G163400.4.p pacid=40049479 transcript=Brasy6G163400.4 locus=Brasy6G163400 ID=Brasy6G163400.4.v1.1 annot-version=v1.1 MDAQRALLDELMGTARDLTEEERKGHREAKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCLKIHDPKLKESFESSPRHDAYLRRFEAELAQQCEKLVTDLDRKIRRGRDRLAQDTAVPPPIPGKTSERLAVIEENVKKLLEQIEELGEACKVAEAEALMRKVDLLNAEKAALTNQADHKMLMLQEKKMELCEICGSFLVSDDVLERTQSHLTGKQHIGYGLVRDFLAEYKAAKEKAKEEERLAREKKSEERRKQREKEYSNEGREGRTKREKSGERDYDRDHYYERSREREKPHDHRERGSDYRGSSYRNGRDSERDRHRYRSDDATKGRGRMRSRSRSPSRHGYGRSNSPGH* >Brasy6G163400.5.p pacid=40049480 transcript=Brasy6G163400.5 locus=Brasy6G163400 ID=Brasy6G163400.5.v1.1 annot-version=v1.1 MDAQRALLDELMGTARDLTEEERKGHREAKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCLKIHDPKLKESFESSPRHDAYLRRFEAELAQQCEKLVTDLDRKIRRGRDRLAQDTAVPPPIPGKTSERLAVIEENVKKLLEQIEELGEACKVAEAEALMRKVDLLNAEKAALTNQADHKMLMLQEKKMELCEICGSFLVSDDVLERTQSHLTGKQHIGYGLVRDFLAEYKAAKEKAKEEERLAREKKSEERRKQREKEYSNEGREGRTKREKSGERDYDRDHYYERSREREKPHDHRERGSDYRGSSYRNGRDSERDRHRYRSDDATKGRGRMRSRSRSPSRHGYGRSNSPGH* >Brasy6G163400.3.p pacid=40049481 transcript=Brasy6G163400.3 locus=Brasy6G163400 ID=Brasy6G163400.3.v1.1 annot-version=v1.1 MDAQRALLDELMGTARDLTEEERKGHREAKWDDPDVCGPFMARFCPHDLFVNTKSNLGPCLKIHDPKLKESFESSPRHDAYLRRFEAELAQQCEKLVTDLDRKIRRGRDRLAQDTAVPPPIPGKTSERLAVIEENVKKLLEQIEELGEACKVAEAEALMRKVDLLNAEKAALTNQADHKMLMLQEKKMELCEICGSFLVSDDVLERTQSHLTGKQHIGYGLVRDFLAEYKAKEEERLAREKKSEERRKQREKEYSNEGREGRTKREKSGERDYDRDHYYERSREREKPHDHRERGSDYRGSSYRNGRDSERDRHRYRSDDATKGRGRMRSRSRSPSRHGYGRSNSPGH* >Brasy6G240600.1.p pacid=40049482 transcript=Brasy6G240600.1 locus=Brasy6G240600 ID=Brasy6G240600.1.v1.1 annot-version=v1.1 MAAKLHTTILVYSLCLMIILSLGPPNHVALCAAQAQSFVYSDFRGADITLDGSTVVQPDGLLQLTNGSDIIGYAFHRAPLRFRGSPNGTVQSFSLSFIFGVQSVFDKESSGGMAFFISPGKNFSNTFPGSFLGLFNPSTNGSPNNHIFVVELDTFGNGEFKDIDSNHVGVDINGLISIEAHTAGFYDDKTGTFKNLSLNSGDPMQLWVEYDAQTTQVVSTLAPLGTAKPQRPLFTTTTNLSNVLEEPSYMGFSGSTGSLSTLYSVLGWSFGLDGPAPAINIANLPKLPHGDQKARSKVLEIVLPIASAAFIADVGTAIILFMRRRSRYAELREDWEVEFGPHRFSYKDLYHATEGFKNQHLLGVGGFGKVYKGVLPVSKLEVAVKKVSHESRQGMKEFITEVVSIGHLRHHYLVQLLGYCRRKDELILVYEYMPNGSLDRYLHFEEDKSMLDWTQRLGIIKGIACGLLYLHEKWEKIVIHRDIKASNVLLDSEMNGWLGDFGLARLYDHGTNLQTTHVVGTMGYLAPEMLRSGKASPQTDVFAFGTFLLEVACGQRPIKQDTENKQIMLVDWVLEHWHNGTLIQTVDTRLQGNFDQDEANMVLKLGLLCLHPLPAARPSMKQVMEYLDGETSLPELAPAHFNNFHMVSMMQGRGFRASILSYLDVTTSIGTFSGLSGGR* >Brasy6G036200.1.p pacid=40049483 transcript=Brasy6G036200.1 locus=Brasy6G036200 ID=Brasy6G036200.1.v1.1 annot-version=v1.1 MVQQQHRIYILQFDKGEPPEEEQLSCSPKQPAGATGRRIMYYYHDYGSKNGRTPPAAGGKACSRPSSRFLGMRGFFGLLLICFLSVSTFLAAPGGSSFSGEQQRAAAAAMATAGAPCAAARGNDTLCCDRTSERADMCFARGDVRMHSASSSFLLVSGNNNKESSSPAPGKKKMEEVQEERIRPYTRKWEPNVMATIDEVRIRRVHPAHGGPSAPRCDVVHDVPAVLLSTGGFTGNVYHEFNDGLIPMFVTAAHLRRRVVFVILEYHDWWITKYGDVVSRLSAFPPIDFSADRRVHCFPELIAGLRIHGELSVDPARTPDGATSIADFRRLLDDAYRGRVLYLDRLERRAARKQRRRAAINSAANKLVEKRPRLTIVSRTGSRVIENEEAVVSLATEIGFEVRVIRPERSTEMCKIYRELNGSDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAAGAYYGEPAARLGLRYVGYKIRPDESSLAREYPAGDPVLVDPAAVAKRGWDVTKKVYLDRQNVRLDLARFREELVKAHRYLVSSGAGNGKRRRRLKSN* >Brasy6G243400.1.p pacid=40049484 transcript=Brasy6G243400.1 locus=Brasy6G243400 ID=Brasy6G243400.1.v1.1 annot-version=v1.1 MLPSSRSRPQPRPAARSWSFSEMDFSDPKRKPRYLSKVIMAALLIAMCVVMLTQPPCHRRIPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAVKVLQSLFPEPGRLQFIYADLGDPKAVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVRTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSRKSDMSVMILRYFNVIGSDPEGRLGEAPPPELREHGRISGACFDAALGIIPGLKVKGTDYETSDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYFNRRPGDYAEVYSDPAKINRELNWTAQHTELQESLRVAWTWQKKHRSGYGPPQAMIL* >Brasy6G002500.1.p pacid=40049485 transcript=Brasy6G002500.1 locus=Brasy6G002500 ID=Brasy6G002500.1.v1.1 annot-version=v1.1 MACAVAPPVFSPSSRLLPPAAPAQVSFKQPASPEGSPLRLLALRAAKLRIRPAADPPLLVVPAASHAPSSSSSSSSSSSSSNAKRRRPPPLLVPATAPTPLDAVAAARGKDVAEEAGEGFAAYCRRGKGRNRLEMEDRHAAALSLGGDPDAALFAVFDGHGGKSAAEFAADNMPRIIADELRNKSSEEDVEAAVRRAYLRTDEEFSSAAAATGGGGACCVTALLLRDRRQLVVSGAGDCRAVLGRGRSPALALTTDHRASRREERERIEGLDGGLVLRDSRGTWRVRGSLAVTRGIGDAHLKPWVLPDPETTTLDLGLEDGDCELLVLASDGLWDKVGNQEAVDACCFGDMTPSAACRRLVDMAVARGSTDDISVLVVHLKRLLLP* >Brasy6G174400.1.p pacid=40049486 transcript=Brasy6G174400.1 locus=Brasy6G174400 ID=Brasy6G174400.1.v1.1 annot-version=v1.1 MLARYWWKSTTHGSMTCTHHHPPLSLSSLIHRTISSLPSISFSSSLLSSCLSLWPASSSAQVHATERGHQASKEQPLPWRAAPPSSSARHRCPRRQEARDPGSSFISLSPVSSPPYRVSHCPAPSPFLQQQQRRAELGGAVAAALGGPAAAPSDPPALTPPPPDLAPATPTPAATTVDPAPPAAAAPDPDLSRSLVLSLSHPLSRRLLVASLPASFRCRRGLRRRRILQSRRQPFPRRCVQANRGASAIFS* >Brasy6G101500.1.p pacid=40049487 transcript=Brasy6G101500.1 locus=Brasy6G101500 ID=Brasy6G101500.1.v1.1 annot-version=v1.1 MAKSRNKNKAKKGGDAAPMDTSEGAPATSTATETPQPMDTSEGKQPSSASASLSSINRKIKKGVQIKRTKNMRKMKAVARAISKTEKSEEKVLKARSKKTRIQSAKSLYD* >Brasy6G122100.1.p pacid=40049488 transcript=Brasy6G122100.1 locus=Brasy6G122100 ID=Brasy6G122100.1.v1.1 annot-version=v1.1 MPRNYRLPMYHRQSPAIRCLNFLCAVLLTLLFIAGIIFFVLWLSLRPHRPKFALADFAIPNINRQTGAANLPVKFTVNEHNPNQKIGIYFDAVYGSVYYDNNELVASGPVAYPFYQPPKGDLPVHGELTASGPTPTDPSWQRFAGEVGAGSVEMRLVLNSTVRFKVKLWDTREHHMKVDCGFKLGGDGTLLQKNSPCDVYF* >Brasy6G033200.1.p pacid=40049489 transcript=Brasy6G033200.1 locus=Brasy6G033200 ID=Brasy6G033200.1.v1.1 annot-version=v1.1 MPKPAATLASVLLPQLRHRPFPPPPRLLPRALSFSSTSSPLVAARRRFRFSPTTHLAVSTAAVSTVAVEAPAATAYPAYDRLLPCPAQDDPPRIEHLVAREDEAAGDFISRSLGLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSVLRRRASIKGKTVREAQKTFRVTDPGQHLEAGTYLRVHVHPKRFPRCYQIDWKSRVIADTDNYVVLNKPAATSVGGATDNIEESCVVFTSRALGLDSPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKLYLALTTKPVSPGIITHYMRPLNRAPRLVSEDHIGGWHLCQMEILDCTKVPWPKPLITKVHNVDNCGWPRQEAAYECKVNLMTGKTHQIRAQLAAIGAPIIGDSAYMTGAIAAMANPSINPYGRESLNYSSEEEKAAAVEAWVACHGKEPKSVIGLQASEISWDHEGEHHYYKAGVPWWRQDSVESDLV* >Brasy6G192000.1.p pacid=40049490 transcript=Brasy6G192000.1 locus=Brasy6G192000 ID=Brasy6G192000.1.v1.1 annot-version=v1.1 MASTAAAPVVMVTNDDGIDAPGLRFLVDQLVAEGRFRVLVCAPDTDRSGVSHCITWRPALCCKRVNISGTTAFGVSGTPADCASLGISGKLFDGLVPDLVLSGINIGNNCGFHVVYSGTVAGAREAFINGIPALAMSYDWVAGQSSVNDLKVAAEVCMPLINAVMVEIKNGTYPKGSFLNIDVPTDAAHHKGYKITKQGKYMARIGWEQTVYKKPAVESYQTANISDDSEKDSEVDTSSESDLLFKRVIVKRSYDEEDGEDMDHKSLVDGFITVTPLGALSRAEADVIPYYKACLSRI* >Brasy6G063900.1.p pacid=40049491 transcript=Brasy6G063900.1 locus=Brasy6G063900 ID=Brasy6G063900.1.v1.1 annot-version=v1.1 MRHRLTIAAAKSHATLLKSCVISPTPWNQLLTAYSRSPLGLAAARRVFDEIPRPDAASWNSLLTAHVSAGAHPAAWCLLRAMHAQGVAANTFALGSSLRSAAAARCPALGAQLQSLAFKSGLADNVFAASALLDMYAKCGRLRDARRVFDGMPERNTVSWNALIAGYVESGKVAQALELFINMETEGLVPDEATFAALLTAVDGSNYFLMHQLHGKIVKYGSALGLTVSNAAITAYSQCGALAESRRIFDQIGDSRDLISWNAMLGAYTYHGMDYDAMGFFVRMTRESGVQLDMYSFTSIISMCPEHDDRQGRAIHGLVIKNGLEGATPVCNALIAMYTRISGNCMMEDACKCFDSLLIKDTVSWNSMLTGYSQHNLSADALRFFRCMQSANIRCDEYAFSAALRSCSELAVLQLGRQIHGSIIHSGFASNNFVSSSLIFMYSKSGILDDAKKSFEEADKGSSVPWNSMIFGYAQHGHAHIVHSLFNEMVELKVPLDHVTFVGLITSCSHAGLVHEGSEILNAMETRYRIPLRMEHYACGVDLYGRAGQLDKAKELIDSMPFEPDAMVWMTLLGACRIHGNMELASEVASHLLVSEPKQHSTYILLSSMYSGLGMWSDRAILQREMKNRGLSKVPGWSWIEVKNEVHSFNAEDRSHPRMDEIYEMLSLLLQVAKMCSSCEDEETDGNFL* >Brasy6G063900.2.p pacid=40049492 transcript=Brasy6G063900.2 locus=Brasy6G063900 ID=Brasy6G063900.2.v1.1 annot-version=v1.1 MRHRLTIAAAKSHATLLKSCVISPTPWNQLLTAYSRSPLGLAAARRVFDEIPRPDAASWNSLLTAHVSAGAHPAAWCLLRAMHAQGVAANTFALGSSLRSAAAARCPALGAQLQSLAFKSGLADNVFAASALLDMYAKCGRLRDARRVFDGMPERNTVSWNALIAGYVESGKVAQALELFINMETEGLVPDEATFAALLTAVDGSNYFLMHQLHGKIVKYGSALGLTVSNAAITAYSQCGALAESRRIFDQIGDSRDLISWNAMLGAYTYHGMDYDAMGFFVRMTRESGVQLDMYSFTSIISMCPEHDDRQGRAIHGLVIKNGLEGATPVCNALIAMYTRISGNCMMEDACKCFDSLLIKDTVSWNSMLTGYSQHNLSADALRFFRCMQSANIRCDEYAFSAALRSCSELAVLQLGRQIHGSIIHSGFASNNFVSSSLIFMYSKSGILDDAKKSFEEADKGSSVPWNSMIFGYAQHGHAHIVHSLFNEMVELKVPLDHVTFVGLITSCSHAGLVHEGSEILNAMETRYRIPLRMEHYACGVDLYGRAGQLDKAKELIDSMPFEPDAMVWMTLLGACRIHGNMELASEVASHLLVSEPKQHSTYILLSSMYSGLGMWSDRAILQREMKNRGLSKVPGWSWIEVKNEVHSFNAEDRSHPRMDEIYEMLSLLLQVAKMCSSCEDEETDGNFL* >Brasy6G230900.1.p pacid=40049493 transcript=Brasy6G230900.1 locus=Brasy6G230900 ID=Brasy6G230900.1.v1.1 annot-version=v1.1 MLSLRSDARHLAVPGRDDAWLGISGGAGVAPDGPAPAATLLLPPVSLDARTIQLVAVDEEGHLALAHQNYRTGKYRQALEHANAVYDKNPRRTDNLLLLGAIYFQLRDYDLCISKNEEALAIDPGFAECYGNMANAWKEKGDIDLAICYYLTAIKIRSNFCDAWSNLASAYTRKGRLHDAAQCCRQALILNPRLVDAHSNLGNLMKAQGFVEEAYTCYLEAIRIDPHFAIAWSNLAGLFMEVGDLNKAMQYYKEAVKLNPSFADAHLNQGNVYKAVGMLEEAIACYQRALQARPDYAMAYGNLATIYYEQRQLDMSIHCYSQAIMCDPRFVEAYNNMGNALKDAGRVEEAINCFQSCLILQANHPQALTNLGNIYMEWNMASAAASFYKAAIAFTSGLSSPFNNLAVIYKQQGSYADAIACYTEVLV* >Brasy6G243900.1.p pacid=40049494 transcript=Brasy6G243900.1 locus=Brasy6G243900 ID=Brasy6G243900.1.v1.1 annot-version=v1.1 MSAFRPPPPPFRRPSLSPPPPVGTDEPPGANNVIAFGIVGAVLSILGTVAAACTVYRYVQKHGLAININTGLAPRYTVVPDSQIRDATVERFLGEIAGEKPIRFTPQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLMVAVKCLHATHGDKTSQEQFMAEVGTIGRTHHINLVRLFGFCFEADLRALVYEYMEHGALYAYLFDRGHDVGLPTMRAIAVGVARGLRYLHKECQQKIVHYDIKPGNVLLDGSLTPKVADFGLAQLLNRADTHKTISGMRGTPGYAAPEMWMQAGATEKCDVYSFGILLFEILGRRRNFNEAAPESHGDLMEILESCGSIDEKDKETAERMCKVAFWCVQQQPEVRPPMGAVVKMLEGEMDIAEPPNPFQHLMAAPVPANFWTSSTSSVNTSISALETGVSRGRNNEIVSVRSTSTH* >Brasy6G120700.1.p pacid=40049495 transcript=Brasy6G120700.1 locus=Brasy6G120700 ID=Brasy6G120700.1.v1.1 annot-version=v1.1 MQQSAFPPRLAVSPLATGAYIVDCIDGGGTYKANSTYEANLRRLAAILPAEISSSNNLYAFRGVGSWPNRPRAASDCYRIINSNGTVSSPSASSCAACIAGAFREAERACPYGKKVVVFGHPCRLSLAGFPSAVVLGVTALFHPDQIRRSTSEQFGHAFGHQPNPKKKNYVWRYSRSTHG* >Brasy6G173600.1.p pacid=40049496 transcript=Brasy6G173600.1 locus=Brasy6G173600 ID=Brasy6G173600.1.v1.1 annot-version=v1.1 MSATMAGSLAPLVLLFLLVSTAGPVVVVRGSPYSDNLQDACNKTLFPKVCIQSLTTNRETRTADARRLAELSVYVAKEVGTTVAAFAHHELSGVKDQDVLFKCLDSCSDDIEEAVAHLSALTRELTDAKFLEVKSWLSATLGGSSTCEESCKDAPISELKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVAVPPTAWAPGGSYGSAASAPGGSYGSAGAPESYEAGSSSGSSASPSSGGAAAPSYGDSQPASSSSEGPSASGGSEAAAPSTGESQPSSDAAAPSTAPSAGSDAAAPSTGDSAPSSDAAAPSTAPSSDAAAPSYGDSQPSAEAPTSGSDAAAPSDAPSTSEAPAPSSDAASGPAADAPAPY* >Brasy6G057000.1.p pacid=40049497 transcript=Brasy6G057000.1 locus=Brasy6G057000 ID=Brasy6G057000.1.v1.1 annot-version=v1.1 MLHAKETVRKMYSVAKNYYCHGGGLAAPNTFPALDLRKSLHSLKKAPGHVNLHGARSYTQCAGAGGSADQEIVIALGSNVGDRIGTFDRALRLMRSSGITITRHACLYETAPAYVTGQPRFLNSAVRGTTKLGPHDLLKKLKEIEKDIGRTAGIRYGPRPIDLDILLYGNSQINTETLIVPHERIHERPFVLAPLVDLLGSSADDCMEKSWHSLSKCTGGFFDLWNKLGGESVIGTEGIKRVIPVGSRLLDWRERTLVMGVLNVTPDSFSDGGKFLQVEAAISQAKLLISQGVDIIDVGAQSTKPFATRLSANEELERLVPVLDAVAKLPEMEGKLISVDTFYAQVATEAVKRGASIINDVSGGKLDPDILEVVAKLGVPYVTMHMRGDPSTMQSEQNLHYDDVCKEVASELYARLREAELSGIPLWRIVLDPGIGFSKKSSQNLEVIRGLGSIRQEMAKMSLGASHVPILLGVSRKRFLGEICNLVDPAERDVATVAAATAAIMNGANIIRVHNVGYGVDTAKVCDALHMGIS* >Brasy6G057000.2.p pacid=40049498 transcript=Brasy6G057000.2 locus=Brasy6G057000 ID=Brasy6G057000.2.v1.1 annot-version=v1.1 MLHAKETVRKMYSVAKNYYCHGGGLAAPNTFPALDLRKSLHSLKKAPGHVNLHGARSYTQCAGAGGSADQEIVIALGSNVGDRIGTFDRALRLMRSSGITITRHACLYETAPAYVTGQPRFLNSAVRGTTKLGPHDLLKKLKEIEKDIGRTAGIRYGPRPIDLDILLYGNSQINTETLIVPHERIHERPFVLAPLVDLLGSSADDCMEKSWHSLSKCTGGFFDLWNKLGGESVIGTEGIKRVIPVGSRLLDWRERTLVMGVLNVTPDSFSDGGKFLQVEAAISQAKLLISQGVDIIDVGAQSTKPFATRLSANEELERLVPVLDAVAKLPEMEGKLISVDTFYAQVATEAVKRGASIINDVSGGKLDPDILEVVAKLGVPYVTMHMRGDPSTMQSEQNLHYDDVCKEVASELYARLREAELSGIPLWRIVLDPGIGFSKKSSQNLEVIRGLGSIRQEMAKMSLGASHVPILLGVSRKRFLGEICNLVDPAERDVATVAAATAAIMNGANIIRVHNVGYGVDTAKVCDALHMGIS* >Brasy6G204900.1.p pacid=40049499 transcript=Brasy6G204900.1 locus=Brasy6G204900 ID=Brasy6G204900.1.v1.1 annot-version=v1.1 MAAAATAVRFHQAAGRRAASAAAPRRAAARFAVRAEAAPAATALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLANGKLSGIVGVPTSKRTFEQATSLGIPLSTLDDHPVIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASGKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQGLFNEEGCEAKLRLNEDGKPYVTDNSNYIVDLYFKTPIKDALGAGKEIAALEGVVEHGLFLNMASSVIIAGSDGVSVKTK* >Brasy6G258900.1.p pacid=40049500 transcript=Brasy6G258900.1 locus=Brasy6G258900 ID=Brasy6G258900.1.v1.1 annot-version=v1.1 MESLVSATLGELLSRFVSLLIDKYLTVAPELSKVESLQKLERMLLRILITVEEAEGRCITNQAMIRQLNMLREEMWRGYYMLDVFRYLAHEEEKAKDHHASRSLAMYISNPGKRLPISASTIKGKRELNQVLDSVGKLVTDMSEFVVFLKNYPPITRQPYSMHLFLGKFMFGRQAEMERTIAFLLQREPPGEHKLGVLPIVGQGKVGKSTLVEQVCGDARVRDHFSQIVLFYGNKFIEGELCNLKDGGTVKHQSDALNEEKILVVIELDGDIDEGTWRRMYSTSRSFIPSGSKIIITSPSENITRFGTTQALRLNFLPREASWYFFKVLVFGSTDTEEQPKLASVAMEIFEEYFDLEVLNDFTGHFVNTINIAGYLRDNINIQHWRRILTCVRGTRLRNQCQLSTGARDTRRVDNKHLYIQRVAKLTQDCVVNSHVRVELAHEDVTKVTLDDVSFGRTSPQGRFDVVVWKSHLPPYYSYITSCEIRDSKCMLKWNNRCKKRKSLC* >Brasy6G144500.1.p pacid=40049501 transcript=Brasy6G144500.1 locus=Brasy6G144500 ID=Brasy6G144500.1.v1.1 annot-version=v1.1 MELVSSSRSIISSCSRLVAPSRTASSAAKRDRKRGLVCVLGGERGSEGKIRKRSALALVLAIPALSVPLSAHGKTKSMTPYDERRLLQQNKKIQEANRAPDDFPNFIREGFQVKVVTPDNYVTRDSGLIYEDVKIGTGDCPKDGQQVTFHYIGYNESGRRIDSTYIQGSPAKIRLGNKSLVPGFEEGIRDMKPGGKRRLIIPPELGPPVGPSTFFSAKQFEVFDVELLSVKDCERRTIGFYSDVVCS* >Brasy6G093400.1.p pacid=40049502 transcript=Brasy6G093400.1 locus=Brasy6G093400 ID=Brasy6G093400.1.v1.1 annot-version=v1.1 MEHTAVGEDQAMSDLREKHRMDLERLTLTSRPFKTLALFVLAIGQSIRSTCSCVLNEGARLKLLVLFVATAWVLLLTTDGPHVKHMQELLWYARFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDLKSAPYDTILLKSRPSWLEKECLEFGPPVYHETIPFSKILHEIHLEAVLWGIGTALGELPPYFIARAASMSGHKVEELAELDASISKEGFLSSALYRAKRWLMSHSQHLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAIIKVYMQTTLVITLCNNQLLELVEKRLVWVFSNVPGVSSIVPFLLTKLKTAKDKFLIASVAASASSAAKGKKWNLSFSLIWNTLVWLMIVNFIVQIITSTAQSYLRKQQELEISKKSSATIDSASEPSAGVSN* >Brasy6G093400.2.p pacid=40049503 transcript=Brasy6G093400.2 locus=Brasy6G093400 ID=Brasy6G093400.2.v1.1 annot-version=v1.1 MEHTAVGEDQAMSDLREKHRMDLERLTLTSRPFKTLALFVLAIGQSIRSTCSCVLNEGARLKLLVLFVATAWVLLLTTDGPHVKHMQELLWYARFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDLKSAPYDTILLKSRPSWLEKECLEFGPPVYHETIPFSKILHEIHLEAVLWGIGTALGELPPYFIARAASMSGHKVEELAELDASISKEGFLSSALYRAKRWLMSHSQHLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAIIKVYMQTTLVITLCNNQLLELVEKRLVWVFSNVPGVSSIVPFLLTKLKTAKDKFLIASVAASASSAAKGKKWNLSFSLIWNTLVWLMIVNFIVQIITSTAQSYLRKQQELEIRNYIFTCT* >Brasy6G179800.1.p pacid=40049504 transcript=Brasy6G179800.1 locus=Brasy6G179800 ID=Brasy6G179800.1.v1.1 annot-version=v1.1 MAWRLAEILLLFVLCSTIHVQGSAADSLADLVPVWEPPSEGRVWWPPEPAVSGTFRNCGKCRCCNHLNPRACIETDCCKEIVCGDQGCMPPQALYCGCDPKTCVVR* >Brasy6G095600.1.p pacid=40049505 transcript=Brasy6G095600.1 locus=Brasy6G095600 ID=Brasy6G095600.1.v1.1 annot-version=v1.1 MAASGSGGEPGRPWTATSTWAPAGAAAVEDAVSFETSTDDAESSPTAVVLARPPSDGGEDPPPCEVTVNFTGKYEIHRVYVKSTARIYEIYYSTDLKDTSKDYLCTVRCGLAANEPLPSGEEFMSQGSSNTASVEKHEETKSVTSSSDEDNWVEVKIPESPLEKNTPESQERNAIGTHQKNTLAHYEATAEITDASPCVSLTVRLLSLESKTSVHIEEIYIFADHVGSTSDESVAGPGNMGGSSLLAMLVPGLMQMSNSTKCKIDDRYFPDGLRTQLPEGCALKENSPCGKIALEEAMCGTNDSRFMSAGLENRIPPMGSDMISNEKSNQGEFPLNVPRHLPLPERTTENIQVASAKGQRVSNTDQDTIPVVNENVTPHNPIERTLEILLSKVEKVELYCSRFEGSMMRPLGSIEARLQRLEQQLDSFSVEIQSLQGLSARMSVPNVSSDMTNSQEKEHYSGNTGTSASIMNRQSGLVIRAPEFSLEDSCGCDLADENTVCGPNVKAPDFICEPEVTCEKLHDGPFPPADSVSSSEKERKTTPGLAVKVPEFPNDEDDEVEEVKEAEAGDLDDGHTNSDDTLSKSTVSGPKGKAPVTIDGALAFALEAFLTSTKATSSPKSVACTASNLSAENTIDSSSSSLSNGQADEISTKDGSVGQFYGEFGDVSKEVEVVPPTSVSKADLVGNVEVNELDIDLYPDKTAFARNEPWSVPSWLHTGSVDDRMQVNRKNNDSTLDTMPFVMSNEPVDDPSQPPTLRGSVADGTHVKEKPSTNWESVDKTAQVNESRSVVSLAEFLAARNASSFRNGPSEVCHGNDGSAISSFKRTSPGAHKNLEDGDSKVGQKNPMFQLLLVKKALEIDEGERNISGDPSINTTFESSSCAAPANGATGDGVNAMETLSDKDGDLEITENNIRLSGGMDSVFFEFPDSKNTWIENSSLNSGPVESLMKPELEHSWLDLSSMESFGVVFAKEAISSRNTATGNHFEDLFAGNGASYNVTPAAGPQLQRVYDLLYECENDILGMPFVAERTNTSSPSLEVLLGESSDSEAQISDLEDIDNEAAPFGSDNLFSTFSSSDEEAFAMDRPLVDVVDAPLPSEVYASAANEPLVDVVDLTDPSETYAPSVNEPYSDVADLPEPSNIYTSAGDKPLSSVDGLPETSETVAGGSSGEHHDSLI* >Brasy6G244300.1.p pacid=40049506 transcript=Brasy6G244300.1 locus=Brasy6G244300 ID=Brasy6G244300.1.v1.1 annot-version=v1.1 MASWPSHESAPPSRQSPSPRLGLLQGAEPSLHAELAGGGVATYSLRRDGGCVRPPLNLSTPLRPVLPPARLQG* >Brasy6G029100.1.p pacid=40049507 transcript=Brasy6G029100.1 locus=Brasy6G029100 ID=Brasy6G029100.1.v1.1 annot-version=v1.1 MRSAELLTLLVASSLCLSAAIAAQETCPADLDAKCGDGGSDDWEGEFFPGIPKIKYEGPTSKKPLAYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGGDPFGAPTKVWPWEDGTNSLAMAKRRMKAHFEFMEKLGVDRWCFHDRDIAPDGKTLAETNANLDEIVKLAKQLQGETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELEHLANFLQAAVDHKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDEFLTDISEATLVMSSVVKNDGLAPGGFNFDAKLRRESTDVEDMFIAHISGMDTMARGLRNVAKLIEDGSLDELVRKRYQSFDTEIGAMIEAGKGDFETLEKKVLEWGEPIVPSGKQELAEMLFQSAL* >Brasy6G068800.1.p pacid=40049508 transcript=Brasy6G068800.1 locus=Brasy6G068800 ID=Brasy6G068800.1.v1.1 annot-version=v1.1 MNCKCSISALFTALVLYMVLAVDGSQAVVATDTLLPGQSISGSETLVSEDGVFEMGFFSPGGTKHYWGIKYKNMIGSHRAIIWEGDRIPITSFLNTTLYLDAGKLYIKELGSVLWTSDPVTDGPGTIVAVLLNNGNFVVRDQTNHSRVIWQSFHHPADTLLPGAWLGLDMATRANILLTLYKPPYNCTLMIDHRRKMGFVMVIDGHDYHLGTFPDWMVTYEEQGRLVQLNGPENSNDIEFMRLHLGQVSLLRWVDNATITGWQPLWSYPSSCKMSAFLCGAFGVCTSTGTCRCIDGFIPSDRDEWDLGHFVSGCSRITPSNCEGNISTDSFILLDNLQGLPNNPQDMMARTSEDCEATCLSQCYCVAYSYDHSVCRTWHNMLLNLTSANKTPHAKIYMRIGTYHGKRQRHIQIVMLVIGSISVALLITLVIFWVYNRSSRQTEVEGFLAFYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSIDVAVKKLNGLGHRDGDKHFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFRERSILSWHLRHRIATGIAKGLAYLHEECRDCIIHCDIKPENILLDAELCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGQAINHKADVYSFGIVLLELISGMRTSGNTRYGKHVYFPLHAAAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEVHRPSMGQVVRMLEGVVDVELPPIPSSFQHLMDDGDSGIYPAEGDISI* >Brasy6G068800.2.p pacid=40049509 transcript=Brasy6G068800.2 locus=Brasy6G068800 ID=Brasy6G068800.2.v1.1 annot-version=v1.1 MNCKCSISALFTALVLYMVLAVDGSQAVVATDTLLPGQSISGSETLVSEDGVFEMGFFSPGGTKHYWGIKYKNMIGSHRAIIWEGDRIPITSFLNTTLYLDAGKLYIKELGSVLWTSDPVTDGPGTIVAVLLNNGNFVVRDQTNHSRVIWQSFHHPADTLLPGAWLGLDMATRANILLTLYKPPYNCTLMIDHRRKMGFVMVIDGHDYHLGTFPDWMVTYEEQGRLVQLNGPENSNDIEFMRLHLGQVSLLRWVDNATITGWQPLWSYPSSCKMSAFLCGAFGVCTSTGTCRCIDGFIPSDRDEWDLGHFVSGCSRITPSNCEGNISTDSFILLDNLQGLPNNPQDMMARTSEDCEATCLSQCYCVAYSYDHSVCRTWHNMLLNLTSANKTPHAKIYMRIGTYHGKRQRHIQIVMLVIGSISVALLITLVIFWVYNRSSRQTEVEGFLAFYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSIDVAVKKLNGLGHRDGDKHFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFRERSILSWHLRHRIATGIAKGLAYLHEECRDCIIHCDIKPENILLDAELCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGQAINHKADVYSFGIVLLELISGMRTSGNTRYGKHVYFPLHAAAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEVHRPSMGQVVRMLEGVVDVELPPIPSSFQHLMDDGDSGIYPAEGDISI* >Brasy6G069400.1.p pacid=40049510 transcript=Brasy6G069400.1 locus=Brasy6G069400 ID=Brasy6G069400.1.v1.1 annot-version=v1.1 MADQTQGAVDSLVGLLSTAIKDEARLLGGVQGDMQFIKDEMESMNGFLLHLTKTDGDHDDQLRAWMKQVRDIAYIAHDCIERYKLDCAGPPGKGLLAFLLYLPTLLRSVPARHRLANRIRELKVRVHDVGERRLRYDVKVPAAKKAQQRPGEGQASQDDVDNRQDFLRALAHDRQQEAPSFDKAIGLLPNEDLRSAARTVRDGLQKYCSRTDHRAMCMEMLLRALRAHNSLGGRTARTMKEVKELVKEEEENADLPSEVMVFCYSKLSRSYKSCLQYLSTFRDEAVISRTSLVRRWVAEGIVEKQREGSSIHEAAERCFRELLFRGFLAVVDRGIAGSKVKSCKVDDTVWGFVDLMSKSENFVSTLPAHLENQLCIRRLVKEQERQQQTRRQQQPEEDGQRTICGFSRRQDGTRPMDELVGFLKSLPQNYRLNVLDLGGCRGLRKSHLKSICTVLTLKYLSLRKTGVPHLPRQISKLIFLETLDIRGTLVQQDTKHIFLPRLKHLLASPTMTEEKEPPGACVPCKIGRMREVEILSRVDAAAQQELEDIGALPQLRKLGVVLNVTAIKEARENMIRHLLMAISKLSPCLRSLSVWIILNDSDTVDMEMQGHYNKPTLLESISISGSRTEMPQWILELQQLSKITLCDTSLSAQSLQNLGKKLLNLRCLRLRRNSYKECKLAFTKEGFRALRFLMVEGEDVTIIDFDEEGAAPMLERIVWRNMPIQGSKEGSLPGIHRLHRLEKVELEVHFSNLRSLEASIGSKLSRG* >Brasy6G042500.1.p pacid=40049511 transcript=Brasy6G042500.1 locus=Brasy6G042500 ID=Brasy6G042500.1.v1.1 annot-version=v1.1 MPYLFLVLLLAAVSSGDPSSSEISHSLMVVKFLGRALDYVLAGTACFPPTYPNKSCILARSDSSVCFNYMFNNRRSVGVYSNPAQGLGRRVSLDLEQAFFFNSLAPISLGEMCFPWDIFCIFLRLISVQDFDEKMLFFLSTSTAEARFAVSESKLIFVEVKDDSLPL* >Brasy6G018400.1.p pacid=40049512 transcript=Brasy6G018400.1 locus=Brasy6G018400 ID=Brasy6G018400.1.v1.1 annot-version=v1.1 MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREASSPPRFCG* >Brasy6G143800.1.p pacid=40049513 transcript=Brasy6G143800.1 locus=Brasy6G143800 ID=Brasy6G143800.1.v1.1 annot-version=v1.1 MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGMKFMNVERSFAQKHYADLSAQPFFAKLVEHIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDYAMEVSRNVIHGSDSVENGKKEIALWFPDGPSEWKSNLHPWIYEV* >Brasy6G201300.1.p pacid=40049514 transcript=Brasy6G201300.1 locus=Brasy6G201300 ID=Brasy6G201300.1.v1.1 annot-version=v1.1 MEPPSPRRPAAPVPSSPRSPPPPPHLPRPQSPPLPSALLPPKKRFLRNASTPIPTPPPPPPPNAPATTAETLVLPTPSPRPPVADSGLLTPPPPPPKAAEPSAPPIPISDAKSPSNPPPTTPMAAEPSTPPIPSAEAKLPTTPPSAPVAAAEPAPELKVRKRKVIKKVIVKVPKGTLAARKAAAAASADGAGARQNETLGDKGCNTGCSHLGCKEGQSSIKNCKLAAAAARAREALIKRKQLLLEGQTLATKPSIDCNNAPVEGIKEGLSEQTLARKPAVGCNAPVEGRKEGLLEQTFARKPATDCNAPVEGIKEGLLEQTLARKPAVDCNAPVEGRKEGLLEQTFARKPAIDCNAPVEGRKEGLLEQTLAEKPAVNCSAPVEEGEGMSEAESVRMKEVFVGGLHYDAKEEDVRAVFRKAGDITQVRVIPNTQTGKNKGYCFVQYREAEHAKKAIAELGNVKV* >Brasy6G196100.1.p pacid=40049515 transcript=Brasy6G196100.1 locus=Brasy6G196100 ID=Brasy6G196100.1.v1.1 annot-version=v1.1 MASSSDEQPKPPEPPAAAVATAVPPQTHAEWAASVQAFYAAAGHPYAAWPAQHLMAAAASGAPYGAPVPFPMYHPGAAMAYYAQASMAAGVPYPTAEAVAAAPAVTEGKGKGKGGGVSPEKGSSAAPSGDDGSRSCDSGSDESSDTRDYDTDHKDSSAAKKRKSGNTSAEGEPSQTAIVTYAAAESPYQLKARSASKLPVSAPGRAALPNATPNLNIGIDLWSASQPVAVLPGQGEASPGLALARCDGVGQLDEREIKRERRKQSNRESARRSRLRKQQECEELSRKVAELTTENNALRTELDQLKKACEDMEAQNTRLMGEMIQSQEPAAVTTTLGMSIEAPKVQQHEDEGKLHKKSNNNSNGKYVGGSRKPEANPR* >Brasy6G196100.2.p pacid=40049516 transcript=Brasy6G196100.2 locus=Brasy6G196100 ID=Brasy6G196100.2.v1.1 annot-version=v1.1 MASSSDEQPKPPEPPAAAVATAVPPQTHAEWAASVQAFYAAAGHPYAAWPAQHLMAAAASGAPYGAPVPFPMYHPGAAMAYYAQASMAAGVPYPTAEAVAAAPAVTEGKGKGKGGGVSPEKGSSAAPSGDDGSRSCDSGSDESSDTRDYDTDHKDSSAAKKRKSGNTSAEGEPSQTAIVTYAAAESPYQLKARSASKLPVSAPGRAALPNATPNLNIGIDLWSASQPVAVLPGQGEASPGLALARCDGVGQLDEREIKRERRKQSNRESARRSRLRKQQECEELSRKVAELTTENNALRTELDQLKKACEDMEAQNTRLMSQEPAAVTTTLGMSIEAPKVQQHEDEGKLHKKSNNNSNGKYVGGSRKPEANPR* >Brasy6G187100.1.p pacid=40049517 transcript=Brasy6G187100.1 locus=Brasy6G187100 ID=Brasy6G187100.1.v1.1 annot-version=v1.1 MAGVMDYFRSTAWYFYLTPLFSLLAACVPIGVLRTHFNQHLRRPLRRLLPFLDPFVTLDIAAKPEEYSFYPGKAKSSDAYAEVLAYLSRVCSRDARELRAEGADEGYGFVLSLREGQEVADEFQGVTMWWSAVAEDKVSFRSTGRCCRLTFHERHRPLVVDEYLPHVRRTGQEATFGNRPRRLYSNKKAQHNYHSSKDEVWSYIDFDHPTTFETLAMDPEKKRMIMDDLDDFRGSKDYYRRIGKAWKRGYLLHGPPGTGKSTMIAAMANHLNYDIYDIELTTLETNSDLRKLFIETTGKSIIVIEDIDCSLDLTGTRNDGTKLPSPPAPAAAKEEDVDANASGKKKKRNILTLSGLLNFTDGLWSAHSGERIIVFTTNHLGKLDPALIRRGRMDMHIEMSYCVFEAFRTLAENYLGIDAHPLFDAVRELMETVEMTPADVAECLMPSKRSGRDGDACLARLVEELKKKGEEDKEKKKKADEEKKAADEAADKEINQEIRPVKAAAKPDRCEAVSNGTAAGVSSGGSSASSDDYLS* >Brasy6G076300.1.p pacid=40049518 transcript=Brasy6G076300.1 locus=Brasy6G076300 ID=Brasy6G076300.1.v1.1 annot-version=v1.1 MSSTPYHASLDFSTAKMPLDNAQGEPFALPSGLRIRASGGLHWEISPGRGKANMKHQ* >Brasy6G086800.1.p pacid=40049519 transcript=Brasy6G086800.1 locus=Brasy6G086800 ID=Brasy6G086800.1.v1.1 annot-version=v1.1 MIKYAASSLMVRLLLHLPRAPPTYHRPLLLPLRPPRLRAAASASFPLAAMSTTAQAVADEKRAVRSDVRRALKALSPDQRASEDLAIQSNILNSSWFKASKRLCAYISCAQLREVDTSKILAEVLSQNSEHDGQAKDIYVPRVEDKNRNMRMFKITTMDDLVKNSMNILEPSPLDASGNAHEDVLSASSPIDLFLLPGQAFDRTGRRLGRGGGYYDTFLMRYQELAKENGWSQPLLVALSYSVQILEEGVIPVNSTDVPIDALVTSSGVIPISPAALERLRS* >Brasy6G188200.1.p pacid=40049520 transcript=Brasy6G188200.1 locus=Brasy6G188200 ID=Brasy6G188200.1.v1.1 annot-version=v1.1 MDLDMDDYIDPYEEAEAEAAAEAAGVTIPSAATVDEESSDGEDNSEAESDYEDKSYGLLKSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKHRRHGKERAFHRAFARFVRTDPSFAHELAGITGIPGAIANADTIDNGKADDNGHATEPSMAAAERPPQDGDEKFAWPWCGILAASAGFNSDDFADKVAMFSVDDIVPLLYDEAEGMESFAIVQFTNGWGGFGDALALENHFNKNKLGKIEWEARSSCEGAVKGEENRIGEIKVYGWVAREMDYTAGSLVGRYLRKHTNLMTIDEITKSQREPMGKIVATLATQLEAKNQYLQDLETKKNATELSIARLEEDNRRLHEAYNEEMRNLHRKARDNAVRVFQDNENLKLEIENSKRKLSSHAKQLEKLTAENANDRKKLAELADEKQKAKDDKSELELASIEQQRNDEDILKLVEDQKREKEDALARMLELEKELHEKRELELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLNERLEQEKKRLEELSGELVRKERESNDELQEARKELMEGLEGMLSGHTAIGVKRMGELDERPFQNACRKKFGNDDYETIAAQLVSSWQEEIKKPAWHPYKFVKDENGEDKEVVNDDDPRLRELWIEYGDDVCNAVKTALSEVNEYNPSGRYAVLELWNFKKARKATMKEVLRYIFLQTGTTSKRRRG* >Brasy6G188200.2.p pacid=40049521 transcript=Brasy6G188200.2 locus=Brasy6G188200 ID=Brasy6G188200.2.v1.1 annot-version=v1.1 MDLDMDDYIDPYEEAEAEAAAEAAGVTIPSAATVDEESSDGEDNSEAESDYEDKSYGLLKSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKHRRHGKERAFHRAFARFVRTDPSFAHELAGITGIPGAIANADTIDNGKADDNGHATEPSMAAAERPPQDGDEKFAWPWCGILAASAGFNSDDFADKVAMFSVDDIVPLLYDEAEGMESFAIVQFTNGWGGFGDALALENHFNKNKLGKIEWEARSSCEGAVKGEENRIGEIKVYGWVAREMDYTAGSLVGRYLRKHTNLMTIDEITKSQREPMGKIVATLATQLEAKNQYLQDLETKKNATELSIARLEEDNRRLHEAYNEEMRNLHRKARDNAVRVFQDNENLKLEIENSKRKLSSHAKQLEKLTAENANDRKKLAELADEKQKAKDDKSELELASIEQQRNDEDILKLVEDQKREKEDALARMLELEKELHEKRELELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLNERLEQEKKRLEELSGELVRKERESNDELQEARKELMEGLEGMLSGHTAIGVKRMGELDERPFQNACRKKFGNDDYETIAAQLVSSWQEEIKKPAWHPYKFVKDENGEDKEVVNDDDPRLRELWIEYGDDVCNAVKTALSEVNEYNPSGRYAVLELWNFKKARKATMKEVLRYIFLQTGTTSKRRRG* >Brasy6G096300.1.p pacid=40049522 transcript=Brasy6G096300.1 locus=Brasy6G096300 ID=Brasy6G096300.1.v1.1 annot-version=v1.1 MLLLLRVHQRFHPAYAPPRHHPPLTGMAHAYPSNFNCLVGPPRTPLPLPADSTNPNPIQLWRSPRRVRPLPTRRARVVAGPRPPPPARPCLPRSSRCGLHGAPGPVHRAQRAREVTDDDLSFFSFLSRADLPPPCDGDRYNRGFRRRISRPPPAPRDPARPCVPPTARCAAACQRAAAAPTPPRRERSAVSTGTRLFESPCPPPPTSLSPLSLFLSLSAPFPKAVPFRFSGEGRRCPAAADCRAGGRKRGPPPDPTGLGWIGIGFGSCRGGRCVELSPVEY* >Brasy6G017200.1.p pacid=40049523 transcript=Brasy6G017200.1 locus=Brasy6G017200 ID=Brasy6G017200.1.v1.1 annot-version=v1.1 MYIKKVIIEGFKSYREETSTDLFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVHYLEDRLSELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRKISDSMSHADNEVVDVREKVKSVDKDIKTSTKGINEIKSQKEDAEKKHTEALKVVAQIELDLRDIKDRISSEKRAKDEAVKDLYSVRRESERSKSELLEISRVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFKNEAARDEWLQKEIHDLERVLLSNRKQESLLQEEIQKLKDEINDLTNYIESRTSESNKLESALLNKKKDSNDYMKQKEALQDERKSFWKEEKSVTDEIDKLNEDLVKAQKSLDHATPGDIRRGLNSVKRIRRDYNIAGVCSPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVHAPNVNVPQSSDFVPLLRKLKYRAEHRRAFEQVFGRTVICRDLETATRVARSNSLDCITLDGDQVARKGGMTGGFYDSRRSRLKFVKIIRDTKAAIDKKEVHLVNVRSKLKGIDQEVTDLVTKHQQMDAERDHAKSELEQLKVDITSAMKQKASLEKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTFEERDLLSRLNPEITGLKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSETLPLKVESKEQQLKQSKRSLDESATLLKANVDAINNFTRKMEQLKRQRDDLKALEANLEQTVQDGAKDLEQLMNSRSMHLAKQDECMKKIRDLGSLPADAFETYKRKNKKQLQKLLYDTNEQLKQFSHVNQKALDQYVNFTEQREQLKTRRHELGLGDEKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNEEDGPREADPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEILKVADKIYGVTHKNRVSYINVVTKEQALDFIEHDQTHNAS* >Brasy6G227400.1.p pacid=40049524 transcript=Brasy6G227400.1 locus=Brasy6G227400 ID=Brasy6G227400.1.v1.1 annot-version=v1.1 MSKKHGRKMRGYQKKKHPRIKRLLTRAPRAMSTGGVTSSSPPGGTSITAGFVGASVGILLLLAIIASAAFYICCAAFYICCARTPAAGIGTGAPRGGRDVEGGGGVDEATLEACPAMAYGELRKKKKQQEEAEGCCCPVCLESYGDGEVVRELPECGHVFHRGCVDPWLRRRATCPVCRASPLRSPLS* >Brasy6G152600.1.p pacid=40049525 transcript=Brasy6G152600.1 locus=Brasy6G152600 ID=Brasy6G152600.1.v1.1 annot-version=v1.1 MENQSYNGYTDLLQSDVGLDDLHWSGGHEEQHIDLEGHEENHINLEETQEATPPVKASAKKARASKASAPKSGSSKRQKNFTQAEDLTLCDAYLEITQDPIIGVDQSRDCYWKRINAYFHAI* >Brasy6G091900.1.p pacid=40049526 transcript=Brasy6G091900.1 locus=Brasy6G091900 ID=Brasy6G091900.1.v1.1 annot-version=v1.1 MDREPSVSDEDDDLETLVPQNHTKPPSPTSRSRSSFRVSALRPALPSSAASLGRALWSRRYLLLFVSLPVLFIVLFFSLGGASSLPASIRLPSASPAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAAPAPTNASTPISLSDLQAALLSQIKINREIQAALLSAHHSGAGNATEEDALDLDLPGPGCRRKELPYNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRTLVVPSQKVDYQYDRVLDISNINDCIGRKVVITYEEFVEKRKKVSIDQFICYAASPPCFMDEDYIKKLKGLGISMGKIEAAWPEDAKLKEPKKRFVGDITPKFTTEAEVLAIGDMFYADAEEEWLMQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDALESETNLLQSLVVFNDRQVPLVKRPVHQSSEKWDALLYRNHMGGDAQVEAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSMSYCDEYLCQGQLPNYIAEQD* >Brasy6G055900.1.p pacid=40049527 transcript=Brasy6G055900.1 locus=Brasy6G055900 ID=Brasy6G055900.1.v1.1 annot-version=v1.1 MLLALLLPVSSPPALLLAAAARPGSKLTHIQFYMHETVSGPHATMLRSVESPLGRDSMFGSVNVLDNELRDGPDRASSKLVGRFQGLFTGAGLVSPPGLMSVVFMAGKYSGSTLALLGPVLDFEAPVERALVGGTGDLRMARGYSVMTPVGNYSTPDSVVLVDRIDLYVKIRPRAVIYDQVPENFTPEPLFDE* >Brasy6G250700.1.p pacid=40049528 transcript=Brasy6G250700.1 locus=Brasy6G250700 ID=Brasy6G250700.1.v1.1 annot-version=v1.1 MESSRARPWLFHAQAAAAAAELSLSLAPAGSIQVSCQDQLAETATPTARVGGKDVRLFQCLFCDRTFLKSQALGGHQNAHRKDHRLAAGFSDPYGEEEEAQAHYYGQGHGPFAGLASHAGHGHGPIDGRWAAAAGRGAAPRLPETSAGAVAVDMQMQQLSRPRASVSGAGAGETLDLELRL* >Brasy6G234000.1.p pacid=40049529 transcript=Brasy6G234000.1 locus=Brasy6G234000 ID=Brasy6G234000.1.v1.1 annot-version=v1.1 MPRTERRSGVVYIQNDEQRSVTFSKRRLGLFKGASDLAAVTGARVAIVLETESRKMHSFGTPSADPIIDAFLSGVPPPEPLTDEAKKTRISWLQGEVSRLDRENNAEEKRKKHSVQCIKEIQQEIPVMVANHLFSKDEDLNLEDLTKLFNELLHVQQVTGGRLPPLDHGHEQMTGDSSVSLNLVPPSSAPSWGSAETPPLLLHPLGSHILPHQSLPPVPQMLQPTPLASTPPTPYQLHELPPSLELPLQNYTSPYNFHTMDPVQNNTGPNSTFQVYSGGNDFVGNDPFGYDQWAYVLSDQPYERFLDTDSNLGYQSTDLGQVHMGNDGLIDGLAQSSSSGEDGDVGTS* >Brasy6G059700.1.p pacid=40049530 transcript=Brasy6G059700.1 locus=Brasy6G059700 ID=Brasy6G059700.1.v1.1 annot-version=v1.1 MGGTLRFLSDVLLGLGGGGGSEGRRHKKRRQFYTVELKVRMDCDGCELKVRNALATMRGVQSVEINRKQQKVTVQGFVEPQRVLRRAQSTGKRAELWPYVPYTNPYMAPPVYDKRAPAGHVRKTDAVMPASAAQEERLATLFSDDNPNACSLM* >Brasy6G156500.1.p pacid=40049531 transcript=Brasy6G156500.1 locus=Brasy6G156500 ID=Brasy6G156500.1.v1.1 annot-version=v1.1 MAAESCGSRGASPPPLHPSSASGGAAGRRRKTEAYREVLRRIRGGAASGGARPGFDDELWAHFHSLPSRYALDVNIERVDDVLLHKRLLEEAREPMNGLVFDVRCSQIVTVDGSTEVESSTSIKQEERDPQCSALASSDLRPLHEVIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWKYEVDVLRNALSRGVQKIKYRAWPLVHSMPVSMGHQLLEDSSPADCVQIPADATDVWEVDPRLLKFEQKLAAGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDYIYNHRGTFQLLDVLRIASDVSKGMSYLHQINIIHRDLKTANLLMDDKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHSPYDQRADVFSFGVVLWELLAGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLVGLLQKCWQRDPALRPTFAEILDILQSIKAVAQSSERHKRRPGQSHSGQR* >Brasy6G241200.1.p pacid=40049532 transcript=Brasy6G241200.1 locus=Brasy6G241200 ID=Brasy6G241200.1.v1.1 annot-version=v1.1 MPRGVPRRERRLGVQYIRDNRERDITFYKRRGGLFKSAADLSTLTGARVAVILETPDNGKMHSFGTPSADRIADAFLSGVAPKEPLTDQATSARISFLQGELASLDMENSVEEKKTKLSTRRVKEIQDENPGVVANLIFSRQEDLSLEDLIKLSNEFSRVQQNIARRVPPLHRVRGQINGASMSTIHPPPQRMMAPIFPVQAPEILQPMPPAMSKRPIPNLLQDQPSPFQPHLQNYTSRINAVEPPQNNAVPNSTFEHSELASPLLAYAGGNNFSIDDPFGNEHWHFNLSDQPNVSTEVGQAEMGNGGWVNESPEPSSRRGHGFR* >Brasy6G054600.1.p pacid=40049533 transcript=Brasy6G054600.1 locus=Brasy6G054600 ID=Brasy6G054600.1.v1.1 annot-version=v1.1 MSALKFCRHCNNMLYPREDKETRTLHYACQSCEHQEVATDTCVYKRVLRKPADEPKDILKDAATDITSLPRTRSVKCYNCGYPEAAFFQAPSKGEADMVLYFICCSPTCSHRWRD* >Brasy6G146100.1.p pacid=40049534 transcript=Brasy6G146100.1 locus=Brasy6G146100 ID=Brasy6G146100.1.v1.1 annot-version=v1.1 MWCCGAARWTKLPPWRAAARKWAAARKWVVDVPLRSLVRHRQARFLVVPHLRKLPRRSPSPASQMEYSVSQKVFYLYVLKERRRKKFMSFCD* >Brasy6G106300.1.p pacid=40049535 transcript=Brasy6G106300.1 locus=Brasy6G106300 ID=Brasy6G106300.1.v1.1 annot-version=v1.1 MVARCLVLSALLLITSASASAAASPHGLNQQADAAKQLKEELLERNSGHVMLKSWNGEDPCSPSAWEGFSCQSKDGNLVVVKLNFSSKELQGPIPAAIGNLTDLTEIDLQYNNFTGSIPGSFSALTQLLKLSVKCNPFLINQLPDGISTTVDFSFGGCAAEEYRSPPEAANQRTFVIGGVAGGSLACTFALGSFFVCFNKRERRSQKTDCASTTNPVYEECSINITTNPAVQQLSLKSIQTATCQFKTMIGQGGFGAVYQGTLAHGQQIAVKVRSPSSTQGTREFNNELRLLSAVWHDNLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRISVCIGAARGLVYLHNFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYATQLLSTKSDVFSFGVVLLEIVTGKEPLDLQRPRSEWSLVEWAKPYIRDFRIEELVDPGIKGQYCSEAMWRVLEVASACTESFSTFRPSMEDIVRELEDALIIENNASEYMRSMESTGTFGSNRYLSIDRKMFASGSARIDPAKLASGSDRIDAVKGPLQAMPSLPR* >Brasy6G159300.1.p pacid=40049536 transcript=Brasy6G159300.1 locus=Brasy6G159300 ID=Brasy6G159300.1.v1.1 annot-version=v1.1 MATARARATMAIFLLGFATLLSTSHIATSDCQPSGILPGKSGDCDKGNSPDCCEDGKQYTQFLCSPPVASAANAAVLTLNSFREGKDGGSPSECDNAFHADSELVVALSTGWFAGMSRCGRSVKITVVPGGSSVSANVVDECDSVHGCDAEHNFEAPCGNNVIHLLGAATGTYKTQDTRCHATD* >Brasy6G160900.1.p pacid=40049537 transcript=Brasy6G160900.1 locus=Brasy6G160900 ID=Brasy6G160900.1.v1.1 annot-version=v1.1 MGRNWLCRLLSRATPVLCIDPIPRSLASAARARPLRRPSPSRRPPAHRPSTTGASPPAGRLLGGPRSSARCFPFVAASSSADSPPREDYDFRLRRCLSPPLISCQGGRRRGGRGLDEYYVRYTDYIMNGY* >Brasy6G160900.2.p pacid=40049538 transcript=Brasy6G160900.2 locus=Brasy6G160900 ID=Brasy6G160900.2.v1.1 annot-version=v1.1 MGRNWLCRLLSRATPVLCIDPIPRSLASAARARPLRRPSPSRRPPAHRPSTTGASPPAGRLLGGPRSSARCFPFVAASSSADSPPREDYDFRLRRCLSPPLISCQGGRRRGGRGLDEYYVRYTDYIMNGY* >Brasy6G222600.1.p pacid=40049539 transcript=Brasy6G222600.1 locus=Brasy6G222600 ID=Brasy6G222600.1.v1.1 annot-version=v1.1 MGIPVIVIIWHAVLSSNEDTKTVKKVLSFGACRYLAKPVCAEQLKNIWQHVLRRKDEARNHKSSGNANADQRVQSGIAEAEQGAKSTRKNSRRKKNYGDGSGENEENSSKKPRLRWTKELHGKFVEAINRLGTDDSSKVLAGMILETNQGKASSHLDYYFENIPGEEMLEPVNQSPVQPPQLASHSSVLTNAPAFFQKENSPATNLVGALGGTSKFPYLVGNYNNSFCQASVSSKFPDILHKDGSSLGSSKAKANIPKINQLASFARTSSGQIQMVLNEPQNPMAAFIDNTTPVAGFNQQMAPFNIASNTSLQVEMLNGNFSSGSASNMSLPSLHTGNSVTLTQMVNDLSNNSTLPNIEADSFVAPTQMLNGGNVVGALPVQEGTADQQALDFQLNDNNEFSMDDIFSMVDHQNSIEDDDLLKNFTEDDALVKNCTEDDALLKNFTEDDALLKNSKEDDALLQNFTEDDALLKNFTEDDALLKNFTELDAFLNGDWF* >Brasy6G269000.1.p pacid=40049540 transcript=Brasy6G269000.1 locus=Brasy6G269000 ID=Brasy6G269000.1.v1.1 annot-version=v1.1 MATPKNWAMAPPYHYHGPQPQPQQQEEEAAAEGEGGGVAGSGEQQPRSLRISGLLDWMNEEYLRSCFTRSPELLSAVVKRNKETGKSEYFGYLNFADHATADQILQSYNGQKMPNADRDFRLSWVTNYPVQKRDDDGHNIYVGDLAFDVTDFMLHHVFKSRYPSVKHAKIAWDHFNGRSKGYGFVVFGDVNERRQAMTEMNGAYCSTRPMRVGPATKMTGPYTHWTVAGKYSDCDSNNTRLFVGGLDRIVTDEDLKKAFSPYGELTEVKVIAGKKCGFVTYLNRASAEEAMRILNGSLLGDNTIRVSWGRSLYHKQKYEQNQWNGERHGGRQGSGPGHGSHPEDPNMHGYTGHPEYPHYPQQQAQATSVQ* >Brasy6G177900.1.p pacid=40049541 transcript=Brasy6G177900.1 locus=Brasy6G177900 ID=Brasy6G177900.1.v1.1 annot-version=v1.1 MVVLPTGALRPATTLRRPPTPRATPAAQRALAEAKLDPHGRSVLPTTPRRPTSPPATPASPRQVLVTPSIRTATAGPVTVCPNAAAHQVRLE* >Brasy6G038800.1.p pacid=40049542 transcript=Brasy6G038800.1 locus=Brasy6G038800 ID=Brasy6G038800.1.v1.1 annot-version=v1.1 MAPARKKRGSSSAAAAAAAAAQWKVGDLVLAKLKGYPAWPAMISEPEKWGLSATKKKLLVYFYGTKEIAFCNYADLEAFTEEKKKSLLLKRHGKGTDFGRAVKEIIEIFDSMKEEDNNTTGLTPANNSNSLDTAGPEEGSELANDNRLEGNPASSMDHSMASTPGSNIAALESEHCVVNSAPDGPASSYSKKRQNNAQQQDSCTHDNLASPQRLRSSLGADLRTRDSYGLNDPNLPSVSMISDDKLEDSSRHKYIGDERPNSNFLSAAKDVMLYHSSGGSSSQSGALENCNDERNSSSAAIAGDTLNVEVSQTRVLNKEDKLNGTHDVSMSTPVTFKRKRKPGMDVNNSIASVVPSMDEDLQLKSCGNLADSPNSGNEVNKSDGDDHLPLVKRARVRGRPLLEDSTVDEPDISDNKTELPTRSNQYNKHDLPSVGLKDHAADKVPPGMDPSSKVDLSLASGEVQTACKNKEYQSKVLTMDGEAALPPSKRLHRALEAMSANAAETTSNLIEVNKSKELVLKHCTSAIASPPSNNSADAVVKSPRSARTKSPEITLSALSIDAPTDQEHILRPVILNKDSTSTISLELKYGGSHDPPKEVQTGVDDVCGKAPTCFIESREAPLVSISMLDQLPMGEASGSEPIKPIDNCGHSSSKNVDGSADLVSVARAVVPCANDNCNSVPHNKTVSAETTVNVGDKTSASSLVTKASCSQSDAGARTFEPHGSSTTALKGPDHRINLNPKDMGLSPDSMPMKELIAAAHARRFSQPTSFIDSFLDSNVINEPLVNTPSVKEGSGGLCSPSNNTRSASDRIHNQQNSGKILFDNMQQKSLNKLAGHDEARSARRAFETFLGTLTRTKECIARATRLALDCAKHGIAGEVMDVIIERLERESNLYKRVDLFFLVDSIIQCCRNQKGGVGDAYPSLIQAVLPRILYASAPPGNSAWENRRQCLKVLKLWLERKTLSEYIIRHHIKELEVLNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLICTKLLDEEGSSSEERSFEAVTPEHESPDASEEGASQLHGSKHRLVVEEVNGDLEMEDVAPSSEAETSSACQPDLTDARFTTNQNLDSVPPLPDDKPPTPPPLPSSPPPVPRPSCPVFQGSQVQGALHVTADRVERDPLRNIQDQLPHSVANSGGNMGPCVVPLQPPAPYSSRCAGHSNPIIPPPPLPPPPPPPPTVAPFHPPGPHGNFGHPVPHHGNNYHQPPSGPPPNNAYHLQPQPPHPPVPNQFPYRPPEPQQRTQPWNCNPCYPERYAYNGQDRGHHPYDRRHHFDDRWHHFDDRGRHFDDGGHYFDNGAHHFDDRGHHFDDRAVRGQMHHEGVDRGGFPPYFGPEPPFPDHFEASSAHRGRPSDPPPGPCTGWSMPPRRSKYPPASRHSMEPPVSHEGGWRRHGRHNNDRYHR* >Brasy6G162900.1.p pacid=40049543 transcript=Brasy6G162900.1 locus=Brasy6G162900 ID=Brasy6G162900.1.v1.1 annot-version=v1.1 MAELRGGSYPHDPGSQWSNSTLYGKDSRPCPARACRGLCATASSSSVSLRHKGEINQILHGHMSSTSLLQSMAKPNQLHISKNPMLPTHGICQIKEIWKDTPAIAPPSPWTHITRKIQR* >Brasy6G017900.1.p pacid=40049544 transcript=Brasy6G017900.1 locus=Brasy6G017900 ID=Brasy6G017900.1.v1.1 annot-version=v1.1 MCGGAIIPGCIPEHDGHHQTADFWPLAGPELCPAGLSLIGACKFTHYQEPAEPSRRREGSNNKRERKTAYRGIRRRPWGKWAAEIRDPVKGARVWLGTFADAEAAARAYDRAARRIRGAKAKVNFPNEDPLPGDEVPVHRGAAMVPFPWYDGGDVSGFFRQPYLAGSGEVVAPEDEPAPVSAYVHHQMPQQQEAGVELWSFDDNINTAVSM* >Brasy6G063300.1.p pacid=40049545 transcript=Brasy6G063300.1 locus=Brasy6G063300 ID=Brasy6G063300.1.v1.1 annot-version=v1.1 MKTILASETMEIPEEVTVKVAAKMITVSGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITSGNKAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE* >Brasy6G259400.1.p pacid=40049546 transcript=Brasy6G259400.1 locus=Brasy6G259400 ID=Brasy6G259400.1.v1.1 annot-version=v1.1 MFCVRNLLHESSRRVASFSTPRLVELDWGDDYDPISVQLGDMAHLQQLSIYACLAYGPPHSTFNRSVMRLLQHFKVIHSLTLYLSCPQILDNAQYLMEEITMLPVISSLEVIIMLRGHSFGASLFHVLRMSTGLRRLKLILEVFNTSTNSEVQTCAPGCICSQPQNLEYEEFVLDGLEELEILNLTGTKHEVAVLKQLCRWSPSLKETKIIFCPTVAKSKIVEFDQMFQNFLKMARFDIL* >Brasy6G259400.2.p pacid=40049547 transcript=Brasy6G259400.2 locus=Brasy6G259400 ID=Brasy6G259400.2.v1.1 annot-version=v1.1 MFCVRNLLHESSRRVASFSTPRLVELDWGDDYDPISVQLGDMAHLQQLSIYACLAYGPPHSTFNRSVMRLLQHFKVIHSLTLYLSCPQILDNAQYLMEEITMLPVISSLEVIIMLRGHSFGASLFHVLRMSTGLRRLKLILEVFNTSTNSETCAPGCICSQPQNLEYEEFVLDGLEELEILNLTGTKHEVAVLKQLCRWSPSLKETKIIFCPTVAKSKIVEFDQMFQNFLKMARFDIL* >Brasy6G180100.1.p pacid=40049548 transcript=Brasy6G180100.1 locus=Brasy6G180100 ID=Brasy6G180100.1.v1.1 annot-version=v1.1 MWRMVMAAHNITIALVSSLLMLILGPLIVDVISVSKKIGMFLCLATKFLADGDSDAIDGVTLADSPLPPAQLAEGGGLTCTDIERVMARLGLATRGFRETIGKCYGCDAMSAIDELMDDKGASEEELKEAFYVFDRDEDGFICSGELWNVMRRLGWKEGARHEDCVRMIHAFDEDGDGKISFLEFTHMMENAI* >Brasy6G208100.1.p pacid=40049549 transcript=Brasy6G208100.1 locus=Brasy6G208100 ID=Brasy6G208100.1.v1.1 annot-version=v1.1 MTTQLVDKIMFIEYYYRNFFEVNPVIACCRLPVYHFVDVLPLSPSLCSEIQEMTQGNLLPAVGLGSRMTLLLGEEDVIQKTCLHLLCCCNECIFWCNGAIFLV* >Brasy6G222700.1.p pacid=40049550 transcript=Brasy6G222700.1 locus=Brasy6G222700 ID=Brasy6G222700.1.v1.1 annot-version=v1.1 MNIEEGKAYNLVMYMRSLEHVEMTASLTCYDGLQNIASASIVRNGVPEWLKIELQLVAQGTCRTSRLELTTSKRGVIWFDQVSLMPLDTYKGHGFRKELVHMLLELRPQFLRFPGGCFVQGNRLKNAFRWRESIGPWEERSGHYVDAWDYWTDDGLGYYEYLLLAEDLGAAPIWVFNAGMSMTRTDAVDASVIAPFVRDVLDSLEFARGSAKSTWGSVRASMGHPEQFPLKYVAVGNEDCENINYKGNYLKFYNAIREAYPDIQIISNCDGSSKPLDHPADLYDFHVYTNANDLFLLKDKFRRTSRTGPKVFVSEYAVNVDAGNGSLLASLAESAFLIGLEENSDVVQMASYAPLFVNDNYHRNWNPNAFVFNSWQQYGTPSYWMQTIFRESSGAVIHPVRLSSSYSGSLAASAITWQDKEHTFLRVKIVNFGSNALNLTIDVAGLQAGVDRTRSAVTVLTSNNPSDENSFSDPNKVVPVKKDLPNAAEEMQVALVPHSLTSFDLALDQYGKLVADI* >Brasy6G070500.1.p pacid=40049551 transcript=Brasy6G070500.1 locus=Brasy6G070500 ID=Brasy6G070500.1.v1.1 annot-version=v1.1 MIRTARCRRRWVLHLVGTRKRALCGVSDSENEDGDEGVHVVNGNDLESGEIEGSSTPAARRSTRLAKRKSKSVLPARRALVFDEPMDGEDCEDDSEEDNSMDGFIDDAEEDNSSETAVDSAEASSAGSEESDSEPNYRDVMASIRGKRNVEDKDWESEQEMLSAFDEHPELCLKAVCALYRKQTEEEQTEKATIMHNKRGFNQIDAPRGSCIAQFLLDGDASGPLMKTTHDLEKYDRYGLEFCRKMAFRYSKQLFAIYHNKEDPDFP* >Brasy6G070500.2.p pacid=40049552 transcript=Brasy6G070500.2 locus=Brasy6G070500 ID=Brasy6G070500.2.v1.1 annot-version=v1.1 MIRTARCRRRWVLHLVGTRKRALCGVSDSENEDGDEGVHVVNGNDLESGEIEGSSTPAARRSTRLAKRKSKSVLPARRALVFDEPMDGEDCEDDSEEDNSMDGFIDDAEEDNSSETAVDSAEASSAGSEESDSEPNYRDVMASIRGKRNVEDKDWESEQEMLSAFDEHPELCLKAVCALYRKQTEEEQTEKATIMHNKRGFNQIDAPRIYCSIGNLALFILI* >Brasy6G247600.1.p pacid=40049553 transcript=Brasy6G247600.1 locus=Brasy6G247600 ID=Brasy6G247600.1.v1.1 annot-version=v1.1 MDIASFVTSLLTSFVIFVVLVLVFTWLSRRPGNAPVYYPSVLLRGLDPWEGRGKGTRSPVGWVRQAFAAPEADVIAAGGVDAAVYLVFLSSVLAILVFSGIVLLPVLLPLAATDHALEGPAGLKNGPTSQNFTVIERLALGNVQKKSMRLWGFILSVYWVSFVTYFVLWKSYKHVSNLRAAARSTSDVKPEEFAVLVRDIPVPPPDQTIKDSVDSYFRALHPDTFYKSMVATDNKEADKIFQEIEGHRQKIAHAEAVYAESKKANTPEGTKPTHRTGFLGLIGKKVDTIEYCNEKIKELLPKLEDEQKNTLQEKQQRAAIIFFNSRAAATSASQTLHAQMFDKWTVTEAPEPREIIWPNLPRKIYDRHTRQSVIYFIVFLTVFFYTIPITAISAVTTLEKLREKLPFLKVVVDQPAIKTVLQAYLPQLALIVFLALLPALLVFLSKSEGIPSQSHVVRAASGKYFYFIIFNVFIGFTISSSLFSALKTIINNPPGIINMLGNSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKKKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLVVTIVLCYSVIAPLIIPFGVAYFALGWLIAKNQVLRVYVPSYESNGRMWPHMHTRVIAALMIYQATMIGVISLKLFYYSTILFPLLAISLIFAYTCHTRFYPAFAKTPLEVASQGLKETPNMGAIYTAFIPPCLKPEKLEDVDIFEDAQSRTTSRAPSF* >Brasy6G044400.1.p pacid=40049554 transcript=Brasy6G044400.1 locus=Brasy6G044400 ID=Brasy6G044400.1.v1.1 annot-version=v1.1 MAGMSSCTLPLKLMAAAATLLLVLSLLSQQAAAAPAPAKKNSCYKRLFSFGDSLIDTGNFIKYSAAPGSVARPPYGETFFGRPTGRWSDGRLIVDFIVERLGFPYWPAYLQSAGKTKEEFRYGANFAVASGTALNQLLFRKKRLDVNQITPYSLGVQIGWFKNLLPTLAAAADERRGLMASSLFLVGEIGANDYNHPFFQNRTLGWVWPLVPSVVRSVTLSIEALIGLGAKNIYVPGIFPLGCVPRYLFFFRGGERGEYDSAGCLVWLNDLTRLHNRLLKGRLDELQHEHPDVSITYADYYDEVISLITAPTQNGFNKETVLHSCCGGGGPYNANFTIHCTEPGAVQCPDPSKYVSWDGLHMTEAVYRIMAHGILDGPFATPSIMSKCGSN* >Brasy6G164900.1.p pacid=40049555 transcript=Brasy6G164900.1 locus=Brasy6G164900 ID=Brasy6G164900.1.v1.1 annot-version=v1.1 MSGFHASQCGFCTPGMCMSIFTSLVNADKSKNLEPQNGFSKLSVSEAERAFSGNLCRCTGYRPIVDVCKSFASDVDLEDLGLNIFWKKGDKSADVSKLPSYTLGGGVCTFPDFLKSEMKSSLDYLNDSNVAVSREGWYHPKSIEQYYYLLNSGIFSDCSVKVVVGNTSAGVYKDQDLYNKYIDIGGIPELSAISRKDGGIEIGAATPISRTIEVLKQENDSTMSCPNGSVVFRKLAEHMSKGATPFVRNTASLGGNIILAQKYPFASDIATILLGAASTVCLQVTSERLEVTLEEFLEQPPLDRSTLLLSIFIPHWFSDSQKETNVVFETYRAAPRPLGNAVSYINSAFLGNVSLHGSSSDLVLSNLHLAFGAYGTEHAIRATKVEEYLTGKLLTPSVVLQAVRLLRGTIVPKEGTSHPEYRVSVAVGFLFSFLYPLVKGMTGPEKTLSIGCASSVEEASLPLSSRRETVPSDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPKDCLYGEFIYSTQALAYVKGMKFKPSLASEKIITVVSANDIPSGGQNIGSTFMFGDEPLFGAPIAEFAGQALGVVIAETQRYADLAAKQVVIEYATEDLKPPILTVEQAVQNNSYFKVPPERYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAVPDEDNTMVVYSSSQYPELAQSVIAKCLGIPFSNVRVITRRVGGGFGGKAFRSYNVATAAALCAHKLRRPVRMYLNRSTDMIMVGGRHPVKAYYSVGFKSDGKITALHLDVLINAGISPDASPIIPDTIISGLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSFIADAIIEHVASVLSLDANTVRQKNFHTYDSLVLFYPESAGESSTYTLHSIFDRLLMTSSYLHRAESIKQFNSCNNWRKRGISCVPLIFKVAPRPAPGRVSVLNDGSIIVEVGGIEIGQGLWTKVQQMTAFALGQLWPDGCECLLDRVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLTDRLKPVMDKLKQQSGAVSWDSLISQASQDNINLSSSAYWVPGQESSSYLNYGAGISEVEIDLLTGAITLLRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHETNSDGLVVSDSTWDYKIPSVDTIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCAVREAICAARKEFAHSTGSGSSPLTFQLDVPAPMTVVKELCGLDIVDKYLENLSTHQFQC* >Brasy6G164900.2.p pacid=40049556 transcript=Brasy6G164900.2 locus=Brasy6G164900 ID=Brasy6G164900.2.v1.1 annot-version=v1.1 MSGFHASQCGFCTPGMCMSIFTSLVNADKSKNLEPQNGFSKLSVSEAERAFSGNLCRCTGYRPIVDVCKSFASDVDLEDLGLNIFWKKGDKSADVSKLPSYTLGGGVCTFPDFLKSEMKSSLDYLNDSNVAVSREGWYHPKSIEQYYYLLNSGIFSDCSVKVVVGNTSAGVYKDQDLYNKYIDIGGIPELSAISRKDGGIEIGAATPISRTIEVLKQENDSTMSCPNGSVVFRKLAEHMSKGATPFVRNTASLGGNIILAQKYPFASDIATILLGAASTVCLQVTSERLEVTLEEFLEQPPLDRSTLLLSIFIPHWFSDSQKETNVVFETYRAAPRPLGNAVSYINSAFLGNVSLHGSSSDLVLSNLHLAFGAYGTEHAIRATKVEEYLTGKLLTPSVVLQAVRLLRGTIVPKEGTSHPEYRVSVAVGFLFSFLYPLVKGMTGPEKTLSIGCASSVEEASLPLSSRRETVPSDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPKDCLYGEFIYSTQALAYVKGMKFKPSLASEKIITVVSANDIPSGGQNIGSTFMFGDEPLFGAPIAEFAGQALGVVIAETQRYADLAAKQVVIEYATEDLKPPILTVEQAVQNNSYFKVPPERYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAVPDEDNTMVVYSSSQYPELAQSVIAKCLGIPFSNVRVITRRVGGGFGGKAFRSYNVATAAALCAHKLRRPVRMYLNRSTDMIMVGGRHPVKAYYSVGFKSDGKITALHLDVLINAGISPDASPIIPDTIISGLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSFIADAIIEHVASVLSLDANTVRQKNFHTYDSLVLFYPESAGESSTYTLHSIFDRLLMTSSYLHRAESIKQFNSCNNWRKRGISCVPLIFKVAPRPAPGRVSVLNDGSIIVEVGGIEIGQGLWTKVQQMTAFALGQLWPDGCECLLDRVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLTDRLKPVMDKLKQQSGAVSWDSLISQASQDNINLSSSAYWVPGQESSSYLNYGAGISEVEIDLLTGAITLLRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHETNSDGLVVSDSTWDYKIPSVDTIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCAVREAICAARKEFAHSTGSGSSPLTFQLDVPAPMTVVKELCGLDIVDKYLENLSTHQFQC* >Brasy6G164900.3.p pacid=40049557 transcript=Brasy6G164900.3 locus=Brasy6G164900 ID=Brasy6G164900.3.v1.1 annot-version=v1.1 MSGFHASQCGFCTPGMCMSIFTSLVNADKSKNLEPQNGFSKLSVSEAERAFSGNLCRCTGYRPIVDVCKSFASDVDLEDLGLNIFWKKGDKSADVSKLPSYTLGGGVCTFPDFLKSEMKSSLDYLNDSNVAVSREGWYHPKSIEQYYYLLNSGIFSDCSVKVVVGNTSAGVYKDQDLYNKYIDIGGIPELSAISRKDGGIEIGAATPISRTIEVLKQENDSTMSCPNGSVVFRKLAEHMSKGATPFVRNTASLGGNIILAQKYPFASDIATILLGAASTVCLQVTSERLEVTLEEFLEQPPLDRSTLLLSIFIPHWFSDSQKETNVVFETYRAAPRPLGNAVSYINSAFLGNVSLHGSSSDLVLSNLHLAFGAYGTEHAIRATKVEEYLTGKLLTPSVVLQAVRLLRGTIVPKEGTSHPEYRVSVAVGFLFSFLYPLVKGMTGPEKTLSIGCASSVEEASLPLSSRRETVPSDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPKDCLYGEFIYSTQALAYVKGMKFKPSLASEKIITVVSANDIPSGGQNIGSTFMFGDEPLFGAPIAEFAGQALGVVIAETQRYADLAAKQVVIEYATEDLKPPILTVEQAVQNNSYFKVPPERYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAVPDEDNTMVVYSSSQYPELAQSVIAKCLGIPFSNVRVITRRVGGGFGGKAFRSYNVATAAALCAHKLRRPVRMYLNRSTDMIMVGGRHPVKAYYSVGFKSDGKITALHLDVLINAGISPDASPIIPDTIISGLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSFIADAIIEHVASVLSLDANTVRQKNFHTYDSLVLFYPESAGESSTYTLHSIFDRLLMTSSYLHRAESIKQFNSCNNWRKRGISCVPLIFKVAPRPAPGRVSVLNDGSIIVEVGGIEIGQGLWTKVQQMTAFALGQLWPDGCECLLDRVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLTDRLKPVMDKLKQQSGAVSWDSLISQASQDNINLSSSAYWVPGQESSSYLNYGAGISEVEIDLLTGAITLLRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHETNSDGLVVSDSTWDYKIPSVDTIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCAVREAICAARKEFAHSTGSGSSPLTFQLDVPAPMTVVKELCGLDIVDKYLENLSTHQFQC* >Brasy6G164900.4.p pacid=40049558 transcript=Brasy6G164900.4 locus=Brasy6G164900 ID=Brasy6G164900.4.v1.1 annot-version=v1.1 MSGFHASQCGFCTPGMCMSIFTSLVNADKSKNLEPQNGFSKLSVSEAERAFSGNLCRCTGYRPIVDVCKSFASDVDLEDLGLNIFWKKGDKSADVSKLPSYTLGGGVCTFPDFLKSEMKSSLDYLNDSNVAVSREGWYHPKSIEQYYYLLNSGIFSDCSVKVVVGNTSAGVYKDQDLYNKYIDIGGIPELSAISRKDGGIEIGAATPISRTIEVLKQENDSTMSCPNGSVVFRKLAEHMSKGATPFVRNTASLGGNIILAQKYPFASDIATILLGAASTVCLQVTSERLEVTLEEFLEQPPLDRSTLLLSIFIPHWFSDSQKETNVVFETYRAAPRPLGNAVSYINSAFLGNVSLHGSSSDLVLSNLHLAFGAYGTEHAIRATKVEEYLTGKLLTPSVVLQAVRLLRGTIVPKEGTSHPEYRVSVAVGFLFSFLYPLVKGMTGPEKTLSIGCASSVEEASLPLSSRRETVPSDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPKDCLYGEFIYSTQALAYVKGMKFKPSLASEKIITVVSANDIPSGGQNIGSTFMFGDEPLFGAPIAEFAGQALGVVIAETQRYADLAAKQVVIEYATEDLKPPILTVEQAVQNNSYFKVPPERYPKQVGDFSKGMAEADHKILSTEPTENTLWHALIYMTDDRSSSQYPELAQSVIAKCLGIPFSNVRVITRRVGGGFGGKAFRSYNVATAAALCAHKLRRPVRMYLNRSTDMIMVGGRHPVKAYYSVGFKSDGKITALHLDVLINAGISPDASPIIPDTIISGLKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSFIADAIIEHVASVLSLDANTVRQKNFHTYDSLVLFYPESAGESSTYTLHSIFDRLLMTSSYLHRAESIKQFNSCNNWRKRGISCVPLIFKVAPRPAPGRVSVLNDGSIIVEVGGIEIGQGLWTKVQQMTAFALGQLWPDGCECLLDRVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLTDRLKPVMDKLKQQSGAVSWDSLISQASQDNINLSSSAYWVPGQESSSYLNYGAGISEVEIDLLTGAITLLRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHETNSDGLVVSDSTWDYKIPSVDTIPKQFNAEVLNTGYHKNRVLSSKASGEPALVLASSVHCAVREAICAARKEFAHSTGSGSSPLTFQLDVPAPMTVVKELCGLDIVDKYLENLSTHQFQC* >Brasy6G269600.1.p pacid=40049559 transcript=Brasy6G269600.1 locus=Brasy6G269600 ID=Brasy6G269600.1.v1.1 annot-version=v1.1 MAEQQRESGGGDEQEMAPEVAVEIMPVMRQDSLFRDASRAGGHGHHGMQQQPGIEHWGKTLRLAFQCVGVLYGDIGTSPLYVYSSTFTGTGGVGHTDDLLGVLSLIIYSFILFTMVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDEIRVVGVDGEEEEDPKRSLSRRRRGLATLQLASPAAMRAQRVKELLETSKPVRVSLFLLTILATAMVISDACLTPAISVLSAVGGLKEKVPHLTTDQIVWMTVAILIGLFAVQRFGTDKVGYIFAPIVILWLLLIGAVGVYNLLKHDISVLRAFNPKYIYDYFRRNRKSAWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFAFFLVPSVLLCYAGQAAFLRKYPEEVANTFYRSTPEILFWPTFVLAILASIIGSQAMISCAFATISHSQALGCFPRVKILHTSKQYQGQVYIPEVNFFLAFAACVVTVAFKTTVVIGEAHGICVVLVMLITTLLLTVVMLLVWKVNLVWVAVFFLVFAASESVYLSSVLYKFLHGGYIPVVISAALMAVMTVWHYVHVKRYKYEMERAVSPDKVVEILDGAGDRLRKVPGVGLFYTELVQGIPPVFPHLIEKIPSVHAVLLFVSVKHLPVPHMDVSERFLFRQVGPTGGRERENRMYRCVARYGYRDPLEEARDFVASLVERLQYYIRDVNLYGVADVDARVSYPSSRCDSMAARSTRRASGGNHNNVMMFSASASYSELARARSTSSGATGRMNMMMPMPMPSSGSYTERQQQQQLGRSIYAEEMMTPAESFSELSGRHPLAAAAAMGSVNSCQQLFQAAKMSLEEMGKIQEEQRFIEREMEKGVVYIMGESEVVARPHSSLLKKMIVNYAYAFLRKNCRQGEKMLAIPRSQLLKVGMSYEI* >Brasy6G269600.2.p pacid=40049560 transcript=Brasy6G269600.2 locus=Brasy6G269600 ID=Brasy6G269600.2.v1.1 annot-version=v1.1 MAEQQRESGGGDEQEMAPEVAVEIMPVMRQDSLFRDASRAGGHGHHGMQQQPGIEHWGKTLRLAFQCVGVLYGDIGTSPLYVYSSTFTGTGGVGHTDDLLGVLSLIIYSFILFTMVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDEIRVVGVDGEEEEDPKRSLSRRRRGLATLQLASPAAMRAQRVKELLETSKPVRVSLFLLTILATAMVISDACLTPAISVLSAVGGLKEKVPHLTTDQIVWMTVAILIGLFAVQRFGTDKVGYIFAPIVILWLLLIGAVGVYNLLKHDISVLRAFNPKYIYDYFRRNRKSAWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFAFFLVPSVLLCYAGQAAFLRKYPEEVANTFYRSTPEILFWPTFVLAILASIIGSQAMISCAFATISHSQALGCFPRVKILHTSKQYQGQVYIPEVNLVWVAVFFLVFAASESVYLSSVLYKFLHGGYIPVVISAALMAVMTVWHYVHVKRYKYEMERAVSPDKVVEILDGAGDRLRKVPGVGLFYTELVQGIPPVFPHLIEKIPSVHAVLLFVSVKHLPVPHMDVSERFLFRQVGPTGGRERENRMYRCVARYGYRDPLEEARDFVASLVERLQYYIRDVNLYGVADVDARVSYPSSRCDSMAARSTRRASGGNHNNVMMFSASASYSELARARSTSSGATGRMNMMMPMPMPSSGSYTERQQQQQLGRSIYAEEMMTPAESFSELSGRHPLAAAAAMGSVNSCQQLFQAAKMSLEEMGKIQEEQRFIEREMEKGVVYIMGESEVVARPHSSLLKKMIVNYAYAFLRKNCRQGEKMLAIPRSQLLKVGMSYEI* >Brasy6G249200.1.p pacid=40049561 transcript=Brasy6G249200.1 locus=Brasy6G249200 ID=Brasy6G249200.1.v1.1 annot-version=v1.1 MARSAALAVVALAILLLATAQAKIKGISYTHEDLASEDSMWALYERWVAYHKELVRDHGEMTRRFPAFKNNLLRIASIVDPSRTTHKEFHINVFGDRTLEEFVAEATYEDRDTPPIDQATRQGSASP* >Brasy6G249200.2.p pacid=40049562 transcript=Brasy6G249200.2 locus=Brasy6G249200 ID=Brasy6G249200.2.v1.1 annot-version=v1.1 MARSAALAVVALAILLLATAQAKIKGISYTHEDLASEDSMWALYERWVAYHKELVRDHGEMTRRFPAFKNNLLRIASIVDPSRTTHKEFHINVFGDRTLEE* >Brasy6G213600.1.p pacid=40049563 transcript=Brasy6G213600.1 locus=Brasy6G213600 ID=Brasy6G213600.1.v1.1 annot-version=v1.1 MAIRMVGDESFMSMMVDEHIDEGFVDPSPVRGRQVNYTIEEDEALVLAWEAITLDAVQGVEQSGSTCWQPQKFFKQLTSKNGKLGKLFGLQHCYALLVHDEKWRTRNDEMPTKKSKSSNSSSPNVQTIDESSNETDSEGAERSPTPSSVEKKRPLGRKKEKARLKKEKEGTCKD* >Brasy6G235500.1.p pacid=40049564 transcript=Brasy6G235500.1 locus=Brasy6G235500 ID=Brasy6G235500.1.v1.1 annot-version=v1.1 MAPPPKTSQPLLVLLLALASLAVLASSYPAGGFPQQQPNPNIPDVNDPGFLATISNWIHGRGGSGGAGSVGVFGGGGGSADGRGKGYGGGTEGGSGKGFSGGGGGWGMGGVGPGGGFGKRGVSPPSTVCGDGPCKGKQLTCPSSCFSSYSYTTEHGGGGGGGGGCSFDCNACQAKC* >Brasy6G035800.1.p pacid=40049565 transcript=Brasy6G035800.1 locus=Brasy6G035800 ID=Brasy6G035800.1.v1.1 annot-version=v1.1 MTTTEVENFPGFPDGITGPDLMDKMRKQAERWGAELHQEDVEFVDVKSRPFVIRSSDREVKCHSIIIATGATAKRLRLPREEEFWSRGISACAICDGASPLYKGQVLAVVGGGDTATEEAIYLTKYACHVHLLVRRDQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNPKGQMSGIQLRRIDTGEESVLEVKGLFYGIGHTPNSQLLQGQIELDSSGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVTNDLLVEFHQPVREETKKEITGKDVEMGFDLSHTKHRGQYALRKLYHGSPRLICVLYSSPTCGPCRTLKPILNKVIDEYDKHVHLVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTFSGVKMKKEYREFIESNK* >Brasy6G072900.1.p pacid=40049566 transcript=Brasy6G072900.1 locus=Brasy6G072900 ID=Brasy6G072900.1.v1.1 annot-version=v1.1 MSPLLLELLCLLLVTGSVVLTGAQSGAGEDKAVLVELKRFLQANNKVNRGDYDAWPESSPSPCNGWAGVSCDAAGRVASLNLSNSAISGPAFPNFSRLPALVSLDLSDNSITGFLPADDLNQCRGLAHLNLSHNLITGPLHIPGLTNLRTLDVSGNRLNGSVAGNFPSICAGELIQLDMSTNRFTGNITGMLDGCSRLERVDLSSNNFTGELWPGVSRFSQFSAAENNLTGSVPSSTFQDGCKLQSLDLSANKLAGSFPDSIAKCKNLTYLSLWGNNFAGTIPAGIGELGVLETLILGKNRFDRRIPQALTNCSALQFLDVSNNSFGGDVQEMFGNFASLKYLVLHHNGYTGGIVASGVLRLPKLARLDLSFNEFAGDLPTEVADMKSLKYLMLADNNFSGGIPTEYGGLAELQALDLSNNALSGGIPASVGNLTSLLWLMLAGNRLSGEIPREIGRCSSLLWLNLADNRLTGEIPPEMAEIGKNPGPTFAKNRDDSSVLAGSGECQAMKRWIPANYPPFSFVYTVMTRENCRSIWDRILKGYGIFPICTSNSSSQVKTNTISGYVQLSRNMLSGEIPSRIGAMRNLSLLHLDGNGLTGRIPPEIGQLPLVILNVSRNKISGPIPSEVGQIRCLERMDLSFNNLSGELPASLGRLTELAMFNVSYNPLLHGDVPTAGQFGTFDEQSFIGIPNITLHRDRAAAGAGKQQPPPEDATRGKKMLPRTILSWFFFSLVVAFIAGSVVFIVTSLRARYPVDQDPDLEHPKCGGGGNGNGKHKLFQTSSSSSSPLPSSGWSSSSATGCSSTSTEAAVKVFRLDMTTAFTYRDIVSATGDFSDARVIGRGGHGVVYRGVLPDGRTVAVKRLSRCHNDVGEDGDGEREFRAEMEVLAGRMGFTWPHPNLVTLYGWCLSGSAKILVYEYLEGGTLESLIFSDAGVRWARRKEVAVGVARALVFLHHECAPAVVHRDVKASNVLLDGEGRARVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSFGVLLMELATRRRAVGYGEDEEDDECLVDWARRAAKDGWKGRQRQQVKVQAGGDRSATSGEVFWELLAIGLRCTADAPHERPDMPEVLAALLDVDVDGEAG* >Brasy6G072900.2.p pacid=40049567 transcript=Brasy6G072900.2 locus=Brasy6G072900 ID=Brasy6G072900.2.v1.1 annot-version=v1.1 MSPLLLELLCLLLVTGSVVLTGAQSGAGEDKAVLVELKRFLQANNKVNRGDYDAWPESSPSPCNGWAGVSCDAAGRVASLNLSNSAISGPAFPNFSRLPALVSLDLSDNSITGFLPADDLNQCRGLAHLNLSHNLITGPLHIPGLTNLRTLDVSGNRLNGSVAGNFPSICAGELIQLDMSTNRFTGNITGMLDGCSRLERVDLSSNNFTGELWPGVSRFSQFSAAENNLTGSVPSSTFQDGCKLQSLDLSANKLAGSFPDSIAKCKNLTYLSLWGNNFAGTIPAGIGELGVLETLILGKNRFDRRIPQALTNCSALQFLDVSNNSFGGDVQEMFGNFASLKYLVLHHNGYTGGIVASGVLRLPKLARLDLSFNEFAGDLPTEVADMKSLKYLMLADNNFSGGIPTEYGGLAELQALDLSNNALSGGIPASVGNLTSLLWLMLAGNRLSGEIPREIGRCSSLLWLNLADNRLTGEIPPEMAEIGKNPGPTFAKNRDDSSVLAGSGECQAMKRWIPANYPPFSFVYTVMTRENCRSIWDRILKGYGIFPICTSNSSSQVKTNTISGYVQLSRNMLSGEIPSRIGAMRNLSLLHLDGNGLTGRIPPEIGQLPLVILNVSRNKISGPIPSEVGQIRCLERMDLSFNNLSGELPASLGRLTELAMFNVSYNPLLHGDVPTAGQFGTFDEQSFIGIPNITLHRDRAAAGAGKQQPPPEDATRGKKMLPRTILSWFFFSLVVAFIAGSVVFIVTSLRARYPVDQDPDLEHPKCGGGGNGNGKHKLFQTSSSSSSPLPSSGWSSSSATGCSSTSTEAAVKVFRLDMTTAFTYRDIVSATGDFSDARVIGRGGHGVVYRGVLPDGRTVAVKRLSRCHNDVGEDGDGEREFRAEMEVLAGRMGFTWPHPNLVTLYGWCLSGSAKILVYEYLEGGTLESLIFSDAGVRWARRKEVAVGVARALVFLHHECAPAVVHRDVKASNVLLDGEGRARVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSFGVLLMELATRRRAVGYGEDEEDDECLVDWARRAAKDGWKGRQRQQVKVQAGGDRSATSGEVFWELLAIGLRCTADAPHERPDMPEVLAALLDVDVDGEAG* >Brasy6G109300.1.p pacid=40049568 transcript=Brasy6G109300.1 locus=Brasy6G109300 ID=Brasy6G109300.1.v1.1 annot-version=v1.1 MAGAAGGFVTRAFEAMLKECSANRGKFAALQQSIQSYLDAIKGAAAQEPQQEDGAPAPVTQVLASAGRVLEGTQAELVLQPLRLAFETKHIKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTILQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVPPASSLVKDVPSSSTEVSENGEVVSTDNQIEEKTTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKASGGIDLDTMNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYASGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSFLSQKTSVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAHGSQSADNAAVASSQTVSIKGSSLQCLVSILKSLVDWEQARRDSSNQGSIVESHEEDASARSSAIDETKVQEDGRNQFERAKAHKSTMEAAISEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSNSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVHLNTASDEEECAPKELLEEIYDSILKEEIKMKDDLLHAAKTSKLRPEIEEKGRLVNILNLALPRLKSASDTKAESEKIIKQTQAVFRNQGHKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFKAGIHLTRVLGMDTMRFAFLTSIVRFTFLHAPKDMRSKNVEAIRTLLGLADTDMDALQDAWVAVLECVSRLEYITSNPSMAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFDALCGISAEELKQSPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVRPVDNLPEENFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGNKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSTGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREAQNNSLASSYHDSGDGGASISDNGEQEVHEETNSQSGLDNSEGLPSPSGREQPAVSLPSQTFGQRFMGNMMGNLLVRSLTSKSKGKMDDVPPASPVKTPDADGADKIEEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLQATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVENDANGSTEEANGFGVESADQEKLKNLAEGKLVSFCGQILKEASDLQPGTGETASADIHRVLDLRAPVIIKVLNGMCIMDAQIFKKHLREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP* >Brasy6G100300.1.p pacid=40049569 transcript=Brasy6G100300.1 locus=Brasy6G100300 ID=Brasy6G100300.1.v1.1 annot-version=v1.1 MKRFVYINDESYQNDYCDNQISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKKVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLTGTSEPQGICHVETAALDGEIDLKTRVIPPTCAGLDAEQLHKIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDQETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTLYGNESGDALKDVELLNAVANNSPHVIKFLTVMALCNTVIPIKSPSGQISYKAQSQDEDALVNAASNLHMVLVSKNGNNAEIHFNRRVVQYEILDVLEFTSDRKRMSVVISDSESGKIFLLSKGADEAILPLAYSGQQIKTFIDAVDKYAQLGLRTLCLGWRELGLQEYLEWSRLFKEANSALIDREWKVAEVCQKLEHTLDILGISAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGKTEDEVARSLERVLLTMRITSSEPKEQELAFVVDGWGLEIILTRYKEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFVSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSERTVTQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITIHVYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLGIWGNFAAFYIINFCISSIPSAGMYTIMFRLCRQPSYWITMLLISGVGMGPVLALKYFRYTYSPSAINILQKAERSCGPMYTLVNLESQLRLDNNATDSISSMPVKKSSVYEPLLSDSPMASRRSVASSSFDIFQPAQSRVPASYPRNIKAS* >Brasy6G225600.1.p pacid=40049570 transcript=Brasy6G225600.1 locus=Brasy6G225600 ID=Brasy6G225600.1.v1.1 annot-version=v1.1 MIPVCVLFLLQSSEGIEQRRGELVFQYGVLLLELVTGQSPGGGDGELVRWVQGTGFAGSMQRMVDADLGGTYDAGELRDLVIVARLCTRPAAHGAAAGVSIPHVLRYLQGKVEEKNRGG* >Brasy6G004300.1.p pacid=40049571 transcript=Brasy6G004300.1 locus=Brasy6G004300 ID=Brasy6G004300.1.v1.1 annot-version=v1.1 MSKPAIIGASTVLVVAVVAAVCVVSFKSNNGGGGEDGQLSTSVKSVKAFCQPMDYKETCEAELSKMSGGDNKPASPTDLAKAIFEVTSAKINKAVGESATLEELKNDKRTSGALQNCKELLEYAVEDLKTSFDKLGGFEMTDFNKAVDDLKTWLSAALTYQETCLDGFLNTTGDASAKMKGALNASQELTEDILAVVDQFSATLGSLSFGKRRLLADDGAPAWMNDGKRRLMEASAGAPSSPSPMDFEPNVTVAADGSGDFKTINEALAKVPPKSTAMYVMYVKAGTYKEYVSVGRPVTNLVMVGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRDIRVENTAGAANHQAVALRVQSDQAVFFQCTFDGYQDTLYTHAQRQFFRDCRITGTIDFIFGNSQVVIQNCLIQPRKPMDNQVNIITAQGRREKRSVGGTVMHNNTIEPHPDFVSSTGKIATYLARPWKEYSRTIYIQNNIGAFIDPKGWLEWNGNFGLDTLFYAEVDNHGPGADMSKRAKWGGIKTVTYEDAQKEFTVETFIQGQQFIPKFGVPYIPGLLPQSEDGRAH* >Brasy6G260500.1.p pacid=40049572 transcript=Brasy6G260500.1 locus=Brasy6G260500 ID=Brasy6G260500.1.v1.1 annot-version=v1.1 MYSEGVFSNLLTYIGSSICQGATKRSPYTDILCRLNFLRHARAMLQKWGLKFDFSNSLKMFAAMKHPSISLATSMAIAASFGCSSTFMLPNVRTLKKYQYAS* >Brasy6G109100.1.p pacid=40049573 transcript=Brasy6G109100.1 locus=Brasy6G109100 ID=Brasy6G109100.1.v1.1 annot-version=v1.1 MVVVVAKEEEGEEGRGGVAWGTWEELVLGGAVIRHGAAAWDTVAAELRCRSPHPFSPEECEAKFSEIQARYSACEGDAWFEELRKQRIAELKRELQKSESFIGSLQSVIESLSNSKHEDGNSGCRTESCSPAEIAADTNSSSKALSKDRSSAASFTEEASNSQKSQKVQNTSAETLLKPHVEKGCTEGGLLWGSRKKRGLRDKKVILMADDSSREGENTSTSCIQREGSSEGRMNDSKTSKIEPSASVRETAKQNLGEILNSISAQGDCYMLQHQIDIQRKRARYKKMIRRHIDFRMLHSKIKSGAISSANELLKDVLVFVNNVLAFYPKATLEHMAAIELRGLVCKTLQQSSSIPSMNSGEAGIASDLVTKKTAAGIASDPVIKKTAVGIAGDPVIKKTARGIAGDPVIKKTTAGITSGPVIKKTAAGIASDPVIKKTAAGISSDPVNKKPAAGVTSDPVIKKTATAVTSAPVIRKTATGVPSAPVIKKTATGVASAPVIKKSAAGVPSAPVIKKTAAGVASAPVIKKIARTIPPVRHVPRDAKRSKVPAKEAGSTASQGEAKDLPGDAAPAANEKSTGRSPPAKKRGVGRPPKSGQKRAAPQSQQDGPNKGRKRTRR* >Brasy6G018600.1.p pacid=40049574 transcript=Brasy6G018600.1 locus=Brasy6G018600 ID=Brasy6G018600.1.v1.1 annot-version=v1.1 MAMMSTARALRFVASRPSSWRSPVVQRGWRALSGGASSTEAGGAGDPAVHAGEGDGEPPSDDYAERPPRFSGAEEGVATERPPTTPPEKERAPPFAPSGKLGSQELADPAEGSSLTQKRRRHSSSSSAPAGEEEAAARGVREEDREYYRTHKPSPLAEVEFADTRKPVTAATDSGGGGEEDVAGTMVEDTADASLAWAEEMFREAARRGNPAWPHSRALAAMLAARRGGHGGGGAAPWGS* >Brasy6G265000.1.p pacid=40049575 transcript=Brasy6G265000.1 locus=Brasy6G265000 ID=Brasy6G265000.1.v1.1 annot-version=v1.1 MATGTADAKPHGLTAIAIATAIIPFFTLLATFFFAAVLADDAEQILLTMYMHHMMGPPGQRSVLILKGSGPMNPSLPPEHFFGETYAFDDKLTDNKSASSRLAGHAQGTAMLSSMRRPVYLVDMVMLLVGGEYDGSTVVVEGLHDASKEERELAVVGGTGEFRLASGYVRCTTAREEKKFNVYELFVNITIPGDDSEPDDEPEPPPGMPPAPGTPPAPGKMIPIYNLTLAN* >Brasy6G010700.1.p pacid=40049576 transcript=Brasy6G010700.1 locus=Brasy6G010700 ID=Brasy6G010700.1.v1.1 annot-version=v1.1 MRGRQVRRLQRLLGTNRPAIRYYVCTMNKTFTSPGQRMEYLLPFIEAPIDPLCIKLAGTSVHRHVRLMKGTDGRATITTNWPSFVQAAAIREGDIIAFVFTAHRNKLRLAAHHL* >Brasy6G002400.1.p pacid=40049577 transcript=Brasy6G002400.1 locus=Brasy6G002400 ID=Brasy6G002400.1.v1.1 annot-version=v1.1 MGFFGAHEGSSPVGSPSPISMSQGTPLSQPVGIEESSESSPEASEKKGGRRKWSEQENIRLISAWLQNSNDPIDGNSKKAESYWKQVAADYNKNSTEEERRTAAQLKTHWATNSQLVSKFNGCWNRPFALEHWWKAVKDQNKWKRVFGHEEMNKRNKLNASGAYSSPNEDHGDDPVEIPRPQGRNSAKAQRKGKDKSTSQSSGGTISNDI* >Brasy6G000800.1.p pacid=40049578 transcript=Brasy6G000800.1 locus=Brasy6G000800 ID=Brasy6G000800.1.v1.1 annot-version=v1.1 MAAKCYPTVSDEYQTAVAKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKCPAELAHGANAGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTANPLIFDNSYFKELLSGEKEGLLQLPTDKTLLSDPAFRPLVDKYAADEDAFFADYAEAHLKLSELGFGEYLEGCC* >Brasy6G261000.1.p pacid=40049579 transcript=Brasy6G261000.1 locus=Brasy6G261000 ID=Brasy6G261000.1.v1.1 annot-version=v1.1 MGSDRGELARLCSGRNWSKAIRLLDALLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALQLDPALLHAYVLKGKALCALGKKEEALVAWEQGHGIAARDTIDLKQLLELEELVSSVKICETVEREGVVDLSPCDTKVVISEDCVAATTTDTKSVVCEDNTENSKVSSNGDTTLPNSCKDHKDSSGPANDATGTHPNPKKTSKLDKKNKAKGKKEIIVQSEDVEERRSSGETIALDEAIFGTKVSKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPLAGEAWKRRGQARAALGEFVEAIEDLTKALEFEPDSPDILHERGIVSFKFKDYNSAVEDLSTCVKRDKKNSSAHTYLGLTLSAVGEYKRAEDEHLLGIKYDGSFLDSWAHLSQLYLDLAYPEKMLNNLEKVLQIDSRFSKAYHLRGILYHGMGRHRSAIKELSIALTYDSSSIECLYLRASCHHAVGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYTASKANLEFSQFNIDDDVDALFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTSLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNSAKLVRRRDKLNLSVNRGGYCSTSTLAGSPTSSPSEEKVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLLLGQAKIVRYYPYYLRTLEAAKAIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYNIVGETYWVATRCDSIAFQGRRLEGTRITTQNVGKTGFDFAIRTPCTPSRWEEYQEEMTAAWEAICEIYCSDPNPTRDSNALDAVKAAILRMTYYWYNFMPLSRGTSAVGYMVLLGLFLAAGMDITASIPPGVQVDWEALLSSDPDTFVDAVKPWLYPSVKMSKSLKDYADISVAFGTTGSVIAALTSVDT* >Brasy6G247900.1.p pacid=40049580 transcript=Brasy6G247900.1 locus=Brasy6G247900 ID=Brasy6G247900.1.v1.1 annot-version=v1.1 MREEVRSSSAAPADPPPPPSASPPATPVASSAGPSSPPAQTNVASIDWLGSEQVSKVGSSFHAASHAAQPSLSTNAVGAAMDFSQPSCRPWERGDLLHRLATFKPSTWASKPKAASSLACAQRGWVNIDVDKIECDSCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQIHFTPSALLGGFKDRCDGLLQFISLPIIASSAVESMKLTRSHQIEHVLSQSIAILCGELGYKIDGTTGIDINHQDENCSYSQAQKLISLCGWEPRWLPNVQDWEENSTHSAKNAAPAEPDNQFHSRFPEHNQNSYSTSVKKDKGKGKLRVKDSGCSMRAPLLDCSLCGATVRIWDFRSMPRPSHLSLGNIDAPDAGTRPLLTRGISATSGVNGWVAEGTDRNNVEGRDETCTDERKSLSNAQVDLNLTMAGGLPPTHSGILSMPEHFSNGGMGIDLMIGQPTGSEIGGYAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQNSDIRSKRLRGFNLFDVNRPSSSGAGPSRNFSLDLDIDVNRFDSSKAEGPSALRNSSARDSMRASSVIAMNIVHGAEENSMESVEYHPRDGDDVQKPSSALRSGGMSDTLDLNYSNQAQESSFVQPAADSNARDVGGSSMNGEEEVLNAETAPTFARDQLSLGVSGGSVGMGASHEAEIHGIEVSEHKTESVVGDVEPFPELTETMGHTGESVPGPGMMGEFVPEDVGREEPQGDSQDMASRLVDRVDSVSTKADSVESGEKMSHARGLESNIQHSLSCNARVYSGIDLSKDEVTQTGKMVSKDDYEPGPDLGATNGENDCETGLPEFDPIKHHNNYCPWVNGNVAAACCISTGSSTISTSFSGWQLTVDALETVQSLGQDQNQAAQSDSAASLCKDDNIAPKRKLLKRPNHSKSKC* >Brasy6G247900.2.p pacid=40049581 transcript=Brasy6G247900.2 locus=Brasy6G247900 ID=Brasy6G247900.2.v1.1 annot-version=v1.1 MDFSQPSCRPWERGDLLHRLATFKPSTWASKPKAASSLACAQRGWVNIDVDKIECDSCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQIHFTPSALLGGFKDRCDGLLQFISLPIIASSAVESMKLTRSHQIEHVLSQSIAILCGELGYKIDGTTGIDINHQDENCSYSQAQKLISLCGWEPRWLPNVQDWEENSTHSAKNAAPAEPDNQFHSRFPEHNQNSYSTSVKKDKGKGKLRVKDSGCSMRAPLLDCSLCGATVRIWDFRSMPRPSHLSLGNIDAPDAGTRPLLTRGISATSGVNGWVAEGTDRNNVEGRDETCTDERKSLSNAQVDLNLTMAGGLPPTHSGILSMPEHFSNGGMGIDLMIGQPTGSEIGGYAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQNSDIRSKRLRGFNLFDVNRPSSSGAGPSRNFSLDLDIDVNRFDSSKAEGPSALRNSSARDSMRASSVIAMNIVHGAEENSMESVEYHPRDGDDVQKPSSALRSGGMSDTLDLNYSNQAQESSFVQPAADSNARDVGGSSMNGEEEVLNAETAPTFARDQLSLGVSGGSVGMGASHEAEIHGIEVSEHKTESVVGDVEPFPELTETMGHTGESVPGPGMMGEFVPEDVGREEPQGDSQDMASRLVDRVDSVSTKADSVESGEKMSHARGLESNIQHSLSCNARVYSGIDLSKDEVTQTGKMVSKDDYEPGPDLGATNGENDCETGLPEFDPIKHHNNYCPWVNGNVAAACCISTGSSTISTSFSGWQLTVDALETVQSLGQDQNQAAQSDSAASLCKDDNIAPKRKLLKRPNHSKSKC* >Brasy6G247900.3.p pacid=40049582 transcript=Brasy6G247900.3 locus=Brasy6G247900 ID=Brasy6G247900.3.v1.1 annot-version=v1.1 MDFSQPSCRPWERGDLLHRLATFKPSTWASKPKAASSLACAQRGWVNIDVDKIECDSCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQIHFTPSALLGGFKDRCDGLLQFISLPIIASSAVESMKLTRSHQIEHVLSQSIAILCGELGYKIDGTTGIDINHQDENCSYSQAQKLISLCGWEPRWLPNVQDWEENSTHSAKNAAPAEPDNQFHSRFPEHNQNSYSTSVKKDKGKGKLRVKDSGCSMRAPLLDCSLCGATVRIWDFRSMPRPSHLSLGNIDAPDAGTRPLLTRGISATSGVNGWVAEGTDRNNVEGRDETCTDERKSLSNAQVDLNLTMAGGLPPTHSGILSMPEHFSNGGMGIDLMIGQPTGSEIGGYAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQNSDIRSKRLRGFNLFDVNRPSSSGAGPSRNFSLDLDIDVNRFDSSKAEGPSALRNSSARDSMRASSVIAMNIVHGAEENSMESVEYHPRDGDDVQKPSSALRSGGMSDTLDLNYSNQAQESSFVQPAADSNARDVGGSSMNGEEEVLNAETAPTFARDQLSLGVSGGSVGMGASHEAEIHGIEVSEHKTESVVGDVEPFPELTETMGHTGESVPGPGMMGEFVPEDVGREEPQGDSQDMASRLVDRVDSVSTKADSVESGEKMSHARGLESNIQHSLSCNARVYSGIDLSKDEVTQTGKMVSKDDYEPGPDLGATNGENDCETGLPEFDPIKHHNNYCPWVNGNVAAACCISTGSSTISTSFSGWQLTVDALETVQSLGQDQNQAAQSDSAASLCKDDNIAPKRKLLKRPNHSKSKC* >Brasy6G012700.1.p pacid=40049583 transcript=Brasy6G012700.1 locus=Brasy6G012700 ID=Brasy6G012700.1.v1.1 annot-version=v1.1 MAAAASWSELPLDLLGLVLESLAPSAGNGAPPPAAGHRVGGQSLRPLRRTPAAPPGLLPRQRRLPWLHRRLARPRHWPQTRLGGFREVHLPQLRLAQPFQRDVRAAHHARQPRDLQDLQVPHALRPRRLHPIIVFRQGKGAWVPPPRAAPYIYIIDVAFLGDTLYAINENEDLIPLNLASDGDGKPVVTMGSRVVKNNPPGYFWYDAWSAPDDDQVEEEEEFEEDEEDEEAASNDEEAGDDDDEKTNELIMVTRHLIESGGKLFMVRRHMKCPSNDSSSRTTCVDVLEADAAAGAWVPLPNSNGLGGGQALFISTGFSKFVSAPSGEVEEDVIYDIYSGEVFDMKSQVSRMPRFCIPLQGITWLFPPGLVV* >Brasy6G222000.1.p pacid=40049584 transcript=Brasy6G222000.1 locus=Brasy6G222000 ID=Brasy6G222000.1.v1.1 annot-version=v1.1 MMDPEMMRLAQEQMRRMSPDDLARMQQQMMANPDLIKLASESMKNMRTEDFKRAAQQLSQTRPEEMLNMTEKIANAKPEEFAAMKAQADAQMSYAISGAKMLKQQGNELHSRGQYTDAADKYKLAKDNMKNVPSAAGQNLQLLCALNLMSCYLKSGKFIECINEGSEVLTYDSNNVKAYYRRGQAYKELGNLEAAVADLSKAHEISPEDETIAEVLRDTEEKLATEGGRANLPKGVVIEEVVEEDASEPSCTQRSLSSGYTVSQPHEGVGSSRQSESSESLRNDPATIRSFQNYVSNSDADGLSKLGMPGMSPELLKSATDMIGTMKPEELQKMFQVASSLNGTSPVAPNLGSNMPEMSPDMVNMASNMIGKMSPDELQNMLDFASKIGAPSSAPLRPGSNLQSSSRATASTNNLQPSSSQNVVENLDDIVNSQRMDQPSSSSPPSTADMQETMRNSMKDPAMRQMFASMMKNMSPDMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMKWMERAQQGVEVAKKTKNWLLGRKGLILAIVMLVVAFILQRLGLIGR* >Brasy6G013000.1.p pacid=40049585 transcript=Brasy6G013000.1 locus=Brasy6G013000 ID=Brasy6G013000.1.v1.1 annot-version=v1.1 MPEDRPWKGAAIPCDATDKAIFQSCTEITIGNGKLASFWTDRWLDGEALCDSAPELFKLARRKKITVQQGVEEGKWMVGLQRLHTEDQLICLIKIWENTHALVLSSMEDKIKWKIDTRGKYSAKSAYNFQFWARIQQPHLEKTWNIKA* >Brasy6G119000.1.p pacid=40049586 transcript=Brasy6G119000.1 locus=Brasy6G119000 ID=Brasy6G119000.1.v1.1 annot-version=v1.1 MIRHLIQPNVTKTLLFPLGWIGWAHLRYGPKALRLVGYAQYKAAEQVHLVLPSSSSSPPPPPPPHTSSDLPRPPPSPTMPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSRYLYTLCVFDADKANKLKQSLPPGLSVQEV* >Brasy6G083100.1.p pacid=40049587 transcript=Brasy6G083100.1 locus=Brasy6G083100 ID=Brasy6G083100.1.v1.1 annot-version=v1.1 MVIGIVDLCDSVTSTCTFIVELWLVGQGSYVFPSLPSPRSLPQARTKTPAAHSSSAVSPASSSSPSARCSPPPPPPRCSPPPTPCVEDLGRRRRKPLPLPCSLPPRRSGGQAIASPASSPSLSLSSAAGRPLAATGHPLVDLRGCAPFGAMLPQPRPR* >Brasy6G112900.1.p pacid=40049588 transcript=Brasy6G112900.1 locus=Brasy6G112900 ID=Brasy6G112900.1.v1.1 annot-version=v1.1 MAPRFLACFGSRGGSATASAPDHQAEQADDQQQQQLPPGPVLVELFSSQGCGASPEADAVAARLAQDAAEEGGECERAVVVLGFHVDYWDYRGWKDPFASSAWTVRQKSYVEALCLDTLFTPQVVVQGRAHCVGTEQDKLAQAVRDAPRYPSPAMKVTFQRPNPGTLQATFKGALRARVDGGDGSVLVALYESGVVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASAKKTVSGSVQFPLWDGFRATKCGLVLFVQNAKLHVLGVQHFDLPDNV* >Brasy6G147800.1.p pacid=40049589 transcript=Brasy6G147800.1 locus=Brasy6G147800 ID=Brasy6G147800.1.v1.1 annot-version=v1.1 MPPVESPRWQQKATDFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAIFQDARQRPPPPGDTVQERFISAAANTGVILRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLILAKCADYLVISGLSNEYLFRSEGDRKVLQQLVSLYNEDSGASLPDGVSPIDVAALIKCYLASIPEPLTTLALYGELRDARVSIDDLKNILKKLPNVNYMTLEFITALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLGFTLKAPPKIVDTTSNTATWDLLDEDDVDASSQIPLDDASPPDYSAIEVIQCLIEHHNPIFTDANETIWR* >Brasy6G000700.1.p pacid=40049590 transcript=Brasy6G000700.1 locus=Brasy6G000700 ID=Brasy6G000700.1.v1.1 annot-version=v1.1 MKNQSPFHGSLLLLLPRLVVLTSPPPPVHNLRLGHAGHMELSRIRTLERRVLRPLLLLPARSRTFQTLARASPPPRIPSLLLLLRTRHLPPPRSASLPLLRSFASVSPGRDLRCNDDGLPPAPLPPPPPEELAADDDAYYQEQLLEYAQEDQTRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKTGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVERHASGLLPEMRKDDYAVVEKPTLETWMEGGLDFIILKDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEFLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVHEQM* >Brasy6G003200.1.p pacid=40049591 transcript=Brasy6G003200.1 locus=Brasy6G003200 ID=Brasy6G003200.1.v1.1 annot-version=v1.1 MPAPYSAAAAAQQHRPLAATAVTGSRCGEHDGTVPAEVAQHHSHPSSSSASAAGPWRCCSAVVQRVRAPTSAVWSVVRRFGEPQAYKSFVRSCAVLDGDGGVGTLREVRVVSGLPAASSRERLEVLDDDRRVLSFRVVGGDNRLRNYRSVTTVHPSCSSAAEEAGESEETVVVESYVVEVPAGNTAEDTRTFVDTIVKCNLLSLARTAEKLAARCP* >Brasy6G245000.1.p pacid=40049592 transcript=Brasy6G245000.1 locus=Brasy6G245000 ID=Brasy6G245000.1.v1.1 annot-version=v1.1 MIRLRSFVLTRLLSSPSATTFPSLHRLLSAAAVPAPQISPNPGFAVADYLVETCGLTRAQALKASKKLSHLKSPSNPDAVLAFLAGLGLSSSDVAAIVAKDPKFLCAGVGAILEPNVVELTGLGLSHSEIARLVSLDGSHFRTRSIVSKLSYYLPLFGSPENLLRALRRNSYLLTSSLDKVVDPNRAFLWECGLADCDIAKLCTGVPWILTAKVERIRSMVKCAEAIGVPRSSKMFKHALHAVGFQSEHVLAAKVEYLKNTFRWSEAEVGIAVSKAPTLLSRSKDTLQHMSEFLFSEVGLEPSYIAHRAGLLTCSLEGRIRSRYYILNFLKANGLLKRELSCYSTIMMSEKLFMKRIISPHKEALPQLAEDYAAACRGEVPTNFILT* >Brasy6G206200.1.p pacid=40049593 transcript=Brasy6G206200.1 locus=Brasy6G206200 ID=Brasy6G206200.1.v1.1 annot-version=v1.1 MRLSSSLQDLPTFSRIDALERGSSIGGDLSSGRAKPVRTLQREGPVASFSKERTPPSSPTNRKKCMRTVGCAVALFLLVCSIYASLRYFHVFLSEGSSEYYVILDCGSTGTRVYVYEWTVNHNDGNAFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLSKLVHNEIGMKKAIEPLLQMAERQIPRRAHKHTPVFLYATAGVRKLPSADSEWLLDKAWDVLKNSSFLCSRDRVKIISGMEEAYYGWIALNHHMNMLGTSSSEMTYGSLDLGGSSLQVTFETDKAMQDDTSISLRIGSVNHQLSAYSLSGYGLNDAFDKSVAHLVKMLGGTAGKGKVQVKHPCLQTGYKEDYVCSYCHSLKQDGSPSVSGKTTGLEKPGTAVELVGAPQWSECSALAKVAVNLSEWSNASSGVDCNLQPCALASTFPQPHGQFYAMSGFYVVFKFFNLTPDATLIDVLKRGQEFCEKPWEVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIGSGSITWTLGVALLEAGQALSTRMDIQGYMILHREINPTILIALFLISVVLVICAILCVSNSIPRSFRKSYLPIFRQNSGGSSALGMGSPFRFHLWRQINSGDGRTKTPLSPTVTGSESHPFSMTHGLGGSGVQLMESSRQSLGVNHSYSVGSLGQMQFSSGLWNSTRGQTTLQSRRSQSREDLTSSLADLHVPKV* >Brasy6G026800.1.p pacid=40049594 transcript=Brasy6G026800.1 locus=Brasy6G026800 ID=Brasy6G026800.1.v1.1 annot-version=v1.1 MDLPAWVGSIDPTSLVQSASMAERDLPADASSRRRRPTSAWSWPTGSGRSATTPPSASRSRTRPLPSRQGEHEYINAMVESKLQQDAAKLIVEVDFRSEFEVARTTKAYRAVLQALPAVFAGMPDRLRRIVAIVVEAARPSLRKKGLNFPPWCKPEYMALPHARKQQLVSAASFTGEFELRFDGETKGRDSGEDRGFFYALHAGKPRATLVPTGGPHRLESSLAR* >Brasy6G206000.1.p pacid=40049595 transcript=Brasy6G206000.1 locus=Brasy6G206000 ID=Brasy6G206000.1.v1.1 annot-version=v1.1 MDTSSLAATNQVTDDAPPPKRRRLAQPVAEPQTIADMAQEILLRLPPGDPGCLARAALVCKRWRRLVSDRAFLRRYRAFHRTPPMLGFVLNLKQHFRGMAELVPTAPSFRPETTCYEGLNVLDARHGRVLLRTAELGSRQNLVVWDPITDDDWEIPDLPEYALGFNAAVLCATAGCDHLDCRGGPFRVALVGSSERGITFACTYSSEAGAWGQRIVIEEPAFVDEQRPSVLVGNTVYFACDPHINFKIVGYDVVAQKLSVFWPPPQHDDYCSCAIMRVGDGTLGLAGVQVDSFFWEDNTSARLYLWSMEAGPDGYAEWTQRRVIRHKTLLRTRGLSTPPRIVGFADGHDLIYVRTGNKVLSMDLKSDHVRQVYACRSRNYDVTIIPYLSFYTPDHATGSLPSP* >Brasy6G023600.1.p pacid=40049596 transcript=Brasy6G023600.1 locus=Brasy6G023600 ID=Brasy6G023600.1.v1.1 annot-version=v1.1 MLRAVRHLVLSSAKSGAAGSSSSSRHLSASFSDSQRLAGKVAVITGAASGIGKATAAEFVRHGTKVILADIQDSLGHAVAASLGDPDTAFYTRCDVTDESQVSAAVDLAVSKHGKLDIMFNNAGITTGGNSGYAGTRIEATDMADFDRVMAVNLRGVAAGIKHAARAMAADTHGGCILCTSSTAGALGGSGPFAYSVSKAAVVGMVRAAAGELARQGVRVNAISPYAIATPMGVRSVRDMLPGIGDEELRKVFEEELNEMAGGGVVLRALDVARAAVFLASDEARYVTGHNLVVDGGFTVGKGLNIAAAR* >Brasy6G037800.1.p pacid=40049597 transcript=Brasy6G037800.1 locus=Brasy6G037800 ID=Brasy6G037800.1.v1.1 annot-version=v1.1 MKPTALVLLTLVVAAAHVLLAQCHRPEIHDAGGLSRGAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFVQIPGMISGNGTADVAVDEYHRYKEDVAIMKNMGFDAYRFSISWSRIFPNGAGKVNQEGVDYYNRLIDYMLQQGITPYANLYHYDLPLALHQQYLGWLSPKIVDAFVDYADFCFKVFGDRVKNWFTFNEPRCVAALGYDNGYHAPGRCSQCTAGGDSTTEPYLVAHHLILSHAAAVKRYREKYQHHQKGRIGILLDFVWYEPFSKSNADQAAAQRARDFHLGWFLDPIVHGQYPESMLKIVEGRLPTFSHEESRMVKGSIDYVGINHYTSYYMKDPGAWNLTPVSYQDDWHVGFVYERNGVPIGARANSYWLYIVPWGINKAVTYVKERYGNPTMFLSENGMDQPGNVSITEGVHDTVRVRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGIIYVDYKTLKRYPKDSAFWFKNMLSKKKRI* >Brasy6G037800.2.p pacid=40049598 transcript=Brasy6G037800.2 locus=Brasy6G037800 ID=Brasy6G037800.2.v1.1 annot-version=v1.1 MKPTALVLLTLVVAAAHVLLAQCHRPEIHDAGGLSRGAFPEGFVFGTAASAYQVEGMAKRGGRGPSIWDAFVQIPGMISGNGTADVAVDEYHRYKEDVAIMKNMGFDAYRFSISWSRIFPNGAGKVNQEGVDYYNRLIDYMLQQGITPYANLYHYDLPLALHQQYLGWLSPKIVDAFVDYADFCFKVFGDRVKNWFTFNEPRCVAALGYDNGYHAPGRCSQCTAGGDSTTEPYLVAHHLILSHAAAVKRYREKYQHHQKGRIGILLDFVWYEPFSKSNADQAAAQRARDFHLGWFLDPIVHGQYPESMLKIVEGRLPTFSHEESRMVKGSIDYVGINHYTSYYMKDPGAWNLTPVSYQDDWHVGFVYERNGVPIGARANSYWLYIVPWGINKAVTYVKERYGNPTMFLSENGMDQPGNVSITEGVHDTVRVRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGIIYVDYKTLKRYPKDSAFWFKNMLSKKKRI* >Brasy6G257800.1.p pacid=40049599 transcript=Brasy6G257800.1 locus=Brasy6G257800 ID=Brasy6G257800.1.v1.1 annot-version=v1.1 MTLSWVIKSTLLFSACELESSTTLKGCFSGCNRSRPFFFLEGATEADLGLAYGYKKKYTEA* >Brasy6G168500.1.p pacid=40049600 transcript=Brasy6G168500.1 locus=Brasy6G168500 ID=Brasy6G168500.1.v1.1 annot-version=v1.1 MVSISCHLEHGLADQNLRGSTHIISEGQYQTDQTDRRNSMELPLQFHCSLVCICESLANPKQKKQI* >Brasy6G222100.1.p pacid=40049601 transcript=Brasy6G222100.1 locus=Brasy6G222100 ID=Brasy6G222100.1.v1.1 annot-version=v1.1 MGPRRRRSDNINSARTARSGAPCGATSRPTPSTRPASPPSPRSAGPPSTPPSPTCCCGAPRPRARGEAVVVVVRECLFGAVFSLVTRMCFGADDDDDGVGGADDVQVRAMARVVHDFVLLMGGSSSVSGAGHWAKLLDKLLQWRLSRQLGDPSTLRRRQAELFLPLIDARRQSSSSSARTRNDRVRPYIDSLIDLRSKVVGRRALTDDEMVSLVSEFLGACTETVAACVEWTLAHLVARPDIQTTLRRVRELIENNDDDEPPSGRRGGMPYLHAVVLESLRMHPPVPFAMRHVQAQHLHELLGQHDLLQPAPVRVHFVLGDIGRDPKAWADPGEFRPERFLPGGEAEGVGPLPGPNNREIRMMPFGAGPRYCPGMGLAVLHVKCFLSALVREFHWAPPSSSSSAAGVDLTELDGMFKVMKTPLRARVTPHVH* >Brasy6G169200.1.p pacid=40049602 transcript=Brasy6G169200.1 locus=Brasy6G169200 ID=Brasy6G169200.1.v1.1 annot-version=v1.1 MLPYHLPNHVPLNLPPGTMSIPSLFAKPLSHLPAKRGLSTLTSRIRITMLNQQDHHQGIKGHDETSSSRPLYLGIDFGTSGARYALIDKQGAIHSQGKRTYPPVGKDTNLESSWRKALFDLLSDIPSIHRPSISSISIDGTSSTALIIDRNNGELLAGPFLYNESFPDALPAVASIAPANHTVCSGSSTLCKLVSWWEKNPSNGDDLAILMHQSDWLLWLLHGKYGFSDYNNTLKEVETPVLCLLVCRSQLGYDPEMDSYPSWLMSQPYSYMLPSSVRAPGASIGSIKEDVCSQYGFSKNCLVCTGTTDSIAAFLAARTTDPGKAVTSLGSTLAIKLVSRVRVDDARFGVYSHRLDDLWLVGGASNTGGTPLRQLFSDDQLVALSVGIDPSSPSRLDYYPLPGKGERFPVSDPDMAPRLEPRPGSDAEYLHGILESIARIEASGYKLLEELGATAVEEVLTAGGGAQNDKWTAIRERVLGVPVRKAEQTEAAYGAALLALRAATSLDP* >Brasy6G169200.2.p pacid=40049603 transcript=Brasy6G169200.2 locus=Brasy6G169200 ID=Brasy6G169200.2.v1.1 annot-version=v1.1 MLPYHLPNHVPLNLPPGTMSIPSLFAKPLSHLPAKRGLSTLTSRIRITMLNQQDHHQGIKGHDETSSSRPLYLGIDFGTSGARYALIDKQGAIHSQGKRTYPPVGKDTNLESSWRKALFDLLSDIPSIHRPSISSISIDGTSSTALIIDRNNGELLAGPFLYNESFPDALPAVASIAPANHTVCSGSSTLCKLVSWWEKNPSNGDDLAILMHQSDWLLWLLHGKYGFSDYNNTLKLGYDPEMDSYPSWLMSQPYSYMLPSSVRAPGASIGSIKEDVCSQYGFSKNCLVCTGTTDSIAAFLAARTTDPGKAVTSLGSTLAIKLVSRVRVDDARFGVYSHRLDDLWLVGGASNTGGTPLRQLFSDDQLVALSVGIDPSSPSRLDYYPLPGKGERFPVSDPDMAPRLEPRPGSDAEYLHGILESIARIEASGYKLLEELGATAVEEVLTAGGGAQNDKWTAIRERVLGVPVRKAEQTEAAYGAALLALRAATSLDP* >Brasy6G108400.1.p pacid=40049604 transcript=Brasy6G108400.1 locus=Brasy6G108400 ID=Brasy6G108400.1.v1.1 annot-version=v1.1 MRLAGHRRDKMNVFVRFGLTGLFVFLLSGSFTDAYEEQWGYVQVREKAHMFYWSYRSPQRSVSSTARPTILWLQGGPGGSGVGRGNFLEIGPLDVSLQPRNSTWLRKADLIFVDCPVGVGYSYVEDPSALATTDSQVAADVMVLLKNVSERIPGLQSSPLFLVGESYGGKLAAMIGVSVTRAIRAATLSLKLGGVVLGDGWISPADFAVSHAQLLHDVSRLNDIAVGHANRMAATVKEKMAAGQFAMARRTWIDLLDLIDYHSNSVNMENFLLDTGMNPILENPSSLRSSQLMSQASQSAANTIDAIMNGIIKEKLMIIPKDLIWQEASIQVHDALANTFMKPAINKVDELLAYGVNVTVYNGQLDVICPTIGVEAWVKKLKWSGLKNFLSLPRDPLRYGDSSKHLSRAIEAYVRSYKNLNFYWILLAGHMVPVDQPAVALEMISSIIESPDS* >Brasy6G234400.1.p pacid=40049605 transcript=Brasy6G234400.1 locus=Brasy6G234400 ID=Brasy6G234400.1.v1.1 annot-version=v1.1 MPWHDGERGAPGGQEEDTTHCSRACAIHKQPPEAQRRWNTCVKKPSEQKPSLKKDQDFLLNINMEEFKVGRIDGLPAKIRSVPVAVTPEGLWCCPSQAVLQRTVKNHNQQARPKVGASPPASKASSVQRAPTISSEKRSHSTPGRAKVNSVEQVCSPADAAPPDPPKVAPVHESRQKQHKISVGFGQLQTSDLKVVLYGREGVAVKMIVHKNILAENSTFFADKLSSQSPVPFIEVPDCEDVEIFVETVGLMYCKDVKQRLIKQNVPRVLRIFKVAESLGFAACVVSCLDYLEAVPWVGEEEENVISSIRQIHSENYGVSPLLKRVTSEPTNPPNDTLMHIIELVLKSGEDRGRREMKSLVLKLLKESNICSTNGSADSCAVTLYSSCRNCLESLLNLFRQASDPEFAEQSSDNKDPIFRQITLQADNLLWLAEILADMKDADELASIWASQDELARLHSTVPVMHRHLVSCVTARLFIAIGRGEALPPKCTRRLLLDAWLQPLMDDYNWLQHGCRWFDRGVVEEGIGQTILTLPLEDQQTVLLAWLGKFLKTGDGCPNLQRAFEVWWRRTFVRPYAEQQRPQQGSSSSSSRHSGRS* >Brasy6G234400.2.p pacid=40049606 transcript=Brasy6G234400.2 locus=Brasy6G234400 ID=Brasy6G234400.2.v1.1 annot-version=v1.1 MEEFKVGRIDGLPAKIRSVPVAVTPEGLWCCPSQAVLQRTVKNHNQQARPKVGASPPASKASSVQRAPTISSEKRSHSTPGRAKVNSVEQVCSPADAAPPDPPKVAPVHESRQKQHKISVGFGQLQTSDLKVVLYGREGVAVKMIVHKNILAENSTFFADKLSSQSPVPFIEVPDCEDVEIFVETVGLMYCKDVKQRLIKQNVPRVLRIFKVAESLGFAACVVSCLDYLEAVPWVGEEEENVISSIRQIHSENYGVSPLLKRVTSEPTNPPNDTLMHIIELVLKSGEDRGRREMKSLVLKLLKESNICSTNGSADSCAVTLYSSCRNCLESLLNLFRQASDPEFAEQSSDNKDPIFRQITLQADNLLWLAEILADMKDADELASIWASQDELARLHSTVPVMHRHLVSCVTARLFIAIGRGEALPPKCTRRLLLDAWLQPLMDDYNWLQHGCRWFDRGVVEEGIGQTILTLPLEDQQTVLLAWLGKFLKTGDGCPNLQRAFEVWWRRTFVRPYAEQQRPQQGSSSSSSRHSGRS* >Brasy6G182900.1.p pacid=40049607 transcript=Brasy6G182900.1 locus=Brasy6G182900 ID=Brasy6G182900.1.v1.1 annot-version=v1.1 MSSAPPPSPAPRAPNPEAPPRVVRPPRRPPRAPGPPPWAERRPSISVDYDRGRRTARVEVDGIGADALPARHRLRVEGSRWQRNWKVSEVTARVLALPRAEAHAVDAVLNCWAGHFARRNFPLLIREITFAGSLQHAVHVFRWMKNQENYCARNDIYGMMIRLHARHSQIDQARGLFFEMQEWRCKPDADIYNSLIHAHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTRNGVGPDLVTHNIVLSAFKNGSQYSKAIAYFEMMKGANIAPDTFTLNIVIHCLVKAGQYGEAIELLNSMREKRTQCPPDVVTYTSIMHSYYVCGKVEDCKAVFDMMVAEGVKPNIVSYNALLGAYASRGMHAEALGIFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREAFKEMRKNSCRPNIVSYNALIDAYGSAGMFKEAISLLHEMEKDGIPPDVVSISTLLTACGRCRQITKIDTILEAAKSRGIKLNIVCYNSGIGSYLNFGDYVKALELYSIMMASNVNPDAVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCLPDVLTYTAMIQAYNDDGSWRNAWDLFKEMEGNIVQPDAIICSSLMEALNRGSQHERVLELMELMKEKCIPLNQKAYFEIIASCSMLRDWKTASEVIEHLDSSLSSISVGTLNHLLSFLGKCGKTECMMKLFYKMVSSGSTVGLSTYTVLLRNLLAVGKWRKYVEVLQWMEDAGVSPTLYMYQNVLPYIWRDNSMDYVTLMQEKINSLREKIT* >Brasy6G182900.2.p pacid=40049608 transcript=Brasy6G182900.2 locus=Brasy6G182900 ID=Brasy6G182900.2.v1.1 annot-version=v1.1 MSSAPPPSPAPRAPNPEAPPRVVRPPRRPPRAPGPPPWAERRPSISVDYDRGRRTARVEVDGIGADALPARHRLRVEGSRWQRNWKVSEVTARVLALPRAEAHAVDAVLNCWAGHFARRNFPLLIREITFAGSLQHAVHVFRWMKNQENYCARNDIYGMMIRLHARHSQIDQARGLFFEMQEWRCKPDADIYNSLIHAHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTRNGVGPDLVTHNIVLSAFKNGSQYSKAIAYFEMMKGANIAPDTFTLNIVIHCLVKAGQYGEAIELLNSMREKRTQCPPDVVTYTSIMHSYYVCGKVEDCKAVFDMMVAEGVKPNIVSYNALLGAYASRGMHAEALGIFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREAFKEMRKNSCRPNIVSYNALIDAYGSAGMFKEAISLLHEMEKDGIPPDVVSISTLLTACGRCRQITKIDTILEAAKSRGIKLNIVCYNSGIGSYLNFGDYVKALELYSIMMASNVNPDAVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCLPDVLTYTAMIQAYNDDGSWRNAWDLFKEMEGNIVQPDAIICSSLMEALNRGSQHERVLELMELMKEKCIPLNQKAYFEIIASCSMLRDWKTASEVIEHLDSSLSSISVGTLNHLLSFLGKCGKTECMMKLFYKMVSSGSTVGLSTYTVLLRNLLAVGKWRKYVEGREEEG* >Brasy6G182900.3.p pacid=40049609 transcript=Brasy6G182900.3 locus=Brasy6G182900 ID=Brasy6G182900.3.v1.1 annot-version=v1.1 MSSAPPPSPAPRAPNPEAPPRVVRPPRRPPRAPGPPPWAERRPSISVDYDRGRRTARVEVDGIGADALPARHRLRVEGSRWQRNWKVSEVTARVLALPRAEAHAVDAVLNCWAGHFARRNFPLLIREITFAGSLQHAVHVFRWMKNQENYCARNDIYGMMIRLHARHSQIDQARGLFFEMQEWRCKPDADIYNSLIHAHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTRNGVGPDLVTHNIVLSAFKNGSQYSKAIAYFEMMKGANIAPDTFTLNIVIHCLVKAGQYGEAIELLNSMREKRTQCPPDVVTYTSIMHSYYVCGKVEDCKAVFDMMVAEGVKPNIVSYNALLGAYASRGMHAEALGIFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREAFKEMRKNSCRPNIVSYNALIDAYGSAGMFKEAISLLHEMEKDGIPPDVVSISTLLTACGRCRQITKIDTILEAAKSRGIKLNIVCYNSGIGSYLNFGDYVKALELYSIMMASNVNPDAVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCLPDVLTYTAMIQAYNDDGSWRNAWDLFKEMEGNIVQPDAIICSSLMEALNRGSQHERVLELMELMKEKCIPLNQKAYFEIIASCSMLRDWKTASEVIEHLDSSLSSISVGTLNHLLSFLGKCGKTECMMKLFYKMVSSGSTVGLSTYTVLLRNLLAVGKWRKYVEGREEEG* >Brasy6G182900.4.p pacid=40049610 transcript=Brasy6G182900.4 locus=Brasy6G182900 ID=Brasy6G182900.4.v1.1 annot-version=v1.1 MKNQENYCARNDIYGMMIRLHARHSQIDQARGLFFEMQEWRCKPDADIYNSLIHAHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTRNGVGPDLVTHNIVLSAFKNGSQYSKAIAYFEMMKGANIAPDTFTLNIVIHCLVKAGQYGEAIELLNSMREKRTQCPPDVVTYTSIMHSYYVCGKVEDCKAVFDMMVAEGVKPNIVSYNALLGAYASRGMHAEALGIFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREAFKEMRKNSCRPNIVSYNALIDAYGSAGMFKEAISLLHEMEKDGIPPDVVSISTLLTACGRCRQITKIDTILEAAKSRGIKLNIVCYNSGIGSYLNFGDYVKALELYSIMMASNVNPDAVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCLPDVLTYTAMIQAYNDDGSWRNAWDLFKEMEGNIVQPDAIICSSLMEALNRGSQHERVLELMELMKEKCIPLNQKAYFEIIASCSMLRDWKTASEVIEHLDSSLSSISVGTLNHLLSFLGKCGKTECMMKLFYKMVSSGSTVGLSTYTVLLRNLLAVGKWRKYVEVLQWMEDAGVSPTLYMYQNVLPYIWRDNSMDYVTLMQEKINSLREKIT* >Brasy6G182900.5.p pacid=40049611 transcript=Brasy6G182900.5 locus=Brasy6G182900 ID=Brasy6G182900.5.v1.1 annot-version=v1.1 MKNQENYCARNDIYGMMIRLHARHSQIDQARGLFFEMQEWRCKPDADIYNSLIHAHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKALELCKKMTRNGVGPDLVTHNIVLSAFKNGSQYSKAIAYFEMMKGANIAPDTFTLNIVIHCLVKAGQYGEAIELLNSMREKRTQCPPDVVTYTSIMHSYYVCGKVEDCKAVFDMMVAEGVKPNIVSYNALLGAYASRGMHAEALGIFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREAFKEMRKNSCRPNIVSYNALIDAYGSAGMFKEAISLLHEMEKDGIPPDVVSISTLLTACGRCRQITKIDTILEAAKSRGIKLNIVCYNSGIGSYLNFGDYVKALELYSIMMASNVNPDAVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCLPDVLTYTAMIQAYNDDGSWRNAWDLFKEMEGNIVQPDAIICSSLMEALNRGSQHERVLELMELMKEKCIPLNQKAYFEIIASCSMLRDWKTASEVIEHLDSSLSSISVGTLNHLLSFLGKCGKTECMMKLFYKMVSSGSTVGLSTYTVLLRNLLAVGKWRKYVEVLQWMEDAGVSPTLYMYQNVLPYIWRDNSMDYVTLMQEKINSLREKIT* >Brasy6G007500.1.p pacid=40049612 transcript=Brasy6G007500.1 locus=Brasy6G007500 ID=Brasy6G007500.1.v1.1 annot-version=v1.1 MGRRAWLAALAVVALALLGVTIEARRLEKDGLGGGGGFGGGGGFGAGIGHGGGVGIGFGGGKGGGLGGGGGLGGGGGAGGGIGHGGGAGIGFGGGKGGGLGGGGGLGGGGGAGGGGGLGGGFGGGKGGGIGHGGGLGGGIGHGGGLGGGIGKGGGLGGGIGHGGGLGGGIGKGGGLGGGIGHGGGVGGGIGKGGGLGGGGGLGGGAGGGAGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGLGGGAGGGAGGGLGGGAGGGGGLGGGAGGGSGGGLGGGAGSGGGLGGGAGAGGGLGGGAGSGGGLGGGTGGGAGAGSGGGFGGGAGGGAGGGGGLGGGAGGGGGAGAGGGFGGGKGGGFGGGVGGGGGAGGGAGGGFGGGSGGGAGGGFGAGHGGGAGFGGGGGGGAGGGIGDGQ* >Brasy6G157000.1.p pacid=40049613 transcript=Brasy6G157000.1 locus=Brasy6G157000 ID=Brasy6G157000.1.v1.1 annot-version=v1.1 MGASHSRIPPSAARHSPASPGSVCAFAPRSRARSSARTLASSSSPGRTSTCRGSCFFSNSGESVAAADPPPRGPCSYPPPTGASRPPSEASAALGFDPRESQAPSCPTLLFLNAAGALGPPISQSDTDSKRRRSAGASHLPIPSRFRSGGGGAWRRGPGSGQQPRAPTRPWGARSPWRMPARQASRPCARENTISRLHWDLSFPGPAPRAASGKGEGEDDGRRARTCCSSYPLPAWRSRSARDWWSSGMDRRWRSSRKHAPPLELGKKRHPVLVLAQP* >Brasy6G264000.1.p pacid=40049614 transcript=Brasy6G264000.1 locus=Brasy6G264000 ID=Brasy6G264000.1.v1.1 annot-version=v1.1 MASLRRTTTKAAAAATIFVLHLLLAFAFSSSSPDDDRAALLHLKHGLLFSGSGDSVLDHWSPEHGAEHCSWPAVRCDARSRRVVALSLRSGRLSPLSPSPSLSPAVARLTELRFLSMPSLGIGGEIPGALWRLQRLEVLNLAGNALRGSLPAAFPSGLQILDLSGNHLSGSIPPGIGKLAALRVLDLAGNRISGGVPPELRHCGSLMKVDLSGNLLHGRVPAASVLRELKRLRFLSLAGNNFSGELPSGLGQMRSLRVLNLSSNYLSGVVPSDLAALRNQTVLLLDNNLLSVEKKVSVEVVDVSPVAVDSSVVNPPPGSSELFTVIPEFRNSRVLTEANKGTPSDDSHKAAHLRMIEIVAVASASAVLVIMFVVAIVCICTRKCNPSRERRSCNRREVKVFDGVDVGASLTYEAVVRATGNFNASNCIGSGGFGATYRAEVAPGVLVAIKRLSIGKQQGAKQFQTEVETLGRCRHPNLVTLVGFHISDEETFLIYNYLPGGNLERFIQQRTKRQLSWRKLHKIAMDIAHALAFMHDECSPRILHRDVKPSNILLDNDHSAYLSDFGLAKLLRNSQTHATTNVAGTFGYVAPEYAMTCRVSEKADVYSYGVVLLELISDKQALDPSFSPYGDGFNIINWAIKMMQSGRVRGFFIEGLWDKAPHDDLVEILNLGVMCTMENPAARPRMKHVVRRLRDMRPPSY* >Brasy6G007000.1.p pacid=40049615 transcript=Brasy6G007000.1 locus=Brasy6G007000 ID=Brasy6G007000.1.v1.1 annot-version=v1.1 MELTGSSTCPSWPWATASFLLLLLISTLQLFLSHKKRQGRLPPGPPALLFIAKFLALRRRSALGLGPLLRGLHARYGPVVAVRLLGTTRVFVADIKLAHRVLVKGGAAFADRPPLAEPAALFNSGTRGISNAPYGSYWGLVRRNLAAQALQHPSRVACFVPARRHARDALVRDLLGHGHGRDGAAAVALRPLFRRALFELLLHMTLGARLAPETLDEIQELQQHIVRAMSGFPFFSFPAITKRLFRRRWARYVALRRRQEEIFLPLIHARRDDDRAADEEDPPCYADSLLSLRVSEEGGRPLTDAEVVSLCSEFLNGAADTTLTAVEWIMAELVNHPGMQSKVYEEVKIRADRLELDDDKSMTYLKAVVLEGLRLHPPTNILIPHAAHGDGAEIDGYAVPKGTEVHFLVSNFGRDETVWTAAMEFRPERFLDGGEGHGVDVTGAREIKMIPFGAGRRMCPAYRLGMLHVEYFVGSLVGELEWLPAAEGEVVDMAEELDFTTVMKHPLRARVVPRTT* >Brasy6G180400.1.p pacid=40049616 transcript=Brasy6G180400.1 locus=Brasy6G180400 ID=Brasy6G180400.1.v1.1 annot-version=v1.1 MNTHGNKIELTFSGTVLEDVMDVDTILEPSASLVANPSPITPSSIVGNGGALGTLLEDVMNEDTTPEPGTSPTTNPPSSMPTFTIGTDVDLDNFLKDAMDEDTIPEEEMDA* >Brasy6G173400.1.p pacid=40049617 transcript=Brasy6G173400.1 locus=Brasy6G173400 ID=Brasy6G173400.1.v1.1 annot-version=v1.1 MQNNFRASNYPSNLQHVNLNSHASATPEIHMPMNTMMSSINQYETPNVRNFYNKQRSVSSFYSSAGYSQHVGAPSSMLMDVGIGHATTSYLAGYSQPSYATSHVTDFSAPYATSDIHYSAPHLHNGYSRINETSIEAHAPSSSTVAYGMSPAQLQSFRNRSLPKETKSIAGQSYAGLDELKERLLSNFHEFEALHRQLIERPHDPATIQADEAYKKRSEERNTFKVRVSPPQLQNFGNTSLPKEAKSIGGQSCPEEANIHDEEHGECSETTVFDFSGCKGAYVLPYEFRAKEIDDHQKEENIAEQCSVNIDFQIEEARDPVKEEDKALENHPKAERAIVHAMLPSCSPNVFKEVYLTKNLLVFRFGHNFIVNVSISKNFIGFERPIERSILFARNLIVTEHIGQHIVPFRETDSNKLSHPKFFPLLYLNFISTWVALVVSYLAYIWSQVRHVYYNYFSFRNLKPRLKYFQKADAIIV* >Brasy6G177100.1.p pacid=40049618 transcript=Brasy6G177100.1 locus=Brasy6G177100 ID=Brasy6G177100.1.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQMKNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEVEHISAVVDEDIIDLNLRISQPKVHDLKSDDILTGFELSCDSPQVTRSFVSQPVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.2.p pacid=40049619 transcript=Brasy6G177100.2 locus=Brasy6G177100 ID=Brasy6G177100.2.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQMKNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEAVVDEDIIDLNLRISQPKVHDLKSDDILTGFELSCDSPQVTRSFVSQPVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.3.p pacid=40049620 transcript=Brasy6G177100.3 locus=Brasy6G177100 ID=Brasy6G177100.3.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQMKNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEVVDEDIIDLNLRISQPKVHDLKSDDILTGFELSCDSPQVTRSFVSQPVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.4.p pacid=40049621 transcript=Brasy6G177100.4 locus=Brasy6G177100 ID=Brasy6G177100.4.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEAVVDEDIIDLNLRISQPKVHDLKSDDILTGFELSCDSPQVTRSFVSQPVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.5.p pacid=40049622 transcript=Brasy6G177100.5 locus=Brasy6G177100 ID=Brasy6G177100.5.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEVVDEDIIDLNLRISQPKVHDLKSDDILTGFELSCDSPQVTRSFVSQPVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.6.p pacid=40049623 transcript=Brasy6G177100.6 locus=Brasy6G177100 ID=Brasy6G177100.6.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQMKNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.7.p pacid=40049624 transcript=Brasy6G177100.7 locus=Brasy6G177100 ID=Brasy6G177100.7.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEVNPQWPIHSQASIQSQNQHLYSSPCLGIVVNVKEVLVEKRSDLVPQSFPAWSWQMQGSRSPVPLLATAASSGFSTSTQPHPFTGHRLYFPPTA* >Brasy6G177100.8.p pacid=40049625 transcript=Brasy6G177100.8 locus=Brasy6G177100 ID=Brasy6G177100.8.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQMKNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEAS* >Brasy6G177100.9.p pacid=40049626 transcript=Brasy6G177100.9 locus=Brasy6G177100 ID=Brasy6G177100.9.v1.1 annot-version=v1.1 MVLDLNAESPGGSGSATSSSSPPDSGGFRFDLLGGSADDEGCLLPVMTHQLFPSRDAGFHAAAAAVAASDDSPPLPPNSLSRRPADLGVAQKVAPGGAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLNDYAEDLKQNWTKEEFVHILRRQSTGFARGNSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAVRFNGREAVTNFDSTSYDRDVLPETENEAS* >Brasy6G072300.1.p pacid=40049627 transcript=Brasy6G072300.1 locus=Brasy6G072300 ID=Brasy6G072300.1.v1.1 annot-version=v1.1 MPVSRAAKPVSSKPNPFDSDSDSEFTSKPARASSSYSVDPSTKSQYKNGFHDSGGFENQSVQELESYAAYKADETTQRVNDCLRLAENIREGATNTLITLHKQGEQINRTHETAANIDQDLSRSETLLGSLGGLFSKTWKPKKTRQIKGPAIITRDDSFKRRANHLEQREKLGLSSSPREKLNSQKYSDPTNAMEKVQVEKDKQDNALSDLSDILGQLKGMALDMGSEIDRQTKAMDGLQDDVVELNSRVKGANQRARRLLGK* >Brasy6G205100.1.p pacid=40049628 transcript=Brasy6G205100.1 locus=Brasy6G205100 ID=Brasy6G205100.1.v1.1 annot-version=v1.1 MIAGNDDMISNLIACLFDMPRDRYSSKNVHILTYRILGNFAGSHFVKWRSTSPCH* >Brasy6G069800.1.p pacid=40049629 transcript=Brasy6G069800.1 locus=Brasy6G069800 ID=Brasy6G069800.1.v1.1 annot-version=v1.1 MFLDLFVHELLIDLLGHEMTGSGLFLPLLLSASCSIFSEFGTPVTDAQGFLWARYFNGCPFCTTNP* >Brasy6G048600.1.p pacid=40049630 transcript=Brasy6G048600.1 locus=Brasy6G048600 ID=Brasy6G048600.1.v1.1 annot-version=v1.1 MARNITTVVVASILVLVSLMSCDVVRGGCQDQSTKKYCSSPGDCAWLCHQAGHKSGHCELQPDGSYGNCICLVCTEDRSPAPQPGKPAESSPVPKEQNFGSNA* >Brasy6G104900.1.p pacid=40049631 transcript=Brasy6G104900.1 locus=Brasy6G104900 ID=Brasy6G104900.1.v1.1 annot-version=v1.1 MRSRVTRTYFLALQFFLSFNFNIARVSPLSFKLNFTESNNNALAAIQFQDDAFYNKVIRLTKDELNNDITYSVGRAVYTDPVPLWDSATGQLADFTTRFTFMIAATDRSNSTGEGLAFFLSPYPSVIPNSSTDGFLGLFSNSNGQNDPSNELVAVEFDSHKNTWDPDDNHVGIDIHSIVSVAKRTWNSSINDGRIANAWVTYQASSMNLSVFLTYEGNPQHSRNSSLSYSVDLRKYLPDKVAIGFSAATGRSVELHQILYWEFDSTDLQLMKIEKTRSILAISLGTSISVVVCSMGLVWYLLHFRSRRSKKEREKKLEYNVSIDCEFEKGRGPRRFRYNELVGATKNFVLERKLGEGGFGAVYQGFLKDQNLDVAIKRVAKGSTQGRKEYISEVKIISQLRHRNLVQLEGWCHENGEFLLVYEFMPNRSLDTHLYDNSNLLTWPLRFKVTIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDRASQTTVLAGTMGYMAPECVTTGKASKESDVYSFGILALEIACGRRPVVLKEDDNKIRLVQWVWDLYGRNEILNAVDGRLDGAFEEREVLCLMVVGLWCAHPDYNLRPSIRQVISVLKFEAPLPSLPAKMPVAMYFAPPISLCRFSYTSSDGTLKEQELQRSNGYGKTNSSSATNASSSPPSVRLPEAGY* >Brasy6G137000.1.p pacid=40049632 transcript=Brasy6G137000.1 locus=Brasy6G137000 ID=Brasy6G137000.1.v1.1 annot-version=v1.1 MPRITSVESPGCPPLRAITTDVLGLVKVVEARARPAGVAKVIETWGDPDASRAILVASLADRAVDPVLAVARKNGVVELLNPLNGDALAAVKSSWPEQTDGAAGDDPLVALHLFKKQAPDSSTLGTFLACTDKGKACVRSVTKENTVSDSDVGPSITWDVCNAGKLQFSSVDAGESYAMFGGKGIEVNLWDITSFSKIWSAKCPRATSLGIFTRPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVVEDPDGHTIYIGTGTGDLASFDMRTGKLLGCYVGKCCGSIRSIVKHPELPLIASCGLDSYLRIWDTNTRQPLSAIYLKQHLTTVVIDSHFSAEESETKSKLPESSMVAEATVRREKKKKNQLVEEDEEGSRMVEPDENDAETVIRKEKKKKKNRMVADDEEGTRMVDPDDNDVKTVRKEKKKKKSRMVSEDEEGTQTADPDDSDAEMYAPKRIKSGERSKGTKKKSKKQQVA* >Brasy6G050900.1.p pacid=40049633 transcript=Brasy6G050900.1 locus=Brasy6G050900 ID=Brasy6G050900.1.v1.1 annot-version=v1.1 MDPRAPRSQVRHLRNLLSLVFTVAPEVAEDADFLERAVILTGVTWHTSPDDLLRLLVLVDTQAAVLVRDYESGNRCGLVILANSCDRDRAIAARVPRQILGNGKKGPVCYYRRCIKATAVAAEGRRRLLVDAAADEARRRSTTGLLRPLVPPVYVEEDVSVHLRCVFLREDAVVQGGGRVSLSSVCRFSEMLEDRGSVCAAVSCEARKIAVLVYDDAFCAEKVARSLVREPIDGVRMYDSSMFPLAAATGGAAAGGGGMNMIPAFFTQAAYTGRVLVLRGLRTEHCDAREIASYIRHGWPGVVLEASIVARTHGVVIAVFGSARDALRLLSPYSSDIWNGFLDQTEPVSSEIVVDEAALLMDAPPAAAAAQHEEAAAAPLFPGVDRLAFWLARDLIEEETDGRRADDVEGIAEGLIKLLVFSRPALLQVRDFADRAVILFGLHPAMSDALLRAALSPYGGVHGLVASAGQRAALVVFSSWIAAARLLLEPAWRRRRMGFLTCHPVPRGTRAALIAYEVYDALFDLDENIRLCSDMCD* >Brasy6G207400.1.p pacid=40049634 transcript=Brasy6G207400.1 locus=Brasy6G207400 ID=Brasy6G207400.1.v1.1 annot-version=v1.1 MACECDTQEAPSSPTRQRQPQQQHEKSGTRPSSPSSSSPLPLSPKRSRFFPMDAARNPRSCGLAAVLVDSESRGRVLLGMRRLRLPPEKGSPAIEFGVKNRDSRLALLSPVQRSPAARSELQAEEEYTCVIARGGPNPSMTHIFHGRAVVQSSCHGCSCQLTSCCCDNNNNRAENAFVVNQCSYTEVFLDKGIRDTPDGEKLKA* >Brasy6G187300.1.p pacid=40049635 transcript=Brasy6G187300.1 locus=Brasy6G187300 ID=Brasy6G187300.1.v1.1 annot-version=v1.1 MSSKGIGKCTEVLVKRIAGLRPAQAARLGSALAALMAVLRMVRPYLPGLLRRFFRYYVGRLIKRYLRRALGFLDPCLTVNIGEYSAAGDRIRRSQVYDQAKAYLSDRCSSQARSLWADLASHGSHAFVLSMSDREEVADEFRGATVWWQHFKPGGSAWEFYQLVFHERHRDLVVQSYLPHVCREGKAVMARNRRRRLFTNYTGDRQMASWTCVLFEHPSTFETLAMDPAKKRSIMDDLDAFREGKEYYTRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRHLLIQTSGKSIIVVEDIDCSADLTGKRKKPPTMAPANSPPTQASANSPPTQALANSPPTDQKKVTTLTLSGLLNAVDGLWSACEGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGVDDHPLFEAVKELLQAAKITTADVAEHLMRKCADDNADSCLANLLKALALKGEAVLGN* >Brasy6G210100.1.p pacid=40049636 transcript=Brasy6G210100.1 locus=Brasy6G210100 ID=Brasy6G210100.1.v1.1 annot-version=v1.1 MQTGGGDVEAPTAEQQDLLRTLLEEGRTAADGAPRRSRLKLKYSGRTTRLWFTALRWCCNIVGLFMLLMVVLDQVPTFDGSPQAVFLLALQVLVSFGPPAMGYGYTVEVLQVAKEEEAAGR* >Brasy6G225000.1.p pacid=40049637 transcript=Brasy6G225000.1 locus=Brasy6G225000 ID=Brasy6G225000.1.v1.1 annot-version=v1.1 MALILLEPTIQHFEVILMKCQDLKPLMHKRYGLDQLRSTGEYRENGLIISIVPSKYIYAVS* >Brasy6G169000.1.p pacid=40049638 transcript=Brasy6G169000.1 locus=Brasy6G169000 ID=Brasy6G169000.1.v1.1 annot-version=v1.1 MDPKLLTVQEELSKRKKEKKSKKEKQCKLAAEAEQEAATEEVAKSSKKSKKEKKRKLAAEAEQEAATEEAAKSSKKRAASQDPVEKSVAVTGKGFAEPKYAQLKSFAAAALPPQVLDCCKGFDRPSPIQALAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRNKLGEKAAKKGLPRCLMLAPTRELAQQIADVLTEAGAPCGINSVCLYGGTSKGPQISALKSGVEIVIGTPGRMKDLIEMGVCRLNEVSFVVLDEADRMLDMGFEPEVRAILSQTSSIRQMVMFSATWPFAVHQLAQEFMDPNPIKVVVGSEDLAANHDVMQIVEVLDDRARDSRLVALLDKYHRAQSNRVLIFVLYKKEAGRVEAMLNRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQADKGLAGELVNVLREADQVVPPALMKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDEE* >Brasy6G265600.1.p pacid=40049639 transcript=Brasy6G265600.1 locus=Brasy6G265600 ID=Brasy6G265600.1.v1.1 annot-version=v1.1 MATKIYIVYYSTWGHVATLAEEIKKGADTIDGVEATIWRVPETLPESVLSKMQAAPAREHHGVITASQLADADGILFGFPTRFGMMAAQMKAFFDATGGLWHTGALAGKPAGFFFASGTQGGGQETTALTAVTQLAHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRVPSEAELALAAHQGKYFAGIAKKLKAV* >Brasy6G053600.1.p pacid=40049640 transcript=Brasy6G053600.1 locus=Brasy6G053600 ID=Brasy6G053600.1.v1.1 annot-version=v1.1 MAALLVFVLLAVFGGAAADDVSALLEFKKGISDLGKDQVLGSWSPPETTDAGRGGDGCPAAWRGVVCDGGAVVGVALDGLGLAGELKLVTLANMRSLQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSYNNFTSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLTELRNAEHIDLSDNQFTGSVDLELESLSSIGNTVKYMNLSHNRLSGGFFRNETVGAFKNLETLDLSNTGIGGMLPRIDSWFSLAVFKVAGNGLFGMMPEALLHNSMRLVEVDLSRNGFSGSVPLVNSTTLKMLNLSSNVLSGSLPATVGKCISVDLSGNLLSGELAILRAWDGVVEGIDLSSNKLEGSYPNDASQFHDLVSLKLRNNSLSGSVPSVLGTYQKLSFLDLSLNTLGGPVLPIFILSPTLTVLNLSGNNFSGTIPFQSPHSTESIMLSSQPALKIVDLSSNSLSGQLPPEISNLQRLEFLTLAMNELSGEIPDEINKLQGLEYLDLSHNHFSGRIPDMPQTGLKMFNVSYNDLRGTVPKSLEKFPLSCFRPGNDLLHIPDGPNIDDPRVAQSRAANGHKGGVRVALIIGCIGGVVLLVFIAIAVYVVRSQELCGRNGFRRQITMRDLKLGRLSRPNMFKTPKDNVIPTKTSFSNDHLLTSAARSMSAQKELLSEAAVEYGYADPKEVIESTSSGVTEASAAVSVRESSPRSGLPTSPHFVDSRFHEEPVTFEVYSPDRLVGELIFLDNTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVEGDSLALYLYESTPRRYSRLSVCQRLKIAVDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFTTPSGIAEQILNLGALGYRAPELASTTKPTPSFKADVYAFGVIVLEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDIAGLEESPRAMDELLAISLRCILPVNERPNMKTVCDDLCSITV* >Brasy6G085700.1.p pacid=40049641 transcript=Brasy6G085700.1 locus=Brasy6G085700 ID=Brasy6G085700.1.v1.1 annot-version=v1.1 MNHQIICFVPFVLHVAFLMMQVDFKSDWSGIWCMLHGKE* >Brasy6G097200.1.p pacid=40049642 transcript=Brasy6G097200.1 locus=Brasy6G097200 ID=Brasy6G097200.1.v1.1 annot-version=v1.1 MHRLPLATRPPPQAHRTSSPLSVRQRRLVCCCSLNSLADAARRSAAAPLLAASLLLGAAAASPGIPAATPPAIAQPGGGAALFRKACIGCHDTGGNILQPGATLFMKDLERNGVATEEEIYNITYYGKGRMPGYGEKCTPRGQCTFGPRLAEDDIRMLAAFVKSQAESGWPKIDADME* >Brasy6G227200.1.p pacid=40049643 transcript=Brasy6G227200.1 locus=Brasy6G227200 ID=Brasy6G227200.1.v1.1 annot-version=v1.1 MFCTKATTSSSALHQTHRSQLHTSFGATPARRNRESANLNGGTSASSSTSAASTACSGAALTTSSPGMTRRRRRWIS* >Brasy6G118000.1.p pacid=40049644 transcript=Brasy6G118000.1 locus=Brasy6G118000 ID=Brasy6G118000.1.v1.1 annot-version=v1.1 MPRRPRAPRGGGSGGGEEVKMEDFVESMLNFGGGGGGEDESEEGEQLPAGEETKYKSKNLDAERRRRGRLNNNILALRAVVPKITKMSKESTLSDAIDHIKKLQNEVLELQSQLADSPGEAWEKQGSASCSESFVPTDNIHYQGQVELIPLGSFKYNLKIFWTKKAGLFTKVLEALCSYNVQVLSLNTITFYGYAESFFSIEVKGEQDVVMVELRNLLSSIVEVPSI* >Brasy6G118000.2.p pacid=40049645 transcript=Brasy6G118000.2 locus=Brasy6G118000 ID=Brasy6G118000.2.v1.1 annot-version=v1.1 MPRRPRAPRGGGSGGGEEVKMEDFVESMLNNNILALRAVVPKITKMSKESTLSDAIDHIKKLQNEVLELQSQLADSPGEAWEKQGSASCSESFVPTDNIHYQGQVELIPLGSFKYNLKIFWTKKAGLFTKVLEALCSYNVQVLSLNTITFYGYAESFFSIEVKGEQDVVMVELRNLLSSIVEVPSI* >Brasy6G058200.1.p pacid=40049646 transcript=Brasy6G058200.1 locus=Brasy6G058200 ID=Brasy6G058200.1.v1.1 annot-version=v1.1 MPVTRRRAAAAAEAEEAEGAAAIDISSDSDAGSAESEPESESEEEASSHEDYVDISDSDEDCADISDSDADDAERSREGSEREVEADRQSGVDRNEAACSKIAELLRRVRSLDGIKLVECKAYLKKNGLSQIGDTSTCVERIMMHCRFRDGDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVYKKSGKRHSKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGVGKLPSLSLLLVKGRNLYRMMTFRQPWSNEADRAKALEEKHSRGDAARRVRALNRPNSGGNAVLKGKKSMDKGKYQSQPGLFDGGSRITKAKKRVMQSSSTDLPNKKSRKEEHPASSGKNHTGGGRKAKSTPRHKNIGTSNSSSLCNKRETNHVILQKNSHAAPVNSIPCTTELRASKRNTGSKKKNTAGGCHAQFEERPASSASHAQAYHRNLVGIHQPFFQRPQRPPPLHEVGNFWQPHMEGRSIAYPNPALAFKHPSASLAGWRPPAYLREPPPDQFQAVFPPFNMSQTIYRPRQEGEHVMPQLRYSGGNNNFPR* >Brasy6G058200.2.p pacid=40049647 transcript=Brasy6G058200.2 locus=Brasy6G058200 ID=Brasy6G058200.2.v1.1 annot-version=v1.1 MPVTRRRAAAAAEAEEAEGAAAIDISSDSDAGSAESEPESESEEEASSHEDYVDISDSDEDCADISDSDADDAERSREGSEREVEADRQSGVDRNEAACSKIAELLRRVRSLDGIKLVECKAYLKKNGLSQIGDTSTCVERIMMHCRFRDGDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVYKKSGKRHSKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGVGKLPSLSLLLVKGRNLYRMMTFRQPWSNEADRAKALEEKHSRGDAARRVRALNRPNSGGNVLKGKKSMDKGKYQSQPGLFDGGSRITKAKKRVMQSSSTDLPNKKSRKEEHPASSGKNHTGGGRKAKSTPRHKNIGTSNSSSLCNKRETNHVILQKNSHAAPVNSIPCTTELRASKRNTGSKKKNTAGGCHAQFEERPASSASHAQAYHRNLVGIHQPFFQRPQRPPPLHEVGNFWQPHMEGRSIAYPNPALAFKHPSASLAGWRPPAYLREPPPDQFQAVFPPFNMSQTIYRPRQEGEHVMPQLRYSGGNNNFPR* >Brasy6G058200.3.p pacid=40049648 transcript=Brasy6G058200.3 locus=Brasy6G058200 ID=Brasy6G058200.3.v1.1 annot-version=v1.1 MMHCRFRDGDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVYKKSGKRHSKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGVGKLPSLSLLLVKGRNLYRMMTFRQPWSNEADRAKALEEKHSRGDAARRVRALNRPNSGGNAVLKGKKSMDKGKYQSQPGLFDGGSRITKAKKRVMQSSSTDLPNKKSRKEEHPASSGKNHTGGGRKAKSTPRHKNIGTSNSSSLCNKRETNHVILQKNSHAAPVNSIPCTTELRASKRNTGSKKKNTAGGCHAQFEERPASSASHAQAYHRNLVGIHQPFFQRPQRPPPLHEVGNFWQPHMEGRSIAYPNPALAFKHPSASLAGWRPPAYLREPPPDQFQAVFPPFNMSQTIYRPRQEGEHVMPQLRYSGGNNNFPR* >Brasy6G058200.4.p pacid=40049649 transcript=Brasy6G058200.4 locus=Brasy6G058200 ID=Brasy6G058200.4.v1.1 annot-version=v1.1 MMHCRFRDGDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVYKKSGKRHSKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGVGKLPSLSLLLVKGRNLYRMMTFRQPWSNEADRAKALEEKHSRGDAARRVRALNRPNSGGNVLKGKKSMDKGKYQSQPGLFDGGSRITKAKKRVMQSSSTDLPNKKSRKEEHPASSGKNHTGGGRKAKSTPRHKNIGTSNSSSLCNKRETNHVILQKNSHAAPVNSIPCTTELRASKRNTGSKKKNTAGGCHAQFEERPASSASHAQAYHRNLVGIHQPFFQRPQRPPPLHEVGNFWQPHMEGRSIAYPNPALAFKHPSASLAGWRPPAYLREPPPDQFQAVFPPFNMSQTIYRPRQEGEHVMPQLRYSGGNNNFPR* >Brasy6G134500.1.p pacid=40049650 transcript=Brasy6G134500.1 locus=Brasy6G134500 ID=Brasy6G134500.1.v1.1 annot-version=v1.1 MKNKSRLLSPLNISAPPCPPPPVPIPPSSLLSPFSSLPSHLSLLSPIIFFHPTAAHCHFILPSAASTAPWPARAAVLPTAPVGGIQTPSCPPDPAIPGPDPADWGRGRPAFSPPSPVA* >Brasy6G073400.1.p pacid=40049651 transcript=Brasy6G073400.1 locus=Brasy6G073400 ID=Brasy6G073400.1.v1.1 annot-version=v1.1 MGCVASKNSVSVTPAVDSSGALRDRRLPRAPEPEPAAAAVSVSASSLRGSAVAAAAAAAARLLDKKDDAEEQGKAVVAVAAASRSFRLRSLRKSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRVFLATELTGKKYHLSRNILNGCCNRKLMATEMHAKCELLCNCIV* >Brasy6G073400.5.p pacid=40049652 transcript=Brasy6G073400.5 locus=Brasy6G073400 ID=Brasy6G073400.5.v1.1 annot-version=v1.1 MGCVASKNSVSVTPAVDSSGALRDRRLPRAPEPEPAAAAVSVSASSLRGSAVAAAAAAAARLLDKKDDAEEQGKAVVAVAAASRSFRLRSLRKSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGNFVSKLNTDLFALHDFVEPNIHYISFLFYSCS* >Brasy6G073400.2.p pacid=40049653 transcript=Brasy6G073400.2 locus=Brasy6G073400 ID=Brasy6G073400.2.v1.1 annot-version=v1.1 MGCVASKNSVSVTPAVDSSGALRDRRLPRAPEPEPAAAAVSVSASSLRGSAVAAAAAAAARLLDKKDDAEEQGKAVVAVAAASRSFRLRSLRKSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGNFVSKLNTDLFALHDFVEPNIHYISFLFYSCS* >Brasy6G073400.3.p pacid=40049654 transcript=Brasy6G073400.3 locus=Brasy6G073400 ID=Brasy6G073400.3.v1.1 annot-version=v1.1 MGCVASKNSVSVTPAVDSSGALRDRRLPRAPEPEPAAAAVSVSASSLRGSAVAAAAAAAARLLDKKDDAEEQGKAVVAVAAASRSFRLRSLRKSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGSKTSEM* >Brasy6G073400.4.p pacid=40049655 transcript=Brasy6G073400.4 locus=Brasy6G073400 ID=Brasy6G073400.4.v1.1 annot-version=v1.1 MGCVASKNSVSVTPAVDSSGALRDRRLPRAPEPEPAAAAVSVSASSLRGSAVAAAAAAAARLLDKKDDAEEQGKAVVAVAAASRSFRLRSLRKSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGN* >Brasy6G073400.8.p pacid=40049656 transcript=Brasy6G073400.8 locus=Brasy6G073400 ID=Brasy6G073400.8.v1.1 annot-version=v1.1 MEDLVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRVFLATELTGKKYHLSRNILNGCCNRKLMATEMHAKCELLCNCIV* >Brasy6G073400.6.p pacid=40049657 transcript=Brasy6G073400.6 locus=Brasy6G073400 ID=Brasy6G073400.6.v1.1 annot-version=v1.1 MEDLVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGSKTSEM* >Brasy6G073400.7.p pacid=40049658 transcript=Brasy6G073400.7 locus=Brasy6G073400 ID=Brasy6G073400.7.v1.1 annot-version=v1.1 MEDLVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMDQLLSGLEHCHSRRVAHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAEILRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYLSTLRDVFKGVPENALSLLETLLSVEPYKRGTASCALTSEFFKTRPYACEPSSLPQYAPNKEMDAKLREESHRRKASSRGHGPEASRKSSRLSRAAREQSAVNKQSDKTEESKTKANVTKDGATLDRVKVNGDSKLLADIQPVSTAQVKERACHLKNDSQEDIPFSGPLIVSSSSGFAWAKKQEGRSFGGSRNRSSSRDQFTVELDQDKKLQAKENIGFKEQHNRDTQIARANSKSREPHDVAKHAVLKKWSQLERPDSFDSCDTYHSQNFSNAIYLGDALSSKNSMKDDHNHGERVEYSGPLLSQSHKVDQLLEKHERHIRQVVRKSWFGRGN* >Brasy6G218300.1.p pacid=40049659 transcript=Brasy6G218300.1 locus=Brasy6G218300 ID=Brasy6G218300.1.v1.1 annot-version=v1.1 MRKKTIVSVFGRRSPRKSVPWRKTSDPSASNQGAELATDPTAQATGVSLHPASEPMVVSSEPATEVVDVARQPASEGVDVASKPDADETSDAMYLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPRFTPVSQPRPDVDGTVERDGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSTGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVQFAAEGEKSKTPKEKPHKVRVKRPSRFVCSPFDEAIQVTAEQDVVYDKLMTFTTKSKNSNIKTLKIIDYKTIFAEIQELADAVHPRGELSNNVAEVAVHFLQETNKVEDKVILSFLISHYMMTCNNLQMRIIANAFERSNKFALSCQDRIYFPVLEIVHKEAGGGHWYLLCLNLVAQRFEALDSIRGPGNICLEDHATRLMGKIKAAWTMYYHKSRVQIKDYELVVIDVPKQGNCTLRCGTGKTCPNCVLLTSPRLGKLWPTSGFQLNSTGAKIGSGI* >Brasy6G156800.1.p pacid=40049660 transcript=Brasy6G156800.1 locus=Brasy6G156800 ID=Brasy6G156800.1.v1.1 annot-version=v1.1 MASTASFLATLASSAATASLNATPAISGGSKARFLPATARRRRAALRAAAVSGTEKANPAPSSSSPGGNNKGGGRDERVVQVHSAEEFDGALRAAKNRLVVVEFAASHSVNSSRIYPCMVDLSRTCGDVDFLLVMGDESEATRALCAREGITQVPHFSFYKGAEKVHEEEGIGPDQLAGDVLYYGDNHAGVVQLHSRADVEALMAENAGEGGKLLVLDVGLKHCGPCVKVYPTVVKLSRSMADTTVFARMNGDENDACMEFLKDMEVVEVPTFLFIRDSKIVGRYVGSGRGELIGEILRYQGVRVTY* >Brasy6G130800.1.p pacid=40049661 transcript=Brasy6G130800.1 locus=Brasy6G130800 ID=Brasy6G130800.1.v1.1 annot-version=v1.1 MSPAQARSISAHHPSPPGSGALFLPQRFPSPHPTVPPSANTPLHSIPTHALSQRKPLLLSLPVPRAEEENPRRNRENPKDPHLEQEQEQAMSVEDPSSAPIPPAGAGAGAARPKRTSSAPIRPSDYAHSPAHHCVALRDAAGLSAILAGLPPLAHPSRILSAADASREARLAASVSAALDRRDVPGGDTALHLAVRLRLPSHASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAPSLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEAEAGGRRLPPGSLLVLHRGKREVHDAFAAAATAGDEDAATSDAAAYRPGLNITSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFELAGDDEGEEFLPLEIRDDDEDGDFLVADIPPPPPRRSCYVPGRRSVAGPPSHMGTPQRRRNSVDVPRRLPTCASVGRGEDGVFGRHATTGGAKWKEEETVKTLRPTVWLTEDFPLSVDEFLPLLDILATRVRAVRRLRELLTTKFPTGTFPVKVAIPVVPTVRVVITFTKFVPLVEPEEFFTPMSSPSLLASPGPGSIMPKPDTHRSSYLRWASKNSRAKPVNLSQVADNTDPFTIPSDYTWVNLGSSKSQDKKSSKSSKKGKSRET* >Brasy6G191200.1.p pacid=40049662 transcript=Brasy6G191200.1 locus=Brasy6G191200 ID=Brasy6G191200.1.v1.1 annot-version=v1.1 MEPAYEAEIWVPILADPLSCPPSSVPLPSQDKQYFGNVPFAYKGRKHGVRENNDHWTNKEVTVLVNGVTDYGVGRWSKMKKKCFSTSVRTAVHLKDKWRNLVKTIKGKTEKKRLLRLDSELIEKIKKLAVDQPYPKPSSTE* >Brasy6G229700.1.p pacid=40049663 transcript=Brasy6G229700.1 locus=Brasy6G229700 ID=Brasy6G229700.1.v1.1 annot-version=v1.1 MADQTQGAVDSLVVLLSTAIKDEAKLLGGVQGDMQFIKDEMESMNGFLLHLTKTDGVHDDQLRAWMKQVRDIAYIAHDCIELYKRDFADPIGKGPWALLQNLPTYIRSIPARHRLANRIRELKVRVLDVGKRRQRYDISIPQAKESQLQSLSESQGNQEEINKIRQEFLRVLALDKQQQEEAPTFDGAIDLLPEDLKSAATTVRGGLDEWLQLDHQIQSPATTHRICMEMLLRALRAHRKVRTANTKEELEKLAKAPDRIDLPAQVMIFCYSTLSRSYKSCFQYLCAFRDETAISRTSLVRRWVAEGLVEKQDGRSLEEAAELCFQELLFRGFLLPHHRGYAGKVKSCKIDDTVWEFAYRMSASENFVSSLPTYLSYQLRIRKFVKEQEKELEKNPQPQEVDSHRSICGFRQRPRDDTKAMDDMVSLLGTLPQGYRLNVLDLGGCRGLKRRHLNSICNVHSLKYPSLRNTAGVCRLPRAINKLRLLETLDIRQTELQQQDTERICLPNLKHLLTGTKMTDNDKEPLYAGSIPSKIGKMRDMEILSWVQVQEAQELENVSHLQHLRKLGVVLGGKGSEAQDNMNKLLHAITQLEYLRSLSIWVTPPPTNGNSDSALKMEMTEQHLIIAPKILQSLHISGISLAQTGLPSWILQPQLSEITLCNTLLSNESLQKLGTHLPRLCCLRLRRNSYNDCKLTFNRDGFKALKFLIVQGDKVTTVEFEEEGTTPLLEKIVWRNMSLDNSGSFSGIHHLAGKLEEVELKGLFDVRSIEAWSGCKVSYDLEVPVACVD* >Brasy6G249100.1.p pacid=40049664 transcript=Brasy6G249100.1 locus=Brasy6G249100 ID=Brasy6G249100.1.v1.1 annot-version=v1.1 MDPPRNPSLPDDMLEDIFARMPAKSVQRCRCLSRAWAAKLSSRRFVDRHLRLANHRGSPRLFFLPEYFSANTTVHAWSPGRPLDLEVVRRDDRLRQVAAVTRHCRGLVVLEEGPTSFDMSMVDGRFTTYESNHYVCNPSTGQITALPKGKESFGRWPHHHDNLGIGYDTSIQKHKVVRLYSRGALPPACEVFVLNSTGHWRPPAGAGKTLPPGFATNFCTDQSVFAQGYLYWVAEPDRKFNCQRVIISFSISDEVFSILPPPPMPMYPCRVTEFDGQLCLFNNSDSYKRVSDIWVLRDHRAGAWDLHCRINLDTASLADTRLRCSWGVIPIDVVEDGSRILLRPDPHDMPRETSMAHQLFVYRPATGDVEDLLAGGGIITHCIMTRRVAAPYKESLESTGHAHDHIISSSTSSQISGLVS* >Brasy6G120300.1.p pacid=40049665 transcript=Brasy6G120300.1 locus=Brasy6G120300 ID=Brasy6G120300.1.v1.1 annot-version=v1.1 MAQAMASMTGLTSAQGVRLPGPAGRRGASRLAVRASAEAETAGRRAVLGLMASGVAGGAFAQAVLAAVNPIKVGPPPLPSGGLPGTENSDEARDFDLPLKNRFYLQPLPPAAAAARAKESAQDILNLKPLIDKKQWPYVMNDLRLRASYLRYDLKTVISSKATKEEKKDLKDLTGKLFDTLDGLDHAAKIKSPAEAEKYYGETKTVLSDVLAKLG* >Brasy6G081300.1.p pacid=40049666 transcript=Brasy6G081300.1 locus=Brasy6G081300 ID=Brasy6G081300.1.v1.1 annot-version=v1.1 MESQQQPMEAVSAPANGGGEEELIGYVDVHVRSARDIQNICIYHKQDVYTRLSLPGHGAPAVSTQVVNGGGRNPVFDQSVRVGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAAEFPLSTNDLFHSPAGFLQLELSYIGVVPEVIPVSPTPKPAALAAGDAADDAAGKDYENMEFPDLNLVEENQIMLSEYVGLPCTAVEPQSSESLLTSEDVDGAATESHDAAGVRVVRSFSTDNSTADDSVGAGAYRSDTPVSSVSTTDQSPAAAVPATPQSNPSEPSGNHALSSAVGQKEEKASEAADAAEVDSSHTVQLNSPCTAVSESAVDKPAPAPAPIGFKLEQEVQVNQKEIMDMYMKSMQQFTESLAKMKLPLDMDNGSSDKSGGAASPADSSGTDSSAAAAKKPTAGGPQDKSPKVFYGSRAFF* >Brasy6G081300.2.p pacid=40049667 transcript=Brasy6G081300.2 locus=Brasy6G081300 ID=Brasy6G081300.2.v1.1 annot-version=v1.1 MESQQQPMEAVSAPANGGGEEELIGYVDVHVRSARDIQNICIYHKQDVYTRLSLPGHGAPAVSTQVVNGGGRNPVFDQSVRVGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAAEFPLSTNDLFHSPAGFLQLELSYIGVVPEVIPVSPTPKPAALAAGDAADDAAGKDYENMEFPDLNLVEENQIMLSEYVGLPCTAVEPQSSESLLTSEDVDGAATESHDAAGVRVVRSFSTDNSTADDSVGAGAYRSDTPVSSVSTTDQSPAAAVPATPQSNPSEPSGNHALSSAVGQKEEKASEAADAAEVDSSHTVQLNSPCTAVSESAVDKPAPAPAPIGFKLEQEVQVNQKEIMDMYMKSMQQFTESLAKMKLPLDMDNGSSDKSGGAASPADSSGTDSSAAAAKKPTAGGPQDKSPKVFYGSRAFF* >Brasy6G081300.3.p pacid=40049668 transcript=Brasy6G081300.3 locus=Brasy6G081300 ID=Brasy6G081300.3.v1.1 annot-version=v1.1 MESQQQPMEAVSAPANGGGEEELIGYVDVHVRSARDIQNICIYHKQDVYTRLSLPGHGAPAVSTQVVNGGGRNPVFDQSVRVGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAAEFPLSTNDLFHSPAGFLQLELSYIGVVPEVIPVSPTPKPAALAAGDAADDAAGKDYENMEFPDLNLVEENQIMLSEYVGLPCTAVEPQSSESLLTSEDVDGAATESHDAAGVRVVRSFSTDNSTADDSVGAGAYRSDTPVSSVSTTDQSPAAAVPATPQSNPSEPSGNHALSSAVGQKEEKASEAADAAEVDSSHTVQLNSPCTAVSESAVDKPAPAPAPIGFKLEQEVQVNQKEIMDMYMKSMQQFTESLAKMKLPLDMDNGSSDKSGGAASPADSSGTDSSAAAAKKPTAGGPQDKSPKVFYGSRAFF* >Brasy6G255700.1.p pacid=40049669 transcript=Brasy6G255700.1 locus=Brasy6G255700 ID=Brasy6G255700.1.v1.1 annot-version=v1.1 MMQFQVFSRSRSDQTESERFSPRLPKLRSGVPHVPLLLPPTIDETTPPSPWPLGRITKTCWETGPSRKGAPSTAPPAVRLGFLPPTRRS* >Brasy6G250400.1.p pacid=40049670 transcript=Brasy6G250400.1 locus=Brasy6G250400 ID=Brasy6G250400.1.v1.1 annot-version=v1.1 MDLSLRLEPAGGGGDSVAPTARVGGKDTFLKSQALGGHQNAHKKDRVGAWNPHVYAWDAAPPVAAHGSSAGRHGGAAVRAGREDDVTWNRGAANGIDAAPSTTAKPPRSGGGELDLELRL* >Brasy6G029300.1.p pacid=40049671 transcript=Brasy6G029300.1 locus=Brasy6G029300 ID=Brasy6G029300.1.v1.1 annot-version=v1.1 MAPAAVAAAAGAFPFRLFSAEAARRNAKSARGRRGAARPAKSSPPPPALSSSSAVVIGRGATTFTRLPLRDAAPESAEVTLERFPTAQGDPGRRAAPALPRGGGLQRLGVEEAEEDDDEEAVFGSPGGSSVHRLPLRGSPGSGERAIGRFDARVARKGLNGRASSRQIVEHLEDEDEEEEEFVVTRLEIFEGHKGRNARAIPPEELDEEDGAVVFDPDFGVDSDDDEEEEFGTAPSEETPRGGANARAGLGQLEFDGEDGDEAEVVVFYPDDEEDEEEGVFEANFADEEEEEAEGEVKEKGVPAVMRCFDTARIYTRAGDGGNGVVAFRREKYVPHGGPSGGDGGRGGNVYVEVDRDMNSLLPFRKSVHFRAGRGAHGQGSQMAGAKGEDVVVKVPPGTVVRSAAGDIELLELMKPGQRALLLPGGRGGRGNAAFKTGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGQQPEYEYEAVRLELELFSPSLVDKPYIVVYNKMDLPEASDRWNMFQEKLQAQGIEPYCISAMNRQGTQDVVYAAYKVLQKEKQRAKEAQEWNNTENLNHVADAIKKERSAAMNDFEISHDKSTNTWTVVGAGIERFVQMTNWDYTESLKRFQHVLEACGVNKTLVKLGVKEGDTVVIGEMEMFWNEEPQRTSPSKTVNSRDDDAVRWPKFS* >Brasy6G151000.1.p pacid=40049672 transcript=Brasy6G151000.1 locus=Brasy6G151000 ID=Brasy6G151000.1.v1.1 annot-version=v1.1 MVRQQFKKNMNESDPDEIQKMKDDVARGLIITLFMSEKMTSRKFSG* >Brasy6G158900.1.p pacid=40049673 transcript=Brasy6G158900.1 locus=Brasy6G158900 ID=Brasy6G158900.1.v1.1 annot-version=v1.1 MRPPHVSILTISTHVFPEPTTTPALSVCCCAAKLLQRQLLRLRLGAVPLRTGTRRALQIGYVMSCGTLN* >Brasy6G091600.1.p pacid=40049674 transcript=Brasy6G091600.1 locus=Brasy6G091600 ID=Brasy6G091600.1.v1.1 annot-version=v1.1 MGRMHSHGKGMSSSVLPYKREAPGWVKIAAPDVEEMIVRAAKKGHLPSQIGAVLRDAHGIPLSQALSGSKIMRLLRARGLAPEIPEDLYSLIKKAVAIRKHLDRNRSDVDAKFRLILVESRVHRLSRYYRLTKKLPSAWKYDSTVACTLVA* >Brasy6G046800.1.p pacid=40049675 transcript=Brasy6G046800.1 locus=Brasy6G046800 ID=Brasy6G046800.1.v1.1 annot-version=v1.1 MAGLGGAGAGLDDDDDWGLTEEQLDKLERDAYRELAERKASSSTASTAPATSPLPNPALSPATRVSSPLRNDVHPASRVSLESRFGKAESPSPSRLSQPTAANNTQGILPKTSVQLFLHSSGVIAAKFPYHQKLVDAFHKIPKASWNGKERVWMFPPTSLSIAEEVLHAVPGLAVEVHKLDPVVQRAFDAALAVTDLRGLYDRIPTDVESKLMPFQREGIRFSLQHGGRVLIADEMGLGKTLQAISVASCLRDAWPVLVISPSSLRLHWATMIQHWLNIPTEDILVVLPQTGGSNKAGFRLVYSNTKGDFDLDGVFNVISYDVIPKIQSTLLDLDFKIVIADESHFLKNAQAKRTIASLPVLQKAKYVILLSGTPALSRPIELFTQLQALYPNVYKNVNEYGNRYCKGGFFGMYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLSEKEMKHIRALFRELETVKINMQSCDSKEMFDSLKFNQKNIINKIYTDSADAKIPAVIDYLSTVIEADCKFLIFAHHQPMIDAIHQHLLKKKVNCIRIDGQTPVAVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANETVDDIIWDVVQGKLENLGQMLDGQEKTLDCSQSKTRPSPSKQKTQSETRPSPSKQKTQSETRPSPSKQKTQSETRPSPLKQKTLDSYLKRCSTSTETQPSAKNPRF* >Brasy6G046800.2.p pacid=40049676 transcript=Brasy6G046800.2 locus=Brasy6G046800 ID=Brasy6G046800.2.v1.1 annot-version=v1.1 MCGSASSVSSHVVLPQTGGSNKAGFRLVYSNTKGDFDLDGVFNVISYDVIPKIQSTLLDLDFKIVIADESHFLKNAQAKRTIASLPVLQKAKYVILLSGTPALSRPIELFTQLQALYPNVYKNVNEYGNRYCKGGFFGMYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLSEKEMKHIRALFRELETVKINMQSCDSKEMFDSLKFNQKNIINKIYTDSADAKIPAVIDYLSTVIEADCKFLIFAHHQPMIDAIHQHLLKKKVNCIRIDGQTPVAVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANETVDDIIWDVVQGKLENLGQMLDGQEKTLDCSQSKTRPSPSKQKTQSETRPSPSKQKTQSETRPSPSKQKTQSETRPSPLKQKTLDSYLKRCSTSTETQPSAKNPRF* >Brasy6G248900.1.p pacid=40049677 transcript=Brasy6G248900.1 locus=Brasy6G248900 ID=Brasy6G248900.1.v1.1 annot-version=v1.1 MVPLMPCPESALARREARARIDLRRRGGRAIGARSRVVVLLGRRAGGLERRGEERRRGLGGRVEWKGGARRSVGGDETKEGEDSKEKAAPPNLCTKGRKRCAERGGVAAYQQGGRRRRRRRRRRKHLISPVKRASLAIPSVSLERRRRRPSVGQPVAPGWLSP* >Brasy6G046000.1.p pacid=40049678 transcript=Brasy6G046000.1 locus=Brasy6G046000 ID=Brasy6G046000.1.v1.1 annot-version=v1.1 MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCISCNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCTFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV* >Brasy6G128500.1.p pacid=40049679 transcript=Brasy6G128500.1 locus=Brasy6G128500 ID=Brasy6G128500.1.v1.1 annot-version=v1.1 MGRPAEHAGSAVDGRLEALLSSSEASGARRMASAAALELRLLAPLAAPAVAVYMLIIVMSSATQIFCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKHEMLGVYLQRSTVLLTATGLPLAAMYAFSEPILLLLGQSPEIAASAARFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASLVLHVALSWVVVYRLGMGLLGASLTLSLTWWVLVAGQFAYIVMSPRCRATWTGFTWAAFADLAGFAKLSAASAVMLALEVWYFQVLILLAGMLPDPQLALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWVVTAMSALIAVAAGALVFLLRDKLSYIFTGGEAVSRAVADLCPLLVATIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYFIGVPLGVLLGFKFDYGIKGLWGGMIGGTLIQTIILLWITFRTDWNKEVEEARRRLDKWDEAKQPLLANVQ* >Brasy6G208000.1.p pacid=40049680 transcript=Brasy6G208000.1 locus=Brasy6G208000 ID=Brasy6G208000.1.v1.1 annot-version=v1.1 MTMIHPGWRRTLQVDDARHGLVLLSSSVFIGRGSLVVWDPVGGLLWELSRPTFAASSAMVAETITVLCADGARGCDHLDCRGGFLVSTWPSLQPAVPTPRSTLRRIVPGAPWPLVATTLAYMRKGHTLYLLCNERKILRYDLVSLELSVLEWPEEYEWSEGSRQTLVTMEDGVLGFASLRKSIHELWSMEADEGTVRWALHRVVELVDLPPSVYDEVISFSHGAVGVIFVQTNIGVFTIELSSGQVKMVSSSSAEVVPFTSFYTPEPFVENVEAAHGEHHDLLLQQHNMEASEYEGAEGGCMEYADNDDDDDDDDDDDEEEEEEEEEEEEEEGGWIEYTEEVEGLDHTVHLEQVDHLLYKGSNAILDRNFNDGIEFLDRALKIRLRFPCTLHSIFFFEGYLHSSFCEYH* >Brasy6G236400.1.p pacid=40049681 transcript=Brasy6G236400.1 locus=Brasy6G236400 ID=Brasy6G236400.1.v1.1 annot-version=v1.1 MPSEASAQPFPSPAPAPLSFPNPCTLHFPCLPFTCAPAFPPLQPPAPRPSPPLLPPPCGGRRRQHERLCPRPSHSAATSSPQHAQHQRPSHAQRWVPPPSTPCPAPPPLLLPPQCRSPLLSSHAQPIFLSLNPDELKIRRHQQIGDPNIEIRAPPSYPARQPAATGPKQDGDGRGRGGVPGGETARGNLPRRRRRLSLSYPSAPNAASFPAAASSPGTAALQIGAAAPSALVQAQADAPIASDSSHRRRRSPHAGRSRRAPPAPSSCGPHVASAGNLSSRHLTGLWPHMSPQSCTSHATAASPPILRLPRRCRRQILLAASSSAPTAAVDPSHDFWNSPSQIPSTAPQGRP* >Brasy6G138200.1.p pacid=40049682 transcript=Brasy6G138200.1 locus=Brasy6G138200 ID=Brasy6G138200.1.v1.1 annot-version=v1.1 MASPTIVLIPFCVTGHLTSMVEAGKRLLGSSSSRPLSLTVLVTPMSMDKLTSELADIIRRETESGFDIRFHHLPAVELPQDFHGAEDFISRFVQLHAPGAKAAISGLASPVSAVVMDYFCTTLFDVTRELALPAYVYFTSAASMLALMLRLPSLDKEMAVGFEDLEDGVVDVPGMPPVPAASMPKPMMKKDANHAWFVYHGNRFMDAAGIIVNTVAGLEPAILEAIDGGRCVPGERRVPTVYPIGPVMSFKKPPAAEEPPHECVRWLEAQPPASVVLLCFGSMGNFAPPQVLEIAEGLERSGHRFLWVLRGPPPGNSPYPTDANLEELLPEGFLERTKGKGLVWPKWAPQQEILAHPAVGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQHLNAFELVSVMGVAVAMAVGRKRDNFVEAAELERALRSLMDDGSEEGSEAREKAMEAQALCRSAVEEGGSSYTALHKLAREVSRD* >Brasy6G039200.1.p pacid=40049683 transcript=Brasy6G039200.1 locus=Brasy6G039200 ID=Brasy6G039200.1.v1.1 annot-version=v1.1 MADSTGGGGVQITARGGKAADAPEKWLNLFVRFVAMIERVGNALGTLAFTWATVVLLGGYASALGKEDHNDLGYGDDFWFATFGYGDDFWFATTIVFLEAARMFTRNNRMEYQLFFNTRGAFRPLGWKGLIVMVYLFNVLVIQKRFLLNSGILLGAIILSIGRFLFVSWLKIHVWNPLRHAISLWSPVIGILLMAPPLSDNSHEYRYRRYDHPYQIDPSTRWIVFIALLVPVLLVSISRLRFARIVTLVDRALGSRQVFCRQFILNLCMIAALVTQVVMLQSYDTNTIIVLACALMMVSFGNFQIPAAVLRVVLALVRFEPLKSNTNYDRNLKASLTIFYGMVLGQGMLYGVACAVEFFSFIPQRSLVRCGGFRCRWGVESVNLYYAYAFEKCMQDGVFAPKKISLATFAMDSVNSDSSKNQLYGIKMMLNFLQKDPTKDQLVSKLNTSTKTMARIIRMLDWTSPKDATIRLYAAKITSQLAKNLRVVSFPGTVQLVSALLDTDSRPKRGNPLLSTDDEQEDRHDPFLNTEDSQEEEHDPASLQRQDQLPDTANLLQTQTSSTQQFGINQQNSMLRRWQRISKFWSSPKELLLTNHDLLPALAMSIIDSLAGCDQDNCVEISKAADLIPKIVEFTSYRSDTTITEAQQKVLLLSSLKVLQRLTSIGGKIGITLRYEIAKDPFLLRNLKEILGDSMNSSEFRKLVAAILRNLAVDRNTRKEIGQNQLIMTMLMQAFTNTEGIMSNNAGCLLRKVAGQALAMLTIESVQTCLIMLKEPEFMKKLKTMILIHDDKYMYVAAGLLRNLCLHTQPELRESDLKEISHTLREVLERIMDAEGAELEILVGLSSQICKVIPGDFIKELDYGHIKQRFVKRLVDALIANKKPSAHCPGIRRVILEQVIYMTECNSCYADCFKECRMKEALLMVEQTLSKVENYRLFLGNTGFMEYSTPISALVARAKELLSCD* >Brasy6G017000.1.p pacid=40049684 transcript=Brasy6G017000.1 locus=Brasy6G017000 ID=Brasy6G017000.1.v1.1 annot-version=v1.1 MNPLLDRNLPKIKLLGEDEPVTGEAVMTALKRALNRISTLQAHDGHWPGDCSGVMILMPTMLFALYVTRTLNTVLSAEHQKEIRRYMYNHQHEDGGWGLNILGPSTMFGTVLNYVSLRLLGEGPDDGDGAMEKGRAWILYHGSATAIPQWGKIWLSMLGLYDWSGNNPMIPELWFVPRFLPIHPGNFWCFCRIVYLPMAYIYGRKFVGPITQTILELREELYYEPYDETDWNKARNTCCKDDLRYPRTFVQDVLWTSLHKFVELVLSRWPFTHLREKALRHLMELIHYEDENSCLGTPESVRGKSLTLNAHVCYVPVICIEKLIAMVESGLHMICCWIEDPNSEEFKKHIPRIFDYLWIAEDGMKSQIYDSTNLWETTFMVQSICSTSLAQEYGPTLNRAYEYIKNSQVLKEHPGDQRYWHRQASKGSWTLSSADNGWAVSDCTAGGLTALLLLEKVSPSFTISRPIDRQRLYDAVDCLFNFMNKDGTFSSYKSKRGYIFMAGGELYLEIVLNPSETFVNILVDYPYVECTASAIHALALFKEQYPEYRKEDVETCITNAATFIESSQMPDGSWYGTWGACFTYATFFAVKGLKHAGKTYHNCSYLRKACNFLLAKQLPSGGWGESYLSSQNKVYLNFNDGHDHAVHTAWAMLALIDAGQADFDPTPLHRAAKRLINIQMECGEFPQQEHVGCFNSSLFFSYPNYRNIYPVWALGEYRQAMNLKNFKS* >Brasy6G114700.1.p pacid=40049685 transcript=Brasy6G114700.1 locus=Brasy6G114700 ID=Brasy6G114700.1.v1.1 annot-version=v1.1 MGLEIAGAESPAVKGKFWGILVCWFLGNGSLFAWNSMLTIEDYYVSLFPKYHPTRVLTLVYQPFAFGITCILAYHEAKLNTRRRNLLGFALFFLSSFALILLDVGTKGRGGIAVYIGVCIISAFFGTSDALVQGGLVGDLSLMCPEFIQSYLAGLAASGVLTSVLRLITKAAFENSQNGLRNGAMLFFSITCIFELVCLVLYAYVFPKLPIVKYYRAKAASEGSKTVGSDLAAAGLKTDQDRQVEEDPQKHERYSTKQLLMQNIDYALDIFLIYVLTLSIFPGFLSEDTGAHGLGTWYVLVLIVMYNGLDLIGRYVPLIKCLKLTNRKGLMAAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLTDAPSGYKGPEQNALGNVLVVCLLAGIFSGVVLDWLWLIGKGW* >Brasy6G114700.2.p pacid=40049686 transcript=Brasy6G114700.2 locus=Brasy6G114700 ID=Brasy6G114700.2.v1.1 annot-version=v1.1 MGLEIAGAESPAVKGKFWGILVCWFLGNGSLFAWNSMLTIEDYYVSLFPKYHPTRVLTLVYQPFAFGITCILAYHEAKLNTRRRNLLGFALFFLSSFALILLDVGTKGRGGIAVYIGVCIISAFFGTSDALVQGGLVGDLSLMCPEFIQSYLAGLAASGVLTSVLRLITKAAFENSQNGLRNGAMLFFSITCIFELVCLVLYAYVFPKLPIVKYYRAKAASEGSKTVGSDLAAAGLKTDQDRQVEEDPQKHERYSTKQLLMQNIDYALDIFLIYVLTLSIFPGFLSEDTGAHGLGTWYVLVLIVMYNGLDLIGRYVPLIKCLKLTNRKGLMAAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLTDAPSGYKGPEQNALGNVLVVCLLAGIFSGVVLDWLWLIGKGW* >Brasy6G114700.4.p pacid=40049687 transcript=Brasy6G114700.4 locus=Brasy6G114700 ID=Brasy6G114700.4.v1.1 annot-version=v1.1 MGLEIAGAESPAVKGKFWGILVCWFLGNGSLFAWNSMLTIEDYYVSLFPKYHPTRVLTLVYQPFAFGITCILAYHEAKLNTRRRNLLGFALFFLSSFALILLDVGTKGRGGIAVYIGVCIISAFFGTSDALVQGGLVGDLSLMCPEFIQSYLAGLAASGVLTSVLRLITKAAFENSQNGLRNGAMLFFSITCIFELVCLVLYAYVFPKLPIVKYYRAKAASEGSKTVGSDLAAAGLKTDQDRQVEEDPQKHERYSTKQLLMQNIDYALDIFLIYVLTLSIFPGFLSEDTGAHGLGTWYVLVLIVMYNGLDLIGRYVPLIKCLKLTNRKGLMAAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLTDAPSGYKGPEQNALGNVLVVCLLAGIFSGVVLDWLWLIGKGW* >Brasy6G114700.3.p pacid=40049688 transcript=Brasy6G114700.3 locus=Brasy6G114700 ID=Brasy6G114700.3.v1.1 annot-version=v1.1 MGLEIAGAESPAVKGKFWGILVCWFLGNGSLFAWNSMLTIEDYYVSLFPKYHPTRVLTLVYQPFAFGITCILAYHEAKLNTRRRNLLGFALFFLSSFALILLDVGTKGRGGIAVYIGVCIISAFFGTSDALVQGGLVGDLSLMCPEFIQSYLAGLAASGVLTSVLRLITKAAFENSQNGLRNGAMLFFSITCIFELVCLVLYAYVFPKLPIVKYYRAKAASEGSKTVGSDLAAAGLKTDQDRQVEEDPQKHERYSTKQLLMQNIDYALDIFLIYVLTLSIFPGFLSEDTGAHGLGTWYVLVLIVMYNGLDLIGRYVPLIKCLKLTNRKGLMAAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLTDAPSGYKGPEQNALGNVLVVCLLAGIFSGVVLDWLWLIGKGW* >Brasy6G176200.1.p pacid=40049689 transcript=Brasy6G176200.1 locus=Brasy6G176200 ID=Brasy6G176200.1.v1.1 annot-version=v1.1 MRYAARKAAAALQSPPTLSSPSSAPLLQRSQSLYASAPEAGLARISQERVSLPNSCVRGHHFHNARKLLDETPKRSASAWTAFIAGCARSGRHMDGLSAFTEMRAKGGAEPNAFVLAAVLRCCAGLRDMESGKCIHGWMLRNGVHLDVVLCNAVLDMYAKCGDYERTKQAFKAMTKIDAVSWNIVISACMQNGDILGSMQLFDVSPLRDTSSWNTVISGLMRNGCAAKALDSLYRMARARVVFNHYTYSTALALAGMLSLQDLGRQLHGRVLTAAVDTDAFVRSSLMDMYCKCGSMETAVLIFDSWSQLTGDMNFAWSAMVAGYVQNGREEEAFEFFRSMLREGVAADQFTLTSAIAACANAGMVEQGRQVHGCIEKLGHSFDAPLASAIIDMYAKCGNLGDACRIFDRACAKNVALWTSMLCSYASHGKGRMAIELFNRMTAEKITPNEITLVGVLSACSHGRLVSEGDHFFKLMQDEYGIVPSIEHYNCMVDLYGRAGMLYKAHNFIKENNIKHEAIVWKTLLSACRLHKHMEYAKLASENLIQLEQCDAGSYVMLSNVYATHSKWLDTSKLRNSMRERRVWKQPGRSWIHLKNIMHTFVAGDTAHPRSAEIYAYLEKLMERLKDLGYTSRTDLVAHDVEEEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCVDCHEAIKYVSQATDREIVVRDLYRFHHFKDAKCSCEDFW* >Brasy6G259700.1.p pacid=40049690 transcript=Brasy6G259700.1 locus=Brasy6G259700 ID=Brasy6G259700.1.v1.1 annot-version=v1.1 MAEASTTIIVQLIIGWEIQLLILLSFVLQLFLFFFGGLRRRNHSGLLSLLLWLAFLSADYIAVYALGYLSRHLPNTTTSSNAKLISQARSSQMQLLWAPFLLIHLGGQDTVTAFSIEDNELWLRHLLNLLVQVCLVLRVLWNSSAYEPLVLVLTIFLFVTGIIKYGERIWALKCGSQKSLKSSNRSEVVKQLPEHQDATELTYPTIVKYALHSERGLRDVFAGRKFYNTGVGDEEGYDTYRKFLFRGREDAELDFKRVEVELTIMYDDLFTKAGVIQTRLGTILRCVSLISTMAAFVLFIMMSAKQRYGSHTGVDATITYVLFIGAFCLEACAVMVVIMSPRAWAILEARCPRLGRVSWYVLVRMQPRTRPWWSNSIGQYNLLNSCMADKKSSTVIAKMMSVVGATDLWNNIRHTKHVKVTTETKKLIHQLISWEWNRPVEGLHRLGQPLIHDSMRRFKPLFKLPFEEALFVLHVLTDVLIYRAGMPVYNEVGIAEEMQGLMDTCKGMSDYMFYLLVENPDMLPVSSRARDLLAQAFESLKASSPSSKEQFLEAFSSRGHGVLTDANDNKIPILFQKSHRLLLEQGFHEAFQMLGQVWVRLLVYAAGKSRPEEHARRLSMGGELLTFVWLLMAHRELGDVCYEVNLIQTKQSGVFLFD* >Brasy6G259700.2.p pacid=40049691 transcript=Brasy6G259700.2 locus=Brasy6G259700 ID=Brasy6G259700.2.v1.1 annot-version=v1.1 MAEASTTIIVQLIIGWEIQLLILLSFVLQLFLFFFGGLRRRNHSGLLSLLLWLAFLSADYIAVYALGYLSRHLPNTTTSSNAKLISQARSSQMQLLWAPFLLIHLGGQDTVTAFSIEDNELWLRHLLNLLVQVCLVLRVLWNSSAYEPLVLVLTIFLFVTGIIKYGERIWALKCGSQKSLKSSNRSEVVKQLPEHQDATELTYPTIVKYALHSERGLRDVFAGRKFYNTGVGDEEGYDTYRKFLFRGREDAELDFKRVEVELTIMYDDLFTKAGVIQTRLGTILRCVSLISTMAAFVLFIMMSAKQRYGSHTGVDATITYVLFIGAFCLEACAVMVVIMSPRAWAILEARCPRLGRVSWYVLVRMQPRTRPWWSNSIGQYNLLNSCMADKKSSTVIAKMMSVVGATDLWNNIRHTKHVKVTTETKKLIHQLISWEWNRPVEGLHRLGQPLIHDSMRRFKPLFKLPFEEALFVLHVLTDVLIYRAGMPVYNEVGIAEEMQGLMDTCKGMSDYMFYLLVENPDMLPVSSRARDLLAQAFESLKASSPSSKEQFLEAFSSRGHGVLTDANDNKIPILFQKSHRLLLEQGFHEAFQMLGQVWVRLLVYAAGKSRPEEHARRLSMGGELLTFVWLLMAHRELGDVCYEVNLIQTKQSGVFLFD* >Brasy6G086900.1.p pacid=40049692 transcript=Brasy6G086900.1 locus=Brasy6G086900 ID=Brasy6G086900.1.v1.1 annot-version=v1.1 MMTQTLQGIQRQYAPTAYPIQTKYTQSPAQILQLDNMDSDTNPVRFMIQQRILHCLKERVECYNWNPHCLVELSKQIDEQLYKDASKVQYMDFDTIEERLNAVLSTGSFGNIEYARASSADLPMLYSEQPGIDVPDLSTQHGRAVPGSVSLTLPSRDLSHNVFYSQGFAPNLHHNDAANFACSSADKIKQWPQSANTIAAPCVSALPRCSHSLTGRFGVVHNDHIKDHFPGDAHQVDSPQPSTSGSSSSLSAMFDRTANSTTNIYPTGQVPDRAYEELYTRSHLTEQSDQSNITAGGHDLSYHYDQSKMHPDTKGECGLDGCIKKNEKRCQISEKCSNLSTQCSHNQCCFMTDVDSSGSVRKEVRAEQASNSTVSKPTSPTSVESSGKHHPAKRLKIDSPSLSHVNEIEFPREKQVAANETHVSFETVQSETTELPTKSPSGCSLGDSNTSGNVMLGPNSEELHKMDIIGLSETAVQVQEELCYENGDVEMKDKLSSVDQTSTGPNLTARKKRGASILYALTGEELRDHMTSLNQHTCPSKVMTEELHSGLPDQNTCSLCGLERLIFEPPPRFCALCFKIINSTGSYYVEVENGNDKTSICCKCHHLSSAKAKYQKRFSYAETDAEPEWWVECDKCKAWQHQICALFNPKILEEAEYSCAKCLLKEKDRGDISSLESSTVLGALDLPKTKMSDHIEQRLSQRLVQERLLRARASGKGIEEVPGVEGLTVRVVSSAARVLQVQPRFRNFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPLPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQSSGERKADISAACLPYCENDFWPGEAERLLEKKEDNTSQKEETQVGRLLRVAKRDARKGDLNDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCQKAIVSGKSWICTSCKNFHLCDQCHAVELNTPQKDRHPAKTKQKHSFQRIEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSASKQVGENLHIHKLRQTDNRHILQNYTLQQDYLESLVHASKCFFDPRNCTFKLCIILKKLFFHGVRCDVRNQGGCRKCVFMWKLLLTHSKHCDDRDCSVPRCRDIKVFLSKTKKLTGPCAVEC* >Brasy6G086900.4.p pacid=40049693 transcript=Brasy6G086900.4 locus=Brasy6G086900 ID=Brasy6G086900.4.v1.1 annot-version=v1.1 MMTQTLQGIQRQYAPTAYPIQTKYTQSPAQILQLDNMDSDTNPVRFMIQQRILHCLKERVECYNWNPHCLVELSKQIDEQLYKDASKVQYMDFDTIEERLNAVLSTGSFGNIEYARASSADLPMLYSEQPGIDVPDLSTQHGRAVPGSVSLTLPSRDLSHNVFYSQGFAPNLHHNDAANFACSSADKIKQWPQSANTIAAPCVSALPRCSHSLTGRFGVVHNDHIKDHFPGDAHQVDSPQPSTSGSSSSLSAMFDRTANSTTNIYPTGQVPDRAYEELYTRSHLTEQSDQSNITAGGHDLSYHYDQSKMHPDTKGECGLDGCIKKNEKRCQISEKCSNLSTQCSHNQCCFMTDVDSSGSVRKEVRAEQASNSTVSKPTSPTSVESSGKHHPAKRLKIDSPSLSHVNEIEFPREKQVAANETHVSFETVQSETTELPTKSPSGCSLGDSNTSGNVMLGPNSEELHKMDIIGLSETAVQVQEELCYENGDVEMKDKLSSVDQTSTGPNLTARKKRGASILYALTGEELRDHMTSLNQHTCPSKVMTEELHSGLPDQNTCSLCGLERLIFEPPPRFCALCFKIINSTGSYYVEVENGNDKTSICCKCHHLSSAKAKYQKRFSYAETDAEPEWWVECDKCKAWQHQICALFNPKILEEAEYSCAKCLLKEKDRGDISSLESSTVLGALDLPKTKMSDHIEQRLSQRLVQERLLRARASGKGIEEVPGVEGLTVRVVSSAARVLQVQPRFRNFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPLPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQSSGERKADISAACLPYCENDFWPGEAERLLEKKEDNTSQKEETQVGRLLRVAKRDARKGDLNDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCQKAIVSGKSWICTSCKNFHLCDQCHAVELNTPQKDRHPAKTKQKHSFQRIEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSASKQVGENLHIHKLRQTDNRHILQNYTLQQDYLESLVHASKCFFDPRNCTFKLCIILKKLFFHGVRCDVRNQGGCRKCVFMWKLLLTHSKHCDDRDCSVPRCRDIKVFLSKTKKLTGPCAVEC* >Brasy6G086900.2.p pacid=40049694 transcript=Brasy6G086900.2 locus=Brasy6G086900 ID=Brasy6G086900.2.v1.1 annot-version=v1.1 MMTQTLQGIQRQYAPTAYPIQTKYTQSPAQILQLDNMDSDTNPVRFMIQQRILHCLKERVECYNWNPHCLVELSKQIDEQLYKDASKVQYMDFDTIEERLNAVLSTGSFGNIEYARASSADLPMLYSEQPGIDVPDLSTQHGRAVPGSVSLTLPSRDLSHNVFYSQGFAPNLHHNDAANFACSSADKIKQWPQSANTIAAPCVSALPRCSHSLTGRFGVVHNDHIKDHFPGDAHQVDSPQPSTSGSSSSLSAMFDRTANSTTNIYPTGQVPDRAYEELYTRSHLTEQSDQSNITAGGHDLSYHYDQSKMHPDTKGECGLDGCIKKNEKRCQISEKCSNLSTQCSHNQCCFMTDVDSSGSVRKEVRAEQASNSTVSKPTSPTSVESSGKHHPAKRLKIDSPSLSHVNEIEFPREKQVAANETHVSFETVQSETTELPTKSPSGCSLGDSNTSGNVMLGPNSEELHKMDIIGLSETAVQVQEELCYENGDVEMKDKLSSVDQTSTGPNLTARKKRGASILYALTGEELRDHMTSLNQHTCPSKVMTEELHSGLPDQNTCSLCGLERLIFEPPPRFCALCFKIINSTGSYYVEVENGNDKTSICCKCHHLSSAKAKYQKRFSYAETDAEPEWWVECDKCKAWQHQICALFNPKILEEAEYSCAKCLLKEKDRGDISSLESSTVLGALDLPKTKMSDHIEQRLSQRLVQERLLRARASGKGIEEVPGVEGLTVRVVSSAARVLQVQPRFRNFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPLPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQSSGERKADISAACLPYCENDFWPGEAERLLEKKEDNTSQKEETQVGRLLRVAKRDARKGDLNDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCQKAIVSGKSWICTSCKNFHLCDQCHAVELNTPQKDRHPAKTKQKHSFQRIEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSASKQVGENLHIHKLRQTDNRHILQNYTLQDYLESLVHASKCFFDPRNCTFKLCIILKKLFFHGVRCDVRNQGGCRKCVFMWKLLLTHSKHCDDRDCSVPRCRDIKVFLSKTKKLTGPCAVEC* >Brasy6G086900.5.p pacid=40049695 transcript=Brasy6G086900.5 locus=Brasy6G086900 ID=Brasy6G086900.5.v1.1 annot-version=v1.1 MMTQTLQGIQRQYAPTAYPIQTKYTQSPAQILQLDNMDSDTNPVRFMIQQRILHCLKERVECYNWNPHCLVELSKQIDEQLYKDASKVQYMDFDTIEERLNAVLSTGSFGNIEYARASSADLPMLYSEQPGIDVPDLSTQHGRAVPGSVSLTLPSRDLSHNVFYSQGFAPNLHHNDAANFACSSADKIKQWPQSANTIAAPCVSALPRCSHSLTGRFGVVHNDHIKDHFPGDAHQVDSPQPSTSGSSSSLSAMFDRTANSTTNIYPTGQVPDRAYEELYTRSHLTEQSDQSNITAGGHDLSYHYDQSKMHPDTKGECGLDGCIKKNEKRCQISEKCSNLSTQCSHNQCCFMTDVDSSGSVRKEVRAEQASNSTVSKPTSPTSVESSGKHHPAKRLKIDSPSLSHVNEIEFPREKQVAANETHVSFETVQSETTELPTKSPSGCSLGDSNTSGNVMLGPNSEELHKMDIIGLSETAVQVQEELCYENGDVEMKDKLSSVDQTSTGPNLTARKKRGASILYALTGEELRDHMTSLNQHTCPSKVMTEELHSGLPDQNTCSLCGLERLIFEPPPRFCALCFKIINSTGSYYVEVENGNDKTSICCKCHHLSSAKAKYQKRFSYAETDAEPEWWVECDKCKAWQHQICALFNPKILEEAEYSCAKCLLKEKDRGDISSLESSTVLGALDLPKTKMSDHIEQRLSQRLVQERLLRARASGKGIEEVPGVEGLTVRVVSSAARVLQVQPRFRNFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPLPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQSSGERKADISAACLPYCENDFWPGEAERLLEKKEDNTSQKEETQVGRLLRVAKRDARKGDLNDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCQKAIVSGKSWICTSCKNFHLCDQCHAVELNTPQKDRHPAKTKQKHSFQRIEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSASKQVGENLHIHKLRQTDNRHILQNYTLQDYLESLVHASKCFFDPRNCTFKLCIILKKLFFHGVRCDVRNQGGCRKCVFMWKLLLTHSKHCDDRDCSVPRCRDIKVFLSKTKKLTGPCAVEC* >Brasy6G086900.3.p pacid=40049696 transcript=Brasy6G086900.3 locus=Brasy6G086900 ID=Brasy6G086900.3.v1.1 annot-version=v1.1 MDFDTIEERLNAVLSTGSFGNIEYARASSADLPMLYSEQPGIDVPDLSTQHGRAVPGSVSLTLPSRDLSHNVFYSQGFAPNLHHNDAANFACSSADKIKQWPQSANTIAAPCVSALPRCSHSLTGRFGVVHNDHIKDHFPGDAHQVDSPQPSTSGSSSSLSAMFDRTANSTTNIYPTGQVPDRAYEELYTRSHLTEQSDQSNITAGGHDLSYHYDQSKMHPDTKGECGLDGCIKKNEKRCQISEKCSNLSTQCSHNQCCFMTDVDSSGSVRKEVRAEQASNSTVSKPTSPTSVESSGKHHPAKRLKIDSPSLSHVNEIEFPREKQVAANETHVSFETVQSETTELPTKSPSGCSLGDSNTSGNVMLGPNSEELHKMDIIGLSETAVQVQEELCYENGDVEMKDKLSSVDQTSTGPNLTARKKRGASILYALTGEELRDHMTSLNQHTCPSKVMTEELHSGLPDQNTCSLCGLERLIFEPPPRFCALCFKIINSTGSYYVEVENGNDKTSICCKCHHLSSAKAKYQKRFSYAETDAEPEWWVECDKCKAWQHQICALFNPKILEEAEYSCAKCLLKEKDRGDISSLESSTVLGALDLPKTKMSDHIEQRLSQRLVQERLLRARASGKGIEEVPGVEGLTVRVVSSAARVLQVQPRFRNFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPLPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQSSGERKADISAACLPYCENDFWPGEAERLLEKKEDNTSQKEETQVGRLLRVAKRDARKGDLNDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCQKAIVSGKSWICTSCKNFHLCDQCHAVELNTPQKDRHPAKTKQKHSFQRIEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSASKQVGENLHIHKLRQTDNRHILQNYTLQDYLESLVHASKCFFDPRNCTFKLCIILKKLFFHGVRCDVRNQGGCRKCVFMWKLLLTHSKHCDDRDCSVPRCRDIKVFLSKTKKLTGPCAVEC* >Brasy6G062900.1.p pacid=40049697 transcript=Brasy6G062900.1 locus=Brasy6G062900 ID=Brasy6G062900.1.v1.1 annot-version=v1.1 MAREDGPEFVRWREEFLSQERGSRVVHYYLEDADGVSHLAVVGTERSLRHMLYVVSEDFHGPQGFGGVDGGQGMFARKWRSRREVVDWLESFLPAKTLASKFSTFGSRVGNSIGLDGYSETGSFVCHNLGTTCSSDIVWSGPFWTCSKQLHHYKAFCRNGTTISTHSFVLVMSEEETRYLAYLEDMYEDKKGHKKVKVRWFHQNQEFACAIPPPAPHPCEVFITAYSQVISVECVDDIATVLTPEHYENYADTLPNSSLVGIRFCFRQYSKNKFKYFDLRTLRGYFSQAAVLALKVSPEQEKDGSDTTRVVKHCAPGKTKFSKQYERLYSKCLGTKICRGPQADSMPSYQKPSKQSPGKHFSVKFIGPQNEPMPTYNVGDKIEVLSQDSGIVGCWFRCTVLKPCTSHNKLKIQYDDLQNADDCGRLEERVPASALALPDKLGLRCAGRLRIRPRPQQHTLVDDTALLPGTAVDVWQFSGWWEGVVVSTDAISPDSLQIYFPGENFFRVCQLNDTRISKDWMKSRWVPIERKPDVLSRIPLVGVQMRQLDIVTSTGGLGSVNSARPEQELEAVQANSGGDKQTGVDTQTEVSLMDKESACIEDEKQTILGKQPRHEDAEHDCNGEETGTDMQTDVSLTDKASAAVEYENQTILGKDDDAEQNCNGQAPSLTDKSSAAVEDKNQTILRKDDDAEQNCNGQAPNLTDKSSAAVEDENQTILGKRPRDDDAEQNCNGQSFSLTDKSCAAVNDEKQTILGKRPRDDDAEPKCNGQVSSLTNKASADVDDEKETILGKRPRDDTAEENCNGQVSSLTAKASVSVDIEKQAPLGKQSRDNDAEQHCDEEVFRLTDKASASVEDDKQTVLGKRPRVDDDDGQVCCNGEVGVDVDAGKP* >Brasy6G094300.1.p pacid=40049698 transcript=Brasy6G094300.1 locus=Brasy6G094300 ID=Brasy6G094300.1.v1.1 annot-version=v1.1 MGTPEFPDLGKHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTSHKCLNANKKDVTVLICPLCAKGVRLNPNEDANITWDSHVNTDCDPSNYQKATKKKKCPVPGCREALTFSNTIRCKDCSNEHCLKHRFGPDHKCPGPRKLEPTFPFANMLRRSQKVQPRTSSSSGSGSSSWWSSSLLNAASSLRSSAEAGMQKLSIATSEAVQMAKDGITPNSGSTSTSSGSALVEQCVQCPARFSTVGALIEHAEKSHGNNSQSSRGKVTIDACPKCSKGFRDPVLLVEHVEKEHGGTSKA* >Brasy6G030100.1.p pacid=40049699 transcript=Brasy6G030100.1 locus=Brasy6G030100 ID=Brasy6G030100.1.v1.1 annot-version=v1.1 MPSGATSTASSRSPGARLGPRPPARVRRRVGQRRRRGAAVEGSGGGTGAAAANGAIGGAAEERRAPGWCSGCGRSRLVVGKKKGGKRERGIEP* >Brasy6G268000.1.p pacid=40049700 transcript=Brasy6G268000.1 locus=Brasy6G268000 ID=Brasy6G268000.1.v1.1 annot-version=v1.1 MADLLVQVSLAESRVVVVHVSPDSGLAVFSAARSLGMMAAGYVWIATDWLAAAIDSSSSRPVNHPKSSMGLIQGVLTLRHYSPDSPAKRALAMASRSKLNAYGLAAYDSVWTAARAIDAFLADGLDVTFSDDRRLQQEQSGSNSTLRLGALKVFDQGPRLLEKLLLSNSTGVTGALRFGADRSLVDPVYEVLNVGGTGVRRVGFWSNRTRLSLAAPDTKKNNNTNGSSSQQGLYSVIWPGETTALPRGWVFPNNGRPLRIGVPWRTTYRQFVSKDGTSPDGASGYCVDVFKAAVSLLPYPVPFSFVLFGDGEKNPSYGDLVSKVANGAFDAAVGDVSIVTNRTRVVDFTQPYVESGLVIVSPVKEKNPNAWAFLQPFTGAMWAVTGAFFLFVGAVVWVLEHRFNPDFRGSPRKQLVTIFWFSFSTMFFAHRENTVSTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASAEPIGYQVGSFAKSYMMEELNVPESRLKELAIDDYAASLQLGPRNGGVAAIVDELPYVDLFLSTNCQFKTVGQEFTKSGWGFAFQRDSQLAVDLSTAILTLSENGDLQRIHDKWLNPGGELHSLLPCGAAPGLFVQDHCNGLLLLYSGHVVNPAARRWAVLPRRPWPLEWALQTSVGLGFQRQDYLAFDGPSEMSYEVVTISHAGYRPQAELDPEVKQLEWPPSPFVSQVFSSRTNRWEERPFLREGEPVGTVGDMELAWPGGDHQYAVYWRGHLYVQRSFLIRISLSSGKYQVIKLPKGISTSKSYPEYRLGKSEKGVYFASLDFRDQLQVWILNESDGHTEWVLKHQADLQRKLAHRNYHSGRARGPWIMRDINYDFYRPCSFPYDTPRLASSQDKFEWDSDNDDVLEVNDKGAKPYIGCLSMLGFHPHKEVIFLSEECNRGLAYHLNTSKFQDLGDLCPRRYSDFVGKRKFIWQSFPCTPCWTMEFP* >Brasy6G268000.2.p pacid=40049701 transcript=Brasy6G268000.2 locus=Brasy6G268000 ID=Brasy6G268000.2.v1.1 annot-version=v1.1 MADLLVQVSLAESRVVVVHVSPDSGLAVFSAARSLGMMAAGYVWIATDWLAAAIDSSSSRPVNHPKSSMGLIQGVLTLRHYSPDSPAKRALAMASRSKLNAYGLAAYDSVWTAARAIDAFLADGLDVTFSDDRRLQQEQSGSNSTLRLGALKVFDQGPRLLEKLLLSNSTGVTGALRFGADRSLVDPVYEVLNVGGTGVRRVGFWSNRTRLSLAAPDTKKNNNTNGSSSQQGLYSVIWPGETTALPRGWVFPNNGRPLRIGVPWRTTYRQFVSKDGTSPDGASGYCVDVFKAAVSLLPYPVPFSFVLFGDGEKNPSYGDLVSKVANGAFDAAVGDVSIVTNRTRVVDFTQPYVESGLVIVSPVKEKNPNAWAFLQPFTGAMWAVTGAFFLFVGAVVWVLEHRFNPDFRGSPRKQLVTIFWFSFSTMFFAHRENTVSTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASAEPIGYQVGSFAKSYMMEELNVPESRLKELAIDDYAASLQLGPRNGGVAAIVDELPYVDLFLSTNCQFKTVGQEFTKSGWGFAFQRDSQLAVDLSTAILTLSENGDLQRIHDKWLNPGQCDAATQGGGADVAADRLNLGSFWGLFLISGVACFLALLVYFARILCQYGKYKLAGGEPPGEDDEPESSSVSPDRTGRPPVRRPERLRSIRELMTFVDMKEAEVKRAIRRKSSDDGRRQADRSVASSAGASSFSVSTV* >Brasy6G101100.1.p pacid=40049702 transcript=Brasy6G101100.1 locus=Brasy6G101100 ID=Brasy6G101100.1.v1.1 annot-version=v1.1 MGCGRTVKAGAAAAAALVVAAGVRLVGPAAAGFVAEEIPRAQAAAATWLTPPYLYLVINAIIISIAASSRYQPRRAPAACAVVAGAELAEAAPVPPVCAMEMPVPAPAVAMAMAAPLPEAVAAEQPVAKTAPVPAPEVVAEDEEEFLISRSAWTPQRRVAEAEVEVAPFADLTNSREKPLSSARFGRKAARPSPEGSRALRRVSRPRREDTLENTWKAITEGRAPPLARHLKKSDTWDTRPGRRPSGGSGDGQEVVPPPPGPTMRKAETFNDAPAGVGRKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKQYNEMVSSGGHY* >Brasy6G035700.1.p pacid=40049703 transcript=Brasy6G035700.1 locus=Brasy6G035700 ID=Brasy6G035700.1.v1.1 annot-version=v1.1 MLRFIPNPNRAKHPAQFQPPPQITSSPGGPPKKRNKQKARTAQPHAIAASTPAEPTRPDPTREKRNAPAATATATRTGATMEVASSSSSSSPSSAPPPSKPSFRLRLNPAAFLLRPVSPSPTPPPAAPPSPSSSSATPPKHPSTGGNTNPLTAFLSSILPVTTPRTTTTNTRRSSSPIAELRKAAAADAEAELQLAGCAVPLFRPYVARLPWHGGTRAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLLGSRTTPALDGAAAAAVYRSMCIFGLKVILIPYRTNLVRLQTGPNYGDVFADFVKRMASSSGRPTTGGEKQRL* >Brasy6G062300.1.p pacid=40049704 transcript=Brasy6G062300.1 locus=Brasy6G062300 ID=Brasy6G062300.1.v1.1 annot-version=v1.1 MAPPQALRLLRLTIPLGPRGGQHAPPLFAKRLPLVVAFPRGAGASSSCSAVQESSAAAAAATTAVSEKKDADGDNKEAAAEAKPAAKPAAAAAKLKKPPPKPLPEMMEEEIIPPLKAALEAEEDVSQVELFFQNNTLEGSFQKKDIPYYFWAFFPQGDLTGPKGFAMTSYSMEVSTIEPFLVDEKRVTPQYVVFWVYKRLAGQGILPVWKEEDLNPEPSTVAK* >Brasy6G062300.2.p pacid=40049705 transcript=Brasy6G062300.2 locus=Brasy6G062300 ID=Brasy6G062300.2.v1.1 annot-version=v1.1 MAPPQALRLLRLTIPLGPRGGQHAPPLFAKRLPLVVAFPRGAGASSSCSAVQESSAAAAAATTVSEKKDADGDNKEAAAEAKPAAKPAAAAAKLKKPPPKPLPEMMEEEIIPPLKAALEAEEDVSQVELFFQNNTLEGSFQKKDIPYYFWAFFPQGDLTGPKGFAMTSYSMEVSTIEPFLVDEKRVTPQYVVFWVYKRLAGQGILPVWKEEDLNPEPSTVAK* >Brasy6G140500.1.p pacid=40049706 transcript=Brasy6G140500.1 locus=Brasy6G140500 ID=Brasy6G140500.1.v1.1 annot-version=v1.1 MARAVRRFSSVIPAAWLLLLLLVLVSCTAAAADDGDVLLDVKAAFSEDPEGVLDGWSADAAGSQGFCSWSGVTCDAAGLMVSGLNLSGAGLAGPVPSALSRLDALQTIDLSSNRLTGSIPPALGRLGRSLEVLMLYSNDLGGEIPASIGMLAALQVLRLGDNPRLSGPIPDSLGQLANLTVLGLASCNLTGAIPTRLARLSALTALNLQENSLSGPIPAGIGAIAGLQVISLANNNLTGLIPPELGSLAGLQKLNLGNNTLEGPVPPELGALGELLYLNLMNNSLTGRIPRTLGALSRVRTLDLSWNMLTGGIPAELGRLTELNFLVLSNNNLTGSIPGELCGDEEAESLDLANNSLSGSIPPALGELGNLTDLLLNNNSLSGELPPELFNLTELGTLALYHNELTGRLPGSIGNLRSLRILYAYENQFTGEIPESVGECSRLQMMDFFGNRLNGSIPASIGNLSRLSFLHLRQNELSGEIPPELGDCRRLEVLDLADNALSGEIPGTFEKLQSLEQFMLYNNSLSGAIPDGMFECRNITRVNIAHNRLSGSLLPLCGSARLLSFDATNNSFQGGIPAQLGRSASLQRVRLGSNALSGPIPPSLGRVAALTLLDVSCNALTGGIPDALSRCAQLSHVVLSNNRLSGPVPAWLGTLPQLGELTLSANEFSGAMPVELSNCSKLLKLSLDGNLINGTVPHEIGRLASLNVLNLARNQLSGPIPATVARLGNLYELNLSQNNLSGPIPPDMGKLQELQSLLDLSSNNLIGKIPASLGSLSKLEDLNLSHNALVGTVPSQLAGMSSLVQLDLSSNRLEGRLGDEFSRWPEDAFSDNAALCGNHLRGCGDGVGRGRSALHSASIALVSTAVTLTVVLLVIVLVLMARRRGRMSGEVNCTGFSSSLGNTNRQLVIKGSARREFRWEAIMEATANLSNQFAIGSGGSGTVYRAELSTGETVAVKRIASMDSDTLLHDKSFAREIKILGRVRHRHLVKLLGFLAHGGAGDRGGSMLIYEYMENGSLYDWLHGGGGGEGGGKKKRALGWDARLKVAAGLVQGVEYLHHDCVPRVVHRDIKSSNLLLDADMEAHLGDFGLAKAVAENRQGAKDCTESASFFAGSYGYMAPECAYSLKATEKSDVYSTGIVLMELVTGLLPTDKTFGGDVDMVRWVQSRVDAPSQARDQVFDPALKPLAPREESSMAEVLEVALRCTRPAPGERPTARQISDLLLHISMDYYRTGEHKR* >Brasy6G161900.1.p pacid=40049707 transcript=Brasy6G161900.1 locus=Brasy6G161900 ID=Brasy6G161900.1.v1.1 annot-version=v1.1 MIETILAGFTKDVVKSLGKLATDELSKVLYVKNEIDKLKSKLEHITTIIMDAEQTIVQHAATRDWLKKLREITYEAENIIDRCRIEADISQSQPQECNPSSAFKCCRDVAINYKIASDIHELNQKLDSIKSESMMLHLNPRVEEIRSDDVAPDLDSDIVGREVENDCNSLIQLLQREKYY* >Brasy6G222900.1.p pacid=40049708 transcript=Brasy6G222900.1 locus=Brasy6G222900 ID=Brasy6G222900.1.v1.1 annot-version=v1.1 MGAPLYGAVLLCLFLAAATAAVPEQPQPQKPTTLPSSTAAAMNNGTSAAAGVNSNSVLVALLDSRYTELSELVEKALLLQQLEDAVGRGNVTIFAPRNEALERDLDPEFRAFLLQPRNLRSLQRLLLFHVLPSRLHSAQWRSAARLTLSGEHLELSLSESSNNMLAGSAAVTHPDAVVRPDGVIHGIEKLLIPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVLPGAPPVLPVWDAMAPGPSIAPAPAPGPNSGKLHFDGHSQVKDFIQTLVLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGTVRYDTLRLPQKVIAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPVDGDDKAAAGKSAGAAPARTRKAPAVTGTAKPKLRRGKLMEGACKVIAMFGRRARYTSCQ* >Brasy6G158700.1.p pacid=40049709 transcript=Brasy6G158700.1 locus=Brasy6G158700 ID=Brasy6G158700.1.v1.1 annot-version=v1.1 MEYSHSRLLLVCSVLMLCLCSGVAKCDKLTSELTGDFYDYTCPGLYTIVQQHVFAAMREEMRMGASLLRLHFHDCFVNGCDASILLVGETGEQFAIPNQNSVRGYEVIDAMKADIESVCPGVVSCADIVALAAGYGVLFSGGPYYEVLLGRKDGLVANKTGAENGLPAPFETIRSIVKKFGDVGLDTKDVVVLSGAHTIGRARCVLFNNRLTSSGDPTLDSKMAADLQSLCATGGDNQTTALDVESPDVFDKQYYQNLLSKKGLLSSDQNLFSGAEDVVKATTKALVQTYSDDGEQFFMDFGASMVKMGSIKKTGVPGEIRTNCRVPNK* >Brasy6G111300.1.p pacid=40049710 transcript=Brasy6G111300.1 locus=Brasy6G111300 ID=Brasy6G111300.1.v1.1 annot-version=v1.1 MGLINCFGRRPAGYVGVTRRAASIDKMRLPPLWTADEDLEQGMGEAKWEMENVPAPGSWTVEDLQRGIDEVQRDTEELWRLFEELDQVIKRITRSREEHERMFAVPRVRRPSLWERAKGAICRRIRRRSAKIEPAAADDEGQTSSASAPVVPQQGKGSGEGSVAASASGADIELVGTGSDRP* >Brasy6G176500.1.p pacid=40049711 transcript=Brasy6G176500.1 locus=Brasy6G176500 ID=Brasy6G176500.1.v1.1 annot-version=v1.1 MDSSKKNQGRRPMAKSSSNSLSDSPSTATMTTKPPPQAQGVRAGHPAPARAQRPSKYVDDREGEEDANTTEEGGDDDDADSNDMNNQMSDPSLGQDNKEDDDDVVEVTETQMSRTKATAMKRKKSGLVKVVSKRKPNEVVEMAKCLGCFKLLCYQGRTTSLNRHRDSCYQLQNKKTRALRQGTIGFDPKKPGSQGQREGSRRRESGYLTSRGTAAGFGGRRLDPAGLGPGQTGGRLRDSVLTRSRGRAVETRRRRLDEAFWLLP* >Brasy6G044600.1.p pacid=40049712 transcript=Brasy6G044600.1 locus=Brasy6G044600 ID=Brasy6G044600.1.v1.1 annot-version=v1.1 MKRLIPSFNRVLVEKVLKPKKSAGGILLPETSKQLNSGKVVAVGPGNRDKEGKLIPVSFKEGDHVLLPEYGGLEVKLGAEKEYVNAFHHYIALLL* >Brasy6G124400.1.p pacid=40049713 transcript=Brasy6G124400.1 locus=Brasy6G124400 ID=Brasy6G124400.1.v1.1 annot-version=v1.1 MASIEKKATVICCGCASLAAALLLGVALAAALYFAVLRPRPPRVASAAVDTQLADFRVHPPALNFSLAVDVAVHNPGHVPFRHGGAVTAVTYRGSPVGRSASPAGSIPARSTRTVGARVQVEAARVVMSRHYVADVVSGALRFEARTAVAGTAAALLRAFRVSADAEVACAVVLYPFRRESSSHCTYTVRITGI* >Brasy6G035500.1.p pacid=40049714 transcript=Brasy6G035500.1 locus=Brasy6G035500 ID=Brasy6G035500.1.v1.1 annot-version=v1.1 MLLRRLFHLRRGLQTLTATPAAPAAHSVASPLSTPLPFRRLPNRIFSPRLLSTSGRGGDDDDSRNPWAFAPESGDPDPFADVDPAAAAAGEAPLGSGGVVEDAWSKDFLSEDGEKGDVFEEIYKDAAPAAPASRKAAPAEGVSPWTLDVEEDKDDPFAQAVLGEEGIEGIGDGADGIDEVVAGGDEDAERQRQENSAREQQLMEILKGPDRAFGDLISASGITEDMIDSLILLKDARGIPGLPPLSEIQDRAIQKMNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDVYFPILDHRADLLRPFNVTKTLGLWDVACTVKGGGVSGQVGAVRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR* >Brasy6G035500.2.p pacid=40049715 transcript=Brasy6G035500.2 locus=Brasy6G035500 ID=Brasy6G035500.2.v1.1 annot-version=v1.1 MLLRRLFHLRRGLQTLTATPAAPAAHSVASPLSTPLPFRRLPNRIFSPRLLSTSGRGGDDDDSRNPWAFAPESGDPDPFADVDPAAAAAGEAPLGSGGVVEDAWSKDFLSEDGEKGDVFEEIYKDAAPAAPASRKAAPAEGVSPWTLDVEEDKDDPFAQAVLGEEGIEGIGDGADGIDEVVAGGDEDAERQRQENSAREQQLMEILKGPDRAFGDLISASGITEDMIDSLILLKDARGIPGLPPLSEIQDRAIQKMNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDVYFPILDHRADLLRPFNVTKTLGLWDVACTVKGGGVSGQVGAVRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR* >Brasy6G215200.1.p pacid=40049716 transcript=Brasy6G215200.1 locus=Brasy6G215200 ID=Brasy6G215200.1.v1.1 annot-version=v1.1 MDSNSSLSPEEVAIRRLISESAGWGARFATITALGRARTISGTPRDAIPVPLSPVVGPRYKTILLSDAIPVSSAAEAVSRGAEGGVAFLEPSLRPASWPRLSDFEFTEYNLRLQRLEVAATSSAISAVYALAALHARSREDSSVDDLALLELSLTGTVVSTMVRQACAEVFAEKKLSTTNGNRWAGLLVPILAACLPTASAAYPHLATTSPGIVIWIYGTLLLLIFTLMIGIAAVVPPPLQRRYSSESLSRHATLFSFLLIIGLGSSVICSMLPNWFYRAGVMVVGFVVVAVLAILGWYSGTPIIR* >Brasy6G215200.2.p pacid=40049717 transcript=Brasy6G215200.2 locus=Brasy6G215200 ID=Brasy6G215200.2.v1.1 annot-version=v1.1 MDSNSSLSPEEVAIRRLISESAGWGARFATITALGRARTISGTPRDAIPVPLSPVVGPRYKTILLSDAIPVSSAAEAVSRGAEGGVAFLEPSLRPASWPRLSDFEFTEYNLRLQRLEVAATSSAISAVYALAALHARSREDSSVDDLALLELSLTGTVVSTMVRQACAEVFAEKKLSTTNGNRWAGLLVPILAACLPTASAAYPHLATTSPGIVIWIYGTLLLLIFTLMIGIAAVVPPPLQRRYSSESLSRHATLFSFLLIIGLGSSVICSMLPNWFYRAGVMVVGFVVVAVLAILGWYSGTPIIR* >Brasy6G266700.1.p pacid=40049718 transcript=Brasy6G266700.1 locus=Brasy6G266700 ID=Brasy6G266700.1.v1.1 annot-version=v1.1 MGGFSPPVPQQDSNWEIRVVVVLSLLLQVLILLLGPMRKRSSSPVLLFIIWSCYLLADWVADLGLGLLLNNMGNIGGNGGSGSSSSFVCHVTAGGAIIPAGNTAGSPIIFAFWAPFLLVHLGGQDTITAYSIQDNDLWLRHLIGLLFELFSACVIFFCSLEGNPMIPATVLIFVAGIIKYGERTYSLYSGSADGVLAEIIGEPDPGPNYAKLMSLFDAKEKAGLPVEIVVTNPRPGQQANSLQEHEKEKMSSPETQAYKFFRIFRRLCFDVNLSYKERMTSQAYFLDRDDVNQSATKAFEVIELELNFIYDMVHTKEPIAHKKAGCLLRFVASACIVSALLVFFFHHKGGIAHVDIAITYVLLIGGVTLEAAALARLLVSNWTLAFLEESPRLARLALVVRFLRPRWRRWREDTSQLNLISNCVGDPEGPRRRFGSRVLKTLAKVAETLHVTEISQDLFFIRQEPLSSNPDQGKDPLLFMVVFDVLKKTAIEAKKMKYEDMKRTCSYRGEGVLKDLREQITDTLTLAAGNTLDIQLPAYEKDYVLIEELVRENMDILSSSVAVDMEFDESLLLWHIATDLCCHQLPELSATTRETAQKMEPVGRTLSEYMMYLLIKQPKILLASAGVGLKRYRDTCAEAKRFFESAALYEPNHVDAWRMLLRVNTTKKPSEVKGDRSKSVLFDAVILAKVLRELGVDLMWEVIARVWGEMLTYAAGKCKGSTQVQQLSRGGELITMVWFLMAHMGLGDVYKDEPNVELVSKLIVHDH* >Brasy6G252800.1.p pacid=40049719 transcript=Brasy6G252800.1 locus=Brasy6G252800 ID=Brasy6G252800.1.v1.1 annot-version=v1.1 MIPPIWPPPPYSSAANKILLCRTNRTASSTAVVSFSGGGDLPDELIHEILFRLSPDEPALLVRLSILSKSWDRLLSDPSFHHRYRKLHKTAPTLGFIYSDYSIYTEIATSYVPATRFCPPSTPNYKLANFSVSDCRHGHVLGRVFPFQGFVHMEIVVWNPMTGHHTQLNSFDDSFICMGEAVLCPVDGCDHATCHDFHVVCVGINQEERTTTALVYSSQTDEWSAPTPGLQLNGYDIETHNVLVGGALYFLLTYGPHGTQILKYDIGRHQLSTIKPPVAATVFDQGTILMAPEDGVLGIAHLNTHLLYLWTRKAGPDGAVAWSQDRIIDLAALLPVGDPVSKVHLIGSVDGADIIFASTSLGIYSIDLKLLKSKKLCERRNHIDIFPFMSFYNPLDQCTKTFGATLTKTKHYRTAIWDKPFGGGTTVAARH* >Brasy6G014900.1.p pacid=40049720 transcript=Brasy6G014900.1 locus=Brasy6G014900 ID=Brasy6G014900.1.v1.1 annot-version=v1.1 MAAAASADRLSVLPDAVLHRILSFAPAKDAAATSALSRRWRPLWLQTTAINLDSGRYSPQPTDSRFYSNDVPKYDAFFRDAHAAFRQRCLSRTRSRQIQRLTLFLEIGTYRLGKAWWHRRRRGEPEPEDDGRVAGLLADPAVAGLEELRISCQQSIGIGNQVDYYSPQLASLPCAATLRVLELRWCKLDSPPPPLALQLPRLTGLHLHDCFLQEGGTLQVLADAAPELTSLSLVDVRHKAPAPADGGSAENRPYYDHDTSTTYVRLPLRLRCPALTAFLLQINVSEKDSEPDADSGIELDMPSLRFFRYRGFPVKLSLTSSAPALELVDVDASHQGSYFWKLEPTSGMLRSFSSMRTLKLRLHLIEDIVVAGDAEEGGVIQLPTFPNLKLLELDAEYQYTKSDTTAAAMAWLLRSCPAMAELRLRLNMQWDYGYDQKAKAARPAGGPFGKSMERFQRLASMSTTHRGVVELGEVSELPDAMTSNCTFSCLRTSLRKVNCFQVQLAKFLAENAIVLEEMHVNNGSWFWPDHLCHKVARWRAESFKRRNLMDTAGFRVYQLDSPVVDSTKPPGYDSD* >Brasy6G002300.1.p pacid=40049721 transcript=Brasy6G002300.1 locus=Brasy6G002300 ID=Brasy6G002300.1.v1.1 annot-version=v1.1 MESSSYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDQRDHNRRRRGHHLRAHLLPDEDDSDLDQAEADEDDSPPARDHDRRFMPIPPGLPPLHTGREGKPTISPASNKRIGSLVRPTTPKSSVPTVSAFESIEDSDEEDAGLVQDSKNDAVYSGTNGANGSDPIPDKATQNGDIKPVPSSNMIRSHSATGSLHGVQLNPVAADILRKEPEHETFSRINITAVETPSHDEAEAYKVLQKCLELREKYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQTKTEHHFEMVDGVVHLYPNKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFADLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSDNVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRDSKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSVAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPEGNDIHQTNVPHIRIEFRHTIWKEEMELIHLGNVKIPEETDR* >Brasy6G214800.1.p pacid=40049722 transcript=Brasy6G214800.1 locus=Brasy6G214800 ID=Brasy6G214800.1.v1.1 annot-version=v1.1 MPPSSLRTTLPPPPPIPAGFQPERYAPSASQLFPAGSAAAAPRQTRRVRFDLRASPSSAERLHSGGKNRLPPGDPRAPGDPHRILVHLGIHEDHTKTKVETNEFDWTHGEIDGQGSRRQRPRSPARSGRSNRRHDDDDDDQRRGRKDDRNSGWRAFFRSRSRAPRDDGRGGHDGRRARDDDQDRQRGRDGRRGQEDNRRRRGDRSPSVLRPRRPILQSRGLATLETRGRSRTRRGPATSPTVGRQDMPLEVPRPASPTSTLVSAPLSPSALQVAMPAASRSAEAAPACDALDIDASSSPPGFGFGARRSESDLSVPLPPTPTFAGSMALSRTPSPPPPPRRSTNAFLRRLTQAVPAPLLPTPAMARRRAAEATARGLPLRRSARLARRGDKGGNPVAAARTVLLRRLGISDVGGSAQSAAERYLDLFTGPLSQAVIQAIATLCGLDTPVPLPSVAALDMAGSVAAYVQ* >Brasy6G013500.1.p pacid=40049723 transcript=Brasy6G013500.1 locus=Brasy6G013500 ID=Brasy6G013500.1.v1.1 annot-version=v1.1 MTSRSTEPPPPQGALYDDLVEVRECAVMLQTVLQGSPVGDAGELMQGMMTGLSSAFSRLGTNGGGAVAASGAGRRPGGRRTRAGAAAGPVRRSSGSRRRSKSPFIKTDTVTTLNDGQSWRKYGQKLIHKSINPRSYYRCTHKHDQGCKATKQVQRSESNPSEFIISYFGEHTCKDPSTLHLEGAAALPDHCANLINFSSINNGTAATASTSAFPHPSAFSGFNYSSLPAFCEKLMIQTAADQVAAEEGRRTTQAAVPLTVGSAPAEYWPPPGEMDPAGAGMSSFPSSPSSLGFTGSFGSFGDDDLFGFDS* >Brasy6G013500.2.p pacid=40049724 transcript=Brasy6G013500.2 locus=Brasy6G013500 ID=Brasy6G013500.2.v1.1 annot-version=v1.1 MMIQAKFVLSQIIKKEQKSKSPFIKTDTVTTLNDGQSWRKYGQKLIHKSINPRSYYRCTHKHDQGCKATKQVQRSESNPSEFIISYFGEHTCKDPSTLHLEGAAALPDHCANLINFSSINNGTAATASTSAFPHPSAFSGFNYSSLPAFCEKLMIQTAADQVAAEEGRRTTQAAVPLTVGSAPAEYWPPPGEMDPAGAGMSSFPSSPSSLGFTGSFGSFGDDDLFGFDS* >Brasy6G133300.1.p pacid=40049725 transcript=Brasy6G133300.1 locus=Brasy6G133300 ID=Brasy6G133300.1.v1.1 annot-version=v1.1 MKVAWKNPTDMGVSIRRCGRGPIFPQAARPTASVPLFFLAGNQVADLRLRLRLPV* >Brasy6G183800.1.p pacid=40049726 transcript=Brasy6G183800.1 locus=Brasy6G183800 ID=Brasy6G183800.1.v1.1 annot-version=v1.1 MVSCSEVHGSLQAVAQGLRWTYALLWQLCPDQGYYNFFFLEKALVWSEGHYNGAIKTRKTVQQTHGHGAPAPAPAEAADQAARHRSRQLKELFESLAREAAAAGGMMTGCRMVDTVQAESAARRPTAALAPEDLTETEWFYLMCASYSFPPHVGLPGRAFAKGGHVWLCRANEVDSKVFSRAILAKSAGIQTVVCIPIVDGVLEIGTTENVKEDISLVQYAMGIFMDQQDIQMIPTISEHSTSDKICHMYQQSFQTQRKIHAGQENEMEHDDDEIGAECASGSGTNTGRNYSQDAPLNIVGNTDDQATPNAGSSELMQLEMPERVRDGCSSNLDDEIQMLMVCQNSNDQSDFQRQDEPGESWHFLYEELCSGYPQSSGENQDMVLQPQNAHYAETVMSILQRNDTRRQAASTNTRSYVAASHQSSFSTWHPTMLQQGRTATGGVTPQRMLRSVLFNNAAAAASGHAKQPDDIPRGGGDGGPRREPAADFSANHVLQERKRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRSRIQDLESSSPTRQQQQQVHGGGGGDLARSAKRKLATRPAVEGSSASSSSSAPPPSAEVQVSIIESDALLELRCPDRRGLLLRIMQAVQDQLRLDLTAVRASSDDGVLLAELRAKVREVHGRRSSISDVKRAIHLIISSG* >Brasy6G260300.1.p pacid=40049727 transcript=Brasy6G260300.1 locus=Brasy6G260300 ID=Brasy6G260300.1.v1.1 annot-version=v1.1 MPCQPRMHTYARGGLYICVICWMPRKAPQGRGVYACSSLTRSHIDLHLRRAMAWVPLVVVVDIVIAADNYDSNINKLRAKLADHPDPEDVEGHPVLAKQLFPEEPARWIHVNLSTGDLKATVVLAIRDDNVYLIGFKAQSGTWFEFGFEGKSTRMITGSTFLECGPDYRSLLGGSSSKDVRAKLVQLQLGKSVAEEAVLKLSGYVQPTPPPGAPDDATKLGLARLIVMICESSRMISISDTVSKGWASGTSISDRQVDYLWNWGNMSEALLAWKMGREPRESIKEKLRKIGITNKAGALAVVQLILNRPPPALKAADNEEEDECRSAWHLDAATNHDGHGRPLVEVFAVRAGFPVTGTIAVFDGIRGQIIYKQEHHHLQPPLEGEMVLTGPYRAISADGSFAIKVDIDSASGNEEGGKLTWNCYDDRANTMYDAPLNGAINTTHGPVEVTYAVLTNAVEATVQVRLLLAQAAAGALIHGKVTARTPRSKAFDGVASVLFSNGSEHKVAVAANESMIPLNRSVISVPLDAPLYVEASFVYTPADADHGLEVTIQGNFDFYPELSGDRVKRQFTEHVR* >Brasy6G260300.3.p pacid=40049728 transcript=Brasy6G260300.3 locus=Brasy6G260300 ID=Brasy6G260300.3.v1.1 annot-version=v1.1 MHGSTVYIYNYFNYRAMAWVPLVVVVDIVIAADNYDSNINKLRAKLADHPDPEDVEGHPVLAKQLFPEEPARWIHVNLSTGDLKATVVLAIRDDNVYLIGFKAQSGTWFEFGFEGKSTRMITGSTFLECGPDYRSLLGGSSSKDVRAKLVQLQLGKSVAEEAVLKLSGYVQPTPPPGAPDDATKLGLARLIVMICESSRMISISDTVSKGWASGTSISDRQVDYLWNWGNMSEALLAWKMGREPRESIKEKLRKIGITNKAGALAVVQLILNRPPPALKAADNEEEDECRSAWHLDAATNHDGHGRPLVEVFAVRAGFPVTGTIAVFDGIRGQIIYKQEHHHLQPPLEGEMVLTGPYRAISADGSFAIKVDIDSASGNEEGGKLTWNCYDDRANTMYDAPLNGAINTTHGPVEVTYAVLTNAVEATVQVRLLLAQAAAGALIHGKVTARTPRSKAFDGVASVLFSNGSEHKVAVAANESMIPLNRSVISVPLDAPLYVEASFVYTPADADHGLEVTIQGNFDFYPELSGDRVKRQFTEHVR* >Brasy6G260300.2.p pacid=40049729 transcript=Brasy6G260300.2 locus=Brasy6G260300 ID=Brasy6G260300.2.v1.1 annot-version=v1.1 MAWVPLVVVVDIVIAADNYDSNINKLRAKLADHPDPEDVEGHPVLAKQLFPEEPARWIHVNLSTGDLKATVVLAIRDDNVYLIGFKAQSGTWFEFGFEGKSTRMITGSTFLECGPDYRSLLGGSSSKDVRAKLVQLQLGKSVAEEAVLKLSGYVQPTPPPGAPDDATKLGLARLIVMICESSRMISISDTVSKGWASGTSISDRQVDYLWNWGNMSEALLAWKMGREPRESIKEKLRKIGITNKAGALAVVQLILNRPPPALKAADNEEEDECRSAWHLDAATNHDGHGRPLVEVFAVRAGFPVTGTIAVFDGIRGQIIYKQEHHHLQPPLEGEMVLTGPYRAISADGSFAIKVDIDSASGNEEGGKLTWNCYDDRANTMYDAPLNGAINTTHGPVEVTYAVLTNAVEATVQVRLLLAQAAAGALIHGKVTARTPRSKAFDGVASVLFSNGSEHKVAVAANESMIPLNRSVISVPLDAPLYVEASFVYTPADADHGLEVTIQGNFDFYPELSGDRVKRQFTEHVR* >Brasy6G092900.1.p pacid=40049730 transcript=Brasy6G092900.1 locus=Brasy6G092900 ID=Brasy6G092900.1.v1.1 annot-version=v1.1 MDLLKRELEKKRKAATADFGGKNFVRRSELEEKQLQKRRQIPGKAPSVPSPNSAASDPNNSNADPTQAGGANPNPSSSSSAAAAVPPALAGKKAAPEDALHSEERRIDDLVLPRNEVMRRLRVLREPVTLFGEDDADRLDRLKLVLKSGAIDDIDDLEMTEGQTNDFLRDMIELRMRQKAGRDTYVKGKGKRGGGDGGEGGAQGEGADDGDGDARRSGDDADADKDSKRMKTKFEELCDEDKILVFFKRLLNEWNQELDDMTELEKRTAKGKSMFATFKQCARYLSPLFEFCRKKVLPDDIRKALLFIVDCCMKRDYLQAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGKAPSEERLRLMPASKE* >Brasy6G187900.1.p pacid=40049731 transcript=Brasy6G187900.1 locus=Brasy6G187900 ID=Brasy6G187900.1.v1.1 annot-version=v1.1 MFHVDGLGHDPHGRTRVALPSPPPFSGSLPPPSAESHFYPSSAPLPSSMASSSSSPSSPPASPSHTNPSPRADADPRSDPSMPAASDGDGASPASPEQEEEADGAALGEEELEAPPPPEEAPTPRKTRLPRACNSKPRPPPPPPPERPRRRAAAGAGADETPQCRVVTPLVAEPEAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAELTAEDLEAALITPNDILYDVHMPLLKSIPPITRMAMGRGTWVTVLCRKLRDWWHWVAEGELPIIASHGAETEMYNELEPATRLVILKAICDIRVEQEDIRNHIDSSVKRGYDLSTFRKERIGGDSLGISYWYEDDPILGHRLYREIRRVEQTKKESGKRSRGKRVSTPPIVSYQWETVASNFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKEKLLKKQQREALLLDSYLTSDVTTGRSLRDRKPVRYTFDDYDRSINEAIKITKKRENSAEPVATGNRRMLTPRLESSSNGKLNGPSPLANELYDGNSSKSDDYRDSDGEQENETLDRSNRRRRRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDVEDDDEEEEEFSAGTSEDVEEPQRHTKLRSRGGRGAKLRSVDEIQTGLRRSKRSSRPRVNYQQYEFSDTDAEAGKAGKSDASDPDANSDAENDMELSTSSQEQHEEEDDGPEELAVNNGNNEMDEDHAVAANKEKQEAEEPQLQPDSAEKMDAPSRESESVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS* >Brasy6G197300.1.p pacid=40049732 transcript=Brasy6G197300.1 locus=Brasy6G197300 ID=Brasy6G197300.1.v1.1 annot-version=v1.1 MVARSPNDKPGSRQTAAAIAAAAALNPALVRETMKKMDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSIRCKQETVRMRGTPAQKRSPNSKFGGSEGAAAQWRRMSLPAMLLGETVLEIVRAGQFARDIVAVADPPKTPKPAARTRKQAAAESEQTPLRARRAREKQSQRGMAGAEVRTPPSRGRVRSRIQFKPASPLGRPSVSANRVSPKNRPWAKKTVMFPNPAFRGPSSSAACASPSPTKKQKRFYETRSPVLARRTPHKFLVKSPPSTLRSKLKCQGKLLPDSRPGAGAVSPPPGKAQAASGKTRRSSFSPSKLATRLMSPIKARLSFVSPMKARKPLHKSRDGGVGSSMMSGLKQRPVVSLTARTVSSRISS* >Brasy6G141600.1.p pacid=40049733 transcript=Brasy6G141600.1 locus=Brasy6G141600 ID=Brasy6G141600.1.v1.1 annot-version=v1.1 MANAALISRSLRRSDTLRRLLLLQARGFTTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLEQNARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGAVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVEIIDEFMEAVFSRWPNVIVQFEDFQSKWAFRLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNDVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELAHQGLSEGASLVEVVKRVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKVIFSSGSPFDDVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMKEEEVLQGIIYPPTSRIRDITKEVAAAVVREAVAEDLADGYRDMDARELARLSEEETVDYVKNNMWNPVYPTVVYKKD* >Brasy6G237000.1.p pacid=40049734 transcript=Brasy6G237000.1 locus=Brasy6G237000 ID=Brasy6G237000.1.v1.1 annot-version=v1.1 MAYPAAAAKLALFFALALAMASTMSSAQNAPSDYVRLHNAARSAVGVGPVSWDNTVAAYAQSYANTRKGDCALRHSGGRYGENIFWGSAGADWTAASAVGSWTDEKKNYHHDGNRCDSGQVCGHYTQVVWRKSTAIGCARVVCDAGRGVFVVCSYNPPGNFNGESPY* >Brasy6G226600.1.p pacid=40049735 transcript=Brasy6G226600.1 locus=Brasy6G226600 ID=Brasy6G226600.1.v1.1 annot-version=v1.1 MQIAQDVSALLPVAEIYADGCPLRRPEHARIVVERTDVADAILEALPGVPPSAFKVNLHYPDAFLVRFSRQGWMEELRALGVIHFRGTPLTIWGWSRRHFATQISYRHPLLIHIDTIAVLPAGPSAGSSAGSGGGGSAARELYRFNWARSRTDGTADVPSDPCSAVLARLGCSSRAPDAGPADAPATAISDASAEALLFAAPPTWKLISAAPPPTLPAPTTVPLAVPSLVPCALPAPCLAGGLSTSAEVPAAAVHAATPVQTAAPVLVSAARVAEAITPGLLELAAPKPAPPKRPLKAAVQVRRSGRLASKVSTSPAHVMDKAKRLICKKLGVAFEAAATDDAALLARYAKSFDQPLSEAQITALTALAQRGAEKKLKKAVAA* >Brasy6G171800.1.p pacid=40049736 transcript=Brasy6G171800.1 locus=Brasy6G171800 ID=Brasy6G171800.1.v1.1 annot-version=v1.1 MSACDREGELGEREVFKCRLADLNLGSNMEQLTRRRPWLFLLLLFLIAFLPVNAILAGAHLGAHVVVHAKGQDDDTGTNPTHISAARWSTVRKDSGGGGHGHGGHGHGNGGGERGHDMPGTPPHQTIAGNANHRNGHSAAAATTDFSRSSTAAACVVLALAAAAIPFHHL* >Brasy6G178000.1.p pacid=40049737 transcript=Brasy6G178000.1 locus=Brasy6G178000 ID=Brasy6G178000.1.v1.1 annot-version=v1.1 MAVAAASGAPPAPLPVVGQQFCAPYVVPLTVTKKAISLSDGDFAITDANGAVVLKVKGAVFSIRNRRVLLDAAGQPLLSMQEKVFSMHHRWEVFRGDSTNASDLLFTVKKSSMIQLKTEMDVFLAGNTAQQVCDFKIKGSYFDRSCAFYLGNSGTMVAQMNRKFTVSNVLLGKDTFGVTVFPHVDYVFIATLVVILDEVHRDKNN* >Brasy6G142400.1.p pacid=40049738 transcript=Brasy6G142400.1 locus=Brasy6G142400 ID=Brasy6G142400.1.v1.1 annot-version=v1.1 MATQPPSSAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFEYPDFPELSQPARSAPPPATVTSSTSSSWPAPPPPPDASQDPDLVPQDSTPLASSSSPSPRSSASKARASAAVADGLAAGVAALSFEEPPGAGAGEDGYDYGKGDFVEHACRYCGIHSPACVARCNVPSCRKWFCNSRGNTSGSHLVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLNVNALKDMNWDLSQWLPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGGTSHPAWQSVGHVIKLTAQEEVALELRASQGVPVELSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPKRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSEMHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSTGIDFPWPVPNRPMFFYVQMGVEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGSSFGTAGSVDKRSGRGKAHPFVPFGPPNGAHKPGVHPSYPLPRMPFPPFPGSPHSQPYAIPTRGSLHGPIGAVPTVPQPGNRNFGAPRANTGPIGGHLAAHQQNSQQPMGNVGPTYNFTGLENPSSQPSGGGPMSQPGLMTQMPVQGLSQTFRDGFAIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVEYTQGPQSGYPGNYLNQSAHPGYPHMGATNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMGAPGHLQSQSMMNPMYSQSYAHYNTQPQSLQPPPQ* >Brasy6G142400.2.p pacid=40049739 transcript=Brasy6G142400.2 locus=Brasy6G142400 ID=Brasy6G142400.2.v1.1 annot-version=v1.1 MATQPPSSAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFEYPDFPELSQPARSAPPPATVTSSTSSSWPAPPPPPDASQDPDLVPQDSTPLASSSSPSPRSSASKARASAAVADGLAAGVAALSFEEPPGAGAGEDGYDYGKGDFVEHACRYCGIHSPACVARCNVPSCRKWFCNSRGNTSGSHLVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLNVNALKDMNWDLSQWLPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGGTSHPAWQSVGHVIKLTAQEEVALELRASQGVPVELSHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPKRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSEMHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSTGIDFPWPVPNRPMFFYVQMGVEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGSSFGTAGSVDKRSGRGKAHPFVPFGPPNGAHKPGVHPSYPLPRMPFPPFPGSPHSQPYAIPTRGSLHGPIGAVPTVPQPGNRNFGAPRANTGPIGGHLAAHQQNSQQPMGNVGPTYNFTGLENPSSQPSGGGPMSQPGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVEYTQGPQSGYPGNYLNQSAHPGYPHMGATNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMGAPGHLQSQSMMNPMYSQSYAHYNTQPQSLQPPPQ* >Brasy6G089700.1.p pacid=40049740 transcript=Brasy6G089700.1 locus=Brasy6G089700 ID=Brasy6G089700.1.v1.1 annot-version=v1.1 MSAPAGKKMKGKDAEPEQFVTPVVTDQEGRRLSRTMRSTDKLQDLMRFYYDIVPTVEDGDGLFVHRGNDRLLYYNKTPADYNLKDGDEIRFLPEISPRMFVTAVIVDFKRRQFTRTMRRTDKIQSLMNYYYAMVPTARYHDLVFVYQNRFPHPEMTLADLGVEDGDEIDIFQQQPSWPSTPPPRLPVTNCAEESGSSRGSSTS* >Brasy6G262600.1.p pacid=40049741 transcript=Brasy6G262600.1 locus=Brasy6G262600 ID=Brasy6G262600.1.v1.1 annot-version=v1.1 MVSGGGEPAAAVRSKKKVCVVGGGLAGLAAARELRREGHAVTLLEQSHDVGGQWLYDPRAVGGAVHSSVYASLRLVGPREIVGFSDFQFRPIHGRDARRFPGHREVHRFIKDFVAQFALADAIKLDTMVTRVARAMNSSPSQWVVTSVQRGGGAMDSEEKLKEEVFDAVVVATGHFTKPRFPRIEGMEEWGLLRRRQGHSHWYRTPEPYRGEAVVVVGCGDSGKDIALDLLRGGAMAVHLAAANLDSLESMAKLQAKHRNLHLHPRLERLQPADGRAVFADGSSVAADAVLYCTGYAYSFPFLDFDAAAIAVDEEDDGVGTPVLFEGVFPPSASMAPSLSFIGIPRTVLVPRSFEAQARWVARTLSGRRKLPPEEEMLRKALESQERRRRRRAGLEPVEIFEDGEEYYRDFPPVESWRKELAMSSIARRNQDLESFRDLPEEDERETNRKGSGDDDLAAAQDRQDHQIMDAQVALPAMDKLLLNV* >Brasy6G008800.1.p pacid=40049742 transcript=Brasy6G008800.1 locus=Brasy6G008800 ID=Brasy6G008800.1.v1.1 annot-version=v1.1 MGNCCCIKGSAKCANASTPFESKVVSRSSGASNNNNSSSSSKSKAAAAAEVPVAAAAPASSLKSFSMGDLRAATKNFGSSSYLGEGGFGCVYKGWIDEATFAPTKPGAASGRMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTHPPPTWASRVAVAADVARGLAFLHARDVIFRDLKSSNVLLDGAHRAKLSDFGLARAGPTAGRSHVSTRVVGTRGYAAPEYVATGHLSAKSDVYGFGVLLLELMTGRRALDESRGPAAELLVDWARPLLAGERRRKQQVMRVMDTRLGGQYPKRQAQGMAELAMRCLQNDPKNRPTMADDVLPCLLLLQQANTKSSSLARSASSTTPVHRRHRKI* >Brasy6G015400.1.p pacid=40049743 transcript=Brasy6G015400.1 locus=Brasy6G015400 ID=Brasy6G015400.1.v1.1 annot-version=v1.1 MPSSCFECSGMLAIFGRRRPRAPPPREQQPQPKTTRLHRAFGRMKAGHCRRRRRAHRASSFSSVRAVFWPLMSMGSDADRASDIAGATADLPPQSSPSTAVRAPSPSLADTPASGAGSTTAARVLAIQAQLAPDADADASTAEAARLLALQARLGGLGLASPETKKKPSILAGPFDRTRLSISGGDGGVEEACRGFERQLMEMLVEEGKVGDLTDVEELLGCWEKLSSPDFAAVVGRFYGDLCVDLFSGLGVDVSSESSSDGSARLT* >Brasy6G217000.1.p pacid=40049744 transcript=Brasy6G217000.1 locus=Brasy6G217000 ID=Brasy6G217000.1.v1.1 annot-version=v1.1 MGFRDLHLFNLALPGKQGWRLLTLPESLCARVLRARYFHNVDFMKAAAPRTASKTWRAILAGRAALEKGLITRIGDGSSVSMWEDRWISGSISMKPMGRLQANDVEMRVSDLIDPHTFRWNEMKVRDAFLVPDVDLILQIPLRLSAGPDWLAWQGEKNGIYSVRPAYRSLVAERERQEDAKCGAAGVSTMGRQLQWKKLWKLDVLPRLAADSIKGSRIR* >Brasy6G069900.1.p pacid=40049745 transcript=Brasy6G069900.1 locus=Brasy6G069900 ID=Brasy6G069900.1.v1.1 annot-version=v1.1 MEGAGELEVFDAGRCTGGYDLGFAVGQRFSEMIRSRMRVDLFLQEQLLPFASTAAAQPLLAALQAANRERYPRYWDELVGIADGSGAPLLQVILVNFRKEIRPFIPKKGHEDHGRKDEGGDDDCSDVLVVSESTAFAAHNEDASPALLGHTYVVKATSPDGASSFTAYTYAGELPTCAFGFNTNGLAFTLDSVPPAIGEVAAGAIALNFVSRDLLEATDLDDAKRRVCSPGISVGHCYNLMDVRARRIVTVETASRNRFAVHEAGPAPSFHANMYRYLQVEQVQDENSMARERRAAQCAMGSKEEALSVLGDAADEKYPIFMTGPTLYTLCTVLVDLDEETMTIYRGNPKNRDSIRVLRMTQTAN* >Brasy6G069900.2.p pacid=40049746 transcript=Brasy6G069900.2 locus=Brasy6G069900 ID=Brasy6G069900.2.v1.1 annot-version=v1.1 MEGAGELEVFDAGRCTGGYDLGFAVGQRFSEMIRSRMRVDLFLQEQLLPFASTAAAQPLLAALQAANRERYPRYWDELVGIADGSGAPLLQVILVNFRKEIRPFIPKKGHEDHGRKDEGGDDDCSDVLVVSESTAFAAHNEDASPALLGHTYVVKATSPDGASSFTAYTYAGELPTCAFGFNTNGLAFTLDSVPPAIGEVAAGAIALNFVSRDLLEATDLDDAKRRVCSPGISVGHCYNLMDVRARRIVTVETASRNRFAVHEAGPAPSFHANMYRYLQVEQDENSMARERRAAQCAMGSKEEALSVLGDAADEKYPIFMTGPTLYTLCTVLVDLDEETMTIYRGNPKNRDSIRVLRMTQTAN* >Brasy6G080000.1.p pacid=40049747 transcript=Brasy6G080000.1 locus=Brasy6G080000 ID=Brasy6G080000.1.v1.1 annot-version=v1.1 MALVQPADTAVKANQILARFRPIAPKPPSAMPTPQPIGGALPHLQARPCRARKRGRGPSIAPPVPPPAHAAAARRKRTAVVPYPAPPLGCAAATEAVVSTATRAAGMLAGSSACLSLAPASASAGNLTRLSTEMAAPEEDDRDVPVERDLLRKLLEPKVISPRAVRPVSSTIYILEPVSVVGAGNDNIYAAAASKTAQEVEAELEADALPAFVSDSSNRVRLVNDAYKKMVGAPECAWLGAVAASRRISGEVALVVPAAATFPDSQNGGFSCAAKIEWERGGGWASIHAACDVTRLQCESRDYLLAWRFRTADAPSSSVSHRADK* >Brasy6G230400.1.p pacid=40049748 transcript=Brasy6G230400.1 locus=Brasy6G230400 ID=Brasy6G230400.1.v1.1 annot-version=v1.1 MDTTELWFFNDTIEAVPGTIAASGLQNFEKSASPPGIRPIAVHKPKARDRSSPHSLELLR* >Brasy6G058800.1.p pacid=40049749 transcript=Brasy6G058800.1 locus=Brasy6G058800 ID=Brasy6G058800.1.v1.1 annot-version=v1.1 MFSLFYGLWKHVFTKTEFRVIILGVHKAGKTTLLEKLKSIYSKGEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYDEAHAIVYVIDSASTSTFEDAKSALEKVLRHEDLQEAPLLIFANKQDLPAAVTEEELDRHLHLKELDERPYMFVAGSAYDGTGIKLGIDWLVEEMEKSKRTEALRARTDTYVKI* >Brasy6G153200.1.p pacid=40049750 transcript=Brasy6G153200.1 locus=Brasy6G153200 ID=Brasy6G153200.1.v1.1 annot-version=v1.1 MATACRLAAPLGLAPLPRGRPAAAVFRCSGKAAPRTSVAVVRAANGTSGGEGSAETPEIVKAVQDAWAKVEDKYAVATIGFASLVGLWTAVGALKAIDKLPILPGVFELVGIGYTGWFAYRNLIFQPDREALISNIKSTYNEITGNSS* >Brasy6G153200.2.p pacid=40049751 transcript=Brasy6G153200.2 locus=Brasy6G153200 ID=Brasy6G153200.2.v1.1 annot-version=v1.1 MATACRLAAPLGLAPLPRGRRRTGRPAARARRRRRRSSRRCRTRQWAKVEDKYAVATIGFASLVGLWTAVGALKAIDKLPILPGVFELVGIGYTGWFAYRNLIFQPDREALISNIKSTYNEITGNSS* >Brasy6G153200.3.p pacid=40049752 transcript=Brasy6G153200.3 locus=Brasy6G153200 ID=Brasy6G153200.3.v1.1 annot-version=v1.1 MSVASRQWAKVEDKYAVATIGFASLVGLWTAVGALKAIDKLPILPGVFELVGIGYTGWFAYRNLIFQPDREALISNIKSTYNEITGNSS* >Brasy6G201000.1.p pacid=40049753 transcript=Brasy6G201000.1 locus=Brasy6G201000 ID=Brasy6G201000.1.v1.1 annot-version=v1.1 MIGRWPAAAKTTGHHDRCSSVARVPKHRSGGGYGDSEERRIAQHYSARSNQTLQEREKSPIIHLKKLNNWIKSVLIDLCGRQGDRVLDLACGKGGDLNKWSIAKAGYYVGVDIAEGSIRDCLARYNCQQQQGIRIRRSFPFPARLICADCFEAPLDDFLHQDSPFDICSCQFALHYSWSTEARARQALANVSALLRPGGAFIGTMPDATVILNMLRETDDGSLRFGNSVYSITFGQECADKMRFPPDASSGSPFGIKYMFHLEDAVDCPESLVPFHLFESLAGEYGLELVLVKNFSDFVHEYLQEPKYSNLMQKLGALDGLSPDEWEVSCLYLAFVLRKRGQPALPSRRRADNAKRGKTFLDESDIEFLGM* >Brasy6G253400.1.p pacid=40049754 transcript=Brasy6G253400.1 locus=Brasy6G253400 ID=Brasy6G253400.1.v1.1 annot-version=v1.1 MERGVVCPMSTQNEDGGHPFFRCKKVKQVWRDMGLEDIRLQCVSCNGPKEVLKFLLRLSVGKQTRCLALLWKWWCARNKENAGESTCDTAAVVLLAGRAALEYEQQCVTVKDEPLKKPQHWTPPMGDILKVNIDGSFSDGSGGWGFVIRHCDGDVVMSGAGRVPSLQDALQAEAEACLHALHTAQDWGISRVQVETDAQLLVHAR* >Brasy6G253400.2.p pacid=40049755 transcript=Brasy6G253400.2 locus=Brasy6G253400 ID=Brasy6G253400.2.v1.1 annot-version=v1.1 MVSDGTRQNEDGGHPFFRCKKVKQVWRDMGLEDIRLQCVSCNGPKEVLKFLLRLSVGKQTRCLALLWKWWCARNKENAGESTCDTAAVVLLAGRAALEYEQQCVTVKDEPLKKPQHWTPPMGDILKVNIDGSFSDGSGGWGFVIRHCDGDVVMSGAGRVPSLQDALQAEAEACLHALHTAQDWGISRVQVETDAQLLVHAR* >Brasy6G009200.1.p pacid=40049756 transcript=Brasy6G009200.1 locus=Brasy6G009200 ID=Brasy6G009200.1.v1.1 annot-version=v1.1 MPTCLWPSAYNSAYIFGENGGMNATHIVVISYSCCPEEKTREDLSGEIQSSILQGDLAMATVGWLLLLVVFFLLLPPAALLLVVAAGRRATASRVSAHFAWPSAAAMEARRRRKRYASWSKAAPERRDQELRVKLYSTSSSSSSSSPAAVEEKVECVVCLSGVEEGDETRELACRHVFHRGCLDAWLARPPATCPLCRARLSSSAPESDSDWEESEADSDLVLLMAYVQGAGTGGRGWFWSP* >Brasy6G037500.1.p pacid=40049757 transcript=Brasy6G037500.1 locus=Brasy6G037500 ID=Brasy6G037500.1.v1.1 annot-version=v1.1 MPLEKAGEVGAEEFYTEVFSGAQFIQQGLCNGLPDVDAVFYFTRKSSGMEAFDVRFDADAPKVALPQGVMAPVNSFNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGQLVFYPPTVHRSDNVHSHPFDDEKDIHVNVGRLINFLMEWRSKKQTLFERILDLSYAMAEEGFWGEKDLQFMAAWLQDLVAVGYRQPRLMSLEIDRPRATIGHGDKQEFVPKKLPAVHLGAEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTEPVDRTALEWRLLYGRIFRAVVILSEQGNSDLAVEFSNLAQAYKYLPKVFDRFAGAEGFVFLQDHMVLNYWNLLDADKSKLWITDKVKESWSDVPFHGNEWFTNQREMVKKAVGSFPFHYQANYKRSVGEDKIIHCSSEIFYIPRRYTGVFSSLVKVTGNLDIHHTIAVPMLFLAMDLPSNFEPKALGKLVYRTNLPSNTTFSAIYTAEAHAVYPMKVQTEMDFVNLIRVMASGDPFLLELV* >Brasy6G037500.2.p pacid=40049758 transcript=Brasy6G037500.2 locus=Brasy6G037500 ID=Brasy6G037500.2.v1.1 annot-version=v1.1 MPLEKAGEVGAEEFYTEVFSGAQFIQQGLCNGLPDVDAVFYFTRKSSGMEAFDVRFDADAPKVALPQGVMAPVNSFNTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGQLVFYPPTVHRSDNVHSHPFDDEKDIHVNVGRLINFLMEWRSKKQTLFERILDLSYAMAEEGFWGEKDLQFMAAWLQDLVAVGYRQPRLMSLEIDRPRATIGHGDKQEFVPKKLPAVHLGAEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTEPVDRTALEWRLLYGRIFRAVVILSEQGNSDLAVEFSNLAQAYKYLPKVFDRFAGAEGFVFLQDHMVLNYWNLLDADKSKLWITDKVKESWSDVPFHGNEWFTNQREMVKKAVGSFPFHYQANYKRSVGEDKIIHCSSEIFYIPRRYTGVFSSLVKVTGNLDIHHTIAVPMLFLAMDLPSNFEPKALGKLVYRTNLPSNTTFSAIYTAEAHAVYPMKVQTEMDFVNLIRVMASGDPFLLELV* >Brasy6G002700.1.p pacid=40049759 transcript=Brasy6G002700.1 locus=Brasy6G002700 ID=Brasy6G002700.1.v1.1 annot-version=v1.1 MMASSSSSDDCWSQLPEDILGLFIARLPFPGDRARFRAVCRCWRSAVRHHVPSSSSRQLPWVLMPDGYFFSPSDAAAWHRLPPPANSFFPDDGAVVVGSTDAWVALLRRNAAADGCCCCFLLRNPFSGATVRLPELDAVLGGNSTTDVREVLVRSSTEEEEELLVAVMTDNGNHPLVLCRPGARKYWLPEPWEVPYIYIIDVAFLGDGKLYAITKAEDLLSLDLACSDGGSPVVVHGACLIRQPLGYDDYDAWTDDAVEPPNDDHVEDDDAEEPATDEQQLQDDDDDVTREGLDYAHDELGDLIVTIRCLVAEPRSEKLFMVRRQLQTRSCCSSFTRKFETRSTN* >Brasy6G202600.1.p pacid=40049760 transcript=Brasy6G202600.1 locus=Brasy6G202600 ID=Brasy6G202600.1.v1.1 annot-version=v1.1 MATIMSTMSSFAGATVLPRVAAARSPTPSSLRPRTSFVVRAQNDKDPTPSKPSASIWDILSFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGQSVESKSSAFWTADAELWNGRFAMLGLVALAATEVLTGAPFVNL* >Brasy6G115200.1.p pacid=40049761 transcript=Brasy6G115200.1 locus=Brasy6G115200 ID=Brasy6G115200.1.v1.1 annot-version=v1.1 MEMAGEEEDAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASSARRQAAGFISKVRYDGELAVHPRVAYLALNYVDRFLSKRQLPCEHKPWAPRLLAVSCLSIAAKMQRVDAISIADIQRDEEFMFDAVSIRRMERLVLGALEWRARSVTPLAFLGFFLSECFPTPRHPPLLDAVKARAVDLLLRAQPEVKMAEFSPSVVAASALLAAAGEVAGAQLLPAFQAGVAACPFVNSEKLRECGEAMAAACGVGPAATSADTPSTVLGHGHYRSASSESDRTVGSVANGADAKKRCMGPPSQWG* >Brasy6G115200.2.p pacid=40049762 transcript=Brasy6G115200.2 locus=Brasy6G115200 ID=Brasy6G115200.2.v1.1 annot-version=v1.1 MEMAGEEEDAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASSARRQAAGFISKVRYDGELAVHPRVAYLALNYVDRFLSKRQLPCEHKPWAPRLLAVSCLSIAAKMQRVDAISIADIQRDEEFMFDAVSIRRMERLVLGALEWRARSVTPLAFLGFFLSECFPTPRHPPLLDAVKARAVDLLLRAQPEVKMAEFSPSVVAASALLAAAGEVAGAQLLPAFQAGVAACPFEKLRECGEAMAAACGVGPAATSADTPSTVLGHGHYRSASSESDRTVGSVANGADAKKRCMGPPSQWG* >Brasy6G084300.1.p pacid=40049763 transcript=Brasy6G084300.1 locus=Brasy6G084300 ID=Brasy6G084300.1.v1.1 annot-version=v1.1 MDRPRACPLCDQVFENIDHLLLGCVVAREVWMQFLSGWGRIQCMPTLHSEIGEWWSSIQLQEKEKRELATKSTLICWTIWKNRNKVEVQRELVAWGRAGLIGESRSLGSNVLLWRDTG* >Brasy6G155800.1.p pacid=40049764 transcript=Brasy6G155800.1 locus=Brasy6G155800 ID=Brasy6G155800.1.v1.1 annot-version=v1.1 MVDFLRRLAGLLLKCCDLDIPNRPKGLEDPERLARETVFSVNEIEALYELFKKISSAVVDDGVINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFVRALSVFHPNAPVDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEVIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA* >Brasy6G256000.1.p pacid=40049765 transcript=Brasy6G256000.1 locus=Brasy6G256000 ID=Brasy6G256000.1.v1.1 annot-version=v1.1 MDQSIGSMAAEIVVTAVVQEGVSRVITQLGKRVGRASMEENLERLEWALERSSKLPITDVSLQRQRRAIKRVYHQCDELLSCKRQALDDDHIVAEQGVTTTATQSSSFPVILAAVRSASSFFGMKWCKVRSASSFFGMNKRELSHSVVRRFESFADKDGEFMRDVESGCTLSHYRFFNPLIMQLLQGNQLRYEMVQAASRTQDLQICSLRSEEHGVVARIQSHSCDPEIPAKCFDIVLVLRLSENTNIVGIAIQCLQSLGPQFKSLAQVAIGELSQIPTQDLSTSRSYEIDNRVTSHLNEVRPDRPIMLRSKCAKGLC* >Brasy6G240300.1.p pacid=40049766 transcript=Brasy6G240300.1 locus=Brasy6G240300 ID=Brasy6G240300.1.v1.1 annot-version=v1.1 MWMRVNVLTVISVIFVGILVGVGAYMPHYRHRSVIRFFFLGATTLFLPIVSFVVSNGSTTASTLSSAQNSFFRLTGDSYEIMTHDCKSEVRLLLILLWVGLAQIIGINTSIIVAADDREGRNNGLPVELLVQAIWTTYLALYNFAFELSFICVYALLFTKMVIKCFAFWMARRSLAHGCNPRLIVGYMKQLHEKRQHVEPIVEQQVEITSEQLQEERQQLEQELETTSEQSRQETQHGGSTVEQHIDIISEQLQEESQQEKQFQLLPPPIIVMGEERQKVEKQPHGYYIRETPNRDDITMVTIDRIWQLDGTLMRSMPRVKDVCFSFALFKLLRCRIAGYTVVEAGFTQAHNFFWHELLKDSGTGITAERVFRVIEDELSFLHDYYYTSLPMSYSKSWVPRFSILTSLLTIVCCLQIGRVMVNLISKDIGSLGQINCSVWCGNPSDMEQEHTTGSIKIGNIYFDIAPVVLLLALVVLAEVRDIAAFIFSNWTKVALICRYVARHNSRQRPYCLHRLFNIVLEEYRFKLTKHWMNQCSVLVLHPMFLLTLLQVRLQLSDRTKKVKVPTAVKAAVISALRRCNGIQGGSFLPQSQQDGKNFIWDCSDKGVAHSMLICHIATSLLEVRYPHSVAPASDDKITATHLSRYCAYLVEHVPELLPDDDKWCKSLYKAVKKNSLDVLTNGASRYDDLVTMLSGSDRHEVLRKGAALATQLESLPDEEGSTAWNVLAVFWSEMVLLVASSSKVHNHMEAIARGGELITLLWTLLMHAGPAPEPSTVDP* >Brasy6G020200.1.p pacid=40049767 transcript=Brasy6G020200.1 locus=Brasy6G020200 ID=Brasy6G020200.1.v1.1 annot-version=v1.1 MRDEDLSLCSPVINCCRASMVREPIAVHALPLITCGRGGWAWLPASAWRTPAAWRRGRLRPGRPRGRLSGATPRPPCLPPASLGRRRNDRKQCTDEGCMHTLRSIAYKGEDPPSLNLGGDRRLRTKGWSYSGCYN* >Brasy6G102800.1.p pacid=40049768 transcript=Brasy6G102800.1 locus=Brasy6G102800 ID=Brasy6G102800.1.v1.1 annot-version=v1.1 MDLLKRELEKKRKAATADFGGKNFVRRSELEEKQLQKRRQIPGKAPSVPSPNSAASDPNNSNADPTQAGGANPNPSSSSSAAAAVPPALAGKKAAPEDALHSEERRIDDLVLPRNEVMRRLRVLREPVTLFGEDDADRLDRLKLVLKSGAIDDIDDLEMTEGQTNDFLRDMIELRMRQKAGRDTYVKGKGKRGGGDGGEGGAQGEGADDGDGDARRSGDDADADKDSKRMKTKFEELCDEDKILVFFKRLLNEWNQELDDMTELEKRTAKGKSMFATFKQCARYLSPLFEFCRKKVLPDDIRKALLFIVDCCMKRDYLQAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGKAPSEERLRLMPASKE* >Brasy6G171300.1.p pacid=40049769 transcript=Brasy6G171300.1 locus=Brasy6G171300 ID=Brasy6G171300.1.v1.1 annot-version=v1.1 MRFVAAYLLATLGGNQSPTKDDVRAILGSVGAEVEEHKLDILFKEVEGKDIAELLAAGREKFAFAPRGGAAMDAVPAAAAAAAEEKKDGKAEAKVEEDEEEEDLDMFSLFD* >Brasy6G066400.1.p pacid=40049770 transcript=Brasy6G066400.1 locus=Brasy6G066400 ID=Brasy6G066400.1.v1.1 annot-version=v1.1 MATQAGNSVGPHSPQAVGVAFAHQYYRILNESPELVHKFYHDESFLGRPHSDGTFTSVTTTHDINEHFLSTDYKGCLIELENIDTQLSQNGGVFILVTGSVTMADDVKNRFTQSFFLAVQENGGYFVLNDVLRYIPEMPSAETTEAFATFPAEPEIPVEETMDPDLPSAENISGNGEVINPSAETTAVNHDAMKPSVENISVNNEVMNPSVENISAKEKVINSFGNDNSQVENDVIKTPEAAPAPPASAQKDVIKKSYASIVMKESTQPAPITKPKPKPTPTVKRAENVEKYVPAPAKTTHATDTAPPNDKNVSDEQGYSVFVKNLPFNATVEMVACEFSKFGAIKPRGIQVIHKQLDGFCFGFIEFESQQSMHAAIEASPLHFGSNVSHVEEKRTPTRVVGGVVTFGDNNGNARGRGGYHGDNFKACYREGANFRGQGAGFVKNDNYRDGENFRGQGVGFMNNGNYRDGNSMRNEFRNQNEYSGRGRGQQGNGYRQNGDGYRQNQNGDGYRQNGDGYRRNQNGDGYGQNRDGYRQNQNGNGYGQNRDGYRQNQNGNGYHQQRPFQNGNGNVRSGRFNGPKQTPVAA* >Brasy6G209800.1.p pacid=40049771 transcript=Brasy6G209800.1 locus=Brasy6G209800 ID=Brasy6G209800.1.v1.1 annot-version=v1.1 MRAATISVSRAEERCEDGSAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPPAGRGWPTLGRGCRRGLGVGWRRRALTRGSGAGQGGAASWARSAPRAGVGRRSAGRRRSAD* >Brasy6G005800.1.p pacid=40049772 transcript=Brasy6G005800.1 locus=Brasy6G005800 ID=Brasy6G005800.1.v1.1 annot-version=v1.1 MFVLLCMSLLRIFFAHSLPSFGAKLATPFSYLALPLLLSLSHQNSLLLLMAVDLHALSWNLSLSNFFFLWLLPFVCFLGCWCLQQMFFHTNHCIYTYCVETFP* >Brasy6G249000.1.p pacid=40049773 transcript=Brasy6G249000.1 locus=Brasy6G249000 ID=Brasy6G249000.1.v1.1 annot-version=v1.1 MDLVVAVGGGDAGVPLRKRGRNPEDDGEESEEQRQGEAKQQRRMPWPPPQQQNPASRIYRVSRGSGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAVEWLIKAAAAAIDKLPSLDASDFPNHPASASNNKAAELTAAADADASQQQQLTRSGCSSTSETSKGSVLSLSRSDSRVKARDRSARDKDDSALAAAGTRRRAPAAATTSSAQAASFTELLTGLAVASAAAGTTTTATAPVVAEHKPPQQHNSWQPMAATADYLGFAQPRKPAHGMLHTTFASPAPHLANIAPIAMAPTQHFSLSSAEPLQAEMAPFSFLQDHFMPVHAAAAAAGPAAVDYNLNFSMSSGLVGVNSRGTLQSNSQPHLSSHQQQLQRLSAPLDASHIPFFFSPAVTVASPNPAEGHFASAAAAFQLWDGFRHSDVKEKGKN* >Brasy6G083500.1.p pacid=40049774 transcript=Brasy6G083500.1 locus=Brasy6G083500 ID=Brasy6G083500.1.v1.1 annot-version=v1.1 MTTSRRVADRKIARFDKNITKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSSLFQIIRTASSGGIF* >Brasy6G136000.1.p pacid=40049775 transcript=Brasy6G136000.1 locus=Brasy6G136000 ID=Brasy6G136000.1.v1.1 annot-version=v1.1 MGLLELKRLISIQQDRRRRQIQAHDVYVPLLAKRGCSSRQKHDDSEGGKKRLKYSGLDLPEDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNVRINEDELGVLLSSCSALEQFGFGHNNEITCLKIPCMLQRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy6G066300.1.p pacid=40049776 transcript=Brasy6G066300.1 locus=Brasy6G066300 ID=Brasy6G066300.1.v1.1 annot-version=v1.1 MECRRAMERGRLNRNRHWPMPTEHAILGHRRKMARLVLAFRRACGGHGTGEPPSTPQPARWPASLISKLGRAVHLCLSPRDLLCCCCWISRRLPLSSPLLLHHMEIPHSPQTQMPYGDADCTLRALAARAEGFGRHAIGGLHGALYYVTSLQDDGCGTLREACRIKEPLWIVFEVSGTIHLQSYLRVSSYKTIDGRGHRVKLTGKGLQLKDCHHVIVCNLEFEGGRGHDVDGIQIKPNSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFSRHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGIYAVCASVEAQIVSQSNIYQAGEKKTVFKYMPEKAGDKEEVAAGWIRSEGDAFLQGAQSCLIDGPGVDAVFRPEHYYDKWTMETASPALKEVIELCAGWQPVPRPTDC* >Brasy6G114600.1.p pacid=40049777 transcript=Brasy6G114600.1 locus=Brasy6G114600 ID=Brasy6G114600.1.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVRGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWQVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEATKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWAVLSLLPYEVRYRLYGEWEKDAEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKQLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPRLEDLVYKYHIEPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAHEKDKWLSSSPDALKINMEFLQRCVYPRCVLSMQDAVYCAKFVQTMHSLGTPFFNTVNHIDVFICKTLHPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQASDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSFAQGKVSGVARGADEPPKLLSDEGVKVLKPTTESEQTRVPQKRATHNASKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTSSLVGTNGNIHLAPRKVSASSQRSTVLTEHSGGTANPTGEGESTDLIDSTVKQQKRSVPAEEQERISKRRKGDIEGRDGELTEHHTDKERKLDTRSADRFRSPDHERGASEEQNIIRTDKLKEKFDDKHDRDPREKADRIERRRGDDVVERPMDRASGRRERSIDRMQDRGTDRAPEKGREDRNKEDRNKAKHAEPSVHRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDSDRRGGSTRHSQRLSPRRDEKERWHLEENASLLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNSASKRRKIKREQSALEAGEYAPCAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRNAKDSTSKTSRREADQTHEREWEEEKRPRTEAKRKHRK* >Brasy6G114600.2.p pacid=40049778 transcript=Brasy6G114600.2 locus=Brasy6G114600 ID=Brasy6G114600.2.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVRGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWQVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEATKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWAVLSLLPYEVRYRLYGEWEKDAEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKQLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPRLEDLVYKYHIEPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAHEKDKWLSSSPDALKINMEFLQRCVYPRCVLSMQDAVYCAKFVQTMHSLGTPFFNTVNHIDVFICKTLHPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQASDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSFAQGKVSGVARGADEPPKLLSDEGVKVLKPTTESETRVPQKRATHNASKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTSSLVGTNGNIHLAPRKVSASSQRSTVLTEHSGGTANPTGEGESTDLIDSTVKQQKRSVPAEEQERISKRRKGDIEGRDGELTEHHTDKERKLDTRSADRFRSPDHERGASEEQNIIRTDKLKEKFDDKHDRDPREKADRIERRRGDDVVERPMDRASGRRERSIDRMQDRGTDRAPEKGREDRNKEDRNKAKHAEPSVHRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDSDRRGGSTRHSQRLSPRRDEKERWHLEENASLLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNSASKRRKIKREQSALEAGEYAPCAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRNAKDSTSKTSRREADQTHEREWEEEKRPRTEAKRKHRK* >Brasy6G114600.4.p pacid=40049779 transcript=Brasy6G114600.4 locus=Brasy6G114600 ID=Brasy6G114600.4.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVRGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWQVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEATKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWAVLSLLPYEVRYRLYGEWEKDAEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKQLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPRLEDLVYKYHIEPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAHEKDKWLSSSPDALKINMEFLQRCVYPRCVLSMQDAVYCAKFVQTMHSLGTPFFNTVNHIDVFICKTLHPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQASDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSFAQGKVSGVARGADEPPKLLSDEGVKVLKPTTESEQTRVPQKRATHNASKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTSSLVGTNGNIHLAPRKVSASSQRSTVLTEHSGGTANPTGEGESTDLIDSTVKQQKRSVPAEEQERISKRRKGDIEGRDGELTEHHTDKERKLDTRSADRFRSPDHERGASEEQNIIRTDKLKEKFDDKHDRDPREKADRIERRRGDDVVERPMDRASGRRERSIDRMQDRGTDRAPEKGREDRNKEDRNKAKHAEPSVHRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDSDRRGGSTRHSQRLSPRRDEKERWHLEENASLLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNSASKRRKIKREQSALEAGEYAPCAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRNAKDSTSKTSRREADQTHEREWEEEKRPRTEAKRKHRK* >Brasy6G114600.3.p pacid=40049780 transcript=Brasy6G114600.3 locus=Brasy6G114600 ID=Brasy6G114600.3.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVRGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWQVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEATKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWAVLSLLPYEVRYRLYGEWEKDAEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKQLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPRLEDLVYKYHIEPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAHEKDKWLSSSPDALKINMEFLQRCVYPRCVLSMQDAVYCAKFVQTMHSLGTPFFNTVNHIDVFICKTLHPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQASDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSFAQGKVSGVARGADEPPKLLSDEGVKVLKPTTESETRVPQKRATHNASKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTSSLVGTNGNIHLAPRKVSASSQRSTVLTEHSGGTANPTGEGESTDLIDSTVKQQKRSVPAEEQERISKRRKGDIEGRDGELTEHHTDKERKLDTRSADRFRSPDHERGASEEQNIIRTDKLKEKFDDKHDRDPREKADRIERRRGDDVVERPMDRASGRRERSIDRMQDRGTDRAPEKGREDRNKEDRNKAKHAEPSVHRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDSDRRGGSTRHSQRLSPRRDEKERWHLEENASLLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNSASKRRKIKREQSALEAGEYAPCAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRNAKDSTSKTSRREADQTHEREWEEEKRPRTEAKRKHRK* >Brasy6G096200.1.p pacid=40049781 transcript=Brasy6G096200.1 locus=Brasy6G096200 ID=Brasy6G096200.1.v1.1 annot-version=v1.1 MGDLPDPARAQVAAGGRRDKLAALLELAAADDVDGMREALELAGEEAAELADEVGLWYGRSKAYEPRTPLMVAATYGSARVVSLLLGLSGYVDVARRPGVDGFTALHCAAAGGSSNAVPVVKMLLDAGADLATPDCAGRFPADVIRAPPASPDALGDLEMLLGRRRALAVATSAASGASSPPLSSSPDDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMYAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDNCEFSHGVFESWLHPTQYRTRLCKEGAACARRICFFAHDEEELRHVPHNSGAGLLSPRATSSIDMSAAAQLGLLQGSPRQFGPPPGSPSAGSNGGGTAPHWLQGSRLRSSFNARDATVEDLGMLLDWESQYLGALCLPSSSRSQPRLSTGLSVRPTAIAPSTLEDMYASDLAMSPRFTNDQAHSVYSPAHKSAMLNKLHQQKGLLSPVNTNRLYSPRGLDPSALVHSPIGGMSPRSPRVMEPTSPLSARFGASHTQREMFEQFASLNKHQLPSTGSPRNSNSSWGNMGSPMGKVDWGVDGDELVRLRRPEQSGLAEKEPDVSWGQSPNGRRGEMLGNAGGLASGSTNRTDWNNQADLLDQTAIGAWLEQHMDQK* >Brasy6G072200.1.p pacid=40049782 transcript=Brasy6G072200.1 locus=Brasy6G072200 ID=Brasy6G072200.1.v1.1 annot-version=v1.1 MSSSSNGHYQSNDAKVLPKREKNNQEKLQLDKNAASRACAKDRQYIEKLETELRNCYQEIDYLQDQLNIRNIEANIMGEHIHGLELKLTELEKFPERVRVMDNDLMRSDSQCWLLMEEVQCKEEELQKAALQIEKLESATLDSQCEIESLKLDLTTLEQKLFDAESFGQHTVEFKARMEKQLGDYELQLQAAQNTIDNLELEKKQLTEELLSRRALKLSSSTTEEQLYKTSEHDGHANCEKDHEILEKMAKQNEEPELLIEQLKVELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQQLEAQISGDQRKLSGALRRLQESHELAKTQDMEIKKLKDALGRLNSVAKFGRVCKSCSCGFCPMLLELSNCSIEGSLDVGSSNADNIDEKSENQALLEWRPDEASEGDAG* >Brasy6G230500.1.p pacid=40049783 transcript=Brasy6G230500.1 locus=Brasy6G230500 ID=Brasy6G230500.1.v1.1 annot-version=v1.1 MTSRSHVGSSSKRGKKKGSPPPALPPQPAGTFPLVKCPCCRRRTVVRLVSGSPLNPGRVFYKCPNHWVIAYLFEGLYVEYLASLGVNLGNVVVFGGDSGGLTEAEEEEEVGGQPASESKNSASDVVLKELVQKMDEIIGLCRMMLVVFVVFVAMMMYAVAVK* >Brasy6G035900.1.p pacid=40049784 transcript=Brasy6G035900.1 locus=Brasy6G035900 ID=Brasy6G035900.1.v1.1 annot-version=v1.1 MGSHKFRFRFRFGRHRGRSKRTRGEAGRPNGGIKRSVRPPQPSPANHERELVHSLEISSSGSCRATHPLRPLSNPSPSSSSLPL* >Brasy6G140800.1.p pacid=40049785 transcript=Brasy6G140800.1 locus=Brasy6G140800 ID=Brasy6G140800.1.v1.1 annot-version=v1.1 MVARRFVVRQAPAGEGEVEEHAVEEHAVEYDTEDGLDVLRFQIFSLTSVPPDLQKIVVEADGSVVDDGTDLESISEGLRLVSIDEGEDADAAAATRAQEKSDEELARMIQAEEEALLLQQYSIQNDGGEVFREKVEPYMHRVLMYEDPALQEAARKTVPIDELEEKALVSLAKEGNFSPSKNEEDHAFLLQLLFWFKQSFRWVNAAPCDSCGRETSMVGMGNPLPSEIEFGASRVEIYRCNHCSSITRFPRYNDPSKLLQTRKGRCGEWANCFTFYCRAFGYETRLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWSKKLDYAIAISKDGVRDVTKRYTRKWHEVLSRRTITSEDTVSAVLMNITSKYRSGLSADALSFLETRDKKESEELSKATYLEVDTTISLPGRQSGSVEWRTVRSELGQIDTLSCSSCPVRRCVDAHVSKIYDALSAILSHFNDRQIPKERIIEVFNTLKSLMQNLKDTHFKSRRVILDQKLQQTFEEISPSMEMLLSALSLKPELGTNGERSVATVGNPIHTSLTLPVALDAVDEILSNCKNNIFYAKGHHFPRGNRLCSGSILASSEQLPAGIATAAFDGIRSSKWEEPDGAKGCWLIYKVFDDQTCEVQSYDLMSANDVPERDPMDWILEGSTDGGSTWNTIDERSSVLFDSRFCRKTFTVDKSCKANAFRFRFLRARESTANPRFQIGSIDLYGKIM* >Brasy6G080100.1.p pacid=40049786 transcript=Brasy6G080100.1 locus=Brasy6G080100 ID=Brasy6G080100.1.v1.1 annot-version=v1.1 MFHNERCYQARLEACDCTHHASMPPRRRPREFTPHAASSALIFFSADSRRNQSRRAHPYCRGRHRGLAILPQGYALPPPSRPLLLRRETKTNVDAVGDEEDHEPSLSGRAILMGFAIGVEEQLSSSNGYLSELAAATYVCHRRRFSPEFHRLGFVCRGLSECSIESDPEQRRNRINLSCGSKYYIKKLRR* >Brasy6G205700.1.p pacid=40049787 transcript=Brasy6G205700.1 locus=Brasy6G205700 ID=Brasy6G205700.1.v1.1 annot-version=v1.1 MGSFFSSHVVVEEQAPKAEWGLQGCTPEEFMDILRREFKFPPDAVMMKPPCPCVGFCNQIFRNTSSSSSHFPSEFGPVAIAAIRSMSKPPTKPNVVQQVDLV* >Brasy6G092800.1.p pacid=40049788 transcript=Brasy6G092800.1 locus=Brasy6G092800 ID=Brasy6G092800.1.v1.1 annot-version=v1.1 MSSGAQQTPPPPPPYRPYRQARSATPHARAISCVRFSPCGRLLATASLDGTAALLSPSSLAVIANLRGHADGVSDLSWSTDSFYLCSASDDGTLRIWDIRSILSASKPPADPNADRCIRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVKGTRCERVIDAHSEPVTSVHFIRDGSIIVSGSHDGSCKIWDARTGSCLKTVIDDKKPAVSCSMFSPNGKFILVATLDDSLKLCNYATGKFLKVYSGHVNRVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKIEGHTDAVISVSCHPTENKIASGSLDNDRTVRLWVQDG* >Brasy6G073900.1.p pacid=40049789 transcript=Brasy6G073900.1 locus=Brasy6G073900 ID=Brasy6G073900.1.v1.1 annot-version=v1.1 MALFRKFFLKKTPDRLLEISERVYVFDCCFSADAMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQQNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFRLNPQPSHLRYLHYVTRHGSGSEWPPISHPLILDSVVLHTVPRFDSEGGCRPYLRIHGQDSSPGNKSAKVLFEIPKTKKHLQRYGQMVAMQVEVPVKISAFCRVQGDVVLECIHIGRNIDHEETMFRVMFNTAFIQSNVLGLNRDDIDTAWNVNNQFPRDFRAEVLFSDPDSFKPAAVVEEVGDDGDETDVASVDTGDEFYEAEEDWHDARRDPETQSTDGRTSLEVGNTELDGGVASEANISLEKHLTDEDVKLIVSQNSGSMDDNGPSATAPILENLGGLQQACKVLEKSKLSNRSDQEDNAVHDIQVVACSVDSEGRKFGSICQEDTKGVIAQTLVTATDPSCSDEVQCHPDGCTKISRYTDLDYTAFGAPRTLSGMDEDTHLRTRPNEGQPNGDIKIITENTISVDNELLIYEEKTVVDNGNLMQEVKKVVKEESVISKIDRTRLENMHSRDNSYHKVEMAKIAETADTRLEESKLKSGRGDPILAKKTSLQDSIVVLPANEIAAEMKTKREERGGRRDFGLALPQSKIEARANSPRISDVQRQTPEAASSIPKKIPVDGTAPEPVLLEAIVGHIEQLEQQSKPAKAKTIRRWVSPKKESETASVRRPSHPPSRYDSSPAALAIRSMSTDNKINVVKGASLVLPGVPYGKHISQDATLSSTFPPRLSVTGAQAASRTQATNPAPPPPPPPPPFYSSSSSATKHLPPPPPPPPPLASAGLSNIPPPPPPPFLGLGVRGNVPPPPPPPPRLNGSAIAPPAPPPPPLKPSSSAPPPPPPQPPPAPSFIRHPSAPLPPPPPPPPPTACSVAPPPPPPPPLPATRSGAPPPPPPPPRTCSTPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPAPPPPPGARSGPPPPPGRPGAPPPPPPPGGGGRAPPPPPAPGGRVGGPPPPPPPGGRAPAPPRAPGVPPPPGGNPSSLGRGRGVVRSPASGFGAAAARKSTLKPLHWVKVTRAMQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKLDNTSKTDRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPELVRAALALDQSTLDVDQVENLIKFCPTKEEMETLKNYTGDKENLGKCELFFLELMKVPRMESKLRVFSFKIQFGSQVADLGKSLKTIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYVDLVSLEATSKIQLKMLAEEMQAVSKGLEKVEHEFKASESDGPVSEVFREKLKEFTDNAGADVQSLSSLFSEVGKKADQLIKYFGEDPVRCPFEQVMSTLLTFVTMFRKAHEENVKQAEVEKKKAQKEAEAEKTKNAQLASKNESKPSNPSRQAKQMLEKTKATSRRGKDVG* >Brasy6G073900.2.p pacid=40049790 transcript=Brasy6G073900.2 locus=Brasy6G073900 ID=Brasy6G073900.2.v1.1 annot-version=v1.1 MALFRKFFLKKTPDRLLEISERVYVFDCCFSADAMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQQNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFRLNPQPSHLRYLHYVTRHGSGSEWPPISHPLILDSVVLHTVPRFDSEGGCRPYLRIHGQDSSPGNKSAKVLFEIPKTKKHLQRYGQVEVPVKISAFCRVQGDVVLECIHIGRNIDHEETMFRVMFNTAFIQSNVLGLNRDDIDTAWNVNNQFPRDFRAEVLFSDPDSFKPAAVVEEVGDDGDETDVASVDTGDEFYEAEEDWHDARRDPETQSTDGRTSLEVGNTELDGGVASEANISLEKHLTDEDVKLIVSQNSGSMDDNGPSATAPILENLGGLQQACKVLEKSKLSNRSDQEDNAVHDIQVVACSVDSEGRKFGSICQEDTKGVIAQTLVTATDPSCSDEVQCHPDGCTKISRYTDLDYTAFGAPRTLSGMDEDTHLRTRPNEGQPNGDIKIITENTISVDNELLIYEEKTVVDNGNLMQEVKKVVKEESVISKIDRTRLENMHSRDNSYHKVEMAKIAETADTRLEESKLKSGRGDPILAKKTSLQDSIVVLPANEIAAEMKTKREERGGRRDFGLALPQSKIEARANSPRISDVQRQTPEAASSIPKKIPVDGTAPEPVLLEAIVGHIEQLEQQSKPAKAKTIRRWVSPKKESETASVRRPSHPPSRYDSSPAALAIRSMSTDNKINVVKGASLVLPGVPYGKHISQDATLSSTFPPRLSVTGAQAASRTQATNPAPPPPPPPPPFYSSSSSATKHLPPPPPPPPPLASAGLSNIPPPPPPPFLGLGVRGNVPPPPPPPPRLNGSAIAPPAPPPPPLKPSSSAPPPPPPQPPPAPSFIRHPSAPLPPPPPPPPPTACSVAPPPPPPPPLPATRSGAPPPPPPPPRTCSTPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPAPPPPPGARSGPPPPPGRPGAPPPPPPPGGGGRAPPPPPAPGGRVGGPPPPPPPGGRAPAPPRAPGVPPPPGGNPSSLGRGRGVVRSPASGFGAAAARKSTLKPLHWVKVTRAMQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKLDNTSKTDRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPELVRAALALDQSTLDVDQVENLIKFCPTKEEMETLKNYTGDKENLGKCELFFLELMKVPRMESKLRVFSFKIQFGSQVADLGKSLKTIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYVDLVSLEATSKIQLKMLAEEMQAVSKGLEKVEHEFKASESDGPVSEVFREKLKEFTDNAGADVQSLSSLFSEVGKKADQLIKYFGEDPVRCPFEQVMSTLLTFVTMFRKAHEENVKQAEVEKKKAQKEAEAEKTKNAQLASKNESKPSNPSRQAKQMLEKTKATSRRGKDVG* >Brasy6G073900.3.p pacid=40049791 transcript=Brasy6G073900.3 locus=Brasy6G073900 ID=Brasy6G073900.3.v1.1 annot-version=v1.1 MALFRKFFLKKTPDRLLEISERVYVFDCCFSADAMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQQNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFRLNPQPSHLRYLHYVTRHGSGSEWPPISHPLILDSVVLHTVPRFDSEGGCRPYLRIHGQDSSPGNKSAKVLFEIPKTKKHLQRYGQVEVPVKISAFCRVQGDVVLECIHIGRNIDHEETMFRVMFNTAFIQSNVLGLNRDDIDTAWNVNNQFPRDFRAEVLFSDPDSFKPAAVVEEVGDDGDETDVASVDTGDEFYEAEEDWHDARRDPETQSTDGRTSLEVGNTELDGGVASEANISLEKHLTDEDVKLIVSQNSGSMDDNGPSATAPILENLGGLQQACKVLEKSKLSNRSDQEDNAVHDIQVVACSVDSEGRKFGSICQEDTKGVIAQTLVTATDPSCSDEVQCHPDGCTKISRYTDLDYTAFGAPRTLSGMDEDTHLRTRPNEGQPNGDIKIITENTISVDNELLIYEEKTVVDNGNLMQEVKKVVKEESVISKIDRTRLENMHSRDNSYHKVEMAKIAETADTRLEESKLKSGRGDPILAKKTSLQDSIVVLPANEIAAEMKTKREERGGRRDFGLALPQSKIEARANSPRISDVQRQTPEAASSIPKKIPVDGTAPEPVLLEAIVGHIEQLEQQSKPAKAKTIRRWVSPKKESETASVRRPSHPPSRYDSSPAALAIRSMSTDNKINVVKGASLVLPGVPYGKHISQDATLSSTFPPRLSVTGAQAASRTQATNPAPPPPPPPPPFYSSSSSATKHLPPPPPPPPPLASAGLSNIPPPPPPPFLGLGVRGNVPPPPPPPPRLNGSAIAPPAPPPPPLKPSSSAPPPPPPQPPPAPSFIRHPSAPLPPPPPPPPPTACSVAPPPPPPPPLPATRSGAPPPPPPPPRTCSTPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPGARPGPPAPPPPPGARSGPPPPPGRPGAPPPPPPPGGGGRAPPPPPAPGGRVGGPPPPPPPGGRAPAPPRAPGVPPPPGGNPSSLGRGRGVVRSPASGFGAAAARKSTLKPLHWVKVTRAMQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKLDNTSKTDRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPELVRAALALDQSTLDVDQVENLIKFCPTKEEMETLKNYTGDKENLGKCELFFLELMKVPRMESKLRVFSFKIQFGSQVADLGKSLKTIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYVDLVSLEATSKIQLKMLAEEMQAVSKGLEKVEHEFKASESDGPVSEVFREGKKADQLIKYFGEDPVRCPFEQVMSTLLTFVTMFRKAHEENVKQAEVEKKKAQKEAEAEKTKNAQLASKNESKPSNPSRQAKQMLEKTKATSRRGKDVG* >Brasy6G185600.1.p pacid=40049792 transcript=Brasy6G185600.1 locus=Brasy6G185600 ID=Brasy6G185600.1.v1.1 annot-version=v1.1 MALQASSPSAFLAVPTTTHASCRRQFHVRASAAPAAGGDGKVMMRKEPTVAAPAPATWKIDYSLEKPETPLLDTINFPVHMKNLSTPDLEQLSAELRAEIVHTVSKTGGHLSASLGVVELSVALHHVFDTPEDKIIWDVGHQSYPHKILTGRRSRMHTIRKTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLQGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATMDGPAKPVGALSKALTKLQSSTKFRKLREAAKTITKQIGGSTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHSLEDLVTIFQKVKSMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPVTGKQFKTRSPTLSYTQYFAESLIREAEADEKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGMAAEGMKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATAHAIDDRPSCFRFPRGNGVGAVLPANNKGTAIQVGRGRVLVGGNRVALLGYGTMVQACVKAAEALKEHDVFVTVADARFCKPLDTQLIRDLAAEHEILITAEEGSIGGFGSHVAHYLGLSGLLDGHLKLRSMFLPDRYIDHGAAEDQMEEAGLTPRHIAATVLSLLGRPLEALQLK* >Brasy6G270300.1.p pacid=40049793 transcript=Brasy6G270300.1 locus=Brasy6G270300 ID=Brasy6G270300.1.v1.1 annot-version=v1.1 MAGSGGDEARDGQIRRLQGSGRADPTATRPGGRPASWRGPWTPSRSGWGGGREKAAPVGGGGGLREQGEGRRKEEGGGGWLAGRARGEGAERRAQGEGAEID* >Brasy6G169500.1.p pacid=40049794 transcript=Brasy6G169500.1 locus=Brasy6G169500 ID=Brasy6G169500.1.v1.1 annot-version=v1.1 MATPASRRRPTCAGVTTTAEALRVLLALAVLYGAMSLLVYRVIHMRHVAPLGPDAPPGEFSEGRVLQHLRRLVVDIPGRQEGTPGLEAAAQYIKGQLQGLAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.2.p pacid=40049795 transcript=Brasy6G169500.2 locus=Brasy6G169500 ID=Brasy6G169500.2.v1.1 annot-version=v1.1 MATPASRRRPTCAGVTTTAEALRVLLALAVLYGAMSLLVYRVIHMRHVAPLGPDAPPGEFSEGRVLQHLRRLVVDIPGRQAAAQYIKGQLQGLAARAGPEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.4.p pacid=40049796 transcript=Brasy6G169500.4 locus=Brasy6G169500 ID=Brasy6G169500.4.v1.1 annot-version=v1.1 MMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.5.p pacid=40049797 transcript=Brasy6G169500.5 locus=Brasy6G169500 ID=Brasy6G169500.5.v1.1 annot-version=v1.1 MMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.6.p pacid=40049798 transcript=Brasy6G169500.6 locus=Brasy6G169500 ID=Brasy6G169500.6.v1.1 annot-version=v1.1 MMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.3.p pacid=40049799 transcript=Brasy6G169500.3 locus=Brasy6G169500 ID=Brasy6G169500.3.v1.1 annot-version=v1.1 MMFLRHRVTLGYRNHKNIVMRISSNVSEDDEPSLLVNGHYDSPLGSPGAADCGSCVASMLELSRLILDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G169500.7.p pacid=40049800 transcript=Brasy6G169500.7 locus=Brasy6G169500 ID=Brasy6G169500.7.v1.1 annot-version=v1.1 MFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLFPGSIQARGENLFNLVKAFTNSSMLLKESDASSKAVQDGIDDQRAIFFDYLTWFMVFYPRDLSLILHSLPVAVFLLAPLFLNFPNITFMSWFLTVLDLLKGMLLHAFCVILAIVIPAIAAGLRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLFLPRIIWGLSEQSHFWGAFGLYSLITLAYMLADLSGGFLTFFISMSILLGRSISSISRKQWSLQSPKSLFGYVIPMIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPIASHWLAKTSILQGFLQITVVALAISSQIFPYSTGAPKRVVLQHTFVTDANSIVESNYGFSVVDANSLEFLFNNAPEAAKWLKDNSKLSFKEKYLSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPQLIVQKTLSNNGNRRVHLGLSLGSLLEIWTTSLNITGPLSNWSFADYTLSAPQTVSGGPPSYICRLTGKSYENWSFWLEANSSEPLRIDVAVLDQYLVDSTKELKSLFPSWADMTVFTTFFSTYHL* >Brasy6G094500.1.p pacid=40049801 transcript=Brasy6G094500.1 locus=Brasy6G094500 ID=Brasy6G094500.1.v1.1 annot-version=v1.1 MRGVWLDPAGSSPGARFSLRQPTSHASTWWGALDDRKALLSPRRRRRKPDGLEAGGQSPSGGRCRRGLPLVGRGAWARMRSGRRLGVRRREERAAGWRLGMRRRLAVQIQAGAAAACCFRAAQRRRPPAVLGAPRVGRCGVRGWRAWVCGLRAGVRRRERTARARLRCRPFGRRDGPAARWRVAALLLPCGRAWFGSGRIWSLATMAAVVLARSAPVGGVVAGGGEGGPVGGGWEDFVGRKSCLWSLTGADSGDVRGRHHLLSHQWPLGSTDA* >Brasy6G033300.1.p pacid=40049802 transcript=Brasy6G033300.1 locus=Brasy6G033300 ID=Brasy6G033300.1.v1.1 annot-version=v1.1 MLLFSYDFARIGAGGGQIEPPAARIGAAALEPERPTRARALEPGNSASPLKNPRKKKGQQRGRRTSCRVGQARRKEGGGCRARQVVPTGVGARFGPRGGGAGGPGVGWHARLFTDAIAGAGGRERRGGQRKAPAVRGFIIRDEKTRTQRIPGHFSAGFPRGDGSGAAAEIAGELRAARRGTARRRGAAAARGEREREGGRGLVISREKGMVRQIRGQISFFPGSVCVFVSGTRPLAVFLFPGLAPVGPSGQRLVWQKETGRLVRDSRVLVCVRAVHGALSTTLRWPMWKEFCFARPQGAACGWIVCVGYSRQATLILDLR* >Brasy6G078900.1.p pacid=40049803 transcript=Brasy6G078900.1 locus=Brasy6G078900 ID=Brasy6G078900.1.v1.1 annot-version=v1.1 MPAAPAPAAAARLKREDCPRTKHDSLFSPWKVLIGPSDWEDHAAGKEGVQRYHTLNLPDNFPGLYELGVARPSSEGVRARRYVLGGVVVVYLGQADNVRARLQKYGRTGSHLDTGNPSVAVCKAEMNMLEAGPGLFREVFSRGYSVVFRCALMGNKKEAEKTEGQLLRVFDYAWNKLQNGACRREEILLKLELGSHRPSLLSRVRHLKQKMFGEKAGIKISSSASVDMSTDTMKHMLPTIRTFVGFRPRIVNSGGSMIDIHPKRTSESNTPRNKQAHRRSEGYKVKKMNVIKRRNAPVQESNSVCGVVLEDGSSCLEHPVEGRKRCELHKGRRVTDIGSRKVSASSYSCQVGIPTVESVPRLSENRSKSGQRWQTSVDQSRNAKESSRQMDIFDAKEVKTGEAPVEDVTSGTSDPESQFKEDQPSGRMWFELLKAQKLASRSRGQGSRARVADDMAAMCGIVTDNGYCRLVPVAGSNRCKEHKGIQAAGSPSVPSPGSSGWPSICGARASDGSPCKNEPSAGRKRCAHHKGQRAASTPLIE* >Brasy6G185200.1.p pacid=40049804 transcript=Brasy6G185200.1 locus=Brasy6G185200 ID=Brasy6G185200.1.v1.1 annot-version=v1.1 MAPPALLLLLLPLLAITAAAAPSKPAATTTDGPPPVPTPWPEQFHAVMFTNLTESGGRLQLIDLYYDWPRGRNLNLIRSQLSGDPKYDVEWTNGTSYIFDSASCRTIRFAVGILPPDWLRGAVYLGRETTDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFNVGGVLEDSQWQAPAHCFNDHAAMATGADAIADTMSSLLRFAGAPAEAVATV* >Brasy6G166700.1.p pacid=40049805 transcript=Brasy6G166700.1 locus=Brasy6G166700 ID=Brasy6G166700.1.v1.1 annot-version=v1.1 MSSSDSPKVTENKDHDNEETGGFLGKVKDFIQDIGEKIEVAVGFGKPTADVTGIHIPQVSLEKIELIADVLIANPNPVPIPLVDIEYLIESEGRKLVSGTIPDSGTIQAHGSETVKIPVMLIYEDIKSTYGDIKPGCIIPYTVKVVLHIDVPVIGRISIPLEKTGEIPVPYRPDVDISKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEIWLSNISIASAEMKESANIKKQEVTTMNLPISFRPKDFGSAMWDMIRGRGTGYTIKGNIDVNTPFGHMKIPISREGGTTRLKKGDDDDDNDEDEK* >Brasy6G268100.1.p pacid=40049806 transcript=Brasy6G268100.1 locus=Brasy6G268100 ID=Brasy6G268100.1.v1.1 annot-version=v1.1 MGGETVGSESERIEGEINLRWGRSREEEDDGRSAAGWVAALGRGGRRSRERGRSLEGGEPDQGRGARKGTSLGPEADPQGTQSGGARSRRPRSCPKAPCLVRRMAPGGEMMEKPRLEEDPAAIVRRTSPRSATSSSDGEVEEWLAAEHHSDLARDAGSRGEADGSGRGSPHQRRERAQAAREGRAAAAGASRVAPAPPSPPFHPFPGSPPKIVHNLLVNTLPFQIHRYV* >Brasy6G059100.1.p pacid=40049807 transcript=Brasy6G059100.1 locus=Brasy6G059100 ID=Brasy6G059100.1.v1.1 annot-version=v1.1 MGIFFLGASSSLLFAEEDMSSVLGLGDGEVAAAAGRGGLDFLDAAAGAVFPVDSDEVVRLLMEKEMDYRPKDGYVERLQQGGFESSWRKDSMDWICKVHSYYNFGPLSLCLSVNYLDRFLDSFNLPLDKSWMQQLMSVACLSVAVKMEETVVPLLVDLQVCDPKCEFEARNIKRMELLVMETLKWRMQAVTPFSFMCYFLDKFNERKPPSYMLASRCAELIVDTVKDFRFLSFRPSEIAAAVVLSALVENQVVDFNSALAASEIPVNKEMIGSCYELLVKKRGDQSARSSVPHSPIAVLDAACFSFRSDDSALGSSLSINNDQASTPASKRRRLSTSPI* >Brasy6G264800.1.p pacid=40049808 transcript=Brasy6G264800.1 locus=Brasy6G264800 ID=Brasy6G264800.1.v1.1 annot-version=v1.1 MPALLLLMTMIMALDAAAAMDGGAMAGFEHLRMYMHDSYTGPSPSAVVVINGTGPIIPGSGGARFGMTVVMDDPLTDRPSLASSRLLGRAQGFYVTATKADGPPAVLLSMNLLLSGDGSTLAITGRNAVLSPVRELAVVGGTGRFRMASGYVMLKTASWHGNDAVLQLDVFVRAGAAA* >Brasy6G021100.1.p pacid=40049809 transcript=Brasy6G021100.1 locus=Brasy6G021100 ID=Brasy6G021100.1.v1.1 annot-version=v1.1 MERFRFRFRRSPLLSLNLKLAFAVSLGLGVSFAAVSSSSSSSLPSPPPSPQAVAADLLAVLAGPRAAARVPAGEASRLRSCLRFLSPASPAAFSRASPSRRGSRKVLLEGCDDDAAEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVEGSKKNKCHLTRTPYGRRFANEEINSYFAFLFELIVARGPSVGLNVSLSRYDLFHGHLFLASGTGRLGILFHAKEYPAVDKELFPYNLGYCQAGSDVPYDDSMNLRNILWLAPLPSSETKAWLAPGVLVVLDAHPDGVIYQDMIREYVQVVRTIYEDDFGELAVDVNYLNVANAASADRIFIC* >Brasy6G122700.1.p pacid=40049810 transcript=Brasy6G122700.1 locus=Brasy6G122700 ID=Brasy6G122700.1.v1.1 annot-version=v1.1 GRGEEKGGKEPRSEKHPPPPVYKFPSFPPLYIGGIKAKKRENTKDYQRLPAIQILPRKFLVDLLFDHLRGYAPVSPVDS* >Brasy6G210600.1.p pacid=40049811 transcript=Brasy6G210600.1 locus=Brasy6G210600 ID=Brasy6G210600.1.v1.1 annot-version=v1.1 MKPLGRLSEEPVQLVSDLMLGNSTLWNGPKVERIFFPLDAAAILSMPRPHTQQPDFWAWAWDRTGYFTVRSAYKELVNRYGSAMFEFRLPRLHPVTWSRDVLDSAIVPKREAAISVSVMWAIWSSRNAYSHGEVKFQPLKSMELVAELLNSMEFPSQEIHPREQEVKWAKPCLGWIKINTDGAIDLHKGVAGTGVVVRDDAGAFLRAECRSFTHLSDPMVVEMMACRDAIPTARPLPRLRIILYVGFKLSFARRTANKVTHACARAALSLDSSVVSYTDVPGFLIEAVQSDLFSSME* >Brasy6G140400.1.p pacid=40049812 transcript=Brasy6G140400.1 locus=Brasy6G140400 ID=Brasy6G140400.1.v1.1 annot-version=v1.1 MPPGRSPVAVLRRRRALLPLVLLLPLIILILILISPPRSVPALLNVVPGLHTCDYAAGEWVPDASAESHLRYDHTCKEIFKGWNCVGNGKRNGRALLRWRWKPAGCELLPRLDPLLFLERHRNSNIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLRYNLTLSYHRTNLLVRYGRWSASSNGGPLESLGFKQGYRVDVDIPDQTWAEAPSFHDVLIFNTGHWWWAPSKFDPIQSPMLFFEKGTPIIPPLLPPAGLDLALKHMITFVNKAMRPNGVKFFRTQSPRHFEGGDWSDGGSCQRDQPMSLEEVEELFSLENNGTNAEVRLVNQHLLKALEQSTFRVLNVTHMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLATNLAAMEI* >Brasy6G122300.1.p pacid=40049813 transcript=Brasy6G122300.1 locus=Brasy6G122300 ID=Brasy6G122300.1.v1.1 annot-version=v1.1 MISATPTPLLPPSPRLRGSRLSPTAASCLPDTGTGPPLTAGTDQQRSGPAEQDEAGTGTVSSPPRPASTPQRASSQLSRWSRARALRSGRRLVRGSAAVSVPTKSPPPSSSYSQEEVAAKTVTAEEDGDGVEAVAVGGNTIYMVSDGTGWTLEHSVNAVLGQFEHCLVDRRCATNTHLFSGVDEIDNLVEIVKQAAKEGALLLYTLADPSMAEATKKACDLWGVPSTDVLRPTIEAIASHIGVAPSGIPRSSASRKGQLSEDYFERIEAIDFTIKQDDGAQPQNLARAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGIDLPKALFEIDPDKIFGLTINPVVLQAIRKARANTLGFHAQKSNYAEMEHVRQELDHANQIFARHPIWPVIQVTGKAIEETAAVIVRIYHDRKQKCSMPRISKRVAPIRVYNYLSEMVSPPVEPEKILARDL* >Brasy6G207300.1.p pacid=40049814 transcript=Brasy6G207300.1 locus=Brasy6G207300 ID=Brasy6G207300.1.v1.1 annot-version=v1.1 MRGCTDIALWIVPQIFTLALSRKIELSCKLLRTIVGSEISVKKGIDNDKWCPHCPNTLETVFHLCIACDFARQVWAGVGRRFHLSCFNPATWAASPSVKEWWCARADAIAVNHSKAEVKGATALFLLTLWNIWKERNGRIFKASRHSATGY* >Brasy6G089400.1.p pacid=40049815 transcript=Brasy6G089400.1 locus=Brasy6G089400 ID=Brasy6G089400.1.v1.1 annot-version=v1.1 MDSPVRKMDMEETADDEFVLVPDAADLMIRSYHLIDDDDADQEARFLAGNSEKTIDANYFASEPVSSVEAAAAHCKRAAESEDSVQNDVIEAVEGLVVTGAGEEEGRIKDGGGEEGDHHGWQQHAVGVLCSVGIAAAATGLALLLGGNHQQQPPHKVHFTTASGGRKMQAAKVSARRDARMERQGVSVPPRGELAPASVSFGGSSHGMRF* >Brasy6G167900.1.p pacid=40049816 transcript=Brasy6G167900.1 locus=Brasy6G167900 ID=Brasy6G167900.1.v1.1 annot-version=v1.1 MSVVASSAAGVRASLLSSSASSSSRPLPHHNRAYRSPTPPAASRGSRRRRLQVRAARTESTGVSVGFRAPQFELPEPLTGRVWTLDDFEVYPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLASVAISSNSIVTHPQDGPDFMAEEAKLFKYSFPYLYDESQEVAKAFQAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSSNAPVTGRDLSRAIDCALSRQELPFVPKPSVGCSIKWHL* >Brasy6G167900.2.p pacid=40049817 transcript=Brasy6G167900.2 locus=Brasy6G167900 ID=Brasy6G167900.2.v1.1 annot-version=v1.1 MSVVASSAAGVRASLLSSSASSSSRPLPHHNRAYRSPTPPAASRGSRRRRLQVRAARTESTGVSVGFRAPQFELPEPLTGRVWTLDDFEVYPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLASVAISSNSIVTHPQDGPDFMAEEAKLFKYSFPYLYDESQEVAKAFQAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSSNAPVTGRDLSRAIDCALSRQELPFVPKPSVGCSIKWHL* >Brasy6G167900.3.p pacid=40049818 transcript=Brasy6G167900.3 locus=Brasy6G167900 ID=Brasy6G167900.3.v1.1 annot-version=v1.1 MSVVASSAAGVRASLLSSSASSSSRPLPHHNRAYRSPTPPAASRGSRRRRLQVRAARTESTGVSVGFRAPQFELPEPLTGRVWTLDDFEVYPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLASVAISSNSIVTHPQDGPDFMAEEAKLFKYSFPYLYDESQEVAKAFQAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSSNAPVTGRDLSRAIDCALSRQELPFVPKPSVGCSIKWHL* >Brasy6G093000.1.p pacid=40049819 transcript=Brasy6G093000.1 locus=Brasy6G093000 ID=Brasy6G093000.1.v1.1 annot-version=v1.1 MSSSLVHLELGLPERLGYVQCKFCTTILLVSVPCSSLLRMVAVQCGRCAGVLSVSVASPPSPAPAPPSVELPLQELGVDPPPREWSDESTGDESGDGEGEAAESNGATVNKLRKQRTPSAYNCFIKEEIKRIKALEPNITHKEAFSTAAKNWAHLPRIQHRGN* >Brasy6G248400.1.p pacid=40049820 transcript=Brasy6G248400.1 locus=Brasy6G248400 ID=Brasy6G248400.1.v1.1 annot-version=v1.1 MGGVSSATTARPRRWKSRFAILLKQPYLPIYEGTLILFHETNRIILLGIDGSPIDERILPAGEVICEGGLIKFPCHQAELGEEIAEGPRWLLSAEARPFPDEGDLVAVETEEEDEDVIGTGGALWWLSGELGRPVREETSTPVEMLVEQIPGEGAAVLPQTRTSGERSLRSYLWVPPLPTLGEILLSQLRLLDRSYVAAETDLAAVEPAAIVADREGHGGVNQAGIPAGSTSQTLIPAAGLVAEKRTYKEVLLTAPPRKKLRNRPTRRKKAMAGRGEQRFGRAEERGPVQRGGGYARPSNPSPRPGGGYQGGRGSSFYTEPYWKKKQTTTGVSSSTSSAAAATNKIQSSDSTVVETVMKPVVCYKCDQEGHNSKECTNEVLCKICDKNTHVSARCVWPTQAKAVMQPVGLGAPDLGFFRALHAKPRKIETESTLGLIIVKKGSLHPSLVECGLETQFPWKWKWNVIQQGKNFLAQFPSKETLSMLADFEDFKLKGTEAYIKVERATKEVKPKGRMHTIWARAEDVPDEMKNYKGICEVGSLIGAVEEVDMQILQELGVVRFKAHVKSVKKVTSVQEFGIPPELFDIKFTVESMVTKGTLVDGGSGRITTKRGADGKAEASGGNKSPKKPKEGGGAGPWKGH* >Brasy6G013600.1.p pacid=40049821 transcript=Brasy6G013600.1 locus=Brasy6G013600 ID=Brasy6G013600.1.v1.1 annot-version=v1.1 MALPASGLSRLLASRRIQPADISAAATWGVAAGSAAIYLVQPFDWIKKTFFEKPEPEA* >Brasy6G181600.1.p pacid=40049822 transcript=Brasy6G181600.1 locus=Brasy6G181600 ID=Brasy6G181600.1.v1.1 annot-version=v1.1 MAEHDLTARMAAQMDCHLVFPLLEFLQERQLYADDQILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPADMVARRSEVVARLRTLDEAAAPLVGFLSNPQLVQELRPDKQYNIHMLQERYQIGPDQIEALYQYAKFQFECGNYSGAADFLYQYRALCTNSERSLSALWGKLAAEILMQNWDVAQEELNRLKELIDSKNFSSPINQLHSRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLAAAVVVNKRRRNMLKELIKVIQQEQQCYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGNFITVPLRDEFLENARLFIFETYCRIHRCIDIGMLAEKMNMSYDEAELWIMNLVKSSKLDAKIDSVTGTLIMTTNHVNVHEQIIENMKNLNARTYMLAKSLVDPGHAAAQQAAR* >Brasy6G034500.1.p pacid=40049823 transcript=Brasy6G034500.1 locus=Brasy6G034500 ID=Brasy6G034500.1.v1.1 annot-version=v1.1 MAPQFVFPSTLRDLERDPDGDDEGESLRPQDPVAVTALRAADLEEFVKGTSFDLSDKELFCIEEQDVFNNIYSLVRDFTCLPPALKFNLVEALRSNLSVLLPNIDSLSRASMSPAPDGIPIIDRIASHRNALKIYSFFLLSIVLTEESSADRGAGAKVTAHGRKKNHVYAWNWEAQRGRIMNLVATSLEVDLTLLFGPGGADERYLSFVSKCTLVLFENQNMLKDEETRNALCRIIGAIATKHQRISQTSASVLHLIHKFDFTVAHLAEAVAAAEKKFGDGSLAICLIREIGRTDPKDYARDGAGADNVGRFLVELADRSPKLMSTNLGVLVPHFGGESYKIRNSLVGVLGKLVAKACKDDDGNSSTHSMRLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEQNAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTSTFEATLEKYKEKLQGMESQSPEECEPENDHSLGEVIVGQDESISDSCLPSSQDQKDQDPMVADITNLEQIRALVASLESGLRFSTCITSLMPILIQLLASSSATDVENTILLLMRCRQFQVEGSDAALRKMLPLVFSQDRSIYEAVESAFIAIYTGRIPTETAISLINLNNDCSIGDLAALESLVSSLVSKGEISSSTISSLWDYFCFNISGVRPIQCRGALSILCMAAKSSPSILGTHLQDIVDIGFGRWAKEEPLLARTACVALHRLSEEDKVKLLSTGSRVFAALQSLVTSLSLPEKIWYGAADKAISAIYALHPAPEIFAAEIAKKSLNSAFSALRMDDVTNGVELETQNGSSVSAVSATKLGRFLFVISHIALNHLVYIETSVKKIQKQKQKNDKSQTTNEEGQADASKNSEAQGINAELGLGATVDIAIESLAERAEREIVSCSCEKNLIGHCGPFLSKLCRNLTLLQKFPELHASAMLALCRLMIIDAEFCEENLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEHIYARLSDQSASVRKNAVLVISHLILNDMMKVKGYINEMAIRVEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILSRLCNQHLKEETFHSIMQFLIGSIKKDKQMEALVDKLCNRFAGVNDVRQWQYISYCLSQLTFTEKGLKKLIDNFKMFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFEEKVSKVHEEKKEHEATMRNAEAHKQRMSSLDTFLVTKEAGQGDGNAVEGEGSEVIDPSVGSNAEDKENMPECSDNIDPSLDSNAEEKECGDNIDPSVDSNAEDRENMPECSDDIDPSVDSNAEEKECSDNIDPSVDSNAEDRENMPECSDDIDPSVDSDAEGKECRGYTNPSVDNYAEDKENTPESSNICSERSQTSSTFTESEDNSAEVQSARTLRKGSSRSNVKKITDPVLEDSADSSAPIRHRSRSSRRQR* >Brasy6G079500.1.p pacid=40049824 transcript=Brasy6G079500.1 locus=Brasy6G079500 ID=Brasy6G079500.1.v1.1 annot-version=v1.1 MASDHGCTTMATTKSVLISLLLVLQLSAARVRSDSSPCDFPAIFNFGDSNSDTGALSALFSRVLPPYGRTFFGMPAGRYSDGRLTIDFMAQSLGLRYLSAYLDSVGSNFTQGANFATAAATIRRDNGSIFVQGYSPVSLVVQTWEFEQFINRSKFVYSDIGGIYREILPRPDYFSKALYTFDMGQNDLTVGYLTNMTTEQVEAYVPDVMERFAEGIQSVYRLGGRYFWVHNTAPLGCLPYAVVFRPDLAAEKDGAGCSVALNRGARFFNARLNETVARLRAALPDAAFTYVDVYSAKYKLISQAKKLGFGDPLRTCCGYGGGEYNFDRDIRCGAKAEVNGTSVLVGKSCEDPARSVSWDGIHFTEAGNKFVFDQIVDGALSDPPVPLRRACQGKGR* >Brasy6G165900.1.p pacid=40049825 transcript=Brasy6G165900.1 locus=Brasy6G165900 ID=Brasy6G165900.1.v1.1 annot-version=v1.1 MAVVGLTGWYRYSLATCRLQHGYKCGSAAASSSSLIFPRTKNMNPNMASRILVVMFMILASLMFMIQPSDSQTGGLLPEPYRGAPSGSYPRYPPAGSRP* >Brasy6G246900.1.p pacid=40049826 transcript=Brasy6G246900.1 locus=Brasy6G246900 ID=Brasy6G246900.1.v1.1 annot-version=v1.1 MHLRLLRCRAMPLPPPPPKTPGVSGGRLFAPLPPPPPLRSRREVHVWYLCPDELNDHSQLKMYAELLSPAERKNALSMNGTTLQKDAMLSRALLRTTLSRYTDCKIDPRSFEFKKNKFGKPEIVWPPDDSIVERPLHFNISHTSSLIACGIAIHAHIGIDIEEKKRKTAKSILTLARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLRTSQGIQISKASKLCHDFHSGCDNLSENWQFALAELNSSHYMAVCIEDDPRNLGSENCPVPVGLKVWKTIPFVEDTLVSGTEAFSFLFQLMKVIAAPASLLSMTSVCQGYGCCSWERL* >Brasy6G246900.2.p pacid=40049827 transcript=Brasy6G246900.2 locus=Brasy6G246900 ID=Brasy6G246900.2.v1.1 annot-version=v1.1 MHLRLLRCRAMPLPPPPPKTPGVSGGRLFAPLPPPPPLRSRREVHVWYLCPDELNDHSQLKMYAELLSPAERKNALSMNGTTLQKDAMLSRALLRTTLSRYTDCKIDPRSFEFKKNKFGKPEIVWPPDDSIVERPLHFNISHTSSLIACGIAIHAHIGIDIEEKKRKTAKSILTLARRYFTPSEVDYLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLRTSQGIQISKASKLCHDFHSGCDNLSENWQFALAELNSSHYMAVCIEDDPRNLGSENCPVPVGLKVWKTIPFVEDTLVSGTEAVKLIA* >Brasy6G080600.1.p pacid=40049828 transcript=Brasy6G080600.1 locus=Brasy6G080600 ID=Brasy6G080600.1.v1.1 annot-version=v1.1 MAPSKCLLAVFVSFALIAATPQPTAMRLPNCFPFLPFFSPAAPPPPAVPPPPSKPQPKECLSSLMKLMPCNEYLHNYSLPKPTNPGKCCDGIRSLIYDTPICLCHYRPEVLARQAHASRRGWYELP* >Brasy6G011200.1.p pacid=40049829 transcript=Brasy6G011200.1 locus=Brasy6G011200 ID=Brasy6G011200.1.v1.1 annot-version=v1.1 MYGHGHPGGSGYPPAMPPQQQYEQTFKIFCRADESYCLSLRHDAVVLAPANPRDDYQHWYKDTRHGGRIKDAEGLPAFALINRATGLAIKHSLGQSHPVKLVPYNAEYVDESVLWTESHDVGKGFRCIRMVNNIHLNLDAFHGDRDHGGVHDGTTVVLWEWCKGDNQSWKILPWAEPEPAYGGAGYGYGGYPSGGPQEPYPLAPYGGGYRPGPPGGGAEAYPPPAHGGYRLPPGGAEPYSAHGVYRPPAMGDGTGAEAYAPPPPGPGYGGNSQLHHALASESTVRVFCRAGEDYSLTVRSGTVCLAPTNPRDHFQHWVKDMRHSTRVKDQEGYPAFALVNKVTGEAIKHSLGQSHPVKLVPYNPEYMDESVMWTESKDVGKGFRCIRMVNNIHLNFDAFNGDKDHGGVHDGTTVVLWEWCKGDNQRWKIIPW* >Brasy6G198900.1.p pacid=40049830 transcript=Brasy6G198900.1 locus=Brasy6G198900 ID=Brasy6G198900.1.v1.1 annot-version=v1.1 MPPGGEARGEGGDHGCTEHHHPPATGREGDAPPPHPPPPFPAITDASFEISKKQKLEEEEEEMEEQRPIPENALFEILSRVPYKSLCRFKCVSKPWMALCSDPAIRKRSPQTLSGFFFNTSRGGLAFRNLSGGGAPLIDPALPFLRRTYERFEVEQGCGSILLLRCWKSYTKRKKHKYHYVVCNPATEEWRVLPLIVLPDPHEEGVLVIYDREYDFFLGFDAAAAPSRFFVFAPLRNSFGEFVQVAIYSSETGRWTGVYHEWAWRTVLLGGPQYVFLNGTMYLSSHYGSIVSVDTEGKNWGEIDWAEDMVLTTELVTIGRSQGLLHAWRIDNDDDSQLYVWVLEDSAGGKKWTLKHAVKVLELFGRESYVDEECFTLFAIHPDRNIIYLTDEEEMTVSYDMDSREVQVMCAAKELCCVVPYTPCFAEWSSAGN* >Brasy6G096600.1.p pacid=40049831 transcript=Brasy6G096600.1 locus=Brasy6G096600 ID=Brasy6G096600.1.v1.1 annot-version=v1.1 MGKPAMESSSEEELEDDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGMLVQDLMSGACRELEIWLKNSMEEHMLEKDFQTELNEILSVTTQDPKAVFLDKLDILLAEHRMEDAVLALESEEKKYMVADNSGKESDAEISAFKTALLKRKSILENQLVRYSEQPCLSVTELRKSLSGLAKIGKGSLAHQVLLKTYGSRLQKSIEAFLPTCSIYKETYSATLSQLVFSAIAKVLKESSTLFGDSPTNMNRIIQWAEYEIETFARLVKENSPLPESASALRSACICIQASLTHCSCLESHGLKFSKLLMVLLRPYIEEVLDLNFRRVRRNIIDSARNDDILLLTSQEGSPPSGSVAPNMMLTSSGKNFLCIVNDVLHHVTPMTIVHFGGTILNKFLQLFDRYVETLIKVLPGPSEDDNVVDSKEPVEFKAESDAQQIALIGTAYTIADELLPAAVSKFFDMQTEKKGTSGSSEGLGPGSVYSVEYKEWKRHLQHSMDKLRDHFCRQYVLSFIYLEGKSRLDARMYMDGNREDLFWDSDPLPSLAFQALFGRLQQLASIAGDVLIGKEKIQKVLLSRLTETVLMWLSNEQEFWDVFEDGSTQLQPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIVTRAITAFSAREVDPQSALPEDEWFHETAKAAIHKLMLGNSGSESDLEEPIVPHDEISDTEESTSTLSTIGSEDSFASANNDDLESPVYFTDPEP* >Brasy6G003100.1.p pacid=40049832 transcript=Brasy6G003100.1 locus=Brasy6G003100 ID=Brasy6G003100.1.v1.1 annot-version=v1.1 MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPSMVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHAADTLGVDLEPLYQRIGWPLYRRYGHAFEAFRLIVNDPDAILDVLTYEETETGPDGQEVTRVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCYQFDGVLHIKEAMRAAEATGNDDCPVKIKLVAPPLYVLTTQTLDKDQGIRVLTKALKVCADTIDKHKGKLVVKEAARAVSEKEDKLFTDTIEKLKLAGEEVDGDEDSGEEDEDTGMGEVDFTKAGAGTD* >Brasy6G250000.1.p pacid=40049833 transcript=Brasy6G250000.1 locus=Brasy6G250000 ID=Brasy6G250000.1.v1.1 annot-version=v1.1 MEALYLLASLATTLLTSTVHSLLLLLRLPFARRPAPGPGAARLYEGRTRHSRRRPAEHAFEYPVRYALVDLDLLPLPGHLSAAEARRIASTSGPVRLLTIPKSVGYEQNPLSVYYCYDEAATQGQDGDLKMCIAEVTNTPWGEKVLFTFQPGSDLVAKPLHVSPFMDMLGNWSIRADTPGENLYVAISVQHPTLGNYFTAALHAKLVGETSDSVKLATFFWLMPHKVAAGIYWEAFRLWLKNVRFLDHPKYLSLNYRDDALKRDLEIRSSCTFLQKQKADNVRSGKTPDDETTKESNHQDHKDGGGSVKGRWCVWRDAQWPWC* >Brasy6G005900.1.p pacid=40049834 transcript=Brasy6G005900.1 locus=Brasy6G005900 ID=Brasy6G005900.1.v1.1 annot-version=v1.1 MGVDDYHVVELVGEGSFGKVYKGRRKYSRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKLPQNRLTWPALLEHPFVKDDSVEFLADTQSTPFEVKKSEAPRKADEIQTTKNQPCATEPPSRNTANNGENDYDKQKGNKKGGPMPATEGHHGSSPAAISDTPSECTALDKLEKTSQTVSGASSIIADSEALSTVLSPIKIWLSNPSTPPRELNIDSANQSLRIVKNLIEAGSYHSCAAIDDIICIFLEFTSLIIRTKLSEAYSLVVKCLAIARKLLDTSEGLVLSSYGRHWSSLYDLYSQILVSTVDPSGRISRESTACLALMLSRVISVLKTSISSEGPNPVEESLANIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIISLGANHFSFPLASSWRQGHSKSDGKMQEQDSLPDSNSTSLINIFVKSFLASRPMQVAVYHCLHNGLESAIHASLQLISRACLLNPSFCAIVCTPLNSSLDVNEVEYGGDGTIVSDMFSLLSLCGSYLNKESKQSNQKCKLSNPHALVVHCCLALATIAACLKSEGKSSASIILTSSHKKQRSRLSVLAHLSSVDDTVKSCLQPHCASATLALSTIISLENGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEELCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAVEQACSVGIPQLLVRLLTDGFLREPSDGKEGSTHRSGLSPLGVAWTLSALSQCLPGGVFREILYKREHVKLLNDMLSDMHLKALVAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIGSPGGRIGTENKEMLKTIEHSMPQYIQILLEVGVPGCILRCLDYVNMEDVARPLAIVAKMVGYRPLALQLLREGLLNPHRVAKLLKGPLAKETLLDFLMIVSDLARMSKDFYVPIDKAGMVEFLKNFLSDEDADIRAKACSAIGNMCRHSPYFYSPFAANKVIELVVERCSDPDKRTRKFACFAVGNAAYHNDMLYEELRGSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAMQALLKMVSSYSTVALSPSRKDALTESPLRIVLFALRKMCDHTVCRLFLRSSELLPIIVHLRQSPDQTISEYASAIASKAYQA* >Brasy6G005900.2.p pacid=40049835 transcript=Brasy6G005900.2 locus=Brasy6G005900 ID=Brasy6G005900.2.v1.1 annot-version=v1.1 MGVDDYHVVELVGEGSFGKVYKGRRKYSRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKLPQNRLTWPALLEHPFVKDDSVEFLADTQSTPFEVKKSEAPRKADEIQTTKNQPCATEPPSRNTANNGENDYDKQKGNKKGGPMPATEGHHGSSPAAISDTPSECTALDKLEKTSQTVSGASSIIADSEALSTVLSPIKIWLSNPSTPPRELNIDSANQSLRIVKNLIEAGSYHSCAAIDDIICIFLEFTSLIIRTKLSEAYSLVVKCLAIARKLLDTSEGLVLSSYGRHWSSLYDLYSQILVSTVDPSGRISRESTACLALMLSRVISVLKTSISSEGPNPVEESLANIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIISLGANHFSFPLASSWRQGHSKSDGKMQEQDSLPDSNSTSLINIFVKSFLASRPMQVAVYHCLHNGLESAIHASLQLISRACLLNPSFCAIVCTPLNSSLDVNEVEYGGDGTIVSDMFSLLSLCGSYLNKESKQSNQKCKLSNPHALVVHCCLALATIAACLKSEGKSSASIILTSSHKKQRSRLSVLAHLSSVDDTVKSCLQPHCASATLALSTIISLENGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEELCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAVEQACSVGIPQLLVRLLTDGFLREPSDGKEGSTHRSGLSPLGVAWTLSALSQCLPGGVFREILYKREHVKLLNDMLSDMHLKALVAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIGSPGGRIGTENKEMLKTIEHSMPQYIQILLEVGVPGCILRCLDYVNMEDVARPLAIVAKMVGYRPLALQLLREGLLNPHRVAKLLKGPLAKETLLDFLMIVSDLARMSKDFYVPIDKAGMVEFLKNFLSDEDADIRAKACSAIGNMCRHSPYFYSPFAANKVIELVVERCSDPDKRTRKFACFAVGNAAYHNDMLYEELRGSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAMQALLKMVSSYSTVALSPSRKDALTESPLRIVLFALRKMCDHTVCRLFLRSSELLPIIVHLRQSPDQTISEYASAIASKAYQA* >Brasy6G060100.1.p pacid=40049836 transcript=Brasy6G060100.1 locus=Brasy6G060100 ID=Brasy6G060100.1.v1.1 annot-version=v1.1 MDDLEKAILLASDSPAAATASPAVREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRLALPDDLALLRSSLLSLASTSNAASPPFLRNKLAQLIALLVRLDYPHVYPSYFLDLLPPSPPQSGPADMFARVLISLDDDLLSQDYPRNAEEAADAGRVKDAMRAQCVPQIALHWHDAAVSLRAADPATAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPGSAAPLAAAAVGCLSAVAAKRMDARAKVALLRSLLSAQQGLGSPDSGLKMATLVTTYAAEALECYRKLGPSDTDGTMALEMLEEVLPAVFSAAESSEEEEVDSGSVLEFLAGYVSTMKAPSDKQLGHLGRILEVVRQQMSYDPVYREHLDVPDKIGKEEEDLMAEQRKDLVALFRNICRVAPSATQQFIRGLMVTALSSVEATVEDVEVTLALFYRLGETVGEEEIRTGSGLLGELVPMLLSARFPCHTHRIIALVYLETVTRYIKFMQENVQYVPHLLAAYLDERGIHHQNSHVSRRAGYLFMKAVKLLKARLVPYLDTILQSLEDVLGQFTAMDWGNKDAKFSSSEDGSQVFEAVGLLIGIEEVSPEKQVQCLTALLNPLCHQIESLVMGAKAQGLEESSPRAMSLQQIVVALNMLTKGFNERLVMVSRPTIGVMFKKTLDVVLQVLISFPNMRPLRSKVISFLHRMIEILGISVLPCIPVALRQLLVNNEAKDMVDFLVLINQIISKFNSSASGILEDVFPTIASRLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLAPTCRQYLETIMQLLLFTSCSHKDILLRKACVQIFVQLIKDWCTSSKVDDKLPGFRVFMIGKFATSCCLYSVLDKSFDLRDANSLVLFGEIVMAQKIMYERFGEDFVVNFVAKDLPEAHCPPDLAEQYYQKLQGNDIKAFRSFYQSLIEKIRQQQNGSLVFR* >Brasy6G060100.2.p pacid=40049837 transcript=Brasy6G060100.2 locus=Brasy6G060100 ID=Brasy6G060100.2.v1.1 annot-version=v1.1 MDDLEKAILLASDSPAAATASPAVREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRLALPDDLALLRSSLLSLASTSNAASPPFLRNKLAQLIALLVRLDYPHVYPSYFLDLLPPSPPQSGPADMFARVLISLDDDLLSQDYPRNAEEAADAGRVKDAMRAQCVPQIALHWHDAAVSLRAADPATAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPGSAAPLAAAAVGCLSAVAAKRMDARAKVALLRSLLSAQQGLGSPDSGLKMATLVTTYAAEALECYRKLGPSDTDGTMALEMLEEVLPAVFSAAESSEEEEVDSGSVLEFLAGYVSTMKAPSDKQLGHLGRILEVVRQQMSYDPVYREHLDVPDKIGKEEEDLMAEQRKDLVALFRNICRVAPSATQQFIRGLMVTALSSVEATVEDVEVTLALFYRLGETVGEEEIRTGSGLLGELVPMLLSARFPCHTHRIIALVYLETVTRYIKFMQENVQYVPHLLAAYLDERGIHHQNSHVSRRAGYLFMKAVKLLKARLVPYLDTILQSLEDVLGQFTAMDWGNKDAKFSSSEDGSQVFEAVGLLIGIEEVSPEKQVQCLTALLNPLCHQIESLVMGAKAQGLEESSPRAMSLQQIVVALNMLTKGFNERLVMVSRPTIGVMFKKTLDVVLQVLISFPNMRPLRSKVISFLHRMIEILGISVLPCIPVALRQLLVNNEAKDMVDFLVLINQIISKFNSSASGILEDVFPTIASRLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLAPTCRQYLETIMQLLLFTSCSHKDILLRKLPGFRVFMIGKFATSCCLYSVLDKSFDLRDANSLVLFGEIVMAQKIMYERFGEDFVVNFVAKDLPEAHCPPDLAEQYYQKLQGNDIKAFRSFYQSLIEKIRQQQNGSLVFR* >Brasy6G060100.3.p pacid=40049838 transcript=Brasy6G060100.3 locus=Brasy6G060100 ID=Brasy6G060100.3.v1.1 annot-version=v1.1 MDDLEKAILLASDSPAAATASPAVREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRLALPDDLALLRSSLLSLASTSNAASPPFLRNKLAQLIALLVRLDYPHVYPSYFLDLLPPSPPQSGPADMFARVLISLDDDLLSQDYPRNAEEAADAGRVKDAMRAQCVPQIALHWHDAAVSLRAADPATAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPGSAAPLAAAAVGCLSAVAAKRMDARAKVALLRSLLSAQQGLGSPDSGLKMATLVTTYAAEALECYRKLGPSDTDGTMALEMLEEVLPAVFSAAESSEEEEVDSGSVLEFLAGYVSTMKAPSDKQLGHLGRILEVVRQQMSYDPVYREHLDVPDKIGKEEEDLMAEQRKDLVALFRNICRVAPSATQQFIRGLMVTALSSVEATVEDVEVTLALFYRLGETVGEEEIRTGSGLLGELVPMLLSARFPCHTHRIIALVYLETVTRYIKFMQENVQYVPHLLAAYLDERGIHHQNSHVSRRAGYLFMKAVKLLKARLVPYLDTILQSLEDVLGQFTAMDWGNKDAKFSSSEDGSQVFEAVGLLIGIEEVSPEKQVQCLTALLNPLCHQIESLVMGAKAQGLEESSPRAMSLQQIVVALNMLTKGFNERLVMVSRPTIGVMFKKTLDVVLQVLISFPNMRPLRSKVISFLHRMIEILGISVLPCIPVALRQLLVNNEAKDMVDFLVLINQIISKFNSSASGILEDVFPTIASRLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLAPTCRQYLETIMQLLLFTSCSHKDILLRKACVQIFVQLIKDWCTSSKVDDKLVLFGEIVMAQKIMYERFGEDFVVNFVAKDLPEAHCPPDLAEQYYQKLQGNDIKAFRSFYQSLIEKIRQQQNGSLVFR* >Brasy6G060100.4.p pacid=40049839 transcript=Brasy6G060100.4 locus=Brasy6G060100 ID=Brasy6G060100.4.v1.1 annot-version=v1.1 MDDLEKAILLASDSPAAATASPAVREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRLALPDDLALLRSSLLSLASTSNAASPPFLRNKLAQLIALLVRLDYPHVYPSYFLDLLPPSPPQSGPADMFARVLISLDDDLLSQDYPRNAEEAADAGRVKDAMRAQCVPQIALHWHDAAVSLRAADPATAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPGSAAPLAAAAVGCLSAVAAKRMDARAKVALLRSLLSAQQGLGSPDSGLKMATLVTTYAAEALECYRKLGPSDTDGTMALEMLEEVLPAVFSAAESSEEEEVDSGSVLEFLAGYVSTMKAPSDKQLGHLGRILEVVRQQMSYDPVYREHLDVPDKIGKEEEDLMAEQRKDLVALFRNICRVAPSATQQFIRGLMVTALSSVEATVEDVEVTLALFYRLGETVGEEEIRTGSGLLGELVPMLLSARFPCHTHRIIALVYLETVTRYIKFMQENVQYVPHLLAAYLDERGIHHQNSHVSRRAGYLFMKAVKLLKARLVPYLDTILQSLEDVLGQFTAMDWGNKDAKFSSSEDGSQVFEAVGLLIGIEEVSPEKQVQCLTALLNPLCHQIESLVMGAKAQGLEESSPRAMSLQQIVVALNMLTKGFNERLVMVSRPTIGVMFKKTLDVVLQVLISFPNMRPLRSKVISFLHRMIEILGISVLPCIPVALRQLLVNNEAKDMVDFLVLINQIISKFNSSASGILEDVFPTIASRLSVILSQDAFSTGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLAPTCRQYLETIMQLLLFTSCSHKDILLRKLVLFGEIVMAQKIMYERFGEDFVVNFVAKDLPEAHCPPDLAEQYYQKLQGNDIKAFRSFYQSLIEKIRQQQNGSLVFR* >Brasy6G245400.1.p pacid=40049840 transcript=Brasy6G245400.1 locus=Brasy6G245400 ID=Brasy6G245400.1.v1.1 annot-version=v1.1 MPEPPQTHQPPPPPPTDPNPAAPAAANAQRTPVASPLPEETAPPPVQPRMIIKGMLGRYERWNPVHPTAGAFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGIIKNHYHGGFASNTPFESARFYTLTVIKGMLWDAISYVSHVVAMRKESRQKLLRFHQENPPASGGVDLPKLGKGVSSSVRSTMDCIKAFTNQRWPP* >Brasy6G236800.1.p pacid=40049841 transcript=Brasy6G236800.1 locus=Brasy6G236800 ID=Brasy6G236800.1.v1.1 annot-version=v1.1 MAAVVGAQAQPGTPQAILAAHDAAWATCSGTPAAASYAQSYANQRRADCTQAARTGRTGPRESAVAAWVAQKPDYDRAGNTCLNGRPSGDYTQVVWRGSVAVGCGRAACVDGRVFTACSYSPRGNIPGQSPF* >Brasy6G120000.1.p pacid=40049842 transcript=Brasy6G120000.1 locus=Brasy6G120000 ID=Brasy6G120000.1.v1.1 annot-version=v1.1 MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKASSSKASIADDDDN* >Brasy6G088900.1.p pacid=40049843 transcript=Brasy6G088900.1 locus=Brasy6G088900 ID=Brasy6G088900.1.v1.1 annot-version=v1.1 MAASKHAGFLAILLLSLLSSAPLQSRAQTTPTTPSSSPFPVNVWPKPVSMSWAEPLMAMTFSPSFRIVVAPSGEENPYLVSAAQRYTALVFAERYRPIVRPAANVTAQTALESLTLAVSDPQAPLQDGTDESYALQIPLAGGAATLTASTAWGAMRGLETFSQLTWRAGTAEQLVVAAGVSVEDRPLYQHRGLMLDTGRTYFPVADILRTIDAMAGNKMNVFHWHITDSQSFPIELPSEPALAEKGAYGEDMRYTVEDVTRIVEFAMSRGVRVVPEIDAPGHTASWAGAYPEVVSCAGKFWLPDANDWASRLAAEPGSGQLNPLKAKTFEVMANVINDVTSLFPDSFYHAGADEITPGCWQADPSIQADIASGGTLSQLLEKYVRAVHPHVVSKNRTAVFWEDVLLDATVNVSASLIPPATTVLQTWNNGSNNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNPEYDDPRSDYDTKGGSWCGPFKTWQRVYDYDIAHGLTAEEAKLVIGGEVALWTEQADATVLDARVWPRASAMAEALWSGNRDATGKKRYAEATDRLNDWRQRMVGRGVRAEPIQPLWCRTRPGMCDLVR* >Brasy6G020800.1.p pacid=40049844 transcript=Brasy6G020800.1 locus=Brasy6G020800 ID=Brasy6G020800.1.v1.1 annot-version=v1.1 MTSAAAAQLRRKRRTERNSPTTRPRRRRLGTSHFLAIRPFGLRFTLSAAPRRRPRAARRPEAAPPRRRPRSSVVFVPAVRPFVLRYFLPSGSSSPRRRRKASGVDMGNFVSQLLKGWVEGSREVEDLSVANKVQGDKASAVRRGIRDASKITLEEVRTDRPQEDLSELFTPLTKGEESEVYNALYGSGHSKKIVAAHEPSNIEITKETLGCLRPRGWLNDEVVNLYLELLKERREREPTRFLKCHFFNTFFYKKLASGKTGYDYESVRRWTTLNKLGYELVQCDKIFVPVHRDMHWCLAVINMKEKTFQYLDSFGGMDYSVLTILARYIMDELKDKSNIEIDINSWLERPVPFPLQHNGWDCGIFMLKFIDFHSRGLGLSFSQKHMEYFRKRTAKEILRLRAD* >Brasy6G020800.2.p pacid=40049845 transcript=Brasy6G020800.2 locus=Brasy6G020800 ID=Brasy6G020800.2.v1.1 annot-version=v1.1 MTSAAAAQLRRKRRTERNSPTTRPRRRRLGTSHFLAIRPFGLRFTLSAAPRRRPRAARRPEAAPPRRRPRSSVVFVPAVRPFVLRYFLPSGSSSPRRRRKASGVDMGNFVSQLLKGWVEGSREVEDLSVANKVQGDKASAVRRGIRDASKITLEEDLSELFTPLTKGEESEVYNALYGSGHSKKIVAAHEPSNIEITKETLGCLRPRGWLNDEVVNLYLELLKERREREPTRFLKCHFFNTFFYKKLASGKTGYDYESVRRWTTLNKLGYELVQCDKIFVPVHRDMHWCLAVINMKEKTFQYLDSFGGMDYSVLTILARYIMDELKDKSNIEIDINSWLERPVPFPLQHNGWDCGIFMLKFIDFHSRGLGLSFSQKHMEYFRKRTAKEILRLRAD* >Brasy6G256600.1.p pacid=40049846 transcript=Brasy6G256600.1 locus=Brasy6G256600 ID=Brasy6G256600.1.v1.1 annot-version=v1.1 MFALLILAMLRVAVVRASAVGRTVDGSTTTTHLLNHMIFPEVMISSLQTRRSSQGDVPGISPSNSTLPSTSGCQKICGNLNFDYPFGIGAGCFRHPDFSLICNQATHPPKLFLHDDSTTQVQSNIKAVDMSTGGGTIIVKFSKTIPVKSGVDVYNMSWTPGNSFAVSEMIFLIYVVACDLDVYLVHKNGDRTLLCMVTCPSIHMAEQVYNQDPHGPGSCGLTKFTLSFVRTIDLQFVHHKASRIKTQSNLSILWDEININFETFLLWSIPGQTSCSSSMKDSNYACISNHSQCMVPSYLPGYVCRCSRGFEGNPYLLDGCSPDIDNGYSPRPRKENCSRRCGSINVEFPFGLEEACSARKTFQLECSSHSTKPPILRLNPAIEVTYINVSDGLLGIKYDPTLGGMFLNSHFNTLGTPSESREPNLYVDPLESASVRWAVANLTCQDARNNTSGYACVSTYSSCLGVISSIEGYVGYRCVCFPGFEGNPYIPDGCIDIDDCARTPGLCKGICQNTIGNYSCTKCPDHTEYDITKMQCTPKAKQNLFLGIIIGLSTGFGLLLLSLSAVLLVRRWKRDAEKKLRRKYFRMNQGLLLEQLISSDENASEKTKIFSLEELRKATNNFDTARILGHGGHGTVYKGILSNQHVVAIKKSKFVRKGEISDFVNEVAILSQINHRNIVKLFGCCLETEVPLLVYDFISNGSLFDVLHPADSSNLVLSLSWDECLRIASEAAGALYYLHSAASISIFHRDVKSSNILLDANYAAKISDFGASRSVPIDQSHLVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELFIRKQPVFSIGSGMEMKENLCNYFLSEIKSREPKEIVAPQVLEEATEQEINMFASLAEMCLRIRGEERPTMKQVETMLQQLRADRMKSSSQVNPASGQEILQGHHQPAVNLAGRPCNTNIIASQQSQNACYSLEQEFLSSASLPR* >Brasy6G090100.1.p pacid=40049847 transcript=Brasy6G090100.1 locus=Brasy6G090100 ID=Brasy6G090100.1.v1.1 annot-version=v1.1 MASLPEFDPADAAAGRGLIERLTTEAATLQRDLLTQILTRNAHTEYLRRFLGGLPRGASADDLRKAFRKSVPVSRYEDVKPYVDRVAAGEPSDILCSERITHLLRSSGTSGGQQKLLPSTAEELDRKAFFYAVRALVRNMHLHADQDKCGKGMYLMFTFPGNRTLSGLPVQSALTAYYYSSQFQDRDIGEFDRCTSPIEAILCPDSKQSMYCQLLCGLLQRRDVDRVGASFANGFVRGIKFLECNWKEMCDNIRSGHLSDWITHVPLRVAIGECYLQVPDPALADEIVLECTRNPWDGIVRRLWPGARYIQTIVTGSMSQYIPILESYGGGTPLVSSMYGSTECTAGINLKPLDPPSCVSYALLPNIAYFEFAEITHGDDEKVQRNNGFDDNLVEIKLVDLVDVKIGRCYELIVTTFAGLYRYRVGDVFTVSGFYNATPLFRFSGRHDVLLSIDYEKISEEDLLKAISQADELHLRPLGYMLGGSTAYADISVLPGRYVLFWELVSSASSNHIATDIDRTAMENCCSTVEECFDEMYHKSRHRGSIRPLEIRVLKHGAFDALMDFFISRGTSASQYKTPTAIRSAEALMVLEERVAGRFFSQQNPSCPLYESRGNDATGLVRT* >Brasy6G172000.1.p pacid=40049848 transcript=Brasy6G172000.1 locus=Brasy6G172000 ID=Brasy6G172000.1.v1.1 annot-version=v1.1 MALQLRRQCLVAFVICFLALLVLRSGVVADGNTGADGAQYPDCVPHRSGTPATPVSAADHRHGPHCAAGTWALLLLGACTVSCLLRL* >Brasy6G270700.1.p pacid=40049849 transcript=Brasy6G270700.1 locus=Brasy6G270700 ID=Brasy6G270700.1.v1.1 annot-version=v1.1 MVLLILLLLLPSAAVAVTSNSKVRHSSFALDFFPGDGALSQLALTGGANATSAGAISMASPHARVQYHRPILLAKAGSFSTYFSFSLQNPIPKSKSKSKSKPLAAASLKFFLTPAVSAPTDALGVVFSVADADPGHVRVQIDLPGDNTAVVQARLSRAQKLHSWIDYNATSAALQVRLSSSRVPKPPHPLLSHPLHLRLQSSSSSSRMLAGFASSHANCSLFSWAFKASFGPPYLMHSLPLDPTGGSMLLTTPPLPHYQPPPPYRYHWPSLLLAAACGAMLTFFVLFVWYAVATRRPVGVAPVEYPMHPSSSDIVYQKIVLVGAKDHPANAAAPGNK* >Brasy6G115100.1.p pacid=40049850 transcript=Brasy6G115100.1 locus=Brasy6G115100 ID=Brasy6G115100.1.v1.1 annot-version=v1.1 MASTALSTASNPTRLCRPLASLGKPVKGLGFARERIPRNITCMAGSISADRVPDMSKRELMNLLLLGAISLPTFGMLVPYGSFLVPAGSGSNTGGTVAKDKLGNDILVEEWLKTHGPNDRTLAQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPFNTAENKFLCPCHGSQYNNQGKVVRGPAPLSLALVHADVDDGKVVFVPWVETDFRTGENPWWK* >Brasy6G180700.1.p pacid=40049851 transcript=Brasy6G180700.1 locus=Brasy6G180700 ID=Brasy6G180700.1.v1.1 annot-version=v1.1 MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKS* >Brasy6G183100.1.p pacid=40049852 transcript=Brasy6G183100.1 locus=Brasy6G183100 ID=Brasy6G183100.1.v1.1 annot-version=v1.1 MASQLRNPPSPYPSIPCPPPITHRLITPRPPPPMAFSPATTATAAAAVAFTSGRRVSLRPSSPFLPRSTASSSSAIAARRRAAPTAAVRLSVRAQAAKKSVLVVNTNSGGHAVIGFYFAKALLAAGHAVTVLTVGAEGSDKMKKPPFSRFSELTSAGAKTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYTPTDQPPHVEGDAVKESAGHVGVEKYIAAEFSSWASFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNISHARDLGSMLALAVESPGAAAGKIFNCVSDRAVTLDGLAKMCAAAAGAAVEIVHYDPAAAGVDAKKAFPFRNMHFYAEPRAAKEVLGWTSTTNLPEDLKERFAEYASSGRGEKAMSFDLDDKILSAVGAAPVSVAA* >Brasy6G011300.1.p pacid=40049853 transcript=Brasy6G011300.1 locus=Brasy6G011300 ID=Brasy6G011300.1.v1.1 annot-version=v1.1 MVCTSSVLLQPGCDNRLAWALSPSLQDRPPPWRGCAAPGRCPPPSRRPLRAEGGGNVSHQQWRVGYVHLVYDKMTESIVSFSHLLTKLASSYVLVHAIFIQSECHSLMCVSVCILIYLLVNLQGHVLSKYTWCHS* >Brasy6G212100.1.p pacid=40049854 transcript=Brasy6G212100.1 locus=Brasy6G212100 ID=Brasy6G212100.1.v1.1 annot-version=v1.1 MAAKVAAPLPFRRAVRGPPLGRRSLAGCGGGSGLAGMGWSNGAGRLVAPAWPARARGRNSRSGGRGGGGATREDERLEEEDDEAEEDAVLIIDGEDEEEYDDDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQCLSRKNILYRDGFSCQYCSSEDDLTIDHVIPTSRGGKWEWENLVAACSRCNSRKGHKTLLQANMKLRKIPKAPKEFDIIAVPLTKSAFRTIRRKRGLPEEWLQYLAGSSP* >Brasy6G025200.1.p pacid=40049855 transcript=Brasy6G025200.1 locus=Brasy6G025200 ID=Brasy6G025200.1.v1.1 annot-version=v1.1 MARLSEELQKDNANNTSDSSHETSYQSLDSRPGYDESESDSKQVRTVHENVIGESGATCAHTEGLVAGMTLSDMPPELLREVLARLPCRADRVRLACCSKLWKNLVQDAPEPIQLPWLLLPSTTNPMFYSTTGKSLHRLSRIPFHVRTGNIFGSTERDWIFLSAGEEHWHEIFNLRTGRRIVLPLTMMFQNTAVSVSIRSAVLSDSPDSGNAFTVGAIVSLDDELPLRAAIWKGPMHQYLWEDLGHFSYERAHDGEGYQPLDTELVDVVHCWDTFLFLTSEEEVIKFIPDDMICSGLQVKVRSDFEEDESYSSEEEGGQYIFRYLVGLNGEVLMVVRYVEGHWTVKVRLFRLQTDRQDIWNWVETSHLLGHILFVGHGVSRAYRSGHEAFRIYFFHDRRSKIQGTDLYWRDDNGIATACEVFERPLPMEGIPLTSTSDRAPPSWLLH* >Brasy6G025200.2.p pacid=40049856 transcript=Brasy6G025200.2 locus=Brasy6G025200 ID=Brasy6G025200.2.v1.1 annot-version=v1.1 MARLSEELQKDNANNTSDSSHETSYQSLDSRPGYDESESDSKQVHENVIGESGATCAHTEGLVAGMTLSDMPPELLREVLARLPCRADRVRLACCSKLWKNLVQDAPEPIQLPWLLLPSTTNPMFYSTTGKSLHRLSRIPFHVRTGNIFGSTERDWIFLSAGEEHWHEIFNLRTGRRIVLPLTMMFQNTAVSVSIRSAVLSDSPDSGNAFTVGAIVSLDDELPLRAAIWKGPMHQYLWEDLGHFSYERAHDGEGYQPLDTELVDVVHCWDTFLFLTSEEEVIKFIPDDMICSGLQVKVRSDFEEDESYSSEEEGGQYIFRYLVGLNGEVLMVVRYVEGHWTVKVRLFRLQTDRQDIWNWVETSHLLGHILFVGHGVSRAYRSGHEAFRIYFFHDRRSKIQGTDLYWRDDNGIATACEVFERPLPMEGIPLTSTSDRAPPSWLLH* >Brasy6G025200.3.p pacid=40049857 transcript=Brasy6G025200.3 locus=Brasy6G025200 ID=Brasy6G025200.3.v1.1 annot-version=v1.1 MARLSEELQKDNANNTSDSSHETSYQSLDSRPGYDESESDSKQVHENVIGESGATCAHTEGLVAGMTLSDMPPELLREVLARLPCRADRVRLACCSKLWKNLVQDAPEPIQLPWLLLPSTTNPMFYSTTGKSLHRLSRIPFHVRTGNIFGSTERDWIFLSAGEEHWHEIFNLRTGRRIVLPLTMMFQNTAVSVSIRSAVLSDSPDSGNAFTVGAIVSLDDELPLRAAIWKGPMHQYLWEDLGHFSYERAHDGEGYQPLDTELVDVVHCWDTFLFLTSEEEVIKFIPDDMICSGLQVKVRSDFEEDESYSSEEEGGQYIFRYLVGLNGEVLMVVRYVEGHWTVKVRLFRLQTDRQDIWNWVETSHLLGHILFVGHGVSRAYRSGHEAFRIYFFHDRRSKIQGTDLYWRDDNGIATACEVFERPLPMEGIPLTSTSDRAPPSWLLH* >Brasy6G110800.1.p pacid=40049858 transcript=Brasy6G110800.1 locus=Brasy6G110800 ID=Brasy6G110800.1.v1.1 annot-version=v1.1 MGKTKPAGGGEETVITDEYMTKEDFYNFHSEVQKKFDDLTREHQELVKAQGESRENLEKVHSDTSSKLDKLTEMMTSLMHRELGKDTPMHEVSDRASSVHAPVITLDTHPRVTQIEDGPRFGYSQAPAQQVRQGNLFGTGQGQQNQGRPFIADRQFQFGQMQNMFQQQEND >Brasy6G072500.1.p pacid=40049859 transcript=Brasy6G072500.1 locus=Brasy6G072500 ID=Brasy6G072500.1.v1.1 annot-version=v1.1 METAAGPVVLTDEQRRRIEANRSAALERLKRSAAAAGATADAGVSRLAKCPRIAPPLPPRPCPTPAPPTGFLAVLEVCSPDEFQVAVGPAEGAAFPGESECLRAVEDCVASAVPFCTTQSQSGHVSSVFKLVHYELVLKCLKKLPGIVVQDIPYRTRRAVQNCGTNWASDKEVNDLLTKLPDHLRDALLPFQLEGVKFGLRRRGRCLIADEMGLGKTLQAIAIACCFKDEGSLLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGRQDSLEYLDACPKVVVISYKMLSRLRKSMVNRRWALMIIDESHNIRCTKMKEEKNETNAVLELAPNINRIVLLSGTPSLSRPFDIYHQINMLCPHLLGSDKFEFAKKYCSLHVARSSQGKIYQDFSKGVRLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLRALGFKTTMASYIQEMDTSTYSSSDTPTIATSEKSNDYEAEVGKDDVCKKSPRHFSLQEIGIAKIPGFSEWFSNHLIHDNLDSQSSCQKTIIFAHHLKVLDGVQVFLCEKGINFVRIDGSSLPRERKEAVDSFRSNPEVKVAVIGITAGGVGLDFSSAQNVVFVELPRSSSELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLHLNQSLFRVSSVMNGKKDAIREIEVDQVYHLGEISNTKEKTQHESLENHDPGSADGSKELLESDTMSINFVPGISDMEFEWDFTIRTIPLNFEDESLHSVMQYNSALTAHEATVCNSFSTSPNAFCYSVPSSKSIKARRRISESPGTISDGHIQVEYLRFEVSHHTGRIHLYICVPGHDSRPRPLFQNFLPEEVQSPLCSGSVKKASRQLLKSNPTFCNMFKTFLKEWLALRPIDQRKLLGKPLQIPLSVELCYLKNSINHTTQGLIKGGSKRRITPLSVISDPLPENAEWRKVVLHNGTTKEKEYTQGWTTDDEPLCKLCQGLCKGNLAKSPEYFEDLFCCLDCFQNYRLRTSQGALRQALFQIEHGICSQCKLDCCKLVKYIKPLGKQKREAYIRNVAPNIANRRKLLGKLVQEPTEGNAWHADHIIPVYKGGGECTLENMRTLCVACHAEVTKAQQKEQKKLRREAKELLRNAMKQQKDDASEATGDDNSLLVAVPGSAYSLVLEAPHTPTK* >Brasy6G072500.2.p pacid=40049860 transcript=Brasy6G072500.2 locus=Brasy6G072500 ID=Brasy6G072500.2.v1.1 annot-version=v1.1 METAAGPVVLTDEQRRRIEANRSAALERLKRSAAAAGATADAGVSRLAKCPRIAPPLPPRPCPTPAPPTGFLAVLEVCSPDEFQVAVGPAEGAAFPGESECLRAVEDCVASAVPFCTTQSQSGHVSSVFKLVHYELVLKCLKKLPGIVVQDIPYRTRRAVQNCGTNWASDKEVNDLLTKLPDHLRDALLPFQLEGVKFGLRRRGRCLIADEMGLGKTLQAIAIACCFKDEGSLLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGRQDSLEYLDACPKVVVISYKMLSRLRKSMVNRRWALMIIDESHNIRCTKMKEEKNETNAVLELAPNINRIVLLSGTPSLSRPFDIYHQINMLCPHLLGSDKFEFAKKYCSLHVARSSQGKIYQDFSKGVRLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLRALGFKTTMASYIQEMDTSTYSSSDTPTIATSEKSNDYEAEVGKDDVCKKSPRHFSLQEIGIAKIPGFSEWFSNHLIHDNLDSQSSCQKTIIFAHHLKVLDGVQVFLCEKGINFVRIDGSSLPRERKEAVDSFRSNPEVKVAVIGITAGGVGLDFSSAQNVVFVELPRSSSELLQAEDRAHRRGQTNAVNIYIFCAKNTSDESHWLHLNQSLFRVSSVMNGKKDAIREIEVDQVYHLGEISNTKEKTQHESLENHDPGSADGSKELLESDTMSINFVPGISDMEFEWDFTIRTIPLNFEDESLHSVMQYNSALTAHEATVCNSFSTSPNAFCYSVPSSKSIKARRRISESPGTISDGHIQVEYLRFEVSHHTGRIHLYICVPGHDSRPRPLFQNFLPEEVQSPLCSGSVKKASRQLLKSNPTFCNMFKTFLKEWLALRPIDQRKLLGKPLQIPLSVELCYLKNSINHTTQGLIKGGSKRRITPLSVISDPLPENAEWRKVVLHNGTTKEKEYTQGWTTDDEPLCKLCQGLCKGNLAKSPEYFEDLFCCLDCFQNYRLRTSQGALRQARKACPRANRGECLACRSHNTCI* >Brasy6G067200.1.p pacid=40049861 transcript=Brasy6G067200.1 locus=Brasy6G067200 ID=Brasy6G067200.1.v1.1 annot-version=v1.1 MAFACRVGSVLRRTSQSSNSSLLQAVRCMSSSKLFVGGLSYATDDPTLKDAFSHYGDVCEARVIIDRDTGRSKGFGFITYTSSEAAAAAISAMDGKDLQGRTVRVAYATDRAGGIRGGGGYTGNYGSGGYGNTGYGSSGGGYAGNGGGYASGGHGSGGGYGTAGYGGYSGGAGGGEYSNMHSNTAAGGYGSGGSYNSTSNSDGNTGSYGAAGEYNSLNTYGSGSYNSGGDGNAAFSRSTAGFSSGHFGVAGVNNGGNFTGNAGNTDNFARNVTRTGSHNGNSYSGGFGGGETIGANKVQYNGQDDLLGEDWFSELEDRHASRSG* >Brasy6G176400.1.p pacid=40049862 transcript=Brasy6G176400.1 locus=Brasy6G176400 ID=Brasy6G176400.1.v1.1 annot-version=v1.1 MAATPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G176400.3.p pacid=40049863 transcript=Brasy6G176400.3 locus=Brasy6G176400 ID=Brasy6G176400.3.v1.1 annot-version=v1.1 MAATPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G176400.2.p pacid=40049864 transcript=Brasy6G176400.2 locus=Brasy6G176400 ID=Brasy6G176400.2.v1.1 annot-version=v1.1 MAATPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPETHGFCC* >Brasy6G176400.7.p pacid=40049865 transcript=Brasy6G176400.7 locus=Brasy6G176400 ID=Brasy6G176400.7.v1.1 annot-version=v1.1 MTCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G176400.8.p pacid=40049866 transcript=Brasy6G176400.8 locus=Brasy6G176400 ID=Brasy6G176400.8.v1.1 annot-version=v1.1 MTCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPETHGFCC* >Brasy6G176400.5.p pacid=40049867 transcript=Brasy6G176400.5 locus=Brasy6G176400 ID=Brasy6G176400.5.v1.1 annot-version=v1.1 MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G176400.6.p pacid=40049868 transcript=Brasy6G176400.6 locus=Brasy6G176400 ID=Brasy6G176400.6.v1.1 annot-version=v1.1 MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G176400.4.p pacid=40049869 transcript=Brasy6G176400.4 locus=Brasy6G176400 ID=Brasy6G176400.4.v1.1 annot-version=v1.1 MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIPFFETSAKTNLNVEQVFFSIAKDIKQRLVETDSKPEDRTIKIDKPEGNGEATASRSACCGS* >Brasy6G092000.1.p pacid=40049870 transcript=Brasy6G092000.1 locus=Brasy6G092000 ID=Brasy6G092000.1.v1.1 annot-version=v1.1 MALTSVRPAAGALLRHRAASAPTRLHCCLGAALRPRHLLLLRRIHVQSPSLPNTPPRGAAHCCSGAASPSAAAPAQRCVVAVRYRTRRRAVAGRCCSGHCERSRRPRLAQAPPLLRPQLAQSPAATERRPLLLPCKSLAGFGGEEKMGIQDREAGKKEREANG* >Brasy6G146000.1.p pacid=40049871 transcript=Brasy6G146000.1 locus=Brasy6G146000 ID=Brasy6G146000.1.v1.1 annot-version=v1.1 MLAARHTAPRQSQEKDVARVILRKPTLVFSDKHLRPTRSLLSCSATSSPVRRASPVARAAPRRPPRLARSCAALLPRASSRDSDGFPRAAPPPLLPRRLPLTPLLNLKSGAGDSGGSA* >Brasy6G082100.1.p pacid=40049872 transcript=Brasy6G082100.1 locus=Brasy6G082100 ID=Brasy6G082100.1.v1.1 annot-version=v1.1 TSSPFFFAFVVVAATLQPSGARIQGFEEEADQTKAATTANGGAAGLPSFPGLPLPQIPGLPSLPPLFRFLFPPLFGPHPGAPGAQPSQPLPGLPGAPPPPKECLTSLVGMAPCMDYLTNLTVTTPPSMCCDGLKSVITNAPICLCHGMNGGMSKLAPKPIDSIRMLILPLRCGAILPIQTIFQCATTPLPPLTPPTSPAAPTPPASPVSPAPPLMSSLAPASSPVSPS* >Brasy6G193000.1.p pacid=40049873 transcript=Brasy6G193000.1 locus=Brasy6G193000 ID=Brasy6G193000.1.v1.1 annot-version=v1.1 MRACGLAASPAEGQGCCACGAEDEATISSKLAQEARRIRIKHPDDRLRSPRTVKVTRPFGADFLK* >Brasy6G193000.2.p pacid=40049874 transcript=Brasy6G193000.2 locus=Brasy6G193000 ID=Brasy6G193000.2.v1.1 annot-version=v1.1 MRACGLAASPAEGQGCCACGAEDEATISSKLAQEARRIRIKHPDDRLRSPRTVKVTRPFGADFLK* >Brasy6G048000.1.p pacid=40049875 transcript=Brasy6G048000.1 locus=Brasy6G048000 ID=Brasy6G048000.1.v1.1 annot-version=v1.1 MGVLFSCPVDEDGGDISSECASLVAAAPVLKASLSSAGKLRIQGSLSFKKREQQQHQSSPHLETKISTVISPNSGAPAAAAIAAPVPMQTPRELLRRRFAGAAAAAMAETAEGPKQQRQEEEAAVRVQKVYKSFRTRRRLADCAVLVEQSWWELLDFALLRRSSVSFFDIHKPESAVSKWARAGTRAAKVGKGLSKDDKARKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGKEINLEDRCARSKLLSQCIKYLGPKEREDYEVVIEDGEFMYKNSRQILDTSGGPRDAKWIFVLSTSRNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQGFLKENNVDLSDVKMSPTEEDEEFWSRLRSIPSDRCTDADKPTEEEEAAPSEENIMSQVPHITETTHEALETSEDAEPPTTSVPEDHQEQESIQSAVAREKKVLERKASSYQLLGKQPSFKWTTGAGPRIVCVRDCPPDLQHRALEEVHLSPRSGGGRAASRFASPQRAAGSGSPMSRGCEPLTPRAREAFRATHLQQQQGLLIW* >Brasy6G209300.1.p pacid=40049876 transcript=Brasy6G209300.1 locus=Brasy6G209300 ID=Brasy6G209300.1.v1.1 annot-version=v1.1 MYLIWQQQVNNKQWLSCCRFGRAGLYRAFMFSSPTILVTFPDACKQVLMDDATFCTGWPKATVTLIGARSFISMPDEEHRRLRKLTAAPINGFDALSAYLAFIDATVVSTLRRWSSETTGTPIQFLTELRRMTFRIIVQIFMSGAGERTMEELERSYTDLNYGMRAMAIDFPGFAYRRALRARGRLVAALQGVLDERRAAAGKNMNRGVDMMDRGAGVRGGGRGRAAAGWADGAGGWAVDAGGRRRRAREAA* >Brasy6G157600.1.p pacid=40049877 transcript=Brasy6G157600.1 locus=Brasy6G157600 ID=Brasy6G157600.1.v1.1 annot-version=v1.1 MEKMKGLLKPRATPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELATTMQEFSKEMTKAGVMEEMMNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTPKIKQASTSRVPEERQAVAEGVDDDEEDLEEIRARLAKVRS* >Brasy6G157600.2.p pacid=40049878 transcript=Brasy6G157600.2 locus=Brasy6G157600 ID=Brasy6G157600.2.v1.1 annot-version=v1.1 MEKMKGLLKPRATPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTPKIKQASTSRVPEERQAVAEGVDDDEEDLEEIRARLAKVRS* >Brasy6G239400.1.p pacid=40049879 transcript=Brasy6G239400.1 locus=Brasy6G239400 ID=Brasy6G239400.1.v1.1 annot-version=v1.1 MASIKHRLIIPLAKTLLLFLTLNHKYSFAAGTTDGQTFIYSGFSGTGIVVDGLASVEPNGLLQLTNGTVQLKGHAFHPTPLQFHKPSTDIANASVSSFSASFVFAIRSIAPTVSAHGLAFFVSPTNNLTSAFSNQFLGLFSKKNNGNSTNNIFAVELDTVQSNDMLDMNDNHVGVDVNGLISIKAAAAGYYDDRNGSFSNLTLSSFNAMQAWVDYNGKSKLITLTLAPAGMARPRKPLLTTTYDLTKVIKDKSYVGFSSSTGILDSHHYVLGWSFGMDRPAPAIDVSKLPKLPRLGPEPQSKLLVIVLPIASGVFVFAAVAAAVLLRRRQLRYAELREDWEVEFGPHRFSYKDLFKATEGFKDKHLLGIGGFGRVYKGVLKKSKSPVAVKKVSHESRQGMREFIAEVVSMGRLRHKNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHYNNSPAPNGSRPISGTNRMASLTLDWAQRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYIAPELARMGRTSTLTDVFAFGVFLLEVTCGRRPIEQKEGVDQDSLILLVDWVLQHWRDGSLANMVDQRLMGDYDVDEACLSLKLGLLCSHQLPCARPSMRQVMQYLEGNAPFPEGTLEHIMVNRAPGSEPSVASSPPPSTSFGTISVDLSGGR* >Brasy6G108500.1.p pacid=40049880 transcript=Brasy6G108500.1 locus=Brasy6G108500 ID=Brasy6G108500.1.v1.1 annot-version=v1.1 MEAIVRICLIVLFVVAGSADAIHEQWGYVQVRDKANMFWWYLKSPQHVSSAKPWPTILWLQGGLDCPVGVGYSYVEDPSALATTDSQVAADVMQLIKSISKKIPTLQSSPLFLAGEFYGGKLAAMLGVSVARAIRDGSLKLTLGGVVLGDSWISPDDSALSYAQLLQGVSRLDDGAVPHGNRMAAMVKKQMAEGQFAMARSTWIDLLDFIDYQTDSVNVENFLLDTSMNPLLARSSSLTSTQSMSQTAPNSLNEIMNGVIKKKLKIPKDLIWQEVSLEADEALAQTFMKPAINEVDELISYGVNVTVYNGQLDVICSTIGVEAWVKNLKWDGLKNFLRVPRQPLYYCDSSTHCSSAIKAYVRSYENLHFYWILGAGLMVPADQPEVALRMTSCITQSPGS* >Brasy6G043500.1.p pacid=40049881 transcript=Brasy6G043500.1 locus=Brasy6G043500 ID=Brasy6G043500.1.v1.1 annot-version=v1.1 MAGPEEARRRPALPWTVRAQLAALSLAHRRDGSVRRLLFSLGDLRSAASPSRPDASGVRSADVTIDAATGIWARVFSPPLPSAEDAPPVPVVVYFHGGGFALFSPASRPYDAFCRRLCRALGAAVVSVAYRLAPAHRFPAPYDDGLAVLRFLATSAAAAAQIPVPLDLSRCFLAGDSAGGNIAHHVAQRWSSSSSASSLHLAGVVLIQPFFGGEERTEAEIALDKAIPSLSMAITDAYWRDFIPEGATRDHEAACGGGGELAEAFPPAMVAVGGMDLLKGWQARYVEKLRGMGKPVKVVEYPDAIHGFHVFPEIADSGKFLEDVKVFVQEHRAAKQ* >Brasy6G217300.1.p pacid=40049882 transcript=Brasy6G217300.1 locus=Brasy6G217300 ID=Brasy6G217300.1.v1.1 annot-version=v1.1 MASSPQPPVVFAVNGERFELRDGDGVGVDPGATLLDFLRSRTRFTGPKLGCGEGGCGACVVLLSTYDPAADEVSHAAATSCLTQAHGLHHRAVTTTEGLGNSRDGLHAVHARLAGFHASQCGFCTPGMCMSLAAALAGSKGPGPPPREGFSRLTSAEAERAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWKKGDANVSKLPPYKEGSIGTFPEFLKAEIIASSRIDKCTPTPAMAGGASYWYRPRSVEEYYKLIDSDPFNGSGTKVVAGNTSSGVYREAEVYDRYIDLRDIPELNSVCMDAKGVHIGAATPISRVIEILREGDDCKDVVFGKIANHMEKVASHSVRNTASLGGNLVMAQRDEFPSDIATILLAAGSSVCIQVSSEKQNVMLDEFLEMPPCDYKTLLLNIYIPRCTPDSVSSSAGTVNIAGDKTGSSLLFETYRGAQRPLGNAVAYLNSAFFAQVSSDKTSGSLILENLRLAFGAYGTQHAIRARDVEKLLVGKPINASVLLEAFKVLKKTIVPIEGTTHSAYRSSLAVAFLFSFLYPAIKGNVKPTKVVHLNGNVASGTNGMPNCGPSTNVDVSLHGTNSVKSGSYSNAHILESCKQIVEISKDYLPVGIPTKKVGAELQASGEAVYVDDIPSPEDCLYGAFVYSTKPLAHVKSIELDSSLEQLKTVAVITVKDIPKGGGNFGANTIFGPEPLFGDPLTQCAGEPLGVVVAETRNFANIAAKRALVNYSTETLDTPILSIEEAVRRQSYFETPPFLLPQKIGDFSKGMEEADQKIYSAEVKLNSQYYFYMETQTALAIPDEDNCMVVYSSSQCPEAAQNNIAQCLGLPCHNIRVVTRRVGGGFGGKAVRSLPVATACALAAFKLHRPVRMYLDRKTDMIMTGGRHPMKICYSIGFKSDGRITGLHVDLFINAGMTMDVSPIIPHNFVEALKKYNWGAFSYDAKICKTNIATRSAMRGPGEVQGSYVAEAIIEHVASALSTDVNLVRQRNIHTVESLALYHGECMEDALGYTLPSICNKLTASTNYQYRLEMIQTFNKSNQWKKRGLSFVPIVHKVSSRPTPGKVSILNDGSIVVEVGGIELGQGLWTKVKQMAAFGLGQLWDDRSQDLLERVRVIQADTLSVVQGGWTTGSTTSECSCEAVRRACNIMVDRLKSLKEQLQEKQGMVSWDGLISQAKMAGVDLSAREYYIPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLIVSDGTWTYKIPTVDTIPKQFNVELLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIAAARKEYCSGSGSSSPPFFKLEVPAVMPVVKELCGFDNVEKYLETLLASK* >Brasy6G217300.2.p pacid=40049883 transcript=Brasy6G217300.2 locus=Brasy6G217300 ID=Brasy6G217300.2.v1.1 annot-version=v1.1 MCMSLAAALAGSKGPGPPPREGFSRLTSAEAERAIAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWKKGDANVSKLPPYKEGSIGTFPEFLKAEIIASSRIDKCTPTPAMAGGASYWYRPRSVEEYYKLIDSDPFNGSGTKVVAGNTSSGVYREAEVYDRYIDLRDIPELNSVCMDAKGVHIGAATPISRVIEILREGDDCKDVVFGKIANHMEKVASHSVRNTASLGGNLVMAQRDEFPSDIATILLAAGSSVCIQVSSEKQNVMLDEFLEMPPCDYKTLLLNIYIPRCTPDSVSSSAGTVNIAGDKTGSSLLFETYRGAQRPLGNAVAYLNSAFFAQVSSDKTSGSLILENLRLAFGAYGTQHAIRARDVEKLLVGKPINASVLLEAFKVLKKTIVPIEGTTHSAYRSSLAVAFLFSFLYPAIKGNVKPTKVVHLNGNVASGTNGMPNCGPSTNVDVSLHGTNSVKSGSYSNAHILESCKQIVEISKDYLPVGIPTKKVGAELQASGEAVYVDDIPSPEDCLYGAFVYSTKPLAHVKSIELDSSLEQLKTVAVITVKDIPKGGGNFGANTIFGPEPLFGDPLTQCAGEPLGVVVAETRNFANIAAKRALVNYSTETLDTPILSIEEAVRRQSYFETPPFLLPQKIGDFSKGMEEADQKIYSAEVKLNSQYYFYMETQTALAIPDEDNCMVVYSSSQCPEAAQNNIAQCLGLPCHNIRVVTRRVGGGFGGKAVRSLPVATACALAAFKLHRPVRMYLDRKTDMIMTGGRHPMKICYSIGFKSDGRITGLHVDLFINAGMTMDVSPIIPHNFVEALKKYNWGAFSYDAKICKTNIATRSAMRGPGEVQGSYVAEAIIEHVASALSTDVNLVRQRNIHTVESLALYHGECMEDALGYTLPSICNKLTASTNYQYRLEMIQTFNKSNQWKKRGLSFVPIVHKVSSRPTPGKVSILNDGSIVVEVGGIELGQGLWTKVKQMAAFGLGQLWDDRSQDLLERVRVIQADTLSVVQGGWTTGSTTSECSCEAVRRACNIMVDRLKSLKEQLQEKQGMVSWDGLISQAKMAGVDLSAREYYIPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLIVSDGTWTYKIPTVDTIPKQFNVELLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIAAARKEYCSGSGSSSPPFFKLEVPAVMPVVKELCGFDNVEKYLETLLASK* >Brasy6G187500.1.p pacid=40049884 transcript=Brasy6G187500.1 locus=Brasy6G187500 ID=Brasy6G187500.1.v1.1 annot-version=v1.1 MTMRSSSMAAAPLLLAAVLFLLFAAQGGHCMKLCMDSSFPRAVNGSLSFCGYNGTACCNATDDAAVGKEFAAMNISGTPCGDMLKSILCARCNPYAGELFTVKKNPRTVPLLCNTTETGYCSQVWGACKAVSIPGSPFQPPKGGVSAPKLTEVWQSERDFCGALGGAPSTSTVCFDGESAAFNTTPASPPTNGMCLERVGNGSYLNMSPHPDGSNRVFLNSQAGKVFLATVPPQGSGRPLGLDVASPFLDITDEVHFDNEFGLLGMAFHPDFARNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLGADNGAQPCQFQNVVAEYTANASSGSPSTATSANPTEARRIMTLGLPFTTHHAGQILFGPADGYMYFMMGDGGSQGDPWNFAQNKGTLLGKIMRIDVNNIPTGNNTPGWGNYGIPKDNPFSVDPKFAPEVFAMGFKNPWRCSFDSGKPSYFFCADVGQSSYEEVSLVVNGGNYGWRVFEGPSPFPVMSTPGGNTSAGSINAIPPVMGYAHNTVNNNVGSAAVTGGQVYRSTTDPCLNGRYVYADLYAQAMFAGTETPVGSGVFDETPLPFACAGSSPIPCDASPKSALPSLGYIFSFAEDNAKDVFLLTSKGVYRVVDPSRCNYACPIKSSAAVESPPPAASPSSAFRSARSPAVATLMLAGALLVLLMTLGF* >Brasy6G169100.1.p pacid=40049885 transcript=Brasy6G169100.1 locus=Brasy6G169100 ID=Brasy6G169100.1.v1.1 annot-version=v1.1 MEHSKEDEGSGQMSSDTHDADAAKHVDEKEAAASSQSSSSSEHIDEEEDDFFQIEGPILSSQFSLGPAECPNQSPQVQAMSREEAPNVCPDPKRIPSSVFTRSKSTAPTDWSITSNESLFSISVGNTSFSKDHLCLYGKSGEMGNLNDPLAPLPSLPRQSPGSSPIKGEVSPKATEESSSMVRPTALREKGEGDTDYTNGLSHRSDGSTTSFAFPILTGDERSSGCLKDNQPELDRQSTAQLSQPAEPHDENDETPKAAMEAPQAEEAPVPASAAAEPPPPQPPATTKWFPWCSCCPFCC* >Brasy6G169100.2.p pacid=40049886 transcript=Brasy6G169100.2 locus=Brasy6G169100 ID=Brasy6G169100.2.v1.1 annot-version=v1.1 MEHSKEDEGSGQMSSDTHDADAAKHVDEKEAAASSQSSSSSEHIDEEEDDFFQIEGPILSSQFSLGPAECPNQSPQVQAMSREEAPNVCPDPKRIPSSVFTRSKSTAPTDWSITSNESLFSISVGNTSFSKDHLCLYGKSGEMGNLNDPLAPLPSLPRQSPGSSPIKGEVSPKATEESSSMVRPTALREKGEGDTDYTNGLSHRSDGSTTSFAFPMG* >Brasy6G040500.1.p pacid=40049887 transcript=Brasy6G040500.1 locus=Brasy6G040500 ID=Brasy6G040500.1.v1.1 annot-version=v1.1 MSLARLGQSLIRRLHRPIHLPPPPPTDHHAAVSRSLALSQANTCLRGFASLTYNRGSTIAGKFVGPSPVHAVQLQVLERVVHLNHARLMSSAAPATAGSTSSGAPETSPSVSKGVPVGARKVGMKVVMMSPGFVYEPYSLREPISFWRRWFTRSGWTRTKEDIILEMKNAYAVSRLRKKTGYTKKQFYNQAFNIYKEVNTLMAQGDTSSLRKALTERMHSTMKNEIKRRQSKWKSVHWELVEPAVNIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEILVKDIWVFERSLFHPGADWRVCGRITL* >Brasy6G040500.2.p pacid=40049888 transcript=Brasy6G040500.2 locus=Brasy6G040500 ID=Brasy6G040500.2.v1.1 annot-version=v1.1 MSLARLGQSLIRRLHRPIHLPPPPPTDHHAAVSRSLALSQANTCLRGFASLTYNRGSTIAGKFVGPSPVHALQVLERVVHLNHARLMSSAAPATAGSTSSGAPETSPSVSKGVPVGARKVGMKVVMMSPGFVYEPYSLREPISFWRRWFTRSGWTRTKEDIILEMKNAYAVSRLRKKTGYTKKQFYNQAFNIYKEVNTLMAQGDTSSLRKALTERMHSTMKNEIKRRQSKWKSVHWELVEPAVNIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEILVKDIWVFERSLFHPGADWRVCGRITL* >Brasy6G040500.3.p pacid=40049889 transcript=Brasy6G040500.3 locus=Brasy6G040500 ID=Brasy6G040500.3.v1.1 annot-version=v1.1 MSLARLGQSLIRRLHRPIHLPPPPPTDHHAAVSRSLALSQANTCLRGFASLTYNRGSTIAGKFVGPSPVHAVQVLERVVHLNHARLMSSAAPATAGSTSSGAPETSPSVSKGVPVGARKVGMKVVMMSPGFVYEPYSLREPISFWRRWFTRSGWTRTKEDIILEMKNAYAVSRLRKKTGYTKKQFYNQAFNIYKEVNTLMAQGDTSSLRKALTERMHSTMKNEIKRRQSKWKSVHWELVEPAVNIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEILVKDIWVFERSLFHPGADWRVCGRITL* >Brasy6G227600.1.p pacid=40049890 transcript=Brasy6G227600.1 locus=Brasy6G227600 ID=Brasy6G227600.1.v1.1 annot-version=v1.1 MLRTMVDSLDISLSLSLSLSLSLSLSLFLSLYYTWSCCGLTTSAYGWVCQQADRRRLSQRALKMASQLEYTALRRARQRAARGTGVKEDGARPQPTSTTQPTTTPPESTIKSSSRVRGRHHHARVRRWH* >Brasy6G255800.1.p pacid=40049891 transcript=Brasy6G255800.1 locus=Brasy6G255800 ID=Brasy6G255800.1.v1.1 annot-version=v1.1 MNSNMASKILLVFFLILQASLMFIAQDVLAARNLAKKSGALDCLESGGANAKSSSYQGNWSPNSGGYGKPGH* >Brasy6G140000.1.p pacid=40049892 transcript=Brasy6G140000.1 locus=Brasy6G140000 ID=Brasy6G140000.1.v1.1 annot-version=v1.1 MLRNAAELSAARIMGPKPPKLVIRTVRGRRAMEELVARMAHRRRRELAALAERHTVSRFGHKGRIQSMLRLRLLRQGDTVNDEVWNLLRPVRPYPPKCGPEAPAMRDDTRKTDLQEANECSVQNNRVDEQHNDDRNPVVDKSTGLSIENLINSDGSENQQYDEKINANRNQCQEECVHNQEYPKISNFVRYDDRSSVYDNQYVDDISPSTTSTLRELQDTPSSRGEIQDTPSSRGDTLREEDNQSLNGSWDERALWMSGLGWPAPVDTMSPDSWHQDTIGDIENHNQIEFIDRPWIESPNSWRSLHIATQADCRHLSGNADICNLLESKKVSKSLESDFSSKMNHLLLAILQKQRQQHMIDDFGGYYQEHLYWQRNDGRQNEDQVASAPCSLAPVSQCAAHPEENWQHSSFEHQHHENQNLLEMEVRVRSEIAQIHHEIYELRKLAESCIASQVKIQHSIKEEVCSALREAGLMPSQPDITANRGSCCICREMQVDSLLYRCGHMCTCFNCADQLKSSSRSCPICQSPIDDVVRAHPNF* >Brasy6G140000.3.p pacid=40049893 transcript=Brasy6G140000.3 locus=Brasy6G140000 ID=Brasy6G140000.3.v1.1 annot-version=v1.1 MLRLRLLRQGDTVNDEVWNLLRPVRPYPPKCGPEAPAMRDDTRKTDLQEANECSVQNNRVDEQHNDDRNPVVDKSTGLSIENLINSDGSENQQYDEKINANRNQCQEECVHNQEYPKISNFVRYDDRSSVYDNQYVDDISPSTTSTLRELQDTPSSRGEIQDTPSSRGDTLREEDNQSLNGSWDERALWMSGLGWPAPVDTMSPDSWHQDTIGDIENHNQIEFIDRPWIESPNSWRSLHIATQADCRHLSGNADICNLLESKKVSKSLESDFSSKMNHLLLAILQKQRQQHMIDDFGGYYQEHLYWQRNDGRQNEDQVASAPCSLAPVSQCAAHPEENWQHSSFEHQHHENQNLLEMEVRVRSEIAQIHHEIYELRKLAESCIASQVKIQHSIKEEVCSALREAGLMPSQPDITANRGSCCICREMQVDSLLYRCGHMCTCFNCADQLKSSSRSCPICQSPIDDVVRAHPNF* >Brasy6G140000.2.p pacid=40049894 transcript=Brasy6G140000.2 locus=Brasy6G140000 ID=Brasy6G140000.2.v1.1 annot-version=v1.1 MLRNAAELSAARIMGPKPPKLVIRTVRGRRAMEELVARMAHRRRRELAALAERHTVSRFGHKGRIQSMLRLRLLRQGDTVNDEVWNLLRPVRPYPPKCGPEAPAMRDDTRKTDLQEANECSVQNNRVDEQHNDDRNPVVDKSTGLSIENLINSDGSENQQYDEKINANRNQCQEECVHNQEYPKISNFVRYDDRSSVYDNQYVDDISPSTTSTLRELQDTPSSRGEIQDTPSSRGDTLREEDNQSLNGSWDERALWMSGLGWPAPVDTMSPDSWHQDTIGDIENHNQIEFIDRPWIESPNSWRSLHIATQADCRHLSGNADICNLLERNIYTGNGMMDARMKIRWHPHRVHWHLSPSAQPTPKRTGSILHLNINITKTKICLKWRSG* >Brasy6G032200.1.p pacid=40049895 transcript=Brasy6G032200.1 locus=Brasy6G032200 ID=Brasy6G032200.1.v1.1 annot-version=v1.1 MYATGGCVVLFGSFTEDETKLFQGQPGIRNTESLIGATVPSAGPVDNVKKTEATATSAEPVNNVTQIGATVPSAGPVNGKKTGAMVGSSGPVNNVKKTGVAIPVNNVEKTEAMVPLAGPVNKAKKTEAVGSPGQVKQARLSKNAEASRRCLSMSSGYDTGQVKVAVPARRRRRTPSPGNCMYINLGPEHRSVFYMVSMECVAILVGGFFLFSWETE* >Brasy6G136600.1.p pacid=40049896 transcript=Brasy6G136600.1 locus=Brasy6G136600 ID=Brasy6G136600.1.v1.1 annot-version=v1.1 MSTDGKIDLDATTSTLGPEDDTAQGLILPSKERVLYRPPPGKSALGLDLLAHRKREKQGNNAFKPPPQKVLAASSLDEEPGSTENEASSLSGGERGSVSRRYRGSNSNEKTSSKESTVTDENERGLTPRHRDESYRQQTHISRHDSYDDRGSSDKRSERETSASIGYSSSGKRGYRDDRETNGRRSERDRSTSVDYANKKGRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRPGSQRHHPTRSPMLAAASPDARLVSPWLGGSTPRSAASPWDHVSPSPTPVRASGSSKGSSYSSSREKSHQLTFSNNTEADGSPSAADRNHEITEEMMQEMDYNADRAWYDCEEHTTMFDGDNYLADDSSYKKKEAQLPKKLTRKDGSLMTLSQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIIARKGSVLVREIREKQSMNKSRQRFWELAGSNLGNILGVEKTSEQVDADTAVVGDQGEIDFKEEAKFSQHLKGKAEAVSEFAKSKSLAQQRQYLPIYTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTCAKTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNILFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPNLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPCSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGERLDCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKALKIPLTSCHMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVSAVDPQWLAELGPMFFSVKDTDTSLLDHKKRQKEEKSAMEEEMEKLRQEQAEAALKEKERERAKRAKQQQQVSMPGLKKGSTYLRPKRMGL* >Brasy6G178200.1.p pacid=40049897 transcript=Brasy6G178200.1 locus=Brasy6G178200 ID=Brasy6G178200.1.v1.1 annot-version=v1.1 MRRGTAAAAANGKKRRSRKAARPAAAAAAVAGDAKDGPDKAAAAGGGGFFCCYLLRSLCPRRKGHTYIGFTVNPRRRIRQHNGELRCGAWRTKRGRPWEMVLCIHGFPSNVAALQFEWAWQHPTESLAVRKAAAGFKSLGGVGNKVKLAYTMLNLPSWENLNLTVNFFTSTNTKFTAGCPALPSHMSTVVCPMEDLPCYDEGLSSEEDKELPENQDIDDAPVGDSSSDHGWRPVELETTLEDCESNIDEFAPMEWNGVCGAEEIVGSRISESSEPQPVEEEIRTAAGYDIEYHPIDDFGYMEWRGMHETSELHESGTSPRCSWRSRSDDVIERSVDCVSAQASPVLKSGSDDGNHFFHDIDVVDLVTPVGRLARECSKVASICPKIIDLTNSPIVIEL* >Brasy6G097700.1.p pacid=40049898 transcript=Brasy6G097700.1 locus=Brasy6G097700 ID=Brasy6G097700.1.v1.1 annot-version=v1.1 MESTTVIWLVCISIFITIVWSKIARGTTSSTPTTKRSLPPPPMAAGAPLLGILPALVMKGPLQAIHDAYKTMGSVFTVRLLHLQVTFLVGPEVSGHFYHGLDSEISQDEVSRFTIPTFGPGVGFDVDLATRREQFRFFGDAMKPAKLRTYAPLMAREVEEYFTKWGQSGTVDLKQELEHLVTLVASRCLFGEEVRGKMFGEVATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGEIFSGIVRSRKSARRGGHDDILESLIDSRYKDGRATTETEVAGMLVSALFAGQHTSSSTATWTGARLLSPDNAAHLRAAVEEQERVMGRHGPGRVGYEALQEMDALHRCVEETLRLHPPALTLLRHARRGFVVRSKEGREYEVPAGRTVASPLVIHNRLPGVYRDPDRFDPGRFGGPGRTVGEREGGGALAYTAFGGGRHACVGEAFAYMQIKSIWSHLLSNFEMEMVSPFPETDWNVVMPGPKGKVMVSYKRRRMAAAA* >Brasy6G109500.1.p pacid=40049899 transcript=Brasy6G109500.1 locus=Brasy6G109500 ID=Brasy6G109500.1.v1.1 annot-version=v1.1 MAGRYDGGNPFEEEEDVNPFSEQARGKPGGQSNFGGGGAFYMPNPRNVAPPSNSRLSPLPPEPADFSATVDIPLGSSKDLKKREMELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPTHLQRMQYFAFASFLGLACCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHIIFCIFAAVAPPAVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF* >Brasy6G146800.1.p pacid=40049900 transcript=Brasy6G146800.1 locus=Brasy6G146800 ID=Brasy6G146800.1.v1.1 annot-version=v1.1 MASPTAAASPSRALAANTTAPSPASPPRRLASAPPGVDASAVSSPASAYSGDLSACDPSSPLLASRSEEYRLMFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQDVTDIRKAKTAAIFPNAVEIVAGTKRHFFGSFLARDEAYRIIVDAWEQHVSDTRLLLERQDAKSASSSDENGYVLLEEGKESKQDDDSLPLDRSANHTAAVSGSTDYVDSDVNTSKRFSKVPEEGTEETVASLNPFSSEPFDDAPPNVPESYTLITESKFQVPVEVLFDVLFSDGAFGFLDDLHKKCGDKEFRCSKWRLDEQGLARDVSFLHPIKIYLGAKFGTCQEVQKLCLYKNRHIVIRTSQEIGDAPYGDHFIVEGIWDVEQDSLDEKSCYLRVYINVAFSKKTIFRGKIEQSTKDECREVFSLWIKLGHDYLKQDSSSRLKDAEASTATNADVQSGATLISENPSENTVAYMASAPYESGLSTLVPPIHHHQQSIGKGSLASASQELWGSLVSYMRSGQSGPVLAVMLVAIIILMQVIVIVLLTRSPKVLMVSHEASGSSFGSYSKESLEWVQKRVNLLGEEMQMAEAHMEQMRHEFAWLKSHLERLEKLRSRS* >Brasy6G047400.1.p pacid=40049901 transcript=Brasy6G047400.1 locus=Brasy6G047400 ID=Brasy6G047400.1.v1.1 annot-version=v1.1 MKMSVYYHDILYDAVYNSDAANATSAAATSPSPLSPTGFFGMMVVFNDPVTKGKALPAETKKWRGRTDSTSTTGRKFSAWFAMSLVFNSTAPGARRGTLELMGADLMDQKTRDISVVGGTGDFFMARGVATLRTDAVEGVIYYRLQMDIKLYQCYL* >Brasy6G175800.1.p pacid=40049902 transcript=Brasy6G175800.1 locus=Brasy6G175800 ID=Brasy6G175800.1.v1.1 annot-version=v1.1 MEHGDTATGGGGGGGGTSIQVTALDGIVNVNSLFTLAAFLGLAWRPSSDGPGLADGADHLGACAAGDRIESDLVSFHVLAFACFLFSSLVALCLKQIVRTFPHYRRASHSATGAVSWTVRINRAALRVGILASAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGSAAWGAVIPLVTLVPAAMLIYVGIVFYAFTR* >Brasy6G046100.1.p pacid=40049903 transcript=Brasy6G046100.1 locus=Brasy6G046100 ID=Brasy6G046100.1.v1.1 annot-version=v1.1 MGSEGPSIVTVHVTGFKKFHGVAENPTEKIVSNLKSFMEEKGLPKNLVLGSCKVLETAGQGALGSLYKVLESAVADRENGPSSPGQIILVHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVQIVPSDGSISRTRETTLPVKELSKSLRKGGYDVIPSDDAGRFVCNYVYYHSLRFAEQHGVKSLFVHVPLFSTIDEEVQMHFVASLLEALACSN* >Brasy6G119400.1.p pacid=40049904 transcript=Brasy6G119400.1 locus=Brasy6G119400 ID=Brasy6G119400.1.v1.1 annot-version=v1.1 MSKKKPVTTMTLKDFHGGFIPSELPLPSAPGVSARPPDRPTAAPSPSSAVPARPRTPAASSAAAAAVAVPSFLTNPSRIGRHFDEDERTPFEAVTPRRPAPSPSPFASAQAVGAARSGPGNAWGARREVTPAAAPVVPGGGGGGGQIWSPTSVAQASAVEKVLSGRWHLSKPAYSPAPVSAPVLENHVLPPEMERPRPVGVRELNGVMERPGSVGVAGLDGIMERPRSVGVRELDDAMERPRSVGVRALDVAMEKGMAPVRPASHEGRVVEVRAEVVPERPKLKLLPRSKPIEPPAPSPTYVEDKQVNQVPVIASVTQVEFVHDAESRATVERPRLNLKSRSSAVSQSGESAAKERPSVFGGARPREQVLRERGVDALASDLEKTSPVGRSKNEFAKAEQKVEAMPANTSGEKAESYPSGHRGPRNADRKDYRRDTDRADAHRPTRREDSRKVGRDVEKVAEQPRPEPETWRKPVEPPKPEVTAPRFGKAATALELAQAFSKSMSDTVPKSRLTSVPSPRVPPSPGTRDQVGYSRRTDNGALHSGSSHPRINGY* >Brasy6G123300.1.p pacid=40049905 transcript=Brasy6G123300.1 locus=Brasy6G123300 ID=Brasy6G123300.1.v1.1 annot-version=v1.1 MAPEPNNFDDSGPKHIGGSSATTIDWDNEEHCRCIAACLVKGTYVMCGATRELAPAWSENFHFRRREALTVTDHNGDELIFGAIYERMPDSPRHPSAPHYIVAFRGTMLKMQDLHDDFHIAMNTLRDTERYRPARTRSGRQAPDRRSRVVWLAGHSLGAAVALELGRAMMSEQAGRSLPTFLFNPPRVSLAPLLDMLLRKEELRRALYAASNSVKVGVALALSEVHQRRMKKIFERLAKWVPNLYVHEKDLISNGLLDHFRRPQQLGELCCPGVAKAGMQLSHRDMFSWAMRRQEKMQPCLLPSVMLWINSSVGEQAGNWFEQRLEAHKLQQWWKPNSELSLSPRRHIFFEDDMHGYGNADTDTDTATRQFFKNPDTGIRA* >Brasy6G030300.1.p pacid=40049906 transcript=Brasy6G030300.1 locus=Brasy6G030300 ID=Brasy6G030300.1.v1.1 annot-version=v1.1 MVKSLHVTVIFKLPYYTQWGQSLVIAGSEPALGSWNVKQGLALSPVHQGNALIWCGQLSVAAGFTCEYSYHVVDDHKNVLRWESGEKKKLVLPEGVQDGDIVEIRDWWQDASEALFLRSAFKNVIFSENQNAKRELKSASLSKSLDPEDIVVQFIISCPRLGAGSTVVVTGSNPSIGNWRAQDGLKLNYVGDSIWKANCVLRKSEFPVKYKYCQISEAGASSLEFGPNREVDVDSPSRYILLADGSLRESPWRGAGVAVPMFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQILPINDTSVHQMWWDSYPYSSLSVFALHPLYLRVQALSDAIPKDVKEEILQAKKQLDKKDVDYEAAMATKLSIAKKIFNLEKDKVLSSASFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVAEGTLHHGIIRFHYYIQYHLYTQLSEAAAYARKNKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELLGDGIWDFDRMSRPYIRQDMLEEKFGSIWTVIAANFLNEYQKQCYEFKEECNTEKKIIAKLKTSPEKSLWLEKEDSVRRGLFDLLQNIVLIRDPEDPTKFYPRFNLEDTSNFRDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLDASDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNCEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEEAGRRSRFYKGVIGSDKEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAVEETINDPTNPKHYWRFRLHVPLESMLEDKDIQATIKDLVTSSGRSFPGKKTEASKGQSNGKP* >Brasy6G099300.1.p pacid=40049907 transcript=Brasy6G099300.1 locus=Brasy6G099300 ID=Brasy6G099300.1.v1.1 annot-version=v1.1 MGSSEMASQPQSDSVKVAVNVRPLITSELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVYGSTGSPSTLIFEQCVHPLIDSLFCGYNTTVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFRKADAMKDDAELLIRVSFIEIFKEEVFDLLDDPGCVAKASAPARVPIQIRETANGSITLAGVTEAEVKSKEEMALYLARGSSSRATGSTNMNSQSSRSHAIFTISIEQKKTSNFTSDKTTNNDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSRTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQSELLFSRSGSAALEELQLLQQKVSLLELKNSELCYELKEREMSCEQLAQRALSAQLEKDQLMLKLESARNGKSWDDIENASSEDMDLMKTYISKIQQLEDEITRQKFSAACRNGLHDQLALDKGMLLDDLGSGCDVGTPEASSEVDEEEKEREHSSLQEKLDKELQDLDKRLQQKEAEMKQFAKSDTSVLKQHYETKLHEVEQEKKALQKEIEDLRHTLTNISSSTDECGHKLKENYLQKLNTLESQLKKKQQAQQQLLRQKQKSDEAAMRLQGEIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEATMATKRLKDLLEAKKSTRDTYGSGSGSGIQALMRTIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRMSALENMLSSSSSAMVSMASQLSEAEDRERAFNGRGRWNHIRSLPDAKNTMNYLFQLASSSRCQLHDKEVMCREKDLVIGELKEKVVVLNGRIRQLEAQTNDLHNQNMLLFTAMNNAKKSGRALRCDTTVGPEDGQTYALRKSARASQFSMYSKNNFYWSDDMDISDAEESQELDDMSDGSDSDWVKSSKKVNTRRRRSSNLSGNNSHKNVKSEMHSDEIPTFQKDHPSQCCSCTSKSLCKTRYCECKAGGSQCGTACGCDASKCTNRVHIKKEINDEPSPTEGSECGDVSFSDNDVKLKEDVKQGIMLLENAMAEKEAQEPESRKPLADIGNNIVKQPGAKPKQRKNWRKSTIQLVPTEPPPPPSAPDNCEAAPRSRADVPLRLPKSMSPVPAESNPLTDRNATKPEESASTNKDNNSVRARSPARPRKNSIEKENHLQ* >Brasy6G099300.2.p pacid=40049908 transcript=Brasy6G099300.2 locus=Brasy6G099300 ID=Brasy6G099300.2.v1.1 annot-version=v1.1 MGSSEMASQPQSDSVKVAVNVRPLITSELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVYGSTGSPSTLIFEQCVHPLIDSLFCGYNTTVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFRKADAMKDDAELLIRVSFIEIFKEEVFDLLDDPGCVAKASAPARVPIQIRETANGSITLAGVTEAEVKSKEEMALYLARGSSSRATGSTNMNSQSSRSHAIFTISIEQKKTSNFTSDKTTNNDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSRTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQSELLFSRSGSAALEELQLLQQKVSLLELKNSELCYELKEREMSCEQLAQRALSAQLEKDQLMLKLESARNGKSWDDIENASSEDMDLMKTYISKIQQLEDEITRQKFSAACRNGLHDQLALDKGMLLDDLGSGCDVGTPEASSEVDEEEKEREHSSLQEKLDKELQDLDKRLQQKEAEMKQFAKSDTSVLKQHYETKLHEVEQEKKALQKEIEDLRHTLTNISSSTDECGHKLKENYLQKLNTLESQLKKKQQAQQQLLRQKQKSDEAAMRLQGEIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEATMATKRLKDLLEAKKSTRDTYGSGSGSGIQALMRTIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRMSALENMLSSSSSAMVSMASQLSEAEDRERAFNGRGRWNHIRSLPDAKNTMNYLFQLASSSRCQLHDKEVMCREKDLVIGELKEKVVVLNGRIRQLEAQTNDLHNQNMLLFTAMNNAKKSGRALRCDTTVGPEDGQTYALRKSARASQFSMYSKNNFYWSDDMDISDAEESQELDDMSDGSDSDWVKSSKKVNTRRRRSSNLSGNNSHKNVKSEMHSDEIPTFQKDHPSQCCSCTSKSLCKTRYCECKAGGSQCGTACGCDASKCTNRVHIKKEINDEPSPTEGSECGDVSFSDNDVKLKEDVKQGIMLLENAMAEKEAQEPESRKPLADIGNNIVKQPGAKPKQRKNWRKSTIQLVPTEPPPPPSAPDNCEAAPRSRADVPLRLPKSMSPVPAESNPLTDRNATKPEESASTNKDNNSVRARSPARPRKNSIEKENHLQ* >Brasy6G228500.1.p pacid=40049909 transcript=Brasy6G228500.1 locus=Brasy6G228500 ID=Brasy6G228500.1.v1.1 annot-version=v1.1 MFYCDHCDDYCPHIKDPDKGYICCGTCGKVLHQDIYTDEPTFITDEKGQSRKAGSNLRSIESGSSLSHERTLIKGMVFGAIPIILLIVSLWRDEIWQLVTSLHVGGGDTIIDMAHKFCTLAVDHNFTRGRRTTQVAAACLYIACRQSKKAYLLIDFSDYLKICVYVLGAVFLQLCQVLLLAEHPIVQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIIASMKRDWMQASIFFLLTGRKPSGLCGAALYIAALSHGYNYTKSDIVAVVHICEATLTKRLIEFENTDSGSLTIEDFLAKADEEQPVSKPSRKSGEVLCKHKDKNIEHFAHGLCEKCYNKFIKMSGGLEGGADPPAFQRAERQRLEAAKKSEEAAALKEAALGESNYEIQNSDVEDNIISPKKGPIGDKSSTIPSEQNANDNIASKDPEVGALGENSKPDADPESFSDIDDVEVDEYLHNEEETRYKKIIWEEMNKEYIEEQAAKEALAAELAARGVVVGGGQQKKRRRNEDIKNLSPAETPAEATYNMLKRKGLGSKINVEAVGGLYNTKDEDGEANEKGDMGFDGDYTQDIGDGETFEGGDNDADCNNGGEGGAEAYTDYDEFGF* >Brasy6G040800.1.p pacid=40049910 transcript=Brasy6G040800.1 locus=Brasy6G040800 ID=Brasy6G040800.1.v1.1 annot-version=v1.1 MEGLVQILNEWEIQLLVLLSFTLQVFLFFTGGLRRRSTNSLLRISMWVAYLGADLVAIYALGFLSRHHEDVTTGKKTFREAHPLAFLWAPFLLVHLGGQDTMTAFSIEDNKLWLRHLLNLVVQVVVALYVFWASMTGHDHLSAQLPGIFVFIAGVIKYGERTLALMYGNLKGISSKSTSIGNVTHGYLGIVATALKSAPGIRELFAGRTLHQMKLEHREALTSNIHVNHLPKLLEIELDLMYADIYTKDVVLRTRSGILFRCVSQVSLMVAFMLFIVGVANNQHGYSRADLAITYMLFTGGLFLEVCAVFIWLKLPWTWAWLETRNCCGLARISSFLLSSNIGRPERRVLWSNSMGQYSLLSFVSSDHQSRLSKLVKNLVAASLLVVCARKEVKLSSSLWTSKLLDSEYTEVDKGGFLRYPCTP* >Brasy6G254700.1.p pacid=40049911 transcript=Brasy6G254700.1 locus=Brasy6G254700 ID=Brasy6G254700.1.v1.1 annot-version=v1.1 MSAPQQPCTGSTHARAHCAESPRSASKKHSLLRLLPPQSNPHPKPSRAQIPRASAMAPPPPELPADVVERIFLRLPPDDPGRLFRASRVSKFWLRRLAGPDCRREYCKLHGTPPMLGFLTRHSPKEEDHVSTLFVPTLKLRPPGPAPARGYLRPLDARHGRVLFETDPPGCLYEGYYPEPEYIVWDPITHEEWVFVKPGQLWGGWPAVLCAKPGCDHLDCHGRPFQVANVIVEEFLCSAALYSSEAGYWWPSTDCVHSDQKLAHCITTCVMPPSVLVGNTLYFDFLKTNTIIRYDLADPELSLMRNVCWDQGSAAPGVRCLTRGTHGSMLAKLALR* >Brasy6G174800.1.p pacid=40049912 transcript=Brasy6G174800.1 locus=Brasy6G174800 ID=Brasy6G174800.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGATLPLIKCSCCQVRKVMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIESYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQLLTLAAIASHREMADSVAMKEKQGIEEKKQEAIVKKLGSEEMLMELNVNLGVLVGIGQKLLGVCVLLLAVNLYAALKN* >Brasy6G009300.1.p pacid=40049913 transcript=Brasy6G009300.1 locus=Brasy6G009300 ID=Brasy6G009300.1.v1.1 annot-version=v1.1 MGVQTMASLGGGGGRQGAAVYGLTLNEVESRLGSPLRSMNLDELLRTVLPAAAAGGGPGPGSGKKTVDEVWRDIESAARGREPVAMEVGEMTLEDFLSRAGVPVDGGGAHWLLRQYHPPPWPLPVYDAGHGHGGGFLSPSQAGGGRKRGAGGEDEGGGGGGGGVERRQKRMIKNRESAARSRARKQAYMNELENKVSRLEEENRRLKELKRLEPMVQVQCVTRPEPMVVQYVPEPEPEKQHQLQLRRTISASF* >Brasy6G206700.1.p pacid=40049914 transcript=Brasy6G206700.1 locus=Brasy6G206700 ID=Brasy6G206700.1.v1.1 annot-version=v1.1 MGLCMSSGGAAEGSAATAMVLLPTGELREYPPPATAARALEDFASGEKGWFLCDADAMGFDGPVPAVGGAEELLPGQIYFVLPAEARRKGLRREDIAELAVRASAALVKKASSVGRGGRRRRAGSVAPLVFAPLPAEKEVDAYKTVPALAPKRRPPVSRAMSAGRMQPRFAPDLGAIPE* >Brasy6G142900.1.p pacid=40049915 transcript=Brasy6G142900.1 locus=Brasy6G142900 ID=Brasy6G142900.1.v1.1 annot-version=v1.1 MCQKMCYARLHIRSTNCRKKKCGHNNDDHLITELSCLSEYSAFLDLMCCFQHYFPAEAKEAAEFQLRCSLHLDLSLAVGVPHGCARLIPVITLRRWFKYRGDKENEDQHDVGPGMCVIADRP* >Brasy6G163000.1.p pacid=40049916 transcript=Brasy6G163000.1 locus=Brasy6G163000 ID=Brasy6G163000.1.v1.1 annot-version=v1.1 MAGGLENLLPVQILVLYSLVLPFILFLCAGVRRTETPSGVPVQLPLLWLAYNFADTVATYTLARLSIISTAGNHPLAALWAPFLLLHLAGPDNISAYALQDNQLSFRSLQTFLSQVSGAAYIHYTNSKGKNSSGDFVPLASHLMFAVGVMKYGERWLALQRGNLGSIRDSLKKQPSAAMHWHVHPQDEVVLKFARLDEESHLRRAHSLFHICKRAIVGSSVTRKEEPRSTKYIGKMLDGHVNRWTLVEMELSLMYDILYTKAAVIHTWHGYSIRVLSPIAILISLLLFQFSGEDNQSEVDAATTYVLFGGALFMELISQLNTLGSSWMFATLSSTRCSWIRYKILCSERWDYLRRVVVHLNYLATGESRSNARRWSGNMGQYNMLHFCSRPNTPLTAPLLGRLADLVGFGELWNRKHFSGTKNMSNHVLVKYCIDEQMELLHKGKELNTLGMIRDKWGKAALDRCSKNMRLDQDITILERTLGHEFQEGIIIWHIGTDCFLAWSQRAKDKGASSHVEAIKVLSNYMMFLLVERPDMLPGIAQNRLYQLTCEKIQELQVELTRSSQKKSIWAMLKSLFRVHDGPGSISRASDSENLAQSVYTEYYGKEFSQESLRLTYISGLAEDLLDKEEDGVDSLQLLLEVWTDMLIYAGVKCSRESHAKKLSSGGELTTIMWLMAEHIHQLSLEEEEGSKQASDEEELSTQEYHDNYRL* >Brasy6G139800.1.p pacid=40049917 transcript=Brasy6G139800.1 locus=Brasy6G139800 ID=Brasy6G139800.1.v1.1 annot-version=v1.1 MASEQVIGKLSVRVVRGSNLIVADPLTHTSDPYVVLCYGSQKVKTSVQKKNANPLWNEVLQLPVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHASDGTRIKTIYPVGTNYLGGESHVSWKNGKVVQDLILKLKNVDSGSIVLQVEWVHVPGVTL* >Brasy6G242000.1.p pacid=40049918 transcript=Brasy6G242000.1 locus=Brasy6G242000 ID=Brasy6G242000.1.v1.1 annot-version=v1.1 MAEPSHLNPCINDLPFRLLLHRHRPFCSLHPGRATATRLESPTRPHPEIQLKMWRSCVSRGLSKAKASASRLFSTTSSSYTLVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDTVIHGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISRPGDKQKPLEKGAGEKTIAWLDKLRNANGSMPTSKIRLNTQRIMQNNAAVFRTQETLEEGCQLISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFTTRDDERWMKHSMGYWENEKVRLAYRPVHMNTLDDEIESFPPKARVY* >Brasy6G144300.1.p pacid=40049919 transcript=Brasy6G144300.1 locus=Brasy6G144300 ID=Brasy6G144300.1.v1.1 annot-version=v1.1 MAGAVGRDPLLRSEIHGFITYADLNFEKLKAEAPARWFRPNEIYAVLANHARFKVHAQPIDMPVSGTIVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEERVHVYYARGEDNPNFFRRCYWLLDKEAERIVLVHYRQTSEENAIAHPSTEEAAEVPTVNRSQYYTSPLTSADSASVHTELSFSPPVPEEINSHGGSAISNGTDGSTLEEFWVHLLESSMKNDTSSSGGSMAFSQQIKYRPKDSENNSNTTSNAVLVSPPNVMPEAYPTNHVGALKHQGDQLQYLVTPDVDSQSERFVNSLQTTPVDSNIPSNVPARENSLGLWKYLDDDSPCLGDNIVSNERLFNITDFSPEWALSTEHTKILVVGYYYEQHKHLAGSSMYGVFGDNCVAADMIQTGVYRFMAGPHTPGRVDFYLTLDGKTPISEVVSFEYRSMPGDSLKSDLKPLEDENKKSKLQMQMRLARLMFATNKKKIAPKLLVEGTRVSNLISASPEKEWVDLWKIASDSEGTCVPATEDLLELVLRNRLQEWLLERVIGGHKSTDRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGREKMVAALLSAGANPSLVTDPTAMSPAGSTPADLAAKQGYVGLAAYLAEKGLTAHFESMSLTKDTKRSPSRSKLTKVQSEKFENLTEQELCLKESLAAYRNAADAASNIQAALRDRTLKLQTKAILANPELQAAEIVAAMRIQHAFRNYNRKKVMRAAAQIQNHFRTWKIRKNFTNMRRQAIRIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIGNGMPVEMTVDVEPASTAEEDYFQASRQQAEDRFNRSVVRVQALFRCHRAQHEYRRMRIAHEEAKLEFSGEQQQGPARRS* >Brasy6G008000.1.p pacid=40049920 transcript=Brasy6G008000.1 locus=Brasy6G008000 ID=Brasy6G008000.1.v1.1 annot-version=v1.1 MAPLMNGISSKSPASEEKKLPAAYVTFLAGTGDYWKGVVGLAKGLRAVKSAHPLVVAVLPDVPASHRRVLASQGCLIREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFSNIDHLFDLEKGSFYAVKDCFCEKTWSHTPQFKLGYCQQRPDKNVSWPADTPAPPPLYFNAGMFVHEPSVATARALLEKLVVTDPTPFAEQDFLNVFFRDVYKPIPLVYNLVLAMLWRHPENVELDAVKVVHYCAAGSKPWRFTGEEENMGREDVKMLVEKWWDVYNDDSLDFDDSYKELKKKSEAGGHDGGVVVDEAGSKPARRVAAAMADGAGVVKYSNTPSAA* >Brasy6G008000.2.p pacid=40049921 transcript=Brasy6G008000.2 locus=Brasy6G008000 ID=Brasy6G008000.2.v1.1 annot-version=v1.1 MAPLMNGISSKSPASEEKKLPAAYVTFLAGTGDYWKGVVGLAKGLRAVKSAHPLVVAVLPDVPASHRRVLASQGCLIREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFSNIDHLFDLEKGSFYAVKDCFCEKTWSHTPQFKLGYCQQRPDKNVSWPADTPAPPPLYFNAGMFVHEPSVATARALLEKLVVTDPTPFAEQDFLNVFFRDVYKPIPLVYNLVLAMLWRHPENVELDAVKGSKPWRFTGEEENMGREDVKMLVEKWWDVYNDDSLDFDDSYKELKKKSEAGGHDGGVVVDEAGSKPARRVAAAMADGAGVVKYSNTPSAA* >Brasy6G185500.1.p pacid=40049922 transcript=Brasy6G185500.1 locus=Brasy6G185500 ID=Brasy6G185500.1.v1.1 annot-version=v1.1 MLRTSAAMLPSPSSLLRLSRRAIRLPNPRLPSPPLLADLRLLSSSAPSGGDGWASYDPFTDTLGPLATPSAASNPDAAADSGAWRVYDSVAGRFVTQTPSSNPDREEAGKESAEEEIVKAKARPSGGKGEGRWAAVAGARRAGGKAAKAKVSYVCSNCGEGSSQWWGVCCHCKAGTLTKYVVPPEHDSAADAEGSHHALRSWIPQKSKEMVPQSLRDVTKGFGQAEWRIPLPGNFGMEIARVLGGGIVPGSLVLVGGDPGVGKSSLMLQLASIVSDGSVDDESSPVVYVSGEESIEQIGNRADRMSIRSKNLYLYSSTDIEDILDKIQPLSPRALIVDSIQTVYLRAFAGSAGNQTQVKECTSALLSFAKLTNIPVFLIGHVTKTGDIAGPRILEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSENGLQAVLNPSEMFLTQHDSDSEILAGLAVAVVLDGSRAFAIEVQALCVSGSRSGQVVGIPSSRADVIISVLMKQAGLKLDDSTIFLNVVSGFKLKETAGDLAIAASICSSFLEFPIPNDIAFIGEIGLGGELRTVPRMDKRVIAIAKLGYRKCVVPKTSEKLLKPLNLDIEILPCNNLKEVINIVFRPEV* >Brasy6G053900.1.p pacid=40049923 transcript=Brasy6G053900.1 locus=Brasy6G053900 ID=Brasy6G053900.1.v1.1 annot-version=v1.1 MLISRRTLHEPGRQAPTRSNTFNHSSTQTPGPCSRATISTTPWTIAYTSQTKNGRAPLRLPPAEPLAAERHRQPPMRVHAAHLLVPTPPNPRRHHAAAGLRRSTTTIVSAASQPPVTDTKKPNPAAAAVIVGGGLAGLAAATHLSSLSVPFTLLEASGRLGGRVATDELDGFLLDRGFQIFLTAYPECARLLDYPALRLRPFYPGALVFLGPGEPFHMLSDPFRFPIRSLSSLFSPVGSLPDKLLVGLARLRAAATPDDAILSAPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPRLDTSSRLFELVFKRLALGDNALPETGIGAIAAQLAARLPDGSVRLGSRASAINPSSVTLESGETVPAELGVIVAVEQPEAEKLLPGRVTPMNRSNGGRGERSTVCLYFAADRAAVEEPILILNGSGKGIVNNMFFATSVAPSYAPAGKVLVSVSLVGSFAGREDDAELAGEVVRELGGWFGAEEVASWAHLRTYRIGFAQPDQTPPTEPAGRDPRVGGGVYVCGDHWCSATFDGALVSGRRAAEALAKDRGLIDSYCTV* >Brasy6G042900.1.p pacid=40049924 transcript=Brasy6G042900.1 locus=Brasy6G042900 ID=Brasy6G042900.1.v1.1 annot-version=v1.1 MTGSAAHMAEPCLFADMPSFLDDLPEFPHPPDDDTFALEDFDLDDLDIDFDLDLFSTDDAQLSPPPPPLATSSSSAGSPGGGSSSSGTCVDGAGGGLKKDESSGRSSSSGAGVDGGGGGLKNDESSESSSRSTGTGSDGNPRDGEDEENKRRARLVRNRESAHMSRQRKKQYVEELEGKVKAMQATIADLSARISCAAAENAVLKQQLSGVAGAPVPPPLPMYPGLYPLPPPWLHPAYAMRGSQVPLVPIPRLKTRKPAPAEVEPPAKKARKTKKVASVSLLGLLFLVMLCGCLVPAVNRMYGTADSGEGIVLAPSHHGRVLAVEGPRNGVPDGIDSKLPQNSSETLPALLYLPRNGKHVKINGNLVIQSVVASEKASSRMSQYDKKISGNPGKEDTSLAIPGHVAKLDSGEVPKSAQGIKNKLMVLPPGDRSIYRDDDELLPQWFSEAMSGPMLSSGMCTEVFQFDISPTSADANGIVPVYSSATPNSSHNLTENLPSARPQKIKNRRILHSVPIPLQGSTSNHTDRLKEHPKKESFAGNKPASSVVVSVLADPREDSEGRISSKSLSRIFVVVLVDSVKYVTYSCVLPFKNHSPHL* >Brasy6G025300.1.p pacid=40049925 transcript=Brasy6G025300.1 locus=Brasy6G025300 ID=Brasy6G025300.1.v1.1 annot-version=v1.1 MANDDGGANDRWIRTVMDELRRFRALRPRPGRVPRFTPMPPADRARMNKSLGALWPLAQSTRDAFVPLIAQRISGVRGPPQRPHGFTPEPEASRIAVAAEAEGFAAASAYAAGKSVVTDEERSDLYRKYVKEVWSSVYRYEASRPQAAGTSRSGPAAQPPPVAVVSGPVQQPGLPSASAPRAGSSRSRGVGGRRRLLHARAPAAPGE* >Brasy6G231600.1.p pacid=40049926 transcript=Brasy6G231600.1 locus=Brasy6G231600 ID=Brasy6G231600.1.v1.1 annot-version=v1.1 MASNNGLKSVIICILVLGLVLEQVQVEGKSCCKNTSARVCYNVCRKAGGSQAACASTCGCKHISGTRCPPDYPSMHLLADSRESDAIKYCNIGCSSTVCDNMNHVFRSEENAELCLDACVSLCNGNEAAVASVAA* >Brasy6G197500.1.p pacid=40049927 transcript=Brasy6G197500.1 locus=Brasy6G197500 ID=Brasy6G197500.1.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G197500.2.p pacid=40049928 transcript=Brasy6G197500.2 locus=Brasy6G197500 ID=Brasy6G197500.2.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G197500.5.p pacid=40049929 transcript=Brasy6G197500.5 locus=Brasy6G197500 ID=Brasy6G197500.5.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G197500.6.p pacid=40049930 transcript=Brasy6G197500.6 locus=Brasy6G197500 ID=Brasy6G197500.6.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G197500.3.p pacid=40049931 transcript=Brasy6G197500.3 locus=Brasy6G197500 ID=Brasy6G197500.3.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G197500.4.p pacid=40049932 transcript=Brasy6G197500.4 locus=Brasy6G197500 ID=Brasy6G197500.4.v1.1 annot-version=v1.1 MGSLGGGAPEPGDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCRVKTSWTPPDSFPDFLRPTASASGAPPPPYDRVPPHAFVHFARPEAARRATDAAGKSELLFGGKPLRIASASDSSLRASRRQSIKPFRFADAVLEVGAFLAPGDEEPEAFLTAWRGPAAGLEFLVDPFDACCRLIFTRDTAFAFPGYREVAVMGCDVKLEFSVADVAEVRVYRNDCSLLLRLTAAPRVYYRTADDDIHVSVPFDLLDDDDPWIRTTDITMSGAIGRCGVYRIKVAPRKWTMMDRALAYMKGRRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLKFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDDDVNVAALKEFWGDKFPVFNARKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTSASCLPPQIELSNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKALRPNSFQQKTAVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDIERNNYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGYKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDAIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLSAGFGPGTEPHLRGMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFVQASAASLDRCFLKHGSRFSSANKNTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPAEAKQQQRQVSQHDIVDFFLKNMVNENLGPICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMSKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASHFLTSAWECKCSYEGQLNALLNQYRVRTEAELVTGHMWSLPKYNSRKKGEMRERIKNAYGAFQKEYRSVFESLTDQCQITDDEKNLLYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARLSFAWIAVDYLVRIKLRSHGGVKAEGRKPVERLAAYISERI* >Brasy6G259000.1.p pacid=40049933 transcript=Brasy6G259000.1 locus=Brasy6G259000 ID=Brasy6G259000.1.v1.1 annot-version=v1.1 MEIFVSAVMGEVATRSMSYLINKYSKPTELNLQVSLERILLRAQVILDEAAGRHITNHGMLQQLSMLRDVMYQGYYVLDTFRYQAHKADELKDQEVVSHSWALSKFSHVQHFCLSSSSRRKTLQELEEVLDNLRTMILDVSELVVLLSTYPRLHRQPYSMHLLLDKCMFGRQMEMEQVINFLLHTQPCCGSSDRFDVLPIVGPGRAGKSTLVAHVCEDERVRGHFSQIIFFRHGNLRGEDMASLREGCENNGRLLVVVEVAGDLHGYVWERLCSLARFSTTGGSKIIITGQSEKIMKLGTAQAVTLKQLPHEAYWYFFKVITFGSTDPEMHPRLLYLAMEIARMLNESLPGANITACLLRDNFNARFWYKLLVFLRGYIQNHLSKFAVHPSDFLKENRPTYLERMGRTTEAFLVLDQYQTCSSQEEVPKITFQDVMYGSIRPHGTFKVLAWKSRIAPYHCCIYTCEIRELQARVAKRKRSLNNSVIRHC* >Brasy6G034000.1.p pacid=40049934 transcript=Brasy6G034000.1 locus=Brasy6G034000 ID=Brasy6G034000.1.v1.1 annot-version=v1.1 MSTRTVGALLFAACSRHCASWGPQVWPPPVRFCAGSLFPHCLQSWGPALSCGWRVWSYPASGGGGSRVFFAIQPALTLRSSCLAIAPSPAHLAVF* >Brasy6G165300.1.p pacid=40049935 transcript=Brasy6G165300.1 locus=Brasy6G165300 ID=Brasy6G165300.1.v1.1 annot-version=v1.1 MDTSRAAPSPENRGKGGRRRTWEKEALPAIYLSRRPEALNCGLDERLQIASLRFGNVAGKDEHVGRAEIPAGFRPSSPPGPASRPSSRTGGGVGSRRGL* >Brasy6G012500.1.p pacid=40049936 transcript=Brasy6G012500.1 locus=Brasy6G012500 ID=Brasy6G012500.1.v1.1 annot-version=v1.1 MEAALKRYFGYTGFRPYQGEIIQKVLEGRDCLVVMATGSGKSICYQIPPLVAKKTAVVVSPLLSLMQDQVMSLKQHGVRSDYLGSTQMNCSVSSEAEKGMFDVLYMTPEKAISLPSRFWSNLQASGICLLAIDEAHCISEWGHDFRPEYKQLHSLRDHLVGVPFVALTATATERVRGDIATSLNLSNPHIAIGSFDRPNLFYGVKSCNRSVSFISELVKDVSKNCTAGGSTIIYCTTIKDTEQVHEAMVSAGIKANIYHGKMGSKAREDSHRSFVRDEVFVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKGDFYCSEATNPTQRKAIMDSFMAAQKYCLHTACRRKLLLQYFGQESMSDCGNCDNCTATKNERDLSKESFLLLSCIKSCGGRWGLNMPVDVLRGSRAKKIVDHNYDKLPMHARGKDYPPNWWKALGGLLLAHGYLKETISDTFRLLSVSPQGVKFLSGTGTPLVLQLTAEMIEQEEHGSSEHKEGALNPVATVESEKSSEEELKLYQMLLNVRMKLAHDVGTAPYAICGDQTLRNFAKIRPSTGARLANIDGVNQYFIMRYSGIFIENITQFSKELSLPMDDSSGLEDMMSVSKPVNNNLPRNFGDAKFTSWELWQKSGYSFKKIAHFRRAVPIKEQTVISYILDAARDGCEMNWNRFCEETGLTHEIASQIHLAIAKVGSRDKLKPIKEELPENVTYEMIKISLAIDDLGASEKTFGIVSADKVPASTTESPKSSTHGSEAVKNGNQGDDDIMAGAFDSSPSTKRSQAHGTAASVSNEPVKKLQKIDEQGIGSTTAIVATEEAILELAASCNGVSLEDAVKHFSGSKRESVVEMLENLECNFVVYKRKDCYMVL* >Brasy6G055400.1.p pacid=40049937 transcript=Brasy6G055400.1 locus=Brasy6G055400 ID=Brasy6G055400.1.v1.1 annot-version=v1.1 MSSYFEEIFRDAGRLHPRRTHKGRQRRSARLRKCLVVEALSSGRTALVFLVSGGPPPRLDPLRRGLLDLARPAPPSPASPAPPSSPASTSSRDAEPSPTPTCSRAHLLRPTPPSAPRPRRRAARGEWRRRAGPARRGSERRDPTVAAPACCGGERRDPAVAVLACGGGRLHPVLEREKLGGGVDVH* >Brasy6G008700.1.p pacid=40049938 transcript=Brasy6G008700.1 locus=Brasy6G008700 ID=Brasy6G008700.1.v1.1 annot-version=v1.1 MSTMAMPRPYAGSWLHCAVLVVLCALCWTSSSVRFVVAQTGQLSVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWFIIGSESSIIVGTDRSSCFERNPIALRMEVLCDSKGTNACPSGGVGVYNPGYWGMNIERKKGYKVSLHIRSSDAVSLTVSLTSADGLQKLASHTITGGKKQFSKWTKVEFHLKSSQNNTNSRLQLTTTKTGVIWLDQVSVMPSDSYMGHGFRKDLASMLADLKPRFLKFPGGNYAMGNYLRNAFHWGETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASINEEVPTATIASLVKDVVNGIEFARGGPKTTWGSVRAAMGHPQPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIVSSCDKSTISPSNPADLYDVHVYTSSANMFSRTSMFDNTPRGDPNAIVSEYAVTGNDAGKGTLVAALAEAAFLVGLEKNSDVVEMASAAPLFVNDNDRSWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPMTIQVDSSYDQQMVASAITWQSPKDKNTYLKIKVVNFGNKAVDLNVSVSGLESGIESSGSKKAVLTSAAPLDENSFQQPEKVAPVSSPVAGGTAMGVSVSPYSLTSFDLLLEQPGKHSSA* >Brasy6G008700.2.p pacid=40049939 transcript=Brasy6G008700.2 locus=Brasy6G008700 ID=Brasy6G008700.2.v1.1 annot-version=v1.1 MEVLCDSKGTNACPSGGVGVYNPGYWGMNIERKKGYKVSLHIRSSDAVSLTVSLTSADGLQKLASHTITGGKKQFSKWTKVEFHLKSSQNNTNSRLQLTTTKTGVIWLDQVSVMPSDSYMGHGFRKDLASMLADLKPRFLKFPGGNYAMGNYLRNAFHWGETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASINEEVPTATIASLVKDVVNGIEFARGGPKTTWGSVRAAMGHPQPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIVSSCDKSTISPSNPADLYDVHVYTSSANMFSRTSMFDNTPRGDPNAIVSEYAVTGNDAGKGTLVAALAEAAFLVGLEKNSDVVEMASAAPLFVNDNDRSWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPMTIQVDSSYDQQMVASAITWQSPKDKNTYLKIKVVNFGNKAVDLNVSVSGLESGIESSGSKKAVLTSAAPLDENSFQQPEKVAPVSSPVAGGTAMGVSVSPYSLTSFDLLLEQPGKHSSA* >Brasy6G008700.3.p pacid=40049940 transcript=Brasy6G008700.3 locus=Brasy6G008700 ID=Brasy6G008700.3.v1.1 annot-version=v1.1 MEVLCDSKGTNACPSGGVGVYNPGYWGMNIERKKGYKVSLHIRSSDAVSLTVSLTSADGLQKLASHTITGGKKQFSKWTKVEFHLKSSQNNTNSRLQLTTTKTGVIWLDQVSVMPSDSYMGHGFRKDLASMLADLKPRFLKFPGGNYAMGNYLRNAFHWGETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASINEEVPTATIASLVKDVVNGIEFARGGPKTTWGSVRAAMGHPQPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIVSSCDKSTISPSNPADLYDVHVYTSSANMFSRTSMFDNTPRGDPNAIVSEYAVTGNDAGKGTLVAALAEAAFLVGLEKNSDVVEMASAAPLFVNDNDRSWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPMTIQVDSSYDQQMVASAITWQSPKDKNTYLKIKVVNFGNKAVDLNVSVSGLESGIESSGSKKAVLTSAAPLDENSFQQPEKVAPVSSPVAGGTAMGVSVSPYSLTSFDLLLEQPGKHSSA* >Brasy6G098900.1.p pacid=40049941 transcript=Brasy6G098900.1 locus=Brasy6G098900 ID=Brasy6G098900.1.v1.1 annot-version=v1.1 MVLWCYNVPIVQVCSSIKSSGGGRQAVAGHLAGLFEVAGGGQPRMLGSGSRRPATARGARGRQTWTGSSTSSGPWTRALPGSCMVDARVGLVVDGAWLLPSAAAVPPNRLLPPPAGRWLIRLAP* >Brasy6G100400.1.p pacid=40049942 transcript=Brasy6G100400.1 locus=Brasy6G100400 ID=Brasy6G100400.1.v1.1 annot-version=v1.1 MVSMCFLVEQRRKVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRVTWRAIICTFCGAMLKSYRHYRLHY* >Brasy6G158800.1.p pacid=40049943 transcript=Brasy6G158800.1 locus=Brasy6G158800 ID=Brasy6G158800.1.v1.1 annot-version=v1.1 MATRGRQRIEIRPIGDTSRRQVTFSKRRSGLFKKASELCALCSADLALVVFSPAGRVFAFGSPSADHVLRRHVPAAASDDGGGAPPLPVLDERAEREAAAAARTELEEAKARVGAEQARLGAVEEKVRLAMSGRRFYWEADVEALGEAELREFARALLRLRDDVRRRENALLSDNDNR* >Brasy6G174300.1.p pacid=40049944 transcript=Brasy6G174300.1 locus=Brasy6G174300 ID=Brasy6G174300.1.v1.1 annot-version=v1.1 MPLALSQTHLPYINNRSRYSIATYVHYTATQTRRTQSAQLSIYSKRITTMSSKPIFARAIVVVVFFLAAAAAAAATTTTPAAAASSAADPDQPTCVPTLQRLLSCLDFIEHRTDTIPLPCCVQVNSTVAQQPCCLMHVLRGDVGRLMGPDFDSVRAMVNVTTKCLGDASVLMSITRSCAGKPLPPLTPEYPFSTALPATSSSGALRAEGWSYAVLILALLAIFVY* >Brasy6G098700.1.p pacid=40049945 transcript=Brasy6G098700.1 locus=Brasy6G098700 ID=Brasy6G098700.1.v1.1 annot-version=v1.1 MRLAGHRRDKMNVFVRFGLTGLFVFLLSGSFTDAYEEQWGYVQVREKAHMFYWSYRSPQRSVSSTARPTILWLQGGPGGSGVGRGNFLEIGPLDVSLQPRNSTWLRKADLIFVDCPVGVGYSYVEDPSALATTDSQVAADVMVLLKNVSERIPGLQSSPLFLVGESYGGKLAAMIGVSVTRAIRAATLSLKLGGVVLGDGWISPADFAVSHAQLLHDVSRLNDIAVGHANRMAATVKEKMAAGQFAMARRTWIDLLDLIDYHSNSVNMENFLLDTGMNPILENPSSLRSSQLMSQASQSAANTIDAIMNGIIKEKLMIIPKDLIWQEASIQVHDALANTFMKPAINKVDELLAYGVNVTVYNGQLDVICPTIGVEAWVKKLKWSGLKNFLSLPRDPLRYGDSSKHLSRAIEAYVRSYKNLNFYWILLAGHMVPVDQPAVALEMISSIIESPDS* >Brasy6G117400.1.p pacid=40049946 transcript=Brasy6G117400.1 locus=Brasy6G117400 ID=Brasy6G117400.1.v1.1 annot-version=v1.1 MAAEQTFIMIKPDGPFFGGLVEYIVSERQHASQPQASFGLQSFMGNNGGGTPAPSTHYATPVSGQGSGFFSVARRPNWSPEARPPHPPAPVALRPLPPLTGSAWHLPVPPPAHRPTAQHWEIGSRERAR* >Brasy6G085900.1.p pacid=40049947 transcript=Brasy6G085900.1 locus=Brasy6G085900 ID=Brasy6G085900.1.v1.1 annot-version=v1.1 MISNWKNTVMAELVCHRGIAIFSPPPRFSSSSASGLLPRQINNLLGYRQEDGIPMIPFHGLLMKMHTCKMLRSWQKSYSHMDIRLPKLKPRRCSLTWLKLNRRKEELRGSTPQTSASSLTSLGKYLSCTFHNNTLILLLCNFADTKEDVIFVRILNFDSSYCYVYLYQIIRIIRRKR* >Brasy6G048700.1.p pacid=40049948 transcript=Brasy6G048700.1 locus=Brasy6G048700 ID=Brasy6G048700.1.v1.1 annot-version=v1.1 MASRWLPLLAVLLLAASPTARALRFDLESGHTKCISDEIKVDSMAVGKYHVVGTDPSNPDAPLPDSHRISLRVTSPYGNSMHFSENVQSGHFAFTASEAGDYLACFWAPDHKPPVTVTFEFDWRSGVTTKDWPSVAKKGQVDMMELELKKLEDTVKAIHEEMYYLREREEEMQNMNRQTNSRMGWLGFLSLGICLSVAGLQLWHLKTFFERKKLL* >Brasy6G142100.1.p pacid=40049949 transcript=Brasy6G142100.1 locus=Brasy6G142100 ID=Brasy6G142100.1.v1.1 annot-version=v1.1 MGVNLAGSGDKRSHGFRPAQLKLIPAQIRLFHHAIHSTLAIRAADPEQGAAPRQRCGQKAAPPRRHKRGRTPSGGPPAPPGSHTRPPRRAKPPVAAAQPGPGPRPARARIIASRRKCLAAAGSAAAGDLPQAREPLRHQLQDRTPSPPKPRPAAAKLTPAALPAAANAAKTGALAATVLDHDPGLASGSLRRRRGRRRGGKSGGGGARVSPLAVRGTGNARVTR* >Brasy6G250600.1.p pacid=40049950 transcript=Brasy6G250600.1 locus=Brasy6G250600 ID=Brasy6G250600.1.v1.1 annot-version=v1.1 MEHLASSQQELKVDLSMSCFDPKSGGGDAMAPTARVDGKVVRVFPCLFCDKTFVKSQALGGHQNAHMKERCDAWNPGVYAWDAGGAGRASGAHGASSWLVPVVVAPHGGSSPSVQMGLLPKQQPAGCNESSAEVAAWLINKGALSTTAFPSSNAAASTSTVCGGGELDLELRL* >Brasy6G082900.1.p pacid=40049951 transcript=Brasy6G082900.1 locus=Brasy6G082900 ID=Brasy6G082900.1.v1.1 annot-version=v1.1 MAAAASRHEPRSHRQSTACSHLQATPTTTISRDPSPTSLTLHPQRRRVDLHARSRYPVPRHSMPSFVAAASREGLRRPCRPPRRRIISLRFSPHGGGPCDKAQAQAQAQCDKDQAQYDKAQIPPGALRVIAQLNFKTQACAGIYSPASFSSSILLLLLRSSDALVSSGGKEESEMDKKECAKVLVNRVRKVEPWMAVDIVDHFVCTNELPTVEFYSSGSDELIHSLIAETKKRMNVMSKAHSPGREFPALSRTSDGIQSPTPGGDHIVSSKIEAHSTPPAGQIVSSQIEENLRSSSVPGDGMPGFSNVTGCPPSSSEQLANIPCRFYMSTGVCKKGWSFRFSHGFGPLGKLEMAIRELLRNQPAIWVPVLPAIYFECYKQPLQGRWNQSTGSLRSLLMRLHTVTLIVDRMREDFVVLWEHAPSYLGPAVNLNVMDTGSGFNQIYITFTAEGRARCTESLVSNYFSQYGPVLKVRMSTPRLCGFVTFQYPQTVELLLFEWNPQVPHFICGATVLVKPYKHTGEAKPRNINIAERNGLQRGCDVGIVSGNSSVMIAPQMVPPLAQNPGSQAEREVNTPEGGDVTRPAHMSDGSDGHLARQDIEFSITEPLFLERSKSMQIWPCGLCTLVWNTNVTEKNELQSGCDVGIATENSSVTIAPQMAPPIAQNPGSNSEIEVKTPEGGDVTRPAHMSDGPDGQSTRRDMDDDIYHVSYSSLPGIDCFYEQPEPSAEQAQLLEQQFPADAIDE* >Brasy6G082900.2.p pacid=40049952 transcript=Brasy6G082900.2 locus=Brasy6G082900 ID=Brasy6G082900.2.v1.1 annot-version=v1.1 MAAAASRHEPRSHRQSTACSHLQATPTTTISRDPSPTSLTLHPQRRRVDLHARSRYPVPRHSMPSFVAAASREGLRRPCRPPRRRIISLRFSPHGGGPCDKAQAQAQAQCDKDQAQYDKAQIPPGALRVIAQLNFKTQACAGIYSPASFSSSILLLLLRSSDALVSSGGKEESEMDKKECAKVLVNRVRKVEPWMAVDIVDHFVCTNELPTVEFYSSGSDELIHSLIAETKKRMNVMSKAHSPGREFPALSRTSDGIQSPTPGGDHIVSSKIEAHSTPPAGQIVSSQIEENLRSSSVPGDGMPGFSNVTGCPPSSSEQLANIPCRFYMSTGVCKKGWSFRFSHGFGPLGKLEMAIRELLRNQPAIWVPVLPAIYFECYKQPLQGRWNQSTGSLRSLLMRLHTVTLIVDRMREDFVVLWEHAPSYLGPAVNLNVMDTGSGFNQIYITFTAEGRARCTESLVSNYFSQYGPVLKVRMSTPRLCGFVTFQYPQTVELLLFEWNPQVPHFICGATVLVKPYKHTGEAKPRNINIAERNGLQRGCDVGIVSGNSSVMIAPQMVPPLAQNPGSQAEREVNTPEGGDVTRPAHMSDGSDGHLARQDIEFSITEPLFLERSKSMQIWPCGLCTLVWSRTPMLLRKMSCKVGVT* >Brasy6G082900.3.p pacid=40049953 transcript=Brasy6G082900.3 locus=Brasy6G082900 ID=Brasy6G082900.3.v1.1 annot-version=v1.1 MLSVLQESEMDKKECAKVLVNRVRKVEPWMAVDIVDHFVCTNELPTVEFYSSGSDELIHSLIAETKKRMNVMSKAHSPGREFPALSRTSDGIQSPTPGGDHIVSSKIEAHSTPPAGQIVSSQIEENLRSSSVPGDGMPGFSNVTGCPPSSSEQLANIPCRFYMSTGVCKKGWSFRFSHGFGPLGKLEMAIRELLRNQPAIWVPVLPAIYFECYKQPLQGRWNQSTGSLRSLLMRLHTVTLIVDRMREDFVVLWEHAPSYLGPAVNLNVMDTGSGFNQIYITFTAEGRARCTESLVSNYFSQYGPVLKVRMSTPRLCGFVTFQYPQTVELLLFEWNPQVPHFICGATVLVKPYKHTGEAKPRNINIAERNGLQRGCDVGIVSGNSSVMIAPQMVPPLAQNPGSQAEREVNTPEGGDVTRPAHMSDGSDGHLARQDIEFSITEPLFLERSKSMQIWPCGLCTLVWNTNVTEKNELQSGCDVGIATENSSVTIAPQMAPPIAQNPGSNSEIEVKTPEGGDVTRPAHMSDGPDGQSTRRDMDDDIYHVSYSSLPGIDCFYEQPEPSAEQAQLLEQQFPADAIDE* >Brasy6G050200.1.p pacid=40049954 transcript=Brasy6G050200.1 locus=Brasy6G050200 ID=Brasy6G050200.1.v1.1 annot-version=v1.1 MAARHILLVSALGSSGREQPGGAAHGSGEQGARAAHGSYDKERERRRAREQRAESGFGHGQDLRRGEEGI* >Brasy6G091800.1.p pacid=40049955 transcript=Brasy6G091800.1 locus=Brasy6G091800 ID=Brasy6G091800.1.v1.1 annot-version=v1.1 MMGAQANAADCGEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSGRNINFITEMFTSGTLRQYRQRHRKVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLTTASRRLPARELLNDPFLRIDDMALCSGDGDYSLLNNYLRQPYLGHAYSNESMMSNGFSESIDEDTPTEDRWDCEDDGSKADGIELFNEHEDEPLGTVDITIKGRKSEDGGIFLRLRITDDDGRVRNIYFPFDIEADTALSVATEMVGELDITDHEVTRIAEMIDGEVSALVPDWTAGPGIEEAPDSTYCHNCGSNVSSCGSLFDYMSSGTRGCRCAELHGRFEEITFQPDEEQSGLQDSGGSSDDVGNQKEQHIKDKESIRINGFPKMGRRGPSDRLCFSSFQEQSCSTSHYESDIDHPTKGFDIKHEVKMAKYKARKMAHLRRAIHPSLDFDNSNGASRMKPTLSKLESFHLGKHNNFRVPTCQRTTNQHSDMNNQACQSRHPDCMFTARSYYSGAQLPPNLPRTKSVPLSAVDA* >Brasy6G200100.1.p pacid=40049956 transcript=Brasy6G200100.1 locus=Brasy6G200100 ID=Brasy6G200100.1.v1.1 annot-version=v1.1 MGSVHIIELIQVSHGKKSVSFNWELELEYRRKLGNNLATHPQQPHTPPYLPYLAGGASSSTSLPSRRPFPTPTSTSRPLFFVLTTGIHPPAPLTLLKNRGGGIRDVLLIRMRRDGKSQRGRAVGLRR* >Brasy6G263600.1.p pacid=40049957 transcript=Brasy6G263600.1 locus=Brasy6G263600 ID=Brasy6G263600.1.v1.1 annot-version=v1.1 MDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLDSLNLKELQQLEQQLESSLKHIRSRKSHLMMESISELQKKERSLQEENKALQKELVERQKAASRQQQQQQQQVQWEQHAHTQAQVQAQAQTQAQAQTSSSSSSFMMRDHQQAYVPQQNICYPPATMGGESSAVAAPGQGQIRIGGLPPWMLSHLNA* >Brasy6G216700.1.p pacid=40049958 transcript=Brasy6G216700.1 locus=Brasy6G216700 ID=Brasy6G216700.1.v1.1 annot-version=v1.1 MDDRNTSHQRNSSLFSHLISVHPRECQQKDKGKGGCGSDAATGTGRQAAGRRRTGGRQPPTIQHWLIDPGQTWCAQYKVSISGRDPLSNHSVLVPLYSSLSRYK* >Brasy6G204600.1.p pacid=40049959 transcript=Brasy6G204600.1 locus=Brasy6G204600 ID=Brasy6G204600.1.v1.1 annot-version=v1.1 MDTPDRAAAPASSRAEDSPLFGFIDSLSPIEPVKSAYSTNSLQAYQSLSITSISSIFTSPHHNTQKESKLSKSSFADFNESEVCRDHSDKNKPPSSSNAVRLFACTSSITEETHTATCSVNEGFVDPPKGPNDLPQPGRFESGSPDHNTTPFHGVRSDLKQDKRRKSEAVQTAKNTLENRKCLFSSNIQLPDGCQPEEGNGEVLGCDWEDLVSATSGELLAFDDTSTVEDHRGVRLAVNNAESCGFLLSELNGGCDISDRTHPIGTSQAYYHEMVMEEDKTENAQFFSEGQKTMSGEEMQDNLNEDNACIPLGCKVETQQQRGTRKRCLVFEAAGYSNRTVQKESVGDLTVSKLKGKSVAQNHANPGKTPSPRVLRGIGLHLNALALTSKDKIVCQDPLATALAPSVKTEQDMHGNLISAGENFVHSSGELLDHQMDNDDCLAGGFLGNDHISSQSSSPQKKRRKSDNGDDESCKRCSCKKSKCLKLYCECFAAGVYCSEPCSCLGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRLSDAGQETQEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGMRDGAVSAENEEMKQGGEQTESCGKEKENDEQKANVHNGDRQLVELVVPITPPLAISSSLLQQPNFSNAKPPRPSKPRTGSSSRPSKAAASVLSHKIPKVANSVFNEEMPDILTEPASPGIVKTSSPNGKRVSPPHNSLSISPSRRGGRKLILKSIPSFPSLLGDTSSGSAMSNSNSAVTESPLALGPP* >Brasy6G224300.1.p pacid=40049960 transcript=Brasy6G224300.1 locus=Brasy6G224300 ID=Brasy6G224300.1.v1.1 annot-version=v1.1 MVHPTLRQSVVLDALSRARPSVIPHRSPTSPWSLAASPSPFRRPYAAASCCTNRLPCRSHYRRPFRWPSPSGLAPPSVSVAAAVLCVQRRRPKPQPAIPKFPEPTARSIHTSAPWACDGDDDVHGGPRRVGDQLDQTGHGDCPPPWWRGFSWRRSRRRRGAPAVQQGVRRRGLFSPKSLLHSWLLGCHSSVLPAADSQDDEEEAEGEESVTSWGLTKERGYGNFSDRRAEEDGVCSSDFSLSQRARDLYAVGCDDEVTSQTSG* >Brasy6G214100.1.p pacid=40049961 transcript=Brasy6G214100.1 locus=Brasy6G214100 ID=Brasy6G214100.1.v1.1 annot-version=v1.1 MTRTPHTRRCIFSRLRFLGALWRKNKLLREREKSRVPSPRPHSPLVAMAQESWKESEETVQTPEAPVLCVNNCGFFGNSMTNNMCSKCYRDFIKVTTMAAPVVEKKAFAAASSSMVPLEPAKADEVPAAAVVDSQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSMHRYADSHECSFDYKAAGREQIAKQNPVVIAEKVNKI* >Brasy6G187800.1.p pacid=40049962 transcript=Brasy6G187800.1 locus=Brasy6G187800 ID=Brasy6G187800.1.v1.1 annot-version=v1.1 MHLLLCLLLSSVSSISHLRPEKEAHAAPGAGGARRGRRPPASSSCKPCHGWGRIRPPSAPPEPDLPASPPPLHPDDTAPTFPARHQQLVLCPRSRRPALTLVWQSSRSCRPSPPPLLVASLLVHGWMSHSWSCVQQLALDAI* >Brasy6G081400.1.p pacid=40049963 transcript=Brasy6G081400.1 locus=Brasy6G081400 ID=Brasy6G081400.1.v1.1 annot-version=v1.1 MPLLPLAAARMQHGTWRKRKRERYPGLDRWREISDWEIRARRISDCSGRQAGRHPDADSRPPCWSVRTQRQWPCADGEGGAVFRGAAYYDGPFVFGSKCFFRRDHRSIARSRPGPQLLLAFQPLKWHGPPSSSCLMRC* >Brasy6G269700.1.p pacid=40049964 transcript=Brasy6G269700.1 locus=Brasy6G269700 ID=Brasy6G269700.1.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYNTHYFSGSFIQLGQ* >Brasy6G269700.4.p pacid=40049965 transcript=Brasy6G269700.4 locus=Brasy6G269700 ID=Brasy6G269700.4.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G269700.3.p pacid=40049966 transcript=Brasy6G269700.3 locus=Brasy6G269700 ID=Brasy6G269700.3.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G269700.6.p pacid=40049967 transcript=Brasy6G269700.6 locus=Brasy6G269700 ID=Brasy6G269700.6.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G269700.7.p pacid=40049968 transcript=Brasy6G269700.7 locus=Brasy6G269700 ID=Brasy6G269700.7.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G269700.5.p pacid=40049969 transcript=Brasy6G269700.5 locus=Brasy6G269700 ID=Brasy6G269700.5.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G269700.2.p pacid=40049970 transcript=Brasy6G269700.2 locus=Brasy6G269700 ID=Brasy6G269700.2.v1.1 annot-version=v1.1 MATEKARQLLARLAATPDAAVPDLPFLHRALLLPLLSAASVLLRISIHSPLRLRPRQVLLPVPVVSVGNLTWGGNGKTPMVDFLARSFHSMAISPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAGVASSMLQKYGYVHPCEAFHPEKLPSACNGAVIDKRAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACQVQLETIQSTVQDSGATCPVFFSKFSPSHVFEVNQPWKRLPLNVLDGTIVLCVSAIGCPDSFIHTVREIGPLKIDRLEFSDHHFFHDHDLNLIRERVRKLVDQHNKETIVLVTEKDYDRDPDALRMLDVKVWVLSSSLQIMPLKEQREDEFMRKVKDIITTRHGKSHRERAHHMAKLERQSTGFSLRHMVSYVRRKIS* >Brasy6G055300.1.p pacid=40049971 transcript=Brasy6G055300.1 locus=Brasy6G055300 ID=Brasy6G055300.1.v1.1 annot-version=v1.1 MGETAGDQRTLVRLHSVRERICDSLAVHTNELVAVFSRLVNQGKGMLQPHQITAEYDAAIPEAEREKLKDTAFEDLLRGAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELGVEELTVPEYLQFKELLVNGRTDNNFVLELDFGPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMSPLLNFLREHNYKGMTMMLNDRIRSISALQAALRMAEKHLSGLPANTPYSEFHHRFQELGLEKGWGDCALRVSNTIHLLLDLLEAPDPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPKILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFKTEDGIVRKWISRFEVWPYLEAYTDDVAHEIAGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYAEQQKRLTSLHTEIEELLFSDVENAEHKFVLKDKKKPIIFSMARLDRVKNMTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLINQYNLKGHIRWISAQMNRVRNGELYRYICDMKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASELLVEFFEKSQEDPSHWSKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMLYALKYRKMASTVPLAVEGETSSK* >Brasy6G190300.1.p pacid=40049972 transcript=Brasy6G190300.1 locus=Brasy6G190300 ID=Brasy6G190300.1.v1.1 annot-version=v1.1 MMEALGPGGAGGGAGRQGLLRRGGVRVRGRGARAVHVVVPRLRGVRRVQAWRSTARGSWRGLSAAGRARASRGRSPTRTRCTSLS* >Brasy6G063100.1.p pacid=40049973 transcript=Brasy6G063100.1 locus=Brasy6G063100 ID=Brasy6G063100.1.v1.1 annot-version=v1.1 MAPSRAPPCSSASLLVQLAAAALGALSPLPCVLALAWVALLPRMDLCRPPSSSWASQPLKAKPSPRILTEAAPWRQQERGVSVTNSKPLQCRDNMVRPRTASLYADIERRLGGLEFSECNKDFRALRILGALHAKDAKYQNNDGDGGSVAVQRQEEDLVATSSRSFQSPIVVIKPSRTSEKPGLSPRDPSFTDKTEASTNDKIHSRIARAQSKSDESASSASSPRPTGSSSPRLVQRKAESERRSRPPVSPKSPSKKSNESTSPRGRPRSKASQVKSNRDNEVSQSPGRRISLAKQVDVSIMDCQKSPVISSSIIPPNYTAATPCQKNPSNVPSPVSVLDASFYHKSLSESFRDGETHTSDECWNPNSLPDTPQSKTSSEVSQIKPENLETLIQKLEQLQSMNDEAANTKDHQYIYEILLASGLLHKELSFAAMPGQLSPSSCLINPELFLILEQTKPHFVSASQAVNGAKKSSDPNTEKLHRRLVFDLVNEIIAQKMTIYSSASGMAKLIRSRKLSGWRLFKELCPEVDRLISESSAAKCSEEDEEENILLAEDALYDMKDWGSSEGGEATEKMRTGQWKLRRQLSFSSIN* >Brasy6G192100.1.p pacid=40049974 transcript=Brasy6G192100.1 locus=Brasy6G192100 ID=Brasy6G192100.1.v1.1 annot-version=v1.1 MASSKLRTDASSSGDLPPDALFEILLRVPAKDLCRLRAVCRAWRALTSDPLFVAAHKSRHAAPLLALAYHDHDNNVAGVDIMDLSGDMLRRIPIVQGSFPVVGDSGDVCGHIPSSGLRMRVLPTHLDLICLYSDLYFDLWVLNPATGAFLALPTSCHSEELRHELELEAGGLSVVDSYAVGKVSSSGQYKALRISRVGEALRQICEVNTLDDATNHGGWRGKQAPPFHIASSRDMKCAVVDEVVYFLMEILYSVPVEPVSIAAFNLETEEWMVTLHGPAALLTFIQESPYYGRLSHLFLYRNLSLAELNGCLVTIHNIECISTDLWFLTDFERGIWEKKYSMPPQIAKLDVGLYPFLVSDDWVILYSGCEGKFVREKSFLQKYDRRTGIYSDMLKLREPGFIAAYSGSPLSL* >Brasy6G192100.3.p pacid=40049975 transcript=Brasy6G192100.3 locus=Brasy6G192100 ID=Brasy6G192100.3.v1.1 annot-version=v1.1 MASSKLRTDASSSGDLPPDALFEILLRVPAKDLCRLRAVCRAWRALTSDPLFVAAHKSRHAAPLLALAYHDHDNNVAGVDIMDLSGDMLRRIPIVQGSFPVVGDSGDVCGHIPSSGLRMRVLPTHLDLICLYSDLYFDLWVLNPATGAFLALPTSCHSEELRHELELEAGGLSVVDSYAVGKVSSSGQYKALRISRVGEALRQICEVNTLDDATNHGGWRGKQAPPFHIASSRDMKCAVVDEVVYFLMEILYSVPVEPVSIAAFNLETEEWMVTLHGPAALLTFIQESPYYGRLSHLFLYRNLSLAELNGCLVTIHNIECISTDLWFLTDFERGIWEKKYSMPPQIAKLDVGLYPFLVSDDWVILYSGCEGKFVREKSFLQKYDRRTGIYSDMLKLREPGFIAAYSGSPLSL* >Brasy6G192100.4.p pacid=40049976 transcript=Brasy6G192100.4 locus=Brasy6G192100 ID=Brasy6G192100.4.v1.1 annot-version=v1.1 MASSKLRTDASSSGDLPPDALFEILLRVPAKDLCRLRAVCRAWRALTSDPLFVAAHKSRHAAPLLALAYHDHDNNVAGVDIMDLSGDMLRRIPIVQGSFPVVGDSGDVCGHIPSSGLRMRVLPTHLDLICLYSDLYFDLWVLNPATGAFLALPTSCHSEELRHELELEAGGLSVVDSYAVGKVSSSGQYKALRISRVGEALRQICEVNTLDDATNHGGWRGKQAPPFHIASSRDMKCAVVDEVVYFLMEILYSVPVEPVSIAAFNLETEEWMVTLHGPAALLTFIQESPYYGRLSHLFLYRNLSLAELNGCLVTIHNIECISTDLWFLTDFERGIWEKKYSMPPQIAKLDVGLYPFLVSDDWVILYSGCEGKFVREKSFLQKYDRRTGIYSDMLKLREPGFIAAYSGSPLSL* >Brasy6G192100.2.p pacid=40049977 transcript=Brasy6G192100.2 locus=Brasy6G192100 ID=Brasy6G192100.2.v1.1 annot-version=v1.1 MASSKLRTDASSSGDLPPDALFEILLRVPAKDLCRLRAVCRAWRALTSDPLFVAAHKSRHAAPLLALAYHDHDNNVAGVDIMDLSGDMLRRIPIVQGSFPVVGDSGDVCGHIPSSGLRMRVLPTHLDLICLYSDLYFDLWVLNPATGAFLALPTSCHSEELRHELELEAGGLSVVDSYAVGKVSSSGQYKALRISRVGEALRQICEVNTLDDATNHGGWRGKQAPPFHIASSRDMKCAVVDEVVYFLMEILYSVPVEPVSIAAFNLETEEWMVTLHGPAALLTFIQESPYYGRLSHLFLYRNLSLAELNGCLVTIHNIECISTDLWFLTDFERGIWEKKYSMPPQIAKLDVGLYPFLVSDDWVILYSGCEGKFVREKSFLQKYDRRTGIYSDMLKLREPGFIAAYSGSPLSL* >Brasy6G198100.1.p pacid=40049978 transcript=Brasy6G198100.1 locus=Brasy6G198100 ID=Brasy6G198100.1.v1.1 annot-version=v1.1 MELDCATELRLGPPGISSTTTSAGDHQTATKRQSAKRTLDDTRSEASGTGSAAAAAGDEDQDTTTAAKAQVVGWPPVRANRRNTVQAAAAKKAEQLFVKVSMDGAPYLRKVDLRMCKGYRELREALDVLFFTKSSSAAAAADQLAVAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEAR* >Brasy6G121900.1.p pacid=40049979 transcript=Brasy6G121900.1 locus=Brasy6G121900 ID=Brasy6G121900.1.v1.1 annot-version=v1.1 MAPPANLKGSKKAKKSSSKEERKLKKNKHERPTADEAPLRHGAKRKHKDGQEGKEHGKKLKNERKAEAEAAGTGADEAKRGNDKMKRAMEDERFAAARTDPRFRPIRRKEAKVALDPRFSSMLTNPMFDSSEAPVDKRGRRRKKGAKENPMLHYYLNQEEGDEKDKKKEKEKLIGEDDDEMEEEDQQDEEDSSSSDDEEEEEDDDDQYSVGSDIAHYLMAQHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMASCIPKGGQVLSVSIYPSEFGRKCLEIETTQGPSALVGVNGDDGENKEEDEGVDDDENDDDEDGDDEDNSEPDSETENNKLRTYELNRLRYYYAVVVCDSSATANHLYTTLDRTEFLKTANVFDLQFIPDSMEFKHLARDVATEAPPSYKEPDFETRALQHSKVKLTWDDDEPERKKILRRKFNDDQLDDLGVFLASDDSSSNDDVDDCGDESLSGGVVKRKLTNKERVALLLQGDKSDEEQTDDQDMEITFNTELEDLSKRIIERKSTEKKTVWEKHQEKMKEKRKARKRVSKDDDEYSSEDGPAEDDDFFDDDENSDDEVKPSKKQKVKPKDKGKGKGKDKLPEEHFEPEATKEELELLVAADEDAANGAKGYNLKRKSKKGKKGKEVSVEDKVPEIDLSKDERFSDMFTSHLYAIDPTDPQYKRSAAFLRKQAGKQGAHAGRLDTEPPVEDSSSLGSTLAPDDASAKQKRDGTSTEKLQMLSAVKSLKRNLAAFKNASAGDR* >Brasy6G030400.1.p pacid=40049980 transcript=Brasy6G030400.1 locus=Brasy6G030400 ID=Brasy6G030400.1.v1.1 annot-version=v1.1 MAVASLQCYPLLNSPPLSVSRSISARTLAPRRETGARWRLKRCCCSQSAESRSQDSQPQLERLFSNVNQATMKHEPGSVTGSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAKRTLGTFGVRTACFSYLFIHYALLVAYVARSSDIITNALGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSIIASFTSLVVVASGNIQLSSLLETNFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVLGTAIPLALFLVWDAVILGTIPGLAGSGTIADPLEQLRSSNGIVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLTYLVTLLPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVLPAAMSWSERYSDELEAPVPPIVPGGKVTLSFVMGGALIVIFSEIFKDIMQLQGLH* >Brasy6G077200.1.p pacid=40049981 transcript=Brasy6G077200.1 locus=Brasy6G077200 ID=Brasy6G077200.1.v1.1 annot-version=v1.1 MESSYSSASAVPMEADDAIAQFLGLGAEAHGGGHGCFAYEQQDESMEAMAAMLFMPGLDTDSNSSSSCLNYDAPPHPQCWLQTQTQPGHAHSSSVTSFLDPAAHGFEGFEFPAMEMEDPFQHAHFEPHCATIPFLGEEFLGNHSSGAAGGDEAANDHAPVNNKRKSSSAAITASKKAKKVGKKGLTGNETDEGGDAYIVDPQSSSSCTSEDGDVDGNAKSSSKKTGTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVEYVKFMQLQIKLLSSDDTWMYAPLAYNGINVGSFDLNIAALRK* >Brasy6G054700.1.p pacid=40049982 transcript=Brasy6G054700.1 locus=Brasy6G054700 ID=Brasy6G054700.1.v1.1 annot-version=v1.1 MMHMLVASDGGGGEMHPYSVVQTEQEPELPRDNADDSLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPEVDMEFESEEKSYEFYNKYAGHVGFSVRKSTSHKSSEKITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVATPTTKATGDHVVRQVGFLRSISLLPADYKNYLRSKRMKAMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFNDVLCLDTTYKINGYGRPLSLFLGVNHHKQTIIFGAALLYDESFESFKWLFESFKIAMHGKQPAVALIDQSIQLSSAMAAAWPDTTQRVCTWHVYQNSIKHLNHVFQGSKTFAKDFSKCVFGYEEKEEFVFAWKSMLEKYDLRHNEWLSKLFDEKERWALAYDRHIFCADIISSLQAESFSSVLKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTSHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTISEYKVAPSEKPKEHYVRFDSSDSSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQIYLLKRWRRTAKSTNEDNQGHATNDKRLPLSTSVPSANHHGLQSINARIQDTLISNMHENPFHRSS* >Brasy6G054700.3.p pacid=40049983 transcript=Brasy6G054700.3 locus=Brasy6G054700 ID=Brasy6G054700.3.v1.1 annot-version=v1.1 MMHMLVASDGGGGEMHPYSVVQTEQEPELPRDNADDSLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPEVDMEFESEEKSYEFYNKYAGHVGFSVRKSTSHKSSEKITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVATPTTKATGDHVVRQVGFLRSISLLPADYKNYLRSKRMKAMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFNDVLCLDTTYKINGYGRPLSLFLGVNHHKQTIIFGAALLYDESFESFKWLFESFKIAMHGKQPAVALIDQSIQLSSAMAAAWPDTTQRVCTWHVYQNSIKHLNHVFQGSKTFAKDFSKCVFGYEEKEEFVFAWKSMLEKYDLRHNEWLSKLFDEKERWALAYDRHIFCADIISSLQAESFSSVLKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTSHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTISEYKVAPSEKPKEHYVRFDSSDSSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQIYLLKRWRRTAKSTNEDNQGHATNDKRLPLSTSVPSANHHGLQSINARIQDTLISNMHENPFHRSS* >Brasy6G054700.2.p pacid=40049984 transcript=Brasy6G054700.2 locus=Brasy6G054700 ID=Brasy6G054700.2.v1.1 annot-version=v1.1 MMHMLVASDGGGGEMHPYSVVQTEQEPELPRDNADDSLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPEVDMEFESEEKSYEFYNKYAGHVGFSVRKSTSHKSSEKITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVATPTTKATGDHVVRQVGFLRSISLLPADYKNYLRSKRMKAMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSRDDFNYFNDVLCLDTTYKINGYGRPLSLFLGVNHHKQTIIFGAALLYDESFESFKWLFESFKIAMHGKQPAVALIDQSIQLSSAMAAAWPDTTQRVCTWHVYQNSIKHLNHVFQGSKTFAKDFSKCVFGYEEKEEFVFAWKSMLEKYDLRHNEWLSKLFDEKERWALAYDRHIFCADIISSLQAESFSSVLKKFLSPQLDLLSFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTSHTYTPVVFEIFRKEFELFMDSVLFSCGEAGTISEYKVAPSEKPKEHYVRFDSSDSSCICTCRKFEFMGIPCCHMLKVLDYRNIKELPQIYLLKRWRRTAKSTNEDNQGHATNDKRLPLSTSVPSANHHGLQSINARIQDTLISNMHENPFHRSS* >Brasy6G064700.1.p pacid=40049985 transcript=Brasy6G064700.1 locus=Brasy6G064700 ID=Brasy6G064700.1.v1.1 annot-version=v1.1 MTARADKSDEHSKDHRPHLRAVHQTVHFLDTQRNTQKKRTMRPGPPDQNQPTHRPRPVALAPRPSQPSSGPTLNLPFSPSIYPSRFQTLLLQPLPEPRLLPASSVATARLPPAAAMAATPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKVIKVPEGFDYELYNRNDVNRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNNRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLSLGIKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVTLSKEATTVQKCYLELAKQVKAKLGKVDGYFNKLADAMVTWIEAWDELNPPKGAITAVNGPGPVAKSK* >Brasy6G199600.1.p pacid=40049986 transcript=Brasy6G199600.1 locus=Brasy6G199600 ID=Brasy6G199600.1.v1.1 annot-version=v1.1 MSPPCPSRLSPPPRPLSPELPDDLVLEILLRIPPDRPEVLVRASCVSEAWRGALSDPAFCRRHREFHHRTPPLLGYLRSERMPGLVDVFRATTFVPAMAIRPDTRGRPWHVLRDARRGHISHRVPWPPNTPYLGAEFAVLCGCGDRGCHGGHFLVVFGYQRRHRSAASAAIYSSRDGVGGAWSDMTSVDFPAAQSDGALLMKGRSVLVGNTCYMPLRTVIMEYNTVDRDLKVITLPPSGSGYRGRIYMSAEDGTLGLAALENSSSRLCLWSRKAAAGTDGALEWAQQAVIELNMPLPVREPEIILADYSDAGVGAVFIRTDNGLFRIELGSDTVREISSCEGDLLCHIPYRSFYTLVNPACPSRI* >Brasy6G025600.1.p pacid=40049987 transcript=Brasy6G025600.1 locus=Brasy6G025600 ID=Brasy6G025600.1.v1.1 annot-version=v1.1 MEEEPKRRRLYQLWRGNNKFLCGGRLIFGPDAGSLFLSTVLIAGPLVGLCCQCITKMNPSSPDHNQQVLGLPVLIATVLLGLADLAFLFLTSSRDPGIVPRNARPPECGVADMTTPSTEWVSAASPHLRVPRTKDVVVNGCVVKVKYCDTCLLYRPPRTSHCSICNNCVHKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCFYVFALSWLNIVAAKRGSESLLRAMGGEVLSVVLAAYSFVTVWFVGGLTAFHLYLMASNQTTYENFRYRYDKKENPYNRGALANLAEVLLARMPPSLNRFRSWVEPEDAMDPVLSPMSGGGGDLEMGRKGVHYSPGGVPPILQGLDYGDIEKMNVKDRGAEAPDLLMVSAARQRHDDEGCGGGDNSPFVRDQDAERAAPVMSSDVNSER* >Brasy6G025600.2.p pacid=40049988 transcript=Brasy6G025600.2 locus=Brasy6G025600 ID=Brasy6G025600.2.v1.1 annot-version=v1.1 MTTPSTEWVSAASPHLRVPRTKDVVVNGCVVKVKYCDTCLLYRPPRTSHCSICNNCVHKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCFYVFALSWLNIVAAKRGSESLLRAMGGEVLSVVLAAYSFVTVWFVGGLTAFHLYLMASNQTTYENFRYRYDKKENPYNRGALANLAEVLLARMPPSLNRFRSWVEPEDAMDPVLSPMSGGGGDLEMGRKGVHYSPGGVPPILQGLDYGDIEKMNVKDRGAEAPDLLMVSAARQRHDDEGCGGGDNSPFVRDQDAERAAPVMSSDVNSER* >Brasy6G135900.1.p pacid=40049989 transcript=Brasy6G135900.1 locus=Brasy6G135900 ID=Brasy6G135900.1.v1.1 annot-version=v1.1 MADEDLDHCNVCNYPRYMCDLGFQDSHGKPYHLSLGVIIPCKYGDHFTRYWRGEMKLEMEPFHEWYEFEVKKRGARFYLGGDWEMFASIYNIKQGDKLCFVLGPIIHEHLTVGHLRRRSGGIALPRCTIAEYEAEQERGEMEECTTSVDTQ* >Brasy6G078700.1.p pacid=40049990 transcript=Brasy6G078700.1 locus=Brasy6G078700 ID=Brasy6G078700.1.v1.1 annot-version=v1.1 MDTLFRLVSLQATTEQQQQQQSASYNSRSTTSSGSRSSSHHTTASYSYYNSGSGSGGQPQQYYYSQHLEPYYLEPYHEECGGGNAHHQDHQHHHQHQQQQLYMDEDFSSSSSSRHFGGGHHGSHGGGQQQPSSTPPLSTTSSTAAGHALFEPAELSFPPDLNLDFSSPASSSGGGIAAASASSPAVGGAGGRWASQLLMECARAVASRDSTRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGPRTLRTLAAATDRNTSFDSTRRVALKFQELSPWSSFGHVAANGAILESFLEAAAAAPSSEPQRFHILDLSNTFCTQWPTLLEALATRSPDDTPHLSITTVHVSSSSAASSPAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTGIAVNCVNSLRGVGARRRGEFAALLRRLGPRVVTVVEEEADFVADPDHHASADQDAETDQAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAAAWARRLRCAGFSPVAFSDDVADDVRSLLRRYREGWSMRDAGAEDSSAAGAGVFLQWKEQPLVWASAWRP* >Brasy6G083200.1.p pacid=40049991 transcript=Brasy6G083200.1 locus=Brasy6G083200 ID=Brasy6G083200.1.v1.1 annot-version=v1.1 MRVELREFSQSRPRRASRRRRSPHAPDAPLAIRRRHDPRTRPAAGAPHHLRRTRTHHATRRRRPAYRRWLASPPSQSRPARPAPVALRSAPRVPPPPSCVGGPPQGHHGRRPPRYRLTPAVSIAPSIDSSRPPISLALTAAAGDVAASPPPEGRYQPPCIPNSFVSCG* >Brasy6G143000.1.p pacid=40049992 transcript=Brasy6G143000.1 locus=Brasy6G143000 ID=Brasy6G143000.1.v1.1 annot-version=v1.1 MFQYMTPNYIDVVFQRPGGEDWRFTGFYGEPGWDRRHLSWDCLRDLHGRASMPWLVVGDFNEILYHHEKEGGNPRPSKMMDDFGECLADCGLDDLGFIGDRFTWSRGEVRERLDRAVANADWMNLFPCFGVVNEEHHRSDHRPVLVNTDMMLHLLKLALVAGSLKLGGCLRKVLRRSFARLGLGRSVLEQLLILLGARQQCMLISTVGTMLY* >Brasy6G220800.1.p pacid=40049993 transcript=Brasy6G220800.1 locus=Brasy6G220800 ID=Brasy6G220800.1.v1.1 annot-version=v1.1 MDLSMKVFVIVLLVLCDHRWLWRGTETASHKFHGPCVRDSNCASVCETEGFTGGRCGGYLRCFCSKQC* >Brasy6G079100.1.p pacid=40049994 transcript=Brasy6G079100.1 locus=Brasy6G079100 ID=Brasy6G079100.1.v1.1 annot-version=v1.1 MASAASAASEEAVKAAKVLMVGAGGIGCELLKTLALTGFSDIHLIDLDTIEVSNLNRQFLFRKSHVGQSKAHVARDAVLKFRPNINISSYHANVKDAQFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKRVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVHSKDGSSSKSDVFERDADEDLDQYARRIYDHVFGYNIEVALDNEETWKNRRRPTPVYIRDTLPEEAVKQNGSSRECNNGHEEPSAMASLGFRNPQEIWTLADNSRVFLETLKLFFEKRQKEIGNLIFDKDDQLAVELVTSAANIRAHSFGIPLHSLFEAKGVAGNIVHAVATTNAMIAGLIVIEAVKVLQGDYKNYRMTYCLEHVKRKMLLMPVEPFEPNESCYVCSETPLLLDVNTKTTKLKEVIDKIIKSKLGMNLPLVMIGSTLVFEDGDGLEEDEAANYALNLEKVLAELPAPVINGTKLTVEDFQQELSCSINIKHREEFDEEKEPDGMVLSGWSAPVEKQVASNGGKLAASSSSAHDTVGDVSANPGTKRKLDEVLESKENCDASSSAQVIEDDDDTIMLDEDPTLVKKKRSQ* >Brasy6G095900.1.p pacid=40049995 transcript=Brasy6G095900.1 locus=Brasy6G095900 ID=Brasy6G095900.1.v1.1 annot-version=v1.1 MGNCCVTPGGGGGSGRKKQPKEPKQKKGKKPNPFSIEYNRSAPPGATKLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRATGEALACKSISKKKLRTAVDIEDVRREVEIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDSMINFDELKLGLNKLGHQMPDADVQILMDAADADGNGCLDYGEFVTLSVHLKKIGNDEHLHKAFAYFDRNKSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISFDEFVAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITTQ* >Brasy6G163500.1.p pacid=40049996 transcript=Brasy6G163500.1 locus=Brasy6G163500 ID=Brasy6G163500.1.v1.1 annot-version=v1.1 MAAFIREAELRLLRCTLPSPASQPPPPSPPQANSLGHVAASVLAAVEAGDYAAALASAAPHLLHASAATEDLHGYPAKFYADLAAAAELFLRGDGGGAADEGYECRCAIVLSMGVAALLAFTQQNVTGPLGKFSPFPFWTSSLDKGWNSDPGGKWDAWASDRLASFGSHVHGKFSLLQYIVFADLLFTSIKSLDSSDCWSLSWWLCRISISQQNILDELSSSLFDQLQVYKNRMLTHFGEPEKVSSYWGPLLRDGEDSSFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVEAKSQMVLVAKTTKPAADEGKSTELTGAQSDGLTMRNERSSVPGESDEFCDILRMPRLAQNGNDSSSEIMTCENTQISLTAIQQAAVVAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDESYFAVRSLCDVLRIRWESTRSRTKQRALLMMENLVEDISKEFPVASQRAKLVFGVHMPTLPALRKEYGELLISCGVIGEALDVFKDLELWDNLIYCYRLLGKLADAVSLINARLSVSPCDPRLWCSLGDATNNDDHYRKALEVSNNKSARALRSLARSAYNKNDFNASKILWESALALNSLYPDGWFAYGTVAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSPAAVQAFKEAVKFKRNSWEVWENYSKVALDTGNIQLTLEAVKMVLNLSSNKRFNVDLLEKAMTALDEQGTHLFDPQEAESASNTSDDASKETRRSNQLLDIIGDILQQIVRSGASNAEIWGLYARWHKTKGNLMACSEALLKQVRSLQGSGLWHDQKKFTKYAQASLQLCKVYMEISSSTGSRRELLSAEMHLKSSLKQRFGFMDMRNTIIPATVYNNTFRNNMMKRKTIPLRHLQQATDFSGTEEYQALDDCLVELKDLIGAAKT* >Brasy6G163500.3.p pacid=40049997 transcript=Brasy6G163500.3 locus=Brasy6G163500 ID=Brasy6G163500.3.v1.1 annot-version=v1.1 MAAFIREAELRLLRCTLPSPASQPPPPSPPQANSLGHVAASVLAAVEAGDYAAALASAAPHLLHASAATEDLHGYPAKFYADLAAAAELFLRGDGGGAADEGYECRCAIVLSMGVAALLAFTQQNVTGPLGKFSPFPFWTSSLDKGWNSDPGGKWDAWASDRLASFGSHVHGKFSLLQYIVFADLLFTSIKSLDSSDCWSLSWWLCRISISQQNILDELSSSLFDQLQVYKNRMLTHFGEPEKVSSYWGPLLRDGEDSSFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVEAKSQMVLVAKTTKPAADEGKSTELTGAQSDGLTMRNERSSVPGESDEFCDILRMPRLAQNGNDSSSEIMTCENTQISLTAIQQAAVVAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDESYFAVRSLCDVLRIRWESTRSRTKQRALLMMENLVEDISKEFPVASQRAKLVFGVHMPTLPALRKEYGELLISCGVIGEALDVFKDLELWDNLIYCYRLLGKLADAVSLINARLSVSPCDPRLWCSLGDATNNDDHYRKALEVSNNKSARALRSLARSAYNKNDFNASKILWESALALNSLYPDGWFAYGTVAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSPAAVQAFKEAVKFKRNSWEVWENYSKVALDTGNIQLTLEAVKMVLNLSSNKRFNVDLLEKAMTALDEQGTHLFDPQEAESASNTSDDASKETRRSNQLLDIIGDILQQIVRSGASNAEIWGLYARWHKTKGNLMACSEALLKQVRSLQGSGLWHDQKKFTKYAQASLQLCKVYMEISSSTGSRRELLSAEMHLKSSLKQRFGFMDMRNTIIPATVYNNTFRNNMMKRKTIPLRHLQQATDFSGTEEYQALDDCLVELKDLIGAAKT* >Brasy6G163500.2.p pacid=40049998 transcript=Brasy6G163500.2 locus=Brasy6G163500 ID=Brasy6G163500.2.v1.1 annot-version=v1.1 MAAFIREAELRLLRCTLPSPASQPPPPSPPQANSLGHVAASVLAAVEAGDYAAALASAAPHLLHASAATEDLHGYPAKFYADLAAAAELFLRGDGGGAADEGYECRCAIVLSMGVAALLAFTQQNVTGPLGKFSPFPFWTSSLDKGWNSDPGGKWDAWASDRLASFGSHVHGKFSLLQYIVFADLLFTSIKSLDSSDCWSLSWWLCRISISQQNILDELSSSLFDQLQVYKNRMLTHFGEPEKVSSYWGPLLRDGEDSSFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVEAKSQMVLVAKTTKPAADEGKSTELTGAQSDGLTMRNERSSVPGESDEFCDILRMPRLAQNGNDSSSEIMTCENTQISLTAIQQAAVVAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDESYFAVRSLCDVLRIRWESTRSRTKQRALLMMENLVEDISKEFPVASQRAKLVFGVHMPTLPALRKEYGELLISCGVIGEALDVFKDLELWDNLIYCYRLLGKLADAVSLINARLSVSPCDPRLWCSLGDATNNDDHYRKALEVSNNKSARALRSLARSAYNKNDFNASKILWESALALNSLYPDGWFAYGTVAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSPAAVQAFKEAVKFKRNSWEVWENYSKVALDTGNIQLTLEAVKMVLNLSSNKRFNVDLLEKAMTALDEQGTHLFDPQEAESASNTSDDASKETRRSNQLLDIIGDILQQIVRSGASNAEIWGLYARWHKTKGNLMACSEALLKQVRSLQGSGLWHDQKKFTKYAQASLQLCKVYMEISSSTGSRRELLSAEMHLKSSLKQATDFSGTEEYQALDDCLVELKDLIGAAKT* >Brasy6G159500.1.p pacid=40049999 transcript=Brasy6G159500.1 locus=Brasy6G159500 ID=Brasy6G159500.1.v1.1 annot-version=v1.1 MAAAAAACRLLRLAPRRLRSPRHSPLTALSLLPTPLAASSGWRRYCAAAQASTAPPAAAAAAATGEAVGEFRRRLRVAEVKGGEDEGAAWVGKELTVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVIVEGVVASSQGGKQKVELKVSKIVVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAFATHKFFQDSGFVWVSSPIITASDCEGAGEQFYVTTLLSNTAEGGCLVNNIPSKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLSDVAEKNFVQMSYSDAIELLIRSNKKFEFPVKWGLDLQSEHERYITEVAFSGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDHLEARLDESNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFVTGIDNIRDAIPFPRVPGSAEF* >Brasy6G111800.1.p pacid=40050000 transcript=Brasy6G111800.1 locus=Brasy6G111800 ID=Brasy6G111800.1.v1.1 annot-version=v1.1 MGEAAALSPEPEQDDQRRLAEASQEAELDDEQGRLAAALDAINSLISASFSASLFPLKWQLIRDRLNRLHAGLADITVDIAGERHDDDAEAFSSLLRGVAEAVREARDELVPRSQGRHYGGGKLRLRSDLDVMAFTLDAHVSRLDEVCASGALTRARALVVPRPRAGTGAGRDDVRFYVRDLFARLRVGGAEMQRDAAAALNELLRDDEINRVVRVVVSDSVVVAADGIGVLIGLLESPDARVQEEALDAVSVILGFDDAYKGDFVLGGIIAPVIRVLDAGGAGAPAAVKERAARLLGKLTENSDNAWAVAAHGGVTALVNACADHRASAGELVCAACRVLRSLVVVDEIRRYAVGEARAVPVLLSLLQQGAAAADEAAQIQAMELLAAIASAGAGDGDSSSSRDAVLREGAVESLVRVLDPGVPRSSKAREAALRAIDALCPSNSSSIDAVFLGRVLFFLRNGDVALQHRALKTAHRLLCCHASASEEGKKAMGDAGFMPELVGIVQAAKSLETREMAAEALCALVSVHRNRRRFVQDDRNVAQILQLLALGPVEEKTSPAKRFLLSTLLHVTDTSSGRRKIMSSEHVRNLEKLAETNVTDAKKIVKKLGGSKLRNIFHGIWSL* >Brasy6G039100.1.p pacid=40050001 transcript=Brasy6G039100.1 locus=Brasy6G039100 ID=Brasy6G039100.1.v1.1 annot-version=v1.1 MPPAPHGGDAAAVAPEARLNRFVRFVALMERVGNALGTLAFTWATVVLLGGYASDLGKDDPRDRGSQYDFWMFTRNNRVDYQLFFNTRGAFRPVGWNGLIATLCFFDVFMIVLKKSYVSDIGLVLMAIVLATVVSFGNFQIPAAVVRVVLALLRLEPLKDNKSYGGNLVASLRIFYGMVLGQGLLYVVACALEFFSFIPMRSLVRRGRFRGQWGVESVDLYYAYAFDKCMQEGVLAPKKISLSTFAMDSVNSGASKNQLYGIRMMYSFLQREPTKAKLLSKLTNSTKTMTRIIRMLDWTSPKDATVRLYAAKVTCELAKNLRVATFPGTMQLVSALLDTDSRQRGNKFLDTDDEQEKQDMFLNIEDRREEEHAEVRDVADNQLRRQEQLRDTDNLLQTQTSATQPVGINKQNSCMLRCWQKISEFWSIPEEQLLTDHDFLPALAMSIIDSLAGCDQDNCVEISKAADLIPKVIGFTRNRSDMTNTEAQQKVLLESSLKVLQRLTRIDGEIGITLRCKISKHPCILRNLADILGDNRRSQELRKLVAGILRNLAVDGNTRQDIGRIQLIITRLMQAFFHAEGTMSTNADSLLRKVAGQALAMLTTDSVHNCFVMLKEPELVKNLKTMILNHDDRYIYMAASLLHNLCLHARPKLRESDLKELSHTLREVLQRTMNAEGAELEILIGLSSQICKVIPEDFIRELDDGQIMQRFVKRLVDALNVNTKLSAHYPGIRRVILEQVIYMMECNSHCADCFRNCRMTEGLLMVEQTLSEAENYRLFLGDVGFMEYSLPLSALVARAKELMGCEL* >Brasy6G174900.1.p pacid=40050002 transcript=Brasy6G174900.1 locus=Brasy6G174900 ID=Brasy6G174900.1.v1.1 annot-version=v1.1 MARCSLTKPLGTATTTAGPHLSRLRALPPHITAASPAAATRTQRLSAQLKPPKPPRPPPLFPRPKLPVRTASNGTPKPNNGKQDNSEEPSTDAAASSSGAGDVLRLMDALQVAPDEAVYVSLLRDSADAAEVAAVHAHIAGRRDDFGLLRPLANRLLHSYASCGDTAAARKVFDEMPVKDDIAWATMVSAYSDGCFHNEAIRLFTHMCHEAQGLTCDCHDRAIVAVLRSCARVSKISFGEQVHVLVVKKKGVCGDAGSSLLQLYCESNRHDSARQVLEMMRCSCQEPVPEAAWTSFITACHRVGQLDEAIDAFRDMVSSGVTRSSFSLSSILTVCAESDKHRCYGQQVHADAIKHSLETNQFVMSGLVHMYAKQGRLADAARAFETSGGEPDAVCWNAMAMGYARGGCYREATRMMYQMKAAGIDPPGPTMNVVRMACFR* >Brasy6G059000.1.p pacid=40050003 transcript=Brasy6G059000.1 locus=Brasy6G059000 ID=Brasy6G059000.1.v1.1 annot-version=v1.1 MPFLYNLVMAMLLLGASLTLPCLGDREVAEVGHGNGTTGDVFPVDTDEAVGLLMEKEMDHMPNDGYVKRLEQGGFESSWRKVAIDWICKVHSKNNFGPLRASSMQGALSLCLSVNYLDRFLASFNPLHDKSSTEKLIAVACLSLAVKMEETIDVLPMDFQVNEEVFDANYEFGSKNIKMLELLVLDTLKWRMRAVTPFSFMSYFLDKFNEGKAPTYTVASRCAELIVDTVKDSRFVSFRPSEIAATMVLSTLVENQAIRFNNALAASKIPVNKEMIVRCYELTWMNRGNQSPSLPMPHSPIAVLDAACFSSRSDGTPLGSSQSNNNGSNNDQDSTLASKRRRLGKSPS* >Brasy6G253100.1.p pacid=40050004 transcript=Brasy6G253100.1 locus=Brasy6G253100 ID=Brasy6G253100.1.v1.1 annot-version=v1.1 MVHLRFTGASVNGQSKSRETIGDVIHGKAITTKLSLPKIRTIIKKLNPRQRDLVRARGFGTMLDIKCSQLPRDLVVRLAIWFDCDSRTVNVPNVGSFEINPFTVHQILGIPLGGKLIDKVATNEATL* >Brasy6G206500.1.p pacid=40050005 transcript=Brasy6G206500.1 locus=Brasy6G206500 ID=Brasy6G206500.1.v1.1 annot-version=v1.1 MGLCLSRGAAAAAEGSTATAMVLLPTGELREYPRPATAARALEDFASGEILCDADAMGFEGPVPEVGGADELRPGQIYFVLPAEARRIGLRREDIAELAVRASAALVKRAANTSGGGGRRRRAGSVAPLVFAPPPAEKEVDAYKTVPALAPKKRPPVSRAMSAGRMQTRFAPDLSAIPECDTSE* >Brasy6G246800.1.p pacid=40050006 transcript=Brasy6G246800.1 locus=Brasy6G246800 ID=Brasy6G246800.1.v1.1 annot-version=v1.1 MARIQPVFDEGMDPFLSRLTIPATTSQSRYTAQNGACWPSLDIQPSLADLNKGPNKFKDSAVPPPWLLSCNCSCDIGSNFDAFSTVVSRIHQHLLDANVGLNYTEYLDLMKLEVDQHLNKLKEDTRVLKSCNLIHDGDANVSCPMICRHGKLIEMDEGFNDLKLLLIVVFRQIKEMLCLFNASIHDLQWEHELQLEVTGIMIGDCIRGLQDELERKLNEQNSAVNNLRKNWKETVGQCGVIREELISISNMLIPSEDESHISYCKHEHLGNNSDRWRYNLLRMKIGEENSPSFSAEQRNSATQKSISPREVISEKSDFRHLKGMTREEMINYFRSEISKLKRLHELYLQDKTEELFKFKREKASLDLKYDVEFEPLRKKVPEIISRVDQIISNTIEAPTVYSTSEALEENSILNNRFDPVYHENKHLRDLLAEKIKDIEELSDQISDASRKMSLQLSLEEQLVRQVSTIKGEYEDLYVESAIRDEVYQTVTRKLVDDCRISMEVDAQNFQAEVSSLKARLSEKEKALCLANDENQKLKEKLFILEKAHLIQNNQEDPELTKQENEEMILRDIEMEPHVSPRRSYEISEHSMHDEELIKLSQTLEVASTALQEVEKQKLDYNVFQGKNVDEKQLDCIMVSIMDLSKEFLEIEHKMSGDIKGSEKRSDNLSDQCNHVVQQAIVLTKKGLSYKQMLDTRRSELRKAEAEVDILGNKVTALLALVKKIYVTLEHYSPVFQQYPGLLDTFLKTCKLVAGLRNKQKDDLSDAAR* >Brasy6G068200.1.p pacid=40050007 transcript=Brasy6G068200.1 locus=Brasy6G068200 ID=Brasy6G068200.1.v1.1 annot-version=v1.1 MVKGVPKSRPINAAAEVVFDPSVSGPRKPRRAEAPSSSSEYHHFMGSSLTNMYQKPAPEKSTDMSDDEPDIDIEKLLKNVELFGASTWKDRKQIQNRKVVELGGKAIKKQRTPLSVAKPAMKNQHKREQKKIEEERLLGIFRKREKTDKFQKTRPEDRVLRATEGRFKNGILDVKHLMGGPKPSSSTRDVPEWEARKGKNGGKGKGKGKGKGKGKQKGGRRKRR* >Brasy6G154800.1.p pacid=40050008 transcript=Brasy6G154800.1 locus=Brasy6G154800 ID=Brasy6G154800.1.v1.1 annot-version=v1.1 MRCMAQEGSEASVASSPPHPPSSSSSAPGASWWRDMHPYSSATPAWPPPSAAAAGPRWPPLPLAHHQQQHQQRTSSSGADDDMSASNATMQTSFTTNHSGISIDESSAAAAAAAESHLWNQVLMGAGGGEVARSMQAVHDAHGDDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGASWAPPPDHQFTAAATNNMEKHHQMDYINALAHQQQQERGLTTANLSDLVSNWSIAPPSPCHAAKALFLDSGGNVKHEMGHGAGPGMLQQQEAARGPGSSGGSCQEFVRPVGGGIGSYSPMLGLSSRMYGGSGGGEAMVDVQWAGSNGGGNDGRTSLSDLISFGGGNMGKPGPAASSPGKTSSGEYKKTMSSPPAKTSSGGGGGKGSSAASSEGKKKRSEEQQQGSEGSVKKSKQQQEASSSPTSSLKGVCTHAQVPKVKLGDKINALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNPWGGLDRKEKAEAEADLRSRGLCLVPVSCTPQVYRDSNGPDYWTPPYRSCLYR* >Brasy6G154800.2.p pacid=40050009 transcript=Brasy6G154800.2 locus=Brasy6G154800 ID=Brasy6G154800.2.v1.1 annot-version=v1.1 MRCMAQEGSEASVASSPPHPPSSSSSAPGASWWRDMHPYSSATPAWPPPSAAAAGPRWPPLPLAHHQQQHQQRTSSSGADDDMSASNATMQTSFTTNHSGISIDESSAAAAAAAESHLWNQVLMGAGGGEVARSMQAVHDAHGDDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGASWAPPPDHQFTAAATNNMEKHHQMDYINALAHQQQQERGLTTANLSDLVSNWSIAPPSPCHAAKALFLDSGGNVKHEMGHGAGPGMLQQQEAARGPGSSGGSCQEFVRPVGGGIGSYSPMLGLSSRMYGGSGGGEAMVDVQWAGSNGGGNDGRTSLSDLISFGGGNMGKPGPAASSPGKTSSGEYKKTMSSPPAKTSSGGGGGKGSSAASSEGKKKRSEEQQQGSEGSVKKSKQQQEASSSPTSSLKGAQVPKVKLGDKINALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNPWGGLDRKEKAEAEADLRSRGLCLVPVSCTPQVYRDSNGPDYWTPPYRSCLYR* >Brasy6G154800.3.p pacid=40050010 transcript=Brasy6G154800.3 locus=Brasy6G154800 ID=Brasy6G154800.3.v1.1 annot-version=v1.1 MQAVHDAHGDDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGASWAPPPDHQFTAAATNNMEKHHQMDYINALAHQQQQERGLTTANLSDLVSNWSIAPPSPCHAAKALFLDSGGNVKHEMGHGAGPGMLQQQEAARGPGSSGGSCQEFVRPVGGGIGSYSPMLGLSSRMYGGSGGGEAMVDVQWAGSNGGGNDGRTSLSDLISFGGGNMGKPGPAASSPGKTSSGEYKKTMSSPPAKTSSGGGGGKGSSAASSEGKKKRSEEQQQGSEGSVKKSKQQQEASSSPTSSLKGAQVPKVKLGDKINALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNPWGGLDRKEKAEAEADLRSRGLCLVPVSCTPQVYRDSNGPDYWTPPYRSCLYR* >Brasy6G159800.1.p pacid=40050011 transcript=Brasy6G159800.1 locus=Brasy6G159800 ID=Brasy6G159800.1.v1.1 annot-version=v1.1 MGQGRACRPARGSSGSKARSGPGMAGSTDLGERAAGSSSSGSGGAQDGKDGGGLRRGERSGGRQPASGPSQGGRDAVVAGVRAEAGRRWASEGGGGTAGPQQREREGGRRESGKAALGRPRLRRETGREERKGREERTWERNRGREER* >Brasy6G022400.1.p pacid=40050012 transcript=Brasy6G022400.1 locus=Brasy6G022400 ID=Brasy6G022400.1.v1.1 annot-version=v1.1 MANGGVWVFRNDGVMELEQEPAAASGGSRRKALVYVPANETMRSLEALERRLGTLGWERYYEDGAVVQLHKRDGGVDLISLPRDFAALRSTHMYDVVVKNRNHFKVVDV* >Brasy6G165800.1.p pacid=40050013 transcript=Brasy6G165800.1 locus=Brasy6G165800 ID=Brasy6G165800.1.v1.1 annot-version=v1.1 MARLAVRAQGLGCEPAVGSLTPSEKRDYKFRSKLKEGKCPLYGIGFNFIDARYYNVFATVGGHRVTTYRALPDGKMDILQAYVDEDKEEDFYTLSWASGLDGTSLLVAAGKNGVIRVINCAAETIFKSLVGHGAAINDIRTQPLKPALVLSASKDESVRLWNVHTGTCILIFAGTGGHRHEVLSVDFHPYDIYRIASCGMDSTVRIWSMKEFWPYVEKSFTWTDLPSKFPTKIVKLPLLAAVVHTNYVDCTRWLGDFILSKSVDNEILLWNPTTNDQDASEVGSSIDVLQKYPVPECDIWFMKFSCDFHFNQLAIGNREGKIYVWEVQASPPVLITRLGNPECNSPIRQTAVSFDGNMILACCEDGNIYRWDEVDAAAKS* >Brasy6G165800.2.p pacid=40050014 transcript=Brasy6G165800.2 locus=Brasy6G165800 ID=Brasy6G165800.2.v1.1 annot-version=v1.1 MARLAVRAQGLGCEPAVGSLTPSEKRDYKFRSKLKEGKCPLYGIGFNFIDARYYNVFATVGGHRVTTYRALPDGKMDILQAYVDEDKEEDFYTLSWASGLDGTSLLVAAGKNGVIRVINCAAETIFKSLVGHGAAINDIRTQPLKPALVLSASKDESVRLWNVHTGTCILIFAGTGGHRHEVLSVDFHPYDIYRIASCGMDSTVRIWSMKEFWPYVEKSFTWTDLPSKFPTKIVKLPLLAAVVHTNYVDCTRWLGDFILSKSVDNEILLWNPTTNDQDASEVV* >Brasy6G032100.1.p pacid=40050015 transcript=Brasy6G032100.1 locus=Brasy6G032100 ID=Brasy6G032100.1.v1.1 annot-version=v1.1 MKKTGTTVPSAGPVNSVKKTGAMVPSAGPVNNAKKTEATVPKAGPVNKAKKTEAVVSSGVPVNNVSSSTPLERPEVLLDGIKCTQSSSSAMVVTENGSTSVDAPIIAASADESVTSLNKETFQNKPLLPHGLKNTGNICFLNATLQAFLSCSPFVQLLQDLRTRNIPKVGYPTLYAFVELISQLDVLDESTMNKNEKVIMVSGKAVNPAMFDQVLRNFTPDVPAGTFSRPRQEDAQEFLSFAMDRMHDELLKLNGSGLNSKEGMVVSSSDDDAWETVGRKNKSAIMRTQSFVPSELSVIFGGQLQSTVKAAGKKPSATVQPFLLLHLDIFPDAVQTLDDALHMFSSPESLEGYRTAAGKAGVVTARKLVKIHALSKIMILHLKRFSYGNFGCNKLYKPLHFPLELVLNRDLLSSPSSEGRRYELVATITHHGAGPSRGHYTADAKHADGQWLRFDDNNVSPVNANQVLHDQAYVLFYKQV* >Brasy6G134700.1.p pacid=40050016 transcript=Brasy6G134700.1 locus=Brasy6G134700 ID=Brasy6G134700.1.v1.1 annot-version=v1.1 MGSRSRGGRSSSGLLLLSLLLLLGLHSSLRCFVSAAGGGGSGEPYVGVTIGTAVTNLLSPSDLAAFLRAQRITRVRLYDADPRLLSALASSGARAIVGVPNDELLALGSSPATASAWVSRRVLPFAAANSSSPGLISAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSIVLDPFPPSQAYFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSLMQSSGVVPVDNAMFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVYVALKNLNVSAPVPMLVTETGWPSYGDRKEEPYASRDNANTYNSNLIKHVVTDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHASGADGFLGNATTDRTFCIASDDADEKAVQAAMDWACGPGRSDCTAIQPGEGCYQPNDVRSHASFAFDTYYQSQGKAGGSCYFQGAGMVTTTDPSHDSCIFPGSKLYSNVTRSDGANTTSSQTSDADGSAIWRLRTGRGERFLLVFRFLLSVMVVIMTNSNLWT* >Brasy6G208500.1.p pacid=40050017 transcript=Brasy6G208500.1 locus=Brasy6G208500 ID=Brasy6G208500.1.v1.1 annot-version=v1.1 MRVHPAPRKRTIAVQRCAAAAADGAKKLRRLPHIFARVLELPFAADADVAVEEDAAALRFVAAADGFSPGGARAHAVQIHPGVTKVVVRGLSAGAGGDDGDDGAAAFELDRWRFRLPPCTRPTLATATYAEGELVVTVPKGAAPDESDGGDGAPVLGSAGADSVLLFV* >Brasy6G165200.1.p pacid=40050018 transcript=Brasy6G165200.1 locus=Brasy6G165200 ID=Brasy6G165200.1.v1.1 annot-version=v1.1 MPVDPADPNYYNEPSQFMDDLISQEAPVFEEEGVSIDTEPLYTDAGAGAGTNPGSEASQCQRKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQNGTMYWTHIYDYFHEHRLLPPYSFMSDRGKVSIQKRWGLIQSECNKFAGAQDYVKARPVSGVGVGDMAPKWQDLYLATKKSPGDGKKRDCSVIDLEASGHTEAASRAIWARGEPTPSWTPSETNAAAAAAAMLAEETRIMTADLSLMDEPTRAWFLAKRKMIEERDAPVPSEE* >Brasy6G034900.1.p pacid=40050019 transcript=Brasy6G034900.1 locus=Brasy6G034900 ID=Brasy6G034900.1.v1.1 annot-version=v1.1 MSVLSRLLLRGYNKRPLTRCYQPPTHLARGRGWRHEPRKAAAAGLLAYSSLLLLPYAVTLLPCQEVVPYTNRVHRVTLDPSQERDCGDSRFDEIKRERAENILGPSDPSTVRVRGIADDIIRGIHHLLLPTALACRGPNKPGKVPQTADLSELNWEVIVVRDKRANASCSRRGGKITVNTGLLECFDTDAEIATVLAHEVGHAVARHALELHRVVGLLNPVLSRLLPFSRRNEVEADQIGIMLLAAAGFDPRVAPGVYQKLGETGGNSPWDDYTGSHPSATTRSRLLSQSKAMKDAVELYSARGGSN* >Brasy6G190700.1.p pacid=40050020 transcript=Brasy6G190700.1 locus=Brasy6G190700 ID=Brasy6G190700.1.v1.1 annot-version=v1.1 MEADPEAGGLVGGGFLVPKRRWRRGSPEEAGTGCSPRRRSMAAERERKLRVREIRERSETGRKRDGETQDPGAPWLLLLLAPCETEEGRGAARCYGGSERGGGGGRSRRREEIGEEGGRSRRREGDRGGGRIGRERESGEAGRRERCAAAGEGVRCRRDFYRVPPDPRTGP* >Brasy6G088800.1.p pacid=40050021 transcript=Brasy6G088800.1 locus=Brasy6G088800 ID=Brasy6G088800.1.v1.1 annot-version=v1.1 MLCRPPGYSAKNRKKKERVKGAIHSIGKDLKATQRSSEGNYSRTPQEITRLESWTRTHLPYPAVFKHVQFTRSGN* >Brasy6G077800.1.p pacid=40050022 transcript=Brasy6G077800.1 locus=Brasy6G077800 ID=Brasy6G077800.1.v1.1 annot-version=v1.1 MDSDASTSPRRRSCYSDSGDSSCSEPFSECGSDDLSSFSPAAAAGIHRLLLSCAAEASDDSISSLVAELESPAASVDSLRRAAMEIRLLAKHNPDNRIRIAASGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAAIVEAGAIRPLVRALKSAASPAARENAACALLRLSQLDGAAAAAVGRAGAIPLLVSLLETGGARGKKDAATALYAVCSGARENRLRAVEAGAVRPLLDLMSDPESGMVDKAAYVLHSLVGFAEGRSAAVEEGGIPVLVEMVEVGTSRQKEIATLSLLQICDDNATYRTMVAREGAIPPLVALSQSSSARPKLKTKAEALIEMLRQPRSASMRAARPAAIVAAE* >Brasy6G133400.1.p pacid=40050023 transcript=Brasy6G133400.1 locus=Brasy6G133400 ID=Brasy6G133400.1.v1.1 annot-version=v1.1 MEASSPDLSLHISLPSSGPPTGRPVDGRGPPGGGGDPWRRLNGSTASTELSLSPPLLQEGAASLPWPRQRAPSSAAAPSSSAAATTSAALMTMPMLLHPLDAAAGAANGASASPPIRGIPIYNGAAGAGFPFLPPAAQPGGLELPKVGFYSSASYHQYHHPATTWPSSLGATSPSANSSFDPAAATAAFGLSPAAHHRMLQSASGRLSGMLSDTLRGYGGSPGGIGIGMGGHQFHHLHGHGHGAQHGGPFGRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEDFPSAGQAGSGGDNNMCARSFPEHHRSTSEGAASSVGGGDMDQSSAGNASTRWSNSSRDPWLSSNSCNMGAHRSVGLSSPIENMEACRSSGSQVSNHELSSPSLEFTLGRPDWHGVDHDH* >Brasy6G259500.1.p pacid=40050024 transcript=Brasy6G259500.1 locus=Brasy6G259500 ID=Brasy6G259500.1.v1.1 annot-version=v1.1 MEHGDGREAAANRAAGSGGEDFISELPDDVLIRILVGLRSAAVAARTSVLSSRWRRLWALMPWLEFSAARDTPDRIRAALDAHEAPALLNLRVETMDATADAVAAWIPIAARRVSGSLSFVNKLSNGGRHRGAFELPCFEDATLLSLDLGFLGFAVPASGISARLTDLYLDNFKVQGRCVLGDAVSSPRCPCLQRLTISNAHGIDKFTIRSESLLQLKLSRLYGLQQLTVMAPALKELTVRGCFISSLNETPVANISAPQLLLLDWMDVYDPCSVQLGEMTHLQRLRPGYFHVYGLDDFLPNRSCLSLMQHFKAVDVLYLPLVYFPDIGHRRYWMEDMARFPDITFLSLTVASRGHSFGPSAFHVLKMCTGFRKLTLKYKKLTFQEPAETPCSSGCICDKLENWKTEELVLTQLQEAEIIGLIGTEHEVAFLQRFFSWATVLKTMTISFDDSVAESKAKSLCHTFQSFSSPETQMKFNLYQKVLYVPEEQGMDLLRYQ* >Brasy6G259500.2.p pacid=40050025 transcript=Brasy6G259500.2 locus=Brasy6G259500 ID=Brasy6G259500.2.v1.1 annot-version=v1.1 MEHGDGREAAANRAAGSGGEDFISELPDDVLIRILVGLRSAAVAARTSVLSSRWRRLWALMPWLEFSAARDTPDRIRAALDAHEAPALLNLRVETMDATADAVAAWIPIAARRVSGSLSFVNKLSNGGRHRGAFELPCFEDATLLSLDLGFLGFAVPASGISARLTDLYLDNFKVQGRCVLGDAVSSPRCPCLQRLTISNAHGIDKFTIRSESLLQLKLSRLYGLQQLTVMAPALKELTVRGCFISSLNETPVANISAPQLLLLDWMDVYDPCSVQLGEMTHLQRLRPGYFHVYGLDDFLPNRSCLSLMQHFKAVDVLYLPLVYFPDIGHRRYWMEDMARFPDITFLSLTVASRGHSFGPSAFHVLKMCTGFRKLTLKYKKEPAETPCSSGCICDKLENWKTEELVLTQLQEAEIIGLIGTEHEVAFLQRFFSWATVLKTMTISFDDSVAESKAKSLCHTFQSFSSPETQMKFNLYQKVLYVPEEQGMDLLRYQ* >Brasy6G179600.1.p pacid=40050026 transcript=Brasy6G179600.1 locus=Brasy6G179600 ID=Brasy6G179600.1.v1.1 annot-version=v1.1 MSNSEHRQLPPAKKRNIDPIVPTNHPWNLPATVSLVHFCGRPEDSVMVIPREENLPIDAEARKAVARVSQAVVGVAGVDASGDQWWRASGFIFEFNEYTCDDHWNFLVLSVSFDCAVQTLNMVEIRENMESRLPRDDYLGGLILQPHSALAAHEMLCPGDTIIGLENIVVRLLGFFCVNLHNAHSSPWVKVPTSLYEGLDGFLVELTSLAVRSVGLQHKDLIIECNGKHLFEILSGDIGKMVKVTFIKAEDRETHSVFLPVEETLEKKFYR* >Brasy6G249300.1.p pacid=40050027 transcript=Brasy6G249300.1 locus=Brasy6G249300 ID=Brasy6G249300.1.v1.1 annot-version=v1.1 METNPMLVKKVLPPIHFLWIGGSNRLTFTVLPTVIIMDNYCPVPHLLLGCCVLRVRGRALPCDLPSYFKSETGSILKINRHDYHLKHSFIPSSQSSDEDCSWSCLCSGVRSFVKTLKKNKMIYLVICRWNSIG* >Brasy6G249300.2.p pacid=40050028 transcript=Brasy6G249300.2 locus=Brasy6G249300 ID=Brasy6G249300.2.v1.1 annot-version=v1.1 METNPMLVKKVLPPIHFLWIGGSNRLTFTVLPTVIIMDNYCPVPHLLLGCCVLRVRGRALPCDLPSYFKSETGSILKINRHDYHLKHSFIPSSQSSDEDCSWSCLCSGVRSFVKTLKKNKMIYLVICRWNSIG* >Brasy6G249300.3.p pacid=40050029 transcript=Brasy6G249300.3 locus=Brasy6G249300 ID=Brasy6G249300.3.v1.1 annot-version=v1.1 METNPMLVKKVLPPIHFLWIGGSNRLTFTVLPTVIIMDNYCPVPHLLLGCCVLRVRGRALPCDLPSYFKSETGSILKINRHDYHLKHSFIPSSQSSDEDCSWSCLCSGVRSFVKTLKKNKEGMI* >Brasy6G219700.1.p pacid=40050030 transcript=Brasy6G219700.1 locus=Brasy6G219700 ID=Brasy6G219700.1.v1.1 annot-version=v1.1 MVDGAGRAAVCQARVTTCLCPLLLIPIWNAARASPTAAGARPASPRSGSSQRAHLPLPRCRGIAARRPARDTTVGSADLLLASAS* >Brasy6G235100.1.p pacid=40050031 transcript=Brasy6G235100.1 locus=Brasy6G235100 ID=Brasy6G235100.1.v1.1 annot-version=v1.1 MKILSILALFLVALNAARVESRPNPDDRLRHLFVFGDSFGDNGNTRRPLVYVVLGMDKVTQDTRQWFFPYVSFTDGRQHPTGRFSNYMVQSDLVANIMGLAVASPAYKLTKKNTWDKSGMTFAVGGANVFHAPSEQRSPRRQRLQEMGVAKVLVNNVPPVGCAPSQTTPVGFARCDRGGNNYASVQNRDLKRQLRAMDDVHIIDLNTAFTNIVGGEKTEVSSFFDERLAPCCRSTDPSGYCGQMGDSDSDFRYTLCENADKYFYWDEMNPTQAGWETVMEQLEDPIKKFLKLN* >Brasy6G206300.1.p pacid=40050032 transcript=Brasy6G206300.1 locus=Brasy6G206300 ID=Brasy6G206300.1.v1.1 annot-version=v1.1 MLPPIKTLASTHTTAMPSPERTPELMADLLQEVFLLLPPDEPETLIRAAAVSKTWRGLLSSRAFLCLYREFHHEEPPLLGFFTRVTDADSPKASFVPCTAFSPQDPDLSGCDVLDCRHGRALLYDSNSSEFIHWDPMTGEKKHFPDPGIHFSHFNAAVLCANMVDCDHSDCAGGPFLVAFVVTESDDESIIDVIAQGYIYSSETGAWSVSSSLDHDCYLEIPRPPVLAGTTVYFICTEDYSIMRYELAGDQKLSLIPRPPDYDNGSALIPADGGGMWFVGFESLRLTVYHWPGTEEVRWDLHRVFELSIGDLSYEPYLIGSAKGPDSDVVFVSFDGGIFMTNLKSETAEKVAERGEFDAVFPYTSFCTPVRRRAVTAGGQGAMAATDLSSVDLEDAATP* >Brasy6G257300.1.p pacid=40050033 transcript=Brasy6G257300.1 locus=Brasy6G257300 ID=Brasy6G257300.1.v1.1 annot-version=v1.1 MGLEAGESAMGGDLSLDLRAFAARTVAGRIPAESTEDVLRKLEEEKGKIEVFGRELPVCVRLIAAVIDVLKEKVEKKSAGDHGDEAEGSGDKSSWMSSAQLWIGGGSSKAAEKEAGRSSAPEKRALGGGGIGFGPFKAVGSGGPAFLPPGLRKEAGAPLRIPDLPFLSSAALKINSPAPAAAASASAGAGFGQDAARTAAAAMAPVAPQLTLQPQPQPQQASQQTAQQQQQARKARRCWSPELHRKFVNALNQLGGPHATPKQIRELMHVDGLTNDEVKSHLQKYRLHTSRMVSRRPLMHHRPVVLSGGLWMLPSEESSSLSGSPPGPLSGMAVSSAVSGEEDDGRSQSHGWK* >Brasy6G105400.1.p pacid=40050034 transcript=Brasy6G105400.1 locus=Brasy6G105400 ID=Brasy6G105400.1.v1.1 annot-version=v1.1 MAASGSGGEPGRPWTATSTWAPAGAAAVEDAVSFETSTDDAESSPTAVVLARPPSDGGEDPPPCEVTVNFTGKYEIHRVYVKSTARIYEIYYSTDLKDTSKDYLCTVRCGLAANEPLPSGEEFMSQGSSNTASVEKHEETKSVTSSSDEDNWVEVKIPESPLEKNTPESQERNAIGTHQKNTLAHYEATAEITDASPCVSLTVRLLSLESKTSVHIEEIYIFADHVGSTSDESVAGPGNMGGSSLLAMLVPGLMQMSNSTKCKIDDRYFPDGLRTQLPEGCALKENSPCGKIALEEAMCGTNDSRFMSAGLENRIPPMGSDMISNEKSNQGEFPLNVPRHLPLPERTTENIQVASAKGQRVSNTDQDTIPVVNENVTPHNPIERTLEILLSKVEKVELYCSRFEGSMMRPLGSIEARLQRLEQQLDSFSVEIQSLQGLSARMSVPNVSSDMTNSQEKEHYSGNTGTSASIMNRQSGLVIRAPEFSLEDSCGCDLADENTVCGPNVKAPDFICEPEVTCEKLHDGPFPPADSVSSSEKERKTTPGLAVKVPEFPNDEDDEVEEVKEAEAGDLDDGHTNSDDTLSKSTVSGPKGKAPVTIDGALAFALEAFLTSTKATSSPKSVACTASNLSAENTIDSSSSSLSNGQADEISTKDGSVGQFYGEFGDVSKEVEVVPPTSVSKADLVGNVEVNELDIDLYPDKTAFARNEPWSVPSWLHTGSVDDRMQVNRKNNDSTLDTMPFVMSNEPVDDPSQPPTLRGSVADGTHVKEKPSTNWESVDKTAQVNESRSVVSLAEFLAARNASSFRNGPSEVCHGNDGSAISSFKRTSPGAHKNLEDGDSKVGQKNPMFQLLLVKKALEIDEGERNISGDPSINTTFESSSCAAPANGATGDGVNAMETLSDKDGDLEITENNIRLSGGMDSVFFEFPDSKNTWIENSSLNSGPVESLMKPELEHSWLDLSSMESFGVVFAKEAISSRNTATGNHFEDLFAGNGASYNVTPAAGPQLQRVYDLLYECENDILGMPFVAERTNTSSPSLEVLLGESSDSEAQISDLEDIDNEAAPFGSDNLFSTFSSSDEEAFAMDRPLVDVVDAPLPSEVYASAANEPLVDVVDLTDPSETYAPSVNEPYSDVADLPEPSNIYTSAGDKPLSSVDGLPETSETVAGGSSGEHHDSLI* >Brasy6G028200.1.p pacid=40050035 transcript=Brasy6G028200.1 locus=Brasy6G028200 ID=Brasy6G028200.1.v1.1 annot-version=v1.1 MLVLFETPAGFALFKVLDEGKLSKVEDLWNHFASSDKARKVVELKAFNKFENTSDALSAATLLIDSKPSKGLRKFLQKHCDGETLAVADSKLGNAIKEKLKIDCRHDSAVMELMRGLRSQLTELMSGLAEHDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIHYAKAVKMMGNRVNAVNLDFSEILPEEVEAELKEAAVISMGTEVNDLDLLNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALADGDDNSMGLESRIKLETRLRVLEGKELGRSAGSTKGKPKIEAYEKDRKGAGALITSAQTYNPASDLVLGKSTEETPKKSEVASKKRKHEEAEPTKEATEADGEQEKEKSKKKKKKSKDSEESPAADADGGEKKKKKKNKESEEAPLADADGEKKKKKKKSDAQDAPMETDESSKKEKKKKKHADE* >Brasy6G077700.1.p pacid=40050036 transcript=Brasy6G077700.1 locus=Brasy6G077700 ID=Brasy6G077700.1.v1.1 annot-version=v1.1 MGKSKKSKVRGGGDDLLDTSDIDSVGSSSTALSDLSISYATENVNSQEFVLDKYIDALYEKRGSTREAALAALVDAFESFMLDGLLENKYATLLSQFNSSIKKGSTKEACLASRAIGLLSITLGAGSSSHETMAESHPQLCRVLQTWSDASKMIAALDCLAVVTFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPVLAAALSAWTFLLTTIGSWRINTDSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSSCEDADDDNDTGSSKGKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLSDQRDLFQRILDFVKYGECPEESLKIAGKRDVLKVSSWSELIQLNFFKRFLGRGFLKHVQDNGLLQDIFNIKTDRAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLNE* >Brasy6G077700.2.p pacid=40050037 transcript=Brasy6G077700.2 locus=Brasy6G077700 ID=Brasy6G077700.2.v1.1 annot-version=v1.1 MRRGVLQGRQHWLHWLMLLKVLCLTVFWRTRLLSITLGAGSSSHETMAESHPQLCRVLQTWSDASKMIAALDCLAVVTFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPVLAAALSAWTFLLTTIGSWRINTDSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSSCEDADDDNDTGSSKGKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLSDQRDLFQRILDFVKYGECPEESLKIAGKRDVLKVSSWSELIQLNFFKRFLGRGFLKHVQDNGLLQDIFNIKTDRAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAAMLNE* >Brasy6G065300.1.p pacid=40050038 transcript=Brasy6G065300.1 locus=Brasy6G065300 ID=Brasy6G065300.1.v1.1 annot-version=v1.1 MELLPTNAVLALLLAATLSLAVAYDPLDPHGNITIKWDIISWTPDGYVAAVTINNFQAYRQIPSPGWTVGWTWAKREVIWSMVGAQATEQGDCSKFKSNLPHSCKRDPAVVDLLPGVPYNQQIANCCRGGVVSAYGQDPATAVSAFQVSVGQAGTTNKTVRVPKNFTLLGPGNGYTCGPAKVVPSTVFLSPDRRRKTQALMTWNVTCTYSQHLASRYPTCCVSFSSFYNDTIVPCARCACGCGDARKSCVRSPPRDLKRLVPARAHALAAHGGLRRGASRESLAPVLQCTAHMCPVRVHWHVKLNYHDYWRAKVTVTNFNYAMNYTGWTLVAQHPNLDNITQVFSFDYKPVVSYGSINDTAMFYGMKFFNDQLMEAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKIYFNGDECQMPPPDDYPYMPNSSPPSASASTAMIVGSAVAAFLVLLMA* >Brasy6G185400.1.p pacid=40050039 transcript=Brasy6G185400.1 locus=Brasy6G185400 ID=Brasy6G185400.1.v1.1 annot-version=v1.1 MNSSSTIKRCNLSADDDPASAAATRVEEERICSERELERGKQEMARETVVYLRGRRRGRGVAARSSEGRRRGRGGSGGRQLVVACGSGGGLGACRERGWEEASGGRERAEGGCRRREGENEPRVAAGVGREREWVAAAW* >Brasy6G086400.1.p pacid=40050040 transcript=Brasy6G086400.1 locus=Brasy6G086400 ID=Brasy6G086400.1.v1.1 annot-version=v1.1 MAAFHPLVASRVHLSPLLPAVAGPAAAAGLSFHRRRFSAIVAAATAPTATEFDFKAYMGERAVAVNQALDAAIPAGEPPAALHDAMRYALLAGGKRVRPALCLASCVVVGGREAWAMAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLSFGHMASMDSYPPDVDPEKHPARVVRGIGELARCIGSEGLVAGQVVDLEMTGSTETVPLDRLEYIHLHKTAALLEASVVIGAIIGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLCDAKEQLADFDKEKAAPLLYLANYIAYRQN* >Brasy6G080700.1.p pacid=40050041 transcript=Brasy6G080700.1 locus=Brasy6G080700 ID=Brasy6G080700.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTVTLEVESSDTITNVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGGKGGSYPKIDLNLLQLALKYRQHKMICRKCYARNSSRAENCRKKKCGHTSELRPKKKLDAKRE* >Brasy6G175600.1.p pacid=40050042 transcript=Brasy6G175600.1 locus=Brasy6G175600 ID=Brasy6G175600.1.v1.1 annot-version=v1.1 MGTEGNTATGSCLRRRRVLLFPLPYQGHLNPMFQLAGLLHARGFAITVFHARFNEPDPSGHPAFEFIPVPDGMPAGNPESVVVTVEHIFTVNRACEAPFRESLAALLDAPGRRAEVACLVADAHLLTLVNVARQQGVPTLALRTGSAACFRNFMAYPMLCDKGYLPAQESRLDEPVGELPPYRVRDLMAIGSGGVVHDMARGLMARAVEAVRASSGFILNTFDALEADDLATTRRDLALPVFDIGPLHKISPAVSSSLLTQDRGCLEWLDAQAPASVLYISFGSLANMSGAELAETAWGVADSGQPFLWVLRRDLVRGAAEAALPAGFGGATQGRGKIVGWAPQEDVLAHAAVGGFWTHCGWNSTIEGACGGVPMLCRPCFGDQMGNARHVEHVWRAGITLDGELVRGNVEAAIRRLMRSKEGDEMRERARELKSRADEAIAEDGSSRLSIDKLVNHILSL* >Brasy6G193400.1.p pacid=40050043 transcript=Brasy6G193400.1 locus=Brasy6G193400 ID=Brasy6G193400.1.v1.1 annot-version=v1.1 MAGGFSIAADGKAAGRREFKGKITWYVWICGIIAATCGLMFGYDIGISGGVTAMDDFLIEFFPSVYARKHRAKENNYCKFDDQRLQLFTSSLYLAALTASFGASMVCTRFGRKRTMQAASVFFLAGTGLCAGASNLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTIGILVAQIVNYLTSAVHPMGWRYSLGGAAGPAAVLFLGSLVITETPTSLVERGHEEAGRAMLEKIRGTKEVDEEFEEISLACETAAKMCEEEKPFRRLRRRESRPPLVIAVVMQVFQQFTGINAIMFYAPVLFQTMGFASNASLLSAVVTGGVNVVSTLVSIVLVDKIGRRKLLLEACVQMLIAQVAVGGIMWVHVKADNSPSHGWALATVVLICVYVSSFAWSWGPLGWLIPSETFPLETRTAGFSFAVSSNMFFTFVIAQAFLTMMCTMRAFIFFFFGICIVVMGAFVLALLPETKGVPIDEMVDRVWSKHWFWKRYFRDADDAKVNNC* >Brasy6G068700.1.p pacid=40050044 transcript=Brasy6G068700.1 locus=Brasy6G068700 ID=Brasy6G068700.1.v1.1 annot-version=v1.1 MPPNGGGAARPLDLDLSPPKPAALAFLFLVLCGGDFWAGTASAADTILPGEPISGNQTLVSKSGAFELGFFPPGPGIHYFLGVRLRNMAGDSPTFWVGDRVVITDLPSASLEVFCDSLYIKQGGASLWWSPPAGNGSTPSAAVAVLLDNGNLVVRDRGNYSLVLWQSFDYPGDALLPGGRLGFDGDTGKNVSLTFRDFSHNGSLAVDASRRNGFVLTTDGHDHRGTFPDWMVSSRDNGSSLLLNHPVSPNGTEFLQFHLGQVSLMRWSESDPAAGNGSAAGWVARWTFPSDCKSGGFFCGDFGACTSTGKCICVDGFVPSYPIEWGLGYFVTGCSRSLPLSCESGGQTEHDDSFAPLDSLQGLPYNAQDEIAGTDEECRAACLSKCYCVAYSYGHGHGCKLWYHNLYNLSLAAIPPYSKIYIRLGSKLRNKQGLQTKGIALLVAGSVAIASLVLILVLLWRYRRNSSAAKTFEVEGPLVVYPYAHIKKATMNFSDKIGEGGFGSVFKGTMQGSTVVAVKNLKVLGQAEKQFRTEVQTLGMIQHNNLVRLLGFCVRGNRRLLIYEYMPNGSLDAHLFSDKSGLLSWNVRYQIAIGIAKGLAYLHEECEDCIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFNSVLTTIRGTMGYLAPEWISGLPITKKADVYSFGIMLFEIISGRRSTQMMKFGNHRYFPLYAAAQGRLLVHPG* >Brasy6G062400.1.p pacid=40050045 transcript=Brasy6G062400.1 locus=Brasy6G062400 ID=Brasy6G062400.1.v1.1 annot-version=v1.1 MDEAWERAVEAALHAGGEGSASPARSLTLDGAVKCMHGRLPAKEILERHQSLEHLSIAGVGAASLAGFPRLRNLTRLTLSDNRIAGGLEHLVEAGLGSLRDLDLSNNRIQDVDDLAPLAGLRLVSLDLYECPVTRVKDYRSRVFGMIRTLKYLDKMDADENERPESDDDDDEGDGEGDGEEEDDDEDEDEEDPGSGEVANGGVSHSRRGAVGHPVEVNGVIDVDEDESDADEVVPNGGAEHHHHRANGFRVAAVGGAPDEDDEDVEEDDDEDDEEYEEEEDLGEEIDEEGDEDDAVVEVHDVQSSDEEEDGIDEDEDDDEEEVEDDGEEAEPESSGRVALAAGGVGEEIDGHEQGEGEDEDENGEIGEEDEERLEDNRVYDEGNDDDDADDEDEDTEYLVQPIVPPQAMAVGSPGDYDVADPDDVDEDRDEVDDDDDEGGTDQPSSSQGTKRKRGDDPSGSGSDDEDDDVEDPRPFKHH* >Brasy6G087300.1.p pacid=40050046 transcript=Brasy6G087300.1 locus=Brasy6G087300 ID=Brasy6G087300.1.v1.1 annot-version=v1.1 MAASSRRASQLLGSAASRLIHARGYAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGMGGDPFNGTNFVDCLEKFVADPQTEGIVVIGEIGGTAEEDAAAFIQESKTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALRDAGVTVVESPAKIGSTMFELFKQRGMVE* >Brasy6G227300.1.p pacid=40050047 transcript=Brasy6G227300.1 locus=Brasy6G227300 ID=Brasy6G227300.1.v1.1 annot-version=v1.1 MPPSPLWLLVRLYFGDCGVDLGVGEVRSVGFPLSSSFFFFNKSPSLNRFKAVVFQILLTCESLCWSSCSLALWLGRFGSGPSHGCTLFFGLWIYFVLVMCCTDMNSTRGAILHEPQSCSASTSILGGPFVVSGQDKGKWMMASLLWLLRGVLCLLKRLFGGCRRMWIPNILGAGVLDPPGSPLSHAEAVGLEDAASRWWRPVSVLHRWQRRRSKSWSAVAASSRRVYIHVVYKRHASYFSIECETRVSMGRVVASFYICALFLCEMY* >Brasy6G182000.1.p pacid=40050048 transcript=Brasy6G182000.1 locus=Brasy6G182000 ID=Brasy6G182000.1.v1.1 annot-version=v1.1 MEIFVSAMLGELASRSVSFFINKYSKQPEQRVEVNLETILLRVQAIVDEAEGRHITNQGMLRQLSMLRDAMYQGFYVLDTFKYRAFEGNKDEDHQVASHSKALSKFNHAKRICLSSSTKASQELEVEEVLDSLRTMIIDVSESVMFLTTYPRLHRQPYSMHILMEKCMFGRQMEMELVINFLLHTQPCSSSSLDKFDVLPIVGPGRSGKSTLVFHVCNDERVRGNFSQIMFFEYGNIRDEDPTIWRNKHTVRHGKNGKLLMVFDTVGELNENLWERICSLSRRCTTSGSKIIVTSRSDKIRKLGTTQAMTLKRLSHEAYWYFFKVITFGSTNPEMHPKLLYFAMEIAKMHNRSLVAANIIARLLRANFGIHFWCKVLKFVRRHVENHLSMFGEHPCELLDESKPVYLRRLGRTSEDLFISDQYQTCSSQEELPEITVQDVMYGGVKPHGIFKVLAWKSRIPPYNCYIYTCEIREQKTRVVKRKRSLNDSCRHG* >Brasy6G097400.1.p pacid=40050049 transcript=Brasy6G097400.1 locus=Brasy6G097400 ID=Brasy6G097400.1.v1.1 annot-version=v1.1 MLPRTHSHNARRRSGLGPQLCAVAAALLLLLSLAVLHSRLSSSSSPFPLSASSRSSASDAAAAANSTALLADEDDADAAVAAALDPDLALAAATTAAATDGGAATNPDDDRIDELDVLDEDNPSAVADPADDVSASAAAASLLWDHAAGVARLPFRLPAAGDPLPKGLPHLDSPRRIAAAAFGSDDEPVDLDLRMEISSIGGVEDALLLKPASGRSETRLRSGWARWLEGKADYLRRDRMLRSNLELLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMLLAEIEKDTSKNSRRRILQSSDNEHGMGATVKEEPEEGRRWGYFPGIDPHLGFSEFMERFFEYGKCSMRVFMVWNSPQWAYGIRHQRGLESLLLHHPDACVVMLSETLELEEAFQEFVKEGYKVAVAVPNLDELLEGTPAHIFASVWYEWRKTIHYPLHYSELVRLAALYRYGGIYLDSDVIVLKPLKSLQNCIGTVKQVSRDSSFSGAVLVLEKQSPFLVECLNEFYSTYDDTLMQWNGAELMTRVIRNHSDSDQHRGHLAIKLEPSVIFYPINSTDIMRYFSVPDNQVERAQHDALFSRIMNDSTTFHLWNSITSSLVPESNSLVERILNRYCLHCLDVL* >Brasy6G145600.1.p pacid=40050050 transcript=Brasy6G145600.1 locus=Brasy6G145600 ID=Brasy6G145600.1.v1.1 annot-version=v1.1 MDDTVVASLPDDVLADILGRLAPRCLATSRCVCKSWLAVVDARRLLRADLLPLSLGGLFIQLDGHPLPEFLSSPNSLSPINGALDFLPTAALPPNTWGWNDTLRVLGHCNGLLLLHGYIVNPATRRWDPLPPAPLQGAMPILSRDFLFADAHLAYDPTVSPHYEVLSVPWVGRYTTSQDHHHQHRLGPAEEYEWPPRAFKMQVFSSRTGCWGETSFLRQGDAAGTVFDMRTNVKHGYSVYQRGVLYVDWQFHFVLRISLSDRKYHVIKEPEGISYNKYLGKSDKGVYYASFTHYWRLQVWTLDQGSMTWILKHNDDLKPLLAHHQLPEGQTSWLLQDINYNFFRTRPPIEWNCDHDGRHMAREQPYNYCFEILGFDPFRETVFLSISRDDKTLETGLAYDLISSQVQVLGNLYPTHYRDHFNDCLSNEIWYFESFPYTPCWVQEFGGNQSN* >Brasy6G212800.1.p pacid=40050051 transcript=Brasy6G212800.1 locus=Brasy6G212800 ID=Brasy6G212800.1.v1.1 annot-version=v1.1 MDDARPSKRTRTAPATDTPPPSGWSDLLPEIAGGILSRLASFADRARFRCVCRQWRLAAKQQEPLLPPAFPCLATSGPGVFVSIPDGAHHRLPVPDGIIAAGAAHPRAAEAFDGWLLFRPQRGGDYDDYCVLVNPFSKATLKLPALCHDMEKLLVVPVNPSNGADHPVVAGITYSHDLLFCRPGARSWSERRPPAGAGTGRVRDMAVHQGKLFVLYGCADLFAYDFAGVEEEETAPVTVVHCINGADTAVLPPGLQDSATGRECGSFRLVVAEPADGGELLMVRRRFCTTINVLAADLECSRWTDATSSRLQELLLFVSASCSKAVRASRYGGGGIRGGRIFITNDYLHRLVFPADNGKYERMDAGFGVYEPSTGVFDHELLPDRACDGRCCDFPGNLFFPSFLSCSK* >Brasy6G060500.1.p pacid=40050052 transcript=Brasy6G060500.1 locus=Brasy6G060500 ID=Brasy6G060500.1.v1.1 annot-version=v1.1 MSTASLGIAALLDAYYRRRFAAAGLVESTVALDDGGAPTTTMHCWRFPPPPSAGRDDPRPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGPGSRTSAQGPGVRSEARQAEAVAKLVAAVVPSSGESVSVVGTSYGGFVAYHVARLLGPGRVGRVVIASSDLLKGADDDRALLLRAAAGSRPAVERVEDLMLPRTPERMRRLMELAYHRPRRFTPGFVIRDLVQFLYSDNIEEKQELIEGITLGNKDKFQLTPLRQQVLVLWGQYDQIFPIEKAVRVARQLGANARLEILQNTGHMPHEEDPKRFNEALLNFLLPPPSSAL* >Brasy6G208200.1.p pacid=40050053 transcript=Brasy6G208200.1 locus=Brasy6G208200 ID=Brasy6G208200.1.v1.1 annot-version=v1.1 MSLIAGEEFQHILRLLNTNVDGKQKIMFALTSIKGIGRRYSNIVCKKADIDMNKRAGELTTEELDRLMVVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR* >Brasy6G225900.1.p pacid=40050054 transcript=Brasy6G225900.1 locus=Brasy6G225900 ID=Brasy6G225900.1.v1.1 annot-version=v1.1 MHVRALSLDHPVFIYRIEILFSERMKGGGGRYIKNLEGAAPCWIRSRVQYGSSRMHAALSYHGRRNIKV* >Brasy6G175400.1.p pacid=40050055 transcript=Brasy6G175400.1 locus=Brasy6G175400 ID=Brasy6G175400.1.v1.1 annot-version=v1.1 MATEERATDAVRRRVVLFPLPFQGHISPMLQLAELLRARCLAVTVLHTDFNAPDPACHPELAFVSIHETLPDEAASPDADIVAQLLALNSACEAPFRDALEALLRGPDDVACAVVDGQWYAALGAASGLGVPVLALRTDSAATFRSVLAFPRLRASGYIPIKGEQLEELVPELEPLRVRDLIRVDGSDTDALCGFIGRVADAMRASACGVVLNTFDAIEAPELAKIQRELSCPAFAVGPLHKLCPARARPAAEHGSLHALDRGCLPWLDAHPRRSVLYVSLGSVACVDRAAFEEMAWGLAGSGVPFLWVVRPGSVRGTDEAPAPSPPLPDGLDEEAGWSRGKAVAWAPQREVLAHEAVGAFWTHCGWNSTLESICEGVPMLAQPCFADQTVNARYVTHQWGVGLEVGEAVERARVAEAVRMMMAGEEGDRVSQRARELKSQADRCVATSLAIDNLVQYVMSL* >Brasy6G015700.1.p pacid=40050056 transcript=Brasy6G015700.1 locus=Brasy6G015700 ID=Brasy6G015700.1.v1.1 annot-version=v1.1 MADATREQLRARVRAALPWTVRLQLFRLEAAFDVTQRRRDGTVNRCLFNLIADRRQVPADDASGRVRSVDVTVNASTGVTARVFFAAPEPTPPPPPCPVIVYFHGGGFTVFSAATGPLDALCRAICRDAGAVVVSVSYRLAPEHRYPAAYDDGEAVLCYLAANATGFPVPIDLSRCFLAGDSAGGNIAHHVAHRWTASPPPSDTPIRLAGVMLIAAFFGGEERTDSELTLEGVAPIMNLRRSDFWWKVFLPVGADRNHPAAHVTREAGPEPELAEAFPPAMVVVGGLDPLQDWERRYAAMLRRKGKAVRVVEFPEAVHGFYFFLALPESGKLIAEISAFVQSVTSESAVA* >Brasy6G129500.1.p pacid=40050057 transcript=Brasy6G129500.1 locus=Brasy6G129500 ID=Brasy6G129500.1.v1.1 annot-version=v1.1 MLLRRAAAVAAQRSPRLSHSRALRRHVGPSRPYSATTPTFSPHRRSRRRTPQVSDGAISTATAPRPFPDYFPPRTDSPADDALARRLATALLASPNPGSIPPLPFTPLLRPLHLLLALPLLASHPNLTSLLVPLLLLFPSRSHPHPHLIQCFAIAAHLAVRDRSTARAILVRAIRFPSPHRHFVEQFIFTYKAFSSDPVSFDLLILCLPSAPLLRRIRQYGLSPSPESCNAVLSRLPLEEAIEFFQELPDKNTCSHNILLNMLCSAGRLKDARQLFDEMSLPPDVVTYGTMVHGYCVHGDMENAVKLLDEMAVKGLESNATAYTSVIALLCDKGQVSDALRVVEDMVMHGVALDAVVFTTIMSGFCSKGDLAAARRWFEEMQKRGLAADGVTYTALINGLCQAGELKEAERVLGEMVDKRLDVDVVTYTVLIDGYCKRGNMVEAFRVHNEMVQRRVAPNVVTYTALSDGLCKLGDVQAANELLHEMCNKGLELNVYTYNSLINGLCKFGNMEQAMRIMTEMEAAGLKPDVYTYTTLIDTLCKSGEFDRAHSLLQEMLDKGIKPSIATYNVLMNGFCMSGRVEGGKRLLEWMLEKNIRPNVVTYNSLMKQYCIDKNMKSTTEIYKGMYAQEVAPNENTYNILIKGHCKARNMKEALYFHNEMTQKGFRLTASSYSALIRLLNKKKKFVEARGLFDEMRKEGLTAEPDVYNFYIDLDFNEDNLESTIALCDELVETILVKSKSDTN* >Brasy6G129500.2.p pacid=40050058 transcript=Brasy6G129500.2 locus=Brasy6G129500 ID=Brasy6G129500.2.v1.1 annot-version=v1.1 MLLRRAAAVAAQRSPRLSHSRALRRHVGPSRPYSATTPTFSPHRRSRRRTPQVSDGAISTATAPRPFPDYFPPRTDSPADDALARRLATALLASPNPGSIPPLPFTPLLRPLHLLLALPLLASHPNLTSLLVPLLLLFPSRSHPHPHLIQCFAIAAHLAVRDRSTARAILVRAIRFPSPHRHFVEQFIFTYKAFSSDPVSFDLLILCLPSAPLLRRIRQYGLSPSPESCNAVLSRLPLEEAIEFFQELPDKNTCSHNILLNMLCSAGRLKDARQLFDEMSLPPDVVTYGTMVHGYCVHGDMENAVKLLDEMAVKGLESNATAYTSVIALLCDKGQVSDALRVVEDMVMHGVALDAVVFTTIMSGFCSKGDLAAARRWFEEMQKRGLAADGVTYTALINGLCQAGELKEAERVLGEMVDKRLDVDVVTYTVLIDGYCKRGNMVEAFRVHNEMVQRRVAPNVVTYTALSDGLCKLGDVQAANELLHEMCNKGLELNVYTYNSLINGLCKFGNMEQAMRIMTEMEAAGLKPDVYTYTTLIDTLCKSGEFDRAHSLLQEMLDKGIKPSIATYNVLMNGFCMSGRVEGGKRLLEWMLEKNIRPNVVTYNSLMKQYCIDKNMKSTTEIYKGMYAQEVAPNENTYNILIKGHCKARNMKEALYFHNEMTQKGFRLTASSYSALIRLLNKKKKFVEARGLFDEMRKEGLTAEPDVYNFYIDLDFNEDNLESTIALCDELVIGMTS* >Brasy6G119800.1.p pacid=40050059 transcript=Brasy6G119800.1 locus=Brasy6G119800 ID=Brasy6G119800.1.v1.1 annot-version=v1.1 MRHCTREWLLAPCIISVLILAPAVCQGLLFGAADMSPPALTPPFIKQVDDWVEHAWLKCGLDKKSLQDVRSYYNYDHVLEILRRISAKDNKDSSPVIEQGTSPLAPVIKLTLLNCLSKQSFEVETNLPAGYIKTLIASIRKELTLGPAPKNEAVKPTPGTTMPKPAEKPTASVSSQTTPTEKAVPTTKSVVKKDNNGMPTAVVIGLSVAGIALLAVLFLRCREQGSAYDDKPLLNLTSSLNSSQANPIDVNKLGALSLMPEAGQNVHVNQSSQEGADTDQNGHVNQSSKEGANADQNGHVNQSSQEGANAYQSDHVKLSPQESANAVQNGYVKLSPEEGANTGPNNHMKLSSQEGANTGQNSHVKLISQESANTDPAVYNSSAEPMAAFVVSVQGSTPMPPSVMLPPAPPLPMAPPPSNLQAPAPPPKASPVPPLGPSPPPAPQTSQFPPSGPSSPTPPKAAPPPPPSKSAGPRPPAMPGSKTRPPPPMKKSGNKADTDAGSSEAKTKLKPFFWDKVTANANQSMVWDHLKAGSFQFSENAIESLFGLSSDKKGSDAKKDTSKEASQLVGILEPKKAQNLAISLKALSVSSAEVCSAVKEGNELLSDLIQTLIRWVPSNDEELKLRLYTGEFSQLGPAEQFLKAIIDIPYVYQRLEALLFMANLPEEASNVKQSFATLEVACEELRSSHLFFKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAAKEQTSTVSSMNTNDPADDNNEKTEDEYKQLGLQVVSRLGDELQNVRKAAILDADQLTMSVASLSHKLGRTNEFLSTSMKSLDEDSGFHRKLVHFIEQAQAEVNFLLEQEKKIRTLVKTTVDYFHGSTGKDEGLRLFIVVRDFLAMLDKVCKEVKEASKVVPKKTKTRGNLPSQPPKSFQDPRRNLFPAIQDRRADSSSSSSDDES* >Brasy6G119800.2.p pacid=40050060 transcript=Brasy6G119800.2 locus=Brasy6G119800 ID=Brasy6G119800.2.v1.1 annot-version=v1.1 MRHCTREWLLAPCIISVLILAPAVCQGLLFGAADMSPPALTPPFIKQVDDWVEHAWLKCGLDKKSLQDVRSYYNYDHVLEILRRISAKDNKDSSPVIEQGTSPLAPVIKLTLLNCLSKQSFEVETNLPAGYIKTLIASIRKELTLGPAPKNEAVKPTPGTTMPKPAEKPTASVSSQTTPTEKAVPTTKSVVKKDNNGMPTAVVIGLSVAGIALLAVLFLRCREQGSAYDDKPLLNLTSSLNSSQANPIDVNKLGALSLMPEAGQNVHVNQSSQEGADTDQNGHVNQSSKEGANADQNGHVNQSSQEGANAYQSDHVKLSPQESANAVQNGYVKLSPEEGANTGPNNHMKLSSQEGANTGQNSHVKLISQESANTDPAVYNSSAEPMAAFVVSVQGSTPMPPSVMLPPAPPLPMAPPPSNLQAPAPPPKASPVPPLGPSPPPAPQTSQFPPSGPSSPTPPKAAPPPPPSKSAGPRPPAMPGSKTRPPPPMKKSGNKADTDAGSSEAKTKLKPFFWDKVTANANQSMVWDHLKAGSFQFSENAIESLFGLSSDKKGSDAKKDTSKEASQLVGILEPKKAQNLAISLKALSVSSAEVCSAVKEGNELLSDLIQTLIRWVPSNDEELKLRLYTGEFSQLGPAEQFLKAIIDIPYVYQRLEALLFMANLPEEASNVKQSFATLEVACEELRSSHLFFKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAAKEQTSTVSSMNTNDPADDNNEKTEDEYKQLGLQVVSRLGDELQNVRKAAILDADQLTMSVASLSHKLGRTNEFLSTSMKSLDEDSGFHRKLVHFIEQAQAEVNFLLEQEKKIRTLVKTTVDYFHGSTGKDEGLRLFIVVRDFLAMLDKVCKEVKEASKVVPKKTKTRGNLPSQPPKSFQDPRRNLFPAIQDRRADSSSSSSDDES* >Brasy6G171500.1.p pacid=40050061 transcript=Brasy6G171500.1 locus=Brasy6G171500 ID=Brasy6G171500.1.v1.1 annot-version=v1.1 MSTCTVVASCAPASNFGIKASMAAVKVNSVGFAGHRAVIPSLKTSRNLAAMAVYKVKLVTPEGDEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGTVDQSDGSFLDDDQQSEGYVLTCVSYPKSDCVIHTHKEGELY* >Brasy6G084500.1.p pacid=40050062 transcript=Brasy6G084500.1 locus=Brasy6G084500 ID=Brasy6G084500.1.v1.1 annot-version=v1.1 MWVCCPSACTVCWRWSWRRSSSSMSDVSSPPLGSDTNVLLKEARSRWLKPSEVYSILLNHECLLIIHEPPNMPS >Brasy6G021000.1.p pacid=40050063 transcript=Brasy6G021000.1 locus=Brasy6G021000 ID=Brasy6G021000.1.v1.1 annot-version=v1.1 MPQGDYIELHQKRHGRRMDFEERKRKKEARSVKKNSKDARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVDDDVEEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRGVAEDEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV* >Brasy6G254100.1.p pacid=40050064 transcript=Brasy6G254100.1 locus=Brasy6G254100 ID=Brasy6G254100.1.v1.1 annot-version=v1.1 MADGGGPNVWRGKVLDAIYGHYEDALAALPPALAPRLLAAGVSFGFGDPVTNILTNTVVFSDPGATKKRKRPATAREVLSKIFAAGNGLSPPESRTIAERSLVGLLTFLTSYFRHLSPWDALHYLYQSRADLLVAVHLVESDRCYDRRRKDKFCIRSLAVKTALKCAALSASLPNIDEFLTGSYACASHLKLITQTAPPAKRCRRLLAGLLQKQPVKLNKSNNDPINLAVLRFHHHKPDASIKKVPSELTDSLRSVLMDRIHKQYIKAISRLATEEFRISHHRSLFKAGYCYGPFSSPVSNIIVNSIWYQTAFPALEDFEVDVISTLSHVEFRSLDGLIAFMRVSIPEVSDHDAMVYLLKSNLNIRKSIRMARQGGHGTSGRHESGYKAAADVSYHPKPEAYLEFVVHFLPTVRTAVRSLLQDSQTLSSSKVLDLSRLLSPLGAKSSEPIDDELTKNALERLSNFKTDFLTHQSFVSGKIKAVLRKYEQTVGCCYELCIICGVNGSVGKTTGIRHLKSQYSHANFWAMPKNGNSATLFFAEFSNDEDCKNLQSFCHPVSDLSRHGRCCYCEFKGIRIVHPIEIYWEGANDFEKMARGEHKITTERIASRGKLEDNMVGIYKEDYIYLDPTQDAKLIRVMNRATYMMSLDCVDENGRLRQLDPQQAQ* >Brasy6G135400.1.p pacid=40050065 transcript=Brasy6G135400.1 locus=Brasy6G135400 ID=Brasy6G135400.1.v1.1 annot-version=v1.1 METGSGGGGRLRKSESAEMRWVVPGGADDEDEIESSDDGFGGTDTPVAASGSRGGCSDADEDEEDALLRQRLVRTGPRADSFDVEALDVPGLYRHQEFTLCRSIVLTLQTLGVVFGDVGTSPLYTFDIMFNKYPNTSKEDVLGALSLVIYTLILVPLLKYTLIVLWGNDNGEGGIFALYSLICRNAKASLLPNQLPSDTRISSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLVVGPALFIWFCCLSGIGIYNIMTYGSEVFRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLLENLTENEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQINIVVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFTAALYLIMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDENGDTDSEEEVASSSSRVLVGPNGSIYSLGVPLLAKPAGASNPTLGSSTSFGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV* >Brasy6G135400.4.p pacid=40050066 transcript=Brasy6G135400.4 locus=Brasy6G135400 ID=Brasy6G135400.4.v1.1 annot-version=v1.1 MHGYLEFFTFLRSQSFILFQEFTLCRSIVLTLQTLGVVFGDVGTSPLYTFDIMFNKYPNTSKEDVLGALSLVIYTLILVPLLKYTLIVLWGNDNGEGGIFALYSLICRNAKASLLPNQLPSDTRISSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLVVGPALFIWFCCLSGIGIYNIMTYGSEVFRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLLENLTENEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQINIVVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFTAALYLIMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDENGDTDSEEEVASSSSRVLVGPNGSIYSLGVPLLAKPAGASNPTLGSSTSFGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV* >Brasy6G135400.2.p pacid=40050067 transcript=Brasy6G135400.2 locus=Brasy6G135400 ID=Brasy6G135400.2.v1.1 annot-version=v1.1 MEFTLCRSIVLTLQTLGVVFGDVGTSPLYTFDIMFNKYPNTSKEDVLGALSLVIYTLILVPLLKYTLIVLWGNDNGEGGIFALYSLICRNAKASLLPNQLPSDTRISSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLVVGPALFIWFCCLSGIGIYNIMTYGSEVFRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLLENLTENEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQINIVVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFTAALYLIMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDENGDTDSEEEVASSSSRVLVGPNGSIYSLGVPLLAKPAGASNPTLGSSTSFGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV* >Brasy6G135400.3.p pacid=40050068 transcript=Brasy6G135400.3 locus=Brasy6G135400 ID=Brasy6G135400.3.v1.1 annot-version=v1.1 MFNKYPNTSKEDVLGALSLVIYTLILVPLLKYTLIVLWGNDNGEGGIFALYSLICRNAKASLLPNQLPSDTRISSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLVVGPALFIWFCCLSGIGIYNIMTYGSEVFRAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLLENLTENEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQINIVVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFTAALYLIMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDENGDTDSEEEVASSSSRVLVGPNGSIYSLGVPLLAKPAGASNPTLGSSTSFGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV* >Brasy6G117300.1.p pacid=40050069 transcript=Brasy6G117300.1 locus=Brasy6G117300 ID=Brasy6G117300.1.v1.1 annot-version=v1.1 MQAPANPSVDLPPLVAPPPRVNAPTPRPPPPASLQPDSPGVFFTAAAAAAPLGSAHRRIAIAVDLSDESAFAVSWAVANYLRPGDAVILLHVRSTNVLYGADWGSVTPTSPEDDAEVAARKMEEDFDALTASKAEDLAKPLQEAEIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGAARRASKGRLGSVSDYCVHHCICPVVVVRTPDDGVAEGGETTTALEAAVGAEDVLHPVPEEDAEYHDATEEHKDT* >Brasy6G114000.1.p pacid=40050070 transcript=Brasy6G114000.1 locus=Brasy6G114000 ID=Brasy6G114000.1.v1.1 annot-version=v1.1 MPLLHLSLPPHRLLVGRRRLFAPPTPPPSRHWIRAAAEEACSLPFAPERASYHRELAAAAASVERACRLCVDVKKSLLSGGRKILEKNDQSPVTIADFGVQALISFELQQLFPSIPLVAEEDSAFLRSSNPDDNSSSVLVESISSAVVDKVNNSGSNLSHHDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLKGDDALYVVGLALVVNGKVTVGVMGCPNWTNGTIANDKEESIAACRGSGILMVSHVGCGTWSRDLSAEIGQFTTSQDVWKRCFVDHCSVVHMARFCIPDSQTWNMIPLSLLFSSTTDESDPKDENKILLQYACCGSLCKYLMVASGRASVFFSRARVKTQIKAWDHAVGIVCVQEAGGQVYYLISDWSGKPLDFAADLTGRRIIYPSGGVLVTNGALHDKLVEMISANYE* >Brasy6G114000.2.p pacid=40050071 transcript=Brasy6G114000.2 locus=Brasy6G114000 ID=Brasy6G114000.2.v1.1 annot-version=v1.1 MPLLHLSLPPHRLLVGRRRLFAPPTPPPSRHWIRAAAEEACSLPFAPERASYHRELAAAAASVERACRLCVDVKKSLLSGGRKILEKNDQSPVTIADFGVQALISFELQQLFPSIPLVAEEDSAFLRSSNPDDNSSSVLVESISSAVVDKVNNSGSNLSHHDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLKGDDALYVVGLALVVNGKVTVGVMGCPNWTNGTIANDKEESIAACRGSGILMVSHVGCGTWSRDLSAEIGQFTTSQDVWKRCFVDHCSVVHMARFCIPDSQTWNMIPLSLLFSSTTDESDPKDENKILLQYACCGSLCKYLMVASGRASVFFSRARVKTQIKAWDHAVGIVCVQEAGGQISDWSGKPLDFAADLTGRRIIYPSGGVLVTNGALHDKLVEMISANYE* >Brasy6G241000.1.p pacid=40050072 transcript=Brasy6G241000.1 locus=Brasy6G241000 ID=Brasy6G241000.1.v1.1 annot-version=v1.1 MDPCPYVRVLVGNLALKMPVAPRPAGAGAGVHPTTSPCYCRIRLNKLPLQTAAAPLLPSDDAASQGPAATGALAAAFHLSKADLDRATAKPSLFGTRSARLKVAVYAGRRGVTCGVNSGRLLGKVVIPLDLKSAAGKPVVFHSGWLCIAKRGRKSGAASQAAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGAMRQPMFTCKFSCRTNSDLRSRSMHSDPGTRNWLTSFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGSDRVSRSNPGAWLVLRPGDGTWKPWGRLECWRERGASGAGGDSLGYRFELLLPDHPTGMGAGVSVAESAIPSSRGGRFAIDLTAAQPFGRSGSPACSPRGSGDLSGYAAVALGSCHRGGFVMSAAVQGEGRCSRPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSSSRSDLLR* >Brasy6G235200.1.p pacid=40050073 transcript=Brasy6G235200.1 locus=Brasy6G235200 ID=Brasy6G235200.1.v1.1 annot-version=v1.1 MKILSILAIFFVVLNAAHVESRPNPDDRLRHLFVFGDSFGDNGNTRQPLVDVVLGTDKVTRDTRQWFFPYGSFTDGRHHPTGRFSNYMVQSDLVANIMGLAVAPPVYKLTKKNTWDKSGMTFAVGGANVFHAPTGNKAVPTLRDQVDTFESLIAHGTISRKHVEHSVALIAISGNDYVSVGDAGGMNIGIGAFVKNVSREIVSNVQRLQEMGVAKVLVNNVPPVGCAPSQTTPVGFARCDRGGNNYASVQNRDLKRQLRAMDDVHIIDLNTAFTNIVGGEKTEVSSFFDERLAPCCRSTDPSGYCGQMGDSDSDFRYTLCENADKYFYWDEMNPTQAGWETVMEQLEDPIKKFLKLN* >Brasy6G143100.1.p pacid=40050074 transcript=Brasy6G143100.1 locus=Brasy6G143100 ID=Brasy6G143100.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQHLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGGGRGCYPRHVEPTLLKLALKHNEKKMICRKCYARLPSRSTNCRKKKCGHTKDLRPKKKLNSWH* >Brasy6G108300.1.p pacid=40050075 transcript=Brasy6G108300.1 locus=Brasy6G108300 ID=Brasy6G108300.1.v1.1 annot-version=v1.1 MTMQCLLLAILTASIFHMASSARGTISGNSTIGVDSIGTAYEVLEDNDLPPGLLPLGVESYTLKGGNLSVTLPNTCELSIPIAGKQYKFRYDRTIGGILQDGSISRVYGVRLQVEFAWLGLSQIQHLGDQLKLQLETSTQSFPASAFVLSPSCN* >Brasy6G024400.1.p pacid=40050076 transcript=Brasy6G024400.1 locus=Brasy6G024400 ID=Brasy6G024400.1.v1.1 annot-version=v1.1 MGLGAVAAEQLARLGGVLGTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLAAIAIFSKMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNALQNFDLNQIDAMVVLKFLGNFLYLFVSSTFLGVFAGLLSAYVIKKLYIGRHSTDREVALMMLMAYLSYMLAELLELSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKFASDSPGKSIGVSSILLGLVLVGRAAFVFPLSFLSNLTKKTHLEKISWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMLFGMMTKPLIRFLLPASSNSAVSEPSSPKSLHSPLLTSMQGSDLEATVPMVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPYAPGSPTEQSVHVER* >Brasy6G156900.1.p pacid=40050077 transcript=Brasy6G156900.1 locus=Brasy6G156900 ID=Brasy6G156900.1.v1.1 annot-version=v1.1 MARIKPKQLLIQSKTKKGPTRISYSSIVTWNLIVVLVVLSLYATYRHWHHRPSFDTEMDLPRAENVERSEDSAKISTPSYAIMETAKGSITIEIYKDASAGVVERFINFCKSDYFKGMPFRHVIKNFVIQGGDFDFNGASQEWILKAKASGENALSPKHEAFMIGTTKNPNDKGFDIFITTAPIPDLNDKIVVFGRVIKGQDIVQEIEEIDTDDHYQPKTPIGIINIMLRQKL* >Brasy6G079700.1.p pacid=40050078 transcript=Brasy6G079700.1 locus=Brasy6G079700 ID=Brasy6G079700.1.v1.1 annot-version=v1.1 MREEEMTFCGTGSFKDVDTEKIKGAPPEAGKTKKIKSPDAGKKKKTKKENPYASRGLDKFSTVLAELESRREKVLRRVDANSDGRVMVRFVQSGPKGWVPIVVKLPPDQEQPAAKADAKKKCCKSPSTESASASPRGAESASSSPRGVAAVAAPKADPAKKAAGAVERWRPSHYWPLVAVLLLAGLVVFGRVFAICCTSVWWYLVPILTGEEGEGRRRSMGTNNKIGRKVGEKLTWASLPPSHGKRGSSSSGAHEVISPRSHPKVKKG* >Brasy6G175900.1.p pacid=40050079 transcript=Brasy6G175900.1 locus=Brasy6G175900 ID=Brasy6G175900.1.v1.1 annot-version=v1.1 MAFSCASVRLQGSVGAVKCRASALARPSVCSYPSARRWSARAIRSQLPPRASGDGSAATTSGAAVGVPEAVQVAGPTEEVSAATQPTGLPEKGGEIASVDGSGGNGKFPPSGGGGDGDNGGGGGGGDGDKEGDDEFGPILSFEQVVQEVEKRGVSLPSLPAEMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCGLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGDEFWSEFELYAADMLVGVVVNVALVGMLAPYARFRGGSASGGGLLGRVRHAYDALPSSVFEAERPGYSFSVQQRIGSYFFKGILYGAVGFSCGLVGQGIANLIMTAKRSVKKSEHDVPVPPLLKTSALWGAFLGVSSNTRYQVINGLERLVEASPLGKRVPAASLAFTVGVRFANNVYGGMQFVDWARLTGCQ* >Brasy6G134100.1.p pacid=40050080 transcript=Brasy6G134100.1 locus=Brasy6G134100 ID=Brasy6G134100.1.v1.1 annot-version=v1.1 MDRRASFVVPSICIQIPEHLQLPTTLFEPQKAIQTPRTTRSSSGADEHDAAPPERQLTALAMQLAVFEKVVSRLGTLAFIWATVVLLGGFAITLSRTDFWCITVLLLTEGARIQGRSHELVWQQKATPASQQAVSRVLHWLQLLSASVCATLSLARLIQLQLPYGGGAEDAEDPWTNNCRAALDIFYGLALTESLLFLVEKALWQWRVGHRRLLECVAKDCHLGTAYGMVAVRRFFYDSYSRSLKGSIFDGLHMDLVSYADGMLAAGSHDDQSLGASILVALAESGRYADSTLRKIGMSASTIERLIHMLSWNNASERDVRRSAAVVLSMLSGRKLIALRVTGIPGAIESIASLLYADLDELNLLGLSILNKLALDHDNCSKIGKTRNLLDKIISYSSVGGSSGHQATDMRLKAAKQSLIMVKRLAGTPGATGKLLRRDLSDIVFTVSNVREVLQQSDGRVQSELHQPAIEVLTSLAMDKEAREMIGGTGGVVRELVALFLPPADQGRPAGNKKTDTIRVEAGEALAMLALESRRNCSAIVMACGGGVERLVDALNDPVIVVGAAMILRNLCTYIGEEWKLPLRGVAAGATKVLSSIMFEKTKILNIFLGLAAQMIPFMEPGELRASLATASITETELAETLLQILREYNRPSMVVPRIRRHTIEFAVAMMRLDSRYMALFVEHGMEGDLRRIAATTSELERFNVFSGSVGLNRHAVSVCSLVTSALDLMKQG* >Brasy6G047800.1.p pacid=40050081 transcript=Brasy6G047800.1 locus=Brasy6G047800 ID=Brasy6G047800.1.v1.1 annot-version=v1.1 MSSSDQEEEYHDEGSPLFRDDSEDSGRRRSSSSGPSSAAVGEVPVSQSIIKAASNVCFSLFVLAVIAVTVAAVTYQPPDPWLQSSAALTTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSSAAAAQRDAADQAAADATPAAAGSCDDNDPDAPVNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKSGECDVAWRFRNRREKSWRRYRDYRRFALTAGEGCALEIVSVGKFRSGKNAARSPRRTKGPKSPRVAAPPVDAEINDTIPVVGSEAEFRKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTEDGKDVDGKDFRFYFDFEHLKESVSLVEEGDFLKDWRRFDKKKGPGRITVRKVPTYKVTPMQLKREKSNIIWRQFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKKMYPNLDDDTSPGAIVEKVTKLIKPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTITGGKPVLFDAYMKVIVDTEVFYRSKAKVETFNNLTRDCKDGINTCNL* >Brasy6G260700.1.p pacid=40050082 transcript=Brasy6G260700.1 locus=Brasy6G260700 ID=Brasy6G260700.1.v1.1 annot-version=v1.1 MDGMRSFFFFLAAAPLLLLLLGTTTLCVDAQLRQDYYANTCPDVESIVRAAVTQKYRQTSITVGATVHLFFHDCFVQGCDASVMVASTANNTAEKDAAANLSLAGDGFDAVIKARAAVDAVPRCRNRVSCADVLAMVTRDAIALAGGPAYAVELGRLDGLSSTAASVPGKLAPPSSSLDQLTTLFGSNGLDQTDMVALSGGHTVGLAHCSTFASRLRPTPDPTLSPKFAAQLQTWCPANVDPRTAVPMDTVTPRSFDNQYFKNLQVGMGLLSSDQLLYTDPRTRPTVDAWARSNAAFDRAFVTAITKLGRVGVKTDPAQGNIRRNCAVFN* >Brasy6G063500.1.p pacid=40050083 transcript=Brasy6G063500.1 locus=Brasy6G063500 ID=Brasy6G063500.1.v1.1 annot-version=v1.1 MAGRAHNLAAVATLALAAIFVFLSSSPAPAEGAVTGCGQVVSALAPCIGYATGGAASPSARCCAGVRGLNTAASSSADRKTACTCLKQQTGSMGGIRPDLVAGIPGKCGVNIPYAISPSTDCSKVR* >Brasy6G108700.1.p pacid=40050084 transcript=Brasy6G108700.1 locus=Brasy6G108700 ID=Brasy6G108700.1.v1.1 annot-version=v1.1 MEHEFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLDKLSELGVVSWRLNADDWEKDENLKKIREARGYSYVDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQDEQWIRIAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDKIINRGGNQTVEAR* >Brasy6G238100.1.p pacid=40050085 transcript=Brasy6G238100.1 locus=Brasy6G238100 ID=Brasy6G238100.1.v1.1 annot-version=v1.1 MSSGSEDEQFIYTGFTGANLTLDGSAKVVPSGLLALTRDRYRIKGHAFHPAPLRFRRSPTGSVQSFSVSFVFGSFSSFSDIRGHGFAFLIAPSTNLSEAYSIQFLGLFNDKNNGSPSNHIFAVELDTIQNTEFGDINNNHVGIDINSLNSVQSWPAGFYDKNGMFREKQLIGGGPIQVWVEYDGKSTQINVSLAPLGMAKPVRTLLSTTYDLSGVLTDEAYLGFSSATGLSTGHHYVLGWSFAMNGDARILDPTKLPELPEIPQLSQRPQSKLLQIVIPIVSVVLILTISIVVFLMVRRNCMYKEVREDWEVEYGPHRFAYKDLLRATKGFKKNHLIGVGGFGRVYKGILPTSKLEVAIKRVSYNSEQGMKEFIAEVVSIGHLQHRNLLGLLGYCRGKGELLLVYEYMANGSLDKQLYGRSSKPTLDWAQRFRIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDEELNGRLGDFGLARLCDHGTDPQTTNVIGTVGYMAPEVVHTGKATTLTDVFGFGIFVLEVTCGRKPIKEDSDGNQLVLVDWVLLNWHKKTLLHTIDIKLQGNYDIEEACLALQLGLLCSHPFPNMRPNMRQVMQYLDGDVSLPEVLPAYFQPPHVSLNEGFNSSFASIPEDDSFPLIPGTS* >Brasy6G232200.1.p pacid=40050086 transcript=Brasy6G232200.1 locus=Brasy6G232200 ID=Brasy6G232200.1.v1.1 annot-version=v1.1 MHFPNGGIQAVLRTAPYTSSNGAVWFGVFSAVECGMLAVRRKEEPTWKDKSVAAAAACGVTSLHNGVRAAGRSALSGGAFGLVLFGGVDKLCQVLKDDRNRNLSP* >Brasy6G118200.1.p pacid=40050087 transcript=Brasy6G118200.1 locus=Brasy6G118200 ID=Brasy6G118200.1.v1.1 annot-version=v1.1 MPRLLFFCLVSSHFAVTAVMARQFPAVFLFDGVAADAPAAAADASWLRGHALLESRFAGSPLSSSRHGVTHGPFDRHFAGGKIILGGLVAAIVVAVFCYIRITRAKKIIVVEEPKS* >Brasy6G269100.1.p pacid=40050088 transcript=Brasy6G269100.1 locus=Brasy6G269100 ID=Brasy6G269100.1.v1.1 annot-version=v1.1 MGPAVRLLGAAALLSCLCLHGLLGNSGRAFAQGRPALHRIDHAEEDDDDSAGGGGLMPQLSPAGSPKPFVPFLAPSPLAPFYNNTTPKLSGKCSLNFSAIDGLMTTTAVDCFASFAPLLANVICCPQLQATITILMGQSSKQTGSLALDPTAANYCLSDVQQLLLSQGASDNLHSICSVHLSNVTEGSCPVSSVNAFESAVDSSKLLEACQKVDPVNECCTQTCQTAINDAAQKISAKEGAVLKSYNGSTKVDSCRNVVLRWLSSRLEPSSATKMLRQISNCNVNGVCPLSFPDTSKVAKECSGTIKNSTVCCKAMESYVTHLQKQSFITNLQALDCASFLGKKLQMMNVSMNVYSSCQTSLKDFSLQVGSQESGCLLPSMPSDASFDPSSGISFTCDLNDNIAAPWPSSLQASISSCNKSSSPSPPPIPERPAATSAQNGVNQNRMELNLVICLGTLLLALLLQV* >Brasy6G269100.2.p pacid=40050089 transcript=Brasy6G269100.2 locus=Brasy6G269100 ID=Brasy6G269100.2.v1.1 annot-version=v1.1 MTTTAVDCFASFAPLLANVICCPQLQATITILMGQSSKQTGSLALDPTAANYCLSDVQQLLLSQGASDNLHSICSVHLSNVTEGSCPVSSVNAFESAVDSSKLLEACQKVDPVNECCTQTCQTAINDAAQKISAKEGAVLKSYNGSTKVDSCRNVVLRWLSSRLEPSSATKMLRQISNCNVNGVCPLSFPDTSKVAKECSGTIKNSTVCCKAMESYVTHLQKQSFITNLQALDCASFLGKKLQMMNVSMNVYSSCQTSLKDFSLQVGSQESGCLLPSMPSDASFDPSSGISFTCDLNDNIAAPWPSSLQASISSCNKSSSPSPPPIPERPAATSAQNGVNQNRMELNLVICLGTLLLALLLQV* >Brasy6G067400.1.p pacid=40050090 transcript=Brasy6G067400.1 locus=Brasy6G067400 ID=Brasy6G067400.1.v1.1 annot-version=v1.1 MRPSSSSAEALRACFLLALLVGALALAPSATSRRPAMRTTAPAGSLLDDDAPADMPESPPNPADDDDDGPVLQRVARTTSEPEAPAFESVPEQQPSPSDDDFVPRDPDVATGN* >Brasy6G129900.1.p pacid=40050091 transcript=Brasy6G129900.1 locus=Brasy6G129900 ID=Brasy6G129900.1.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHIEICGKKRIAAFFRERKSQLPCSQADGDH* >Brasy6G129900.4.p pacid=40050092 transcript=Brasy6G129900.4 locus=Brasy6G129900 ID=Brasy6G129900.4.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.3.p pacid=40050093 transcript=Brasy6G129900.3 locus=Brasy6G129900 ID=Brasy6G129900.3.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.5.p pacid=40050094 transcript=Brasy6G129900.5 locus=Brasy6G129900 ID=Brasy6G129900.5.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.2.p pacid=40050095 transcript=Brasy6G129900.2 locus=Brasy6G129900 ID=Brasy6G129900.2.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.6.p pacid=40050096 transcript=Brasy6G129900.6 locus=Brasy6G129900 ID=Brasy6G129900.6.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.8.p pacid=40050097 transcript=Brasy6G129900.8 locus=Brasy6G129900 ID=Brasy6G129900.8.v1.1 annot-version=v1.1 MYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHISCISK* >Brasy6G129900.7.p pacid=40050098 transcript=Brasy6G129900.7 locus=Brasy6G129900 ID=Brasy6G129900.7.v1.1 annot-version=v1.1 MVMYFCHVDDFESACFISSTTQKLLALAEPLLKKHISSHNLHEPEALALYISIFQFWNNRQSMMLLWKQTSFAALQRKNCLNSYLCAALRFGMEALSIPQEHM* >Brasy6G168000.1.p pacid=40050099 transcript=Brasy6G168000.1 locus=Brasy6G168000 ID=Brasy6G168000.1.v1.1 annot-version=v1.1 MERDDQMIPNTLLYWTQKYHIILKGKLNKSRIHFIDGQEKLQLLKQYFLFSRTELLG* >Brasy6G068600.1.p pacid=40050100 transcript=Brasy6G068600.1 locus=Brasy6G068600 ID=Brasy6G068600.1.v1.1 annot-version=v1.1 MWPMEEEFYMPVKRTEHVEVTSRVVVASSPASSAKAKGKYPKTVRVFCEDNDATDSSSDEEGAPERRRVKRYVQELRLEPAAPAKARSAEDAAPAPAPAMTATTKALVPVRKRKAGADAGGSPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAARVYDSAAIKLRGPDATVNFQESEDGDIEVPPEVAERLPQPPAAAAGSKNASSSATSYDSCEESHVAAASPTSVLRCSFTPSTLSAAAGDENTSKKPSASFRSVETGESSGVFGCSFPDNSFAGELPPLFTDFDLLSDFPEPPMDFLSNLPEEHFPFSNDSSPDFRLDPPSPAALQQADEFFEDIADLFQIDPLPAI* >Brasy6G175200.1.p pacid=40050101 transcript=Brasy6G175200.1 locus=Brasy6G175200 ID=Brasy6G175200.1.v1.1 annot-version=v1.1 MALSLSLSLSLSLSPALAAPCISHSSASLQARRRVPPAINLAYFRRHCLSGARMRPSPPVVPLPRLLPWPLLVSFPRLLHERTRYGDNSQCLARAVLF* >Brasy6G139500.1.p pacid=40050102 transcript=Brasy6G139500.1 locus=Brasy6G139500 ID=Brasy6G139500.1.v1.1 annot-version=v1.1 MQQRSLGVLLLPLRLWLFAVAASPPLLAVLAADLNKEPFTIRISCGSFDDVHTAPTNTLWYRDFGYTGGRFANATRPSYIIPPLKTLRYFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLSGWSSDDEMTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGLLWGKGTVLRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGLKTLSSSSDDQPVSTENVIAETLLAPNFYPQSMYQSAIMGTDRQPSLSFEMDVTPNKNYSVWLHFAEIENGITAEEQRVFDVIINGDTAFKDIDIVRMTGERFTALVLNKTVAVSGTTLKITLQPVEGTRAIVSAIEVFEIIPAEMKTLTEEVTALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDDTKMHWVIDGLGLDNQGLGGVIPIDVSKLQHLESINFSGNSIKGNIPFTLGTISGLRVLDLSYNKLNGSIPESLGQLTSLQTLNLNGNGLSGRVPASLGGRPLHRVRFNFTDNAGLCGIPGLHECGPHISVAAKIGMAFGVLLAFLFLVVFAACWWKRRQNIIRGQKLAAAREAPYAKSRTQFTRDVQMAKHHRPHESSRGSNNESSPHLLS* >Brasy6G251100.1.p pacid=40050103 transcript=Brasy6G251100.1 locus=Brasy6G251100 ID=Brasy6G251100.1.v1.1 annot-version=v1.1 MYPTHSTSGSRQHAAQLTQDLEADVVAYGRSLSSGPLLLEREPHQSWLRRLHDKIRSVYAAITCTHPSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRPPRHSTHRQHPRQQDPPDLRPPRHSTHRQHPRQQDPPDLRHHPRPRLVEQSTPRPPPPDQAGGSSWQHPQSSFDAWTEQSPFQAGGSSWQQQIPAMNFEFCPQTQPQGAYAHQPSLSEPSWGSDHDQGQGDDFSSWILHTPPPDSTQEDTQYGWTTPVPPPERRPRRRDV* >Brasy6G202700.1.p pacid=40050104 transcript=Brasy6G202700.1 locus=Brasy6G202700 ID=Brasy6G202700.1.v1.1 annot-version=v1.1 MPPNHIRGVVMGTSHLVEPNLVGKETLHACRKLVELVLVHKEKKVYKLGIVGTGGVGKTILAQKIYNDQKIKGKFSNQVWICVSQDYSEASLLKEILRNFGVHHEQNETVGELSSKLAMAIGDKRFFIVLDDVWVPEVWTNLLRIPLHAAATGVILVTTRHDTVAHAIGVEDLHRVDLMPTDVGWELLWKSMNINEVKDVQNLQEIGMDIVRKCGGLPLAIKVAARVLSTEDKTENEWRKFINRSAWSVGTLPAELRGALNMSYDDLPLHLKQCFLNCGMYPEDCVMYRDYLAMSWVAEGFIQEQKGQLLEDTANEYYYELIHRNLLQPYGAYFDHNRCKMHDLLRQLACYLSREDSFVGDPESLGSINMSKLLSLRKIF* >Brasy6G093500.1.p pacid=40050105 transcript=Brasy6G093500.1 locus=Brasy6G093500 ID=Brasy6G093500.1.v1.1 annot-version=v1.1 MARAHEAEAPLFLAGFWLMLAWAKVLLLRGSLYPDDDEGGMIDGFDLIEMHVKSAPVGSAPRGLGRPGVRCQ* >Brasy6G147600.1.p pacid=40050106 transcript=Brasy6G147600.1 locus=Brasy6G147600 ID=Brasy6G147600.1.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G147600.3.p pacid=40050107 transcript=Brasy6G147600.3 locus=Brasy6G147600 ID=Brasy6G147600.3.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G147600.2.p pacid=40050108 transcript=Brasy6G147600.2 locus=Brasy6G147600 ID=Brasy6G147600.2.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G147600.5.p pacid=40050109 transcript=Brasy6G147600.5 locus=Brasy6G147600 ID=Brasy6G147600.5.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G147600.4.p pacid=40050110 transcript=Brasy6G147600.4 locus=Brasy6G147600 ID=Brasy6G147600.4.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G147600.6.p pacid=40050111 transcript=Brasy6G147600.6 locus=Brasy6G147600 ID=Brasy6G147600.6.v1.1 annot-version=v1.1 MTTRRRSSDMPLLRAALRRFFSSEPSGPPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSIAALAPRSLLTFLAGIPAVPSTFPSADLPSLLRRHLSFHPLNELPFFLESIGLPPSADSELMFLNDHPSLLPAVAALAHFGFPWSRLGLLFPDVLLQVPPDLISARLIVLEERLRPLVRAAIIAACLAFPSLIENDLCSSAPLVEDLIMAFGGLASDLGAINDIDVFFGVCRRMQTFYDAGMNTGSIGGLVGCNQRVFLELKEEQIGERLKFFKKLGLTGEEAGKFLLLNPGVFDLDFYDVVISVPEYLRKVGLAEDEVDAAVKKHPYVVGRNRLENLPGVLRAMGLSHRFLEKISGGGENLRYLSSDFVLEDSSYDMEVERAFLDRLAKVKEDKNAQHIDTKLEFLKSIGYGENKIATRVLPVLHSTQELLQERFDYLLERGVEYEMLCRIVSVFPKVLNQRKEMLNEKLNYMTGELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIHYRHPDNE* >Brasy6G064200.1.p pacid=40050112 transcript=Brasy6G064200.1 locus=Brasy6G064200 ID=Brasy6G064200.1.v1.1 annot-version=v1.1 MISMNTTRDLVSSGLGRVMQQATAVASTVSPYLLPGNLTKMSAGELVAAGLGRVRSEAAAAVATREGAARAAALVISGAVGVYFLLPAAAASAAAPAAAGAMMKAPGAAGFVVSRVAFLANKQLYFKVLHTAGAAAAVAVLA* >Brasy6G086700.1.p pacid=40050113 transcript=Brasy6G086700.1 locus=Brasy6G086700 ID=Brasy6G086700.1.v1.1 annot-version=v1.1 MASVVAPCCPPLRAPAPSCGKRRRRHDDDGSRTGKKPTAGEQPVVSAVAHLSCARIRPFVVRPKGNANASTPVCRDDDKHGVIETQKAGESITCPKMVRLVVLPSRPKEEPPAQVCARAVVEPDVTKKQKACSKMKPAVQEPRPKEESKKEKAGKLVSSTGIRPAVTKKQKAAGESIPRCAKIKPAVPQPKKDVIKASSAASAARVLCHDASNAKQEACGEHVLEATSRTGPQTPAQAAATVKPDLYAPENTLRAAIAAAREARDRVHNRLQRQREEARREMDNMVQTVFFNDPYIAPEDALEP* >Brasy6G226400.1.p pacid=40050114 transcript=Brasy6G226400.1 locus=Brasy6G226400 ID=Brasy6G226400.1.v1.1 annot-version=v1.1 MGCSMNRLAKATISLVNLALLFMPGAMAMAASVPSFDATRGQQHLLLPRGGYLRGPESVAFDGEGRGPYNSGGVSDGRVLRWNGDRIGWTTYAYGPDYSSEKCTASKLRPEMVTESRCGRPLGLQFHHKSGNLYIADAYKGLMRVGPGGGEATVLANGVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTDRLMRYDPRTNDVTTLQSGITYPNGVSLSRDRTHLVVASTGPCKLLRHWIKGPNAGKTEPFADLPGYPDNVRQDRRGGYWVALHREKNELPFGFDSHLLAVRVRPNGEVLEQMRGPKNVRSTEIMERQ* >Brasy6G131600.1.p pacid=40050115 transcript=Brasy6G131600.1 locus=Brasy6G131600 ID=Brasy6G131600.1.v1.1 annot-version=v1.1 MGNCGLKPKALGDDDAPPPPAEAEPQTPAATGEKEGEEAPAVEEPKQPAVPGEQSEEATIAAAEAEKPEDPKVQKESGGELPESTPATVVA* >Brasy6G116300.1.p pacid=40050116 transcript=Brasy6G116300.1 locus=Brasy6G116300 ID=Brasy6G116300.1.v1.1 annot-version=v1.1 MKYPNKDMAKGADSRVAATRIARKMDGTSEDVAACIPEVVARSRPMKGATAIDGLRKSNAVFGRLRAMLKINADNVADPKVSDPKAVDPKVSYHKAVDEPIADAPSSTMPAGDAGVLSDVGVVTAPSSRPEKIVPPAVGSASKPVGIVSPAFGSAAKPVEIVSPAVGSAAKPVEVVSPAVAAKPVDIVSPVVGSASRPVEIASPADPAARPGPLNLGGATVTAHAGAARGDLIDKLLPTGQEAAEGLVGLHTGMFPASLLFANPNAGQNTTPVKTYTRTKAATQLGIGRRSASVSRQSACVDKKQVGDALAGPSDGLGGNKFDVHKSTRHPMAFTPPGFDLGFEGDAEPVAKQQKHVSFVLPDEQPDIDINKGAFVDLSTVDVSQEEIELFDIYDREYRKANAAALSGSAENELYKKVRRFGDGRKTSKSKEGQLTSNVAEAAIEYIKLYDCPDDKVLMSYVVGKKISEGNVKHNVIKAAFSQEIGSKLTRKNLIMFPFIQTWVVGKDKVGHWYTISINTKQRMFEILDSLRGPDNDDLQSHSRVMLGHIKRAWKEHYGGAKLQIEDFTTQHIDVPKQNNLDDCGFYMLEFMRKWDGRVVPALEPDDIVELRKVLTYKMIVTQPFNEKKNAKDFIEENTK* >Brasy6G208300.1.p pacid=40050117 transcript=Brasy6G208300.1 locus=Brasy6G208300 ID=Brasy6G208300.1.v1.1 annot-version=v1.1 MDLEGEGGGSEARKGRKRQLMLESSDSEADEYRISTRQKPDAAATATGNAGVAAGDQSVKEAVPVSSEKLLRANCTEGVVSENKGDELQRSSAGASGQGSADQSGEEVVPVSSEILSGLKSTEGDCTKKNKGDGLDRCSAGASGPGNQSGEKVAPISSEKLSGLKSTEGDDSEKNKEDDADGGSSQPGSKGSKIEDVHAGGVGNGGSVSKDVTGGKMMRRGFPKWRFEKPEIRAGWIEGVEEVEMKASSVSKFKEQVSSFDDKRRHVEPLKHEKRKPSVGSCRQECGEEIKAKVKEQFSSLDDKRRQVEPLRHEKHGPVKTYKGNLIGSGQREIIRVQGKSGLLKILPKNNKVVRETGDGKILSKKVKVDGETGDSKTTPKNAKVLETRDGKIVTKSGVLKLLPKNNKMVREYSDEEFLQKNIKVDGETSDGKIPTKTGKVDRGSSDDKVLTKNCMVDLKTGAGKNPARNSRVDGETSDGHRRDKEKSSALDESQKQDANGVKSVAGKLVSSIMLRRSDPSVVGVSSGQPMKPQNSKAQLKISSQGNHQPSLSLKDEHKNERKRLLEHKDSPANLSKKAKSMVTDLQEGTSDPARKKRIMRKPRGGPRIAMKQKLRDQIKGILLDNEWTIDLRPRRSKDYDDSVYVSPKGTGYWSITKAYAVFQKQFKSSHDEKTTARSSKLNNLGAADASFDAISQDDLAMLQRNVRKRKSKKEHFADNKCGDNRSRNMKDASAGRSSGNKYQNNKEKVKIKHRRSALLVRGSTRSMEDMDDYIPYKWKRTVLSWMIDLGVVSKDAKVKYMNKKGTRARFVGRVTREGICCDCCSKILTVAKFELHAGSKEQQPYANIFLEDGGLSLFQCLLNAWDKQAQNEKKGFYKIDPADDPDDDTCGICGDGGDLLCCDRCTSTFHVACLGIEMPSGDWFCRNCICKFCGSAEERTSSSAELLSCLQCSRKYHQVCAQGIEREFVSTTPSASIDCFCSPGCRKIYKRLKRLLGLKNDLEAGFSWSLVRCFADTEATSTKKKAQLVHCNSKTALAFSVLDECFLPRIDERSGINIIHNVVYNCGSDFSRLNFSGFYTFILERGDEVISAATVRIHGTDLAEMPFIGTRGMYRHQGMCHRLLNAIESALCSLNVRRLVIPAIPELQNTWSTVFGFKPVGPTKKQKIKSVNLLIIHGTGLLEKRLLLTGQVNQQTIATAVNAVECDKMDAQMFGEASGSRTPVHASCESVVGDDLETMDRDNAYAYEGLVSNLPPVPEEKTLERTSPLSKDDVTSCKVEGVVEADNTEVKYAETDGTLIADNIVAEQKPEDKSNTSYADSSAIPMIVDPCSSNEPGKGENCTSSEHSVQIVPISNKTESNLNSISSNFDTQEDKKSCAVPLVSICRKPDNHELNTAIADGDAQTVIVNERSIDACTTKDQTFVGSITKAIATREDHSHSAVDIVISTERSSDETKCTESDKSEMKDATIEGRIIVESSNEAGTTVSALDTSSDICGEVTAKPTLTCGVDGVYKNSMEGLASKEPANA* >Brasy6G030000.1.p pacid=40050118 transcript=Brasy6G030000.1 locus=Brasy6G030000 ID=Brasy6G030000.1.v1.1 annot-version=v1.1 MAALRHAAKRILLAGQAPRSIRRAAITEEQRHLPPTVIHGRSSAYPRRFLSNQRGESDPDKERVVRVICRTAKAVSTIVVCGFVIKVCLDELSRTGDLLREARGMVKEYQDVMQVLWGISPEKGANSALQEEARIAAMRAEKEAWIEALRAKLKSIQEDAERGGGAGGGESA* >Brasy6G226100.1.p pacid=40050119 transcript=Brasy6G226100.1 locus=Brasy6G226100 ID=Brasy6G226100.1.v1.1 annot-version=v1.1 MPGAMAAATVPSFDATRGQQHLPLPRGGGYLHGPESVAFDGEGRGPYSGVSDGRVLKWNGERIGWTTYAYGPDYSSEKCTASKLRPETVTESCCGRPLGLQFHHKSGNLYIADAYKGLMRVGPGGGEATVLANEVDGAPLRFTNGVDVDQITGQVYFTDSSMNYLRSQHEMVTRTGDSTGRLMRYDPRTNDVTTLQSGITYPNGVSLSRDRTHLVVASTGPCKLLRHWIKGPNAGKTEPFADLPGYLDNVRQDKRGGYWVALHREKNELPFGFDSHLLAVRVGPNGEVLEQMRGPKSVRPTEIMERGNGKYYMGSVELPYVGVGEINPRRGRRGGGPFVLSPSAVREEEEDKEGEDEEVDGGENQL* >Brasy6G251200.1.p pacid=40050120 transcript=Brasy6G251200.1 locus=Brasy6G251200 ID=Brasy6G251200.1.v1.1 annot-version=v1.1 MASSLSATPAASPGGGAVTNKPPPAAAKVRLNPNGPAKNADGSSASSAKTLTWKKNPKPPMRAANKKKNPFQLMAAAALDAVEEVFIAGVLERGSPLPRTSDPAIQIAGNYAPVSESPPAKPALLSGRIPRSLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRFSQGKAESYCCRFTETARLKQERAMGRPVFPKPIGELHGPSGLARLALFGARSLCGVLDAGDGIGVANAGVVFHDGRLLAMSEDDLPYHVRVAADGDLRTVGRYDFRGQMDPSATMIAHPKLDHGTGELFALSYNVLQKPFLKYFFFDAGGNKSPDVAIPVGTDDPTMIHDFAVTENYAVIPDQQIVFKLQEMLPLGGNGGGGSPVVYDEKKTARFGVLPKRAMDASELKWVDVPGCFCFHLWNAWEDEETGEIVVIGSCMTPPDAVFNDSGESAAFKSVLSEIRLNPGTGESRRRTLLSGEDESLNLEAGMVDRRRLGRKTRYAYLAIAEPWPRVSGFAKVDLEAGGVKKFLYGEGRFGGEPCFVPSSDGAGAGAEEEDDGHVLCFVHDEGRGGETSELLIVDARDMRQDAAVKLPGRVPYGFHGTFVSNKDLQRQA* >Brasy6G033900.1.p pacid=40050121 transcript=Brasy6G033900.1 locus=Brasy6G033900 ID=Brasy6G033900.1.v1.1 annot-version=v1.1 MGVGFPWDFENSVPIGLTMASGAYLDDTDAEVIDPPKSEMLDVTELIGDTTQHSPKQNALVSSNVRELLECPVCLVAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRNELGNIRCLALEKVAASLEVPCQFQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVSHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGINRKMTWQGIPRSIRDSHRKVRDSYDGLIIQRNMALCFSGGDRKELKLRVTGRIWKEQ* >Brasy6G033900.3.p pacid=40050122 transcript=Brasy6G033900.3 locus=Brasy6G033900 ID=Brasy6G033900.3.v1.1 annot-version=v1.1 MASGAYLDDTDAEVIDPPKSEMLDVTELIGDTTQHSPKQNALVSSNVRELLECPVCLVAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRNELGNIRCLALEKVAASLEVPCQFQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVSHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGINRKMTWQGIPRSIRDSHRKVRDSYDGLIIQRNMALCFSGGDRKELKLRVTGRIWKEQ* >Brasy6G033900.2.p pacid=40050123 transcript=Brasy6G033900.2 locus=Brasy6G033900 ID=Brasy6G033900.2.v1.1 annot-version=v1.1 MASGAYLDDTDAEVIDPPKSEMLDVTELIGDTTQHSPKQNALVSSNVRELLECPVCLVAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRNELGNIRCLALEKVAASLEVPCQFQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVSHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGINRKMTWQGIPRSIRDSHRKVRDSYDGLIIQRNMALCFSGGDRKELKLRVTGRIWKEQ* >Brasy6G216400.1.p pacid=40050124 transcript=Brasy6G216400.1 locus=Brasy6G216400 ID=Brasy6G216400.1.v1.1 annot-version=v1.1 MPTISVGRDHLFAALGREFTQEEFEALCFLFGTELDDVTTEKAIIRKEKHLEADGQADGEDEVIYKIEVAANRTYMVSRCDFLSLKDRLEMCRLESVTVRFKV* >Brasy6G008100.1.p pacid=40050125 transcript=Brasy6G008100.1 locus=Brasy6G008100 ID=Brasy6G008100.1.v1.1 annot-version=v1.1 MADASSRTDTSTVVDNHSKNHRLEQGQSGAIMASNSSDRSDRSDKPLDQKTLRRLAQNREAARKSRLRKKSYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGTSGSSGSSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE* >Brasy6G146400.1.p pacid=40050126 transcript=Brasy6G146400.1 locus=Brasy6G146400 ID=Brasy6G146400.1.v1.1 annot-version=v1.1 MPRYRTLRLGLVDSGNTNGTEIQALAGVGWDLSPQVSGDTLAGCLGTVHAGSDVPFPDTAAAAELLMLFASGGTSFAGVRAGPMNPKNPRGTT* >Brasy6G090600.1.p pacid=40050127 transcript=Brasy6G090600.1 locus=Brasy6G090600 ID=Brasy6G090600.1.v1.1 annot-version=v1.1 MPRFHHCSSMFPLLILLFHLPTWFVQGVANGNGGHQDLPFLLSFKAYNPNNAKALATWVGPNPCSGSWAGLRCSRGRVAGVFLDNAGLAGSVAPLLGLTQMRVLAIRGNSLSGPLPPLDNSTNPSLRHLLLSHNGLTGTLNLSLPSLVTLEAEHNGFHGGLRALRVPMIRRFNVSVNKLAGEIPGSLSGFPSSSFGGNVGLCGKPLPRCVHAFDALEDGTTSNGITTADAAQTPIAAADTRAGRLSKFSLNALVATGIGNAVLITASLAISVAMFVYMRRKLRSPTKDEAASSRAALCFEDEDKIIIRKTNGEEKPCAQKSGALVRFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVAAVKRLSALQFPAGGRSGRAFDRHMRLVGALRHRHVVSLRGYCSSNGERLLVYDYLPNGSFQSLLQPQAQGEGNGEGRRLGWAAKKSVLFGAAQGLEYIHTLPGMAHGNVKPSNILLDERGAACVSECGLMRYAAAGIVQLQQSSGASFHGRDSGGGGGWRGYAAPELQAAPGARATQEADVYSFGMVLLEVVTAGKGGGEEEEGEGEETMGMVRIGVLCTAEAPEERPLMAQVLAMMSEFM* >Brasy6G204200.1.p pacid=40050128 transcript=Brasy6G204200.1 locus=Brasy6G204200 ID=Brasy6G204200.1.v1.1 annot-version=v1.1 MMAAARMSFASCVRAHLLLPSSPSAPILARTPRAGFGGIAKSTSFLPLPFAARSANHAALFCSISSSPAEAVVAPAAVAGVEEEKREVSAGELAGLLDIRVGRVVKAWMHPEADTLYVEEVDVGEEQPRTICSGLVKYLSLEQLQDSNVIVLANLKPRNMRGVKSNGMLMCASDASHETVELLTPPEGSVPGERVWFGTDDEKSLQSEPATANQVQKKKIWEAVQPHLKTTDNCIVLLGEHSMRTSAGKVFCKSLQGAGVS* >Brasy6G261900.1.p pacid=40050129 transcript=Brasy6G261900.1 locus=Brasy6G261900 ID=Brasy6G261900.1.v1.1 annot-version=v1.1 MYGQGGGFNPHYRHAAPPPPALSQQPLPRPPPPPHAGVTGGFPQQPLPPPPPLSQYPQAPAMRPPPGPYQHGVPPQFQNQGYPYPHPGQMHHMPMLPQQRGFGHMPMPGPPPPSQAMYQPPPQYPMSGPLPPPPPRPPSIAPDDLPPPPPPSPPLVAPSPPAAPATAQSHAQPEGAPDAGHDGKSEKAATDLIVSDDSDMDMGGDEDSPSRVNLSVVNSSPAAAECSGDVNAPKAVNDTSGLSNDLPPGSSGKSKMGNVTVEAGSQLQLIQQGYASDDSEDEEHTGASSNPLLLPEDNEPSHPSDRNTEFGHQQVTNAEENVNAAPSRPHELKDDRDPIKHSSGELGHPVKESLSGSESAGMQQSERHGRIQMKRSRDQSPQAGRSCSPSRGDEHSPPRSSSPGRQSKSPVAKRANLHQSKSSHQVSLLPGQPLLASSDFPQTQFQPNAMAPANDFLQNQMRTYPAPDLSHPRPLDFHPHTLQPVVPCQQQPSTFHADEFKRPYSLDSAPVPLQDGRPEYSGGVGLSYSHQSSYGPQQPPPGSLASGANIAYPSFPIFPSNLPGSSDFIPTPDAILPKSSIKPHYNPFASTFETDPTLDIGPVLSPDAVASASARAGEHMNTLNHFGQSAAGSGTRFIESSAEVVPNQQKQSRPGFTSTGPYDPLLDSIEPSGNSIDKDIGQEANLSATGSRNASKLVNIEVESKNMQGLGLVAESEVGELGEVAADTETGVVENVSPEPLGAKDWSSDIPGDIDNDQSLDVSKKSKDSRSMKLFKAAIADFVKEVLKPSWRQGNMSREAFKMIVKKTVDKVANSVPSNHIPKTPAKIKQYVQSSQRKVTKLVMGYVERYVKL* >Brasy6G008400.1.p pacid=40050130 transcript=Brasy6G008400.1 locus=Brasy6G008400 ID=Brasy6G008400.1.v1.1 annot-version=v1.1 MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK* >Brasy6G095700.1.p pacid=40050131 transcript=Brasy6G095700.1 locus=Brasy6G095700 ID=Brasy6G095700.1.v1.1 annot-version=v1.1 MQLRFVPFAASAMAGRSFLDDLPRPVRKRRNHLCYNGNNSSSSEKEGERGPTEEALRRLAELDAQLEGLKEPKMRPPPPPPPPDPFMDRDMIITRGRPSEELPEMTPAYVAFSTLALFIFTIFTNVMFNLYVKPSVDGVDQPVRTQRTALLNPADQLPQ* >Brasy6G095700.2.p pacid=40050132 transcript=Brasy6G095700.2 locus=Brasy6G095700 ID=Brasy6G095700.2.v1.1 annot-version=v1.1 MQLRFVPFAASAMAGRSFLDDLPRPVRKRRNHLCYNGNNSSSSEKEGERGPTEEALRRLAELDAQLEGLKEPKMRPPPPPPPPDPFMDRDMIITRGRPSEELPEMTPAYVAFSTLALFIFTIFTNVMFNLYVKPSVDGVDQPVRTQRTALLNPADQLPQ* >Brasy6G196400.1.p pacid=40050133 transcript=Brasy6G196400.1 locus=Brasy6G196400 ID=Brasy6G196400.1.v1.1 annot-version=v1.1 MAGWYEEAAGMLLRRPAVAEMAVDVLLCAVPIWAAVMIGLLIGWAWRPRWTGLLFLGFRSRLRIPLYMPPGLGARRLWLACTALSAFSVAPQLLSSAFRRHGKNQHKDASHEQTDARGDAGACADGRSIFMGKNDIVTEKDLEHLVQLLDNKENGDTTWQHLMERTTSNMTYKAWRHEPEVGPIMHCSQTIFEDATPELVRDFFWDDDFRLKWDPMLVYSKTLDEFPQNGTTVIHWIKKFPFFCSDREYIFGRRIWESGKAYFCVTKGVPYPSLPKKEKPRRVELYFSSWRIRPVQSPKQDGQQHTACEVTLAHYEDMGIPKDVAKVGVRHGMWGAVKKLQSGFRAYQQMRDTENILSRSAIMARVTTKTSIAGSDIPLDQGLSSTEKASNKDGDSSAVQQGFDWKWVVIGGAVAAVCVLNTGIVGKALLLGAARRQAKK* >Brasy6G082800.1.p pacid=40050134 transcript=Brasy6G082800.1 locus=Brasy6G082800 ID=Brasy6G082800.1.v1.1 annot-version=v1.1 MPLRLTRIVSFDFSARGEHGSAGHHHHHPHLPPRALWFTSPLFTLLVGSWDGVVCLRRGSSPDPHGIGFRDHQYVLWNPVAMACATVRAPQRRLAGYIVGGYAHPGTGRFHLLHASCGETHGPIMISPTLLRILRVGDGDDAWRELPFPKIVMSTCNAAVRLHDSLHWLVQPSSGSETWMESALPLQVLVFDTTREKFWLMETPAEAERPRGPLTCLWRAHLGVLSKKLCIFTVEPSSSTMEVWVLDGYGPGGATPHRRCTSWRLEERISLITWDGYDLSRVITTVHLQVDVGEGEEEILVIQLHGRIDAYSVRCKTWRHAGNLRTSFLAIECLVMHRESLVRGQVSFGKATQALLKYADCYGQRFYCP* >Brasy6G232400.1.p pacid=40050135 transcript=Brasy6G232400.1 locus=Brasy6G232400 ID=Brasy6G232400.1.v1.1 annot-version=v1.1 MTFFLLSHDLRPAPVDFRPRDASIASDEAMNSGERYAQVCQRRKKVIEWPTMELHMSESPHGPHLRRGGRPPAAVPLPHLRLGRRRLRSALRDRPSGGGPTSKRRRRAPRPHADPDRTAARWIRKPPSLSAVPPPAARRFPRDPGRPQQIRAGGGERRSLAGREVQPRGEKTGGGRGFNTGAVEDGETGIEKGEGRGLADPPDAQAAGVARNTGRRRRNHGAPCHLHHRRGGGAQELTSATGQIPTATSAGEFNAARSSELSASTTACYGEFSTSCRRCPGHNSSEGSRNGVKSELSDCCC* >Brasy6G026900.1.p pacid=40050136 transcript=Brasy6G026900.1 locus=Brasy6G026900 ID=Brasy6G026900.1.v1.1 annot-version=v1.1 MLALVATFVFSCLLFLSKPCARDMRFFLASLCQQLALSLLGFLAGYRLLGGVASTPAPAPMPLMPSFKRKRPAAKAESAEATGEPSLLDLPELTIDCILARLPPAELRNMAAVCRSMRDRCRADHLWESHMSDKWGPVMGAAAREEWRSYLSSSSAADGAAVCGSGGGVKRRRWLAALSCVCPVVSWIRPRAEGGAGKSAGPVLDDSVMSWYLHMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVLEDGVQWDRVRAPPVDTLAHDLHVSDCLHELRPGNSIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSDTIVLEFNQYTPGSRWRQSLVNRKDHREEGNEGDGFYGGIRKLHNKDEISKWKQLWPTDILE* >Brasy6G258100.1.p pacid=40050137 transcript=Brasy6G258100.1 locus=Brasy6G258100 ID=Brasy6G258100.1.v1.1 annot-version=v1.1 MEISLAHTIFTAKFPSLPIPQKKSRLSPPRPRPPPSPPLAPSARVGARAAAAAPPSLRPLSGEELLAAVPISAGSATAASHGPGSASGAPLVAPLSIPHIF* >Brasy6G149500.1.p pacid=40050138 transcript=Brasy6G149500.1 locus=Brasy6G149500 ID=Brasy6G149500.1.v1.1 annot-version=v1.1 MQKQQLHSSYKQCTNGFPIPALGLSLVLLRLLSVAFTASSCTEQEEGSLLQFLAGLSWDSASGISVSWKRSGMDCCAWEGVTCGADGTVTDFSLASKGLEGRVSPALGNLAGLLRLNLSHNSLSGSIPLELLPSGSIIFLDLSFNRLGGDIQEELPSSTPARPLQVLNISSNFFTGQFPSSSTWEVMNNLAVLNASNNSFAGQIPAHFCSSSPASLSVLELSYNHFTGSIPPGLGTCSMLRVLKAGHNELSGTLPNELFNASSLEYLSFPDNGLHGVLHGAQIIKLRNLATLDLGGNRLNGRIPDSIGQLKRLEELHLDHNKMSGELPPALSNCTSLVTLDHKGNHFTGELTKVNFSDLPNIKNLDLLYNNFSGTIPENISDNNLTGEIPAALMEMPMLKDEKIAHHMDPRVFELPVYTAPSHEYRITSAFAQVLKLGNNNFTGLIPEAIGQLKSLVILNFSSNSLSGEIPQQLCNLMNLRMLDLSSNHLTGSIPSALKNLNFLSAFNISNNDLEGPIPTGGQLSTFPYSSFDRNPKMCGPMTENNCGSEEADPVSIVSAKQIGSGVTFLISFSAFFGIAVLYDQIVLARYFS* >Brasy6G008500.1.p pacid=40050139 transcript=Brasy6G008500.1 locus=Brasy6G008500 ID=Brasy6G008500.1.v1.1 annot-version=v1.1 MEQEKKLKVLCLHGFRTSGSFLKKQISKWHPSILQQFEMVFLDGLFPAGGKSDIEGIFPPPYFEWFQFDKEFTEYTNLEECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSVSGSKFRDPSICNVAYKELIKARSVHFIGEKDWLKLPSEELAAAFAGPLIIRHPQGHTVPRLDEASVKQLSEWSSSILEDLKNSVVPQDSKSENSSDKDNVSMESAENLMKQVAA* >Brasy6G008500.2.p pacid=40050140 transcript=Brasy6G008500.2 locus=Brasy6G008500 ID=Brasy6G008500.2.v1.1 annot-version=v1.1 MEQEKKLKVLCLHGFRTSGSFLKKQISKWHPSILQQFEMVFLDGLFPAGGKSDIEGIFPPPYFEWFQFDKEFTEYTNLEECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSVSGSKFRDPSICNVAYKELIKARSVHFIGEKDWLKLPSEELAAAFAGPLIIRHPQGHTVPRLGLHSSLYPYRNLLSNSALQFTCVHCIALSCE* >Brasy6G232500.1.p pacid=40050141 transcript=Brasy6G232500.1 locus=Brasy6G232500 ID=Brasy6G232500.1.v1.1 annot-version=v1.1 MSGRNQDPSRSLLPSAPSLRSPRPNYEPVPWVPPLAAAGRAVPKRHMAAPPPAAVGRAAPRLHLKTLRSPVLQSPSSLPVQNPSP* >Brasy6G168600.1.p pacid=40050142 transcript=Brasy6G168600.1 locus=Brasy6G168600 ID=Brasy6G168600.1.v1.1 annot-version=v1.1 MASYSLSLLRRHCATSRLSLLLRRRPFCFSSSHTSLPSPATPSSSSSKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPVPAPDVPPPEVELKKLEPSEPKKSAEAVIGKEEEEEVDRMSMAEVLRREVELVHPWPEWIELMERLAQQKYFDLGVAGSTDESLVAAAVPMDLSEVAEEAGFDFSRDWTTVKNACMNFGRDRFDILKYVCRSLPRKDLQVLVAHGCPSMDPKVVFSAKLLRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVIRILLIYGFDHVKGTVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPPDEYTNSQMETYQSAPRKRLERPARKPEVSNAWNFDFDDNESDGADVAAFEFADSSKVSESSSGDNPCRDNAKGSEAQKFRMDEIRSNGRERKFAEKDNSSRVGFDDFDSEEDDIDSYELDLSKGGQTGGVSTVSYSDLEDASQSDNFSEFPNSRNSNYGENDDVTGSTDDDEFDSQPSLRSSHLADSWQKTRGSSGLNNHRRVASFGLQSNDGAISDFDEDIYKSRRNQGSSNAALDMDSDIDDPFQSDNRRNRSSANFRDNFPARSSISNGRNSSGGRYGKTKSNAQFRSSNMHDGGSPFDKNHRGRANQQDTGSRGLQRNGRSWNNRSDFDGNDRSLRRSNIR* >Brasy6G168600.4.p pacid=40050143 transcript=Brasy6G168600.4 locus=Brasy6G168600 ID=Brasy6G168600.4.v1.1 annot-version=v1.1 MASYSLSLLRRHCATSRLSLLLRRRPFCFSSSHTSLPSPATPSSSSSKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPVPAPDVPPPEVELKKLEPSEPKKSAEAVIGKEEEEEVDRMSMAEVLRREVELVHPWPEWIELMERLAQQKYFDLGVAGSTDESLVAAAVPMDLSEVAEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQVLVAHGCPSMDPKVVFSAKLLRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVIRILLIYGFDHVKGTVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPPDEYTNSQMETYQSAPRKRLERPARKPEVSNAWNFDFDDNESDGADVAAFEFADSSKVSESSSGDNPCRDNAKGSEAQKFRMDEIRSNGRERKFAEKDNSSRVGFDDFDSEEDDIDSYELDLSKGGQTGGVSTVSYSDLEDASQSDNFSEFPNSRNSNYGENDDVTGSTDDDEFDSQPSLRSSHLADSWQKTRGSSGLNNHRRVASFGLQSNDGAISDFDEDIYKSRRNQGSSNAALDMDSDIDDPFQSDNRRNRSSANFRDNFPARSSISNGRNSSGGRYGKTKSNAQFRSSNMHDGGSPFDKNHRGRANQQDTGSRGLQRNGRSWNNRSDFDGNDRSLRRSNIR* >Brasy6G168600.2.p pacid=40050144 transcript=Brasy6G168600.2 locus=Brasy6G168600 ID=Brasy6G168600.2.v1.1 annot-version=v1.1 MASYSLSLLRRHCATSRLSLLLRRRPFCFSSSHTSLPSPATPSSSSSKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPVPAPDVPPPEVELKKLEPSEPKKSAEAVIGKEEEEEVDRMSMAEVLRREVELVHPWPEWIELMERLAQQKYFDLGVAGSTDESLVAAAVPMDLSEVAEEAGFDFSRDWTTVKNACMNFGRDRFDILKYVCRSLPRKDLQVLVAHGCPSMDPKVVFSAKLLRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVIRILLIYGFDHVKGTVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPPDEYTNSQMETYQSAPRKRLERPARKPEVSNAWNFDFDDNESDGADVAAFEFADSSKVSESSSGDNPCRDNAKGSEAQKFRMDEIRSNGRERKFAEKDNSSRVGFDDFDSEEDDIDSYELDLSKGGQTGGVSTVPLMMMNLIVSPL* >Brasy6G168600.3.p pacid=40050145 transcript=Brasy6G168600.3 locus=Brasy6G168600 ID=Brasy6G168600.3.v1.1 annot-version=v1.1 MASYSLSLLRRHCATSRLSLLLRRRPFCFSSSHTSLPSPATPSSSSSKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPVPAPDVPPPEVELKKLEPSEPKKSAEAVIGKEEEEEVDRMSMAEVLRREVELVHPWPEWIELMERLAQQKYFDLGVAGSTDESLVAAAVPMDLSEVAEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQVLVAHGCPSMDPKVVFSAKLLRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVIRILLIYGFDHVKGTVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPPDEYTNSQMETYQSAPRKRLERPARKPEVSNAWNFDFDDNESDGADVAAFEFADSSKVSESSSGDNPCRDNAKGSEAQKFRMDEIRSNGRERKFAEKDNSSRVGFDDFDSEEDDIDSYELDLSKGGQTGGVSTVPLMMMNLIVSPL* >Brasy6G110400.1.p pacid=40050146 transcript=Brasy6G110400.1 locus=Brasy6G110400 ID=Brasy6G110400.1.v1.1 annot-version=v1.1 MSSNSSGSAKEKGLQIFTPLVKPPFAQSFRPVHALGSPTTDLRLSQQSWPGNVVLHPCTPCPGNSANPQQNGELVADVAVADVNPVIDSCDDKMLPKVDMLFDGENEAYEFYNAYAENVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGTKESKCPRPETRIGCPAGLTIRLTANGKYRLTEFVPNHNHQLATASMIQMLKEKKIRRKARAVRENLVDDTVRTPEFENEDEAYEFYSMYAGKIGFNIRRASMTVNAENVITRRMFVCSKEGFREKKKGGAYRVKKPRPETRTGCPACMVIRLASNGKYHVTEFVTFHNHQLGAAAASDLVMASQSTGNGQENGVDLADISPDDSIDEQNLMKDYVTSNCLGGRSQKRYKCKVPHHGDVGATLEYLQKMQHDNPSFFYAVKSDGDGNLTNFVWVDSKSIMDFAHFGDVVCLDSGYAVQGYGRPVALFIGLNHHKQTVIFGAALLYDESFEAFRWLFDTFKMAMNGTNPKTLLTDRSSVISEAVAASWPATAHRYCVWQIYQNALQQLSHAFHGSRTLEYDFKRCLFDCEDEAEFLAAWREMLEKYDLEDNQWLKDLFALKEKWALPYGRRAFYADMKSVQQKENLSHELKKHLSLECDLLSFFVQFERLLCDRRIAELQADVGASHSTKKPPSMRILRQAANIYTHAAYKMFEREFELYMDCMLYNCGEMGTIAEYRISAEDNPKDHFVKFDSLNSMASCSCKGFEFVGVPCRHILKVLDTRNIKDLPPQYILKRWRKDAKSGSSNGGYAYPFDGDPQLAVTKRHTLLCRLFSIAAARAATSAESFAYMENQSGILLDQVEQVLQNSPLDIAAGIGASCVRTQNPVESMVTADLHSHTNFIDGSTDGSLTFPFTTGAGTLDYL* >Brasy6G052900.1.p pacid=40050147 transcript=Brasy6G052900.1 locus=Brasy6G052900 ID=Brasy6G052900.1.v1.1 annot-version=v1.1 MGGTLEYLSGLLGGSSGGHGRSQRKKRRQLQTVELKVRMDCEGCELKVKNALSSLKGVQSVDINRKQQKVTVAGYVEASKVLKKAQSTGKKAEIWPYVPYSLVSQPYVAGTYDKRAPPGYVRSVDPGYGYVSSQVSRQDDQLADMFNDENANSCSVM* >Brasy6G177400.1.p pacid=40050148 transcript=Brasy6G177400.1 locus=Brasy6G177400 ID=Brasy6G177400.1.v1.1 annot-version=v1.1 MKPSAAILGAAVAALLLVSAVRGEGEDECGSAESAAADGARARPLKIAAFFSILVSGALGCSLPVLGRRVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFEKLGSPCLLSDGPWKDFPFAGLGAMVGAIGTLVVDTLATGYFTRAHSKKGAGGAVVDEEKQAAAGEEDVHVHTHATHGHAHGSPALVAAVGGAEDDKMDTIRHRVISQVLELGIVVHSVIIGISLGASQEPDTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSVVTMILFFCLTTPVGIAVGVGISRVYNENSPTALVVEGGLNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINLSMLLGAGLMSMLAKWA* >Brasy6G177400.2.p pacid=40050149 transcript=Brasy6G177400.2 locus=Brasy6G177400 ID=Brasy6G177400.2.v1.1 annot-version=v1.1 MKPSAAILGAAVAALLLVSAVRGEGEDECGSAESAAADGARARPLKIAAFFSILVSGALGCSLPVLGRRVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFEKLGSPCLLSDGPWKDFPFAGLGAMVGAIGTLVVDTLATGYFTRAHSKKGAGGAVVDEEKQAAAGEEDVHVHTHATHGHAHGSPALVAAVGGAEDDKMDTIRHRVISQVLELGIVVHSVIIGISLGASQEPDTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSVVTMILFFCLTTPVGIAVGVGISRVYNENSPTALVVEGGLNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINLSMLLGAGLMSMLAKWA* >Brasy6G197800.1.p pacid=40050150 transcript=Brasy6G197800.1 locus=Brasy6G197800 ID=Brasy6G197800.1.v1.1 annot-version=v1.1 MTPPPPPQPSDPSPRALFDELIIEILIRLPPDEPEHLFRASLVCKLWNHLLSGRAFLRRYLEFRRAPPFLGFFHNRFGLACFVPTTSFRLPDSDLANCSVVDCRHGRALLQDCSSRDLLLIWDPMKGEKQHVRLPSIPTDTSFYNAAVFCATPDCDHLSCHGGPFLVSFVTTDPQDIGARAHAYLYSSETGVWSAPSYLQLNYYVDKLPPVQVGDTLYFTCESRDMILCYDLGGDRDLSMIDVPDVYQDGIALMLVEDGALGFAGLHTVTIHLWSLKSPGRVAGWEKQRVINLEMLPHCDLRNPMYYLLGIAQDCISDNIFVETDVGVFMIELKSERAIKVCERGYLYVIFPYISFCTPGRARRLPVAATTQCSDIELLIESTLQVSLN* >Brasy6G082400.1.p pacid=40050151 transcript=Brasy6G082400.1 locus=Brasy6G082400 ID=Brasy6G082400.1.v1.1 annot-version=v1.1 MSDSPNPGSGDLPGGAGRSPEKPYPADRRVAALAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGSLGMAAIMHKSAHPDILPSPRDKSVLSAHEDGGSRDFEFKPHLNSSSQSMAPAMSDLKNHEHSMQNQSTNPSSSSNMVIEYRPPCSRESTLTVNVSSAQDQLGLTDSMPVDVGPSELHQMNNSENAMQEPQSENATEKSAEDGYNWRKYGQKLVKGSENPRSYYKCTHPNCEVKKLLERAADGQITEVVYKGRHNHPKPQPNRRLAGGAVPSNQGEDRNDGVAAIDDKSSNVLSILGNQVHSTGMAEPVPGSASDDDIDAGAGRPYPGDDATEDDDLESKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHDPKSVITTYEGKHNHEVPAARNASHEMPTPPMKNAVHPINSNMPSSIGGMMRACEARNFTNQYSQASETETISLDLGVGISPNHREATNQIQSAVPDQMQYQMQPMASMYGNMRLPAMAMPTVQGHAAGSIYGSREEKGNEGFTFKATPMDHSANLCYSGAGM* >Brasy6G082400.2.p pacid=40050152 transcript=Brasy6G082400.2 locus=Brasy6G082400 ID=Brasy6G082400.2.v1.1 annot-version=v1.1 MKVEPSPTTGSLGMAAIMHKSAHPDILPSPRDKSVLSAHEDGGSRDFEFKPHLNSSSQSMAPAMSDLKNHEHSMQNQSTNPSSSSNMVIEYRPPCSRESTLTVNVSSAQDQLGLTDSMPVDVGPSELHQMNNSENAMQEPQSENATEKSAEDGYNWRKYGQKLVKGSENPRSYYKCTHPNCEVKKLLERAADGQITEVVYKGRHNHPKPQPNRRLAGGAVPSNQGEDRNDGVAAIDDKSSNVLSILGNQVHSTGMAEPVPGSASDDDIDAGAGRPYPGDDATEDDDLESKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHDPKSVITTYEGKHNHEVPAARNASHEMPTPPMKNAVHPINSNMPSSIGGMMRACEARNFTNQYSQASETETISLDLGVGISPNHREATNQIQSAVPDQMQYQMQPMASMYGNMRLPAMAMPTVQGHAAGSIYGSREEKGNEGFTFKATPMDHSANLCYSGAGM* >Brasy6G172300.1.p pacid=40050153 transcript=Brasy6G172300.1 locus=Brasy6G172300 ID=Brasy6G172300.1.v1.1 annot-version=v1.1 MLPAASPGCGIRRGPSEDALPSGKRPRDLEDSSTGSDSDSPSSSSSGSGSDTDGDFVSDLREIVCLLRLIKGGANKDGQKMCEQIITSVAADIQSMLEDTKLKFEKERQNLLKVLSNTSKEQCESSLSEECTKLQETYEMFCQEKDAHLQSFRDLFSQVEVEKKKLLEQYEQHRTEKTATLSELGNTFSEKITNAEPSPRRMKQGDKSFIIFRKTIGSFLECGSDDDFDLDNELPCSSYY* >Brasy6G172300.2.p pacid=40050154 transcript=Brasy6G172300.2 locus=Brasy6G172300 ID=Brasy6G172300.2.v1.1 annot-version=v1.1 MLPAASPGCGIRRGPSEDALPSGKRPRDLEDSSTGSDSDSPSSSSSGSGSDTDGDFVSDLREIVCLLRLIKGGANKDGQKMCEQIITSVAADIQSMLEDTKLKFEKERQNLLKVLSNTSKECESSLSEECTKLQETYEMFCQEKDAHLQSFRDLFSQVEVEKKKLLEQYEQHRTEKTATLSELGNTFSEKITNAEPSPRRMKQGDKSFIIFRKTIGSFLECGSDDDFDLDNELPCSSYY* >Brasy6G128900.1.p pacid=40050155 transcript=Brasy6G128900.1 locus=Brasy6G128900 ID=Brasy6G128900.1.v1.1 annot-version=v1.1 MAWSAAAAVSRFAASPTAEIRLPLSAAAAASVSFSRRCRFGPVAAALSTSAAVKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSETVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFQKVNEIYAKYFPAPAPARSTYQVAALPLNARVEIECIAAL* >Brasy6G115000.1.p pacid=40050156 transcript=Brasy6G115000.1 locus=Brasy6G115000 ID=Brasy6G115000.1.v1.1 annot-version=v1.1 MTPSSSHHHDCASSTSSTPRGSVNGNGGGNYHHPPPLAPPSQAQALAQQPHGGPCVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRTASYAVLVAALAKLAPALFAPNAPNPATLRYQLPQDDLDALISVSSDDDVDNLMDELDRVHALAASAIKPPRLRLFLFASSPPDHSSAGAFGSVLSGTGDVTSEQLFIDSINAPAPGSIDRCRSEASSIVSENPSNPDYLFGFDPTSDEPSPRTGPRPKSDMETAHGEDYALAPRPRTPPYVAESAPWPAPPPPYMGQPVYYFPVRPVHYLEAAGQGGGYMPGPVYHIVGGGGNEGPGDLYAPGSVGGVYGLPHPVQPLRPIMYAPPRPSADVYAAEGKPPDGGSNAS* >Brasy6G121700.1.p pacid=40050157 transcript=Brasy6G121700.1 locus=Brasy6G121700 ID=Brasy6G121700.1.v1.1 annot-version=v1.1 MKEASIGMVVVVLLLFLSRPLAADTAYSEYSCNGTVGSYKAGDAFGANLERLTAALPADASAAPSLFASATIGAGPDTVFGLALCRGDITDARACSGCLDDAFRKLRTLCAGVRDATFYHDLCTLRYSGEDFPARPDDNGPVINAMDVNGSTYAGWDSRNATSRSTFLSLVGTLFSEMSMYGAYNSTARRFATAAMYVNPTLPTVYGLAQCTPALSPAQCWHCFQGLEALNRQWYDGREGGRILGVRCNFRYEAYQFFAGSPVVKIGFSDAPPSSPTGSNGGNHNMILIIVLCVSITVLCSLLVGCLLLIRRVRKGGKTKLPHLQPNSRSSSKTEEALKLWKIEESSSEFALYDFPELAAATDDFSEDNRLGRGGFGPVYKGTLPDGTEVAVKRLSAQSGQGLVEFKNEIQLIAKLQHTNLVKLLGCCVQQEEKMLVYEYLPNRSLDFFIFDEERGPLLGWKKRRHIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDRDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGRRNSGHQHYGDFVNLLGYAWQMWMEGRGLELVEPSLGECNEVASIMRCIKVALLCVQDSAADRPTMTEATAMLGNHGVPLPDPRRPPHFDLRVNSGDDDDEDDEEGGSGVVRARSHFTGSCSTNDVTISTIQEGR* >Brasy6G121700.2.p pacid=40050158 transcript=Brasy6G121700.2 locus=Brasy6G121700 ID=Brasy6G121700.2.v1.1 annot-version=v1.1 MKEASIGMVVVVLLLFLSRPLAADTAYSEYSCNGTVGSYKAGDAFGANLERLTAALPADASAAPSLFASATIGAGPDTVFGLALCRGDITDARACSGCLDDAFRKLRTLCAGVRDATFYHDLCTLRYSGEDFPARPDDNGPVINAMDVNGSTYAGWDSRNATSRSTFLSLVGTLFSEMSMYGAYNSTARRFATAAMYVNPTLPTVYGLAQCTPALSPAQCWHCFQGLEALNRQWYDGREGGRILGVRCNFRYEAYQFFAGSPVVKIGFSDAPPSSPTGSNVGCLLLIRRVRKGGKTKLPHLQPNSRSSSKTEEALKLWKIEESSSEFALYDFPELAAATDDFSEDNRLGRGGFGPVYKGTLPDGTEVAVKRLSAQSGQGLVEFKNEIQLIAKLQHTNLVKLLGCCVQQEEKMLVYEYLPNRSLDFFIFDEERGPLLGWKKRRHIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDRDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGRRNSGHQHYGDFVNLLGYAWQMWMEGRGLELVEPSLGECNEVASIMRCIKVALLCVQDSAADRPTMTEATAMLGNHGVPLPDPRRPPHFDLRVNSGDDDDEDDEEGGSGVVRARSHFTGSCSTNDVTISTIQEGR* >Brasy6G266800.1.p pacid=40050159 transcript=Brasy6G266800.1 locus=Brasy6G266800 ID=Brasy6G266800.1.v1.1 annot-version=v1.1 MATRARAARLATPRLKENDAHQGKRQRTATGSAARPPLSAAAPQNAPPPPAEPPIEFNGRDDVDALLNEKMKGKNKMDYKGKSEQMTEYIKKLRACIKWLLEREDANLAEIGRLNGQLEATEKNHAEIVASLESKIEEFTAIREELQKQYASLEENLKKVEAEKMDTLQSYREEKEARTAVESARNELSEELNRVKLEQKRLNDQAKMLHDTNKRLQEYNTSLQQYNSNLQADATKNAETIAKLQKEKNTMVETMNGLKDHANSVKLQLDLAKSSQSEALRQKNDLLKEVDSLRAELQLVREDRDHKSAEIQSLLSDLGMYKELTGKSSTELENIMIRCGVLEESCSTQSERIRTLEIQLASANEKLKRSDMTTMETMAEYENQKRALEDLHLRLAEAEQKIMDGENMRKKLHNTILELKGNIRVFCRVRPLLSNDSGAVSYPKSGEDIGRGIELMHNAQAYSFSFDRVFDHSASQEEVFTEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEIHEQKGLIPRSLEQIFETSQCLVSQGWKYKMQASMLEIYNETIRDLLATNRTSIHEGGASKYNIKHDANGNTSVSDLTVVDVRSINEVSSLLKRAAQSRSIGKTQMNEESSRSHCVFTLRIFGANEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLADVIFSIAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNLSPESSSTGESICSLRFAARVNSCEIGVPRRQTQMRSLSQG* >Brasy6G266800.2.p pacid=40050160 transcript=Brasy6G266800.2 locus=Brasy6G266800 ID=Brasy6G266800.2.v1.1 annot-version=v1.1 MTEYIKKLRACIKWLLEREDANLAEIGRLNGQLEATEKNHAEIVASLESKIEEFTAIREELQKQYASLEENLKKVEAEKMDTLQSYREEKEARTAVESARNELSEELNRVKLEQKRLNDQAKMLHDTNKRLQEYNTSLQQYNSNLQADATKNAETIAKLQKEKNTMVETMNGLKDHANSVKLQLDLAKSSQSEALRQKNDLLKEVDSLRAELQLVREDRDHKSAEIQSLLSDLGMYKELTGKSSTELENIMIRCGVLEESCSTQSERIRTLEIQLASANEKLKRSDMTTMETMAEYENQKRALEDLHLRLAEAEQKIMDGENMRKKLHNTILELKGNIRVFCRVRPLLSNDSGAVSYPKSGEDIGRGIELMHNAQAYSFSFDRVFDHSASQEEVFTEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEIHEQKGLIPRSLEQIFETSQCLVSQGWKYKMQASMLEIYNETIRDLLATNRTSIHEGGASKYNIKHDANGNTSVSDLTVVDVRSINEVSSLLKRAAQSRSIGKTQMNEESSRSHCVFTLRIFGANEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLADVIFSIAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNLSPESSSTGESICSLRFAARVNSCEIGVPRRQTQMRSLSQG* >Brasy6G173800.1.p pacid=40050161 transcript=Brasy6G173800.1 locus=Brasy6G173800 ID=Brasy6G173800.1.v1.1 annot-version=v1.1 MAKAVPKIGSRKRVRIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRSIFKPSPIQI >Brasy6G167000.1.p pacid=40050162 transcript=Brasy6G167000.1 locus=Brasy6G167000 ID=Brasy6G167000.1.v1.1 annot-version=v1.1 MAVAEPPPSNLPSPDLRRRQRRLVFDRRYGWIFDDWTDPGVDALSGGRGMFCILPMAQLLMNAAASSVNYAADSVSTALKRPGNFSPLAYLPHQVLHRRRQQTWCRELEQSGVLADVKLVPCRTHCTLECLCNNRD* >Brasy6G126100.1.p pacid=40050163 transcript=Brasy6G126100.1 locus=Brasy6G126100 ID=Brasy6G126100.1.v1.1 annot-version=v1.1 MATAVSKSAAKSHPRSPTTAQPPNPGPAAGAAGAAPSKNAAMAELKSRVLSALAKLSDRDTHHIAVEELDRFIRSPPSPDAVPMLLHALASETQGLASPARRESIRLLATLCGAHPDAAAPHLQKVMTHLARRLKDPASDSSVRDACRDAAGQLAAVYLRPLAASAAGEAGNGTVALFVKPLFEVMGEQSKTVQSGAAACLAKTVEGAGPGPGVLGMFGKLGSRVFKLVGGQGVQAKGALLNVIGSLAQVGAISPQNMQQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDVASPTIAALEACRFDKVKPVRDSVIDAVQLWKQLTGEDTNDGKNKEPNGSEGKLDSPTNNEKAKGSSIAEKAAVLLKKRPTLTDRELNPEFFQKLETRTTDDLAVEVVVPRKTLHSHLQSEDDLEEADGDAGPANSNGSADDEGSLTQVRANSNFHSIPNKWAGQRGNRNKDAKVKASDAEDRSETSAKDSVSATMMIPGEGPFINNKTNWLVIQKQLSQLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGGTLGFDSSPGRSSAKYNGFHEYSNSKFGRGGDGRMGFADRYFSSDGSGVRSPSRRPDSEQWDSYAYSGSRGGMNSRRGLDSFSDNRVPRNGNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGNSRAAARVAIPELDGEVLNDGNQGDGRGPLWESWTRAMDAVHVGDMDSAYAEVLSTEDAELVVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEENFYDIALSWLQQLTDLVMENGSDYLGIPRDAKTDLLFGLHEATAIELPDDWEGATPIQIMKQLASSWRIDLQQLIN* >Brasy6G093200.1.p pacid=40050164 transcript=Brasy6G093200.1 locus=Brasy6G093200 ID=Brasy6G093200.1.v1.1 annot-version=v1.1 MDVAECKMNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELVNKNITGFGVGTGRLSTDQKKKLLWGSKKSNPAETSTHWDSNLFSDRERQEKFNKLMSLRMPWWLWPIVGCEEQCLRLSSREQDRQQGGGLSGSQEAGGARHRPGEALRSRPAPERWPDCWSWPVGGSACQCCSTENLKCLLRVRFIGLFILTGSFASTVGLGYNLVVAHKQLFCLRDTCIVRWHNFVIAQACALGCLLLVAVDYKQDSSCLLHFFPPYLEFSLR* >Brasy6G093200.5.p pacid=40050165 transcript=Brasy6G093200.5 locus=Brasy6G093200 ID=Brasy6G093200.5.v1.1 annot-version=v1.1 MNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELVNKNITGFGVGTGRLSTDQKKKLLWGSKKSNPAETSTHWDSNLFSDRERQEKFNKLMSLRMPWWLWPIVGCEEQCLRLSSREQDRQQGGGLSGSQEAGGARHRPGEALRSRPAPERWPDCWSWPVGGSACQCCSTENLKCLLRVRFIGLFILTGSFASTVGLGYNLVVAHKQLFCLRDTCIVRWHNFVIAQACALGCLLLVAVDYKQDSSCLLHFFPPYLEFSLR* >Brasy6G093200.2.p pacid=40050166 transcript=Brasy6G093200.2 locus=Brasy6G093200 ID=Brasy6G093200.2.v1.1 annot-version=v1.1 MDVAECKMNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELVNKNITGFGVGTGRLSTDQKKKLLWGSKKSNPAETSTHWDSNLFSDRERQEKFNKLMGVKSSASVSVQESKTDNKEEGSVEVKKQEELDTDLEKLYVAGLRRRDGRTVGLGL* >Brasy6G093200.6.p pacid=40050167 transcript=Brasy6G093200.6 locus=Brasy6G093200 ID=Brasy6G093200.6.v1.1 annot-version=v1.1 MNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELVNKNITGFGVGTGRLSTDQKKKLLWGSKKSNPAETSTHWDSNLFSDRERQEKFNKLMGVKSSASVSVQESKTDNKEEGSVEVKKQEELDTDLEKLYVAGLRRRDGRTVGLGL* >Brasy6G093200.4.p pacid=40050168 transcript=Brasy6G093200.4 locus=Brasy6G093200 ID=Brasy6G093200.4.v1.1 annot-version=v1.1 MDVAECKMNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELAFCLHDWPPCLCALLQ* >Brasy6G093200.3.p pacid=40050169 transcript=Brasy6G093200.3 locus=Brasy6G093200 ID=Brasy6G093200.3.v1.1 annot-version=v1.1 MDVAECKMNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELAFCLHDWPPCLCALLQ* >Brasy6G093200.7.p pacid=40050170 transcript=Brasy6G093200.7 locus=Brasy6G093200 ID=Brasy6G093200.7.v1.1 annot-version=v1.1 MNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELAFCLHDWPPCLCALLQ* >Brasy6G093200.8.p pacid=40050171 transcript=Brasy6G093200.8 locus=Brasy6G093200 ID=Brasy6G093200.8.v1.1 annot-version=v1.1 MNSKRGRSRSPVELKDDHTKGSGSYGRKANSRDIESDSSHARSGKGHEFVRDSDRHSYGASRESKRHDDYRRHHDKRADDYDRSQPRTSRSDREARADTFYEPSKRDSTSDRSHGDRRNVDSSYGGKSFKREHVSKNQEKRESAREYLRHDGGEYDKYADPRKETRSTRRYPEEKESKNKDKFKQEEALKKKNVKEIEKSSQTTLPEVEIREKRRSLFSSVGQDVQNTQDMEKDASMKKPILDCTGGTEEAAINDMNAAKAAAMKAAELAFCLHDWPPCLCALLQ* >Brasy6G145800.1.p pacid=40050172 transcript=Brasy6G145800.1 locus=Brasy6G145800 ID=Brasy6G145800.1.v1.1 annot-version=v1.1 MLEEIRASGKASGEVGWPSASRRVTVRLRSASRHGLKEKLHSAILLAGTPYYLASLELQASKQGHRRGRSRRRPPGDGAPPTARRLLEAGAVAAAAARGGIGEGGQEKGQRRRQEEEDEEGTGAPAAARGGGRGGSRDVGGGGQMKRIRWSPDVPPRQGSTERTTRSAESSWNLAKRRDCRDPIAGVPLTRSPAADVAARGRGRRASRHSVIRVRSSSSASATAATASAPLRRPSAPPLLLLLLLRPRCDILRPAPTASGATVPIEDSKNRRI* >Brasy6G250100.1.p pacid=40050173 transcript=Brasy6G250100.1 locus=Brasy6G250100 ID=Brasy6G250100.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVVLALLFMPGAMAAAVASFDATRSQHLPLPRGYLRGPESVAFDGEGRGPYSGVSDGRVLKWNGDKIGWTTFAYGPDYSSEACTASRLRPETVTESRCGRPLGLQFHHKSGSLYIADAYKGLMRVGPAGGEATVLVNRVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPRTNDVTTLQSGITYPNGVSISHDRTHLVVASTGPCKLLRYWIKGPEAGKTEPFADLPGYPDNIRQDKRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKVVEEMRGPKSVRPTEIMERGNGKYYMGSVELPYVGVVTHK* >Brasy6G092300.1.p pacid=40050174 transcript=Brasy6G092300.1 locus=Brasy6G092300 ID=Brasy6G092300.1.v1.1 annot-version=v1.1 MLISSFRPQTNVSRHLLTYLLHHYSVYEIPMYLLPIVLFLYRYKLSLKVTDDTASMETIAFSFVAEDLLEQTAMQASQNMKIDASDHAVALEKAIGKKRFFSIGMNPKYFSRFSINHVLKKSYKIHDDTSQVNV* >Brasy6G264400.1.p pacid=40050175 transcript=Brasy6G264400.1 locus=Brasy6G264400 ID=Brasy6G264400.1.v1.1 annot-version=v1.1 MPLLASVDLATNRLNGSLPVSLADCGELRSLSLAKNSLTGELPEEYSRLASLSVLSLSNNSLHNISGALKVLHQCRNLTTLILTKNFGGEELPNRRIRGFKSLEVLALGDCDLRGRVPEWLLQSEKLEVLDLSWNQLVGTIPSWIGFLDNLSYLDLSNNSLVGEIPKNLTQLKGLVSARRSPGMALNSMPLFVKHNRSASGRQYNQLSNFPPSLILNDNGLNGTIWPDFGNLKELHVLDLSNNVISGSIPDTLSRMENLEFLDLSSNNLSGPIPSSLTGLTFLSKFNVAHNHLVGLIPDGGQFFTFANSSFEGNPGLCRSTSCSLNQSAEANVDNGPQSPASLKNRKNKILGVAICMGLALAVLLTVILFNISKGEASAINDEDAEGDCHDPYYSYSKPVLFFENSAKELTVSDLIKSTNNFDQANIIGCGGFGMVYKAYLPDGTKAAVKRLSGDSGQMEREFHAEVEALSQAQHKNLVSLRGYCRYRDDRLLIYTYMENNSLDYWLHEREDGGYMLKWDSRLKIAQGSARGLAYLHKECEPSIIHRDVKSSNILLNENFEAHLADFGLARLMQPYDTHVTTELVGTLGYIPPEYSQSLIATPKGDVYSFGVVLLELLTGKRPVGVSIVKWDLVSWTLQMKSENKEEQIFDKLIWSKEHEEQLLAVLEAACRCINADPRQRPPIEQVVAWLDGISP* >Brasy6G199400.1.p pacid=40050176 transcript=Brasy6G199400.1 locus=Brasy6G199400 ID=Brasy6G199400.1.v1.1 annot-version=v1.1 MDAAPGLRVLTPVRYSVKDDGYMEELDDEPQSPQHSPGGTLHPSSPRPPRQPVQRSPPLTPRAWKAAAADFALQPKEPLPEPELGWDVVLADDLADNVVKYELTRVTGCTIMIFGPRGVYEHVNFYARAMDVRHEVAGAEAECFFFAEVLDKYMHKREGREQILTCMCSLDAEGDQQVGGVRDHPFAGARPWVVPMDYCRECGDEIKHPKDGAALYQAGHSCGSL* >Brasy6G185900.1.p pacid=40050177 transcript=Brasy6G185900.1 locus=Brasy6G185900 ID=Brasy6G185900.1.v1.1 annot-version=v1.1 MQREGAATEDLAPDAYTVQSVLGACAGAGALSLGLYAHALLLRELGGDGDGQAVSRDVLINNSLVDLYGKCGAVELARQVFDRMPERDITSWNVMILTLANHGRVRESVELFDRMARAAKFVPNAITFVAVLSACNHGGMVEEGRKYFQMMVTNYGIRPRIEHYGCMVDILARAGFIEEALDVVSGMNCRPDAIIWRSLLDACCKQNAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWNNVGMVRRLMSEEGLKKEPGFSSIEMDGLVHQFVAGDTSHPLTEDIYKKLDEIEQRLTSSGYKPNLSAAPMVAGVDRAKGAALRLHSERLAISFGLLKATDGAPIRILKNLRVCKDCHTISKLISELYGVEIIVRDRIRFHHFKDGACSCKDYW* >Brasy6G189300.1.p pacid=40050178 transcript=Brasy6G189300.1 locus=Brasy6G189300 ID=Brasy6G189300.1.v1.1 annot-version=v1.1 MSSLSSFLSLTPNRMDAPSSFPSPDGHTPSISFPRMPPPPPAHPLDPPSSLPAAQLIGIPTIGLSPPPPQI* >Brasy6G076900.1.p pacid=40050179 transcript=Brasy6G076900.1 locus=Brasy6G076900 ID=Brasy6G076900.1.v1.1 annot-version=v1.1 MEAVRSSAAWVASRSSHVKVDLSEIEKAVDKIQGNVPKIEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLTYDNLASGLKLALENDKTALDADRLQSYTGPQLRELLNWPRSLPIEEERVRLLHEVGLELERSFGGEAANLVKSAGNSAASLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGENYGEFNDINSITIFADYIVPAVLRDLGILKYESNLSCSIDSNREIVPGSEEEVEIRACSVHAVEKMRELINKKFGKQLLSIDIDLWLWSVGVQNIALSHHRTLSIYY* >Brasy6G215700.1.p pacid=40050180 transcript=Brasy6G215700.1 locus=Brasy6G215700 ID=Brasy6G215700.1.v1.1 annot-version=v1.1 MSTPSRKRLMRDFKRLQHDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSVQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD* >Brasy6G095300.1.p pacid=40050181 transcript=Brasy6G095300.1 locus=Brasy6G095300 ID=Brasy6G095300.1.v1.1 annot-version=v1.1 MPIPMPPPPAGDMSYMPGMDMDMGMSMHATFFWGHRAQVLFTGWPGAGPRAGSGMYVLCLFVVLALAALVEALSAASKGLARRRPGTGATTFLISAVFVHAAKMALAYLVMLAVMSFNVGVLLAAVLGHALGFLLARTWALRRRGGAMGDVDDAPRNGAHAPSDSEAAEPKP* >Brasy6G244100.1.p pacid=40050182 transcript=Brasy6G244100.1 locus=Brasy6G244100 ID=Brasy6G244100.1.v1.1 annot-version=v1.1 MSTFIPPPPSDLNEASKVFNNGMTNLQVIGVVFGVLGILGSIAIAYFVYRYLEKNGLSAININTTGATAPASALYAVVPDSQIRDATVERFLKEIAGEKPIRFTPEQLSGFTNNYSARLGAGGFGTVYKGMLPNGLMVAVKRLHATSDDRTSQDQFMAEVGTIGRTYHINLVRLFGFCFDATTTGGVRALVYEYMEHGALDTYLFNDRNRGVGFPTLRAIVVGVARGLRYLHEECEQKIVHYDIKPGNVLLDGNLMPKVADFGLARLLNRADTHKTASGMRGTPGYAAPGMWMQAGATEKCDVYSFGILLFEIIGRRRNFDEAAPESQQWFPKMVWIKYESGELMEIVGEQDKETAERMCKVAFWCVQQQPEARPPMSTVVKMLEGEMDIAEPANPFLHLMTAPVAANLWTTGTSSVNTVSASVHGVYRGNDKIV* >Brasy6G093600.1.p pacid=40050183 transcript=Brasy6G093600.1 locus=Brasy6G093600 ID=Brasy6G093600.1.v1.1 annot-version=v1.1 MERIREARRAGVSMAGGPPPPPRRRLRSNGGGSGNGNGGGPRDSPRSERRRGERMMLNGSGGGRDDGDDTSDESLGDDDDDADEELAASAPRYPPVQRRSPSTAPPPSPPQPGGGGHHHSSGSSGGGGYHNNNHHHHGQSQMQRKGASNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWTAPGGGNGGGGAGDSSQIQRPSSRPISPASASATAPIRKKLKSVGGGGSSGGSGPVPKQRPTPASAPSAAPPQPPPAKITKSPSFIQEEIEVAEVLFGLTRQFPAASKQENSNHKPEPRDASEPKSGNSSPAPSSSAVRPSDSSSLIAAAPKRKRPRLLKYDIENRPASPAKPDSAEPSSRPETLPPTRSDGKASVSAVADSGASTTAAAQPETSREPEKREDPRSRDPELRASESDRRDHRPESRAEPAAAPGKPEGQAAPPLGSEARNGEATTATRSELASDGARQEKLKFSIDLMAPPPGKLSPDRDGASDPDADKKGLDAEMDMVGRGTSEKKDGERTRRGLEINLEEDRVQRTPADELAPKKLTLQLDLEKPSLGDENSPSERRQPPLQQQQHKSTKSDIKHEKSPLPAVSPPMPMAVGGWMGSFPPFGYLGPVPGLSAPGLHHPMEVKPGTSAGLQHAALLPPAPVRPKRCATHCYIAQQIQYNQRLSKMNSFWPPTAAAARSVPFFGPRGPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPVQETKAPSMAAAPFQGILSKDKVASSSAAVAESNQRKQNPAHETQQSSPMPNMMQGPAFIFPFNQQHAAVVAAATAANRMGDAKSSGLSNVMPPSANAHTSAAHPGAPALNLSFANDAQFLAILQNSYPFQVTGGPPSYRGMAPPGPGVPFFNGHVYSHMLHPPQQQGAQQQNHQKNPMPSLSTSSQKHQSQQSQGLLGYAPNANAAAAAAVANNSQSYTSGNQRPVLLHGLAHRQDADKTVQDGQSSDDKSSHHQPNFAVPVHLPNFQLMPAAGNQSEKKLNDHHHQQQQQQQPAMSRGQGVRIDLASSQPYVNVMPYGSIGAPGSAPTGLDFSSLAQNHALFQSHQEASRHGYPQLNFAAAQSLQAAQHKAQHQITAEAKSAAADSSSMPSAGDSERKKSASAKYPGDPQQHSLSFSRPENKSFMHPFLSGSNNESSRTLSLIGAESSNAFGLGSKSAGASTPATSSAAAPSVPIISQQQHQHQQHLQQQQQHHHQQQQIQQQQLQQQQQQQQLQQQQQQQQQQQQQQNLLQLQKQQQQMFQHHQANSRPRSAAPSNAGGYSDRLSVTNFQNLMYPSSAAQGGVPGQSPQLKGSSMRVSAPPAAASVTAASPPSNLIMMKNSGLHQQQQAKALQALSSPNHPSQSSLSMSSSKMGPSLTNLSTGGAGDLSRSSNAPVASGSPSNSVSKSTGSPPASGSAKGGQPGVQLSSPQQQSAKNSASTSGSKSTPTNHYSSMPMPSILGQQPNMANSSSKQQSHVPSLKQQPFPQGHFFISNAYAPQGPHVNSGVGGGLYQKRSSEKAQHSPHQQNSGSSAMLSLSSGSMSMSTAAIPADAGKALAAAAASNTMKTLHPSPGSFMHLTTAGQSASGSPHSHMSAGQLAFGAMPMPVKPTSDQKPAAGK* >Brasy6G114800.1.p pacid=40050184 transcript=Brasy6G114800.1 locus=Brasy6G114800 ID=Brasy6G114800.1.v1.1 annot-version=v1.1 MFLFLDHMIPNQWWIQNRQTPFGRSPRNPNLQFLRPSPPPAAKAIASLSSLVIPSDPIRSSYVRPRRRDDWYPTRTRGSAAYKSGIGRQMGGVDDGSVDAEAERQWHSRRSVSIHDDPDWEERRRKLQEVYAATFEIELELDRRYEEFLRLEPASSKATAMMHVPRVGDTREDHCHVCLEDFEEGDMLRTMPCAFHQRCIFSLLRHQRACPVCGFKLPTEEEQDELDAQASARSTL* >Brasy6G144200.1.p pacid=40050185 transcript=Brasy6G144200.1 locus=Brasy6G144200 ID=Brasy6G144200.1.v1.1 annot-version=v1.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTMKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKCDLTDKRVVSYETAKAFADEIGIPFMETSAKNALNVEQAFMAMSASIKDRMASQPAANNARPATVQIRGQPVEQKTSCCSS* >Brasy6G067100.1.p pacid=40050186 transcript=Brasy6G067100.1 locus=Brasy6G067100 ID=Brasy6G067100.1.v1.1 annot-version=v1.1 MRRRHPPPPPLPMATLLSQTLPTLLLLLVIAVRASGGGGDGERESLLRFKAAVTADPGGLLQAWSPASADHCRWPGVSCGASGEVVALNFSSPSAGRLSGALSPSVAALRELRVLALPSHAFSGPLPAAIWSLRRLLVLDLSGNRLHGEILPSLACAALQTLDLAYNRLNGSLPAALGSLLGLRRLSLASNRLGGSIPDQLGGAGCRSLQFLDLSGNLLVGGIPRGLGNCSKLETLLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGPLPAELGSCVELSVLVLSNPYALVSDLDASNNGDVEDFNYFQGGIPDVVAALPKLRVLWAPRATLEGELPSNWSSCQSLEMMNLGENLFSGGIPKGLLDCGHLKFLNLSSNKFTGSVDPSLPVPCMDVFDVSGNRLSGSIPEFISKGCPSSQLPFDDLVSEYSSLFAYQAIAGFFSLSLVTGTDMTSCHSFARNNFTGTVTSLPLAAEKLGMQGGYAFLADGNNLAGELQHSLFNKCNSSRGFIVDVSDNLITGGIPVEIGSLCSSLVVLRVAGNRLSGLIPTSIVQLNYLMSLDLSRNQLGGEIPTSVKNLAHLELLSLGHNLLNGTIPSDINQLRSLKVLDLSSNLLTGEIPRTLSDLTNLTALLLDNNKLTGKIPAEIANSASLTMFNVSFNNLSGTVPTNNSTVGCDSVIGNPLLQSCRMYSLAVPSAAQQSRGLNSNDSDTAPADSQNQGGNSSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMAGRSSGRREVIIFQEIGVPITYETVVRATGCFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGAQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKRLHKIALDIAKALAYLHDTCVPRILHRDVKPNNILLDTNHNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFVDGLWDVGPHDDLVEALHLAVMCTVESLSVRPTMKLVVQRLKQLQPPIREHR* >Brasy6G179000.1.p pacid=40050187 transcript=Brasy6G179000.1 locus=Brasy6G179000 ID=Brasy6G179000.1.v1.1 annot-version=v1.1 MIQRHPLQGEKVDRRLQDNHHSSESQPATPAVIQPTEAMGMAAAGRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRGAPVPIIAEVDLYRFDPWELPSMALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAHRGRTAGIKKALVFYHGKPPRGVKTDWIMHEYRLADNSSRSSSSRKKDGTLRLDDWVLCRLYNKKNEWEKMQQRQEEKAAAMATASWGETRTPESEIDNDPFPELADSSIPAYTTDAILPKEELQELDNDWLMGINLDDLQGPGSMLPWDDSYAASFLSPVGAKTEQDIGLFF* >Brasy6G095200.1.p pacid=40050188 transcript=Brasy6G095200.1 locus=Brasy6G095200 ID=Brasy6G095200.1.v1.1 annot-version=v1.1 MAAAAATTTAAVAPPAAAAAIATVVAAAEAATFLPSTVSPVPDSDDAFPSTISAISSDSDPDELQLLLPNMLPTSTAAAASSSEAELHEFHLPALPSPITVRTIPSLGLTFQLWPSATTLLRFLSASPHLLPRCPAPHCPLAILELGSGTGAAGLALAAALPAHAVLSDLPAALPNLRHNASLNAPLLDSRGGAVSVVPLPWGDAASMEAVVAPAPASRFDLVVASDVVYYETLVDPLIETLRFFVKGEVVFLMAHLRRWKRTDKKFFGKAKKLFNIEVLHEDPPLEGWRHGPVVYRFTAKNKHGRR* >Brasy6G227100.1.p pacid=40050189 transcript=Brasy6G227100.1 locus=Brasy6G227100 ID=Brasy6G227100.1.v1.1 annot-version=v1.1 MADKRRKTAEELHGAIANSKRPRLQLSDLPMDVLCSVLSQLPMEEAVKTSILSCQWKYIWCHQTNLNFNSKTIMPRVAWSTREAVQQEFIKRVNAVLRQRNGAGVEKINIRFDLNDRHAADVDRWVNLAIASKTKVLTLELWLDSPAIVYRLRVSDPERMEPYNFPFQLFDERNGSYLQSLHLSTVSLKLPTNFKGFINLKKLYLSGVSITDEDLGHFVSKCRVLEFLGVLFCTMLETLTIPHSANQLKHLKIRCCPLLHKVRLNYSLKMLEYKGPVTYLVSDRTLSLTDACINLLDVRTSLKHLFAELSGSTSRPKSVAMKCRDLEMSIPPRSSPCFLGLRHVKLEVTILVSSESGTDVLDLGHLLKAAPSMEKLELHMWMRRKHKPYCRDDGDLRILPAHPHSHLKLVHITGFYGHKDQLELADHILRNCVVLEEMKVDPRVAIEKLSTPEDEYEESRNLDGLRVASEFLHDADCRGALTVSLSLPRPKVTMIWI* >Brasy6G253600.1.p pacid=40050190 transcript=Brasy6G253600.1 locus=Brasy6G253600 ID=Brasy6G253600.1.v1.1 annot-version=v1.1 MTMTLAAYGCSMALPFSVSRSDRVVPPSRVSLTSSKPRALATGLTVSYCRRRLHVSACSSEVDADATELPAEATFDLKLPRRSLLVQFTCNKCDARTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEYDLREENGMNTCTED* >Brasy6G066500.1.p pacid=40050191 transcript=Brasy6G066500.1 locus=Brasy6G066500 ID=Brasy6G066500.1.v1.1 annot-version=v1.1 MAIRHYWSMAAAAVGFRLALVIFGGNLHLASRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTSKRSGGHHAHIYCSLVFVAVDFLAAMLIRSTGCRLQIARNRSLKSLDLTKSVNSSVNVSAGDAASLIYLWNPWAIITCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILILPVTLLLGYGPDSPPPKVFLLKGLSASKVGMPDNEISTGQRGFRQFSWKPVLHFTLWVFIWSCYVLLLSSIILNNVGGLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDVFRNFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVKA* >Brasy6G082000.1.p pacid=40050192 transcript=Brasy6G082000.1 locus=Brasy6G082000 ID=Brasy6G082000.1.v1.1 annot-version=v1.1 MKRDMTFVCLFMQANMFYYNSSALLEPTTRSSLLKAIEISKKFGWVRGTEDAPITPFTCEMSQSGDAIVAGLMNMLSINPHL* >Brasy6G117900.1.p pacid=40050193 transcript=Brasy6G117900.1 locus=Brasy6G117900 ID=Brasy6G117900.1.v1.1 annot-version=v1.1 MDRAELTTEQVLKRDIPWETYMSTKLISSTCLQLLRRYDHKPESERGSLLDEDGPSYVRIFLNILQSISKEETVEYVLALIDEMLAVNPKRAALFYDESLSGEDIYDPFLRLLLKGNWFVQEKSCKILTHLISARPKLQNGMVPNGEASNSKSKLTSIHDVLRGLVDWLCTQLRSPTHPNFSIPTATHCLATLLKEPYVRTLFVQTDGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVMSLCNLLAKGAFAAQMIDLGLPHIVQNLKAQAWSDEDLLDALNQLEVGLKENLKRLSSFDKYKQQVLLGHLDWSPMHKDPSFWRENITNFEENDFQILRVLMTVIDTSTDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHDNAEVRKNSLLCVQRLFLGAKYASFLQA* >Brasy6G257500.1.p pacid=40050194 transcript=Brasy6G257500.1 locus=Brasy6G257500 ID=Brasy6G257500.1.v1.1 annot-version=v1.1 MRSTTASYVTSCILLLVATLLAARVAPAASEDGVWGPIPGVDNRWIVFIAKWALQEHARLSGETLTLDQVLAGSQLSQGPVFTFRLLINAMDANHRSAVYNLEVLDGPWTNTRVLTSFALASWS* >Brasy6G144600.1.p pacid=40050195 transcript=Brasy6G144600.1 locus=Brasy6G144600 ID=Brasy6G144600.1.v1.1 annot-version=v1.1 MVGVSNQPKYLCQKPNQRDPKIKSSKVSQWTVRRRGRATSGRATAVPAEHLEIAAERHRWIRVRRAAASHLRPRHRRPSGAPPNRRRAPPLDPRAWRCCEPPPAAPPPSQRSTSKSPPSATAGSACVALLRTAAGRATAGSACVSLCGPDGCASPAAPPRHALAVRETCGSRRLAVRWPRRVARWSRFRTWTENRGRGGCSWEEKLGIRCWGIFRSRGKNFGRLDRLE* >Brasy6G220000.1.p pacid=40050196 transcript=Brasy6G220000.1 locus=Brasy6G220000 ID=Brasy6G220000.1.v1.1 annot-version=v1.1 MSSSPAVAPHVVEDCLGVVQLLSDGTVTRPSVSLLTANNPPPAHDLLPDDVQWKDVVYDEAHDLRLRIYKPSTTGSEKLPVLVYFHGGGFCAFSFAHPSFHAVALRLAHDLPALVLSADYRLAPEHRLPAAHHDAESLLSWLRRQATTTSGSSDHPCWLSSSSSADFERVFVCGDSAGGNIAYHVAVRYGSPGAPDLAPIRIAGCVLLWPYFGGEDRTASEAAAPTDALMSLALFDQLWRLALPAGATRDHPAANPFGPHSAPLDAVGFPPVLIVDPEHDLLRDRIRGYVARLEAMGKPVELVEFPGQGHAFFVYEPWGVAADELLRVLRRFVHGTRDGATLTAG* >Brasy6G120900.1.p pacid=40050197 transcript=Brasy6G120900.1 locus=Brasy6G120900 ID=Brasy6G120900.1.v1.1 annot-version=v1.1 MPRAAALLVLAVLAPLSCTAEETNHRHAQPPLLRDAKPLRSRRHLRGQATVACGQARRRACKCILSVLPLPHFRPCPCGSSRRVRLLPLASGRRQCVGHLRRLHRLGLPGRAAAVPVRPYHREAMVQRGECQIAFHDAQLREQEDMVANNRHMSFWKHFGCITVQSKLSF* >Brasy6G120900.2.p pacid=40050198 transcript=Brasy6G120900.2 locus=Brasy6G120900 ID=Brasy6G120900.2.v1.1 annot-version=v1.1 MPRAAALLVLAVLAPLSCTAEETNHRHAQPPLLRDAKPLRSRRHLRGQATVACGQARRRACKCILSVLPLPHFRPCPCGSSRRVRLLPLASGRRQCVGHLRRLHRLGLPGRAAAVPVRPYHREAMVQRGECQIAFHDAQLREQEDMVANNRHMSFWKHFGCITVQSKLSF* >Brasy6G269400.1.p pacid=40050199 transcript=Brasy6G269400.1 locus=Brasy6G269400 ID=Brasy6G269400.1.v1.1 annot-version=v1.1 MAVSSWIPPSHPDSDGYDGLVLLDRWCYIGNLPNDTIAAGETSTGLRIEVTFHAARPPLLSYFCVHCPGLDFRREAPKVVATDGDLVLLIVPVNPNFIATGEGWDHFVYMPRAHRLDLLPNPCPDRWIDYSATALLSRHDGASYVVAALGVRAPVCGDGGKLVIRWEFDLHLYRSSDSSEGWISKPLSVNDLVRDKFIPLPNSVDRLYHETAKTIVLGGEGGTVAWVDLWRGIFLCDVLEECPVLQDIPLPAPARGNWDRLINQYDPNYLHDVAVSPSKDTIKYIEMETIYSSRELNTTPVSSYVEWVRNFNSRKSRVVVTRDGWKATTWSMALRPVVGSSEGWHLDCELDSKNVALDASDPCPSFLSVLSRSEIPRKLKELPMVYPTISMHDDVVYFLSRSEPSHMDKLEVVFAIDTRKGTLQGLAELDVQKDYYYMPAFCTSEICRNLRNVAGNK* >Brasy6G191000.1.p pacid=40050200 transcript=Brasy6G191000.1 locus=Brasy6G191000 ID=Brasy6G191000.1.v1.1 annot-version=v1.1 MPLLLGVVHACRTWMFSSLLQIPATSVSPSADPRRRPPSLLRALGRRPLLVFPPPWRRKSTEIWILEAIFNSDMANPPGEEIPRIRYWSQEQAAWIWSPLLRRRRIRPTTRSLPSNSRHRPSASGSRLKPLL* >Brasy6G013300.1.p pacid=40050201 transcript=Brasy6G013300.1 locus=Brasy6G013300 ID=Brasy6G013300.1.v1.1 annot-version=v1.1 MATSDTVGVAAAAARHVRCPKCHSVLQEPAGVPVYQCGGCGATLRASPRAASSPPAPEPPAADVRAADAASPDSVLPPPPQRSQSKGEAAGDVASTSAAADAPTATGRREAAGDVAPEVTRPESPAVEEKGRHDRHRSADREADASSESRRGAGGDAVRAERGGDASFSGGSRDAAADSETRREEEADAAARKKGSGEMAPARPRSRNLDEAPSMSPRASPSPRPRSRNLEEAQSMSAPAADDDARPALKPSRRGEDDAAEGKALPSPPRHALSPLHEKILKTVDELKGDLSELFSQPPEAVVKPRTPSRPPRPLLPRQQERVPTTRARHAAAKSAGALRHRGHAGEAAVPRGLPSRRYRRCRADTIECGHDARRIAGSPCHGHGHHGCCCRDRHCCGGSASSRARPREEKAAAMAAATKRRAAPPTRLQQHCRPVLKGAPFIVCSSCFALVQVPAGFAVSTHKVRELRCGACSAVLSYSYRDRDRETKNNPPHPPPENGHGARRPDLFAFIDDFAAPSSYSTTEDDEPQQPLHVSRNSSFEFDGAEKPKQQKQSNSLHRLMGYGSARELLLLPRSPSLYEHGSFDGDEEDKRAPPRPPSRGASRRHEHGGDRKGKGVCLDDDTDDGEDDSDDAGALRRRSWLHGRGVPPPPGAIRIRS* >Brasy6G034600.1.p pacid=40050202 transcript=Brasy6G034600.1 locus=Brasy6G034600 ID=Brasy6G034600.1.v1.1 annot-version=v1.1 MTMAAARLRSATTAVAAAARVLGCGEGRRRLGTAAAEVAEAGGARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTLGEKYGVKYSEEEILMRYRQAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLEYFEELYCYYTTEKAWQLIDPDAKYVFEALRRAGVRTAVVSNFDTRLRPLLQALKCNHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVAERIGVNVGMANSM* >Brasy6G182100.1.p pacid=40050203 transcript=Brasy6G182100.1 locus=Brasy6G182100 ID=Brasy6G182100.1.v1.1 annot-version=v1.1 MLMRRRVTQQTRLAYCNLEKMIDAHGPIRFNLKELEHATANFNPHRKLGRGGGGTVYHGYLNRLNMEVAVKWVSENKSSSRGEKEFVAEVNTIGKLSHRNLVKLVGLCHEGGKLLLVYDYFPMGSLDKHLFSHATVCTSSSETRTPELTWERRYRIICGVASALDYLHHGSSKRILHRDVKASNVMLDEEYNARLGDFGLARVIQIDGVTHHSTQAVAGTRGYMAHESFFTGHASLDTDVYAFGVFVMEVISGKSPSRSMLYDREEMYIVDWTWRHYSEGKLLETADAVLGGVYNEAQMECTVRLALACCHPNPRERPSMRTAVQVLIGGVPAPDPPFEKPSFVWPLSGKQQEIELRHVGVLFTGGQLSFCSKTLTGR* >Brasy6G074200.1.p pacid=40050204 transcript=Brasy6G074200.1 locus=Brasy6G074200 ID=Brasy6G074200.1.v1.1 annot-version=v1.1 MPTRRNVQYSSLPTEDRDGENNDVDLRFAYTPKSHRAIPWKSIALAIFLLLLGISLLSLSWFIFTSHMEGDSTQAYGLLFLGILAFLPGYYETRVAYYSWRGAPGYMFASIPDY* >Brasy6G090900.1.p pacid=40050205 transcript=Brasy6G090900.1 locus=Brasy6G090900 ID=Brasy6G090900.1.v1.1 annot-version=v1.1 MRPFLLTPGARLASAPSPSTLSRLLLPLHLQNRHAHASPSPSPHARTNRPSLSLRRRSGRFFTSSSQVAAPADAPGGSADAFEVIRAHQVKAARLPPIEEIRTILDRSVRGVLATHSQEHVGYPSGSMVDFACDEDGSPILAVSSLAGHSKNLSGSSKCSLLVAKDPEDRTDTVITVYGDATPVSDEEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITGHMNKDHADDTKLIVQHSTTVKVDFASMLDVDSLGINVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSHAE* >Brasy6G142800.1.p pacid=40050206 transcript=Brasy6G142800.1 locus=Brasy6G142800 ID=Brasy6G142800.1.v1.1 annot-version=v1.1 MPFLSTPSFDLSAGSEPTLGPRPPPPPPPPPGPAPPPQPPVSEAAARRLREAEERLREAIQELHQHQGGSGGRDEGGPEGGKGGGGGWGCCVHQGESCAAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILSGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQIMYAFVMRPESLPKSYRDFIQKTGPVVEPVYKAVRDSCRGGRVDLIGLSAYLANKKDLNLINLTNSPSIIPCSVIHPDRASCLAHNVTVTSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSGFVTLFQAAICLHRKVANRDHKLVYWFGGLISGLSILLENKARRAELALYVLPRAGESLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNRNTSYSYLQTLNVLEQSRTHPAPENGLPTSETTYTLESIPGL* >Brasy6G142800.2.p pacid=40050207 transcript=Brasy6G142800.2 locus=Brasy6G142800 ID=Brasy6G142800.2.v1.1 annot-version=v1.1 MPFLSTPSFDLSAGSEPTLGPRPPPPPPPPPGPAPPPQPPVSEAAARRLREAEERLREAIQELHQHQGGSGGRDEGGPEGGKGGGGGWGCCVHQGESCAAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILSGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQIMYAFVMRPESLPKSYRDFIQKTGPVVEPVYKAVRDSCRGGRVDLIGLSAYLANKKDLNLINLTNSPSIIPCSVIHPDRASCLAHNVTVTSSTFKKTFPLYFSLTFVPFVVLRLQKVQFVLPPFCLVLSLSSRLPSACTVKLQTETTNLCIGLVV* >Brasy6G214200.1.p pacid=40050208 transcript=Brasy6G214200.1 locus=Brasy6G214200 ID=Brasy6G214200.1.v1.1 annot-version=v1.1 MACCWVRSSWLKISSLLNFQANQERTYKNNNKISQEFTYSVTGESWINRGRPELKKQAGRTRKVSSPLVRSSLRIDSSPHNSSEQLQF* >Brasy6G252600.1.p pacid=40050209 transcript=Brasy6G252600.1 locus=Brasy6G252600 ID=Brasy6G252600.1.v1.1 annot-version=v1.1 MDSPRPTLADECPSFPVEGPQVPLDPGSRLSGKEDEDEASTASNNRCDFVKCTNHNPREGGCDFWYWVEDYAALLAELGALPAKSPLLIPTGWVDVHVDAPDMETRRAAVPGDEDLQKTVKSVLRVMGEISDML* >Brasy6G188000.1.p pacid=40050210 transcript=Brasy6G188000.1 locus=Brasy6G188000 ID=Brasy6G188000.1.v1.1 annot-version=v1.1 MDPALPEHWRRPVHLDLEASSVVTDRSNGGRRKARRDVAAPHGDDSSMPVSTSGTGAGGAGQDLADPEEKRLKKTKSGDDDDNLRTNAQTDSGNASKVVDRNPTPPEPPKQDYIHVRARRGQATDSHSIAERARREKISERMKMLQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVNAHVNNRIASFQSKDVGAEPFHTALGLTLDPQTPREHAQGSTSEWLHMQIGNTYERVT* >Brasy6G106900.1.p pacid=40050211 transcript=Brasy6G106900.1 locus=Brasy6G106900 ID=Brasy6G106900.1.v1.1 annot-version=v1.1 MSGSGGGGRGRQEPEGGSAGLPVPGPCTSTHRALAECHRRAARGPLQPEVLCRHLNRALAECLVTMCCPGETEAVRTLCGSSGTALKRSQCQRARIDLSLCLEAHQET* >Brasy6G133100.1.p pacid=40050212 transcript=Brasy6G133100.1 locus=Brasy6G133100 ID=Brasy6G133100.1.v1.1 annot-version=v1.1 MASLTVPPGPPNPRQDAIELHKAFKGFSCDSTAVTNILSHRDSMQRGYIQHEYKTMYSEELSRRISSELSGNHKKAMLLWILDPAGRDATVLREALSADSLDLRAATDIICSRTPSQLQIMKQTYYAKFGTYVEHDISQQTTGDHQKILLAYIGIPRYEGPEVDPTIVTHDAKDLYKAGEKKLGTDEKTFIRIFTERSWAHMAAVASAYHHMYDRSLEKVVKSETSGNFEVALLTILRCAENPAKYFAKVLRKSMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYYKKYKKPLGDAIHSETSGGYRTFLLSLVGGH* >Brasy6G081000.1.p pacid=40050213 transcript=Brasy6G081000.1 locus=Brasy6G081000 ID=Brasy6G081000.1.v1.1 annot-version=v1.1 MKGLFKSKPRTPPDVVRQTRELLIFLDLHSGSRVADAKREEKMAELSKNIRELKCILYGNGEQEPVTEACVQLTQEFFRENTLRLLIVCIPKLNLETRKDATQVVANLQRQQVSSRIVASEYLESNKDLLDTLISGYENMDIALHYGAMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFAEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDTSKNIQMEAFHVFKLFAANKNKPADVVNILVTNRSKLLRFFAGFKTDKEDEQFEADKEQVIKEISAL* >Brasy6G081000.2.p pacid=40050214 transcript=Brasy6G081000.2 locus=Brasy6G081000 ID=Brasy6G081000.2.v1.1 annot-version=v1.1 MKGLFKSKPRTPPDVVRQTRELLIFLDLHSGSRVADAKREEKMAELSKNIRELKCILYGNGEQEPVTEACVQLTQEFFRENTLRLLIVCIPKLNLETRKDATQVVANLQRQQVSSRIVASEYLESNKDLLDTLISGYENMDIALHYGAMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFAEFNTRLLSSTNYITKRQAIKDTSKNIQMEAFHVFKLFAANKNKPADVVNILVTNRSKLLRFFAGFKTDKEDEQFEADKEQVIKEISAL* >Brasy6G223900.1.p pacid=40050215 transcript=Brasy6G223900.1 locus=Brasy6G223900 ID=Brasy6G223900.1.v1.1 annot-version=v1.1 MTIKTLKARILQALRSSLPAPAAAAPDQSPPPSPTKPGRASDDASFFDAHDTPAKIRLADADADPIDDDWELVEEEDQDAAAGVGGSPASSSPRPEPELDPLREFPARCPPGGEGAVVLYTTTLRGVRKTFEDCNEVRALLENLAAPFQERDVSMDRGLREQLWAAAGAGERPVVPPRLFVRGRDLGGAAQVLALHDDGRLLSLLQLPCSSSAAAAAGSRKTRTKKKGKCEACGGVGFVVCGECDGSRKVFDGGPGRCGGCNENGLVMCALCLYPS* >Brasy6G125800.1.p pacid=40050216 transcript=Brasy6G125800.1 locus=Brasy6G125800 ID=Brasy6G125800.1.v1.1 annot-version=v1.1 MASIPCTIQLATRTSSRRTSPRAPPQGTPPLLGRGAARRTQGWLRLGEAAPARESGRVGFFKFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLSQGTHPVDILLLLAASEGDVPKIEELLRAGAKSDVKDPDGRTALDRATSEEVRDLIAGFAPANKA* >Brasy6G150900.1.p pacid=40050217 transcript=Brasy6G150900.1 locus=Brasy6G150900 ID=Brasy6G150900.1.v1.1 annot-version=v1.1 MHIFLNYLIFVSVPGDPSTNFPHCQILSHADLFWCYFALFSFDGNCSNESMFLWYHYFKLISVICDASEL* >Brasy6G213900.1.p pacid=40050218 transcript=Brasy6G213900.1 locus=Brasy6G213900 ID=Brasy6G213900.1.v1.1 annot-version=v1.1 MALVFDATILSREPVIPPQFVWPADEAPPAAAVEEISIPVIDLAAFLSGSGGSGEGVDPNLAAACERHGFFQIVNHGVDPALLDKAYRFMDAFFALPLAEKQRAQRRLGENHGYAGSFTGRFESRLPWKETMSFNCSDAPGNARMVADYFVSVLGEDYRQMGEVWQEYCDVMTRLALDVTDLLAVGLGLGRSALRAFFAGGDSVMRLNNYPPCRQPHLTLGTGPHRDPTSLTLLHQDLVGGLQVFVGGEWRAVRPRSDAFVVNIGDAFAALTDGRHASCLHRAVVNGAAARRSLTFFLNPPLDRVVSPPPRLLAEDARRKYPDFTWRVFLEFTQKHYRSDTNTMEAFVDWIKQGRRGAQFPGDAGQEKN* >Brasy6G061400.1.p pacid=40050219 transcript=Brasy6G061400.1 locus=Brasy6G061400 ID=Brasy6G061400.1.v1.1 annot-version=v1.1 MICLKSIIHPSPRLHILASSPLRRRLKPSAVRAAAAMSSSSSAAAAAIAAPIEHFVLIKVRPESLTSGAAAAMVSSLQALSSQVPGLSYIHAGPVLRLRSPAAEALGPTHLLHSRYATKPDLAAYAAHPAHVAAVQAHVQPNALDATAVDWVNAAAGPSPVTPGSAIRLTLAKVKEGVEVARLVEEVAAATKAAGEARGSRVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEAAKAAVRPLLDEVLVLDFVAGPAGDAPAAASL* >Brasy6G211700.1.p pacid=40050220 transcript=Brasy6G211700.1 locus=Brasy6G211700 ID=Brasy6G211700.1.v1.1 annot-version=v1.1 MPELRSSTRLARLRSRKLDDQQPAEPAAKPVPPAPRRAGKKRAPVPAVRGRKGAAGRRAVPAPRRTRKGAEVVDVDTDPACEEPPKAVAVQEVVCEAKKPVLNKVAEAIKDLRMDGGSAEKLVGVDDESTTPIPERVHVGNSPVYITDRKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRSSKGCNYAPPYEWQVYQSLNGCYGVPAVHYKGRQGDYYILVMDILGPSLWDVWNSLGQMMSPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGLPGSPDEKKLFLIDLGLASKWKDPAGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLLFLIKGRLPWQGYQGDTKSFLVCKKKMSTSPDTLCNAAPAPFKHLLETVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGALKVGQKRGRLPVNLEEDEQPKKKIRLGSPASQWISVYNARRPMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSVNLWALIMDAGTGFGSQVYELSPAFLHKDWIMDQWEKSFYITAIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWEQGYRITSSAATNDQAAFILSKTKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVC* >Brasy6G211700.3.p pacid=40050221 transcript=Brasy6G211700.3 locus=Brasy6G211700 ID=Brasy6G211700.3.v1.1 annot-version=v1.1 MPELRSSTRLARLRSRKLDDQQPAEPAAKPVPPAPRRAGKKRAPVPAVRGRKGAAGRRAVPAPRRTRKGAEVVDVDTDPACEEPPKAVAVQEVVCEAKKPVLNKVAEAIKDLRMDGGSAEKLVGVDDESTTPIPERVHVGNSPVYITDRKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRSSKGCNYAPPYEWQVYQSLNGCYGVPAVHYKGRQGDYYILVMDILGPSLWDVWNSLGQMMSPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGLPGSPDEKKLFLIDLGLASKWKDPAGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLLFLIKGRLPWQGYQGDTKSFLVCKKKMSTSPDTLCNAAPAPFKHLLETVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGALKVGQKRGRLPVNLEEDEQPKKKIRLGSPASQWISVYNARRPMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSVNLWALIMDAGTGFGSQVYELSPAFLHKDWIMDQWEKSFYITAIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWEQGYRITSSAATNDQAAFILSKTKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVC* >Brasy6G211700.2.p pacid=40050222 transcript=Brasy6G211700.2 locus=Brasy6G211700 ID=Brasy6G211700.2.v1.1 annot-version=v1.1 MPELRSSTRLARLRSRKLDDQQPAEPAAKPVPPAPRRAGKKRAPVPAVRGRKGAAGRRAVPAPRRTRKGAEVVDVDTDPACEEPPKAVAVQEVVCEAKKPVLNKVAEAIKDLRMDGGSAEKLVGVDDESTTPIPERVHVGNSPVYITDRKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRSSKGCNYAPPYEWQVYQSLNGCYGVPAVHYKGRQGDYYILVMDILGPSLWDVWNSLGQMMSPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGLPGSPDEKKLFLIDLGLASKWKDPAGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLLFLIKGRLPWQGYQGDTKSFLVCKKKMSTSPDTLCNAAPAPFKHLLETVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGALKVGQKRGRLPVNLEEDEQPKKKIRLGSPASQWISVYNARRPMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSVNLWALIMDAGTGFGSQVYELSPAFLHKDWIMDQWEKSFYITAIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWEQGYRITSSAATNDQAAFILSKTKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVC* >Brasy6G211700.4.p pacid=40050223 transcript=Brasy6G211700.4 locus=Brasy6G211700 ID=Brasy6G211700.4.v1.1 annot-version=v1.1 MPELRSSTRLARLRSRKLDDQQPAEPAAKPVPPAPRRAGKKRAPVPAVRGRKGAAGRRAVPAPRRTRKGAEVVDVDTDPACEEPPKAVAVQEVVCEAKKPVLNKVAEAIKDLRMDGGSAEKLVGVDDESTTPIPERVHVGNSPVYITDRKLGKGGFGQVYVGRRVSGGTARTGPDAYEVALKFEHRSSKGCNYAPPYEWQVYQSLNGCYGVPAVHYKGRQGDYYILVMDILGPSLWDVWNSLGQMMSPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGLPGSPDEKKLFLIDLGLASKWKDPAGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLLFLIKGRLPWQGYQGDTKSFLVCKKKMSTSPDTLCNAAPAPFKHLLETVTNMKFDEEPNYAKLISLFDGLIEAPASRPIRIDGALKVGQKRGRLPVNLEEDEQPKKKIRLGSPASQWISVYNARRPMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSVNLWALIMDAGTGFGSQVYELSPAFLHKDWIMDQWEKSFYITAIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWEQGYRITSSAATNDQAAFILSKTKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVC* >Brasy6G196700.1.p pacid=40050224 transcript=Brasy6G196700.1 locus=Brasy6G196700 ID=Brasy6G196700.1.v1.1 annot-version=v1.1 MAVPVQMLDQMAEWEAAVWDWVAWALGYAAGLRQTVAAGVGEGISQVEEARATLQEAAALLQEPADAAEILYDNLLNLAPHSSATLAQAGALVDAVFNGAGPLAGAIAAAADIVARHFDSPPPSGGPLQDARRDLAFLFHAVHVDAGHYFHYCAWYLGIVPGAGAWELWVNRHEQAGTRGRAAVWKVYDAVMASLAAHRAHHLCRARSARGRPGEYILEAQRTLQRTIAEIDAALLILRDLPSDLADLEVLVHNATAEAQALALIHP* >Brasy6G247400.1.p pacid=40050225 transcript=Brasy6G247400.1 locus=Brasy6G247400 ID=Brasy6G247400.1.v1.1 annot-version=v1.1 MKRKELIVNGLLILAWSIVSAAGIAPQEKVESSTPVSTLSPPEGNMTFIDGVTWCVARPGVPQEDLQNALDWACGQGAADCTPLQPGGHCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRDPSFGSCKFLASETSAASALVLRSMRMMICAAFLTLLQLRVS* >Brasy6G030600.1.p pacid=40050226 transcript=Brasy6G030600.1 locus=Brasy6G030600 ID=Brasy6G030600.1.v1.1 annot-version=v1.1 MNGDSLSPYLLAHVIEGKTLPPIKKNPIAAHTHACHASRLRAPSPAAGNRPPLKPPPQRSPSIPLSEIIDPWAVAASRKQAHVRMAETKEKEGEYYTEEEEEVGGPGSGGEEEEEGGGKKRKRPLDGFSKRGVCYLSRVSPHMNPSHVRQMFSKYGEVQRIYFVPEGQGHRKHSNVRAKAYSEGWIEFAKRSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEIAEKTHIREQKLNLEITAAKKQRDHYLSNAEKSRTQKIIRERIKKRQKTEGKESNDVHESKIDRPIPQQTRPVEERGPKTKPKLSKNILAGVFGGSSS* >Brasy6G163700.1.p pacid=40050227 transcript=Brasy6G163700.1 locus=Brasy6G163700 ID=Brasy6G163700.1.v1.1 annot-version=v1.1 MGDPPPAPRRPLFDLNVAVDEFEEEFEEEPQEMEQVVEVREVVEEEEEEVEEEEPQEMIMEEDEEAETEAAAAAQEEEEDAIVEKEVVEEAGMMAEPGEDEGRRKKRKEYEVFVFGLPPEAVEEDVAGALAEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAKIKGKACGICKNNDNETLHLRNICFDWSKDDLAENLKTFELENLEDINLIEHPERKGKNRGYAFLDFSTHVDAVAGFLKLQKRDLYLGTDVKAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAAIDCIDMVNKGRFGDGSGKVRMKATLQRPKPTFKKPSWQGDTHMLGVRRGFIGKSHGDREPYPNRFRHLGHERRAYSNNFAHGNYRHQPMVGRSPPMAVDDGERPVSLREYRSYYRRDSTVPDHSHKYGRAHPGTRIREGYDESRYASKYPKHKHAAYEASMQRDEYRSKYGHSYLERAHSESCPECIRGDHNSSAYQNGRYSSGDKAGHRYQCQNGEEFSATSGPPKAFYKTDHEPTPSTSQGASQRKETYREDPQSMSSSPPVMCDCSECYKEQKAAAPPSSQSAVTRTHSNPQVPPHRRIAKPYHDQRSFVPDEYEVEYTIRERRGRYLSARDGHSTHPRKYSRQGR* >Brasy6G163700.3.p pacid=40050228 transcript=Brasy6G163700.3 locus=Brasy6G163700 ID=Brasy6G163700.3.v1.1 annot-version=v1.1 MGDPPPAPRRPLFDLNVAVDEFEEEFEEEPQEMEQVVEVREMIMEEDEEAETEAAAAAQEEEEDAIVEKEVVEEAGMMAEPGEDEGRRKKRKEYEVFVFGLPPEAVEEDVAGALAEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAKIKGKACGICKNNDNETLHLRNICFDWSKDDLAENLKTFELENLEDINLIEHPERKGKNRGYAFLDFSTHVDAVAGFLKLQKRDLYLGTDVKAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAAIDCIDMVNKGRFGDGSGKVRMKATLQRPKPTFKKPSWQGDTHMLGVRRGFIGKSHGDREPYPNRFRHLGHERRAYSNNFAHGNYRHQPMVGRSPPMAVDDGERPVSLREYRSYYRRDSTVPDHSHKYGRAHPGTRIREGYDESRYASKYPKHKHAAYEASMQRDEYRSKYGHSYLERAHSESCPECIRGDHNSSAYQNGRYSSGDKAGHRYQCQNGEEFSATSGPPKAFYKTDHEPTPSTSQGASQRKETYREDPQSMSSSPPVMCDCSECYKEQKAAAPPSSQSAVTRTHSNPQVPPHRRIAKPYHDQRSFVPDEYEVEYTIRERRGRYLSARDGHSTHPRKYSRQGR* >Brasy6G163700.2.p pacid=40050229 transcript=Brasy6G163700.2 locus=Brasy6G163700 ID=Brasy6G163700.2.v1.1 annot-version=v1.1 MGDPPPAPRRPLFDLNVAVDEFEEEFEEEPQEMEQVVEVREVVEEEEEEVEEEEPQEMIMEEDEEAETEAAAAAQEEEEDAIVEKEVVEEAGMMAEPGEDEGRRKKRKEYEVFVFGLPPEAVEEDVAGALAEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAKIKGKACGICKNNDNETLHLRNICFDWSKDDLAENLKTFELENLEDINLIEHPERKGKNRGYAFLDFSTHVDAVAGFLKLQKRDLYLGTDVKAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAAIDCIDMVNKGRFGDGSGKVRMKATLQRPKPTFKKPSWQGDTHMLGVRRGFIGKSHGDREPYPNRFRHLGHERRAYSNNFAHGNYRHQPMVGRSPPMAVDDGERPVSLREYRSYYRRDSTVPDHSHKYGRAHPGTRIREGYDESRYASKYPKHKHAAYEASMQRDEYRSKYGHSYLERAHSESCPECIRGDHNSSAYQNGRYSSGDKAGHRYQCQNGEEFSATSGPPKAFYKTDHEPTPSTSQGASQRKETYREDPQSMSSSPPVMCDCSECYKEQKAAAPPSSQSAVTRTHSNPQVPPHRRIAKPYHDQRRFDDQL* >Brasy6G163700.4.p pacid=40050230 transcript=Brasy6G163700.4 locus=Brasy6G163700 ID=Brasy6G163700.4.v1.1 annot-version=v1.1 MGDPPPAPRRPLFDLNVAVDEFEEEFEEEPQEMEQVVEVREVVEEEEEEVEEEEPQEMIMEEDEEAETEAAAAAQEEEEDAIVEKEVVEEAGMMAEPGEDEGRRKKRKEYEVFVFGLPPEAVEEDVAGALAEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAKIKGKACGICKNNDNETLHLRNICFDWSKDDLAENLKTFELENLEDINLIEHPERKGKNRGYAFLDFSTHVDAVAGFLKLQKRDLYLGTDVKAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAAIDCIDMVNKGRFGDGSGKVRMKATLQRPKPTFKKPSWQGDTHMLGVRRGFIGKSHGDREPYPNRFRHLGHERRAYSNNFAHGNYRHQPMVGRSPPMAVDDGERPVSLREYRSYYRRDSTVPDHSHKYGRAHPGTRIREGYDESRYASKYPKHKHAAYEASMQRDEYRSKYGHSYLERAHSESCPECIRGDHNSSAYQNGRYSSGDKAGHRYQCQNGEEFSATSGPPKAFYKTDHEPTPSTSQGASQRKETYRPTVDVFKSSCYV* >Brasy6G163700.5.p pacid=40050231 transcript=Brasy6G163700.5 locus=Brasy6G163700 ID=Brasy6G163700.5.v1.1 annot-version=v1.1 MGDPPPAPRRPLFDLNVAVDEFEEEFEEEPQEMEQVVEVREMIMEEDEEAETEAAAAAQEEEEDAIVEKEVVEEAGMMAEPGEDEGRRKKRKEYEVFVFGLPPEAVEEDVAGALAEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAKIKGKACGICKNNDNETLHLRNICFDWSKDDLAENLKTFELENLEDINLIEHPERKGKNRGYAFLDFSTHVDAVAGFLKLQKRDLYLGTDVKAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAAIDCIDMVNKGRFGDGSGKVRMKATLQRPKPTFKKPSWQGDTHMLGVRRGFIGKSHGDREPYPNRFRHLGHERRAYSNNFAHGNYRHQPMVGRSPPMAVDDGERPVSLREYRSYYRRDSTVPDHSHKYGRAHPGTRIREGYDESRYASKYPKHKHAAYEASMQRDEYRSKYGHSYLERAHSESCPECIRGDHNSSAYQNGRYSSGDKAGHRYQCQNGEEFSATSGPPKAFYKTDHEPTPSTSQGASQRKETYRPTVDVFKSSCYV* >Brasy6G226800.1.p pacid=40050232 transcript=Brasy6G226800.1 locus=Brasy6G226800 ID=Brasy6G226800.1.v1.1 annot-version=v1.1 MKDGCPLLSAPKQQVIMHGFGEEALMFFELPMSDSFRPRRENGRVGLISVSGGSLSIEQVTSLLQWLVLTENFQWDVNLYEKDVFKAVFPSKAELLRMTRSGIFSVPASPCAITFSDWSAKVEPEWSLQEVWVLVSGIPPDYLGDYVTLWGVGSLLGKTKDVDMPYTRRHGNCIPHEKDVLIKGSGYVLAFQVEAPAGLIDPADTDMHDAHDDSDGGDKAKQNDTDVNQSDPKGKALVPDVNGSSSSTVAGGTAPAGTQLASTVLPAIRFGSFALESTTPVCVSAPRSRWADMVEEEERISLSAPPCARSHGLRRQSSCSGLLAKLDAPTGGGVAPAFRQGLNGPAVGPVQLGVGLQRQVVPAVAPSVGLVKSHLASPAAVHLRGASGAGPVGPSWQVAMGSPPLSPGHAPAPLSPACGPDGSTVGGSSLDAVIAFGGIPDVATTGVRSSARIRALPMRM* >Brasy6G238000.1.p pacid=40050233 transcript=Brasy6G238000.1 locus=Brasy6G238000 ID=Brasy6G238000.1.v1.1 annot-version=v1.1 MDPLAFLFTFLLSITHLTSFAAATEEDQFVYSGFSSSNLILNGTAMVTPDGVLELTNGTIYSKGYAFHPTSWQFRRSPDGAVQPFSISFVFSMASADFNGCPNSMAFLIFPGDNLLSALNSTSLDLNKLSILTVELDTCQKYSTFQEDNENDISVFIDKSPSSVQYPAGFYDDRNGIFSNLPLVSHNMMQMWVDYNAQATQINVTLAPLKVTKPIRPLISAVFNLSTVMQGPAYIGFSASTSIISSKYSVLGWSFGINRPAPTIDISKLPKLPQVGQKPQSKVLKIILPTVAAALVLLVGTKVILSVWTRLRYAELYEDWEQEFGPHRFSYKDLFHATEGFHNKNLLGHGGFGKVYKSVLPVSKLQVAVKRVSHESKQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHSQADKPTLDWSQRFRIIKGVASGIFYLHEKWEKVVIHRDIKASNVLLDHEMNGHLGDFGLARLYEHGTNLQITRVAGTLGYIAPELARTGKASPLTDVYAFGIFILEVTCGQRPINNYAQDSPRMLVDWVVEHSHNGSLTSALDRRIQGNYDADEVYIVLKLGLLCAHPFCSTRPTMRQVMQYLDGDMPLPELTPTNLSYSTLALMQNEGFDQYTSLPCTVGSNGMTFSLLSGR* >Brasy6G166300.1.p pacid=40050234 transcript=Brasy6G166300.1 locus=Brasy6G166300 ID=Brasy6G166300.1.v1.1 annot-version=v1.1 MEPDLFNAANSYYMILRGLFFRLVVSLQIESSLSMEIIAFWLWIQGNGYEDYLAHSDLFDDNYFLMFASTGKIFLEVLHVEFDDSDLRSIPSRSFLREAIEGISFYLNNICYKALEDLRERAEIHAMNQAYGESFFEQQAYGESFFEQQAYEEYLNNRVPISPEHLLTKIKALYTNTSKHHGEGTSSRSIRHPTSHVPQDRGEEVYEHQSTSRLATLLENLSLREKHNDGIMQQLSDVPRDERTLFVTFSNGYPLTKDELYDFFMRHYGDIEEITIEEPMEQRPPLYAHVTFFSQLTLVRVLDGNKRVKFMTRQKHIWARQYVPKKKKTKPNELNPFA* >Brasy6G061500.1.p pacid=40050235 transcript=Brasy6G061500.1 locus=Brasy6G061500 ID=Brasy6G061500.1.v1.1 annot-version=v1.1 MPSAGAPASDAGSSSRGGTRGGAAARPRRFPTAAQPEVMRAAEKDDSYATHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRMVSRGIYLDDSQLDYHHEIDNSSRGVAHSSTNTSNPSRSLTCSTLSRLRSRAHAFWLWVVQKWPSMLPLAQDFIQLAMRTNLMFFYFEGLYYHLSKRGAGIHYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNLSSIATSINQISSGSYPSSTGRSVPVLNEDGNIISDIRHGKAVDLASGSEAHSSKSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF* >Brasy6G009100.1.p pacid=40050236 transcript=Brasy6G009100.1 locus=Brasy6G009100 ID=Brasy6G009100.1.v1.1 annot-version=v1.1 MYATCSPNCANTDPLDAVVCVRCASWVSSRRDSSVEGSVNLQRFTEMRRFFFFGSSTGNEGNENGTPGDDGKSKSKYKKTLEEAEGNGNSSSSSNHPAARISRSRSRREKLKDEEPSNPKQLRRSMSFSAATNSCLRERSFSFSGDVPVSLYHEPDVPRHTEDNHYAWSPERHSALREYSIKVPKAHSVLETDSPRSRCHSCSTGHSPPTSPVALRCRSTRLSKNEVLDRYIDGEQEAAIQYEKRNQNSPTRSVVSNSRRPPRPHHLPKSMKESLETYSNVDDAYLHQFAQECTGNSCKKHDASKYHATVLDDFGRFPYLEDYKSESAPSVEDIYEDFQDMQPSNVIHDASQYFHDNDLGSALEGQETDDKLLQRAKEVEERFIDPSADSYELNMSRYRRLRSNDMFQLIKCLTEDRRQLAEELSSQIKARLTERFAAKERYKESMKELDIRTRRLEKEKTEVESALEREIDRRSNDWSARLSRFQSEEERLRERVRELAEKNVSFQRELTSLEANRVDSADKVASLETQNIKLADELEKVRNEHTNLHNSSVEFHAQFTKASEEKDHIREFLKDKEADNKALHQVIAKLQTICNEQEKTIAGLRQGYSSELDKKFAEFSSEKNNRMQMELIRLTGVEQKLRGEVQSRHLEAESLRQENIALLNRIQSTENGSTLSSICLDQELQARVDNLQLQGLSLLDKTSQLCTKLLDLVKCRRHENENDSDIDALDYTLEFQSIRGGIDNLKRSLRSINDVLTEKQKLKEQSGDSAVGGSTPMGQKDELYLDNSEFKLKEESLLNRVLKEALLSKELDIEQLRSDVASLLRIQYVMRNEVQRVQDELSCITHKAKHLELQGSKKDESIDQIQQDFQESAKELSALRGQLKIVTDERDLSWQESKQLRKTTSVMQNEIASLKKKVESLEEDILVKEGQISILQDNIYKPPLDFICSPRTMKQFDME* >Brasy6G075800.1.p pacid=40050237 transcript=Brasy6G075800.1 locus=Brasy6G075800 ID=Brasy6G075800.1.v1.1 annot-version=v1.1 MKPLPATLALLLLFLVASYRGLAVAADGGGGAVVPDAVCDAKCGKRCSQKVAGRCMGLCKMCCGKCDGCVPSGPLASKDECPCYRDMTSPKSHRPKCP* >Brasy6G064600.1.p pacid=40050238 transcript=Brasy6G064600.1 locus=Brasy6G064600 ID=Brasy6G064600.1.v1.1 annot-version=v1.1 MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSQDSSMDVILERYQRYSFEERAVLDPNIGDQANWGDEYGRLKIKLDALQKSQRQLLGEQLEPLTTKELQQLEQQLDSSLKHIRSRKNQLLFDSISELQKKEKSLKDQNGVLQKHLVETEKEKNNALSNIQHQEQLNEKNTALPNTHDREQQNGATSSLSPTPLTVLDSMPNLNIGSHQPREAGGEPESQSSPAQANSGKLPPWMLRTISNR* >Brasy6G004900.1.p pacid=40050239 transcript=Brasy6G004900.1 locus=Brasy6G004900 ID=Brasy6G004900.1.v1.1 annot-version=v1.1 MIDLDLWPLLQVRRGSVDSVGSMVGAGGRVVLRRGKPADDEEYVVDADEEEEVEEEEEYVAAACSDEEDQDDGDDESDADFDAEEESEDEEFEIDTPRPKKRPPRARVRRRRRRTVKPAEGEDSDDADFDAEDEGFEIDTPRPKRARSRGRKVKAEDDSDADFEEEEEEYEELETPRPKRPASKVRSRGRNRKQDDDSDADFDGEEEEEEEMEEDQEGDEDFAVEAPQPRRLPANGLRSRGRNRRQDYESDADLNGEGQEEEEQIEDQAGDEDFEVEAQQPNLKQDNDSDADLSEEEDEEGMDDQEGDEDFEVEAPQPKCPANKVRSRGQKQDSDSDVDLNGEEEEEEVHEEDEDFEIEMLEPERPDKVRGQVRNRKPAGSRRLRHEDDDDYEEESEDEDEDFDPEVDGEEDDEEAETEEEDEDLGDYAPIRSRKVKAKNHLVKQKPAAGRQHRKRSSGSRVSKGKRRKGTSAHRRRRKRWVVDNYEDEEEEEDEDDDFVVKDDVEEEVSYRPRKKAKIGRKTRDGLTELVAVGESWPSVESDTSEFEFVTSDEEHSVKEAPTTEPARIKRKKGRRKRGSRSDSSSDSDYVISEEELKDLGLPRPLETVPQLPPPPTRRTIVPRGVDGKGKEPEETLKQICGICLSEEQRATIQGVLNCCSHYFCFACIMEWSKVESRCPLCKRRFNTITKSSVPDLGLGSRNVAIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNQGGDDNLMLLCDICDSSAHTFCVGLGREVPEGNWYCGGCRSSVEGPSYAQTEDRVVHHGENNMNTADSSSGSVGRALSSGVFQRPPPINIQPSLQGFDLNLSPIETPDEDKRAESHISAEPVSTPTGRHATVDRRRALNRRIRILLFRPRTATNPWQNGIQHDRIIPGTEQNQQNTCPSTEMSPSCSSADFMQSQQSSSPFVQSSSNLTQCTYGGGSNFREIANAKDQLIPIVKKSIKHIYAQSPLDQTSFMNVARRATNTVLALSGIAHNRDRVVATPFPFPSHCRHACDGREPAFLMRTVCSSCFNSFVGDVVSHIANMFS* >Brasy6G004900.2.p pacid=40050240 transcript=Brasy6G004900.2 locus=Brasy6G004900 ID=Brasy6G004900.2.v1.1 annot-version=v1.1 MVGAGGRVVLRRGKPADDEEYVVDADEEEEVEEEEEYVAAACSDEEDQDDGDDESDADFDAEEESEDEEFEIDTPRPKKRPPRARVRRRRRRTVKPAEGEDSDDADFDAEDEGFEIDTPRPKRARSRGRKVKAEDDSDADFEEEEEEYEELETPRPKRPASKVRSRGRNRKQDDDSDADFDGEEEEEEEMEEDQEGDEDFAVEAPQPRRLPANGLRSRGRNRRQDYESDADLNGEGQEEEEQIEDQAGDEDFEVEAQQPNLKQDNDSDADLSEEEDEEGMDDQEGDEDFEVEAPQPKCPANKVRSRGQKQDSDSDVDLNGEEEEEEVHEEDEDFEIEMLEPERPDKVRGQVRNRKPAGSRRLRHEDDDDYEEESEDEDEDFDPEVDGEEDDEEAETEEEDEDLGDYAPIRSRKVKAKNHLVKQKPAAGRQHRKRSSGSRVSKGKRRKGTSAHRRRRKRWVVDNYEDEEEEEDEDDDFVVKDDVEEEVSYRPRKKAKIGRKTRDGLTELVAVGESWPSVESDTSEFEFVTSDEEHSVKEAPTTEPARIKRKKGRRKRGSRSDSSSDSDYVISEEELKDLGLPRPLETVPQLPPPPTRRTIVPRGVDGKGKEPEETLKQICGICLSEEQRATIQGVLNCCSHYFCFACIMEWSKVESRCPLCKRRFNTITKSSVPDLGLGSRNVAIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNQGGDDNLMLLCDICDSSAHTFCVGLGREVPEGNWYCGGCRSSVEGPSYAQTEDRVVHHGENNMNTADSSSGSVGRALSSGVFQRPPPINIQPSLQGFDLNLSPIETPDEDKRAESHISAEPVSTPTGRHATVDRRRALNRRIRILLFRPRTATNPWQNGIQHDRIIPGTEQNQQNTCPSTEMSPSCSSADFMQSQQSSSPFVQSSSNLTQCTYGGGSNFREIANAKDQLIPIVKKSIKHIYAQSPLDQTSFMNVARRATNTVLALSGIAHNRDRVVATPFPFPSHCRHACDGREPAFLMRTVCSSCFNSFVGDVVSHIANMFS* >Brasy6G071900.1.p pacid=40050241 transcript=Brasy6G071900.1 locus=Brasy6G071900 ID=Brasy6G071900.1.v1.1 annot-version=v1.1 MDHELAGGAGMMTHTCKVCGKGFSGGRSLGGHMRSHISHGEAVAVSGAGGSNGGGGAYGLRENPKKTRRLSDFAAEEGEDGDGGGGGQYRACRECGKLFSSWRSLFRHLRRDHDGDEEDEENADEQLGEEEFFAEEEAEVEPPVLSPAVMAAPPRRRRRSMRVAAPAPAPPPPPPPPPVDSFEKEQEDVALCLLMLSRDTAAPPQREEPPLPLEKKKTPPKPRPKPVSYLPRNTGHAYNNSDNDDSSSPHPLHYHGDGKIIKSTTKKRRSSGGGHYYAAPNSSSPKQQQQPPPPAKRTRYECPGCGRVFSSYQALGGHRASHKRINTSCSAPKPTPAAATEPSVETAYTASSFSTPSPSASAATIGAAASGAKKKPALAAEEKLDCELYYGGAGAEQDEHVAELDLNFPPAPSSEDAP* >Brasy6G104700.1.p pacid=40050242 transcript=Brasy6G104700.1 locus=Brasy6G104700 ID=Brasy6G104700.1.v1.1 annot-version=v1.1 MVVVVAQAAAAVTPVVAAAAAAPSVSSRGAAGTGGRGMGWRRRRRGKGACRGRGLVVVGAFGGQYDDGFGDVELEIMNYFTYKATKTVLYQLYEMNPPAYTWLYNYLVVNDAKEGIHFLRALTKERQDLAERVMITRLHLYGRWIKKCDHTKMYERISNENLALMRERLMETVVWPTDDTNSGKQD* >Brasy6G266400.1.p pacid=40050243 transcript=Brasy6G266400.1 locus=Brasy6G266400 ID=Brasy6G266400.1.v1.1 annot-version=v1.1 MKLQAGDRSLIASKIIIMAMQSGGNDLVTKLLHAYDEEVDRGLVHGADLDDIFRILGANKERILRALAPEAEKKAELPEVEKKEELPPEVERKAELPELLRKIEEAHKQWKERSTQQQQPAASKKKAMAMAASVMSDCNPFKSKPSSSPTPPQDAAASSPAPVISLEDLLKQTKDILGSAGLKEEETVYYEWTTSYVDESRIYGWQDEAGKVVDALVGAVDEEEDNEEVLFRAAGIAGIHGSGKTALVQKVFVHDRVKDAFPLRLWVCVGPPDHDDRFCLLYRMLDNLGLDTAKLESIVDSAAVVVNAGDDERRRTEAKIGVLLFVLYTTLYKTGYLIVFDDIRARGGAEGWYSNLTLNPPKDGEWYERLAYGLPKARKSAVLVTCRREEDARIMVRTGGVFRPPKMEEEEGWRLFRREYEALRRRRRRRRRKGGRRKRISCTRSCRK* >Brasy6G221800.1.p pacid=40050244 transcript=Brasy6G221800.1 locus=Brasy6G221800 ID=Brasy6G221800.1.v1.1 annot-version=v1.1 MDEERLYGLLGMREEAGRAMAGMLSNPAVAGATTNPEADTRGDTKFNASQYAFFGNNVMEEVELGGLDDDDDGSGDAGFVGLGDEEYPSTYTSDMLEDEGVGSFTGVDDLAGTFSKLNRIVNEPKHHGVVGHGGSVSKQSSSTADWMQEPEPSYWPKQPVLDTEQGLDNRKWPSQSPQLAHFSDSRLHRTSSSPHQNAQYNPSESILRPRPFALNRMSSYPQQEPQYNHTEPIPVPKPSFILYPPSASASHSSPGEPHHMNMPSPPTAFHMPMPAQNELPFSHFHHGGTPPGPPFGRNLVHMGSPGLSTNIVQQNHVVNSVPVQGNGDRFTPILMERPNGLIPPQMPPPRQQHGMRPIQQSSPQFSQLQAHMLGPRHSPPQNMQMFSPQHPPSQMRGRFDANFGMPDLNDPRARSMLHHGWQAQHYPPQGFEPGNMRMDNGWPRFRSKYMSTEEIENIARMQQAATHSNDPYIDDYYHQACLARKSEGARLKHHFYPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEASTPSDNIPEKSASKTLDQEPMLAARITIEDGLCHLLDVDDINRLLQFSQQQDGGLQLTNRRQALLEQLGESLQLVDPLGPNKDAPLSPNDDLVFLRIISLPKGRKLLSRYLELVTPSSELARIACMAVFRHQRFIFGNFPSDISAARTTTKLVSALSTCVRQMELSGLSACLAATVCSSLQPPLRPLGHAAGDGASIIIISVLERATELLTDQHVASTYSMQNRGLWQASFDAFFRLLTEYCMSRFDSVVHTVQMQPSAAAAISREIPVELLRASLPHTNENQRKQLLNFAQRTVPINNAQIARQPGNGPMTPGGPKPR* >Brasy6G049700.1.p pacid=40050245 transcript=Brasy6G049700.1 locus=Brasy6G049700 ID=Brasy6G049700.1.v1.1 annot-version=v1.1 MGSRGFEAALAVCPAAAQAYSKYCDIISGCTNPNAREGLVDLSQTIDGIEGMRDGIFGDIHKLMSVLELDDACQFSTFYDFVFFISRENGQKNITIQKAVAAWTIVLNGRFRLLDRWCNFVEKYQRHNISEDAWQQLLGFSRCVNEDLEGYDPKGAWPVVIDDFVEHMHRIYHPGDFSSAMESQCSISNTFREYCVTGLNLLPGSKRKCPTQSNYSEENEELSDGLRRSVHLTPLKRLKETSVPNKYGVWECNPGTPFLNSSSDYREDTNLHNSRGCLQNSPCIIEDSLSKGFEGCISMKCSF* >Brasy6G049700.2.p pacid=40050246 transcript=Brasy6G049700.2 locus=Brasy6G049700 ID=Brasy6G049700.2.v1.1 annot-version=v1.1 MGSRGFEAALAVCPAAAQAYSKYCDIISGCTNPNAREGLVDLSQTIDGIEGMRDGIFGDIHKLMSVLELDDACQFSTFYDFVFFISRENGQKNITIQKAVAAWTIVLNGRFRLLDRWCNFVEKYQRHNISEDAWQQLLGFSRCVNEDLEGYDPKGAWPVVIDDFVEHMHRIYHPGDFSSAMESQCSISNTFRGLNLLPGSKRKCPTQSNYSEENEELSDGLRRSVHLTPLKRLKETSVPNKYGVWECNPGTPFLNSSSDYREDTNLHNSRGCLQNSPCIIEDSLSKGFEGCISMKCSF* >Brasy6G137100.1.p pacid=40050247 transcript=Brasy6G137100.1 locus=Brasy6G137100 ID=Brasy6G137100.1.v1.1 annot-version=v1.1 MDRRSWPWRRKSISEKTLAPAETDSSASCPSESLTDEQDTLKSSPRSAASPEIASKEVQDKSNVKVRVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAETEMASLKTQLNAAAVKNSTLEDRVVHLDGALKECVWQLRRSNEEHDRKVQAALALQARQWDSEKTDLELRIVELKAKLEAKSERSVTTDSEASSRLASLEKENSALKVQLLAKTEELGLRTIEKELNRRAAEAASKQQLESIRKAAKLEAECRRLQATARRPSFSSSDLRRAPSSVCAESVTDCQSDCSDSWASALIGELDRCKSEKISTARSASADIGMMDDFLEMEKLASANSSAASTAESTGGQLEKLEEKAKKLAAEKADREKALHEAQRELRACRHRAMVAEERSVELQRQLNLVNGEKHAMEAGAEAAETKQKELEARLGLAHGEIAGLLDKARVLEERLESEKALTLELAARYQQMDALESEKKELQVQLEEARSDGRKLGDKATSLERRLEEKAFLARLTERCRGVEALEEKMKGAEIELELAGQEIVSFQKKASGLELILQQEKASSAELAKRCRDLEALDAERNELKSELQSANSEILALMEKVKLLEETAEKQMLLNAELESQLKSARAELKDLNENVSLVEKKLETQKNLSSAYITALDASEAQKNKMANQLEIKEKEAEESHRKIGLLEEEIRKEREQSAESAAKCRNLKEEFPSRAPGRQAVEVKPMASKDLHFTKEKELARAAGKLADCQKTIASLSRQLKTLADFDELIPGIENDGGALAESLDGNLKLFDSASYPAQLGCLAVT* >Brasy6G137100.2.p pacid=40050248 transcript=Brasy6G137100.2 locus=Brasy6G137100 ID=Brasy6G137100.2.v1.1 annot-version=v1.1 MDRRSWPWRRKSISEKTLAPAETDSSASCPSESLTDEQDTLKSSPRSAASPEIASKEVQDKSNVKVRVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAETEMASLKTQLNAAAVKNSTLEDRVVHLDGALKECVWQLRRSNEEHDRKVQAALALQARQWDSEKTDLELRIVELKAKLEAKSERSVTTDSEASSRLASLEKENSALKVQLLAKTEELGLRTIEKELNRRAAEAASKQQLESIRKAAKLEAECRRLQATARRPSFSSSDLRRAPSSVCAESVTDCQSDCSDSWASALIGELDRCKSEKISTARSASADIGMMDDFLEMEKLASANSSAASTAESTGGQLEKLEEKAKKLAAEKADREKALHEAQRELRACRHRAMVAEERSVELQRQLNLVNGEKHAMEAGAEAAETKQKELEARLGLAHGEIAGLLDKARVLEERLESEKALTLELAARYQQMDALESEKKELQVQLEEARSDGRKLGDKATSLERRLEEKAFLARLTERCRGVEALEEKMKGAEIELELAGQEIVSFQKKASGLELILQQEKASSAELAKRCRDLEALDAERNELKSELQSANSEILALMEKVKLLEETAEKQMLLNAELESQLKSARAELKDLNENVSLVEKKLETQKNLSSAYITALDASEAQKNKMANQLEIKEKEAEESHRKIGLLEEEIRKEREQSAESAAKCRNLKEEFPSRAPGRQAVEVKPMASKDLHFTKEKELARAAGKLADCQKTIASLSRQLKTLADFDELIPGIENDGGALAESLDGNLKLFDSASYPAQLGCLAVT* >Brasy6G137100.3.p pacid=40050249 transcript=Brasy6G137100.3 locus=Brasy6G137100 ID=Brasy6G137100.3.v1.1 annot-version=v1.1 MDRRSWPWRRKSISEKTLAPAETDSSASCPSESLTDEQDTLKSSPRSAASPEIASKEVQDKSNVKVRVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAETEMASLKTQLNAAAVKNSTLEDRVVHLDGALKECVWQLRRSNEEHDRKVQAALALQARQWDSEKTDLELRIVELKAKLEAKSERSVTTDSEASSRLASLEKENSALKVQLLAKTEELGLRTIEKELNRRAAEAASKQQLESIRKAAKLEAECRRLQATARRPSFSSSDLRRAPSSVCAESVTDCQSDCSDSWASALIGELDRCKSEKISTARSASADIGMMDDFLEMEKLASANSSAASTAESTGGQLEKLEEKAKKLAAEKADREKALHEAQRELRACRHRAMVAEERSVELQRQLNLVNGEKHAMEAGAEAAETKQKELEARLGLAHGEIAGLLDKARVLEERLESEKALTLELAARYQQMDALESEKKELQVQLEEARSDGRKLGDKATSLERRLEEKAFLARLTERCRGVEALEEKMKGAEIELELAGQEIVSFQKKASGLELILQQEKASSAELAKRCRDLEALDAERNELKSELQSANSEILALMEKVKLLEETAEKQMLLNAELESQLKSARAELKDLNENVSLVEKKLETQKNLSSAYITALDASEAQKNKMANQLEIKEKEAEESHRKIGLLEEEIRKEREQSAESAAKCRNLKEEFPSRAPGRQAVEVKPMASKDLHFTKEKELARAAGKLADCQKTIASLSRQLKTLADFDELIPGIENDGGALAESLDGNLKLFDSASYPAQLGCLAVT* >Brasy6G137100.4.p pacid=40050250 transcript=Brasy6G137100.4 locus=Brasy6G137100 ID=Brasy6G137100.4.v1.1 annot-version=v1.1 MASLKTQLNAAAVKNSTLEDRVVHLDGALKECVWQLRRSNEEHDRKVQAALALQARQWDSEKTDLELRIVELKAKLEAKSERSVTTDSEASSRLASLEKENSALKVQLLAKTEELGLRTIEKELNRRAAEAASKQQLESIRKAAKLEAECRRLQATARRPSFSSSDLRRAPSSVCAESVTDCQSDCSDSWASALIGELDRCKSEKISTARSASADIGMMDDFLEMEKLASANSSAASTAESTGGQLEKLEEKAKKLAAEKADREKALHEAQRELRACRHRAMVAEERSVELQRQLNLVNGEKHAMEAGAEAAETKQKELEARLGLAHGEIAGLLDKARVLEERLESEKALTLELAARYQQMDALESEKKELQVQLEEARSDGRKLGDKATSLERRLEEKAFLARLTERCRGVEALEEKMKGAEIELELAGQEIVSFQKKASGLELILQQEKASSAELAKRCRDLEALDAERNELKSELQSANSEILALMEKVKLLEETAEKQMLLNAELESQLKSARAELKDLNENVSLVEKKLETQKNLSSAYITALDASEAQKNKMANQLEIKEKEAEESHRKIGLLEEEIRKEREQSAESAAKCRNLKEEFPSRAPGRQAVEVKPMASKDLHFTKEKELARAAGKLADCQKTIASLSRQLKTLADFDELIPGIENDGGALAESLDGNLKLFDSASYPAQLGCLAVT* >Brasy6G202500.1.p pacid=40050251 transcript=Brasy6G202500.1 locus=Brasy6G202500 ID=Brasy6G202500.1.v1.1 annot-version=v1.1 MARVSKTTPYAAATATAATSASSTTKGTTTAAAAKPKPKSRSKKTPLTSAAPSPPASQQTNPAAASSTTIETASAAEKPKTRSKKKAPPASAAPSPAQITPAAAATALSSATNNTAADADKPKPRSKTKTSTAAASPKQMPPPAAAVIEIPDSPTPPASARKKGERRPLDLDVDGIEMWTPRQKRRVDEDCCILTADPLVADEAPPVVVVAPGADDDIAVVAERGKVACRDYPHPRYACAKYPFASTPHESHCEQCFCYVCDIAAPCATWKGHTKYGHCHASDSDKSWRIMRGVARRKQCDKQ* >Brasy6G202500.2.p pacid=40050252 transcript=Brasy6G202500.2 locus=Brasy6G202500 ID=Brasy6G202500.2.v1.1 annot-version=v1.1 MARVSKTTPYAAATATAATSASSTTKGTTTAAAAKPKPKSRSKKTPLTSAAPSPPASQQTNPAAASSTTIETASAAEKPKTRSKKKAPPASAAPSPAQITPAAAATALSSATNNTAADADKPKPRSKTKTSTAAASPKQMPPPAAAVIEIPDSPTPPASARKKGERRPLDLDVDGIEMWTPRQKRRVDEDCCILTADPLVADEAPPVVVVAPGADDDIAVVAERGKVACRDYPHPRYACAKYPFASTPHESHCEQCFCYVCDIAAPCATWKGHTKYGHCHASDSDKSWRIMRGVARRKQCDKQ* >Brasy6G044300.1.p pacid=40050253 transcript=Brasy6G044300.1 locus=Brasy6G044300 ID=Brasy6G044300.1.v1.1 annot-version=v1.1 MAPLTPPKSGDALFSSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADTIAKLGFKMFLGVTATVTNWDAEGTTCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD* >Brasy6G025800.1.p pacid=40050254 transcript=Brasy6G025800.1 locus=Brasy6G025800 ID=Brasy6G025800.1.v1.1 annot-version=v1.1 MDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDAEGALAGFDAVVRMEPEKGEWGFKALKQTVKIYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKTNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRVQGHIDQVNKLLECGDRSKGMRKYQAIDKWNTQLKSIYQTVSNRVG* >Brasy6G189700.1.p pacid=40050255 transcript=Brasy6G189700.1 locus=Brasy6G189700 ID=Brasy6G189700.1.v1.1 annot-version=v1.1 MRMDSAVKVYRIVRCVWQIVGVSEVAYVCLSTNTSDTAKRMVALKGDRNVEIKVVLWGERAEEFDAQLVYDTGQDSAVVGVFVGMLMKSYNKFVS* >Brasy6G035000.1.p pacid=40050256 transcript=Brasy6G035000.1 locus=Brasy6G035000 ID=Brasy6G035000.1.v1.1 annot-version=v1.1 MAERRYPIHAAAALSVAVLLLAGVAASSASAATLEVSLVKNSNNVDAPSSSWASFIAAQTSRDTSRVLYLSSLASGSGGAPLASGRQLLHTPTYLVRASLGTPPQRLLLAVDTSNDAAWVPCAGCHGCPTTTPSFNPASSATFRPVPCGAPPCSQAPNPSCTSLTKSKSSCGFSLSYGDSSLDATLSQDNLAVTPNGGVIKGYTFGCLTRSNGSAAPAQGLLGLGRGPLGFLAQTKGIYEGTFSYCLPSYYRSAANFSGSLTLGRKGQPEAGKMKTTPLLASPHRPSLYYVAMAGVRVGKKAVTIPASALRFDPATGAGTVLDSGTMFARLAPPAYAAVRDEVRRRVAGSIRRGGGAPVSVSSLGGFDTCYNVSTVVWPAVTLVFGGGMEVRLPEENVVIRSTYGSTSCLAMAASPADGVNAALNVIGSLQQQNHRVLFDVPNARVGFARERCTAAAFA* >Brasy6G085100.1.p pacid=40050257 transcript=Brasy6G085100.1 locus=Brasy6G085100 ID=Brasy6G085100.1.v1.1 annot-version=v1.1 MRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSCTDAARNSLRREVDILKTEAHWSKAYFYLWDEPLNMEQYEVIRDISNELRTHTPDVRILTTYYAGPSGSALAPSTFEAFAKVPNVLRPHTQIFCTSEWVLGTREDLVKDIIAELRPELGEEWWTYVCLGPTDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERILSGMQREGLALLDKTGVYLGPDRYAHDHGPIDVMRGEVYRTCSS* >Brasy6G153900.1.p pacid=40050258 transcript=Brasy6G153900.1 locus=Brasy6G153900 ID=Brasy6G153900.1.v1.1 annot-version=v1.1 MAFLMDGRALGSGCAHQRPTTITLSASTASYPPFSCASTASRMAPWPYSFQTHSASWKNWSACMLTGLLPHATSSRTIPKLYTSDSALALPVIAHWGSMYPGVPETEVC* >Brasy6G000900.1.p pacid=40050259 transcript=Brasy6G000900.1 locus=Brasy6G000900 ID=Brasy6G000900.1.v1.1 annot-version=v1.1 MASSSSSLRIPTPTHHPAAAYASVRVRRAALSISASATNGSTGVPVPVPVPRDPAAIALPRPLTSADLMGEASGDGLKVAYQGCPGAYSEAAAKKAYPSCQTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKVENLRSAMSHPQALAQCEQTLTMLGIDHREAVDDTAGAAKHIAEQNLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRIADENCSTPLKHFDYLFYVDFEASMADPNAQNALSNLKEFATFLRVLGSYPTDVSEA* >Brasy6G233900.1.p pacid=40050260 transcript=Brasy6G233900.1 locus=Brasy6G233900 ID=Brasy6G233900.1.v1.1 annot-version=v1.1 MPRERRSAVVYIENDDERSVTFSKRRLGLFKGASDLAAVTGARVAIVLETDSRKMHSFGTPSADPIIHAFLSGVPPPEPLTDEAMSTRISWLQSEVSRLDRENSSEEKRKKLVVQRIKEIQQENPVMVANHLFSKDEDLNLEDLTKLFDELLRVQQGTGSRLPPLDHGHQQMIGGSSMPLNLNLVPPSVPSWGSDILPHGALPPVASPSVRQSMLAPPFPLQVPQMLQPTPLVSTTPTPYQLPELPPSLELPLQNYTSPYSTMDPAQNNTGRNSTFQHNVEESAQLVYSGDNAIVGQDPFGYDSGYDQWAYPPSDQPYYDRFIEMDGNLGYHGTDVGQVHMGNDGLIDGLAQSSSSGEDDDVVRRS* >Brasy6G093300.1.p pacid=40050261 transcript=Brasy6G093300.1 locus=Brasy6G093300 ID=Brasy6G093300.1.v1.1 annot-version=v1.1 MVSLRFTAATFPHLPPPPPPHRAAIAAAIAAAAAAAAAAASFTLTAKSAGRPLPHPAHSAPLWASLSLSDGAAPGNVEPRTGAAFPAEAAGGRRLLGVGLRKTTILGLKSIDVYAFGVYADDNDLRKLREKYQKLPVSELKGSAELINDALERDIRMTVRLQIVYGRLSIGSVRSAFEKSVGSRLQKFGGSDTKELLQSFVSLFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGSIQNKLLCQSILDLYIGDDPFDRSAKDKIQGNLASILKA* >Brasy6G104000.1.p pacid=40050262 transcript=Brasy6G104000.1 locus=Brasy6G104000 ID=Brasy6G104000.1.v1.1 annot-version=v1.1 MELTNPFRHKATALVAALVLAAAAARASGALDAAAEPDDNEAALLAVKSALGDPPALSGWNSSAGFCSWKGVHCNATTYRPRHRPHHLRHQHLGAAVPQLGLQQTPRPDPGVPGPWGPPGPDLPAARRQPPLGRHPAHADRALAPTRRQPAHGPAPGHLRRRRLLPGGPGRQPSERRRVDAVRREEEAGRRAALAQPVRVRPRARGAAGGDGRAADRPQHGVREHPGCRGREEVGGVRRELQPALRAHTAGAVHAPVRGQALRREQVPLRPAAPALHLIGPLLSVCSRPVYWKSHGVIGTTIGNR* >Brasy6G144700.1.p pacid=40050263 transcript=Brasy6G144700.1 locus=Brasy6G144700 ID=Brasy6G144700.1.v1.1 annot-version=v1.1 MSQPSSSFAGFAGVDPRSVNNLQASPAGNNHEEVNVQESSGSSPGEEEEQVSKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVAEEYNNNTPQDRKRSSKQLRDHWSKANQLVTLFNGCYATQKSVYASGINDKDLMDQAKAVFKSKNKQKSFNLEYWWEAVRQHQKWRSIYMEKDCISKRAKISKAGTYTSSSKETEETVEPRPEGQKQAKRKLKAAAKGKSSSSNLQPDPTMRLYHDAMALKSEAKKEKASAMKDYAAATMEKARAKKLDTYMKMLQTDTSSFNEAKLLRHENMVDQLGLELFSIKD* >Brasy6G204100.1.p pacid=40050264 transcript=Brasy6G204100.1 locus=Brasy6G204100 ID=Brasy6G204100.1.v1.1 annot-version=v1.1 MVMVPPSEWVPHVEAFVDVSRSPAQHSASVDALAALVNKDKLTLFDLVSKMDMYLTTTDHIVRSRGIMLLGEIMSQISFKWLDVNSIATLSDFFISRLSDWQALRGALVGCLALLHRKPSVGSIVISDVKRLVESFLEDIQVQSLAAADRKLCFQILCCVLDDYPEAVKTMDDELFCGICQTIDEEKDPECLKLSFHLVEAVMKLFPDPSGLAAQFASDLFEILSKYFPVYFTHEASDNLGATRDDLSMALMHAFCSTPFFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMVRHTSTIWSKLKEVLFSLSSDQLLSSWSPKDAEKNKNQIMSEAKNCLKAAVTYIHSSDRDLFINLILLDDDIVNNFHSVMIEEKSICSSPQKLHRLQALGSVISILAESSTYFCTRIFQAHFTRLIDILVNSAGFEFQHLNICNGSSSGTVNYGALYLCVQMLSSCREVAVTSQEEFAPVKSANTWWLILMEKLDPFIHLLGKLLTIDSQPIQSAVGQEYVSSAVKGLLILATFPEQCSLPANAYEDILLMLTSVITNKYENVHLWRLSLKTLASIGSSAVEFHASQKEMIYNRIVVDKIISLAKSCDTSMPLNLRLEASFEVGTAGVNYMFRVARSLEEAVNGRTECVEYVECLIDCYSSRLLPWLFTSAGVNDLALSFAMRLWDEISDLATLDRIRSQGLLDSLTMGMKFLVGVCTEEQQSLIIQKACSIVSSMLSLPVKSMVHHVLSEDDELVPAHSAQDTALVCMLSSVIVGLRPQTPVQDMTMMINLFSVFLLNGQMPAAHALASIFNKYLHNSEFSHENKLDKILDVILGRCFSIVLASSNSKISNCSDSMPGSIGSKVDILCGLAWIGKGLLMRGDEKMKDISMFLLKCLVSDQNSVNIQPYQKTQNDNVSSDASLATSAADAFHVMLIDSEVCLNKKFHARIKLLYKQRFFSILMPIFLSKIKETPVMTIKLVLYRAFGHIISNAPVSAVITEAHQILLVMVDSLANLSVDIQDKDLVYNLLLVLSGMLMDEKGKECILENIHIIVSVLTQLVSYPHMMVVRETALQCFVAMSSFPHSKIYRMRPQVLQAASKALDDKKRAVRQEAVRCRQTWQSFA* >Brasy6G204100.2.p pacid=40050265 transcript=Brasy6G204100.2 locus=Brasy6G204100 ID=Brasy6G204100.2.v1.1 annot-version=v1.1 MVMVPPSEWVPHVEAFVDVSRSPAQHSASVDALAALVNKDKLTLFDLVSKMDMYLTTTDHIVRSRGIMLLGEIMSQISFKWLDVNSIATLSDFFISRLSDWQALRGALVGCLALLHRKPSVGSIVISDVKRLVESFLEDIQVQSLAAADRKLCFQILCCVLDDYPEAVKTMDDELFCGICQTIDEEKDPECLKLSFHLVEAVMKLFPDPSGLAAQFASDLFEILSKYFPVYFTHEASDNLGATRDDLSMALMHAFCSTPFFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMVRHTSTIWSKLKEVLFSLSSDQLLSSWSPKDAEKNKNQIMSEAKNCLKAAVTYIHSSDRDLFINLILLDDDIVNNFHSVMIEEKSICSSPQKLHRLQALGSVISILAESSTYFCTRIFQAHFTRLIDILVNSAGFEFQHLNICNGSSSGTVNYGALYLCVQMLSSCREVAVTSQEEFAPVKSANTWWLILMEKLDPFIHLLGKLLTIDSQPIQSAVGQEYVSSAVKGLLILATFPEQCSLPANAYEDILLMLTSVITNKYENVHLWRLSLKTLASIGSSAVEFHASQKEMIYNRIVVDKIISLAKSCDTSMPLNLRLEASFEVGTAGVNYMFRVARSLEEAVNGRTECVEYVECLIDCYSSRLLPWLFTSAGVNDLALSFAMRLWDEISDLATLDRIRSQGLLDSLTMGMKFLVGVCTEEQQSLIIQKACSIVSSMLSLPVKSMVHHVLSEDDELVPAHSAQDTALVCMLSSVIVGLRPQTPVQDMTMMINLFSVFLLNGQMPAAHALASIFNKYLHNSEFSHENKLDKILDVILGRCFSIVLASSNSKISNCSDSMPGSIGSKVDILCGLAWIGKGLLMRGDEKMKDISMFLLKCLVSDQNSVNIQPYQKTQNDNVSSDASLATSAADAFHVMLIDSEVCLNKKFHARIKLLYKQRFFSILMPIFLSKIKETPVMTIKLVLYRAFGHIISNAPVSAVITEAHQILLVMVDSLANLSVDIQDKDLVYNLLLVLSGMLMDEKGKECILENIHIIVSVLTQLVSYPHMMVVRETALQCFVAMSSFPHSKIYRMRPQVLQAASKALDDKKRAVRQEAVRCRQTWQSFA* >Brasy6G004000.1.p pacid=40050266 transcript=Brasy6G004000.1 locus=Brasy6G004000 ID=Brasy6G004000.1.v1.1 annot-version=v1.1 MRAMPVGGSCSLIVLVLVRLSSTQLTLAWRVARSIKAGPSLCNHFESDPPHYLFFFFFFFFFFSPRPASSSSSPIYTSPYLSVRRPELADPEQLEGEFASETDQRETVEQRDKFLVLRLYEALGAGDRAAVHSLLAPDLEWWFHGPPNHQHMMRLLTGTPSSFSFVPRSVDALPGSGTVIAEGASAGECYWVHAWTVGDDGVITQLREYFNTDLTVTRLLANSAGKCCVWQSRRPDSARNSLPCLLLAL* >Brasy6G027300.1.p pacid=40050267 transcript=Brasy6G027300.1 locus=Brasy6G027300 ID=Brasy6G027300.1.v1.1 annot-version=v1.1 MSIPHSAPLYLLYSVSTLPKRHRHGEPGNGNGCFEFEFAAGAEDDDDSSSSSSAAPRACSSDVGATFADQLFRGGVLLPLKLPPRLHPSTSAASSAATSPTAQQMGCRSSSSSWSPFASVGRKERGAGAGAGGGGFDPFAAALEKVRRDGAGLTPTPRRRARSLSPAPLRGGAGLISSARPAAASARKGPPSQRRKRGGVKHFLSTAPRALRPRCRKDDGGVSSYRPGLLVCFGL* >Brasy6G151100.1.p pacid=40050268 transcript=Brasy6G151100.1 locus=Brasy6G151100 ID=Brasy6G151100.1.v1.1 annot-version=v1.1 MVRQQFRKNMNETDPEEIQKMKDDVARSLIITLFLSEKMTSRKFSG* >Brasy6G162700.1.p pacid=40050269 transcript=Brasy6G162700.1 locus=Brasy6G162700 ID=Brasy6G162700.1.v1.1 annot-version=v1.1 MVDSATPPTPPPPRLLTAPQKRTRIRSPLTMAARLVLLLALLYATPPRGVAGAGSSGDDDVIRQVTDKGAPAARRPPSPGLLPEAQFAALVRRHGKQYPGGPEEYARRLRVFAANVARAAAHQALDPGARHGVTPFSDLTPEEFHARLTGLRGALQQQGTNSNMPAAARATAEELAALPASFDWRAKGAVTEVKTQGMCGSCWAFSTTGAVEGAHFVATGKLLNLSEQQLVDCDHTCDAVAKNECDSGCSGGLMTNAYTYLIRAGGLMEQAAYPYTGAQGTCRFDADKVAVRVTNFTAVPPDDEDQIRAALVRAGPLAVGLNAAFMQTYLGGVSCPLVCPRALVNHGVLLVGYGARGLAPLRLGYRPYWIIKNSWGKEWGERGYYRLCRGARNRNVCGVDSMVSAVAVAP* >Brasy6G110500.1.p pacid=40050270 transcript=Brasy6G110500.1 locus=Brasy6G110500 ID=Brasy6G110500.1.v1.1 annot-version=v1.1 MLLPVHNITMWFIADMLNNNIVNMLATLLMVNLKMMHCSSCRRSAWGVAAHLPRPASPAQGAAEEITGRRQTTSAGKRRGSRREVERENRESARSAAAARRRPRCWGPLLPPAARLLLWLSPHRVGQERRPAVARPATVSSLLHCSPSRRLFLPQSPDFASKSRSWPEIPKR* >Brasy6G027400.1.p pacid=40050271 transcript=Brasy6G027400.1 locus=Brasy6G027400 ID=Brasy6G027400.1.v1.1 annot-version=v1.1 MSLSYSAPLYLFFDIVVPPFHEDEDDEFEFAAAGAKNVEDEDEEDAPRACASDLSALADELFRGAGVLRPLKLPPRLQHPADYFSSSAATSPTAAHLLGRSSSSSWSPFASSRRQHRGFDPFAAALEKVRRDEGGTERGTAGPSGRRRRARSLSPVRGADARPAATCSRSRHASASATAAKKAGGKTYRRPSLLVCFGF* >Brasy6G239300.1.p pacid=40050272 transcript=Brasy6G239300.1 locus=Brasy6G239300 ID=Brasy6G239300.1.v1.1 annot-version=v1.1 MYNNHAGIDVNSLMSIQAHSAGFYDDKTGTFTNLTLNIGEPMQLWVDYDAQTTQVISTLARLGAAKPQTPLFTTTTNLSDVLQDPSYVGFSGSTGPLSSLYCVLGWSFGMGGPAPPINLTNLPRLLRGHRKVRSKVLEIVLPIATAMFVAIMGTVIILLIRRRLRYAELREDWEVEFGPHRFLYKDLYHAMEGFKNQHLLGVGGFGKVYKGVLPISKMEVAVKKVSHESSQGMKEFITEVVSIGRLRHRYLVQLLGYCRRKDELILVYEYMPNGSLDKYLHYGEDKPTLDWTHRFGIIKGIAYGLLYLHEKWEKIVLHRDIKASNVLLDSEMNARLGDFGLARLYDHGTDLQTSHVVGTMGYLAPELLRSGKASPLTDVFAFGTFLLEVACGQRPIKQDPDDNQIMLVDWVLEHWHNGNLMQTMDTRLQGNFEKDDASMVLKLGLMCLNPLPTARPSMKQVTEYLDGETALPELAPTHFNNFNMAPILSYPDLMTSIGTFSGLSGGR* >Brasy6G049900.1.p pacid=40050273 transcript=Brasy6G049900.1 locus=Brasy6G049900 ID=Brasy6G049900.1.v1.1 annot-version=v1.1 MSGAPKRLHEEGSHSTPGKRPLDESSLYSSPSGKLIQPGSTDFHGSVEHDGRSAKIQRVESRDDKRPSLPHRIPVSSNFVEHPTSSDSRLEAKQNKDGRETKVEDREAKADARDVHSDTRIEFQGNKVETDVKTDNRADDNEIRADRRVHTEYKGDAKLDKDGHPAGTSHLAWKDNKDHRGKRYAEQPDDNAGWRFLRPGLQGTDETPKVPTPLEERNSKDAHESTGESKIEPRSEDKFRDKDRRKKDEKHRDFGARDGDRNDRRIGIQLAGSSVERREVQRDDRDAEKWDRERKDSQKDKEGNDREKDSAKKDSFVAIDKENATLEKAASDGAVKTAEHENTATELKTLKDDKSHDRDPKDKKREKDVDTGDRNDQRSKYNDKESDDTGPEGDTDKDKDTFGSIQRRRMARPRGGGSQASQREPRFRSKMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPDAKNAENQQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYASTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNSSHRYELCFSGEKPRSVGSNSVGSNSNASDLEPEKHHNSSHHHSQNGDRGTAELELRDMFRWSRCKKAMPETAMRSIGIPLPAEQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSSN* >Brasy6G049900.2.p pacid=40050274 transcript=Brasy6G049900.2 locus=Brasy6G049900 ID=Brasy6G049900.2.v1.1 annot-version=v1.1 MSGAPKRLHEEGSHSTPGKRPLDESSLYSSPSGKLIQPGSTDFHGSVEHDGRSAKIQRVESRDDKRPSLPHRIPVSSNFVEHPTSSDSRLEAKQNKDGRETKVEDREAKADARDVHSDTRIEFQGNKVETDVKTDNRADDNEIRADRRVHTEYKGDAKLDKDGHPAGTSHLAWKDNKDHRGKRYAEQPDDNAGWRFLRPGLQGTDETPKVPTPLEERNSKDAHESTGESKIEPRSEDKFRDKDRRKKDEKHRDFGARDGDRNDRRIGIQLAGSSVERREVQRDDRDAEKWDRERKDSQKDKEGNDREKDSAKKDSFVAIDKENATLEKAASDGAVKTAEHENTATELKTLKDDKSHDRDPKDKKREKDVDTGDRNDQRSKYNDKESDDTGPEGDTDKDKDTFGSIQRRRMARPRGGGSQASQREPRFRSKMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPDAKNAENQQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYASTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSVGSNSVGSNSNASDLEPEKHHNSSHHHSQNGDRGTAELELRDMFRWSRCKKAMPETAMRSIGIPLPAEQLEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSSN* >Brasy6G147000.1.p pacid=40050275 transcript=Brasy6G147000.1 locus=Brasy6G147000 ID=Brasy6G147000.1.v1.1 annot-version=v1.1 MASTQEAAFNVNLVKNSMAEDGGGGWAPVGSPTTVLSVHDDGLLVSGRADDEDGMRQAIPDGALIKPRVTYRVTGWISLQGTTGSGAAAEVRVSLRVEDGGDDGEGCRWVECAAVRAEVAGGWTEINGTFRLKTEPRAAAVYVHGAPAGVDVMVKDLRVFATDRQARFRELQDKTDKARKRDVVLKLGGAAGAAASIRVVQLDNAFPFGSCINGTVVQNGAFVDFFSNHFSWAVFENELKWYHTEPRQGQVSYADADALLGFCERHGKRVRGHCVFWAVESNVQQWVKDLGRDDLQPTVKARLEGLVSRYAGRFGHYDVNNEMLHGRFFRDRLGEGAPAIMFREAARIDPGARLFVNDYNVECGDDPNATPEKYVELVQELQRGGATVGGIGLQGHVTRPVGEVVSGALDRLAATGIPIWFTELDVSEPDVGLRAADLEVMLREAFAHPAVHGVVLWGFMQGQMWRQDAYLVDADGTVNEAGQMFLNLQREWKTDVRGSVDGGGDGSFKFRGFHGSYVAQVVTEAGEVRLKAFTVEKGDTTLVLDLDV* >Brasy6G202200.1.p pacid=40050276 transcript=Brasy6G202200.1 locus=Brasy6G202200 ID=Brasy6G202200.1.v1.1 annot-version=v1.1 MATMMALSSFAGATVVGRSANRSAQAPLRRRALVVRAQTEPDMDPTKETTTSASTSSPIQSPSTPSPSPAAPKPKAKAASPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLSQAGSGAGLGWFLTTTALLSVASLVPLLQGQSVESKSSGFWTADAELWNGRFAMLGLVALAATEFITGAPFVNV* >Brasy6G144400.1.p pacid=40050277 transcript=Brasy6G144400.1 locus=Brasy6G144400 ID=Brasy6G144400.1.v1.1 annot-version=v1.1 MSRWRAVASRLSAAADSHLLPRAFSKVPSPPPQGLLTRTFSKAAAAAATSHSPRATSSATADRPRPEVRLNSMFLSKPCSLALPPDSPLRAEDPHYEGIKRFMLTLLLFYSKQSKSIRGANAVYHRITSHVDMPDIYEVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYETYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDTAISPEARQDDLVNVIWRNVYADEGSETMDAAATPAVQALARYTRREATCLSLTDKDAMFSGNFKFTTVLPHLPSPSKQRR* >Brasy6G127500.1.p pacid=40050278 transcript=Brasy6G127500.1 locus=Brasy6G127500 ID=Brasy6G127500.1.v1.1 annot-version=v1.1 MTPAMEVVLRDSLREVCSLEDVKERMDKYMSTEAREEVLVMMCRICKNIDESRLKMKAHCPIVTDLRLKENTIRIFMCYNPEWLRIGLHIVLGGDCLLQNGLRKQDKEVPFLKLILEKQLFGQLVAPKTSAQNKLVERLHRTGYTEASGNIILKRLFLLVAALDSAKMESAIPLESGIDSLDGGSPPLFCHQSHIKSSRQIIQESLGEAMHGEGDLLMHLSSMGYILNYQQLALSEYDFTIGNLFEDLLDGIILCRVVQLLLSDTSIILKVIAPSDTHKKKLHNCTLAIQYIKKAGVPISDADGVTISAEDITNGDKELILSLLWNIFIHMQLPLLVNKTSLARELSRLKAPTVEQPVSETSHMGLLYDWFKVVCEKYGINVETSSKIDRRALNYFINYYLNIGIQRCPPKETFSDCRKELFSYEQETFADTSSCPTNKMEKVLGNFLQDFPASGILASDILFDEKGAVILIAFLCSHLTSDKRLEQLRNLTNARLDHQSLENKVSATIISLGENDVKYQSPQRDNTDNSCTSQERAATIIQTQVRQIIAKNKYLKIKKSMSILQGAMRAWSSVIMKSKCSCQTAVFSTRQEAHGNFNRYFTFILERHRFVRMRRSAIVIQQAVRIWIRDRQRLENIAHFESHEFFKARASSKTDCICIELCDGENETIPCKDVRTSTALAAAPQCLDETDCIDATPLQLGDKHINHVTPIIQLCNCRHDSLASPSPHQSMVESVSINSLSHHVCKVETASIASATKLVHDEDDMDCGGNIFSGASFQNEQPVSAQVDFLLCKDVMAARKIQFAYRRYAHERYSRMSAAIKIQSHWRGFTMRMCFTKQVEAIIAIQSVTRHNLCHWAFQQNRSSAIVIQRFARGCLARKRLLGSSLQAYIGTFALDGSQHERYHQRIELKIVVYSILRLQRWWREVLLHRSIRRSVISIQSSVRGWLVRKKMNRIICCGYVIQRWWRKVMFLESRKRAAIVIQSHVRRWIAQRAAFRKKKCITVIQAFIKAYHVRKASKQEVADIRSRIQKASSQIDDGMRLINRLIAALSQISDCRSISSIRQTCTTLSFATDLSEKCCETLVGAGAVDILLKQIPKLNRGIPDQEVLKQVLITLRNIARFPNLRPVLANTPQLVNIIFQQLLRNKEDGFFIACGILKNLCQSKEGHEITAGVLQHRIKRLCSLVEDLEKKVERDKRNGRTGASKDSARRRLGEATSLYHLLTDDFYDLVNRKAKSSIRRR* >Brasy6G127500.2.p pacid=40050279 transcript=Brasy6G127500.2 locus=Brasy6G127500 ID=Brasy6G127500.2.v1.1 annot-version=v1.1 MTPAMEVVLRDSLREVCSLEDVKERMDKYMSTEAREEVLVMMCRICKNIDESRLKMKAHCPIVTDLRLKENTIRIFMCYNPEWLRIGLHIVLGGDCLLQNGLRKQDKEVPFLKLILEKQLFGQLVAPKTSAQNKLVERLHRTGYTEASGNIILKRLFLLVAALDSAKMESAIPLESGIDSLDGGSPPLFCHQSHIKSSRQIIQESLGEAMHGEGDLLMHLSSMGYILNYQQLALSEYDFTIGNLFEDLLDGIILCRVVQLLLSDTSIILKVIAPSDTHKKKLHNCTLAIQYIKKAGVPISDADGVTISAEDITNGDKELILSLLWNIFIHMQLPLLVNKTSLARELSRLKAPTVEQPVSETSHMGLLYDWFKVVCEKYGINVETSSKIDRRALNYFINYYLNIGIQRCPPKETFSDCRKELFSYEQETFADTSSCPTNKMEKDFPASGILASDILFDEKGAVILIAFLCSHLTSDKRLEQLRNLTNARLDHQSLENKVSATIISLGENDVKYQSPQRDNTDNSCTSQERAATIIQTQVRQIIAKNKYLKIKKSMSILQGAMRAWSSVIMKSKCSCQTAVFSTRQEAHGNFNRYFTFILERHRFVRMRRSAIVIQQAVRIWIRDRQRLENIAHFESHEFFKARASSKTDCICIELCDGENETIPCKDVRTSTALAAAPQCLDETDCIDATPLQLGDKHINHVTPIIQLCNCRHDSLASPSPHQSMVESVSINSLSHHVCKVETASIASATKLVHDEDDMDCGGNIFSGASFQNEQPVSAQVDFLLCKDVMAARKIQFAYRRYAHERYSRMSAAIKIQSHWRGFTMRMCFTKQVEAIIAIQSVTRHNLCHWAFQQNRSSAIVIQRFARGCLARKRLLGSSLQAYIGTFALDGSQHERYHQRIELKIVVYSILRLQRWWREVLLHRSIRRSVISIQSSVRGWLVRKKMNRIICCGYVIQRWWRKVMFLESRKRAAIVIQSHVRRWIAQRAAFRKKKCITVIQAFIKAYHVRKASKQEVADIRSRIQKASSQIDDGMRLINRLIAALSQISDCRSISSIRQTCTTLSFATDLSEKCCETLVGAGAVDILLKQIPKLNRGIPDQEVLKQVLITLRNIARFPNLRPVLANTPQLVNIIFQQLLRNKEDGFFIACGILKNLCQSKEGHEITAGVLQHRIKRLCSLVEDLEKKVERDKRNGRTGASKDSARRRLGEATSLYHLLTDDFYDLVNRKAKSSIRRR* >Brasy6G127500.4.p pacid=40050280 transcript=Brasy6G127500.4 locus=Brasy6G127500 ID=Brasy6G127500.4.v1.1 annot-version=v1.1 MTPAMEVVLRDSLREVCSLEDVKERMDKYMSTEAREEVLVMMCRICKNIDESRLKMKAHCPIVTDLRLKENTIRIFMCYNPEWLRIGLHIVLGGDCLLQNGLRKQDKEVPFLKLILEKQLFGQLVAPKTSAQNKLVERLHRTGYTEASGNIILKRLFLLVAALDSAKMESAIPLESGIDSLDGGSPPLFCHQSHIKSSRQIIQESLGEAMHGEGDLLMHLSSMGYILNYQQLALSEYDFTIGNLFEDLLDGIILCRVVQLLLSDTSIILKVIAPSDTHKKKLHNCTLAIQYIKKAGVPISDADGVTISAEDITNGDKELILSLLWNIFIHMQLPLLVNKTSLARELSRLKAPTVEQPVSETSHMGLLYDWFKVVCEKYGINVETSSKIDRRALNYFINYYLNIGIQRCPPKETFSDCRKELFSYEQETFADTSSCPTNKMEKVLGNFLQDFPASGILASDILFDEKGAVILIAFLCSHLTSDKRLEQLRNLTNARLDHQSLENKVSATIISLGENDVKYQSPQRDNTDNSCTSQGAMRAWSSVIMKSKCSCQTAVFSTRQEAHGNFNRYFTFILERHRFVRMRRSAIVIQQAVRIWIRDRQRLENIAHFESHEFFKARASSKTDCICIELCDGENETIPCKDVRTSTALAAAPQCLDETDCIDATPLQLGDKHINHVTPIIQLCNCRHDSLASPSPHQSMVESVSINSLSHHVCKVETASIASATKLVHDEDDMDCGGNIFSGASFQNEQPVSAQVDFLLCKDVMAARKIQFAYRRYAHERYSRMSAAIKIQSHWRGFTMRMCFTKQVEAIIAIQSVTRHNLCHWAFQQNRSSAIVIQRFARGCLARKRLLGSSLQAYIGTFALDGSQHERYHQRIELKIVVYSILRLQRWWREVLLHRSIRRSVISIQSSVRGWLVRKKMNRIICCGYVIQRWWRKVMFLESRKRAAIVIQSHVRRWIAQRAAFRKKKCITVIQAFIKAYHVRKASKQEVADIRSRIQKASSQIDDGMRLINRLIAALSQISDCRSISSIRQTCTTLSFATDLSEKCCETLVGAGAVDILLKQIPKLNRGIPDQEVLKQVLITLRNIARFPNLRPVLANTPQLVNIIFQQLLRNKEDGFFIACGILKNLCQSKEGHEITAGVLQHRIKRLCSLVEDLEKKVERDKRNGRTGASKDSARRRLGEATSLYHLLTDDFYDLVNRKAKSSIRRR* >Brasy6G127500.3.p pacid=40050281 transcript=Brasy6G127500.3 locus=Brasy6G127500 ID=Brasy6G127500.3.v1.1 annot-version=v1.1 MTPAMEVVLRDSLREVCSLEDVKERMDKYMSTEAREEVLVMMCRICKNIDESRLKMKAHCPIVTDLRLKENTIRIFMCYNPEWLRIGLHIVLGGDCLLQNGLRKQDKEVPFLKLILEKQLFGQLVAPKTSAQNKLVERLHRTGYTEASGNIILKRLFLLVAALDSAKMESAIPLESGIDSLDGGSPPLFCHQSHIKSSRQIIQESLGEAMHGEGDLLMHLSSMGYILNYQQLALSEYDFTIGNLFEDLLDGIILCRVVQLLLSDTSIILKVIAPSDTHKKKLHNCTLAIQYIKKAGVPISDADGVTISAEDITNGDKELILSLLWNIFIHMQLPLLVNKTSLARELSRLKAPTVEQPVSETSHMGLLYDWFKVVCEKYGINVETSSKIDRRALNYFINYYLNIGIQRCPPKETFSDCRKELFSYEQETFADTSSCPTNKMEKDFPASGILASDILFDEKGAVILIAFLCSHLTSDKRLEQLRNLTNARLDHQSLENKVSATIISLGENDVKYQSPQRDNTDNSCTSQGAMRAWSSVIMKSKCSCQTAVFSTRQEAHGNFNRYFTFILERHRFVRMRRSAIVIQQAVRIWIRDRQRLENIAHFESHEFFKARASSKTDCICIELCDGENETIPCKDVRTSTALAAAPQCLDETDCIDATPLQLGDKHINHVTPIIQLCNCRHDSLASPSPHQSMVESVSINSLSHHVCKVETASIASATKLVHDEDDMDCGGNIFSGASFQNEQPVSAQVDFLLCKDVMAARKIQFAYRRYAHERYSRMSAAIKIQSHWRGFTMRMCFTKQVEAIIAIQSVTRHNLCHWAFQQNRSSAIVIQRFARGCLARKRLLGSSLQAYIGTFALDGSQHERYHQRIELKIVVYSILRLQRWWREVLLHRSIRRSVISIQSSVRGWLVRKKMNRIICCGYVIQRWWRKVMFLESRKRAAIVIQSHVRRWIAQRAAFRKKKCITVIQAFIKAYHVRKASKQEVADIRSRIQKASSQIDDGMRLINRLIAALSQISDCRSISSIRQTCTTLSFATDLSEKCCETLVGAGAVDILLKQIPKLNRGIPDQEVLKQVLITLRNIARFPNLRPVLANTPQLVNIIFQQLLRNKEDGFFIACGILKNLCQSKEGHEITAGVLQHRIKRLCSLVEDLEKKVERDKRNGRTGASKDSARRRLGEATSLYHLLTDDFYDLVNRKAKSSIRRR* >Brasy6G176600.1.p pacid=40050282 transcript=Brasy6G176600.1 locus=Brasy6G176600 ID=Brasy6G176600.1.v1.1 annot-version=v1.1 MSNGLDMSLDDLIKQSKSKTKASSAFSSGPTRRAAPPARAMPYPPVIPKAAAADDSPYGVYSEHVAAMAASSTPSVLAAPRVLETGTKLHISNLNPSVTVEDVQELFSEVGELKRYSVNYDKDGKSQGSAEVVFARKVDALDAIERYNGVLLDGNPMEIELVGSKSEPPPTAPLKYNRAFPNYNAIPNSAPQRGGPRGQFHGNGRPGGSGGQRGIHPGNGRPGNTGQGGGGRGQARARGHDRNRVQASAADLDAELEKYHAAAGKQK* >Brasy6G135600.1.p pacid=40050283 transcript=Brasy6G135600.1 locus=Brasy6G135600 ID=Brasy6G135600.1.v1.1 annot-version=v1.1 MKRAPLARQGVRGMGGGVAARRARQGCRGRRAGAGGRGRVGRIQRKRGRCSRIQRRRGRSSRIQRGRWSGTPEVGAPRPSSPVAARQGWKGGRHALRRGSRAARRGRQRAARLLRRLDRGE* >Brasy6G240000.1.p pacid=40050284 transcript=Brasy6G240000.1 locus=Brasy6G240000 ID=Brasy6G240000.1.v1.1 annot-version=v1.1 MSMLLLLNLLFSPVVLNHAALAAGEDEFLFSGFAGANITMDGVATVTPNGLIDLTNAQESLKGHAIYPAPLRFRESPNGTVKSFSVSFVFAIYPNYRPSQGMAFFIAKSMNFSSALPTQYLGVFNSVNQGNSSNHIFAVELDTVNNRDLLDIDANHVGININSIVSNKSNTAGFYDDKTGSFNTLNLTSAQGLQLWIDYERESTRINVTMTPLSMGKPARPLVSAIYDLSTVIPKEAYLGFGSSAGKDGSRHYILGWSFGMNRPAPDIDTSKLPRLPRFGPKPRSKVLEMVLPVATAAFVLAVGITIFLLVRRHRRYAELREDWEVEFGPHRFPYKDLYYATQGFKNKNLLGVGGFGRVYKGVLQNSKLEIAVKRVSHESKQGMKEFVAEIGDDKLQPTLNWTQRFQIIRGVASGLLYLHEEWEKIVIHRDVKASNVLLDGEMNARLGDFGLARLYDHGIDPHSTHVVGTIGYLAPELACTRKVTPLTDVFAFGIFILEVVCGQRPIKQDIQEQQPMLVDRVIEHWNNGSLIDTVDIKLHGDYDVDEAYLSLKLGLLCSHPFMDARPTMRQVMQYLDGDRITPELSPMDTNFDILATMRNQGFDPYVMSYPCSIESHGAASILSGGR* >Brasy6G242100.1.p pacid=40050285 transcript=Brasy6G242100.1 locus=Brasy6G242100 ID=Brasy6G242100.1.v1.1 annot-version=v1.1 MERKREAQWEEEDSHHERERRGPMGSGRELPWEGEERRHGWGRESSPAGYLAALSWPSTRGFAACALLPPPRPSRARGRRGFRVGGSGAGSLRWRAPWRLDPATRKPWRLLRWETAAAAAKVRKEGRRRSQEKPYRDRDCWFFWVGFLVAGRVGLVL* >Brasy6G129400.1.p pacid=40050286 transcript=Brasy6G129400.1 locus=Brasy6G129400 ID=Brasy6G129400.1.v1.1 annot-version=v1.1 MDFARRKAAALVALSSPAPDKSPKGGVDAPIAPLLDAINSHADLFTTSSCSGRISVLAQPSPQSHPGDTKPKKKARGGGWVYISHDPADPDAVVELLFGGKGVGERGGGEELVFRFEPMIVAVECRDAAAAAALVAVAVGAGFRESGITSLQRRAMVAIRSSIRMEVPLGLTDGLVVSPEYIRYLVRIANCKMESNKKRMDGFLDVLQSKGLPGISGLLDGCCLGSKVKLPHNRSVQTHNEVLVTEKSSNNYNCEAGDRGDGENCEKSIEGSYFKNRDPARDNGGKLMHEGKLSAFSGNKCCHLYITDLKISGEPIEKLFLWGQSACAVTVGGEQRVLTFGGFGGPGRHARRNYSLLLDHKSGLLTEINVKESPSPRMGHTVTVIDNHIYAVGGRAGPSEILDDVWVFQSTENRWSRVECTGNTFRPRHRHAAAAAALKMYVFGGLSNEGIYSCMNILDTESMQWNVIAAASEWPCARHSHSLVSYGSKLYMFGGHDDQRALNDFYSFDITTLRWNKESTSGRTPSPRFSHCMFIYKHYLGILGGCPIRENNQEVALLNLEHRVWFHVSIPFLGQCLCVRSSAVVTDDDLVIVGGGASCYAFGTKFSQPVKIDLHLLDSLFELVYKKDDMVIESSDEISTTNLQEHEQNGTFVSHDVESMVDMSANDFSDSDPLVLQMDKKYAKLAKDILKKFGWLDLARKVRVSQDNVHVLFPVSRIFHTLIIDHHSKMLDDESCISEGLSACPEMKVFNASTYLHKALEILSTCHGSLLKDELALSRKASKSPQTIMRELVSSLLEREGMPSQLLHQLPTRWETLGDITVLPKTCFKDPQWESIKEELWQLVAKSLGAQRLARQGKIMPNGTRDSTLELLVGDNGWVTHFENGISYSLDATKCMFSSGNRSEKLRMGQLDCRDEVVVDLFSGIGYFVLPFLVKANAKLVYACEWNPHALEALHRNVMDNHVADRCVILEGDNHVTAPKGVADRVCLGLIPSSECSWATAVKALRVEGGMLHIHGNVNDLDEARWLDNVVESISNTATAHGLYWKVSLEHVERVKWYGPHIRHVVADVRCQAN* >Brasy6G181100.1.p pacid=40050287 transcript=Brasy6G181100.1 locus=Brasy6G181100 ID=Brasy6G181100.1.v1.1 annot-version=v1.1 MRASVQSRAVSFGEQVHGVAVRIGMEANINLYAKVGCIDAAMLVFDVLPIGQGGVALELFGKMGLEGVTPGRFVRASAVSACGNGCTVINALIDLYCKCSRLSLARQLFDCVENRNLVSWTTKIIGYMQNAEAMTVFWQLSRAGWQPDVFACTSILNSCGSLVATWQGRQVHAHAIKADLDSGEYVNNPLVDMYAKCEHLIESRAVFEAFAEDDAISYRRIFKDRYCSLKPSLLTFISLLGVSSSHPAVELKKQMHALVVKSGTSSDLYAASALIDVYSKFSLVEGAKAVFSLMQNRDMVTWNAMMFGLAQNEQGEEAVTLFNQLCMSGLTPNEFTFVALVTVASTLASMFHCEHIWNSMISTYAQHGQLEEALRVFRIMEGTGVEPNYVTFVGVLSSCAHPGLVDEGLNHFNSMKTKYAVEPGTEHYAAVVNLFGCSGKLHAAKEFIEMMPIEPTQAVWRSLLSTCHLFGNVEIGSYATERALLVDPTDSGPSVLMSNINASTGLWSDAQKLREGMDCAGVVKESGYGWIEVMREVHTFIARG* >Brasy6G124200.1.p pacid=40050288 transcript=Brasy6G124200.1 locus=Brasy6G124200 ID=Brasy6G124200.1.v1.1 annot-version=v1.1 MERRPKDLQPGKLTKPPLQPDLPTPTGVTIDRRPGEHLRMECMRRWRTTITVPASPKPDFFMVASFGRCKFRLTEVSVANLLNACTGGNPEDLRVLHLRDRTFRFSVTNKMIGFHLAKLNSFSCSAFVVYFHLWGFGGPNYLYEFECWEKEEEQSWSVCKASSARNHGGTIPSTPRQILTGANNVPIGHKSAFLRLGTHNAPSPPSSPWTSEKEQDLADAGYDAEEIVAAKEDASSSSSALWYKGKKKASVFDRIVPQSIPVTCSPPVTYSAPEKTQFKPNGPPIHSIDGPQSMDDHYSAQLLGPNHCSPETASQTVAQKDVGPRPTCARCLKPGHHFSKCWRAIHCRYCLKPGHIYRFCKARDRAVKSNEDEISGKSMGFIFRSKFPSVDESAWPAGSVATWFRGTEEMRGNSAQGIITAPVFRNFSEFSLFYSKKPQALSSSSPTPPPKPPSEAPSRPTVAAAPATTMVNFPVDPAPFLPGHFDIIECPFGQAYMRTVAKSDCDWLILHSPHHHHGVDFHFCAHNKGINWRMFSYNQEAWLMLLGFPADIWSTEKFSNAIAKWGRLVTWDQTVSNTARVIVKVKVADLRDIPFSIVVTHGSDMEGESWTVPVYILSHRMLGAQASKEDIPPEYGGNPHPLPNQQQQPNIMQDFLMAQDILNAMAEEGNNNANAIENAAPGDPMLEESAITLTLSSNAPEAASEGSVNNLPIPDNELMNQENNAMILLDDGDNMQQNLQHNIIVGRVLTFPQFEDSHAQWGPHLTKPNMEKIHLSAEGTDIWKRFFIPDGQSSNYVSIPGPWIDYFTAMLVSPASFQWARKMLLSQLWKIISADADFSRNFAIPQSCPMNEPPARSLALKSLEITNGFSTPQAPKHRLVLPGPSVTTSALKMKRGRKPPLVITEVRRSPRISEKNKGFRRQSCVDKNCLACSAKAPEITKKIVRNLSERFGLADDREESSTEASNKKTKQSLANDDTPKNKAKK* >Brasy6G069500.1.p pacid=40050289 transcript=Brasy6G069500.1 locus=Brasy6G069500 ID=Brasy6G069500.1.v1.1 annot-version=v1.1 MEGSSSRAPEITIVPAPRPAAGGGAVDAVKAASKEPVSPGSPSPASSKENQGAVSVSVPGWKLDSLCRESGSPPAMMGRFPYF* >Brasy6G218200.1.p pacid=40050290 transcript=Brasy6G218200.1 locus=Brasy6G218200 ID=Brasy6G218200.1.v1.1 annot-version=v1.1 MKDCHWFLVLHSGKRCVQALDWLGMGMSCGDLTSTVSNQSIEACCNIPTRSPLPGASALLHAV* >Brasy6G073800.1.p pacid=40050291 transcript=Brasy6G073800.1 locus=Brasy6G073800 ID=Brasy6G073800.1.v1.1 annot-version=v1.1 MARALLLLAVLAAPARRASAAAASASASGIGRRGRRPLIGGWRPIRDVSEPRIQELGGWAVVQHARLATDRLRFLRVTRGDQQLVSGMNYRLFVETQDAAGRSAPYVAVVYEQCWTNTRKLTSFDPAAYY* >Brasy6G074700.1.p pacid=40050292 transcript=Brasy6G074700.1 locus=Brasy6G074700 ID=Brasy6G074700.1.v1.1 annot-version=v1.1 MEQSIDTGDSGIVSSPPPSSSLRPLGLNNEDDDHRKRRSGEKVLQHLHDLPHDILGEVLSRLSFSESARMSVLSSTWSRLWRSCRRNLIFTRKTMVCRSGQVRKRRCLMSDLSARRVFEDGRMKDRVDYVFADLPSGVPHARKLSVDFMIDTSVPPVMEQLELHMACHLGSGDIITRLEELPPRHRPHIHLKKVHMTGVYGYSNLLKLVLHFARNAVALERTS* >Brasy6G085300.1.p pacid=40050293 transcript=Brasy6G085300.1 locus=Brasy6G085300 ID=Brasy6G085300.1.v1.1 annot-version=v1.1 MHTGGKTQEISVPPVEGVAGGGTSYGWVDGGLQGSSLGTSVIDPAKVHSTDLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQMDLRPKVSWTSSGIAGPVQVQCTDLCSSSGDRLVVGQSVTLRRVVPMLGVPAALVPIDPLCPQINLLPGETSAIWVLLNVPCGQQPGLYEGEIFITATRTETDSRAESLPKSERYQLYRELRTCLDITESRDCSTPEEMVQRLHQLQPH* >Brasy6G195500.1.p pacid=40050294 transcript=Brasy6G195500.1 locus=Brasy6G195500 ID=Brasy6G195500.1.v1.1 annot-version=v1.1 MWAHETESVEPKKAFLLSLSRSLESAAVRPQRTKSAKRKIRLRRAGARARGVAMMTPLVPGLPDDLIEEILLRFPPEEPGSLIRACLVSKPWRRLLTGAAFLASYRKFHRRTPPMLGFLHRLYDEDPCVSRFVPTTAFRPPRPDRRCWYALDARHGRALFYDSESHPAPSFVVWDPVTDCQRKIPLPELPQSWNAAVLCAVDGCDHLDCHGDDPFLVAFVGTDKMEGFRLTTACVYSSEVRAWSDTTPFEHPDAAVQMQPGVLVGNVLCFLCEQSKRILQYDLLGRELSVIDLPDVHGSSIVLMTVEDGTLGFACMEESRVFLWSMEVVADGAAAWVEHRVFEMQTLIPPRALMITPDVVGFAEGVGIIFLRTIVGLFTLELKSGRVRKVSTRGSLCTAIPYVSFYNPDRAIRQLPQADDQ* >Brasy6G195500.2.p pacid=40050295 transcript=Brasy6G195500.2 locus=Brasy6G195500 ID=Brasy6G195500.2.v1.1 annot-version=v1.1 MWAHETESVEPKKAFLLSLSRSLESAAVRPQRTKSAKRKIRLRRAGARARGVAMMTPLVPGLPDDLIEEILLRFPPEEPGSLIRACLVSKPWRRLLTGAAFLASYRKFHRRTPPMLGFLHRLYDEDPCVSRFVPTTAFRPPRPDRRCWYALDARHGRALFYDSESHPAPSFVVWDPVTDCQRKIPLPELPQSWNAAVLCAVDGCDHLDCHGDDPFLVAFVGTDKMEGFRLTTACVYSSEVRAWSDTTPFEHPDAAVQMQPGVLVGNVLCFLCEQSKRILQYDLLGRELSVIDLPDVHGSSIVLMTVEDGTLGFACMEESRVFLWSMEVVADGAAAWVEHRVFEMQTLIPPRALMITPDVVGFAEGVGIIFLRTIVGLFTLELKSGRVRKVSTRGSLCTAIPYVSFYNPDRAIRQLPQADDQ* >Brasy6G195500.3.p pacid=40050296 transcript=Brasy6G195500.3 locus=Brasy6G195500 ID=Brasy6G195500.3.v1.1 annot-version=v1.1 MWAHETESVEPKKAFLLSLSRSLESAAVRPQRTKSAKRKIRLRRAGARARGVAMMTPLVPGLPDDLIEEILLRFPPEEPGSLIRACLVSKPWRRLLTGAAFLASYRKFHRRTPPMLGFLHRLYDEDPCVSRFVPTTAFRPPRPDRRCWYALDARHGRALFYDSESHPAPSFVVWDPVTDCQRKIPLPELPQSWNAAVLCAVDGCDHLDCHGDDPFLVAFVGTDKMEGFRLTTACVYSSEVRAWSDTTPFEHPDAAVQMQPGVLVGNVLCFLCEQSKRILQYDLLGRELSVIDLPDVHGSSIVLMTVEDGTLGFACMEESRVFLWSMEVVADGAAAWVEHRVFEMQTLIPPRALMITPDVVGFAEGVGIIFLRTIVGLFTLELKSGRVRKVSTRGSLCTAIPYVSFYNPDRAIRQLPQADDQ* >Brasy6G148600.1.p pacid=40050297 transcript=Brasy6G148600.1 locus=Brasy6G148600 ID=Brasy6G148600.1.v1.1 annot-version=v1.1 MSCTCRAIGDNFSTYPAFTNVACCLCPTLMDPLRELFPLDEPALKQVACCLSPTLMDPWQELFPLDEPAYLISNSWPFTELFSRSTEAKASSKLRGSAAGSSSRAPSGMPSLSVPHIK* >Brasy6G159100.1.p pacid=40050298 transcript=Brasy6G159100.1 locus=Brasy6G159100 ID=Brasy6G159100.1.v1.1 annot-version=v1.1 MNEDQPSVDNGCILWCNGMDLLKDVAERKHFLPTGLEGIDMLLGGGLRKGHLTEITGQSSSGKTQVCLYSASHVAAKHMGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLASGRHMKFAVPS* >Brasy6G159100.6.p pacid=40050299 transcript=Brasy6G159100.6 locus=Brasy6G159100 ID=Brasy6G159100.6.v1.1 annot-version=v1.1 MNEDQPSVDNGCILWCNGMDLLKDVAERKHFLPTGLEGIDMLLGGGLRKGHLTEITGQSSSGKTQVCLYSASHVAAKHMGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLVF* >Brasy6G159100.2.p pacid=40050300 transcript=Brasy6G159100.2 locus=Brasy6G159100 ID=Brasy6G159100.2.v1.1 annot-version=v1.1 MKISLLLTMGAYYGAMGWTCSKMWQKENISSLQDLKGTPILNVCLYSASHVAAKHMGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLASGRHMKFAVPS* >Brasy6G159100.3.p pacid=40050301 transcript=Brasy6G159100.3 locus=Brasy6G159100 ID=Brasy6G159100.3.v1.1 annot-version=v1.1 MLLGGGLRKGHLTEITGQSSSGKTQVCLYSASHVAAKHMGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLASGRHMKFAVPS* >Brasy6G159100.4.p pacid=40050302 transcript=Brasy6G159100.4 locus=Brasy6G159100 ID=Brasy6G159100.4.v1.1 annot-version=v1.1 MLLGGGLRKGHLTEITGQSSSGKTQVCLYSASHVAAKHMGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLASGRHMKFAVPS* >Brasy6G159100.5.p pacid=40050303 transcript=Brasy6G159100.5 locus=Brasy6G159100 ID=Brasy6G159100.5.v1.1 annot-version=v1.1 MGVVLYLDTSNSFSPSRIAHIVDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDQLEVSMNDKVNSGGNKICLLIIDSISSLLAPIIGGKNSQGRSMMISVAMILKKLADKHNLSVLVTNHMVGGNGAPKPALGESWKAVPHVRLMISRDHGSNMYTATMLKHTLLASGRHMKFAVPS* >Brasy6G154300.1.p pacid=40050304 transcript=Brasy6G154300.1 locus=Brasy6G154300 ID=Brasy6G154300.1.v1.1 annot-version=v1.1 MRSLRRVLLPLVLLSGLAFRGVHFNDAAAPPPVLLPLSPPPALPSPPLALPADEGRGDGADSREIIAAPLPGELLVRPPRRRSEPTNAVTDTGPHISSELQFNDDGTIQLVDRLSKSSLWQFSTGPPLSKHVTTANSDLGYLIYPLDQAKLVEVHNGSVMALPWELDEFISRTPYVRDSVVTIGSKTSTIFAVDADSGEIIYKHSLPIALNELGATPIEESPSKLDAGRSGSPNVIVLVRTDYSVSASDLGVHLFNWTRTSFSANYYVKQSHAYMLEQSSCLRGNIPCFRSDGVPLKLTLPDSSTANALVLRDLNKVTTSYDADALRPVGTMMKSIQTASKSNVVLDSTQNQTVDDAPGHLVSADPQANRFSNNSHGLLFPVVSLLVVLAWLVSLAYSSKPCRQFVSQLFKPFVREKKSTGLAGKTEKTSKRRKTRKKDGIANSTDICSSSDKENGETGGSNETVNETYQLTDTTLPDGLDGCQIGKLRVHKKEIGKGSNGTVVFEGSYDGREVAVKRLLRSHTDIAQKEIQNLIASDRDPNIVRLYGCDQDDNFVYISLERCRCSLADLIQQHTDPSLSDVERIDVELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLSAKLSDMGISKRLQEDMTSLSHHGTGFGSSGWQAPEQLRGDSQTRAMDLFSFGCLIFYCITKGKHPFGEYYERDMNIINNHFDLFVVDHIPEAVHLISQLLQPKPDMRPTAVYVINHPLFWSPELRLLFLRDTSDRIEKTTETDLINALESIGHEAFGGKWREKLDDGLVADMGRYRKYNFESTRDLLRLIRNKSGHYRELPADLKELLGSLPEGFDRYFSSRFPKLLIEVYKVMSVHCKDEEAFRKYFIGSSV* >Brasy6G154300.2.p pacid=40050305 transcript=Brasy6G154300.2 locus=Brasy6G154300 ID=Brasy6G154300.2.v1.1 annot-version=v1.1 MALPWELDEFISRTPYVRDSVVTIGSKTSTIFAVDADSGEIIYKHSLPIALNELGATPIEESPSKLDAGRSGSPNVIVLVRTDYSVSASDLGVHLFNWTRTSFSANYYVKQSHAYMLEQSSCLRGNIPCFRSDGVPLKLTLPDSSTANALVLRDLNKVTTSYDADALRPVGTMMKSIQTASKSNVVLDSTQNQTVDDAPGHLVSADPQANRFSNNSHGLLFPVVSLLVVLAWLVSLAYSSKPCRQFVSQLFKPFVREKKSTGLAGKTEKTSKRRKTRKKDGIANSTDICSSSDKENGETGGSNETVNETYQLTDTTLPDGLDGCQIGKLRVHKKEIGKGSNGTVVFEGSYDGREVAVKRLLRSHTDIAQKEIQNLIASDRDPNIVRLYGCDQDDNFVYISLERCRCSLADLIQQHTDPSLSDVERIDVELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLSAKLSDMGISKRLQEDMTSLSHHGTGFGSSGWQAPEQLRGDSQTRAMDLFSFGCLIFYCITKGKHPFGEYYERDMNIINNHFDLFVVDHIPEAVHLISQLLQPKPDMRPTAVYVINHPLFWSPELRLLFLRDTSDRIEKTTETDLINALESIGHEAFGGKWREKLDDGLVADMGRYRKYNFESTRDLLRLIRNKSGHYRELPADLKELLGSLPEGFDRYFSSRFPKLLIEVYKVMSVHCKDEEAFRKYFIGSSV* >Brasy6G223000.1.p pacid=40050306 transcript=Brasy6G223000.1 locus=Brasy6G223000 ID=Brasy6G223000.1.v1.1 annot-version=v1.1 MASSTLKPGVPITLRELEPSSDVFKQGASLRVTGNLQSYDVESAIAVIQDGSASLKVDTQHLRDISFRINSMYQFIGELLIQPDNNAILQARVGRNVDGLDLNLYQQSLIILRQHEAKLLSSRRA* >Brasy6G040100.1.p pacid=40050307 transcript=Brasy6G040100.1 locus=Brasy6G040100 ID=Brasy6G040100.1.v1.1 annot-version=v1.1 MNFQSRTEYSTLLARLVYAAVAVRLIASQLCVTLGGQLGCGLLLRIVVACAPPPNRPIHLALLLCDCHGHELQWNLPLEPVLPREQHLQVNETPANLRLD* >Brasy6G104800.1.p pacid=40050308 transcript=Brasy6G104800.1 locus=Brasy6G104800 ID=Brasy6G104800.1.v1.1 annot-version=v1.1 MVEGRRARNNFCRDLRGCYICMLLQITKKLPTWLALDSLTYTCRYFGFHTAGLPIGAEKTDVKHRRSISDLELTLVAVEVSEAVK* >Brasy6G015100.1.p pacid=40050309 transcript=Brasy6G015100.1 locus=Brasy6G015100 ID=Brasy6G015100.1.v1.1 annot-version=v1.1 MAATADRLSALPDAVLLRIMSMAPAKEAAATTALSCRWRPLWRRAAALNLDSRPYNSSANRNSSNYSSPPFNSFFYDAAAALAAFRRPRRLPPPPIHSLPIGLTRLTLFLREGTYYRPRARDADPDQDDGRVASLLADRALAELEELAIACDHTGHERYYAPPVASLPCAATLRVLDLQRCNLEPATTTLAFPCLTDLRLQNCYLLQGHLQAMVDAAPALTDLSLVNVAHSPQPEQGGSAKKPDYDSSRTLPFRLRCPTATALVLRMGNAREQETGRSADSGIELDMPTLRLFHYRGYPIKLSLTSPAPGLAHVDLDASLWYKHVWEYQPVLRILPSLSRTTALKLRLHYIEDLVDDNEIVLPTFPNLKLLDLDAEYQYMDGKTAVAMARLLRSCPAMAELRLRLNMRQDYHYECRIEAACPTGGPFGESMDRFERLAPMSGARRANMELGEVSDLPDAMTKNSAFKCLRTSLRKVTLQFEGKEVNCFQVQLAKFLAENAMVLEEMHVHYGVHFWKDHLLHKVARWRADSFRRRNLTNTAGFRVYQLANPVVVSKDQGDLSEH* >Brasy6G166500.1.p pacid=40050310 transcript=Brasy6G166500.1 locus=Brasy6G166500 ID=Brasy6G166500.1.v1.1 annot-version=v1.1 MTMAPSCEVYSYHSLPAPGVENGLKDEIQSKVMGTIGNVMNSFDPKSLPQQLEGALGTAGNIINSLESKLSGQKTFDFDGGNDILDGYECPDDYWGSVPVRAPKPVNIRNLLGGMIAIIGRSCKKDEFQESKTSVSFLGSSSDGDTSLHSSVYAPSAPPLLDDEALGYNIYRVVLEAEPPEWLPDSYANLCMQCAAPFTAIACGRHHCRFCGGIFCRACSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLLINSVSNATQSAKHDVMDWTSARGWLNLPIGLTMEHEIYKAAISLRSYSQVARINPEKSIPHAVLSGASGLAILTVVKAGAFLTYKLGTGLVVARRPDGSWSPPSAILSAGLGWGAQFGGELMDFIVVLHGPEAVKTFSSRMHFSLGAGLSAAAGPVGRVLEADIRAGHKSSGICYTYSCSKGAFIGVSLEGNFVATRMAANLRFYGDPYLTTGDILMGNVDQPNAAKFLYKALDDLYSGLDC* >Brasy6G166500.2.p pacid=40050311 transcript=Brasy6G166500.2 locus=Brasy6G166500 ID=Brasy6G166500.2.v1.1 annot-version=v1.1 MTMAPSCEVYSYHSLPAPGVENGLKDEIQSKVMGTIGNVMNSFDPKSLPQQLEGALGTAGNIINSLESKLSGQKTFDFDGGNDILDGYECPDDYWGSVPVRAPKPVNIRNLLGGMIAIIGRSCKKDEFQESKTSVSFLGSSSDGDTSLHSSVYAPSAPPLLDDEALGYNIYRVVLEAEPPEWLPDSYANLCMQCAAPFTAIACGRHHCRFCGGIFCRACSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLLINSVSNATQSAKHDVMDWTSARGWLNLPIGLTMEHEIYKAAISLRSYSQVARINPEKSIPHAVLSGASGLAILTVVKAGAFLTYKLGTGLVVARRPDGSWSPPSAILSAGLGWGAQFGGELMDFIVVLHGPEAVKTFSSRMHFSLGAGLSAAAGPVGRVLEADIRAGHKSSGICYTYSCSKGAFIGVSLEGNFVATRMAANLRFYGDPYLTTGDILMGNVDQPNAAKFLYKALDDLYSGLDC* >Brasy6G051500.1.p pacid=40050312 transcript=Brasy6G051500.1 locus=Brasy6G051500 ID=Brasy6G051500.1.v1.1 annot-version=v1.1 MGMRRPDEEEEAPSPRPSAAGCYAFLRRGGHGNSGYRRLEPTSTSSSVVRVEVGKARSVYHVDAAVLEAEPVRRLLAAAGHRGRRGAVVAVAVDALLFEHLLWLADDGGVGGSAAGVDAADLSEIVEFYSQDEDDADDHGLKC* >Brasy6G170200.1.p pacid=40050313 transcript=Brasy6G170200.1 locus=Brasy6G170200 ID=Brasy6G170200.1.v1.1 annot-version=v1.1 MAHGGGRAKVTPNLAMDEEGTRMLNLTVLQRLDPAVKDILITAAHVTLYDFDTVLNQWSRKDVEGSLFVIKRNAQPRFQFIVMNRRNTDNLVENLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKVPLKPKIPSIKSEYEELEAAPALVEGPLEPPASDIIAPTNHAREDPLSAFFNAAANVGGASGAVVMGHAPNESFGAAPLSSHEQTSITASQPPAMHHLLPMQASSVSGIPHDVHDGTGVISRSTNLVNPSLFSPLMSSQAMACNNSAVPTVPHQHHRNTQQPQSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPAYSSLLSRDKIRDALLRIVANEDFIDLVYREIVKGQ* >Brasy6G026500.1.p pacid=40050314 transcript=Brasy6G026500.1 locus=Brasy6G026500 ID=Brasy6G026500.1.v1.1 annot-version=v1.1 MWALRRAGNPLRTGVRQVATVRGCASLDVLLSADTKNAEHCDKGCLKSCCCRQPKPSVCQSSFSSGSFMWNRTFSSQAGANSGDKEEDLEDGFSDLEVPPEADKKDAELTSEESSDEDAVDAVDLLEVDDADSKSDKEPKKKISQCPLLKVMLEAPRNDVSGTLKKWVNDGNTVDRSDVFFAVLNLRKRRFFSKALQLLEWLEESKLIDLVERDYASRLDLMAKVHGVYKAEKFIDNIPASLRGEIVYRTLLANCVAEVNVKKAEEVFNKMKDLGFPVTVFAINQLLLLYKRVDKKKITDVLTMMEKEDVKPSLFTYKLLVDTKGASRDIEGMEKVVQSMEAEGITPDLLLQATIAKHYIFGGHREKAEAILESMEGETKGNRNACKMVMPLYAFLGKKDDVERIWKVCQSNTRLDECLSAIEAFGRLGDVEKAEEVFGNMFKTWKTLSSKYYNAMMRVYANQNLLDKGKELAKRMEEDGCRLGISTLDSLVKLYVDAGEVEKAESLLHKLSVKNKMKPQYSSYLMLLDSYSKIGDVHNSEKVFNKLRQMGYNGRIRQYQLLLHAYLHAKAPVYGFRERMKADNIFPNSVIASLLAATDPFNKKKSISDMLE* >Brasy6G073500.1.p pacid=40050315 transcript=Brasy6G073500.1 locus=Brasy6G073500 ID=Brasy6G073500.1.v1.1 annot-version=v1.1 MARTLLLLLAVLAATAAASASAAGIGGGRGHRPLLGGWRPIPDVSEPRIQELGSWAVAQHARLAADRLRFLRVTRGEQQVVSGMNYRLFIDAQDAAGRSAPYVAVVYEQSWTNTRKLTSFDPAAN* >Brasy6G073600.1.p pacid=40050316 transcript=Brasy6G073600.1 locus=Brasy6G073600 ID=Brasy6G073600.1.v1.1 annot-version=v1.1 MARALLLLAVLAAVAASASAAGISGGRGHGPMPGGWRPIPDVSEPRIQELGGWAVAQHARLATDRLRFLRVTRGEEQVVAGMNYRLFVDAQDAAGRSAPYVAVVFEQSWTNTRGSAAASARPV* >Brasy6G147300.1.p pacid=40050317 transcript=Brasy6G147300.1 locus=Brasy6G147300 ID=Brasy6G147300.1.v1.1 annot-version=v1.1 MITPKPGQNLGLRARTPTVCSAAVALLHWTNRAAAAALRLAMAATLQFLSLLAASSSHPAPSYSSSSNEKKSRSSVHLPPQQQQRRRRRLRVVRAVETTDAPGAEPSVAAPPEEEEPPSVDFAFVSPRLLPDGTPDVHYRTACGGQKLRDIMLQGHIDLYGPYDKFLLNCSGGGECGTCIVEVVEGGEMLSPKNEVEKEKLRRKPKTWRLACQATVGKPDSRGQMVIQQLPEWKVHEWDRQ* >Brasy6G196300.1.p pacid=40050318 transcript=Brasy6G196300.1 locus=Brasy6G196300 ID=Brasy6G196300.1.v1.1 annot-version=v1.1 MAAVCTGNDRTPDVLSRAGGRKKMTELGWQMRSATAQEEIGQEACPFSQQCWNDLGIQWQMGLPLTERLFAAHLDWHEGLFWEVFVLAAWALWKVRNANLFDHVPPSKATWRILLQTELKLLAYRSSKEKFIANLNHLLQALAL* >Brasy6G086100.1.p pacid=40050319 transcript=Brasy6G086100.1 locus=Brasy6G086100 ID=Brasy6G086100.1.v1.1 annot-version=v1.1 MPLIPPRHSLSPAAPELRRRPCASCELPSALLEPSPATISSPPAPIYSHTRQPLPLSTSPASSHHNPGPSTPVREGAVPRPSAEAGSPSEAATATTCSSSASSDQIGGSGHGL* >Brasy6G213300.1.p pacid=40050320 transcript=Brasy6G213300.1 locus=Brasy6G213300 ID=Brasy6G213300.1.v1.1 annot-version=v1.1 EGNPPEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIISLIVSLFRVLRYSE* >Brasy6G168200.1.p pacid=40050321 transcript=Brasy6G168200.1 locus=Brasy6G168200 ID=Brasy6G168200.1.v1.1 annot-version=v1.1 MEQRFLEILRSLKFGHHLRQTHAQVLVRGLAANPRLLPALVSAAFSVSSPSQAAAVLRAASAAATTVAHNTLIERLAKLRGRGPEDALTAYTAMRAAEVPPNGFTFTFLLRACEFSRILPLCRRIHGQILKCGFGRDVVVQNALLDVYHKCSNPGHVGDARQVFDEMIEKDVISWNSIVGVYMSSGDVKGAMELFEVMPERNVVSWNTVVASFARVGDMASAQAVFDRMPMRNAISWNLMISGYAAGGDVEAARMIFDQTEQKDVVSWTAMVSAYAKIGNLDLAKELFDQMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSTEYCSWVSSFIRKNNNDLTVALGNALIDMFAKCGDVGRAQLIFSGMKSRCVITWTTMISGLAFNGQCREALLVYNDMCREGVELDDTVFIAVLAACSHGGFLHEGWSIFRQMVELHGIKPRMEHYGCIVDLLGRAGKLQEAIQFIECMPVYPSVVVWVTLLSSCIAHGDAELIEYVSKKIVEIEPFNSSYQVLVSNCGALKERWDCVTDARTIMRDFGMEKVPGSSSIQVDREVHEFLAKDTRHHLRKEIYETVDGLTALIRHTDMPPWTNYFNAL* >Brasy6G054800.1.p pacid=40050322 transcript=Brasy6G054800.1 locus=Brasy6G054800 ID=Brasy6G054800.1.v1.1 annot-version=v1.1 MEPDAPLDFALFQLSPRRSRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQAAAQPPQPAIRLQLERRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARRIYAAQGVAGGATSGAAAEASAAAADITKKELLRAIDVRISALKQDLVTSCARASSAGFNHDSVSELLFFADHFGANRLSEACNKYMSLCQRRPDINPQHAPPAASSHWKSFEDGNLRGSCSSDMSIDEPQADNGGSSNKSISGGGDLHIDKLSNSQHSVDAPSEHVTEQHSKLTIQQAVDKQEKETDAPPAPAKELSRRLSVQDRISMFENKQKEQTSTSGNSNSAGTVKVVPVKGEHRRVPSVASMDKLVRRWSSVSDMSIDLSNNDSSGFNDKSENGTPAGTPTSANMEANSKVRADEDASGVKHPVTSQSWSCQKDGDIPKDDSTTTNTCSSSTFNATSPSSLSAIGTEPPNKQTKSSLEGDMAITSSTDSELSFEKEGVNQGQGSMRMSEHVASDVPTRENVQMIDKEIASVPYEVPVTTERVGQKDNRGSRLRSKEIHADADSVGRRDRSSRTVGKISSGVDPKPRSMSSSRNNFRGSSGRDEASSTETEVHDVSLQRKSVQRKVEDARRKVAVGSELLPPSDKSGRRGINLSRQSSNAEQELSLHEVKVKSVNDGNVAPLEQTKVTRPAKGNQDRHDELQMKANELEKLFAAHMLTTSRRGKSTDAQVEDTPSVSELKPTQVLPEKIYTKQTVERVPNNFDSNELLKMVDNEGYNDSTPEKLGILSLEESRGKFYDQYTQKRDAKLKEDWKLQKEQKEAILKAMHESLERSKAEMRAKFSRSGDVSDSTNVSRCAQKVPPLQSVIRNKDQWVDPFLVEEETNSDYLSGDGSSRSADSRKHSSKKVAYTQKTSITHVHVHKHSSRTVTSGYANRRNPPENPLAQSVPNFSDFRKENTKPSAGLSRVTARAQPKSFSRSKSIIEESKSILDKDQSRGSQSMRKNLNASELRDSSSVGNWAQSRISSNTHKSGVPKSFLSKGNGAHPAVGIAGFRAPMFANVLQNEDDDDFLDQEDDSPDDAKDEEYESIEENLRESDFPADSDSENPRPSHEFGNSDDLGSENGDVPFPREAPTVGDTKFNAFAENIRDLPGELPAPWTSRPPHLFPYANDASDGDAFVDSPTGSPSPWNSHSLDQITDADVSRMRKKWGSAQMPFVGANASQQPRKDVTKGFKKLLKFGRRNRGSDGLVNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYLSSYDGFVENEVFTEQEQSLRSSIPNPPANFRLREDQLTGSSIKAPRSFFSLSTFRNKGSDARLR* >Brasy6G001300.1.p pacid=40050323 transcript=Brasy6G001300.1 locus=Brasy6G001300 ID=Brasy6G001300.1.v1.1 annot-version=v1.1 MAPSPKKEKEKEKEKAWARGKQGIRRRPLLLRRAMLHSSACFFIGVLSGGFISTPPSDHVLLPRYNNKYWDWDWSWSWSWSWNWTSSAAADVLAAMINNHTNNYFLPPTPAAAKQPPPITSSNLVVVVTCTELWEPERRAAGLSRTAHALRLVPPPLLWLVVEPARDALPTARLLRGAGVVYRHLTYKDNFTDGVLERHHQRNVALGHVEQHRLDGVLLFAGLDHVYDHRFFQHLRGIRTFGVWSVATLAGGAAAVEMEGPVCARRAVTGTRSSNKKHPNMLFAFRSTMLWDPTRWDRFPIDQPDASQDSFKFMQRLVAEEYNKSRGMPDPDCSEIMVWRGDQLI* >Brasy6G114900.1.p pacid=40050324 transcript=Brasy6G114900.1 locus=Brasy6G114900 ID=Brasy6G114900.1.v1.1 annot-version=v1.1 MAATLFVAPVSEQSRAAMSEAPDRSRDREKGNKRRGRAADDGDDELDVERQKLRRKLQEVYAATFEIELELDRRYEEFLRLEPASSKATAMMHVPRVGDTREDHCHVCLEDFEEGDMLRTMPCAFHQRCIFSLLRHQRACPVCGFKLPTEEEQDELDAQASARST* >Brasy6G236500.1.p pacid=40050325 transcript=Brasy6G236500.1 locus=Brasy6G236500 ID=Brasy6G236500.1.v1.1 annot-version=v1.1 MEHCYSSKVNKVALIYLAVALAVAAVVGAQSTAISPEEQDAILGAHNAVRRPDGLGDLQWNDDAARDAQNYADTSSLDCAVRVRSVDGEYGQNLFVGDAGGKPAMAAWAEEEPNYDAQSNACVGGRPCAEYAQVVSRVSTTVGCGRTACAADDGREFTVCSYFPPDDRIPGKDIINVN* >Brasy6G078200.1.p pacid=40050326 transcript=Brasy6G078200.1 locus=Brasy6G078200 ID=Brasy6G078200.1.v1.1 annot-version=v1.1 VVETALHLVLECPFSKSIWVSFQRDRPRMVAAALQGVSIKGWWAKLMKIKNGKRNLDSAVASMVAWHIWQERNQRVFKNCSSTPVGVAAVVRSEWALLLEIDRE* >Brasy6G054300.1.p pacid=40050327 transcript=Brasy6G054300.1 locus=Brasy6G054300 ID=Brasy6G054300.1.v1.1 annot-version=v1.1 MGDHLHQQQLDLAPGFRFHPTDEELIRFYLNPKVKDKSFCTTAIGEVDMNKYEPWELPMKAKMAGQENEWYFYCQKDRKYPTGRMTNRATKAGYWKGSGKDKEIYHGHGLLVGMKKTLIFYQGRAPNGEKTNWVMHEYRTIESTNENKMPSNSKSEWVVCRIFHKSSGIKKEEVPSYPMDPINNEHISMPMGNQQDGFDSETAISTIQLPPLMDHFSMYQLDGSVGSSTLAPLSLGNNQAPMPFYQQHMHQMPITVDEGYMVAPVSGPSSMMSQDDTEAATADEFPFLFQTGMEGMWIN* >Brasy6G184600.1.p pacid=40050328 transcript=Brasy6G184600.1 locus=Brasy6G184600 ID=Brasy6G184600.1.v1.1 annot-version=v1.1 MKFLLAIVCLLLLLLLGLSASAVEARPAPAGTQKKWSSNSFFVFGDDFADNGNLPLTEPVTETSRQWSYPYGSSYVDHAGFPRPNTPSGRFSNYRIQPDFIARILGLEEAPPAYAATAEKSCDPSGMTFAYAGAGVFNSLHKAPTLADQVDTFKNMVKDGTISKQQLSNSVALVAISGNDYAGAAVTGLSSIGDINAHIEKVTTEIAANVERLQKLGVNKVLVNNLHPLGCTPSRTRTGNYSACDIFGDYGASLHNNNMKQVMAARKNTHIVDLYTAFSKIINNSPGKGSELSKQFKHKLSPCCESFDSKGYCGQQSKDSELLYNVCDKSNTFFYWDDMHPTDAGWEAVMKQLEEPMKDFLDRA* >Brasy6G256200.1.p pacid=40050329 transcript=Brasy6G256200.1 locus=Brasy6G256200 ID=Brasy6G256200.1.v1.1 annot-version=v1.1 MFALLILAMLGMAEARASAVERTVDGSTTTTQPLNYMIFPEVMISSLETRRSLLGTSPSNSTLHSTSGCQKTCGNLTFDYPFGIGARCFRNPEFSLICNRTTHPPKLFLHDDSTTQVESNIDAVGMSPAGSPMTMNHLLVNFSKTIPVKSGADVYNVTWTPGNSFIVAEPISLSVIACDLDVYVVHKDPANRMLLCKITCPSIDIAEQVYRQDPDGPGSFCFTGFAISYVHTIELQFVRHRTSRIKTQSNLSILWDEININFETLLFWSIPDQTSCYSSMKDSNYACISNHSECIVPSLMLGYACRCSRGYEGNPYLLDGCSPDNAYNPIPRKANCSERCGSINISFPFGLEEACSARKSFLLNCSDSTIPPILRLNNGVIDVTYINVSEGLLGIKYDSTVGNILIGSLVQPFESREPNLFVDPLESASMRWAVANLTCQDARKNASGYACVSTNSSCLSVNSSTEGYVGYRCACLPGFEGNPYVPDGCQDIDDCKRTPGLCKGICQNTIGNYSCTKCPEHTEYDITRMQCTPKTKQNFFLAIIIGLSSGFGLLLLSLSAVVLVQRWKRDAEKKLRRKYFRMNQGLLLEQLISSDDNASEKTKIFSLEELSKATNNFDTARILGHGGHGTVYKGILSNQHVVAIKKSKFVRKGEISDFVNEVAILSQINHRNIVKLFGCCLETEVPLLVYDFISNGSLFDVLHSAHSSNVVCSLSWDDGLRIASEAAGALYYLHSAASVSIFHRDVKSSNILLDANYAAKISDFGASRSVPIDQSHLVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELFIRKQPVFSTGSGMEMKESLCNYFLSEIKSRQPKEIVAPQVLEEATDQEINRFSSLAEMCLRIRGEERPTMKQVETILQQLRADRMKTSSSQVNPASGQEILQGRHQPMVVNMDERPCDTNIIASQRSQNACYSLEQEFLSSASLPR* >Brasy6G193600.1.p pacid=40050330 transcript=Brasy6G193600.1 locus=Brasy6G193600 ID=Brasy6G193600.1.v1.1 annot-version=v1.1 MGRSLTEASGNDEGCAMVRIGAATEERELNPELTSALANSIKNYAERKSNIVVNPAVGTSFDCLDIAYNFYNLYSWEVGFSIRYAKCRLNVHREKCMQEIVCACAGKPLTENSRSARCGCPALIRLLRSEDKGWYICEHRDKHNHPLSKTCCEKSRWKSHKNIDRYTKQLVKQLRENNISLGKVYSIIGSFLGSMDRIPFTKMSLKTLCGKISQEQSDNDAVKTLDVFSNMLEADPDFKYTVQVDDDSRIKTLMWTSGKCMDQYICFGDVLTFDTTYRTNLYDMPFGLFMTVNNHFQSIILGGVLMRDERVESFKWVFAEFMRMIGGKDRHPQTILTDQARSMELAVAEVFPNTKHRWCKWHVLKEVKESLGALYGKKSEFRAELHELVNLMCTEEEFESCWAEMLEKYGLQKQPFLTQVYESGVVLKANLPIEVHASKVYTRTMFEQFGHSLFESGQYMLEEIEPRKSYIARHTRHVFREKWRKTIYRLRVDEDKGEYKCECGSFEHSGMPCCHQIKVLLHLGHMEIPSSLVLKRWTRDARDILPPHFRSYQKDQGRHSNLSLTAFEIVRMGDSNPAAYELAMELMVDVKTRLEPLCATPDGLGVHEREQAELTRENAEVGELDRKQQQPQERAKHASQE* >Brasy6G261700.1.p pacid=40050331 transcript=Brasy6G261700.1 locus=Brasy6G261700 ID=Brasy6G261700.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILALLFMPGAMAAAAASFDATRNQQHLPLPRGYLRGPESVAFDGEGHGPYSGVSDGRVLKWNGDKIGWTTYAYGPDYSSEKCTASKLRPETVTESRCGRPLGLQFHHKSGSLYIADAYKGLMRVGPGGGEAAVLVNEVDGAPLRFTNGVDVDQITGQVYFTDSSMNYPRSQHEMVTRTGDSTGRLMRYDPWMNNVTTLQSGITYPNGVSISHDRTHLVVASTGPCKLLRYWIKGTNAGMMEPFANLPGYPDNVRQDRRGGYWVALHREKNELPFGFDSHLLAVRVGPNGKVLEEMRGPKSVRPTEIMERGNGKYYMGSVELPYVAVVTRK* >Brasy6G204300.1.p pacid=40050332 transcript=Brasy6G204300.1 locus=Brasy6G204300 ID=Brasy6G204300.1.v1.1 annot-version=v1.1 MGLRGFWAQLSPCAQSAAPYGCLFFLCAAPVLIHGGAAFYLVLAFRGDCRREVPASLDGGDATPSRPELLSMVKKHSHVVGWTVVQAEDECMRCENG* >Brasy6G001000.1.p pacid=40050333 transcript=Brasy6G001000.1 locus=Brasy6G001000 ID=Brasy6G001000.1.v1.1 annot-version=v1.1 MSCVVPNIDLLQSGGRRGPPWKAWRRRWQSHSSSSQIPRRLVLRVRWMGAVKFILDGGLFVQSLFLIKPNITSYIVKKSILVLPTQTRPSVRLGSSSLIQLLPRVDPNRRQVRNNLLLRMKKSKVNMSFTKSPIG* >Brasy6G270200.1.p pacid=40050334 transcript=Brasy6G270200.1 locus=Brasy6G270200 ID=Brasy6G270200.1.v1.1 annot-version=v1.1 MVRASLPYVKSEKLHVCATARAVTRIVFLFFSLLLARIPSLSLSSSSTSPALTPLAHASSTSLCLARPASAPRATQPQQPHLSFGPSPPAIEANRSGGERRPDPNPTPSSRVLSRPTERQVRRRPLFSSEEQCQVARRRQDRHLPAGSRPWLLCGLQQDKGRAAIAGFVFKVDGRPPPLAPSSLPPPSKSPAPHLLSAGPLCHRPQPSSPAPPNPWEWTAVGRRHPGQYHSYLSTSIRHPPPTDL* >Brasy6G051100.1.p pacid=40050335 transcript=Brasy6G051100.1 locus=Brasy6G051100 ID=Brasy6G051100.1.v1.1 annot-version=v1.1 MATGPDLSSSSPAAAAAASSAAAKKDRHIVSWSAEEDDVLRAQIAHHGTDNWTIIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSCVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKSVDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G051100.2.p pacid=40050336 transcript=Brasy6G051100.2 locus=Brasy6G051100 ID=Brasy6G051100.2.v1.1 annot-version=v1.1 MATGPDLSSSSPAAAAAASSAAAKKDRHIVSWSAEEDDVLRAQIAHHGTDNWTIIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKSVDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G051100.4.p pacid=40050337 transcript=Brasy6G051100.4 locus=Brasy6G051100 ID=Brasy6G051100.4.v1.1 annot-version=v1.1 MATGPDLSSSSPAAAAAASSAAAKKDRHIVSWSAEEDDVLRAQIAHHGTDNWTIIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSCVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G051100.3.p pacid=40050338 transcript=Brasy6G051100.3 locus=Brasy6G051100 ID=Brasy6G051100.3.v1.1 annot-version=v1.1 MATGPDLSSSSPAAAAAASSAAAKKDRHIVSWSAEEDDVLRAQIAHHGTDNWTIIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G051100.5.p pacid=40050339 transcript=Brasy6G051100.5 locus=Brasy6G051100 ID=Brasy6G051100.5.v1.1 annot-version=v1.1 MLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSCVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKSVDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G051100.6.p pacid=40050340 transcript=Brasy6G051100.6 locus=Brasy6G051100 ID=Brasy6G051100.6.v1.1 annot-version=v1.1 MLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRSKDDELVEENGSLCSSENAKRVLTQTGDLTSSIPGSSLSIKQMRSRKPDFKENIAPNMRSFGQEKSIQQHSRQPLANISPNNQHNVNTVGTQNDVAKDTTMQLHGNGQSCVKNEGNFLKSSDPKFATLLQQADLLSSLATKLNTENTSQSMDEAWQQLQHHLVKEEDNEMLESSISGTASLLEELDDLIVDPYENEEEDERKDQNGQTDVSSKHCNGPLETSMQVTSQMAPDMEIDICPIDKSTSDNSLCGNLLSSTPGAEITASVNLGEAAEDSGLYCMEYSSPAHAFLQAEADAEIPAAKILSEAAEGSWSQFMEYTSPAQAVVHPKADVEIPVSIDLSEAAEGSCHQRMEYMSPAHTLLRAKADAEIPASVNSSEAAKDCSLQCTEYTSPALTDLRAADVQTLDNSSEIAQDGSLECIKFTSPAHTAIEDKSEAKMPALENCSKVPKDSSTQPCMEFTSPAHTVPTFQRYTDGVPTPKITASERNFLLSVLGLTSPELKPDTSQQPSCKRALLNSL* >Brasy6G270800.1.p pacid=40050341 transcript=Brasy6G270800.1 locus=Brasy6G270800 ID=Brasy6G270800.1.v1.1 annot-version=v1.1 MDATKQQQQQQQHDEEALPNCLPSASASSSWASASSSSSCLPAPGDTDRAPLLPTKMADEDGKGSARVDVSEDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWIGGTCGLILAAAISMYANALLGRLHEIDGKRHIRYRDLAGHIYGRKMYALTWALQYINLFMINTGFIILAGQALKAIYVLFRDDGLLKLPYCIALAGFVCALFAFGIPYLSALRIWLGFSTIFSLIYIVIAFVLSLRDGITAPAKDYSIPGSQSTRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVNGPVWIKMIANLSAFLQTVIALHIFASPMYEYLDTRFGSGQGGPFAIHNVVFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGPKLSGFQRGWHWLNVVGFSLLSITAAVAALRLIMADSSTYHLFADM* >Brasy6G262900.1.p pacid=40050342 transcript=Brasy6G262900.1 locus=Brasy6G262900 ID=Brasy6G262900.1.v1.1 annot-version=v1.1 MPPKELPGFYYDPEKNRYFPITGPIPGAAKRRRPPSPPPPADPSPPPLTRRRKRVRQSELLHAREMYGGGVMFSSRNTKCTFRQQCQHAQASQPLGWSYQGTSSMADKAIEELRTVVQTPTGLRESKVLVTGSINGTVRLFGLGTALQNFEDEVEYLSELAWTPLRKLKVAMKSGLANIWSPETAFSNFPSSITCIKKFGHKFRDAATTNSSVERALGSGGSLYMIDLAPTTDLAMVSLNAYGKIERLGSYNRTVWTADCTSDGTQVALGANNGAALLNLEKRSLSWMYHCKSDVLSQQFMHSGNVVLCGLRNGSIVAIDVRQRHRNFPTGLASPGTARRTVPMLPATHQADKAKSTRAISLSSAVCSLVALSSDENYFLGSSMDGSIKLFDLRHIQKGAVQSYVGHVNSHTHLPLAVDPSETLLMSGGEDSTVRIWSIKTGERIFEKRVDDTLFTALCWPESGLDVDRSSLFDLRHSWGAWMGSRDGLYYMHGT* >Brasy6G105300.1.p pacid=40050343 transcript=Brasy6G105300.1 locus=Brasy6G105300 ID=Brasy6G105300.1.v1.1 annot-version=v1.1 MLSGQTAASGSSSTSRERPQRVIEEEEEEEPEFRDIHALSPPRAPQSYRRSRAGSRDSWGSRHTSIRSVGSDTAPSEPFPSMSREFSAMVAAAASANAASAEASRANGEEEMGRIGEEAEVEETNPLAIVPDSNPIPSPRWGTATATTTPRPSAAGGEVVAAAGGAGHGQGDGVSVGQVKKEEVECKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLNKYERKLEEKRAKAMEKAQNEVAKARRKAEDKRASAEAKRGTKVARVLELANFMRAVGRAPTKRSFF* >Brasy6G059200.1.p pacid=40050344 transcript=Brasy6G059200.1 locus=Brasy6G059200 ID=Brasy6G059200.1.v1.1 annot-version=v1.1 MAHETRPTAVSYTTLMRALCADRRAGQAVGLLRDMQASGVRPDVVTYGTLIRGLCDAADVDKAVELLREMCEGGVEPNVVVYSCLLQGYCKAGRWECVSKLFVEMSGRGIEPDVVMYTGLIDSLCREGKVKKATQVIHKMMERGLEPNVVTYNVLINSMCKEGSMKEAMSLRNNMLEKGVAPDAVTYNTLITGLSGVLEMDEAMGLLEEMIQGETMVQPNVVTFNSVIHGLCKTGRMRQAFQVRDMMAENGCACNLVTFNLLIGGLLRVHKVKKAMELMDEMASSGLEPDSFTYSILINGFCKMWQVDRAESLLSKMRRDGIEPELVHYIPLLAALCEQGMMEQARNFFDEMHKNCKLDVVAYSTMIHGACRLRDRKTAEQFLKHMLDEGLIPDAVTYSMLINMFANSGDLGAAERVLKQMTASGFAPDVAVFDSLIKGYGAKGDTEKVLELIREMTAKDIALDSKIISTIYTSLVANNEGKALLQRGRKAIVTNFPNEHDHNIQSVSGGTELWHHVRSRYITRRLCFLGMPLPPGQEFSISDNQRVKKHACLCATHLLQSDVLKTLASELDLPTEEVGICMTVWPRPSMGNACLFVALALGD* >Brasy6G246300.1.p pacid=40050345 transcript=Brasy6G246300.1 locus=Brasy6G246300 ID=Brasy6G246300.1.v1.1 annot-version=v1.1 MSASVMASLSLKPSSPPLLERSKLRGARPSVRPSLFIVAKKAKKVQTAQPYGPSGGVVFKEGVDASGRVAKGKGVYQFSNKYGANVDGYSPIYTPEEWSPSGDVYVGGKTGLFLWAVTLTGILLGGALLVYNTSALAS* >Brasy6G049300.1.p pacid=40050346 transcript=Brasy6G049300.1 locus=Brasy6G049300 ID=Brasy6G049300.1.v1.1 annot-version=v1.1 MSAAAGTAGTYSPAAEAGGKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATGIRGQGLDNKEYSGMLHKALEGAVMLDTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCLGKNVIIDPTSDEEAWQDGGLLVSYMPTRKEITQLTLTGEWSDGKITNAVELCMDACSKLCEILRERLKDSTSLASE* >Brasy6G242500.1.p pacid=40050347 transcript=Brasy6G242500.1 locus=Brasy6G242500 ID=Brasy6G242500.1.v1.1 annot-version=v1.1 MWCGAAVRRAASGCGAAGGRLRCGARQRRRRQGAATEVGNGVGGGGGSMRRLALPDVREPSTAGAQEPRGRKTIQGAEEESNHHAWWLGHLRWPKASAEKIGWATGELLRLRGTSPGWRFGWAKMESGDNRGGRSLVAR* >Brasy6G094100.1.p pacid=40050348 transcript=Brasy6G094100.1 locus=Brasy6G094100 ID=Brasy6G094100.1.v1.1 annot-version=v1.1 MGVLPLSSTIPAVLLLTSFLLISKLPRCRPLSFSYNFSDSATFDSANITIEGDATLPQQDDISRLIDLTQNPDPGAEGKFDSWGRASYSKPVPLWDNATGEVTSFMTRFSFVIKAAINDSQYAPSDGIAFFLSPHPSKMPPHSGGGYLGLFNQRTPVSTTTPAVVAVEFDTFSNGWDPSINHIGIDVNSINSTAVLELLGEDELAGSEEPMVAWVSYNSSTKLLAVALQLERSSYGGTSRYELNSTVDLKSLLPSEVAIGFSAASGCSVDLHRVLTWSFNSTLAATKLAVGTQEARGQNATEEKAPDIPVQQFPSKSMVRPLAGAAVGAVLIFVAVLGVLIWFIVVRRRRRSEEEELEMAAADSDGCSMDEEFENGTGPRRFRFGELAAATNNFSEDGKLGEGGFGEVYRGSLSDLGIDVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGTSVLTWPSRYEIGLGLGSALLYLHAGCDKCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLSPRDDQEANDNKYSSLLEWVWGLYGRGAVLEAADHRLTRGEFNQTQMECVLVVGLWCAHPDRSVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLAGDSSGAGASSSFTTGGTRSTTTSSSITVGPSSCCPESSVAVSMQQTAGM* >Brasy6G179700.1.p pacid=40050349 transcript=Brasy6G179700.1 locus=Brasy6G179700 ID=Brasy6G179700.1.v1.1 annot-version=v1.1 MAYRLAVILLSAALLSLFCSTLQAHGGSLQGYDAADLVPAEWTTEGPPEVPAIIGEGTSRNCGNCKCCNNDKTTCIETTCCKELTCYPNGCVSKSLYCGCDPNKCVLDI* >Brasy6G093700.1.p pacid=40050350 transcript=Brasy6G093700.1 locus=Brasy6G093700 ID=Brasy6G093700.1.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASIAGCGCLSSRSSLLTSSTNFMKLHSGTVGFFSAPLVIQYSDKRAVLRHATIEEIEAEKTIIEDQAKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKTCLKLIEKAIVAANIGVTPSNDGEVIRVTVPPLTSDRRKEMTKTVAKLSEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKLTDEYMKKVEAIQKQKEQELSKV* >Brasy6G178700.1.p pacid=40050351 transcript=Brasy6G178700.1 locus=Brasy6G178700 ID=Brasy6G178700.1.v1.1 annot-version=v1.1 MHGDQVVAAAGKGKKVVADQPRYGKVRIFQGERDPDATDSSSDEAEREACRARKEPLPAKLLGVARQVLRPPVAEEESSKPPPLLRPRPVPAAGKGTKRKQKSCQYRGVRRRSHGDGGGWVAEIRDPSLPGQRRRKWLGTFDTPEEAYAAYTQARQEIDARKASRFATIPRAPLPLAPPAPQPALPVVAPPPPQPVPLLLLPPPCLAPPPVPINFVENLVPRRRGKGKKKLSEQQLQENAVLVPVVPAVPEKLVPRRQGMGRKKKTTDEQEKEKAVLLAPLPVPAPAPARPEKLVPRRLYRPETKLNEPAACGGDGVPALIHIGAVNEAGCVFT* >Brasy6G265900.1.p pacid=40050352 transcript=Brasy6G265900.1 locus=Brasy6G265900 ID=Brasy6G265900.1.v1.1 annot-version=v1.1 MGGFNPPVPQQDNNWEIRVAVLLSLLFQVLTFVLGPMRKRFSSPVALFAIWSCYLLADWVADLALGLLLNNIGNIGDNGSSSTISLASGFKCAPGAAVNDTGCESSNSSPAIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFALFSASVIFFCSLKGNTMITATVIMFVVGILKYGERTYSLYSGSIVRFRGNILGNPDPGPNYAKLMTEFESKEKAGLPVELLIDIGDDDAEAKKAFTKLEEDEAYDLALNTSKTLEAQAFDFFLIFRRLFVNVMLSDMNRRTSQLYFLERADVTCTKAFQFIEMELTFVYDMVYTKEPVTHSRLGFVLRFVGSACIVSALLIFFFHHKGDILRVDIAITYALLIGGVGLDAAALPVLLSSYRMLVFLEKTPRLSWLARAIRSVRPRLRRWSERTSQLNLVSCCLGKPDPYRDGYGSSKVIWALAKVAEKLHVREVLDDFLFIRRERLYYTHGDKKNHLLDFIFDVLQERAKKTKRADYYNMKEIFDSRGKEVLNQHRGEIMEKLIDKTREAAAKVKEEEVGEKAIEKLAKDKLHLILYGSLEKEFDESLLLWHIATDLCCHPLPEMTPQTMETAVEMKPIGETLSEYMLYLLTNQPEMLSETAGIGLLRYQETCAEAKRFFESAAAYDPDHSDARRMLLRVNTTKPPSDVKGHRCKSLLFDAVILAKVLRELGEELMWKVVANVWAEMLMYAAGKCRGSTHVRQLSRGGELITLVWFLMVHMGVGDMYEVHAGDVKAKLIVHDQ* >Brasy6G030800.1.p pacid=40050353 transcript=Brasy6G030800.1 locus=Brasy6G030800 ID=Brasy6G030800.1.v1.1 annot-version=v1.1 MEAEGGQGDNGEANHEGGEAVKPLPHQRLVSRLTKSKKSKKKVWEASQREDEQGHEVKPGRGGGAVLPKVKKNLLEKEIKKLEMEMKVADTLEDKVVLVQIERRLNLLNMVREKLNMGTIFEDKVDLLMTEIEKLNMGMEVPATLEDKAVQNERGRNLLMMDIEKLSMQMEVAATLEDKAVQTEPGLNLEEKAMEPVAGAEPKLKVKEVKTEPGQTLEEKAMDPVADAEPELKVKAVRTEPGLTLEEKAMEPAADAEPELKVKAVQTEPGLTLEEKAMEPVADAEPELKVKAMQTEPGLTLEEKVMEPVADAEPELKVKAEKKDPVLPLNFLLFIKGLHIVARRDFTEYDPRRRDWICTRFCDFNIAFFDMDAESDIIHGPPLNEVTGSQWHSVPSSSVNFISLKIIQSDDPFPVDVFGTVLARDEVDFKCVYLFRREREDSQHISSLGDMLALTGPCRALCLSGGRLYFEINLKIKGADITGDRDFSKGVIIHSEVPFAMQPTTKQLSSWRSTVELVLSPIPFPVAATIEVNILNGPRGVPFNGKITAWTTGNADNHIILYEYDDSKEAGACVVEDSGSVVLSCNLVAVPLPICLSDEVDEIVLNICFFTDDGAGRTSVALEYPEEEKVCHHGSSELQVKVAWTAIFIKPVSDEIMKRRSAEPKNSFKLY* >Brasy6G245800.1.p pacid=40050354 transcript=Brasy6G245800.1 locus=Brasy6G245800 ID=Brasy6G245800.1.v1.1 annot-version=v1.1 MGETRAGYPRWVLLQTSRRCDYSDAATAAEALTSNGRLVRVSLGLAPPPALSRLRADIVPRGLPDEDEVVELGTRVIAAHGDSVLVEIPDYHLPSSDYFVYSSGDAPSTPPSLSLLPPCYLTYQFGVDGVPKQTRSFLTGKTADEEEGAPVQAKLLLLRSGERWEPLEWEIKRLPVLRDEANNHGDLNWWRTEAVVPVADDRLLCWADYRRGVIVSDVWKGTPELRHVSLPVGPDRRGDGNPLCHQAVCATGGGELRFVQVSPRCCCGFPGSTTCARSGHAFSITTWALRMDGMAWDKVGVVGRDEIWSLAGYQGVIPRVRPIYPMLSLDDPDVLCFLVSTATLQYGWSRSTRGAWSCVPSSATTPEMASLRENPSLPAAWAFAGGFFSGLRQRRLCRRQNIKASGKENAYSKE* >Brasy6G226900.1.p pacid=40050355 transcript=Brasy6G226900.1 locus=Brasy6G226900 ID=Brasy6G226900.1.v1.1 annot-version=v1.1 MAYRGGGRGGGEFQGGDRFYDRDRRGYGGGGSRGSFNDGYGDEGYGDAREDFGGYDNGDRFSSGRDGAGFRGDFHPGRWFAGSGGPPRYGDGGGNRRRYEFRVGRGSAGRGHGVPPARGRGGGASRGGGGGTGTGAASSSAGATAMAETGAANASKAVSGEGQAAATATAPMVAAAPASAGLVAKSLGAATTSQTGGGAATRWRNAADGNISYCYRRSRDVRGVQAGQKS* >Brasy6G153500.1.p pacid=40050356 transcript=Brasy6G153500.1 locus=Brasy6G153500 ID=Brasy6G153500.1.v1.1 annot-version=v1.1 MKQNLDNDLCGLNKRNQRKIDWRALPHAPFSENQTCHWCLPLQDPSLAIGFYAVK* >Brasy6G088300.1.p pacid=40050357 transcript=Brasy6G088300.1 locus=Brasy6G088300 ID=Brasy6G088300.1.v1.1 annot-version=v1.1 MMSMLALVLVLLLRLGGEVAWAQDDGQFAYQGFSGANVTLEDSATVMPNGLLSLTNSTYQTESKGYVFHPTPISFLTKPTATTTNTTAVARSFSTSFVFAIDAGFGGLSSYGLAFVVSSTTDFSTASSGKYMGLLNATNSTGSDRILAVELDTVMNPEFGDINSNHVGIDVNSLISLQAKPAGYYSDNDGAFRNLSLSSRTPMLAWVDYDGQAKQLNVTLAPMQVTKPKTPLLSEAIDLSKVMADTMYVGFASSAGIILTTRHYVLGWSFSLDGPALPLDFSKLPALPPVGPKPRSKVFDVVMPLATALLVAAVLAAIFFFLWRRHRFAEVKEDWEDEFGPHRFAYKDLFLATNGFKENNLLGIGGFGRVYKGVLPTTNLEIAVKRVSHDSKQGVREFIAEVVSIGRMRHRNLAQLLGYCRRKGELLLVYDYMVNGSLDKYLYNRNGMALHWHERYRIIKGVASSLLYLHEEWEQIVIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGTVAKTTHVVGTMGYLAPELVRTGKATPLTDVFAFGIFLLEVTCGHRPISIDENNNQVVLVDWVLEHHHNGSVLDVVDRKLLGNFNREEATLVLKLGLLCSHPSPNTRPSMRKVMQYLDGGQSAPDLSPTYMSYSTMTMMQNEGFDSYISTCPPSETSILTVSGESSVSALLDGR* >Brasy6G211200.1.p pacid=40050358 transcript=Brasy6G211200.1 locus=Brasy6G211200 ID=Brasy6G211200.1.v1.1 annot-version=v1.1 PFQKRPRSKRAVPNLLDLKKHPTSPPYRAPRPQAAASLTRPWAGSLPHRRLLSPRRLLRAFPAVELLDLSACASLDHASLAAAAPLEERWGQPVTVSSAALGFPRLAGVSCAV* >Brasy6G211200.4.p pacid=40050359 transcript=Brasy6G211200.4 locus=Brasy6G211200 ID=Brasy6G211200.4.v1.1 annot-version=v1.1 PFQKRPRSKRAVPNLLDLKKHPTSPPYRAPRPQAAASLTRPWAGSLPHRRLLSPRRLLRAFPAVELLDLSACASLDHASLAAAAPLEERWGQPVTVSSAALGFPRLAGVSCAV* >Brasy6G211200.3.p pacid=40050360 transcript=Brasy6G211200.3 locus=Brasy6G211200 ID=Brasy6G211200.3.v1.1 annot-version=v1.1 PFQKRPRSKRAVPNLLDLKKHPTSPPYRAPRPQAAASLTRPWAGSLPHRRLLSPRRLLRAFPAVELLDLSACASLDHASLAAAAPLEERWGQPVTVSSAALGFPRLAGVSCAV* >Brasy6G211200.2.p pacid=40050361 transcript=Brasy6G211200.2 locus=Brasy6G211200 ID=Brasy6G211200.2.v1.1 annot-version=v1.1 PFQKRPRSKRAVPNLLDLKKHPTSPPYRAPRPQAAASLTRPWAGSLPHRRLLSPRRLLRAFPAVELLDLSACASLDHASLAAAAPLEERWGQPVTVSSAALGFPRLAGVSCAV* >Brasy6G110200.1.p pacid=40050362 transcript=Brasy6G110200.1 locus=Brasy6G110200 ID=Brasy6G110200.1.v1.1 annot-version=v1.1 MNEKASVSKELNARHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFVCMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANGYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSRLPSGARDEKSSESQTSHANRGGHGQRSSFEPHRASPAAAPKIAPVASRMHTQASPQAKAELSVPKVASPPQPAKSPAKVQVAPPKVDQPSVAAPPKVDYATDLFDMLSMNETTEKEPESSANDNNGWDGFQSAEPVPSSVKKDAAKPVEGKAQSTSGIEDLFKDSPALGLSSAPAVSQTNPKTDIMSLFEKSNMVSPFAIHQQQLAFMTQQQAFLMAALKAGNAPQMVPGNGGLLSTNGSNAPNGSLPSQSWPNLGYQNPAATPAAVPQNGVAKAGNNNQDFSSGNFGFGAPVVYNNMSSAVPANGATTANKSTSSPTSSTLPSQSGKEYDFSSLTQGMFSKR* >Brasy6G010900.1.p pacid=40050363 transcript=Brasy6G010900.1 locus=Brasy6G010900 ID=Brasy6G010900.1.v1.1 annot-version=v1.1 MAPGRFGAGSGHIRPGPGHPPRDPDPTPGLKFYQTRPRSGLGYPAGIPDPRAAASNERRRAVGIRRRGLEETAPGRRDPSAGAGGEALAGGEERRWQTARRGAGTSGSVGGGWRRGAGGRRGEALAGGGGVVGTGRLGSRGDGDWLRPFVGS* >Brasy6G113000.1.p pacid=40050364 transcript=Brasy6G113000.1 locus=Brasy6G113000 ID=Brasy6G113000.1.v1.1 annot-version=v1.1 MGQLSRVWVAATVAAVRGQREKAAGARDRLAGLAPQAAALGAARAAADDGRRQAGADESLRKAMYLSCWAPS* >Brasy6G199500.1.p pacid=40050365 transcript=Brasy6G199500.1 locus=Brasy6G199500 ID=Brasy6G199500.1.v1.1 annot-version=v1.1 MEPRMPRVSRLRRLLVRVSAAPERLAAGDRDREEKSPAPETEVGSAGLDRMVRSFMEDAATPAVERGPPRGRCGNCCFNGSAANYDGSDDDEEDFVFDFLPSVSGSGQSASSAAVKDTLEALKGLVQSASMAERNLLADASRVAERCRGKQKKKADLRLAVADGLRALGHDTAVCKSRWEKAPSFPAGEHEYIDAMVESGSELDTARLIVEVDFRAEFEVARPTKAYRAVLQALPAVFVGTPDRLGRIVAVVAEAARQSLRKKGLSFPPWRKPEYMRAKWLSPHARKQQPPPAATPVSAASFTGELELRFDGETKGHDSGGGGVAQKITLVVSPSPWRPVEPEAATKKKRPVIAGLTSVL* >Brasy6G203600.1.p pacid=40050366 transcript=Brasy6G203600.1 locus=Brasy6G203600 ID=Brasy6G203600.1.v1.1 annot-version=v1.1 MSFIHMKSCSKRHSSSISFVMLMWLLVIANLCIICSAQKQVLLPGFSGSANRYIDINGKFLLSNSSVFGFGFTISSVSESTSYLLSVVHLASASIVWTANADSPVSSSDNFVFDKDGNAYLQSAGSTVWTANISCKGTSIQLLDSGNLVVLGKNSTSPLWQSFSYPTDTLLSGQSFIDGMTLVSQLSTQNISNTLGITSGDMVLSAGFQEPQPYWSALQDEKLIVAKNGDIYSANLSSASWDFYDQSGSLLLQLVIAQKIDGNGTLAAVLGNDGSISFSILSNAGGRSTTLATKIPSDSCDMPSQCNPYSICINRTACQCSSALRSYPNCDPGLISPCNSKEEFQLVQLDSGVGYIGTNFASPVAKANITGCKDACMGNCSCLALVFEEISSNCFLFNHVGSLQKKGTRGKVFTSFVKVSNTNNGSAQGGRHTVITVVTIVGLLATIGVLVCVGFCIYWRTNRRRHSPSQDDGFLQTISGAPMRFTYTELQVATNNFSDKLGQGGFGSVYLGALPDGSRIAVKKLERIGQGEKEFRSEVTIIGSIHHIHLVKLRGFCVEASHRLLAYEYMPNGSLHRWIFNTTGDAPLLLDWDTRFHIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFHAKVSDFGLAKLMSREQSHVFTTLRGWITNHSISDKCDMYSYGIVLLEIVSGRRSFDPHEASERAHFPSFAFKKLEEGEVTGIVDAKMNYDDGDDRVEIAIKVALWCIQEDFNQRPSMSTVVQMLEGLCEVPQPPTSSQIGCRLHENAFKVTDTLVSAVQLSNPR* >Brasy6G093800.1.p pacid=40050367 transcript=Brasy6G093800.1 locus=Brasy6G093800 ID=Brasy6G093800.1.v1.1 annot-version=v1.1 MGAARRGSAMAVAALAAAAMWAGMAAAASYEVGDKLGWTIMGNPNYGAWANSKKFHVGDTIVFTYNKQFHNVMAVSKADYKSCDVTKPMATWSTGKDSVVLNTTGTHYYLCGYPGHCGMGQKVAVHVSAASSDAPSAAPSMAPAPATSGGTAGRAAAAAPSPNKNAAPSTVGCSVALAVAASVLSVAAAGLNLL* >Brasy6G207900.1.p pacid=40050368 transcript=Brasy6G207900.1 locus=Brasy6G207900 ID=Brasy6G207900.1.v1.1 annot-version=v1.1 MNTMTRDHIRKREDEDDDDMMFLIFPLLYQLAKRRERRSRNLYLLTGEERVRELLEGHVKNCQVAFRMEPHIFKSLANYLRWEKLIRDTRIKVIVLITILVTVLITLLVSVKGKHMNYDLFIFLVNKFLTLS* >Brasy6G001400.1.p pacid=40050369 transcript=Brasy6G001400.1 locus=Brasy6G001400 ID=Brasy6G001400.1.v1.1 annot-version=v1.1 MGTYKCCFCFRRRYRWMDAPPPDDVRFLFAHHSGGAATMGADGLRRYLDSKGAHGAEDDDTEAAAARLLDQIRHGQRGARIPRVGRPLIALEDFHRFLFSDDLNPPIRRPQVHHDMAAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQRGVRVIELDMWPNSTRDDISILHGRTLTTPVSLLKCLRSIKEYAFVASPYPVIITLEDHLPPHLQDKVAKMVLEVFSSILYYPDTEHLKELPSPEDLKGHVLLSTKPPKEYLEAKAGGTIKDGDADPSIGKGAGEDAAWGKEVPDFKTEIQSAKQEDDVSEHHREDEDDDDDEEEEEEQKMQQHLAPQYKHLITIKAGKPKGTMADALRCDPDRVRRLSLSEQELAKAVVHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQSDVFDPKKLQPVKKTLKVKIYTGDGWLMDFKHTHFDQYSPPDFYARVGIAGVPADSVMKKTRTIEDNWVPVWEEEFAFDLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELQPGIRAVALFDRKGNKFNNVKLLMRFEFV* >Brasy6G202100.1.p pacid=40050370 transcript=Brasy6G202100.1 locus=Brasy6G202100 ID=Brasy6G202100.1.v1.1 annot-version=v1.1 MPKVEPASAEGGQAEAADTMACVKDSANPEGAEAEEQGEEEDDAIVDAESELEAEADAGAVGSMEDSAVPEEGGAEDEAEERKGEVLLDAAAGAAGSVDGSVKPEGDGAVEGAAEEHRDDALVDDQVKTEDLGTESAETKDEIQGNEGGKMKYDTQGNEAAEMEVDKAGHGDGHTEAKVDSDNGSSPKGEGNNDGDTRKDVNGENQLVPAPAGVDGEGQNLSNKVSDNSFMFDYTLGGDDSGTEEEQAEFLKELERFHTEKLLEFKAPKFYGEGLNCLKLWRQVTGLGGYDQVTTNKLWRQVGESFKPPKTCTTVSWTFRNFYEKALIEYEKHKIETGEFHVAASTLTDRIVSDSQVGGSNAGSGRARRESATRAMQGWHSQRLLGNGEIADPVIKDKAAALSVPKKDKTPKSSGSAKRKRTPTLEDERVMPYKSEKLQNDSSVIDIGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSKS* >Brasy6G237600.1.p pacid=40050371 transcript=Brasy6G237600.1 locus=Brasy6G237600 ID=Brasy6G237600.1.v1.1 annot-version=v1.1 MPQVSPMPHKKHKCSITCQILFLGLNLASFTIANDQFVYSGFAQANLSLDGAATITPDGLLELTNGTFNLKGHALYPSPLHFRRSPSGYVQSFSITFVFSILSAYPDKSADVELDTIQNSEFQDINDNHVGININSLHSVQSHDAGFYDDKTGMFKNLSLISREVMQVWVEYDGWTRKVDVTLAPIKMAKPKRPLVSTIYDLSTLFIDTAYIGFSSATGVINSKYCVLGWSFSMSKTAPGVDITKLPKLPHVGLRPHSNVLKIVLPIVIAALILIAGIVVILFARRKLAYTELREDWEMEFGPHRFPYKDLFLGTQGFNNKNILGAGGFGKVYKGTLPTSKLEVAVKKLSHESKQGTKEFITEIVSMGRLRHRNLVQLLGYCRGKGELLLVYDYMPNGSLDKYLYCEQEMPSLDWAKRFNIIKGVASGLLYLHEKWDKAIIHRDIKASNVLLDSELNGRLGDFGLAKSYDHGTDPHTTRVVGTMGYLAPELVRTGKPSPLTDVFAFGVLLLEVTCGQRPIKNNARGDQCMLVDWVLENWQKGSLAETIDQRLQGKCKIDEACLVLKLGLLCSQPFASARPTMHQVMQYLNGDMPLPEFTPTDMSFSMLTLMENREFDPSGVTNPQLMTSIATLSSPSEGR* >Brasy6G024100.1.p pacid=40050372 transcript=Brasy6G024100.1 locus=Brasy6G024100 ID=Brasy6G024100.1.v1.1 annot-version=v1.1 MRRTTTKRARPRLRAGQASRSGDPDRLSALPDALLHHIMSFLKAWDVVRTCVLARRWRHLWASAPCVDLRTRYRYSRRGHDPPREFRDFVYSFFLFRDVSVPVDTLRLRSSDEDVGFNDDHASTWINVAIKRNARVIHLAGHRRETASLELVSFASRRLRILKLSYASLDARILLQLSSNCTSLEELDLKDCLVASGEIVSASLKTLILLKCKIKCDFSIAAPNLILLCVTTPYVRVPSFKNLGSLVSGTIILDDSFLGYDYEHISDKDDCDGTTDDDDDKDDYDDDDDNYNIHDDSSLSDDDFGYISDDDDGIDKFGYGHGFPIHGYGRGGYKDDYNYGSDIESDDNTYEYSEIANDPKYGYDPKYGYKGQGLDSSTAGNYDESSGFNDRKILGGNRSLHSLSSARSLEFLTDAGEVVLSRELKTCPTFSNLKTLSLGEWCMAADFNALIFLLQHSPNIERLFLQIKLNYGTRKALETGIKLQQRSFTCKDLRMVKIKCSKDDARVHKLAHLFRVNGLPPEKIYVRRSGNAFLRSQKFMRELARQELEEDWM* >Brasy6G081800.1.p pacid=40050373 transcript=Brasy6G081800.1 locus=Brasy6G081800 ID=Brasy6G081800.1.v1.1 annot-version=v1.1 MLLHATLLIFHVIFVDVKSLESAIAEPASPSLNAPRQQLNGDGARLRWSLHLTARGDDTNEEEHRGHIRSEGTRKRGALARSSWAGIAIGLERVLADRRRIEI* >Brasy6G050500.1.p pacid=40050374 transcript=Brasy6G050500.1 locus=Brasy6G050500 ID=Brasy6G050500.1.v1.1 annot-version=v1.1 MDMSAIAARLGLSGSRPVVRKAAELRRLCDITFDSSVLGIGEVCKAIICLEIAATKFQVVFDRAEAVRMSGMSDKAYIRSFNSLQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLALYKERFLAALPPSRRSSTDFGRPVFTAAAFYLCARRHKLKVDKLKVIDLCGTSSSEFATVSTSMADLCFDVFGIAKEKKDPTSIKGNRELLDVLPSKRRHEDDSDNSSDESSGDDQDELDLPTHKRHKKMEKEAYNQWKSSVLSTNKQTKPDPRKPRKQGRLNFQKKPSDAPLEVSSSAAN* >Brasy6G050500.2.p pacid=40050375 transcript=Brasy6G050500.2 locus=Brasy6G050500 ID=Brasy6G050500.2.v1.1 annot-version=v1.1 MDMSAIAARLGLSGSRPVVRKAAELRRLCDITFDSSVLGIGEVCKAIICLEIAATKFQVVFDRAEAVRMSGMSDKAYIRSFNSLQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLALYKERFLAALPPSRRSSTDFGRPVFTAAAFYLCARRHKLKVDKLKVSTSMADLCFDVFGIAKEKKDPTSIKGNRELLDVLPSKRRHEDDSDNSSDESSGDDQDELDLPTHKRHKKMEKEAYNQWKSSVLSTNKQTKPDPRKPRKQGRLNFQKKPSDAPLEVSSSAAN* >Brasy6G115800.1.p pacid=40050376 transcript=Brasy6G115800.1 locus=Brasy6G115800 ID=Brasy6G115800.1.v1.1 annot-version=v1.1 MSNLPAVASAVDERDIELGRLNTNAASDLQDLFRQVKEFEMLLDNTAVMVRRLKEANREFRSVTEASAVKAIKERMERNMDEVWKMAHTMTEKLKKMILDSFENRKDAWCEIHGCGTMTMGRSSMRTVIALKNKLQDIKNEIQNLRNTIREEYQEVVQIRIFAVSGTKLSDEVIRVIEVGSIVQILENAHQGIIGREKIIAATVAEIEERHAAVMDFDKKLIESHKIFAGLEERIIAQERARKAVSMAVKKVRRIETRLRDADEELRAEMKDNNAMLFTLVAVLLLLLFFGLWFFVAAK* >Brasy6G252900.1.p pacid=40050377 transcript=Brasy6G252900.1 locus=Brasy6G252900 ID=Brasy6G252900.1.v1.1 annot-version=v1.1 MLMGVFLEAKAAWYASLSDVLAAEALACRDGALICKIRTDDKVILETDRKVSIKFWMTRKKNRAAILLILKEIEEIRVFYCFWLVLNLLGVRQILQPIKCLNMCM* >Brasy6G039000.1.p pacid=40050378 transcript=Brasy6G039000.1 locus=Brasy6G039000 ID=Brasy6G039000.1.v1.1 annot-version=v1.1 MGAGRASRGGPSSSAAAPAPANGLAAASGRLFPPIAPPPPAPSYPSPSSSSPAAAPPAETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFTVGGFEWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSGLETSDYLKDDCLLVNCTVGVVQSHTEGPKIYRIPVPQSNMSQHIGHLLTSGKRTDITFEVDGEMFPAHKVVLAARSPVFRAQLFGPMKDKNMKCIKIEDMEAPVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLSELKLCEVVAINTVANTLALAEQHHCYQLKTVCLKFVALPENLKAVMQTDGFDYLQQSCPSLLTELIEYVAKVGEHIVSPCLYSNEVLDGGDANGRRVKPRI* >Brasy6G183300.1.p pacid=40050379 transcript=Brasy6G183300.1 locus=Brasy6G183300 ID=Brasy6G183300.1.v1.1 annot-version=v1.1 MAGYICLKHLHRQKNRLQEHNASSNFSADEPAHAADQDVFGGFFNEIATGAITFSSLLMSSQMPATE* >Brasy6G006700.1.p pacid=40050380 transcript=Brasy6G006700.1 locus=Brasy6G006700 ID=Brasy6G006700.1.v1.1 annot-version=v1.1 MGRRVCLAVAAVMVATVACGLGARVAPGDKNIYRCRWDIVWQHHHIDSREVRGRVPGGREQPGHGDDQAGKSETHGDGGGPGGGRSCAGGAGGAAHRDIYRDQYYIKPSPPPPNQPLAPAPQPPANS* >Brasy6G038200.1.p pacid=40050381 transcript=Brasy6G038200.1 locus=Brasy6G038200 ID=Brasy6G038200.1.v1.1 annot-version=v1.1 MGAAATGNAAVGDAERGSRGRAPAPGPEEREVKVVVVDEQQAQGPATARMLQAQRPPAPLQVTTQAPPPPMSVASGGADPPPVATYQPVLQTPPQPLASLNSRVYTNQISLCLFLLHLAAAGFAVGFFVFHAVKEIVQHPRSRSARVERSLLREWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVRVILWSGFGVTLSVGAMLMCFSMPATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFECAVRPVDKFRGLNGPAYVMVAAGFVWISVWCVAVIGAANFRFAGLTILGLVVSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLNVMNAVFTYGNSWAFVHIAAYGRGFVQASRSTWWQFERQPGMAALADADITSSVCFLTGVTSGALCVALAGSWAYATHKHYTATVSLLAFYVGYLMTRIGMALPQACVGCYYVCFAENPGSRLLDSTIPDQLRKMQHVEKDAFVPTPRFPAQQQQPHVSAA* >Brasy6G186900.1.p pacid=40050382 transcript=Brasy6G186900.1 locus=Brasy6G186900 ID=Brasy6G186900.1.v1.1 annot-version=v1.1 MDRSCGGRRTRWRRPAPSFDTNRSRRVAAGDAQHHDDHQHQQHLRQGSVTWHLPIHRSTLLSLSVCISRTQPPPHARTTPLPPPSSSPSSTQAAAPLPSYLPSASPVSLPPSRSTWSSSSGTAPFLFYVEQQPRRCTVAPDPPVTTQPGTGSALPWPSSSRIRPPPPHSRPFRPRSTPPPPVPFSHSPAGLTSSGALVHPCMWRSSSTDGLEHRRRRVEEHWR* >Brasy6G174700.1.p pacid=40050383 transcript=Brasy6G174700.1 locus=Brasy6G174700 ID=Brasy6G174700.1.v1.1 annot-version=v1.1 MARHRLSAGGGGLLAALLVAVVAASAFQQAAAAGRGLAGVEPEPKPMPQPEPKPEPKPMPKPEPKPMPKPEPKPEPKPEPMPKPEPKPEPKPEPKPEPMPKPEPKPEPMPKPEPKPEPKPEPMPKPMPKPEPKPEPMPKPMPKPEPKPEPKPEPMPKPEPKPEPMPKPMPKPEPKPEPKPEPMPKPEPKPEPMPKPEPKPEPKPEPMPKPEPKPEPKPEPMPKPEPKPEPKPEPIKPEPKPEPMPKPEPKPEPMPKPEPKPEPMPKPMPKPEPKPEPKPKPMPKPEPKPEPKPEPMPKPEPKPEPKPEPMPKPEPKPEPKPEPPPKRKPPTTDG* >Brasy6G224000.1.p pacid=40050384 transcript=Brasy6G224000.1 locus=Brasy6G224000 ID=Brasy6G224000.1.v1.1 annot-version=v1.1 MATKQSLSLLALLAVAAAACLLPSASAATEFEYCKKHKHYPVKVSGVEIVPDPIQSGKPATFKISASTDKTITKGKLVIDVKYYVIAWLVDVHSETDDICEKTNCPATGEFELSHGQTLPSITPPGSYRIEMKMLGDNDEELSCISFGFSIGFIAPVALR* >Brasy6G126400.1.p pacid=40050385 transcript=Brasy6G126400.1 locus=Brasy6G126400 ID=Brasy6G126400.1.v1.1 annot-version=v1.1 MQRFSLRILRCSILKGSPISLKKNALLYIPVQSLIICSSSFQSIIKHPDLNTHAPIMDYLPIAVALVLTAASCIAIHLLTRAMNKKPQPANLPPGSLGLPVIGQSLGLLRAMRSSNGDRWVRDRIDRYGPVSKLSLFGTPTVLLAGPAANKFMFFSSALTTRQPRSVQRILGEKSILDLHGADHRRVRGALLEFLRPDMLRMYVGRIDGEVRHHLEENWAGGRDTVTVLPLMKRLTFDIISALLFGLERGAVREALVGDFSRMIEGMWAVPVNLPFTAFSRSLRASGRARRALEGITREKKAKLLEHGKKEASGNNDLITCLLSLKDDHGQPLLTDDEIVDNSMVALIAGHDTSSILITFMIRHLADDPATLAAMVQEHEEIDKNKADGEALTWENLTKMKFTWRVAQETLRIVPPIFGNFRRALEDIEFEGYHIPKGWQVFWTANVTHMDASIFHEPAKFDPSRFENQSATAAPPCSFVAFGGGPRICPGIEFSRIETLVTMHYLVRQFSWKLCCKENTFVRDPMPSPLHGLPIEIKQRTSP* >Brasy6G000100.1.p pacid=40050386 transcript=Brasy6G000100.1 locus=Brasy6G000100 ID=Brasy6G000100.1.v1.1 annot-version=v1.1 FTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWRTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGRPGKKEATETEEAEDAETGKEAEAAPNEDAAKETDVEMKPAEEAKEAPAETEDTEKAADKADHAPAPAPMEEDKKETEKPAESAVAPAPTEEKKEDAKPAEPEAVAPAPVSNPTENSAPAPAEPAAVPAPVSETKPGAEPAAVAAPAPETKPDAEPAAVAAPAPETKSDAAATDPAPGTKADAAAADPAPGAKPDAAAPVENSADKGGSQESQPVNNGQLPHSTVKCI* >Brasy6G183000.1.p pacid=40050387 transcript=Brasy6G183000.1 locus=Brasy6G183000 ID=Brasy6G183000.1.v1.1 annot-version=v1.1 MLIRPLPVVVFYLRKCNDFFSMCVLQFQDGIHTIEACFHRFACWFTVFYKKEVSPSLLSLLVPNWQEVTCVWLGVCLLNVGIGINFFVLWCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >Brasy6G183000.4.p pacid=40050388 transcript=Brasy6G183000.4 locus=Brasy6G183000 ID=Brasy6G183000.4.v1.1 annot-version=v1.1 MCDLVARTGRLQQRYEDGRRLVAGWVSSGRTPALFVLFSCFPPPLLCLQSDSIGLVGRCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >Brasy6G183000.2.p pacid=40050389 transcript=Brasy6G183000.2 locus=Brasy6G183000 ID=Brasy6G183000.2.v1.1 annot-version=v1.1 MCDLVARTGRLQQRYEDGRRLVAGCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >Brasy6G183000.5.p pacid=40050390 transcript=Brasy6G183000.5 locus=Brasy6G183000 ID=Brasy6G183000.5.v1.1 annot-version=v1.1 MFICFAFFDTCCICRCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >Brasy6G183000.3.p pacid=40050391 transcript=Brasy6G183000.3 locus=Brasy6G183000 ID=Brasy6G183000.3.v1.1 annot-version=v1.1 MCDLVARTGRLQQRCIPFRYRDNNDETSGGEQKRLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQFLGFYNFKSKTHQDEFCPEGMCRAAIFALHVKEELASWPEQSIRQRSWLTVPEAAERSRYPWVQEALVTGFSAWHDKWSEAGSTADPSSR* >Brasy6G132300.1.p pacid=40050392 transcript=Brasy6G132300.1 locus=Brasy6G132300 ID=Brasy6G132300.1.v1.1 annot-version=v1.1 MALAPSTATRQVEDAVMASLRACASFRDLLRVHAHVVRLSLSQSSYLATQIVHLCNAHGRVAHAARVFSQVREPNLHLHNAMIKAYAQNHRHLDAVKVYVRMLRRRPFPWISCAGGDRFTYPFLLKACGGLMALELGKQVHGHVVRSGCESNAIVQNSLIEMYTRAGDLVLARKVFDGMQERDVVSWNTVISAHARLGQMRKARAVFNSMPDKTVVSWTALVSGYTAAGDFSGAVEAFRSMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCNRHQMLLKAYVCNALIEMYAKCGCIDQALQLFNGMAEKDVISWSTMVGGLAAHGRAQEAVRLFTEMERQGTVRPNGITFVGLLSACSHAGLLDEGLDYFDRMKDVYGIEPGVEHYGCIVDLLCRSGQIQRALNLIGDMPLPADAKIWGSVLNACRSHGDVDTAVLAAERLVALEPDDVGNLVMLANVYAAARRWSEVANTRKVIRSRSMRKTPGCSLIEVDNVVQEFVAGEDLKPEFGGLVGVLDILASQLAEDDANFNDSICLDDAQMSAADW* >Brasy6G094200.1.p pacid=40050393 transcript=Brasy6G094200.1 locus=Brasy6G094200 ID=Brasy6G094200.1.v1.1 annot-version=v1.1 MELTNPFRHKATALVAALVLAAAAARASGALDAAAEPDDNEAALLAVKSALGDPPALSGWNSSAGFCSWKGVHCNATTLHGRIPEFLGPGALPGLTFLRLDGNRLSGAIPPTLTVPSLQLVGNRLTGPLPATFADADFFQVDLEDNHLSGDASMLFGAKKRLAAVLLSRNRFAFDLGRVELPEAMDALLIDHNMVYGSIPAAAAARKWAAFDVSYNRLCGPIPQARYTHRFGGRHFAGNKCLCGRPLPPCTS* >Brasy6G027800.1.p pacid=40050394 transcript=Brasy6G027800.1 locus=Brasy6G027800 ID=Brasy6G027800.1.v1.1 annot-version=v1.1 MGNRRQNYGQAFGPSLRKPSRKKKTKLWNKCVKEKKRTEQFYKESLPDNTRVVVQTRGSVPSKYRSNTGTICSQKNIKESRPSSSRLDNGMISPQSYIEKPRSSSSMPDNVRASPPNNIENPRASSRGSNNRAVSLRKNTELSSRLNKAPTSSHKNIENPRPSSSSLDNGSLPMPRNTKVPRPSFPRPNNGMVSQPKDNEKSRRSQLRDGFSSGMEKLAFTGSKDGSNSKSNNSKKLHPSLMVRNSCNAGQMVSVHARKEDQVIRNHRRNLGDNSFPKIGKDLSTHQTVKAPSMGNNIELNAKSKRDDKFKRKRIEPLSEEELETPMMRTEPHEDHDSEEPENCKPVKRTRRYICNDDNDDDDADQNLMGVEGGTAPLTTQTTVLNSTEVSNTFVSESFKLQQYGDLPIDEPIWSGVFKIGNKYVPLAAHLSVKHCEKVWKISRSLQPRVEVTKLYRLEAWPRSFKASGPTDDSIALYFLPHEMRQDAELDKLVKEVMENDMVLRAVVGEAEMLIFPSILLPERHQTFKGKPYLWAVFKRREDKVVTPTLHEEQHGIGCRAQEEEKHASPRREGHQVAGLNMHGGLEAPEEAETQVTEQEQTPSSARPIAAEPAEGTACPAPTPTMPAAMPATGGQIHPCFGAPTGALFGFIAGQTPRLEQLIKEMQSEGALVVAMRTEMIGSGLGQTTAK* >Brasy6G027800.2.p pacid=40050395 transcript=Brasy6G027800.2 locus=Brasy6G027800 ID=Brasy6G027800.2.v1.1 annot-version=v1.1 MGNRRQNYGQAFGPSLRKPSRKKKTKLWNKCVKEKKRTEQFYKESLPDNTRVVVQTRGSVPSKYRSNTGTICSQKNIKESRPSSSRLDNGMISPQSYIEKPRSSSSMPDNVRASPPNNIENPRASSRGSNNRAVSLRKNTELSSRLNKAPTSSHKNIENPRPSSSSLDNGSLPMPRNTKVPRPSFPRPNNGMVSQPKDNEKSRRSQLRDGFSSGMEKLAFTGSKDGSNSKSNNSKKLHPSLMVRNSCNAGQMVSVHARKEDQVIRNHRRNLGDNSFPKIGKDLSTHQTVKAPSMGNNIELNAKSKRDDKFKRKRIEPLSEEELETPMMRTEPHEDHDSEEPENCKPVKRTRRYICNDDNDDDDADQNLMGVEGGTAPLTTQTTVLNSTEVSNTFVSESFKLQQYGDLPIDEPIWSGVFKIGNKYVPLAAHLSVKHCEKVWKISRSLQPRVEVTKLYRLEAWPRSFKASGPTDDSIALYFLPHEMRQDAELDKLVKEVMENDMVLRAVVGEAEMLIFPSILLPERHQTFKGKPYLWAVFKRREDKVVTPTLHEEQHGIGCRAQEEEKHASPRREGHQVAGLNMHGGLEAPEEAETQVTEQEQTPSSARPIAAEPAEGTACPAPTPTMPAAMPATGGQIHPCFGAPTGALFGFIAGQTPRLEQLIKEMQSEGALVVAMRTEMIGSGLGQTTAK* >Brasy6G027800.3.p pacid=40050396 transcript=Brasy6G027800.3 locus=Brasy6G027800 ID=Brasy6G027800.3.v1.1 annot-version=v1.1 MGNRRQNYGQAFGPSLRKPSRKKKTKLWNKCVKEKKRTEQFYKESLPDNTRVVVQTRGSVPSKYRSNTGTICSQKNIKESRPSSSRLDNGMISPQSYIEKPRSSSSMPDNVRASPPNNIENPRASSRGSNNRAVSLRKNTELSSRLNKAPTSSHKNIENPRPSSSSLDNGSLPMPRNTKVPRPSFPRPNNGMVSQPKDNEKSRRSQLRDGFSSGMEKLAFTGSKDGSNSKSNNSKKLHPSLMVRNSCNAGQMVSVHARKEDQVIRNHRRNLGDNSFPKIGKDLSTHQTVKAPSMGNNIELNAKSKRDDKFKRKRIEPLSEEELETPMMRTEPHEDHDSEEPENCGTAPLTTQTTVLNSTEVSNTFVSESFKLQQYGDLPIDEPIWSGVFKIGNKYVPLAAHLSVKHCEKVWKISRSLQPRVEVTKLYRLEAWPRSFKASGPTDDSIALYFLPHEMRQDAELDKLVKEVMENDMVLRAVVGEAEMLIFPSILLPERHQTFKGKPYLWAVFKRREDKVVTPTLHEEQHGIGCRAQEEEKHASPRREGHQVAGLNMHGGLEAPEEAETQVTEQEQTPSSARPIAAEPAEGTACPAPTPTMPAAMPATGGQIHPCFGAPTGALFGFIAGQTPRLEQLIKEMQSEGALVVAMRTEMIGSGLGQTTAK* >Brasy6G081100.1.p pacid=40050397 transcript=Brasy6G081100.1 locus=Brasy6G081100 ID=Brasy6G081100.1.v1.1 annot-version=v1.1 MFSFLSLYDGQSFSSRSRNPKFFEREDTEPKVDHQISRRRRRKAGQKGKTGQAARSDRGKKRREAQQHNPTRPHRTAPPPAPHRWIGGSRPSLPATPARPPRARPPLPCPSPRLPAAPSRIAARAEEASPKRGGVVRRAREPWRCSAAASPSGRRGGAGPPPRRCPRRRAQR* >Brasy6G031000.1.p pacid=40050398 transcript=Brasy6G031000.1 locus=Brasy6G031000 ID=Brasy6G031000.1.v1.1 annot-version=v1.1 MVSLAAAPAFFHSPATRIPSASSTRPYLQCRRGEEARPRAAEAAQAAAAEEEEKPRRFRWDGLGSELSEHQEQAIRGLSPKLPNRCRALMPRIVSLSPEDENLGMVLAFWAKAMNPRRVYWFLVLKELRAMESPLLAEVLEYALLEDSFEANVRDYTKLVQIYGKQNQLQEAEKAFRAMKARGLPCDQVMLTALVDMYSKAGDLTRAKEAFEDIVMLGLPLDKRAYGSMIMAYIRADKLGQAEDLIKQTEDQEIFAGKEVYKALLRAYSYKGDSDGAQRVFDAVQFAGTVPDTKLCALLVNAYCLSNQIDEAICVTRNMRSAGLEPCDRCVVLILGAYEKANRLEGALEFLAEIEENGAVIGQEPSQLLAGWFGRLGVVHEVEQVLKEGRKSMENRHSSSVQKDGRNSRKSKQCVSVQKEGRKSRRSKHSIPLPLQQN* >Brasy6G081200.1.p pacid=40050399 transcript=Brasy6G081200.1 locus=Brasy6G081200 ID=Brasy6G081200.1.v1.1 annot-version=v1.1 MSSKAPTCPARSPPGAGTSSSRETTGLDSSNSEAFSVLACTTEPRPDHGRRGLGDGATAWRSREMHVY* >Brasy6G171400.1.p pacid=40050400 transcript=Brasy6G171400.1 locus=Brasy6G171400 ID=Brasy6G171400.1.v1.1 annot-version=v1.1 MAYFLQSFFADNNRRHDRRLEMARVQQLHQVRSFGTLFSAFSSPSPGSRSSAWPLERVDEGRTQHQVRRWREIGRRPAAAEGAREAVCGGGDPSGGILRRRTGREGERENSDGN* >Brasy6G194100.1.p pacid=40050401 transcript=Brasy6G194100.1 locus=Brasy6G194100 ID=Brasy6G194100.1.v1.1 annot-version=v1.1 MVHHFVSLEESATSRAGTEAAGGRRRRGEEQRAAGRGRAAYGRRRRQEAREHPAAGHGRRSRRGRRHGGAGGGVRDQSAAGLGRATEGGDTEEPAKGRRTSRRRGSGGGARRSSGGGGAEPEKGRQPWRAAARMSSGVARRSSGGGEQKRREGDEL* >Brasy6G196200.1.p pacid=40050402 transcript=Brasy6G196200.1 locus=Brasy6G196200 ID=Brasy6G196200.1.v1.1 annot-version=v1.1 MSRRSLSISRRSSALPSWVLLRDVVPVFPCDVKVQELEILGHAKKQPIAGDEDDLATWILRAIKLDAHLVHPPEISTLSLRADFNELKRDIAGGVLSVEDNLIVITLDAIHGCDQLRYFLVHDATKNSLSLIPDIAEKFPEQSLKFTYLPVALRLDDCSYALADLGFENKFAQQELLPMSGTLFQWSSTSPGKWTSTNLRFHRHMRPPRKQWHSHFFTADVNFTFEGKAFWVDLLFGAVFCDLQSDTELRFVRLPRECECAYNRRGVPANHCRGVPTNYRTGRVGDSIRFIDISDLNGCHPDEDDHQPSKIVVNTWTLSLDQGWWDRDCDMTLPLPKLWKSESFKQRRLPPCVPKSPVLCPSRDGFVFFVLGDYYLDRHGEMLSRGEFLINVDMRRKTLISSSHLPLSSGLHMPYEEPEELSPGVGFYPDVPSICGGMFSWDDKTLAKAAEKKRKHF* >Brasy6G033400.1.p pacid=40050403 transcript=Brasy6G033400.1 locus=Brasy6G033400 ID=Brasy6G033400.1.v1.1 annot-version=v1.1 MAFFSNSGSRADSGGYNLNEKAEDESAYESVGDRDVDLNSSQWNLNEKAEDAYRSEEEQCEAVQSGPNSSENKSGQNARRVGGPSGPWGTNFLKDCRPAQTVKEDPSNSDRGMEDGSVASSHDDMDGSGDEGELNRGHGEVPAEEMLSDDYYEQDGEDQSDSLHRGGMSHPSCSTSGVAAKAVPLRQKKKSTKYNAYDDDNEDAYNDDNDNDDDADEDDPDDVDFEPDSETDKAAVKDKLVDSENSAEEEDDDELELSDDDDEDEDDFIENRRQSKRLKVGGIKTSQRRKPPMQPLRKRGASFTDEEYSSGKDSDVPNDADFSRRPKKPDRLHQKTVFRNNVLSNVSSHNESRTSGRRRTQKNISYAESEDSDDSEEKSTKQQKLLKEDQDEEDGETIERIIWYQPKGIAEDALRNDQSTQPTVMSMASDFDEHWDDVEFYIKWKGQSYLHCQWKTLSELRSVSGFKKVLNYMKRVTEEQRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERVFADRASKVDGDGLVPEYLVKWQGLPYAESTWEKDTDIEFAQEAIDEYKAREVATAVLGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREMCQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNSEASLYITLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDTFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRIEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNVVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGASMFDKNELSAILRFGAEELFKEDKTDEETKRKLESMDIDEILERAEKVETKAAEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDAADMVQETLAPRAARNKKSYVEDHQLEKNSNRKRRAVEAQEKTRRRSNRAVDTMVSLPLIDGAVAQVREWSFGNIPKKDASRFVRAVKKFGNPSQIGLIVDDVGGAIAKAPCEAQIELYDLLIDGCNEAVKENTDIKGTVLDFFGVPVKAYELLARVEELQCLAKRIARYKDPVRQYRIQSPYKKPQWSASCGWIETDDARLLLGIHWYGYGNWEKIRLDSKLGLTTKIAPSTLGERETFLPRAPNLDNRASALLQKEYSNLSGKSSKARGGASQTANNESNGGARSLRGRQKDLKPKDDNKSNKDDIKKRKVVEPEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDDEQDILKRLDRLQNTSVNLPKEKVLSRIRRYLQIIGEKIGKVIVQHGESYKQSRMASRLWNYVATFSNMSGEQLRDLYLKLSQDQMEAGVGPSHGSNFQPVPPNRAGASNQPHPSRNQRSTRSLQHTPESLNNGENTGNSEAWKRRRRADSDNQFDNQPLYQPPPIITNGNRLQESSSSAGILGWGPVEARRYGNDRPKRGVHPSHFPAGHGPLL* >Brasy6G077600.1.p pacid=40050404 transcript=Brasy6G077600.1 locus=Brasy6G077600 ID=Brasy6G077600.1.v1.1 annot-version=v1.1 MLMRQSFTKAPLKRSRWFRIDLLGCIENIHVVRAARGVQALLWSVLCKFATMANGGGTARICPDGGSSGNPLATLFQRIPKHRELYRERTKECKLNDNNCLTNTGNSIEAIRCHAHLLEASCMDYQTAMAAECSG* >Brasy6G029000.1.p pacid=40050405 transcript=Brasy6G029000.1 locus=Brasy6G029000 ID=Brasy6G029000.1.v1.1 annot-version=v1.1 MENSRKRRRVDVDEDDSDRLSDLPDCLLLDILSRVGSRLAVRTSSLSRRWRHLWRDVPCADIDEREFSAFSSSNHGGIGHQQPQRHWARFEDFADQLLSPPPPHRRLDAFRLHIASRIPLYASVTTDRWVRRGLARSPAAVDIHSPDPSVVRWITSSQSSPSQLSRLTKLHLVGVSMPSLWPVSSSGHHLLGCPALEDLHMERCRWGYGFGAMGSPILRRLAVVRPVGGHLVAADLIRAAPRLEYLRLELVCDGDSGAGDPAQEDQAPPLPSLAEASIRVTQRDNDHRPNKRERKRNKLEFFRAMRCLLARLPNVVYLDLSGFTTTALLEEESQEFPTLNQLNTLLLDNCEVGVNFHALTSILRNTPNLETLSLHQCKFLGSAPKRRRTPSRRRGSRSTALHQCKNLKLIETKSRQDDEPQIIRLLTEVSEEMPLAPWRLVEKVNDVAGLMTMQFHRTEMGAKLVESYFKFHIVPNLFDSDSE* >Brasy6G143700.1.p pacid=40050406 transcript=Brasy6G143700.1 locus=Brasy6G143700 ID=Brasy6G143700.1.v1.1 annot-version=v1.1 MCVWGGKGGLVQRLEKNARRWLEKSSSALTAAPEREGMREVGTDGGEINREGSHLRRAPPAAVDHRAPPAVDHRSPLCVSRKIMARGRKRFGREEVADEF* >Brasy6G266600.1.p pacid=40050407 transcript=Brasy6G266600.1 locus=Brasy6G266600 ID=Brasy6G266600.1.v1.1 annot-version=v1.1 MGGFNPPVPQQDNNWEIRVAVLLSLLFQVLILVLGPMRKRFSSPVAHFAIWSCYLLADWVADLALGLLLNNMGNIGGNNNGSGSSSTISHASGFKRAPGGAPANAAACESSSSPVIFAFWTPFLLLHLGGQDSMTAYSIEDNDLWRRHLIGLLFELFSAFVVFFCSLEGNPMIPATVLIFVVGIIKYGERTYSLYSGSIDGALAGIFGDGDPGPNYARFTSMLRGNERAGLPVQMVIMNPRSVEQVNTKIQPSLETQAYYFFRIFRRLCFDVKLSHKERKISKTYFLERAGVTSKAFEVIEVELNFIYDMVHTKEPIAHTKVGCVIRFIGSSCIVSALLIFFFHHKTGLARVDIAITYMLLLGGVALDAAALAMLLISNWTIAFLELKETPLMAWIARLIWQWRPRQQRWRGDTSQLNLISYCVGNPDIARFGRSLRPVMRTLTKVVERLHIKEMFHDLFFIHRVPLSSTSNTTDQGDDLFEVVFEMLKKRAMEADADMMKHTSNQQIMERLNSIYDDSHVARELVKVLVDMMKNTSNHRGQGVFQGDELHKQIMERLNSTYDDSHVVRELVKVLVDSVTEIEFAESLLIWHIATDLCCHTLPELTAQTHKTVGMKSASRTLSEYMVYLLMKQPKILLASAGIALKRYQDTCAEVKRFINLSAAWNPNHDDVVRMLLSVDTSLKPLEVKGDRSKSVLFDAVILAKVLRDLGEDLMWEVVAKVWGEMLTYAAGKCKGSTHVQQLSRGGELITMVWFLMAHLGLDDVYKNEPDVELVPKLIVHN* >Brasy6G183500.1.p pacid=40050408 transcript=Brasy6G183500.1 locus=Brasy6G183500 ID=Brasy6G183500.1.v1.1 annot-version=v1.1 MTSPAPTSSWFSSLTRTASGTPSSSAMPPAGSVASAPVTLPDTPAAVGGKGGVVPIVAAAGAGARKKQLQGTLFKYGPKSANVAFRTGDFNHQVIFIGGLTDGLLATDYLEPLSLALEVEKWSLVQPLLSSSYIGYGISSLEQDALELDQLIGYLINKENSEGVILLGHSTGCQDIVHYMRTNLACSKAVSGVILQAPVSDREYRATLPETAEMIDLASKMISEGRGMDLMPREANSDAPITAYRFHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKKALVDRLCQALGGAEKVEIEWGDHALSNRVQEAVRAIVDFVKREGPKGWDDPWS* >Brasy6G068100.1.p pacid=40050409 transcript=Brasy6G068100.1 locus=Brasy6G068100 ID=Brasy6G068100.1.v1.1 annot-version=v1.1 MDCFAEVEGKRSHDPLYCNPHVALATGFPIEDVKFEKVAGPLIVGAGPAGLATAALLGQQNVPYVLLERCSCIGSLWRHRTYDRLCLHLPKHFCELPLMPFPPSFPTYPTREQFLDYLESYARRFDVQPVFRQAVVSAEFNGEFWWVRTKQVISAAIGGQQAQLGSAITMYRCKWLVVATGENAEPRMPEIEGAKRFKGQIMHSSEYRSGEGYAGKRVLVVGCGNSGMEVSLDLSNHNAHAAMVVRDTVNVLPREILGHSTFGISAWLLKWLPIKIVDMILLTMSRFVMGDITRLGIARPSLGPMELKSVSGKTPVLDVGTIAKIWSGNIQVFPAMQCFKEHGVEFIDGRIENFDVVILATGYKSNVPYWLKEKQFFSEKDGFPRNTKEWKGKNGLYAVGFSRRGLTGVAMDATKISDDIVKNWNDMHTREVREDPSNRSDTVLFN* >Brasy6G166900.1.p pacid=40050410 transcript=Brasy6G166900.1 locus=Brasy6G166900 ID=Brasy6G166900.1.v1.1 annot-version=v1.1 MGIQLIFFWKNFCVWCRTLCEWWHSRPVQSKEKKNWATRIVLVCWTIWRHRNRVVFDKARPSAGLIVADVLSEAGIWARANLLREGELGIGSGEEIQWRDAG* >Brasy6G014700.1.p pacid=40050411 transcript=Brasy6G014700.1 locus=Brasy6G014700 ID=Brasy6G014700.1.v1.1 annot-version=v1.1 MATGTPRLPLLLLLLFLAVVLSPTGTRWPLASASAARGIAPLSTAALRRLYDTSNYGRLQLNNGLALVPQMGWNSWNFFACNINETLIRETADALVSTGLADLGYNYVNIDDCWSYVKRGKKDQLLPDPKTFPSGIKSLADYVHGKGLKLGIYSDAGVFTCQVRPGSLHHEKDDASLFASWGVDYLKYDNCYNLGIKPKERYPPMHDALNTTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKAPLLIGCDVRNMTSETVEILSNKEVIQVNQDPLGIQGRRILGQGKDGCREVWAGPLSGNRLAVALWNRCSETANITMKLPAVGLDGSSAYSVRDLWKHETLSPNVVATFGAQVDAHDCKMYVFTPAVSVSAS* >Brasy6G204400.1.p pacid=40050412 transcript=Brasy6G204400.1 locus=Brasy6G204400 ID=Brasy6G204400.1.v1.1 annot-version=v1.1 MVEQHPGESSRRAMETLEERMGSNWASLHVDMLELIADRVLAGDLLDYVWFRAVCKRWRAATPSMVGRGVIDPRFHPRQWTMFPEGSGLHPGHPALGGYIRFLNVYTGVFIRAHLPCFEDHSVLDCPEGLLLLQRNKDAAICLLHPFTGNVVEFPPLASLILYMNKFGVFLCGTYDFRLRMVHAAVSVHAVGTVTIMLALSHLDRMAYASTGDRQWTYASWMMSGMRTAVPFRGSLYVVRGGKNKPSDIMRIDPPESSSSSSWHDTPPQMVVTCPAEQMTKPYLVECNSELLLVDYMHGKSRLMVHRLTDVLLGVPAPAMPLRSIGDYAIFIGTWSMTVNSKNLPSVQGNSITILNPSSSGRLGQYDLGRGTWSRLCDGNFCSTSGPIPRPYSLVHHVVSCCQRLFWITGNIWARHHSSTPCWPRVAAEHIIVCDRCTDEMKLARMSGSYI* >Brasy6G104100.1.p pacid=40050413 transcript=Brasy6G104100.1 locus=Brasy6G104100 ID=Brasy6G104100.1.v1.1 annot-version=v1.1 MGTPEFPDLGKHCSVGDCNQIDFLPFTCDRCDHVFCLQHRSYTSHKCLNANKKDVTVLICPLCAKGVRLNPNEDANITWDSHVNTDCDPSNYQKATKKKKCPVPGCREALTFSNTIRCKDCSNEHCLKHRFGPDHKCPGPRKLEPTFPFANMLRRSQKVQPRTSSSSGSGSSSWWSSSLLNAASSLRSSAEAGMQKLSIATSEAVQMAKDGITPNSGSTSTSSGSALVEQCVQCPARFSTVGALIEHAEKSHGNNSQSSRGKVTIDACPKCSKGFRDPVLLVEHVEKEHGGTSKA* >Brasy6G062500.1.p pacid=40050414 transcript=Brasy6G062500.1 locus=Brasy6G062500 ID=Brasy6G062500.1.v1.1 annot-version=v1.1 MEPACYDHAALGILFNQAKFLISLSLSFIEYRADGTKLLLKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFALADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAMV* >Brasy6G193300.1.p pacid=40050415 transcript=Brasy6G193300.1 locus=Brasy6G193300 ID=Brasy6G193300.1.v1.1 annot-version=v1.1 MAIEAARLSPSLAAAAFLGRRALPATHFTRRLPLLLPRRRLLARASASSSGGDGRAVALPSSELRKRRSSASAPGEDSKLGSLRRLFARPDIAIDAYIVPSQDAHQSEFIAECFMRRTYLTGFTGSAGTAVVTKNNAAFWTDGRYFLQAEKELSHDWTLMRSGNHGVPTTIEWLNDVLPSGCRIGIDPFLFSFDAAEELKDAIANKNHELIMISDFNLVDEIWGESRPEPPKEPARVHDIKYAGIDVPSKLSYVRSELAENGCESVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEMSTATLFVDRNKVSEDVLEHLEKAGVTLKPYSAILSSVERLAEKGAKLWLDSSSVNAAIASVFRSSCDRYMKKTRKTGRQIGKDTSSDEITSKSHVQNGALNGLYKVSPVTLAKSIKNNAEIRGMKNSHLRDAAALAEFWCWLEEEVLKTAALTEVQVAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPDSCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSQRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKVGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLVLVKEVNLANSFGGISYLGFEKLTFVPIQSNLIDLSLLSPSEINWVNEYHEQVWEKVSPMLSGDPRDWLWKNTRPL* >Brasy6G193300.2.p pacid=40050416 transcript=Brasy6G193300.2 locus=Brasy6G193300 ID=Brasy6G193300.2.v1.1 annot-version=v1.1 MMSYHPVAELVLTRMQFLFSFDAAEELKDAIANKNHELIMISDFNLVDEIWGESRPEPPKEPARVHDIKYAGIDVPSKLSYVRSELAENGCESVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEMSTATLFVDRNKVSEDVLEHLEKAGVTLKPYSAILSSVERLAEKGAKLWLDSSSVNAAIASVFRSSCDRYMKKTRKTGRQIGKDTSSDEITSKSHVQNGALNGLYKVSPVTLAKSIKNNAEIRGMKNSHLRDAAALAEFWCWLEEEVLKTAALTEVQVAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPDSCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPSQRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKVGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLVLVKEVNLANSFGGISYLGFEKLTFVPIQSNLIDLSLLSPSEINWVNEYHEQVWEKVSPMLSGDPRDWLWKNTRPL* >Brasy6G105700.1.p pacid=40050417 transcript=Brasy6G105700.1 locus=Brasy6G105700 ID=Brasy6G105700.1.v1.1 annot-version=v1.1 MGNCCVTPGGGGGSGRKKQPKEPKQKKGKKPNPFSIEYNRSAPPGATKLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTDRATGEALACKSISKKKLRTAVDIEDVRREVEIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDSMINFDELKLGLNKLGHQMPDADVQILMDAADADGNGCLDYGEFVTLSVHLKKIGNDEHLHKAFAYFDRNKSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISFDEFVAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITTQ* >Brasy6G022100.1.p pacid=40050418 transcript=Brasy6G022100.1 locus=Brasy6G022100 ID=Brasy6G022100.1.v1.1 annot-version=v1.1 MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQSIEGVYKVTIDAAQAQHKVTVTGSVGADALVRRLLKSGKQAALWPVPAPPPAAEAKKPEPAEAAAPSAEAKKPEPEPEPETKEEAVPETLGKKLEKEKGSEQKAQAKKAKDKSEASEKKEKPSPPAPEPAAKAAAVPEEDEASAGGKKGNKKKTNKQKDEEKPAQPQPQQKQKPQPQPQQGHGGGLPYYAAAPAAVMSYHVAHPGAASVSCYAPTPVMAAPPFPPPPQQVSYGYPPYPYPYPPAPMMAPPPEFVYGPRASGRRRRGSRTTTRSTRRTRIPVASCDGAIAGGSPDAHQSYCS* >Brasy6G022100.2.p pacid=40050419 transcript=Brasy6G022100.2 locus=Brasy6G022100 ID=Brasy6G022100.2.v1.1 annot-version=v1.1 MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQSIEGVYKVTIDAAQAQHKVTVTGSVGADALVRRLLKSGKQPEPETKEEAVPETLGKKLEKEKGSEQKAQAKKAKDKSEASEKKEKPSPPAPEPAAKAAAVPEEDEASAGGKKGNKKKTNKQKDEEKPAQPQPQQKQKPQPQPQQGHGGGLPYYAAAPAAVMSYHVAHPGAASVSCYAPTPVMAAPPFPPPPQQVSYGYPPYPYPYPPAPMMAPPPEFVYGPRASGRRRRGSRTTTRSTRRTRIPVASCDGAIAGGSPDAHQSYCS* >Brasy6G260400.1.p pacid=40050420 transcript=Brasy6G260400.1 locus=Brasy6G260400 ID=Brasy6G260400.1.v1.1 annot-version=v1.1 MRRRRRGRNCPTTDLGVRARRALEAPLRARVSRSPSTLGCSAILRRRRPACRLGSVPNTLSLSPGV* >Brasy6G244900.1.p pacid=40050421 transcript=Brasy6G244900.1 locus=Brasy6G244900 ID=Brasy6G244900.1.v1.1 annot-version=v1.1 MLRLRSFVLTSLLSSPSASPLPSLHRLLLSAAVVSPKPRFAVADYLVETCGLTRPQALKASKKLSHLKSPSNADAVLAFLAGLGLSSSDVAAIVAKNPRFLCTGVGATLEPNVVGLTGLGLSQSEIARLVSLASNPFRLRSIVPKLSYYLPLFGSSDNFLRILKKNSYLLTSSLDRVIKPNRAYLRECGLDDCDMSRVCTSVPWILTARAEHIRSMVKCAEAIGVPRGSRMFRHALNAVSFQSEDKLAAKVEYLKNTFRWSEAEVGIAVSRAPTVLARSEDMLQRASEFLISKVGLEPAYIAQRAGLLTLSLEGRIRPRYYLLKFLKANGFLKRDLSFYSTVQKSEKVFMKMFICPHKEALPHLAEDYAAACRGEVPTNFRLT* >Brasy6G089300.1.p pacid=40050422 transcript=Brasy6G089300.1 locus=Brasy6G089300 ID=Brasy6G089300.1.v1.1 annot-version=v1.1 MREIISIHIGQAGIQVGNACWELYCLEHGIQQDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGDDY* >Brasy6G163300.1.p pacid=40050423 transcript=Brasy6G163300.1 locus=Brasy6G163300 ID=Brasy6G163300.1.v1.1 annot-version=v1.1 MCISWTLQKWNCALTYWHLLQVENTNSSISKMSFRSIEQLLRRNSKTKISQSIATGIHDQKEEQSVQSLRESLLASNQLPEKFDDYHVLLRFLRMRGFDVLKAKATFLNMLKWREDFAVDAIAKDFKVEEYDALKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVMSIDRYVKYHISEQEKTISLRYPACSLAAKKNISSTTAILDVKGLGMNNFSKAAREMFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKAFMEARTLAKIQVLGTNYLSTILQAIEPSNLPDFLGGTCTCSATGGCLLQDKGPWTDTGIIHASEEPSARHVDPTSSKKRTLGMLSEDNQVRDEMSETIQQKPVNEQISGKIQELEDSAAQTKEVLETLICKQKELAIHISQLRKLLGDEAHAEKKHSIQTLK* >Brasy6G163300.2.p pacid=40050424 transcript=Brasy6G163300.2 locus=Brasy6G163300 ID=Brasy6G163300.2.v1.1 annot-version=v1.1 MCISWTLQKWNSYWHLLQVENTNSSISKMSFRSIEQLLRRNSKTKISQSIATGIHDQKEEQSVQSLRESLLASNQLPEKFDDYHVLLRFLRMRGFDVLKAKATFLNMLKWREDFAVDAIAKDFKVEEYDALKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVMSIDRYVKYHISEQEKTISLRYPACSLAAKKNISSTTAILDVKGLGMNNFSKAAREMFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKAFMEARTLAKIQVLGTNYLSTILQAIEPSNLPDFLGGTCTCSATGGCLLQDKGPWTDTGIIHASEEPSARHVDPTSSKKRTLGMLSEDNQVRDEMSETIQQKPVNEQISGKIQELEDSAAQTKEVLETLICKQKELAIHISQLRKLLGDEAHAEKKHSIQTLK* >Brasy6G163300.3.p pacid=40050425 transcript=Brasy6G163300.3 locus=Brasy6G163300 ID=Brasy6G163300.3.v1.1 annot-version=v1.1 MSFRSIEQLLRRNSKTKISQSIATGIHDQKEEQSVQSLRESLLASNQLPEKFDDYHVLLRFLRMRGFDVLKAKATFLNMLKWREDFAVDAIAKDFKVEEYDALKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVMSIDRYVKYHISEQEKTISLRYPACSLAAKKNISSTTAILDVKGLGMNNFSKAAREMFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKAFMEARTLAKIQVLGTNYLSTILQAIEPSNLPDFLGGTCTCSATGGCLLQDKGPWTDTGIIHASEEPSARHVDPTSSKKRTLGMLSEDNQVRDEMSETIQQKPVNEQISGKIQELEDSAAQTKEVLETLICKQKELAIHISQLRKLLGDEAHAEKKHSIQTLK* >Brasy6G160000.1.p pacid=40050426 transcript=Brasy6G160000.1 locus=Brasy6G160000 ID=Brasy6G160000.1.v1.1 annot-version=v1.1 MDKLSSEIKLRVDTFEKAVEDVLNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDFFLYVKQDLELLQKLANAEELDKAVLAETIEKVLTRWEKLFSTHVREGMEKMTEALKELRALLAGTDATLPPPDVDGVSAYKGRVEEALKEAAAIQEATRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNISINVKKMADRGELVKAEAEKHMEYAGENSKSSQPKPVRSLLAYMQSSSCEE* >Brasy6G121600.1.p pacid=40050427 transcript=Brasy6G121600.1 locus=Brasy6G121600 ID=Brasy6G121600.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGATFPLIKCSCCQVRKVMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIEEYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQPLTLAAIASHREMADSVAMKEKQGIEEKKQDAIVKKLGSEEMLMELNVNLGVLVGIGQKLLGVCVLLLAVNLYAALKN* >Brasy6G213500.1.p pacid=40050428 transcript=Brasy6G213500.1 locus=Brasy6G213500 ID=Brasy6G213500.1.v1.1 annot-version=v1.1 MCASTKMKYGAYEDTTEHNNVSIEEVQVLWGPILEDVTSRYGGTNPTYKTTPTNEQQYTSCVTFDIPFDTELTNLKKVCCTSTACSSVSEAECEAATVALQSLSWGPFHSPVTDISQVRITEMENDCNQMIMKTKRFVRTCKADLDRWYEAILKTKACYSAMYGQIFGDFFSGGDWTCRQTVADVADTFISIDENNENTFKSLCLAINECKTDSEDLYNAISDHLHPQLVPKTTRRTDVIQYEIKLSAKVVLTEMVRASGWADVSDEEFNDRNKLFANIVGLGGKPNLLDDTKGEGGDNNDSDRVAADAISCLEKHLSMYVVDLNYNKRTQLERYVQQAEDIMHEAKSACESVRAGWKGMIAELAACDILSRQKVINHPVDETSNSLFVVNTGCAEIVAQLHKECMFDLQAAPDQHEEGALQSYVLGPHISRTVAMYKH* >Brasy6G041800.1.p pacid=40050429 transcript=Brasy6G041800.1 locus=Brasy6G041800 ID=Brasy6G041800.1.v1.1 annot-version=v1.1 MDSRASFLCALAIAASSLLHCARSDGSDDQLLKGINSYRSSLKVPALTENKNADCLAEQLAKQFKDQQCTNSTGSNTVPGTEQQFPDYPKYLDRCHLNASVTEDGQVMPACVPGLVANVVLTNYTKSQYNLYLNDSKYSGVGIANEGDWVVVVLSTNTDSGDYSPAPPGSNWAPSVQPFNQLIALLVGFVILLLK* >Brasy6G115600.1.p pacid=40050430 transcript=Brasy6G115600.1 locus=Brasy6G115600 ID=Brasy6G115600.1.v1.1 annot-version=v1.1 MVTLGVAACVSGKLCFSDKSSKRSTRATRVLCPANPSCLNRRGLVATAAAPAEVPPRSIDYRECAPAPAPREQVEIVRSLNGWVAENMLPLLNPVESSWQPHDFLPCSASAAGASEEDEEALWAFTEGVRELRLGAAGVPDEVLVCLVGNMVTEEALPSYQSMGNRTEGIADDTGDSGLPWARWLRGWAAEENRHGDLLNRYLYLSGRVDMRRVEATVHHLLRNGMGMVVPKSPYHSVIYGAFQERATFVSHGHTGRLARQHGDRTLARICGVIAADEKRHEAGYTRVSARLFELDPDGMVRALACVMRGKVTMPGLLMSDGGHDDNDAGGGSLFARFSAVAQRAGVYTARDYGDLVEHFVRRWRVAELDRGLCGEGRAAQDYVCGLPSRIRRMEDLAQRRAARSELKPVRFSWIFGRRVMVG* >Brasy6G058600.1.p pacid=40050431 transcript=Brasy6G058600.1 locus=Brasy6G058600 ID=Brasy6G058600.1.v1.1 annot-version=v1.1 MYYSAWGFVPMGFPKAADVTTNWKPFRPMFPRDSSAQQPASAPTDNVSKQNVENNGGHTNANTPPSAANPSSKSTVGGTGSGPPRGRIKKTTARKKVGAGIKKHASGGVESGIEPSLPGSDGSENAGNGLTGSSRGININEVANATDGSSMLRFGGDGDIGFDLDVDTTDDILGNLHNLPFLREDDNPRRLF* >Brasy6G042100.1.p pacid=40050432 transcript=Brasy6G042100.1 locus=Brasy6G042100 ID=Brasy6G042100.1.v1.1 annot-version=v1.1 MNPSLPDGERAVQAEDDPGASPPQVDSTSLARTPPASAAPSPMTNFLHPDGERDPSFELSLGTTALAGASTQTTSGIWTARAQIISFVPFGGVLSGIQSISGPCAVWRVLCPLLHPPSRSAQLPATSISGREFVLEGALLEANSFELSPHTPAIDSVYLCAFENYLIYLDATNCFILSINTGEAMRMFSIPELINPFPPHHSSIVLSTASAYLRQLVWALNIPPAVHII* >Brasy6G169600.1.p pacid=40050433 transcript=Brasy6G169600.1 locus=Brasy6G169600 ID=Brasy6G169600.1.v1.1 annot-version=v1.1 MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTILQKMPKRKTDRANVLDKKRHLSRLNVKDAGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLQGGLVQVAIEVEDRAQRSAITRVNADDVRVAVAAPATRGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP* >Brasy6G169600.2.p pacid=40050434 transcript=Brasy6G169600.2 locus=Brasy6G169600 ID=Brasy6G169600.2.v1.1 annot-version=v1.1 MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTILQKMPKRKTDRANVLDKKRHLSRLNVKDAGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLQGGLVQVAIEVEDRAQRSAITRVNADDVRVAVAAPATRGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP* >Brasy6G169600.3.p pacid=40050435 transcript=Brasy6G169600.3 locus=Brasy6G169600 ID=Brasy6G169600.3.v1.1 annot-version=v1.1 MPKRKTDRANVLDKKRHLSRLNVKDAGKVMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLQGGLVQVAIEVEDRAQRSAITRVNADDVRVAVAAPATRGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP* >Brasy6G203800.1.p pacid=40050436 transcript=Brasy6G203800.1 locus=Brasy6G203800 ID=Brasy6G203800.1.v1.1 annot-version=v1.1 MPQNGSRYVLLFSPRPTPSPTGPPSPTNRSRRPISSHRHRRRRPKLSGERSVILLLRPSPSSGAAASPLHPLPSDAAPCCLEIWPPFLPPVRDPAAPSFSAMFPPPPASSLLCASAVLISPLQRAREVLWFLLSWLRRPQRRPTAPPRPALSWLSVKKSRVAVVDWASTAAMSARVLS* >Brasy6G146300.1.p pacid=40050437 transcript=Brasy6G146300.1 locus=Brasy6G146300 ID=Brasy6G146300.1.v1.1 annot-version=v1.1 MEYKRRSLLFALATVLAAAVHANPIPVVQTRPWVVKFYGGFFESMREVISNGKTRVIKEHMLMPDSRDTKPLPHRLIKVPLEGDGQDTASLYFRDDNLYLCGFANKKGDVFYMTRHKDLFPEGSIALPFGDSYPELTGRTDKDVFVSDLLKEVRLGREPALMHSSILADYEHGTTQTQIKPVKDAMLGFAIMVSEAQRLKIIGDKMKEHWSEITCLTELEAQTIGAWAVLSIAFCRPDVNDWTSGKAKLGRLDVRTHEQVSEILDLVKWEKDCDRNYPPDEEDNEEEEQKDEDNDDEEQKGEGDDEGEEP* >Brasy6G180300.1.p pacid=40050438 transcript=Brasy6G180300.1 locus=Brasy6G180300 ID=Brasy6G180300.1.v1.1 annot-version=v1.1 MLRRRKFNVGDTWLCTLCHPAPPEETLEHLFFQCHFTQDCWAEINIFWDQNSSILHNINSAKGRWTHGLFWEIFTLVSWGVWKERNAKIFENINPSKHSWKRRIKADLELLKFRLAKDSQKTQLDCFVSSL* >Brasy6G077400.1.p pacid=40050439 transcript=Brasy6G077400.1 locus=Brasy6G077400 ID=Brasy6G077400.1.v1.1 annot-version=v1.1 MGTRGGFVIAVVLCELLLPSAVAAYGAAADGSVQRRSLHQPFFPIGWSPPPPASGSVVLPPPPPASAAAASTTSPARSSAHGFTNTIAIALTAGLVALAVVSYSCVLLWRRVSDGAGEDDGRATTATSTKPVAVGAVPARVPSDVGSSSRRHRSPPPSSTASDAIYLDPLTSLMEVHQHRASPDLRPLQLPKQPSSSPDLRPLPPLKRPGSHPPPPPASTPPMTATTEYSSEEDDQATYYTARKTAVSSFSRSTSQRSTLEQTAPPPAPAPAPVPPPPPQVNHLRPPRPPPPPPLPRQRLLRPLPSESPPPAALANLALTSPPEPSIQNRGIENSDVLGGSTRPPSLKPLHWDKLRAISGRTTVWDQVKNSDSFRVDEAAMESLFPKNGVPAAGNSDQAVARGVPGKQQSRLLDPKRLQNVAIMLKALNVTADEVIGALVHGNLEEKPEFYETLAKMAPTKEEELKLKHYSGDISKIDPAERFLKDVLDVPFAFKRVDAMLYRTNFDTEVNYLRKSFGTLEAACSDLRSSNLFLKLLDAVLKTGNRMNDGTNRGEAKAFKLDSLLKLADIKSTDGKTTLLHFVVQEIIRSEGFDSDQTATNPGSASKERFKKDGLKVLAGLSSELSNVKKAATLEMDTLSGNLLRLDTDLEKVKLVLQLKETCADQGSSVKFFEEMDVFLRRALTEIGNMKIAERSALQRVRETTQYFHGDATVEEPHPLRVFMVVSEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPRRQEQQRQLSSSDEDSSSS* >Brasy6G077400.2.p pacid=40050440 transcript=Brasy6G077400.2 locus=Brasy6G077400 ID=Brasy6G077400.2.v1.1 annot-version=v1.1 MGTRGGFVIAVVLCELLLPSAVAAYGAAADGSVQRRSLHQPFFPIGWSPPPPASGSVVLPPPPPASAAAASTTSPARSSAHGFTNTIAIALTAGLVALAVVSYSCVLLWRRVSDGAGEDDGRATTATSTKPVAVGAVPARVPSDVGSSSRRHRSPPPSSTASDAIYLDPLTSLMEVHQHRASPDLRPLQLPKQPSSSPDLRPLPPLKRPGSHPPPPPASTPPMTATTEYSSEEDDQATYYTARKTAVSSFSRSTSQRSTLEQTAPPPAPAPAPVPPPPPQVNHLRPPRPPPPPPLPRQRLLRPLPSESPPPAALANLALTSPPEPSIQNRGIENSDVLGGSTRPPSLKPLHWDKLRAISGRTTVWDQVKNSDSFRVDEAAMESLFPKNGVPAAGNSDQAVARGVPGKQQSRLLDPKRLQNVAIMLKALNVTADEVIGALVHGNLEEKPEFYETLAKMAPTKEEELKLKHYSGDISKIDPAERFLKDVLDVPFAFKRVDAMLYRTNFDTEVNYLRKSFGTLEAACSDLRSSNLFLKLLDAVLKTGNRMNDGTNRGEAKAFKLDSLLKLADIKSTDGKTTLLHFVVQEIIRSEGFDSDQTATNPGSASKERFKKDGLKVLAGLSSELSNVKKAATLEMDTLSGNLLRLDTDLEKVKLVLQLKETCADQGSSVKFFEEMDVFLRRALTEIGNMKIAERSALQRVRETTQYFHGDATVEEPHPLRVFMVVSEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPRRQEQQRQLSSSDEDSSSS* >Brasy6G249700.1.p pacid=40050441 transcript=Brasy6G249700.1 locus=Brasy6G249700 ID=Brasy6G249700.1.v1.1 annot-version=v1.1 MAGAPPKPWERAGAEGTSGPAPFKPPSGGSTSDVVEASGTAKPGETIAATTANVSNPVSRTMPQRPWQQQTGYGNSYGGAGYGSNMYSSLGGYGNTYGSGGMYGNSMYSSYGGGGGLYGGSGMYGGGMYNSGMGGSYGGYGMGGMGGMGGMGGMGGMGGMGPYGNPDPNSFGPPAAPPGFWVSFLRVMHGAVSFFGRVAFLVEQNTQAFYLFITAMLQLFDRSGMLYGELARFVLRLLGVRTKSKKGRVQGPEAPAFDGPGQQFLEAPKGNNSWDSVWGN* >Brasy6G111500.1.p pacid=40050442 transcript=Brasy6G111500.1 locus=Brasy6G111500 ID=Brasy6G111500.1.v1.1 annot-version=v1.1 MAIGQAMPKGTLATSLSFPSSGSTRILGRKRVAVSPAPSPSGPHSPVRTLRKQRSIRFHMDDTVCILESLPQDVLVKVLCKVNHSDLSQLLLVSKPVSEATVVAKELHFAFATPSKAAVRGDEEEEEGPGAPRQHRVARSRHRGKNLASLAVNLSASFDSLMSEV* >Brasy6G270100.1.p pacid=40050443 transcript=Brasy6G270100.1 locus=Brasy6G270100 ID=Brasy6G270100.1.v1.1 annot-version=v1.1 MDLYACPPQAPAYNAIMEALVRGHHHAQAHKVYVRMLAAGVSPDLHTHTVRLRSFCLTARPHVALRLLRTFPDRGFHARPVAYCTVVSGLYAHGHAHDARCLFDEMLQARALPYLATFNRVLHDLCKKGDIWEAATLLAKVLKRGMSVNRFTYNIWIRGLCECGRLAEAVALVQEMEDYIIPDVVTYNTLVRGLCKGSKAREAAGYLRRMMNRGCMPDDFTYNTIIDGYCKMGMMQEATELLKDSVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQAKELKPDLVVYNSLIKGLCRQGLILQALQVMNEMSEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAILKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDAITYNSVLNGLCKAGKTNEVNETFKEMTLKGCRPNTITYNILIENFCKSNKLEDASGVIVRMSQEGLTPDAVSFNTLIHGFCRNGELEGAYVLFQKLEERGYSATADTFNILIGAYCSKLDMQMAENIFAEMVRKGHRPDSYTYRVLVDGSCKTANVDCAYQHLVEMINKGFVPSMATFGRVLNTLTVNHRILEAVGVIRIMVRIGVVPEVVDTIFSADKKKIAAPKILVEDLMKKGHISYPTYEVLHEGVRDDKLNRKHQKIKYI* >Brasy6G018300.1.p pacid=40050444 transcript=Brasy6G018300.1 locus=Brasy6G018300 ID=Brasy6G018300.1.v1.1 annot-version=v1.1 MPGHGRDRETSTRPEDARRRSELTEIGGGRRRWVLGARPGGDHLDLTPPRPARIRGQVDLIRQRPARIRGQARNLPPNSITTRSSGAPDHNKNRVFRPVLLRNTSRRKKQQTEARICLSGGCRGRDRRRSRQTAPAKGQGPLRSRSTSTWYWMTGTVGSASTRLTPRPTSKTSPVLRLVSPVPQYPMSFDVLGSNILIAPNPFCGSAPTLVYDPERAELAVGPPLPDALHGAFHISVAVSDMLYAFTYKGKRQHSFDVMSWAPTAGKQDPWSTPTMEWSWKSVPTPPPFTENGMITAYAVHPDGRTIFTYVRDNGSRTFSFDTRRCEWRLHGEWALPFKNQGYYDGTLDAWVGLHKDGYICSCQVASRSGTSTSQPDWKIAKEKLFNRVPESKRADLDATLTYMGNAKFCLVECVVREEVEYEYAFGDHDGCMLLITKFGLMYNHKGELITRNLTTSSCLVSKHIACFSPTAFWI* >Brasy6G188300.1.p pacid=40050445 transcript=Brasy6G188300.1 locus=Brasy6G188300 ID=Brasy6G188300.1.v1.1 annot-version=v1.1 MAPKWYCCCLAASLLLCLAMGAAARGVPASGGDGCGTAVGVAAGREGADADGAGFDEAKTVFAGSNGAGGGGIFGGGPFGGGIAGFGPHGGFGAGAGPFGGFGGGFGAGGGGGGGGGVP* >Brasy6G116900.1.p pacid=40050446 transcript=Brasy6G116900.1 locus=Brasy6G116900 ID=Brasy6G116900.1.v1.1 annot-version=v1.1 MAAVVTRRPNARVEALDADAERGVLHARAAADSPVAKRVIDAKDDVWVAADEGDMCSGTDASRPILFRTMKVKGSILHPYRFFILVRLVAIVAFFAWRIEHRNRDGVWLWATSMVADVWFGFSWLLNQLPKLNPIKRVPDLAALADSSSGSDDNLPGIDIFVTTVDPVDEPILYTVNTILSILATGYPVDKYACYLSDDGGTLVHYEAMLEVARFAVLWVPFCRKHCVEPRAPESYFGMKTQPCVGGMAGEFMKDHRRVRREYDEFKVRIDSLSSTIRQRSDAYNNSKGAGGVRATWMADGTPWPGTWIEQAENHRKGQHAGIVQVVLNHPSRKPQLGSPASNDSPIDFSNVDTRIPMLVYMSREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVVNFDCDHYINNSQALRAPMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTVFRRVALYGMEPPRWRADSIKLAGKAHDFGTSTSLINSMPDGAIQERSITPVAVDEPLANELAVLMTCAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYCSMEPAAFRGTAPINLTERLLQVLRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFAYNLFPVMWLVSEQFYIQKPFGTYILYLAAVIAMIHVIGMFEVRWAGITLLDWCRNEQFYMIGATGVYPTAVLYMAMKLVTGKGIYFRLTSKQTDACSDDKFADLYTVRWVPLLIPTVVVLVVNVAAVGTAVGKAAAWGVLTDRAQHAMLGMVFNVWILVLLYPFALGIMGQWGKRPALLFVIMVMAIGAVALLYVTLHAGSPSEWSQLAASLGQTSLTGPSG* >Brasy6G093100.1.p pacid=40050447 transcript=Brasy6G093100.1 locus=Brasy6G093100 ID=Brasy6G093100.1.v1.1 annot-version=v1.1 MAGDDDAEAGGGAECCYYTTDDALSHVGFGRFQALVLAYAGVGWTAEAMEIMLLSFVGPAVKDEWGISGQQQGLITSVVFAGMLIGALFGGALSDTYGRRAGFLFTAVVTGMFGFLSALSPNYICLIAVRFVVGIGLGAGHVLGTWFLEFVPAAKRGTWVVVFHCSWTFGTILQALIAWAIMPVLGWRWLIALSSSPCFILLIFYGLTPESPRYLCSRGRTADAKFILERIAKMNNMALPSGILIVAPQRSDNGVDVETIRPLIISQDNAATDVGLSSKSRSINAFRTLLSRSFIRPTLLLWFVFFAFSFAYYGIVLLTSELSTGERRCAPVGMHLRQQNDARFYINVLVTSIAEFPGQILAALLVDRVGRKLSMGGFVFLCFIFVAPLAAPLGEGLTTTLLFSARTCITASYAVLYIYGPEIYPTSCRNTGVGVATSVGRIGGMVAPLVAVGLLENCHRKEAVFVFDLVLCLAAVACALFPLETKSCQIQ* >Brasy6G093100.2.p pacid=40050448 transcript=Brasy6G093100.2 locus=Brasy6G093100 ID=Brasy6G093100.2.v1.1 annot-version=v1.1 MAGDDDAEAGGGAECCYYTTDDALSHVGFGRFQALVLAYAGVGWTAEAMEIMLLSFVGPAVKDEWGISGQQQGLITSVVFAGMLIGALFGGALSDTYGRRAGFLFTAVVTGMFGFLSALSPNYICLIAVRFVVGIGLGAGHVLGTWFLEFVPAAKRGTWVVVFHCSWTFGTILQALIAWAIMPVLGWRWLIALSSSPCFILLIFYGLTPESPRYLCSRGRTADAKFILERIAKMNNMALPSGILIVAPQRSDNGVDVETIRPLIISQDNAATDVGLSSKSRSINAFRTLLSRSFIRPTLLLWFVFFAFSFAYYGIVLLTSELSTGERRCAPVGMHLRQQNDARFYINVLVTSIAEFPGQILAALLVDRVGRKLSMGGFVFLCFIFVAPLAAPLGEGLTTTLLFSARTCITASYAVLYIYGPEIYPTSCRNTGVGVATSVGRIGGMVAPLVAVGLLENCHRKEAVFVFDLVLCLAAVACALFPLETKSCQIQ* >Brasy6G082200.1.p pacid=40050449 transcript=Brasy6G082200.1 locus=Brasy6G082200 ID=Brasy6G082200.1.v1.1 annot-version=v1.1 MGLLFVQSLPGPKVFKCKFCRVDSASPDDIVSKEFRGRHGRAYLFDSVVNVSLGPDEDRYLLTGLHTVNDIYCSCCQRLLGWKYAKAYNEDQKYKEGKYILEKNMMLKEGR* >Brasy6G172600.1.p pacid=40050450 transcript=Brasy6G172600.1 locus=Brasy6G172600 ID=Brasy6G172600.1.v1.1 annot-version=v1.1 MFCQSCKDVYEEEEAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPSPTLDASSTAHSDLLLHAIPASSSSPSPSGDADSGRFDTPAIPAHRVILASKSPVFRAMLDNEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMACDLLVLAEKYEVKHLKSYCEKFITSKVNNDNAITHYAFAHRHSAKQLLEASMSAIMESMPTLAEREEYKELVVKDPRILVEIYETYISRQDNTAADKDSDCSCRK* >Brasy6G217700.1.p pacid=40050451 transcript=Brasy6G217700.1 locus=Brasy6G217700 ID=Brasy6G217700.1.v1.1 annot-version=v1.1 MGEEQEQRKIGSDAGGERKKKKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLRTNDTQVQVLAGILNVCALAGSLTAGRVSDLVGRRRTISLAACIFLAGSVLMGLSPNFATLLAGRCVAGVGVGYALMIAPVYAAEISSADIRGSLTSLPEICISFGILIGYVANYFLAKLPLVYGWRTMLGLGALPSAALALGVLAMPESPRWLVMQGRADEALVVLNKVCDDGAEAEVRLAEIKAAAGGGAAGGGGKGVLKELFVRPTPAVRRILVAALGVHFFQHLTGIEAVVLYSPRIFKAAGIATRNEILAATIGVGVTKTVFIMTAILLVDRVGRRPLYLSSLAGIVASLSCLGLGLTVIERASSSPSPAPAPAWAVALAITTVFAFVASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRIMNAGVSMTFVTLYKAITIGGAFFLFAGLAVVAAAFFYFFCPETQGRPLEEIEEVFSTGWRARQRQRHHPSSSVPDDCKGRP* >Brasy6G069300.1.p pacid=40050452 transcript=Brasy6G069300.1 locus=Brasy6G069300 ID=Brasy6G069300.1.v1.1 annot-version=v1.1 MQTNHLAGYILAAVCPSKGCLVNYRQASETLPNHNLCERYLWWKQGVVSKRNMDVSLLRRSSNDTQGFSSRSADWFCQELKLLLF* >Brasy6G090500.1.p pacid=40050453 transcript=Brasy6G090500.1 locus=Brasy6G090500 ID=Brasy6G090500.1.v1.1 annot-version=v1.1 MPPSLLLQHAPCARPTAKRTASLFSAAVLASKDGGGARPPRPRPRGKPRFSRQSAIRKSFQQEQVVFSTPVSPDPTVAVIGGGASGLACASALAARGVRSVVFDTGMHGLGGRMATRSVDDERHLVFDHAAQFFTASDERFQKLVEEWVERGLVREWRGSIGELEAGGHFRPIHSSTPRYIGVNGMRPLADAMLPENDLIKVIRPSWISKLEPFNGLWRLFENEKPRGQYDAIVIAHNGKCANRLLSTSGLPQLTKQMKRLELSSVWALLAAFEGPFPIPHNNSYGTFEGAFVRGVDSLSWMANNTRKLFPFETDRPECWTFFSTASYGRRNKVPQENIPNATAEKVQREMLGGVELALGLSKGSLQLPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLGDHVADYFASCGERPEEFAIGLDDSLNRVEGRDIGQFPGLDSSQKPQVAEAQLTATI* >Brasy6G217800.1.p pacid=40050454 transcript=Brasy6G217800.1 locus=Brasy6G217800 ID=Brasy6G217800.1.v1.1 annot-version=v1.1 MASENERVSVAVMAEDAADRAKRLILALYQYENILGAEVVANAVADGARLGLIDDAVAGQLTTMSRSDADMYVSAAASGAVAAVLQAMANSLSETGIETNHGSLSAHRVKHDEINMVAATGIRRNNSLFSYFILAFSVVMAIVSVFVVVFRDV* >Brasy6G144800.1.p pacid=40050455 transcript=Brasy6G144800.1 locus=Brasy6G144800 ID=Brasy6G144800.1.v1.1 annot-version=v1.1 MPSSRRTTGRGAAPAAAGGGEEGGVRVPPFAGNNNDHNPRDLRSWARRTGFHPSAFFSGESAVSNSSTATAHPPPPPPPASSRRPPRQPEQGPEAEQDPAPPLDLERGRGGPRPRRRIDLRGELEIPAAVGDEVSAEPEAARRGRGDGRKRNGVERPPAGERREALNAGRSAPPGVAQADADARKKAEEAAEAKRKAEEAEARKKKEDEERDAELAAYYQEQWANEEEGAGEGVAADGETAPLHRAPGLRCGVTENPGWGLLVFYGIQHYLSIAGSLVFIPLILVPTMGGSDEDTATVISTMLLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYTGLMSLLLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLLLLCTLYLRKVSLFGNRIFLIYAVPLSVGITWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHAQVMKRCRTDVSSAWRTADWVRVPYPFQWGPPTFHFKTAIIMMIISLVASVDSLSSYHAASLVVNLSPPTRGVVSRGIGLEGISSFIAGLWGTGTGSTTLTENIHTLDITKMASRRALQLGAALLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTEAASSRNMIIVGFSLFISLSIPAYFQQYEPSSNFILPGYLLPYAAASTGPVRTASEGLNYAVNALLSINVVVALVVAMILDNTVTGSKQERGVYIWSDPNSLEMDPISLDPYRLPKKISCWFGWAKCVGI* >Brasy6G144800.2.p pacid=40050456 transcript=Brasy6G144800.2 locus=Brasy6G144800 ID=Brasy6G144800.2.v1.1 annot-version=v1.1 MPSSRRTTGRGAAPAAAGGGEEGGVRVPPFAGNNNDHNPRDLRSWARRTGFHPSAFFSGESAVSNSSTATAHPPPPPPPASSRRPPRQPEQGPEAEQDPAPPLDLERGRGGPRPRRRIDLRGELEIPAAVGDEVSAEPEAARRGRGDGRKRNGVERPPAGERREALNAGRSAPPGVAQADADARKKAEEAAEAKRKAEEAEARKKKEDEERDAELAAYYQEQWANEEEGAGEGVAADGETAPLHRAPGLRCGVTENPGWGLLVFYGIQHYLSIAGSLVFIPLILVPTMGGSDKFKHIMRELQGAILVGSVFQIILGYTGLMSLLLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLLLLCTLYLRKVSLFGNRIFLIYAVPLSVGITWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHAQVMKRCRTDVSSAWRTADWVRVPYPFQWGPPTFHFKTAIIMMIISLVASVDSLSSYHAASLVVNLSPPTRGVVSRGIGLEGISSFIAGLWGTGTGSTTLTENIHTLDITKMASRRALQLGAALLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTEAASSRNMIIVGFSLFISLSIPAYFQQYEPSSNFILPGYLLPYAAASTGPVRTASEGLNYAVNALLSINVVVALVVAMILDNTVTGSKQERGVYIWSDPNSLEMDPISLDPYRLPKKISCWFGWAKCVGI* >Brasy6G135000.1.p pacid=40050457 transcript=Brasy6G135000.1 locus=Brasy6G135000 ID=Brasy6G135000.1.v1.1 annot-version=v1.1 MASATASISSRAIALRDLKAARIGAVRQQVAVAPAGPAVRSQRARAVRPLCAAEPARKPLSASAAAAPVAPVVAEEEEAPAVAAAVDYEALAQELQGASPLEIMDRALDMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIHIEYMFPEAAEVQELVRNKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRASIPVVQVDPSFEGLDGGAGSLIKWNPVANVEGKDIWTFLRTMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNIEKEGQAPKVGVNGNGSAEASAPDIFQSQAVVNLTRPGIENLLKLENRTEPWLTVLYAPWCPYCQAMEASYLELAEKLSGSGVKVAKFRADGEQKPFAQAELQLQSFPTILLFPSRTARPIKYPSEKRDVESLLAFVNSLR* >Brasy6G141400.1.p pacid=40050458 transcript=Brasy6G141400.1 locus=Brasy6G141400 ID=Brasy6G141400.1.v1.1 annot-version=v1.1 MRSLRGLLLFSSAAEAAISANPYRTWRRHCILAAHYLSTSTSTGTILPWESPSRETLLRTIDVALKDGNVDQALQAFGNYKSLHGLPEPRILNSVIVSLSYTSSRRWLQRAYDMVLSVYQRNGNLLNCSSLMRLALALARDQTPVPASAVLRIILESGKLPDADMLSMVFLHMLKSQVGSYLAVDVLAETCECFLNQISDRRQLKKLDPIKNNVTLFNMVLESCVNFKCMIKAQKIMELMSLVGVVADVNTVVIASRVCEMVGQRDELMSMKRSIESFSSSPFFQHYLQLYDSLLNLHFKCNDMEAAANFVTALHRTQKPCVSFGDGLQKQAMIQIGSGNLKTGYRIMFDPTKLDKGFILDSKSQFSLLVSIDGKILPSEKALAKLIIGCVKAAKLNTLSMFCITLHKEDIKGISASDVINACIQMGWLQAAHDILDALESAEIPVGVGTYMSLLRAYEKEHKPEEFDGLLQQIQKMASSMAEFHTSPSFTMKDIAKIVKDKMPQTKSSLLSSLVEETEHYNPGDHLTFEFNNSVRFFCMAKMMEDALSTYKRMREQNIRPNLHTFCHILCGYSSLGMHREITVLWGEIKRRLEHGEICVDRDLLDCLVLDFLKGGYFSRVMEVINCMSTHNVYCDKWKYRQVFLKLHKNLYRNLNSLHDKTEAQSKRIEDVQAFRSWAGIK* >Brasy6G141400.3.p pacid=40050459 transcript=Brasy6G141400.3 locus=Brasy6G141400 ID=Brasy6G141400.3.v1.1 annot-version=v1.1 MRSLRGLLLFSSAAEAAISANPYRTWRRHCILAAHYLSTSTSTGTILPWESPSRETLLRTIDVALKDGNVDQALQAFGNYKSLHGLPEPRILNSVIVSLSYTSSRRWLQRAYDMVLSVYQRNGNLLNCSSLMRLALALARDQTPVPASAVLRIILESGKLPDADMLSMVFLHMLKSQVGSYLAVDVLAETCECFLNQISDRRQLKKLDPIKNNVTLFNMVLESCVNFKCMIKAQKIMELMSLVGVVADVNTVVIASRVCEMVGQRDELMSMKRSIESFSSSPFFQHYLQLYDSLLNLHFKCNDMEAAANFVTALHRTQKPCVSFGDGLQKQAMIQIGSGNLKTGYRIMFDPTKLDKGFILDSKSQFSLLVSIDGKILPSEKALAKLIIGCVKAAKLNTLSMFCITLHKEDIKGISASDVINACIQMGWLQAAHDILDALESAEIPVGVGTYMSLLRAYEKEHKPEEFDGLLQQIQKMASSMAEFHTSPSFTMKDIAKIVKDKMPQTKSSLLSSLVEETEHYNPGDHLTFEFNNSVRFFCMAKMMEDALSTYKRMREQNIRPNLHTFCHILCGYSSLGMHREITVLWGEIKRRLEHGEICVDRDLLDCLVLDFLKGGYFSRVMEVINCMSTHNVYCDKWKYRQVFLKLHKNLYRNLNSLHDKTEAQSKRIEDVQAFRSWAGIK* >Brasy6G141400.2.p pacid=40050460 transcript=Brasy6G141400.2 locus=Brasy6G141400 ID=Brasy6G141400.2.v1.1 annot-version=v1.1 MVLSVYQRNGNLLNCSSLMRLALALARDQTPVPASAVLRIILESGKLPDADMLSMVFLHMLKSQVGSYLAVDVLAETCECFLNQISDRRQLKKLDPIKNNVTLFNMVLESCVNFKCMIKAQKIMELMSLVGVVADVNTVVIASRVCEMVGQRDELMSMKRSIESFSSSPFFQHYLQLYDSLLNLHFKCNDMEAAANFVTALHRTQKPCVSFGDGLQKQAMIQIGSGNLKTGYRIMFDPTKLDKGFILDSKSQFSLLVSIDGKILPSEKALAKLIIGCVKAAKLNTLSMFCITLHKEDIKGISASDVINACIQMGWLQAAHDILDALESAEIPVGVGTYMSLLRAYEKEHKPEEFDGLLQQIQKMASSMAEFHTSPSFTMKDIAKIVKDKMPQTKSSLLSSLVEETEHYNPGDHLTFEFNNSVRFFCMAKMMEDALSTYKRMREQNIRPNLHTFCHILCGYSSLGMHREITVLWGEIKRRLEHGEICVDRDLLDCLVLDFLKGGYFSRVMEVINCMSTHNVYCDKWKYRQVFLKLHKNLYRNLNSLHDKTEAQSKRIEDVQAFRSWAGIK* >Brasy6G073200.1.p pacid=40050461 transcript=Brasy6G073200.1 locus=Brasy6G073200 ID=Brasy6G073200.1.v1.1 annot-version=v1.1 MSDSDEDHHFESKADAGASKTYPMQAGAIRKNGHIVIKNRPCKVPHVNRTDYQLIDISEDGFVSLLTESGDTKDDVKLPTDENILSQLKEGFAEGKDLVVTVMTAMGEEQICAVKDIGPK* >Brasy6G087400.1.p pacid=40050462 transcript=Brasy6G087400.1 locus=Brasy6G087400 ID=Brasy6G087400.1.v1.1 annot-version=v1.1 MALVSSSSSPAAVAALPSNGLAGARTSFLGGAGAGRAAAARPSFAVRAAAPERPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGEQTYFADTTTLFIVELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGYGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFRAFTPN* >Brasy6G017400.1.p pacid=40050463 transcript=Brasy6G017400.1 locus=Brasy6G017400 ID=Brasy6G017400.1.v1.1 annot-version=v1.1 MKILSWNCQGLGKASARRALMEIQGRVKADVVFLSETHMSKIKAENLMRNLGFDNLLVFESDGRSGGLLLLWRRELNVTSSLVHSNYIDIRIDETSDSGWRFTGIYGEPSSDRKYLTWNYLRDLHGMMDLPWMMAGDFNEILWVDEKDGGATRPQRCMQLFRDALDDCSLNDMGFQGDRFTWRRGRIRERLDRAVCNSRWNDLFPLAG* >Brasy6G041700.1.p pacid=40050464 transcript=Brasy6G041700.1 locus=Brasy6G041700 ID=Brasy6G041700.1.v1.1 annot-version=v1.1 MAPINSSNMEQHSQKLYEPDLPVQVRLQFAMEVRDSLEMTHTPDYLNFLRCYFRSFSAILSTYTTPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEENALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFKNTVTHFFDNPNSSANVIAAVPSQHLDPTADVSGMLAVPGCGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPSLLPLMVTAISVEGPDKVPPHLKTPFVEFKGAQVKTLSFLTYLLKSNADYIKPYEGSICSSIVNLLVTCPADSVSIRKELLVGLKQVLNTEYRRGLFPLIDILLDERVLVGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPLLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYEKGADQQSMDEARVLLGRILDAFVGKFRTLKHTIPQLLEDGEEGKERPHLRMKLEVPLQTVLNLQPPLEFAKEINDYKSLIRTLVMGMKTIIWSITHAHWPRPQQQNQQSSNLSAQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFECMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIASAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALCTLEFWIDSLNPDFLEPSMASLMSEVILALWSHLRPPPYKWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGTGMEAYYRKQALHFIRVCLDSLLNLRENVPGEGVSPGVLGHLLISSLDPSRRRNDSSDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTSLQDDNDFVVDICRHFAILFHVDPSSSNQSGFVQSMGSALSSSSTMGSRSRSNTSFNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARTKHTGVLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVIGLGALVGKVSVETLCIFQVRVVRGLIYVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANNEPRRQSFQGVVEFLAFELFNANASIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRLKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKLMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLSKFLNRYAVDAVDYFLARLSHPKYFRRFMYIICSDSGELRDELAKSPQKILASAFSQFYPQAEATGAQLPSSGPVNQQLALVKDEGAGATTEGFTGQSSSSLVTGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKTILSHFLNIFQLKQYGQDHLVVAMQILILPMLAHSFQNEQSWEVVDASMIKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDTRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHAELFYSCRAHFVPQMVNSLSRLGLPYNTTGENRRLAIELAGLVVAWERQRQSEKVMQESESQTQIVDMLSPAVIGGDPKRSSDVPMFADDLTKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKEKESSSLYKQALDLLTQALEVWPSVNVKYNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPHEIKLLYQRVQDLILKHLGAVTTPTPSQVSVELSNANSIISFALFVLNALAEVQKNFIDPFVGLLLRVLQRLARDMGSSAGSNARQGQRPETDSAVSSRPAADSTVISNMKTVLKLISERVMATSEYRRSMGQILQTLLTERGTDSSVLLCILDMIKAWVEDDYRLASSAGSVSSLNSKDILTYLQKLSLVDRKSFPSSVQEEWDAKYLQLLYSLCGDSTKYALSSRQEYFHKVERQHMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFVIHGQDWESVSDVFWLKQGLDLILAILVENEPITLASNSARLPPLTIAGPVPDRIVMPQQVPDAHESLDSNSLTFDSLTTRHSQFLNEASKRVVADVMAPLKELAFADPNVAYHLWVLVFPIVWVTLQKDEQVQLAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRHLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLSDFGKGVENYEILLDCLWKVPDWTYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHQNSFADLKDILETWRLRTPNDWDNTTIWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHITRKHGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLDMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFMLKMNECENANAAYSNAITLFKHLPKGWISWGNYCDMIFKETSEEVWLEYAASCFFQGVKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANTASNNLSEVNARASNHVGNNLTSDNQVHQATQSGGAAGSHEGGNLQGQELDRSVVEGSTSSGHDQGHQSSTGAEGSQSAQRRNSGLGWATSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKHDFERDLDPESANTFPSTLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKILRDFHVVDVELPGQYFSDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQFSPEQVVELRLQAYSEITKNIVNDNIFSGYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFSEFVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPAAGMINPLDFQQKVINNVEHVINRIKSISPHYLADEEENTTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF* >Brasy6G041700.2.p pacid=40050465 transcript=Brasy6G041700.2 locus=Brasy6G041700 ID=Brasy6G041700.2.v1.1 annot-version=v1.1 MAPINSSNMEQHSQKLYEPDLPVQVRLQFAMEVRDSLEMTHTPDYLNFLRCYFRSFSAILSTYTTPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEENALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFKNTVTHFFDNPNSSANVIAAVPSQHLDPTADVSGMLAVPGCGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPSLLPLMVTAISVEGPDKVPPHLKTPFVEFKGAQVKTLSFLTYLLKSNADYIKPYEGSICSSIVNLLVTCPADSVSIRKELLVGLKQVLNTEYRRGLFPLIDILLDERVLVGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPLLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYEKGADQQSMDEARVLLGRILDAFVGKFRTLKHTIPQLLEDGEEGKERPHLRMKLEVPLQTVLNLQPPLEFAKEINDYKSLIRTLVMGMKTIIWSITHAHWPRPQQNQQSSNLSAQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFECMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIASAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALCTLEFWIDSLNPDFLEPSMASLMSEVILALWSHLRPPPYKWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGTGMEAYYRKQALHFIRVCLDSLLNLRENVPGEGVSPGVLGHLLISSLDPSRRRNDSSDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTSLQDDNDFVVDICRHFAILFHVDPSSSNQSGFVQSMGSALSSSSTMGSRSRSNTSFNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARTKHTGVLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVIGLGALVGKVSVETLCIFQVRVVRGLIYVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANNEPRRQSFQGVVEFLAFELFNANASIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRLKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKLMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLSKFLNRYAVDAVDYFLARLSHPKYFRRFMYIICSDSGELRDELAKSPQKILASAFSQFYPQAEATGAQLPSSGPVNQQLALVKDEGAGATTEGFTGQSSSSLVTGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKTILSHFLNIFQLKQYGQDHLVVAMQILILPMLAHSFQNEQSWEVVDASMIKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDTRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHAELFYSCRAHFVPQMVNSLSRLGLPYNTTGENRRLAIELAGLVVAWERQRQSEKVMQESESQTQIVDMLSPAVIGGDPKRSSDVPMFADDLTKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKEKESSSLYKQALDLLTQALEVWPSVNVKYNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPHEIKLLYQRVQDLILKHLGAVTTPTPSQVSVELSNANSIISFALFVLNALAEVQKNFIDPFVGLLLRVLQRLARDMGSSAGSNARQGQRPETDSAVSSRPAADSTVISNMKTVLKLISERVMATSEYRRSMGQILQTLLTERGTDSSVLLCILDMIKAWVEDDYRLASSAGSVSSLNSKDILTYLQKLSLVDRKSFPSSVQEEWDAKYLQLLYSLCGDSTKYALSSRQEYFHKVERQHMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFVIHGQDWESVSDVFWLKQGLDLILAILVENEPITLASNSARLPPLTIAGPVPDRIVMPQQVPDAHESLDSNSLTFDSLTTRHSQFLNEASKRVVADVMAPLKELAFADPNVAYHLWVLVFPIVWVTLQKDEQVQLAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRHLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLSDFGKGVENYEILLDCLWKVPDWTYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHQNSFADLKDILETWRLRTPNDWDNTTIWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHITRKHGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLDMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFMLKMNECENANAAYSNAITLFKHLPKGWISWGNYCDMIFKETSEEVWLEYAASCFFQGVKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANTASNNLSEVNARASNHVGNNLTSDNQVHQATQSGGAAGSHEGGNLQGQELDRSVVEGSTSSGHDQGHQSSTGAEGSQSAQRRNSGLGWATSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKHDFERDLDPESANTFPSTLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKILRDFHVVDVELPGQYFSDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQFSPEQVVELRLQAYSEITKNIVNDNIFSGYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFSEFVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPAAGMINPLDFQQKVINNVEHVINRIKSISPHYLADEEENTTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF* >Brasy6G041700.3.p pacid=40050466 transcript=Brasy6G041700.3 locus=Brasy6G041700 ID=Brasy6G041700.3.v1.1 annot-version=v1.1 MAPINSSNMEQHSQKLYEPDLPVQVRLQFAMEVRDSLEMTHTPDYLNFLRCYFRSFSAILSTYTTPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEENALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFKNTVTHFFDNPNSSANVIAAVPSQHLDPTADVSGMLAVPGCGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPSLLPLMVTAISVEGPDKVPPHLKTPFVEFKGAQVKTLSFLTYLLKSNADYIKPYEGSICSSIVNLLVTCPADSVSIRKELLVGLKQVLNTEYRRGLFPLIDILLDERVLVGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPLLSRIIYLFSRNMHDSSLTLIIHTTSARLMLNLVEPIYEKGADQQSMDEARVLLGRILDAFVGKFRTLKHTIPQLLEDGEEGKERPHLRMKLEVPLQTVLNLQPPLEFAKEINDYKSLIRTLVMGMKTIIWSITHAHWPRPQQQNQQSSNLSAQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFECMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIASAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALCTLEFWIDSLNPDFLEPSMASLMSEVILALWSHLRPPPYKWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGTGMEAYYRKQALHFIRVCLDSLLNLRENVPGEGVSPGVLGHLLISSLDPSRRRNDSSDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAANADTSLQDDNDFVVDICRHFAILFHVDPSSSNQSGFVQSMGSALSSSSTMGSRSRSNTSFNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARTKHTGVLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVIGLGALVGKVSVETLCIFQVRVVRGLIYVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANNEPRRQSFQGVVEFLAFELFNANASIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRLKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKLMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLSKFLNRYAVDAVDYFLARLSHPKYFRRFMYIICSDSGELRDELAKSPQKILASAFSQFYPQAEATGAQLPSSGPVNQQLALVKDEGAGATTEGFTGQSSSSLVTGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKTILSHFLNIFQLKQYGQDHLVVAMQILILPMLAHSFQNEQSWEVVDASMIKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDTRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHAELFYSCRAHFVPQMVNSLSRLGLPYNTTGENRRLAIELAGLVVAWERQRQSEKVMQESESQTQIVDMLSPAVIGGDPKRSSDVPMFADDLTKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKEKESSSLYKQALDLLTQALEVWPSVNVKYNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPHEIKLLYQRVQDLILKHLGAVTTPTPSQVSVELSNANSIISFALFVLNALAEVQKNFIDPFVGLLLRVLQRLARDMGSSAGSNARQRPETDSAVSSRPAADSTVISNMKTVLKLISERVMATSEYRRSMGQILQTLLTERGTDSSVLLCILDMIKAWVEDDYRLASSAGSVSSLNSKDILTYLQKLSLVDRKSFPSSVQEEWDAKYLQLLYSLCGDSTKYALSSRQEYFHKVERQHMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFVIHGQDWESVSDVFWLKQGLDLILAILVENEPITLASNSARLPPLTIAGPVPDRIVMPQQVPDAHESLDSNSLTFDSLTTRHSQFLNEASKRVVADVMAPLKELAFADPNVAYHLWVLVFPIVWVTLQKDEQVQLAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMLMNEAKCSESLAELYRHLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLSDFGKGVENYEILLDCLWKVPDWTYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHQNSFADLKDILETWRLRTPNDWDNTTIWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHITRKHGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLDMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFMLKMNECENANAAYSNAITLFKHLPKGWISWGNYCDMIFKETSEEVWLEYAASCFFQGVKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANTASNNLSEVNARASNHVGNNLTSDNQVHQATQSGGAAGSHEGGNLQGQELDRSVVEGSTSSGHDQGHQSSTGAEGSQSAQRRNSGLGWATSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKHDFERDLDPESANTFPSTLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKILRDFHVVDVELPGQYFSDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQFSPEQVVELRLQAYSEITKNIVNDNIFSGYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFSEFVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPAAGMINPLDFQQKVINNVEHVINRIKSISPHYLADEEENTTEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF* >Brasy6G233500.1.p pacid=40050467 transcript=Brasy6G233500.1 locus=Brasy6G233500 ID=Brasy6G233500.1.v1.1 annot-version=v1.1 MAQPRGPSPPALLDELIGEILLRIPPDEPECLFRASLVCKPWRRLVTDRAFRRLYREHHGTPPVLGFLRNMFDADVARFVPTTAFRAPDVPDCYTFDCRHGRALLFDHCSKERFLVWDLITGEKHHVREPDIPWYYNKAAVLCAAAGCDHLDCHGGPFIVAFIGTHDDEEGVHACFYSSETGAWSAPSYLQLEYSVEALPSLLVGGSLYFICDSGEVILRYELFNNRDLSVIDTPDIYKEGITHAVREWWAWARWHQRVHTLPVVAEYGSWRSCNVGKMRGHQARHAPHPRILDV* >Brasy6G071000.1.p pacid=40050468 transcript=Brasy6G071000.1 locus=Brasy6G071000 ID=Brasy6G071000.1.v1.1 annot-version=v1.1 MLEKKAGGEFSGEKVIAEFERLTRDAANVQRETLRRILGENAAAEYLQKLGLAGRTDAGSFRACVPLATHADFEPYIDRIADGDDSPVLTATPVTSISLSSGTTQGKRKYLLFNDELVKSTMQIYRTSYAFRNREFPVEKGGKALQFIYSSREFTTKGGLTATTATTNVYRSEAFKATMRDVQSQCSSPDEVIFEAATGDFAQSLYCHLLCGLHSRAQVQSVTATFAHSVVLAFQTFERVWEELCADIRCGAPSPTRVTSPALRRAVSARLAGPDPALADAVGRACAGLSNWYGVIPALWPNAKYVYGIMTGSMEHYVRKLRHYAGGLPLVAAEYGASEGWIGANVDPGVSPESATFTVLPDIGYFEFIPLGSGCAAAGACCGEEEPVGLTEVVVGEHYEVVVTTFAGLYRYRLGDVVQVAGFHNATPKLRFVCRRNLVLSINIDKNSEQDLQLAVDSAAAKALAAEKLEVVDYTSYADMSSDPAHYVVFFELNADAHDDALRACCDELDRAFADPGYVGSRRSRAIGPLELRVLQRGTFHRVLRHYLSLGAPVSQFKSPHSVARGNAGVLQILAGSTAKVFFSAAYD* >Brasy6G179200.1.p pacid=40050469 transcript=Brasy6G179200.1 locus=Brasy6G179200 ID=Brasy6G179200.1.v1.1 annot-version=v1.1 MAADTSKPFFPAAPHTSLLPSHGNPNRLSPEASYWRNFRSSELETGSQFPVTHLTFSAAHATPTLAAVWFNTVRLFSGDPLAPRPKISVLKDDFAYSPSFRSDGALLAAGDKKGVVRVFRVDKPTSGPLRTLCAHSAETRVVRYPVAGGDKIHLLTAGDDALLAYWDVPSETPLFTVPAAHRDYIRAGAPSPADHNLFVTGSYDHSVKLWDARTGKAPSLSFSHGASVESVLFLPSGGLLATAGGNMVKIWDVIGGGQLVHSVESHVKTVMALALGKMDNTGETRLLSAGSDGYLKSFDYGKLKITHSMRYPKQLLSVACSPCGTVLVAGSSKGDIYVRRRKKKTIEEEQGGKVGVFDWASPKPEKKVLRSGNYRYFLRGQNEKPREGDFVIEKPKKVKFAEHDKLLRKFMHKEALVSALAKNNPRSAVAVMEELVARRKLVRSIGNLDVEELGLFLEFLHRNATLPRYARFLLGVANRVLEMRAEDIRSDGKLRGYIRNLKRMVAEEIQIQHALQGIQGMISPMLALASR* >Brasy6G107000.1.p pacid=40050470 transcript=Brasy6G107000.1 locus=Brasy6G107000 ID=Brasy6G107000.1.v1.1 annot-version=v1.1 MHRLPLATRPPPQAHRTSSPLSVRQRRLVCCCSLNSLADAARRSAAAPLLAASLLLGAAAASPGIPAATPPAIAQPGGGAALFRKACIGCHDTGGNILQPGATLFMKDLERNGVATEEEIYNITYYGKGRMPGYGEKCTPRGQCTFGPRLAEDDIRMLAAFVKSQAESGWPKIDADME* >Brasy6G163100.1.p pacid=40050471 transcript=Brasy6G163100.1 locus=Brasy6G163100 ID=Brasy6G163100.1.v1.1 annot-version=v1.1 MQHGVCSPIGPSRPSGSTTAGRTATYPQFPGQRIQRQVVVGSNDGMVSFMVLYHSPCV* >Brasy6G006400.1.p pacid=40050472 transcript=Brasy6G006400.1 locus=Brasy6G006400 ID=Brasy6G006400.1.v1.1 annot-version=v1.1 MRRLVQYCGLVAMLVVVAVVLLALGVAPMEAAAGASRSSHGSSDPHHAYASDHFSPVAKSDSVGGGGGSGGGGGGGGAGEGDQGHKCGLGSAGGDVDSSSSSGVCVHVGSGGASTTSHSP* >Brasy6G165100.1.p pacid=40050473 transcript=Brasy6G165100.1 locus=Brasy6G165100 ID=Brasy6G165100.1.v1.1 annot-version=v1.1 MSCSCDQSAWEASGSRPAESSVPCIGARRHALCSLLITCLRKWLKGVAFTMITVQDPHVAVNPTVQIGKRVISIGNGRSLEIAGHITIQRLFHPSQPNTEILYLQLRCSCSRDHHWNNKSWFPSAWRLLEPSSLWLCMAVLEGKKRWTGHGDASLVTECCTLVIMRCINIALMCVQENAADAKQ* >Brasy6G230300.1.p pacid=40050474 transcript=Brasy6G230300.1 locus=Brasy6G230300 ID=Brasy6G230300.1.v1.1 annot-version=v1.1 MCAALLQRLPAASVRLTTCRPAPAASPLCITPLYHVE* >Brasy6G229400.1.p pacid=40050475 transcript=Brasy6G229400.1 locus=Brasy6G229400 ID=Brasy6G229400.1.v1.1 annot-version=v1.1 MGQYLHPYYSIGRFKAAYAGEIPALTDQFQWPEVNIGYDLATPNLARKPGRPKHPRTVRNAQKITVTTSRKKGASTTSSNKPATTSSKKTTSTTSKKRKRTVAIDMLYKKA* >Brasy6G022300.1.p pacid=40050476 transcript=Brasy6G022300.1 locus=Brasy6G022300 ID=Brasy6G022300.1.v1.1 annot-version=v1.1 MPSKAGDNSVRPIEPNNSALKQQIWFRACSTQSQQRRSRSAPRCPARLLPPTGVRSNPSRMAATAPAPSPAPTPVSSSTRPRLSGFQPLDERIKELTSSQGELLGRIQSLKLEVQTWRSNLEAQVKTCQNELLEAKEGLNSEVQQLKSDINEIRSAIQEEKGSFTTPFRNLEESNAETEQARQTQDQELKLDDAHAEQQTAMQA* >Brasy6G022300.2.p pacid=40050477 transcript=Brasy6G022300.2 locus=Brasy6G022300 ID=Brasy6G022300.2.v1.1 annot-version=v1.1 MPSKAGDNSVRPIEPNNSALKQQIWFRACSTQSQQRRSRSAPRCPARLLPPTGVRSNPSRMAATAPAPSPAPTPVSSSTRPRLSGFQPLDERIKELTSSQGELLGRIQSLKLEVQTWRSNLEAQVKTCQNELLEAKEGLNSEVQQLKSVCNPGRKGQLYNSIQKFRGEQCRNRTSQADTRPRTQA* >Brasy6G127200.1.p pacid=40050478 transcript=Brasy6G127200.1 locus=Brasy6G127200 ID=Brasy6G127200.1.v1.1 annot-version=v1.1 MDFLSTVKSMVPSSEGANAVERYKKALATAASVMAYAMLVRGMARELLPDELRAAVRWGAALVRARLGARDKERNTLVIRSEFMDPFQNNGYSSSNGLFDASRAYLATKIDPRAMRRLCLSQGSIKDSDGSSSWSTLLSMEDGVSTTDEFEGIEFHWRSNEGGGGGDDGDRQGNGGESLELSFDAEHTDTALKKYVPFIMSMAEELRQRDQALKIFMNNGGSWRGINHYHPASFDTLAMDPALKRAVVDDIDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVHFNSTLQRLLIDMPNKSILVIEDIDCCSNATSREDGKESKAKDGDDDVDNGSVDRENTTPSITLSGLLNFIDGLWSTSGEERVIIFTTNYKDRLDPALLRPGRMDMHVYMGFCCWEAFRTLAWNYFLIDDHPLFREIQGLLAKVEVTPAEVSEMLLRSEDVDVAMRLLMEFLQQRRGAAKEADDKNNVAP* >Brasy6G196800.1.p pacid=40050479 transcript=Brasy6G196800.1 locus=Brasy6G196800 ID=Brasy6G196800.1.v1.1 annot-version=v1.1 MAAHYQATTLIASPSYPNAIAWSSENLVAVASGHVITILNPAALDGPRGIALLRPSDPFPIGVVNRDDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYELCDDWVKVADISQLLFNYYQDINFGEDDGPDLFQQEELNNEHTQDTGYAGELQEPLSSRGPGPGRRKRKPARVESYVYDEDEDNLGALDADFSLNPCSKSMKRSMKKIVKPVHEMAVVIRQGGSQNTKEPLSCNGENKLLPHITAKQYACRNAVLSSLVVAWSPVLLAHDASHWCILAVGSKSGDVSFWKIHKPVYYTIDVCTVYRDPILIGVLKAHNSWVSAMSWEVFSASSSKCSLLLATGCTDGSVKIWSGDIKELNQCIDAKGVPFSLVTEVTTNSSAPVSSISFSLPAQPQYEVNLAIGRVSGSLETWTFDRCTNRINSSACHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNHLQEIPLHTNFLEQKESTNLSEVSDRCYGLTLAPGELMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLDKSIHIWSQQSAMSSVTNLLWWGSNIFWSLKKYEKSQTGLVLWDVIAALQVIKKNAPTFLENILHKWVSDDQYCVSVDIPYQSINDMISKASSRKLHLLNIICRKVMLSDHAQHSPSGGKGIGASTDLWNNLLASSERELRERLVAFTFSAVLSRTSYFLKGSCTENRWFPVGVAQMDSWVSMNSGVCNQLKSLSSAIKDLGRRIDSVCEYSVDETCAYCSAPVHFESPDVALCGGVDPAIAPAERHKLSRCTASMRLCSVLQPIWYCACCGGMVDKLVPETFFTMMTSPLGGNEESLYSAPAVPLCPFCGILLQRLTPEFLLSISPV* >Brasy6G196800.4.p pacid=40050480 transcript=Brasy6G196800.4 locus=Brasy6G196800 ID=Brasy6G196800.4.v1.1 annot-version=v1.1 MAAHYQATTLIASPSYPNAIAWSSENLVAVASGHVITILNPAALDGPRGIALLRPSDPFPIGVVNRDDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYELCDDWVKVADISQLLFNYYQDINFGEDDGPDLFQQEELNNEHTQDTGYAGELQEPLSSRGPGPGRRKRKPARVESYVYDEDEDNLGALDADFSLNPCSKSMKRSMKKIVKPVHEMAVVIRQGGSQNTKEPLSCNGENKLLPHITAKQYACRNAVLSSLVVAWSPVLLAHDASHWCILAVGSKSGDVSFWKIHKPVYYTIDVCTVYRDPILIGVLKAHNSWVSAMSWEVFSASSSKCSLLLATGCTDGSVKIWSGDIKELNQCIDAKGVPFSLVTEVTTNSSAPVSSISFSLPAQPQYEVNLAIGRVSGSLETWTFDRCTNRINSSACHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNHLQEIPLHTNFLEQKESTNLSEVSDRCYGLTLAPGELMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLDKSIHIWSQQSAMSSVTNLLWWGSNIFWSLKKYEKSQTGLVLWDVIAALQVIKKNAPTFLENILHKWVSDDQYCVSVDIPYQSINDMISKASSRKLHLLNIICRKVMLSDHAQHSPSGGKGIGASTDLWNNLLASSERELRERLVAFTFSAVLSRTSYFLKGSCTENRWFPVGVAQMDSWVSMNSGVCNQLKSLSSAIKDLGRRIDSVCEYSVDETCAYCSAPVHFESPDVALCGGVDPAIAPAERHKLSRCTASMRLCSVLQPIWYCACCGGMVDKLVPETFFTMMTSPLGGNEESLYSAPAVPLCPFCGILLQRDTIMYLWDAFWE* >Brasy6G196800.2.p pacid=40050481 transcript=Brasy6G196800.2 locus=Brasy6G196800 ID=Brasy6G196800.2.v1.1 annot-version=v1.1 MAAHYQATTLIASPSYPNAIAWSSENLVAVASGHVITILNPAALDGPRGIALLRPSDPFPIGVVNRDDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYELCDDWVKVADISQLLFNYYQDINFGEDDGPDLFQQEELNNEHTQDTGYAGELQEPLSSRGPGPGRRKRKPARVESYVYDEDEDNLGALDADFSLNPCSKSMKRSMKKIVKPVHEMAVVIRQGGSQNTKEPLSCNGENKLLPHITAKQYACRNAVLSSLVVAWSPVLLAHDASHWCILAVGSKSGDVSFWKIHKPVYYTIDVCTVYRDPILIGVLKAHNSWVSAMSWEVFSASSSKCSLLLATGCTDGSVKIWSGDIKELNQCIDAKGVPFSLVTEVTTNSSAPVSSISFSLPAQPQYEVNLAIGRVSGSLETWTFDRCTNRINSSACHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNHLQEIPLHTNFLEQKESTNLSEVSDRCYGLTLAPGELMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLDKSIHIWSQQSAMSSVTNLLWWGSNIFWSLKKYEKSQTGLVLWDVIAALQVIKKNAPTFLENILHKWVSDDQYCVSVDIPYQSINDMISKASSRKLHLLNIICRKVMLSDHAQHSPSGGKGIGASTDLWNNLLASSERELRERLVAFTFSAVLSRTSYFLKGSCTENRWFPVGVAQMDSWVSMNSGVCNQLKSLSSAIKDLGRRIDSVCEYSVDETCAYCSAPVHFESPDVALCGGVDPAIAPAERHKLSRCTASMRLCSVLQPIWYCACCGGMVDKLVPETFFTMMTSPLGGNEESLYSAPAVPLCPFCGILLQRDTIMYLWDAFWE* >Brasy6G196800.3.p pacid=40050482 transcript=Brasy6G196800.3 locus=Brasy6G196800 ID=Brasy6G196800.3.v1.1 annot-version=v1.1 MAAHYQATTLIASPSYPNAIAWSSENLVAVASGHVITILNPAALDGPRGIALLRPSDPFPIGVVNRDDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYELCDDWVKEELNNEHTQDTGYAGELQEPLSSRGPGPGRRKRKPARVESYVYDEDEDNLGALDADFSLNPCSKSMKRSMKKIVKPVHEMAVVIRQGGSQNTKEPLSCNGENKLLPHITAKQYACRNAVLSSLVVAWSPVLLAHDASHWCILAVGSKSGDVSFWKIHKPVYYTIDVCTVYRDPILIGVLKAHNSWVSAMSWEVFSASSSKCSLLLATGCTDGSVKIWSGDIKELNQCIDAKGVPFSLVTEVTTNSSAPVSSISFSLPAQPQYEVNLAIGRVSGSLETWTFDRCTNRINSSACHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNHLQEIPLHTNFLEQKESTNLSEVSDRCYGLTLAPGELMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLDKSIHIWSQQSAMSSVTNLLWWGSNIFWSLKKYEKSQTGLVLWDVIAALQVIKKNAPTFLENILHKWVSDDQYCVSVDIPYQSINDMISKASSRKLHLLNIICRKVMLSDHAQHSPSGGKGIGASTDLWNNLLASSERELRERLVAFTFSAVLSRTSYFLKGSCTENRWFPVGVAQMDSWVSMNSGVCNQLKSLSSAIKDLGRRIDSVCEYSVDETCAYCSAPVHFESPDVALCGGVDPAIAPAERHKLSRCTASMRLCSVLQPIWYCACCGGMVDKLVPETFFTMMTSPLGGNEESLYSAPAVPLCPFCGILLQRDTIMYLWDAFWE* >Brasy6G196800.5.p pacid=40050483 transcript=Brasy6G196800.5 locus=Brasy6G196800 ID=Brasy6G196800.5.v1.1 annot-version=v1.1 MAAHYQATTLIASPSYPNAIAWSSENLVAVASGHVITILNPAALDGPRGIALLRPSDPFPIGVVNRDDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYELCDDWVKEELNNEHTQDTGYAGELQEPLSSRGPGPGRRKRKPARVESYVYDEDEDNLGALDADFSLNPCSKSMKRSMKKIVKPVHEMAVVIRQGGSQNTKEPLSCNGENKLLPHITAKQYACRNAVLSSLVVAWSPVLLAHDASHWCILAVGSKSGDVSFWKIHKPVYYTIDVCTVYRDPILIGVLKAHNSWVSAMSWEVFSASSSKCSLLLATGCTDGSVKIWSGDIKELNQCIDAKGVPFSLVTEVTTNSSAPVSSISFSLPAQPQYEVNLAIGRVSGSLETWTFDRCTNRINSSACHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNHLQEIPLHTNFLEQKESTNLSEVSDRCYGLTLAPGELMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLDKSIHIWSQQSAMSSVTNLLWWGSNIFWSLKKYEKSQTGLVLWDVIAALQVIKKNAPTFLENILHKWVSDDQYCVSVDIPYQSINDMISKASSRKLHLLNIICRKVMLSDHAQHSPSGGKGIGASTDLWNNLLASSERELRERLVAFTFSAVLSRTSYFLKGSCTENRWFPVGVAQMDSWVSMNSGVCNQLKSLSSAIKDLGRRIDSVCEYSVDETCAYCSAPVHFESPDVALCGGVDPAIAPAERHKLSRCTASMRLCSVLQPIWYCACCGGMVDKLVPETFFTMMTSPLGGNEESLYSAPAVPLCPFCGILLQRDTIMYLWDAFWE* >Brasy6G245700.1.p pacid=40050484 transcript=Brasy6G245700.1 locus=Brasy6G245700 ID=Brasy6G245700.1.v1.1 annot-version=v1.1 MDHQELLPSHGDPSAPAAAYPRWVLPEQSRWCEDPDARPMASNHTSSGHPVRVSFPFAPPPAVSRTLVDFPGGGLSDNEIELRPLVIAAHGDSVLVQILTVDENAGYDEGCTLDYFVYSTGDTPARPPSLSRLPPCYLTKQEEGRRRPRERHMGLPDMTLLRRRNDNETVVAALDTRCGHDGRNKEEGPVQEAELCLLRRSGDWELKRLPLRYVSLPVKPDLNTYYGEGRGGSSYRSVCATGGGDMVMFVEELWFLPGYAGVIPRVKPECPTVSLDDPDVLCFVVDKSKYHMDDVDGDHVVRLIKVDRRRMELRFVSSYDLEYHCTGSFLSCAVSQYFNTFSQGRTLASQRQWPCEEDNILGVAKKPTLPELAPMASPQEMPELAHGDKLRVYNVLGSDENRLKLRSLYSALPINMRKDYCLLIENMCNRSNT* >Brasy6G159200.1.p pacid=40050485 transcript=Brasy6G159200.1 locus=Brasy6G159200 ID=Brasy6G159200.1.v1.1 annot-version=v1.1 MARISLLLRAMAAAVLILAMAVGSSDAQPSPGYYPSSRFRPVAFNRGYKNKWGPQHQTISGDQSALTIWLDKTCGSGFKSKHSYRNGYFATRIKLPSGYTAGTNTAFYLSNSEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIIGREMRFHLWFDPTAGFHHYAILWNPDAITFFVDDVPIRRYERKTELTFPDREMWAYGSIWDASDWATDDGRHRADYRYQPFVARFDRFVVAGCATTAPASCRPVPASGPRGSTGTGLTPRQYAAMRWAQQGHMVYYYCQDFRRDRSLTPEC* >Brasy6G159200.2.p pacid=40050486 transcript=Brasy6G159200.2 locus=Brasy6G159200 ID=Brasy6G159200.2.v1.1 annot-version=v1.1 MARISLLLRAMAAAVLILAMAVGSSDAQPSPGYYPSSRFRPVAFNRGYKNKWGPQHQTISGDQSALTIWLDKTCGSGFKSKHSYRNGYFATRIKLPSGYTAGTNTAFYLSNSEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIIGREMRFHLWFDPTAGFHHYAILWNPDAITFFVDDVPIRRYERKTELTFPDREMWAYGSIWDASDWATDDGRHRADYRYQPFVARFDRFVVAGCATTAPASCRPVPASGPRGSTGTGLTPRQYAAMRWAQQGHMVYYYCQDFRRDRSLTPEC* >Brasy6G030500.1.p pacid=40050487 transcript=Brasy6G030500.1 locus=Brasy6G030500 ID=Brasy6G030500.1.v1.1 annot-version=v1.1 MQLSRLNQQTFLSLLKSAASASASSYSHHLCSLHGVGTKLGLLSYTRIDNAFIQWYCSAGRVIDARRVFDGMPRRDTVSFNSMIHGYAVSGDVGSAQRLFERVPAPTPVTWTSMVAGFCRAGDVESARRVFEEMPERDLVSWNAMISGCVGNRLPVEALHLFRRMMEEGFVPNRGTVVSVLSACAGAGALLTGKWVHVFVEKKRLHWDEFLGTALVDMYAKCGAVELALDVFAGLRARNTCTWNAMINGLAMNGYSAKALDMFRQMELDGTAAPDEVTFVGVLLACSHGGFVDAGKEHFYTIPKKYGVELILEHYACMVDLLARSGHLQEAHKLITEMPMKPDVVVCRALLGGCRLHKNVKMAENVISEMEATCSGDHVLLSNLYAAVGRWNGVEDVRRTMRSKGIEKIPGCSSVEMDGSIHEFISGDKSHPSYDDIHAKLIEIAGRMKQQGYVTETAEVFYDIEDEEKAQALGYHSEKLAIAFGLIGGSPEATIRIVKNLRFCTDCHSFAKLVSKIYHREIVVRDRARFHHFGGGACSCNDFW* >Brasy6G058000.1.p pacid=40050488 transcript=Brasy6G058000.1 locus=Brasy6G058000 ID=Brasy6G058000.1.v1.1 annot-version=v1.1 MPLPALPSPSSPLIGLFLRWFAVAGLVLAVEARRRVDLLAFGGGSAGVLLLLLDPAAVLLFPPDFAPYCGC* >Brasy6G052000.1.p pacid=40050489 transcript=Brasy6G052000.1 locus=Brasy6G052000 ID=Brasy6G052000.1.v1.1 annot-version=v1.1 MAREIVLREKRVRGKASIHGRGLRTFFAVLPSSDHVGGGGERKEASCRKMEREGLPGPPRACGMRMRRPECCKLRRMLRRPGRDGGAGAARQVFGPRVAGRTPRSLRSSCRSM* >Brasy6G028400.1.p pacid=40050490 transcript=Brasy6G028400.1 locus=Brasy6G028400 ID=Brasy6G028400.1.v1.1 annot-version=v1.1 MQAAAAAARARRLLASPSASEITGILSASHRGCAPGAELALLPRLDNGFLASPSSPQLRARSFSSYSSRLPRNLPLRTITSDWRSEKSMCYHMSRSHFSTESSDINHPKESAEELYQKMLKSVEAQTMPPNSWLWAMISSCSSEEDIKLLFQILQKLRIFRLSNLRINANFNDHLCMKVSEACARVGVLDYGLKVLWNHNVYGVTPTIGSAHYLLQHAKEHNDTKLMEKIMQVLRRNSLPLQPGTADIVFSICYNADRWDLLSKYAARFVQAGVKLRRTAFDIWMEFAAKVGDSLSIWNINSLRGKSIKHYTLTTGFACAKGSLLEHKPENAAATIKLLHKHLPDQKKPFVKDELQKLVAEWPTEVIKRQKKDDRKAMAEALVRDIPKMISSMAKSGLDIAVDLDTLPTPQAEAA* >Brasy6G082300.1.p pacid=40050491 transcript=Brasy6G082300.1 locus=Brasy6G082300 ID=Brasy6G082300.1.v1.1 annot-version=v1.1 MPPNPSPPRRAAPAASMAADDEEAFEEVDPTGRYGRYADVLGLGSVKKVYRAFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLSHDHIIGFHKVWLDRDAGVLSFITEVCNSGSLREYRARHRHVSVKALKKWARQILLGLHHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECESVVQIYHSVTRGVPPAALRRLKDPELRGFIDRCIGQPRNRPTAAELLLDPFFSGIDDDTTGTLS* >Brasy6G116400.1.p pacid=40050492 transcript=Brasy6G116400.1 locus=Brasy6G116400 ID=Brasy6G116400.1.v1.1 annot-version=v1.1 MMFACFGGAAAVADEAAVSSSRPRRRHHLRRGKFLFGNKGEKSASKMSSPGRREAVFDLYDMIGATGKLSSSSSSSALSTAASLDSACSSSSSSSCSSNSSSRSSSSSSLLMLEAAHQLPVNSKEANPKRSPAAGAAAVLVCLLMMMFGGRLAATMLTSAALCFFPRLWPAPAASRAKAAEGVLSSQPERDAAAAREEAEAVKTKAATGVFLVRRNRNK* >Brasy6G096100.1.p pacid=40050493 transcript=Brasy6G096100.1 locus=Brasy6G096100 ID=Brasy6G096100.1.v1.1 annot-version=v1.1 MAALLAPAISGEVGLRLLLAPLSSNVVVRTASCAVGIGLPVYSTYRAIEKKDQDEKERLLLYWAAYGSFSMVEVFADKLISSVPLYYHAKFAILVWLQFPSNGGSKHVYRRYLRPFFLKHQAKIDRILNIMSKELTKFVSNHEDEIRFVENLAIRGATTASYIVNGVDQPGQPEEVNTTEGPNSTATEEAETPRGETRA* >Brasy6G039800.1.p pacid=40050494 transcript=Brasy6G039800.1 locus=Brasy6G039800 ID=Brasy6G039800.1.v1.1 annot-version=v1.1 MDLNNGHVDIVEDEDGLSILMDDILLSILGRVDITTAARTSVLSTRWKHLPWLLPELTFDVKDFLPVPQPNPIEAAHMDQAMSSLTKATKSFLAIPRTQGAITKLQLKLYLINNYSCNIGPLVSETIDRGILKDLDLPILDEKEIADCTDEQMLQQAVAVNGFFTDYPSVLHCVTRLSLCNLCFVEWDMHHHLFVSCKQLQHLILSNCDVGLHKVWKIDAPDSKLTVLELDYCCFGGLDVLCLPKLERLCWETWECPHAPLSFDVVPSLKDLNLTCPTTKEHKGFMLSDVLSGTTNLQTLKLDFQGEMLWIQPEGNQLRNGFNTLRRLSIYGIFAQLDLLWTIVLLEAAPSLEIFDIEVWEHPCQINERRGFRQERTNPSWDLSKLTRSNNSFLKELQIIGFRPLEQQLLFIKAVMERAPNLVAVVLKYDDPCEDCEAMGSFPPRSSTDCIFPMNKDEQDIVVNLIRFGVFSPAQIIFDTTPP >Brasy6G112100.1.p pacid=40050495 transcript=Brasy6G112100.1 locus=Brasy6G112100 ID=Brasy6G112100.1.v1.1 annot-version=v1.1 MWLWRPSRAPSRRSPPPLARPCRGKKVEVVAGVGRREVARAAAAHSPAPGEEDGSRGRVGTRVARAAAAGSLTSASAAARSPASVVGGGSWDWGGRFLGIGVGRVGVCLNRE* >Brasy6G236000.1.p pacid=40050496 transcript=Brasy6G236000.1 locus=Brasy6G236000 ID=Brasy6G236000.1.v1.1 annot-version=v1.1 MDGRREVEREANRCPAGPREANRCPAGPRAARERDDGSSSSAAILLFALIGATATTAAVGQLRRTVSWFYTQLSRSEPYVYWEDVPRGTNRCGDAWRYYRRTRETGDDQRERVERIRRMQDMFKNERGKCRDYRTRDGHNPSYNQRSQRDDWYGDTGTFYNHQRTNFGSRPREAMSYSMSHHYAVLGLSRSTSEPFSDAEIKNAFRRKAMEYHPDQNQNNKEVAEAKFKEVMDSYEAVKLDRQNGSC* >Brasy6G092100.1.p pacid=40050497 transcript=Brasy6G092100.1 locus=Brasy6G092100 ID=Brasy6G092100.1.v1.1 annot-version=v1.1 MGWLQSLMAPLKKLWVRAHSSQKKKRGIYILYEDVKSCPCEDVQILWSILVESSHHPPPLRLKH* >Brasy6G245200.1.p pacid=40050498 transcript=Brasy6G245200.1 locus=Brasy6G245200 ID=Brasy6G245200.1.v1.1 annot-version=v1.1 MALQVAGGAGCCLPHHLVPRRRQRSLRPPRVASESAAKPVEEDGDNKAVLGGSGVAVTKLGIGAWSWGDTTYWNDFQWDDRKLKAAKGAFDASVDSGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEKVEVAIATKFAALPWRFGRGSVLAALKGSLSRLGVSSVESYQLHWPGIWGNEGYLDGLADAYEQGLVKSVGVSNYSEKRLRDAYDRLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPENPPTGPRGRIYTPEFLTKLQPLINKIKEIGGSYDKTPTQVVLNWLMCQGNVVPIPGAKNAEQATEFAGALGWSLTGDEVEEMRSMAREVKPVMGFPVERL* >Brasy6G228900.1.p pacid=40050499 transcript=Brasy6G228900.1 locus=Brasy6G228900 ID=Brasy6G228900.1.v1.1 annot-version=v1.1 VSHLNTNNMVIIYCLEQITFTIRVVSLIWRTWEEKKTSGEKKKTARTPGEETVPSVLCSRPTGTAHVTGRAHRAGLRLVINDRTRSRATSEEIVLGTDDVENQENLSNCVDALWTSTSLCIWNLGMPHLF* >Brasy6G051200.1.p pacid=40050500 transcript=Brasy6G051200.1 locus=Brasy6G051200 ID=Brasy6G051200.1.v1.1 annot-version=v1.1 MDFDFDCASHGGGQWMGESASRRRQRRLSSPSLRAYLTPAFDAVAAEGGGIPGSPASSFSSGGLELGFDASLLRYRRTCFSSAAAELDSRRLLYSSPPPPPPLPQARAVYPVADHDVFLAGGYRYGPKRQAGGLSGPPGYYNFKPQFSNATRPAVDLRSPEDTAVVANKVAAELFSTPEPGAAAPSAQATPQPPSAEEEDDLIAEVLYGQSGRRRLPIFRDICPE* >Brasy6G022600.1.p pacid=40050501 transcript=Brasy6G022600.1 locus=Brasy6G022600 ID=Brasy6G022600.1.v1.1 annot-version=v1.1 MAHRVMELTLVSASDLKDVNTFSDMAVYAVATVSSDPLTRRRTRTDRCGGTDPSWDDDAHRFVVPPTAGAAAASGASLRVLLRTERFFGDDRDVGEVIVPLADMLAAGASAASGTTRCVSYKALPCGVLRVSYRLSPVVAPLPKPAPGHRDGNDDPYYYRQSMPPSYYHYPHPQYYGGYPAPSYIQGERPEYPPPLPPYARTPEPKKKNNGGNFFGLGGIGGMLFGDMGQLPLSTAANDGFQKRCTTLY* >Brasy6G075500.1.p pacid=40050502 transcript=Brasy6G075500.1 locus=Brasy6G075500 ID=Brasy6G075500.1.v1.1 annot-version=v1.1 MQIPKKKNCKRCLSCACPSTSTRGPVPSKEENSPPLTPPPPPPPPPPPLPAGDLRPRGLLSAVACAVYHCLGCKMATEVASQASGGSETTTMSLLEHLAEVFGKLKSHTEASLQLQSGIQWEDVEAHFTNLEKSYRSKCHELEEKQKALEEKKAEDRRLIAEKEANLSTKERASVNQLQELRDAAVSALAEVRQKYKIDLAEILDANGSKEKKVITSTNDTKASRASEEHIPSNGLGEPSEPSPAEVKARPALKQLCEQMDTNGLLKYLSDNWKKLAGLRDELSVVLKCATDPARFVLDSLEGFFPDQRPGDKLHSIQGQRRSCIVLMEAIAHSLGTKEPGGNHPWSSEIMERSKAIAEEWRSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDLVLDEDELCKIVVAVSRRKQTAELCRSLGLTERIPGIIEELIKRHRQIDAVQFIQAFGLSENFPPAPLLKAYVDELKDSINNNGDANATSSTDDLKTRELLALRAIIKCVEEYKLQKDCPLGPLQKRIAGLKSKGEKRPSADTGRANAKKPRVSGISAPRRPNNSIGSAPRRPAVPVGAWQRAPPPMPAYPDRVYGVTADRYRYTQPGTTYDPAPYAAYGEQYGASKPYQYTPGSVAAASYNSAQYKVAYGGPGALPTAAGYAAYTGAAGQPASSSSYLGYAGLGSGYRPSQQP* >Brasy6G072000.1.p pacid=40050503 transcript=Brasy6G072000.1 locus=Brasy6G072000 ID=Brasy6G072000.1.v1.1 annot-version=v1.1 MRTTPKLARSLADPFSYCDGVNNSPEITSRLLALDIVNYTHFRATCKRWRDCTDDPRATNVIYILNPLTRLVVKFPTITIEQAMKVSSVYTPFPNIMKWACMLHVDQSDIIGAGIDVSTSTPTLVLRLRHESCQVICAKPGDRHWVSVSMQDGVRFPPTSFDGVRYDSPTSFKGRCYFVTQRGVIMVVDLSPMNDRMLMIRPKEDGYNETTQMFLLRQPPSCVSPSCLRPSSVEMFEVDVEGRQLVPWRNGVSFIRENNNVKVFTKKWQIDILRCR* >Brasy6G241500.1.p pacid=40050504 transcript=Brasy6G241500.1 locus=Brasy6G241500 ID=Brasy6G241500.1.v1.1 annot-version=v1.1 MCLCCCQGDFCDEDRRPSSWSLVRLGCEGWIEELRRPPTPDQSDNKPWYSPGNLAALLVQNAISLLASSLTVYIFSLVFFRPYAVRPAVDAAVLAAFALAPHDNTTLTYDLALNVTFFNDHRVYSIRFDHLTAGLYYNGTKLGSDGDTPSLPSSFTQRPRRHRTVYPVLRGTASNVSAAAAEEFAWEKARGSFTVDVVVRTTLTYKFWPARAVYYYEYKCWLLLPDPANKARRNSDGTLPVIRGGKCGVAK* >Brasy6G250200.1.p pacid=40050505 transcript=Brasy6G250200.1 locus=Brasy6G250200 ID=Brasy6G250200.1.v1.1 annot-version=v1.1 MHEYAVTSPAGLAASSPMRAYRIKFSGHGKKRKRGDSAGDGEDDDDCGLAARQRPISAEASGSVFPGQDSSSLLLQASSDDDRPPPPLDSFQGLGSSSYDAAGRPTIAAPSDQDATAENAVNFEPILNFELADLENVNLDGFLNFDFLDFDRQH* >Brasy6G088400.1.p pacid=40050506 transcript=Brasy6G088400.1 locus=Brasy6G088400 ID=Brasy6G088400.1.v1.1 annot-version=v1.1 MSSSMGTSQKICFLCGIESRIFLEALVPLGIRFEVYICYNLYFRKTFDNGKAWDYNVNATPELFNCTLCFYTIYHYMFLYILA* >Brasy6G100900.1.p pacid=40050507 transcript=Brasy6G100900.1 locus=Brasy6G100900 ID=Brasy6G100900.1.v1.1 annot-version=v1.1 MSSNSSGSAKEKGLQIFTPLVKPPFAQSFRPVHALGSPTTDLRLSQQSWPGNVVLHPCTPCPGNSANPQQNGELVADVAVADVNPVIDSCDDKMLPKVDMLFDGENEAYEFYNAYAENVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGTKESKCPRPETRIGCPAGLTIRLTANGKYRLTEFVPNHNHQLATASMIQMLKEKKIRRKARAVRENLVDDTVRTPEFENEDEAYEFYSMYAGKIGFNIRRASMTVNAENVITRRMFVCSKEGFREKKKGGAYRVKKPRPETRTGCPACMVIRLASNGKYHVTEFVTFHNHQLGAAAASDLVMASQSTGNGQENGVDLADISPDDSIDEQNLMKDYVTSNCLGGRSQKRYKCKVPHHGDVGATLEYLQKMQHDNPSFFYAVKSDGDGNLTNFVWVDSKSIMDFAHFGDVVCLDSGYAVQGYGRPVALFIGLNHHKQTVIFGAALLYDESFEAFRWLFDTFKMAMNGTNPKTLLTDRSSVISEAVAASWPATAHRYCVWQIYQNALQQLSHAFHGSRTLEYDFKRCLFDCEDEAEFLAAWREMLEKYDLEDNQWLKDLFALKEKWALPYGRRAFYADMKSVQQKENLSHELKKHLSLECDLLSFFVQFERLLCDRRIAELQADVGASHSTKKPPSMRILRQAANIYTHAAYKMFEREFELYMDCMLYNCGEMGTIAEYRISAEDNPKDHFVKFDSLNSMASCSCKGFEFVGVPCRHILKVLDTRNIKDLPPQYILKRWRKDAKSGSSNGGYAYPFDGDPQLAVTKRHTLLCRLFSIAAARAATSAESFAYMENQSGILLDQVEQVLQNSPLDIAAGIGASCVRTQNPVESMVTADLHSHTNFIDGSTDGSLTFPFTTGAGTLDYL* >Brasy6G251700.1.p pacid=40050508 transcript=Brasy6G251700.1 locus=Brasy6G251700 ID=Brasy6G251700.1.v1.1 annot-version=v1.1 MVWIIPLLVPSASKRRKPLITSCWAVLSRGKSWFRILAYWGKLQWLPGIDFVGKDRKELATSTCLVCWTIWKHRSSIVLDGATSNANSIFSAICSEASVWQRTNLLSGGGFGSVQAVVVPWRDTG* >Brasy6G164700.1.p pacid=40050509 transcript=Brasy6G164700.1 locus=Brasy6G164700 ID=Brasy6G164700.1.v1.1 annot-version=v1.1 MACKMSMVVVSVLILAVLFCYGTEADPTCKLHRVNLPGPCDMIKCGNYCKQNRYTDGECGPMVLMLVLVCYCFSCVDKTLALQLLGKP* >Brasy6G123200.1.p pacid=40050510 transcript=Brasy6G123200.1 locus=Brasy6G123200 ID=Brasy6G123200.1.v1.1 annot-version=v1.1 MNNLLTDSFEMDEKPPKERDIEMGRRNSKNKSDYGLEDFYEEVKDIEMLLDKMSNIVQKLQEANEESKSVTKASAMKAIKGRMEKDIDEVGKIARNIKMKLEQMDRNNLNNRKKPDCGKGTGVDRSRMSMTIALKKKLKEIMKDFQNLRQTIQQEYREVVERRIFTVTGTKPSEEVVDNLIETGSSEQIFEKAIQGIGRGQIMATVEEIQERHDVVMDIEKKLLELQQIFTDMAALVDAQGEILDNIESQVQNAVNHVQTGTEALRSAKNLQKKSRKCMMIAIIMLLVIAGIIVLSILKPWAK* >Brasy6G101800.1.p pacid=40050511 transcript=Brasy6G101800.1 locus=Brasy6G101800 ID=Brasy6G101800.1.v1.1 annot-version=v1.1 MRMSCNGCRVLRKGCSEGCTIRPCLEWIKSPDAQANATVFLAKFYGRAGLLNLLAAGPDDLRPALFRSLLYEACGRMVNPIYGSVGLLWSGRWEACQAAVEAVLKGDRIVQVSSEAVPAAQATPPLRAYDIRHVAKGPEAAADPLRVSRAGRTRFKRASSSTSKSNKGAKSVSPSPRQEPERHDDHGRAPSREPCREESAGSHNHGHVDDEGMMMGVEQTREDSGQDTEVEAGSHVSQAEQSPLPMRQIVHEEIRLELTLGLEPPAVTRFAMSPLARLDVSGLSAESSHIGLGLGLPA* >Brasy6G066900.1.p pacid=40050512 transcript=Brasy6G066900.1 locus=Brasy6G066900 ID=Brasy6G066900.1.v1.1 annot-version=v1.1 MHEEADDVTLPDVSDTDGDGKNVGGSSQLDSPDRKGENPKNAGDRSSAPPAGNGTAPPTSQLVNSPVSIRFGSFPAGFASSEPVSGKVRWSGALELDRACFSAPPVAAGSCDVSLPAASRMETTGGQEAKSLSAPSSPKVLAAGGTSSPLRGASGAARGRAAATPVSPQHSAERCVAAACLPSQMGWTLVSKDKPGAQPQTAAVLSPVAASLGRSRQEAVGGPKLASLPSSPAGLSGGQQAVAAAPTMAEMIAFGGIRDAAVSGVRSSARLRAQPDGDDTQLDRASRRARERDELLTSGHLQSHSLDSTLVLPTSCASAGTFGYWMQPSGDGISGYLQPGWLAVY* >Brasy6G156100.1.p pacid=40050513 transcript=Brasy6G156100.1 locus=Brasy6G156100 ID=Brasy6G156100.1.v1.1 annot-version=v1.1 MAWPLFILLLLLATAAAGSSASETDDLPAPPAPARWPDQFHAVLFTNLTNVSTASTGPPLRLTDLYYDWPRRRNLNLVRHQLSADPLYDVEWDNGTTFYFDSATCRTEHFPVGVLRPGWISEGGAVYLGREKTGGIECHVWGKAGFIVYYEDVLTRRPVRWNFIDVTGIEQFVMSFEVGVVLEDDTQWQAPAHCFPDDNDEGNTKDDGHFTTTSSMEAARLLRKLAGAAAF* >Brasy6G062600.1.p pacid=40050514 transcript=Brasy6G062600.1 locus=Brasy6G062600 ID=Brasy6G062600.1.v1.1 annot-version=v1.1 MKSVADHHYHGFHSPSPAPATKISISAGAGAEAVLLGKGRYKACALAAIALLALWSMVAASVTLRWSSESEDLAATSVDVSDPFIDDLDPLEMEEREKLVRRMWDLYTRTGDHVWLPRFWQEAFEAAYEELAGDDTPASDAAVSEIARMSVHRPELEHSRNSN* >Brasy6G062600.2.p pacid=40050515 transcript=Brasy6G062600.2 locus=Brasy6G062600 ID=Brasy6G062600.2.v1.1 annot-version=v1.1 MKSVADHHYHGFHSPSPAPATKISISAGAGAEAVLLGKGRYKACALAAIALLALWSMVAASVTLRWSSESEDLAATSVDVSDPFIDDLDPLEMEEREKLVRRMWDLYTRTGDHVWLPRFWQEAFEAAYEELAGDDTPASDAAVSEIARMSVHRPELEHSRNSN* >Brasy6G267900.1.p pacid=40050516 transcript=Brasy6G267900.1 locus=Brasy6G267900 ID=Brasy6G267900.1.v1.1 annot-version=v1.1 MRTDGVDEATEVKPVDALNLGRPSQRLKYYCGEKDLGAASSSLPSPNSIHPSTCRTKAKSRVWGGRSEASEMDGAGGIVAAVVCALLVFAIFPLLLWRRRSDAAAGGGDNHRLPPQPLQEEGVVRGGAAARRMRRRPAAASSSAASTSRDVAEDEAESDEEDVQEGNNVPKGSRKKEKKRQEREAQRQADEAARDSRRTKQDRYAEMRRKKDEEREAQERLLEEEALARKAKEEEAAALEFEKWKGDFSVDAEGTTESETQDGGQGLLHNFVEYIKKQKCVPLEDLAGEFGMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISIEEMQAVADYIRKHGRVSISHLANNSNQFIDLEPKPLYKDDVEEGGHQEETTAAGTVTEPS* >Brasy6G209600.1.p pacid=40050517 transcript=Brasy6G209600.1 locus=Brasy6G209600 ID=Brasy6G209600.1.v1.1 annot-version=v1.1 MALLRGRSPPPPCAAGYRRRDAGSHRPLAIPFLRLLIPPIDSRASPPSFSACGFLTTETANQSVLPPASENKWAPGNTPAPTESGFSGLERVASLIRIVRPLACIHSISVTSTADRVQNVRPGRAILPAAGGTSSLSPPCNAPRHPRPRRLAPAHRCLPPRPAPPRPPPCRAPARPPRCAPPPRPPNAPPCSFSQPALPRPPRRAPLRAPPRAAATSTPSLQRRRARPPPARPSTPRRHFAPARRRRYPPRGCSTLSPLRWLFVRLRFCPTLWILHLDTPDT* >Brasy6G269800.1.p pacid=40050518 transcript=Brasy6G269800.1 locus=Brasy6G269800 ID=Brasy6G269800.1.v1.1 annot-version=v1.1 MAANYYWAGMWGGGGGGASTTTTTTATTLVRSSFPAAAEAPPSWEEQAFARDVGCVWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLRQCASPPAPAPDDLHHHQQQLTIPSPKSPQATTVTTTPNNSYISTILSAADKEIIISNNNSNTKVFLSMPVFDAATARLDQSTDEERICRKRRRVDQPPPAMVALPFFVRRRSPVPCEREEADHHGHAKVPKVMVSSPSPLHLALGRQEVDLELRLGTS* >Brasy6G152700.1.p pacid=40050519 transcript=Brasy6G152700.1 locus=Brasy6G152700 ID=Brasy6G152700.1.v1.1 annot-version=v1.1 MECFRRVNPFRACAGLRVLGYLMLALVAAIVAVSYYAVVVYAWGPLLLGNGGGGGGSVAAAAIVLAAFHLLLIMMLWCYLMVVFTDPGAVPENWRHAAEEDDMDERNTRTISNDVATDIVNPPLSTSEGQGNASRYCSRCQNGKPPRCHHCSICDRCVLKMDHHCVWVVNCVGARNYKYFLLFLVYSFLETVLDTLVLLPSFIIFFRDESRRSSSASDVAILFLAFVLNLAFALSLLIFIGMHTSLVSSNTTSIEVYERKKTVSWQYDLGWRKNLEQVFGTKKLFWFLPVHSSDDLHNIPALQGLGFPARPGAVV* >Brasy6G032400.1.p pacid=40050520 transcript=Brasy6G032400.1 locus=Brasy6G032400 ID=Brasy6G032400.1.v1.1 annot-version=v1.1 MGGILSIAIPVLAQNFDNRWISMKTRASTCWETMLHGFRSIADFIIYGRSKKETIPAYLEVDSHVEVVLDSGHSFRDADVLLYQPSRGQAVVQFNLDSGVIDTDEGGLQREKQLEITVVKCRDIRQRPDMEEIKFCSDDWECVVDVLENNIWRVGAIVSPPIVPEEDTYKVLVFSSDDEDHLILVPLAKIRKHTEFDGISSPALKEGFVGLVGGFKAEITCVLGTYRLEVVFPQLRNSMDEALKQVIRTEEWHNSQCSYLWDKFVWSPLFKSPNRRNNRRTGTTLDDYDSYLPAYASICLLQIVVPFFFGAASSNVWSTNKQMNTLYSLTGISVSLGTLVVARMLHSRLTKHADVIKWKSVSLLVTLFGLVAMIWHCVLVYKQRTSDTKAHI* >Brasy6G229500.1.p pacid=40050521 transcript=Brasy6G229500.1 locus=Brasy6G229500 ID=Brasy6G229500.1.v1.1 annot-version=v1.1 MRGCWEDLLPDALGLIFRNLSLQEVLTVVPRVCKSWSRVVSGPYCWQEIDIDEWCERNCWKSEKLTRMVHMLIARSGGSFRRFRVSGLPNDSLFAFIADHARSLKTFELPRSDISDCIVEDVAQRLSNVTFLDVSSCTKIGARALEAFGKNCRSLERLRRSMHPMDVDRKGSQHDEARAISCNMPKLRHLEIGYMLIATKDVVEIASQCHDLKFLDVRGCWCVDNKRLEERYPGLKVQGPHVDGFYENRFWEECLDESDDDSIYSCELIDDDYYAIGSDEEEAIWDDGQDLE* >Brasy6G101600.1.p pacid=40050522 transcript=Brasy6G101600.1 locus=Brasy6G101600 ID=Brasy6G101600.1.v1.1 annot-version=v1.1 MLSAAAVMAPVPAVQKSSLEVLLETIKKRDEQPKDVPPALPARPTCRGRLPTARRSPTPPRVHLENCMAEGVVTDTVMADKKPEVQKVNNPEIEKEKKPEVNKEKRPEIEKEKKPEVKKEIGAQEAKEGKTVKSRIFGVKRKLCNVEPLDESPYVEDFHEERKDTIACKEPPSSSVSSARAKRNGKPMDYVLQKKLRVWCSSSDEKWELGQVQSISGDDVEILLSNGEILTLSPERLLPANPDILDGVDDLIQMSYLNAPSVLYNLQFRYSRDLIYTKAGPVLIAVNPLKEVPLYGKDFIRQYRQKLKNDPHVYAIADLAFNEMLRDGTNQSIIISGESGAGKTETAKIAMQYLAALGGANGMESEVLQTNVILEALGNAKTSRNDNSSRFGKLTEMHFSETGKICGAKIQTFLLEKSRVVRRASGERSYHIFYQLCSGASPLHRKKLFLRDADYYNYLKQSACLRIDDVDDAKKFSSLLDALDIIHISGENQMELFSMLAVVLWLGNISFSVIDNENHVEVDSNEGLSTAAKLLGCSVPQLVIALSTRKIQAGKENIVQRLTLTQAIDARDALAKSIYAHLFDWIVEQINRSLGMGRQRTRRSISILDIYGFESFNKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLDDGIDWASVEFVDNTDCLSLFEKKPLGLLSLLDEESMFPKATDISFASKLKQHLSGNSVFKGEQEGTFKICHYAGEVTYDTTGFLEKNRDPLHSESIQLLSSCKSDLPKDFASVMIADSQSKSSLSRHLVVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNNKQCPRQFEHDLVLHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGFLVSHFGASQNPLSISVAVLQQFSIPPEMYQVGYTKLFLRTGQVAALEKAKSQMLHGALRIQKNFRGMHTRQEYHRLKKGATTLQSFVRGEKTRFQFDYLFKRWRAAVVIQKYSRRRLAATMFTDQLKDIVLLQSVMRGCLARRKFKCLQEERESRVIQNQVKRDARKSISQERICHETNGEYAPQPVVTELQGRVLKAEALLQDKEEENAMLKQQLEQYENKWSEYEAKMKTMEEAWKKQLSSLQLSLVAAKKSLTSDDMAPRAARTDTIPTHAQYDSEDTVSTGTHTPEGAELKYQNHNPEARVATGNSDRRINVVNHLAKEFEDRRQVFDDDAGFLVAVKSGQIGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGGHDEKSRKRWWGKKSSK* >Brasy6G074900.1.p pacid=40050523 transcript=Brasy6G074900.1 locus=Brasy6G074900 ID=Brasy6G074900.1.v1.1 annot-version=v1.1 MAEDAPNAGAEGGNPSPPEAAVESPPAPAEKASSAEALLPSLSIWPPSQRTRDAVVRRVVQTLAAPSVLSNRYGALPEPEAERAAAAVEAEAFAAASESTGGSPASLEDGIEVLQTYSKEVSRRLLELAKSRAAAAATPAPVEPSAEESEAAPAPAEE* >Brasy6G043400.1.p pacid=40050524 transcript=Brasy6G043400.1 locus=Brasy6G043400 ID=Brasy6G043400.1.v1.1 annot-version=v1.1 MCRRLCRELGAVVVSVNYRLAPEHRCPAAYDDCVDVLRHLAANSLPADAVSVKIDLSRCFLAGDSAGGNIAHHVAHRWTSDPQSGNPSNPHHVVRLAGIILLQPYFGGEERTESEISLEGAAPVVNMRRSDWSWRAFLPVGADRNHPAAHVTGEAGPEPELGEGFPPAMVAVGGFDPLQDWQRRYGAMLRRKGKSVKVVEFPEAIHAFYCFPELPDSGKLVEEVKAFIDSDGGTRVL* >Brasy6G172900.1.p pacid=40050525 transcript=Brasy6G172900.1 locus=Brasy6G172900 ID=Brasy6G172900.1.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKTLDRGEKLTELQDKTSDLCSQAQEFKKQGVKIRRKTWLQSMKLKLVILGILLLLVIIVWVSVCQGFDCTKH* >Brasy6G172900.2.p pacid=40050526 transcript=Brasy6G172900.2 locus=Brasy6G172900 ID=Brasy6G172900.2.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKTLDRGEKLTELQDKTSDLCSQAQEFKKQGVKIRRKTWLQSMKLKLVILGILLLLVIIVWVSVCQGFDCTKH* >Brasy6G172900.4.p pacid=40050527 transcript=Brasy6G172900.4 locus=Brasy6G172900 ID=Brasy6G172900.4.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKTLDRGEKLTELQDKTSDLCSQAQEFKKQGVKIRRKTWLQSMKLKLVILGILLLLVIIVWVSVCQGFDCTKH* >Brasy6G172900.5.p pacid=40050528 transcript=Brasy6G172900.5 locus=Brasy6G172900 ID=Brasy6G172900.5.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKTLDRGEKLTELQDKTSDLCSQAQEFKKQGVKIRRKTWLQSMKLKLVILGILLLLVIIVWVSVCQGFDCTKH* >Brasy6G172900.3.p pacid=40050529 transcript=Brasy6G172900.3 locus=Brasy6G172900 ID=Brasy6G172900.3.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKAFPESSKMLEIAHFRLVVFFRLWTVEKS* >Brasy6G172900.6.p pacid=40050530 transcript=Brasy6G172900.6 locus=Brasy6G172900 ID=Brasy6G172900.6.v1.1 annot-version=v1.1 MASPAPKEGGGKGEWLIYAFVARGIAVLAEYTEFTGNFPALAAQCLQRLPAGSANPGSTPARLSYGCDGHTFNFLLDRGYAYCVVAKESVPKNLTVAFLERMKDDFMKRYGGGKADTALAKSLNKDYGPVIKQHMQYVLDHSDEIEKTLKVQAQVSEVKNIMLNNIEKAFPESSKMLEIAHFRLVVFFRLWTVEKS* >Brasy6G161500.1.p pacid=40050531 transcript=Brasy6G161500.1 locus=Brasy6G161500 ID=Brasy6G161500.1.v1.1 annot-version=v1.1 MNQEIHSQEQIIGGGMSKRGQGLASYCERPSKRLRKATLDQFIEAQDRTESIKYPFCAVPCTLTSEFLKRLLLKSYLQLGRLHKKKGSLEGHFSWKNFIVSDPLEIVELEDVQLVKLTPSGCKADRETFAILVSGLFDNVVPEDVKIWLHNMDQGWEDDLLLHHIYMKNRHTTYGLLCTSASWNWKAATIASTQGSWNLCKSTIIGMKMVSTGPSSKRPKK* >Brasy6G161500.2.p pacid=40050532 transcript=Brasy6G161500.2 locus=Brasy6G161500 ID=Brasy6G161500.2.v1.1 annot-version=v1.1 MNQEIHSQEQIIGGGMSKRGQGLASYCERPSKRKATLDQFIEAQDRTESIKYPFCAVPCTLTSEFLKRLLLKSYLQLGRLHKKKGSLEGHFSWKNFIVSDPLEIVELEDVQLVKLTPSGCKADRETFAILVSGLFDNVVPEDVKIWLHNMDQGWEDDLLLHHIYMKNRHTTYGLLCTSASWNWKAATIASTQGSWNLCKSTIIGMKMVSTGPSSKRPKK* >Brasy6G241900.1.p pacid=40050533 transcript=Brasy6G241900.1 locus=Brasy6G241900 ID=Brasy6G241900.1.v1.1 annot-version=v1.1 MAATTLPHCHLRLHLPLHSPNPSPPPRRRRLLLPSSATSSRLQNPTTTTTHYPLLPPSPSPSPALLAAEQTSLDSPRTHRFPGSVAPPLPPDPDLDAIPAEDDVLRRALQVRRAVASEALVAVLAGGKVGGMTYVKSLTARMGAFVDRVVVGAAAMRRDRPDLAHQSFNARARAYIQETGVVELVRWFKHNSLTYPQIAKVVCSCSGDLERVRRMIKWLRSNYVKGEYLGRVLARGESVLNRTFEELEEIVGYVESCGVRRDWIGHVISRCPQLLNLSLDELETRVRFYTDMGMNEHDFGTMVYDYPKVLGYFSLEEMNSKVQYLKEFGLSTEEVGKLLAFKPQLMACSIEERWKPLVKYLYHLNISQDGMKRMLTVQPTIFCLDLETVIAPKVQFLQDIGVRNDALGNVLVKFPPVLTYSLYRKLRPVVIFLRTKGGVTQEDIGKVIALDPQLLGCSIVHKLEVSVKYFRSLGIYHFVLGQMIADFPTLLRYNSEVLRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEHRIEPRHRILVENMINMKLRYMLPCSDEEFAQRVREAVEKRARFEAGEYTPESSGATETPSDDAGVAIDAAVCENNMAD* >Brasy6G102500.1.p pacid=40050534 transcript=Brasy6G102500.1 locus=Brasy6G102500 ID=Brasy6G102500.1.v1.1 annot-version=v1.1 MCSAIRRFVHLIADDLKGSYTLRRIDTTPLFAGVNGKDLGMRRAQLPRPNSSIGVGPDLRQSKSAEPAWATVQGKLYLVRAHSAVDLPEGNIRDRWYYGSDPLQSSPILPAGNGGTELGLDGFTDDDYPKPFFEALPFDERLKDWFWDQLPSPPFMGAVGKTIWMSTEGKGTYTFDTMTSTWSKEGDWALPFDGQFQYISEYDQYFGFSQGSNSLICSDQLMVGANTPEPPMHGVLWDDLDGSTGCAKWSLARSYLTYLGGGRFCVTRFYDTRPDCFYKLCDVAVMTAVEVKRASSSGELQMVNVGASRCYKFSWGTLSGWAL* >Brasy6G219300.1.p pacid=40050535 transcript=Brasy6G219300.1 locus=Brasy6G219300 ID=Brasy6G219300.1.v1.1 annot-version=v1.1 MASSADPHVVEDCPGMLQVLSDGTVVRFEPPPFPADDGRVEWKDAVYDAGRGLGLRMYKPAAAAATAEKKLPVLVYFHGGGFCVGSYAWPNIHAGCVRLAASLPAVVLSFDYRLAPEHRFPAAHDDAAAALLWLRSQLASGSTTNPWLADAADPRRVFVSGESAGGNLTHHLALRFGGTPGLLDPVHIAGHILLMPGFLSERRTRSELESPATAFLTRDMCDTLARLFLPAGADKDHPLINPLGPDSPSLDPLLDVPVLVVAAERDLLRDKNVEYAERLRALAAAGKGKKEEEGCVELVVFPGEEHAFFGVKPASEAAGEVVRLIGQLVARSSPRKSTG* >Brasy6G195300.1.p pacid=40050536 transcript=Brasy6G195300.1 locus=Brasy6G195300 ID=Brasy6G195300.1.v1.1 annot-version=v1.1 MDVDAGAVKSGRHGGGQVCQICGDGVGTTTDGEVFAPCDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDDTDADDGSDFNYPASGTEDEKQKIADRMRSWRMNAGGSGDVGRSIGLAKYDSGEIGLSKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRVPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGAIPMTNGTSIAPSEGRGAADDASTEYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIILRLVILSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFCQKIDYLKDKVHPSFVKDRRAMKREYEEFKIRINGLVAKATKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKAIREAMCFLMDPNLGRGVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTAIYGYEPPIKPKKGGFLSSLCGGKKKASKSKKKSSDKKKSNKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSSTPESLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCILPAICLLTGKFIMPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIVWAILLASIFSLLWVRVDPFTTRVSGPNIQTCGINC* >Brasy6G029200.1.p pacid=40050537 transcript=Brasy6G029200.1 locus=Brasy6G029200 ID=Brasy6G029200.1.v1.1 annot-version=v1.1 MEQTIRMCTPTFVKIAEDAYHRKAKRATIRSFLDSLGALVAISHILFVNTLDTVNDMLLGDGKPKHNSNVDVEAASREFELKLSHLKQNVEAKDLPFKSCKILEQIVCPATVHASKLVNMMVSLRWDVLNKAPRR* >Brasy6G210400.1.p pacid=40050538 transcript=Brasy6G210400.1 locus=Brasy6G210400 ID=Brasy6G210400.1.v1.1 annot-version=v1.1 MGGVRRRTRRFAASRGFAAVDVYLLPLRSSSATPSPTQSPASSASVFASASRPDS* >Brasy6G027100.1.p pacid=40050539 transcript=Brasy6G027100.1 locus=Brasy6G027100 ID=Brasy6G027100.1.v1.1 annot-version=v1.1 MASRRQAAARDVLAQEHKAGEAARLARRTKPMVAQQPAARTRRALVDVGNLINGRAALAAADKYGKAIRQHKENNRVKPEVIVISSDSEKEKKIPGKRAASRKAPIHTLTSILTKCSRASDGVISSPKKAPATYDIDASDAQNELAVVDYVEDIYRFYKSTEGTCRPLCSYMSSQAEINERMRAILIDWLIEVHDKLLLMPETLYLTVYIIDQYLYMESVPKKELQLVGVSAMLIACKYEEIWAPLVKELLCISSYAFSREQVLIKEKSILNKLQWNLTVPTVYMFIVRYLKAAMGDKELENMAYFYAELALVQYSMLIYSPSMTAAAAVYTARCTLDVCPLWSDTLRHHTGLSEEELLGCARRLVSLHSTAAATKQKVVYNKYTDSKLGAVALYSPAKKLLPVSDSD* >Brasy6G027100.2.p pacid=40050540 transcript=Brasy6G027100.2 locus=Brasy6G027100 ID=Brasy6G027100.2.v1.1 annot-version=v1.1 MASRRQAAARDVLAQEHKAGEAARLARRTKPMVAQQPAARTRRALVDVGNLINGRAALAAADKYGKAIRQHKENNRVKPEVIVISSDSEKEKKIPGKRAASRKAPIHTLTSILTKCSRASDGVISSPKKAPATYDIDASDAQNELAVVDYVEDIYRFYKSTEGTCRPLCSYMSSQAEINERMRAILIDWLIEVHDKLLLMPETLYLTVYIIDQYLYMESVPKKELQLVGVSAMLIACKYEEIWAPLVKELLCISSYAFSREQVLIKEKSILNKLQWNLTVPTVYMFIVRYLKAAMGDKEVIQ* >Brasy6G243700.1.p pacid=40050541 transcript=Brasy6G243700.1 locus=Brasy6G243700 ID=Brasy6G243700.1.v1.1 annot-version=v1.1 MSRPRRERRLGVQYIDNDRERDISFYKRRSGLFKGATDFSVLTGARVAVVLETNGGKMHSFGTPSADPIVDAFLSQNPPIGPLTDEVTSASIAWLQNEVARLDMENMLEENKTKLSIEDTKKIQHENPGMVANFIFSKQEDLSLEDLIQLFHEHSRVQEVIEHHPSYGKVIGLSLSLRIGGPI* >Brasy6G078800.1.p pacid=40050542 transcript=Brasy6G078800.1 locus=Brasy6G078800 ID=Brasy6G078800.1.v1.1 annot-version=v1.1 MAGRREAPLMRSGSGSGQPLSRGSRIAAAVAVGVTLGCVCAFLYPDGLISRSSDSALHWPRQAESVACETTEKVDYLKSQLAPLERKNAEFRKQINELSIKLQLAEQGENKASYRAGPFGTVKALRTNPTVMPDESINPRLAKILQQVAVKKELIVAVANSNVKQTLEMWFTNIKRVGISNYLVVALDDSVENFCQSKDVPVYRRDPDDGIDSIGKTGGNHAVSALKFHILREFLQLGYSVLLSDIDIMFFQNPFDHLYRDSDIESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDMYLFMNSKVLFKTVRKDAYLRKLKPVIVHLNYHPDKEERMKAVIEFYVNGKQNALDHFPDGSE* >Brasy6G079000.1.p pacid=40050543 transcript=Brasy6G079000.1 locus=Brasy6G079000 ID=Brasy6G079000.1.v1.1 annot-version=v1.1 MLPPQLPKPAHLSLSSTAISCSSGRRRGRRPKPKPIALPLAPLRRLVSSSLRRLLPRPRLLGGGWLGRKGRRGRKAPAEEVALLVLSLAFGDRLTVLAESWRASGLDQALGIWAALWGRGRRRSNGLRRLAALFLGIAFCALVSHFRGAALLEGLGKTSGAGRKLARILLH* >Brasy6G114500.1.p pacid=40050544 transcript=Brasy6G114500.1 locus=Brasy6G114500 ID=Brasy6G114500.1.v1.1 annot-version=v1.1 MPPRRKGRGRGRGKPRPKSTDPEPVDETVETEVDAAEDAKPEEQSDEDSKGDSSESGAEDMDAEEAADSKAEEMDAEEAADAKANGAEVETKGEEMDAKAEAEAEAAESDSEGEADADADLEAEAEETDGENEEKAAGTGGESEEEAAETGCENEEEAAGTDGEDGEEGAETGGENEDDGADSDPEGQGTDAEPDAVEESPPPSPPARSRRRKRATTPDPAPEAEDHEAEGTPAPPRRRRRRKSGDRGNSPSPPPDHLRCRRSDGKKWRCQALALPTVSFCEYHYARASKGKKPPADGEVLAVALQRQKKNRRKGRRSVNLMPASPPKATKDLPNGLMTISPGSSGAAGSPITTKVGVDIPVPLRRCYRSKNAEPLPVGPVKVVPRAMGMAKAAQNPCHRCGLKKVARVVKCKNCSNQYFCNSCINKWYSGMSKKDIKMQCPVCRGSCDCEECTLSQSRGAMSKGSANDHSKLVRIKICNHQLYKLLPLNLNQEQLDELEIESKIQGTKISNIRVQVAEDDHSGSLYCNNCKLSVHQVLRSCPRCPFKLCLSCCQKIREGNMSDSTPEDKFTQRLLQQESVQEDGSISCPSIELGGCGDSLLNLIYAPPSGQSEEFSSGDEQDAPGNHSGDKPHSSPLAESNGSLGRLSGGQQESMST* >Brasy6G038400.1.p pacid=40050545 transcript=Brasy6G038400.1 locus=Brasy6G038400 ID=Brasy6G038400.1.v1.1 annot-version=v1.1 MEMASARRRSVSSARQMMLVSGGDHAVLWSGCALLASASLLFVLLAAAATKSSGFGSANSELSVVVVKKDGAGAGAGAGEEEEHYCGEAGRDGMLADGEWVRDEMYHPLYEPRECPFVDVGFRCAENGRPDQGYARWRWRPVHCTLPRFDARKLLRVLRNRRLVFVGDSIGRNQWESMLCLLSSAVDVSSKHSIMEENGNPISKHKGFLSFRFPAPHNLTVEHYRSPYLVRRGGRPSRRQRAPRHVRSTLQLAAMDPRAGRWSRADVLVFNSGHWWNLDRLQQQLHCYFQDGKRLRLDMGVEAAYQRAMETLQRWVLEEVDATKTLAVFRTYSPAHNRGASNNGGSCAAETLPEPLMNGTRRWPGIMMQPEAFGGSGGLRVLNVTMMTAQRRDGHPATYNVEPSARLTVMPAAARQRADCSHWCLPGVPDSWNELLYAMIINKFS* >Brasy6G201700.1.p pacid=40050546 transcript=Brasy6G201700.1 locus=Brasy6G201700 ID=Brasy6G201700.1.v1.1 annot-version=v1.1 MLGTGFSGTRALSLHLHCIEDLLTAAAAGEEEEEDSVLLPTFPNLELLELQWSTYQENTSSSSSSNKELAIARLLGACPAMSELRLSPPSSGGYHGGARAPFSASLERFNTLGAMAYVRGGDSRIGECAEKISELNGYGSTLVGCLRKLTLRFWSQELDCFEVRFAKFVAGNAMALEEMHVADGMQLWTDHLCHNVARWRADSFRRRNLPDNGGFRVYPLPS* >Brasy6G085200.1.p pacid=40050547 transcript=Brasy6G085200.1 locus=Brasy6G085200 ID=Brasy6G085200.1.v1.1 annot-version=v1.1 MRNEPVPHIWRNHLVPCGIGEARPCPPARALSRARVWHVGDTGEGSATVVEGEQRQGAATQVDGGGAGRRRDGDMGQRGRDGFGGRAAAAQGDGSGAGGRWRDGGGPARRRSRGGINITAGDLLALSSKIALSPHGPAEMQKALGQ* >Brasy6G176900.1.p pacid=40050548 transcript=Brasy6G176900.1 locus=Brasy6G176900 ID=Brasy6G176900.1.v1.1 annot-version=v1.1 MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMSQMNRQLNLPGLQKIMQEFERQNERMEMTSEVMGDAIDDALEGDEEEDETEELVNQVLDEIGIDINQELVKAPSTAVAQPAAHGRVAQAENAEHNSNGGIDADLQARLDNLRKM* >Brasy6G107700.1.p pacid=40050549 transcript=Brasy6G107700.1 locus=Brasy6G107700 ID=Brasy6G107700.1.v1.1 annot-version=v1.1 MRSMGSPDSSSGSAPPQHQQQRRRAGSAPELPPGFRFHPTDEELVVHYLKKKAAKAPLPVTIIAEVDLYKFDPWELPEKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGWAREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLADSSSSGATASRPPPPVVAGGSGGRAASLRLDDWVLCRIYKKINKAAAGDQQRSMECEDSVEDAVAAYYPSSYAMAGMAGAGASAGAHGGNNYTSLIHHHHHDSHEDNFLDGLLTVTAEDHAGLSAGATSLSHLAAASRASPAAAATKQLLAPPSSSATPFNWLDASTAVGILPQDASAYHQQHVILGTSLPVPPESGAASAFQQQHPVQISGVNWNP* >Brasy6G013400.1.p pacid=40050550 transcript=Brasy6G013400.1 locus=Brasy6G013400 ID=Brasy6G013400.1.v1.1 annot-version=v1.1 MGRKKAPPSRAASGLAAAPSPSVGGGGSKSKSKSKRAAKGEARRDVLVEVDGGGCPGGGRDLAELVLRDVRLDGEGKEAVDLAALEEDYFREGSGGRCSLRLRVRHAPEEGFRLGQWPVVQSDCVLLEYHAEKFGGGVFVSGRFDGPDEGVSGLAHLVSLGFVSLRIGECSSGPRDDSVPAIVLRVRVEVMDRAFGTCESLLEVARHSWRKSLMNVMSWVRPEVTTSAVIYGMDDLVPPTDVDGDFTPKSDSQFDLAAFYEAVKPSRGAGLLEENLPDLLPHLRPYQLRAANWMVQREKGNTVSLPNEGEVHSAPYCVPVDFVGTKSRMFYNPFNGNVSLQPEPSPPYVSGGILADEMGLGKTVELLACIFAHRSTFSIDSSVTQSRKEIDQINRQKRDRVECICGAASENSSYKGIWIQCDICDAWQHADCVGYSPKKDVTFDDDDLSSNKEKGTMKSKNRMQKRGTYSIADTEENYICPVCLELAEAAHTTIFSHATLIVCPSPILAQWHSEITRHTRPGSLNVCIYEGARNLDTGSNQKSDMTEISTADVVLTTYDVLREDLSHDSDRHDGDRHFLRFQKRYPVIPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTSPFDTYRWWVDIIRDPYERGDMIAMDYTHKFFKKIMWRSSKIHVSQELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRLRDGANRQQQTSDSNALSNVYLSNNDTAKLLVPLLKLRQACCHPQVGSSGLCSLQRTPLSMDEILQVLIGKAKVEGEEELRKIVVALNGLAGISIIEQKNQEAISLYKEALDLAHRNFDDFRVDPLLNLHINHNLAEMLTTSSEYLQECPRKKQPSLVCNKRKRKEADSVDSDLSGIKRHKVSENGCSVLTADGPETSEDNNLTGEASTSVELDADNDSGCHSSSECFADGCLRKTCNTLKEKYLSAFATKLLIAQKDFNASLEEVTALNKELQNQGMNWWLHALDCIEKNKDSFDELFRKIDNFSTKSPTALGTTGILSRVQTIAGLKYTVQAGIDSLQGSRQQLMVRLLEIDKTMDNPRDEDIEGQRYCPNCYDGTGSLCIQCELDELFQGYEARLFVVKKSNNGSVIASVDEAQDLQRRKYELNHFFRNKKTNEGPEVGTDNNNPRSARENIQVYRHPSQTETTLRAIRTHSKAVLGKQSLEIAKKHMLLFEAMRKEFSLARSLSIAQTQLLRAHDEIKMSISRMQLKENDDEPSAVNIVTREELIPYNVQFTSDKFLALSSLTCIRGQLGYLKGLMLPKSGNTVAIATSSPATGQTFSDISNEPCPVCQEKILDKKMVFQCGHFMCCKCCFYLTERAAGKRQKWIMCPTCRQRTYLENVAFVVEKQSENADKQAEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLCANNISYARMKGGRKSQAALCQFKGQATSINGDKVKKADSKMQPVQVLLMLIQHGANGLNLLEAQHVILLEPVLNPSAEAQAISRIHRVGQDKNTFIHRFVVKETIEDSIYKMNRGRAVCSTINRKSKNFKDELALTLKDVESLFPVAGPDQPPDQEDKNHGDSLRSLPPSVAAGLAAEMRAINGAT* >Brasy6G157900.1.p pacid=40050551 transcript=Brasy6G157900.1 locus=Brasy6G157900 ID=Brasy6G157900.1.v1.1 annot-version=v1.1 MNSCSSLYGCSGCIGNLSKCHSPQDSKLQASLMKKSHHSTIGKRRRCSSSPETMENNASISVSLEGNASSLPNSIVNDSKMSVENGKDMSFINHAAMAWAKMRSQWIGDQEKVPKEAAREPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDVWYEEGLYD* >Brasy6G157900.2.p pacid=40050552 transcript=Brasy6G157900.2 locus=Brasy6G157900 ID=Brasy6G157900.2.v1.1 annot-version=v1.1 MGGCIGNLSKCHSPQDSKLQASLMKKSHHSTIGKRRRCSSSPETMENNASISVSLEGNASSLPNSIVNDSKMSVENGKDMSFINHAAMAWAKMRSQWIGDQEKVPKEAAREPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDVWYEEGLYD* >Brasy6G157900.3.p pacid=40050553 transcript=Brasy6G157900.3 locus=Brasy6G157900 ID=Brasy6G157900.3.v1.1 annot-version=v1.1 MKKSHHSTIGKRRRCSSSPETMENNASISVSLEGNASSLPNSIVNDSKMSVENGKDMSFINHAAMAWAKMRSQWIGDQEKVPKEAAREPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDVWYEEGLYD* >Brasy6G157900.4.p pacid=40050554 transcript=Brasy6G157900.4 locus=Brasy6G157900 ID=Brasy6G157900.4.v1.1 annot-version=v1.1 MKKSHHSTIGKRRRCSSSPETMENNASISVSLEGNASSLPNSIVNDSKMSVENGKDMSFINHAAMAWAKMRSQWIGDQEKVPKEAAREPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDVWYEEGLYD* >Brasy6G081700.1.p pacid=40050555 transcript=Brasy6G081700.1 locus=Brasy6G081700 ID=Brasy6G081700.1.v1.1 annot-version=v1.1 MDTETEVEMSPAAAAAAAAMFRPFSSPSTAFLLQRRVLAWAKETGSPATVSVRVAGKSFNLHRDPLASRCRYFSQATTQEDNNSAGDAIELPSSFPGGSEALEVIALFCYGDDAAAALLDPFNVASVRCAAEFLGARGLGARCDLYINQVVLQSWDDALIVLQRCRPLLPAAEELLVVSRCVESLAFMACMEILDPDSEQQRRGEDPGGVVDADAARGLLVGRRWDAELVKELAARDLWIKDLVALPMEFFRRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWASSSSAEDDEAGAGRGESDANRRAAEILQGVVELLPVPAEAAILPAAFYLALLSRSLTLELKEESRARLRDQVASHLRFACVDDLPLPEQEDADDDAGRSVADSPEVMEMESIVSNHAAVLQRQGAEAVAELWDRYLVQVVVGDPKLRPQRLAELIGVVPAGDRKTHDHLYEAINTYLTEHPGLCGEEKAALCGHLECRKLSHEACIQAVQNERMPLRFVVQALFVQQLHTQRAFADRSSDSFRCMYASGELLIPGAGGYTPSPGCGPVPTSQPLSATSAYTHTADADAAKLRARGDDDASTDYETASFRIQALEQEIVSLKRTLQRHSTVKGGGSVRKDGKEASFRADAPAGRRRGAVSGSCIGSMRWGSQRRRASRILRVFTRLAVFGRSSRSRGKQSKC* >Brasy6G184500.1.p pacid=40050556 transcript=Brasy6G184500.1 locus=Brasy6G184500 ID=Brasy6G184500.1.v1.1 annot-version=v1.1 MAKMLLLRSSDGKVLVAPAWDKRPSAATPLETGVPSRALERVVQFWTKHALAKSTGESRESLARWDADFERRLEEDGLTAEAAAAVRLFRLNGIHHGGRPRAAKPSESGAAVPATTAADPAVHVWCRPVGPAVRAATATPSDAAAAAAARADLAVAWCAAAGADPATVLRAAGADPVDVWCSIHGGGAEDSQHDRAAGCRARGRRQRAEDDEEPACHHRKRALAISRAIASKTGSSVGSAPRKKAACKDEALDSNTPVLVC* >Brasy6G253300.1.p pacid=40050557 transcript=Brasy6G253300.1 locus=Brasy6G253300 ID=Brasy6G253300.1.v1.1 annot-version=v1.1 MLIVRLRLRRGWTAEEDARLEKLATENRFRHWHRVARQMPGRSHKLCRDRWPAPSPPPTTPIELARLHRRHGGRWKDISRHVHARTTRVLKRRWRELLRQKNGAEKMEATLQRPVVDVLAAGLSSCSLGCGPAVDPRIGSLALGFACMAV* >Brasy6G196500.1.p pacid=40050558 transcript=Brasy6G196500.1 locus=Brasy6G196500 ID=Brasy6G196500.1.v1.1 annot-version=v1.1 MDLRPRRAKILEEQEPRQTSAIAWTCRGIVGVARGFVSRRGVVGRKECPPGLSFAPSPPRELDTPQRTRRGRPKTRRLPRSRRQDGATARIELRLRECSLRRRVSDFLWAYTSRYRAAK* >Brasy6G233100.1.p pacid=40050559 transcript=Brasy6G233100.1 locus=Brasy6G233100 ID=Brasy6G233100.1.v1.1 annot-version=v1.1 MASSETKYWLTEEVDVEAYRRHRQCDEDEASKAFVDYESTVIKDVIKDQKHFAKGGFALVYKAKLGGNNVAIKVPQDKNMEDERLAFHKEVEILRRIRHPYIVVLHGACVQECALVYEFLPEGTLEARLSEEGRNYFSWEERIHVAANISTALVYLHDSLPDPITHSDLKPLNILFDAENLCKLADFGISRFLGNNTTGGTRCHVTEESKGTDSYKDPCFDKSNRLTGQADVFAFGIILLQLVTGEGALRLRDIVSQKMKDLKGRVKLLRRLGLIDATWDSNDKSRKIALQMLELGLQCSEPERKERPNFKQEVWTKISSIAYEEVPAFLAQQQAWWGRFSPSIQSGTDPVTATPAISAITEQADRAEEVQEEVAEIAGSGMEYVA* >Brasy6G184800.1.p pacid=40050560 transcript=Brasy6G184800.1 locus=Brasy6G184800 ID=Brasy6G184800.1.v1.1 annot-version=v1.1 MAPRVSSDRVVPPRRIAGPLLWRVGGKEPGKAAALVGEEPVAAAAVSSMSARGALPSECDECLRLPLPLVQEEATQTPGAVAWCSSSKVQHQYAHGEVSGVWCSRKCLAQILPLRRTALRAIVEEPAFSHPGHLGKGQKLEDQIKQLLLLAADEEVDLGNVVALPYSSGTKGAEIIVSG* >Brasy6G106000.1.p pacid=40050561 transcript=Brasy6G106000.1 locus=Brasy6G106000 ID=Brasy6G106000.1.v1.1 annot-version=v1.1 MGDLPDPARAQVAAGGRRDKLAALLELAAADDVDGMREALELAGEEAAELADEVGLWYGRSKAYEPRTPLMVAATYGSARVVSLLLGLSGYVDVARRPGVDGFTALHCAAAGGSSNAVPVVKMLLDAGADLATPDCAGRFPADVIRAPPASPDALGDLEMLLGRRRALAVATSAASGASSPPLSSSPDDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMYAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDNCEFSHGVFESWLHPTQYRTRLCKEGAACARRICFFAHDEEELRHVPHNSGAGLLSPRATSSIDMSAAAQLGLLQGSPRQFGPPPGSPSAGSNGGGTAPHWLQGSRLRSSFNARDATVEDLGMLLDWESQYLGALCLPSSSRSQPRLSTGLSVRPTAIAPSTLEDMYASDLAMSPRFTNDQAHSVYSPAHKSAMLNKLHQQKGLLSPVNTNRLYSPRGLDPSALVHSPIGGMSPRSPRVMEPTSPLSARFGASHTQREMFEQFASLNKHQLPSTGSPRNSNSSWGNMGSPMGKVDWGVDGDELVRLRRPEQSGLAEKEPDVSWGQSPNGRRGEMLGNAGGLASGSTNRTDWNNQADLLDQTAIGAWLEQHMDQK* >Brasy6G133500.1.p pacid=40050562 transcript=Brasy6G133500.1 locus=Brasy6G133500 ID=Brasy6G133500.1.v1.1 annot-version=v1.1 MTAELALRPNLKPIDAKRPARQSPAVRAGLPQATFRPGVDEVRGALADAIEWTLLLAGEESFRLSGRGSHGRSGTSTRRRRGGSCTGSCSGAPGNSTEFASRRRAAWWRLNGELQRRSRELYGVRVAAARGMVEVERGAAAALQGALRSSRGGGGVAEEAWRGAWLRRRRAAVVGGADEAEAGRRAEIRAGVEGEAGAEISDLWAPPNPLQRGKL* >Brasy6G096400.1.p pacid=40050563 transcript=Brasy6G096400.1 locus=Brasy6G096400 ID=Brasy6G096400.1.v1.1 annot-version=v1.1 MDYLEIKNKEEYQMDSVQIKDNEGHPVEMLVDQRCFLEPLCPEEVNEDTRIYPHVGDEYQVEVPNLATEEEHVKLRSSAVDGSRMFGFEYPVAVGLTIPVTWIQNTSTRMKEEWRKISGHNSCPPEDGHNNHISGNSPRNLSQDSTYLECLGCKVEYDEQGENLSKIAGQDMHCLQKSKVLSCSCVRREVNDYIPLPGMPRYSWTDEEAQTFLLGLYIFGKNLVQVMKFMKSKTMGEVMSYYYGEFFKSDAYKRWAACRKVRSRRCILGLRIFSGPRQQELLSRLLAGVASEVRDPLLEVFKTFNEGSFDFEQFILCLRSTVGAQVLIDAVGIGKGKYDLTGFALDPSRNNGISTRAEIPIGKACSSLSTGDIIKYLTGDFRLSKAKCNDLFWEAVWPRLLARGWHSEQPKDSSLIGKHALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLRKVASEPRLLEFGVEGGVKLENGWIHNVEADKNTASDKKPPCYIRPSEPGCSPELMKFTVVDTSFVQGEEPGKVRSLRNLPTDASDGYNSSPRSADSGSGISEEPSDSEDSSQPYEDLNTNISTTDASSVNKEREIKPPTGDKMDADVLPKISTFASTINGHIPIDQSYGTMNNVCSSTATVLPVGNQRVHRTNSSTEINFQFDKRVHPEPQVFLAPVSKRSRLVSCKNERTSRKSTAGNKRHYWKQAGTPPQHVPKANGASVGVNPFVWSAIPDSSTSISFDVNNNQAHSRQLHHAPHNVEAISYKEKSQHKHVIDLNIPQMPSDYESTTSYMFPPTIHPSETKEMDDCLPDMNASSNAVLSEQLSFNSRRQSTRSRPPTARALEALAGGFMGTKQKGGEANFPSSSRSSRPVRRPRRSTDVLAPFSSDGEGCSSHFTDPAIVLNECHMSNPQYQTVHSTPSDKSSAKETHELFRADKSTDKGTREIVWHAVDGMKSSKEFHAQQLR* >Brasy6G226300.1.p pacid=40050564 transcript=Brasy6G226300.1 locus=Brasy6G226300 ID=Brasy6G226300.1.v1.1 annot-version=v1.1 MAEAEHRDGPCCMETLMASLEAEKDDLKSYIISPQGGNYGSLGKTIRVLGGFLSSRGDSLPWYRMYQAYPSWQQQSQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEASGSEPAPYFSLNEDFWMDDIPNFGLYD* >Brasy6G226300.8.p pacid=40050565 transcript=Brasy6G226300.8 locus=Brasy6G226300 ID=Brasy6G226300.8.v1.1 annot-version=v1.1 MAEAEHRDGPCCMETLMASLEAEKDDLKSYIISPQGGNYGSLGKTIRVLGGFLSSRGDSLPWYRMYQAYPSWQQQSQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEASGSEPAPYFSLNEDFWMDDIPNFGLYD* >Brasy6G226300.2.p pacid=40050566 transcript=Brasy6G226300.2 locus=Brasy6G226300 ID=Brasy6G226300.2.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGGNYGSLGKTIRVLGGFLSSRGDSLPWYRMYQAYPSWQQQSQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEASGSEPAPYFSLNEDFWMDDIPNFGLYD* >Brasy6G226300.3.p pacid=40050567 transcript=Brasy6G226300.3 locus=Brasy6G226300 ID=Brasy6G226300.3.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGGNYGSLGKTIRVLGGFLSSRGDSLPWYRMYQAYPSWQQQSQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEGKHSYKHHP* >Brasy6G226300.4.p pacid=40050568 transcript=Brasy6G226300.4 locus=Brasy6G226300 ID=Brasy6G226300.4.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGGNYGSLGKTIRVLGGFLSSRGDSLPWYRMYQAYPSWQQQSQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEGKHSYKHHP* >Brasy6G226300.5.p pacid=40050569 transcript=Brasy6G226300.5 locus=Brasy6G226300 ID=Brasy6G226300.5.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEASGSEPAPYFSLNEDFWMDDIPNFGLYD* >Brasy6G226300.6.p pacid=40050570 transcript=Brasy6G226300.6 locus=Brasy6G226300 ID=Brasy6G226300.6.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEGKHSYKHHP* >Brasy6G226300.7.p pacid=40050571 transcript=Brasy6G226300.7 locus=Brasy6G226300 ID=Brasy6G226300.7.v1.1 annot-version=v1.1 MRRLCASSAIETATILEASSTNNHLGQFLHRRLDKDGVLQDASFSVFLTLIEPRHRQALESNHTWHSKGPTDINNKFEFRKLYYKYINKEASSLRENEFTVSEFVLKVDKPRVAICVLHRKDFRNLTRDMERRVNEGKHSYKHHP* >Brasy6G210900.1.p pacid=40050572 transcript=Brasy6G210900.1 locus=Brasy6G210900 ID=Brasy6G210900.1.v1.1 annot-version=v1.1 MAAPPSDLSSLETSLIRFSRQDPTTILGLALDMHLVLGQCFCFWLAGLACVSFLIFSDDLSMLLQIPTGRSSRLKGCSCSFLSSFGATPFLLRRRSKGAPCARGRCEATVAVEEASMYFRSGA* >Brasy6G246200.1.p pacid=40050573 transcript=Brasy6G246200.1 locus=Brasy6G246200 ID=Brasy6G246200.1.v1.1 annot-version=v1.1 MGIVGGFFLVLLLLVVTPAASGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGVVCNGANVAGVVLDGHHISGVADLSVFVNLTMLVKLSMANNNLSGSLPSKVGGLKSLKFLDISNNRFSGSIPDDIGSLRSLQNMSLAGNNFSGPLPESIDGLTSLLSLDVSGNSLSGPLPAALKGLRSMVALNLSCNAFTKGIPAGLGLLVNLQSVDLSWNQLDGGVDWKFLIESSVAHVDFSRNLLTSTTPKELKFLADISETVLYLNLSSNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNQFRGNLSVLTKWSNDLEYVDLSQNNITGTIPDVSSQFLRLNYLNLSHNSLDNTIPEAVVKYPKLTVLDLSSNQFSGPIPTNLLTSSMLHELYIQDNMLTGGISFPGSSSKNLSLEVLDISGNHFSGSLPDDMASLSGLQVLDISSNNFSGPLPAAVSKIAALTALDISMNQFTGPLPEALPDTLQSLNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSSGSASGSAGGKPLSTGAKIALVAASIVVLVIIILVAIVCHYKRISRQFPSSEKVSDKNLHRATKDIESMKRKDNKGGSEISADDLVAPRKGSTSEAPSQEEKLSAVGGFSPSKGSRFSWSPDSGEAYAQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFTKEAKKFSNIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKASNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVALLELLTGRCAGDIVSGAEGGVDLTDWVRLRVAEGHGSECFDPAMASDSENPQAVKGMKEVLGIALRCIRPVSERPGIKSVYEDLSSI* >Brasy6G129300.1.p pacid=40050574 transcript=Brasy6G129300.1 locus=Brasy6G129300 ID=Brasy6G129300.1.v1.1 annot-version=v1.1 MGQCCSRATSPDSGRGVNGYGYSHQPKQAQTPPSYNHAHPPPQAEVRYTPPAMNPPVVPPVVAPSKPTPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQPNIVEFCGAYEDKSSVHVVMELCAGGELFDRIIAKGHYSERAAATICRGVVNVVNVCHFMGVMHRDLKPENFLLATKDENAVLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFESQPWPSISESAKDLVRKMLAQDPKKRINSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFSNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMDAADVDGSGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDSSGFITRDELETALIEHEMGDADTIKDIISEVDTDNDGRINYDEFCAMMRGGIQQQPVRLK* >Brasy6G129300.3.p pacid=40050575 transcript=Brasy6G129300.3 locus=Brasy6G129300 ID=Brasy6G129300.3.v1.1 annot-version=v1.1 MGQCCSRATSPDSGRGVNGYGYSHQPKQAQTPPSYNHAHPPPQAEVRYTPPAMNPPVVPPVVAPSKPTPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQPNIVEFCGAYEDKSSVHVVMELCAGGELFDRIIAKGHYSERAAATICRGVVNVVNVCHFMGVMHRDLKPENFLLATKDENAVLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFESQPWPSISESAKDLVRKMLAQDPKKRINSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFSNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMDAADVDGSGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDSSGFITRDELETALIEHEMGDADTIKDIISEVDTDNDGRINYDEFCAMMRGGIQQQPVRLK* >Brasy6G129300.2.p pacid=40050576 transcript=Brasy6G129300.2 locus=Brasy6G129300 ID=Brasy6G129300.2.v1.1 annot-version=v1.1 MGQCCSRATSPDSGRGVNGYGYSHQPKQAQTPPSYNHAHPPPQAEVRYTPPAMNPPVVPPVVAPSKPTPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQPNIVEFCGAYEDKSSVHVVMELCAGGELFDRIIAKGHYSERAAATICRGVVNVVNVCHFMGVMHRDLKPENFLLATKDENAVLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFESQPWPSISESAKDLVRKMLAQDPKKRINSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFSNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMDAADVDGSGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDSSGFITRDELETALIEHEMGDADTIKDIISEVDTDNDGRINYDEFCAMMRGGIQQQPVRLK* >Brasy6G018900.1.p pacid=40050577 transcript=Brasy6G018900.1 locus=Brasy6G018900 ID=Brasy6G018900.1.v1.1 annot-version=v1.1 MFYHDWSTVLFESYGSVFLLVQQARKAFVQ* >Brasy6G125200.1.p pacid=40050578 transcript=Brasy6G125200.1 locus=Brasy6G125200 ID=Brasy6G125200.1.v1.1 annot-version=v1.1 MTTATATTSCKPEDEGRAVRAHLPLPSQLKDHDPSVHIPLLHSHPSTSATTIPSALAVPAADKQQQSPKLRIFRSDRERHLCIGSRARGRQYKIHLLD* >Brasy6G233400.1.p pacid=40050579 transcript=Brasy6G233400.1 locus=Brasy6G233400 ID=Brasy6G233400.1.v1.1 annot-version=v1.1 MDSEEGERDWVRPWRRRPASPEQDWHRRPASAEPSWPLRVASPSSSEERERVWGRPWGRRPGNISAFSEGEISAPSEDEIWSPRENERLSEEVDRLKIEVDRLKIDLEACERHRQCGEVEASKTFIDFEPSVIDAMINDQELYAKGGSGYVYKAELGGRDVAIKVPKDLCVEDEKLAFAKEVQILGRIRHPNIVVLYGACVEKCALVYEFLPKGTLQARLSEKGRHAFSWKKRIHVAGNISTALVYLHDSRPYPVTHGDLKPANILFGAEDVCKLGDFGISRFLQHNTTGGTPCHITEISKGSGPYKDPCFDKSKRLTGEADVFAFGIILLQLVTGAKDATGLRKFVSDGMKKLKGGKQDTKLGLLGELGLIDETWNSNDKTRKVALKMLKLGLRCSERRRKNRPDFKREVWAKINEYITREEEEEVPALRGSSRHHRQINSITREEEVGPSRRRRQINSIAYHREEDARHSPARTIFYPVIRPRGGYPH* >Brasy6G233400.2.p pacid=40050580 transcript=Brasy6G233400.2 locus=Brasy6G233400 ID=Brasy6G233400.2.v1.1 annot-version=v1.1 MDSEEGERDWVRPWRRRPASPEQDWHRRPASAEPSWPLRVASPSSSEERERVWGRPWGRRPGNISAFSEGEISAPSEDEIWSPREVQILGRIRHPNIVVLYGACVEKCALVYEFLPKGTLQARLSEKGRHAFSWKKRIHVAGNISTALVYLHDSRPYPVTHGDLKPANILFGAEDVCKLGDFGISRFLQHNTTGGTPCHITEISKGSGPYKDPCFDKSKRLTGEADVFAFGIILLQLVTGAKDATGLRKFVSDGMKKLKGGKQDTKLGLLGELGLIDETWNSNDKTRKVALKMLKLGLRCSERRRKNRPDFKREVWAKINEYITREEEEEVPALRGSSRHHRQINSITREEEVGPSRRRRQINSIAYHREEDARHSPARTIFYPVIRPRGGYPH* >Brasy6G124500.1.p pacid=40050581 transcript=Brasy6G124500.1 locus=Brasy6G124500 ID=Brasy6G124500.1.v1.1 annot-version=v1.1 MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEYHADGSVALSSRNFDSYSHQYPILVVNFYAPWCYWSNRLKPSWEKTAKIIKERYDPEMDGRILLAKVDCTEEGELCKRHHIQGYPSIRIFRKGSDMKENQGHHDHESYYGERDTDSLVAAMETYVGNLPKEAHMLALDDKSNKTVDPAKRPAPMTSGCRIEGFVRVKKVPGSVIISARSGSHSFDPSQINVSHYVTQFSFGNRLSPNMFSELKRLIPYVGGHHDRLAGQSYIVKHGDNKANVTIEHYLQIIKTELVTLRSSKELKVFEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKDI* >Brasy6G124500.3.p pacid=40050582 transcript=Brasy6G124500.3 locus=Brasy6G124500 ID=Brasy6G124500.3.v1.1 annot-version=v1.1 MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEYHADGSVALSSRNFDSYSHQYPILVVNFYAPWCYWSNRLKPSWEKTAKIIKERYDPEMDGRILLAKVDCTEEGELCKRHHIQGYPSIRIFRKGSDMKENQGHHDHESYYGERDTDSLVAAMETYVGNLPKEAHMLALDDKSNKTVDPAKRPAPMTSGCRIEGFVRVKKVPGSVIISARSGSHSFDPSQINVSHYVTQFSFGNRLSPNMFSELKRLIPYVGGHHDRLAGQSYIVKHGDNKANVTIEHYLQIIKTELVTLRSSKELKVFEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKDI* >Brasy6G124500.2.p pacid=40050583 transcript=Brasy6G124500.2 locus=Brasy6G124500 ID=Brasy6G124500.2.v1.1 annot-version=v1.1 MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEYHADGSVALSSRNFDSYSHQYPILVVNFYAPWCYWSNRLKPSWEKTAKIIKERYDPEMDGRILLAKVDCTEEGELCKRHHIQGYPSIRIFRKGSDMKENQGHHDHESYYGERDTDSLVAAMETYVGNLPKEAHMLALDDKSNKTVDPAKRPAPMTSGCRIEGFVRVKKVPGSVIISARSGSHSFDPSQINVSHYVTQFSFGNRLSPNMFSELKRLIPYVGGHHDRLAGQSYIVKHGDNKANVTIEHYLQIIKTELVTLRSSKELKVFEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKDI* >Brasy6G224100.1.p pacid=40050584 transcript=Brasy6G224100.1 locus=Brasy6G224100 ID=Brasy6G224100.1.v1.1 annot-version=v1.1 MLGSLDRDPRRTTGKHAPRIVAVASLPPTTCKFIIGTGEEEERSRCSILVAVYLQSKPPLKNSKKTAASALHLPFSALLLKAKIRQRPSEYLLQSRCAVLSLSPNPSILPASHLGRSWAASAGGWAPRVRLCCALPFVRRFKPREIEAATSGFSTALETGGPRGTAYRARFADGLVATVRRAAAAGGKEDDGGAAFHRELQLLGRLNHRHVVRLRGFSEGHNRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVTVNSSSVMMDADFVAKLSDISVIDPTESDAASFEEGIEQRRRELVFQYGVLLLELVTGQSPGGGDGELVRWVQGTGFAGSMQRMVDADLGGTYDAGELRDLVIVARLCTRPAAHGAAAGVSIPHVLRYLQGKVEDKNRR* >Brasy6G224100.2.p pacid=40050585 transcript=Brasy6G224100.2 locus=Brasy6G224100 ID=Brasy6G224100.2.v1.1 annot-version=v1.1 MGCLRRRLGATRALPFVRRFKPREIEAATSGFSTALETGGPRGTAYRARFADGLVATVRRAAAAGGKEDDGGAAFHRELQLLGRLNHRHVVRLRGFSEGHNRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVTVNSSSVMMDADFVAKLSDISVIDPTESDAASFEEGIEQRRRELVFQYGVLLLELVTGQSPGGGDGELVRWVQGTGFAGSMQRMVDADLGGTYDAGELRDLVIVARLCTRPAAHGAAAGVSIPHVLRYLQGKVEDKNRR* >Brasy6G007400.1.p pacid=40050586 transcript=Brasy6G007400.1 locus=Brasy6G007400 ID=Brasy6G007400.1.v1.1 annot-version=v1.1 MVVGDGRSQQDVVEGLGDGRRRAAPAWTAAGAELLGAPSMADRARVGEGPRRDAADGLGASSMAASARGGCAGRRGTAGFGLHFPSMGEIGVGEEHEVGRTKGIDREEEELVREEKKVMGLARTRVKPDIPFLP* >Brasy6G009500.1.p pacid=40050587 transcript=Brasy6G009500.1 locus=Brasy6G009500 ID=Brasy6G009500.1.v1.1 annot-version=v1.1 MRGPPLPNPVACLGLRGSAATPSRRRAAPQPPLYVSRLPPHASASTAVSIVSCAMKSYRLSTLSDAEVSGLKARPRIDFSSIFTTVNPIVEDVRVRGDAAVKDYTEKFDKVLLDNAVVRVSDLPDAELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKIVVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLSAIEAEVSKQCDALPRGEFASKALGHSFTVFAKDMVEAISFSNTYAPEHLIINVKDAEQWEELIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRTLGPFVAKMAEVEGLEAHKRAVTLRLQEIEATVTV* >Brasy6G257000.1.p pacid=40050588 transcript=Brasy6G257000.1 locus=Brasy6G257000 ID=Brasy6G257000.1.v1.1 annot-version=v1.1 MEHGDGERAAKRAEPSASATADGDLLSALPDDVLLHILARLPSAAFAARTSALSSRWRRLWALLPTLAFCPLDVHRIRPALAAHEAEAATALLCLHVFLREADAGSVAEWIPAAARRLSGGLTLVNTVDDWVVDGGVLELPCFQNATSVRLDLGLLRIAVPDSGISARLTDLHLDTFQLQNPCVLGEALSTPHCPALQRLTISNARGIDRFAMDSESLLQLKLCDLEGFEQLTLVAPALKELHVSGCFGHFMNPARLAASISAPELALLEWKDAFSPSSVQLGEMANLQRLCTGTFFVYGPNDFVPNRNVVRLLQHFRVMHSVALQLFYRPDISHRQYLMESMTRLPANITNLFLDVISNGHSIGATLFHILRVSTDVRNLTINLIEGEEPPTHCTPGCICDRSQKWKTEELALNHLEEVEILGLTGTGHEVAFLEQFFSRATALKAMRISINDAIPDLRATWLFYMLQSISSPKIETKHYLFQGFVKEVLGAPEQEE* >Brasy6G104300.1.p pacid=40050589 transcript=Brasy6G104300.1 locus=Brasy6G104300 ID=Brasy6G104300.1.v1.1 annot-version=v1.1 MDPKYKTIVCFNCGEPGHYVGNCVKPKLCFMCNNANHHMDNCPAWGKEIPMAQFVGSASGGLGFLHVNVEENASTKWLNMKNCGILAVTHGSITPQDLVLRLAATWDENWSWQVRQLEEKSFLVRFPPHKNVGDLVDLPSINLREGAEQDRVTIKILTWDGDLPDLGELTEVWIQIRGIPPRWISWRVIAQISKSFGLLLDVDWAEIFKSLYETVRVKLAVRNLAKIPPERMMVMRKKFYPLQFHVEWDGVDIDKIMGLDDKDYDGDEEYDDDNIMEDEIKDLEENRKSEQNNPEPKKHDSASEPAPSNAQNKPADDAHCFQTLVGAGLLDSDEECIPIIEVTDVFQACSMSDKDGALTKRDANLNLEDEDLTYIQSQLGDSAIPDAAPIMDFPTPDEVQKRNTKRNKWGPVVPARQSNRIPQDGKSIMDRAQDIVAQRNLVQSTNKGKTYKHSFAASCNSNLALKAKDINVCLGLTPHKINKQIDHLKKIETDRLNKLAEDHPDIFLPMNIDVTFEDILEQEVDDHELNNGSYDSTISDMEHDYEQDEIMHISYKLRKDRSYKSKNIPVHLSYALHDLEC* >Brasy6G050600.1.p pacid=40050590 transcript=Brasy6G050600.1 locus=Brasy6G050600 ID=Brasy6G050600.1.v1.1 annot-version=v1.1 MVPRDMVQATAAGAVGRRHVQGPAMNKKREKKAPKKVHKSEREKRNRGTQNDLFTELGSMLEPDRQNNGKACVLGDTTRILKDLVSQVESLRKENVTLKNESHYVVLERNELRDDNSILRNEILELQNELRVRLQSNPICSQGTTRPAPTVSYPTGRAFPVQQHLAHLPIITTMTLPLQQPVITEQCYAAPPRELQLFPEAASASTEDSELSQDQGISNNVTRPQARYPTPMAMLPVNQFPILSTTGDEQQCSSGTSEEDCLRSV* >Brasy6G050600.2.p pacid=40050591 transcript=Brasy6G050600.2 locus=Brasy6G050600 ID=Brasy6G050600.2.v1.1 annot-version=v1.1 MVPRDMVQATAAGAVGRRHVQGPAMNKKREKKAPKKVHKSEREKRNRGTQNDLFTELGSMLEPDRQNNGKACVLGDTTRILKDLVSQVESLRKENVTLKNESHYVVLERNELRDDNSILRNEILELQNELRVRLQSNPICSQGTTRPAPTVSYPTGRAFPVQQHLAHLPIITTMTLPLQQPVITEQCYAAPPRELQLFPEAASASTEDSELSQDQGISNNVTRPQARYPTPMAMLPVNQFPILSTTGDEQQCSSGTSEEDCLRSV* >Brasy6G101300.1.p pacid=40050592 transcript=Brasy6G101300.1 locus=Brasy6G101300 ID=Brasy6G101300.1.v1.1 annot-version=v1.1 MGKTKPAGGGEETVITDEYMTKEDFYNFHSEVQKKFDDLTREHQELVKAQGESRENLEKVHSDTSSKLDKLTEMMTSLMHRELGKDTPMHEVSDRASTPVITLDTHPRVTQIEDGPRFGYSQAPAQQVRQGNLFGTGQGQQNQGRPFIADRQFQFGQMQNMFQQQEND >Brasy6G049600.1.p pacid=40050593 transcript=Brasy6G049600.1 locus=Brasy6G049600 ID=Brasy6G049600.1.v1.1 annot-version=v1.1 MASAENGGGDSVAAGILRLLMGMAAAPGGVMMVHHVLVDGDGELFSGGLGGGGGVPPASKAAIASLKEAPARGGGTEDCAICLDAFEAGKEMPCGHRFHGGCLERWLGVHGSCPVCRSELPKAEQEQGGGEEGRRPRGALLVTYVALGGGGDQRPREEEEQSEQPWNIRVEDVD* >Brasy6G175100.1.p pacid=40050594 transcript=Brasy6G175100.1 locus=Brasy6G175100 ID=Brasy6G175100.1.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSENVQEADRPSEGYYIRSGITVVLKNAVIPDGTII* >Brasy6G175100.2.p pacid=40050595 transcript=Brasy6G175100.2 locus=Brasy6G175100 ID=Brasy6G175100.2.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSENVQEADRPSEGYYIRSGITVVLKNAVIPDGTII* >Brasy6G175100.3.p pacid=40050596 transcript=Brasy6G175100.3 locus=Brasy6G175100 ID=Brasy6G175100.3.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSENVQEADRPSEGYYIRSGITVVLKNAVIPDGTII* >Brasy6G175100.4.p pacid=40050597 transcript=Brasy6G175100.4 locus=Brasy6G175100 ID=Brasy6G175100.4.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSEVHSLCR* >Brasy6G175100.5.p pacid=40050598 transcript=Brasy6G175100.5 locus=Brasy6G175100 ID=Brasy6G175100.5.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSEVHSLCR* >Brasy6G175100.6.p pacid=40050599 transcript=Brasy6G175100.6 locus=Brasy6G175100 ID=Brasy6G175100.6.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKELYY* >Brasy6G175100.7.p pacid=40050600 transcript=Brasy6G175100.7 locus=Brasy6G175100 ID=Brasy6G175100.7.v1.1 annot-version=v1.1 MATSTGAMAPHAACPFSPVVLRRAPRLVRLAAPRRAAAATTSCVLTGAPQGIKVEPLPGIPPESSTVVAPRRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKELYY* >Brasy6G175100.8.p pacid=40050601 transcript=Brasy6G175100.8 locus=Brasy6G175100 ID=Brasy6G175100.8.v1.1 annot-version=v1.1 MSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGNGFVEVLAATQTAGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRNGRITDFLEKPKGESLKSMVVDMEIFGLSPEVANVYNYLASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFHFYEPVKPIFTSPRFLPPTKVEDCKVLNSIVSHGCFLTECSVEHSVIGIRSRLQPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTKIRNCIIDKNARIGQNVVIMNSENVQEADRPSEGYYIRSGITVVLKNAVIPDGTII* >Brasy6G053000.1.p pacid=40050602 transcript=Brasy6G053000.1 locus=Brasy6G053000 ID=Brasy6G053000.1.v1.1 annot-version=v1.1 MATSALLRALSRPSSVAALRLATSVNVQTAAGYRHLNNRNLSVFNEFSKHLKGEANSNPEFQKSMKEFSEKLGVVKEDLKVRTKKTAETISKSVDDVLAEAEATSKKVTANVKDKMSAATEEVKESFGLGKEETSSFRDGSHENLKDGRTETSSHSDDKSQEATSSYAFFNKLRSTISSASPVVSGAFAKLRDTRVSTLANQGYEIVKEELSSSSSRKKKKHARHASAAVEKSTRTDIVIVPTKKSVLGEKWEAIKNKMRAHPVYKRVNEYTKPVVTKGQEVAEDVRERWETSDHPVVQKIQDINESIFEETSTAVSFKEIRRRDPSFSLPDFVGDVQEMIKPVLTAYSKGDVGTLKKYCTKELLERCKGERKAYASQGIFFDHKILHISDADVRETKMLGSAPIIVVMFQTQEIHCVRDREGQITEGGQDTIRTVFYQWAMQLMDSDEVPEEESYYAVWRLREMHQIGIKALI* >Brasy6G038900.1.p pacid=40050603 transcript=Brasy6G038900.1 locus=Brasy6G038900 ID=Brasy6G038900.1.v1.1 annot-version=v1.1 MMSSSAPPPPSLPAAGVSFFRGYTKLCKGLAVVLLLVHLLVQLFPSAVNYLALIPARTIPFGWNLITAGYVEQTIPGVLISIVGLLLFGKLLEPLWGTKELSKFVFIVNFSTSVCVFITAIAFYYVTQQETYLYTPLSGFYGVLSGLLVGIKQLLPDQELNLFVLKIKGKWIPSLIALISVFVSFFVKELVSYLPVILFGIYMSWIYLRYFQKRLETGLNGDPSEEFSFSSFFPEFLRPVLGPIASIFHRLLCGRTDRADARGHALDTSSLPGSDSTEANRRRERGQRALEQRLAEKLAAVKSTEGTSHDASDKV* >Brasy6G178900.1.p pacid=40050604 transcript=Brasy6G178900.1 locus=Brasy6G178900 ID=Brasy6G178900.1.v1.1 annot-version=v1.1 MSGLYSQGFSPARTASPQIRGNPDHDRLLCSQYLAELLAEHQKLGPFMQVLPVCSRLLNQEIMRVSSMAHDHGFSDFDRRCYRSPSPMSSPIMRPNLHGNGFGPWNGLHQERAGFPPPGTSMDWQGAPPSPGSYIVKKIIRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASSGCRVFIRGKGSIKDTDKEEKLKGKPGYEHLNDPLHVLIEAELPANIIDARLKQAQEIMEELLRPVDESQDFYKRQQLRELAVLNSTLREDSPHPGSVSPFSNGGMKRAKPSQ* >Brasy6G178900.2.p pacid=40050605 transcript=Brasy6G178900.2 locus=Brasy6G178900 ID=Brasy6G178900.2.v1.1 annot-version=v1.1 MSGLYSQGFSPARTASPQIRGNPDHDSQYLAELLAEHQKLGPFMQVLPVCSRLLNQEIMRVSSMAHDHGFSDFDRRCYRSPSPMSSPIMRPNLHGNGFGPWNGLHQERAGFPPPGTSMDWQGAPPSPGSYIVKKIIRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASSGCRVFIRGKGSIKDTDKEEKLKGKPGYEHLNDPLHVLIEAELPANIIDARLKQAQEIMEELLRPVDESQDFYKRQQLRELAVLNSTLREDSPHPGSVSPFSNGGMKRAKPSQ* >Brasy6G046700.1.p pacid=40050606 transcript=Brasy6G046700.1 locus=Brasy6G046700 ID=Brasy6G046700.1.v1.1 annot-version=v1.1 MRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRSGGSFSFRSPHEQAIFEIARTIWHHTTNKHGRDPSADSDDEEAAVAAAAATAVPANNTSPNGEVKSPSGRQRRRRRSSESATPTTPAATILVQPPQPVQVPVSVPVKMDGSLPALQQTPMPVTVTMEGSEPHRFPVTSPQSGVFDAEKNCLMPLFKEMIHAVINIGSNPFGAKLPEPPLGLPMDGERWRNQRILELEVYLKRIELLQEQVKATLEELKSSAPGT* >Brasy6G186500.1.p pacid=40050607 transcript=Brasy6G186500.1 locus=Brasy6G186500 ID=Brasy6G186500.1.v1.1 annot-version=v1.1 MSLSSSLLSPHTPRRLLRPLLLPLRFSSSFPSPAASPLAMPPRRDHGKQPQQKWKPKAAPPPAPSSAAEAFAGGVQKMTLAPKAAVAPAAQVWVPRGYATSASPSSSSMVASEQGGASDKLSSIFNGAKDFSVDNNTFTEAKIRATFYPKFENEKSDQETRTRMIEMVSHGLATMEVTLKHSGSLFMYAGHYGGAYAKNSYGNVYTAVGVFVLGRLFREAWGKKAPIMQAEFNDFLEKNRISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSATSFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVMVQGEILEGLVARVVSRESSVQLEEVLRNFPQPSLDGCNSDLGPSLRDICAANRSDEKQQIKALLENVGSSMCPDLCDWFGNSGLESQSRNADRSVVTHFLQAHPTDYATKKLQEMIRLMKQRHFPAAFKCYWDFQKVDSLSNDNLYYKMAIHVHSDSVFKRYQQEMRKNQGLWPLYRGFFVDINLFKANNKKAAELSKDSNTLLKNIDGSLDSSSSTKDDLADEDSNLMVKLKFLTYKIRTFLIRNGLSTLFKDGPSAYKTYYLRQMKIWGTSPSKQRELSKMLDEWAVYIRRKYGNKQLLSSTYLSEAEPFLEQYAKRSPANQALIGAAGNLVQTENFLAILEAHRDEEGDLQPERGTSPSSPTSTSLDVVSKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCGTTKAAAVPVIPDSEGTETNPFSLEALAVFMFRVLQRVNHPGNLDKASPNPGYVLLMFYHLYDGKRRRDFESELYERFGSLVKMPLLKPDRAPLPGDVKSILDEGISLFRLHQSRHGRAEPSKGSYAKEWAQWEKRLRGVLLGNADYLSSIQVPFDVAVKEVLEQLKAVAKGDIKTPDTAKRKFGNIVFAAVTVPQADILGLLRELGKNDSDVNTFLNGIKVEDNLSKAHVTLAHKRAHGVAAVASYGVYQNQEVPVSFNAFLYTDKMAALEAQLGTINGEKVNSRNDWPHVTLWTAPGVAAKEANTLPELVSAGQAKRVPIDPPITISGVLDFY* >Brasy6G205000.1.p pacid=40050608 transcript=Brasy6G205000.1 locus=Brasy6G205000 ID=Brasy6G205000.1.v1.1 annot-version=v1.1 MREESSKKGKLSWSKSLVRKWFNIRNKAQDFHADLDAGHQGRNHGGGEARRVSFSASEASTSTAKKSRNDRSSKRSGDRFRRGRNDFDPARMTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGYGGYHTPSPVLDPVVELDADFEGSARRQDNFSLFHRRSFHDLSRSLRMDGDCMSQQPRLDRRFSVCDPVNLGARPSDFDGNSRWIGSPDEENIDEEVSNGAQFSPLPYTYNMSGPTEEDDGQSNSSRYCLVASKQMVGVFLTVWVRSEIRDDVRNLKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICCHLTSGEKEGDELRRNCDVMEILRKTRFPRVRGTGDVKSPETILDHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIQRRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHSKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFMAEVEIVHQRKRNMGYFSSRIEVEELLPHSQSYRGINFY* >Brasy6G205000.2.p pacid=40050609 transcript=Brasy6G205000.2 locus=Brasy6G205000 ID=Brasy6G205000.2.v1.1 annot-version=v1.1 MREESSKKGKLSWSKSLVRKWFNIRNKAQDFHADLDAGHQGRNHGGGEARRVSFSASEASTSTAKKSRNDRSSKRSGDRFRRGRNDFDPARMTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGYGGYHTPSPVLDPVVELDADFEGSARRQDNFSLFHRRSFHDLSRSLRMDGDCMSQQPRLDRRFSVCDPVNLGARPSDFDGNSRWIGSPDEENIDEEVSNGAQFSPLPYTYNMSGPTEEDDGQSNSSSKQMVGVFLTVWVRSEIRDDVRNLKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICCHLTSGEKEGDELRRNCDVMEILRKTRFPRVRGTGDVKSPETILDHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIQRRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHSKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFMAEVEIVHQRKRNMGYFSSRIEVEELLPHSQSYRGINFY* >Brasy6G205000.3.p pacid=40050610 transcript=Brasy6G205000.3 locus=Brasy6G205000 ID=Brasy6G205000.3.v1.1 annot-version=v1.1 MREESSKKGKLSWSKSLVRKWFNIRNKAQDFHADLDAGHQGRNHGGGEARRVSFSASEASTSTAKKSRNDRSSKRSGDRFRRGRNDFDPARMTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGYGGYHTPSPVLDPVVELDADFEGSARRQDNFSLFHRRSFHDLSRSLRMDGDCMSQQPRLDRRFSVCDPVNLGARPSDFDGNSRWIGSPDEENIDEEVSNGAQFSPLPYTYNMSGPTEEDDGQSNSSRYCLVASKQMVGVFLTVWVRSEIRDDVRNLKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICCHLTSGEKEGDELRRNCDVMEILRKTRFPRVRGTGDVKSPETILDHDFGYNEDMGEFFRVGRKEGFIFLPHTSIPSTQIGMLERACIPKKRGEHLHGAIAFSGTAMASISYLTFVESLASPTTDQYTVFSWQRLRLSTRGRETWAILALGLRWKSCYRIHRATEA* >Brasy6G262300.1.p pacid=40050611 transcript=Brasy6G262300.1 locus=Brasy6G262300 ID=Brasy6G262300.1.v1.1 annot-version=v1.1 MEEPWEIDLGKLDITQQIKQGHFGTVFRGTYDGRDVAVKLMDFGEDGIATPSEIASRRALFKTEVAVWKELDHPNVTQFVGASMGTVDLKIPALRAADLPLGACCLVVEFLDGGTLKNYLIKHMDNKLAYKAVVQLALDLARGLCYLHSKRIVHRDVKTDNMSFDTEGTLKIIDFGVARVEAENPKDMTGTTGTPGYMAPEVIQGYPYNRKGDVYSFGMCLWEMYCCDMPYAGLSYTEATSAIVHQGLRPEIPRCCPAPMADIIRRCWDASPDKRPHMEDVVRLLEGLDTSKGGGMIPDEGQSRPGCLCFFRPRRGGRICC* >Brasy6G083000.1.p pacid=40050612 transcript=Brasy6G083000.1 locus=Brasy6G083000 ID=Brasy6G083000.1.v1.1 annot-version=v1.1 MEQKQIVRTFSALGQLAKIAAMLLLFLLVPFVSPSLKGPYLYLVFNALVVSLGVQAGIISVPSNQKQSPSAPNLTNPGETADHVAGSDHHLVSGGTTVVIDTVLLPLAPLLLVERRGVELAHRAAIKEGVVGVAKKLTEKINNCPSRASIFFIGSVDADYAGEVVEANSLTVHQVAEGRKEWKADAGVRLSKQELFTNAEAFIGNFYKQLKMQRDESCVGD* >Brasy6G258500.1.p pacid=40050613 transcript=Brasy6G258500.1 locus=Brasy6G258500 ID=Brasy6G258500.1.v1.1 annot-version=v1.1 MEHGDGREAAAKRAAGGGGGGEDFISALPDDVLIHILVGLRSAAVAAQTSVLSSRWRHLWALLPELEFSAARDTPDRICAALDAHEAPALLDLRVETMDATADSVAAWIPIAARRVSGSLSFVNRLSDVDLDGGAFELPCFEDATLLSLDLGFLGFAVPASGISARLTDLYLDNFKVQGPCVLGDAFSSPRCPCLQRLTISNAHGIDKFTIRSESLLQLKLSKLYGLQQLTVMAPALKELTRLTILNVHGIDKFTIRSESLLQLELSDLYGFQQLTVMAPALKELTVKSCFLKSLDETHLVANISAPRLVSLMWADIYDPCYVQLGEMPHLQRLRPYCFPVYRRHDLGYNRSCLRLMQHFKAVQVLYLLLFYPRVIGDYQYMIQDMARLPDITLLSLDVMANGHSFGASSFHVLRMCTGLRKLVLKFLKELSETPCSSGCICDQLENWKTKELVLNQLQEAEIIGLLGTEHEVAFLQRFFSWAKLLRRRLPIWPVRKELIGAAG* >Brasy6G101000.1.p pacid=40050614 transcript=Brasy6G101000.1 locus=Brasy6G101000 ID=Brasy6G101000.1.v1.1 annot-version=v1.1 MLLPVHNITMWFIADMLNNNIVNMLATLLMVNLKMMHCSSCRRSAWGVAAHLPRPASPAQGAAEEITGRRQTTSAGKRRGSRREVERENRESARSAAAARRRPRCWGPLLPPAARLLLWLSPHRVGQERRPAVARPATVSSLLHCSPSRRLFLPQSPDFASKSRSWPEIPKR* >Brasy6G037900.1.p pacid=40050615 transcript=Brasy6G037900.1 locus=Brasy6G037900 ID=Brasy6G037900.1.v1.1 annot-version=v1.1 MAGYMSRGPPNGSIYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGHPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSIIQVHIAESKSKDTFDSSTNLSITADLGGQDELDNGSGRGRGRGDGPGKAWQQDGDWQCPNTSCGNVNFAFRGVCNRCGASRPAGVSGTGAGGGRGRGRGSHDAKGSSNAPAVGGPPGLFGPNDWPCTMCGNVNWAKRTKCNVCNTTKPGHNEGGVRGGRGGGYKELDEEELEEVRRRRKEAEEDDGEIYDEFGNLKKKFRVKSQQTESAPTLPGSGRAGWEVEQRGSTEREGRERSRDRGRDDYDERESRNRDRGGHGRERRRSRSREREKERGRDRGRDHGNDRSWERGSDRDRDRYR* >Brasy6G238500.1.p pacid=40050616 transcript=Brasy6G238500.1 locus=Brasy6G238500 ID=Brasy6G238500.1.v1.1 annot-version=v1.1 MSSKTHEHNVTQMFLPTSLLQIKTGCSELFFHESGTAFTFSSLLTSIANSTIYLLNT* >Brasy6G061300.1.p pacid=40050617 transcript=Brasy6G061300.1 locus=Brasy6G061300 ID=Brasy6G061300.1.v1.1 annot-version=v1.1 MPFRPTFPRRCSHDPKLSSFLSALASFTASPSPTPAAGSVPTVPTPAAYNALMSAYSRAGRPDEVLRLFRSLPFHPTSRIFTTLISSLAASGRPRAARAAFSSLIVSGLTPTASAFTALLKSYDSSLDAMYQVFLAMAAARCSPDAAVYNCYISVLCDSRRLEEARGFLDHMLHEGVRPTVRSYTTIIRGYCQQGKILEAEKLVDDMVEAGCEPDVVFYNVLIEGLCSVREFDKVERILRESEEKGWTPNAITYNIYMSGLCRTGLLDDTFRQVDIMRSRGLLPTVETVNILFDCLCRDSRFSEAVLLLEHSEELGWHADVFCYNTLMGRLCDIGDFARVFELLVDLLKKGIGPDMFSFTIAIRGLCGAGKLWVAECLIDNESIGYDVVAFNTLIHGFCIAGDLRGVKLTYMNMYSRQISPNNYTHALLIDSLFNDRKFVEAIDTLASLRDGLVPDHLIHLNNFLAKGIKFTKVLNLLDEIRYRGFVLDTCIFIPLVRVLCWEGYYQRASINEVSLILTSLLGIR* >Brasy6G242700.1.p pacid=40050618 transcript=Brasy6G242700.1 locus=Brasy6G242700 ID=Brasy6G242700.1.v1.1 annot-version=v1.1 MASSNSSPPPSIPATRASRRLSSHKSSHGLGGLKLKPEQVVLAGVGAALLLLAACCCFCCCLCCCRSKKKKSSRPPPPYLDPAMRFYADTSGFKGDTTTSYIPTPPRQQQQQWRVAPAPDTDTTAFPKSRPPPAMLPPPPPVPAGAEKSAFSYADLAAATGGFSEANLLGQGGFGYVHRGELLLPSATGKKKKEKVPVAVKQLKAGSGQGEREFQAEVDMISRVHHRHLVSLLGYCIAGHHRLLVYAFVPNRTLEHHLHSASPPVDWPTRLRIALGAAKGIAYLHEDCNPRIIHRDIKSANILLDNDFEAKVADFGLAKLSHGMETHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSYGVMLLELLTGRRPSDRCHDGDGNGGNGGGDDDEFDNLVDWARPALARALADGGYGALVDPRLRGDYDAAEAARVAACAAACVRHAGRRRPKMSLVVKALEGHVPLEALNVDGATLSSVGSMPEFGNGGYRRLGSGSGSSSTTASSTSSSSSNYTAQMERIRNKAVAMPSPD* >Brasy6G048800.1.p pacid=40050619 transcript=Brasy6G048800.1 locus=Brasy6G048800 ID=Brasy6G048800.1.v1.1 annot-version=v1.1 MAIPEELRRYWLPILFSAAGFLFQILVLPKSFPPSHYDALGVERFAPVERVVEAYERLSKEWLAETNHQSTVDIIKIRYAYELLTNPILKRDYDLFGLDQHMDVLERVKEQYQKEYFLKIDLPLLKDSLVHSVDHAFNVLTYESFLSAIAENYPLLILVSEKVYSKGSPRCAQFIEYWKQIDTRLDGLANTAMVELGDVQLAGHFAEKRFSKQPFFRNGIPALVAYPANCRSPSCYMRYPGELSVDSVVDWVASSIVGLPRILYYSKETLGPQFIGKTGPHKIKVIFFSSTGERALPFLRQAAQEYSSYASFAFVLWREEESQIWWNSLGVESAPAIVFLKGPGAKPVVYHGTFSKSEFREIMKEHKHQELPQLRSDTSLELGCDARGHSRARKEDTMIWYCVIVAGRPGVELSNKRQILRKAQDQLISSVDASTTGSANNFVDVSSAATALKNDRLTFVWLDGELQKKICAFYLATDYNGACGPRGFGDDNDKPEVFIVRFQRNATYEALKAEKKNNLIETLQGQDAADASQLVARYNGPDEIQEINRWISKIITDGDIREIPYFTSKVPDLLPEETRKEWLSGTKSIRSAGKSLKERVQNNGFNFREYLSDPRVGPALLLCACISWGTIWFKHSQSTKNSSQAEAPKDKAAKRRRPKLSTSLFGQPESVADPEPKDARQWEMEDSDSD* >Brasy6G048800.2.p pacid=40050620 transcript=Brasy6G048800.2 locus=Brasy6G048800 ID=Brasy6G048800.2.v1.1 annot-version=v1.1 MAIPEELRRYWLPILFSAAGFLFQILVLPKSFPPSHYDALGVERFAPVERVVEAYERLSKEWLAETNHQSTVDIIKIRYAYELLTNPILKRDYDLFGLDQHMDVLERVKEQYQKEYFLKIDLPLLKDSLVHSVDHAFNVLTYESFLSAIAENYPLLILVYSKGSPRCAQFIEYWKQIDTRLDGLANTAMVELGDVQLAGHFAEKRFSKQPFFRNGIPALVAYPANCRSPSCYMRYPGELSVDSVVDWVASSIVGLPRILYYSKETLGPQFIGKTGPHKIKVIFFSSTGERALPFLRQAAQEYSSYASFAFVLWREEESQIWWNSLGVESAPAIVFLKGPGAKPVVYHGTFSKSEFREIMKEHKHQELPQLRSDTSLELGCDARGHSRARKEDTMIWYCVIVAGRPGVELSNKRQILRKAQDQLISSVDASTTGSANNFVDVSSAATALKNDRLTFVWLDGELQKKICAFYLATDYNGACGPRGFGDDNDKPEVFIVRFQRNATYEALKAEKKNNLIETLQGQDAADASQLVARYNGPDEIQEINRWISKIITDGDIREIPYFTSKVPDLLPEETRKEWLSGTKSIRSAGKSLKERVQNNGFNFREYLSDPRVGPALLLCACISWGTIWFKHSQSTKNSSQAEAPKDKAAKRRRPKLSTSLFGQPESVADPEPKDARQWEMEDSDSD* >Brasy6G084900.1.p pacid=40050621 transcript=Brasy6G084900.1 locus=Brasy6G084900 ID=Brasy6G084900.1.v1.1 annot-version=v1.1 MVELRKAGELMGTAAAPDRAETPPNPTEPAPAPAKKKRNLPGTPDPDAEVIALSPGTLMATKGSCARCAARDSSGTRTCSCTAGATACRGGCGSAGPGRRRRAGGSTSARSLAACTTRRPARSGTSRASRSTSAASTARSGGLARAAASATPSRPTSRPMPRPALLPWSGLD* >Brasy6G024500.1.p pacid=40050622 transcript=Brasy6G024500.1 locus=Brasy6G024500 ID=Brasy6G024500.1.v1.1 annot-version=v1.1 MLPNPPEPAKKKRNLPGTPDPDAEVIALSPGTLMASNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQPGGAPPRRRRVYVCPDPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKACGTREYRCGCGTLFTRRDSFTTHRSFCGALGEETSRALAVPEQPSPRPPDLEELEQNVDKDKEKGEDNVDGDQENVNEENSGEEKNDNSTVGEGNEPWHNEEEAVTEESHCILSPPSLLPKEQQPRMEVVPDVDEAQVVVEPIVDVKQEEEDKPNDDVCFQEAYQYDCDELKDSNLPDDVVPMLPCFLPLPSDAIGTDGSSTSCGTVSSASNSIAPATTTTSTFAGLFASATTSTTPQSRSLRDLIGVDPTFLCLAIGASSSLFPETSASNACTFAPPPAPHMSATALLQKAAEVGASQSSSSFLKGFGLASSSSSTPSREPQGRSIDSSTQSQLAQGFIDNSTPFEQSPRIFIDNSLPSNLPQGRFFDNTKLSSLPEGRFFDNSPPCNLPQGMSASYSPPSKLPLGQFIDNSSLPKLPQERHFDSSSLRKLPQGRYIDRLPPSMLPKGRNIGSSPPCNLKQGRFFDDSAQQRHHQSNQLMDVDPEPILPRSPGLAYNSASPRLPDLMMVQSPLFGPKPVTQDFLGLGIRRTMGDFATNGGLPALMVGGELNVKSSQVTPPMEAKRKSNGHTI* >Brasy6G156200.1.p pacid=40050623 transcript=Brasy6G156200.1 locus=Brasy6G156200 ID=Brasy6G156200.1.v1.1 annot-version=v1.1 MAVLLPALSSCLLLLLASSFSSACERCVRRGKAAYSPSPSTQLPAGGGVCGYGAMAMEINGGFLAAGGPRQHRAGLGCGRCFQMRCRDAKVCSSRGVRVVLTDFHRSNRTDFLLGGPAFVGLAKPGMAQQLRRLDDLSIEYKRIPCDYSDKNLSILVEEQSKKPSKLVIKFLYQGGQTDILAVDVAQVGSSDWRFMSRVHGPVWSTDRAPTGPLQFRAVVTGGYDGKWVWVDKEVLPSDWQPDQVYDTGARIADVARESCIGCARPDWEMKVA* >Brasy6G076600.1.p pacid=40050624 transcript=Brasy6G076600.1 locus=Brasy6G076600 ID=Brasy6G076600.1.v1.1 annot-version=v1.1 MAANAAILDAAEGFPVVHVVDLSTTHCMQIPTLIDLLASRAEGPPILRLTVADVSSGSNSSSPPPVLDMSYEELGAKLVSFARSRNVSMDFRVVRTSPADAFASLLDTLRMQQLVSGSGCSEALVINCHMLLHAVPDETAGTVTSLSQQPVSLRTMLLKSLRTLEPALVVIAEEDADFTACDVVGRLRAAFNFLWIPYDAVDTFLPKQGSAQRRWYEAEVGWKVENVLAQEGVGRVERQEGRARWGQRMRGAGFRAVGIGEEAAGEVRTMLNEHAAGWGMKREDDDLLLTWKGHNVVFASAWAPS* >Brasy6G119600.1.p pacid=40050625 transcript=Brasy6G119600.1 locus=Brasy6G119600 ID=Brasy6G119600.1.v1.1 annot-version=v1.1 MTVFFALRLGPPASSTSGGGGRPTGSRALVSKRPNKEQHLWIRKDSAGSGKKALRLVYTVSKLPNGKEAVYGALDRWTAFETEFPIIAAAKALEMLKRQRQWIRIIQVTKWLMTKGQVLTWTTYDTLLLALFMDGRLDEAESIWNTIIQTHTRSVPKRLFSRMILIYDSRRLPDKVLEVYADMEELGVHPDEDTTRRIGRAFASSGQEDRQKTLLEKYLKKWKYIHFNGERVRVRRAGPLA* >Brasy6G260000.1.p pacid=40050626 transcript=Brasy6G260000.1 locus=Brasy6G260000 ID=Brasy6G260000.1.v1.1 annot-version=v1.1 MEGKRTAALMVIMSLLILSLTLNSATAAQCSCCKAARAKACCFACIAAGGSDTACKNTCCFPCFLNDSVAAKIGEMGVVAKMEEAGQA* >Brasy6G152500.1.p pacid=40050627 transcript=Brasy6G152500.1 locus=Brasy6G152500 ID=Brasy6G152500.1.v1.1 annot-version=v1.1 MGMLGAASGGGAGGGGRGEYMRIPEDVDAIKEAAKEEGDCPRLLRCRAIRWWAKVAVLAIFLAGGAAAAVVFLGPLVIKKVVVPIIDWQSTTFSRPVIALICFGAIALFPSVLLPSSPFMWIAGMTFGYGYGFLIITTAMSIGMSLPFFIGSAFHSRIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYLVFNYASVATNVKYCPYIAGSMAGTIHETFLAIYSGKLVQSLAAATSQGSFLSVDQIIYNGIGFSVAAVSTAAITIYAKKALQKLQAEDELV* >Brasy6G221400.1.p pacid=40050628 transcript=Brasy6G221400.1 locus=Brasy6G221400 ID=Brasy6G221400.1.v1.1 annot-version=v1.1 MWQLLYPAPASAFVTAMSVLSSASLASAGLSELRGQHMAYSKFWHVVVSGSGSAQKNHGGGERLLSSRDGMLVAYAPALAAAAASFAVPGALEEGLRAQLLAGALAVHFLKRVLEVLFVHRYSGSMPLNTALMISSSYLLSTITMIYSLHLAAGLPEPSINLLYPGVLVFTVGIAGNFYHHYLLSRLRKGSGTNSDGDKGYKIPTGGLFGLVACPHYLFEIAVFFGFAMISQTVYALAMASGTAAYLAGRSCATRRWYASKFEEYPARMKALVPYIL* >Brasy6G245100.1.p pacid=40050629 transcript=Brasy6G245100.1 locus=Brasy6G245100 ID=Brasy6G245100.1.v1.1 annot-version=v1.1 MAAAAWSLQVGGGVGYCRSSLFGGRRAASVRRPAIRASAAKVEEKVRLGESSVAVSKLGIGAWSWGDTTYWNDSEWDDRRLKEAKAAFDASVDNGMTFFDTAEVYGTALMGAVNSESLLGDFIRERKEKGEVDVVVATKFAALPWRFGRGSVLSALKNSLGRLGLPSVELYQLHWPGLWGNEGYLDGLADACEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKQACDELGVTLIAYSPIAQGVLSGKYTPEKPPTGPRGNTYTPEFLTKLQPLMNRIKEIGASYGKNPTQVSLNWLACQGNVVPIPGAKNAVQAMEFAGALGWSLTADEVEELRTLAREIKGIKMPIEES* >Brasy6G057700.1.p pacid=40050630 transcript=Brasy6G057700.1 locus=Brasy6G057700 ID=Brasy6G057700.1.v1.1 annot-version=v1.1 MGGGRELYFIRHDEATNARRTDASRIYYIMYLGWPLDFIDASFFRRVVSRFGVPLNWVDQHNQKTYILLRCLVKDNLMVPRSTIIEHISETGGRGRSWTVPTIVLVGDFDDMLPFEEELPPNRNRHVIMPPSPYGDAREPWGLPPQEGPNHNGGWPGQEDLSEAPALPGSPVDRRAPNIPHRRTIRGPARSSSSDVPSSRTKHTTRGCELVSTSSRRKQQGICIREDRAVPADDSADNLLQQLGEVHASHPSHFDSDVQLQLALFEQHELDQNLQLSLAPPEDPSDRKGKTPIFVRPFGEGSVAMGAAMGPALARKSMQLAPPHIPGLTPQRPNVPDSTGVQVAGSPIVPIPATAPPSDTSSVGTAVLDIICQNKDIMQNIAPTSGKKKLLQRYLNELLERLSNEPDEDSGDEVSPIRKCNLQAPSEESAKLLHRVYNDSPHSPGSRMPKRAKSCNITYSRRSKKTPSPAASLEDASSRPSEFESVGRCSRRSRPSPRVTSEVRRSPRIQEQSRGFKRDYAAMAKGKCTKSSSPPAFNIEASGLQMDELPKEFS* >Brasy6G045500.1.p pacid=40050631 transcript=Brasy6G045500.1 locus=Brasy6G045500 ID=Brasy6G045500.1.v1.1 annot-version=v1.1 MSGNDGKSQTAAGRIKAQAISAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLESTEKAAVLGVKPKDVDTSSGGAYSQCQNCFQLGHWTYECKNDRAYISRPSRSQLLKNPKLKKTIVLESYRFVNPDLEKEREEERKLMKEKMEKEKSERRKGKSKRRRHSRSASDRNSSEASVFDSDTESSVTGSEYSSESGSSSCSSSDSEDKKRRYKRKQKKRREITSSSSSESESDSDSDDMGSRIRSRRRGNRR* >Brasy6G045500.2.p pacid=40050632 transcript=Brasy6G045500.2 locus=Brasy6G045500 ID=Brasy6G045500.2.v1.1 annot-version=v1.1 MSGNDGKSQTAAGRIKAQAISAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLESTEKAAVLGVKPKDVDTSSGGAYSQCQNCFQLGHWTYECKNDRAYISRPSRSQLLKNPKLKKTIVLESYRFVNPDLEKEREEERKLMKEKMEKEKSERRKGKSKRRRHSRSASDRNSSEASVFDSDTESSVTGSEYSSESGSSSCSSSDSEDKKRRYKRKQKKRREITSSSSSESESDSDSDDMGSRIRSRRRGNRR* >Brasy6G045500.3.p pacid=40050633 transcript=Brasy6G045500.3 locus=Brasy6G045500 ID=Brasy6G045500.3.v1.1 annot-version=v1.1 MSGNDGKSQTAAGRIKAQAISAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLESTEKAAVLGVKPKDVDTSSGGAYSQCQNCFQLGHWTYECKNDRAYISRPSRSQLLKNPKLKKTIVLESYRFVNPDLEKEREEERKLMKEKMEKEKSERRKGKSKRRRHSRSASDRNSSEASVFDSDTESSVTGSEYSSESGSSSCSSSDSEDKKRRYKRKQKKRREITSSSSSESESDSDSDDMGSRIRSRRRGNRR* >Brasy6G091000.1.p pacid=40050634 transcript=Brasy6G091000.1 locus=Brasy6G091000 ID=Brasy6G091000.1.v1.1 annot-version=v1.1 MEYRRVKDQESYDVTSQKDIESPDGRTLSSTTATSSLGTAGGLKGKQSWKQKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLLALYRTWNSQGVTEDNRLSTSLDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILNKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITFLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQQQK* >Brasy6G091000.2.p pacid=40050635 transcript=Brasy6G091000.2 locus=Brasy6G091000 ID=Brasy6G091000.2.v1.1 annot-version=v1.1 MEYRRVKDQESYDVTSQKDIESPDGRTLSSTTATSSLGTAGGLKGKQSWKQKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLLALYRTWNSQGVTEDNRLSTSLDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILNKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNNGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQQQK* >Brasy6G135700.1.p pacid=40050636 transcript=Brasy6G135700.1 locus=Brasy6G135700 ID=Brasy6G135700.1.v1.1 annot-version=v1.1 MNFYTECLRHDEKLLAPPNHFSVYCVNRVNTRIDILDSIDWSKNGGSFQGRNDPWGYRSIKRRSDAFQTVTSKQFEDFSEWPIWSKEVPRQLTGGNSCASFAIRFLRQYDGEDSQLRCSIEPSKENHYRAEDLSCILFHDLNEVRTLPDTLENFRPTITQQ* >Brasy6G029600.1.p pacid=40050637 transcript=Brasy6G029600.1 locus=Brasy6G029600 ID=Brasy6G029600.1.v1.1 annot-version=v1.1 MDEEIGASPRQDQWRNHRKTLSLLAFQSFGVVYGDLSTSPLYVFKSAMSGNLYNYRDETTIFGLLSLIFWTLTLLPLLKYVIIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYQPGCDRAAVSSPFKRFLEKHRKLRTCLLLFVLFGACMVIGDGVLTPTISVLSAISGLQDSDTGGLRNGWVVLIACVVLVGLFALQHRGTHRVAFLFAPIVVLWLLSIGGIGLYNIIRWNPRICLALSPHYIVKFFKRTGRDGWIALGGVLLAVTGTEAMFADLGHFTASSIRLAFVGVIYPCLVLQYMGQAAFLSKNISDVEDSFYQSIPRPVFWPMFVLASLAAVVGSQSVISATFSIVKQCLSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCLAVTVGFRDITVIGNAYGLACVAVMFVTTWLMALVIIFVWQKNILLALMFLVFFGSIEGAYLSSAVMKVPQGGWAPIALAFVFMFIMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQILVFVCVKSVPVPYVPADERYLIGRIGPRQYRMYRCIVRYGYKDVQKEDENFENHLVMSIAKFIQMEAEEAASSGSYESSTEGRMAVIHTADTAGTGLIMRDSSEAAGTSLTRSSKSETLQSLQSLYEQESGSLSRRRRVRFQISEEERIDPQARDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKSFAIDYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV* >Brasy6G038100.1.p pacid=40050638 transcript=Brasy6G038100.1 locus=Brasy6G038100 ID=Brasy6G038100.1.v1.1 annot-version=v1.1 MSGWGCFTPHPAATTCVDENFRIASIASKREGRRKNKRVGKRKIEGRSCIKQRESLPQGSEVQIHLFVSFKLSSTIIW* >Brasy6G130300.1.p pacid=40050639 transcript=Brasy6G130300.1 locus=Brasy6G130300 ID=Brasy6G130300.1.v1.1 annot-version=v1.1 MAVTLSLVAGGLMSTLAAAVFGAAGTVLGAVFGLLSSFFFDEEEEGWMQGTLLGALAGGLVSVELAGSLVRIWCCSGHGCSVDTRVKRSVSTVLGLVALADSRSGRGDRGGGDLFPFEQSSLVRAAVESDIPVMKLTKETAGEGQLTCPICLHEFRAGENGGTRWQIRVRGPGFSFEFFIFFFEENSHLNCGSIYGDISVTMIIAMIIREHDCKYGVFGF* >Brasy6G130300.2.p pacid=40050640 transcript=Brasy6G130300.2 locus=Brasy6G130300 ID=Brasy6G130300.2.v1.1 annot-version=v1.1 MAVTLSLVAGGLMSTLAAAVFGAAGTVLGAVFGLLSSFFFDEEEEGWMQGTLLGALAGGLVSVELAGSLVRIWCCSGHGCSVDTRVKRSVSTVLGLVALADSRSGRGDRGGGDLFPFEQSSLVRAAVESDIPVMKLTKETAGEGQLTCPICLHEFRAGENARRLPACSHVFHSQCIDSWLPWKSQCPMCRRDVY* >Brasy6G099600.1.p pacid=40050641 transcript=Brasy6G099600.1 locus=Brasy6G099600 ID=Brasy6G099600.1.v1.1 annot-version=v1.1 MVVVVAKEEEGEEGRGGVAWGTWEELVLGGAVIRHGAAAWDTVAAELRCRSPHPFSPEECEAKFSEIQARYSACEGDAWFEELRKQRIAELKRELQKSESFIGSLQSVIESLSNSKHEDGNSGCRTESCSPAEIAADTNSSSKALSKDRSSAASFTEEASNSQKSQKVQNTSAETLLKPHVEKGCTEGGLLWGSRKKRGLRDKKVILMADDSSREGENTSTSCIQREGSSEGRMNDSKTSKIEPSASVRETAKQNLGEILNSISAQGDCYMLQHQIDIQRKRARYKKMIRRHIDFRMLHSKIKSGAISSANELLKDVLVFVNNVLAFYPKATLEHMAAIELRGLVCKTLQQSSSIPSMNSGEAGIASDLVTKKTAAGIASDPVIKKTAVGIAGDPVIKKTARGIAGDPVIKKTTAGITSGPVIKKTAAGIASDPVIKKTAAGISSDPVNKKPAAGVTSDPVIKKTATAVTSAPVIRKTATGVPSAPVIKKTATGVASAPVIKKSAAGVPSAPVIKKTAAGVASAPVIKKIARTIPPVRHVPRDAKRSKVPAKEAGSTASQGEAKDLPGDAAPAANEKSTGRSPPAKKRGVGRPPKSGQKRAAPQSQQDGPNKGRKRTRR* >Brasy6G099600.2.p pacid=40050642 transcript=Brasy6G099600.2 locus=Brasy6G099600 ID=Brasy6G099600.2.v1.1 annot-version=v1.1 MECEAKFSEIQARYSACEGDAWFEELRKQRIAELKRELQKSESFIGSLQSVIESLSNSKHEDGNSGCRTESCSPAEIAADTNSSSKALSKDRSSAASFTEEASNSQKSQKVQNTSAETLLKPHVEKGCTEGGLLWGSRKKRGLRDKKVILMADDSSREGENTSTSCIQREGSSEGRMNDSKTSKIEPSASVRETAKQNLGEILNSISAQGDCYMLQHQIDIQRKRARYKKMIRRHIDFRMLHSKIKSGAISSANELLKDVLVFVNNVLAFYPKATLEHMAAIELRGLVCKTLQQSSSIPSMNSGEAGIASDLVTKKTAAGIASDPVIKKTAVGIAGDPVIKKTARGIAGDPVIKKTTAGITSGPVIKKTAAGIASDPVIKKTAAGISSDPVNKKPAAGVTSDPVIKKTATAVTSAPVIRKTATGVPSAPVIKKTATGVASAPVIKKSAAGVPSAPVIKKTAAGVASAPVIKKIARTIPPVRHVPRDAKRSKVPAKEAGSTASQGEAKDLPGDAAPAANEKSTGRSPPAKKRGVGRPPKSGQKRAAPQSQQDGPNKGRKRTRR* >Brasy6G052400.1.p pacid=40050643 transcript=Brasy6G052400.1 locus=Brasy6G052400 ID=Brasy6G052400.1.v1.1 annot-version=v1.1 MASAALAVMVVLALASAAAQAQAQVQPPATSPSGPDCGSTLVGLAGCLPYLTPGSTVSKPPKECCGPVKTSLASPASAACLCDAFGKNYGVPIDLARAAGLAAACGGNPAALSKCKIAIPGAPGSAPTEAPSPSSGSTPATGSPGPAKAAATRSPVSLVTLVLAVVAVPLLSHYL* >Brasy6G138100.1.p pacid=40050644 transcript=Brasy6G138100.1 locus=Brasy6G138100 ID=Brasy6G138100.1.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLAHLQMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.2.p pacid=40050645 transcript=Brasy6G138100.2 locus=Brasy6G138100 ID=Brasy6G138100.2.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLAHLQMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.7.p pacid=40050646 transcript=Brasy6G138100.7 locus=Brasy6G138100 ID=Brasy6G138100.7.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLAHLQMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.8.p pacid=40050647 transcript=Brasy6G138100.8 locus=Brasy6G138100 ID=Brasy6G138100.8.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLAHLQMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.4.p pacid=40050648 transcript=Brasy6G138100.4 locus=Brasy6G138100 ID=Brasy6G138100.4.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLAHLQMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.6.p pacid=40050649 transcript=Brasy6G138100.6 locus=Brasy6G138100 ID=Brasy6G138100.6.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.9.p pacid=40050650 transcript=Brasy6G138100.9 locus=Brasy6G138100 ID=Brasy6G138100.9.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.3.p pacid=40050651 transcript=Brasy6G138100.3 locus=Brasy6G138100 ID=Brasy6G138100.3.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKHQIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G138100.5.p pacid=40050652 transcript=Brasy6G138100.5 locus=Brasy6G138100 ID=Brasy6G138100.5.v1.1 annot-version=v1.1 MDEERKNQNGDVRDELHLPIGSDENDLGDEMNGWLGAQNNMGTVGDADAAAADPGIHTITSTSNDKMATKRGKRYRQEQIQQLEAVFRECCHPDNKVCLYLSTRLGLSVSQVKAWFQNRRSSNKIEQQETEVIRGQNKMLRAENEAIRAEILKSTCPACGGPISKDILEHQLLSIENARLLDELLRASAIHNKVSGQATSTPIPMSNMYGLAGDLNIDGSANTLVTDSGTPLPLRGALMDMKSFTTEGCTHGNCAAKGNTDHATPLRHIHRALEEFIMLVEQGEPMWLKTPDGELLNNQQYATILGLCPMELTLHGTRETCMVKCTASDLVCNLTDAARWREMFPGIVAGVNSYTVTASASPHGLVQLMDAKLRMLSPHIPVCKVQFVRQCQLLQPNTWAVVDVSVDGISWHDSGSTSYPNASKGDVLAKYTTCRILPSGCHIEDMNNGYCKVTWIANVEYDKNVVPPLYHPLLRSGQVFGSRRWLASLKRQSEYLLVLRSSPAFSLSNICNIPLEGRNNVLELAQHMMSRFYEAICGPMGQAWSGVDEWHGSCGMGASSFELDVRVASLHTGGNAPGKQPDFVLSASTTVWLPDISAQCVFDYLCDREHRSEWDNLAKGAPVKLEGYVATGQFPHNAVSILRPKAFGETNKNKLILQDACSDESCMLVAYSLIDEHSLKDVMHGGSHAYLPLLPSGFAILPDGHRDPHMHSIAGKSASINHKRNTGSFVSALSQVLLNGPPLENLAEKTIDNIGNRLCRIIEKIKDGIHANIGINA* >Brasy6G184900.1.p pacid=40050653 transcript=Brasy6G184900.1 locus=Brasy6G184900 ID=Brasy6G184900.1.v1.1 annot-version=v1.1 MAPSGADSGTASLVPLRCQRRPLAPSISRPQQLARIKRIHFSFFRFCLSVHDNQFCLWFTPLLQTSWLPCKHAMFAYPRISARLLLRVCAHACA* >Brasy6G251000.1.p pacid=40050654 transcript=Brasy6G251000.1 locus=Brasy6G251000 ID=Brasy6G251000.1.v1.1 annot-version=v1.1 MTIAYLPDTVVISIDKIGRVSPRPSATTSPTSSFFSPPSPPSTSSRLSKPRRDKPFPRHCHLSPPMTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.4.p pacid=40050655 transcript=Brasy6G251000.4 locus=Brasy6G251000 ID=Brasy6G251000.4.v1.1 annot-version=v1.1 MTIAYLPDTVVISIDKIGRVSPRPSATTSPTSSFFSPPSPPSTSSRLSKPRRDKPFPRHCHLSPPMTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.5.p pacid=40050656 transcript=Brasy6G251000.5 locus=Brasy6G251000 ID=Brasy6G251000.5.v1.1 annot-version=v1.1 MTIAYLPDTVVISIDKIGRVSPRPSATTSPTSSFFSPPSPPSTSSRLSKPRRDKPFPRHCHLSPPMTAVQRGVFLHFDPYCDDLPPRRRGSGGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.2.p pacid=40050657 transcript=Brasy6G251000.2 locus=Brasy6G251000 ID=Brasy6G251000.2.v1.1 annot-version=v1.1 MTIAYLPDTVVISIDKIGRVSPRPSATTSPTSSFFSPPSPPSTSSRLSKPRRDKPFPRHCHLSPPMTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRSAAMPVLAPLMGGAVAGALPANVVSIRGRGCRAEADQDIRGSR* >Brasy6G251000.3.p pacid=40050658 transcript=Brasy6G251000.3 locus=Brasy6G251000 ID=Brasy6G251000.3.v1.1 annot-version=v1.1 MTIAYLPDTVVISIDKIGRVSPRPSATTSPTSSFFSPPSPPSTSSRLSKPRRDKPFPRHCHLSPPMTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRSAAMPVLAPLMGGAVAGALPANVVSIRGRGCRAEADQDIRGSR* >Brasy6G251000.6.p pacid=40050659 transcript=Brasy6G251000.6 locus=Brasy6G251000 ID=Brasy6G251000.6.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.7.p pacid=40050660 transcript=Brasy6G251000.7 locus=Brasy6G251000 ID=Brasy6G251000.7.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.12.p pacid=40050661 transcript=Brasy6G251000.12 locus=Brasy6G251000 ID=Brasy6G251000.12.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.10.p pacid=40050662 transcript=Brasy6G251000.10 locus=Brasy6G251000 ID=Brasy6G251000.10.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.13.p pacid=40050663 transcript=Brasy6G251000.13 locus=Brasy6G251000 ID=Brasy6G251000.13.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.11.p pacid=40050664 transcript=Brasy6G251000.11 locus=Brasy6G251000 ID=Brasy6G251000.11.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGRAPSRLAYPPRSESSPLRGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.8.p pacid=40050665 transcript=Brasy6G251000.8 locus=Brasy6G251000 ID=Brasy6G251000.8.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G251000.9.p pacid=40050666 transcript=Brasy6G251000.9 locus=Brasy6G251000 ID=Brasy6G251000.9.v1.1 annot-version=v1.1 MTAVQRGVFLHFDPYCDDLPPRRRGSGGHASACTLDGRRRCRRVTGERRIHPRPRVPGRSGSGYTGQSLARWRTCVGAAHDGGGARHAGRP* >Brasy6G259300.1.p pacid=40050667 transcript=Brasy6G259300.1 locus=Brasy6G259300 ID=Brasy6G259300.1.v1.1 annot-version=v1.1 MDGEIVKASDIHDGEVSQGRAPRRGEGVSEATLQTDFYQGESDLGGPLEAGQLEGLVDRSVNLAVQPRTIQRQRVEEHQISGEVARGDGGPGREGAGGGVLEGDSEAQDGRRLVGGEGEMRRGVAGDAEHREERPDPAPAAGEDAGLGGGGGGGSAEGRRRAAR* >Brasy6G014500.1.p pacid=40050668 transcript=Brasy6G014500.1 locus=Brasy6G014500 ID=Brasy6G014500.1.v1.1 annot-version=v1.1 MEMLVGCGGVRGFALPMDVAGLFDCGATPLASHGGLLVRLVDGESDAEPVDMLVSDSRVLCGTCEEELAECQPCKAAVICSSCRPTTASNEGESKNKTALLVRPSIEG* >Brasy6G094000.1.p pacid=40050669 transcript=Brasy6G094000.1 locus=Brasy6G094000 ID=Brasy6G094000.1.v1.1 annot-version=v1.1 MDGRMARSGCAHQSPTTSTRSICAWSNSPPFRRWPQTHSRREEYSLPLASCSARGGSRSTGALPQQISSSTMPKL* >Brasy6G041000.1.p pacid=40050670 transcript=Brasy6G041000.1 locus=Brasy6G041000 ID=Brasy6G041000.1.v1.1 annot-version=v1.1 MSQEAAMADLTAAVKELVAGQGTLAAGQERLVAESMTLRETVMEVVGQWRPQVEAGLEDLHRQLDDLRTRLDSIFVHGPCPESRFEQPHQGGTTSCMEFLNFGAETEYHGPGGRCEDSTPRGRNHGHVFTVDLTPGKGVFPYHNLMGEDSGWGGRQFHSHHPRLDFPLFCGEMP* >Brasy6G203400.1.p pacid=40050671 transcript=Brasy6G203400.1 locus=Brasy6G203400 ID=Brasy6G203400.1.v1.1 annot-version=v1.1 MSGCQRPNPPPLHPSRRKSVKFLFYPGEIVSVGGALLTRALLPAARARRADTCRARPIRGPWQAHVPTRAQLHPPPNRPLLTKVQSPNRPVLPKSSFPKIATHPTPLACLKKKKTQPHSLAADRRDPVRPSRRRLRSDLLLRFRHTPAEPRRTSWWFVRPPSSLVPSNLSAVRLVFPLVRVLALAGKPQGWHDGEVFLAPLSFVLSGSPRARAATAMPPTFLSSQGLLPFPA* >Brasy6G007300.1.p pacid=40050672 transcript=Brasy6G007300.1 locus=Brasy6G007300 ID=Brasy6G007300.1.v1.1 annot-version=v1.1 MLASLTILIMAAAAGLVSAAAMLPSSLEEQQEHSSDSGVLDFSALRSLRSLDLSNNELVGSIPSSIEILVKLRALHLQGNKIRGSIPPALANLVKLRSWKMSQLLELNFSCNHLVGPIPPEIGHLKHLSTLDLSKNNLSTQSQPIIPEELGNLANLECLNLGENPLSGSIPNSLGNLTKLITLNLVQNQLSGSIPQEISQANEPGLLKNFTAAGNNLIGPLPSSLLSCTSLWDGIYPNLVYIDISSNKLSGQFSHRWGECCKLTLLRASKNNIAGGIPPSIGQLSELRELDVSSNKLEGQMPREIGNISMLFKLVLCGNLLHGNIPHEIGSLTNLEYLDLSSNNLTGPIPRSIEHCLKLQFLKLNHNHLDGTIPMELGMLAYLQILLDLSDNLFDGTIPSQLSGLQKLEALNLSHNALRQIENTSLDELQHTNSFAIWNFDGEDVYKNIVDATENFSDAYCIGIGGNGSVYKAQLSTGEMFAVKKIHVMEDDELFNREIRALVHIRHRNIAKLFGFCSSAHGRFLSTNLKSQETAAELDWMRRLNIVMDVAHALSYMHHDCFAPIVHRDITSNNILLDLEFKACISDFGIAKILDMDSSNCTRLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMDIIPDMLDTRLPIPEAVFPRQIFEAITVAARCIEANPLLRPAMQDAIKVLSMNGGPSDLDYLHTEIVIPACWL* >Brasy6G231900.1.p pacid=40050673 transcript=Brasy6G231900.1 locus=Brasy6G231900 ID=Brasy6G231900.1.v1.1 annot-version=v1.1 MASNNGLKSVIICVLVLGLVLEQVQVEGKSCCKTTIERNCYNLCRAAGGARQVCASTCGCKIISGNKCPSNFPKMNLLPESGEPDAIKYCNIGCSSTVCDNMNHVFRGEEMKINVELCLDACVNFCNGNEADVASVAA* >Brasy6G105100.1.p pacid=40050674 transcript=Brasy6G105100.1 locus=Brasy6G105100 ID=Brasy6G105100.1.v1.1 annot-version=v1.1 MPIPMPPPPAGDMSYMPGMDMDMGMSMHATFFWGHRAQVLFTGWPGAGPRAGSGMYVLCLFVVLALAALVEALSAASKGLARRRPGTGATTFLISAVFVHAAKMALAYLVMLAVMSFNVGVLLAAVLGHALGFLLARTWALRRRGGAMGDVDDAPRNGAHAPSDSEAAEPKP* >Brasy6G016700.1.p pacid=40050675 transcript=Brasy6G016700.1 locus=Brasy6G016700 ID=Brasy6G016700.1.v1.1 annot-version=v1.1 MASSSLATILLLLCLAASAAAQLSPTFYAASCPKALDTIKAAVTAAVRKENRMGASLLRLHFHDCFVQGCDASVLLAGQEQNALPNIGSLRGFDVIDSIKAQVESLCKQTVSCADILTLAARDSVVALGGPSWTVPLGRRDSLTASEALANSDLPPPFFDLANLTKSFGDKGFSLTEMVALSGAHTIGQAQCLNFRDRLYNETTSIDAAFAASLKPNCPRPAGPPGDNNLAALDVSTPYYFDNKYYVNLQAKKGLLHSDQVLFNGGGADNIVSNFASSAAAFVGAFASAMVKMGNLGPLTGSQGQVRLSCSKVN* >Brasy6G134900.1.p pacid=40050676 transcript=Brasy6G134900.1 locus=Brasy6G134900 ID=Brasy6G134900.1.v1.1 annot-version=v1.1 MSPGASCSGVPAPRLPAGRQTLLLPATPPFVSNCAINRQNTRTAASCSGRAIAPKRSFVVSGGITWVDGVEEELMELQKSHEQSSVKLKKRPPLRRGKVYPQLDVPEHIPRPSYVGGERPRELPAIRQIQNAEGIVGMKAACKLAARVLDVAGTLVKPSVTTNEIDRAVHHMIVEAGAYPSPLGYGGFPKSICTSVNECVCHGVPDSTQLQNGDIINIDVNVFLNGYHGGTSRTFVCGEASESIKHFLKAAEECLEKGISVCRDGVNYRKIGKKISNLAHFYGYHVVERFVGHGIGTMLHSEPLILHHANENSGRMVEGQTFTIEPILIMDKAECVTWENGWTTVTDDGSWAAQFEHTVLVTRTGAEILTKL* >Brasy6G087500.1.p pacid=40050677 transcript=Brasy6G087500.1 locus=Brasy6G087500 ID=Brasy6G087500.1.v1.1 annot-version=v1.1 MATECDENKSRRFNRAMSRRTRRPTSLVACYEDRYVPPLARQLLQEARLKTLFQCQDAELQAPQQCEDADQKMEIQQGPQLCEVVAQKAPEQYQDEREKKPHQYGDEEQEKKPQEYEDEEHNIPKQSRDEEENTTSQHQDEKAPVQCLYEEQKTPSQHQDDEETILQQYRDEEQEKPQQYREEEQTIPEQYRDEEHKTPPQHQDEEKTPEQYQDEEQKTAKLCQQDEKTPEQYQDEEQNVPKEYLNEEHKTSQQYEDEKAPEQCQDVDKKAPELYQDDEKAGKQCQDEDEKASEQCEDEEQKAQQGCQEQDEEQKAPELYQEEEEETGKHCRDEDEKTSERYQDEEQNAQQERQHREHKAPEQRGSINKPITPPHIEGVPRFSLLELIREKQLGTGDAKANSNFSYGENAFADYRAPGAAATGGTTLAMVIRRPDGAKKPTGIIRRCMKALNQMVKAKHGSKKNVPFKQV* >Brasy6G203300.1.p pacid=40050678 transcript=Brasy6G203300.1 locus=Brasy6G203300 ID=Brasy6G203300.1.v1.1 annot-version=v1.1 MDPLLSLVKEEQEGHGGTGGGPPVVAAEDGPSTAAAAVPRPMEGLHDVGPPPFLTKTYDMVDDQNSNHVVSWSPTNNSFVVWDPHAFATSLLPRHFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAHPASNQQSLGSSYLEVGHFGNDAEIDRLKRDKELLMAQVVKLRQEQQDTKARLKAMEDRLHGNEQKQQQMVTFLARVLRNPEFLKQLIAKNEMRKQLDDTISKKRRRRIDQGSEADRMGASSSLQQDSHILFDPHVSMELLADGSVQLLADGIPPDLEGSVGLVADGIPPDMESSVEHVADGIPPGLEGSVELFADGIPPDLEGSLEFLADGIPLNLEGSMELLADGIPSDIDGPGIKTNGVMEPHGFDLGTCGMQQNGAQGVLKDNFWDDLLNEELGDEDDNPVIMEDMDALSEKMGYLVSDTPTPTK* >Brasy6G080500.1.p pacid=40050679 transcript=Brasy6G080500.1 locus=Brasy6G080500 ID=Brasy6G080500.1.v1.1 annot-version=v1.1 MSNGEGSSTSPRNPRRSRKKKESTGALPRLCRRSATLPRQKPAATLPRPCRGSAAAETCLRPAAGCRRPAAAKTCRHGIFA* >Brasy6G122800.1.p pacid=40050680 transcript=Brasy6G122800.1 locus=Brasy6G122800 ID=Brasy6G122800.1.v1.1 annot-version=v1.1 MSDLDIQIPTAFDPFAEANAGDVGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF* >Brasy6G022900.1.p pacid=40050681 transcript=Brasy6G022900.1 locus=Brasy6G022900 ID=Brasy6G022900.1.v1.1 annot-version=v1.1 MAAYRVLEVTLQSARDLRNVNLIHRMQVYAVASISGDPLTRQATAPDPYGGRHPTWNATLRFAVPHDAFAGGGGACLHVLLRAERTLGDRDVGEVIVPLSELLESEVARSACFQVHRVQRADQTRGVLYMTYHLGPVVKKEEPRPPLAARAEETVMAYPVPAAPPPQVAAWPWHPSMVPPQAAWPGQAPQAAWTWPGHVAPPPAPAKPAAGHVMPPASPAKPAAGHVAGPSPPKPPASPGASGHVSVPASPAKPAAAHVAALPPSSARPSASSSPRPGGGYVTVPSSPAKPAMPPPSPKPAAHTAVLPPSSPRPPSWYLQQAAARPSPKPAMPPPPPKASGGYVSMPPSPKAPSGYMSSMPPSPQSSSGYMTSVPPSPKQAVPAAASPTRPAGGYMPVPPSPQASSGYMTSVPPSPQAYSGYTTSVPPSPQASSGYMTSVPPSPKQAVPAASSPARQTGGHTAAVPSSPKPSGPGEHVSVPPSPRPAAGHVATPPSPAKPAIGHVAPTPSPANPSVGQVAAPPPPKPSEHVDVDVSSPSPDRALERVATASSTVTRKNSDVEFGMRLGAGLVSGAISGILAGAAGGKRGGGGGGGGAASSFSMGLLPFARSRKERSVSKAYDRDAGQ* >Brasy6G139300.1.p pacid=40050682 transcript=Brasy6G139300.1 locus=Brasy6G139300 ID=Brasy6G139300.1.v1.1 annot-version=v1.1 MASTPRPAPAARGLHTFGPPRLTEGWAQGPTLVHGPSPRVVRTARQEKKNGREMHARRVFGQMADAPGHAAPRSGRAATGAPDAPNSVARRAHPSRRDRHPQRDPLLVPPRALLAPSAPALRHASPPSPAQRRVRGHVSSVPIPRRAPFRAYAPKPLDETPRASNDHRPRYRAP* >Brasy6G124800.1.p pacid=40050683 transcript=Brasy6G124800.1 locus=Brasy6G124800 ID=Brasy6G124800.1.v1.1 annot-version=v1.1 MDDLVSSPSFKSVLSCSEAEAQPEESSWTGYFVDFMMSEEEKKRQDASYCSYDDEEEEVDGSMISDAASLAPAALPDKYKGLKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALSQMEFSPKRRCNVSDLAKGAGIGNDHGREGTDCKEHADTLMEGVRFLDQSQRGITPCAELKDKGLCLVPLSMLLNYQG* >Brasy6G124800.2.p pacid=40050684 transcript=Brasy6G124800.2 locus=Brasy6G124800 ID=Brasy6G124800.2.v1.1 annot-version=v1.1 MDDLVSSPSFKSVLSCSEAEAQPEESSWTGYFVDFMMSEEEKKRQDASYCSYDDEEEEVDGSMISDAASLAPAALPDKYKGLKKLKKKVFKALDHDDSLEDTASSPVNSPKMEFSPKRRCNVSDLAKGAGIGNDHGREGTDCKEHADTLMEGVRFLDQSQRGITPCAELKDKGLCLVPLSMLLNYQG* >Brasy6G148500.1.p pacid=40050685 transcript=Brasy6G148500.1 locus=Brasy6G148500 ID=Brasy6G148500.1.v1.1 annot-version=v1.1 MEEDATGSGGGGGGGGRVVGVGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPQNKTAADGMVGVSELVDKNYPANKKATMPRGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITSHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGCRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEIRKPASGRKSQLLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYKTVCENPKYASMRKRIGDVIDEDAVHSRAPFVACTQQCFAIKEGIDGLLDVARRSFCDTSEAIHNLATKYREECQLPNLKIPYNNRLGFYFIIPQKDITGKLPSKFIQVVRHGKNVHCSSFELASLNARNKSAAAECFLRTELCLEGCYNIKCRPFFSVSCATLVLSHHGGSLVNFKDVLVHLCSIFLGLISEIREDIGILTLLAEVLCLLDMIVNSFANTISTKPVDRYTRPEFTDDGPMAINAGRHPILEGLHTDFVPNNIFLSEVSNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKAYTLFATHMEGLSELATMYPNVKTLHFEVDLRNNRLDFKFQLKDGLRRVPHYGLLLARVAGLPASVVDTATNITSRIREQEMVRMDANCEQYRPLQMAYQAAQRLICLKYSNQGDEYIREALQGLKESYAAGKLT* >Brasy6G148500.2.p pacid=40050686 transcript=Brasy6G148500.2 locus=Brasy6G148500 ID=Brasy6G148500.2.v1.1 annot-version=v1.1 MEEDATGSGGGGGGGGRVVGVGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPQNKTAADGMVGVSELVDKNYPANKKATMPRGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITSHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGCRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEIRKPASGRKSQLLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYKTVCENPKYASMRKRIGDVIDEDAVHSRAPFVACTQQCFAIKEGIDGLLDVARRSFCDTSEAIHNLATKYREECQLPNLKIPYNNRLGFYFIIPQKDITGKLPSKFIQLNARNKSAAAECFLRTELCLEGCYNIKCRPFFSVSCATLVLSHHGGSLVNFKDVLVHLCSIFLGLISEIREDIGILTLLAEVLCLLDMIVNSFANTISTKPVDRYTRPEFTDDGPMAINAGRHPILEGLHTDFVPNNIFLSEVSNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKAYTLFATHMEGLSELATMYPNVKTLHFEVDLRNNRLDFKFQLKDGLRRVPHYGLLLARVAGLPASVVDTATNITSRIREQEMVRMDANCEQYRPLQMAYQAAQRLICLKYSNQGDEYIREALQGLKESYAAGKLT* >Brasy6G148500.3.p pacid=40050687 transcript=Brasy6G148500.3 locus=Brasy6G148500 ID=Brasy6G148500.3.v1.1 annot-version=v1.1 MEEDATGSGGGGGGGGRVVGVGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPQNKTAADGMVGVSELVDKNYPANKKATMPRGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITSHSLSVTFNGSFDHMNIDSTSSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGCRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEIRKPASGRKSQLLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYKTVCENPKYASMRKRIGDVIDEDAVHSRAPFVACTQQCFAIKEGIDGLLDVARRSFCDTSEAIHNLATKYREECQLPNLKIPYNNRLGFYFIIPQKDITGKLPSKFIQVVRHGKNVHCSSFELASLNARNKSAAAECFLRTELCLEGLISEIREDIGILTLLAEVLCLLDMIVNSFANTISTKPVDRYTRPEFTDDGPMAINAGRHPILEGLHTDFVPNNIFLSEVSNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKAYTLFATHMEGLSELATMYPNVKTLHFEVDLRNNRLDFKFQLKDGLRRVPHYGLLLARVAGLPASVVDTATNITSRIREQEMVRMDANCEQYRPLQMAYQAAQRLICLKYSNQGDEYIREALQGLKESYAAGKLT* >Brasy6G148500.4.p pacid=40050688 transcript=Brasy6G148500.4 locus=Brasy6G148500 ID=Brasy6G148500.4.v1.1 annot-version=v1.1 MEEDATGSGGGGGGGGRVVGVGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPQNKTAADGMVGVSELVDKNYPANKKATMPRGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITSHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGCRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEIRKPASGRKSQLLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYKTVCENPKYASMRKRIGDVIDEDAVHSRAPFVACTQQCFAIKEGIDGLLDVARRSFCDTSEAIHNLATKYREECQLPNLKIPYNNRLGFYFIIPQKDITGKLPSKFIQVVRHGKNVHCSSFELASLNARNKSAAAECFLRTELCLEGLISEIREDIGILTLLAEVLCLLDMIVNSFANTISTKPVDRYTRPEFTDDGPMAINAGRHPILEGLHTDFVPNNIFLSEVSNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKAYTLFATHMEGLSELATMYPNVKTLHFEVDLRNNRLDFKFQLKDGLRRVPHYGLLLARVAGLPASVVDTATNITSRIREQEMVRMDANCEQYRPLQMAYQAAQRLICLKYSNQGDEYIREALQGLKESYAAGKLT* >Brasy6G148500.5.p pacid=40050689 transcript=Brasy6G148500.5 locus=Brasy6G148500 ID=Brasy6G148500.5.v1.1 annot-version=v1.1 MEEDATGSGGGGGGGGRVVGVGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVVIVPQNKTAADGMVGVSELVDKNYPANKKATMPRGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITSHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGCRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEIRKPASGRKSQLLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYKTVCENPKYASMRKRIGDVIDEDAVHSRAPFVACTQQCFAIKEGIDGLLDVARRSFCDTSEAIHNLATKYREECQLPNLKIPYNNRLGFYFIIPQKDITGKLPSKFIQLNARNKSAAAECFLRTELCLEGLISEIREDIGILTLLAEVLCLLDMIVNSFANTISTKPVDRYTRPEFTDDGPMAINAGRHPILEGLHTDFVPNNIFLSEVSNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKAYTLFATHMEGLSELATMYPNVKTLHFEVDLRNNRLDFKFQLKDGLRRVPHYGLLLARVAGLPASVVDTATNITSRIREQEMVRMDANCEQYRPLQMAYQAAQRLICLKYSNQGDEYIREALQGLKESYAAGKLT* >Brasy6G138400.1.p pacid=40050690 transcript=Brasy6G138400.1 locus=Brasy6G138400 ID=Brasy6G138400.1.v1.1 annot-version=v1.1 MWSPESRRGDSPVRSGPGPKRKWIEGARPRLLEAVRELKVAGIGPATRCGGSDGRRSAVPAISAPTPNSTCRRRLRFRRPNSAADDHHGPPRPRAPPRVLFQLSCALPDTRRRPLSSRPWRLPTRAARSHGPKIPRLHCLLLCPLGHEQPRRRPSSLHRRTHRSLPPTPPVEFFKFRPSTAAYHHGWAARRPPPATQPPGAVNSAGVGVAGLPDAALLVVEVVAMAFVSRARSSTAGRQAAPIARSSAAGRKRRRHGELRVEQAAEAAHRAVAIGGGARSSAWQAAAATHL* >Brasy6G199200.1.p pacid=40050691 transcript=Brasy6G199200.1 locus=Brasy6G199200 ID=Brasy6G199200.1.v1.1 annot-version=v1.1 MSSLGTSKGILEIAKFGVYLAVPITLTYLVATDSQSIKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARSRRQE* >Brasy6G061700.1.p pacid=40050692 transcript=Brasy6G061700.1 locus=Brasy6G061700 ID=Brasy6G061700.1.v1.1 annot-version=v1.1 MAQISKKRKFVADGVFLAELNEMLTRELGEDGFAGVEIRVTPMRTEIIIRATRTQSVLGEKGRRIRELTSVVQKRFNFPDGGVELYAEKVSNRGLCSVAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDGAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPATPLPDLVTIHPPKDEDEFLRPLAPQVAVA* >Brasy6G236200.1.p pacid=40050693 transcript=Brasy6G236200.1 locus=Brasy6G236200 ID=Brasy6G236200.1.v1.1 annot-version=v1.1 MTNDSNNGVAASGWLGFSLSAPHMVDAHHQHVQQQQNHGGGLFFPSPAAAAAYGLGAGDVGAVNTSLGYYSPQLASMPLKSDGSLCIMEALRRTSDNHHQDNHHAGGPKLEDFLGAGGQQQQQHALSLDNSSTFYYYGGAGNANANGFLQQQQQQQQAYDVYGAAAADEESAATAAAMASWVQASQAQLQLQQHPLALSMSSGAGSLSSCVTLSEQYGGGGGVVGAGAGGEGGGGGGRKRGGGATGNGNNNKQQAVQHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYAGEVEEMKKMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDITRYHVDKIIASNTLLPAELAKRNPSNTDPDPNQDAAAAAIPAPPPPHDHTASAAAFTAALQDLVSVQGANGNGHLVQMSAAAASSSLVTSSLSNSRGEEDLSPDQEYRAGGGGLSSMLFAAKQQQPKLMSASSWPSSSPAPARPAPAAVSIAHMPMFAAWGDA* >Brasy6G236200.2.p pacid=40050694 transcript=Brasy6G236200.2 locus=Brasy6G236200 ID=Brasy6G236200.2.v1.1 annot-version=v1.1 MTNDSNNGVAASGWLGFSLSAPHMVDAHHQHVQQQQNHGGGLFFPSPAAAAAYGLGAGDVGAVNTSLGYYSPQLASMPLKSDGSLCIMEALRRTSDNHHQDNHHGGPKLEDFLGAGGQQQQQHALSLDNSSTFYYYGGAGNANANGFLQQQQQQQQAYDVYGAAAADEESAATAAAMASWVQASQAQLQLQQHPLALSMSSGAGSLSSCVTLSEQYGGGGGVVGAGAGGEGGGGGGRKRGGGATGNGNNNKQQAVQHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYAGEVEEMKKMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDITRYHVDKIIASNTLLPAELAKRNPSNTDPDPNQDAAAAAIPAPPPPHDHTASAAAFTAALQDLVSVQGANGNGHLVQMSAAAASSSLVTSSLSNSRGEEDLSPDQEYRAGGGGLSSMLFAAKQQQPKLMSASSWPSSSPAPARPAPAAVSIAHMPMFAAWGDA* >Brasy6G047000.1.p pacid=40050695 transcript=Brasy6G047000.1 locus=Brasy6G047000 ID=Brasy6G047000.1.v1.1 annot-version=v1.1 MGSEAVAPHAVMVPYPAQGHVTPMMKMAKLLHARGFHVTFVNTEFNHRRLLRSRGPAALDGVPGFRFAAIADGLPFSDADATQDVPQLCQSTMTTCLPRLLSLLATLNDTASSGVPPVTCLVVDGVMSFAYDAAKEIGVPCAALWTASACGFLGYRHYRQLIEQGLVPFKDEAQLTDNAFLDAVVPGIRGMCDGMRLRDFPSFLRTTDPDDIMLNFFVHEGERLSLPDAVMVNTFDELERKVLDEMREFVLPAPLYTVGPLLLHAHHAVPEGSTPLDALGTNLWKEQDGLLDWLDGHAPGTVVYANYGSITVMTNEQLLEFAWGLAGSGYPFIWNIRPDLVKGDAAVLPPEFLEATKGRAMLTTWCAQEKVLAHGAVGVFLTHSGWNSTLDGVCGGVPMLSWPFFAEQQTNCRYKCTEWGNGMEIGGEVRREALAGMIRQLMDGDEGRGIRRRAAEWKESALRATLPGGSAVANLDTVVRDVLLAKFSGRDGGNYYAKN* >Brasy6G142600.1.p pacid=40050696 transcript=Brasy6G142600.1 locus=Brasy6G142600 ID=Brasy6G142600.1.v1.1 annot-version=v1.1 MYKQGSAGAGLDRKRISDALDKHLEKAVASAASPSTSRGSAGARGDHNRLVVPSSTSSIPKGRCSEGESESDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDIFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYDHLKPQKPSQRYAPRVFGFKLHKP* >Brasy6G142600.2.p pacid=40050697 transcript=Brasy6G142600.2 locus=Brasy6G142600 ID=Brasy6G142600.2.v1.1 annot-version=v1.1 MYKQGSAGAGLDRKRISDALDKHLEKAVASAASPSTSRGSAGARGDHNRLVVPSSTSSIPKGRCSEGESESDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDIFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYDHLKPQKPSQRYAPRVFGFKLHKP* >Brasy6G189900.1.p pacid=40050698 transcript=Brasy6G189900.1 locus=Brasy6G189900 ID=Brasy6G189900.1.v1.1 annot-version=v1.1 MATAAARSTATGVAAAGRSNPNPNADGPKLRSPLGKPVPLSDITNTGRTNAARSIHVADLLKENAKLLHLLGEKSKIIELSRVEMHKLSLALQASRQQNLHLAQTNCQMLAELNIGKDRLKALEHELSCTTAVLKVKNSELEEMRKAIPSKLAAVEDHQIYNRTSSTSAHHLVEIESGVPSKTCCQEPLQDKTNKRNTHKPKSALSEGIKKADIVQDHYEAHLKPIGSMHVEDPRKPLRRRSARLNQGSTGIPEVPCGTLHEDTFVPSAPSSFRVVPELYAPSTGNDMGSLLQNEILCNATVQEVKTLELKYSEINEQPQSTVNVEEIQEACSRIAGVEAHQIDDKDCIANQNHLPENQPHLPVNIEHPEPPQKRGNKKRARNQKLELCEDRKESNEEETNVKCRSNSSEPWNHAENMESRRRNSSRLNPGSWEVEKGTCGTLHEDTISPLTHSRSNPFIDQRGNAKQNDLCPTLQSSEEQATDMRSSLGRPSRRAAKKIVSYKEVPLHVKMRRP* >Brasy6G103200.1.p pacid=40050699 transcript=Brasy6G103200.1 locus=Brasy6G103200 ID=Brasy6G103200.1.v1.1 annot-version=v1.1 MVSLRFTAATFPHLPPPPPPHRAAIAAAIAAAAAAAAAAASFTLTAKSAGRPLPHPAHSAPLWASLSLSDGAAPGNVEPRTGAAFPAEAAGGRRLLGVGLRKTTILGLKSIDVYAFGVYADDNDLRKLREKYQKLPVSELKGSAELINDALERDIRMTVRLQIVYGRLSIGSVRSAFEKSVGSRLQKFGGSDTKELLQSFVSLFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGSIQNKLLCQSILDLYIGDDPFDRSAKDKIQGNLASILKA* >Brasy6G079800.1.p pacid=40050700 transcript=Brasy6G079800.1 locus=Brasy6G079800 ID=Brasy6G079800.1.v1.1 annot-version=v1.1 MAAPLRRVLPSFGRALLSPTPARMLSAEASDALVEIKPGEIGMVSGIPEEHLRRRVVIYSPARTASQQGSGKVGRWKINFMSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKPKTYAENFKWKGPPKTEEAA* >Brasy6G112800.1.p pacid=40050701 transcript=Brasy6G112800.1 locus=Brasy6G112800 ID=Brasy6G112800.1.v1.1 annot-version=v1.1 MAGGAVVNTGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPAVYRQEQEAERNQSDQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFAGGVTFLAGAALNGAAKDVLMLILGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQQMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGYTDDAKRMLRRVRGTDDVDEEYRDLVAASDESKLVSHPWRNILQRRYRPQLTFAVAIPFFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATSVSIVTVDRLGRRKLFLQGGVQMLVCQIVVGALIGAKFGFSGVAVIPKEYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFVIAQAFLPMLCRFKFMLFFFFGAWVVLMTLFVAFFLPETKNVPIEEMVLVWKAHWYWGRFIRDEDVHVGADVEMPYAGNGKSKIQLPGNGKLGI* >Brasy6G154100.1.p pacid=40050702 transcript=Brasy6G154100.1 locus=Brasy6G154100 ID=Brasy6G154100.1.v1.1 annot-version=v1.1 MNHVRSALLSGHRPQRLAVAVAPQQLSATASFHSTPPLQRKRKTQWHHRFNYYAKRRRNRDTNRTMIRNISEYAEYLFDSWRDEDEKKDASSGPSWFRGHRWVRDSKTNGFHPHGFYCHNVKSSGGFEFCTSDDDDEPETVFRNAFRGNHHTYYWSFESDNFQRKNSKRSHSESSRHWSYETDDEDNLSTQMELSVARQALGLSTSGLLKLEDVKSAYRACALRWHPDRHHGSSKASAEEKFKRCSAAYQTLCDSLATA* >Brasy6G154100.2.p pacid=40050703 transcript=Brasy6G154100.2 locus=Brasy6G154100 ID=Brasy6G154100.2.v1.1 annot-version=v1.1 MNHVRSALLSGHRPQRLAVAVAPQQLSATASFHSTPPLQRKRKTQWHHRFNYYAKRRRNRDTNRTMIRNISEYAEYLFDSWRDEDEKKDASSGPSWFRGHRWVRDSKTNGFHPHGFYCHNVKSSGGFEFCTSDDDDEPETVFRNAFRGNHHTYYWSFESDNFQRKNSKRSHSESSRHWSYETDDEDNLSTQMELSVARQALGLSTSGLLKLEDVKSAYRACALRWHPDRHHGSSKCELGGPWALQSEREGI* >Brasy6G246400.1.p pacid=40050704 transcript=Brasy6G246400.1 locus=Brasy6G246400 ID=Brasy6G246400.1.v1.1 annot-version=v1.1 MAALVMASMALKPSPSPFIGQPRLRGIQPSARSPSFRVMSKKAKKVQTSQPFGPGGGLELKDGVDASGRPAKGKGVYQFARKYGANVDGYSPIYNPEEWSPSGDFYAGGKTGLLLWAVTLAGILLGGALLVYNTSALAS* >Brasy6G261600.1.p pacid=40050705 transcript=Brasy6G261600.1 locus=Brasy6G261600 ID=Brasy6G261600.1.v1.1 annot-version=v1.1 MAHTVDWTFLVWRAVEDEMFELRKGERGDWACYYGAYLQRIIWVKRPDLFLPPPEAPLVDAPSEQAALMPQNSRRSETDENRKLRPELESRMQKLEARLKLVDGRSKLLDARSSQLQARSMEVEAKSKQLDALAARYDHDRTEFDQEKEKLHGELDEIKLLNQALVSKETKDHDELQHVQRELLDVRKQLADLQEEMHAVKSLNQALATKATTSNDGLQQARKESLNRALSTKETILSDEIQQEKEELTDVRKQLADLQEEMQSTKSLNQALVAKERMSRKELRCIRKEMLELSDDQEALQSLNQVLVTKERVSNNELQVIRKRLIDGLQKFTNGRANIGVKRMGQLDLKAFANACRKELSQDDAQVTLLCSKWQAEITNSKWHPFRVNVVDGKATENLLEIDGKLQKLKEEHGEEIYTLVTKALLEINEYNPSGRYVAPELWNYKDNRKATLEEVIEFILKQWQSHKRKR* >Brasy6G135100.1.p pacid=40050706 transcript=Brasy6G135100.1 locus=Brasy6G135100 ID=Brasy6G135100.1.v1.1 annot-version=v1.1 MSVPAWRRAGHVVLFPVLTLSLPPPASAASPPAASVVRAGRPLPPTPHGHWCCSFLPRAPPPSLPSSSIPLSTFNAPMASAPHLPHDPIQVHLPMASDPPPPSHPIQD* >Brasy6G136700.1.p pacid=40050707 transcript=Brasy6G136700.1 locus=Brasy6G136700 ID=Brasy6G136700.1.v1.1 annot-version=v1.1 MSDSASMAAATEARFSNRDLIGRGSFGDVYRGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEVSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFIIPRENPPQLDEHFSKLMKEFVSLCLRKNPSERPSAKELLKHRFIKNARKTPKLLERIRERPKFTVKGSIDATQNGQAHIEEDDYGGTMKVEANTKYAAPPSSQGTVRKAAGWDFPDKSEVTGTVRAGLRPPQVTSTKDGRFDMPYNPNTLKRTADREIQWRTSGTGSEEPPSTNMSKKEAQSDHGRLESSTEDNEQSVSGSGTVVLRSPRASQVYSAAPNHSSKPPSRFSSYEDTSSSGTVIRNQSEEAETPRSSRSRLGIQEKTSNASLEDSAINLAEAKAALQAGFRKGNARERPVINKHEKESHEPRFSGVNSNEGRSDIVDSQKGRKSRQPSDGQSAPRASGSAASPALSSLITPSLKEATGDKFEGPVVNAVLDSLIELEHDIPGSCEVLVGRLLHRLGSSKDSSLQSLHETAISIFAKKPEPPSDPASNKKLVNIPPLAAPTVSPLAKFLLTRWQNQVSQDN* >Brasy6G136700.2.p pacid=40050708 transcript=Brasy6G136700.2 locus=Brasy6G136700 ID=Brasy6G136700.2.v1.1 annot-version=v1.1 MSDSASMAAATEARFSNRDLIGRGSFGDVYRGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEVSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFIIPRENPPQLDEHFSKLMKEFVSLCLRKNPSERPSAKELLKHRFIKNARKTPKLLERIRERPKFTVKGSIDATQNGQAHIEEDDYGGTMKVEANTKYAAPPSSQGTVRKAAGWDFPDKSEVTGTVRAGLRPPQVTSTKDGRFDMPYNPNTLKRTADREIQWRTSGTGSEEPPSTNMSKKEAQSDHGRLESSTEDSVSGSGTVVLRSPRASQVYSAAPNHSSKPPSRFSSYEDTSSSGTVIRNQSEEAETPRSSRSRLGIQEKTSNASLEDSAINLAEAKAALQAGFRKGNARERPVINKHEKESHEPRFSGVNSNEGRSDIVDSQKGRKSRQPSDGQSAPRASGSAASPALSSLITPSLKEATGDKFEGPVVNAVLDSLIELEHDIPGSCEVLVGRLLHRLGSSKDSSLQSLHETAISIFAKKPEPPSDPASNKKLVNIPPLAAPTVSPLAKFLLTRWQNQVSQDN* >Brasy6G083600.1.p pacid=40050709 transcript=Brasy6G083600.1 locus=Brasy6G083600 ID=Brasy6G083600.1.v1.1 annot-version=v1.1 MAKPERRPVNKYAFATAVLSTATPLFLGYDLAMVSSTAVLAEADDLKLLACTVVLSSLLGALTAVVAQCLIGDRRTVLLSAAVLCAGALSRGLAEAFFTGGVVVFVNGLGMGQALMIVPAYAAELSSLRGSGGGVLTSHPDGFVYLGCILGSLCHSTGLFKLPARLAWRLTIASGAAIPALLSSAVLLMPESPRWLVAQDELAQARRVLSRTSYTLEEAELRLLEIKAELGGGSEETQTPTRSRSKEECATWRELVARPTEPLRRAFVSALVAKVFQQASGIGSMSQYAQRAFRDVGISSSAGRQMPRALLAFGLVVVVSFSIALILVELVWLLLLATAALACGGTCTRPRPRATSSRRASRAGVGVGMSTRRQEQLKRARGLSATMLLSLVALVWIALGPAQWAAEDEAAAEDEAAASCCPRWLRAVNWAVSAAILSSFAWVYEATSVVTPMRGSLLLVCPVVAWVFFCACLFGASRRRRRRG* >Brasy6G218000.1.p pacid=40050710 transcript=Brasy6G218000.1 locus=Brasy6G218000 ID=Brasy6G218000.1.v1.1 annot-version=v1.1 MLHPVAAAGAAAVSSPSSHASPPGIPASYLQRRRPITVRCAPGGTNDRAVSDAAAAAAAAAAAAKAKLKVGSPIVIVEEPPMLKTAASVPSLRQNDGRVKPGDVGRIMARRPKDVWAVRLAVGTYLLDGKHFKPLDVVQDQGVSDDQTQDQ* >Brasy6G069000.1.p pacid=40050711 transcript=Brasy6G069000.1 locus=Brasy6G069000 ID=Brasy6G069000.1.v1.1 annot-version=v1.1 MPPRLLLRLAALLLLCATLAAPEPDADRAALLDFLAGVGGGRAARLNWSSSNSSARVCGGWRGVTCSADGTRVVALRLPGLGLSGPVPRGTLGRLTALQVLSLRANSLSGAFPDELLGLPGLTGLHLQLNAFSGAVPPGLARLRSLQVLDLSFNAFNGTLPGELSNLTQLAALNLSNNSLSGRVPDLGLPQLQFLNLSFNRFDGPVPVSLLRFADAAFAGNSMTRSAPVSPAEAPPSLSPPAAGAPSKKRARLSEAVILAIVVGGCVMMFAVVAVLLIAFCNRRDGEEGSRVVSGKGGEKKGMESPESKAVTGKAGDGNRLVFFEGPSLAFDLEDLLHASAEVLGKGAFGTAYRALLEDATTVVVKRLKEVSAGRREFEQQMELIGRIRHDNVAELRAYYYSKDEKLLVYDYYSRGSVSNMLHGKRGLDRTPLDWETRVRIALGAARGISHIHTANNGKFVHGNIKASNVFLNSQQYGCISDLGLASLMNPITARSRSLGYCAPEITDTRKSTQCSDVYSFGVFILELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDMARMLEDVGRNDTGTRPSTEASTPVVEARNKAESSSAAP* >Brasy6G010200.1.p pacid=40050712 transcript=Brasy6G010200.1 locus=Brasy6G010200 ID=Brasy6G010200.1.v1.1 annot-version=v1.1 MEELAGAEPPLPPEESLVAPALPRTPPQAPVEVACKDTGGMRITKENPSTQQEFDLAQTNNSSLNNSSECENQAPNGDERTEPESKSEAAKTEGDGSSRDKVLKKPDKILPCPRCKSMDTKFCYYNNYNVHQPRHFCKGCQRYWTAGGSMRNIPVGAGRRKSKSSSANCRSILTPGSSLATPGGDASLIPFSVKGNEPVVKFGSDAPLCNAMASSLRVEEQNKISNPASTAHPINGENLTCPPPTTTSDSQGTESVKGTVSGHQNGLTVNCNGVTPMHPIPCFTGPPFMYPWNPAWNGIPAVAAPLCPAQAESANSSENGNGVNVQWNLPPMVPVPGFCGPPIPFPLMPPSVWPFVSPWPNGAWSAPWLGPGYSMPAAPPTSSITCSDSASPVLGKHPRDSNLHSDEKAEKSLWIPKTLRIHDPDEAAKSSIWTTLGIEPGNRGMFRPFQSKSGSKEQMSDAARVMQANPAAQSRFQSFQETT* >Brasy6G010200.2.p pacid=40050713 transcript=Brasy6G010200.2 locus=Brasy6G010200 ID=Brasy6G010200.2.v1.1 annot-version=v1.1 MRITKENPSTQQEFDLAQTNNSSLNNSSECENQAPNGDERTEPESKSEAAKTEGDGSSRDKVLKKPDKILPCPRCKSMDTKFCYYNNYNVHQPRHFCKGCQRYWTAGGSMRNIPVGAGRRKSKSSSANCRSILTPGSSLATPGGDASLIPFSVKGNEPVVKFGSDAPLCNAMASSLRVEEQNKISNPASTAHPINGENLTCPPPTTTSDSQGTESVKGTVSGHQNGLTVNCNGVTPMHPIPCFTGPPFMYPWNPAWNGIPAVAAPLCPAQAESANSSENGNGVNVQWNLPPMVPVPGFCGPPIPFPLMPPSVWPFVSPWPNGAWSAPWLGPGYSMPAAPPTSSITCSDSASPVLGKHPRDSNLHSDEKAEKSLWIPKTLRIHDPDEAAKSSIWTTLGIEPGNRGMFRPFQSKSGSKEQMSDAARVMQANPAAQSRFQSFQETT* >Brasy6G126200.1.p pacid=40050714 transcript=Brasy6G126200.1 locus=Brasy6G126200 ID=Brasy6G126200.1.v1.1 annot-version=v1.1 MQRRVAGRLEDLAEETRLEGVKSLVVHAWPFPGPSGKLRRRAMDHLPLTAKLAGASMEGGAGGRVVDTYKKTLATAASVAAYAMLARGMARELLPEELRAAVRWVAASVRARLGAGGKDRHTIVIRRHFDGGYSENQLFEAARTYLATKIDPRAMRRLCLARSRHKEPDGSSSWSTLLCMEDGGSTTDAFEGVEFKWTSVETGGDDGNNKKGKSHGSSHRHPRETLELSFDAEHTDAALERYVPFIMSTAEQLQRRDRALKIFMNEGRAWHGISHHHPATFDTLAMDPALKTAVVDDLDRFLKRKEYYQRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDFDLSEVRLNSTLQRLLIGMPNKSILVIEDIDCCFDAQSREDSKTPVPADGGNSSDDDGPPSKACQAPQQQQNLTLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGHCGWEAFRTLARNYHLVDNHALFPEIQGLLAAVEVTPAEVSEMLLRSEDADIALRVLTDFLQDKRRRTRKETSEINDTAEKAMWVSPSPSQATFSH* >Brasy6G159600.1.p pacid=40050715 transcript=Brasy6G159600.1 locus=Brasy6G159600 ID=Brasy6G159600.1.v1.1 annot-version=v1.1 MESSNGKPPQGEEEAKAAAAVAEGSIGGYESLHRLLESNLSPELFKEASRLLLGLNCALPLETISLPGGTTALAEEHDFDVQAFRFNADKEYLRQPRIVRVGLIQNSIAVPTTCHFAEQKKAIMAKVKLIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVEGESTQFLQELAQKYNMVIVSPILERDINHGEIIWNTAVVIGNNGNLIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCAPSLSRYRDGLMISDMDLNLCRQIKDKWALRMTARYDMYASLLSEYLKPDFKPQVITDPLINKRRA* >Brasy6G169400.1.p pacid=40050716 transcript=Brasy6G169400.1 locus=Brasy6G169400 ID=Brasy6G169400.1.v1.1 annot-version=v1.1 MCSPSRLPAAQLPSLRLPPPLCPAPQLPAALPFPSPRHAPVSSAVALPYSLSLPCSPPLLSISLLPRFPRRRHALYKEETFAGETGSGGCEAGSGWRRHSARRGGGGAGAGAAAARGAGPEASRSSGGEPGSGDGGATPIFSSVIIYSGVREHLVLLLYLFPLLVAGAAARKPQPFSSLTDTEWKEVQRLKAVATTRSSA* >Brasy6G088000.1.p pacid=40050717 transcript=Brasy6G088000.1 locus=Brasy6G088000 ID=Brasy6G088000.1.v1.1 annot-version=v1.1 MLLEPHHLVALLLLLVAAGRCLAATGDDVTQFTYNGFTGANLTLDGAGGAAVTPNGLLLLTNGTMQTKGQAFHHSPLPFRAASSSSTNATAAVRSFSTSFVFAIYGQYADLSSHGMAFFVAASRDVLSTALPGQFLGLLNDTNNGNRSDRIFAVEFDTLFNAEFRDINGNHVGVDVDSLISLDSADAGYYDDGTPGTFRNLSLISRKAMQVWVDYDGAAKQVTVTMAPLGLARPKRPLLRTPVDLSAVVQSTAYVGFSSATGVLSTRHFVVGWSFALDGPAPALDIRALPALPRAWPKPRSKVLEIVLPIASAALVLALGVGIYIFVRRRLKYSELREDWEDAFGPHRFTYRELFHATKGFSDKNLLGEGGFGSVYRGVLRKPGDMEVAVKKVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHDDDDGSSSGTAAAAGTLDWHQRFRVIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGGDAHTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEITCGRRPVEQDERDNRIVLVDRVVELWRKGLIVDAVDARIDPAGFSPDDVSLVLKLGLLCSHPLANARPTMRQVMQYLDGDMLLPDLSPAYLSFSMVERMYNGEFKQNVVPNASSTSIGAISDLSGGR* >Brasy6G228200.1.p pacid=40050718 transcript=Brasy6G228200.1 locus=Brasy6G228200 ID=Brasy6G228200.1.v1.1 annot-version=v1.1 MASTTVKLIGKCSAPPHLYSYTSTALRSPILSLSNSPLYCSFTQTDWLTRPLLIFFLVSGTPTNRHRHGMFKGMYHGKQCHSADIPAVLARAWAAGVDRIIVRLLDAVRPCLMIVLLWLIGLD* >Brasy6G178500.1.p pacid=40050719 transcript=Brasy6G178500.1 locus=Brasy6G178500 ID=Brasy6G178500.1.v1.1 annot-version=v1.1 MTAAAATFLPLTQTPAPRTRLPIPRHRTPPICASAADTPPTDAESDEEEAPRSGRKDRRRVVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHHHVNCKYLSQYRLPENVVATTSASDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMDKLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEILNSMNQVAEGVSTAGAVIALAQKYNVKLPVLTAVARIIDNELTLKRAVMELMNLPQVEEV* >Brasy6G178500.2.p pacid=40050720 transcript=Brasy6G178500.2 locus=Brasy6G178500 ID=Brasy6G178500.2.v1.1 annot-version=v1.1 MTAAAATFLPLTQTPAPRTRLPIPRHRTPPICASAADTPPTDAESDEEEAPRSGRKDRRRVVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHHHVNCKYLSQYRLPENVVATTSASDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMDKLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEILNSMNQVAEGVSTAGAVIALAQKYNVKLPVLTAVARIIDNELTLKRAVMELMNLPQVEEV* >Brasy6G178500.3.p pacid=40050721 transcript=Brasy6G178500.3 locus=Brasy6G178500 ID=Brasy6G178500.3.v1.1 annot-version=v1.1 MTAAAATFLPLTQTPAPRTRLPIPRHRTPPICASAADTPPTDAESDEEEAPRSGRKDRRRVVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHHHVNCKYLSQYRLPENVVATTSASDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMDKLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKVISCLHVLSIFHGIETWDCGLVQAKNLMKS* >Brasy6G178500.4.p pacid=40050722 transcript=Brasy6G178500.4 locus=Brasy6G178500 ID=Brasy6G178500.4.v1.1 annot-version=v1.1 MTAAAATFLPLTQTPAPRTRLPIPRHRTPPICASAADTPPTDAESDEEEAPRSGRKDRRRVVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHHHVNCKYLSQYRLPENVVATTSASDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMDKLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKKRGTAAWFRRKT* >Brasy6G217900.1.p pacid=40050723 transcript=Brasy6G217900.1 locus=Brasy6G217900 ID=Brasy6G217900.1.v1.1 annot-version=v1.1 MNNLLTDSFELPRRDSSRDGDIEMGMHQADASDNLKGFLKKVDGIESLIAKLTNLLTKLQTANEESKAVTKASAMKAIKQRMEKDIDEVGKIARTAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLRESIRQEYREVVERRVFTVTGNRPDEETIDDLIETGRSEQIFKDAVQQQGRGQVLDTVAEIQERHDAVRDLERKLLELQQIFLDMAVLVEAQGDMINNIETHVSNATNHIQQGVGALQKAKKLQKNSRKWMCYAIILLLVIVAIIILAVIQPWKK* >Brasy6G180800.1.p pacid=40050724 transcript=Brasy6G180800.1 locus=Brasy6G180800 ID=Brasy6G180800.1.v1.1 annot-version=v1.1 MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS* >Brasy6G183600.1.p pacid=40050725 transcript=Brasy6G183600.1 locus=Brasy6G183600 ID=Brasy6G183600.1.v1.1 annot-version=v1.1 MIQPIPNRLRRGSRVGGAQAMATCGDRRGGWRGADRGAGALSDGEGVLQQQCGGRRSRGLRPSPRRSRERPPPPAAREGGATGGLPRCTVRRIWTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARRGSAD* >Brasy6G100000.1.p pacid=40050726 transcript=Brasy6G100000.1 locus=Brasy6G100000 ID=Brasy6G100000.1.v1.1 annot-version=v1.1 MAGRYDGGNPFEEEEDVNPFSEQARGKPGGQSNFGGGGAFYMPNPRNVAPPSNSRLSPLPPEPADFSATVDIPLGSSKDLKKREMELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPTHLQRMQYFAFASFLGLACCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHIIFCIFAAVAPPAVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF* >Brasy6G269300.1.p pacid=40050727 transcript=Brasy6G269300.1 locus=Brasy6G269300 ID=Brasy6G269300.1.v1.1 annot-version=v1.1 MLHTQFQAEEEEEQEEAAAAAEMTKTMTTRKEGNAAYHGQKRTIYDCNIGSREFYWKEVTKEYNENSPVDRRRKPIHCKDHWGKMNRQIVAFNGIWCRLKDTYASGQSDDQLMYKAYDMEVHNQPKWNRMYVDNTASLNVNPINLDHEEGETRPEGSKAAKARKYGKGKGTHDTSSSSISHDDIQLYYETQTLRASTSERTSEVQLQLSSEKLATAQARERTALVTSENAIMEK* >Brasy6G155100.1.p pacid=40050728 transcript=Brasy6G155100.1 locus=Brasy6G155100 ID=Brasy6G155100.1.v1.1 annot-version=v1.1 MAGSGGGEAGSGGAASSAAREGSGEAGSGGAAIPAAREGGGATREGEEEQLLKVATTMTSSSSRRPRRRGASSQGRGRGAS* >Brasy6G117700.1.p pacid=40050729 transcript=Brasy6G117700.1 locus=Brasy6G117700 ID=Brasy6G117700.1.v1.1 annot-version=v1.1 MERARLVTSTTALAAVLFFFLCPHADAATTLSQGQSLGRNDKLVSPNGAFLLAFFVPRGGGDGSRAYLGVQYARAAEQTVPWVANRGAPVSASSAHSATVTSSGQLQVLEGDRVVWQTTASSSSSGNNNNFTLTIQDTGNLVLGNGDQNTAPLWQSFDQPTDTFLPGMSITLDRRDGAVASNTLFTSWASPGDPAPGNFTLGQDPLGSAQLFIWRHTPGNTPNSTTSGSKYWRSGQWANTKFVGIPWRSLYVYGFKLAGDGSRGGGTRGGVMSYTFSAYNDSQYRFVLKPNGTETCYMLLESTGAWEVVWSQPTIPCHAYNMCGPNADCAADDHGRAACECLQGFEPRSREEYYGRGNWTRGCVRSKPLTCSERNVEVSGGDAFAALPGVKLPDFAVWESAVSGAEACKGWCLANCTCGAYSFSDGTGCLTWSGQDLVDIYRFTNGEGYDLHIKVPASLLGAKRKRWTAVIVSVVTALAVVLAACGILLWKCRRRIGEKLGVGVREEKKTRPSLLHPRRGAKNDFSGPKQQPDLEEAENGDSCELPLFPLETLAAATGGFSDSNKLGEGGFGHVYKGSLPGGEEVAVKRLSKSSGQGCEEFKNEVILISKLQHRNLVRILGCCIQGHEKMLVYEYMPNKSLDAFLFDPARRGLLGWKTRLSIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDHDMNPKISDFGMARIFGGDQKQDNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILVLEIITGQKNSSFHHMEGSLNIVGYAWQMWNADKGSELIDPSIRSSSASREALRCVHMALLCVQDHACDRPDIPYVVMALGSDSSVLPMPKPPTFTLQCTSSDREGFLGGKGDYYQSYSASDLTVTMLQGR* >Brasy6G160800.1.p pacid=40050730 transcript=Brasy6G160800.1 locus=Brasy6G160800 ID=Brasy6G160800.1.v1.1 annot-version=v1.1 MDAAAANPASSSLEAVAGAFRSRVNELQDLVLARNMYPATAVTDLAAVDSSVKAMEVQVQDIRRRLQEELDAIPKAKKLVEKSLKQQKKLEHMLANMPSGMREDVFATSLEQSSSKMLPECFNFNSTVPELVDSDFKIKDEPVAAPKKGKGSAPRWYISTEELDSLSSYMKGRLTLEKVNIAINEVATYADADAHLVACHKRKLSEDAWQKALELRDIAGTEAVKGKHFFLEADIKGPGLKLDHTGKAILTVLRHLGRIHETRIGHHRVFILSKQC* >Brasy6G218900.1.p pacid=40050731 transcript=Brasy6G218900.1 locus=Brasy6G218900 ID=Brasy6G218900.1.v1.1 annot-version=v1.1 MLGDISRLNGQRGRVIALVTHDAHSLLRLIYTVNSIQSLAAILYTTITRSIQGDHRARRTSSMATTSSQAPAPASTETLPLAGRVAIVTGASRGIGRAIATHLASLGANLVIGYASSSSLADALAAELIPRAVAVRADVSSEAGVRALFDAAESAFHHGPAHILVACAGLCIGTYPSLASTSTADFDAVFSVNTRGAFLCIREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVAAKELGGARVTVNCVAPGPVATELFFEGKSEEAVERFRKGHPMGRLGEVQDIAPVVGFLCTDAAEWVNGQVIRVNGGIA* >Brasy6G270000.1.p pacid=40050732 transcript=Brasy6G270000.1 locus=Brasy6G270000 ID=Brasy6G270000.1.v1.1 annot-version=v1.1 MELNTEFLRGFCSDGLWKYVVFWSFKSEKPGILTWGNGYVDKMTKDNQIRDRHSDLAERDNQIISPTWPNNGLYQSYPLCPIESALLSMFGHSYSLGEQIIGKVAVMGQHCWISANDFRSTLMYKYHEDWQFQFAAGIRTVLLVPVMPHGVLHLGSLCMVLESSALVTLMKDLFYKIYDPSIPHNPSATRFVYSNNLRKPTANVSVDPSDVLAHDLFDLINSSAQLLTIDHRSIPHPLTMSEFPMLEDVTIGAYDTGLTACPDETFGANESDLWTNFHEVPSELTHSKKVYEPDMTNLLFMDKLTNSNSKLSCRSVINIEDSAYDNIDDFTLAYMAQQYHEHTHGSTIVFNDDVVTSNISIHSKLHKDPEATPREDLENLMRNSRLQQKESTSHSLRQANGNKTYFYPHLENDDYTEFLLDAITNHVGNIPNSDSSHSTDYSTSCETPIQREDHSLRLEEWSVPDPSGAREFSPISVNEGFRSPKINLSFPTEINKIIAEEYVGHTIQNVCKGNSVEVKRGCRKTELHRPRPRDRQLIQDRMKELRELIPNASKCSIDALLDRTVTHMMFLQSVSEKAEKLQDKTVNKEFSYKAKKQLENCPLRVEELEQPGHLLIEMLCKEYDVFFETAHLFKGLEVSILKGELEYRGNELWSCFVIEAPQGLNQMQILCPLMHLLQRK* >Brasy6G119500.1.p pacid=40050733 transcript=Brasy6G119500.1 locus=Brasy6G119500 ID=Brasy6G119500.1.v1.1 annot-version=v1.1 MAGAAGEDAGMDEVQRRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNKKYELLLQQRSETKVTFPLVWTNTCCSHPLYRESELIQENFLGVRNAAQRKLLDELGIPAKDVPVDEFTPLGRMLYKAPSDGKWGEHELDYLLFIVREVELVPNPDEVADVKYVSREQLQELIRQADAGEGGVKLSPWFRLVVDNFLMGWWEHLEKGTLQEAVDMETIHKLK* >Brasy6G147700.1.p pacid=40050734 transcript=Brasy6G147700.1 locus=Brasy6G147700 ID=Brasy6G147700.1.v1.1 annot-version=v1.1 MALNESLNRFKQQQERCLSSMAASQARPQPFAKPAATNAPSANKPPVRLFSEDTERLQRMNSVSKSPVGAQIKLVVDLLYKKREAFTAKQIKEETYVAIEGPHSAALLESLRNNPKVQFDGRRFSYKPKHNVKGKHDLLELITSFPDGLPVAEVEDAYLSALQDLQALKDSGDIYWLSGQQDTVYRNDPRTRMKVDKEFKELFHEMKLPKDMLDIEKELRRHGDRPATDTVKRRAAAQANAARSMKPRKKKTKRPRGITGRTKLTNAHLPELFDLPVDSKDFI* >Brasy6G034800.1.p pacid=40050735 transcript=Brasy6G034800.1 locus=Brasy6G034800 ID=Brasy6G034800.1.v1.1 annot-version=v1.1 MHEHTLARAWEATARKVQPQHPPQPGGRRRVAPMLPADDASETASSSASSSTGVDDASDHHHHQEQQYVERGLPNGDFYTGQWRGGAPHGAGKYLWADGCMYEGDWRHGKATGQGKFSWPSGATYEGEFKDGFMDGEGTYTGAAGDTYRGSWTMNLKHGDGRKSYANGDQYDGEWRSGLQDGAGRYIWRNGTEYNGEWRAGLIHGRGELVWANGNRYDGGWEDGCPRGQGTFRWADGSAYVGFWTRDSPTGIVQQKGVYYPSAAASSPTARDPREVFARELPGFMGGGGSEAAPLQKSINRTANGRASSASGLSNCSGGDRKYDKICIWESDGDITCDIVDGAALVDDARRSVRTEDGADGGLFMPQPSPAPRIAKWVPPREAKRQQGETIAKGHKHYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSGNSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQSRQVDRDCDFLEQEKIMDYSLLVGVHFRHREKLLVEGSTDNDINILSTPWLSRGNTDQFLADPNGLSKIKLGTSMPARAELTTRKNDCELQLIGEPTGQYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDS* >Brasy6G034800.2.p pacid=40050736 transcript=Brasy6G034800.2 locus=Brasy6G034800 ID=Brasy6G034800.2.v1.1 annot-version=v1.1 MHEHTLARAWEATARKVQPQHPPQPGGRRRVAPMLPADDASETASSSASSSTGVDDASDHHHHQEQQYVERGLPNGDFYTGQWRGGAPHGAGKYLWADGCMYEGDWRHGKATGQGKFSWPSGATYEGEFKDGFMDGEGTYTGAAGDTYRGSWTMNLKHGDGRKSYANGDQYDGEWRSGLQDGAGRYIWRNGTEYNGEWRAGLIHGRGELVWANGNRYDGGWEDGCPRGQGTFRWADGSAYVGFWTRDSPTGIVQQKGVYYPSAAASSPTARDPREVFARELPGFMGGGGSEAAPLQKSINRTANGRASSASGLSNCSGGDRKYDKICIWESDGDITCDIVDGAALVDDARRSVRTEDGADGGLFMPQPSPAPRIAKWVPPREAKRQQGETIAKGHKHYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSGNSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRHREKLLVEGSTDNDINILSTPWLSRGNTDQFLADPNGLSKIKLGTSMPARAELTTRKNDCELQLIGEPTGQYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDS* >Brasy6G122600.1.p pacid=40050737 transcript=Brasy6G122600.1 locus=Brasy6G122600 ID=Brasy6G122600.1.v1.1 annot-version=v1.1 MASSSRVRVGTLVPFGEGKAGSLGASLPSIPIFEGSNVVGRSNLLVVDKRVSRKHLSLRASADGSIEVVVEGPNPIVVQSEGQRRRICAKERVKIIHDDVLELIPGDYFVKYVNMGDEHKSSTPVDSNDLKKGKRHSEEDCVVAKRNRQIVEDEALARTLQESFAEETTSATDMACVQVLSSLDSAGSSERNNERMHSAGSLKDVLPLTFRLMRVQGLPSWTNTSAVTIQDVIQGEVLLAVLSNYMVDIDWLLTACPSLRKVPQVLVLHGEDGASLEHLKKSKPANWILHKPPLPITFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKDAKDMNKNISFESDLVDYLSALKWPEFRVKLPVAGDVNINAAFFRKFDYSSSTVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCVFEKQFCKSPLIYQFSSLGSLDEKWMTEFACSLSAGKADDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGSLQKNNTQLMIRSYELGVLFLPKTLQSVSGFSCTEKNHSNLGNLTLGKTIKTKLVTLCWKGDEEKDPSAEVIRLPVPYQLPPQHYGREDVPWSWDRRYTKKDVYGAVWPRHS* >Brasy6G122600.2.p pacid=40050738 transcript=Brasy6G122600.2 locus=Brasy6G122600 ID=Brasy6G122600.2.v1.1 annot-version=v1.1 MSMGFQEGPNPIVVQSEGQRRRICAKERVKIIHDDVLELIPGDYFVKYVNMGDEHKSSTPVDSNDLKKGKRHSEEDCVVAKRNRQIVEDEALARTLQESFAEETTSATDMACVQVLSSLDSAGSSERNNERMHSAGSLKDVLPLTFRLMRVQGLPSWTNTSAVTIQDVIQGEVLLAVLSNYMVDIDWLLTACPSLRKVPQVLVLHGEDGASLEHLKKSKPANWILHKPPLPITFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKDAKDMNKNISFESDLVDYLSALKWPEFRVKLPVAGDVNINAAFFRKFDYSSSTVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCVFEKQFCKSPLIYQFSSLGSLDEKWMTEFACSLSAGKADDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGSLQKNNTQLMIRSYELGVLFLPKTLQSVSGFSCTEKNHSNLGNLTLGKTIKTKLVTLCWKGDEEKDPSAEVIRLPVPYQLPPQHYGREDVPWSWDRRYTKKDVYGAVWPRHS* >Brasy6G122600.3.p pacid=40050739 transcript=Brasy6G122600.3 locus=Brasy6G122600 ID=Brasy6G122600.3.v1.1 annot-version=v1.1 MVDIDWLLTACPSLRKVPQVLVLHGEDGASLEHLKKSKPANWILHKPPLPITFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKDAKDMNKNISFESDLVDYLSALKWPEFRVKLPVAGDVNINAAFFRKFDYSSSTVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCVFEKQFCKSPLIYQFSSLGSLDEKWMTEFACSLSAGKADDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGSLQKNNTQLMIRSYELGVLFLPKTLQSVSGFSCTEKNHSNLGNLTLGKTIKTKLVTLCWKGDEEKDPSAEVIRLPVPYQLPPQHYGREDVPWSWDRRYTKKDVYGAVWPRHS* >Brasy6G231400.1.p pacid=40050740 transcript=Brasy6G231400.1 locus=Brasy6G231400 ID=Brasy6G231400.1.v1.1 annot-version=v1.1 MGASVWDGTLSLDGFNALAKALITKWREVDVEDCLPDWTWKPCWTMGLPPQVEGFLALEGVYHTGAGSQIEDINNLGDEGTLEHDTWVQTSSDSVHVYDFHIAYSFSYKVPLLYFQGHQAGGQLLTIDEIKKDLPSHSLKVLSESKWAFITWEEHPHLSRPWFTLHPCGTSDWTKLLLDKSGEKDLSLQYLSTWLSVVGQAVGLKIPLKLHCNS* >Brasy6G153800.1.p pacid=40050741 transcript=Brasy6G153800.1 locus=Brasy6G153800 ID=Brasy6G153800.1.v1.1 annot-version=v1.1 MASFSTATRAVLLLVVFLFSGCYLPRPAVSLFFDYPNFTSADPKDFKIEGDASLSVGLIDISANKLAGIHDSRGRVSYNATPMLLWDKATGEVASFTTRFNFTIDFSVGTGTEGMNNKGNGMAFFLAGYPSSLPNGCPAYTFCLTNQTPDATASGDGRFVAVEFDTYNNTEISDPDATYDHLGIDVNSLRSVDTLTLPSFSLKGNMTALIEYDNVSSILALTLWLVDDDGRDKSYSLSSKVDLKSALPEQVAVGFAAATSSSVELHQLSSWYFNSSLEPPQEAVVPASSPPESPGRGITGSGVIAGAVIGAILSLVLLFSTAALVVRRRRNKETEDDDMGSEGDEDGEPIMEIEMGMGPRRFPYQELVDATRNFAAEEKLGQGGFGAVYRGNLREPVLSVAIKRFSKESSMQGKKEYMSEIKVISRLRHRNLVQLVGWCHHSRDLLLVYELMPNRSLDIHLHGKGTFLTWSIRVGEQCVVHRDIKPSNVMLDESFNAKLGDFGLARLMDHTIGIQTMTAILGTLGYLDPQCVITGRASAESDVYSFGIVLLEVVCAKRPLSMRDEQKTGAIFQLAEWVWKLYGQGAILESVDAWLNGEYDAIEAERVMVVGLWCAHPDPTARPSIRTAMATLQSKDGCPLPVLPSKMPVPMSLLQNEV* >Brasy6G220900.1.p pacid=40050742 transcript=Brasy6G220900.1 locus=Brasy6G220900 ID=Brasy6G220900.1.v1.1 annot-version=v1.1 MRTHAGRLSLLLLPPLLQILVPAGYSLLDSAGEYTNHTVLYQQYLPCLPDQASALLRLKRSFSTNDESTVAFRSWRAGTDCCRWEGIRCHDDNGRVTSLDLGNRGLQSGGLNHVIFYLSSLEYLNLAYNDFNGSRLPSTGFERLVKLTHLNLSTSSFDGYVPAGIRQLTNLVSLDLSTDFEIIGMPIDGYLLEIDPKHDSRLVEPNFEALIEKLSNLRELKLGFVDLSGNKAPQWCDALARSTPNLHLLSLPSCGLPGPICTSFSSLHSLVIIDLQYNHLSGPIPDFLTNFSRLRVLQLKRNKFTGWLSPAIFEHRKIVTINLDHNFGVSGCLPNFSTSNRLENLDVGRTNFAGTIPSSIGNLKSLKRLGLSARGFSGELPMSIGELKSLNVLEISGIGLAGSIPSWVANLTSLKALQFSDCGLSGSIPSFIDELKKLERLVLCNCSFSGEISPYISNLTQLQILILYSNHFLGTVELTLLKKLPHLYAFDISHNNLVVVNGKGNSSLASYPKIEILGLAECSISKFPNFLKHQYNMGFLDISSNQIHGAIPQWAWEIGTDFLVFNLGNNKFTSISHGPLLPLKIEVLDLSNNMLDGPIPIPRGSATKLDYSNNKFSSIPSNSSFHLSHVTLLVASQNNFSGDIPSFFCGVTSILLLDLSYNSFTGSIPPCLMENVNGMQSLKLRENKLHGEFPDNVSEGCSFEALDFSGNRIEGQLPRSLVACKNLEVLDVGNNQISDSFPCWMSTLHRLEVLVLKSNKLFGHVAQSLAEEKIACAFPSLRIVDLSSNNLSGPLPQDQWFKKLKSMILRDSNTPLIMNHGLKTIPTTYEYTIALTYKGHDTVLAKIFRTLVFIDVSNNAFHGDIPEAIGELVLLHGLNLSHNFLSGTIPSTIGRLNQLESLDISSNELSGVIPHEITSLDFLTMLNMSYNKLDGKIPESPHFLTFSNSSFLGNDGLCGSPLSKECRNITTPTVEPHTSEENSADIMLFLFVGLGFGLAFAVIIVVVLWVLPIRKKS* >Brasy6G004600.1.p pacid=40050743 transcript=Brasy6G004600.1 locus=Brasy6G004600 ID=Brasy6G004600.1.v1.1 annot-version=v1.1 MDKYKVGQFCRFSLWFTFRPGCGPPSPSHLLLTGIILSPVTIWLVEYPSEVTCSLSRSVLVRMRNGTWKPVPRVVGPFGDCHETQKIAACALCILARLPPTLQHTIICDLQEIAMKRNRRHRARGERMWKSFFASVVVSAASHTILYILATSLKYEVEGNKADKKDMLFWAIQMIVAPFVSCMAVSMVRMLIIEKEIDLLQFHANEDVNLWFNTLSKAAFFAIKEFPAHGLLLYAHIASFAVEFCSALYLATVRGFWNSDVPDI* >Brasy6G052600.1.p pacid=40050744 transcript=Brasy6G052600.1 locus=Brasy6G052600 ID=Brasy6G052600.1.v1.1 annot-version=v1.1 MGNPNTAGIHVLNLLQLEEDDNGGVRNASGSKDHHVVHIKRDVEEPAAGCCVVCREPLEWVTIGLCGHRAMCAKCMVRARYVDGDRSCRVCGAHCCAVLVTKAQQQAAASSSSQAVYWYHVKTAAYFDDLRQYQLKSRYALI* >Brasy6G052200.1.p pacid=40050745 transcript=Brasy6G052200.1 locus=Brasy6G052200 ID=Brasy6G052200.1.v1.1 annot-version=v1.1 MGLWLLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRVPLIALNVLIIVVKLVSG* >Brasy6G187200.1.p pacid=40050746 transcript=Brasy6G187200.1 locus=Brasy6G187200 ID=Brasy6G187200.1.v1.1 annot-version=v1.1 MPPSKTKSKALLVQRFAGLGSALAGLMVVWSMVRPFLPRSVFKHYLGRFLKRYLRRALGFLDPCLTINIGEYDGGDRMRRGEVYDQTRAYLSDRCSGRARSLWADLASRGSHAFVLTMGDREEVGDEFRGATVWWQHFMYGGRRGGPGGEGDSGQFYELVFHERHRDLIVQSYLPHVCSEGQAIMARNRRRRLYTNSSTGDRHKSSWSCVLFEHPSTFETLAMDPAKKRSIMDDLDAFRDGKEYYTRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRRLFIQTSGKSIIVLEDIDCSADLTGKRKKKSTTPRTPAAGADGVPADKKVTLSGLLNAVDGLWSACGGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGLDEHPLFDDVEQLLQAAKITTADVAEQLMIKCADDDADSCLANLLKALALKGEENKLAETKIIKGKKVSEDE* >Brasy6G239800.1.p pacid=40050747 transcript=Brasy6G239800.1 locus=Brasy6G239800 ID=Brasy6G239800.1.v1.1 annot-version=v1.1 MPGMKDRLVIPLMTLLLFLTPNNRSFASTADGETFIYSGFSGTGIVVDGLATVEPNGLLQLTNGTVQQTGHAFHPTPLQFRKPTGIDSVTSFSASFVFAIQSIAPGVSAHGLTFFVSPTKNLTSAFSNQYLGLFNRWNNGNATNHIFAVELDTVLSKDMMDMDYNHVGVDVDSVVSVKAAAAGYYDDRKGDFYNLALASFDAMQAWVDYDGKSKLITVTLAPLGMARPRKPLLTTTYDLSRVIKDKSYVGFSSSTGILDTHHYVLGWSFGMDGPAPAIDANSLPKLPHLSRAPQSKLLEIVLPIASGVFVFAAMTAVVLLQRRHLLYAELREDWEVEFGPHRLSYKYLFKATEGFKDKHLLGIGGFGRVYKGVLRKSMSPVAVKKVSHESRQGMREFIAEVVSMGRLRHKNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHYNNSPAPNGSRPISGTNNRMASLTLDWAQRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYIAPELARMGRASTLTDVFAFGVFLLEVTCGRRPIEQKEGVDQDSLILLVDWVLQHWRDGSLANMVDQRLMGDYDVDEACLSLKLGLLCSHQLPCARPSMRQVMQYLEGNAPFPEGTLEHIMVNRAPGSEPSVASSPPPSTSFGTISVDLSGGR* >Brasy6G021300.1.p pacid=40050748 transcript=Brasy6G021300.1 locus=Brasy6G021300 ID=Brasy6G021300.1.v1.1 annot-version=v1.1 MARVHSSSSSPSPSSPSAAAMAASGQRRREVFTVWMKSLVLNGRGCTVYDSGGRIVYRVDNYGSRSCHDVCLMDLHGGIVLNILKKKLAFGKWEGYKWSNDRKQQAWFTVARPPLFRRTSHQSSSSPSSSSCEFENEHGHAVRYRIDGGEKRACCKIIDETTGAVVAEVKRKVTAGGVVLGEDVLALVVEPGMDHSLIMGLVLVYWLMNRTM* >Brasy6G254400.1.p pacid=40050749 transcript=Brasy6G254400.1 locus=Brasy6G254400 ID=Brasy6G254400.1.v1.1 annot-version=v1.1 MVSWFLWLSGVQHRRSRKRNTRARKRNTRAAAAVTLEEIRALPPSVTRPSYPRVLLPGARSIKEAQPWNRVICGYLNELTPHLLYNLPAFQCTGCGADPREGDADFGFSFADLDFNNVCPIGHVKQQKPGECIANALVSSVEINDRIMMTILEQPPSKKGPILDIKDLISKYKKKWREEGKPEMKDYNGHSFLTMIRVFQTDGVKEMVVAHEDTTKLHKISDWDWINGDDFVAISSALADGYPLVFGFLVGEKVAYLEPGYSQVLCPW* >Brasy6G254400.2.p pacid=40050750 transcript=Brasy6G254400.2 locus=Brasy6G254400 ID=Brasy6G254400.2.v1.1 annot-version=v1.1 MVSWFLWLSGVQHRRSRKRNTRARKRNTRAAAAVTLEEIRALPPSVTRPSYPRVLLPGARSIKEAQPWNRVICGYLNELTPHLLYNLPAFQCTGCGADPREGDADFGFSFADLDFNNVCPIGHVKQQKPGECIANALVSSVEINDRIMMTILEQPPSKKGPILDIKDLISKYKKKWREEGKPEMKDYNGHSFLTMIRVFQTDGVKEMVCLLESSMLLSESYSI* >Brasy6G257900.1.p pacid=40050751 transcript=Brasy6G257900.1 locus=Brasy6G257900 ID=Brasy6G257900.1.v1.1 annot-version=v1.1 MFVLIILAILGMAEARTSAVGRTVDGSTTTSSQPLNYMISSLETRRSLLGDGPGTSPSNLTLPSTSGCQKICGNLTFDYPFGIGADCFRHPDFSLICNRTTHPPKLFLHDDSTAQVVSNIDTVGISPIDELNIRMNTIDVNFSKTIPMKSDVDVYNMSWTPGNSFSVMGTISLLVIACDLDVYLVHKDPGTNRTLLCKVTCPSIDIAEQVYRRDPDGPGSCLELAQTFANTVELQFVRHRTSRIKAQSNLSILWDEINISFGTVVVWSIPDQVRCSSAMEDSKYACISNHSQCMVPAYVPGYVCRCSIGYEGNPYLLDGCSPDNVYSPRPRKVNCSERCGSINVLFPFGLEEACSARKSFQLNCSDSSTPPILSLNALIDVTYINVSEGLLGIKYKSDVGNMLLDPLMQPSESQEPNLYVDPLESASVRWAVANLTCQDARNNASGYACVSTYSSCLGVISSIEGYVGYRCACLPGFEGNPYIPDGCIDIDDCARTPGLCKGICRNTIGNYSCTKCPDHTEYDITKMQCMPKTKQNLFLGIIIGLSTGFGLLLLSLSAVVLVRKWKRDAEKKLRRKYFRMNQGLLLEQLISSDENASEKTNIFSLEELRKATNNFDTTRILGHGGHGTVYKGILSNQHVVAIKKSRFVRKGEISDFVNEVAILSQINHRNIVKLYGCCLETEVPLLVYDFISNGSLFDVLHAVDSRNTVFSLSWNDCLRIASEAAGALYYLHSAASISIFHRDVKSSNILLDGNLAAKISDFGASRSVPIDQSHLVTNVQGTFGYLDPEYYQTGLLNEKSDVYSFGVVLLELFIRKQPVFSIGSGMEMKENLCSYFLSEIKSREPKEIVAPQVLEEATDQEINRFASLAEMCLRIRGEERPTMKQVETMLQQLRADRMNSSSSQVNPASGQEILQGHHQPLVVNLDERPYITASQQSQNACYSLEQEFLSSASLPR* >Brasy6G196900.1.p pacid=40050752 transcript=Brasy6G196900.1 locus=Brasy6G196900 ID=Brasy6G196900.1.v1.1 annot-version=v1.1 MADVEVDPEVAAGAQPAKKRTFRKFSYRGVDLDALLDMNTDDLVQMFPARARRRFKRGLKRKPMALVKKLRKAKKDAPAGEKPEPVRTHLRNMIIMPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK* >Brasy6G096700.1.p pacid=40050753 transcript=Brasy6G096700.1 locus=Brasy6G096700 ID=Brasy6G096700.1.v1.1 annot-version=v1.1 MSNFNQQQAPVTAYPPPQANYVVAAPPAGQAAYVPTAPAPAPGYPTSYNVAMGAPPQPAQTQSRGDKAFLEGCCAAICCCCLLDMCF* >Brasy6G164100.1.p pacid=40050754 transcript=Brasy6G164100.1 locus=Brasy6G164100 ID=Brasy6G164100.1.v1.1 annot-version=v1.1 MDPAPAPPPPFAVHLVSGGSSSPELSLLLRSLAAARVVALDAEWKPRRRGASAPGPGDGASPALPNPPLFPTVTVLQLACRGEDGCSEVFVVDLLAVPLADLWAPLRQLFERPDALKLGFRFKQDLMYLSATFTAALGSDSRFDRVEAFLDVTNVYYYLMGHDRQKRLPKETKSLATICEELLNVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFHQKVRTEEKCVSTMETSDQHCPQRAIECSSSGYVICSDDYLTSIITMYSDRILLAESDTKARSSRRKQKQKLSTDAKCKEKFDCNTEWQGPPPWDPSVGGDGYPKFLCDVMIEGLAKHLRCVGIDAATPSCKKPQPRELLNQAYKEGRILLTRDIKLLKYQYLATNQVYKVKGLLKHDQLAEVIDTFQLKISEDRLMSRCTKCNGSFIQKPLTLEEAMEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFMSVCNIGE* >Brasy6G164100.3.p pacid=40050755 transcript=Brasy6G164100.3 locus=Brasy6G164100 ID=Brasy6G164100.3.v1.1 annot-version=v1.1 MDPAPAPPPPFAVHLVSGGSSSPELSLLLRSLAAARVVALDAEWKPRRRGASAPGPGDGASPALPNPPLFPTVTVLQLACRGEDGCSEVFVVDLLAVPLADLWAPLRQLFERPDALKLGFRFKQDLMYLSATFTAALGSDSRFDRVEAFLDVTNVYYYLMGHDRQKRLPKETKSLATICEELLNVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFHQKVRTEEKCVSTMETSDQHCPQRAIECSSSGYVICSDDYLTSIITMYSDRILLAESDTKARSSRRKQKQKLSTDAKCKEKFDCNTEWQGPPPWDPSVGGDGYPKFLCDVMIEGLAKHLRCVGIDAATPSWNY* >Brasy6G164100.2.p pacid=40050756 transcript=Brasy6G164100.2 locus=Brasy6G164100 ID=Brasy6G164100.2.v1.1 annot-version=v1.1 MDPAPAPPPPFAVHLVSGGSSSPELSLLLRSLAAARVVALDAEWKPRRRGASAPGPGDGASPALPNPPLFPTVTVLQLACRGEDGCSEVFVVDLLAVPLADLWAPLRQLFERPDALKLGFRFKQDLMYLSATFTAALGSDSRFDRVEAFLDVTNVYYYLMGHDRQKRLPKETKSLATICEELLNVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFHQKVRTEEKCVSTMETSDQHCPQRAIECSSSGYVICSDDYLTSIITMYSDRILLAESDTKARSSRRKQKQKLSTDAKCKEKFDCNTEWQGPPPWDPSVGGDGYPKFLCDVMGIIKSSL* >Brasy6G044700.1.p pacid=40050757 transcript=Brasy6G044700.1 locus=Brasy6G044700 ID=Brasy6G044700.1.v1.1 annot-version=v1.1 MARYINDVREKFDRYDGGYPTASSCGSTGTNQTQHNECFVRRPPPTKKSESERKTPDLRTHAGKKKSESLKHVQSPTPPHLAADPALALLITAPPLLWPSPTAAAEAVPLSPPPPLSFPPPRRLPVTPPAPPLLNALRS* >Brasy6G074400.1.p pacid=40050758 transcript=Brasy6G074400.1 locus=Brasy6G074400 ID=Brasy6G074400.1.v1.1 annot-version=v1.1 MRVHPKFHASSSSSTSSDSSPREEPPNPRFTFPRAGARHRFQPPSSQSSGGVGSWKGTSGAGRRREREMGKIEYMVVARGAVVLVEHNGAGGATNAGAVARQTLERTPTE* >Brasy6G194800.1.p pacid=40050759 transcript=Brasy6G194800.1 locus=Brasy6G194800 ID=Brasy6G194800.1.v1.1 annot-version=v1.1 MWHERPRNPDNPVVYFDIVTKHRQYGRFTMELFFDIAPRTCENFSCSTEFERIDFSPGEISYRYSRFFEVVRGDYIAGGDILRDDGSSDISIYRGDDNGVLFEDENFIGKHTGPGILSMNHTRNSNGSQFRISLSATSYSDNWRVVFGVIFLTPMIMVISGMNVVERIGRSSFLQVYISDCGQI* >Brasy6G128400.1.p pacid=40050760 transcript=Brasy6G128400.1 locus=Brasy6G128400 ID=Brasy6G128400.1.v1.1 annot-version=v1.1 MHRPPLLLPFLAHLELIAQEHHHDAFLALLAQEQLHHALLAQAPGGGDPPRPCTSPTARPSPGPAPPAPLLPHGAAAVLLPVDSSWIGIKLQRNQGGTNLWTARARGGRKNPRRAVGPGRGPESILPTPETQQPDRGSRKQRLLPKPSCSRQGSSSPFPPFPARAKVRGRNVDLVVGFGGAADGEARGCDGEEPAALWSGWGGPGGAAARDGEAGGAGVRMGRPAALRRGMGRPAALRRWMGRPAALRGWMGRPAAQGSGWGGRRRCGAGWGGRRRCGAGWGGRRRCGWGVGKGRQR* >Brasy6G121800.1.p pacid=40050761 transcript=Brasy6G121800.1 locus=Brasy6G121800 ID=Brasy6G121800.1.v1.1 annot-version=v1.1 MPSFSVLLLLACATLASNAAAAVRVGLTRIHADPEVTASEFVRGALRRDMHRHARFIRKELAPMAAGLTVGAPTQKDLRNGGEYIMTLAIGTPPLSYRAIADTGSDLIWTQCAPCGDDTDNSQCFKQSGSRLYDPSSSTTFGVLPCNSSLSMCAAMAGPSPPPGCACMYNQTYGTGWTAGVQSLETFTFGSSSSSSTPAVRVPSIAFGCSNASSNDWNGSAGLVGLGRGSMSLVSQLGAGTFSYCLTPFQDANSTSTLLLGPSAAAALKGTGPVRSTPFVPSPSKAPMSTYYYLNLTGISVGETALAIPPDAFSLRADGSGGLIIDSGTTITTLVDSAYQQVRAAVRSLLVTRLPLAHGPDHSTGLDLCFALTTPPPAMPSMTLHFDGGADMVLPVENYMILGSGVWCLAMRNKTVGAMSTVGNYQQQNIHVLYDVRKETLSFAPAVCSSL* >Brasy6G235000.1.p pacid=40050762 transcript=Brasy6G235000.1 locus=Brasy6G235000 ID=Brasy6G235000.1.v1.1 annot-version=v1.1 MKILSILVFFFVALNAARVESRPNPDDRLRHLFVFGDSFGDNGNTRQPLVDVVLGTDKVTQDTRQWFFPYGSFTDGRQHPTGRFSNYMVQSDLVANIMGLAVAPPAYMLTKKNTWDKSGMTFAVGGANVFHAPTSNKAVPTLRDQVDTFESLIAHGTISRKHVEHSVALIAISGNDYVSVGDAGGMNIGIGAFVKNVSREIVSNVQRLQEMGVAKVLVNNVPPVGCAPSQTTPSGFARCDRGGNNYASVQNRDLKRQLRAMDDVHIIDLNTAFTNIVGGEKTEVSSFFDERLSPCCRSTDPSGYCGQTGDSDSDFRYTLCENADKYFYWDEMNPTQVGWETVMEQLEDPIKEFLKLN* >Brasy6G061900.1.p pacid=40050763 transcript=Brasy6G061900.1 locus=Brasy6G061900 ID=Brasy6G061900.1.v1.1 annot-version=v1.1 MDAQYNPRTVEEVFRDYKGRRNGLARALTVDVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFDVVSGKSKTKAPSNNNHSNSKSKSSNKMQKTSEPRAKQPKPQPKEEDREDEAPDAGQGGGAIAGGGGGDEHGETLCGACGDNYGADEFWIGCDMCERWFHGKCVKITPAKAEHIKQYKCPSCTGTNGSGSNKRARPSS* >Brasy6G061900.2.p pacid=40050764 transcript=Brasy6G061900.2 locus=Brasy6G061900 ID=Brasy6G061900.2.v1.1 annot-version=v1.1 MDAQYNPRTVEEVFRDYKGRRNGLARALTVDVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFDVVSGKSKTKAPSNNNHSNSKSKSSNKMKTSEPRAKQPKPQPKEEDREDEAPDAGQGGGAIAGGGGGDEHGETLCGACGDNYGADEFWIGCDMCERWFHGKCVKITPAKAEHIKQYKCPSCTGTNGSGSNKRARPSS* >Brasy6G099000.1.p pacid=40050765 transcript=Brasy6G099000.1 locus=Brasy6G099000 ID=Brasy6G099000.1.v1.1 annot-version=v1.1 MLENQKVLYTWAPLYVRGHPHADDEQQTQTWDSCCRYQIEQFQGSNTGTRSGGANSLSEFKTARVDKNEFRCKMLILFAISKGQRMQSK* >Brasy6G084600.1.p pacid=40050766 transcript=Brasy6G084600.1 locus=Brasy6G084600 ID=Brasy6G084600.1.v1.1 annot-version=v1.1 MVDKGELRKAGELMGTAAAPDRAEMPPNPTEPAPAKKKRNLPGTPDPDAEVIALSPGTLMATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRALTVPAPPSPRPPDLVEVEENVVKDKEKGEENVDRDKEIEDEEKGGEEDNHISTVAEVDEPQCVEAAATEEPRRSPSPPSPLPQEQQPVVAVVPDVDETEVVAEPIMDVKQEEEDKGDEDVCFQEADQYNGAELEDSNLPDKDNDTPMLPCFLPAPSDAIGTDGSGTSCGSISSASNSIAPATTTSTFAGLFASATTSATPQSRSLRDLIGVDPTFLCLAIGAPSFPQTNASNPGSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLASSSSSTPSRPPQRSIDGSTQCQLPPGRFTENSTHSKLSQGRFMDNSPPSQQHQGRPQGRFSDNSIPSNPPQGMFCHNSPPSNVPQGRFCHNSPPSNLPQGRFLGNSSPSNLPQGRFLGNSPPSDLPQGRFFGNSPPSSLSQGRFVEYSPSSKLMPGQFISNPPLPKPPQGRYIDSSQLPNLPQGRYVDSSPPSKVLHGRFIDNSPPCKQSQGMFGDNSAQQWHHRSNQLMDMEPRPMLSGSLGLGLAYNGANPGLPDLMMGQSPLFGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRNTNGRTIL* >Brasy6G216100.1.p pacid=40050767 transcript=Brasy6G216100.1 locus=Brasy6G216100 ID=Brasy6G216100.1.v1.1 annot-version=v1.1 MEAKKKRAAAAIAALCVLAMLVPASMSADFCHCFRRCYSGPRCPLCDVRCAAGCSPDGDDIDPTPVCRMACGLGSICGISAEAPKNAAGAAACVHDCLDEWARH* >Brasy6G197600.1.p pacid=40050768 transcript=Brasy6G197600.1 locus=Brasy6G197600 ID=Brasy6G197600.1.v1.1 annot-version=v1.1 MCIAVGYRPSCFVFRLSGVVASVATEMASNKVAPASSSTGMDRELWSSDWGWRGGGVVLDAEFCSFLGFFVSGTVGALYEEASGRAPPRGRLGDGVDHARWGPSPAARLSPHRRFRRCGAAVALVCFRCSRSSSAGEGAVVPASVLLRLYSSPACELVVAENEEWSSAVVPSYVSKASPAPGGSGGDGVASNPRSALVLGVRRDPRDPVVISFFCGVCCTFRCG* >Brasy6G166200.1.p pacid=40050769 transcript=Brasy6G166200.1 locus=Brasy6G166200 ID=Brasy6G166200.1.v1.1 annot-version=v1.1 MNVGRFPQGAVSCVAATLLHAAQPKCTDGRDHVEAAAVPDSSSGAAASLEASIKSMFAAMVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDPGLGSLYGPCSPRVRRSLEVQTDTSVTACVSDFRDEAAPAEKFFGSLEFQPMIAAREKVVDLVPRHREHLMVCAVHAPVTILEVEDTDDTQVQPGINNNEATLEQMQSLEVFPSDLVMPDVSAAMGDGAIVASGVADVCTLKESLAKIKRKVPLDTPESERMAHHQKKVARSVEIVAQESLVRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAR* >Brasy6G191600.1.p pacid=40050770 transcript=Brasy6G191600.1 locus=Brasy6G191600 ID=Brasy6G191600.1.v1.1 annot-version=v1.1 MELQFQQQQPTAGAKGRRKSSSKCKFVGVRQRPSGRWVAEIKDTTAHKIRVWLGTFETAEDAARAYDEAACLLRGSNTRTNFAAVSPSPAASPRQQPDSPLASRIRTLLTHKKIKKHAAPPPQLSMAHGYRHAGPIVADAAGNNVGAGSSASSSSSISFAMSSNNHQQRVADKSYMAYQLIDRFSHQPWPAALSASVSPVAAGRETVRVGKQGDSASASPGESGVVREQDDGFDIGTDPCGSLWDLPPICQLSCRSLMY* >Brasy6G115400.1.p pacid=40050771 transcript=Brasy6G115400.1 locus=Brasy6G115400 ID=Brasy6G115400.1.v1.1 annot-version=v1.1 MPDCQSSPSSSSSSSPPARAPPPIPPSDRFRRPGDPPPRSRPPAGVAPSQPSLAQPRRYPDAAVRGLAVAARILVWWLRRRENPGRWEVAVGKKRAYGEIRGREKEEGR* >Brasy6G049000.1.p pacid=40050772 transcript=Brasy6G049000.1 locus=Brasy6G049000 ID=Brasy6G049000.1.v1.1 annot-version=v1.1 MAELATNGHAVVDINNTAAAAEGAKRTEQQPASPDKPCHLHVQSSPAEDECAVCMEPLEFVAIGPCGHISVCSKCALRIRIPSLGNNRRECCICREPCPVVVVTRAGVTGKKPAAAVHAKLPALGGYHGPVGEYWYHGASAAYFDDERQYQAACSEAAARAAAAALGDGNDDGGGVVVVQAASSLLSPFVGIALCGLVIVAVLFLIPICMKKAGIGYYSSRP* >Brasy6G150600.1.p pacid=40050773 transcript=Brasy6G150600.1 locus=Brasy6G150600 ID=Brasy6G150600.1.v1.1 annot-version=v1.1 MTCRMAAGKQKKRLINSTYSEQYRPGKKPRLHSSNCLISLKSQIGLKWDGCQKKVVPKKEQVGILWSDLAPFIESSPKHHSALADVTYVPPETFSLENLRGVLSYEVWSTCLTEAERNFLIQFLPSEIDAEENVHSLLAGENHHFRNPCLSWSSSICCGDTHPDAVLNKEKKIRAEEKEHRINLHNYHSNMVETLKKWRKRWLSCDDPENLFRFYHAKQKQEYTRSGGTKSDFPLKVTYSTDVSKFMSYIEISGTQHNLVKGLKQSGDGIQTKHLTRVIGDLDKFHVKPYSTLMEDEQRRLHEHWLNVCNEIPAAFEVLQDRKMLIEKLRKLLGLELGEKNVPVMRKTDQLAYRTKELGKDGVCRNDTSLGLQNGKVELLAQDVMHSGDDHIPSLEDRDDGKTNYMETSINHHENLNVKDEDIMLVDGTDITSQSEKSVVQDQDQKDISCAYTGITFSANNSGEQNEDPMDLKFSNDDPDVLVEDTKNISYTDTAVNSDILESKQIKPISYTSRHVQTLDSHNMQAEDLEGFAYSGPSIHVHEQDQGLQSISNTIMNGVGRCVNNVPSEKCHPEMNAVIVDQEQDENIRIMPLNSSSVLSVSSREQVFVDDFLDPNDQAGKDEKYVWHLSGTLDSLYQPAENRMYSDTGGSQIKHGHLSAVQHDSAIYLQNDVLRRQQAQVTVASVLPVDNSASFMQPCSNRQSNSQLQIIAEDNGMLPYSHERRNAPKQSTDLRSAINNRLAQFTSFSTAMEGHQLISGSHNGRYMQQIQKNLYPGVRFPTKVNSPIVEQQSFAACRSLDCRYNLFPVDQPHNLSGLGSNNCLTQALPGGSNIDGSLFSALAQYKQPLAHLQSGGLSSSQLPEIRNQDDPAQSFVPTHAMNSAVPNTYAYSQTLPTNQSTQVASLGSLNSMGWTNSIQQNPGMPNFMNRQFRGPWTR* >Brasy6G195900.1.p pacid=40050774 transcript=Brasy6G195900.1 locus=Brasy6G195900 ID=Brasy6G195900.1.v1.1 annot-version=v1.1 MAAPRPPVLLLLLALLVVACRGDASGEGPRGRKVLVLVDDLAVRSSHSSFFESLQARGLDLEFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYASTEVEGDHTLITGDDLIESDVILGSKKIEAPVLFRGIGHAANPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSSVKKAGSKLSHEKAGNEQFVTETSKWVFHERGHLKAVNVRHHKIGETNEPSMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKNMSTDKKGVYSSSFKVPDVYGVFQFKVEYQKLGYTGLSLAKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSIAYLYHK* >Brasy6G040700.1.p pacid=40050775 transcript=Brasy6G040700.1 locus=Brasy6G040700 ID=Brasy6G040700.1.v1.1 annot-version=v1.1 MSFFFRAASRPRSSQNELVRSIKDSLLALDTKTGAKALEDVEKNIFTLRQTLSGDGEVEPNQEQVLQIALEICKEGILSLFVQNLPSLGWEGRKDLVHCWGVLLRQKVDESHCCVQYIENHVDLLDFLVVCYKNLEVALNCGNMLRECIKYPALAKYILKSSSFELFFQYVELPNFDIASDALNTFKDLLTRHEDAVSEFLSSHYEQFFELYTRILTSNNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVRYLNIMIGLLKDSSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLTDLPAGKGEDDQLDEERDLIIKEIQKLVRSSV* >Brasy6G089900.1.p pacid=40050776 transcript=Brasy6G089900.1 locus=Brasy6G089900 ID=Brasy6G089900.1.v1.1 annot-version=v1.1 MWTPARSVKGEAKDGALITIKVQDLNRCRVHYTMRMTDQLQSLFDFYYQSMPGVDRNTGRFFVDGKRMKGWQTPADFNMEDGDEVDFFVELLGGAPRAA* >Brasy6G178100.1.p pacid=40050777 transcript=Brasy6G178100.1 locus=Brasy6G178100 ID=Brasy6G178100.1.v1.1 annot-version=v1.1 MSSGKQQEGRPLSSKVMSSKDQSGAAVANNGSFRVYYSLGAAGAVPFVWETKPGTPKSTVVPAARADDGYDAPPMAMMISPPPSYHSKARKGYTTKRRATAKSSSSYWPSRWLLPGWMDRWLGVRSRLWPSSSSADRDRWLAPVDSGKDNVQGRRPRRCAVLMCF* >Brasy6G165700.1.p pacid=40050778 transcript=Brasy6G165700.1 locus=Brasy6G165700 ID=Brasy6G165700.1.v1.1 annot-version=v1.1 MENLYLRQRFIGKNKKKQPPRTGRRRVPEAAAVARTRAGCCCCPRSRSLPPLAPPDLAAHGRVPLPLLLVSTRRRRTHAAAAARRDREREREEKEQEEGLRVSPSPPASATAGEPAAAAACPLPPASAAAGEPAAAAACPATAAHAASRRRRLTHCPPPSLLLLRGRRGGRRRERRERREGGRRESSA* >Brasy6G245500.1.p pacid=40050779 transcript=Brasy6G245500.1 locus=Brasy6G245500 ID=Brasy6G245500.1.v1.1 annot-version=v1.1 MNSLLRRALAASRSAAQPRRTFLGAALLSPPPASSPDSPCRASAPPPPLEPPRRRAFHASPRPLGFRATTPASCPGTITEAGAGEDGLEVAKLGISPRIVERLAARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGTGRNPLAIILAPTRELARQVEKEFKESAPLDTLCVYGGVPINQQMRTLNYGVDVVVGTPGRIIDLLRRGNLNLSEIQFVVLDEADQMLAVGFDEDVEVIMEKLPQNRQSMLFSATMPSWIRKLSNQYLKDPVIIDLVGDSDQKLPEEISLYSIASENYGKPSILGTLIKEHANGGKCIVFTQTKREADRLAYSMGRSYACQALHGDITQNQRERTLSGFREGRFNILVATDVAARGLDIPNVDLVVHYEIPNSSELFVHRSGRTARAGKKGIAILMYTYEQSRAVRVIERDIGCRFTELPKISGTDEAADLFNVTRDHRSRSAGTRRTDGSFFGRESYGGFGGRPSRGFGDPDGFGGAPDGAGWSRDTGSRSRAGFGDSRRPSRPSDGSFGRSSFNRSGGFSEFGEGNFGRNDNPDFRRPRSGDDSGSSRFGRSSSGFGASDFGKPGVFKD* >Brasy6G214700.1.p pacid=40050780 transcript=Brasy6G214700.1 locus=Brasy6G214700 ID=Brasy6G214700.1.v1.1 annot-version=v1.1 MESKSMGINYVTGKRRDNKSRSCHGLEDTAVALLPNVPHDVNDHPIEILSSFFVNRLGGLNPLGTSVPVVRRLDLLKDVEVVVVKDFFPHLAGKGASKEKVCPGLMRISAKGTSRSVWPAPDGEIVGGEDLVLHKKPCEEFALRVGHGWSRGIEPCTPSWRYRNHARSNTK* >Brasy6G262400.1.p pacid=40050781 transcript=Brasy6G262400.1 locus=Brasy6G262400 ID=Brasy6G262400.1.v1.1 annot-version=v1.1 MDRKKQSSAKGRRAHSRRSPLRVSSRSGPGKPGSSRASSGGRRARGPPFEIPCAPPPVAPAPERQPALATGYGGGERPRTRSSYSPGSDSDEFEALLVGGEAAADGDEKEQHISDYLAGFLSDGEYSIPMDGGKEEDGLIDYAGKAVFTVRDHVAEGYKEYPTVPCSSSWVMLTKNRSTTLSSERLSATATARRRRSTAARMMGTMARTATAWIRQRSTAAGMMGTMVRTMAAAVTGTIGGMTATGNLKIVDFGIARVEAENPKDMTGTTGTPGYMAPEGLRPQIPYCCPAPMANIMRRCRDANPDKRPHMEEVVRLLEGLDTSKGGGMIPVPDEVQSPGCLCFFEPRRGR* >Brasy6G018500.1.p pacid=40050782 transcript=Brasy6G018500.1 locus=Brasy6G018500 ID=Brasy6G018500.1.v1.1 annot-version=v1.1 MYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPKDYAPAAAAPAPAPTAAAPKKAKK* >Brasy6G073100.1.p pacid=40050783 transcript=Brasy6G073100.1 locus=Brasy6G073100 ID=Brasy6G073100.1.v1.1 annot-version=v1.1 MYSSPSRFAASLSLIISAPPSPPALPLPVCRDPSKPAAGVLEAKVAMSDSEEHHFESKADAGASKTYPMQAGAIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTDNGNTKDDLKLPTDENILRQITEGFGEGKDLVLTVMSAMGEEQICALKDVGPR* >Brasy6G073100.2.p pacid=40050784 transcript=Brasy6G073100.2 locus=Brasy6G073100 ID=Brasy6G073100.2.v1.1 annot-version=v1.1 MYSSPSRFAASLSLIISAPPSPPALPLPVCRDPSKPAGVLEAKVAMSDSEEHHFESKADAGASKTYPMQAGAIRKNGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGYVSLLTDNGNTKDDLKLPTDENILRQITEGFGEGKDLVLTVMSAMGEEQICALKDVGPR* >Brasy6G080800.1.p pacid=40050785 transcript=Brasy6G080800.1 locus=Brasy6G080800 ID=Brasy6G080800.1.v1.1 annot-version=v1.1 MAPLLTSALVCVVLLVASTLQPSNGRVPEVRPVYPAAPPQASTDDFDAGSAPPGGEERVQYLRGCRRRGGHRKISTCLRRCRRVHRSELWYSRPTAGASTPKGAGRRPASKSSTAPSSLLAGHSALSLKLAVI* >Brasy6G261200.1.p pacid=40050786 transcript=Brasy6G261200.1 locus=Brasy6G261200 ID=Brasy6G261200.1.v1.1 annot-version=v1.1 MATALFPPAIARDAGGGHNHHGSLTADRAVSLLTSCSTARRAAELHAAVLRAGLLDDRAVAFRLQRAYAASGRLDLTLALLRRNRDPTAIFYTSAIHAHSSRGYRLPALELLSDMLAQGLLPTAHTLSASLPACRGLSPGRALHAYAFKLALAGDSYVATALLGMYARAGDAAAARALFDDMLPDPHVVSVTAMLTCYADMGALDDARSLFDGLPRKDSICWNAMIDGYAQHGRPNEALRLFRRMLGSGVEPDEVTVVLVLSAVAQFGTVESGKWLHSYVNNSRRVRLSVRVGTALIDMYCKCGSLGDAVSVFNGIGGKDIVVWNAMINGYAMHGDSKKALEMFVQLREQGLWPTDITFIGLLNACSHSGLVEEGREFFQSMEREYGIDPKIEHYGCMVDLLGRAGLIEEAFRLVQSMTITPDAVMWVSLLAACRLHKNMSLGQQIADYLVAKGLANSGMYILLSNLYAAVGNWGEVARVRSMMKASGIQKEPGCSAIEIDSEVYEFVAGDMSHPRTDEIYAMLDKMNGLVKEHGHVPQTELVLHDLDEAMKEKALAVHSEKLALAFGLISTQPGATIKIVKNLRACSDCHAVLKLISRITERKIVFRDRNRFHHFVDGSCSCGDYW* >Brasy6G261200.3.p pacid=40050787 transcript=Brasy6G261200.3 locus=Brasy6G261200 ID=Brasy6G261200.3.v1.1 annot-version=v1.1 MATALFPPAIARDAGGGHNHHGSLTADRAVSLLTSCSTARRAAELHAAVLRAGLLDDRAVAFRLQRAYAASGRLDLTLALLRRNRDPTAIFYTSAIHAHSSRGYRLPALELLSDMLAQGLLPTAHTLSASLPACRGLSPGRALHAYAFKLALAGDSYVATALLGMYARAGDAAAARALFDDMLPDPHVVSVTAMLTCYADMGALDDARSLFDGLPRKDSICWNAMIDGYAQHGRPNEALRLFRRMLGSGVEPDEVTVVLVLSAVAQFGTVESGKWLHSYVNNSRRVRLSVRVGTALIDMYCKCGSLGDAVSVFNGIGGKDIVVWNAMINGYAMHGDSKKALEMFVQLREQGLWPTDITFIGLLNACSHSGLVEEGREFFQSMEREYGIDPKIEHYGCMVDLLGRAGLIEEAFRLVQSMTITPDAVMWVSLLAACRLHKNMSLGQQIADYLVAKGLANSGMYILLSNLYAAVGNWGEVARVRSMMKASGIQKEPGCSAIEIDSEVYEFVAGDMSHPRTDEIYAMLDKMNGLVKEHGHVPQTELVLHDLDEAMKEKALAVHSEKLALAFGLISTQPGATIKIVKNLRACSDCHAVLKLISRITERKIVFRDRNRFHHFVDGSCSCGDYW* >Brasy6G261200.2.p pacid=40050788 transcript=Brasy6G261200.2 locus=Brasy6G261200 ID=Brasy6G261200.2.v1.1 annot-version=v1.1 MLAQGLLPTAHTLSASLPACRGLSPGRALHAYAFKLALAGDSYVATALLGMYARAGDAAAARALFDDMLPDPHVVSVTAMLTCYADMGALDDARSLFDGLPRKDSICWNAMIDGYAQHGRPNEALRLFRRMLGSGVEPDEVTVVLVLSAVAQFGTVESGKWLHSYVNNSRRVRLSVRVGTALIDMYCKCGSLGDAVSVFNGIGGKDIVVWNAMINGYAMHGDSKKALEMFVQLREQGLWPTDITFIGLLNACSHSGLVEEGREFFQSMEREYGIDPKIEHYGCMVDLLGRAGLIEEAFRLVQSMTITPDAVMWVSLLAACRLHKNMSLGQQIADYLVAKGLANSGMYILLSNLYAAVGNWGEVARVRSMMKASGIQKEPGCSAIEIDSEVYEFVAGDMSHPRTDEIYAMLDKMNGLVKEHGHVPQTELVLHDLDEAMKEKALAVHSEKLALAFGLISTQPGATIKIVKNLRACSDCHAVLKLISRITERKIVFRDRNRFHHFVDGSCSCGDYC* >Brasy6G017500.1.p pacid=40050789 transcript=Brasy6G017500.1 locus=Brasy6G017500 ID=Brasy6G017500.1.v1.1 annot-version=v1.1 MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPKDYAPAAAAPAPTAAAPKKAKK* >Brasy6G227000.1.p pacid=40050790 transcript=Brasy6G227000.1 locus=Brasy6G227000 ID=Brasy6G227000.1.v1.1 annot-version=v1.1 MRSGGGNNPSPAGHGVFGSSGAGGFGYGVGVSIGILLLLAIIASAAFYFCCARAEPTPAANTGIGTGAPRGGGVDEATLEAYPAMAYGELLKKKQRQEEELQEACCPVCLESYGEGEVVRELPECGHVFHRECVDPWLRRRATCPVCRTSPLPSPLPTPLAEVTPVALARPPSS* >Brasy6G166400.1.p pacid=40050791 transcript=Brasy6G166400.1 locus=Brasy6G166400 ID=Brasy6G166400.1.v1.1 annot-version=v1.1 MEKLQPQETPDGGADVWQQEAPSPIGSELWKDVNPPSPMSAWLDLDGQEEPTEVGHSSMPMGIEDHSLCRKRKEPEPASSWDQVGHFGVNHGASGSGNNLNNPKTAPEGRGDSPLRKKTAPERERSFSFLAVPSAKSISVPKRPSKVLKTTKGIRNFFAFIGTNVPDEPLESISPARNDRISPARTSDHISPARNGQIIGGSSRNQGLQLETGMCIYRAVPQTMYSLRPKISGMR* >Brasy6G161000.1.p pacid=40050792 transcript=Brasy6G161000.1 locus=Brasy6G161000 ID=Brasy6G161000.1.v1.1 annot-version=v1.1 MEAELCVLRSKVNTLRHRWELERKLPILYEAHELSDLVSWTTHRVIPFNDYLCWVDYRIGGILFCKVFQRRARISYLRLSVRNCSSYLRPLFEANRSVCITRGTMGCDELKFVDIARKDADRYHLPRFEDTLRPDDFSISYHALKTTESGAVEWDMVFFVTCYELWDLNPTLPREALTYPLVSMDKPSVVHFLLSERQERSFDKVSVVTIDMSTNMVKSIQPYIGKGDLWAQDIDMIQHNSGYLQTFLPSELPKFLNLNRSTGVLKKTP* >Brasy6G161000.2.p pacid=40050793 transcript=Brasy6G161000.2 locus=Brasy6G161000 ID=Brasy6G161000.2.v1.1 annot-version=v1.1 MEAELCVLRSKVNTLRHRWELERKLPILYEAHELSDLVSWTTHRVIPFNDYLCWVDYRIGGILFCKVFQRRARISYLRLSVRNCSSYLRPLFEANRSVCITRGTMGCDELKFVDIARKDADRYHLPRFEDTLRPDDFSISYHALKTTESGAVEWDMVFFVTCYELWDLNPTLPREALTYPLVSMDKPSVVHFLLSERQERSFDKVSVVTIDMSTNMVKSIQPYIGKGDLWAQDIDMIQHNSGYLQTFLPSELPKFLNLNRSTGVLKKTP* >Brasy6G161000.3.p pacid=40050794 transcript=Brasy6G161000.3 locus=Brasy6G161000 ID=Brasy6G161000.3.v1.1 annot-version=v1.1 MEAELCVLRSKVNTLRHRWELERKLPILYEAHELSDLVSWTTHRVIPFNDYLCWVDYRIGGILFCKVFQRRARISYLRLSVRNCSSYLRPLFEANRSVCITRGTMGCDELKFVDIARKDADRYHLPRFEDTLRPDDFSISYHALKTTESGAVEWDMVFFVTCYELWDLNPTLPREALTYPLVSMDKPSVVHFLLSERQERSFDKVSVVTIDMSTNMVKSIQPYIGKGDLWAQDIDMIQHNSGYLQTFLPSELPKFLNLNSLMLI* >Brasy6G161000.4.p pacid=40050795 transcript=Brasy6G161000.4 locus=Brasy6G161000 ID=Brasy6G161000.4.v1.1 annot-version=v1.1 MEAELCVLRSKVNTLRHRWELERKLPILYEAHELSDLVSWTTHRVIPFNDYLCWVDYRIGGILFCKVFQRRARISYLRLSVRNCSSYLRPLFEANRSVCITRGTMGCDELKFVDIARKDADRYHLPRFEDTLRPDDFSISYHALKTTESGAVEWDMVFFVTCYELWDLNPTLPREALTYPLVSMDKPSVVHFLLSERQERSFDKVSVVTIDMSTNMVKSIQPYIGKGDLWAQDIDMIQHNSGYLQTFLPSELPKFLNLNSLMLI* >Brasy6G164000.1.p pacid=40050796 transcript=Brasy6G164000.1 locus=Brasy6G164000 ID=Brasy6G164000.1.v1.1 annot-version=v1.1 MGRRGASYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGAAWTAVNLGHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYNQPMLFLNTVAVLVLVVAKLPNMHKVRIFGINADI* >Brasy6G113200.1.p pacid=40050797 transcript=Brasy6G113200.1 locus=Brasy6G113200 ID=Brasy6G113200.1.v1.1 annot-version=v1.1 MEGVLNRKIESVRKQGYLYCASSNEMPSSLAFHPSSQTPGIVAFHLVYELGRYLLICSCLLILSRGRFVRWFSG* >Brasy6G090200.1.p pacid=40050798 transcript=Brasy6G090200.1 locus=Brasy6G090200 ID=Brasy6G090200.1.v1.1 annot-version=v1.1 MSAAVRCKEEKEEQEEDRKPVIKPGVHVTLKVQDTDGRSVYHTMRRTEKLQGLMDLYYARVAPAVAYGTGRFLYDGGRLGGAQTPAELEMEDGDEVDFFSELLGGSAGWVL* >Brasy6G258300.1.p pacid=40050799 transcript=Brasy6G258300.1 locus=Brasy6G258300 ID=Brasy6G258300.1.v1.1 annot-version=v1.1 MQEQKLLADDVFSFWLNREADQKLLVDDVFSFWLNCEADASSGCELGFGGVDSNHYKGNHTYVPVRCRGYSCSGEPCNRNGIESVVGKENVGSDVICTACEMAVVWIENQLRENKTKELIVQYVNQFCDTMRNSAWSPPTSCSPAWTLCLGK* >Brasy6G155900.1.p pacid=40050800 transcript=Brasy6G155900.1 locus=Brasy6G155900 ID=Brasy6G155900.1.v1.1 annot-version=v1.1 MDRRPPLAVSPRRLRPRPGRAATGRPPVASSVHLTPPGLSKKTQTPMRSSFCALPPSSYLEPSPRAKLDFNFAPTPTRAAGKENLHIPDDDVVDFNLADAGMEDWKATVEPTSPLFERGRLYDLYSARRNERLKRKHGWYSAGEEEAGEIAQDPCVAVELSKRRGAKKTGAESVVRRSMPAAEFSMSYRASSSLSTTRSSLRSSKEMKKPSAASSCATSAKPSAVKDRRFSTRSSVHRI* >Brasy6G154700.1.p pacid=40050801 transcript=Brasy6G154700.1 locus=Brasy6G154700 ID=Brasy6G154700.1.v1.1 annot-version=v1.1 MANRGGRGGHDGGQYYGGRGGGGGQYYGGRGGGGGGNVEGGDGRGRGYYRGGGDGGGRRGRGYYQGEGDVRGYYQGRAGDGGRGNQGRGYHGGDEGDTRGRGRGYQGGGDVRGRGRGYDGGGDRGRGRGRGYQQGGNDYGRGRGGYQQQGGDNYGGGRGGRGGGGYPDWPQPVGPPLAERYATEAAQLREKFKVMDISRAEPTFPARPGFGSAGKACIVKANHFFIGLVDKGLHQYDVTVSPEPTLTGVYRAVMSRLVSEHQHTSLGGRLPAYDGRKTLYTAGELPFSRKEFEVILSDNKTGSSGHREKKYMVAIKHVTLVSLQQLQMLMAGYSTDIPAQALQVLDIVLRDMILNERSDMGYVVVGRSFFSSSIVGSEPSHLGLGVEGWKGFYQSIRPTQSGLSLNIDMSTTAFVKAQSVIKSVQDILKKPDLRHVTGPDCQKIKKALKGVRVEVTHRGDVRRKYRISGLAGTARDLRFQSSTGVSKTVMDYFRETYKLQLRYDFLPCLDVGTTQKPIYLPMEVCNIVPGQRYQKKLDENQVSNMMQITCQQPLQREGFIRKTVGSNNYNNTKRANEFGIEVDYEPTSVQARVLPAPMLKYHPSGSDNTCNPSYGAWNMRGKKVVNGAKVVNWLCINFCRDLSDTDVDRFCNGLSNMCRSTGLSVNVNGLKLFRPLSPGVQDNIRNFCEQTRQMSGDQKIDLLLALLPDKNEGLYGEIKRICETDIGVMSQCCLRKNVLKSSPQFFANVALKINAKCGGRNSVFANIRASLPVVSDKPTIIFGADVTHPSALDDSAPSIASVVASKDWPEVTKYHGVVHAQGHREELIQGLEGIVMELLRSFEKESERRPEQLIFYRDGVSEGQFKQVLEKEIPEIEKAWKAIYNEKPCPITFIVVQKRHHTRLFPNNHSDMSSKDNSGNVLPGTVVDRQVCHPTEFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADALQSLTNNLCYTYASCTRSVSIAPPVYYAHKLAFRARFYQTQGVDVVSEASSGSTTQPGVIKALPEIKDEVKRLMFYC* >Brasy6G013200.1.p pacid=40050802 transcript=Brasy6G013200.1 locus=Brasy6G013200 ID=Brasy6G013200.1.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLEAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy6G013200.2.p pacid=40050803 transcript=Brasy6G013200.2 locus=Brasy6G013200 ID=Brasy6G013200.2.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLEAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy6G013200.3.p pacid=40050804 transcript=Brasy6G013200.3 locus=Brasy6G013200 ID=Brasy6G013200.3.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLEAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy6G013200.8.p pacid=40050805 transcript=Brasy6G013200.8 locus=Brasy6G013200 ID=Brasy6G013200.8.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLEAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy6G013200.4.p pacid=40050806 transcript=Brasy6G013200.4 locus=Brasy6G013200 ID=Brasy6G013200.4.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G013200.6.p pacid=40050807 transcript=Brasy6G013200.6 locus=Brasy6G013200 ID=Brasy6G013200.6.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G013200.9.p pacid=40050808 transcript=Brasy6G013200.9 locus=Brasy6G013200 ID=Brasy6G013200.9.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G013200.7.p pacid=40050809 transcript=Brasy6G013200.7 locus=Brasy6G013200 ID=Brasy6G013200.7.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G013200.5.p pacid=40050810 transcript=Brasy6G013200.5 locus=Brasy6G013200 ID=Brasy6G013200.5.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G013200.10.p pacid=40050811 transcript=Brasy6G013200.10 locus=Brasy6G013200 ID=Brasy6G013200.10.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPHSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILELVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELAQIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFRENARSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGASFPTGLLSLFM* >Brasy6G197900.1.p pacid=40050812 transcript=Brasy6G197900.1 locus=Brasy6G197900 ID=Brasy6G197900.1.v1.1 annot-version=v1.1 MTPPPPQRSDPSPPALFDELTDEILLRVPPDKPECLLRASLVCEPWNLLLSDRAFLRRYREFHRAPPLLGFFDNCSGGARFVPTTSFRLPDSDLASCRALDCRHGRTLLYDGFPRYSLLVWDPMTGEKQHVPFPDIPDSCYNAAVFCATPDCDHLSCHGGPFLIAFVATDAQEEGASACLYSSETGVWSAPSDFPFGDSYLDRRPAVQVGDALYFTCDSSEIILRYDLGGDRPLSMIYPPDIYEDGIALMPVEDGALGLAGLDTVNLHLWSLKSSGRVPGWEKDRVIKLKLLPIGHPRDSVYHLIGIAKGRTSDIIFVTTDVGVFMIELKSGRATKVYG* >Brasy6G243100.1.p pacid=40050813 transcript=Brasy6G243100.1 locus=Brasy6G243100 ID=Brasy6G243100.1.v1.1 annot-version=v1.1 MDQVSSLLLSILVPCMHARKSPPKEDYIPFHPLTTQINHLCSTIIDILHTFPQKKIIDILHTYINLLLIC* >Brasy6G224400.1.p pacid=40050814 transcript=Brasy6G224400.1 locus=Brasy6G224400 ID=Brasy6G224400.1.v1.1 annot-version=v1.1 MASSSVSGERDAAAAARSNVSGEEAAGARAGTEGGGGGGSRRCRGRRRLGLAPARWEEEAAAEGGRGAGQCRSWKSRIRPTRHSTGASPALGFSLPAGRRARSEGQNLGDAIGDALKWDVCLSIVRALAHDLLLLARALPPEFDFLRSPRCSPLCNSHTPSSPHGWIADAKSSRPLSGPRRLPAPWPPWTARREARHLLLSTPAPRTSLPRTSPTHLLPSQRDASGRSILNQAALVELGAVCALFASWWPTGRAASPSSPMDRRSAFVAVVAGRLPLALRLWLSDRNGGMDERGLQRRQELEGGGLDVNRYQLQSPARWFLKAAVA* >Brasy6G065600.1.p pacid=40050815 transcript=Brasy6G065600.1 locus=Brasy6G065600 ID=Brasy6G065600.1.v1.1 annot-version=v1.1 MWRRRLLRGLLPSPIAAGAGAAPCPSIRHLSTTPTTRSTTSLASSLAAAITKLSSTPPPATSPDAYFSLHFSDVRPTNALLAETLSLSPPATSRAAADLFRFLVRRSLHPSDGALALVVRHLARRRDFPAVRTLLQEFPSALGPATLHAYLFHLARAGRATDALKLFDDLPEQLRNREALTSLVSSLSGEGFPQLAERAVKKVAHEIFPDDNICTLLVSGYADAGKLDHALRLIGETRNGGFQPGLDAYNAVLDCVCRLCRKKDPLRMPVEAEKFLGDMEANGIPRDAGTFRVLIKNLCKIRMTESAMNLFQRMGEWGCSPDADTYLILIKSLYQAARLSEGDEMMTWMRSAGFGDKLDRKAYYGFVKVLCGIERVEHAMKVFRMMKGYGHAPGTKSYSLLIEKLARHNLGDRSNALFREAVARGVTVTPGVYKIDKRYVKEKKEKKVKKRLTLPEKKILKSKRLYKLKMSFVKKPRRRMRA* >Brasy6G044000.1.p pacid=40050816 transcript=Brasy6G044000.1 locus=Brasy6G044000 ID=Brasy6G044000.1.v1.1 annot-version=v1.1 MTRASTIDFGRKTQSQVNWSGPLRPVNVIRNKFPTYKNGANGIVIKLADDPEMPSLKETVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERNILLKNLMSVLESLRDRVAGKYKDEIEESVSMVDILAVQLSKREDELLQQKTEVTRIASSLKLASEDARRIVDDERANARTEIENARAAVQRVQQVLKEKEKHSHTIGKQDMDELREKGQEARRVKMLHCPSKAMDIKNEIHVLCGQFAEISASSAHLLKDLKLHQIKEKDVPSYELEGLESLGSMLRVVVRYDVPLPNSSVQWFRIQHKGSKKELISGATKLVYAPEPHDVGRYLQAEINLGGEVSVAKTTGPVDPAAGLVDYVETLVRNPETEYNVIVLQVNGVNQSTDSIHVLCIGKLRMRLAKGTTVVAKEFYSPSMQLCGVRGGGDAAPQAMFWQPCEDLSLVLGFETARERNSAIMLARRFAIDCNIVLVGPGDKTLW* >Brasy6G044000.2.p pacid=40050817 transcript=Brasy6G044000.2 locus=Brasy6G044000 ID=Brasy6G044000.2.v1.1 annot-version=v1.1 MTRASTIDFGRKTQSQVNWSGPLRPVNVIRNKFPTYKNGANGIVIKLADDPEMPSLKETVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERNILLKNLMSVLESLRDRVAGKYKDEIEESVSMASEDARRIVDDERANARTEIENARAAVQRVQQVLKEKEKHSHTIGKQDMDELREKGQEARRVKMLHCPSKAMDIKNEIHVLCGQFAEISASSAHLLKDLKLHQIKEKDVPSYELEGLESLGSMLRVVVRYDVPLPNSSVQWFRIQHKGSKKELISGATKLVYAPEPHDVGRYLQAEINLGGEVSVAKTTGPVDPAAGLVDYVETLVRNPETEYNVIVLQVNGVNQSTDSIHVLCIGKLRMRLAKGTTVVAKEFYSPSMQLCGVRGGGDAAPQAMFWQPCEDLSLVLGFETARERNSAIMLARRFAIDCNIVLVGPGDKTLW* >Brasy6G044000.3.p pacid=40050818 transcript=Brasy6G044000.3 locus=Brasy6G044000 ID=Brasy6G044000.3.v1.1 annot-version=v1.1 MTRASTIDFGRKTQSQVNWSGPLRPVNVIRNKFPTYKNGANGIVIKLADDPEMPSLKETVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERNILLKNLMSVLESLRDRVAGKYKDEIEESVSMVDILAVQLSKREDELLQQKTEVTRIASSLKLASEDARRIVDDERANARTEIENARAAVQRVQQVLKEKEKHSHTIGKQDMDELREKGQEARRVKMLHCPSKAMDIKNEIHVLCGQFAEISASSAHLLKDLKLHQIKEKDVPSYELEGLESLGSMLRVVVRYDVPLPNSSVQWFRIQHKGSKKELISGATKLVYAPEPHDVGRYLQAEINLGGEVSVAKTTGPVDPAAGLVDYVETLVRNPETEYNGLPFAMSYVVLNLTVYSQYFGSLSWPITL* >Brasy6G044000.4.p pacid=40050819 transcript=Brasy6G044000.4 locus=Brasy6G044000 ID=Brasy6G044000.4.v1.1 annot-version=v1.1 MTRASTIDFGRKTQSQVNWSGPLRPVNVIRNKFPTYKNGANGIVIKLADDPEMPSLKETVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERNILLKNLMSVLESLRDRVAGKYKDEIEESVSMASEDARRIVDDERANARTEIENARAAVQRVQQVLKEKEKHSHTIGKQDMDELREKGQEARRVKMLHCPSKAMDIKNEIHVLCGQFAEISASSAHLLKDLKLHQIKEKDVPSYELEGLESLGSMLRVVVRYDVPLPNSSVQWFRIQHKGSKKELISGATKLVYAPEPHDVGRYLQAEINLGGEVSVAKTTGPVDPAAGLVDYVETLVRNPETEYNGLPFAMSYVVLNLTVYSQYFGSLSWPITL* >Brasy6G159400.1.p pacid=40050820 transcript=Brasy6G159400.1 locus=Brasy6G159400 ID=Brasy6G159400.1.v1.1 annot-version=v1.1 MLHGMKMTSPAIASAMPNLAPGFIFAVAGCLRFERVDFRCHYTRAKILGTLLCLGGAITMSIPQSPAAPSGRRSTDLKSHRDWVIGCLCLLGAVLVLSATIILQAATMIHFPAPFTLCSVTSLIGAVLTGIFQVATTGRFSPGTPQISIQIILSLVFVGGLVSSVCIMFQTWALEKKGPVVVSLFSPMQTVGSAIFSALFLGREVQPASMLGLVFLFSGLYAVLWAKKKEGQVLPTHRVVAEGTAADIEKPLLLPDSFLL* >Brasy6G066100.1.p pacid=40050821 transcript=Brasy6G066100.1 locus=Brasy6G066100 ID=Brasy6G066100.1.v1.1 annot-version=v1.1 MLFQPFLPRLPASLLRCLLLLSPSRLLPFLLPSPTPEPPFGASDREEEGPMGSLLSCMSCQTAPASPTTQPPAMRRSSTSSRRGGGGGAKAMEIDEQALAAAAALVLGQRHSTGGAGAFDRSASVRYAAKRQQQGPPLPRSSSTRPRSLADPELQPQQLLAKDLSTKNLETKIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSSDTNKISSLPEYAEPLTSYLKGLGDAEKVILVGHAFGGACISHAMEMFPSKVAKAVFLCATMLTNGHSALDMFQQIDTNGMIQRAQEFVYSNGKDRPPTAINIDKASIRDLLFNQSPSKDVSLASVSMRPIPFAPVMEKLVLTEENYGSVRRFFVETTEDNAIPISLQQSMCANSPPEKVLRLKGADHAPFFSRPQALHKTLVEIATLPPAAQAS* >Brasy6G074600.1.p pacid=40050822 transcript=Brasy6G074600.1 locus=Brasy6G074600 ID=Brasy6G074600.1.v1.1 annot-version=v1.1 MDERLPPPPPQFQLVSPASMSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLASMPSSSSPPAPAASDAWELVQPTVTVAAAAPVDGGVDDCAIFPPRLHEGLGLEGEEEEAAAKEEEEEETDDEEEEWIWGWGRWRAAARRAWAAGAEGVLVHGECGCPGVRPAVWSAAAAAVVVGALLYMRRRDRRERDLLVLLSQEKDKRIAQLLHQIALLSDIRSGSEAIKMVRNS* >Brasy6G023000.1.p pacid=40050823 transcript=Brasy6G023000.1 locus=Brasy6G023000 ID=Brasy6G023000.1.v1.1 annot-version=v1.1 MLQDSSRHLMCFQHKNDARFGYVFMSRFYTTEPLRLLLVNSANCKDIKTDRATCLLITRLRDFLKKFQNILGNR* >Brasy6G225400.1.p pacid=40050824 transcript=Brasy6G225400.1 locus=Brasy6G225400 ID=Brasy6G225400.1.v1.1 annot-version=v1.1 MSTGSGNPASPAAGHGVFGSSGAGGFAYGVGVSIGILLLLAIIAFAAFYFCCARTAPTLAAAPGAPRHGRDEEAGGRGVDEATLEAYPAMAYGEVRKQRRQEAEAEACCPVCLESYGDGEVVRELPECGHVFHRGCVDPWLRRRATCPVCRTSPLPSPLAEYV* >Brasy6G175700.1.p pacid=40050825 transcript=Brasy6G175700.1 locus=Brasy6G175700 ID=Brasy6G175700.1.v1.1 annot-version=v1.1 MASAAEKAPAVASSGGGGGGATGSIVVLMFPLPFQGHLNPMLQLADVLRSRGFAITVFHAAFNVPPDPARAGGGYRFVPVGSEVPVGDLVPTGSDADFAGAILRINERLQGPFQDALRGVLLEGEGKARRPVCLVVDSNFRGMQAVAQRLGVPTLVLRTGGAACLVAYLAFHALCDKGLLPPPSRDQSQLDMPLDDLPPLLLRDMVFSATTPHETMSTCLERIMESAKSSSGVIVNTFADLEGAELRKIADGVSAPVFAIGPLHRISSGADSSLLIQDRSCLDWLDRQEAGSVLYVSFGSLASMNQEELVETAWGLASSGAPFLWVIRPDLVQGSQKVTTLPGGFEEATRGRGMVVSWAPQLEVLEHGSVGGFWTHNGWNSTLESICEGVPMICRPHFADQMINARYVQEVWRTGFELEGKLERAKIERAVRKLMFEEEGLEMKRRAKDLKSKARRSIEKGGSSEIAIDSLVNCIMSF* >Brasy6G043100.1.p pacid=40050826 transcript=Brasy6G043100.1 locus=Brasy6G043100 ID=Brasy6G043100.1.v1.1 annot-version=v1.1 MATMAREELRARVRAALPWTVRLQLLGLEATVDATQRRRDGTVNRFLFNLLADRRVAPTTSGGVRSLDVTVDASTGVTARAFFNSSAAAAAPARPRPVIVYFHGGGFTVFSAATGPYDALCRAICLGSGAVVVSVSYRLAPEHRFPAAYDDGAAALRFLATNPAAIPVPLDLSRCFLAGDSAGANIAHHVAHRWTSDNPQPNPVLRIAGIMLLAAYFGGEERTESELALEGVAPIVNLCRSDFWWKAFLPAGADRNHPAAHVTAGPRPGASRACCYRRRPGPRPSGWPRGGLCCRRRSPRPGSSWRGRAAAGRWGRRRRGRRGSGACPWRRRRRRGICAPGRGGS* >Brasy6G008200.1.p pacid=40050827 transcript=Brasy6G008200.1 locus=Brasy6G008200 ID=Brasy6G008200.1.v1.1 annot-version=v1.1 MLGLRARAARLPCPYSPAPSSSPATSLPGFARQGPLLSAAAAVAGPPALSASPSARPFSAAWGSATRPAGLPRPSTRVLCTAAAGSAPREGKEVLVQHLLVGEKDARLLVDLEKCIASGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLKKVVRCKTKFGWHLVQVLSERDQCTLEDIGPEELHAKMQDPSFIQEAQLIDVREPDEVERASLPGFKVLPLRQFGTWGPVMTDEFNPEKDTYVLCHHGMRSMQVAKWLQSQGFRKIYNVAGGIHAYSVKADSSIPTY* >Brasy6G045400.1.p pacid=40050828 transcript=Brasy6G045400.1 locus=Brasy6G045400 ID=Brasy6G045400.1.v1.1 annot-version=v1.1 MYACSQSQATCVVCLVVYCFTFQLQSRSFYLLPSAAAFGPRGICQLCLDLPLASVDYMAHGLHLLWLLQACEWQSC* >Brasy6G032900.1.p pacid=40050829 transcript=Brasy6G032900.1 locus=Brasy6G032900 ID=Brasy6G032900.1.v1.1 annot-version=v1.1 MKAEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDRGDIVFEDFKDVIMKNGRSLPECTKLFDLFHILTTDHDTVTRITKEVVGDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEDVDAVLFDSNLRPDETLGCTLQGDLDGDTKKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVVGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHACCLNDEELKKLKSSMIPVEICLTSNVMTGGAPSLELHPFADLYHGKHPLSLCTDDSGLFATSLSNEYYLVASTFGLSKAELFRLAQSAVEFAFAEDKVKKSLRVVFEHAAGKGLTP* >Brasy6G032900.2.p pacid=40050830 transcript=Brasy6G032900.2 locus=Brasy6G032900 ID=Brasy6G032900.2.v1.1 annot-version=v1.1 MKAEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDRGDIVFEDFKDVIMKNGRSLPECTKLFDLFHILTTDHDTVTRITKEVVGDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEDVDAVLFDSNLRPDETLGCTLQGDLDGDTKKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVVGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHACCLNDEELKKLKSSMIPVEICLTSNVMTGGAPSLELHPFADLYHGKHPLSLCTDDSGLFATSLSNEYYLVASTFGLSKAELFRLAQSAVEFAFAEDKVKKSLRVVFEHAAGKGLTP* >Brasy6G186300.1.p pacid=40050831 transcript=Brasy6G186300.1 locus=Brasy6G186300 ID=Brasy6G186300.1.v1.1 annot-version=v1.1 MELFERAKTVRLRSYHDKYMYADEDESHVQQGRNASSPNARWTVEPVPHAPGVIRLRSRYGRYLTASNEPFLLGVTGRKVLQTLPHRLDSSVEWVPQRDDSDGGARLQTRYGNFLRANGGLPPLRNSITHDVPHHRHAGWILWSVQIVQLLPDQPPPDSSASDSASSASPPQPYAYRPPSPSPSPAPLPTAALRPPAPPHHRTASTPFVAQPPPPPPGSLAPPANLFRLESADSFSVPLHKVEGRNIHYQIGDNNGNVDDDDGGRSFTFNGTSLEELLERLQEETGLKDVIMCTRSPITQKLMPLRLQLPPNNNAVRIVLVRESSRVAKSFL* >Brasy6G007200.1.p pacid=40050832 transcript=Brasy6G007200.1 locus=Brasy6G007200 ID=Brasy6G007200.1.v1.1 annot-version=v1.1 MDLISHGRYMMPLPPTAHHRCHRRHLRLHRRQSPHLRRGRHRSLLLLRHPSLLQSHLRPHHPRRRRRLPHHRSLHLCRSESTAGSGSSAPPAPPPSPPPPPAPPPAPPPNPPPDPAPAPPPVPPPRPPPEPAPPPSPPPAPAPPPSRHRSPHLLQDHHQSLLRATTESSSSTRTASKTTAGTPPAPPRVLHLHPHLLQDHHRRHRRVLRLHPHSSKTTTGTTSRTSTETTTSAQATTFPNTTSNPASMANSSPKPTTFPNASSESTAMANSSTKPTTLTNASSKSTTMANSSSKSTTMANSSTFPASNPPPSPPPPPAPPPPPSPPPPPKPPPFPPPNPIPAPPPCPIPPQRHHHLQAHHLRQTSTFPAPKPNPDASTMPDPSAEPASATKPAAAAQAVLLQPTRLNGHSQQR* >Brasy6G151800.1.p pacid=40050833 transcript=Brasy6G151800.1 locus=Brasy6G151800 ID=Brasy6G151800.1.v1.1 annot-version=v1.1 MIVFLDPPRLPFPLCSFPELLPSAPSLPSSLHSCLSGRAHRQGVRPKARFLVGSRGRGVCTREMAGEEKKAEEDNAGKSQQPVGRTAATATARDSSVNPSLPGGARLPMASFPHPAVAAASSSMNYNVQQSVTNAIPGHGQTGHQHGDTLGTQQGRDADRDEHWTRIRRGHDATVAAGNLKRQRLRGNFDIGHGQPPPPPPRAALATLNLLDREPPIDVDAPPMCLACKTMPMRQLPDPRNMHHCVCEDCFKAGSTACPHCGQSSSPAQEKSAVPQFEAALPQMLPKAGQASSQAPPVPDVQPTAAVAAAANGPNFDWTTLIVRCWECDNRAAPWTTYYPNVQEHLCVCETCFNNGSTACLFCSVANDPNFDWTTLIVRCWECDNRAAPWTTYYPNVQEHLCVCETCFNNGSTACLICPRRR* >Brasy6G134300.1.p pacid=40050834 transcript=Brasy6G134300.1 locus=Brasy6G134300 ID=Brasy6G134300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy6G053800.1.p pacid=40050835 transcript=Brasy6G053800.1 locus=Brasy6G053800 ID=Brasy6G053800.1.v1.1 annot-version=v1.1 MGSMELSTAPENGTAAACNGAAAPPNGGVERRLRSSSTSAAWGSHLPLEVGTRVMCRWRDQKPHPVKVIERRKSSSYSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVEADVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGKYEIDTWYFSPFPPEYNDSAKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRCGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG* >Brasy6G224900.1.p pacid=40050836 transcript=Brasy6G224900.1 locus=Brasy6G224900 ID=Brasy6G224900.1.v1.1 annot-version=v1.1 MSTGGGGGNPSTAGHGMFGSSGAGGYGYGVVVSVGILLLLAIIAFAAFYFCCARTAPTHTGAGAPGHGDEEAGGGVDEATLEAYPAMAYGELRKKQRRRGQEETEACCPVCLESYGEAEVVRALPECGHVFHRSCVDPWLRRRATCPVCRTSPLPSPLAEVTPLALARPSSS* >Brasy6G235600.1.p pacid=40050837 transcript=Brasy6G235600.1 locus=Brasy6G235600 ID=Brasy6G235600.1.v1.1 annot-version=v1.1 MDRVLAFSRRRRRRRWILCFSAAAAACLIYRHPAVASRRRRVARLASSLASLADAVAAVASDLAAFIRSDSDALPPTLTQLSKLASSPEARASASALSGALTAGVLRGYASASPSGAASGGDVAFSDRLVEKLLSPAGERLASAVAGSFGRELVVAFYSVPGDPSESPASWADVVTTGRCRAAICSWVEVFTATAVSVFIDKTIHINTYDQLCAAATNPAYGARLQQLLIALCSASIETMVKTSHSVLSNTNGNANDSGSYNGNSGEREGWAETVSTALAMPSNRKLVLDLTGRATFEAVRSFLEFVLWKTHDGARAGTDATVRAGLCALRYMSERSMVIATICIALCLHVFNGTWLLAPA* >Brasy6G218700.1.p pacid=40050838 transcript=Brasy6G218700.1 locus=Brasy6G218700 ID=Brasy6G218700.1.v1.1 annot-version=v1.1 MTISQPPPAPTEQQQPQPQQEEEEVLVPHQELPNGAQPMEVVPAEPAATVENQQIEDPPISRFTWTIDNLSRVNTKKLYSETFVVGGYKWRVLIFPRGNNVEFLSMYLDVADSAVLPYGWSRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDPKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKDLGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEEALTVGQLKEAANKAHNAELKLFLEVELGLDLKTIALPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGRPLDILPKLRKMAGFSQDDDIELYEEIKFEPNVMCEYIDNRVIFRSCQLEDGDIVCFQKSPKPDNADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKDDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVDLSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERLQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDTVATRFQRNMYGAWEQYLGLEHADTAPRKAHTANQNRHSFERPVKIYN* >Brasy6G218700.2.p pacid=40050839 transcript=Brasy6G218700.2 locus=Brasy6G218700 ID=Brasy6G218700.2.v1.1 annot-version=v1.1 MTISQPPPAPTEQQQPQPQQEEEEVLVPHQELPNGAQPMEVVPAEPAATVENQQIEDPPISRFTWTIDNLSRVNTKKLYSETFVVGGYKWRVLIFPRGNNVEFLSMYLDVADSAVLPYGWSRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDPKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKDLGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEEALTVGQLKEAANKAHNAELKLFLEVELGLDLKTIALPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGRPLDILPKLRKMAGFSQDDDIELYELEDGDIVCFQKSPKPDNADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKDDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVDLSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERLQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDTVATRFQRNMYGAWEQYLGLEHADTAPRKAHTANQNRHSFERPVKIYN* >Brasy6G180900.1.p pacid=40050840 transcript=Brasy6G180900.1 locus=Brasy6G180900 ID=Brasy6G180900.1.v1.1 annot-version=v1.1 MAAAALRPAILRHIRLSATTVTPLAAAAAAAQPHALARWLGRPMSSHADHLTRDEVVGRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDMEADKIDSLPLAIEYVANHPMAG* >Brasy6G207500.1.p pacid=40050841 transcript=Brasy6G207500.1 locus=Brasy6G207500 ID=Brasy6G207500.1.v1.1 annot-version=v1.1 MAARKMEIAAAVLVLAMTVLFSKAMAQNNGCSSVMMTLSPCLDFIGSKSPEPGFSCCTTLAGVVQTDPRCLCMVLDGTATSFGITINHTRALELPGICKVQAPSTSQCTAVPAPPTTAPPSSDDPPEEPSEEVTDAPKGSTGSNATSSSRNSRNAASLMATVLIPACALIYVF* >Brasy6G268400.1.p pacid=40050842 transcript=Brasy6G268400.1 locus=Brasy6G268400 ID=Brasy6G268400.1.v1.1 annot-version=v1.1 MSSSGDELLLVHELPMDMRTDGGGGEERRLAHLLPPLAGAHGPGPAPFRPPPPPSAAAAAESRVAFRGWLGAPRHWELWVAKLRPIHERLWKELGISAAVLASTYRFKRDAAAVLHLASFWSPSTSTFAFPWGEATLTLQDVAVLGGFPTDGSPVPAPLPPQWRPDEAALNGVRLGFNRSACKKAHHAAWMKHFLADTDMDAHVEHAAFLALWLTRFVLPGHPESTMRQSLFPLAVRMARGDRAALGPAVLASIYRDLREMKNYLVAAGTTGGNAELLSPLSVHAPLYILQLWMWERFPVLWDGRANPVVDGEPRAARWHDVSKKMSPTLIRQVLSSGENFVWQPYTTSVQQHGGWVRGGDVTKDEKLLLLAHSLRTCELVGMDCIEQYLPHRVAMQFGLDQDVPGDVHRANEDWGIAWETYDLEGKNVAMFITRSEPGVTARYAQWWRQPLPPSNRDTGAGGIAAEGKVSKRKVKKTLVAMEAEAEKERKMKKARISPNPNSDKKRKLEELYDAKLSDWLAAARNGNSGPGGGSCKRGSSPKSDSVLLPNVGAINDDVVLLVPRKQVLTSAVNVNTDRDMNLVRRDKGNFKAKSLVGTKHKGASSKGYITGDVDYTMNEPYFKEEEEEEEEEAVLSTEISTFNEYPEDEWSNMKNTSLMVLKTLDAPNSAEEVKRPVSMVKEECNVPFTDVGCSEDAREEVVTVDKPANISRVCKGGDAVMLEGEKLNLPEGLSNDATDRPEEGTTVIQELENEANLAVDASCEISNRHEEVTALVMEEQEGKGAVAVSDEGNVVSVVGRATEGTSHSIKVVPGSKQGVDAGLTLTGKILPVQQANDIGMSSIMEDISVAAGVLGTNDGETTLDSVAEVQRGISCVEETGGESNRMAEKDSKQKPQEAPKADITLIEKDNNEGEHENVSQIMEELVTDNNISAVSLGVPEEENADVDKDLNQAKKDPEYMPKEVSEVEDEEQEVINTLAKGGAYEEHNEVAEVDHIDTAEAKMQAQFDNDKPEEAAETGLARMDGGKRLTGRDTDGESGDVPEVGHAEVGKARELIESDTDVKLVQTPDVALAEMKEVKNLAREDSEKKFEEVFDLENTGMDGTHLPMEGTTHSPMEEDTDGKLKEVSDVTHAEMEDAKDLMKGDSDKKFEKISEVENTGIDETHGPMAEDTHILKEIPEVNAEVEQANGTGRDNDRPDDILQVDLPAKDEAECLAKEDIEDNIVKVPQVKHEKLRYEISIEKVVQEKPNAGDKDLPEKEVDESKVYQVEQSEGEKCKFLREKDAEENTKDALGVKEAEEQGEALTEKYMHDYVEEINLAEQVDGQSEIRTRKGVEKNPEEITQAQGEEFEKDTVESLKNSINSEMLCGSSSLHSKEEQKEVSVEGMMEKQCPQEESSTNGAAMEIEGVDDHKTVMHEEVAMKQIQGCGIVCQNKEAQILEDSHMAGGGWNDLVTMEIYGTQSTVENQNQEALDVDMQTMEQRQDLGLTVENKKTIMSGDANVLGCSEFQADSSKPKVNDVTSTKGIHNQEYLDIKKEHVLEIGSECETTDGNGRPMDVTNIVVDTMAVTVDANLAKSAEGIQNQEACSTELQASEDKPHHGVGDVRILEDTAKIDSGKPNSDPTIVGVDRTESREGTWNHYALRIEKKKQDERMTDNNTKRTLVETDTPEPSVQEKQAERMADENTKRTLVDTDAPECGEAKPDGSAEMNNETPTMVQAVNMAQDKISSKNQQKVVPLGDHSESEVDGSKSNHTSKQESKGALQPEVQVKQEILEDKTEFSIGRENDEASEQEQTSIEDAAFAPSSMDHQVENNDEWADESTKNYGKYASDPVNTGCQTSKFGRPSIEEVRRIHSGRSVYLKDIKESQGRMRSESLSRTHINSVGYYSRHGVPEPVPVSKDIKVPLHDTVRVSGRDRALELMTGPPEETSRWRQEQCALHILEDVQSARIAEKTRMEMEIKILKAQIASMQRQVMNMDHVGEVMSRSKRH* >Brasy6G025700.1.p pacid=40050843 transcript=Brasy6G025700.1 locus=Brasy6G025700 ID=Brasy6G025700.1.v1.1 annot-version=v1.1 MASAMELSLLNPTVHHHGIAGKTASQLPARRAFSAVRFRVRASAAAPPAAKPGSPKKRGKTEVNESLLTPRFYTTDFDEMEQLFNAEINKQLNQDEFDALLQEFKTDYNQTHFIRNPEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVMCM* >Brasy6G206800.1.p pacid=40050844 transcript=Brasy6G206800.1 locus=Brasy6G206800 ID=Brasy6G206800.1.v1.1 annot-version=v1.1 MGLCMSSGAAAAEGLAATAMVLLPTGELREYPRGATAAQALEDFASGEKAWFLCDADAMGFDGPVPAVNGAELLLPGQIYFVLPAEARRNGLRREDVASLAVRASAALVKRSNSSVGGGRRRRAGSVAPLVFAPPRAEKEVDAYKTVPALAPKRRPPVSRAMSAGRMQPRFAPDLSAIPECDTSE* >Brasy6G098200.1.p pacid=40050845 transcript=Brasy6G098200.1 locus=Brasy6G098200 ID=Brasy6G098200.1.v1.1 annot-version=v1.1 MAKSASSLLILAVVVAAACFGAAAAARGVPAENKQQDEVKRPETFQEGTVLIPGIGRYELGTHYRPDIGGLDHSIPAAAHGQFMPGADDTWVPNPGFEVPNPFHP* >Brasy6G035100.1.p pacid=40050846 transcript=Brasy6G035100.1 locus=Brasy6G035100 ID=Brasy6G035100.1.v1.1 annot-version=v1.1 MAATLPRAVAPPRPAAAASLLPLPRAAPLLLAGRAAARRLRARGARAPALAAARRSWAVSTRAVLDVPRHRAPPPAQKPVQEAADLNDILAERGACGVGFVANLKNEPSFNIVRDALTALGCMEHRGGCGADNDSGDGAGLMSGIPWDLFNDWASKQGLAPFERTNTGVGMVFLPQNEESMEEAKAAVVKVFTDEGLEVLGWRPVPFNLSVVGRFAKETMPNIQQIFVKVAKEDDADDIERELYICRKLIERAAKSASWADELYFCSLSSRTIIYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRFSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATIQSPVWRGRENEIRPFGDPKASDSANLDNAAELLLRSGRSPAEAMMMLVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDCFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKNVASAKPYGTWLQQSTRSIKPVNFQSSPVMDNETVMRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELDSLLKDTKLKPTVLSTYFSIRKGLDGSLDKAIKALCEEADAAVRSGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEFHANNPEMSKLLHKAIREKSDNAYTIYQQHLASRPVNVLRDLVELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPKAKVSVKLVAEAGIGTVASGVSKANADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIQNGLRERVVLRVDGGFRSGLDVLLAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGYEKLDDITGRTDLLKPKHISLVKTQHIDLGYLLMNSGLPKWSSSQIRSQDVHSNGPVLDETILADPEVSDAIENEKEVSKTFPIYNVDRAVCGRVAGAIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDDTGFVPEEAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSAKGAKILSEWEAYLPLFWQLVPPSEEDSPEACAEFERVLARQATAVQSAK* >Brasy6G051300.1.p pacid=40050847 transcript=Brasy6G051300.1 locus=Brasy6G051300 ID=Brasy6G051300.1.v1.1 annot-version=v1.1 MMGNGGQGMMRYQPRRANQVTPLLLMLRILRALRETLGGGGKKKPYSKLSASKEKARREKERLKEKEGSSYKDSIDIMVSTLKVLDTKRKEEKGKKWMEIKEMLERRIASEECCAALAERCVMMEGRRIANDERREKYKQEKQEQNIMFMDSSNLDEQEKDILRSHA* >Brasy6G214300.1.p pacid=40050848 transcript=Brasy6G214300.1 locus=Brasy6G214300 ID=Brasy6G214300.1.v1.1 annot-version=v1.1 MASLGRLALLLLLLVAVAMAAAAAMVEGLGVNWGTQASHPLPAKVVVQLLRDNGIKKVKLFETNLDAMSALAGSSIEVMAAIPNNMLHDMAADPKAARDWVKRNIKRFDFDGGVIIKYVAVGNEPFLEAYNGSFIKATLPALENIQNALNDAGAGDRIKATVPLNADVYNSPAKNPVPSAGRFRSDISGVMTDIVKFLAKNKAPFTVNIYPFLSLYLSDDFPLDFAFFDDGGPPVHDGGNGVTYTNVFDANFDTLVAALRAAGHGDMPVIVGEVGWPTDGDRRATAALAARFYGGLLRRLAATRGSPARPGQRIEAVYLFGLVDEDRKSVAPGSFERHWGIFRYDGQPKYGMDLTGQGRANARLVPAKGVEYLSRTWCALNPKAGDLGKLGEKIDYACSNADCTPLGYGSTCNGMDARGNASYAFNAYYQTQSQKDEACDFQGLALPTQTDPSTHACNFTIQIATSAAAPAPAAMPGVSVTVAAVLMALLQLVLLSC* >Brasy6G201900.1.p pacid=40050849 transcript=Brasy6G201900.1 locus=Brasy6G201900 ID=Brasy6G201900.1.v1.1 annot-version=v1.1 MPRVKKRTANPHVFMDISIGGQAAERITIELFSDVVPKTAENFRALCTGEKGLGQTTKTPLYFKGTNIHRIIKGFMAQGGDFSRGDGRGGESIYGAKFPDENFKLKHDQPGVLSMANSGANSNGSQFFITFKATPHLDGKHVVFGKVLNGKDLLKKLEALGSESGKPTSPVKIVDCGEVLDMDTENQLTGEKEKKVKKAVEDDSDAEGRVKTKKAPSDSRQRKKRKHYSSDSYSSESSDSLSYSSDSGSDSESYSSASLDTSSSSDHRHKRRKGSKKEKCKSTKRKSSHKKSKSKSRGAKRKSKRSYGSSSDDSKSSKTSSSSSDSESASLRTKHSLKKDQGNTKMVEKGKTLEDADKGKQTVPMDNMSREGSKPSKKDENGAADRSGNQNSEDRSSKFRDDSNPMRADAILKRADDNSSADAAGTGISQAVTERKPSSNETVPTNGKDIAVGSTENGQRIRKGRGFTQQYSFARRYRTPSPERSPVRSRYNGGRTDRWNNFNRYGRNGPHGARSPVRRYRGSPRANSPPRYPRRDRSRSRSRSPVRHRDRGAYRRPSPRRSRSPTEQQKRDVTYRPRSGRGGGGASGHRGSSPSANRGRSRSRSKSRDPSRSRSPDAAPAKRVSSKYNRRRSSSSRSSSSSGSKGGLVSY* >Brasy6G201900.2.p pacid=40050850 transcript=Brasy6G201900.2 locus=Brasy6G201900 ID=Brasy6G201900.2.v1.1 annot-version=v1.1 MPRVKKRTANPHVFMDISIGGQAAERITIELFSDVVPKTAENFRALCTGEKGLGQTTKTPLYFKGTNIHRIIKGFMAQGGDFSRGDGRGGESIYGAKFPDENFKLKHDQPGVLSMANSGANSNGSQFFITFKATPHLDGKHVVFGKVLNGKDLLKKLEALGSESGKPTSPVKIVDCGEVLDMDTENQLTGEKEKKVKKAVEDDSDAEGRVKTKKAPSDSRQRKKRKHYSSDSYSSESSDSLSYSSDSGSDSESYSSASLDTSSSSDHRHKRRKGSKKEKCKSTKRKSSHKKSKSKSRGAKRKSKRSYGSSSDDSKSSKTSSSSSDSESASLRTKHSLKKDQGNTKMVEKGKTLEDADKGKQTVPMDNMSREGSKPSKKDENGAADRDDSNPMRADAILKRADDNSSADAAGTGISQAVTERKPSSNETVPTNGKDIAVGSTENGQRIRKGRGFTQQYSFARRYRTPSPERSPVRSRYNGGRTDRWNNFNRYGRNGPHGARSPVRRYRGSPRANSPPRYPRRDRSRSRSRSPVRHRDRGAYRRPSPRRSRSPTEQQKRDVTYRPRSGRGGGGASGHRGSSPSANRGRSRSRSKSRDPSRSRSPDAAPAKRVSSKYNRRRSSSSRSSSSSGSKGGLVSY* >Brasy6G107200.1.p pacid=40050851 transcript=Brasy6G107200.1 locus=Brasy6G107200 ID=Brasy6G107200.1.v1.1 annot-version=v1.1 MLPRTHSHNARRRSGLGPQLCAVAAALLLLLSLAVLHSRLSSSSSPFPLSASSRSSASDAAAAANSTALLADEDDADAAVAAALDPDLALAAATTAAATDGGAATNPDDDRIDELDVLDEDNPSAVADPADDVSASAAAASLLWDHAAGVARLPFRLPAAGDPLPKGLPHLDSPRRIAAAAFGSDDEPVDLDLRMEISSIGGVEDALLLKPASGRSETRLRSGWARWLEGKADYLRRDRMLRSNLELLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMLLAEIEKDTSKNSRRRILQSSDNEHGMGATVKEEPEEGRRWGYFPGIDPHLGFSEFMERFFEYGKCSMRVFMVWNSPQWAYGIRHQRGLESLLLHHPDACVVMLSETLELEEAFQEFVKEGYKVAVAVPNLDELLEGTPAHIFASVWYEWRKTIHYPLHYSELVRLAALYRYGGIYLDSDVIVLKPLKSLQNCIGTVKQVSRDSSFSGAVLVLEKQSPFLVECLNEFYSTYDDTLMQWNGAELMTRVIRNHSDSDQHRGHLAIKLEPSVIFYPINSTDIMRYFSVPDNQVERAQHDALFSRIMNDSTTFHLWNSITSSLVPESNSLVERILNRYCLHCLDVL* >Brasy6G020900.1.p pacid=40050852 transcript=Brasy6G020900.1 locus=Brasy6G020900 ID=Brasy6G020900.1.v1.1 annot-version=v1.1 MSDDGGAAGEQQQLSDGQKREIAIWFLSRAPAGEIHYVAKDVRALLGDDAVYEAAAAEAYPEYNKAQLVSFGLPDRSGDIIITSYGELDKNNYLDPRTGQVATVDHIKQTCTNLRPATDEELPSAYTEEFRSAIDVELSKYVSEAYPKGVCAVYCTKGKDVEGPGADFCLAVVISAARRSPQNFCNGSWRSIWTLEFSDEFQYVDIKGKIQVGAHYFEEGNVQLDTIVDRKDSTVWQSPEDTALSVTNIIRHQESEYFSSLEESYLNLSDATFKDLRRKLPVTRTLFPWQNTKALSLTRDLAKELALGK* >Brasy6G184100.1.p pacid=40050853 transcript=Brasy6G184100.1 locus=Brasy6G184100 ID=Brasy6G184100.1.v1.1 annot-version=v1.1 MELSGSPSGLGCVQQYAPAAEGFIPVGVSGRGFALLSTCPRGSGILDPRFYPRRWMLLPEGRGLYPGHGKLRGYVRFFNLSTGAFVIVRLPLFKDHCVLYSVDGILLLQRDHDTAIRLLHPFTGDTAEFPPLETLLRHVRGRSEGGGRWHFLRNICGASISVGADGLIRVMMWPNEVYNICFATSGDQQWSVTTTWERRNLCSALPFQGKLYVLLRPGSVRNEHEVIQIDPPQQEGMESGSLSVPSPKLIAQIKWPTSDESYSSFNYRLAECNSEILVIGTKWHAVCFSVYRLADLMMGRTVPLTSIDGNALFIGRRSLCVSSKVFPTIVPDTLVMRESKIYLSQYHLSNGTLLQATDRVIAEEKDIPGPYSIMCHIITCCFPTYCGSNRITAASWLGEEPAAQVEERWRSGWWWRQGRRGSSSRI* >Brasy6G161100.1.p pacid=40050854 transcript=Brasy6G161100.1 locus=Brasy6G161100 ID=Brasy6G161100.1.v1.1 annot-version=v1.1 MAAALVEQVMGWFPRAADAIARPASLSAAAGYDGEDRVSALPDDLLRSVLSRLPAKDAACTAALASRWRHLWRSAPLVISDADLPRSAVARVLADHPGPFRAVTLFRNRFARHDCALSEWPRLLATKGVQDLVLADNVRDPTAATQPLPADVLRCASLQRLFLGFWTFPDTAGLPRGAHVFPHLRELAMFVTTITDQGLDYMLACSPALETLAFILNKTPERVQLRSKSLRCVLFWLSMVEEEVAVVDTPLLERFILFEAPPGSGEDEGHSRPVKVKIACAPKLRVLGYLEPRVHQLQIGDRVIKPNTRASSSTVVPSVKILALKVNFGVLEEVKMLASFLGCFPNVDTMNIVSTIADEPTGRHHAKFWREVCPVQCVKSHVKTVCVKEFRGDQSEFEFIKFIARSAKELKALLIVLTKENFASEDKACGLTNKLGALSLGVPWVCEEAGMLVLGPRMENVWSCQNASDLTVENPFH* >Brasy6G161100.2.p pacid=40050855 transcript=Brasy6G161100.2 locus=Brasy6G161100 ID=Brasy6G161100.2.v1.1 annot-version=v1.1 MAAALVEQVMGWFPRAADAIARPASLSAAAGYDGEDRVSALPDDLLRSVLSRLPAKDAACTAALASRWRHLWRSAPLVISDADLPRSAVARVLADHPGPFRAVTLFRNRFARHDCALSEWPRLLATKGVQDLVLADNVRDPTAATQPLPADVLRCASLQRLFLGFWTFPDTAGLPRGAHVFPHLRELAMFVTTITDQGLDYMLACSPALETLAFILNKTPERVQLRSKSLRCVLFWLSMVEEEVAVVDTPLLERFILFEAPPGSGEDEGHSRPVKVKIACAPKLRVLGYLEPRVHQLQIGDRVIKPNTRASSSTVVPSVKILALKVNFGVLEEVKMLASFLGCFPNVDTMNIVSTIADEPTGRHHAKFWREVCPVQCVKSHVKTVCVKEFRGDQSEFEFIKFIARSAKELKALLIVLTKENFASEDKACGLTNKLGALSLGVPWVCEEAGMLVLGPRMENVWSCQNASDLTVENPFH* >Brasy6G032800.1.p pacid=40050856 transcript=Brasy6G032800.1 locus=Brasy6G032800 ID=Brasy6G032800.1.v1.1 annot-version=v1.1 MEAEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDRGVIVFEDVKDVIMKNGRSLPECFKLFDLFHILTTDHDTVTRITKEVVGDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVLKGLKAVEDVDAVLFDSILRPDETLSRTPVGDLDGVTKKKKIYVRLLLSIDRRETTSAALDTVNLAMEMKDQGVVGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHVCCLNDEEWKKLKSSMIPVEICLTSNVMTGGAPSLELHHFGLSLTMHNPSTT* >Brasy6G222400.1.p pacid=40050857 transcript=Brasy6G222400.1 locus=Brasy6G222400 ID=Brasy6G222400.1.v1.1 annot-version=v1.1 MEEKAAKMEKLSSAAAFVEGGVQDACDDACSICLEAFCDSEPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPLSQELLEAIEQERNVQENRSRTTAVFRHPMLGHFEVPVGTDDAELEERIMQHLAAAAVARRSQRHGRREGHRSRSGAHGRPQIVVYSTSSTTSGDPVPSDSQQEGDSEHSPAIISAHRSAPVVAVNDMEEASADTSVHANTSANHPDGSNYRISGNGSSLANEDGAGPSDLQSFSDTLKTRLQSVSMKYKDSITKNTRGWKERWLSRSDTISNIGSDVRREVNAGLAAVSRMMERLETKDDSGSGPSSASLDNAPSTSVADDRRPASSSPGAAVNDASSSATCASRSGPQ* >Brasy6G137800.1.p pacid=40050858 transcript=Brasy6G137800.1 locus=Brasy6G137800 ID=Brasy6G137800.1.v1.1 annot-version=v1.1 MPSMTVTSAAMRVLRRKLQSSRGLKNLTLAPTGGIGKNFFPASLQRISAASPQRTAEDDSNSAMCAATAPAASSETIFTKGTKVRVRTCCAGRLCTGQRLVLVFDAVVLSAAADGYVVYNGDPRKTMLVGRDEVKRIDAAAV* >Brasy6G102000.1.p pacid=40050859 transcript=Brasy6G102000.1 locus=Brasy6G102000 ID=Brasy6G102000.1.v1.1 annot-version=v1.1 MGWLQSLMAPLKKLWVRAHSSQKKKRGIYILYEDVKSCPCEDVQILWSILVESSHHPPPLRLKH* >Brasy6G255000.1.p pacid=40050860 transcript=Brasy6G255000.1 locus=Brasy6G255000 ID=Brasy6G255000.1.v1.1 annot-version=v1.1 MPPPPPELPAELIEEIFLRLPPDEPRCLFLASLVSKPWLRRLAGPSFRRRYCELHRTPPMLGFLTCDSVGDSGNHNSRFVPTVKLCPPGPGRRYLRPLDARHGRVLFLTDPADEDCDFPELIVWDPITLEEWVIEMPDHSFGSWAAVLCAKEGCDHLDCHGHPFLVAYVSVGYDEFRCSALHYSSQSDSWSDKTYVDHHDQDLVNCSTLHGVAPNVLLGSTLYFNCFFPPMIIRYDLADRELSLIEKPESDDCMNGQMVVDNGLLGLAAIVESSIHLWSREVDEYGGAVWVQPRIINLEPLLPALSLSTEPMIIGFAKRLGVVFLWTEAGIFTVELKSARARNLCNEGNIGDPVIPSFFQKRGVPQPNHLIPYMSFYTPGRDIMPLPPGPSAFEEL* >Brasy6G146900.1.p pacid=40050861 transcript=Brasy6G146900.1 locus=Brasy6G146900 ID=Brasy6G146900.1.v1.1 annot-version=v1.1 MVGLSEGEKHFIRGGIAQDLRADGRTKLQFRPVTVETGVIPQANGSARVRLGATEVIASVKAELGKPTVLHPDKGKVSIYVDCSPTAAPIFEGRGSEDLSAELSVALQRCLLGGKSGEGAAIDLSSLIVLKGKACWDLYIDGLVISSDGNLLDALAAAIKVALSDTGIPKVNVSLNAETDGEPEVDVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRRGQICGLTKRGGAGLDPTVIFDMISVAKHVSQRFISLLDSEIAAAEAVMDE* >Brasy6G148100.1.p pacid=40050862 transcript=Brasy6G148100.1 locus=Brasy6G148100 ID=Brasy6G148100.1.v1.1 annot-version=v1.1 MGAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHNAVRNDPRINWLCKPVHKHRELRGLTSAGKKFRGLRGKGQRHHKNRPSRRATWKRNQTVSLRRYR* >Brasy6G083300.1.p pacid=40050863 transcript=Brasy6G083300.1 locus=Brasy6G083300 ID=Brasy6G083300.1.v1.1 annot-version=v1.1 MAGNLGDGFYIEGFDEGGGLFDIDMLLFGGVPIAANYYILGVFDGYGGGAGGEGDFNRYIVRFNAQKEDEQCAHEFVDLEDNHE* >Brasy6G233600.1.p pacid=40050864 transcript=Brasy6G233600.1 locus=Brasy6G233600 ID=Brasy6G233600.1.v1.1 annot-version=v1.1 MGEVEEMLEGYEVKREGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFLAKRKEEHEEMVNKKNKSRQNVSQDVPPKSDGNGASSSLDGEINGDHGKQVNQDADKAEDPSTETAQTPSWKRKGELKAPIVLEALAASGLRSLRYAREVDGLGKVVALDNDKASFEACKRNIKFNGTAASDKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGNSGEVCYSKYGSYPVKGKYCHEMALRILLACIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNAPLKLSYVYQCVGCDSFHLQCLGRTVSKLKNNSVKYAPGIGPVVPQECSDCGKRFNVGGPIWSAPIHDQDWVLSTLADVKPMKDRYPAYNKITSVLTTVSEELHDVPLFFSQHNISGTVKCTSPSAVVFRSAVLNAGYRISSTHVNPLGLKSDAPWDVIWDIMRCWVKIHPIKEQPSDSAGTMILSKSPKLEANFSRAIAALSNAQAKKVKRFLPNPERHWGPKVRAGRTITSKHASLLGHEAMNGVLHHEDKPAPETEEGASMAEENELAAKRQKTSDDEQVTEL* >Brasy6G233600.2.p pacid=40050865 transcript=Brasy6G233600.2 locus=Brasy6G233600 ID=Brasy6G233600.2.v1.1 annot-version=v1.1 MGEVEEMLEGYEVKREGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFLAKRKEEHEEMVNKKNKSRQNVSQDVPPKSDGNGASSSLDGEINGDHGKQVNQDADKAEDPSTETAQTPSWKRKGELKAPIVLEALAASGLRSLRYAREVDGLGKVVALDNDKASFEACKRNIKFNGTAASDKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGNSGEVCYSKYGSYPVKGKYCHEMALRILLACIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNAPLKLSYVYQCVGCDSFHLQCLGRTVSKNNSVKYAPGIGPVVPQECSDCGKRFNVGGPIWSAPIHDQDWVLSTLADVKPMKDRYPAYNKITSVLTTVSEELHDVPLFFSQHNISGTVKCTSPSAVVFRSAVLNAGYRISSTHVNPLGLKSDAPWDVIWDIMRCWVKIHPIKEQPSDSAGTMILSKSPKLEANFSRAIAALSNAQAKKVKRFLPNPERHWGPKVRAGRTITSKHASLLGHEAMNGVLHHEDKPAPETEEGASMAEENELAAKRQKTSDDEQVTEL* >Brasy6G238700.1.p pacid=40050866 transcript=Brasy6G238700.1 locus=Brasy6G238700 ID=Brasy6G238700.1.v1.1 annot-version=v1.1 MATPKDTNADESLDVALPDITSEASSSHRASSVFGHEHPRSWNALLNSPSSSLQDDADSAQLLGRETMPAQSSPATPGVNVHAVNPEVGDNSTDQLVGADVLLADEDAALGSHFAPSSDDEEEVGSNLRVQRVVAVGKSFKRRKLSA* >Brasy6G131700.1.p pacid=40050867 transcript=Brasy6G131700.1 locus=Brasy6G131700 ID=Brasy6G131700.1.v1.1 annot-version=v1.1 MSQDSGANGHAGAGDKDKEEPKRQTPRLNERILSSLSRRSVAAHPWHDLEIGPQAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYHNLNNLSDLSPHRLQEIRRFFEDYKKNENKEVAVNEFLPADDARNAIQHSMDLYAQYIMQSLRR* >Brasy6G191900.1.p pacid=40050868 transcript=Brasy6G191900.1 locus=Brasy6G191900 ID=Brasy6G191900.1.v1.1 annot-version=v1.1 MVTSSLTPSPLFPPILHTQSSAPFLPSLMGWLHSLFSPIRRLWVRAHSRRRNRRGMHILFKDVQSCQDEDVQVLWSIFVDSHRHPALMKLKL* >Brasy6G104400.1.p pacid=40050869 transcript=Brasy6G104400.1 locus=Brasy6G104400 ID=Brasy6G104400.1.v1.1 annot-version=v1.1 MGVVSSTVPAVLLLTSFLLISKLPRCSPLSFSYNFSDSATFDHADITADGAATLPQQDDSPVDLTQNPDPTSEGKFDRAGRVSYGHPVPLWDKASGEVTSFTTSFSFVIKTSSSDDRPAKYAPGDGIAFFLSPYPSKMPHYDGGGYLGVFANRSTPATVAVEFDTFQNDWDPSIDHIGIDINSIKSAAVELLGRGELARSAEPVTAWVSYSNSTKLLAVALQLKRSSDGGMRRYELNSTVDLKSLLPPEVSIGFSAASGWSVDLHRVFTWSFNSTLAATKLVVTEESSGKNVTEEKTPAISVQQFPSKSMVRPLAGAAVGAVLIFVAVLGVLIWFIVVRRRRRSEEEELEMAAADSDGCSMDEEFENGTGPRRFRFGELAAATNNFSEDGKLGEGGFGEVYRGSLSDLGIDVAVKRISKSSQQGRKEYVAEVTIISRLRHRNLVELVGWCHRGGEFLLVYELVPNGSLDARLHGTGTSVLTWPSRYEIGLGLGSALLYLHAGCDKCVVHRDVKPSNIMLDASLGAKLGDFGLAKLLDHGNSLQTAVVAGTMGYMDPEYAASGRASTASDVYSFGIVLLEICCGRVPVLRLSPRDDQEANDNKYSSLLEWVWGLYGRGAVLEAADHRLTRGEFNQTQMECVLVVGLWCAHPDRSVRPSIKQALGVLQFEAPLPALPPKMPVPTYSPTVAAGYGGKRDPAAAGSLAGDSSGAGASSSFTTGGTRSTTTSSSITVGPSSCCPESSVAVSMQQTAGM* >Brasy6G223700.1.p pacid=40050870 transcript=Brasy6G223700.1 locus=Brasy6G223700 ID=Brasy6G223700.1.v1.1 annot-version=v1.1 MANEDAVEDFEFLLFGDDDGDGGGSSGDDDDDDDEDEEGGNADDDFEFLLGAQDGRPPIHNQEGDTCVFHAITFAAEMEMRRRVPTTDITFNAASFAADYEREIGMNLGDQAAAGIFPFYHREATGLQLFRRDGVLARSAAWEGERRLRISSYRVHRNVDDRPVRFSEVAQLILDGRPVIGIMRVSPMFLGLGPGEIFDHMDAAAEPPQEEDAAIHTHAVAFIGFGVRGGRHYLVLANSAGPGFGDDGLGRVYFSSVYDDRFYTLKATPPADQDHPSLAASAGPPPPRFSHIGSSSSGAAHGFFTDTVGSSSSTAPSSSRGGAAQRFFDVGSTSSATPPTPFFDDGSTGFSALFSSPSGIQSFINDDLAFSPSTLATHHNSSNGRSSSSTSATESFFVGSTASSLTFDDGSSTVVATGPTSSSTAPTSSSAGPASSSTAPSSSSLPDE* >Brasy6G215800.1.p pacid=40050871 transcript=Brasy6G215800.1 locus=Brasy6G215800 ID=Brasy6G215800.1.v1.1 annot-version=v1.1 MAGMQQAGGAAQASSEGGGGGAALCAGGCGFFGSAATGNLCSKCYKQLQIDAAVPTVDSVISGLESVTIKEKKAVQASSSSAAAAAGTEKTVVPATATKRRCEACQKKVGLLGFACRCGGTYCGAHRHAAGHGCGFDYRAAGREQIARQNPLVAASKLDKI* >Brasy6G260800.1.p pacid=40050872 transcript=Brasy6G260800.1 locus=Brasy6G260800 ID=Brasy6G260800.1.v1.1 annot-version=v1.1 MSKARVYSDVNVVRPKEYWDYEALAVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYINNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNTYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRTRAQ* >Brasy6G222200.1.p pacid=40050873 transcript=Brasy6G222200.1 locus=Brasy6G222200 ID=Brasy6G222200.1.v1.1 annot-version=v1.1 MYRINVGGPSISPRDDSSFYRSWANDSPYMFGGGDGYGVTFIAESNLTIKYTPTVPYYTAPIMVYDTARSIGPIEQLYLYYNLTWILPVDAGFLYLLRFHLCEIQYPITKVNQRTFFIYINNQTAQRQMDVIARSGGIGRTTHTDYVISTTGSGQVDMRVALYPDISSKPEYYDVILNGLEIFKLQDYGKNNLAGLSSPLPQQTDSGASSGGKSRGTALAAICGSAVGGFVVVLMVCFGVCIICRRKKKISKDTTGSHTSTVPSNLCRHFSFAQVQAATNNFDQAFLLGKGGFGNVYLGEIDGGTKLAIKRCNPMSEQGVDEFQTEIEMLSKLRHRHLVSLVGYCEDKNEMILVYDYMAHGTLREHLYKTKNPPLSWKQRLEICIGAARGLHYLHTGVKPTIIHRDVKSTNILLGSDDGIVVAKVADFGLSRTGPSFGETHVSTTVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLLEVLCARPVIDQSLDSGQINLADWAVRMLHEEEEEGRLGKIADARITGQVNENSLRKFAETAEKCLADYGVDRPSMADVLWNLEYCLQLQKTHVGRDAFEDGGAVTTTQLPTGVVVPRWLPSSTSLSMDDDTGMSTQLSAGGEGR* >Brasy6G023800.1.p pacid=40050874 transcript=Brasy6G023800.1 locus=Brasy6G023800 ID=Brasy6G023800.1.v1.1 annot-version=v1.1 MPARDLVSWNAMICGLAQGGDCPTEVIRVFLRLLKDGGTAVRPDRISVCSVIPACGGEGKIELGRQVHGFAVKLGVEGQVSIGNVLVAMYYKSGAARCARKLLESMGERDVISWTTAISMDGEEDGITLFNGMRRDGVAPNEVTFVALMSALTAGCPARYGQMIHAVCLKTGVSDEAAAANSLITMYAKLRRMGDARTVFDRMPRPEIIAWNALISGYTQNELCNEALHVFSCMVERLRPNETTFASVLSAVTAVETISMAYGEMYHCQALKLGLSIGEYVSGALIDMYAKRGSLEESQKAFDGTVHRSLIAWTAIISAYAKHGDCDTVMNIFDDMVCSGVAPDGVVLLSVLTACRHSGAVDTGREIFDSMPAKHHVEPWPEHYACVIDMLGRAGRLEEAEELMLQMPTGPSISALQSLLGACRIHGNTSIAERVADILTETEPTESGAYVLLSNIYAEKGDWGGVAKVRREMREKGVRKEIGFSWVDFGAGEAVHLHKFSSDDTTHPLTEEIYRVAEGLGLETKLLKNCLHMEMESVF* >Brasy6G086000.1.p pacid=40050875 transcript=Brasy6G086000.1 locus=Brasy6G086000 ID=Brasy6G086000.1.v1.1 annot-version=v1.1 MGQGHDKMLEEPSWQELAEEEAELESLEMAAQVQMPKGNEKALERKHALWALHIVGCHQSAELDPKLNELVPTRFCSFNVAAFDLDRESEVIHGPALKEIDPDQLKRSIVGMSVNVVSLKVIKSDVGYPISVYGTVLARDGIDYKCVYMFRRERDDCQIINSSEDVLTLTGPSRTFVVTDSMFFEINLMIKGDAEIAEGDFSKGVVEHCAVKYDKTMTWLLTSWRSMVELVCAPVDHPVEATLEVKILNGSRDAPFNGKVIAWTTGYEDYPIILYEYDDSKATCTASLIGDDGQIALSRNLIAVPFNFYGLDDEDEEIAELNVCFVDCRDEDVCTLVTVAFPCEEKVCKHDSYELQVKVDWTAVLYGPWWFGKNHEEIMERWMTLPPKVLNSWSWNLDRNGSKNIDVSWT* >Brasy6G086000.2.p pacid=40050876 transcript=Brasy6G086000.2 locus=Brasy6G086000 ID=Brasy6G086000.2.v1.1 annot-version=v1.1 MGQGHDKMLEEPSWQELAEEEAELESLEMAAQVQMPKGNEKALERKHALWALHIVGCHQSAELDPKLNELVPTRFCSFNVAAFDLDRESEVIHGPALKEIDPDQLKRSIVGMSVNVVSLKVIKSDVGYPISVYGTVLARDGIDYKCVYMFRRERDDCQIINSSEDVLTLTGPSRTFVVTDSMFFEINLMIKGDAEIAEGDFSKGVVEHCAVKYDKTMTWLLTSWRSMVELVCAPVDHPVEATLEVKILNGSRDAPFNGKVIAWTTGYEDYPIILYEYDDSKATCTASLIGDDGQIALSRNLIAVPFNFYGLDDEDEEIAELNVCFVDCRDEDVCTLVTVAFPCEEKVCKHDSYELQVKVDWTAVLYGPWWFGKNHEEIMERWMTLPPKVLNSWSWNLDRNGSKNIDVSWT* >Brasy6G086000.3.p pacid=40050877 transcript=Brasy6G086000.3 locus=Brasy6G086000 ID=Brasy6G086000.3.v1.1 annot-version=v1.1 MLEEPSWQELAEEEAELESLEMAAQVQMPKGNEKALERKHALWALHIVGCHQSAELDPKLNELVPTRFCSFNVAAFDLDRESEVIHGPALKEIDPDQLKRSIVGMSVNVVSLKVIKSDVGYPISVYGTVLARDGIDYKCVYMFRRERDDCQIINSSEDVLTLTGPSRTFVVTDSMFFEINLMIKGDAEIAEGDFSKGVVEHCAVKYDKTMTWLLTSWRSMVELVCAPVDHPVEATLEVKILNGSRDAPFNGKVIAWTTGYEDYPIILYEYDDSKATCTASLIGDDGQIALSRNLIAVPFNFYGLDDEDEEIAELNVCFVDCRDEDVCTLVTVAFPCEEKVCKHDSYELQVKVDWTAVLYGPWWFGKNHEEIMERWMTLPPKVLNSWSWNLDRNGSKNIDVSWT* >Brasy6G211800.1.p pacid=40050878 transcript=Brasy6G211800.1 locus=Brasy6G211800 ID=Brasy6G211800.1.v1.1 annot-version=v1.1 MRPSTPTGRGFPMERAKNHTFTTTPPPKSPQPYSQPQSPIPNPPLTPISGPNPATARPPNRSLPAPDQPAAAESGDEQHLGSQLPPNGTPPHRPIAQEPTPRRHP* >Brasy6G201100.1.p pacid=40050879 transcript=Brasy6G201100.1 locus=Brasy6G201100 ID=Brasy6G201100.1.v1.1 annot-version=v1.1 MNVHSQPQLQTAKTGLVSKHVKASARSTLGKRQKPSLPTQTQGDSPQARARHLPPASSSQSPPPRPSQNPRTQNPSRSARISAASAAAMAAAHSLLHLAAPKPLAPASSFSTHTPLAAAQLRQLPAARRPHRLVASCAGSAHPDLTAFPNPNGLLVAEPAAVAHNIDVDVVTEAELRENGFRSTRRTKLVCTLGPATCGEAELEALAVGGMNVARLNMCHGDREWHREVIGRVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAPAAKAEDGEIWTFSVRSFEAPLPELTVHVNYEGFAEDVRVGDDLLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSVVREKNAMLPTITSKDWIDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLTNLEEIIRASDGAMVARGDLGAQIPLEQVPSIQQKIVKLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVNQRADALMLSGESAMGRYPDKALSVLNSVSLRIEKWWREEKRHEALELEDVSSSFSDKVSEEICISAAKMANKLEVDAVFVYTNGGHMGSLLSRCRPDCPIFAFTNSTSVRRRLNLQWGLTPFRLSFSDDMESNLNRTFSLLKARGMIKSGDLVIALCDMLQSIQVMNVP* >Brasy6G205800.1.p pacid=40050880 transcript=Brasy6G205800.1 locus=Brasy6G205800 ID=Brasy6G205800.1.v1.1 annot-version=v1.1 MANQHNRHDDYIDEEAMMNFVSGVIVDPYERSPVEEEGRPQDPAAATTHPVLPPVADVPPDVLLAELSDHVLQNAHGHHHQRPGKALAYEFQGQMEAGGQSSSSAAAYSFDDHVQLQQQVQAATTTTMPISIEDYLQQVPGESPSAFLDQVYGSEFQDVLQEIQGGQQGAPSYDHVQGLAAAAAEEESCAYDSTDYDRMLHECWMACGGNPEETPALPGSGEDDEEQFFSSPSTDVDSPPFLDSFLLSGLSDVADALMRNEVNNAIGSDNCNNPQQAAAGFADDQFNFVPFVPGEVNCGNCHLVREIDHRSDSRVLRLLLHSAAPGTFEHAIIDRKHGGDDGEPPMSELLYIK* >Brasy6G026600.1.p pacid=40050881 transcript=Brasy6G026600.1 locus=Brasy6G026600 ID=Brasy6G026600.1.v1.1 annot-version=v1.1 MASRLKFENSCEVGVFSRLTNAYCLVAAGGAENFVSVFEAELAGVIPVVRASIGGTRIVGRLCVGNKNGLLLPHTTTDQELQHLKNSLPDQVVVQRIDERLSALGNCIACNDHVALTNPDLTKETEELISDVLGVEVFRQTIAGNILVGSFCAFSNKGGLVHPRTSVEDLDELSTLLQVPLVAGTVNRGSEVIASGVAVNDWAAFCGSDTTATELSVVESVFRLRDGRPGALGADDVRKSLVESCFV* >Brasy6G087900.1.p pacid=40050882 transcript=Brasy6G087900.1 locus=Brasy6G087900 ID=Brasy6G087900.1.v1.1 annot-version=v1.1 MACAAPPSPLPVASLPARRRTTAAYCSPSSRLSRRSWLPSGKAPRARLAAGASNVEPAEESLPAPPGPSAPSATLPQPNTSTWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLATANRVYAIDLIGYGYSDKPNPREFKESFYTFETWGEQLNTFCAEVVRSEAFFICNSIGGLVGLQAAVMEPQTCKGIVLLDISLRMLHINKQPWFGKPFIRSFQSLLRNTVVGRLFFNAIATPESVKNILSQCYHDTSAVTDELVQMILQPGLDPGAVDVFLEFICYSGGPLPEDLLPMVKCPVLVAWGEKDPWEPVELGRAYGSFDAVEDFVVLPNVGHCPQDEAPELVNPLVESFVKLHS* >Brasy6G087900.2.p pacid=40050883 transcript=Brasy6G087900.2 locus=Brasy6G087900 ID=Brasy6G087900.2.v1.1 annot-version=v1.1 MACAAPPSPLPVASLPARRRTTAAYCSPSSRLSRRSWLPSGKAPRARLAAGASNVEPAEESLPAPPGPSAPSATLPQPNTSTWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLATANRVYAIDLIGYGYSDKPNPREFKESFYTFETWGEQLNTFCAEVVRSEAFFICNSIGGLVGLQAAVMEPQTCKGIVLLDISLRMLHINKQPWFGKPFIRSFQSLLRNTVVGRLFFNAIATPESVKNILSQCYHDTSAVTDELVQMILQPGLDPGAVDVFLEFICYSGGPLPEDLLPMVKCPVLVAWGEKDPWEPVELGRAYGSFDAVEDFVVLPNVGHCPQDEAPELVNPLVESFVKLHS* >Brasy6G087900.3.p pacid=40050884 transcript=Brasy6G087900.3 locus=Brasy6G087900 ID=Brasy6G087900.3.v1.1 annot-version=v1.1 MACAAPPSPLPVASLPARRRTTAAYCSPSSRLSRRSWLPSGKAPRARLAAGASNVEPAEESLPAPPGPSAPSATLPQPNTSTWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLATANRVYAIDLIGYGYSDKPNPREFKESFYTFETWGEQLNTFCAEVVRSEAFFICNSIGGLVGLQAAVMEPQTCKGIVLLDISLRMLHINKQPWFGKPFIRSFQSLLRNTVVGRLFFNAIATPESVKNILSQM* >Brasy6G040400.1.p pacid=40050885 transcript=Brasy6G040400.1 locus=Brasy6G040400 ID=Brasy6G040400.1.v1.1 annot-version=v1.1 MDFLFNSMLTPPDPSGEHPDSPPPEPEPAGSASSQPDDDASGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFSTGLRRETDALREAAARAARDLPSSAHALDGLADIVAQGKDAIAQVAATATASGPAPTDGAAAAADSGADPSSASGHLRYNRFEAQLRALQSDPATFAADPEDAEDFAAWRAAGFSVEEKQEEIEALCYESDALEALVDRLVPDSVDSEVFWARYFYRVHKLKQQEDARAKLVKRVIAQEEDEDLSWEVDDEVEEEPADKEVKEEGIKQAPTKEEMKHGVEERENEKPVEESKVEAVEEAGVPVKEQKNADAQQPEVFGSSMVVVDKEEKEEANKSNAEESSDKKTVAEESRSSTGDDVAKEGAKHETSDSSKDSDYSIVSRQRTATEEDLEWDEIEDLGEHEEKKGSTHGSSPAPKEELRKRLSVAEDDEDLSWDIEDDDDKA* >Brasy6G061200.1.p pacid=40050886 transcript=Brasy6G061200.1 locus=Brasy6G061200 ID=Brasy6G061200.1.v1.1 annot-version=v1.1 MVSPQGGSTTRACSTPAAEPDGSTMLERSSGGCRWNTTGRCSELTGPMQVQVTGTGSPVSSSGSGFSTEEWTPEGARTRSYPSRWPMRRDGTMPVLSGEGWRQEGSASLLLALGLKCSGVVCPTSPSNTIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRMVSRGIYLDDSQLDYHHEIDNSSRGVAHSSTNTSNPSRSLTCSTLSRLRSRAHAFWLWVVQKWPSMLPLAQDFIQLAMRTNLMFFYFEGLYYHLSKRGAGIHYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNLSSIATSINQISSGSYPSSTGRSVPVLNGDGNIISDIRHGKAVDLASGSEAHSSKSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF* >Brasy6G134800.1.p pacid=40050887 transcript=Brasy6G134800.1 locus=Brasy6G134800 ID=Brasy6G134800.1.v1.1 annot-version=v1.1 MCPCNNTTPIQDKEYLLPTYTSGPLAWITLCLDPRTTLQISESLDGIQIRKF* >Brasy6G028700.1.p pacid=40050888 transcript=Brasy6G028700.1 locus=Brasy6G028700 ID=Brasy6G028700.1.v1.1 annot-version=v1.1 MEARRCGLSGAPALLRLSWKRRKIGFFSVIHMLYLIKVGGSSPDVFPELFVKNREQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTCSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIVVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKTRKTYGDINVIVTGHSMGGAMATFCALDLAINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICNATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G028700.2.p pacid=40050889 transcript=Brasy6G028700.2 locus=Brasy6G028700 ID=Brasy6G028700.2.v1.1 annot-version=v1.1 MGRWRRVGVVSLVLLLFSACHGRELFVKNREQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTCSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIVVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKTRKTYGDINVIVTGHSMGGAMATFCALDLAINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICNATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G028700.3.p pacid=40050890 transcript=Brasy6G028700.3 locus=Brasy6G028700 ID=Brasy6G028700.3.v1.1 annot-version=v1.1 MGRWRRVGVVSLVLLLFSACHGRELFVKNREQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTCSRCNDLTQDFEMRSLIVDVENCLQVHSGFFSSYNNTILRLAITSAVHKTRKTYGDINVIVTGHSMGGAMATFCALDLAINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICNATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G139200.1.p pacid=40050891 transcript=Brasy6G139200.1 locus=Brasy6G139200 ID=Brasy6G139200.1.v1.1 annot-version=v1.1 MLIEQRNKAGEAESRVRRLRLLLLLALLAAAACACCCCLPLAATRMASAAAAGGAATHRTRNGSADGWRRSCYAVQRRGTWQRNGGLVAIVVGAVRPAYPAPTRPDPLPLARPPLSPPIDPPPSHPPRSLFPLPRSASILPDRSASVGVARPIRTPSKSRSSIKLELVVIVVGAVRPAYPARTRPDPLPLARPRTPAPVSPRLIRLRLILPDPSSLRPDPPPSSPIDPPRWVLPSRSEPPRSPDPERPHEATTYLSRPQSLPFPQSPAAAPRLQEDRLAAPPAAATQQSARSGNRSVRKTPPNGRRAAMSALGTAHVLCWNGRIERALCVPDRVEGARRAESRYVPRRGPGVRAGTWRQREPAAGSFCVAMRGKRQRREREGEGAASQSGEEGEKGGKQKKTEPNLGCSCVDNERLECDSIAVVIGLNRETWRRI* >Brasy6G228600.1.p pacid=40050892 transcript=Brasy6G228600.1 locus=Brasy6G228600 ID=Brasy6G228600.1.v1.1 annot-version=v1.1 MTKNCIKEVNYASICLCILFNLLILSVGLLGKRNNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKSDIVAVVHICEATLTKRLIEFENTDSGSLTIEDFLAKADEEQPVSKSSRKSGEVLCKHKDKDARHFAHGLCEKCYNKFIKMSGGLEGRADPPAFQRAEKQRLESAKKAEKAAALKEAALGESNCEIHNSDVEDNIISPRKGPIGDKSSTISSEQNANDNAASKDPEVGGENSKPNVDPESFSDIDDVEVDGYLHNEEETRYKKIIWEEINKEYIEEQAAKEALAAELAASGVGVGGGQQKKRRRNEDTKNLSPAETPAEATYNMLKRKGLGSKINVEAVVGLYNTKDEDGEANEKGDMGFDGEYTQDIGDGETFEGGDNYADYNNDGYDGEGGAEAYTDYD* >Brasy6G045800.1.p pacid=40050893 transcript=Brasy6G045800.1 locus=Brasy6G045800 ID=Brasy6G045800.1.v1.1 annot-version=v1.1 MATQARELPPKQELLRDDCEEDEEEEEGGEETWDDWESDGDDAADGGGGGGLLCLFCSSRFDSESSLFAHCGTEHRFDFHKVVRELGLDFYGCIKLINFVRSKVAENKCWSCGQTFACNSELCSHLHVAETSQLEGNVPWAEDSYLKPFMEDDSLLHSLSIFDDEEEEDCGMPMGKGEFSAGNGRLAEPQDNSLNTIIDDCSDISARFEKSVTTEGLGGDSNVSLSEEQSDRQLKIIRASVTAKEIKSVDDSYFGSYSSFGIHREMLGDKVRTDAYRDALLGNPSLMDGATVLDVGCGTGILSLFAAKAGASKVIAVDGSAKMCSVATQVAKNNGLLYDENAMEQKRSPQVISVVHTKAEELNHKIIIPPNGFDVLVSEWMGYCLLFESMLSSVIYARDHFLKPGGAILPDTATILGAGFGRGGTSLPFWENVYGFDMSCIGKEVTASSARFPVVDILASQDVVTDTAVLHSFDLATMKQSDMDFTASLELKLSADGAAVPGVTWCHGIVLWFDTGFTNRFCKDKPVVLSTSPLSTPTHWSQTIFTFEEPIAMTSEGSVVGSSASVGTAECPAVAIRCRVSIVRASQHRSIDISIETTGISSEGRKHSWTVQTFNL* >Brasy6G241100.1.p pacid=40050894 transcript=Brasy6G241100.1 locus=Brasy6G241100 ID=Brasy6G241100.1.v1.1 annot-version=v1.1 MPRGRVPRRERRLGVQYIGDNRARDITFYKRRGGLFKSATDLYSLTGARVAVILEADNGRMHSFGTPLADPIVGAFLSGDPPTEPLTDQATTDRIALLQNEVARLDMENTMEVSKAKLTTKRVKEIQDENPGMGANLLLARKEDLSLEDLTKLFNELSRVEQNIARRVPPLDRVHEQNGPSMSATNHPLPQVPSWGLMETLPWPSSSSDLLLQQPQHVLAPNFPMQVPETFQPTPPAAMSPPILNQVQEPPSPLQPHLQIHASPDNLVEPPQNNINPNSTFEPNEEASPFLDYTGGDNFGIDDPFGYEHWSYALADLPYYSSFLEMLGTDVGQAEMGNGGWVNAPPESSSTSSDGKTS* >Brasy6G120400.1.p pacid=40050895 transcript=Brasy6G120400.1 locus=Brasy6G120400 ID=Brasy6G120400.1.v1.1 annot-version=v1.1 MAGSLLANYVQVNVMLPLDVVSVDNKFEKGDELRAQLKKLTDAGVDGVMIDVWWGLVEGKGPKAYDWSAYKQVFELVQEAGLKLQAIMSFHQCGGNVGDVVNIPIPQWVRDIGASNPDIFCTNRSGKRNIEYLTLGVDDQPLFHGRTAIQMYTDYMTSFRENMKEFLNAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLVADFKAAAAKAGHPEWELPDDAGEYNDTPEKTQFFKDNGTYVTEKGDFFLSWYSNKLIKHGDKILDEANKVFLGHTVQLAIKISGIHWWYRVPNHAAELTAGYYNLDDRDGYRTIARMLKRHHASLNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATGYNTILRNARPKGVNKSGPPEHKLQGFTYLRLSDELLQGQNYVTFQTFVKRMHANQDHDPSVDPIAPLERSKPEMPIQKILQAAQPKLDPFPFDENTDLPV* >Brasy6G254000.1.p pacid=40050896 transcript=Brasy6G254000.1 locus=Brasy6G254000 ID=Brasy6G254000.1.v1.1 annot-version=v1.1 MSLPPENLFDDLIVQILVRLPPDEPECLVRASLVCKPWYSLITGRTFIRRYREFHRLPPLLGFLRVEVDEFISHFVPTTAFRPPESCLSNCLTLDCRHGRVLLRDTGSVDLLLWDPMTGEKIHLREPEVAECYFTAAVLCATAGCDHLDCHEGPFLVAFVGIDNDQVAYACLYSSVTDEWSDVTKLQLEYSFTTLPMAPILVGDALHYMCDSGIILRYDVGSERCISVFDQLDDYSDDNVLMLTEDGGLGIASIDMLTLCLWSLKTGPGEAIRWEKFRTIHLKMLPNHSPSCLAPSLVGFVQGVDSGIIFMSTHTCILMIDLKSERVSKVCEMDDDFEDIFPYFSFCTPGRVIEELPEEST* >Brasy6G181900.1.p pacid=40050897 transcript=Brasy6G181900.1 locus=Brasy6G181900 ID=Brasy6G181900.1.v1.1 annot-version=v1.1 MEIFLSAVFGELASRSMSFFISKYSKQPMQDVVVNLERILLRAQVIVDEAAGRHITNQGMLRQLSMLRDAMYQGFYVLETITYRAIEIQAVSNLWALSKFSYYTKHFCLSSSLSSRTRTSQQELQPEEVLDSLRTMILDFSESVMFLTTYPRLLRQPYSMHILLEKCMFGRQMEMELVINFLLHTQPCTSTSLGRSEVLPIVGQGRTGKSTLVAHVCNDERVRDHFSEIVFFGHGTFGDEDASILTERCKMRHEKTGKLLIVFEVVGELNNNLWEKLCSLCRRCTTSGKILVTSRSEKIIKLGTTHAVTLKHLPREAMDPKMHPRLAYLAMEIAKVLTGSLVAANSIARLLRVNFSIRYWSKLLKFLKWSVQKHLSMFGEHPCDLLDENKPTCVRRLGGSGLDLFVHDTCSSQEEAPEITMDDVAYGGVKPPGIFKVLGWKSLIPPYYCYIYTCEIREPLTRVVKRKRSRSET* >Brasy6G041300.1.p pacid=40050898 transcript=Brasy6G041300.1 locus=Brasy6G041300 ID=Brasy6G041300.1.v1.1 annot-version=v1.1 MLVAALRHMLRRVLAGLRSLHPRPRRRRHGTNVGNAGASGAAAASSKGPRVAVRRLGSNKAAAAADAPGPGEARAAAVTIRVATFNAALFSMAPAVSASTTKPVPEADVDDAAERDSPGVARRSGTGIGIGTGARRPKGILKAQASLLSRTPSKARVSINIQDNEISLDRSGKLLGTAMRGSGKKLRQQHSLSFSGRQGHQQDSTVGRLDSGRRRSVEEVLREAGADVIALQNVRAEDGSGMRPLSELAAALGMGYAFAESWAPEYGNAVLSRWPITRWKALRVADPSDLRNVLRATIEVPRAGPVNIHCTQLDHLDESWRMKQVDAMLRSVDGPHILAGGLNALDATDYSPHRWADIVKYCEEIGKPTPKAEVMRYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPGSPYKFVPGSYTVVSSKGTSDHHIVRVDVAVPETREGAAEAAGGIKGRKKKQRVVVKMSSKKGSRKGIWSRGG* >Brasy6G216600.1.p pacid=40050899 transcript=Brasy6G216600.1 locus=Brasy6G216600 ID=Brasy6G216600.1.v1.1 annot-version=v1.1 MSRADWAVKLKHWKDEFISTLQHYWLGTELLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLNHLGLLSTEEMRQQLRDWLDLSLNHAMPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQKKEEKVKLKEPEAAEEDLALKEMTEPTAREEEELRKGKEHDKEHLCNISRALAVLSSASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKRAYMAAREESEDADDVTAKEEVSSALIEKRTSQPSS* >Brasy6G084200.1.p pacid=40050900 transcript=Brasy6G084200.1 locus=Brasy6G084200 ID=Brasy6G084200.1.v1.1 annot-version=v1.1 MVSPSYVVRLFDPQAPAIPFVRFPWWASLSGIYKVCHRQVFPRPSAAAASSPGCAAAPSSLCSRPRPPPSSHRRCLLYSRPSHPPHPAVSAGGGRPPSCPQSPPPYAVAASSAGCYPRLRTSSDPPLAKQNIETTAIPHTRLTQSPNRSINQSVPRLPSTVPHPRCSTREALAGSGGAPTAGNFPSPSLASPLAAVTAAAGLGGRHYRRPRGSRHGEAAAWSWQRGPAGPAPFAADPPCPKRARVASLTPAAAESDGDDIDAFASSLEEDILGVVSSQDETAHINIDNYIDRLNFSVCVPNEQGKEKGQKDEVEVLLLW* >Brasy6G237500.1.p pacid=40050901 transcript=Brasy6G237500.1 locus=Brasy6G237500 ID=Brasy6G237500.1.v1.1 annot-version=v1.1 MDLKGLDGDKLASRLYVGNLDFRISESDVIKMFSPYGKIMSEDFLLHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKINGKLVCGRPVVVHLASEKCFLDSGNSPRAQKDKKHAGGSGSKLAQTDRAAKIAAIKNKLKSLEGEGCSTKRPRLEPDDLIGSSDQSDKKL* >Brasy6G237500.3.p pacid=40050902 transcript=Brasy6G237500.3 locus=Brasy6G237500 ID=Brasy6G237500.3.v1.1 annot-version=v1.1 MDLKGLDGDKLASRLYVGNLDFRISESDVIKMFSPYGKIMSEDFLLHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKINGKLVCGRPVVVHLASEKCFLDSGNSPRAQKDKKHAGGSGSKLAQTDRAAKIAAIKNKLKSLEGEGCSTKRPRLEPDDLIGSSDQSDKKL* >Brasy6G237500.2.p pacid=40050903 transcript=Brasy6G237500.2 locus=Brasy6G237500 ID=Brasy6G237500.2.v1.1 annot-version=v1.1 MDLKGLDGDKLASRLYVGNLDFRISESDVIKMFSPYGKIMSEDFLLHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKINGKLVCGRPVVVHLASEKCFLDSGNSPRAQKDKKHAGGSGSKLAQTDRAAKIAAIKNKLKSLEGEGCSTKRPRLEPDDLIGSSDQSDKKL* >Brasy6G100800.1.p pacid=40050904 transcript=Brasy6G100800.1 locus=Brasy6G100800 ID=Brasy6G100800.1.v1.1 annot-version=v1.1 MKNLKLVTRIAQQLQLQLDGETLVVSSIDAERHRAFFASSANFLYSVHLLASTQQPLQWSKTTLDSDVEEVVLEPGDCIVAMDYLMEKESLLLGSSDGCLLLYNVEERTTEVVGRVEGGVRTIASSPDGALLSVTTGFGQLLVMTHDWEVLSETSIDPQSTGAGEIDSCGGLIQSSISWRGDGKFFATLGGLDGSPQKLTIWERESGKVHSSSDANNFMGQSLDWMPSGAKVATAHDRKTEGKGPLVVFYEKNGLERTCFSINETAEVVIQALRWNCNSELLAALVSCGQYDVIKIWSCSNNHWYLKQELHYTKREGVKFSWDPTKPLHLICWTLGGEVITHRFAWTTAVSETSIALVIDGSHVLVTPLNLGLMPPPMSLFRLAFPCAVNEVSFLSKNSKNHLAAYLSNGCLCFVELPAEDTWEELEDNGISVDPCCSDFTLNNCMHLTMVDTRTLIGICRCSDYCSSTPVMSSEASNLAEKHDSLFFVNEIKLVCSEDSLPVSVSSSGWQARVSKRMPLEGPVVGVSRNLGKGGSAFIQLSGGKIVEYCSDVNLLRMTAPTKGGEVCSDYDFPTSCPLMTAVPCHQNGVVRTLLFGLDDSSKLHLGKRLLSNNCSSFTFYSSAYGAAEQVVTHLLVTTKQDLLFIVDVNDILLKNGQVTVDSHVNSHPRGKQSKEHITVWEKGAKLVGVLHGDEAAVLMQTTRGNLECMYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNMMVDYCGWRTFIKSAADFVIEVSNLSHITEFVCSIKNENVSSKLYEAYISFPDQCTTSMDNENSHDIFSDNKVTAVLMAIRKALEEQTEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECQPPSIMRYTVDLKLGRYESALKNIVSAGTEYHKDCMELLNANPQLFPLGLQLFSDPDKRHQILEAWGDHLSEEKCFGEAAITYQCCSSYQKSLKAYHACGDWRGVFTVAGLLKFGKEDILKLAHELCDEFQALGKPGDAAKIALDYCSDVDRGIGYYITAREWEEALRVAYMHSRQDMVDTVRHAALECAALLISEYQEGLLKVGKYLARYIAVRQRRLSLAAKLQSEDRFMDVEDENISEVSSSFSEMSAYTTRSTKESSASVISSNASKSRGARRQKKGGKIRAGSPGEEMALVDHLKGMSLTTGAQNELRTLLVVLTQLGKEDIARQVQLAGDSFEVSQMAAVKLAEDTVSSNKIDENAHTLEHYVKMLRAHQPVATGETISWRIKALSPP* >Brasy6G100800.2.p pacid=40050905 transcript=Brasy6G100800.2 locus=Brasy6G100800 ID=Brasy6G100800.2.v1.1 annot-version=v1.1 MKNLKLVTRIAQQLQLQLDGETLVVSSIDAERHRAFFASSANFLYSVHLLASTQQPLQWSKTTLDSDVEEVVLEPGDCIVAMDYLMEKESLLLGSSDGCLLLYNVEERTTEVVGRVEGGVRTIASSPDGALLSVTTGFGQLLVMTHDWEVLSETSIDPQSTGAGEIDSCGGLIQSSISWRGDGKFFATLGGLDGSPQKLTIWERESGKVHSSSDANNFMGQSLDWMPSGAKVATAHDRKTEGKGPLVVFYEKNGLERTCFSINETAEVVIQALRWNCNSELLAALVSCGQYDVIKIWSCSNNHWYLKQELHYTKREGVKFSWDPTKPLHLICWTLGGEVITHRFAWTTAVSETSIALVIDGSHVLVTPLNLGLMPPPMSLFRLAFPCAVNEVSFLSKNSKNHLAAYLSNGCLCFVELPAEDTWEELEDNGISVDPCCSDFTLNNCMHLTMVDTRTLIGICRCSDYCSSTPVMSSEASNLAEKHDSLFFVNEIKLVCSEDSLPVSVSSSGWQARVSKRMPLEGPVVGVSRNLGKGGSAFIQLSGGKIVEYCSDVNLLRMTAPTKGGEVCSDYDFPTSCPLMTAVPCHQNGVVRTLLFGLDDSSKLHLGKRLLSNNCSSFTFYSSAYGAAEQVVTHLLVTTKQDLLFIVDVNDILLKNGQVTVDSHVNSHPRGKQSKEHITVWEKGAKLVGVLHGDEAAVLMQTTRGNLECMYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNMMVDYCGWRTFIKSAADFVIEVSNLSHITEFVCSIKNENVSSKLYEAYISFPDQCTTSMDNENSHDIFSDNKVTAVLMAIRKALEEQTEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECQPPSIMRYTVDLKLGRYESALKNIVSAGTEYHKDCMELLNANPQLFPLGLQLFSDPDKRHQILEAWGDHLSEEKCFGEAAITYQCCSSYQKSLKAYHACGDWRGVFTVAGLLKFGKEDILKLAHELCDEFQALGKPGDAAKIALDYCSDVDRGIGYYITAREWEEALRVAYMHSRQDMVDTVRHAALECAALLISEYQEGLLKVGKYLARYIAVRQRRLSLAAKLQSEDRFMDVEDENISEVSSSFSEMSAYTTRSTKESSASVISSNASKSRGARRQKKGGKIRAGSPGEEMALVDHLKGMSLTTGAQNELRTLLVVLTQLGKEDIARQVQLAGDSFEVSQMAAVKLAEDTVSSNKIDENAHTLEHYVKMLRAHQPVATGETISWRIKALSPP* >Brasy6G085600.1.p pacid=40050906 transcript=Brasy6G085600.1 locus=Brasy6G085600 ID=Brasy6G085600.1.v1.1 annot-version=v1.1 MSAVDENVPLPVQIRGWKPKQLRRYSRYAGSFVCSRRTGALKSRTVIGLDNEDATGADLHFNSSSEPERIVSEEHLPLSVGKLKLTQKPHSESVLPRRKSSQSQTRSHASKQEDSAPRPMRKNLMSPFEWLRYLISLQKLLTMLEEENLPLPSGSTNKSLLKPPTTRLHVNLNSSQSQTRPHATQSNTALEKASHVEKAAQVKKPKLKSKQKPELTLKEKVVPDRKLAWWALHVTGCQQLAELDPKQNALVPTRFCSFNIAAFDLDEESEVIHGPPLKEIDPDQLMRSIVGISVNVVSLKIIKSDVGYPISVYGTVLARDGIDYKCVYMFRRERDDCQIINSSEDVLTLTGPSRAFVVTDSMFFEINLKIKGDAEIAEGDFSKGVVEHCAVKYDKTMTWLLTSWRSTVELVCAPVDHPVEATLEVKILNGPRDAPFNGKVIAWTTGYEDCPIILYEYDDSKATGTPALIGDDSKATCTPALIGDDGQIALSRNLVAVPFNFYGLDDEDEEIAELNVCFVDCRDEDVCTLVTVGFPCEEEVCKHDSYELQLKVGWTAVLYGPWWSGKNHEEILERWMSLPPKVLNSWSWNLDRNGSKNIDVSWA* >Brasy6G085600.2.p pacid=40050907 transcript=Brasy6G085600.2 locus=Brasy6G085600 ID=Brasy6G085600.2.v1.1 annot-version=v1.1 MVELEEENLPLPSGSTNKSLLKPPTTRLHVNLNSSQSQTRPHATQSNTALEKASHVEKAAQVKKPKLKSKQKPELTLKEKVVPDRKLAWWALHVTGCQQLAELDPKQNALVPTRFCSFNIAAFDLDEESEVIHGPPLKEIDPDQLMRSIVGISVNVVSLKIIKSDVGYPISVYGTVLARDGIDYKCVYMFRRERDDCQIINSSEDVLTLTGPSRAFVVTDSMFFEINLKIKGDAEIAEGDFSKGVVEHCAVKYDKTMTWLLTSWRSTVELVCAPVDHPVEATLEVKILNGPRDAPFNGKVIAWTTGYEDCPIILYEYDDSKATGTPALIGDDSKATCTPALIGDDGQIALSRNLVAVPFNFYGLDDEDEEIAELNVCFVDCRDEDVCTLVTVGFPCEEEVCKHDSYELQLKVGWTAVLYGPWWSGKNHEEILERWMSLPPKVLNSWSWNLDRNGSKNIDVSWA* >Brasy6G097300.1.p pacid=40050908 transcript=Brasy6G097300.1 locus=Brasy6G097300 ID=Brasy6G097300.1.v1.1 annot-version=v1.1 MGLLKNNVDAAFSDDEGIGSAGVVVRDFCAKFVAAALSAHSFCAMADGGAGAGAGGGAGQFARAVAGLAAAGGAARGRGSGGPVRARASRPRPPVFLPRRFFRPRQRGAKLVDLDEDEDGGGGAVPGDGGGGAVPGDGAGQGAVPGDGAGQGAVQDGAGHVSEKNCK* >Brasy6G255500.1.p pacid=40050909 transcript=Brasy6G255500.1 locus=Brasy6G255500 ID=Brasy6G255500.1.v1.1 annot-version=v1.1 MVASLALTLGGYLGQAITQDGVTRGVSYMVARHQERASMGDSYEERIKLAHSEMQFVLERSGKLPIADVSLLNKRMMIKLAFQECDTLLRSLQLPVHDQQAQQMAIAEAEATATEAAEATATEVAEVAATATEAAAAAVLGEVAAAAQVLGKVAAAGEFLCSSLARSVSSALGITRDKQSLRIDDVKKFEGYADFAGKFMRDVESGCSIAHYRFFSPLITQLLQGITLKYEMVQASRTQDLWIRPVRTEDHGVAAWLTFRSFDAKIPTKCFQVTLVVRLSENTDIVGTAIQCLESLGPQFKSLAQVAVGELSQLPTQDLSDTCLSDIDCAKLISRLQDHLRPDPLCCQANVLQRAGCADNVIPSQLESHRFPEQLLVMKFRCEVSAFDQYNVQSSADEAERNVVNGWPLLKVQAIFIPHSPRGGGERRAWQTIGEQRKYMNGTSVQQMGEMLLTNAITCFIRQPELAEYRLDWVSPHGAAIFTVEKPKEKVRPNQRQVQDA* >Brasy6G128700.1.p pacid=40050910 transcript=Brasy6G128700.1 locus=Brasy6G128700 ID=Brasy6G128700.1.v1.1 annot-version=v1.1 MAKARKPTAAERFLGFHSRPGSAAVAPSPDDLPDLAEADVWYSPSSDSHSPTTTAADRGEGGRAAYSGLSPSAPRRIGGLSRAFADGRQVASSAPVAVPAWASRFAEMSLEDPPEPATREKQQQEEGGADDDGWLPPHVYLARRQARASVVEGVGRTLKGRDASRVRDAVWSRTGFPG* >Brasy6G113300.1.p pacid=40050911 transcript=Brasy6G113300.1 locus=Brasy6G113300 ID=Brasy6G113300.1.v1.1 annot-version=v1.1 MRFAMANKAYMAVTLGAAMELKEQVAKPCSSAAKKRGFSVVDVRSSAGKVNAAAEESLRMVMYLSCWGPS* >Brasy6G211100.1.p pacid=40050912 transcript=Brasy6G211100.1 locus=Brasy6G211100 ID=Brasy6G211100.1.v1.1 annot-version=v1.1 MAAIWGGEGGGSSTSSPDGGRGPTAGSRASGEGGDERYDGGRGWGGDGRSNRGETEGMGRGSVPPQRRRRRRRRGRRRRWREVGRDLEREGAAVNQRPLFGPNYSYIV* >Brasy6G103400.1.p pacid=40050913 transcript=Brasy6G103400.1 locus=Brasy6G103400 ID=Brasy6G103400.1.v1.1 annot-version=v1.1 MARAHEAEAPLFLAGFWLMLAWAKVLLLRGSLYPDDDEGGMIDGFDLIEMHVKSAPVGSAPRGLGRPGVRCQ* >Brasy6G078300.1.p pacid=40050914 transcript=Brasy6G078300.1 locus=Brasy6G078300 ID=Brasy6G078300.1.v1.1 annot-version=v1.1 MDLWVFREPQRDAQLLHSSGAAAAVWVLLVFGPRLVWCGLEPEMRANCLLFLVI* >Brasy6G149100.1.p pacid=40050915 transcript=Brasy6G149100.1 locus=Brasy6G149100 ID=Brasy6G149100.1.v1.1 annot-version=v1.1 MAAESNDDNTRILTELFVIQDVAKDFKARTGLEYTKAQLKNKWDKLKIDYNIFKKLKLRETGGGWDIERNTVKQDAEWWRKAKIDIPNCGKFKKWGLRNEDNLEIMFSDITSDGTNHWNPASGSLPKSSEAAASILNVDAIEDLDLDMIEEEAPPSAGKGKRLGRWIDDKSKKPKTCQVMQDQIIKIGDIAERTQTSLESFIKEDDTTSVKYVMTQVVACGAAEGTDEYFIATELFVKREQREMFMQMSEASRFDWLKRKFRIKYGG* >Brasy6G249900.1.p pacid=40050916 transcript=Brasy6G249900.1 locus=Brasy6G249900 ID=Brasy6G249900.1.v1.1 annot-version=v1.1 MAEEDQGKGMMNWKDAGAGDGETCSGVELGLRLRTGSGGAAAEEAPAVRRKKSMTIFYGGRVCAVDVTDLQARAIITMANNEMILAEQNRRMGSDRHQDSTGSGSSSSAAPRSPPPDSRRDDHQDCLEAAAAPAGLSMKRSLQRFLQKRKARAAAAPYAAGTGRRGTRPCPPN* >Brasy6G000300.1.p pacid=40050917 transcript=Brasy6G000300.1 locus=Brasy6G000300 ID=Brasy6G000300.1.v1.1 annot-version=v1.1 MGGIRRGGDTDGLHSQRPNHRFAGVGPLMKQGGEAGILSDPLFGGNMLCSPKRAGRDQKKMLIDGVSGDVIARHTSPGIVGRLMGLDIMPSFGVHSQNTCNGNHSQDMSPESCRDESWSCHDKYAFAGDVSRRTSSDEIPEFKDVFEVMETTRVKNQNHDTSSRHDKVNSADLNFARQKFMDAKRLSTDESFQRSKEFNDALDALVSNKELLMEILQESVASDLCDLNCSPSSGLNRITLLKPSRRNKFIDADVVYPPEEDTGRCFHAPKEAKHSPRKPSISFPNQPLKEGSSSFRQKLSRSSYKERVDKRISPTRIVVLKPCIEKTLNMEGAFPLTHDMFCSSYRRTNTCLDDGIRRPYADEPMPQISTGYSDVRCHTGKGYREIAQEVSTQMKTAVKGGASGKQKLNPNIGVSNWDDQVPLLSSNGLKSSAASQRSSGPCEAPGAPRLGASPTYSTKTSIRKEARRRLSDRWKVTHQYQQPSQDANTFSTLGDMLALSDKESSKLTSGETACQQSPNVELHRTVMPESCGYSLGISSNDGFKDEDVCNLTRLESIFTSSIDRGSPKLSSRKESCTYGEYSMVENILRAGPYSEDLHHDRPRRSLVRSSTNPSLDEVESTVTEHEIHVNFEEPPYAVAVLELSETGGRLVAARNSNCRLGAERYLDNSSAVPEWQREAQSSAQNKMMDQEQTCTLDGHLFSNSLRDPASQADESGHDRYEDHQAPSDHLTESLSTGSSSEDDQPSPVSVLESSLDADDCCSGGFEKISADLQELRMQLRLLKMEATDNADETELALSSGDETAASCELVNESGLPSCTFWDEDGRDFSYVDDMLACLGIESAEQVLLVNARYLSGSSACSDVYDHLEKKYRELILWPQSERRLLFDLTNAILVDMITCLTHCGGKGLVKKRELSMKWDKEGLVQEVWERVCRQRRETECFQEERLMGVGWLDCEDVTDEIAGDIGSMVGEDLLEEAIADLILLKDCY* >Brasy6G036700.1.p pacid=40050918 transcript=Brasy6G036700.1 locus=Brasy6G036700 ID=Brasy6G036700.1.v1.1 annot-version=v1.1 MQNLLLPNAGAAATRSWSAHPPPRARPSSRVSVRASAASRGPRRETDPRKRVVITGMGVVSVFGSDVDAYYARLLAGDASGAGPIDRFDTSDSSTRFAAQIRGFSPEGYIDGKDARRLDACHLYTVVAAKKALSSAGLRPGSAAMGKVDKERAGVVIGSGMGGVGAFSDGVEKLVAATKGKKISPFCIPHAITNAGAAIVAMAPGVGFEGHNQSISTACATSNHCFHNAADQIRLGRVDVVVAGGAEAAIVPIGLGGFGACRALSKRNDDPATASRPWDRDRDGFVMGEGAGVLVMESLDHAMRRGAPIFAEYLGGAVNCDAHHMTDPRPDGIGVSSCIKRSLEDAGVAPEEVNYINAHATSTIPGDLAELKALKQVFKDTSQIKMNATKSMIGHCLGASGGLEAIATIKAITTGWVHPTINQFNPEPAVVDHFDTVRDVKQQHEVHVGISNSFGFGGHNSVVVFAPFKP* >Brasy6G262000.1.p pacid=40050919 transcript=Brasy6G262000.1 locus=Brasy6G262000 ID=Brasy6G262000.1.v1.1 annot-version=v1.1 MSDSEEHHFESKADSGASKTYPQQAGTIRKSGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDIPHVDRQDYQLIDITDDGFVSLLTENGGTKDDLKLPTDDALLTQIKDGFDAGKDLILSVMSAMGEEQICAVKEIGGGK* >Brasy6G160100.1.p pacid=40050920 transcript=Brasy6G160100.1 locus=Brasy6G160100 ID=Brasy6G160100.1.v1.1 annot-version=v1.1 MHALTDDRSSLYHTFDEDYALYAAADLLDAVSSRHKAAASVSPSTAPEGTAADDVSPPVPDAASGNSCLSSWFSGDSSSSTGGGGSWGSGGDYSDCSGSGE* >Brasy6G146500.1.p pacid=40050921 transcript=Brasy6G146500.1 locus=Brasy6G146500 ID=Brasy6G146500.1.v1.1 annot-version=v1.1 MFSWILRGCRDECSATDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADNMGNK* >Brasy6G146500.2.p pacid=40050922 transcript=Brasy6G146500.2 locus=Brasy6G146500 ID=Brasy6G146500.2.v1.1 annot-version=v1.1 MFSWILRGCRDECSATDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADNMGNK* >Brasy6G109000.1.p pacid=40050923 transcript=Brasy6G109000.1 locus=Brasy6G109000 ID=Brasy6G109000.1.v1.1 annot-version=v1.1 MDVPVVMRKSVRSMPVASLPLIAYAAVLLLLSALPLSQTLTYEQDVFAINGLYTALGSPALPGWVANAGDPCTENWQGVTCVMSNITQIKLTGISLGGQLGNTLANFTSLISLDLSNNNIAGTIPDNLPVTVQQLFLSGNKLSGSIPSTLSTLTLLTAMSLNSNQLAGDIPDVFSSHTGLANLDFSANNLTGPLPPSMGNLTALTSLHIQNNQISGTLNVLQDLPLQDLNIENNLFSGPVPPKLLLIPSFQKDGNPFNTSIAPSPSPLPGAPGPSPSLSPSTGHVPSKEPTKSSGVPNGNSPTSGANTVRTAKFVGYILVGVVSAVIIVLMVMFCSSKYKERKSKYNVYTKSQIRKEPQRLGEPKIKEVSDIKEHLVKPTNTVGKASNMVSNSKEELKVNASKKAPNVVSDAKEATSPLRAAPGVITKKQKEHVIDMEKTDDFVEEPVHFLQPVAPHTEKAIINASVRTKKGRVPSLGKIDLKTNVKSFSVASLQQYTNSFSEDNLIRDSRFGKVYQAELPDGEILEVLKIDVDNSRVPVDVFLELVVNISELSHPNILGLVGYCAEFEQRLLVYEHCSKMTLHDELHYVDEPSNALSWNARLQVAVEAAKALQYLHDGCQRPIVHQNFEPSVILLNSTLAVQISECGLALLSQLPGSLRALFHYEAPEVNESRSFSDRSDVYSFGVVMLELLTGRKPFDSSRPRTEQHLVRWATSQLYDIDAISKMVDPCIRGQCSDKALSRFADVISRCIQHEPEFRPPMSEVVQDLTRMISDATKASM* >Brasy6G154000.1.p pacid=40050924 transcript=Brasy6G154000.1 locus=Brasy6G154000 ID=Brasy6G154000.1.v1.1 annot-version=v1.1 MAAAAAAASAATTGSLLNPRPSRSPPPSTSPLLGTSCGWRSRRQPRPRRRLVPLLAASPVAAGEASYTEPEEALLEALVGVLGRGRAVAPRQLQEVESAVQTLEAMEGVADPTNSSLIEGSWKLIFTTRPGTASPIQRTFVGVDSFRVFQEVYLRTDDPRVVNVVKFSETVGELAVQAEATIKDGKRILFRFDRAAFTFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHSGNIRISRGNKGTTFVLQKSADPRQMLLSAISARTGVKEVIDELTSIRKGVEADLNTLAGEWQLLWASQTEGGSWSSVASAGLRDFQTIKEDGQLKNLVKPFPGVSLNAKGNICKIGNNNTFSVSMKKGAVEVGGVQFPLDIGGDFVMEILYIDNKIRISRLNQQVLVHLRNRT* >Brasy6G066000.1.p pacid=40050925 transcript=Brasy6G066000.1 locus=Brasy6G066000 ID=Brasy6G066000.1.v1.1 annot-version=v1.1 MSRGGSAGGGQSSLGYLFGDGEPAKPAAASAPKAPPAEKPAPAAADVAKQIPAGIPGSRANNYHRSEGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGK* >Brasy6G249800.1.p pacid=40050926 transcript=Brasy6G249800.1 locus=Brasy6G249800 ID=Brasy6G249800.1.v1.1 annot-version=v1.1 MGEVTVTNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMTTNVLGFKLSMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITGEDARLAVENGAAGIIVSNHGARQLDYVPATISALEEVVQGAGGRLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVSNVLKMLKDEFELTMALSGCSSLADITRNHVVTEAEKLGVMPSRL* >Brasy6G255400.1.p pacid=40050927 transcript=Brasy6G255400.1 locus=Brasy6G255400 ID=Brasy6G255400.1.v1.1 annot-version=v1.1 MAPPAAGGPSASSPAAPEPPHHRDVAKRGRLAGAGARLLSLGVQAAVMAAALALFLLFAAAAAVFLLSLLVSARAFRHHGSRYRVPPDASASSSAPPPLPSVGLSTADLRLHPCFAYSSCDASASSSSSRLCAVCLERRSAALPPRRLAALAPRRERVGRSGPLVPVEEPLGSILLL* >Brasy6G188700.1.p pacid=40050928 transcript=Brasy6G188700.1 locus=Brasy6G188700 ID=Brasy6G188700.1.v1.1 annot-version=v1.1 MRRSPPNGVSYRRRAIEGLAAVLLLYAVLVFVLESPLMSTTLLGGGGGGGQHLHLSVDGERAAPARPAKEPHPASASLSPARGAGFSGMLSGLDLRLLNSSRSGTLRRSVKEAVDGGARVFSELESLDPDAVAPPSRDEADENPQCAQSIVLTAEEFREKGRLVELPCGLTLGSHITVAATLRAPHAEDNPKIALLREGEQPIMVSQFMMELQGLKTVDGEDPPRIFHFNPRLHGDWSGRPVIEQNTCYRMQWGTPLRCEGFKSHADEETVDGLVKCEGWIRHNEDRSEDTNTAWWLNRLIGQKKEVNFDWPFPFVEDRLFVLTISAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGNLDVQSVFAGSLPTTHPSFAPQGYLEMSTMWQAPPLPDEPVEIFIGILSSGNHFAERMAARKTWMSAVWKSSNAVARFFVALHGRKEVNVQLKREAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSAKYVMKCDDDTFVRLDSVISEVRNVPSDRSLYMGNINFHHTPLRSGKWAVTYEEWPEKEYPPYANGPGYVISSDIADFILSGIRNKTLRLFKMEDVSMGLWVEQFARTRHVEYIHSLKFCQFGCIEDYYTAHYQSPRLMLCMWQKVLDGKPQCCNVR* >Brasy6G188700.2.p pacid=40050929 transcript=Brasy6G188700.2 locus=Brasy6G188700 ID=Brasy6G188700.2.v1.1 annot-version=v1.1 MRRSPPNGVSYRRRAIEGLAAVLLLYAVLVFVLESPLMSTTLLGGGGGGGQHLHLSVDGERAAPARPAKEPHPASASLSPARGAGFSGMLSGLDLRLLNSSRSGTLRRSVKEAVDGGARVFSELESLDPDAVAPPSRDEADENPQCAQSIVLTAEEFREKGRLVELPCGLTLGSHITVAATLRAPHAEDNPKIALLREGEQPIMVSQFMMELQGLKTVDGEDPPRIFHFNPRLHGDWSGRPVIEQNTCYRMQWGTPLRCEGFKSHADEETVDGLVKCEGWIRHNEDRSEDTNTAWWLNRLIGQKKEVNFDWPFPFVEDRLFVLTISAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGNLDVQSVFAGSLPTTHPSFAPQGYLEMSTMWQAPPLPDEPVEIFIGILSSGNHFAERMAARKTWMSAVWKSSNAVARFFVALHGRKEVNVQLKREAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSAKYVMKCDDDTFVRLDSVISEVRNVPSDRSLYMGNINFHHTPLRSGKWAVTYEEWPEKEYPPYANGPGYVISSDIADFILSGIRNKTLRLFKMEDVSMGLWVEQFARTRHVEYIHSLKFCQFGCIEDYYTAHYQSPRLMLCMWQKVLDGKPQCCNVR* >Brasy6G225300.1.p pacid=40050930 transcript=Brasy6G225300.1 locus=Brasy6G225300 ID=Brasy6G225300.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSRIFSGITTNKDQDKTDGATRDGLERLEMARLKMEAALETSNKWQITDTPLLHWRKKLKRAAQDCEEATRKCRQLSQEEDEREQMVRQSSFPKRIAHTTKAFMYSFLGRNNDHLSDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G225300.5.p pacid=40050931 transcript=Brasy6G225300.5 locus=Brasy6G225300 ID=Brasy6G225300.5.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSRIFSGITTNKDQDKTDGATRDGLERLEMARLKMEAALETSNKWQITDTPLLHWRKKLKRAAQDCEEATRKCRQLSQEEDEREQMVRQSSFPKRIAHTTKAFMYSFLGRNNDHLSDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G225300.6.p pacid=40050932 transcript=Brasy6G225300.6 locus=Brasy6G225300 ID=Brasy6G225300.6.v1.1 annot-version=v1.1 MQATFSGRRREGADDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G225300.2.p pacid=40050933 transcript=Brasy6G225300.2 locus=Brasy6G225300 ID=Brasy6G225300.2.v1.1 annot-version=v1.1 MQATFSGRRREGADDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G225300.3.p pacid=40050934 transcript=Brasy6G225300.3 locus=Brasy6G225300 ID=Brasy6G225300.3.v1.1 annot-version=v1.1 MQATFSGRRREGADDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G225300.4.p pacid=40050935 transcript=Brasy6G225300.4 locus=Brasy6G225300 ID=Brasy6G225300.4.v1.1 annot-version=v1.1 MQATFSGRRREGADDRITDVRRFERFADGATEFMRFVQFNGTPRQHLFFNPLIGHIFAGKFIRYMVLRPGGRYHFFIIWPMAFEERGLEAVLSYVYADCKVPENSFQLGFMMRVSESTDIIGTAVNCLRLVTPHFKSTADVVIKEITQLPTQDFTCLPAEVVSANGEHQWNEMHTTFARWLRPDPLCCQGYEHDIVPLFRCGESSSANNLRVLSIFPEPVCQVSLQRRISLSEYSSLLQGSATRYDSSSLKNYSPLLLGIMFMPHESLGEPKSTCEGSMIEAIDGEKQDLTHANVHPDQLDEILLPKAIDYLYHNAESTAYEICWKSKHGSAHLCVYRTSEARSSGAKRASTRQGGNKNIKMLREILRGQMKNVQWKEVAREYLKLWVVRSSVRLQSMFTAWLKR* >Brasy6G085000.1.p pacid=40050936 transcript=Brasy6G085000.1 locus=Brasy6G085000 ID=Brasy6G085000.1.v1.1 annot-version=v1.1 MRRAEEEERAPKRQGPSPVSRSPPRQYRVGPNGPARLATPHPIFLLRSAASPRAAAAASPRAAAALLLRSAASLRAADAASPRAAAALLLRSAASLRAADAASPRAAASPSRCRGRRRPPPRGRPGPDPAPPPAPPARSGPPPRRRLPSRRRRRLPLAARAAVGALLRAADPGQIRLGRRLTASPGRIRPCSPPQPRQPRQAASPADALPAKFAAICLYVNELNEHPTSARELAMGIGADETTGGRGRPDLAPAGPRTAGSGPVRGARRLEADMAEAGAEPRPHTVLDEERRKEESRRE* >Brasy6G036000.1.p pacid=40050937 transcript=Brasy6G036000.1 locus=Brasy6G036000 ID=Brasy6G036000.1.v1.1 annot-version=v1.1 MATAPAAQPRVDLDLELPLGGAAPFDLEAAVCSHGLFMMAPNRWDPSTRALLRPLRLASSSSSSAAVPLLARVSAHPLRPSEALLVSVLGAPAAALSPLDQDCILGQVRRMLRLSEEDGRAVAEFQAMHAAAREAAFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMATALCELQLELRRSSGTEDLQLRTPPIKERKRKRSKNQNVRVKLETKFTEFGCLEDSEVATTTANDEKLTSLPLVASEIGSACDSFDPSELSLSNDPYSEDCIGDFPTPEELANLDEHFLAKRCNLGYRAKRIILLARSIVEGELCLEKLEEMHKMSLPATKELSTIPATYERLNVQLSAISGFGPFTRANVLMCMGYFHTIPADTETIRHLKQFHKRASTIQSVDKELHKLYGNYAPFQFLAYWFELWGFYDKKFGKISEMEPSKYGLFTASHLKKLAPVS* >Brasy6G036000.2.p pacid=40050938 transcript=Brasy6G036000.2 locus=Brasy6G036000 ID=Brasy6G036000.2.v1.1 annot-version=v1.1 MATAPAAQPRVDLDLELPLGGAAPFDLEAAVCSHGLFMMAPNRWDPSTRALLRPLRLASSSSSSAAVPLLARVSAHPLRPSEALLVSVLGAPAAALSPLDQDCILGQVRRMLRLSEEDGRAVAEFQAMHAAAREAAFGRIFRSPTLFEDMVKCILLCNCHEIGSACDSFDPSELSLSNDPYSEDCIGDFPTPEELANLDEHFLAKRCNLGYRAKRIILLARSIVEGELCLEKLEEMHKMSLPATKELSTIPATYERLNVQLSAISGFGPFTRANVLMCMGYFHTIPADTETIRHLKQFHKRASTIQSVDKELHKLYGNYAPFQFLAYWFELWGFYDKKFGKISEMEPSKYGLFTASHLKKLAPVS* >Brasy6G036000.3.p pacid=40050939 transcript=Brasy6G036000.3 locus=Brasy6G036000 ID=Brasy6G036000.3.v1.1 annot-version=v1.1 MLRLSEEDGRAVAEFQAMHAAAREAAFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMATALCELQLELRRSSGTEDLQLRTPPIKERKRKRSKNQNVRVKLETKFTEFGCLEDSEVATTTANDEKLTSLPLVASEIGSACDSFDPSELSLSNDPYSEDCIGDFPTPEELANLDEHFLAKRCNLGYRAKRIILLARSIVEGELCLEKLEEMHKMSLPATKELSTIPATYERLNVQLSAISGFGPFTRANVLMCMGYFHTIPADTETIRHLKQFHKRASTIQSVDKELHKLYGNYAPFQFLAYWFELWGFYDKKFGKISEMEPSKYGLFTASHLKKLAPVS* >Brasy6G036000.4.p pacid=40050940 transcript=Brasy6G036000.4 locus=Brasy6G036000 ID=Brasy6G036000.4.v1.1 annot-version=v1.1 MATALCELQLELRRSSGTEDLQLRTPPIKERKRKRSKNQNVRVKLETKFTEFGCLEDSEVATTTANDEKLTSLPLVASEIGSACDSFDPSELSLSNDPYSEDCIGDFPTPEELANLDEHFLAKRCNLGYRAKRIILLARSIVEGELCLEKLEEMHKMSLPATKELSTIPATYERLNVQLSAISGFGPFTRANVLMCMGYFHTIPADTETIRHLKQFHKRASTIQSVDKELHKLYGNYAPFQFLAYWFELWGFYDKKFGKISEMEPSKYGLFTASHLKKLAPVS* >Brasy6G086600.1.p pacid=40050941 transcript=Brasy6G086600.1 locus=Brasy6G086600 ID=Brasy6G086600.1.v1.1 annot-version=v1.1 MAAAVASLPHAGRSTTYTRSPTTLLPPSPSRAATRVRINPACVARPEQDDAKRQHRRRRDEEEHSPPDVKRRRRDHARGVSPDTTAKRKRESATPAHRRRYREESPEPKRGGRWSRRGRSPSRDRESCRRTRHGSHRNDKTHEHEREEKDRGRRRSRSRSSRSDQSRARPDPCSAAAAPQQQQMPTPMPMPIEQQQMPMPMPIEAAPAVAPEAVSPDGGSWAMQDLTESFEEVEWRRRETQRKREEARREMDKVVQTVFFNDARISPQDLSERYIVDLCL* >Brasy6G052100.1.p pacid=40050942 transcript=Brasy6G052100.1 locus=Brasy6G052100 ID=Brasy6G052100.1.v1.1 annot-version=v1.1 MGTVVDAPAAVTQKEEVAENMLGNKKVTVVFVLGGPGSGKGTQCSNIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQQAMINNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNEGRVDDNIETIRKRFKVFVESSLPVIEYYDAKEKVKKIDAAKPISEVFEDVKAIFAPYAKAA* >Brasy6G251500.1.p pacid=40050943 transcript=Brasy6G251500.1 locus=Brasy6G251500 ID=Brasy6G251500.1.v1.1 annot-version=v1.1 MGDGWKQKQPPDSPSNLPDSPLDSTCAYGEAEIRKRKAKRSPPRRECGKRSGRPVSPSSRPDSPLSNTDGEAEMPKRKAKRSQPSKWSKHSDRPDGKAQTTIKRKKGKNTFTFYGTDGQDAVAVLHGEETARPKQQRKHSRRDQPSSHHDGEAQEPGQASAAPSRNKKKKRNNRLLHSSLMVQDAKAHLLENGSAYLMPGSNDIVVLPKQKKNSTGLSEPPANHSCSNAQRTRKASVDGEKEKNILMGEEPHKIIRPTRLRGDTIITPEEDGNCLDGDLLMDRSILPTSHSSSTQMQHKEQGAGKTEDLLHLDFEAAIKQVVQYFMQLSPGPPDDEAFWAKYDEPQLFKVYERLALYRIKDHELKLTGKKLDIAQLKRKYRPAILQAESYFRRYEKNLEWCFDPELCQRAILNDYQRLVIHDDGSYANWDYYRLTYHTYEGDAEYVSYCEEMSNAIKWIDDKVGLDKHQWERYKSIAYLQALKIAIGYHNIFRDAVMGGFSEYIASLKFDYDNRKDFDAVYLEIWKRVAKNKMEFVPAVKQIYEENMFPSRNSDIKLAMENRPLKFRASVKDNYDTYVACIDEKTSEDKARPLIIEAVKKMSPKWKKSYLDYARRKMQIAVRIGL* >Brasy6G251500.2.p pacid=40050944 transcript=Brasy6G251500.2 locus=Brasy6G251500 ID=Brasy6G251500.2.v1.1 annot-version=v1.1 MGDGWKQKQPPDSPSNLPDSPLDSTCAYGEAEIRKRKAKRSPPRRECGKRSGRPVSPSSRPDSPLSNTDGEAEMPKRKAKRSQPSKWSKHSDRPDGKAQTTIKRKKGKNTFTFYGTDGQDAVAVLHGEETARPKQQRKHSRRDQPSSHHDGEAQEPGQASAAPSRNKKKKRNNRLLHSSLMVQDAKAHLLENGSAYLMPGSNDIVVLPKQKKNSTGLSEPPANHSCSNAQRTRKASVDGEKEKNILMGEEPHKIIRPTRLRGDTIITPEEDGNCLDGDLLMDRSILPTSHSSSTQMQHKEQGAGKTEDLLHLDFEAAIKQVVQYFMQLSPGPPDDEAFWAKYDEPQLFKVYERLALYRIKDHELKLTGKKLDIAQLKRKYRPAILQAESYFRRYEKNLEWCFDPELCQRAILNDYQRLVIHDDGSYANWDYYRLTYHTYEGDAEYVSYCEEMSNAIKWIDDKVGLDKHQWERYKSIAYLQALKIAIGYHNIFRDAVMGGFSEYIASLKFDYDNRKDFDAVYLEIWKRVAKNKMEFVPAVKQIYEENMFPSRNSDIKLAMENRPLKFRASVKDNTSEDKARPLIIEAVKKMSPKWKKSYLDYARRKMQIAVRIGL* >Brasy6G135200.1.p pacid=40050945 transcript=Brasy6G135200.1 locus=Brasy6G135200 ID=Brasy6G135200.1.v1.1 annot-version=v1.1 MSLLPQILPSPRPHPHLAASHHPVFPAHAFRRTPRLHAPGHSRRGRVAAPANASGAGSSPSPDQYPSEPDDRLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGGASDVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRNKPYLVAAVQWLEDRPPAETPAPGEDAEALAVEVEALMRDVIRIANRLNGKPEKEVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDVFPSSPSSG* >Brasy6G005700.1.p pacid=40050946 transcript=Brasy6G005700.1 locus=Brasy6G005700 ID=Brasy6G005700.1.v1.1 annot-version=v1.1 MDWKVLIKPRFFLPFFLSFLQAQGICSSLYIHMRIHTFSLHGFIHIYPSFIRLRLHAAASRAPRDLEMAGCNGDSSASLVEQQEQMVIEKKFGGIAPKKLLISKDRKRAYFDSADWVLDKQAANNSAQAAAAVESLKPKLERTPRHQLPPRNPACASS* >Brasy6G005700.2.p pacid=40050947 transcript=Brasy6G005700.2 locus=Brasy6G005700 ID=Brasy6G005700.2.v1.1 annot-version=v1.1 MDWKVLIKPRFFLPFFLSFLQAQGICSSLYIHMRIHTFSLHGFIHIYPSFIRLRLHAAASRAPRDLEMAGCNGDSSASLVEQQEMVIEKKFGGIAPKKLLISKDRKRAYFDSADWVLDKQAANNSAQAAAAVESLKPKLERTPRHQLPPRNPACASS* >Brasy6G265800.1.p pacid=40050948 transcript=Brasy6G265800.1 locus=Brasy6G265800 ID=Brasy6G265800.1.v1.1 annot-version=v1.1 MAMQSGGNDLVTKLLHAYDEEVDRGLVHGADLDDIFRILGANKERILRALAPEAEKKAELPEVEKKEELPPEVERKAELPELLRKIEEAHKQWKERSTQQQQPAASKKKAMAMAASVMSDCNPFKSKPSSSPTPPQDAAASSPAPVISLEDLLKQTKDILGSAGLKEEETVYYEWTTSYVDESRIYGWQEDADKVVDALVGAVDEEDEVLFRAAGIAGIHGSGKTALAQKVFVHDRVKDAFPLRLWVCVGPPDHDDRFCLLYRMLDNLGLDTGKLESIVNSAAVVVSAGDDEHRRTDAKIGVLLFVLYTTLYKTGYLIVFDDIRARGGAEGWYSNLTLNPPKDGEWYERLAYGLPKARKSAVLVTCRREEDARIMVRTGGVFRPPKMEVEEGWRLFRREYEAAKKKEEGWKEEEDKLYKELQEMKMEIVDKCLGLPVAIIEAAKGFSLLEHQPGEEDDVAGPAEVKDRGVEEEETGKIQVAQTTATEAAAAD* >Brasy6G171100.1.p pacid=40050949 transcript=Brasy6G171100.1 locus=Brasy6G171100 ID=Brasy6G171100.1.v1.1 annot-version=v1.1 MKFVAAYLLAHLAGNPSPTKDDVRKILDSVGAEVEEAKLEMLFKEVQGKNVAELLAAGRERLAFAPSGGGAAVGAADGAAPAAEVGKNKKKEEKAEEIKVEEEEDDENMFSLFD* >Brasy6G099500.1.p pacid=40050950 transcript=Brasy6G099500.1 locus=Brasy6G099500 ID=Brasy6G099500.1.v1.1 annot-version=v1.1 MDVPVVMRKSVRSMPVASLPLIAYAAVLLLLSALPLSQTLTYEQDVFAINGLYTALGSPALPGWVANAGDPCTENWQGVTCVMSNITQIKLTGISLGGQLGNTLANFTSLISLDLSNNNIAGTIPDNLPVTVQQLFLSGNKLSGSIPSTLSTLTLLTAMSLNSNQLAGDIPDVFSSHTGLANLDFSANNLTGPLPPSMGNLTALTSLHIQNNQISGTLNVLQDLPLQDLNIENNLFSGPVPPKLLLIPSFQKDGNPFNTSIAPSPSPLPGAPGPSPSLSPSTGHVPSKEPTKSSGVPNGNSPTSGANTVRTAKFVGYILVGVVSAVIIVLMVMFCSSKYKERKSKYNVYTKSQIRKEPQRLGEPKIKEVSDIKEHLVKPTNTVGKASNMVSNSKEELKVNASKKAPNVVSDAKEATSPLRAAPGVITKKQKEHVIDMEKTDDFVEEPVHFLQPVAPHTEKAIINASVRTKKGRVPSLGKIDLKTNVKSFSVASLQQYTNSFSEDNLIRDSRFGKVYQAELPDGEILEVLKIDVDNSRVPVDVFLELVVNISELSHPNILGLVGYCAEFEQRLLVYEHCSKMTLHDELHYVDEPSNALSWNARLQVAVEAAKALQYLHDGCQRPIVHQNFEPSVILLNSTLAVQISECGLALLSQLPGSLRALFHYEAPEVNESRSFSDRSDVYSFGVVMLELLTGRKPFDSSRPRTEQHLVRWATSQLYDIDAISKMVDPCIRGQCSDKALSRFADVISRCIQHEPEFRPPMSEVVQDLTRMISDATKASM* >Brasy6G099500.2.p pacid=40050951 transcript=Brasy6G099500.2 locus=Brasy6G099500 ID=Brasy6G099500.2.v1.1 annot-version=v1.1 MDVPVVMRKSVRSMPVASLPLIAYAAVLLLLSALPLSQTLTYEQDVFAINGLYTALGSPALPGWVANAGDPCTENWQGVTCVMSNITQIKLTGISLGGQLGNTLANFTSLISLDLSNNNIAGTIPDNLPVTVQQLFLSGNKLSGSIPSTLSTLTLLTAMSLNSNQLAGDIPDVFSSHTGLANLDFSANNLTGPLPPSMGNLTALTSLHIQNNQISGTLNVLQDLPLQDLNIENNLFSGPVPPKLLLIPSFQKDGNPFNTSIAPSPSPLPGAPGPSPSLSPSTGHVPSKEPTKSSGVPNGNSPTSGANTVRTAKFVGYILVGVVSAVIIVLMVMFCSSKYKERKSKYNVYTKSQIRKEPQRLGEPKIKEVSDIKEHLVKPTNTVGKASNMVSNSKEELKVNASKKAPNVVSDAKEATSPLRAAPGVITKKQKEHVIDMEKTDDFVEEPVHFLQPVAPHTEKAIINASVRTKKGRVPSLGKIDLKTNVKSFSVASLQQYTNSFSEDNLIRDSRFGKVYQAELPDGEILEVLKIDVDNSRVPVDVFLELVVNISELSHPNILGLVGYCAEFEQRLLVYEHCSKMTLHDELHYVDEPSNALSWNARLQVAVEAAKALQYLHDGCQRPIVHQNFEPSVILLNSTLAVQISECGLALLSQLPGSLRALFHYEAPEVNESRSFSDRSDVYSFGVVMLELLTGRKPFDSSRPRTEQHLVRWATSQLYDIDAISKMVDPCIRGQCSDKALSRFADVISRCIQHEPEFRPPMSEVVQDLTRMISDATKASM* >Brasy6G147500.1.p pacid=40050952 transcript=Brasy6G147500.1 locus=Brasy6G147500 ID=Brasy6G147500.1.v1.1 annot-version=v1.1 MAAAAHVLVFPCPAQGHINCMLQFAAGLLASGLHVTFLHSDHNLRRLRHANNNNDESTAAAAANSSPRLRFMSVPDGLPDDHPRSAGNLVEFMESMFAKTSVAYRALLSSLRRGDAGDGFPPVTCVVADGMLPFAISVAEELGVPALAFRTASACSFLAYLSVPDLVALGEVPVPESADLDAPVRGVPGMEPFLRLRDLPSFCRGSSDPADGRLDPMLRILVDSVPQSRSARAFILNTASSLERDALSHIAPRMRDLFAVGPLHAMFQAPGCSGALWREDDACLRWLDAQADGAVVYVSLGSLAVLSPEQFTEFLSGLVDAGHPFLWVLRPDMVDAGQNAVLQDAVRQSNKGCVVEWAPQRDVLRHRAVGCFLTHAGWNSTLECVVEGVPTVCWPFFADQQTNSRFVGAVWGTGLDMKDVCERAVVERMVREAVESGELRRSAQALAREVRRDVAEGGSSATEFRRLVEFINELSAGVSTLETTESGNE* >Brasy6G050700.1.p pacid=40050953 transcript=Brasy6G050700.1 locus=Brasy6G050700 ID=Brasy6G050700.1.v1.1 annot-version=v1.1 MAPPLTGKPVAITALLRRATRPAHLLQIHAAMLKASLFPHHAFPTARLLASPLAPLHYALSLFAAIPHPTLFHHTALLRALSGATSSSFYASVAASLDVLASARARLPALDEFAFQPLVALCAKNPRDGAAGELGRQVHALVVRYGFLSVVSLGNVLCHLYCCLGSMGDARRLFDEMPERDSVSWNTMIGSYVRVEEVGTALEMFSAMRYCGVDVNATTVVALAACGWRDESLHGFCVKTGFFADVKVATALQGMYFRKVGIGCAKKIFDEVMRRDVVLYNCMVDNCAKGGQIEEAMGLVDRMRQEGVRPNTATLVTVLSACGTSGAIAAGRRVHDLALELGLELDTALGTALMDMYFKCGHPNEAIVVFYAMRDRDVMTWTAIIMGLGVNGQSDAALSQFRAMARDGVAPNEVTFLAVLNACSHGGLVSEGKKHLESMVRQYGLLPHTEHYGCIIDLLGRAGRLDEAYDLLRNLSSHADAMAWRALLAACRVHGNIELGRMVQAQLDAMGDYHPSDTILLSNVYASEDRWDEIAQVRDSGQKLIMDKKQAGFSSIEVSF* >Brasy6G010300.1.p pacid=40050954 transcript=Brasy6G010300.1 locus=Brasy6G010300 ID=Brasy6G010300.1.v1.1 annot-version=v1.1 MEGGGQLSSGSEAAVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLQRFGPVADANVFYWFQNRRSRSRRRQRQLQQQAQQQAQAQSPAALSQSAPVAAQYGGGGYSSSSSSSSTWPPSSPPSAGIMMDGGGGDDLFAISRQMGYGSGSSSSAPAAAHDQQQMYYSYQQPAGGMNTMMVYINGVATEVPARGPVDVRSMFGDDAVLVHAAGGLLPVDDYGVLLHSLQMGESYFLVTHSLTRSVVLLD* >Brasy6G010300.2.p pacid=40050955 transcript=Brasy6G010300.2 locus=Brasy6G010300 ID=Brasy6G010300.2.v1.1 annot-version=v1.1 MEGGGQLSSGSEAAVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLQRFGPVADANVFYWFQNRRSRSRRRQRQLQQQAQQQAQAQSPAALSQSAPVAAQYGGGGYSSSSSSSSTWPPSSPPSAGIMMDGGGGDDLFAISRQMGYGSGSSSSAPAAAHDQQQMYYSYQQPAGGMNTMMVYINGVATEVPARGPVDVRSMFGDDAVLVHAAGGLLPVDDYGVLLHSLQMGESYFLVSRSA* >Brasy6G205900.1.p pacid=40050956 transcript=Brasy6G205900.1 locus=Brasy6G205900 ID=Brasy6G205900.1.v1.1 annot-version=v1.1 MEKAREELKVPSAVIRKFCRENASYTWMRIRIRKLNKKISKLAMSSQDCVKRSVIEEARKTINGYAVEKAHLKADIMRGMKKERGNNGCTSSRPVDNNNNEAGPSGT* >Brasy6G080900.1.p pacid=40050957 transcript=Brasy6G080900.1 locus=Brasy6G080900 ID=Brasy6G080900.1.v1.1 annot-version=v1.1 MAFSKLLIALFFAFAVVAATLQPSDARLQGFEEEAEQTRAATTADGGSPGRPSFPGLPLPQIPGFTLPLPQIPSFPNLPPLFRFPFPPLFGPRPGAPGAPPSQPLPNLPHFPPIPGSPGAPPFHLPGLPTTPAPTPPAECLTPLTGMALCMDYLTNLTVTTPPSGCCDGLKSVIAKAPICLCHGMNGGMSKLAPKPIDPIRMLILPARCGTMIPIQTLLMCATTPLPPLTPPTSPASPTSPVSPPSAEAVPPLTHPSSPAPASPTV* >Brasy6G153600.1.p pacid=40050958 transcript=Brasy6G153600.1 locus=Brasy6G153600 ID=Brasy6G153600.1.v1.1 annot-version=v1.1 MRRLHKTAYPHLAMSISSDEATELALPAAGGHTDRVFRALALASVYILLRRWRAGGAGLGERPAPAEIAAVAALCASGIWFYLLPALSNRSSSGISRRRWHQD* >Brasy6G147100.1.p pacid=40050959 transcript=Brasy6G147100.1 locus=Brasy6G147100 ID=Brasy6G147100.1.v1.1 annot-version=v1.1 MSPPAHVLVFPWPLQGHINSMLHFAAALAGAGLHVTFVHTEHNLRRVDSAATASPRLRFTSVPDGLPDDHPRSVGDLKDVAKSLMTTGPAVYRALLASLLPGGSHGDADADGGGFPPVSCVVADGLLPFAIDVAEELGVPALAFRTASACSVLAYFSMARLMELGEVPFPVGADLDEPVRGVPGMEGFLRRRDLPSSCRRHAETHEVDPLLQLLVKFTLHSCKARALIFNTAASLEGPAVAHIAPHMRDVFAIGPLHAMPAAAPAPASSLWREDDGCVAWLDAHADRSVVYVSLGSLAVISLEQFTEFLSGLVNAGYAFLWVLRPGMVGASQSAVLQEAVEAAGLGKARVVDWAPQRDVLRHRAVGCFLTNTGWNSTLEGVVEGVPMVCWPFFADQQINSRFVGAVWGTGQDMKDVCERAVVEGMVREAMESGGLSMSAQALAQEVRRDIAEGGSSALEFERLVGFIKELTAKCSIANK* >Brasy6G057500.1.p pacid=40050960 transcript=Brasy6G057500.1 locus=Brasy6G057500 ID=Brasy6G057500.1.v1.1 annot-version=v1.1 MEPVRRQPGAPQPQYGISDMLFSVEINHGGFFCGFGMNKSYNLDDGLRIIDRDIDTLGMASVVPKFQLFSLYVDHKDDLYSNIVLDDVCIVGSPTLPAVISPMKPGINIPERSSPRAKRKIFARDVEEDSSASSSDDSGSEWFDSDNELQKDDDDLFEDCVDHDLRD* >Brasy6G018200.1.p pacid=40050961 transcript=Brasy6G018200.1 locus=Brasy6G018200 ID=Brasy6G018200.1.v1.1 annot-version=v1.1 MEGQGNLDAAIESLLNVEKQCRLAGDVAGTRKAAVDIVELCHKEGAWKTLNDQIVVLSKRRGQLKQAITAMVQRAMEYIDVTPDVDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEELGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYDIPSVKDDQAKWIPVLRKICWYLVLAPHDPMQSSLLNATLDDKNLSEIPNFRLLLKQLVTMEVIQWTKLWEFFKDEYENEKNFLGGALGTKAAEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKALFAKIDRPMGIVSFRTAQDSNGVLNSWASSLEKLLDLVEKSCHQIHKETMIHKAVLKA* >Brasy6G005000.1.p pacid=40050962 transcript=Brasy6G005000.1 locus=Brasy6G005000 ID=Brasy6G005000.1.v1.1 annot-version=v1.1 MAPTYRPYAAAAQYGGDAVVVSYGSGGERKAARWVGLGLGSSSWLGGPDQAEMKRRGRVASYKAYAVEGKVKASIRRGIRWIKTKCSHIVHR* >Brasy6G053500.1.p pacid=40050963 transcript=Brasy6G053500.1 locus=Brasy6G053500 ID=Brasy6G053500.1.v1.1 annot-version=v1.1 MEGPAAALESVRKVFDEMREKDVVSWNTLVLGCAENGRHGEALGLVRKMWRDGCKPDSFTLSSVLPIFAEGADVRRGMELHGFATRNGFHDDVFVGSSLIDMYANCTRTDYSVKVFDNLPVRDAILWNSMLAGCAQNGSVDEALGLFRRMLHSGIKPMPVTFSSLIPACGNLASLLLGKQLHAYVIRGGFDGNLFISSSLIDMYCKCGNVSIARRIFDRIQSPDIVSWTAMIMGHALHGPAREALVLFDRMELGNLKPNHITFLAVLTACSHAGLVDKGWKYFNGMSDHYGIVPSLEHHAALADILGRSGKLEEAYNFISGMKIKPTASVWSTLLRACKVHKNTVLAEEVAKKIFELEPRSMGSHVILSNTYSSSGRWNEAAHLRKSMRKKGMQKEPACSWIEVKNKQHVFVAHDKSHPWYERIIGALNVFSEQMARQGYVPNTDDVFQDIEEEQKSSVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTVTKFISKIVGREIVMRDANRFHHFKDGICSCGDFW* >Brasy6G252400.1.p pacid=40050964 transcript=Brasy6G252400.1 locus=Brasy6G252400 ID=Brasy6G252400.1.v1.1 annot-version=v1.1 MAWPSLDLANLATPSPNMADMAPLSLVLPDELIHEILFCLPPYDAALLVRLSILSKPSHCLLSHPSFHQRYRKLHRKAPMLGFLYDEFFNPIEFATSFVPSIESCPPSIPDYKLPNFDVNDCRHGHVLGCVCLFEGLFPTELMWTTTAYLYSSETGEWSVPTPGFNLDGYDIQMHNVLVGGALYFLLTYGPHGTQILKYDIGRHRLSVIEPPAAAAVFDHGNVLIAAEDGMLGIGHLSNLSLCLWTRRRNALRVHSIDLKLLHAKKLRERPNFGDLYPFRTFYCPFEWQSGTSLLAGDTTVATRRYVKYWADPNGKSNQ* >Brasy6G186000.1.p pacid=40050965 transcript=Brasy6G186000.1 locus=Brasy6G186000 ID=Brasy6G186000.1.v1.1 annot-version=v1.1 MRAPSLCAVAVVVALLASSLLPGSNGQTGGGDDVDGKQVYIVYMGHQPSSEPGETAPGGFTPAEAAHHELLNRVLDDSSAQERIIYSYKRSLSGFAARLTEEEKQKLSSMEGVVSIFPSRTHHPLTTRSWDFLGFPQTTANRSLELQGDVIVGMLDTGLWPDSPSFSDEGFGPPPARWKGTCSNFTCNNKIIGARAYRLGLTAGLSPVDTRGHGTHTASTVAGRAVGHASLGGLASGTARGAVPGARLAIYKVCWDDLGCRDEDMLAAFDDAVADGVDLISFSIGSNMPVQYFEDVAAIGSFHAMKRGVVTSTAAGNSGLDDGRVCNVAPWLLSVAASSIDRRFVDKLVLGNGKTIVGVSINIFPEVKAPLVVPINGSCEAESLAAAPGRPYEGKILLCAALSVNVTTRGLVLAGAAGVVLVAFQPDVAFTMPLPVVWVTQDQFTGIMAYVNTTRDPLGTIHTSETQFDSEAPIVASFSSPGPNMITPGILKPDLSAPGIDILAAWTQLAPVAGIRVGYNIDSGTSMACPHVTGAAAYVKSFHPDWSPAMIMSALITTATPMDPERNRGGGELTYGAGQLNPAQARDPGLVYDTREGDYVAMLCAQGYNSTQLRLVTGSDQAAACPPGGSSSSAGDLNYPTMAVPAAPGKNFTARFPRTVTNVGVPGSAYVAKVVSSRSLVRVAVSPRRLKFSRLGQKMSFTVSVSGSLPEANEFVSAAVVWSDGVRQVRSPLIVHTVDVQG* >Brasy6G129800.1.p pacid=40050966 transcript=Brasy6G129800.1 locus=Brasy6G129800 ID=Brasy6G129800.1.v1.1 annot-version=v1.1 MATETAGRGRQQWELRGRAETGWRGREGGRGASRLRRVEREGRDGVRRAVAWRRRRLARQRRGRGGSWGGRGDGWRGRGGAEEATTAAVWRGRGGPQGKVRRRRRWNRSGEEKTKEKNGSGWAERFSCGVEFQPR* >Brasy6G251900.1.p pacid=40050967 transcript=Brasy6G251900.1 locus=Brasy6G251900 ID=Brasy6G251900.1.v1.1 annot-version=v1.1 MALPDELFEEILLRLPPDEPACLLRASAVCKPWRRRLSDPKHLRLLHARHGRPPLLGFLHNLFESRIPCFVPTTASSSFPLAVPDQLDWSVIDCRHGRALLFAQGHLLVWDPITGYQRCMPAPVQFKGGYTNGAVVCAADGGRRGGPFRVVFVFPHRLQGRSVMSACVYSSEENGGGAWSEPASVDCPDACYPTTKPSVLVGNSRVYFLCGEPHKFALEYDLERRSLAKVDLPPPDTTAAWTSAVLMPVENNRLGVAAVVESSLHLWSRDVGANGDAGWVLTRVMDIHNLLPIAAFLASWTVKVIGFAEEANTIFLCTFAGVFTIELESDRVRKVYATKTGELSFAQVGPCYESLFPFSSFYIPGALTTGKQVAAPGGGDGALYVIGPNLLQEVNSSSLTES* >Brasy6G082500.1.p pacid=40050968 transcript=Brasy6G082500.1 locus=Brasy6G082500 ID=Brasy6G082500.1.v1.1 annot-version=v1.1 MANTPTSRMMHPFGSVPRQNLKQFQYSDNAQHPYHPYQSSPDTHVVPQHDYSLKSHSPDAGFENQVTHMKYTLDSSAAVGCMRHDSPSSHSFTPRSDSSSPLSQEDSHSDSTNGSPVSASCVTVTEDPNDLRQKLKDLEAVMLGPDSEVVNSLESSIANQLSLEPEKWVQMMRFPRDNLKELLVACARAVEEKNGYAIDMMIPELRKMVSVSGEPLQRLGAYMVEGLVARLASSGHSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVKITGIDDSVSAYARDGGLDIVGRRLSHIAGLCKVPFEFHAVAISGDEVEEGHLGVIPGEALAVNFTLELHHISDETVSTANHRDRILRLVKSLSPNVLTLVEQESNTNTAPFVQRFAETLDYYTAIFESIDLTLPRDDKERINMEQHCLAREIVNLVACEGSERVERHEVFGKWKARLMMAGFRPSPLSSLVNDTIRSLLQSYSVNYQLAERDGVLYLGWKNRPLVVSSAWH* >Brasy6G082500.2.p pacid=40050969 transcript=Brasy6G082500.2 locus=Brasy6G082500 ID=Brasy6G082500.2.v1.1 annot-version=v1.1 MANTPTSRMMHPFGSVPRQNLKQFQYSDNAQHPYHPYQSSPDTHVVPQHDYSLKSHSPDAGFENQVTHMKYTLDSSAAVGCMRHDSPSSHSFTPRSDSSSPLSQEDSHSDSTNGSPVSASCVTVTEDPNDLRQKLKDLEAVMLGPDSEVVNSLESSIANQLSLEPEKWVQMMRFPRDNLKELLVACARAVEEKNGYAIDMMIPELRKMVSVSGEPLQRLGAYMVEGLVARLASSGHSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVKITGIDDSVSAYARDGGLDIVGRRLSHIAGLCKVPFEFHAVAISGDEVEEGHLGVIPGEALAVNFTLELHHISDETVSTANHRDRILRLVKSLSPNVLTLVEQESNTNTAPFVQRFAETLDYYTAIFESIDLTLPRDDKERINMEQHCLAREIVNLVACEGSERVERHEVFGKWKARLMMAGFRPSPLSSLVNDTIRSLLQSYSVNYQLAERDGVLYLGWKNRPLVVSSAWH* >Brasy6G240400.1.p pacid=40050970 transcript=Brasy6G240400.1 locus=Brasy6G240400 ID=Brasy6G240400.1.v1.1 annot-version=v1.1 MYRAAAGGIARWQTCDFLDSNGCRCPVQLYCADFREITTDCHRQVCRRIPFIVRKAETQFLFLAFPISPVSSLLARVRPPPTSAASPTPPPHDTSCLLSPACFPLLTPSISLGCPKQAAATGGREEEMAASLEDMPSVELMAELLQRYKCSSKPDKRIILIGKIPLLPSACSIQSHTLTDCALPPLLLYICTKTFASTADGETFIYSGFSGTGIVVDGLATVEPNGLLQLTNGTVQQTGHAFHPTPLQFHKPTGIVNGSGSVTSFSASFVFAIQSIAPGVSAHGLTFFVSPTMDLTSAFSNQYLGLFNRWNNGNATSHIFAVELDTVLSKDMMDMDYNHVGVDVDSVVSVKAAAAGYYDDRKGDFYNLTLASFDAMQAWVDYNGKSKLITVTLAPLGMARPRKPLLTTTYDLSRVIKDKSYVGFSSSTGILDTHHYVLGWSFGMDGPAPAIDANSLPKLPHLSRTPQSKLLEIVLPIASGVFIFAAMTAVVLLQRRHLLYAELREDWEVEFGPHRLSYKDLFKATEGFKDKHLLGIGGFGRVYKGVLRKSMSPVAVKKVSHESRQGMREFIAEVVSMGRLRHKNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHYNNNPPNNRAVVSLDWAQRFRIIKGVASGLLYLHEDWEQVVIHRDIKPSNVLLDAEMNGRLGDFGLARLYDHDTDPHTTHVVGTMGYIAPELTRMGRASTLTDVFAFGVFLLEVTCGRRPIEQMESVDQDSPILLIDWVLQHWHDGNLANIVDRRLVGNYDVDEAFLSLKLGLLCSHQMPCARPSMRQVMQHLDGNALFPEETFEQIMANRSGSEPNVESSPPLSTSFGTISVDLSGGR* >Brasy6G182800.1.p pacid=40050971 transcript=Brasy6G182800.1 locus=Brasy6G182800 ID=Brasy6G182800.1.v1.1 annot-version=v1.1 MAATPLCGRAAPVLPPVRVPGPATPYVSHATAPADSVPPPACPSALAPTPPPRREQQGTAAAPWTPLWLRLPQRDFGSLPGPSSFPLIMCPRLMSSSCDMVMADCIFHFCFSRRAQL* >Brasy6G213200.1.p pacid=40050972 transcript=Brasy6G213200.1 locus=Brasy6G213200 ID=Brasy6G213200.1.v1.1 annot-version=v1.1 EGNPPEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIISLIVSLFRVLRYSE* >Brasy6G172500.1.p pacid=40050973 transcript=Brasy6G172500.1 locus=Brasy6G172500 ID=Brasy6G172500.1.v1.1 annot-version=v1.1 MEHAMEVVSKSTETPTFSEKDVDIEAHAAQQEPAWRRFLRHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLVFALIIQSLSANLGVVTGRHLAELCKSEYPAWVKFCLWLLAEVAVIAADIPEVIGTAFAFNLLFHIPVWIGVLIAGSSTLLFLGLQKYGIRKLEFLVALLVFVMAACFFVEMSIVKPPAKEVIKGLFIPKLNGSSATGDAIALLGALVMPHNLFLHSALVLSRDTPASVRGMNDACRFFLFESGIALFVALLVNIAIISVSGTVCNAGNLSPENAAKCGDLTLDSSSFLLKNVLGRSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKKWTRNLMTRSIAIVPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSRNKMGQNNNSVYIIGFSWVLGFVIIGINVYFLSSKLIGWILHNSLPTYANILVGITLFPLMLLYIVAVIYLTFRKDTVKFVSRRELQDVDNTEKARVANAGGSEDSNVVQVQSN* >Brasy6G215100.1.p pacid=40050974 transcript=Brasy6G215100.1 locus=Brasy6G215100 ID=Brasy6G215100.1.v1.1 annot-version=v1.1 MSSSNGTSNNLRYAPMASVDGTSSSLTTPSFRNSTRWARCSEFSPDACPASRELRRGGFFHRASVRDFAAARNSPKLRGRVVSIRPREEEERTRP* >Brasy6G152200.1.p pacid=40050975 transcript=Brasy6G152200.1 locus=Brasy6G152200 ID=Brasy6G152200.1.v1.1 annot-version=v1.1 MAISSWSTRLLLSPSRPILNPSRSRSRILSLSTTSYSPVSGRRRCLLLGRPPHAYISAPAPGPDAYQSPSLDAAEAAADVAAAISSTDAVTWAGVWALLSQHKARLLVCLAALLACTTCTLSMPLFSGRFFETLIGRGAEPLTGLLSKIAVLYTLEPICTIIFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRYKVGELTGLLTSDLGTLKGVVSDNVSRDRGLRALSEITGTLCILFALSTELAPVLGLLIVSVSVIVAIFKRSTVPTFKSYGIVQAQISDCASETFSAIRTVRSFGGEKRQISMFDNLALAFQHSGTKLGVLKSANESLTRVVVYVSLMALYILGGSKVSAGKLSIGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAKDFDDSLAYGLAKELDKPEDSNNGVHGKGSVNKHYMSALKSSSSFSNLAWSGDIHLEDVHFSYPLRSDVGVLNGLDLTIECGKVTALVGSSGAGKSTVVQLLARYYEPTQGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSASVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALDLLMKGRTSLVIAHRLSTVQNAHRIAVCSDGKIMELGTHAELVAKGGSYASLVGTQRLAFE* >Brasy6G152200.2.p pacid=40050976 transcript=Brasy6G152200.2 locus=Brasy6G152200 ID=Brasy6G152200.2.v1.1 annot-version=v1.1 MAISSWSTRLLLSPSRPILNPSRSRSRILSLSTTSYSPVSGRRRCLLLGRPPHAYISAPAPGPDAYQSPSLDAAEAAADVAAAISSTDAVTWAGVWALLSQHKARLLVCLAALLACTTCTLSMPLFSGRFFETLIGRGAEPLTGLLSKIAVLYTLEPICTIIFVINMTVIWEKVMARLRSQIFRRILIQKVGELTGLLTSDLGTLKGVVSDNVSRDRGLRALSEITGTLCILFALSTELAPVLGLLIVSVSVIVAIFKRSTVPTFKSYGIVQAQISDCASETFSAIRTVRSFGGEKRQISMFDNLALAFQHSGTKLGVLKSANESLTRVVVYVSLMALYILGGSKVSAGKLSIGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAKDFDDSLAYGLAKELDKPEDSNNGVHGKGSVNKHYMSALKSSSSFSNLAWSGDIHLEDVHFSYPLRSDVGVLNGLDLTIECGKVTALVGSSGAGKSTVVQLLARYYEPTQGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSASVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALDLLMKGRTSLVIAHRLSTVQNAHRIAVCSDGKIMELGTHAELVAKGGSYASLVGTQRLAFE* >Brasy6G173700.1.p pacid=40050977 transcript=Brasy6G173700.1 locus=Brasy6G173700 ID=Brasy6G173700.1.v1.1 annot-version=v1.1 MAFPHQQLLLPLLLLLVFSPSPRPATADDGAAAAQPPPPLVQQFYYYSPPPPSPSARLTAPPPPAPCNNCEKTPPPAGIYTPVPPGQYGFLSAGPQAPAAGGQLLPRLLCAAALLVFCC* >Brasy6G030900.1.p pacid=40050978 transcript=Brasy6G030900.1 locus=Brasy6G030900 ID=Brasy6G030900.1.v1.1 annot-version=v1.1 MAVSFSELHTADGLKALEAHLASKTYISGEQISKDDVKVFAAVPSKPSAEFPNAARWYDTVAAALASRFPGKASGVNLSAAGSSSAPAEASKDAEDDDDLDLFGDETEDDKKAAEERAAAKPAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRGVQMEGLTWGASKLVAVGYGIKKLQIMLTIIDDLVSVDTLIEEVLCEEPINEYVQSCDIVAFNKI* >Brasy6G111000.1.p pacid=40050979 transcript=Brasy6G111000.1 locus=Brasy6G111000 ID=Brasy6G111000.1.v1.1 annot-version=v1.1 MAKSRNKNKAKKGGDAAPMDTSEGAPATSTATETPQPMDTSEGKQPSSASASLSSINRKIKKGVQIKRTKNMRKMKAVARAISKTEKSEEKVLKARSKKTRIQSAKSLYD* >Brasy6G088100.1.p pacid=40050980 transcript=Brasy6G088100.1 locus=Brasy6G088100 ID=Brasy6G088100.1.v1.1 annot-version=v1.1 MVALVLLLLLGLDGEVAWSAEDAQFEYQGFAAAGNLILDGSATVMPNGILTLTNSIYPPNGHAFHPTPLQFLQGSTPGTNTTFTARSFFTSFVFAIDDEYHGLSCHGLAFVVSPTSNFSTANRAQYLGLLNTTNGTATGRILAVELDTIMNTEFHDINSNHVGIDVNSLVSGFGTFSSEDGDAWT* >Brasy6G088100.2.p pacid=40050981 transcript=Brasy6G088100.2 locus=Brasy6G088100 ID=Brasy6G088100.2.v1.1 annot-version=v1.1 MVALVLLLLLGLDGEVAWSAEDAQFEYQGFAAAGNLILDGSATVMPNGILTLTNSIYPPNGHAFHPTPLQFLQGSTPGTNTTFTARSFFTSFVFAIDDEYHGLSCHGLAFVVSPTSNFSTANRAQYLGLLNTTNGTATGRILAVELDTIMNTEFHDINSNHVGIDVNSLVSGHFNSSVAKYEF* >Brasy6G048200.1.p pacid=40050982 transcript=Brasy6G048200.1 locus=Brasy6G048200 ID=Brasy6G048200.1.v1.1 annot-version=v1.1 MLCSRLQGCSLLLLLLVILSNASGGLSSSEAEEEAETGNRRSLATGAGGLFCVANQSADPAALQRGLNYACGPGRADCSAIQPGGVCYKQNNLPALASYAYNDYYHRNAATGATCSFDGTAMTTPTDPSSGQCIFAGSSMAGGSNSTTPAASAPSGLVPPSSTFTPGFGGGPGSAFTPYDAADSAMAAARRALLLLMPLVVFLFS* >Brasy6G048200.2.p pacid=40050983 transcript=Brasy6G048200.2 locus=Brasy6G048200 ID=Brasy6G048200.2.v1.1 annot-version=v1.1 MLCSRLQGCSLLLLLLVILSNASGGLSSSEAEEEAETGNRRSLATGAGGLFCVANQSADPAALQRGLNYACGPGRADCSAIQPGGVCYKQNNLPALASYAYNDYYHRNAATGATCSFDGTAMTTPTDPSSGQCIFAGSSMAGGSNSTTPAASAPSGLVPPSSTFTPGFGGGPGSAFTPYDAADSAMAAARRALLLLMPLVVFLFS* >Brasy6G048200.3.p pacid=40050984 transcript=Brasy6G048200.3 locus=Brasy6G048200 ID=Brasy6G048200.3.v1.1 annot-version=v1.1 MLCSRLQGCSLLLLLLVILSNASGGLSSSEAEEEAETGNRRSLATGAGGLFCVANQSADPAALQRGLNYACGPGRADCSAIQPGGVCYKQNNLPALASYAYNDYYHRNAATGATCSFDGTAMTTPTDPSSGQCIFAGSSMAGGSNSTTPAASAPSGLVPPSSTFTPGFGGGPGSAFTPYDAADSAMAAARRALLLLMPLVVFLFS* >Brasy6G048200.4.p pacid=40050985 transcript=Brasy6G048200.4 locus=Brasy6G048200 ID=Brasy6G048200.4.v1.1 annot-version=v1.1 MLCSRLQGCSLLLLLLVILSNASGGLSSSEAEEEAETGNRRSLATGAGGLFCVANQSADPAALQRGLNYACGPGRADCSAIQPGGVCYKQNNLPALASYAYNDYYHRNAATGATCSFDGTAMTTPTDPSSGQCIFAGSSMAGGSNSTTPAASAPSGLVPPSSTFTPGFGGGPGSAFTPYDAADSAMAAARRALLLLMPLVVFLFS* >Brasy6G034400.1.p pacid=40050986 transcript=Brasy6G034400.1 locus=Brasy6G034400 ID=Brasy6G034400.1.v1.1 annot-version=v1.1 MSAIAVPSPVAVPSPAEDAEGIWKALQGWRADKDALVLILTRRTAAQRAAIRRAYSFLYHEPLLNCFRHRLSRRCLLASVDFWKAMILWTMDPAERDANLLHGAIRLRGDGGENDHVSVLVEIACASAPDHLVAVRRAYASLFSCSLEEDLASSPSFQEPLKTLLLALVTSYRYGEGEVDEATAAAEAALLCEAVRRKKQPHGDEVVRVISTRSKAQLAATLRLYRAHHGTDIAEDIEARCSSQFAGALKSAIWCLTSPEKHFAEVIRNAVVGLGTYEDVLTRAVVSRAEVDMGSVRAEYRARFGATVVADVAGDTSFGYRDVLLALVGTDEGEDEVSTGLAACL* >Brasy6G051400.1.p pacid=40050987 transcript=Brasy6G051400.1 locus=Brasy6G051400 ID=Brasy6G051400.1.v1.1 annot-version=v1.1 MCGRKGGSTAAAIIAFVSLLLAASVLAFFLSPPPPADGKAGAPPEPVELAIAGAGHEGRHGWPDLGALRAWAKLAFLRLRPLEPRGELRSPGESVVKKAAKKSLEMGKETVEHTAESAADALGRTTEKVRRKVSSSPARRADGDL* >Brasy6G253900.1.p pacid=40050988 transcript=Brasy6G253900.1 locus=Brasy6G253900 ID=Brasy6G253900.1.v1.1 annot-version=v1.1 MESSSNNIEVAQEERQDPPPPNQSEEEKAEVEEEGEEGEGEKTLERAEELFAKGSKAIEEGDFVEAVDCLSRALEIRVERFGELAPECASTYYKYGCALLYKSQEETDPLGNVPKSAPNEESAKSTTNEGSGNSKASSSNIKDAPSLDKDGPEEGQNSNEKDEEDADGDSDKDGDEMGGDEEDSDLDLAWKMLDIGRAILEKNPDNTMEKVNIFSALAEVSMEREDIDNSLGDYSRALAILEHLVEPDHRRIVELNFRISLVYELASKVGHAIPYCAKAVSLCKSRLQNLKNAKEALLADKGESASAVDGGPEKSSVENEMELVTAILPDLEKKLEDLELAMATPSSEIEEIMKSIASKAGLMQNAGNAMPRAASLSSSQMAGFNNGFDSPTMSTAATSGSTGSTVTDLGVVGRGVKRANIQPISVEPSPKRLAADDPPSVKGDSGNNSVVHPAADDGEGSVSK* >Brasy6G107300.1.p pacid=40050989 transcript=Brasy6G107300.1 locus=Brasy6G107300 ID=Brasy6G107300.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSGGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQAREMARETARQAKEDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDKKEYYKMLRRDIIDRRSKRSI* >Brasy6G107800.1.p pacid=40050990 transcript=Brasy6G107800.1 locus=Brasy6G107800 ID=Brasy6G107800.1.v1.1 annot-version=v1.1 MGNCVPSGDTAVAASVAEDGKRRRRRWKAPREDQLGTVPGRIFANDGRSRTASIFTQQGRKGINQDAMLIWDGFGGEDDGVLCGVFDGHGPHGHLVARRVRDSLPLRLMSAARASAKNGQDMTDAAWRKAFARAFKAMDKDLRSHPSLDSFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDAIAGGMVAVQLTVDLKPDVPSEAERIKKCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQQAVDIVSSSPSRSKAAKTLVEAATREWKTKYPTSRTDDCAVVCLYLDGKMDHERDSTASMDNISIDDCSVADPNEAPEQEPTLTRNFTVRTVPGSAHEKALAGVDTKLSGAADDHNWSGLDGVTRVNSLVQLPRFSEKAIG* >Brasy6G086500.1.p pacid=40050991 transcript=Brasy6G086500.1 locus=Brasy6G086500 ID=Brasy6G086500.1.v1.1 annot-version=v1.1 MHCKERKLWDFIAPFIGSPEFGQGFYSIPVHRASVAPKEKMFYGLVTVLHGHVIARDIEAELKGLAGDECTWRFFARSISDAQYLIRFPTEKLLAEVSYFPSVVMRNFTEASMKIERWQDDIQPKQKLQVAWFRVGGIPANFRTREVVFCVGNLVGKTKGFDRSSLFNEAYVMIQIACIDVSLIPATREGEIDDGIYEFNYTRELPGDGNMVQVAINCSPSNS* >Brasy6G017600.1.p pacid=40050992 transcript=Brasy6G017600.1 locus=Brasy6G017600 ID=Brasy6G017600.1.v1.1 annot-version=v1.1 MSMAMISTARALRFVSWGKQPWLSAVRALSTSAGAGDPAVHAGEGDGQPPSDDYADRPPKFSAAEEAAAHDRTPTPPETKTKGRVPPFAPSGGINNNNKLGSSQELADPAEGSSLTQKRRRHSSSSSSSAPAGSDPLGVATAGQEEAAARGVREEDREYYRTHKPSPLAEVEFADTRKPVTAATDGGAQDRMEHDVPGTMVEDTADESLARAEQMFREAARRGNPAWPHSRALAAMLARRGGHGGGGAAPWGR* >Brasy6G247200.1.p pacid=40050993 transcript=Brasy6G247200.1 locus=Brasy6G247200 ID=Brasy6G247200.1.v1.1 annot-version=v1.1 MEAPPEVAKLAGAKPVKPRASAPNGRPVLGTGLSVKKRADGVTSAEVNVSRPSSMKPTSSVNAGSVQRRSSTGTAGKQQENGSSLVAKKASPLLSDGVKKSKPVSAQTVSSRPSLEKRKSLSSERTKVDPVKKPAVKASPTSTLKKVPSLTENSNGGSSSASSRRMASNASLDSPRSSSVTSSVTKKMGSRTSLADKGSSVSSRRKSSTADSRDSRFIMLPQVELKASDDVRLDSRGHRVRSLRQLRLTPKLEFVYLRDNLLSSLEGIEMLSGVKVLDLSFNDFKLPGFEPLGNCKVLQQLYLAGNQITSLASLPAFPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISTLRGFPHLPSLENLRVEENPLLEMPHLEAASILLVGPTLKKFNDRDLNPTESEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLDQWKNKLPQDCMVKTAYLDHPFEEDPCHCHFNFTNLSSEDKLVLKYQWFLGGKTPTGFVPIPEELSEVYWPKREDVGRCLKVECTPVLNDAEFPPIFAVSLPVCPGTGCPKIINLIVDGELVEGNVLKGVPEIAWCGGTPGKGVASWLRRTWNGNAALIDGAEGMEYQLTIDDIGSSLVFMYTPVTEEGIKGEPQCAMTDFVKAGTPSVSNVHVVGDVVEDNTIKGNGKYFGGKEGLSKFQWFREKEDGGFLLVLSNSTEYTLTKEDVGCRLKYVYTPINLEGQEGETVCTITDKVKKAPPKVFDLKIVGEAREGSKVYVMATVTGGTEGTSRVQWFKASSSEFVNDHELEALCTSKVSKTFRIPLGAVGCYVVAKFTPVAPDGEIGAPAYAISDHVVETLPPSLNFLTVTGEFSEDQILTASYGYIGGYEGNSLYSWYLHETEDDEGSPVSEASGLLQYHIKREDVGKFVSFKCIPVRNDGIVGEPGFFIGKDRVTPGSPTILSLELNGEIIEGTTMVANRRYWGGEEGDTIFRWVLTSSDGTQKEIEGATSSSYCLKCDDIGFYVSVSCEPVRSDGVHGSLVSTEVIGPIKPGPPTCRSLELAGPMVEGGRLTCHAVYSGGIKGNCIQEWFRLHDDGSKDKLIVDECLDLTLADVDCRIEVTFTPVREDGLHGSPKCVSSDIILPGEPKGVSLVVPECFEDNEISATKTYFGGKEGTGKYTWFRTKEKLDNLENHLVASCSEAVGETLEYKPSLDDVGLYLILYWVPTRCDGKTGDPLMAITDNPVMAASPSVLEVNLKQTSPDLYSGVGIYYGGYEGSSLHRWYRESSDGIRICIDGADSSTYEVTDADYSCRLLFGYTPVRSDGLIGEEKFSEPSHIIFPEQLKIETLSFKGNQVERETLTVVEKIPSNETQEHIWCNYKKETKYQWFASNGSGEDLSFEPLTTQCSRSYKVRFEDIGRCLKCECFVVDVFGRSSEPVSAVTAPILPGIPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGKMYEANVDDVGYRLVAIYTPVREDGVEGRPVSASTEPIAVEPEIFKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKTSFPTTEARGTYVPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDLVILVIRGLAQKFNSTSLNSLLRIEA* >Brasy6G099900.1.p pacid=40050994 transcript=Brasy6G099900.1 locus=Brasy6G099900 ID=Brasy6G099900.1.v1.1 annot-version=v1.1 MEGEDGSDGVDWDSLAEAALGAVGALVSTTVLYPHGTYPTSTRPRVRPSTDGRNQCRVRRLRRYLEVLWIWRS* >Brasy6G006000.1.p pacid=40050995 transcript=Brasy6G006000.1 locus=Brasy6G006000 ID=Brasy6G006000.1.v1.1 annot-version=v1.1 MLRAAAAAAAAFPVRFAAAPVLAPAAAEVRSPVLRVLGALGGGRSSVLGRRARFCSSSSGNDSEAAAAAAEAKAEDAVAAEGEADGKESSAIVATTPRPEDCLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSVPYAGAFLVKDEEGTDPNIVASSDSDNKSISNLKGKELLARLHEIGTLAQITSIQGDQVVLLGHRRLRISEMVEEDPLTVKVEHLKEDTYNKDDDVIKATSFEVISTLRDVLKTSPLWKDHVQTYVQHVGDFNYPRLADFGAAISGANKLLCQEVLEELDVYKRLKLALELVKKDMEISKLQQAIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNINFLDHYLDVPIDLSKVLFVCTANVIDTIPNPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAAFLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDQQAVTVTASEAPNDGGSAAIVKDENLKDPASASEEAKEVHSTKETIVDVTHPTEAPQEASTTESALSKDELSTPEGNKDTKQAKKEVDDKAVEKVLVNSSNLDDFVGKPVFQAERIYDQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALVLTGQLGDVMKESAQIAHTVSRAILQDKEPDNQFFANSKVHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPAKKDLAMTGEVTLTGRILPIGGVKEKAIAARRSSIKTIIFPAANKRDFDELAANVKEGLEVHFVDTYSEIYELAFQSETETS* >Brasy6G040900.1.p pacid=40050996 transcript=Brasy6G040900.1 locus=Brasy6G040900 ID=Brasy6G040900.1.v1.1 annot-version=v1.1 MACAAKRVVATPAAAAVPGARLVLEYATLALAGLVLEYPNEPGRESQDAHLVATRFAGDPNLHLFAVFDGYGACGAACAEFAREALPRLLLLLPQRAAGLSADPARAFREALVAANEEMHAAGGVDDSLC* >Brasy6G098400.1.p pacid=40050997 transcript=Brasy6G098400.1 locus=Brasy6G098400 ID=Brasy6G098400.1.v1.1 annot-version=v1.1 MASRVATVVLAGALPVPPRTPAAAACGSQFFLWTNCPSRHRGIRCGVPRASRSHPSSPCTAEDGLLELLKSAVAAVAIIAQISVALPANAILYSPDTNVPRTGELALRRAIPANPKMKTVQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIVIDNKETILESVPVELKEKGSELYTSLLEGKGGLQTLLKYINDKDNDRLSVALASSLDTLAELELLQAPGLSFLLPKQYLDYPRLTGRGVVEFAVEKGDGSTFFPTAGGEPKSVATIQVVVDGYSAPLTAGNFVKLVLDGAYDGATLKSASQAIIADSKAGKKGYTLPLEVMPAGQFEPLYRSPLNIQDGELPVLPMSVYGSIAMAHSEDSDEYSSPDQFFFYLYDKRNSGLGGISFDEGQFSVFGYATTEGRDVLSQIKTGDKIRSAKLVQGRERLVLPAASAPAPADPAPAPAES* >Brasy6G142300.1.p pacid=40050998 transcript=Brasy6G142300.1 locus=Brasy6G142300 ID=Brasy6G142300.1.v1.1 annot-version=v1.1 MVMGSDHGASLNVDRRRINSLLDRILSLNGLRTFVFDDKITTIQHHYSGVQEEEEEEEDRISILPDDLLHHMLRFVPTQDAVRTSVLSRRWRHVWIGLPTLAFDDERSTTGFAESVDRVLVHSRHSHYVRERIEISLAHPPHLARANQWLQQLTAAAAARSISIIFFREKKDCDRQGVVLELPACAGGRTTSMTLNFWVVGVGTLVIPPAVASAPSSLTQLELKNLRVDGSAFSYFVSSCCPHLRKLALHAFGYEDVVHVSNDALEALELGYEYGRGGLRRLEVSCRNLRCLRIANLFWPEVIARQVHDGSKAACFRTPRLEDLTWCSCAMIHPSRVEFAHSLATVRRLLVSLSTHAMVHHNLYYRNKLSEWLLQRCTAVLSLRVDIYNILPRVSIIYKNC* >Brasy6G065900.1.p pacid=40050999 transcript=Brasy6G065900.1 locus=Brasy6G065900 ID=Brasy6G065900.1.v1.1 annot-version=v1.1 MSTMEEEIAKLSGSVAKHGKVYVTIAKRILDKGNDYTKERLHHILEMVRTAR* >Brasy6G049200.1.p pacid=40051000 transcript=Brasy6G049200.1 locus=Brasy6G049200 ID=Brasy6G049200.1.v1.1 annot-version=v1.1 MAATLFSTALSHCFLPLPSSAKPAAAAASFACGPVRAASALLALPRRRLILPVAVAVSSEFETEDAEGQEEAGGESEAEYSEDLKLFVGNLPFTVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSTAEEVSAAVEQFNGYTFQGRPLRVNSGPPPPRDEFAPRTPRGMGGGGGGSSFDSGNKLYVGNLSWGVDNSTLENLFSEQGKVLDAKVIYDRDSGRSRGFGFVTYGSADEVNNAISNLDGVDLDGRQIRVTVAESKPREPRRF* >Brasy6G145100.1.p pacid=40051001 transcript=Brasy6G145100.1 locus=Brasy6G145100 ID=Brasy6G145100.1.v1.1 annot-version=v1.1 MRGGAERAGCGREASRRRSLWRRGDSAPPSGEIPSRSKCLLRRLSHVGAADACAAASYSCSVPTRRLEVAILLWREAAVTTFMDAEQRRRTQGAARLEKKKARRLARAQWAAKEKIQPTSCEPTSQQGNTGST* >Brasy6G023100.1.p pacid=40051002 transcript=Brasy6G023100.1 locus=Brasy6G023100 ID=Brasy6G023100.1.v1.1 annot-version=v1.1 MAHREMELTLVSASDLKHVNTFSDMEVYAVASVSSDPLARQRTCTDRCGGTDPSWDHTHRFTVPPTAAASAACAATLRVLLRTERFFGDDRDVGEVVVPLADILAGACAAATPPQCASFRVRKVHGSGSEHRGKLRVSYRLGPVVAPLPPGHGCGYGDGPYYPRPPMPPYYWQYYGYPPPPYYGGYPPAPYQGAPPRYPPPYQGAPPPYARTPAPRRKDSGGDYFGLLEGLRGGIGGMVFGGDMRMLLSSSDTKSSPPPAPASDAGHDGAGRAAAQKI* >Brasy6G189200.1.p pacid=40051003 transcript=Brasy6G189200.1 locus=Brasy6G189200 ID=Brasy6G189200.1.v1.1 annot-version=v1.1 MGSRVVLVVAAMFMAAAAGVGGDFAADRAECSDKLVALATCLTFVQGQAPAPTPDCCAGLKTVLQSSRKCLCVLVKDRDDPGLGLKINVTRALGLPAACSAPANVSDCPRLLNLPPNSKDAQVFEDFAKQQAAPGSPGSGPSAPSTGAQKSAATRMGRWLGVRGVGGAARAGAVVPFLFFGAVPLALPFLLLLR* >Brasy6G194400.1.p pacid=40051004 transcript=Brasy6G194400.1 locus=Brasy6G194400 ID=Brasy6G194400.1.v1.1 annot-version=v1.1 MPSAPLTGTAAPRSRLPVRRSVSCHRALLRVPRRRPPRAAPFLLPAAGRCSISSVATACCSSGCRPLLHPFRRSAGCCYAGCRLLQCCSAGCTHVRPQTLGCTYGLHRRCLHAYCSFPRALQPDTMSGTKHALGSRRGRFSNEGNTSAAGLGC* >Brasy6G192300.1.p pacid=40051005 transcript=Brasy6G192300.1 locus=Brasy6G192300 ID=Brasy6G192300.1.v1.1 annot-version=v1.1 MGWVMGNGTVARPRPTRGWPRDKSPSPRHLRLPSTIVLFPSHLPRFLLPLALTQFILLLLTSSPTSSTHCASGALSYPSSSSCSPGAMAMAATSCALLPALLAGRRRAPSRRRAAAAGVVRCSLDTKVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYDTRTFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNRGGKVGELDFRFPVGAPLHGIQAFLRTNQLQVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFTDWFMSRGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRDGRFHLRWGCREVLYEKSPDGETYVKGLRISKATSSEIIKADAYVAACDVPGIKRLLPSEWRQWDMFDNIYKLDGVPVVTVQLRYNGWVTEVQDLEKSRQLQKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLTNEEIISKVQKQVLDLFPSSRGLEVTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLAIRKKLAVDDSEKALGKVQVLQTS* >Brasy6G116500.1.p pacid=40051006 transcript=Brasy6G116500.1 locus=Brasy6G116500 ID=Brasy6G116500.1.v1.1 annot-version=v1.1 MASSKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIIWSRYSMVITPRNLNLFSVNVAMAGTGLYQLSRKIRKDYFSDEEEAAASLEG* >Brasy6G254800.1.p pacid=40051007 transcript=Brasy6G254800.1 locus=Brasy6G254800 ID=Brasy6G254800.1.v1.1 annot-version=v1.1 MVVENGVLGMAAIVESSICLWSREVDEHGAAAWVQPRVINLEPLLPPRSLSTKPLMRGFAERVGVVFLRTEAGIFTVELKSGRAKNLCNEGNIDDPLVPSFFQERDVPQPITVIPYVSFYTPGRDMKPLPPGHDIEED* >Brasy6G013800.1.p pacid=40051008 transcript=Brasy6G013800.1 locus=Brasy6G013800 ID=Brasy6G013800.1.v1.1 annot-version=v1.1 MAMAAVAVAAKRCMNTACGAAAGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHPQESGWRDCSFCGKRLHCGCAASKIFYGLLDSGGVQCVNCMQNSRPQPVSCQVAPKLFLSPNNQRLFGKSDELLPGRPLESPPLMLDSRNDDIAIVAKSNHPFMVKNIEPGQSSNILRQKEIENGARQIKWEQPTLSIGDMVRMPFLIRAQSALESPQSQCTPRDDNRDPTADSTTSESLSEACLSMSLAIANNGNKTEATSTMERPSLSPTTLFSEGRELATSLSPFQHAQRARHYLTRPPRVAEGAAFDPMKDGFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDSKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLGDTFFSSPSENLSIVSGYSGFLQSMKGATDHHPSSLFDHHANSADGDVSWLKTDRFGGRSDEGSLQFLQKRSRNIGSKSRRLLMDAEDALELKLSWEEAQELLRPAPSAKPTVVMIEDYEFEEYDEPPVFAKRSIFTRRSTGEQDQWIQCDDCSKWRRLPLNVIIASKWTCPDNTWDPKSCSCSTPQELATKDLHSILQQYEDMRRRKSSYFLKQNIPEMDASSFDALAAATVFGEVGNQGAASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKITWMSRDEPEGSSLSRSPQTLDTTRDGDVTMLDKVDMNKGHIDLNFHPASRDEVSMVGLLEVASRPLESYMKQNGLVSLAGEQASSSTQPPTVPPQAAPLGSEERNPDDARVMSAVEEREREPTDSMAVDEAAGENNGIVATATDNAAAA* >Brasy6G013800.2.p pacid=40051009 transcript=Brasy6G013800.2 locus=Brasy6G013800 ID=Brasy6G013800.2.v1.1 annot-version=v1.1 MQNSRPQPVSCQVAPKLFLSPNNQRLFGKSDELLPGRPLESPPLMLDSRNDDIAIVAKSNHPFMVKNIEPGQSSNILRQKEIENGARQIKWEQPTLSIGDMVRMPFLIRAQSALESPQSQCTPRDDNRDPTADSTTSESLSEACLSMSLAIANNGNKTEATSTMERPSLSPTTLFSEGRELATSLSPFQHAQRARHYLTRPPRVAEGAAFDPMKDGFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDSKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLGDTFFSSPSENLSIVSGYSGFLQSMKGATDHHPSSLFDHHANSADGDVSWLKTDRFGGRSDEGSLQFLQKRSRNIGSKSRRLLMDAEDALELKLSWEEAQELLRPAPSAKPTVVMIEDYEFEEYDEPPVFAKRSIFTRRSTGEQDQWIQCDDCSKWRRLPLNVIIASKWTCPDNTWDPKSCSCSTPQELATKDLHSILQQYEDMRRRKSSYFLKQNIPEMDASSFDALAAATVFGEVGNQGAASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKITWMSRDEPEGSSLSRSPQTLDTTRDGDVTMLDKVDMNKGHIDLNFHPASRDEVSMVGLLEVASRPLESYMKQNGLVSLAGEQASSSTQPPTVPPQAAPLGSEERNPDDARVMSAVEEREREPTDSMAVDEAAGENNGIVATATDNAAAA* >Brasy6G238900.1.p pacid=40051010 transcript=Brasy6G238900.1 locus=Brasy6G238900 ID=Brasy6G238900.1.v1.1 annot-version=v1.1 MGAHPSPSVETTDSVPVHTGTASSDFGVSLAKSQLHPKLGFCRCLHRRRQAPSPPPYPSRSCSGPPPNPAPAAARSSVQSAASSPYSGTRSLSTSDQTKWLAAAGRARQRHSPSCQRRQPLSAACTPTSVWSVAV* >Brasy6G190900.1.p pacid=40051011 transcript=Brasy6G190900.1 locus=Brasy6G190900 ID=Brasy6G190900.1.v1.1 annot-version=v1.1 MMAGGLVELMDEWAVQILVLLSFTLQVLLLVLGAIRRRNVSLVPRMLLWLAYQLADSTALFTLGHLSLSSRLRGHQLVAFWAPFLLVHLGGQDTITAYSFEDNRLWLCHLQTLLVQVSGAAYILYKYIPGSKALILAAAFLMFVVGVLKYGERIWALKSASIDSIWSSLKKPYGNDSQQPQASLEQVGVGDGHRLDPAEKVLMGAHSLLHVCKGLFVGLRREQSEHVRQVIVSFRESQSLDKLMEMELSLMYDIMYTKSAVIHTWYGCCIRILSLLFTVMALLLFQFSSKGGQKTVDIAITYALLLGALLVEFASLLRAAVSTWTYEFLSARRWHRLHDVVRSLRRLLRAESYRSWSGSVGQYNLFWSCARERMELTERMARMMGLEELWNQVRYHSEIADSTTRELVLKEVSEMGDMQLIRGEFFGLSRAMCEGHTLGSDENAGFNIEDIDFEGSIITWHIATEICLFEDYCTLDARWVSLAKAIKVLSNYMMHLLVVRPYMLPGTVLHSRYLQTRDYLWSIMRPGVAEGDTAQDRLKSALRKECSTLFNLGARSNHHGRPPPFISGVYFAMLLLSDEGNDMGERLRSLFRAWVEMLSYVGDNCNREYHARELSTGGEFVTIVWLMRGHVKLAGIPNPTPRISTRGRNSPLRGGSSASFPDPSQRGRDLRGPVLHHGTRLDH* >Brasy6G190900.2.p pacid=40051012 transcript=Brasy6G190900.2 locus=Brasy6G190900 ID=Brasy6G190900.2.v1.1 annot-version=v1.1 MMAGGLVELMDEWAVQILVLLSFTLQVLLLVLGAIRRRNVSLVPRMLLWLAYQLADSTALFTLGHLSLSSRLRGHQLVAFWAPFLLVHLGGQDTITAYSFEDNRLWLCHLQTLLVQVSGAAYILYKYIPGSKALILAAAFLMFVVGVLKYGERIWALKSASIDSIWSSLKKPYGNDSQQPQASLEQVGVGDGHRLDPAEKVLMGAHSLLHVCKGLFVGLRREQSEHVRQVIVSFRESQSLDKLMEMELSLMYDIMYTKSAVIHTCSKGGQKTVDIAITYALLLGALLVEFASLLRAAVSTWTYEFLSARRWHRLHDVVRSLRRLLRAESYRSWSGSVGQYNLFWSCARERMELTERMARMMGLEELWNQVRYHSEIADSTTRELVLKEVSEMGDMQLIRGEFFGLSRAMCEGHTLGSDENAGFNIEDIDFEGSIITWHIATEICLFEDYCTLDARWVSLAKAIKVLSNYMMHLLVVRPYMLPGTVLHSRYLQTRDYLWSIMRPGVAEGDTAQDRLKSALRKECSTLFNLGARSNHHGRPPPFISGVYFAMLLLSDEGNDMGERLRSLFRAWVEMLSYVGDNCNREYHARELSTGGEFVTIVWLMRGHVKLAGIPNPTPRISTRGRNSPLRGGSSASFPDPSQRGRDLRGPVLHHGTRLDH* >Brasy6G004700.1.p pacid=40051013 transcript=Brasy6G004700.1 locus=Brasy6G004700 ID=Brasy6G004700.1.v1.1 annot-version=v1.1 MKRGKKRHFLERRRSTGPKISRRPSRSRHRRWRFSRRWRSAAAPRVAADSIANRRELGWRLTAIAALPSSPDLRAGECILPVWGWEGDERCLLVVLAVSRPGTSGRPPSDGTVGLLLRLHRSKGASSFNNVFYSSGIGYVLGFSFSGWLLHDIFSRC* >Brasy6G247100.1.p pacid=40051014 transcript=Brasy6G247100.1 locus=Brasy6G247100 ID=Brasy6G247100.1.v1.1 annot-version=v1.1 MTTSSLISDAFRKLCNLLRTWASPWSSEVAAEQGGASRLFTGAADFAMDNNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHCGGYSKNSFGNIYTAVGVFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKDDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIKALLENVGSSMCPDYSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRHFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKDSNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYCLRAIHITGKCGNKPLSSLAYLDEAEPFLEQYANQALVGAAGVLVQAENLRDGEDDLLVLQRIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKQKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKRSFDNIIFAAVTLSQADILGLVRKVAEKDTDVSNFLNSIKFEDNLMKVHVTLAHKRAHGVAAVASYSLYRNKKLPVSFNTFFYNDKMAALGAQLGMVNGEAIVSNNDFPHCTLWTVGGVAPKEANTLPQLVSEGKAKRVLIDSPITISGIVNFY* >Brasy6G174200.1.p pacid=40051015 transcript=Brasy6G174200.1 locus=Brasy6G174200 ID=Brasy6G174200.1.v1.1 annot-version=v1.1 MEEAQVVESKDGTISVASAFAGHHQAVQDRDHKFLTKAVEEAYKGVDCGDGGPFGAVVVCNDEIVVSCHNMVLNKTDPTAHAEVTAIREACKKLGRLELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGHYQKANMEIKKAEGNGALIAEQVFENTREKFHMY* >Brasy6G218600.1.p pacid=40051016 transcript=Brasy6G218600.1 locus=Brasy6G218600 ID=Brasy6G218600.1.v1.1 annot-version=v1.1 MRMVFDELPRVRDVVTWNAVLAGYVRAGMVTAAREVFEEMPVRDEVSWSTVVGGYVKEGELDVALRVFRDMVDKGVRVNEAAVVTALSAAAHMGLLEHGRFVHEVVQRTRMPVSVNVGAALVDMFCKCGCVAVAREVFDGMPRRDVFAWNAMICGLASHGLGQDAVELFGRFLDEGLWPTDITFVGVLNACSRCGLVAEGRRYFKLMVDKYRIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSACKKHNLVDLGITVGNKLIELEPAHDGHYVLLAGIYAKAKKWDEVREVRKLMSSRGTGKSAGWSLMEAEGNVHKFLVGDMDHKDSVRIYNMLDMINRRLADAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVTEVGSPIRIVKNLQVCGDCHEFSKMVTKVFNREIIVRDGSRFHHMKEGKCSCLDYW* >Brasy6G218600.2.p pacid=40051017 transcript=Brasy6G218600.2 locus=Brasy6G218600 ID=Brasy6G218600.2.v1.1 annot-version=v1.1 MRMVFDELPRVRDVVTWNAVLAGYVRAGMVTAAREVFEEMPVRDEVSWSTVVGGYVKEGELDVALRVFRDMVDKGVRVNEAAVVTALSAAAHMGLLEHGRFVHEVVQRTRMPVSVNVGAALVDMFCKCGCVAVAREVFDGMPRRDVFAWNAMICGLASHGLGQDAVELFGRFLDEGLWPTDITFVGVLNACSRCGLVAEGRRYFKLMVDKYRIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTVLSACKKHNLVDLGITVGNKLIELEPAHDGHYVLLAGIYAKAKKWDEVREVRKLMSSRGTGKSAGWSLMEAEGNVHKFLVGDMDHKDSVRIYNMLDMINRRLADAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVTEVGSPIRIVKNLQVCGDCHEFSKMVTKVFNREIIVRDGSRFHHMKEGKCSCLDYW* >Brasy6G242900.1.p pacid=40051018 transcript=Brasy6G242900.1 locus=Brasy6G242900 ID=Brasy6G242900.1.v1.1 annot-version=v1.1 MSNQIDLVTKSSNAAMAEVEDNEWVYLLDDTAAPAPEDSFSSAPAPPAAADKTELELLDLCASGYDSSDTDSDAYSVCSNEGRFDKPNVSHAHEDSSVSAPPVAGGWEEVTDGFEGLAVDETTGCTKKLVAESVLAYDPLKLCRYTGDDKEHTSVAPPAQGYEEDTMPAELFDIYAEGYDSSDTESDVYSDYSNEDRLERSHKSDDVEEGERLGADVGEFFAAGDQSAFRHLLERLLGGGVEENAGLSDYDYGSGGAGPFGYGGGGSSYGYGYGGGRSYDGLGYGYGYGYGYGGRSSLPGDVYYGLGGGYGYGYGGSSLFPGDVYCGSGLGGGGYGGSSFFPGDVYYGSGLGIGLGGGGYGYGYGGSSGLGGYGYGYGYGGSSLFPGDVCYGSGLGLGGSGDPLYVPYTPLDSGLDGMHPPVQTSTRFYSTESPPSAAMAGDSPRRGVAFLPLPGQQLPCTMGPAAGPLDLGGPKEQPAFESFYDCIRGSSSDGGSGPVPGSQG* >Brasy6G189600.1.p pacid=40051019 transcript=Brasy6G189600.1 locus=Brasy6G189600 ID=Brasy6G189600.1.v1.1 annot-version=v1.1 MYLRPCSLLAHTHEKEEICKGMRKRKRKRDEDEMWMEEKEKIAKEEEKVKKGNGGGILTNKLFSELYISELTAKAIREMNYSHLTEIQARSIPHLMLGSDVLGSAKTGSGKTLAFLIPAIELLHKACFMPRNGTGVIVVCPTRELAIQTHNVAKELLKYHSQTLGYVIGGTNMRNEANQLVKGINLLVATPGRLLDHLRNTSSFNYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPQDRQTVLFSATQTQKVVEFANFTFGQNEERQRKLVYVGVDDSKLKPTVEGLQQGYCVIPSEKRFLVLYAFLRRMQHRKEDVKVMVFFSSCSSVKFHAEFLNFLGIGCYDIHGKQKQQKRTSTFFQFLKEKNGILLCTNVAARGLDIPDVDYIVQFDPPDDPKDYIHRVGRTARGDKGKGSALLFLLPEELKLLIYLQAANISLTEYVFSGKHVPNMQSQLENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFDVHQLDLKKVAASFCFKNPPKVNLDLDSSASKHRKMRKVDGAKRHGIGPSNPYGRRDCYDSRQLARF* >Brasy6G189600.2.p pacid=40051020 transcript=Brasy6G189600.2 locus=Brasy6G189600 ID=Brasy6G189600.2.v1.1 annot-version=v1.1 MYLRPCSLLAHTHEKEEICKGMRKRKRKRDEDEMWMEEKEKIAKEEEKVKKGNGGGILTNKLFSELYISELTAKAIREMNYSHLTEIQARSIPHLMLGSDVLGSAKTGSGKTLAFLIPAIELLHKACFMPRNGTGVIVVCPTRELAIQTHNVAKELLKYHSQTLGYVIGGTNMRNEANQLVKGINLLVATPGRLLDHLRNTSSFNYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPQDRQTVLFSATQTQKVVEFANFTFGQNEERQRKLVYVGVDDSKLKPTVEGLQQGYCVIPSEKRFLVLYAFLRRMQHRKEDVKVMVFFSSCSSVKFHAEFLNFLGIGCYDIHGKQKQQKRTSTFFQFLKEKNGILLCTNVAARGLDIPDVDYIHRVGRTARGDKGKGSALLFLLPEELKLLIYLQAANISLTEYVFSGKHVPNMQSQLENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFDVHQLDLKKVAASFCFKNPPKVNLDLDSSASKHRKMRKVDGAKRHGIGPSNPYGRRDCYDSRQLARF* >Brasy6G243200.1.p pacid=40051021 transcript=Brasy6G243200.1 locus=Brasy6G243200 ID=Brasy6G243200.1.v1.1 annot-version=v1.1 MVVALKESIMGTMKVMQGDDNHGGSVVLEVGEVIVGEGEVLEEEEDGVELPGFRFHPTDEELVTFYLRRKVAGKRLSIEIIKEMDIYKHEPSDLPKTSTVGGGDKEEWYFFCLRGRKYRNSVRPNRVTGTGFWKATGIDRPIHGSAGIVGLKKSLVYYRGSAGKGVKTEWMMHEFRLPPNTAVNSSPCFQEAEVWTICRIFKRTVIYKKHPQQQQHIAAAPQQQQQDSSSGVTGCGSLDSSDCTGEYGGNYEYTMNNNSMPAPAPVSGGAYYRAGGQQQYFHQGMQWNDGGAMQQPAAAVSDGDVWDDDIGRMVMELTDPSVFYDCRYA* >Brasy6G254600.1.p pacid=40051022 transcript=Brasy6G254600.1 locus=Brasy6G254600 ID=Brasy6G254600.1.v1.1 annot-version=v1.1 MSSSSLGSVVLVGASAVATAALTWSVWTLLQEDYLHERRTSKKPLLGGILKALDAVALDPNCAALVGPAGVGKTAIGDVPAALAGARIMQLDMGAMVAGTRLRGMFEERFKEAIKMAEESDGKIILFVDEMHVLVGAGDTRDGTGDAANMLKPALARGRIRCVGATTSGEYRRYIQPDAALERRFQKVAVEEPSVEATIDILKGLKQRYQDHHGLSIQDDALVAAAQLAGRYITGRKFPDKAIDLIDEACATTKMQADRQKQVKNGQCSPMITAPKELTVGPSHVAQVVSRWTRIPLTTLDQEDKEKLTHLSERIARAGCWPR* >Brasy6G013700.1.p pacid=40051023 transcript=Brasy6G013700.1 locus=Brasy6G013700 ID=Brasy6G013700.1.v1.1 annot-version=v1.1 MGGGRRLPPAAAAGWRQRNRAPALVLVVAAMMAAVLMAEPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACADFRDSAGLNFSPKPGGLPVFLLVDRGECYFTTKGWNAQTAGAAAVLVADDRVEPLITMDTPESSGKEHLENISVPSALVSKRLGDDLKNALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQVLEQRGYTQFAPHYITWYCPEAFILSKQCRSQCINHGRYCAPDPEQDFSTGYDGRDVVVQNLIQICLFKVANETRKPWLWWDYVHDFAIRCPMKDKKYTRDCANGVIKSLGLDIDKINKCVGDPEADEENPVLKAEQDAQIGHGSRGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLAQDIQTNQCLEHNGGCWLDNSNNFTACKDTFRGRVCECPVVNGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKSVSACSNEETKGCKCPPGFKGDGIHSCEDVDECKEKLFCQCKGCNCENTWGSYECGCGGNNMLYMREHDTCISKVASSSVGQGFLWVIFFGLAFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENHETSSIPQRPVEHADI* >Brasy6G013700.3.p pacid=40051024 transcript=Brasy6G013700.3 locus=Brasy6G013700 ID=Brasy6G013700.3.v1.1 annot-version=v1.1 MGGGRRLPPAAAAGWRQRNRAPALVLVVAAMMAAVLMAEPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACADFRDSAGLNFSPKPGGLPVFLLVDRGECYFTTKGWNAQTAGAAAVLVADDRVEPLITMDTPESSGKEHLENISVPSALVSKRLGDDLKNALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQVLEQRGYTQFAPHYITWYCPEAFILSKQCRSQCINHGRYCAPDPEQDFSTGYDGRDVVVQNLIQICLFKVANETRKPWLWWDYVHDFAIRCPMKDKKYTRDCANGVIKSLGLDIDKINKCVGDPEADEENPVLKAEQDAQIGHGSRGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLAQDIQTNQCLEHNGGCWLDNSNNFTACKDTFRGRVCECPVVNGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKSVSACSNEETKGCKCPPGFKGDGIHSCEDVDECKEKLFCQCKGCNCENTWGSYECGCGGNNMLYMREHDTCISKVASSSVGQGFLWVIFFGLAFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENHETSSIPQRPVEHADI* >Brasy6G013700.2.p pacid=40051025 transcript=Brasy6G013700.2 locus=Brasy6G013700 ID=Brasy6G013700.2.v1.1 annot-version=v1.1 MGGGRRLPPAAAAGWRQRNRAPALVLVVAAMMAAVLMAEPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACADFRDSAGLNFSPKPGGLPVFLLVDRGECYFTTKGWNAQTAGAAAVLVADDRVEPLITMDTPESSGKEHLENISVPSALVSKRLGDDLKNALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQVLEQRGYTQFAPHYITWYCPEAFILSKQCRSQCINHGRYCAPDPEQDFSTGYDGRDVVVQNLIQICLFKVANETRKPWLWWDYVHDFAIRCPMKDKKYTRDCANGVIKSLGLDIDKINKCVGDPEADEENPVLKAEQDAQIGHGSRGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLAQDIQTNQCLEHNGGCWLDNSNNFTACKDTFRGRVCECPVVNGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKSVSACSNEETKGCKCPPGFKGDGIHSCEDVDECKEKLFCQCKGCNCENTWGSYECGCGGNNMLYMREHDTCISKVASSSVGQGFLWVIFFGLAFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENHETSSIPQRPVEHADI* >Brasy6G013700.4.p pacid=40051026 transcript=Brasy6G013700.4 locus=Brasy6G013700 ID=Brasy6G013700.4.v1.1 annot-version=v1.1 MGGGRRLPPAAAAGWRQRNRAPALVLVVAAMMAAVLMAEPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACADFRDSAGLNFSPKPGGLPVFLLVDRGECYFTTKGWNAQTAGAAAVLVADDRVEPLITMDTPESSGKEHLENISVPSALVSKRLGDDLKNALQNGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRSFRGIAQVLEQRGYTQFAPHYITWYCPEAFILSKQCRSQCINHGRYCAPDPEQDFSTGYDGRDVVVQNLIQICLFKVANETRKPWLWWDYVHDFAIRCPMKDKKYTRDCANGVIKSLGLDIDKINKCVGDPEADEENPVLKAEQDAQIGHGSRGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLAQDIQTNQCLEHNGGCWLDNSNNFTACKLLVLADAKSTMEAAGRKPGTGSLSLPAQMKKLKVANAHRVSRVMEYTVVKMLMNARRNFSASARAVTARTHGEATSVAVVVTICYT* >Brasy6G270600.1.p pacid=40051027 transcript=Brasy6G270600.1 locus=Brasy6G270600 ID=Brasy6G270600.1.v1.1 annot-version=v1.1 MAPSKPSSPPNSNPSDAGDKGKKKVTPLQVAFLVERYLADNGFAASLAAFRADAASLFGARNAASSNPPVPPKGLLPLADILHDYISLKESRLAVDSAMHAMHSLVSAYYQPNPLLLAAPPPSSPPLVPHFFVRPNASSPPAPPPQLPVPMPPPPPPPPTAGTAGYATPMLHYTQTSSSLVVQNSSNANNMSTPAASSLPTKKRKVTKSAAKSTSASKRICTAPTTSSNPTGKNGAPQLPTAQPSSAERSLIAKLPAQGSSVAKSLFKPLQPQVSSSPCTPQQSHPIGDEPTSHPAPRPSSSVAPNAHTHQEIASSQCSIVSSKTLIVSPLKGGSSTYYSVERSYHVSSPLKSSTQKTSKREHVKGRLDFDSSDARPGSTELTCDKATNSTSDGQKQDDFDIDFTNFDIFDGDFSFSELLLDFDLDNVGVHCENPSSNAEVQRLQPIASDNNVMADPLFPNSVKPTTADPTEDINSQGATSVTSVRAITKRIKIVSPVKGHKAS* >Brasy6G240800.1.p pacid=40051028 transcript=Brasy6G240800.1 locus=Brasy6G240800 ID=Brasy6G240800.1.v1.1 annot-version=v1.1 MARAWRRTGGGRLLDQDGLPNLGQLQLQSRRARRRCPDKSADSFEFLGYALLTLQAGFVRASPLVPHRRSGVCSYGGRRRFFNYRGKYGPSHSPVPNKNFARHFNKHLAGVVGEQRKDATDGDKLRRRACWLAPRPAGPRLRRARASSSEGRGCGRSRLPERVGGRGKTAPARPASPGRSRGRLVPGAGRRGARRTCPWPSRGARGRVAARLWLPLLCFAVAVVRFRRVMRTRAATQRCGGGGAGGRGTPATGSRLPRRVGAADVRGGGEMLVW* >Brasy6G190400.1.p pacid=40051029 transcript=Brasy6G190400.1 locus=Brasy6G190400 ID=Brasy6G190400.1.v1.1 annot-version=v1.1 METAALSLVGNVGQLVGSEYRQLRGVGGQVAELRDELATMNALLRMQSEADEGAVDHFVREWMKQVRELAYDAEDCVHLYIFRVRCRPKDRFLVWSRRLLSTLFPRRRLAREIDALRARAVAISERHARYGVNREALRRSPFLGAPAAVSSARALHHHHAAGNDPDQFVGIRDQANALAEMVKAAVSGDDTKLKVFSIVGFGGLGKTTLAMAVCRQLEPDFQRQAQVSVSQAFDGRKDLAGLLKRVLRQIVKPKAGGEKGVKVEDPLGDTDKMDVDQLARKLRELLQDMRYLIVVDDIWTIAAWDAIQSRLPENNCGSRIIVTTRIETVARACIHASVYGNYMYQIKPLGLEDSKKLFLSRAFGSMNASYPKDLEQAMDKILKKCGGLPLAIVSIASLLGSYNSSGGLEIWMLVCNSIGSQMESNPTLEGMRQIVTLSYNHLPHYLKDCIMYLAIFPEDYVISKNRLLQRWIAEGLVVEKRGLTMMEVAEAYFDELVSRSMLDRATSIISFYDRVETCRVHDMMLEVMVSKSLEANFISLVGGQYEGISYDRIRRLSIHGGEEGATKADVSPSKKKAVGNGRRNGVIDWLNVQNIRSLSMFDPEGHKLLDRMGEFTLLRVLDMEDCKGLTNTHMKDICRMYLLRFLSLKGTDISMMPPELGDLEHLQTLDLEETSLESLPETVIKLEKLERLKFYKKGYEWWIMWKPPSGISNMKALREVSRILLGDVGVAQEIGDLVHLQRIFVYVDNNSRQKEVRRELALSLGKLYSLRWLDVGDMNEGGNTLDYLIDLPSPPQLLRYLRFAGGFAKLPEWVGSLTNLVDLTLSWTQLVGDQLLDVLCKLPNLKSILFQHLFYSGDELVVSSAHTFPVLKDMTVTSPREFPRVFRFEEGSMTKLETLSVNFDKWGEKRIVGVEHLRNLKEVQLTGNRGNPALGRTLKQLRDESNSRSKPNQFKVRVKYD* >Brasy6G190400.2.p pacid=40051030 transcript=Brasy6G190400.2 locus=Brasy6G190400 ID=Brasy6G190400.2.v1.1 annot-version=v1.1 METAALSLVGNVGQLVGSEYRQLRGVGGQVAELRDELATMNALLRMQSEADEGAVDHFVREWMKQVRELAYDAEDCVHLYIFRVRCRPKDRFLVWSRRLLSTLFPRRRLAREIDALRARAVAISERHARYGVNREALRRSPFLGAPAAVSSARALHHHHAAGNDPDQFVGIRDQANALAEMVKAAVSGDDTKLKVFSIVGFGGLGKTTLAMAVCRQLEPDFQRQAQVSVSQAFDGRKDLAGLLKRVLRQIVKPKAGGEKGVKVEDPLGDTDKMDVDQLARKLRELLQDMRYLIVVDDIWTIAAWDAIQSRLPENNCGSRIIVTTRIETVARACIHASVYGNYMYQIKPLGLEDSKKLFLSRAFGSMNASYPKDLEQAMDKILKKCGGLPLAIVSIASLLGSYNSSGGLEIWMLVCNSIGSQMESNPTLEGMRQIVTLSYNHLPHYLKDCIMYLAIFPEDYVISKNRLLQRWIAEGLVVEKRGLTMMEVAEAYFDELVSRSMLDRATSIISFYDRVETCRVHDMMLEVMVSKSLEANFISLVGGQYEGISYDRIRRLSIHGGEEGATKADVSPSKKKAVGNGRRNGVIDWLNVQNIRSLSMFDPEGHKLLDRMGEFTLLRVLDMEDCKGLTNTHMKDICRMYLLRFLSLKGTDISMMPPELGDLEHLQTLDLEETSLESLPETVIKLEKLERLKFYKKGYEWWIMWKPPSGISNMKALREKEVRRELALSLGKLYSLRWLDVGDMNEGGNTLDYLIDLPSPPQLLRYLRFAGGFAKLPEWVGSLTNLVDLTLSWTQLVGDQLLDVLCKLPNLKSILFQHLFYSGDELVVSSAHTFPVLKDMTVTSPREFPRVFRFEEGSMTKLETLSVNFDKWGEKRIVGVEHLRNLKEVQLTGNRGNPALGRTLKQLRDESNSRSKPNQFKVRVKYD* >Brasy6G229000.1.p pacid=40051031 transcript=Brasy6G229000.1 locus=Brasy6G229000 ID=Brasy6G229000.1.v1.1 annot-version=v1.1 MASPPPPSTVIQIPPSPNPNGGTAPAPATPKVADDPVAAPAAARPTASATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGQCQTSNQWLTAALVTVLAALSILFCFTDSVFLGVGDRKLYYGVATPRGFNVFNFSGDEEQRLWEAAELRKLRIRPLDFMHAAFTAVLFLTVAFSDVGLQNCFFPNAGKNAQELLKNLPLGMAFLSSFVFMIFPTKRKGIGYNDTTPYTKA* >Brasy6G162000.1.p pacid=40051032 transcript=Brasy6G162000.1 locus=Brasy6G162000 ID=Brasy6G162000.1.v1.1 annot-version=v1.1 MFETRLWVHVSKDLTQMTMWSGGKYTKAETPEQQALLRTCLEGKKFLLVIDDVWGEDVWDGLLEVQAQHGTAGSRVLITTRDERVARRMGAVHLYRVKSLNEDDGWWLLRTKSLLNENTGNMQDVGRRIVQKCNGLPMAIRRIGCYLRDVDPQENDWERVYSSNFCGISRRIRSTINMSYLELPYYLKRCFTYCALYREGFVISRQCITRQWIAEGFIVTTQNSTQTQCTTLEEEAEKCYEELLGRGLLLPENESCGAVGAKMPHLFRSFALLQSQNENFTGNPEDIGDVFKPCRLSITNASAEAIRNGIKKLKSLRTILLFGSSLNDKSMNDIFQKFTQLRVLDLGNTHIECVTVSLGRMAHLRYLSFANTQVREIPGTIENLRMLQFLILKDCVHLNALPESVGRLINLRSLDISGAGLNCVPFRFSKMKELNCLQGFLVSSAGAQDKSGWKFQELSSLTKLTSLQILRLERTPNGEHARQSALERKCHLKVLELSCSTEDQPVEISRAENIKGVFDALKPGPSVVSVKLVNYYGHGFPSWLSPSDLPLLQRLTLDGCLYCQYFPPLGQMKNLKFLAIAGSNLSSTIGPEFRGTPENGVAFPKLEQLIISKMSNLKSWWGLEGGDMPSLINLRLDGCSKLDSLPHWLEHCMALTSLHIHHADSLEVIENLPALKQLRVQRNEKLTRISNLKRLEDLQVLHCLLLKDVHGVPSLHKVHLDGRNSTELPHWLHPQEPFILRRLEIVGAEELLDRCSSASSPYWSVIQNADHVYANLPDGAFYFSFTKSTSYFHRSARSLAQSSLYISPSFTMPAVPKAGDVILMDGTKNSIMQSRQSTSQSWVRTQLFAFLLFVVTILMYLILLTQY* >Brasy6G022500.1.p pacid=40051033 transcript=Brasy6G022500.1 locus=Brasy6G022500 ID=Brasy6G022500.1.v1.1 annot-version=v1.1 MRPLDEKETTQVFEKLFKFTGPNLKHLLERPSVEGPDPEPGRYCLRLHKNRVYYASESLVRRATAVSRTRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSALARITENTKSGDGVVVMSMADVPLGFGVAARGAQECRKADTNAVVVLHQSDAGEYLRKEEELM* >Brasy6G022500.2.p pacid=40051034 transcript=Brasy6G022500.2 locus=Brasy6G022500 ID=Brasy6G022500.2.v1.1 annot-version=v1.1 MRPLDEKETTQVFEKLFKFTGPNLKHLLERPSVEGPDPEPGRYCLRLHKNRVYYASESLVRRATAVSRTRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSALARITENTKSGDGVVVMSMADVPLGFGVAARGAQECRKADTNAVVVLHQSDAGEYLRKEEELM* >Brasy6G003800.1.p pacid=40051035 transcript=Brasy6G003800.1 locus=Brasy6G003800 ID=Brasy6G003800.1.v1.1 annot-version=v1.1 MAWRRMRTDRRRHRRGARALAIDREDQRRLHLVEEQATGWGGGGIVAGPERGMGGPVQEGGGGTIEAVGRRGSEERIEEQRRHPWHCSSPPPRTTTSPTSCPRRRLFRHPHRRRQLRKQTYSSNSTTGDHRQQRRPVATAPSAAGGSVYGPAQQHDVDDGIGSGGGGRVLEGFVLVLRATAAAAGFVTMALVASCRHGDWMDFTRYQEYRYLLGVAVVAFLYSSAQALRSFRRMRGRGGHATFLGFAGDQAVAYLLVTAAAASLPITIRMRSAVANAFTDAMAASIVLGFLAFAALALSAMLSGCSLVSTRP* >Brasy6G191100.1.p pacid=40051036 transcript=Brasy6G191100.1 locus=Brasy6G191100 ID=Brasy6G191100.1.v1.1 annot-version=v1.1 MTAPALSRRRRRSAALHEREKESERERERTLPAAEGPGRPDPEASGPGRTDPAAWGPDPEAAGSGRPDPVAWGPDPEEAEPGRASMAGAAGSGGVGAGAAGFGGGGAGEGFHGGGGVERGRRRTSS* >Brasy6G005300.1.p pacid=40051037 transcript=Brasy6G005300.1 locus=Brasy6G005300 ID=Brasy6G005300.1.v1.1 annot-version=v1.1 MASFQSNTRALFLMTLLMMISTTLLSSHASARNLAGLEAKAGVQKLIEPNANFCEPNFCDRICKEDKYKRGICDTEGGPDVHCFCHID* >Brasy6G206900.1.p pacid=40051038 transcript=Brasy6G206900.1 locus=Brasy6G206900 ID=Brasy6G206900.1.v1.1 annot-version=v1.1 MTRKFYQKHREYKLSHEDGMESGKEARACSASSSRYEARRDDTRHRTGRAGLDPQVGVAKFP* >Brasy6G247000.1.p pacid=40051039 transcript=Brasy6G247000.1 locus=Brasy6G247000 ID=Brasy6G247000.1.v1.1 annot-version=v1.1 SLHSFRSPARGRRGSTKVVQEAKHEPMTTMGQLVRNVFNSDLREKALLELSKKTETVEDLALLLWHSRGMISELLRETVAIYPALSSPNLMQAASNRVCNVLKLFQCVASHPETRTLFVNACIPQYLYPFLQTVDKSKPFEYLRLATLAVIGALAKDKDAKVINFLLGSGVISLCFNIMEIGDELSKTAATFIVEKVLLEDVGLEYVCDTPERFFDMGWALANMVESLTDRPSAKLLKHIIGCYLRLTEIPSGNARGTLHMFIPPVLRDGTFDNYLKDEPETQQRLKRLLEIVPEDKGPEKLSDLVAAAIRHKRRSILL* >Brasy6G019200.1.p pacid=40051040 transcript=Brasy6G019200.1 locus=Brasy6G019200 ID=Brasy6G019200.1.v1.1 annot-version=v1.1 MAAVVSPPVPPLQQRLPDEHSLSETESLVQQALLLARALVRPRRQSGLEGEPRRALIDGADLNSAALLHVYGPQPTGPGGDEAFNPTVAADLHAVTGLPSATHESDIVEQELPSATEESDIVEQEETPSTMALHRSRPGHQHIRLPDSRMIDARHRPRRLRFAPIDGAPNGTALSTNAADLDSVTGLPSATEESERPSAMALLRLLLGQQRIRLPDSRLVHAVSVAWRFLASPPRRRSGLEDEGSPRLALIDTAFLHDHGPQSTGLGDPPDAARPVDPPDAARLAGPVDRAPRRHTSLAHLLDSSPPPRGPSTKVYQAWGCCRGSTSAIPPARARDINGGAPGAAPGHGFAGAPLTAPSPPTRHSVPQAVLHEDVAEPQRPTAQLPQPAQADAVEGNGDRDDPYPHLALVVVPSANEHPLALQCEDVAEPQLPNAQLPPPAQADAVDGNGDHEDPDPHPAVVIVPPPNERFFNWEACLISVGMMIAATLYQVNSDGVKMYAAATGSNAAREETTGSNAGREEKVKDTSAQIWFSVIMAVTLALGGIGVIASTSTLGILWIRRIAAFLARSCCILAVFLLLMAVAHPHLGHTFGLVMAIITALIMIVVWIAGDPEGFAMAEWLWSGLSDGCTRFGNRFRGARNG* >Brasy6G157400.1.p pacid=40051041 transcript=Brasy6G157400.1 locus=Brasy6G157400 ID=Brasy6G157400.1.v1.1 annot-version=v1.1 MVMWGDLGTMELEILGMNFRCVLSALADTKIPEKDCLLPLVSKLLGYCIVAASTTVKLPQILKILKHGSVRGLSVASFELELIGYTIALAYCIHKGLPFSAYGELAFLLIQAIILIVIIYYYSPPMGSKTWMKALIYCGLAPTILAGKIDPGLFEILYASQHAIFFCARVPQIWTNFTNKSTGELSFLTCFMNFTGSLVRVFTSIQEKTPLSVLMGSAIGIVTNGTILGQIMMYQKPALKKQKEE* >Brasy6G157400.2.p pacid=40051042 transcript=Brasy6G157400.2 locus=Brasy6G157400 ID=Brasy6G157400.2.v1.1 annot-version=v1.1 MGSLLACLSTVLGYFIIATSTVTKLPQILKILKHGSVRGLSVASFELELIGYTIALAYCIHKGLPFSAYGELAFLLIQAIILIVIIYYYSPPMGSKTWMKALIYCGLAPTILAGKIDPGLFEILYASQHAIFFCARVPQIWTNFTNKSTGELSFLTCFMNFTGSLVRVFTSIQEKTPLSVLMGSAIGIVTNGTILGQIMMYQKPALKKQKEE* >Brasy6G182400.1.p pacid=40051043 transcript=Brasy6G182400.1 locus=Brasy6G182400 ID=Brasy6G182400.1.v1.1 annot-version=v1.1 MTEHLGQELTEKLSPSIISIASFKGDKLHYKSTGIVIEQHPRGPIILTSSNLTRRYDERKLVFPPLKIKLRLPNNQVVNGQVQNLNLSCNLVVITASFSPDLRAVCLGNSVQVESHTKLLSVKRCFNSGNLMASSGVLIVIDRPRITTPSGVDCESTCKITKDGIGGPLIDFDGNIVGMNNYFDSDVTRYIPANQILKDSLSIGMNILTTGHNEEMELDEPRDAVNKLLRADGYPLPKHADGELLLLVKGRHFACTGVLIDSNQSIRVLTSANLVRNGNEIVENLRIEVCLPNKKHTTGTLQHYSLQYNVAVVTINDLNGNRAAKFVEEPETKVVALGRVFKSGNFMATRGVVTDKQSRFDCKELKVSSCKITKAGIGGPLVDFNGKIVCMNFFDMEETPYLPSDMILKLLRQFDLKGKLFNDSWPVPDPYWYYPSLHRRRKRARGRLLT* >Brasy6G139900.1.p pacid=40051044 transcript=Brasy6G139900.1 locus=Brasy6G139900 ID=Brasy6G139900.1.v1.1 annot-version=v1.1 MASNPMFQWPGQQHPEDDEPEERQIGVDPFSLRQFSRLNIDKPLPIPSVSVDNNGRHNHNPNPPPPPAPARNASASVPCSRQASPRLGSSAAAAPTRWDAHLALASGHDARAAVLPAACPGPASRALSRSKSCAELLSDADNEFDVILSSPERRASAPQRWGSDVPLIADSNSGGRKNKGRRKGNKHGAEALFGCCLYLPGLARRTASKPPPRTPAASTAARASPGYFSATLRGPADVVDQPELADQCCSRPSSTAARESTMSLAVSMEGFDCRFSSRSSMGFSMAQVDGEEASSSSYFDLPMELILGCDDGNEEGELPVHAAFLFDNDGIRKSVLRKGAGRVARPSSAAQVSAADASGAGRMSARHVRFSVTSGPAPSTAADS* >Brasy6G255300.1.p pacid=40051045 transcript=Brasy6G255300.1 locus=Brasy6G255300 ID=Brasy6G255300.1.v1.1 annot-version=v1.1 MALAITVGGFLGQAVTQDLVTRGFSSVLDRRQERASMGDTYSRLELAHSQLQFVLERSGKLPITDVSLLRQRMMIKLAFQECDTLLRSLQPPVHDQQAQQMAIAEAEATATPPAAEATATPAAEVAATATEAAAAAVLGEVAAAAQVLGKVAAAGEFLCSSLVRSVSSALGITRDKQSCLRIDDVKKFEGYADFAGKFMRDVESGCSIAHYRFFSPLITQLLQGNSLKYEMVQASRAQYLSIRPVRTEDHGVLACLAFFSFDAKIPTKCFYIGMLLRLSEDTDIVVTAIQCLESQFKSLAQVAMGELSQLPTQDLSVFPLSDIASPQLMSRLQDYCRPDPFCCQANVSQKACADNVIPTQLESHRFPEQVLFMHFRCRVSAVDQYNVQSSADEAERNVVNGWPLLKVQATFSPHSHEEGEEMRRWQTIGERGDIREWRTGGEQTEDMNVTSVQQMGEMVLTNAITCFIRQPELKKYRLDWDSAHGAAGFSVTRKKKKSRILWYW* >Brasy6G256500.1.p pacid=40051046 transcript=Brasy6G256500.1 locus=Brasy6G256500 ID=Brasy6G256500.1.v1.1 annot-version=v1.1 MFVLIILAMLGMAEARTSAVGTTVDVSTSTSQPLNYMIFPEVMISSLETRRSLLGDGPGTSPSNLTLPSTSGCQKICGNMTFDYPFGIGADCFRHPDFSLICNRTTDPPMLFLHNDSTTQVVSNIDSVGMSLWNHIQWNHILVNFSKTIPVKSGVDVYNMSWTPGNSFAVSEMIFLYVVACDLDVFLVHKDGGNHTLLCKVTCPSIHIAEQVYRQDRRGPASCVPTILLSSFVRTMELQFVRHRTSRIKAQSNLSILWDEININVVTLIEWSILDQTNCSSSMEDSNYACISNHSQCMVPQDLPGYVCRCSSGFEGNPYLLDGCSPDNERVHGNLQRLLDITFYSRHDLYKANCSERCGCINISFPFGLEEACSARKSFQLNCSDSTSPPILRININNVPIDVTYINVIEGLLGIKYDSTAGIIIINPLQQPLESHEPNLYVDPLESVSVRWAVANLTCQDARFNISGYACVSTYSSCLGVSSSYEGYVGYRCACLPGFEGNPYIPDGCIDIDDCAQTPGLCKSICQNTIGNYIRTKCPDHTEYNITKMQCTPVRKQNPFLAIIIGLSSGFALLLLSLSAVVLVRRWKRDAEKKLRRKYFRMNQGLLLEQLISSDENASEKTKIFSLQGMERGVQRFVREGEINDFVNEVAILSQINHRNIVKLFGCCLETEVPLLVYDFISNGSLFDVLHLADSSKTVFSLSWDDCLRIASEAAGALYYLHSAASISIFHRDVKSSNILLDANYAAKISDFGASRSVPIDQSHLVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLIRKQPVFSIGSGMEMKENLCNYFLSEIKSREPKEIVAPQVLEEATDQEINMFASLAEMCLRIRGEERPTMKQVETILQQLRADRVKSSSSQVNPASGQEILQGHHQTLVVNMDERPCNANIIASQRSQNACYSLEQEFLSSASLPR* >Brasy6G024800.1.p pacid=40051047 transcript=Brasy6G024800.1 locus=Brasy6G024800 ID=Brasy6G024800.1.v1.1 annot-version=v1.1 MSHIAVERNRRRQMNEHLKTLRSLTPALYVKRGDQASIIGGAVDFIRELHVLLEALQANKRRRLLPPTTPTTPSPRSLLATANTNSSSPGSGGSSSAASNNNVSPNSGGVKEKVRELAACCSSAAAEVEARISGANLLLRTLSGRAPPGQAARMVGLLQGLHLDVLHLNISTLEDTVLHSFVLQIGLECQLSVEDLAFEVHQTFCCDYQQQDHHQQQGHHGQQQLLLELPIAAVHGDIMIN* >Brasy6G024800.2.p pacid=40051048 transcript=Brasy6G024800.2 locus=Brasy6G024800 ID=Brasy6G024800.2.v1.1 annot-version=v1.1 MSHIAVERNRRRQMNEHLKTLRSLTPALYVKRGDQASIIGGAVDFIRELHVLLEALQANKRRRLLPPTTPTTPSPRSLLATANTNSSSPGSGGSSSAASNNNVSPNSGGVKEKVRELAACCSSAAAEVEARISGANLLLRTLSGRAPPGQAARMVGLLQGLHLDVLHLNISTLEDTVLHSFVLQMN* >Brasy6G047500.1.p pacid=40051049 transcript=Brasy6G047500.1 locus=Brasy6G047500 ID=Brasy6G047500.1.v1.1 annot-version=v1.1 MIIPPSPEIMLHMRYGSDAARSILARHVLAPVIHRLPSTSGDQLHRRCVLSEDIPLFATAYARFGAIEAAAVVFRSAAAAVRTQARAPFGRCEAVPRLDLRPEIRFAHMRTVAFVLNPLPPPVLLLGPPAGPEPESDAPRLIYSSGFEEEEEEEGLTSTTRFQPNRAAWLHGPKAGSRRGSSGCTATT* >Brasy6G019300.1.p pacid=40051050 transcript=Brasy6G019300.1 locus=Brasy6G019300 ID=Brasy6G019300.1.v1.1 annot-version=v1.1 MPLLTFFLGEHQRDTPNKKDFVYSLPSGPLFRWQAQHSRPEGSESTRESISPRSLTKGSALKATPAPLILLLLLPFGRAALIELSDRHPFPAPVAPCSSAPISPLFFPRISSRRRRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIIVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARMTYGDINVIVTGHSMGGAMATFCALDLAINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G019300.2.p pacid=40051051 transcript=Brasy6G019300.2 locus=Brasy6G019300 ID=Brasy6G019300.2.v1.1 annot-version=v1.1 MPLLTFFLGEHQRDTPNKKDFVYSLPSGPLFRWQAQHSRPEGSESTRESISPRSLTKGSALKATPAPLILLLLLPFGRAALIELSDRHPFPAPVAPCSSAPISPLFFPRISSRRRRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIIVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G019300.4.p pacid=40051052 transcript=Brasy6G019300.4 locus=Brasy6G019300 ID=Brasy6G019300.4.v1.1 annot-version=v1.1 MERWRRVSVLALVLLLFSACHGRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIIVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARMTYGDINVIVTGHSMGGAMATFCALDLAINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G019300.3.p pacid=40051053 transcript=Brasy6G019300.3 locus=Brasy6G019300 ID=Brasy6G019300.3.v1.1 annot-version=v1.1 MPLLTFFLGEHQRDTPNKKDFVYSLPSGPLFRWQAQHSRPEGSESTRESISPRSLTKGSALKATPAPLILLLLLPFGRAALIELSDRHPFPAPVAPCSSAPISPLFFPRISSRRRRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G019300.5.p pacid=40051054 transcript=Brasy6G019300.5 locus=Brasy6G019300 ID=Brasy6G019300.5.v1.1 annot-version=v1.1 MERWRRVSVLALVLLLFSACHGRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIIVAIRGTQENSVQNWIKDLVWKQLDLSYPNMPNAKINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G019300.6.p pacid=40051055 transcript=Brasy6G019300.6 locus=Brasy6G019300 ID=Brasy6G019300.6.v1.1 annot-version=v1.1 MERWRRVSVLALVLLLFSACHGRELYVKNHEQSFIYDHTLAKTLVEYASAVYMTDLTALYTWTFSRCNDLTQINLGSDNVQLMTFGQPRVGNAAFASCFAKYVPNTIRLIHGHDIVPHLPPYISFLPHLTYHHFPREVWAHDSEGNTTEQICDATGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIITAQSVERFQKDLASNIIMKNHDVDVSIVGGSEDRRNSFR* >Brasy6G031600.1.p pacid=40051056 transcript=Brasy6G031600.1 locus=Brasy6G031600 ID=Brasy6G031600.1.v1.1 annot-version=v1.1 MSQPDTAHTAEEQNMERLLEEPAEPALTWVDPEPTAEEQNMERLLEEPAEPALTWVDPELTAQEKVLHGLHLVCLRDITEYDPKREDFVYTRFCSFNLAFFDLDEESTAMHGPPFEELLMSQLHLMPSSSVNIISLKIIESDVGYPVDVFGTLIARDEVDYKCIYLFRRERDDSQRIYSPEDMLTLTGPRRGFGVTDTMFFEINLKIRGDTSVDDKDFSKGVIEHCYVEHFKSMRPVAHLLTSWRSTVELLCSQVAWPVGATIKVNIMNGPRDVPFNGKISAWTVGNVHHHIILYKYNGKTGTCSPALIEDDGSIVLARSFVAVPVPLMDNMLHLREDDDEEEEDIVLYVCFISGSNEDERTIVALRFPEEETVCNHGYYELRVKVSWTTILDSLPRGGRSILKRFNCLPNRPRGPMLPLYGFSNFKRV* >Brasy6G031600.2.p pacid=40051057 transcript=Brasy6G031600.2 locus=Brasy6G031600 ID=Brasy6G031600.2.v1.1 annot-version=v1.1 MSQPDTAHTAEEQNMERLLEEPAEPALTWVDPEPTAEEQNMERLLEEPAEPALTWVDPELTAQEKVLHGLHLVCLRDITEYDPKREDFVYTRFCSFNLAFFDLDEESTAMHGPPFEELLMSQLHLMPSSSVNIISLKIIESDVGYPVDVFGTLIARDEVDYKCIYLFRRERDDSQRIYSPEDMLTLTGPRRGFGVTDTMFFEINLKIRGDTSVDDKDFSKGVIEHCYVEHFKSMRPVAHLLTSWRSTVELLCSQVAWPVGATIKVNIMNGPRDVPFNGKISAWTVGNVHHHIILYKYNGKTGTCSPALIEDDGSIVLARSFVAVPVPLMDNMLHLREDDDEEEEDIVLYVCFISGSNEDERTIVALRFPEEETVCNHGYYELRVKVSWTTILDSLPRGGRSILKRFNCLPNRPRGPMLPLYGFSNFKRV* >Brasy6G042300.1.p pacid=40051058 transcript=Brasy6G042300.1 locus=Brasy6G042300 ID=Brasy6G042300.1.v1.1 annot-version=v1.1 MRRQPAVRGLGELRAWPWWCDAGAGATLVKEPAVDGRAAAMVGSKRRRGGTASNGANCRHRPHLRPHPGPPRGLVPHRARRCTTSSAAKKTSSASPQPRLRPAPHHPRRTARLRRARPQPRRSGRFPSSPTSPSSTSTPTVSTGLGRSGVGGGGWGAGPRQRRWERPGVGARLGAPEVVGEAGEGGAPAVGEAGGRGEVGRAGGCWGSRGRRRPGGGEGEGGVRGWGRETHEKREKGTRGSGASTCGPWIRFREGEK* >Brasy6G020000.1.p pacid=40051059 transcript=Brasy6G020000.1 locus=Brasy6G020000 ID=Brasy6G020000.1.v1.1 annot-version=v1.1 MASSELTYRGQQPQPTENNNNAAETRQRKPTPMPALRYVLSEQRLAFAMAGMALATIFFLLLSPSTPTPTTPSTISHLAATMATTLTSHHSLSGAGAGARMPTSAWPRLPGRVPLALKRKGLRVLVTGGAGFVGSHLVDRLLARPEVTSVIVVDNFFTGQKSNVAHHVASGDPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDVIDPNARIEFRPNTADDPHKRKPDITRAKDLLGWEPKVPLAKGLPLMVHDFRSRIFGDQDQIKPDAAN* >Brasy6G020000.2.p pacid=40051060 transcript=Brasy6G020000.2 locus=Brasy6G020000 ID=Brasy6G020000.2.v1.1 annot-version=v1.1 MASSELTYRGQQPQPTENNNNAAETRQRKPTPMPALRYVLSEQRLAFAMAGMALATIFFLLLSPSTPTPTTPSTISHLAATMATTLTSHHSLSGAGAGARMPTSAWPRLPGRVPLALKRKGLRVLVTGGAGFVGSHLVDRLLARPEVTSVIVVDNFFTGQKSNVAHHVASGDPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDVIDPNARIEFRPNTADDPHKRKPDITRAKDLLGWEPKVPLAKGLPLMVHDFRSRIFGDQDQIKPDAAN* >Brasy6G167200.1.p pacid=40051061 transcript=Brasy6G167200.1 locus=Brasy6G167200 ID=Brasy6G167200.1.v1.1 annot-version=v1.1 MAVLRASPVLPQSIPSSSASQSRRPSRGRITAEALPRTSLLPLKSSCHGGAKKTLLPRRGRGRLPCLPTKEEVAAVGGAEEEEEGRYLAREAGWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFFEFFKAEVLSALIYKLRNSPPDRYACLVAEEADATTQALQAPFEKIIGVVDCIVQDEGDILANLQGANEYFYVSGIAVLPSFRRRKVGTALLKACEVLALQWRQRFMALRAYEDDSSARGLYSKAGYRVVSRDPGWVTWVGRRRRVLMIKDLPLHDPQIEQQ* >Brasy6G202000.1.p pacid=40051062 transcript=Brasy6G202000.1 locus=Brasy6G202000 ID=Brasy6G202000.1.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.6.p pacid=40051063 transcript=Brasy6G202000.6 locus=Brasy6G202000 ID=Brasy6G202000.6.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.7.p pacid=40051064 transcript=Brasy6G202000.7 locus=Brasy6G202000 ID=Brasy6G202000.7.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.4.p pacid=40051065 transcript=Brasy6G202000.4 locus=Brasy6G202000 ID=Brasy6G202000.4.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.3.p pacid=40051066 transcript=Brasy6G202000.3 locus=Brasy6G202000 ID=Brasy6G202000.3.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.8.p pacid=40051067 transcript=Brasy6G202000.8 locus=Brasy6G202000 ID=Brasy6G202000.8.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.2.p pacid=40051068 transcript=Brasy6G202000.2 locus=Brasy6G202000 ID=Brasy6G202000.2.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G202000.5.p pacid=40051069 transcript=Brasy6G202000.5 locus=Brasy6G202000 ID=Brasy6G202000.5.v1.1 annot-version=v1.1 MTSSPPPAPADRRRKHTIYHGHRRASPHRPTVRGGLLTHLRTTSPRPHPSPSSSSAAATTTVPFRLPDWDPSSPSPSPRSPPTPSHSTSAASRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFWHEFSVQPQVHACNRVLGALAAAGHVEDALKLFDEMSEGGVRPMPVTFAIIVRALGHAGMTERILEMIGRMRDEVCRPDVFVYTALVKTMVRRGHIEGCVRVWEEMGTDGVEPDAMAYATMVGGLCNAGMVEKAAKLFEEMRTKGLLVDRTVFASLVGGYVAAGRVGDGCRVLKEMVDAGYRADLGTYNTLIGGLCGIGREDKAYKMFQIVLQEELVPSSETVSQLLVCYADKGEMVKIFGLVDKLVELRLPAIDFLVDFLKLFACKDGRELKAVEVFDTMRQRGYYSVSTYNIIIENLLKIKERKKALLLFEEMQGSDDCKPESCTYSHMIPCFVEEGNIEEACSCYNSMMKAEWIPSISAYCSLVKGLCKIGEINAAVSLVTDCLGNVENGPMEFKYTLTILEACRSKSPEKVMKVVDEMIELGYSVEEIIFCAIIYGFCKYATSTEARKVFSVMRDRNIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRID* >Brasy6G056600.1.p pacid=40051070 transcript=Brasy6G056600.1 locus=Brasy6G056600 ID=Brasy6G056600.1.v1.1 annot-version=v1.1 MRSPAFPPWIVQDTRPDRQGEQNLDAPVTNWCCPRARPGNVARMPNQMELERAAGKGKAPRNPIPTGGGPRPFRFGITVSCRPLPPSEVPIPCPFPFRYASRAQASNQASHRPGPERLGEPTYTSTSTNAY* >Brasy6G089000.1.p pacid=40051071 transcript=Brasy6G089000.1 locus=Brasy6G089000 ID=Brasy6G089000.1.v1.1 annot-version=v1.1 MTIHNLLASALAHQTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSAQEASAQEALELLVELAGAEPRFLRRQIADVAGAMLQIAEATQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFQVLMQMLLDVEEDAAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVQYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQDHFNKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAISDEIKNVIIASATRKRDRVERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYVPFLLEASNDDNPDVRQAAVYGLGVCAEFGGHVFRPLVGEALSKLNNLIRHPEAQHPDNVMAYDNAVSALGKICQFHRDGIDVAQIIPAWLGCLPIKDDKIEAKVVHDQLCSMVERSDADILGPHRQYLPKIVSIFAEVLCNGKELATDETTARMVNVLKTFPPDFLASSFSSLQPQQQLMLQSILST* >Brasy6G015800.1.p pacid=40051072 transcript=Brasy6G015800.1 locus=Brasy6G015800 ID=Brasy6G015800.1.v1.1 annot-version=v1.1 MAAILLCFALMVSSAMTAAAGSSGDLMADYYGDSCPQALATIKFVVGAAILKEPRMGASLVRLHFHDCFVNGCDGSVLLDDTDGMVGEKTAKANNMSVRGFGVIDAIKAAVDTACLGTVVSCADILALAARDSIVALGGSSYEVPLGRRDGTTASKDDADASIPNPFMDLPQLLATFQAQGLTLRDLVVLSGAHTLGYSRCVFYRSRLYNETSTLDPAYAASLDEQCSRDGGEEDQEALASLDDTPTSMDTEYYAGLMNGHALLHTDQQLYGGSGGKSDGMVRYYAENQDQFWEDFGKAMVKLGNLSPLTGDEGEVREDCRVVNSQE* >Brasy6G039400.1.p pacid=40051073 transcript=Brasy6G039400.1 locus=Brasy6G039400 ID=Brasy6G039400.1.v1.1 annot-version=v1.1 MAAPWTSGERGKTSVAAVDVDDEDAARASAQQQAPGRRRRTPVGSNGYAMDAEREREREREREREREREREREGGRVKPAARVVDDDEAADEQVNERGSSADWMEAGGRGLVEGRRRGQEGGRRRPRDGQRPAARAEWRPAAWAGRRPAAPAQQPHARDNWIRIF* >Brasy6G198000.1.p pacid=40051074 transcript=Brasy6G198000.1 locus=Brasy6G198000 ID=Brasy6G198000.1.v1.1 annot-version=v1.1 MAADSREIRRWRRQATARLRRPTMVCEAGRLGLAGLQIEGGERGCSSQLAEQGRRAGLQASARAATRGAGTGRQRRRSRGQRSSGARCCSSGTRRRGGVQGGRRQRAEHRRQRQRAEQGRRAGLLVLAREAARGAGAGRQLRRSRRRSSSGARDGRRQHENCSGGTRGGGAAADGDCAERPGRRDGEARSRGRGRRRRGEAGAAQRRGRGKWRRGEAGEAQRQGRGRGRRARAEERGGGGGATAGTGAARERVLSREKYEYVGIPYQ* >Brasy6G162600.1.p pacid=40051075 transcript=Brasy6G162600.1 locus=Brasy6G162600 ID=Brasy6G162600.1.v1.1 annot-version=v1.1 MSDYIPIPPNPPYDPNNPNSYEFPLTDFGLNYQDSTYAEIRNAQGTYTTAASPSPESPTHSTAAAAGQKRARKKTSPVWEYFEPVTVTEPDGRILNKAKCKHLQCDSMLSMHGSGGNGHLTRHYEKHVKEDAVGKAKQSKLKLNPDGIRFLQ* >Brasy6G049500.1.p pacid=40051076 transcript=Brasy6G049500.1 locus=Brasy6G049500 ID=Brasy6G049500.1.v1.1 annot-version=v1.1 MGRSSSSSAGTGRVLLILLLLHLAFASSSHAARFTRRHYGTAAAVEAPGFRGHGVADLLEDNGRRSDAVVEEMFGRMALQTTDYPGSGPNDRHTPKAPGT* >Brasy6G145500.1.p pacid=40051077 transcript=Brasy6G145500.1 locus=Brasy6G145500 ID=Brasy6G145500.1.v1.1 annot-version=v1.1 MKNPTDACCRSVIRSVESEGDCTCKVVIEPFYILSPLAASNDTITKLYAICNGGGHVPKCNRGAPTSQPSVPAQDDYTLVVDEPQTRPIDPAPQKNPKKITMLKISNGLGWSVAVLLAVLFVWDKRALFLAKLRKNAPPVAGEVEIATVYTTALEDPSNNGAEDCESTNQPSSSSSSITSPETAISEPEAPLMALTVVSKSEAGTLFFLSRIRSAWKR* >Brasy6G064800.1.p pacid=40051078 transcript=Brasy6G064800.1 locus=Brasy6G064800 ID=Brasy6G064800.1.v1.1 annot-version=v1.1 MQRCLAAASIAIVFCHNSGVAESQKAMHSSRSTLVLFLCAIFRAAAACSDGQCEVGDRCSSEADCGSELYCYNCWIEFAGKKCVRSAVADPFKIVDTSLPFNKYAFLTTHNSFSIRGEPSRTGVPRITFYNQDDSITEQLNNGVRALMLDVYDFRDEVWLCHSKGGKCFDFTAFEPAIDAMREVEAFLASNPSEVVTLILEDYVSSDRGLSKLFNASGLTKHWLPVSRMPRHGEDWPRVRDMVARDHRLLVFTSDESKEASEGIAYQWNFMVENQCRRFDAVALCRTVAAHGVMGDWLLLCRWGWRDDGVPWVPQPVRVPGDGGHGEVAGAGELLPHGAAQGDGVRGALAAGARGRAQGLPRRRRQPLGQLPRRRLLQEERRRGSVRSHGHAQRVAHLRPRRRARLQEANSEGRVARHARADATSWWRQEEMKTPWLGLFVLYRPSQCYALMRVCDICGRFGDLIGCPWWMLGTATVAVQIADDYMEVLWFCIGVVLVTRPKPFFFRKAKPKITGPMNS* >Brasy6G064800.2.p pacid=40051079 transcript=Brasy6G064800.2 locus=Brasy6G064800 ID=Brasy6G064800.2.v1.1 annot-version=v1.1 MQRCLAAASIAIVFCHNSGVAESQKAMHSSRSTLVLFLCAIFRAAAACSDGQCEVGDRCSSEADCGSELYCYNCWIEFAGKKCVRSAVADPFKIVDTSLPFNKYAFLTTHNSFSIRGEPSRTGVPRITFYNQDDSITEQLNNGVRALMLDVYDFRDEVWLCHSKGGKCFDFTAFEPAIDAMREVEAFLASNPSEVVTLILEDYVSSDRGLSKLFNASGLTKHWLPVSRMPRHGEDWPRVRDMVARDHRLLVFTSDESKEASEGIAYQWNFMVENQCRRFDAVALCRTVAAHGVMGDWLLLCRWGWRDDGVPWVPQPVRVPGDGGHGEVAGAGELLPHGAAQGDGVRGALAAGARGRAQGLPRRRRQPLGQLPRRRLLQEANSEGRVARHARADATSWWRQEEMKTPWLGLFVLYRPSQCYALMRVCDICGRFGDLIGCPWWMLGTATVAVQIADDYMEVLWFCIGVVLVTRPKPFFFRKAKPKITGPMNS* >Brasy6G064800.3.p pacid=40051080 transcript=Brasy6G064800.3 locus=Brasy6G064800 ID=Brasy6G064800.3.v1.1 annot-version=v1.1 MQRCLAAASIAIVFCHNSGVAESQKAMHSSRSTLVLFLCAIFRAAAACSDGQCEVGDRCSSEADCGSELYCYNCWIEFAGKKCVRSAVADPFKIVDTSLPFNKYAFLTTHNSFSIRGEPSRTGVPRITFYNQDDSITEQLNNGVRALMLDVYDFRDEVWLCHSKGGKCFDFTAFEPAIDAMREVEAFLASNPSEVVTLILEDYVSSDRGLSKLFNASGLTKHWLPVSRMPRHGEDWPRVRDMVARDHRLLVFTSDESKEASEGIAYQWNFMVENQYGDGGMMGFRGCRSRSESRAMGDTARSLVLVNYFHTVPLRATACVEHSRLGLVDALRACHAAAGNRWANFLAVDYYKRSDGGGVFEATDMLNGLLICGRDDVRACRRRTLKDALHGMLGLMLRRGGARRK* >Brasy6G250800.1.p pacid=40051081 transcript=Brasy6G250800.1 locus=Brasy6G250800 ID=Brasy6G250800.1.v1.1 annot-version=v1.1 MEWPSSSPQTTKVDLSLRLEPAGGGGDSVAPTARVGGKDVRLFPSMPLLRQDVPQVAGAGRPPERAQEGPGRRLEPAPLRLGRCPRTRRRPWRQQQQLSGHGLGGAPWRSCSVAGAARRPGGRCHKNRGATNGIDARSGGGELDLELRL* >Brasy6G023300.1.p pacid=40051082 transcript=Brasy6G023300.1 locus=Brasy6G023300 ID=Brasy6G023300.1.v1.1 annot-version=v1.1 MRTSSSLAMSSSSMLLLLLCCFTAAASGGLKLNYYSASCPRAEQIVREQVETLYQKHGNTAVSWLRALFHDCTVKSCDASLLLDGRGVVSEKSSPRSFGMRNFKYVDAIKSAVERECPGVVSCADVLALAARDGAAVLGGPASVAVRTGRRDGTESYADVAERFIPNHNDTVSAVLARFGSMGIDAEDTVALLGAHSVGRVHCFNLVARLYPEVDGTMEPAYGAYLRGRCPTPDEKEDTHDVRYARNDRDTPMVLDNMYYKNLLRGRGLLLVDQRLASDPRTSPFVKKMAADNGYFHDRFAAALIKMSENNPLTGDQGEIRKDCKFVNK* >Brasy6G126600.1.p pacid=40051083 transcript=Brasy6G126600.1 locus=Brasy6G126600 ID=Brasy6G126600.1.v1.1 annot-version=v1.1 MLARGMARELLPDELRAAERHTLIVRRYLDGGPGYGENDLFDAALTYLATKIDPRTMPRLCVSRSRKKEPDASGNWSTLLCMESGGSTTDAFDGVEFRWTSIEAGGGGGSEGGGNKGGKGGPTLELSFDAEHTETALDKYVPFVMARAEELRQRARALKIFLNSGGGWKGINHHHPATFNTLAMDPAIKQAVIDDLDRFLKRKEYYQRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSGVYDNSTLQRLLIDMPNKSVLVIEDIDCSFDTMSREDRKVSDQANDYTDEEELDDEDEYDARAYHSRPGGYNDRKITLSGLLNFIDGLWSTSGEERIILLTTNYKDRLDPALLRPGRMDMHVYMGHCGWEAFRTLARNYHLVDDHALFPEIQELLAAVEVTPAEVSEMLLRSEDVDAAMRVLTEFLQQKRRKAIEAEDKK* >Brasy6G101700.1.p pacid=40051084 transcript=Brasy6G101700.1 locus=Brasy6G101700 ID=Brasy6G101700.1.v1.1 annot-version=v1.1 MASTLMAPASRAVAAKTPFLGARPAAASPLRDVAAAASNGRISMSNDLWYGPDRVKYLGPFSAQTPSYLSGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCVFPEVLQKWVGVEFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGSQVLLMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPITFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLFDHLDDPVANNAWVFATKFAPGS* >Brasy6G236100.1.p pacid=40051085 transcript=Brasy6G236100.1 locus=Brasy6G236100 ID=Brasy6G236100.1.v1.1 annot-version=v1.1 MSVFLLAVELAAHSNGRGRLVDSFYSSLVRFRVAYVAPPLQLLADACVVLFLVQSADRLVQSLGCFYILLNRIKPKPVSPPPLPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPITQALIREEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCRIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNIIPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGGLIALGSPKGLKQHMPVVDAGSREQPSPGNESKKMKKYNRIYKKELALSLLLLTAAARSLLTKQGIHFYFLLFQGISFLLVGLDLIGEDVK* >Brasy6G236100.2.p pacid=40051086 transcript=Brasy6G236100.2 locus=Brasy6G236100 ID=Brasy6G236100.2.v1.1 annot-version=v1.1 MSVFLLAVELAAHSNGRGRLVDSFYSSLVRFRVAYVAPPLQLLADACVVLFLVQSADRLVQSLGCFYILLNRIKPKPVSPPPLPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPITQALIREEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCRIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNIIPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGGLIALGSPKGLKQHMPVVDAGSREQPSPGNESKKMKKYNRIYKKELALSLLLLTAAARSLLTKQGIHFYFLLFQGISFLLVGLDLIGEDVK* >Brasy6G161600.1.p pacid=40051087 transcript=Brasy6G161600.1 locus=Brasy6G161600 ID=Brasy6G161600.1.v1.1 annot-version=v1.1 MSNLKLGVEVVSAHDLIPKEQGSANAFVEVEFDDQKFRTAIKDRDINPVWNEQFFFNISDPSRLQEKELEAYVYHANRVSNNKTCLGKVRISGTSFVSQADAAPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQDFNFASTPTTAQEQATVNSIPNPFQETRTNEVRQFQHLPREQQRPAPMAGQQYYAQGQGSYGDQQQRNYAVAGNKPEAPQVRMYSAGPQQPVDFQLKETSPTLGGGRIIHGRVMPGEKAGAYDLVEKMHILFVRVVKARELPHMDLTGSLDPYVEVHLGNYKMKTKFFEKNQRPEWDEVFAFPKEVVQSSTLEVVVKDKDILRDDYVGRVTLDLNEVPVRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPIDSHLHNYIRGKVYPAPRMWYVRVNVIEAQDIFTMEHHHIPNVFVKVRIGHQLLKTRQVRSPTKNFMWNEEMMFVAAEPFEDDLIIQIEDRVAQDKDEVIGEAIIPIARLQKRADHKAIVRPVWFDLRRPGLIDLNQLKEDKFYAKISLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLTPTKTRQERGSCDPYCVAKYGHKWVRTRTIVDTLNPRFNEQYTWDVFDHGTVLTIGLFDNCHIGGDGNHDHGHSHSHSHSSPSSMDKPIGKVRIRISTLETRRVYTHTYPLLVLHPSGVKKMGELHLAIRFSVTSLLNVFLTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQVVAQRLGRMEPPVRREVVEYMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRVPPHMNTRISYADVAHPDELDEEFDTFPTSKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERIQSLLSWRDPRATAMFLLFCLFTAIILYITPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL* >Brasy6G161600.2.p pacid=40051088 transcript=Brasy6G161600.2 locus=Brasy6G161600 ID=Brasy6G161600.2.v1.1 annot-version=v1.1 MSNLKLGVEVVSAHDLIPKEQGSANAFVEVEFDDQKFRTAIKDRDINPVWNEQFFFNISDPSRLQEKELEAYVYHANRVSNNKTCLGKVRISGTSFVSQADAAPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQDFNFASTPTTAQEQATVNSIPNPFQETRTNEVRQFQHLPREQQRPAPMAGQQYYAQGQGSYGDQQQRNYAVAGNKPEAPQVRMYSAGPQQPVDFQLKETSPTLGGGRIIHGRVMPGEKAGAYDLVEKMHILFVRVVKARELPHMDLTGSLDPYVEVHLGNYKMKTKFFEKNQRPEWDEVFAFPKEVVQSSTLEVVVKDKDILRDDYVGRVTLDLNEVPVRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPIDSHLHNYIRGKVYPAPRMWYVRVNVIEAQDIFTMEHHHIPNVFVKVRIGHQLLKTRQVRSPTKNFMWNEEMMFVAAEPFEDDLIIQIEDRVAQDKDEVIGEAIIPIARLQKRADHKAIVRPVWFDLRRPGLIDLNQLKEDKFYAKISLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLTPTKTRQERGSCDPYCVAKYGHKWVRTRTIVDTLNPRFNEQYTWDVFDHGTVLTIGLFDNCHIGGDGNHDHGHSHSHSHSSPSSMDKPIGKVRIRISTLETRRVYTHTYPLLVLHPSGVKKMGELHLAIRFSVTSLLNVFLTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQVVAQRLGRMEPPVRREVVEYMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRVPPHMNTRISYADVAHPDELDEEFDTFPTSKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERIQSLLSWRDPRATAMFLLFCLFTAIILYITPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL* >Brasy6G213800.1.p pacid=40051089 transcript=Brasy6G213800.1 locus=Brasy6G213800 ID=Brasy6G213800.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy6G043300.1.p pacid=40051090 transcript=Brasy6G043300.1 locus=Brasy6G043300 ID=Brasy6G043300.1.v1.1 annot-version=v1.1 MTAEFRLRLARFLSTGGCSSVAAKGGEMEAAGEKKEKESARPAAAAELPWTVRLQVMALAAACDWAQRPDGTVNRFLFSLADRRARASPRPDAAHGGVRSADVTIDAAKNLWARVFSPADTTAAALPVVVYFHGGGFALLSAASAPMDALCRRLARALGAVVVSVDYRLAPEHPFPAAYDDGAAALRHLAANNDIFPVPVDLSRCFLAGDSAGGNIAHHVAHRWTSDPQPNNPVRLAGIILLQPYFGGEERTESELSLEGVAPVVNLRRSDWSWKAFLPAGADRNHPAAHVTGEAAPEPELGENFPPAMVVVGGLDPLQDWQRRYAAMLKRKGRKAVRLVEFPDAIHCFYLFPELPDAGKLVEEVKAFVQTCTDVDR* >Brasy6G168300.1.p pacid=40051091 transcript=Brasy6G168300.1 locus=Brasy6G168300 ID=Brasy6G168300.1.v1.1 annot-version=v1.1 MPVDPADPNYYNEPSQFMDDLISQEAPVFEEEVGEQWGDETQEGVSIDTEPLYTDAGAGTDPGSDASRCQSKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYSFISDRGEVSLQKRWGLIQSECNKFAGAQDHVKARPVSSVGVGDMPRGRTNSKLDARRESSNLAFEETLKKMWSEKDAVKEKMIQLKEEQMKEFIDVQKRKLAIEETNVAATRTAAAAAMLAEETRIMTADLNLMDEPTRDWFLAKRKMIQKHDAPAPSEE* >Brasy6G235900.1.p pacid=40051092 transcript=Brasy6G235900.1 locus=Brasy6G235900 ID=Brasy6G235900.1.v1.1 annot-version=v1.1 MEYHSSSKLVWSLALAIAVSLAVGTPPCCLAQNAPSDYVAPHNATRAAVSVGPVTWDDTVAAYAESYANDRKADCALVHSGGTLYGENLFWGSGSTWTAANAVKLWTDEKQYYTYATNTCAAGEVCGHYTQVVWAASTKIGCARVVCDNNKGVFIICSYSPPGNMNGQKPY* >Brasy6G157200.1.p pacid=40051093 transcript=Brasy6G157200.1 locus=Brasy6G157200 ID=Brasy6G157200.1.v1.1 annot-version=v1.1 MEPSKLAVALFLALALLLAASLQPSEAIRAQGVLDPAADDQGANADVAHPLTWPGFPNIPGFPPLPPHPGCPPLPPIPGLPMPQIPGLPIPAGNPPPQPQSPPPPTPTPVQIPPPSTPLTPPPVLPTPPPSTPLTPPPAIPPPPPSPSQPKECLPSLMGLNPCTGYLTNTSVPSPPAECCAGFKSLVYTAPICLCHGLNGDMSALMPAPMDSMRMRSLPGSCNVPLPLQALAQCSSPNVPPLRAPAPAAPPSPKSSP* >Brasy6G165000.1.p pacid=40051094 transcript=Brasy6G165000.1 locus=Brasy6G165000 ID=Brasy6G165000.1.v1.1 annot-version=v1.1 MKLEKVVFALNGRRYEVVDADPSTTLLEFIRTRTPFKGTKLGCGEVVICHYGTMHEKRTRTRVRVFKRCPGACLEKRLFHPFIYPLPSRPSEQLLVSPRRRVSRRLPQSFTGLGNVHVST* >Brasy6G012600.1.p pacid=40051095 transcript=Brasy6G012600.1 locus=Brasy6G012600 ID=Brasy6G012600.1.v1.1 annot-version=v1.1 MGSAEAAPAVDAGELERRVMAAVKASEARGDPPLLRAVEVARLVAGEEGAGLGLPSAELAGILVSNLCFAHNSPSLWKLVSQAMASRLLCPLHVLALLTSRVLPQRRAQPKAYRLYLELLKANLTSSSLSLQAGPNRDKITRSIDAALQLSKIYGVSGIDFGHAVILFVLVVITKLIDCVLEDCGFPSGMTEEQDSVYSIEGPQGMDLDVEGVSSAQQIEHREQLHRKNTVMAFEVVHMMAADRNIQAFLRLICHNMPDKFSALSQRLTLVEVHKMTLERLLAPSHKIDDLLMYIRRVSNVDYQPNNKRLVGVLGNMRSSGSLLGQLTGAGRAACWIIFDIYLENAIDGKHLSGISAIEILKETTKTVQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLAISAILKEETDASGAEGNKSLPRRLGLISSLQDLVQYSGLLVPPLSLVNVANAAASKAAIFMANYKAGGGNPSMISQSDSTTKAVGNMLHLIVEACISRNLIDTSAYLWPGYVVSSGHLMDTVLPQESPWLNFMQGAPLSDPLKNALIATPASSVAELDKLYHIALNGSEQEKSAAAKILCGETLVRGWNIQEHVVRLVVKLLSPPLPSDSSTQGSMSHYLSQKSTLNAILLGVSYVDTIHILSLYGMVPDVAAALMPLCEAFGSMSPPSNHRSTIFDETTVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMHNSRIEFPNSSATGTSSSSDVDSFNEVPTQPIYIDSFPKLKAWYFQNQACIASPLSGLCNKNPVHQVANKILSMICRKMNKSGVVSGNLSSTSSSSVSGSSLSTSDDSYQRPIVPAWEFLEAVPFVLEAVLTACSHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKTVSMNGTEWPSPGTALHSIEAEVKDILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDKSLEYIHGIIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCMRSPFGRDKDAVAQLIQSCFSSFLLSSPSSRSDITASRGVGALMGESITTDQGLQLPMAPGFIYLRTCRTFHDTYFVSETILKQVIDCSHKLANGWSSNGPSHLKSGRTPLSGAASMAYQVAMLGAGLLCIAGGPLVVQVLYEETLPTLLLSAREQVLKDPGPVSSTLQGYAMANMLFFCGSLLWGSEKTSPAITLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSCFVFLLVKFVPSWLRDIKLDKLKKIAAGLRSWHEHDLALSLLERGGPQAISIVVDTLLQ* >Brasy6G012600.2.p pacid=40051096 transcript=Brasy6G012600.2 locus=Brasy6G012600 ID=Brasy6G012600.2.v1.1 annot-version=v1.1 MPQHVRPDKFSALSQRLTLVEVHKMTLERLLAPSHKIDDLLMYIRRVSNVDYQPNNKRLVGVLGNMRSSGSLLGQLTGAGRAACWIIFDIYLENAIDGKHLSGISAIEILKETTKTVQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLAISAILKEETDASGAEGNKSLPRRLGLISSLQDLVQYSGLLVPPLSLVNVANAAASKAAIFMANYKAGGGNPSMISQSDSTTKAVGNMLHLIVEACISRNLIDTSAYLWPGYVVSSGHLMDTVLPQESPWLNFMQGAPLSDPLKNALIATPASSVAELDKLYHIALNGSEQEKSAAAKILCGETLVRGWNIQEHVVRLVVKLLSPPLPSDSSTQGSMSHYLSQKSTLNAILLGVSYVDTIHILSLYGMVPDVAAALMPLCEAFGSMSPPSNHRSTIFDETTVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMHNSRIEFPNSSATGTSSSSDVDSFNEVPTQPIYIDSFPKLKAWYFQNQACIASPLSGLCNKNPVHQVANKILSMICRKMNKSGVVSGNLSSTSSSSVSGSSLSTSDDSYQRPIVPAWEFLEAVPFVLEAVLTACSHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKTVSMNGTEWPSPGTALHSIEAEVKDILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDKSLEYIHGIIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCMRSPFGRDKDAVAQLIQSCFSSFLLSSPSSRSDITASRGVGALMGESITTDQGLQLPMAPGFIYLRTCRTFHDTYFVSETILKQVIDCSHKLANGWSSNGPSHLKSGRTPLSGAASMAYQVAMLGAGLLCIAGGPLVVQVLYEETLPTLLLSAREQVLKDPGPVSSTLQGYAMANMLFFCGSLLWGSEKTSPAITLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSCFVFLLVKFVPSWLRDIKLDKLKKIAAGLRSWHEHDLALSLLERGGPQAISIVVDTLLQ* >Brasy6G158600.1.p pacid=40051097 transcript=Brasy6G158600.1 locus=Brasy6G158600 ID=Brasy6G158600.1.v1.1 annot-version=v1.1 MSPPPSSSRVSVSGAAALLAMACLLLHGGTPAAAGELCADYYDCTCPDAYEIVQGVLIEAHRSDPRIFASLIRLHFHDCFVQGCDASLLLDSFPGMQSEKDARPNNNSARGFGVVDAAKAALEDACPGVVSCADILALAAEISVQLSGGPGWSVLLGRLDGKTTDFNGAQNLPGPFDGLPTLKRKFRDVGLDDTTDLVALSGGHTFGRVQCQFVTGRLYNFSNTNMPDPTLDSRYRAFLSQRCPRNGPAASLNDLDPTTPDAFDNHYFTNLEVNRGFLQSDQELKSDPGALTSTAPIVDRFAASQEAFFRSFALSMIKMGNIQPLTDPSKGEVRAHCARVNAS* >Brasy6G158400.1.p pacid=40051098 transcript=Brasy6G158400.1 locus=Brasy6G158400 ID=Brasy6G158400.1.v1.1 annot-version=v1.1 MIQVVSEFGPVSATLFSRINVLFSREVFSTGSVTCRSTIQRSISLRFSPSSAVAHTSRCIQSVLNTRFNFYGWYLSGGPPCAPSLISRGARDGTEQVTGYRFLFPRAAVPSSVPGCARQRRPRRFGSRQRRRPGSRPRSGQIWAWIKRPRQPPPLQPQLARKIPRPRQQAPPRRPCLHPPPAPFWTPSSSAEPTTVSISAARLPYLNVKIYFLINLVFFRISLMRSLVCFPFILEFC* >Brasy6G150700.1.p pacid=40051099 transcript=Brasy6G150700.1 locus=Brasy6G150700 ID=Brasy6G150700.1.v1.1 annot-version=v1.1 MASIIVQVSAILLNLIAFGLAVAAEQRRSKATVTPDLAKEYDYCVYDSDVATGYGVGALLLLTAAQVLVMVASRCFCCGRGLKPGGSRACALMLFLFSWLTFLVAAACLLAGSVRNAYHTRYRGIFNGDPLSCETLRKGVFAAGAAFTFFTAILSEFYYISYSKSRDAAGGAPYGGSSIGMGPYN* >Brasy6G208400.1.p pacid=40051100 transcript=Brasy6G208400.1 locus=Brasy6G208400 ID=Brasy6G208400.1.v1.1 annot-version=v1.1 MASPAAAGDGALTGEAVSAGFAELERQQQLLASCTRLYQQLSDHFASLERGLAARSDAIRHKRRAVEARTGRALDSLRRRELSIDGSVSRALQQLDSLAAAPAPAGGEEVSSVSEDAAGLADGLRALCARMDSAAFFGFVAARRKEADSLRSEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPADLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERARGMAREWKEAVELKGGVEAAKPPDAHAFLQHVVTFAVAERADRPLYRRIVVSFSWRRQMPRLALAVGLDEDMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKTSCTVSDNSSTSSGQSGSNANKKEQSALRAVIKCIEDRKLESEFPPEDLQKQLEDLEKAKTEKKKASSSASSGGSSEPANKRIRASNGGPMPPAKAGRLANNTSVSSLPVAATFVRSPSHTSYASPSHTSYASPSHTSYASPPHTSYATASPYPYDRSAAPGLYCNRSPPAIREPYVYRAEEVPSVSFGMPYPSPPMTYPAPYGGYTNGLPAYNNGMAPVFHQAYYR* >Brasy6G265200.1.p pacid=40051101 transcript=Brasy6G265200.1 locus=Brasy6G265200 ID=Brasy6G265200.1.v1.1 annot-version=v1.1 MADAVQYRLERMADELDDLERRGLFTDAELAEVVRRRRDFEFRLRRHSPLKADFLDYIAYLRRVDALRGLRKRAIIRATPDPADDDDANNSEDGDEHQEDGATKKRKRRKKKKWAKSVSDYAAVLRVLDVYRTATVRFKGDLDLWFQYLEFCRQKRHGRMKQVLAQAIRYHPKVPGLWIYAAAWEFDQNLNVASARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLEKDNDDAGHWKEENKELFMSLNEQGGSPDESGLQGVALEEKEDLFWQQGLLIIRTIYHGAMESLPSSITLRKKILEILNSVELSHSEDLRLEVMDDLKKDFSHNEDYWDWFARLQLSDSTYSGTSNEKEALSNKLDKSIQVYDEAVRKLPTSKMYSMYAKFWLGVLFSDREDSISLFHDAEFDASEYTSSVLKVFKNAESCGCLTEDLACQYVSLCLKLGRLEEAPERLEEAKNLAEKLCNGPLSKAANLWNLRASLEINSLATATGSLSFSEENLSSLFDLFNAVLLKLPITEAEGLWHTAMKLFSHDKRYFEKLVKSAMLSLSSAGGSDCGASVSSAIVGWVLQKDGIKQARKMYKRFLALPRPSLKFFQFCIELEANLASLGNDDAIANARKLYDSAINFYPQEKEVWRNYYNMELKVGTSETANAIYWRARKVLDDSTAITVPRS* >Brasy6G012900.1.p pacid=40051102 transcript=Brasy6G012900.1 locus=Brasy6G012900 ID=Brasy6G012900.1.v1.1 annot-version=v1.1 MVFAVAALDQRRLLLLKLIFVGMAVLSAPLSTASASWSFWPPAPARGDEGCLSWRVMVEANNAKNWRTVPAPCVPYVYNYMSPIWGQYGRDVASAVDQMLAYAAAATAADDDGLDAWVLDVDDTCLSNLPYYQANHFGAYDPAAFRAWASKGICPGIPAMLRLFWTLKGRGFRVFLLSGRDEETLAAPTAHNLAAAGFAGYDRLILRGVVHRGESSVEFKSAMRRRLEEEEGYRIRGNVGDQWSDLQGHSTGDRVFKVPNPMYFVP* >Brasy6G012900.3.p pacid=40051103 transcript=Brasy6G012900.3 locus=Brasy6G012900 ID=Brasy6G012900.3.v1.1 annot-version=v1.1 MVFAVAALDQRRLLLLKLIFVGMAVLSAPLSTASASWSFWPPAPARGDEGCLSWRVMVEANNAKNWRTVPAPCVPYVYNYMSPIWGQYGRDVASAVDQMLAYAAAATAADDDGLDAWVLDVDDTCLSNLPYYQANHFGAYDPAAFRAWASKGICPGIPAMLRLFWTLKGRGFRVFLLSGRDEETLAAPTAHNLAAAGFAGYDRLILRGSPKYLHGKAPLMPANS* >Brasy6G012900.2.p pacid=40051104 transcript=Brasy6G012900.2 locus=Brasy6G012900 ID=Brasy6G012900.2.v1.1 annot-version=v1.1 MVFAVAALDQRRLLLLKLIFVGMAVLSAPLSTASASWSFWPPAPARGDEGCLSWRVMVEANNAKNWRTVPAPCVPYVYNYMSPIWGQYGRDVASAVDQMLAYAAAATAADDDGLDAWVLDVDDTCLSNLPYYQANHFGGVVHRGESSVEFKSAMRRRLEEEEGYRIRGNVGDQWSDLQGHSTGDRVFKVPNPMYFVP* >Brasy6G122400.1.p pacid=40051105 transcript=Brasy6G122400.1 locus=Brasy6G122400 ID=Brasy6G122400.1.v1.1 annot-version=v1.1 MASSSRVRVGTLVPFGEGKAGSLGASLPSIPIFEGSNVVGRSNLLVVDKRVSRKHLSLRASADGSIEVVVEGPNPIVVQSEGQRRRICAKERAKIIHDDVLELIPGDYFVKYVNMGDEHKSSTPVDSNDLKKGKRHSEEDCVVAKRNRQIVEDEALARTLQDNRGNLDHCI* >Brasy6G122400.2.p pacid=40051106 transcript=Brasy6G122400.2 locus=Brasy6G122400 ID=Brasy6G122400.2.v1.1 annot-version=v1.1 MASSSRVRVGTLVPFGEGKAGSLGASLPSIPIFEGSNVVGRSNLLVVDKRVSRKHLSLRASADGSIEVVVEGPNPIVVQSEGQRRRICAKERAKIIHDDVLELIPGDYFVKYVNMGDEHKSSTPVDSNDLKKGKRHSEEDCVVAKRNRQIVEDEALARTLQVS* >Brasy6G029400.1.p pacid=40051107 transcript=Brasy6G029400.1 locus=Brasy6G029400 ID=Brasy6G029400.1.v1.1 annot-version=v1.1 MSTRGSSQSAAQLMAFSEPKPIGSSQQPNPSPPSSPSERPPAGGRGGRRRTQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYAAPASCYSNYPPFLAPFHSPAQGTTMANAAAMTMQQHMVPGHGHGQAPQHIGTSYASGGGGECSMPMPMPMPMMVDVVRNGSGSGGGGRGHVQREDEDFFCFSGSALDDNSGYLSSVVPESCLRPAARGGGMQMEDTTRRYSVSDADAYGYGMMGREDVDDLAQMVAGFWGSDAAAAYGGGGGGDGMVAASSSQGSDAGYSPFSFLSH* >Brasy6G102700.1.p pacid=40051108 transcript=Brasy6G102700.1 locus=Brasy6G102700 ID=Brasy6G102700.1.v1.1 annot-version=v1.1 MSSGAQQTPPPPPPYRPYRQARSATPHARAISCVRFSPCGRLLATASLDGTAALLSPSSLAVIANLRGHADGVSDLSWSTDSFYLCSASDDGTLRIWDIRSILSASKPPADPNADRCIRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVKGTRCERVIDAHSEPVTSVHFIRDGSIIVSGSHDGSCKIWDARTGSCLKTVIDDKKPAVSCSMFSPNGKFILVATLDDSLKLCNYATGKFLKVYSGHVNRVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKIEGHTDAVISVSCHPTENKIASGSLDNDRTVRLWVQDG* >Brasy6G069600.1.p pacid=40051109 transcript=Brasy6G069600.1 locus=Brasy6G069600 ID=Brasy6G069600.1.v1.1 annot-version=v1.1 MDQGDVARQLKQMTDFIRLEAVEKASEIEVASAEEFEIEKLQLVEAEKKKIRQEYERKEKQVAIKKKIEYSMQLNASRIEVLQAQDDLVKSMMESAGKQLLFQSRDHQTYKKLLRILIVQGLLRLKEPAVILRCRKEDRDLVESVLELARNEYAEKANVYPPEIVVDRQVYLPSAPSHYEAHGQSCSGGVVLASRDGKIVFENTLDARLEVVFRKKLPEIRQSLMGR* >Brasy6G188400.1.p pacid=40051110 transcript=Brasy6G188400.1 locus=Brasy6G188400 ID=Brasy6G188400.1.v1.1 annot-version=v1.1 MSTAKPASAATLVMLFGGLVLVSLMVEASAKPSSPVSVADVVVGGRRMMTGVNEGLSQKTPEELKADDPLSSSKRRVPNGPDPVHNRGAGESGRSPGRA* >Brasy6G188400.2.p pacid=40051111 transcript=Brasy6G188400.2 locus=Brasy6G188400 ID=Brasy6G188400.2.v1.1 annot-version=v1.1 MSTAKPASAATLVMLFGGLVLVSLMVEASAKPSSPVSVADVVVGGRRMMTGVNEGLSQKTPEELKADDPLSSSKRRVPNGPDPVHNRGAGESGRSPGRA* >Brasy6G266000.1.p pacid=40051112 transcript=Brasy6G266000.1 locus=Brasy6G266000 ID=Brasy6G266000.1.v1.1 annot-version=v1.1 MGGFNPPVPQQDNNWEIRVAVLLSLLFQVLTFVLGPMRKRFSSPVALFAIWSCYLLADWVADLALGLLLNNIGNIGDNGSSSTISLASGFKCAPGAAVNDTGCESSNSSPAIFAFWTPFLLLHLGGPDTITAYSLEDNELWFRHLIGLLFEFFSASVIFFCSLKGNTMIPATVIMFVVGILKYGERTYSLYSGSVGGFRENILDDPDPGPNYAKLMTEFDAKEKAGLSVEIVITGDDPDAKQALNMLEEDETNRLVMNKNKSLEAQAFDFFKIFRRLFVNLILSDKERKTSQAYFLERDDIDSTKAFQVIEIELNFIYDMVYTKAPVAHYKAGQFRLGYMLRFVGSACIVSALLIFFFHGKDDFLHVDVAITYALLIGGVALDAAALGMLLSSHRMIVSLEKTRRLAWLARAVRSARPHLRRWSERTSQLNLVSYCLGKPDPYRDGGLIRRYGSPKVIRALAKVAEKLRVREVFDDFFFIRRESLRCRQVGEKNHLLEFIFDGLKKRAKEARSKTYGNMKETCSCRGEGILGKLREQIKEELENAAAQVGDNKDEDISEKVEKNLDILVKSVAEDKEFDESLLLWHIATDLCCLHPLPEYTPSGPSTKTTAWEMKPIGETLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEAKRFFQSAAAYDPDHVDARRMLLRVNTTKPPSDVKGDKCKSLLFDAVILAKVLRDLGEELMWKVVANVWAEMLTYAAGKCRGSTHVRQLSRGGELITMVWFLMAHMGLGDMYQIHAGDAKAKLIVHDQ* >Brasy6G221100.1.p pacid=40051113 transcript=Brasy6G221100.1 locus=Brasy6G221100 ID=Brasy6G221100.1.v1.1 annot-version=v1.1 MDLSMKVFLVVLLIFVTIEDGALVQVALANKQCLGRRSHTYHGPCSGNPNTRCNNACVGEGFTAGHCVKQIDRHLCFCYNRPCM* >Brasy6G013100.1.p pacid=40051114 transcript=Brasy6G013100.1 locus=Brasy6G013100 ID=Brasy6G013100.1.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWDQNTSVCSWHGVTCSGDQSRIFELRVPGAGLIGAIPANTLGKLDSLQVLSLRSNRLSGSLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSVLELSYNSFIGKIPTSLQNLTELSLLNLQENSLSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSLHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGVNHKGKGTGVRSEKPKQEFSGGVQTAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVVYDYVTTGSFSAMLHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYVSDYGLNSLMNAPVSASRVVVGYRAPETVESRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPAMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPF* >Brasy6G013100.4.p pacid=40051115 transcript=Brasy6G013100.4 locus=Brasy6G013100 ID=Brasy6G013100.4.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWDQNTSVCSWHGVTCSGDQSRIFELRVPGAGLIGAIPANTLGKLDSLQVLSLRSNRLSGSLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSVLELSYNSFIGKIPTSLQNLTELSLLNLQENSLSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSLHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGVNHKGKGTGVRSEKPKQEFSGGVQTAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVVYDYVTTGSFSAMLHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYVSDYGLNSLMNAPVSASRVVVGYRAPETVESRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPAMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPF* >Brasy6G013100.3.p pacid=40051116 transcript=Brasy6G013100.3 locus=Brasy6G013100 ID=Brasy6G013100.3.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWDQNTSVCSWHGVTCSGDQSRIFELRVPGAGLIGAIPANTLGKLDSLQVLSLRSNRLSGSLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSVLELSYNSFIGKIPTSLQNLTELSLLNLQENSLSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSLHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGVNHKGKGTGVRSEKPKQEFSGGVQTAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVVYDYVTTGSFSAMLHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYVSDYGLNSLMNAPVSASRVVVGYRAPETVESRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPAMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPF* >Brasy6G013100.2.p pacid=40051117 transcript=Brasy6G013100.2 locus=Brasy6G013100 ID=Brasy6G013100.2.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWDQNTSVCSWHGVTCSGDQSRIFELRVPGAGLIGAIPANTLGKLDSLQVLSLRSNRLSGSLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSVLELSYNSFIGKIPTSLQNLTELSLLNLQENSLSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSLHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGVNHKGKGTGVRSEKPKQEFSGGVQTAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVVYDYVTTGSFSAMLHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYVSDYGLNSLMNAPVSASRVVVGYRAPETVESRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPAMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPF* >Brasy6G034300.1.p pacid=40051118 transcript=Brasy6G034300.1 locus=Brasy6G034300 ID=Brasy6G034300.1.v1.1 annot-version=v1.1 MAKRLRAAALPWGESLGSPANGLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPLRNVTEPGVYANLEAAVSAARPGDTILIAAGGNYVASNIQIKKPLCIIGSGVLPDDTVLTCSRGSDNALELLSTCKIANLTIRAELGCCLLHRSGKLTIEECLLQCEQNPMDYLSFPIISTAIEYDAFSPLKEQGHGVTVVRTRIEGGAKAVRTNGTLSLQHVRAIYARRSVFFWFEVGEK* >Brasy6G084400.1.p pacid=40051119 transcript=Brasy6G084400.1 locus=Brasy6G084400 ID=Brasy6G084400.1.v1.1 annot-version=v1.1 MNKQQELFASSYMDTSFLGANSEASAAQGERPRARRRRRRAAAARCEEVDGGSSLLDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKHAHDAAILHKCHLENEVLRLKERLGVIEEEVRRLRSAGSCRAMSGDGAGHHGSPSSSFSTGTCHNHQQQPGFSGGVDVMLGNDDLMMYVPDAEYGGAYADTNVAEWFSLYGLM* >Brasy6G156300.1.p pacid=40051120 transcript=Brasy6G156300.1 locus=Brasy6G156300 ID=Brasy6G156300.1.v1.1 annot-version=v1.1 MATLSLSPFYCAPPRPPPPPPAPTKPNDCLPRCKPSAAAVLISFPVIDWRPRPCSPLLRCRRRALCSGRHVLLLRPPLRCSAAAAVSSAPAAVRCSTAAAPSAPAVAAAPPAPAAVRCSTAAAPSAPAVAAAPPAPAAVRCSTAAAPSAPAVAAAPPAPAAVRCSTAAAPSAPAVAAAPPAPAAVRCSTAAAPSAPAVAAAPPAPAAVRCSTAAAPSAPAVAAAPPAPAAVHCSTAATAPPAVPSRPCSPHPRPLLRPPLAAPPPAPLLRPQRAAPPP* >Brasy6G229900.1.p pacid=40051121 transcript=Brasy6G229900.1 locus=Brasy6G229900 ID=Brasy6G229900.1.v1.1 annot-version=v1.1 MASNNGLKSVIICILVLGLVLEQVQVEGKSCCKNTTARLCYNSCRKLGGTQKECASRCGCIHISGKRCPADYPSMHLLPDSRESDAIKYCNIGCSSTVCDNMNHVFGGEENVELCLDACVNLCKGNEAAVASVAA* >Brasy6G145000.1.p pacid=40051122 transcript=Brasy6G145000.1 locus=Brasy6G145000 ID=Brasy6G145000.1.v1.1 annot-version=v1.1 MLLSLLHPCSSPSSVRGPLPPSPVLLSLLCPWSSPSSASSARLPSCTPARSWDQGRDPITKTIAASDDWWELEIQRCADAAKFRHAPLADEEKMREIFYLHSVTNEHARVPTPSQVNMDSEVDSGCELEDESTPVQPRLKKLKKRLCPYSPSPTAAAKIATESSSKMALERMVEIFERRENSRNSVTSQVTVDPVRQELKEMMALVVQDGGEPGTDAHFYASQLFMKKEYRDAFSCMEEAKPEVRIDWLKRTWEESVGARNSGTGDAGHPLL* >Brasy6G193100.1.p pacid=40051123 transcript=Brasy6G193100.1 locus=Brasy6G193100 ID=Brasy6G193100.1.v1.1 annot-version=v1.1 MDMYLRMAKDSSKEEDRLNRWTGLNVNFWELVNIEHVPRQKDGTPCGLFVIKTIQLWLGSKLSKKFTQKDIEIFRRQLPCDILYSVLNKIKIRDMQMQQSQEKTPATSDKHDHDHGRNAIFVQK* >Brasy6G241800.1.p pacid=40051124 transcript=Brasy6G241800.1 locus=Brasy6G241800 ID=Brasy6G241800.1.v1.1 annot-version=v1.1 MRRRLLVLFLLAVLAAAAVAQQQPLASRADLAGLYSLRASLGLRAREWPARADPCAAWAGVACRGGRVVGLTVAGFRRTRLASRAPAFAADGLRELAALERFNASGFPLPGPVPAWFGAALPPSLAVLDLRSAGINGTLPADLGASGNLTGLLLSGNRLTGPVPRSLLSVRGLRVLDLSTNNFTGELLPNVSVVAAAAGTDGAGGLFNVSGNSLYGLAGDAIGVLKTRFQVVDVSNNYLDGIWDGSDATVDVSMNCFSGVPGQRSRADCEEFYRRQGVKLSNLPVPLPSPQPSPERKNSRRISKNVLIGVITGAAGLVAIFLAALLSCLMRRRKQRVGGRGVDTNEEGARGTRRRDSSVNPVASSPVAVSLRADNTHKDAVAVFGELTYEQLVHATGGFSDDNLLKHGHSGDIYHGVLENSSHLVVKKTGAKSITKNELDFYSRYSHERIVPLLSHLAKDDEEFLAYKYMPKADLTDALHKKPPVETEDGLLSLDWITRLKIAIGVAEAMCFLHDECSPPLVHRDIQASSVLLDDKFEVRLGSMSNICAQQSVGSQNVFSRILRSSKSLDKNTSGPPATCSYDVYCFGKVMLELVTGNFGVSGSNNSGSEEWLANTINRISINDKDSITNIIDPLLIVDEDHLEEVWAVAIVAKTCLNSKPSRRPSARYVLKALENPLRVVRVGSRSNSARLRSSSSRSSWQSAFLQGNRYQSYEAMSPSGRMLDCRGSVRSHVSGGEASSSFKRAVREIAPDPQVLEEDVAL* >Brasy6G252000.1.p pacid=40051125 transcript=Brasy6G252000.1 locus=Brasy6G252000 ID=Brasy6G252000.1.v1.1 annot-version=v1.1 MTTSSLISDAFRKLCNLLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKVAEKDTDVSNFLNSIKLEDNLMKVHVTLAHKRAHGVAAVASYSLYRNKKLPVSFNAFFYNDKMAALGAQLGMVNGEAIVSNNDFPHCTLWTVGGVAPKEANTLPQLVSEGKAKRVLIDSPITISGIVNFY* >Brasy6G252000.5.p pacid=40051126 transcript=Brasy6G252000.5 locus=Brasy6G252000 ID=Brasy6G252000.5.v1.1 annot-version=v1.1 MSQSANQLLSLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKVAEKDTDVSNFLNSIKLEDNLMKVHVTLAHKRAHGVAAVASYSLYRNKKLPVSFNAFFYNDKMAALGAQLGMVNGEAIVSNNDFPHCTLWTVGGVAPKEANTLPQLVSEGKAKRVLIDSPITISGIVNFY* >Brasy6G252000.2.p pacid=40051127 transcript=Brasy6G252000.2 locus=Brasy6G252000 ID=Brasy6G252000.2.v1.1 annot-version=v1.1 MTTSSLISDAFRKLCNLLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKVAEKDTDVSNFLNSIKLEDNLMKVHVTLAHKRAHGVAAVASYSLYRNKKLPVSFNAFFYNDKMAALGAQLGMVNGIREEAFARSKQSITRASFCKVQAVTFFWMD* >Brasy6G252000.3.p pacid=40051128 transcript=Brasy6G252000.3 locus=Brasy6G252000 ID=Brasy6G252000.3.v1.1 annot-version=v1.1 MSQSANQLLSLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKVAEKDTDVSNFLNSIKLEDNLMKVHVTLAHKRAHGVAAVASYSLYRNKKLPVSFNAFFYNDKMAALGAQLGMVNGIREEAFARSKQSITRASFCKVQAVTFFWMD* >Brasy6G252000.4.p pacid=40051129 transcript=Brasy6G252000.4 locus=Brasy6G252000 ID=Brasy6G252000.4.v1.1 annot-version=v1.1 MTTSSLISDAFRKLCNLLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKHKIRG* >Brasy6G252000.6.p pacid=40051130 transcript=Brasy6G252000.6 locus=Brasy6G252000 ID=Brasy6G252000.6.v1.1 annot-version=v1.1 MSQSANQLLSLRTWASPWSSEVAAEQGGASRLFTGAADFAMENNTFAEAQIRAAFYPKFENEKSDQETSKQMIEMVSRGFATMEVTLKHSGSLFMYAGHRGGYSKNSFGNIYTAVGIFVLDRMFHEAWGKEAPQMQAEFNDYLQKNRINISMELVTAELGDHGQRPKNDYAVVTAVTELGHGKPKFYSTPDVIAFCRKWRLSTNHVWLFSTRESATSFFGAYDALCEVGTATPVCEALDRIADISVPGSKDHLKVQGEILEGLVARIVSHQSSDQMKEVLRSLSQAPLHGVDSDLGPSLREICAANRSDEKQKIRALLENVGSSMCPDHSDWFGNSSLDAQSRNTDESLVTSFLEAHPTDYATKKLQEMIRLMKQRNFCASFKCYLNYQNVDSLSYKMVIHVRRDSIFRRYQKEMRKNQGLWPLYRGFFIHINLFKANNKKGAELSKESNTSLEIIDGAVGSSSSAQDGLAGEDSSLMVKLKFLNYKIRTFLIRDGLSILFKEDGPSNYQAYYLRQMKNWGTSAHKQKELCKLLDQWAIHITGKCGNKPLSSSAYLDEAEPFLEQYANQALVGASGVLIQAENLRDGEDDLQPERGAAPSSPTTSLDVVSKTEGLIVFFPGIPGCAKSTLCKEILEMPGGLGDNRPLHSLTGDLIGGRYWQKVADKRKEEPFRITLADKNAPNKKVWRQIEYICRTTKAAAVPVISDSEGTDTNPFSLEALAVFMFRVLQRVNHDGHLDEALWNAGYVLLKFYNLYEGKSRSEFESELYERFGFLVKMPLLKPDRGPLPGDVKSILDEGLSLFTHGRAELSQGSYVREWAQWEKRLREILFGNADYLKSIQVPFEVAVKEVVDQLKAVAKSDTKTPDTAKLSFDNIIFAAVTLSQADILGLVRKHKIRG* >Brasy6G211600.1.p pacid=40051131 transcript=Brasy6G211600.1 locus=Brasy6G211600 ID=Brasy6G211600.1.v1.1 annot-version=v1.1 MENPNGGDNLENPNNNLEAVLCGDNLENPNDNLEGVLSGDNLENPNDNLEGVLRGDNLEEASSSNLENPNDNLEGVLHGDILEEANSSDLENPNENLKGVLCGDNLEEANSSNLENPNDNFEDVLQRWKILKQGLGSEIESELDTVVWWASFVAGWEEEVELREKEIENRTILLNRAQTRSEADLQLREEALRRRERLFLHAKASKLEERPQPTYDVRTRPNLIEISSLSKDLQKVKIGLCVLASPGENFDKRALLLIFKEVKDKLTERAINFKMVLEDVPITISLLTAVGPEYTLFVDEKIEKDNTSAIIRAQADETWNKRMNAYVFFLGVALTTLLMVRPLLPREYDNAMLAGFAAVWGLGSVGLPLGMFGTRSEKNISRHIGWFISLCFSLMVIYTTYLLVKPMDGKDVNTSDSAPAPPKSDIDRESIFWASIFGFIGFMVTVGHIFSWCSGCLYGGDKDIEPLSGPLLA* >Brasy6G123700.1.p pacid=40051132 transcript=Brasy6G123700.1 locus=Brasy6G123700 ID=Brasy6G123700.1.v1.1 annot-version=v1.1 MIKEVPMPLLLRRRWRVHLLAAVVAILAVATATRAQDYNNGGGGDDDDDEEKEKPQFKAQESCNGAFLSYTFVERAKEFPHLKNATAQAYAFKAQATVLNAMTDDLKAWQMFVGFQHREILVSVGGAVLLDGTDFPANVSGGATFAGYPMADLLNSIDTAGEMSLIQAQIDITGTQFGVKPPGKPMPKTIKLSNPGYRCPAPTHKDSVMYVCCVKDPKFKAKKANSTKFLARQKGDLTIAYDVLQSYGNKYTAQVTIDNWSPISRLDNWNLTWEWKRGEFIEKMRGAYTLLKEGPACVYSPAASYYKDLDFTPVYNCEKRPVIVDLPPEREKDNDVGNLPFCCKNGTLLPPTMDESKSRAIFQLTVYKMPPDLNRTALYPPRGWRIAGKLNPHYACGQPIRVKPMEFPDPTGLMSTTPAVASWQVACNITRPKKRASKCCVSFSAYYNDSTVPCNTCACGCGNDGTAACDPDARPVLLPAEAMLVPFENRTAKARAWAKIKHWRVPNPMPCADNCGLSINWHVMNNYKSGWAARMTIFNWQDYTFKDWFAAVTMGGRYSGYENVYSFNGTRMKAPFSNTIFMQGLPGLAYLEPIADGKTPEDVRLPGKQQSVISFLKKDAPNINIPKGEGFPKRVYFDGEECALPDTIPKISAAHRRAGPASLLQVLVAVMIVAFVDSLCL* >Brasy6G113800.1.p pacid=40051133 transcript=Brasy6G113800.1 locus=Brasy6G113800 ID=Brasy6G113800.1.v1.1 annot-version=v1.1 MEQRRPVREEVGWFNETMPGLRAEEELRVRRRLWLRRALRDWRRERRRRGARRLPALQGRALQARHLCWFRPQDRKHEYHDSGERRTYTRKQANQSASCTRTHIFRCKSISQLTNGFAEEAQIGAWGWVIGRQQRAHGWKDGYLASRLPPVC* >Brasy6G120100.1.p pacid=40051134 transcript=Brasy6G120100.1 locus=Brasy6G120100 ID=Brasy6G120100.1.v1.1 annot-version=v1.1 MARVEAVVVCLLIVAMDVAAGVLGIHAEKAQNQGRHLKILFIECRQPVRQAYKLGIAAAAVLAASHAIANIIGGCACACACCCGGGGDPHRRPSPNRQMASFALVLSWMVLVVGLALLILGALPNSKKKLAECGMMRHNFLSIGGVLCFVHALFCLVYFVSAHAAAREDARGSKAVGVHT* >Brasy6G045000.1.p pacid=40051135 transcript=Brasy6G045000.1 locus=Brasy6G045000 ID=Brasy6G045000.1.v1.1 annot-version=v1.1 MGKIILVVVLHSWSKSRTHHLRTLMHQSSCPQKMSISTHAPRSVEELAREESHLRRKKQSGWGGEDMIKMEEHGGNMDPRR* >Brasy6G216300.1.p pacid=40051136 transcript=Brasy6G216300.1 locus=Brasy6G216300 ID=Brasy6G216300.1.v1.1 annot-version=v1.1 MDCSSSKETPPSKPAAPWWTGETVAVVTRANRGIGHALAARLAEQGLTVVLTARDEARGEAAAAELRARGFAPVRFRRLDVADPASVAAFASWIRDHVGGLDILIETNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAGTSRILNISSQLGLLNKVRDPSLRRMLLDEEGKLREEEIEAMASRFLAQVKDGTWAGHGWPAVWTDYAVSKLALNAYSRLLAARLRGAVAVNCFCPGFTRTDMTRGWGKRTAEEAGRVAAGIALMPPAELPTGKFFKWCTPQLYSKL* >Brasy6G164400.1.p pacid=40051137 transcript=Brasy6G164400.1 locus=Brasy6G164400 ID=Brasy6G164400.1.v1.1 annot-version=v1.1 MGSVVGTAANGVGTFLGNAFTAPFRTLFGASCEGVCSGTWDVACFLEHLCVSSLASLFMALVLSYIMLLVAYLMCKVGIIQCVVKKGCKMSAAACSACCRALGASSCFLWRKLRDTKRVHRGRRRPPDVEEAGRRAGSSSEEEDSECSSDDDGDDGRSVRRRSRAREGPSSVRERRKDRLRRSLRLKRTASKKEHAIAVRSHGIGTGRHHRRVALGSVEAPSTARRVHGSPRTSRR* >Brasy6G031500.1.p pacid=40051138 transcript=Brasy6G031500.1 locus=Brasy6G031500 ID=Brasy6G031500.1.v1.1 annot-version=v1.1 MLLKDASFLPLCDSLDGLSDGSETSSSAMKDLQSKLDAVSAECLAEKEKNRKLTMENEKLQYRISHLIRAMKETDSR* >Brasy6G174500.1.p pacid=40051139 transcript=Brasy6G174500.1 locus=Brasy6G174500 ID=Brasy6G174500.1.v1.1 annot-version=v1.1 MTRRANPEATPMVLDVLSRLRRRCCLAVPNPPAVAVSSRMRYHSGRGGFELVSSAVVDAGVAQVQRLAELSIGIEEEGYGSTLTRCLLLLLPHRRWQRRTAGPRSRAGGGAGHAMGFGLKGRVGGGFL* >Brasy6G237700.1.p pacid=40051140 transcript=Brasy6G237700.1 locus=Brasy6G237700 ID=Brasy6G237700.1.v1.1 annot-version=v1.1 MKFFLPFLVCFGLSLAFFTATATITSNGLLELTNGSLTFKGHAFYPIPLHFRKSYNDTVQSFSVAFIFAIHSSYPILSRHGLAFIVAPSKNFSDALASQYMGFLNSQNNGNLSNHIFAIELDTVLNLEFQDKDANHIGIDINDLHSIQSHYAGYYDDRSSNFQNMSLVSGDAMQVWVDYNGEAKKINVTMAPLQMDKPTRPLISTYCDLSTVLQEPSYIGFSSSTGEVDSRHYVLGWSFGMNRPAPVINIAKLPKLPRQGPKHQSKLLEIILPIATAALIIAVGAVVILLVRRQLRYAELKEDWEIEFGPHRFSYKDLYQATEGFKNKNLLGAGGFGKVYKGALRSSKIEVAVKKMSHESRQGMKEFVAEVVSIGHIRNRNLVQLLGYCRRKGELLIVYDYMPNGSLDKYLHCGEQKPTLSWAQRFRIIKDIASGLLYLHEKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELISTGKASPLTDVFAFGMFLLEVTCGKRPVSSDAQGNQLVLVDWVLENWRKGSLTEAVDMRLRGDYNVGEACLVLKLGLLCSHPFTNARPDMQQITRYIDDDLPLPELTHTDMSFSMLYLMQDERFDPHTLSYPSSKASIGTISGISGGR* >Brasy6G167600.1.p pacid=40051141 transcript=Brasy6G167600.1 locus=Brasy6G167600 ID=Brasy6G167600.1.v1.1 annot-version=v1.1 MLPCKQLAAVSCAEGAHVRRPRRRYGPASDAEFLRSVADKTPGRGDADVAGARHMRHVYLKSYSLQLAGDDDGGGASKKKKTTTIVDKTRRAVAHAVSKCRDNKPARRAHGHGSGSSSQPRPPDAYGCRKAAKRVVSLLLSGLRACTGKPPSSSS* >Brasy6G064100.1.p pacid=40051142 transcript=Brasy6G064100.1 locus=Brasy6G064100 ID=Brasy6G064100.1.v1.1 annot-version=v1.1 MASTTTTTSITKSGPVPFTEVDDGTVPKRPAKEEFGDLVATLPRKQQAGLELRLYQSFWLPEHWVAGTVVFQRRFVPRPDDVVLASYPKCGTTWLKALAFAAMTRDHEYPQQQHPLLRLNPHDCIPFLDEIFADGQESKLEKLPSPRLMNTHMPYTLLPDSVTNAMDDDNTAGSGCKVVYICRDSKDMVVSLWHFLRRRQPDMPFAELFEHVCGGAVAAGPIWDHVLGYWHASLARPDRVLFLKYEDLLRDPCGNVRRLAAFMGKPFSAAEELAGAVEGVVELCSFEKMKGLEVNKKGTSGAYHATARDAFFRKGVAGDWVNHMTPEMAERLDGIVGDKFRGTGLAAP* >Brasy6G047300.1.p pacid=40051143 transcript=Brasy6G047300.1 locus=Brasy6G047300 ID=Brasy6G047300.1.v1.1 annot-version=v1.1 MRSSNAPHSAAAYSPTYMDVFWYSCVTNSCNLTPKHVDLFTGLMENFSPRTLLNSISHLSILTSDGSTARPKPIQKYCQNVCDISSIVSPLIEEICKSPDEQLNEVLRDLDTAINEASGLIGNWRQTTSKIYFVWQIESVISDIQGCSLQLCQLANSLLPSLTGCACICIEKLQDINYEHMFDLVKEVAIEITMDTQSHENLLKVSIALSLSTNLELYMEAVSLENLKARAIRSENREELDLVEQMIPMVNYMHERLLRETKLLSNYPVSVPGDFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLSHSNLIPNYTVKALIANWCELNDIKLPDPVKSLKLNFPSAASSTQDLSATGNSPLHPSVARGNNIPGSPETDLYMRSLNRASPPPSVVHQNFDASVNRPGHETSTHQSSEYTNGCAPDIARLSLASSEARDSLVERQAGSNLQTSEQSTEDTFQASDVNMDSQDHVGSSSMNGSLPNSGQLDGECDINNGVMRVPSDRTNYSSDASGEVADGGPAASSAPQRENLILPRLGDVRMRGQFVRRQSSDRGFPRISSSSMDSRSDLSAIENQVRRLIEDLRSDSIEGQRSATSEIRLLAKHNMENRIVIANCGAINMLVGRLHSPDAKIQENAVTALLNLSINDNNKIAIANADAVEPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAVKPLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQADAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPSLVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR* >Brasy6G005100.1.p pacid=40051144 transcript=Brasy6G005100.1 locus=Brasy6G005100 ID=Brasy6G005100.1.v1.1 annot-version=v1.1 MHPRGRLRPRLRESSVSLVWFSRLRSSGGFGLVGALPSPLRVTWYSVPLFLVEPMQDDPVCVAARAGGKKVVTELWVDDSLDMGALANADRVLYKPQRDLNGIPGSQSLSICLTGYQRNGREDIMKMVTLMGANFSKPLVAGTSTHLICYKFEGEKYELAKRVDIKLVNHQWLEDCLEAWEILPIDNYTKSGWEQEMMETQVEDSEDEAEDVGRGLSHSRVIPRSVPITKIRTATHVDPDRRTPIRGPTVSTGNAEVRAGGHMDTPKQVTEAEDVSKRSIDIRADIQSTHNTNGVTSSADPVAHDSVHPPVNPSSNEKAPGGDIIGDEAKDGGDKRAVDTTASTLSNFNTSGATVQADHLVHQPIVTPSSESPLNKTFHSSHTCEKVDQKDDEPVADLAAQSNVQGNATLCKVNLTSAGNSAPKNTPVLSYSSRRSRKSVSPGANLNSVHQTASPQSSKTSTLHVELNISPSVKSNHKISKHTDAKSLQDKACALAQRKSRFSSVSPIPPNGSTDSATGTANSPFSSKEIASEAAAVSDLVKKSTGSQPVKVDSNINSNATGNFVERQKSGSPKKKLSYRKTSLKLPRSSEVEKLPESFANEKNLESLAKAKKHPRHEAAVEKGCAISPSVDSELGNASSSLILGNLGIEMGETPLVNNIEVVSPNIKHDKVVSCATMQSGAHKASTSGVKKAGAKRSRNAGNETHTVSVDGESETAASKYKHDEVISHEDVEREAEKDCTSPNDAERTTLFPDKSLSSRARNATANSSLNENSEMNDVIVASNMKTPQGNINKKHKQLSSGASAHEYKESSSKKVPNATEMNDVANLSRSVKIKITEAPTADKTKAGSLISSLSGVVPQAYTERLSSNGSANKHEICNPDKGPGKIMRNAVAKRKVSAAQQHRSGSEPCKTGGAFSSDAEIITSKTSADSSGNANKITVDQDVQNANKDAMTNADGSFCKESSKVASKDLQKSKLRSSKRKFLAVVEDGSTNRNKENIQVNANLTPKSKCGNNTKAVENSKDVLNDPSIREGNNCTTLTMLEPTRFILSGHRLLRKEYRLILRRLKGRVCRDAHHWTFEATHFIAPELRRTEKFFAAAAAGRWILKSDYLSACNEAGKFVEEEPFEWHGDGLNNGETISLDAPRKWRHLRQRTGHGAFYGMQIIIYGECISPSLDTLKRVVRAGDGTILATAPPYTRFLKPGVSFAVVSAGVPSTDAWVQEFKNHNIPCINADYLVEYVCKPGHPLKKHVLFDMYDLADESLQKLQKTQRDPGAVTEEAAEGRDNTEPSCSACGSNNREGSQLLICSGGEGNGAGCGVAMHVDCWNPHHPEPVPDGEWLCPKCDEHMEPPKKAKKTSRSRVRKRS* >Brasy6G005100.2.p pacid=40051145 transcript=Brasy6G005100.2 locus=Brasy6G005100 ID=Brasy6G005100.2.v1.1 annot-version=v1.1 MVRRGGADAGGHGAAGCTHVVVCGLVYDDPVCVAARAGGKKVVTELWVDDSLDMGALANADRVLYKPQRDLNGIPGSQSLSICLTGYQRNGREDIMKMVTLMGANFSKPLVAGTSTHLICYKFEGEKYELAKRVDIKLVNHQWLEDCLEAWEILPIDNYTKSGWEQEMMETQVEDSEDEAEDVGRGLSHSRVIPRSVPITKIRTATHVDPDRRTPIRGPTVSTGNAEVRAGGHMDTPKQVTEAEDVSKRSIDIRADIQSTHNTNGVTSSADPVAHDSVHPPVNPSSNEKAPGGDIIGDEAKDGGDKRAVDTTASTLSNFNTSGATVQADHLVHQPIVTPSSESPLNKTFHSSHTCEKVDQKDDEPVADLAAQSNVQGNATLCKVNLTSAGNSAPKNTPVLSYSSRRSRKSVSPGANLNSVHQTASPQSSKTSTLHVELNISPSVKSNHKISKHTDAKSLQDKACALAQRKSRFSSVSPIPPNGSTDSATGTANSPFSSKEIASEAAAVSDLVKKSTGSQPVKVDSNINSNATGNFVERQKSGSPKKKLSYRKTSLKLPRSSEVEKLPESFANEKNLESLAKAKKHPRHEAAVEKGCAISPSVDSELGNASSSLILGNLGIEMGETPLVNNIEVVSPNIKHDKVVSCATMQSGAHKASTSGVKKAGAKRSRNAGNETHTVSVDGESETAASKYKHDEVISHEDVEREAEKDCTSPNDAERTTLFPDKSLSSRARNATANSSLNENSEMNDVIVASNMKTPQGNINKKHKQLSSGASAHEYKESSSKKVPNATEMNDVANLSRSVKIKITEAPTADKTKAGSLISSLSGVVPQAYTERLSSNGSANKHEICNPDKGPGKIMRNAVAKRKVSAAQQHRSGSEPCKTGGAFSSDAEIITSKTSADSSGNANKITVDQDVQNANKDAMTNADGSFCKESSKVASKDLQKSKLRSSKRKFLAVVEDGSTNRNKENIQVNANLTPKSKCGNNTKAVENSKDVLNDPSIREGNNCTTLTMLEPTRFILSGHRLLRKEYRLILRRLKGRVCRDAHHWTFEATHFIAPELRRTEKFFAAAAAGRWILKSDYLSACNEAGKFVEEEPFEWHGDGLNNGETISLDAPRKWRHLRQRTGHGAFYGMQIIIYGECISPSLDTLKRVVRAGDGTILATAPPYTRFLKPGVSFAVVSAGVPSTDAWVQEFKNHNIPCINADYLVEYVCKPGHPLKKHVLFDMYDLADESLQKLQKTQRDPGAVTEEAAEGRDNTEPSCSACGSNNREGSQLLICSGGEGNGAGCGVAMHVDCWNPHHPEPVPDGEWLCPKCDEHMEPPKKAKKTSRSRVRKRS* >Brasy6G091100.1.p pacid=40051146 transcript=Brasy6G091100.1 locus=Brasy6G091100 ID=Brasy6G091100.1.v1.1 annot-version=v1.1 MAAARALLSSRLLLAAAAAALLFLACAAEGAAAVAAAGNSGGRRRQLLRQQRQVQYHLKRLNKAPLASIESPDGDIIDCVPISKQPAFDHPFLKNHTIQTRPAYHPEGLYDESKVASQKNTQTITQMWHRNGKCQENTIPIRRTKKEDVLRASSVKRYGKKLHKSTPNPMSVEPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPSIQQGNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQTNNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNTEPDGSHTSTQMGSGHFPEEGFSKASYFKNIQVVDSSNNLKAPKGIGAYTEQSNCYDVQNGYNGDWGAYFYYGGPGKNSNCP* >Brasy6G116600.1.p pacid=40051147 transcript=Brasy6G116600.1 locus=Brasy6G116600 ID=Brasy6G116600.1.v1.1 annot-version=v1.1 MAPFFFLLLLGQILLCTAVDTINSTTPLSGKQRIVSRGSKFTLGFYSPPLGSSISGSYYIAIWYSNIPQVTTVWTATTDVLVSDPTTASLRIASDGNLVLLDQAKNRQLWSTNVSTISNSTMATIQDTGSLELTDASNSSIVYWRSIDHPTNTWLPGGKLGLNKTTRVSQRLVPWKNNADPSPGLFSLELDPNGTTQYFIQWNESISYWTSGPWNGNIFSLVPEMTTNYRYNFRFINNDTESYFIYSMKDDAVISRFIIDVTGQIKQQTWVDSLQQWIMFWAQPRTQCEVYALCGAYGSCSLKALPFCNCIKGFSQKFQSDWDLQDYSGGCKRNVPLQCQANSNSAKTQPDKFYTMAGVRLPDNAQSALGMSSEECKAACLKNCSCNAYTYNSTGCFVWPGELVNLQDEYSGNGVGTLFLRLAASEMQDPKKSKAAIIGGVVGGVAAVLIILAIVLFFLFQKCRRDRTLRISKTAGGTLIAFRYSDLQHVTNNFSEKLGGGAFGSVFKGKLPDSTAIAVKRLDGLHQGEKQFRAEVSTIGTTQHVNLVRLLGFCSEGSKRLLVYEFMPKGSLEVQLFPGEKTALSWATRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHGDEGRPAFFPTLAASKLHEGDLHTLLDPRLNGDANPDELTRACKVACWCIQDDESTRPTTGQIVQILEGFLDVNMPPVPRSLRVLGESPDVINFFSDLSSSQTSQTQNSTTPSQTHSATSGGS* >Brasy6G174600.1.p pacid=40051148 transcript=Brasy6G174600.1 locus=Brasy6G174600 ID=Brasy6G174600.1.v1.1 annot-version=v1.1 MLYVLMKLLLGCFFFFDHASVFGKPPETIAPYLSNHIVNFEIVHRWIDGPTDRPCLLLCSSINGQVGHAAGCLCFCWRDLERTFARFRSMRMCFLLHY* >Brasy6G036100.1.p pacid=40051149 transcript=Brasy6G036100.1 locus=Brasy6G036100 ID=Brasy6G036100.1.v1.1 annot-version=v1.1 MASAAAVPDSALATSTCAHCTREIPSSNVALHSAHCARNLQKCEHCGDMVPRKHMEEHYDEKHAPVNCSGCKETIEHELWDLHKRIQCSQRMLTCQYCKFELPAVDIFEHQDVCGNRTEYCQPCKKDIRLREWIGHEILLHAKTNVAAESSSARTMLEKEERAPVEQQERQRKQLLLTIAITVIAVLIGSILFQKKG* >Brasy6G255900.1.p pacid=40051150 transcript=Brasy6G255900.1 locus=Brasy6G255900 ID=Brasy6G255900.1.v1.1 annot-version=v1.1 MPPPPPELPADLVEQIFLRLPPDDPGCLFRASLISKSWLRRLSGPTFRRLYCELHRTPPMLGFLGSRQVREEGYFFNTHIFPTVKLSPPGPGRRCLRALDTRHGRVLFQTDPEDQDVRPELIIWDPITHQEWIIPEPEHSFGNWAAVLCAKEGCDHLDCHGPPFLVAFVFQTEEDASCAALLYSSETDSWSDKTYVGQDVDLCLYTLGFGYMPISVLVGNTVYFDCYFVGRIIQYDLADRELSLVDTPSDEGQLTVENGVLGFAKIKESSIYLWSREVDGHGAAAWVQQRVIKLLLPPRSLSTEPIMCGFAQGIGVVFLITEEDGIFTVEIKSGRARNLCNKGNNNDPLVPFLFDKPGVTLPVAKYFIPYMSFYTPGRDIKPLPPGPSVIVGQ* >Brasy6G087200.1.p pacid=40051151 transcript=Brasy6G087200.1 locus=Brasy6G087200 ID=Brasy6G087200.1.v1.1 annot-version=v1.1 MANPMYGSGALRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINSEAKFQNDFLNELQMTLMKAQAGVKNNMRRLNKSIIQQGSNHIVHVVLFALLCFFVVYFLSKFSRR* >Brasy6G117600.1.p pacid=40051152 transcript=Brasy6G117600.1 locus=Brasy6G117600 ID=Brasy6G117600.1.v1.1 annot-version=v1.1 MKSFYFLLNRRKPEFRCEITLQEIRALPILKHFWKPQWISIAVLVNHFIPYNIDKPISFGFVC* >Brasy6G212600.1.p pacid=40051153 transcript=Brasy6G212600.1 locus=Brasy6G212600 ID=Brasy6G212600.1.v1.1 annot-version=v1.1 MKEQASKQGHQYSIPRCLEVLNAMDDVSDDIKVLASDVFKDAANRELFLCHDSKLRGLWLKKEVVKLGVQLPPSYICMCFKYLLILFTRKYYAYSC* >Brasy6G176800.1.p pacid=40051154 transcript=Brasy6G176800.1 locus=Brasy6G176800 ID=Brasy6G176800.1.v1.1 annot-version=v1.1 MGTALVLLLVLNAFFLASGLGSSAVANAAAASHGPDDGTKIYIVFTARQPAPETLPESAASARIESFHHGLLSDALDDGGGGGSSSAPERVVYHYTRSLHGFAARLTQPEKNKLAAMDGVLSIHEKATYRPRTTRSWDFLGLPPHNDPKRLPFEKDVIIGMVDGGVWPESESFSDAGLPPPPAKWRGVCSGNFTACNNKIIGARAYKDGVTALSPRDDDGHGTHTASTAAGRAVPGASMGGFAAGTARSAVPGARLAVYKVCWGDDGCSTADILMAFEDAVADGVDVLSASVGSDFPADYADDLMAVGAFHAMRRGVVTSVAAGNDGPRLGSVTNVAPWVLSVAASTTDRRIVSDLVLLGRGKTVSGSAINVFPGIGGSSVLIDPGACGQRELKGKNYKGTILLCGGGGQSLHEESVHATGADGAIQFRHNTDTAFSFAVPAVRVTKSQYEEIMDYYNSTRLALVSIRNSRARFDATAPRVGVFSSRGPNVITPGILKPDISAPGVDILAAWPESMSVSGSAADDRRLSYNIISGTSMACPHVTGAAAYVKSVHPDWSPAAVMSALITTATPMPASGTPEAELAYGAGQVNPLHAPYPGLVYDAGEDDYLGLLCAQGYNVTQIATMAGGGFVCPEDGRGSVANLNYPSIAVPVLNYGVRFAVDVPRTVTNVGPSDSVYHANVTSVPGIAVSVTPQRLAFSSTEKKMNFTVRVSGSLAPVEGTRGASASIVWSDGRHQVRSPIYVFPLSATRG* >Brasy6G210700.1.p pacid=40051155 transcript=Brasy6G210700.1 locus=Brasy6G210700 ID=Brasy6G210700.1.v1.1 annot-version=v1.1 MATDGSGDQEEARVEAMLKKMNLTEKEQQSVVVEEIEEEVASLWALVGKVLYRRFIHVSTIGDALRPAWGNPRGLTFRSLGENLFVANLESQRDRDRIWEGAPWMVGKHAVVLEFFDINSRPSDLKFERIPMWVRVVNLKFNLLRPPWVKRIADMIGDVIRVDTEANGVAWGQYLRARVWIQVKEPIRSRDEEGKLPYGEELRVPSRNRSWGNAPSAAYDAPPPGRGRGRAGATDVDPEEEVTFPEQFNGKVKSVISQFNSGGHVFRQVEVPNKGDTLMLLDQETLNKKRASEAEEVSNNSGDSRDSKKKKATGGAVSLIPAAAESQPRQDQ* >Brasy6G211500.1.p pacid=40051156 transcript=Brasy6G211500.1 locus=Brasy6G211500 ID=Brasy6G211500.1.v1.1 annot-version=v1.1 MVAAETRQEEAVVVSVMNQQGGGVEQRKEDMQQREELEQWEEKLEHREKKLEQWEEKLEHREEEVEQREEDVEQREEAALQRDKRQYDRWLRLMEWESDLRRTHYAVEQGHARLSKRVKEAQLEQANREGKARTAGDEMRCEKLRLQAKEEVEDNDKRKNDEKEEVNENEKEEKKERTRGCFGTGWPCYFGLRAAGSSDAWESLNENLLAPQQSSVMPSPSDTPKPMAQEADQDKRRATIKAQEVEHDKRREIIKAQEDDQVWNKRQIGYIFDLGTALGLIFLIRPWLPTGYDRWMLVAFAAVWGVGNVGLPIGMFGTSRFEKNWSRHVGRCLSLPFLYL* >Brasy6G239000.1.p pacid=40051157 transcript=Brasy6G239000.1 locus=Brasy6G239000 ID=Brasy6G239000.1.v1.1 annot-version=v1.1 MPMLRNMICLPCKLGWWRIHLSFRYTLVLLGEVANFVAYTPAVLETPHGALSIIVSSVLAHLVQKQKEQLDKIRILGCISCIVGSVVAVIHAPQEHTLNSVEEIWNLATQPGRVAILTVESELKRKAIVSGSKSGEGRKPRALTTSQFNSKGSTVSSQQAAFPEAKRKRTQ* >Brasy6G154900.1.p pacid=40051158 transcript=Brasy6G154900.1 locus=Brasy6G154900 ID=Brasy6G154900.1.v1.1 annot-version=v1.1 MTLTPASPPAAGPAVTGAGKLPTTGSHAKLLPPHSAAACRLQLPRLAPPSPLSCNNLAPTPATTSSTASRNPTTATLYPSHETLASMSVREQTALLSRQRHWRRARDLFDRVRALPGYAPNPVHYSVLLRHLARAGRWSELRRTWLRMVRDDALPPTNPAYAALADALAKAGLAQESLLLLLHMRAQGVAPDEVSMNTFVRILKDDGRYSDALALFNNWCDGRFDVEFLHLDYTTVSCHGQMQFLLADMCHDKLDSAGPSGVEEVPRKPKLVVTYNTLIGLYGKAGRLKDAMEMFVDMPVYQVVPDIYTFNTMINVFGLHGRMEVAEALLANMVIRGVTPDIKAYNVMMTVFASMGDVEGVLKYYRQIGRTGLCADVVSYRVVLQVLCERKMVREAEDVIEEIMESGTCVLGQFLPVVMKMYIDQGFLDKAHVFFERHFRALEVPSKNVAAIIDAFAERCLWEEAEHVFHYARGVGGKRDIVEYNVMVKAYGLAKRYDRVTSLLENMKESGISPDECTYNSLIQMFSAGGFPHRANKLLRKMKETGLKPSCETYSAIIRTCSHSFLVSEAVDLYNEMKASDVEPNVVVYGLLIDMFAETGQVEKALHYSNLMEESGITPNHIVLTSLIKAYSKVNCWKEAQDLYARMGNMDGGPDTIASNSMLNLYAKLGLVIEAKAIFDNLRRNNQADHVSYITMMFLYSNMCMLNESIKIAHELQNSGLISDHDSYNAVMACYMAKGNLRECAELVQKMLEDKIFPNASTFRMIFSAVKNINILSEEVLQLESAYSDGRNSAKQAIITFLFSTAGMHAAALNICEQFLKPELTIDPCAYNVAYNCSLRCMLQGSNRTQ* >Brasy6G115700.1.p pacid=40051159 transcript=Brasy6G115700.1 locus=Brasy6G115700 ID=Brasy6G115700.1.v1.1 annot-version=v1.1 MDGLAVAVAVAASNCRCSRVVYVGNIPFHASEKEVRDACELIGPVLSFRLAADAATGKRRGYAFVEYADDATARSACRNLHGHPLRGRDLRVGLADRRQGEHDPVGMDDAIHAASLVDSAARPAVIASMARHLAGLSRHQLREAAAEFEKHGPDTCRILKKHIPGLDTAMEMVQRLLEMAAADDAAEEAKRKKRASCCLNAEGSDDQHAKLRKMEDGGKATTMRAGVACS* >Brasy6G085800.1.p pacid=40051160 transcript=Brasy6G085800.1 locus=Brasy6G085800 ID=Brasy6G085800.1.v1.1 annot-version=v1.1 MNFTDAYEDTNCYSFVARKLEEAKIVQRGHVSAVMDIDYSPTGREFVTGSYDRTLRIFQYNGDHSRGRCIILRRREAFCISSGGKDVNLSRFLERFINLFQFSFGNSVNVLLHFIFSDWVILHR* >Brasy6G002200.1.p pacid=40051161 transcript=Brasy6G002200.1 locus=Brasy6G002200 ID=Brasy6G002200.1.v1.1 annot-version=v1.1 MQQRRKSPFAAAPAAAKQEEAAPARRPGARLSLAGLLVSIFLAAAFLYHQDSVVKPQPLAIAGAERARSSPDLRLLQEAALPHHEAVVSSHSQPQQEDDEQHHRVDAQPGKRKKEPAANKLQQAEPARLFDSPPKPSGNISSRAVEVPWVPEPAACDLYRGWWTYDADGEQAPLYHESECEFLTEQVTCMRNGRRDDSYQRWRWQPSSCDLPRFDARALLERLRGKRLMFVGDSLNRNQWESMVCLVSSAIPSREHKTLAKFVGPGGALNVFTATEYNATVEFYWAPFLVQSNSDDPQVHSVVDRVIAWRSIAKHARHWRGAHFLVFNTYIWWLNTFEMKVLKNPRVSPGKYSLVDRPVAYREVLKTWAKWVDRHIDPKKTMVFFMGMSPNHIAPETWGGQPGAVKCAMETEPILNRTWVNIGTDWRLHGAAQGVIGSMRRVPVHLVDITALSEFRKDAHTSVHTLRQGKLLTREQQADPRAYADCIHWCLPGLPDTWNHFLYARIVADPRSSPSSPSLDISASSS* >Brasy6G138300.1.p pacid=40051162 transcript=Brasy6G138300.1 locus=Brasy6G138300 ID=Brasy6G138300.1.v1.1 annot-version=v1.1 MGLYTNMLSEGYLEESWDQNLSGQFGAQPMQAQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATFPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAKEMARETARQERENAREASKKERYEGALAMEQKKIELEERKLEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy6G142200.1.p pacid=40051163 transcript=Brasy6G142200.1 locus=Brasy6G142200 ID=Brasy6G142200.1.v1.1 annot-version=v1.1 MGSAPGFNTNSPSPRISSSPALPIAIPIAASLRHRSMPARLPPSLPFPCPLPSVPRRRLPPLLRSHRRPEPRVPILPQLDELTATILLQIRRCHLAPRHI* >Brasy6G057800.1.p pacid=40051164 transcript=Brasy6G057800.1 locus=Brasy6G057800 ID=Brasy6G057800.1.v1.1 annot-version=v1.1 MAASRSEAPFTNVDPETAKDMRSKGLDPHELDRSMENILSMIYGNLPRAPVFAAAPLSACFRHDGGGGLDRISGLPDALLRDIVSRLPVKDAARTAARWRGVWRSTPLVLADVCLLSGGARLPPPADTRAAVSRVFASHPGPFRCVHLICSRMGAHQAELTRWLRLLAARGLQELVLVNRPWPREVPLPTALFTISTLTSLYIGLWKFPDTAGLRGVSFPNLGELGICSVELKDGDIHAVVARSPALEILNIAGNFKAVHLRLVSNSLRCVQVCASVMKSIAVVNAPRLERLILGNAVHPDGARLKIRNAPKLRLFGYLEPGKHALEINDTAGVEVRASMMLTTVKVLGLRVHFGVQNDINMVPTFLRCFPNVEALHIASEKCDQPTGKLNLKFWEDQAGPIVSVLLRIGVMTFSEFRGEQYELSFLQYFFESARMLKYAVIAMENDIFTSLSADEMFATVKNMNRKKWATNFDLAVFGSNDPEGGALWTFQKGADFSNGDPLAPVKIIRG* >Brasy6G184000.1.p pacid=40051165 transcript=Brasy6G184000.1 locus=Brasy6G184000 ID=Brasy6G184000.1.v1.1 annot-version=v1.1 MGAPKGQARRHHVDGAVEAAAPPPCRPSRRARTCWASCSVERPRAGLAASLALRRATGGGKGGAGPSTSRGGGRGEETESMKSTRGVRGGLEASTRGAAAAGIVVAVGAAARGVKSVEESTSSTAGTGEVKVMWRGVGAAGSSSGGAATAGGGARLVEGSCSSTAGTGEEKVMQRGSFGTASAGVGAVTGQNLT* >Brasy6G209700.1.p pacid=40051166 transcript=Brasy6G209700.1 locus=Brasy6G209700 ID=Brasy6G209700.1.v1.1 annot-version=v1.1 MLAAAAASSCTAARSHNRPSSSTAGEHEFYVVIEGGQLRLADARPPPGREEPKQQWTMSRSWKIALRTCIAVVTLAVMAYVVVLIRQFESSNDVQGGLCLLAIIALVGALLWAATLDCCFSPAENEDLY* >Brasy6G167300.1.p pacid=40051167 transcript=Brasy6G167300.1 locus=Brasy6G167300 ID=Brasy6G167300.1.v1.1 annot-version=v1.1 MASAATKLPLSSLLQLSRTAVAAAGRRLIPNPPFSARGYSKMAGPIGNNDHNDVTRVLFCGPYWPASTIYTKEYVQNYPFIQVDEVDLEQVPDVIHNYHLCVVKNRRIDSDIIAKATKMKIIMQYGVGLEGVDINAATEHKIKVARIPGSTTGNAIACAEMAIYLTLGVLRKQKEMDTAVKQKDLGLPVGETIFGKTVLILGFGSIGVEVAKRLRPFGVKILATKRNWTSNTVPCDVDGLVDKKGGPEDMYELAREADIVITCMTLNSESVGIVDHKFLSALKKGSYLINIARGLLLDYKAVYNHLESGHLGGLGIDVAWMEPFDPEDPILKFSNVIITPHIAGITEYSYRTMAKVVGDVALKLHTGEPFTEIEFVN* >Brasy6G167300.2.p pacid=40051168 transcript=Brasy6G167300.2 locus=Brasy6G167300 ID=Brasy6G167300.2.v1.1 annot-version=v1.1 MVDEVDLEQVPDVIHNYHLCVVKNRRIDSDIIAKATKMKIIMQYGVGLEGVDINAATEHKIKVARIPGSTTGNAIACAEMAIYLTLGVLRKQKEMDTAVKQKDLGLPVGETIFGKTVLILGFGSIGVEVAKRLRPFGVKILATKRNWTSNTVPCDVDGLVDKKGGPEDMYELAREADIVITCMTLNSESVGIVDHKFLSALKKGSYLINIARGLLLDYKAVYNHLESGHLGGLGIDVAWMEPFDPEDPILKFSNVIITPHIAGITEYSYRTMAKVVGDVALKLHTGEPFTEIEFVN* >Brasy6G188500.1.p pacid=40051169 transcript=Brasy6G188500.1 locus=Brasy6G188500 ID=Brasy6G188500.1.v1.1 annot-version=v1.1 MMPTASRQRLCRAALVVAGAGYLAFLLLFEVPSLPFSSSAVASQQLPTHSSRRRELEASASTSLLSPVRPSKPAFPAAGSPAPSPPPLPIFSSLLVLPRSNATATPFDGTAADAFAAAKPHLTHLRTAAAAASSSVASPPPSSPTCPASISLHADKLPANGVRTVELPCGLAVGSHVTVVARPRPARPEYDPQIAERKDGKTPLMVSQFMVELVGTKVVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGRSQRCEGFASRPAEETVDDQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFSPERYLEMSEQWRAPPLPTEPVELFIGVLSAANHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKKEVNAELKREAEFFRDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYVMKCDDDTFVRIDSVLDQVKKVQSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISADIASYIVSEFDNQTLRLFKMEDVSMGTWVEKFNNTRRPVEYRHDVRFYQAGCYDGYFTAHYQSPQHMICLWRKLQSGSTHCCNVR* >Brasy6G210000.1.p pacid=40051170 transcript=Brasy6G210000.1 locus=Brasy6G210000 ID=Brasy6G210000.1.v1.1 annot-version=v1.1 MTSAEQQDLLCTLLEEGRPRPRTADGAPRRPSSQPKLKYSPRTTRVIIATFRWCYNIVGLLMLLMVVAVQAPTCDGSTEAVLLLAALVLASFGPPVIGYACTAELLQMVKDEEAAADNN* >Brasy6G075400.1.p pacid=40051171 transcript=Brasy6G075400.1 locus=Brasy6G075400 ID=Brasy6G075400.1.v1.1 annot-version=v1.1 MAAMTDLPRGAIGAAIRTSPPVPSPVDAAAAAVRAKDAEKLQFIEEMTSNVDAVQERVLAEILGRNAGTEYLKNCGLDGATDRATFRAKVPVVSYDALQPYIQRIVNGDRSPILSSHPVSEFLTSSGTSAGERKLMPTIQDELDRRQLLYSLLMPVMNLHLPGLDKGKGLYFLFVKSETKTPSGLTARPVLTSYYKSEQFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMLCGLCQRHDVLRVGAVFASGLLRAIRFLQLNWEQLAQDIEAGELTPRVTDASVRDAVAGILRRPDPELARFVRAECGKGEWAGIVTRVWPNTRYLDVIVTGAMAQYIPTLEHYGGGLPMVCTMYASSECYFGLNLRPLCDPAEVSYTIMPNMGYFEFLPVDAESDGEQQLVDLARVEAGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASAKLRGASVAEYTSHACTERIPGHYVIYWELLLTGAGTGPDKETLDACCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKAPRCVTFPPIIELLDSRVVSTHFSPALPHWTPTPPRSSDH* >Brasy6G032500.1.p pacid=40051172 transcript=Brasy6G032500.1 locus=Brasy6G032500 ID=Brasy6G032500.1.v1.1 annot-version=v1.1 MAAQWRHSSHELMSHAEQVSRASSECRSQSKWKEGGQEGNDEAQHTARLPRKNRKGKRCRGITGGEENANPTEHHTQPPQKRSSLPFGSTKPRTALESRPVAGLPRASRGRRKEAAAAWLGGGAAACASSRLPPSPPARAPPLLPFSGAPFNRHRSRLLSAAAAARGVKGLAPPWAYALPPPPRLGFPARCQRVRRRRSRKPLTDPSSKDWREVAGLQALPSSQEAKFLNL* >Brasy6G048900.1.p pacid=40051173 transcript=Brasy6G048900.1 locus=Brasy6G048900 ID=Brasy6G048900.1.v1.1 annot-version=v1.1 MAELATTGHVAVDINATASAGAKSCHHHVAIDVGSRADEPRPPADADECAVCMEPLEFVAIGPCGHSSVCSKCALRIRINKKRECCICRDPSPVVVVTRAGGATGTKKKPAAVHAKLPALGGYQGRVGAYWYHAASAAYFDDQRQYEAACSAAAAGSHESKDNGGGQAAARPAWCNGNVALAVFCLAATAAVMLVMIFA* >Brasy6G215300.1.p pacid=40051174 transcript=Brasy6G215300.1 locus=Brasy6G215300 ID=Brasy6G215300.1.v1.1 annot-version=v1.1 MAAMSDHDSPKQEPGVDIHAMDDTSRRRRPPPVVPPVGQHMSPPAAFALTHPSHPATMVDSDSGSDQDCPDQEPEFVLHVVDDHPRPPPRRPIQYLRPPPLQQPQLANNDRPWIKHVILFLLRLVHFAGMMALAIVTVVLATRLPKEFIEGNGGEYRIFVPMFIIFTICSWFIVGVFNNDEQMKVVCLYNYFAVQGSLD* >Brasy6G003000.1.p pacid=40051175 transcript=Brasy6G003000.1 locus=Brasy6G003000 ID=Brasy6G003000.1.v1.1 annot-version=v1.1 MLPTKRPPPPSAGGAEDGGDAKRPRLGESGTSDDGALPPPQEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSITIHDVKNVEMWDLSANFFLSEDDVGKNRAVACVAKLQELNNAVLISALTEELTTEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKTQVCGLFGSVFCDFGPNFTVLDVDGEDPHTGIIASISSDNPAIVSCVDDERLEFQDGDLVVFSEVHGMAELNDGKPRKVKNARPFSFSIEEDTSKFGNYIKGGIVTQVKEPKVLCFKTLRDAIRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKDCGRYPVAGCEEDAQSFLKIAADINEASIDRKLESIDEKLLRQFASGSRAVLNPMSAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTHPLDPQDLKPSDTRYDAQVSVFGSKLQKKLEEANAFVVGSGALGCEFLKNLALMGVACSGKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAATAASVINPSFLVDALQNRACPETENVFDDTFWEGLDVVINALDNVNARMYMDQRCLYFSKPLLESGTLGAKCNTQMVIPRLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSYLSNPAQYAAAMRKAGDAQARELLERVSECLDKDRCSTFDDCITWARMKFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPRALQFSAADTSHLNFIMSASILRAESFGIAIPDWAKNTSKLADVVKKVKVHMFEPKKGVNIVTDEKASNLSSTSVDDVSVIDDLLAKLEEYAKRLPSGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIDGKHPIEDYRNTFANLALPLFSMAEPVPAKETEHQNLSWTVWDRWCIKRNLTIAELLQWLAEKGLTAYSVSCGTSILYNSMFPRHKDRLNKKVVDVAKEVAKVEIPEYRSHLDICVACEDENESDVDIPVVSVYFR* >Brasy6G173900.1.p pacid=40051176 transcript=Brasy6G173900.1 locus=Brasy6G173900 ID=Brasy6G173900.1.v1.1 annot-version=v1.1 MAGPSGAAAAAASSSSRGFENNRFYNPPHVRRQQKQQSQAQAQGQLPSSPSPSPSPTGTPRTARQKPAPPSPAETAAVAPSREVDKRVDAAVSPSVPSKSSATVKSAKAEVGAAPAVADDAGNLERFLSSTTPSVPVQYLPNPKMSMRGRRSGDATNLRPYFCLGDLWEAFSEWSFYGAGVPLLLNGSESVIQYYVPSLSAIQLYADPSRLSTNIRHPWEQSDAESMDTGSEDSSGTDADRLKGSLVEAPCPLENGGFQRNDGEVHSPSSRPIFEYLERDPPYGREPLTDKVSILASKFPDLNTFRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLATPFKGIDPSTPACPSFGGINHCVNAAGKLKLPVFGLAPYKLRSSIWSSDRPEQQQLATSLMQAADDWLRNRQVHHPDFRFFLTHYNTVWR* >Brasy6G173900.2.p pacid=40051177 transcript=Brasy6G173900.2 locus=Brasy6G173900 ID=Brasy6G173900.2.v1.1 annot-version=v1.1 MAGPSGAAAAAASSSSRGFENNRFYNPPHVRRQQKQQSQAQAQGQLPSSPSPSPSPTGTPRTARQKPAPPSPAETAAVAPSREVDKRVDAAVSPSVPSKSSATVKSAKAEVGAAPAVADDAGNLERFLSSTTPSVPVQYLPNPKMSMRGRRSGDATNLRPYFCLGDLWEAFSEWSFYGAGVPLLLNGSESVIQYYVPSLSAIQLYADPSRLSTNISEDSSGTDADRLKGSLVEAPCPLENGGFQRNDGEVHSPSSRPIFEYLERDPPYGREPLTDKVSILASKFPDLNTFRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLATPFKGIDPSTPACPSFGGINHCVNAAGKLKLPVFGLAPYKLRSSIWSSDRPEQQQLATSLMQAADDWLRNRQVHHPDFRFFLTHYNTVWR* >Brasy6G173900.3.p pacid=40051178 transcript=Brasy6G173900.3 locus=Brasy6G173900 ID=Brasy6G173900.3.v1.1 annot-version=v1.1 MAGPSGAAAAAASSSSRGFENNRFYNPPHVRRQQKQQSQAQAQGQLPSSPSPSPSPTGTPRTARQKPAPPSPAETAAVAPSREVDKRVDAAVSPSVPSKSSATVKSAKAEVGAAPAVADDAGNLERFLSSTTPSVPVQYLPNPKMSMRGRRSGDATNLRPYFCLGDLWEAFSEWSFYGAGVPLLLNGSESVIQYYVPSLSAIQLYADPSRLSTNIRHPWEQSDAESMDTGSEDSSGTDADRLKGSLVEAPCPLENGGFQRNDGEVHSPSSRPIFEYLERDPPYGREPLTDKVSILASKFPDLNTFRSCDLLPTSWMSVAWYGVSTDAKGASHR* >Brasy6G173900.4.p pacid=40051179 transcript=Brasy6G173900.4 locus=Brasy6G173900 ID=Brasy6G173900.4.v1.1 annot-version=v1.1 MAGPSGAAAAAASSSSRGFENNRFYNPPHVRRQQKQQSQAQAQGQLPSSPSPSPSPTGTPRTARQKPAPPSPAETAAVAPSREVDKRVDAAVSPSVPSKSSATVKSAKAEVGAAPAVADDAGNLERFLSSTTPSVPVQYLPNPKMSMRGRRSGDATNLRPYFCLGDLWEAFSEWSFYGAGVPLLLNGSESVIQYYVPSLSAIQLYADPSRLSTNIRHPWEQSDAESMDTGSEDSSGTDADRLKGSLVEAPCPLENGGFQRNDGEVHSPSSRPIFEYLERDPPYGREPLTDKVPHIQNPDWTNTQGSRCMFLDISLLGNTFQGY* >Brasy6G173900.5.p pacid=40051180 transcript=Brasy6G173900.5 locus=Brasy6G173900 ID=Brasy6G173900.5.v1.1 annot-version=v1.1 MAGPSGAAAAAASSSSRGFENNRFYNPPHVRRQQKQQSQAQAQGQLPSSPSPSPSPTGTPRTARQKPAPPSPAETAAVAPSREVDKRVDAAVSPSVPSKSSATVKSAKAEVGAAPAVADDAGNLERFLSSTTPSVPVQYLPNPKMSMRGRRSGDATNLRPYFCLGDLWEAFSEWSFYGAGVPLLLNGSESVIQYYVPSLSAIQLYADPSRLSTNISEDSSGTDADRLKGSLVEAPCPLENGGFQRNDGEVHSPSSRPIFEYLERDPPYGREPLTDKVPHIQNPDWTNTQGSRCMFLDISLLGNTFQGY* >Brasy6G161700.1.p pacid=40051181 transcript=Brasy6G161700.1 locus=Brasy6G161700 ID=Brasy6G161700.1.v1.1 annot-version=v1.1 MEANADADASPNLPPAPEESSQEDPSPGRSSSPSTAPTAAAPPPPGAREVAAAMLAVERDAAAIAESYASLFASLRVALSNVTSTSAENMDCLSEVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLSTQLKIMRKNVDSLDLAVDRLLHIP* >Brasy6G004200.1.p pacid=40051182 transcript=Brasy6G004200.1 locus=Brasy6G004200 ID=Brasy6G004200.1.v1.1 annot-version=v1.1 MASSTIASSLAFVFLLLLAALAAAQPAADDTAGCNGVLLTYTLQGREKIRPFVDAAAVQSSQPYSFRATATVLNSGVRPLAAGSWSLLLAFAHREVLVSVSGAVLTSGADLPYNTTTADAGALTSFSESPQRDLLTPIATAGDIARIQATVNLVGTLFAGPEPLTPLPSNISLLAAGYTCSPPAFNTTSSLSTCCVPGNEPTAAAPLAPPTSNLPRGVSGDLVITYDVLQAHESTYLALVTLDNEAPLGRLDGWRLSWEWARGEFVGAMRGAHPLELDAGGCVYGAQGEHYKDLDFFSGAVLTCARRPVILDLPPTRREDAAIGNIEHCCRNGTLLPRSMDDTAAPPGSSSSSKSAFQMEVYKMPPDLNRTSRPHPPASFRISGSSPLNPDYTCGQPVPVIPSEFPDPSGLESTTRAVATWQASCNITANNGDGSSNKPPQCCVSFSAFYNASVIPCNTCACGCPAPASSASCSRTLPATLLPPHGLLMPADRRAREALKWAHDEGLPSPATPPPCGDMCGVSVNWHVATDAEGGWSARVTLFNWGPDADMPDWFAAVVMDDKVYAGFEQAYSFNGTAVGNGTVFLRGREGFNELLLRESNVSGVDYPVPGKLQSVLSFTKKIDGGGDIDVVGGDGFPSKVFFNGEECAMPQRIPSNGAGVRASSISGNALLLLLLCLVVSI* >Brasy6G217600.1.p pacid=40051183 transcript=Brasy6G217600.1 locus=Brasy6G217600 ID=Brasy6G217600.1.v1.1 annot-version=v1.1 MMEKLQSESVKEQGGLLGISSLLQDLHAHFRMVHGRISNIDESAVLMVSINNELYLQLLQRLWSLTIDTDNVLDRVSRHLTRKGGPFALQVRSSFILRRLPFRRIIANKIRQAIANLKECYVQTYRTRLAAKPTDIHAPVNCQRTCGMEPEGVLGREKEVDDVLRMMQTDQGTVRLSVLPITGMAGIGKTTLAQLVFWHPWVVDTFGDDRIWVLVSRNFNSMAILSRIAEVLTTKQCKIEDSECIVKEKLSGRRFLLVLDDVWDHNLQKWHVLMEVLQSAGKSGSKIIVTSRIPDVVKLTNSLRPYTLHRLLPADSSRLLTQWMENPAELPPRLIPVRKMIAETCGGVPSILLSASNKLKSIRKTQVAWEHVLSRFDLVFYADPLLLEGAYASYQHLPSSIQQCFLYCSLFLVHSFTPEELADMFIADEFIKLTSSKSDMHLYFSKIMTEHFYDVVQKYRHRGKTVYKMHPGMQFLAQRISRGFHLAIDARREIIWPSYNARCLSLLVDSKTSKLPPELFELASLRTLILLRDENMILSDKKCAITDIPAEFCRRMTALRVLHMQSCRIKRVPRVIDMLQKLTYINLSHNDIEIVPESISNLRFLTHLNLSRTEIAELPESVGKMQSLQVLDLSHCEKLLGLHEAMSNLVNLQILNLEGCHYLAVLPRDMKNLKSLTYLNILECPLLTQMPRHMNQLESLKILPRYIAAEIPKHTISELHPLVNLKELGVQNMENISLADIRNAILQGKHKLESLALSWTANRTNPEDSSRAQQILEHLKPNHGLKVLKIFSYPGRKLPTWITSRASYLKLLTEIKLVNLACESLPQLGQLPLLKIVEVSGIDAVTCVDDTFCGDNGTFASLEKLSFFHMPNLETWLPSQREALFPRLQELTITQCPKFRAVHVKLQAIKSLTMLMNNEKLIGCRGSLQGLSQNLRSLSISLCEELLECSECEGLHEFHGLEELQISRCTELTSLPYGMRHLSLLRSLTITKCKKLETLPEWLKNLTSLRSLCISDCPNLHIPESLKDLPYLLISFE* >Brasy6G087000.1.p pacid=40051184 transcript=Brasy6G087000.1 locus=Brasy6G087000 ID=Brasy6G087000.1.v1.1 annot-version=v1.1 MDEIHKFQDMDEEKELKHMFEQDEHGGNMSCA* >Brasy6G092200.1.p pacid=40051185 transcript=Brasy6G092200.1 locus=Brasy6G092200 ID=Brasy6G092200.1.v1.1 annot-version=v1.1 MLESCRQNDITMCPSRYTSAMGSPTKFGDITVGQQNCKVFGRLIRLWDAKNKYAKIADSLLCIDGVLLAEDGAMVQITIPKKVEKQFRPLLSLGSVYMLTDVSAVHCTYKKFIYHHQSYKLQFKTSSKVHLMQSRGASIPRFAFDFSQFDQLPSKDNQSKPLLDLIGVISYVGPYDYASPTSQYKLRKIHIRNQEMVKHLTKARSSTNQMGRLLFVFLLA* >Brasy6G244500.1.p pacid=40051186 transcript=Brasy6G244500.1 locus=Brasy6G244500 ID=Brasy6G244500.1.v1.1 annot-version=v1.1 MATATTMTQHYAVLPDDVMKHATVERFLGEIAGERPIRFTPEQLSGFTHNFSARLGAGGFGAVYKGMLPNGLLVAVKRLHATHDEKTSQGQFMAEVGTIGRTHHINLVRLLGFCFDDTVLALVYEFMDNGSLDAYLFDRGHVVGLPKRRDIAVGVARGLRYLHEECQQKIIHYDIKAGNVLLDSGLTPKVADFGLARLVNRTDTHVSVSCVRGTPGYAAPEMWMQSGITEKCDVYSFGMLLLEIIGQRRNFDQTMPESQQWYPKLAWTKYENGELMELVVPLAHCDENGMPVSNEFPQQQLCMELVERMCKVAFWCVQQQPSVRPQMSAVVKMLEGETDIAAPANPFQHLLASVSMADLSTTTGSLNTVAVPVNDTTTSHPKCKSF* >Brasy6G113900.1.p pacid=40051187 transcript=Brasy6G113900.1 locus=Brasy6G113900 ID=Brasy6G113900.1.v1.1 annot-version=v1.1 MGRAPCCDRAGLKKGPWTQEEDEKLVSYIKKHGQGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDFLDLSSLLNKHHHPAAANNAYYPTQADLEALRALEPLASYPADLLRLASNLLSPPHADQQQQQQMLLMPWLQIMAQQQQQVSDQHATAAAAHQLLMPQPSGLAAGQMPGLVHHANLQATAQEDHMVPGCVDYAQPAAGGYDYDYVPALMHMASDADASNNNLQWSSTVDTSSNNNNNNVGSGVSTPSSSPAAGRVNSASTTVNDVIAADAGLFDMQLYSDLLDVSDYM* >Brasy6G267700.1.p pacid=40051188 transcript=Brasy6G267700.1 locus=Brasy6G267700 ID=Brasy6G267700.1.v1.1 annot-version=v1.1 MARSLSGMTPPALLQQSMAAAAAMALLLLLILSPPTLTSAQLDVGFYSKTCPGVEKMVRQEMLSILKESPTLSGPFLRLHFHDCFVRGCDASVLLDSGAGTPIPAATAEKDAPPNKSLRGFGAVQRVKDRLDAACPATVSCADVLALMARDAVFLSSGPSYPVPLGRRDGLTSIANDTKQLPPPTSNFTRLSAMFAAKGLTTKDVVVLSGAHTLGTARCVSFSDRLYNYTGRNSLADVDPELDGEYIAKLRSRCRSLADNTTLAEMDAGSFETFDAAYYRLVARRRGVLHSDAALLEDEAARAYVERQATGMFVAEFFRDFAESMVKMGGIGVLTGDQGEIRRKCYVVNGHH* >Brasy6G045200.1.p pacid=40051189 transcript=Brasy6G045200.1 locus=Brasy6G045200 ID=Brasy6G045200.1.v1.1 annot-version=v1.1 MAIPYRITGGGRGGHSPLPRPTARALLAAVTTGAVLSILCVLSFTDSLSYLGFQPGAVGDKRESSRKYLYWGPRVDCPGKHCASSCAGLGHQESSLRCALEEALFLDRIFVMPSKMCLSSVHNTKGILDSSNATSDPRWEKSSAAMESLYDIDLISKTVPVILDNPWSWYGIVSRSTKLGEGDVAHVQGVSRAELKENPLYSASLLINRTASPLAWFMECKDRNKRSSVMLPYTFLPTMPARKLRDAANKMKDTLGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIARWIPKGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPIIENNYQLFMVERLIMQGAKTFVKTMKEFDNDLALCDDAKKNTKVWEEPVYTGG* >Brasy6G045200.2.p pacid=40051190 transcript=Brasy6G045200.2 locus=Brasy6G045200 ID=Brasy6G045200.2.v1.1 annot-version=v1.1 MYNGLAFLLLCRIFVMPSKMCLSSVHNTKGILDSSNATSDPRWEKSSAAMESLYDIDLISKTVPVILDNPWSWYGIVSRSTKLGEGDVAHVQGVSRAELKENPLYSASLLINRTASPLAWFMECKDRNKRSSVMLPYTFLPTMPARKLRDAANKMKDTLGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIARWIPKGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPIIENNYQLFMVERLIMQGAKTFVKTMKEFDNDLALCDDAKKNTKVWEEPVYTGG* >Brasy6G094900.1.p pacid=40051191 transcript=Brasy6G094900.1 locus=Brasy6G094900 ID=Brasy6G094900.1.v1.1 annot-version=v1.1 MVVVVAQAAAAVTPVVAAAAAAPSVSSRGAAGTGGRGMGWRRRRRGKGACRGRGLVVVGAFGGQYDDGFGDVELEIMNYFTYKATKTVLYQLYEMNPPAYTWLYNYLVVNDAKEGIHFLRALTKERQDLAERVMITRLHLYGRWIKKCDHTKMYERISNENLALMRERLMETVVWPTDDTNSGKQD* >Brasy6G119900.1.p pacid=40051192 transcript=Brasy6G119900.1 locus=Brasy6G119900 ID=Brasy6G119900.1.v1.1 annot-version=v1.1 MAGRGKAIGSGAAKKAISRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGMVTIASGGVMPNIHNLLLPKKAGGSAKSAAADDDS* >Brasy6G255600.1.p pacid=40051193 transcript=Brasy6G255600.1 locus=Brasy6G255600 ID=Brasy6G255600.1.v1.1 annot-version=v1.1 MGDTFERLEWAHSEMEFVLERSSKLPITDVSLLGQRRSIKRAYHECDELWRSKRRTLSDYQEAEQGVITITQASSSFPVVKKITLAAVRSVSSFFGLNKGELSHSDVRKFELFADRAGKFMRDVESGCTLAHYRFFNPLITQLLQGNKLRYAMVQASRTQHLWICPVHSEDHGVVAVLEFRSFDAKIPAKCFFIVLCLRLSENTDIVGITNQCLQALGPQFKSSAQAAIGELSQLSTQELSNSHSSDIDYRRVTSGVYRARPEPLCCEANVQRSADNVIPSELLYRFPKQLIFMMFDCTVLDFHHHDVQSSADEAAKNTVNGWPLLEVRA* >Brasy6G077300.1.p pacid=40051194 transcript=Brasy6G077300.1 locus=Brasy6G077300 ID=Brasy6G077300.1.v1.1 annot-version=v1.1 KRNSGQRSRAGGWPRPEARGSPNLLGLCKKRPNSNGSPNMAKAQAMPPPPPGSHPSSPHAHRPALRPGSLQRLLRPPDPSDTEDAPTPRSSRSRAALLQVTNITPALSGADPFSGNQGFFLRLSDSARSCYVSLHADHDDLILANGLHIGQLIEVDRLVPSVPAPVLRHFRVLPGRYPCIQAHDSTDDDDAAAAASSGRAEVKDKEVVSERPRRPSPTPPLPERRARQPGSPAALSHGHRSRSSTNLSEACAKRSEGERRGADFLKKVRKINVASIDGNSSDVDDDDDESDVSSSLSTARRNWDFTGGVKDMRPVPPRRRSNSISPKKSRPNSMTNLNGAGNDPMESVRRKAEKAFQVLSKRNSTHASNRTPRESSSAAATPPSSSSSGIRWCEDNVMWSSLSSSMVRHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEDDPKELIDRFLRFSQELDHAIFTAQSHTRLIRQAKSGGSNSSSTSSASSAAKAATKAALERKQSAISWVRAAIEADLSPLSSHASVITSEPAKAPPPESKPVTPRLCCSKPKCSCNGKSSSSSRKTGDASSSAGTAAMDLAVALRSECTRWFLGFVDKFLDDVEAESEAAGGCDAQVAGLLQQLKRVDDWLNRVVRHQRALLVDRGGKDGVFSEEEENDACERVRRKIYGALLRHVQYAAMALEGMSRVTEEEN* >Brasy6G124100.1.p pacid=40051195 transcript=Brasy6G124100.1 locus=Brasy6G124100 ID=Brasy6G124100.1.v1.1 annot-version=v1.1 MGTKARKRHHTLSCCVLLAILAILGVLAIVFYILYRPLPPRVVATPVVIGVEHFGLLPVPSLTLSVGVRVVVSNPSRAPFRYEETSTPVLYHGEPVGVTVVPAGRVAGYGTSTVEPLTEVDGVKVAADPHFAADVAVVGEGALPFVAVVRLDGKALVLRVFEVSVTVEVVCYVRVMVFHGESSSRCVASVRTGSSGGGEGRESVAPLHVN* >Brasy6G185100.1.p pacid=40051196 transcript=Brasy6G185100.1 locus=Brasy6G185100 ID=Brasy6G185100.1.v1.1 annot-version=v1.1 MMRIYCCFAWFAEERKRAEERREREASIKDFSEEMRKIVQQTRQIEAMVGNTRERSTPGPSEIASTATTRVGPSASSSINCLSIAAPIHKKHVRAYLSLIHASLGFIDEQISRHR* >Brasy6G235400.1.p pacid=40051197 transcript=Brasy6G235400.1 locus=Brasy6G235400 ID=Brasy6G235400.1.v1.1 annot-version=v1.1 MATSRRRRLLPLGGRRLQQPHRPRRRAPNQQPGPIGTIGGEIRSSLLALRHLKQLDLSSNNFGGEPIPDLIGALGSLTLLDLSYSNFGGRIPPHLGNLSNLEDLWLRSFDMGSDSQARAYSPDLAWLSRLRKLQAIYMSGVDLSAAVHWAHAINMLPRLVYLDLSYCSLHSTVPPPVHSNLTSLETMDLNSNTFNTSLGANFLYWDLPSLRELSLYDCGIHGPILDSLGNLTSIQQLSLHKNHFTGAVPLAFEKLKKLQWFQLTDNFINMDVTELLHRLPSDDLRTLYLDNNNFTGSLPAWIGQFSSLTTIKLNNNKLSGEIPVGIGELTNLTELWLNSNNLHGTVTQEHFTNLTNLQHLWISDNSLTVTVNQSWNTPFNLISAGFSSCVLGPQFPAWVYWPTLHTLDVSNTSIHDEIPGNFWIRNYRAAYLDLSRNRLVGLLPTYFLFGGMEVVILDISSNQLVGPIPTLPNYLSYLDLSGNNLSGALPSDIGAPMLEILLLFNNSFSGTIPCSLLQLQQLIFLDLSKNMLNGTLHSCSQGYKTSNITMLNLSSNNLSGAFPLFLQGCRELKFLDLAYNKFSGSLPTWFGSKLPQLVFLRLRSNMLSGGIPNQLTRMKGLQYLDIACNNMSGNIPQSIGNLIGMKFTPNDQGALFRTVNFGFAGVYKYTDVHTDSTLVDTKGQQLEYTRGIAYMVLIDFSCNHLTGQIPEEIGMLVALKSMNLSWNTLSDIVPQSIGELRALESFDLSHNELSGEIPTSLSALTSLTRMNLSYNNLTGTIPSGNQLRTLDDQASIYIGNPGLCGPPLTRNCSGTDKKPLSLEQHEGMSDVVAFYVSMCIGFVVGLWIVFCGFLFKRKWRVACFSISDHMYDRVYVQVVVGWASLARKFRRG* >Brasy6G072400.1.p pacid=40051198 transcript=Brasy6G072400.1 locus=Brasy6G072400 ID=Brasy6G072400.1.v1.1 annot-version=v1.1 MKIVKSALRNKISDDFMNHSLICYVEKESLDRIPNEVIVKRFHEVKDRRGMKRKSPSRRCRPARCPNSPTPKALKCPCPRAGRVTADASPPPPTLRLSRASPPSPSPFYPIVSFPCQSRLQFDSQPLGLASWLTSPSLAPAPSLPGSSSSSAERRHPSRCRAPIVFLLARAPPQQKHRSSSKFTLQRSSGSSSHKPPNLSSRPQTNCGGDSSRWYGRLQYRPQSTNVNVGVGEFGSNDTTKVHREECVDAGASNLKTGFNVSASEHVVNKPELLLAEETSEPPKTDMVDQTDLSGPHESSNYSNGLKKIVEHSDHVLDPPKTETVVVLSNTSVKFGDFDEVPGQALPSDASRDNNSSRKYMDDEDATQFRNASKDESKLEDEMNSNTNFDTSPIMIHAAEPPSENKRNPLHPCEIPDSPVDVSDSTTLADPLSLPCSNNDLEVPVTSSSAASMESQTPLNIHSTASVYFGGETSESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESMLVLDEATADFQELKSRAEHFDNTKKSPSLPKEGMPMTVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITAESFTSSSSGEVSSRSSKMTTASATVGNISLKVESHVKLSDGSERKATEERQRKEASKSGRSLPQSMPSSSTKSRRGALEPISEIDKLTFKKDKELPESKFDRLKSTDILKRSTAHLEKEKQNTAHWKSMDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVTERGRVLHDKLMSPEKKKRSALDTKREAEEKHARALRIRSQLESERVQRLQRTTEKLSRVNELHAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESIKVSEVRFITSLNDETKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDNAREEAVSERRKFLEAEKMQRLAEIQRKKEEAIVRREEERKASSAAREARTAEQQRRKEIRVKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDSQNRSVSANSGEDSQIVGIASTAESMVKSSNSTQMKRRIKKIRQRLMALKHEFVEPVIGENTGIAHRTALGAAKAKLSRWLQELQRLRQARKEGAPSIGLIVGDMTKYLEGKDLELHATRQVGLLDFIASALPASHTSRPVACQVTVYLLRLLRVLLSLPANQTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSLNLPSSKTSTENMETVGEVLDGFLWTVTVIVGHINLDDEQLQMQEGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAILTSKLGNFTTIDWESCKCRTPGANLAHECEYLSSQDIGAGDQLMEPAKSGDAKLRSTEFGLSECNDCGFNKLVEENKSSEQHGCSVLGDGTLDETRKDLLGLSTGLNNSRSTLGIQSSDLGDTIDHHSEIPSQKDENSTMDGCLEGRKMNNICLVMNDSPGKGNEINLKQSVVRVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATAVLKVLNNVACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCEQNRSVVLQEVSSDMLCSLLQSCRSSGQTASDCIATDCSGANNSSDNSTQISLDFRNSLADISVRSSRKNVRPVLGKGISGPIKLNRNKNQKDARGTRTDEDGPLKQRAGEASSAFMLHRKIPASFLDRAEKFFCSGTEYNTE* >Brasy6G178300.1.p pacid=40051199 transcript=Brasy6G178300.1 locus=Brasy6G178300 ID=Brasy6G178300.1.v1.1 annot-version=v1.1 MAEDAPAAVVPAPVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAHLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH* >Brasy6G215500.1.p pacid=40051200 transcript=Brasy6G215500.1 locus=Brasy6G215500 ID=Brasy6G215500.1.v1.1 annot-version=v1.1 MASEEESISAAAMAEDAAERARRQILALYRHAEETHRGQPATMSRADADVYAAAAAAGAVAASMHVMAGLSGIGTGASANGSCFRLIRTTSFVGVVVLAAFFAIIVQLLSNQR* >Brasy6G222300.1.p pacid=40051201 transcript=Brasy6G222300.1 locus=Brasy6G222300 ID=Brasy6G222300.1.v1.1 annot-version=v1.1 MPSGQCSLALLEARGSRQGVHKGPQTTQPRRSRHEISQRAAEVLKIQHRCRSQGLTCTRVRGSWKVLSQSSMGHAVIHQNEF* >Brasy6G153700.1.p pacid=40051202 transcript=Brasy6G153700.1 locus=Brasy6G153700 ID=Brasy6G153700.1.v1.1 annot-version=v1.1 MHCFNKLEDTEKWKSRPKMKKQKTCSMDTPGTSSSVFEDEATSPSKSVPTKRPIGQKRAKEAQRQANASGSSSRELFGDIFETRESKRQERFELMLAIDKQREEERLAEEKNRTAIKEKKVALEEEKIQIMRMIEERLTAAEDGRIMSIDISGMGEEENEFYKLRKSQILKRLRK* >Brasy6G085500.1.p pacid=40051203 transcript=Brasy6G085500.1 locus=Brasy6G085500 ID=Brasy6G085500.1.v1.1 annot-version=v1.1 MKMPKDINGKLYVPKDGTFLVEMKYNDLVVTLLFKWSNLYLMAYHTDGVWYYLQDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNENDKSRGLTKTTKSSHVEKGKAKLVLEDVSPAPANPAKTSMDYAHRRIKRMISRDPVARFLFETAPWFLEHGFKGSRNTVSRDPGTR* >Brasy6G085500.3.p pacid=40051204 transcript=Brasy6G085500.3 locus=Brasy6G085500 ID=Brasy6G085500.3.v1.1 annot-version=v1.1 MKMPKDINGKLYVPKDGTFLVEMKYNDLVVTLLFKWSNLYLMAYHTDGVWYYLQDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNEGKAKLVLEDVSPAPANPAKTSMDYAHRRIKRMISRDPVARFLFETAPWFLEHGFKGSRNTVSRDPGTR* >Brasy6G085500.4.p pacid=40051205 transcript=Brasy6G085500.4 locus=Brasy6G085500 ID=Brasy6G085500.4.v1.1 annot-version=v1.1 MDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNENDKSRGLTKTTKSSHVEKGKAKLVLEDVSPAPANPAKTSMDYAHRRIKRMISRDPVARFLFETAPWFLEHGFKGSRNTVSRDPGTR* >Brasy6G085500.2.p pacid=40051206 transcript=Brasy6G085500.2 locus=Brasy6G085500 ID=Brasy6G085500.2.v1.1 annot-version=v1.1 MKMPKDINGKLYVPKDGTFLVEMKYNDLVVTLLFKWSNLYLMAYHTDGVWYYLQDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNEFFLCFTGKIELKFVAQSL* >Brasy6G085500.5.p pacid=40051207 transcript=Brasy6G085500.5 locus=Brasy6G085500 ID=Brasy6G085500.5.v1.1 annot-version=v1.1 MDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNEGKAKLVLEDVSPAPANPAKTSMDYAHRRIKRMISRDPVARFLFETAPWFLEHGFKGSRNTVSRDPGTR* >Brasy6G085500.6.p pacid=40051208 transcript=Brasy6G085500.6 locus=Brasy6G085500 ID=Brasy6G085500.6.v1.1 annot-version=v1.1 MDFNVKELPPQTQLPYSKTVKLNFTSSYASLGLSDNLKIGRKEFRECITTLSNTHLLHGTNKGEQLLASGPLVFPVVVISEALRFLFLRQWLRENIFRSRKSLDLPDELSKYFNSWGTFSSHYRENKAVKDVLGKKERDALGACSTEAEEVRMLSVSDLGKMLGVLNRRGIVPVLVKFDGPSQVPPKYQATKVVPTNILEADDRPKERVNKEQKRAKHQADLAPQTVHMASKVEPTHVLEAGDPPKDKVSKEQKSRSEHQADLAPQTDHMATKVLPTSICEAVDPPKEKHNEFFLCFTGKIELKFVAQSL* >Brasy6G061100.1.p pacid=40051209 transcript=Brasy6G061100.1 locus=Brasy6G061100 ID=Brasy6G061100.1.v1.1 annot-version=v1.1 MAEISLGIELTPGAAGTMDGRQCRTEWLVCVIGFTTACMAAALAVYKAPTGVFDGHKLAYYVSVLSAGVLGLAEVFAAITWMSAGLHDYPNHRDHGLARRCVLYASFVPLAFLAGLGGLRLLVK* >Brasy6G234200.1.p pacid=40051210 transcript=Brasy6G234200.1 locus=Brasy6G234200 ID=Brasy6G234200.1.v1.1 annot-version=v1.1 MRETDALEKKGHKSFAKPVKPSSNTPARHKHSKSDLEEKKTKDALCSSQKASNQPKLNGRSSNSHLQAETKKGVQPRSETQNSLRKEILQLERHLDDQQTVRGALEKALGPDPAPVTVPNETPMLKPTNQLIREVATLELEIKHLEQYLLTLYRKAFEQAPTLPSSDVHREAAALPKPSVSSRCALIEEMPTAKVPTRRGGGDEMLHYSCPPLSKRMNGTLDDCSPSTCPRKTTDSDHGLRSQSALLFRGVCSSRISPSEESLARALRSCHSQPFSFLEEGDAAATSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRTSSSPTSSLSSASVVSPQYPGDMWSPNCRREATLDSRLINPFRVEGLKEFSGPYNTMVEVPSISRDRRRLRDVEDLLQTFNLILHRLESVDLRRMANEERLAFWINIHNSLLMHAYLKHGIPQNHLKKTSLLVKAECKIAGRAINASAIQGLVLGCSTHCPGQWLRTLLHPRMKSRGSKAGGGGQWQAFAIHRPEPLLRFALCSGSHSDPAVRVYTAKRLFQQLEAAKEEYIRATVGVHQQHQRQRQGHGGRVVLPKLVEAYAREAGLSPERLLDAAERCLPETVRAAVQRCRNQGAAAAAVEWAPHRQGFRYLLARDLAFPHLT* >Brasy6G214400.1.p pacid=40051211 transcript=Brasy6G214400.1 locus=Brasy6G214400 ID=Brasy6G214400.1.v1.1 annot-version=v1.1 MLASPATLHHLLLPPPPHLAFALPHPAPTLHALLPLSRLPPPRVAAFAHASYSRSRLRRRDARTRVVASVREEAPAAEEASSSGPTKFSVKIPVGDRHILVETGHIGRQASASVMITDGETIVYCSVCMADTPSDPSDFFPMSVHYQERLSAAGRTSGGFFKREGRAKDHEVLVCRLIDRPLRPTMPKGFYYETQILAWVFSYDGIHSPDCLAVTAAGIAMALSEVPNKQTIAGVRIGMINDQFVINPTTEEMKDSELDLMMAGTDSAILMIEGFCDFLTEEKLLQAVETGQVAIREICKAIDVLVQTCGKKKMVEAIDLPPPELYRHVEDISGDDLVKALQIKQKIPRRKALSALEENVITILSEQGYVSKDESSGVTENLADIVEEEDEDEVIVDGEVDEGEVHIKPVSRKPARQLFSEVDVKLVFKEVSSKFLRRRIVQGGKRSDGRSPCELRPINSQCGLLPRSHGSALFTRGETQALAVVTLGDYQMAQRIDTLVDTEESKSFYLQYAFPPSSVGEVGRTGAPNRREIGHGMLAERALEPILPSEGDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGTPLILSDITGAEDASGDMDFKVAGNEHGISAFQMDIKVVGITLPVMERALLQARDGRKHILNEMLKCSPPPSKTLSPHAPVIHVMKVKPNKVNLIIGSGGRTIKGILEETGVYAIDARDDGTVKITARDMASLEKSKTIIANLTMVPKVGEIFRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAYKVGDRIDVKLIEINDKGQLRLSSKALLPDADQEPSSKQQTRGSAKEKVVYKDDIIKVTTRRSRRKKDVEQSAAENATGKTLENSTAASNNDLAS* >Brasy6G211300.1.p pacid=40051212 transcript=Brasy6G211300.1 locus=Brasy6G211300 ID=Brasy6G211300.1.v1.1 annot-version=v1.1 MEAQYDLWWGLLEEGRAPPPVTAEPIAADIAPRAARPKSKPRPDNPDWVRATRTCGNVVGIICLVYIFLILVPRSRNRDYMLLYCVFAVVLSLGFPTLAYVLTLDIDRLPKVR* >Brasy6G061800.1.p pacid=40051213 transcript=Brasy6G061800.1 locus=Brasy6G061800 ID=Brasy6G061800.1.v1.1 annot-version=v1.1 MAIYDLPLVFVALLEFFGQGAHRRGTYRGRRRWTRRRWAPRRHWTPRRRWTRTDGRRARHGRRTVAMAPRAGPSAAAPSATAPTAPAPPASPDYSPTTAWMLSGPAAPMLGEEDDWATALAPPPPPPDVIYCPIHGHGPCPTRDCMAPSLPSPTPPEPPMEDVAAPTPPGLDIDAEDTAGDEKGLEDVQPEARRLLRKFAAAMAAHRAGPAAGGWNLEALGLSSSNEGGPSSL* >Brasy6G074000.1.p pacid=40051214 transcript=Brasy6G074000.1 locus=Brasy6G074000 ID=Brasy6G074000.1.v1.1 annot-version=v1.1 MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQDAAVGLYTPLVFCVFFLYIWCAATDPADPGVLKSKKYLRLYGSCKHRQGVSDVGSQLEGTGEKQEHEVADASETSMTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGSCTILAMVALLPIAQLLFFHILLIKKGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.2.p pacid=40051215 transcript=Brasy6G074000.2 locus=Brasy6G074000 ID=Brasy6G074000.2.v1.1 annot-version=v1.1 MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQDAAVGLYTPLVFCVFFLYIWCAATDPADPGVLKSKKYLRLYGSCKHRQGVSDVGSQLEGTGEKQEHEVADASETSMTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.5.p pacid=40051216 transcript=Brasy6G074000.5 locus=Brasy6G074000 ID=Brasy6G074000.5.v1.1 annot-version=v1.1 MTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGSCTILAMVALLPIAQLLFFHILLIKKGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.3.p pacid=40051217 transcript=Brasy6G074000.3 locus=Brasy6G074000 ID=Brasy6G074000.3.v1.1 annot-version=v1.1 MTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGSCTILAMVALLPIAQLLFFHILLIKKGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.4.p pacid=40051218 transcript=Brasy6G074000.4 locus=Brasy6G074000 ID=Brasy6G074000.4.v1.1 annot-version=v1.1 MTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGSCTILAMVALLPIAQLLFFHILLIKKGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.6.p pacid=40051219 transcript=Brasy6G074000.6 locus=Brasy6G074000 ID=Brasy6G074000.6.v1.1 annot-version=v1.1 MTQHKSKSTSCCSAASSALLLIFYSLSFAFSCCQSRDWSSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMTTALFLLILQSATGVLVLVLCFVERKEFNTQIVSKLGSSFSIAPFIIVVGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G074000.7.p pacid=40051220 transcript=Brasy6G074000.7 locus=Brasy6G074000 ID=Brasy6G074000.7.v1.1 annot-version=v1.1 MVALLPIAQLLFFHILLIKKGISTYDYIIALREQDQEEVSGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNFATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDEYSLGHETDSSYGGMSGRIDLGPDNTMQTDRRARPHGDLSLKPVAKMSTDAIDSIGTDMVPEALSNLAPLQLEARSAFHPSRAASSVNVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLATLTAPGSTQQKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSANWASIILSTDQSASSSGILVPKNRLS* >Brasy6G200800.1.p pacid=40051221 transcript=Brasy6G200800.1 locus=Brasy6G200800 ID=Brasy6G200800.1.v1.1 annot-version=v1.1 MAIASKNQTLQEREKSPIIQLKKLNNWIKSVLIDLCGRQGDRVLDLACGKGGDLNKWSIAKAGYYVGVDIAEGSIRDCLARYNCQQQQGIRIRRSFPFPARLICADCFEAPLDDFLHQDSPFDICSCQFALHYSWSTEARARQALANVSALLRPGGAFIGTMPDATVILNMLRETDDGSLRFGNSVYSITFGQECADKMRFPPDASSGSPFGIKYMFHLEDAVDCPESLVPFHLFESLAGEYGLELVLVKNFSDFVHEYLQEPKYSNLMQKLGALDGLSPDEWEEREKSPIIQLKKLNNWIKSVLIDLCGRQGDHALDLACGKGGDLNQWSIAMAGYYVGVDIAEGSIRDCLARYNCQQ* >Brasy6G186700.1.p pacid=40051222 transcript=Brasy6G186700.1 locus=Brasy6G186700 ID=Brasy6G186700.1.v1.1 annot-version=v1.1 MGTPLIAGLAVAAAALAGRYSIQAWQAYKARPIVPRMRKFYEGGFQPAMNRKEAGLILGVRESANAEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDIMLGKTKGGGSAF* >Brasy6G070000.1.p pacid=40051223 transcript=Brasy6G070000.1 locus=Brasy6G070000 ID=Brasy6G070000.1.v1.1 annot-version=v1.1 MASVASCSSTVFASFSSIPFRNRTHRPSLRPPPRRGCLPGRPVFRCLRKSDSGEPPLLRAPVVSDGKGAEAEPPARDRGGTAPFDASCGFAFAFAAAAGVLMLQGSQQALAGTQFLGLQPPADALGNLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGGVIFLGTFGALAVMTIISVVLGRAFHYVDGVIPFSFGGSDFPVDDLLAVCLLVYYGVTTLLDAASGDGEKMNEEQEEAELAVSKFSGNGAGIMSVASTLASTFVLVFVAEWGDKSFFSTIALAAASSPPGVIAGSLAGHGVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAVTLFEIASS* >Brasy6G070000.2.p pacid=40051224 transcript=Brasy6G070000.2 locus=Brasy6G070000 ID=Brasy6G070000.2.v1.1 annot-version=v1.1 MASVASCSSTVFASFSSIPFRNRTHRPSLRPPPRRGCLPGRPVFRCLRKSDSGEPPLLRAPVVSDGKGAEAEPPARDRGGTAPFDASCGFAFAFAAAAGVLMLQGSQQALAGTQFLGLQPPADALGNLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGGVIFLGTFGALAVMTIISVVLGRAFHYVDGVIPFSFGGSDFPVDDLLAVCLLVYYGVTTLLDAASGDGEKMNEEQEEAELAVSKFSGNGAGIMSVASTLASTFVLVFVAEWGDKSFFSTIALAAASSPPGVIAGSLAGHGVATLIIAYIGGSLFLAFAAVTLFEIASS* >Brasy6G076200.1.p pacid=40051225 transcript=Brasy6G076200.1 locus=Brasy6G076200 ID=Brasy6G076200.1.v1.1 annot-version=v1.1 MWMWLFTGGGTSVRTPIINCTDAAANNSFDRLTNHSFTRPKKLPVQAKYNTHVLYHNIHITYAFVTCQLGKSVGALVCNIHGRRKENSCCQIAANTIVITI* >Brasy6G198700.1.p pacid=40051226 transcript=Brasy6G198700.1 locus=Brasy6G198700 ID=Brasy6G198700.1.v1.1 annot-version=v1.1 MAGQQPPGAEDDFLDHFFSIPSSFPAGGQGGTPAADRDHHPFPLALSLEAAAEASGGARRLQDCPDGARADRDPVQLAGLFAPVFAGAPPHLRPAPPPQVFHAQPRLGEGAMVPQPHQPPAPRPKQRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSVKGEEASDSGGKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHLQDGHSMKPEPNSHS* >Brasy6G198700.2.p pacid=40051227 transcript=Brasy6G198700.2 locus=Brasy6G198700 ID=Brasy6G198700.2.v1.1 annot-version=v1.1 MAGQQPPGAEDDFLDHFFSIPSSFPAGGQGGTPAADRDHHPFPLALSLEAAAEASGGARRLQDCPDGARADRDPVQLAGLFAPVFAGAPPHLRPAPPPQVFHAQPRLGEGAMVPQPHQPPAPRPKQRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSGEEASDSGGKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHLQDGHSMKPEPNSHS* >Brasy6G198700.3.p pacid=40051228 transcript=Brasy6G198700.3 locus=Brasy6G198700 ID=Brasy6G198700.3.v1.1 annot-version=v1.1 MAGQQPPGAEDDFLDHFFSIPSSFPAGGQGGTPAADRDHHPFPLALSLEAAAEASGGARRLQDCPDGARADRDPVQLAGLFAPVFAGAPPHLRPAPPPQVFHAQPRLGEGAMVPQPHQPPAPRPKQRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSVKVLPQFLNSCRWLL* >Brasy6G055000.1.p pacid=40051229 transcript=Brasy6G055000.1 locus=Brasy6G055000 ID=Brasy6G055000.1.v1.1 annot-version=v1.1 MENNLPVNIREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRIVLRPRFLVDVSNIDMSTNLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAASCNSIMVLSFSSSCKIEEVASSCNAIRFYQLYVFKKREISATLVRRAESYGFKAIVLTVDTPVLGRREADIRNKMVVPWNENLEGLISFDDLDTTGGSKLEKYSRETLDPSLSWKDVEWLKSITNLPILLKGIVTGEDARRAVEAGVAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVLKALALGARAVMVGRPVLYGLAARGEAGAKHVLEMLNWELEVAMALCGCRSVAGITRDRVQTEGDRIRSLM* >Brasy6G055000.2.p pacid=40051230 transcript=Brasy6G055000.2 locus=Brasy6G055000 ID=Brasy6G055000.2.v1.1 annot-version=v1.1 MENNLPVNIREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRIVLRPRFLVDVSNIDMSTNLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAASCNSIMVLSFSSSCKIEEVASSCNAIRFYQLYVFKKREISATLVRRAESYGFKAIVLTVDTPVLGRREADIRNKMVVPWNENLEGLISFDDLDTTGGSKLEKYSRETLDPSLSWKDVEWLKSITNLPILLKGIVTGEDARRAVEAGVAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVLKALALGARAVMVGRPVLYGLAARGEAGAKHVLEMLNWELEVAMALCGCRSVAGITRDRVQTEGDRIRSLM* >Brasy6G055000.3.p pacid=40051231 transcript=Brasy6G055000.3 locus=Brasy6G055000 ID=Brasy6G055000.3.v1.1 annot-version=v1.1 MEELLRPRFLVDVSNIDMSTNLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAASCNSIMVLSFSSSCKIEEVASSCNAIRFYQLYVFKKREISATLVRRAESYGFKAIVLTVDTPVLGRREADIRNKMVVPWNENLEGLISFDDLDTTGGSKLEKYSRETLDPSLSWKDVEWLKSITNLPILLKGIVTGEDARRAVEAGVAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVLKALALGARAVMVGRPVLYGLAARGEAGAKHVLEMLNWELEVAMALCGCRSVAGITRDRVQTEGDRIRSLM* >Brasy6G055700.1.p pacid=40051232 transcript=Brasy6G055700.1 locus=Brasy6G055700 ID=Brasy6G055700.1.v1.1 annot-version=v1.1 MASSSSPLLLLALLLAVSSSSPSLPVVAVQHPGDRLTHICVYMHETVEGRHATMLRSVQSPMGSNSMFGTVNVLDNELRDGPDRGGSRLVGRFQGLFVGSGVVSPPGLMTSMNVVFTAGKYGGGTLALLGPVLSFEAPVERSLVGGTGRFRMARGYSVMTSVGNYTKADSVVLVDKIDLYVKIRRQRITVPSSEKSTPVSLFDE* >Brasy6G042000.1.p pacid=40051233 transcript=Brasy6G042000.1 locus=Brasy6G042000 ID=Brasy6G042000.1.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQVMPQLLHYFHVENEQDSLDWATIVVYTCQESCDQNVSYKEEFVCVQLSPDKKGTYRTTSSPAGRQAVLPAANL* >Brasy6G042000.2.p pacid=40051234 transcript=Brasy6G042000.2 locus=Brasy6G042000 ID=Brasy6G042000.2.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQVMPQLLHYFHVENEQDSLDWATIVVYTCQESCDQNVSYKEEFVCVQLSPDKKGTYRTTSSPAGRQAVLPAANL* >Brasy6G042000.3.p pacid=40051235 transcript=Brasy6G042000.3 locus=Brasy6G042000 ID=Brasy6G042000.3.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQDSLDWATIVVYTCQESCDQNVSYKEEFVCVQLSPDKKGTYRTTSSPAGRQAVLPAANL* >Brasy6G042000.4.p pacid=40051236 transcript=Brasy6G042000.4 locus=Brasy6G042000 ID=Brasy6G042000.4.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQDSLDWATIVVYTCQESCDQNVSYKEEFVCVQLSPDKKGTYRTTSSPAGRQAVLPAANL* >Brasy6G042000.5.p pacid=40051237 transcript=Brasy6G042000.5 locus=Brasy6G042000 ID=Brasy6G042000.5.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQCTTLTSQQLPRCLAGSFHLPMPSIRVNSIPELPYEVQLVSCRARLVQLAARHFGCVQ* >Brasy6G042000.6.p pacid=40051238 transcript=Brasy6G042000.6 locus=Brasy6G042000 ID=Brasy6G042000.6.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQCTTLTSQQLPRCLAGSFHLPMPSIRVNSIPELPYEVQLVSCRARLVQLAARHFGCVQ* >Brasy6G042000.7.p pacid=40051239 transcript=Brasy6G042000.7 locus=Brasy6G042000 ID=Brasy6G042000.7.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQNHVIKMSATRKNLYASSYLRIKKGRTAPLQAQLVVKLFYLLQTFDRLLAQQ* >Brasy6G042000.8.p pacid=40051240 transcript=Brasy6G042000.8 locus=Brasy6G042000 ID=Brasy6G042000.8.v1.1 annot-version=v1.1 MELDAKKLQNLHITSHEEETEPVAIFESDCIDDEDEEDSEPQVTLGFVQEPEEPLDWHLLLPQHFPDKAGGAPTWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPIKWKETAYHRTFFVFMCPSMSCLLQDQHEQRKGWAVNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEGGVHARLCDWCGTWKGEKLCSRCRKASYCSKKHQELHWCTSHKKDCSQIPGSLDGSIQPGARKAIAGVGWPEFMVVDDEETYCFANCGGDSSDQLVVQGQRKTDDITLLLMDQFEADDDNRCWASFLDRISRNPQDRQQVLRYCGEENAKPLWAVSSGSLSSADIPSCIYCNGPLRYEFQNHVIKMSATRKNLYASSYLRIKKGRTAPLQAQLVVKLFYLLQTFDRLLAQQ* >Brasy6G044900.1.p pacid=40051241 transcript=Brasy6G044900.1 locus=Brasy6G044900 ID=Brasy6G044900.1.v1.1 annot-version=v1.1 MADAGGAPPRERGKEEEEEDDVVCLDPSFFVDRSYEMTTFTYGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKGCSIIELGSGIGITGILCSRFCKEVVLTDHNDEVLEIIKKNIEMQSCSENADAVLAAEKLEWGNYDHINNIIEKHPSGFDLILGADICFQQSSIPYLFDTVEKLLHMQAGKCRFILAYVSRAKVMDALLPKEADKRGMLVEEVDGTRTTISNLEGVIFNITLK* >Brasy6G120200.1.p pacid=40051242 transcript=Brasy6G120200.1 locus=Brasy6G120200 ID=Brasy6G120200.1.v1.1 annot-version=v1.1 MSFRAREIYKKVVRRVGGEGKLPAELMESVKNMMPNSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRHWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKLYSELAGMEVGFFPPEEEAKIEQGFEEVRSAKREFRRESRRVLALAKQSQLEAGKADDDDTTEVADTNEEVSDVAEKS* >Brasy6G120200.3.p pacid=40051243 transcript=Brasy6G120200.3 locus=Brasy6G120200 ID=Brasy6G120200.3.v1.1 annot-version=v1.1 MSFRAREIYKKVVRRVGGEGKLPAELMESVKNMMPNSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRHWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKLYSELAGMEVGFFPPEEEAKIEQGFEEVRSAKREFRRESRRVLALAKQSQLEAGKADDDDTTEVADTNEEVSDVAEKS* >Brasy6G120200.2.p pacid=40051244 transcript=Brasy6G120200.2 locus=Brasy6G120200 ID=Brasy6G120200.2.v1.1 annot-version=v1.1 MSFRAREIYKKVVRRVGGEGKLPAELMESVKNMMPNSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRHWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKLYSELAGMEVGFFPPEEEAKIEQGFEEVRSAKREFRRESRRVLALAKQSQLEAGKADDDDTTEVADTNEEVSDVAEKS* >Brasy6G225500.1.p pacid=40051245 transcript=Brasy6G225500.1 locus=Brasy6G225500 ID=Brasy6G225500.1.v1.1 annot-version=v1.1 MSTGGGAGGFGYGAGVSIGILFLLFVTAFAAFYFCCARTPAPTLAAGTCDEEAGGGVDEATLEACPAMAYGELRKKQQRRRQEKAAAATAPACCCPVCLESYGDVEVVRELPECGHVFHRGCVDPWLRRRATCPVCRTTPLGLARPSS* >Brasy6G110600.1.p pacid=40051246 transcript=Brasy6G110600.1 locus=Brasy6G110600 ID=Brasy6G110600.1.v1.1 annot-version=v1.1 MGCGRTVKAGAAAAAALVVAAGVRLVGPAAAGFVAEEIPRAQAAAATWLTPPYLYLVINAIIISIAASSRYQPRRAPAACAVVAGAELAEAAPVPPVCAMEMPVPAPAVAMAMAAPLPEAVAAEQPVAKTAPVPAPEVVAEDEEEFLISRSAWTPQRRVAEAEVEVAPFADLTNSREKPLSSARFGRKAARPSPEGSRALRRVSRPRREDTLENTWKAITEGRAPPLARHLKKSDTWDTRPGRRPSGGSGDGQEVVPPPPGPTMRKAETFNDAPAGVGRKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKQYNEMVSSGGHY* >Brasy6G003900.1.p pacid=40051247 transcript=Brasy6G003900.1 locus=Brasy6G003900 ID=Brasy6G003900.1.v1.1 annot-version=v1.1 MGQGTPGGMGKQGGGAPGDRNKPGGDGDKKDRKFEPPAAPSRVGRKQRRQKGPEAAARLPHVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLSDDVNLEEFVMTKDEFSGADIKAICTESGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM* >Brasy6G040200.1.p pacid=40051248 transcript=Brasy6G040200.1 locus=Brasy6G040200 ID=Brasy6G040200.1.v1.1 annot-version=v1.1 MDQSESSNIVRGRGRNKRKWTGDEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIESLLAQRLPGRGIKASPHVDSRLKVLKRKFYSIKDMLASPGFSWDGTRKMIQCEKERYDEYCKENPRAKGMYGIPFPHFDTFDAIYGKDRTAREGAEVSEEASADMENGNTSGAGDEEDRMSTGPSGRSLDTTSRYKRQKKCKYGGKRKRIESNCLPLDMFKDLRGQYHSATQHISMMAEAMDLFKDVHCHFQSVVQHASAMAAAMEGFKDAHGRFQSAVQNVSTAAAVMERFKDAHDHFQSATQNGSTIAAVMECDTDMQQRLSPEEPQWDPKVRAIAEMQKLGFTGGEVVNAASVFAKEPNQMEMFLALPEIYKRGYILQMLNGGQSL* >Brasy6G040200.2.p pacid=40051249 transcript=Brasy6G040200.2 locus=Brasy6G040200 ID=Brasy6G040200.2.v1.1 annot-version=v1.1 MDQSESSNIVRGRGRNKRKWTGDEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIESLLAQRLPGRGIKASPHVDSRLKVLKRKFYSIKDMLASPGFSWDGTRKMIQCEKERYDEYCKENPRAKGMYGIPFPHFDTFDAIYGKDRTAREGAEVSEEASADMENGNTSGAGDEEDRMSTGPSGRSLDTTSRYKRQKKCKYGGKRKRIESNCLPLDMFKDLRGQYHSATQHISMMAEAMDLFKDVHCHFQSVVQHASAMAAAMEGFKDAHGRFQSAVQNVSTAAAVMERFKDAHDHFQSATQNGSTIAAVMECDTDMQQRLSPEEPQWDPKVRAIAEMQKLGFTGGEVVNAASVFAKEPNQMEMFLALPEIYKRGYILQMLNGMLR* >Brasy6G040200.3.p pacid=40051250 transcript=Brasy6G040200.3 locus=Brasy6G040200 ID=Brasy6G040200.3.v1.1 annot-version=v1.1 MDQSESSNIVRGRGRNKRKWTGDEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIESLLAQRLPGRGIKASPHVDSRLKVLKRKFYSIKDMLASPGFSWDGTRKMIQCEKERYDEYCKENPRAKGMYGIPFPHFDTFDAIYGKDRTAREGAEVSEEASADMENGNTSGAGDEEDRMSTGPSGRSLDTTSRYKRQKKCKYGGKRKRIESNCLPLDMFKDLRGQYHSATQHISMMAEAMDLFKDVHCHFQSVVQHASAMAAAMEGFKDAHGRFQSAVQNVSTAAAVMERFKDAHDHFQSATQNGSTIAAVMECDTDMQQRLSPEEPQWDPKVRAIAEMQKLGFTGGEVVNAASVFAKEPNQMEMFLALPEIYKRGYILQMLNGMLR* >Brasy6G123600.1.p pacid=40051251 transcript=Brasy6G123600.1 locus=Brasy6G123600 ID=Brasy6G123600.1.v1.1 annot-version=v1.1 MASPEVEASPPSTASCPTPRPAVAAPTLSPALLRAARSGDERRLVKELLADPAAPDLETAATAAGNTLLHVAASGGHAALATLLLRRAPGLLAARNAALDTPLHLAARAPGAHKVVALLLASSPSASSLRAFTRATNRRGETALHEAVRGGHEAAVRALAAVDPGLAGVCSGSGETPIYMAAAAGSLGMVRVLIKSYRNGNDYDDNEVPVLCSATGPGMRTVLHAAVLTSNEMSQELLQWNPALVKQVDDSGSTPLHYVASVGNISALKLLLRYDTSPAYVRDSNGLFPVHIAAKMGYGKLVCELCKHCPDSDEKLDSKGRNFLHIAVEHKKWKVVWHFCGTPELERMVNVMDYEGNTALHLAVKNADQMIVSLLMGNKGVLPNIVNNQGLTSLDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASADELKKFSNIAQNLVVGSVLVSTVTFAAVFTLPGGNISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIGIVVCLCTVGTLLLRNPSNWQLGFLFMPIKRRLGWRGAFKAHLPQQTRSRLTVGVGSNFACLILRRMLGMLFTYSFIFLLALL* >Brasy6G123600.2.p pacid=40051252 transcript=Brasy6G123600.2 locus=Brasy6G123600 ID=Brasy6G123600.2.v1.1 annot-version=v1.1 MASPEVEASPPSTASCPTPRPAVAAPTLSPALLRAARSGDERRLVKELLADPAAPDLETAATAAGNTLLHVAASGGHAALATLLLRRAPGLLAARNAALDTPLHLAARAPGAHKVVALLLASSPSASSLRAFTRATNRRGETALHEAVRGGHEAAVRALAAVDPGLAGVCSGSGETPIYMAAAAGSLGMVRVLIKSYRNGNDYDDNEVPVLCSATGPGMRTVLHAAVLTSNEMSQELLQWNPALVKQVDDSGSTPLHYVASVGNISALKLLLRYDTSPAYVRDSNGLFPVHIAAKMGYGKLVCELCKHCPDSDEKLDSKGRNFLHIAVEHKKWKVVWHFCGTPELERMVNVMDYEGNTALHLAVKNADQMIVSLLMGNKGVLPNIVNNQGLTSLDLAVLATDKGISYTLVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASADELKKFSNIAQNLVVGSVLVSTVTFAAVFTLPGGNISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIGIVVCLCTVGTLLLRNPSNWQLGFLFMPIKRRLGWRGAFKAHLPQQTRSRLTVGVGSNFACLILRRMLGMLFTYSFIFLLALL* >Brasy6G217200.1.p pacid=40051253 transcript=Brasy6G217200.1 locus=Brasy6G217200 ID=Brasy6G217200.1.v1.1 annot-version=v1.1 MVHTVGSWGSAARRTASQRGRRGTVPAELANGRSTTRRIWRKKRHSRQRGVQAVHVRAHAAAGRERVLSRGHAPPHIFTNSLGRSSSGTGRRAEVPGVLGNAVGYASAK* >Brasy6G263300.1.p pacid=40051254 transcript=Brasy6G263300.1 locus=Brasy6G263300 ID=Brasy6G263300.1.v1.1 annot-version=v1.1 MDGLVGLLKVRVVRGYNLAYRDARGSDPYVVLRLGKQKLKTSVKKRSVNPIWHEELTLSITNPNVPIKLEVFDKDTFSRDDPMGDAEIEVEPLMEVLNRDPENMRNGTVIRSIRPSNRNCLADESQLCWKSGRFIQDVILRLKNVESGEIQLQLQWVQIPGRKK* >Brasy6G154600.1.p pacid=40051255 transcript=Brasy6G154600.1 locus=Brasy6G154600 ID=Brasy6G154600.1.v1.1 annot-version=v1.1 MTVPEPRGTTSLKTLLRREDGKHEGNITTTKRNITHPSPSSLYREPDRRRPRPRPLSVGASSPYPTPLSCRSLHLLSVGASLPSLPLPDAGLSPFSPRHAAPFPGPAVQPPDPAAIGRAPARSGRLGPSAAGSSCRRPHRPRIRPASSPPPRRRYGAPGTLVHLHVAAAAEHPPASSPLALMSCSRSQGAAASPIRFRCTSGLGCRV* >Brasy6G220500.1.p pacid=40051256 transcript=Brasy6G220500.1 locus=Brasy6G220500 ID=Brasy6G220500.1.v1.1 annot-version=v1.1 MAASLLRLSRPRRALLPLSALRHSLSTQPLLHPRDPSSSSNSNRRLPPFLSFLAAAAAAAAGGTAATVALCDSGGRDHRVGGKESTELVVRGEHKSVPREFVDELASFLGENLTVDYEERSFHGTPQNSFHKAVNVPDVVVFPSSQDEVQQIVVICNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSSMKKIKALHIKDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRENVINLQAVLPNGDVIKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKSLPEVPTLMFEFIGTEAYALEQTLLVQKIAAEHQGSDFVFVEEADAKEELWKIRKEALWAGFAMEPDHEAMITDVCVPLSRLAECISVSKRLLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI* >Brasy6G212200.1.p pacid=40051257 transcript=Brasy6G212200.1 locus=Brasy6G212200 ID=Brasy6G212200.1.v1.1 annot-version=v1.1 MTMKAALRELGRNSRAAAGIGGSRGFAAAAAAAAAPAGVAERNEGGGGGGGGTKAVNLFTAVNQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRKRVFNTPLCEQGIAGFAIGLAAMGNRAIAEVQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLSVEDVPEGDYMLPLSQAEVIHQGSDITLVGWGAQLTVLEQACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKILDAIKATVNY* >Brasy6G270500.1.p pacid=40051258 transcript=Brasy6G270500.1 locus=Brasy6G270500 ID=Brasy6G270500.1.v1.1 annot-version=v1.1 MEEEKKPAAPATVGAPASAAATVASAATVGAPASATTVGADVTAAAADAGAGSESSIFDELLVDANKPAADSTSSAGYFSTLFPTPAEAAAAAAARRRGQCVPGNNSWAGQQQQQGYDLESSPYFSSSGVHYGGREYYYIPDNNSSTTKKKPDEEDNSGAANRGDWWQGSLYY* >Brasy6G171000.1.p pacid=40051259 transcript=Brasy6G171000.1 locus=Brasy6G171000 ID=Brasy6G171000.1.v1.1 annot-version=v1.1 MGECDDCCDNCRWKDFWWFVLCLAILVLIVVVVILVAAFGFVRKVAITVDDASLTRLALVSTPTTALAYNLSLTLSIRNPNWAMSAKNTEPLEAAYGFDGQRFDRVQLAGKGETHGAKKTVLYKLNSGSGGAYVALGNAGVAEFKAQNATGVFEVEVAVSGELKYTARVSKCKIQAKCPLKLQLVPAGQAAPAAVVFQKVKCKLEKADKNC* >Brasy6G192500.1.p pacid=40051260 transcript=Brasy6G192500.1 locus=Brasy6G192500 ID=Brasy6G192500.1.v1.1 annot-version=v1.1 MLSSLSAWFVNPRRNPLARLHMKTVAYRLSNYGLRYDDLYDPYNDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVKALQTPFRSYLADILALVKKERAEREALGALPLYERTLP* >Brasy6G192500.2.p pacid=40051261 transcript=Brasy6G192500.2 locus=Brasy6G192500 ID=Brasy6G192500.2.v1.1 annot-version=v1.1 MLSSLSAWFVNPRRNPLARLHMKTVAYRLSNYGLRYDDLYDPYNDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVKALQTPFRSYLADILALVKKERAEREALGALPLYERTLP* >Brasy6G161200.1.p pacid=40051262 transcript=Brasy6G161200.1 locus=Brasy6G161200 ID=Brasy6G161200.1.v1.1 annot-version=v1.1 MAVNCLYVAAASTAASAAALQWWATSLLDAPREGDGGGGGGGDWLQTVLRSHVTVALLANLAAHVFLVLALALKTLFFVRLTSSETRKVLEHIINYVIYKGTFLPLVVPPNSQQIILWSTWLVLLCSLKMFQSLARERLERLNASPSATPSKYFRVYSALLLVLSTDLLWIKLCVGFWRSCNSKLFWLMLFEPLSIAFESLQSIMVHGFQLFDIWQRHLMDSGVDYLDFQKSYKQAAGSFSEWRGKLIRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDVVLLLNLRALVVSFFKRIKTYIKLRKALRSLDGALPDATYDEICTYDDECAICRGPMARAKKLSCNHLFHLPCLRSWLDQGLMEGYSCPTCRRPLFLSSQGQTRSTTAEVANIQLIAEQLNMGLNQQRVHGHENPVEQLNPSDTVWRGAGLDSSWAPPWSRPGMDDPSSSSAVRSVGISGVQMMMRQFASVTDNYGHTDGTWSLWPESMPGPSIVPSSSSSPDGASTAVLRFGGTAGTINGSMSQVNSMVDRVREVLPHMPDELIIEDLMRTNNVNATVNNLLLMQ* >Brasy6G231300.1.p pacid=40051263 transcript=Brasy6G231300.1 locus=Brasy6G231300 ID=Brasy6G231300.1.v1.1 annot-version=v1.1 MSGSWPCSSPRDHPSPRPPRSPRSSPRRAVSSAPPPGPPCLRVHLSEQSAAATMTTRRRGAAGREGGSRASTGAWTGRTEGGARHGSCVSNLGHGRPLIVMMT* >Brasy6G023700.1.p pacid=40051264 transcript=Brasy6G023700.1 locus=Brasy6G023700 ID=Brasy6G023700.1.v1.1 annot-version=v1.1 MACGLQPSAAAAALSYSRRSAASAAAKGRSHQFNSTNQLHRRLTLFESHRFRNLVSSRCSVSSAEAAISSRPAAVSSAHNDLTTRRCIDAVSGAQIITISGYWTGPDIDDGCGHVQAILQRIA* >Brasy6G257700.1.p pacid=40051265 transcript=Brasy6G257700.1 locus=Brasy6G257700 ID=Brasy6G257700.1.v1.1 annot-version=v1.1 MGTTGAAARRSGRFLGLHLLRLLPFVRRRGPVSRGKGQLGSRREGGRRSAREIAGGESAPRRGGGGGRTAGGWERGDNRGDQREWRRRREEKERSGATEKEKEMASA* >Brasy6G048300.1.p pacid=40051266 transcript=Brasy6G048300.1 locus=Brasy6G048300 ID=Brasy6G048300.1.v1.1 annot-version=v1.1 MVSVRKGRGSGGGGGVRSRPRVDGGGSLASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSNGSNPAGRAPLSKYRGVSITNTVGPTMGIEALKFISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLLKSRYPKTNPWDIMLGMNLWGTIYNTVIMFVAPLLFSNWPYAKGFEAVRFCQQNPEVAWDILMFCLCGTVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLEQWGSVVMVFSGLSLQIYLKWQRKKGRDHKE* >Brasy6G065500.1.p pacid=40051267 transcript=Brasy6G065500.1 locus=Brasy6G065500 ID=Brasy6G065500.1.v1.1 annot-version=v1.1 MAASYEPKLNGEIRIFESSDEIATDLAEYISQVSEISVKERGYFAIALSGGALVSYLWKLCEAPYNKTLDWSKWYIFWSDERAVAKNHADSNYKLTKEGFLSKVPILSGHVYSINDNATVEDAATDYEFVIRQLVKVRTVGVSESNDCPKFDLILLGMGSDGHVASLFPNHQALELKDDWVTYITDSPQPPPERITFTLPVINSASNVAILATGDDKANAVHLAVSDSSDGQDAPMSLPARMVQPTDGKLVWFLDSASASLLEATTTDDAAYEQHCEY* >Brasy6G065500.2.p pacid=40051268 transcript=Brasy6G065500.2 locus=Brasy6G065500 ID=Brasy6G065500.2.v1.1 annot-version=v1.1 MAASYEPKLNGEIRIFESSDEIATDLAEYISQVSEISVKERGYFAIALSGGALVSYLWKLCEAPYNKTLDWSKWYIFWSDERAVAKNHADSNYKLTKEGFLSKVPILSGHVYSINDNATVEDAATDYEFVIRQLVKVRTVGVSESNDCPKFDLILLGMGSDGHVASLFPNHQALELKDDWVTYITDSPQPPPERITFTLPVINSASNVAILATGDDKANAVHLAVSDSSDGQDAPMSLPARMVQPTDGKLVWFLDSASASLLEATTTDDAAYEQHCEY* >Brasy6G121300.1.p pacid=40051269 transcript=Brasy6G121300.1 locus=Brasy6G121300 ID=Brasy6G121300.1.v1.1 annot-version=v1.1 MMPQSSLLVLFIFFSTSQALCSDNNGGMYMPNNTYKLNLITLAQLLFANATEVHSATGTAGTGPDKVYGAVLCRGDSDGSDCHKRLTKALDEAINSKAGYSNSLQSSKNVTYYYDQYQAQISFSDQDFISSFSNVPGCTVNTNLNSMTATVAKPFEDLVTKLLRALADAVVSQAEKYAVGKVWFEETGQMVYGLTQCIQDVPYEHCVACLDGIISDRQSKIASGQMGVVILGLWCTLRYETDTQFFTDTKMLSLNAVTRSKTHMFRWNNSVLVGVGGFLLVLSISCMAFHIWIKTQQRREKTVFKLRQLYLAIQIAINLWRMGGTNPEFSLYDFSQINEATNNFSIDNQLGQGGFGPVYKGRLSSGLKIAVKRLETSSLQGLLEFQNEIQLIAKLQHKNLVKLLGCCTRGDREKILVYEYMENKSLDYFIFDIAKGARLNWSKRLHIINGIAQGLLYLHNYSRLCVVHRDLKASNILLDSVMNPKISDFGMARIFCSNMESNTTRIVGTHGYIPPEYVFEGVCSIKTDVFSFGVLILEIISGKRTAQFYHYDGNLYNLIAYAWQLWRDGKLGEFTYRPPGNENQEIERCIHLALLCVQESAEDRPAMEHVVTMLNTKNVSLPMPMQPAYFNVNPSERDALSCNTTMSITLER* >Brasy6G025400.1.p pacid=40051270 transcript=Brasy6G025400.1 locus=Brasy6G025400 ID=Brasy6G025400.1.v1.1 annot-version=v1.1 MSAVHLQIDIWLKNVRLTSHAAKGDSSEFERSGSNFPSSSTIGVSAGPCRFHHHDLGTGIGADQ* >Brasy6G143300.1.p pacid=40051271 transcript=Brasy6G143300.1 locus=Brasy6G143300 ID=Brasy6G143300.1.v1.1 annot-version=v1.1 MVVDSDTTNYKDFVSEIGEKYRWRMNETIMLNYFDESNRTIPELSSDQDMVAMFAKFGTTKTIAMLITVHDVNVTPDKPEWPIEEGVSVDIPCTPSLPSAPPKPPQETSSQRNSGTHPSTLSNNYVGWDLANPFEENEHVGVDEEDMYLDGSDSEDGATDVPSCKEKCSVKDPDFVPEVGEEYSDEDTSEDEEDGDWVGKDKEPDSLPDFSYDKEDPPMTEVIQGDSGPFALTWNATGNFMPLRWMKMVALR* >Brasy6G236900.1.p pacid=40051272 transcript=Brasy6G236900.1 locus=Brasy6G236900 ID=Brasy6G236900.1.v1.1 annot-version=v1.1 MASWPKLALLLALATALASASYVAAQNSPQDFLDPHNAARADVGVGPVTWDDTVAAYAQSYADSRKGDCQLVHSGGQYGENIYGGAGGGATWTAADAVAAWTAEKQFYHHDGNSCDEGQVCGHYTQVVWRDSTAIGCARVVCDSGDGLFIICSYNPPGNYVGQSPY* >Brasy6G246500.1.p pacid=40051273 transcript=Brasy6G246500.1 locus=Brasy6G246500 ID=Brasy6G246500.1.v1.1 annot-version=v1.1 MISPTNLLLILVVFLAAENARAQPGPILINCGSDSSSDVVDGRRWIGDSSPSKNFTLSFPGTIASAAAAAAPGVDGEEEPYGDLYKTARVFNSSSSYKLAVAAGSYFLRLHFSQPFANLSAGEPIFDVAANGLKLLSRFSVTGEVSWRDSQINSTNRVIVKEYLLNVTSGKLGIEFSPDEGSFAFVNAMEVVPVSGSSIFDSVNKVGGYGLKGPFSLGDGGIETMYRLCVGCSDIARKEDPGLWRKWDSDEHFIFSLNAAHTITNSSNISYASADDSTLAPLRLYETAKVTTDSSVMEKKFNVSWSFVVDPGFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAESYDVFARAGGKNKAFHEDFLDVASPQMDTLWVQLGSESSAGAAATDALLNGMEIFKVSREGNLAHPTVRIGGISGGTRKPKRSPKWVLIGAATGLIVFIAVVGAVYVCFCLQGNKKSSANKTKNPPGCQPLALHGSANTRSPSLRTAGTFGRSQLGRRFTIAEIRTATQNFDESLVIGVGGFGKVYKGKMESGTLVAIKRGHTESQQGQGVKEFETEIEMLSRLRHRHLVPLIGYCDERNEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLNDNLVSKMADFGISKDGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTPSSDVYSFGVVLFEVLCARPVINPTLPRDQINLAEWALNCQRRQLLETIIDPSLDGNYTLESMKTFSKIAEKCLADEGVNRPSMGEVLWHLEIALQLHQGHLHADCDVLSGPELTPSDASINITRSREAEESTRADSRDANDEVVDVKIEVP* >Brasy6G159700.1.p pacid=40051274 transcript=Brasy6G159700.1 locus=Brasy6G159700 ID=Brasy6G159700.1.v1.1 annot-version=v1.1 MGSYDDGTNFKHNDSPSVREMNLNGPVLPIRLEPLRANGWLQDMKVSSPTASPMNNGISGTLFNPVYRAWTKKYPSALNVFDKIVACGRGKKIVLFLDYDGTLSPIVDDPDNAVMSDQMREVVRNAAVHLPTAIISGRSIDKVFDFVKLTELYYAGSHGMDIMGPVGKSSSVADHRNCTNSTNKCEEVKIFQAATEFLPVIEEIFTLLVEKTKGIHGAKVENNKFCVSVHYRNVDEKDWSLVAQCTDDILKMYPSLQLCHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADRDNVLPIYIGDDRTDEDAFKVLRDSKQGIGILVSSVPKESHALYSLVDPSEVMDFLEGLVIWKEQEATSR* >Brasy6G232700.1.p pacid=40051275 transcript=Brasy6G232700.1 locus=Brasy6G232700 ID=Brasy6G232700.1.v1.1 annot-version=v1.1 METPRPQKPLLDQFREEVGTGFIVGGVVASALQFPNGGIQAVLRTAPRSSGYCAVWFGVFSVVERGMVAARRKKEPVWIDGSVAVAAAYGVTSSANGVRAAGRSALIGGAFAVVLFGGVDKLRQVYKDDRSRNLSP* >Brasy6G148300.1.p pacid=40051276 transcript=Brasy6G148300.1 locus=Brasy6G148300 ID=Brasy6G148300.1.v1.1 annot-version=v1.1 MAAADSVVLDRDDKGNPRSLRLFAALVEAESRHFTSAASLPSKNNLVLAFRGGDTPTVAIADYLERIQRNLHCEGVIFVLAAVYLARFVRSRTAREAGLLVEPATAHRLVSVALLLAAKFSSPNYAPNSPKVIPVCSNQRILATEFAALEVSFLRAIDYRLLVTEEEFLRYCGHLERGPMAGGSCGGRKRKSTSTKEGDKARNVQACQKPAVAS* >Brasy6G040300.1.p pacid=40051277 transcript=Brasy6G040300.1 locus=Brasy6G040300 ID=Brasy6G040300.1.v1.1 annot-version=v1.1 MRAKRQVRGRVCSRRWRTPARGTPMTAIKEERRKASLVQRSGAAPAERGLDGRRRGPNYQKPHPLDPDPLLRFFPFPFFLRVQVNLNPPPTFPASRSTCRRRRRRRRPPRRFPTRAPQPARMTTEQPFFPREKLVKQQQYFQQLGQHTHLKGRYDVITSVAIPLALAGTSLFMIGRGIYNMSHGIGKKE* >Brasy6G060600.1.p pacid=40051278 transcript=Brasy6G060600.1 locus=Brasy6G060600 ID=Brasy6G060600.1.v1.1 annot-version=v1.1 MTCAKATNEEKALILSTLKLEEFLRNSCYRLELEAPKKKDEDKEIERFSDRKRKTQSKREALASYLKLTPSNFPVELLQGSRRVQPSSKKLRWDRDSDEQAFDVFEKLEEKYKDGEKKIEKDGDGEEEDEEQESAEEEESSDDDYNQNIEFDDDDDDWNQKEEAHEDYYD* >Brasy6G131200.1.p pacid=40051279 transcript=Brasy6G131200.1 locus=Brasy6G131200 ID=Brasy6G131200.1.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVRALVIITELAAASCVGNRSVGCSSSHAEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKEGNLWKELWDAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPAQLFQQLLSVILSVCFLASESVLPADSNLSKLFFDCKDYIISIYGDDMSKEKLDEIYEVYETMEAIVSHPEEALEITQQPDEKSAENKNRFKLKLNFTGKDGQSLWKRAAKDLKKTSPKDEKKSPEEKNANFFSNLRDKKVSIFSKKTVKESEVPPPPPSSALGSFDESDWMIL* >Brasy6G131200.2.p pacid=40051280 transcript=Brasy6G131200.2 locus=Brasy6G131200 ID=Brasy6G131200.2.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKEGNLWKELWDAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPAQLFQQLLSVILSVCFLASESVLPADSNLSKLFFDCKDYIISIYGDDMSKEKLDEIYEVYETMEAIVSHPEEALEITQQPDEKSAENKNRFKLKLNFTGKDGQSLWKRAAKDLKKTSPKDEKKSPEEKNANFFSNLRDKKVSIFSKKTVKESEVPPPPPSSALGSFDESDWMIL* >Brasy6G131200.5.p pacid=40051281 transcript=Brasy6G131200.5 locus=Brasy6G131200 ID=Brasy6G131200.5.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVRALVIITELAAASCVGNRSVGCSSSHAEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKEGNLWKELWDAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPAQLFQQLLSVIVYETMEAIVSHPEEALEITQQPDEKSAENKNRFKLKLNFTGKDGQSLWKRAAKDLKKTSPKDEKKSPEEKNANFFSNLRDKKVSIFSKKTVKESEVPPPPPSSALGSFDESDWMIL* >Brasy6G131200.6.p pacid=40051282 transcript=Brasy6G131200.6 locus=Brasy6G131200 ID=Brasy6G131200.6.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKEGNLWKELWDAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPAQLFQQLLSVIVYETMEAIVSHPEEALEITQQPDEKSAENKNRFKLKLNFTGKDGQSLWKRAAKDLKKTSPKDEKKSPEEKNANFFSNLRDKKVSIFSKKTVKESEVPPPPPSSALGSFDESDWMIL* >Brasy6G131200.7.p pacid=40051283 transcript=Brasy6G131200.7 locus=Brasy6G131200 ID=Brasy6G131200.7.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVRALVIITELAAASCVGNRSVGCSSSHAEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKGKAYLMPWKLLNQHNCFSSYSQSLFTRRWKPS* >Brasy6G131200.8.p pacid=40051284 transcript=Brasy6G131200.8 locus=Brasy6G131200 ID=Brasy6G131200.8.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVRALVIITELAAASCVGNRSVGCSSSHAEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKGKAYLMPWKLLNQHNCFSSYSQSFFLYAS* >Brasy6G131200.3.p pacid=40051285 transcript=Brasy6G131200.3 locus=Brasy6G131200 ID=Brasy6G131200.3.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKGKAYLMPWKLLNQHNCFSSYSQSLFTRRWKPS* >Brasy6G131200.4.p pacid=40051286 transcript=Brasy6G131200.4 locus=Brasy6G131200 ID=Brasy6G131200.4.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCAQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDSAASNTTVEEGSSRRGRLSDRMRTKGKAYLMPWKLLNQHNCFSSYSQSFFLYAS* >Brasy6G131200.9.p pacid=40051287 transcript=Brasy6G131200.9 locus=Brasy6G131200 ID=Brasy6G131200.9.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVRALVIITELAAASCVGNRSVGCSSSHAEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGRQQTLDVSWKISLDGTLHLIGLKTLQQAIQQ* >Brasy6G131200.10.p pacid=40051288 transcript=Brasy6G131200.10 locus=Brasy6G131200 ID=Brasy6G131200.10.v1.1 annot-version=v1.1 MDKLLPPPPPAPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGHRAPSPRTSMDRQADAGASATERSPDVKPPLDEVPEVIPSSNENGLNIELGSTTSSNLTFPPASIVKQLIAGIENGKKFKSMNDMKFNGDQYLKEKGSLSLSVVKSLVRREKDDRSSSEFFGDNETKSLMYLLFRLEEEFPDNDSRCSPELLHSASLSRDIHGAPPGSFVHQLGEIIGSISSVHKMAFFWQSMVAELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLRKRECINNSNPMCSNGESCDNGMYASNSSGDSVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGRQQTLDVSWKISLDGTLHLIGLKTLQQAIQQ* >Brasy6G077500.1.p pacid=40051289 transcript=Brasy6G077500.1 locus=Brasy6G077500 ID=Brasy6G077500.1.v1.1 annot-version=v1.1 MPPPPLPAVASLPYQCSVLLRHLAARHSPVPSSPSSFLRTLRCLHARLLTAALLHTPSHPHLTLRLIHLYTLSPDLATPAILFRADPGPVVATSLVSAYAVAGRLRDSAAFFDSVPVARRDTVLHNAMISAFARASLAAPAVSVFRSLLASDGSLRPDDYSFTSLLSAVGQMHDLAASHCTQLHCAVHKLGAGAVLSVSNALIALYMKCDAPGVTRDARKVLDEMPEKDELTWTTIVVGYVRKGDVHAARSAFEEIDGEFDVVWNAMISGYVQSGMCAEAFELFRRMVSKRIPPDEFTFTSVLSACANAGFFLHGKSVHGQFIRLQPNFVPEAALPVNNALVTLYSKSGKIAVAKRIFDSMTLKDVVSWNTILSGYIESGCLDNAARVFKEMPYKSELSWMVMVSGYVHGGLAEDALKLFNQMRSEDVKPCDYTYAGAVAACGELGALKHGKQLHAHLVQCGFEASNSAGNALLTMYSRCGAVKDARLVFLVMPNVDSVSWNAMISALGQHGHGREALELFDQMVSQGIYPDRISFLTILTACNHAGLVDDGFQYFESMERDFGISPGEDHYARLIDLLGRAGRIGEARDLIKTMPFEPTPAIWEAILSGCRINGDMELGAYAADQLFKMIPEHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNKVHVFLVGDTKHPDAHEVYRFLEMVGAKMRKLGYVPDTKFALQDMAPHEKEYVLFAHSERLAVSFGLLKLPPGATVTVLKNLKICGDCHTAMMFMSRAVGREIVVRDVRRFHHFKDGECSCGNYW* >Brasy6G130900.1.p pacid=40051290 transcript=Brasy6G130900.1 locus=Brasy6G130900 ID=Brasy6G130900.1.v1.1 annot-version=v1.1 MPRSPSPERNHHHARRRGGGGGGGAHLVPRGRSPSPPPRRSLRPRRAAAASSRPLVDDFFPYPSSPSPSPPRPQQRRPPEPEPSSSDSDRGGGGGAGSSASDRRRRKLKLVVKLSQLPPDQQQRRVPPPPPYSDSSDGDELPAGDRSGGEEQVKPPKKRRIESRDDRSRNREVSGRTDPSSAPRTKRLPVPGMARTTPLPDRKAVDMILDKLQKKDTYGVFAEPVDLDELPDYHDVIEHPMDFGTVKRKLARNAYRSFEQFEDDVFLICSNAMLYNAPDTIYFRQAHSIQELARKKFQELRDEGIPTENQIKGEQKVKPNSCNREPIKKPVLMYSEDDLDFLTRKEQIKRPYSKDSVVDISFKDQVKKPISRNSEDNLSSSFHKERVKKPIFRNSENDLSSPFNREQVKRPISRNPDDARNSSFHQAQVRRAISRNSEDDRSSSIHQVQVRKAISRSSENGQSSSFHKQLVKKPTSRRSKDGLLSPKTHVKKPAHTNGKESDCSFHKESSENPICTNREDPGLSPEKQFEESSCRYRDDIGHPREKELAGQPICRDGRDDLGYSSSEETVKKLVCMNSQDALCSNVSPATVASAGDGSNGLSMPQANGAEPPDCTVADGFSDKDTSSPADEVRSEKTDDTSARDYSAKPSHKSFVVDETRRKTYHASEEQPSSDSDPIFDVLCAEPKELISVGLHAEHSYARSLARFAGSLGARGWRIASERIQQTLPAEVKFGRGWVGEYEPPLPPILVMQDQLGSSISSDVNMQRNASMHRDNGRLRPTESTNPKDMSLSLLNRINTVNNVVGVTGSLESPELKPRLFGVTTEPQHRNKDALSLHENHRVSRNVAKTKRTASEQVRKGRSSSSTRAPEVQPQRSECSKGACSSVLDAPAMNKMVGQPRPFFQPPEDVRIQHMKQSNLSKSSRPLEMAQQRLDCPKGASSGVHDMLSPNNCNGQPKPFFQSQEPAAPQPKNEATWVYHGRPGDGKFGTSEPSRPMSNTGFITKNQPVNASGFAMNASGQKNINGHAKSMGSTAIPGQVNVPNRGFDVPRNIFSAFPTAVRENQSVPSAPVAQSWISFGASSESKPTIVSPNFHDSNSGWKMPFANVRPSDEPKASAVPQFFRQPVQVARESPVQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPHMQQKTNKDVLRPDLNIGFPSPGSPPARQTSGINLEAQQPDLALQL* >Brasy6G155600.1.p pacid=40051291 transcript=Brasy6G155600.1 locus=Brasy6G155600 ID=Brasy6G155600.1.v1.1 annot-version=v1.1 MLLTFPASLVAFVGPRHENEARPRTTAPRTTAEDYMVPPPWIPFPSLLAYRRHEAERIAAVFRPDNASGVADADRFWEMLQRPCCRLVVYRSCPEAEEPRLFPLLAGLFSKPAVPAGLLLPDPDDINGADHHDPSFASAMAWLDEQPRGSVVYVALGSEEAPALTAELLGELALGLELSGARFLWALRRRPGELLPEGFEARVAAAGRGVVHWGWAPQVRALAHQAVGTFLTHCGWGSTVEGARAGLVARTMAARGVGVEVDRDDDHGGDGKFRRDGVAAAVRQVMVEEEGEVFKRNAEALRDVLADTARQERYLDELVQHLQRYK* >Brasy6G066200.1.p pacid=40051292 transcript=Brasy6G066200.1 locus=Brasy6G066200 ID=Brasy6G066200.1.v1.1 annot-version=v1.1 MPAARRAVLGAAFSDDMPEFDRQMGCMAGIFQIFDRRRMLTARRDAATGSGGRQPTQKRQLPPGRTPPKGGSNVPVQSSSASKIILDKTFSKSMTENSSLSIESSRASCSSSSCSSFSSIDGSKSVQQELPYINEELFVQRPLKSSPDLKGADMDTKSGQPNGFRDVVKDSINRDSGGLIVKTSAKDSRRNVQYKDSPRPLLLSKSMDGTYVISIDRSTKVPVNVTESSRRFQEQSRFSCDDRRLLRPAETQEAKKHSSTRTKEIPRLSLDSRKESLSPSSRLKNYNNYRRTDDSLLDTLKPQDSPSHRRANSVIAKLMGLEEAPDATGILVTDSYEPARSPRPVAQASRSEHPSRSPRSACPELCVPLPRNEPSALKAKPSPRILTEAAPWRQQERGVSVTNSKPLQCRDNVVRPRTASLYADIERRLGGLEFSECNKDFRALRILGALHAKDAKYQNNDGDGGSVAVQRQEEDLVATSSRSFQSPIVVIKPARTSEKPGLSVTPPAGLRSLRKLQPRDPSFTDNTEASTNDKIHSRIARAQSKSDESASSASSPRPTGSSSPRLVQRKAESERRSRPPVSPKSPSKKSNESTSPRGRPRSKASQVKSNRDNEVSQSPGRRISLAKQVDVSIMDCQKPPVISSSIIPPNYTAATPCQKNPSVFLGSDQKIHSLENVPSPVSVLDASFYHKSLSESFRDGETHTSDECWNLNSLPDTPQSKTSSEVSQIKPENLEALIQKLEQLQSMNDEAANTKDHQYIYEILLASGLLHKELSFAAMPGQLSPSSCPINPELFLILEQTKPHFVSASQAVNGAKKSSDPNTEKIHRRLVFDLVNEIIAQKMTIYSSASGMAKLIQSRKLSGWRLFKELCPEVDRLISESSAAKCSEEDEEENMLLAEDALYDMKDWGSSEGELQGMVLEIERSIFRDLIDEVIGGEATEKMRTGQWKLRRQLSFSSIN* >Brasy6G249600.1.p pacid=40051293 transcript=Brasy6G249600.1 locus=Brasy6G249600 ID=Brasy6G249600.1.v1.1 annot-version=v1.1 MGAGLLLPLLLFAAAAACSAVSANEEEASAAAALREFKRALVDTDGRLSSWDNATGTDGPCGWAGIACSFPGEVTGVTLHGLNLSGSLSAAAAATMCASLPRLAVLNVSKNALSGPIPPTLAACHALQVLDLSTNSLSGNIPPQLCGSLPSLRRLFLSENLLSGEIPASIGALAALEELVIYSNNLTGAIPPSIRLLQRLRVVRAGLNDLSGPIPVEITECAALEVLGLAQNALAGPLPPQLSRLKNLTTLILWQNALTGEIPPELGSCTSLEMLALNDNGFTGGVPRELGKLSVLVKLYIYRNQLDGTIPKELGSLQSAVEIDLSENRLVGVIPGELGRIATLRLLHLFENRLQGSIPPELGQLSVIRRIDLSINNLTGKIPLEFQKLTCLEYLQLFNNQIHGVIPPLLGARSNLSVLDLSDNRLKGRIPRHLCRYQKLIFLSLGSNRLIGNIPPGVKACVTLTQLRLGGNKLTGSLPVELLLLQNLSSLEMNRNWFSGPIPPEIGKFKSMERLILAENYFVGQIPAAIGNLAELVAFNVSSNQLAGPVPRELARCSKLQRLDLSRNSFTGIIPQELGTLVNLEQLKISDNSLTGTIPSSFGGLSRLTELQMGGNLLSGHVPVELGKLNALQIALNISHNMLSGEIPTQLGNLRMLEYLYLNNNELEGKVPSSFGELSSLMECNLSYNNLVGPLPDTMLFEHLDSSNFLGNDGLCGIKGKACPASSKSSYASREVAAQKKRFLREKVISIVSITVILVSLVLIAVVCWLLKSKIPEIASNEERKTGFSGPHYFLKERITYQELLKATESFSEGAVIGRGACGIVYKAVMPDGRRIAVKKLKCQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDSNLILYEYMENGSLGEFLHGKDAYLLDWDTRYQIAFGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKIIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQCPIQPLEKGGDLVNLVRRTMNSMAPNSDVFDSRLNLNSKRAVEEMTLVLKIALFCTSESPLDRPSMREVISMLIDARASSCDSYSSPASEPPTEDESSFKL* >Brasy6G130400.1.p pacid=40051294 transcript=Brasy6G130400.1 locus=Brasy6G130400 ID=Brasy6G130400.1.v1.1 annot-version=v1.1 MARHGEEEFDEGEVWDVLKDHRQSRKEAPPLPDFFTPPRSSRSRSGKNKGVAVRKDGGGEELGTSGGRGKGKKPSSSTAPVAIPSWSSSSRRSGCPGRDQEDEEEEEGGEMLPPHEWLAKKMERMSAAASSPEMARGRSKGREMRKFRDAVLPKTAFSEQ* >Brasy6G185700.1.p pacid=40051295 transcript=Brasy6G185700.1 locus=Brasy6G185700 ID=Brasy6G185700.1.v1.1 annot-version=v1.1 MGAHSAVASWDAEDDLQLKNAVEAGASLESLAKGAVCFSRKFTLQELQDRWRSLLYDSETSAQASTRIVEFETELSTSSPGKTVKLFNSKGKGFSLRKRKIDSVKLKYYSRRKRVCHEPCLPANFGYAVTPCSCIPVGSGCVCGGLLKPSEGNDLVSRIDSAAAFVNSHQHIEESYGREQDIHHKDNKNYVFHPNHANVSGSILIDGNRNDESLHGCSDVGQLYRCDNMQKNPWSSERKIAPLKELSDLQDYAHSQQPLLGDLCGNGSTGSKILLDTDQDGVKQNKGRLQYSGSFKASRQQLCSKTPSIHTWSKFEGSNSHDMQTDVHKKEQEHITFFCDKKMEVTSSNAFACLVNISNGISDSGLDNASVSGSDSMHAHSMSCSLSEDFELLNGKKIPDSALHTNHEDFGDLRTEVVTEDISRVHLPDLSDSNVRNTCDSHIDPIHKKYSKTDVYGTDMVPTSSQVPHPGCSIECRLNTEDSEIPCNDDALMPGQPPLEFISTCDQKSQHSTCLVSTEPTPSKNVGDSNHTDSVVDVQPSSTAMKMVSSTFEQKENMVTSNEAYIVGSRPPVILGVGTDYAIMCTPTFLSAAEFIKETTCGLVQHECFGNFRNLTLHMPNQVSAQKNSKFLADKPDMGCETAIQNSLSSHALLDTKFQNPIATMSSSDQAEGGSDIENSVPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQHLENRKKLIRLEQGARSYMNRSIMSQGAFAIIYGRYLKYYIKDPEVTLGRETEEVHVDIDLAKEGNANKISRRQAVIKMDAGGSFHIKNTGRYPIFVNGKEVPCNKRINLISDALLEIRGMKFIFHVDPDAVRQHIILASRGSSEGKKTAFDWDQNP* >Brasy6G044800.1.p pacid=40051296 transcript=Brasy6G044800.1 locus=Brasy6G044800 ID=Brasy6G044800.1.v1.1 annot-version=v1.1 MATVKRLIPSFNRVLVEKVLQPKKSAGGILLPETSKQLNSGKVVAVGPGDRDKEGKLIPVGFKEGDHVLLPEYGGLEVKLGAEKEYLLFREHDILGTLHE* >Brasy6G010100.1.p pacid=40051297 transcript=Brasy6G010100.1 locus=Brasy6G010100 ID=Brasy6G010100.1.v1.1 annot-version=v1.1 MYHQQQHQLHTHSQHLSSRPSLSPEKKFLRQGQGGGDSGLILSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRVMGIPGLTLYHLKSHLQKFRLGKNLQTQAAVVNVKNVLGFVTATDKACEGHGSPADHLSRETGTSKPMHIRETLQMQIEVQRRLHEQIEVQRHLQLRIEAQGKYLHSVLEKAQEALAKNQHSAGAGAGHEAGKPPARQRLQRNDGSADGSCLTAASDEGILSIGLSAAAGARRAAVPPLETPARSSSGRDRGREEEEEEECFLFLGKPEHHEERIKLGLSIGGSERIDLNGSSWKD* >Brasy6G010100.2.p pacid=40051298 transcript=Brasy6G010100.2 locus=Brasy6G010100 ID=Brasy6G010100.2.v1.1 annot-version=v1.1 MYHQQQHQLHTHSQHLSSRPSLSPEKKFLRQGQGGGDSGLILSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRVMGIPGLTLYHLKSHLQKFRLGKNLQTQAAVVNVKNVLGFVTATDKACEGHGSPADHLSRETGTSKPMHIRETLQMQIEVQRRLHEQIEVQRHLQLRIEAQGKYLHSVLEKAQEALAKNQHSAGAGAGHEAGKPPARQRLQRNDGSADGSCLTAASDEGILSIGLSAAAGARRAAVPPLETPARSSSGRDRGREEEEEEECFLFLGKPEHHEERIKLGLSIGGSERIDLNGSSWKD* >Brasy6G089100.1.p pacid=40051299 transcript=Brasy6G089100.1 locus=Brasy6G089100 ID=Brasy6G089100.1.v1.1 annot-version=v1.1 MELHFQVQPQVLQLQDYCYYYHQQEAIAAAAAAQAKPTKPRGRKKGGSSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAARAYDEAARLLRGAEARTNFAPRISPDCPLALRIRGLLHHKKLKKARAPPASAKILSSSTPATMTTTSNSNSNSMSGACGGGGGSSSSTSSSSSGVSCEEGAVKQAVVDAGEVYRPDFVSEELEPWMFEPAALGGGQFTELDAFVAVDGGCAAASAAEEETPAAAAAAGGMAEFERMKVERRISASLYAMNGLQEYFDKVFDASACDPLWDLSPLCH* >Brasy6G120800.1.p pacid=40051300 transcript=Brasy6G120800.1 locus=Brasy6G120800 ID=Brasy6G120800.1.v1.1 annot-version=v1.1 MAYVSLLHPAGHHIFFCPQINAHHHRVPATMAITMISHKLSCYASIIVVVAAFLARLAASDVLWQECDSSQNYTANSPFQSNLKQLSTTLPTEASSSATLFATAAVGAIPDTAYALTLCRGDANASACNVCVANAFRDAQQVCAYNMDVAVYYDPCYLRFSNQNFPTSIDNTKRHIMPNGENVTAPAAAFDAAVGVLLAAVADYAALNSSSSRYYGTGVEDFDDESDVPRIYALAQCTPDLAPADCRRCLEGIVKVMPKHFSRRQGGRILGLRCNYRFELYPPFSGDPLLHLPATATGETPTTDGGGRRNKSTIILIAVLVVVSSALAFTLVCFGVWSSRRHQGLSSLPYSHNWENVPSINLSFLGLSTLEIATENFAERNKLGEGGFGAVYKGALPDGQEIAVKRLSQSSAQGIGELKTELILVAKLQHKNLVRLIGVCLEEHEKLVIYEYMPNRSLDTILFDPEKSKDLDWGKRLKIINGIARGLQYVHEDSQLKIIHRDLKASNVLLDSDLNPKISDFGLARLCQGDRSKDVTNRVVGTFGYMAPEYVVRGHYSTKSDVFSFGILVLEIITGRRNCGSYNSEQSVDLLTLVWENWTRGTISEIADPSLITSSSSSSIHEDEMLACVHIGLLCVQESPADRPTMSAVNAILNSGTVALHAPSKPAFCIRASSARPEPRQEAGASRGGAGRPAAVMSPNEVSLTELVAR* >Brasy6G116800.1.p pacid=40051301 transcript=Brasy6G116800.1 locus=Brasy6G116800 ID=Brasy6G116800.1.v1.1 annot-version=v1.1 MGSLEVASGTACHASNGGVADQALALEIGAAPGNGHKAAAVVAPARAAPLLANGAGGKVTKKISPKDKYWVAADEGEMEAATADGGEDGLRPLLYRNFRVKGILLHPYRLLSLVRLVAIILFFVWRVRHPYADGMWLWWISMVGDLWFGITWLLNQVAKLNPIKRVPNLALLKQQFDLPDGNSSLPLLDVFINTVDPINEPMIYTMNSILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFSVKTRPYTGNVPEEFVNDHKHMCREYDEFKGHLDALFTVIPQRSDKYNHADAKEGVKATWMADGKHWPGTWIDPAENHKKGQHDGIVQVMLKHPSYEPELGLPASANNPLDFSAVDVRLPMLVYISREKHPNYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKSFRAGICFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVSLYGVDPPCWRPDDPMIVDSSKKFGSSLSFISSMQPAANQERSIMSLPALEEPVMAELADVMKCAYEDGTEWGKEVGWVYNIATEDVVTGFRLHRNGWRSMYCRMEPDAFAGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLHPMQRIAYANMTAYPVSSVFLVFYLLFPVIWIFRGQFYIQKPFPTYVLYLVIVIALTELIGMVEIKWAGLTLLDWIRNEQFYIVGATAVYPTAVLHIVLKLFGLKGVSFKLTAKQVASSTSDKFAELYAVQWAPLLIPTMVVIAVNVCAIGASIGKAIVGGWSLLQMADAGLGLLFNAWILLLIYPFALGIMGRWSKRPYVLFIMFVIAFILIALVDIAIQAMRSGFVRFHFRRSGGASFPTSWGL* >Brasy6G116800.2.p pacid=40051302 transcript=Brasy6G116800.2 locus=Brasy6G116800 ID=Brasy6G116800.2.v1.1 annot-version=v1.1 MLLSLVRLVAIILFFVWRVRHPYADGMWLWWISMVGDLWFGITWLLNQVAKLNPIKRVPNLALLKQQFDLPDGNSSLPLLDVFINTVDPINEPMIYTMNSILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFSVKTRPYTGNVPEEFVNDHKHMCREYDEFKGHLDALFTVIPQRSDKYNHADAKEGVKATWMADGKHWPGTWIDPAENHKKGQHDGIVQVMLKHPSYEPELGLPASANNPLDFSAVDVRLPMLVYISREKHPNYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKSFRAGICFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVSLYGVDPPCWRPDDPMIVDSSKKFGSSLSFISSMQPAANQERSIMSLPALEEPVMAELADVMKCAYEDGTEWGKEVGWVYNIATEDVVTGFRLHRNGWRSMYCRMEPDAFAGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLHPMQRIAYANMTAYPVSSVFLVFYLLFPVIWIFRGQFYIQKPFPTYVLYLVIVIALTELIGMVEIKWAGLTLLDWIRNEQFYIVGATAVYPTAVLHIVLKLFGLKGVSFKLTAKQVASSTSDKFAELYAVQWAPLLIPTMVVIAVNVCAIGASIGKAIVGGWSLLQMADAGLGLLFNAWILLLIYPFALGIMGRWSKRPYVLFIMFVIAFILIALVDIAIQAMRSGFVRFHFRRSGGASFPTSWGL* >Brasy6G116800.3.p pacid=40051303 transcript=Brasy6G116800.3 locus=Brasy6G116800 ID=Brasy6G116800.3.v1.1 annot-version=v1.1 MLLSLVRLVAIILFFVWRVRHPYADGMWLWWISMVGDLWFGITWLLNQVAKLNPIKRVPNLALLKQQFDLPDGNSSLPLLDVFINTVDPINEPMIYTMNSILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFSVKTRPYTGNVPEEFVNDHKHMCREYDEFKGHLDALFTVIPQRSDKYNHADAKEGVKATWMADGKHWPGTWIDPAENHKKGQHDGIVQVMLKHPSYEPELGLPASANNPLDFSAVDVRLPMLVYISREKHPNYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKSFRAGICFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVSLYGVDPPCWRPDDPMIVDSSKKFGSSLSFISSMQPAANQERSIMSLPALEEPVMAELADVMKCAYEDGTEWGKEVGWVYNIATEDVVTGFRLHRNGWRSMYCRMEPDAFAGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLHPMQRIAYANMTAYPVSSVFLVFYLLFPVIWIFRGQFYIQKPFPTYVLYLVIVIALTELIGMVEIKWAGLTLLDWIRNEQFYIVGATAVYPTAVLHIVLKLFGLKGVSFKLTAKQVASSTSDKFAELYAVQWAPLLIPTMVVIAVNVCAIGASIGKAIVGGWSLLQMADAGLGLLFNAWILLLIYPFALGIMGRWSKRPYVLFIMFVIAFILIALVDIAIQAMRSGFVRFHFRRSGGASFPTSWGL* >Brasy6G020700.1.p pacid=40051304 transcript=Brasy6G020700.1 locus=Brasy6G020700 ID=Brasy6G020700.1.v1.1 annot-version=v1.1 MKFAKKYETYMKGMEEELPGVGLKRLKKMLKKCRAELGSHQQSSDAVAVAASGVRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFQKYAMWFTNKGHKSHGQLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKNNEAAMELFGDCSLTFDDDQPTLSCNLFDSMRVDISLTCSICLDTMFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADHKSKCPLCRQVGVFPNAAHLDELNMLLSYSCPEFWEKRMQMERVERVRLAKEHWESQCRAFLGI* >Brasy6G020700.3.p pacid=40051305 transcript=Brasy6G020700.3 locus=Brasy6G020700 ID=Brasy6G020700.3.v1.1 annot-version=v1.1 MKGMEEELPGVGLKRLKKMLKKCRAELGSHQQSSDAVAVAASGVRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFQKYAMWFTNKGHKSHGQLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKNNEAAMELFGDCSLTFDDDQPTLSCNLFDSMRVDISLTCSICLDTMFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADHKSKCPLCRQVGVFPNAAHLDELNMLLSYSCPEFWEKRMQMERVERVRLAKEHWESQCRAFLGI* >Brasy6G020700.2.p pacid=40051306 transcript=Brasy6G020700.2 locus=Brasy6G020700 ID=Brasy6G020700.2.v1.1 annot-version=v1.1 MPRGAWITPAIFRRRRRRRQRCPVPRPLLSNCLRYLTKTAPRLCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFQKYAMWFTNKGHKSHGQLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKNNEAAMELFGDCSLTFDDDQPTLSCNLFDSMRVDISLTCSICLDTMFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSADHKSKCPLCRQVGVFPNAAHLDELNMLLSYSCPEFWEKRMQMERVERVRLAKEHWESQCRAFLGI* >Brasy6G004400.1.p pacid=40051307 transcript=Brasy6G004400.1 locus=Brasy6G004400 ID=Brasy6G004400.1.v1.1 annot-version=v1.1 MSTMPPRRRSASPPAPPLSPSPPRATAPPRRLDHAGHTPPPPHPRGDSSALPREDPRVHLLLCMALLKTLADHEAMERNNIKTAGTRIEQTAKELIRQVYVRLPPRLQDKVYDVLQAKIKRRKRRRLFVIWILSNFVLLMVVCFLIFIIDLIRAGA* >Brasy6G045600.1.p pacid=40051308 transcript=Brasy6G045600.1 locus=Brasy6G045600 ID=Brasy6G045600.1.v1.1 annot-version=v1.1 MVGPSSLPEGALFLGFDSSTQSVKATVLNNELTIVASETVNFDSELPHYKTEGGVYRDPTDDGRIFSPTIMWVEALELVLEKLKPKIDFSKVVAVSGSGQQHGSVYWKKGSQAVLASLDPSKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAHERYTGPQIRKIYQITPEIYQNTERISLVSSFMASILVGCYASIDETDGAGMNLMDINQRTWSKTALEATAPDLEQKLGNLAPAYAVAGRISPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITSEAKPGLEGHVFPNPVKPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNNYLEKTAPLNGGKLGFYYKDHEILPPLPAGFHRYVVENFNDISPDNLTEREVQEFDPPSEVRAIVEGQMLSMRGHAERFGMPNPPKRIIATGGASSNESILKMIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCKEEGGFVPISCMYMSNLEKTSLAAKLAVPAPGDGELLKKYTSLVKKRMEIERRLVEKIWRA* >Brasy6G246600.1.p pacid=40051309 transcript=Brasy6G246600.1 locus=Brasy6G246600 ID=Brasy6G246600.1.v1.1 annot-version=v1.1 MFRRGERDGGRTTTTKVTQEAKLQGRLGPMARRPTCLFVSIHLLTAKVFNSSLLTALLLPKFYSSLLTALTAVETLLTPSSSPHHAARCHRRPTTKAHPTCI* >Brasy6G202400.1.p pacid=40051310 transcript=Brasy6G202400.1 locus=Brasy6G202400 ID=Brasy6G202400.1.v1.1 annot-version=v1.1 MATMTSTTSSFAGAIALPRAAAGRFAAARSPLRPRATSFVARAQNDKEPAASIWDILSFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGESAEARGAGKVMSADAELWNGRFAMLGLVALAAPEVLSGAPFVNL* >Brasy6G128300.1.p pacid=40051311 transcript=Brasy6G128300.1 locus=Brasy6G128300 ID=Brasy6G128300.1.v1.1 annot-version=v1.1 MRNHPKSHPCSSPTPVFSLHLAATTGAEAATSTTATGAPFYDPIVLQGASMDSQGSPMGLYTNLLSEGYLQEAWGQNLSSQFGGQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKSATYWNRIHEEYELHKPEGSDRSVNSLSHRWSVLKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDESNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKMEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy6G128300.2.p pacid=40051312 transcript=Brasy6G128300.2 locus=Brasy6G128300 ID=Brasy6G128300.2.v1.1 annot-version=v1.1 MDSQGSPMGLYTNLLSEGYLQEAWGQNLSSQFGGQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKSATYWNRIHEEYELHKPEGSDRSVNSLSHRWSVLKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDESNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKMEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy6G000600.1.p pacid=40051313 transcript=Brasy6G000600.1 locus=Brasy6G000600 ID=Brasy6G000600.1.v1.1 annot-version=v1.1 MDDATAQPPLCTWEHYLHKKIIRVLLVETDDSTRHIVTALLRHCMYQVIPAENGDQAWARLEHMQDNIDLVLTEVVMPGLSGISLLEKIMAHNVCKDIPVIMMSSNDAMGTVFKCLSTGAVDFLLKPIRKNELRNLWQHVWRRCHSSSGSGSGSGSESGIQTQKCTKSKSANESHNNSGSNDRNDDMSMGLNARDGSDNGSGTQSSWTKRVVEIDSPQDMSPDHSADPPDSTCAHVIHPKSEICSNRWLPGTNNKKCKKQKENNDEIKGKEMEIGAPSNLNADDQSSPNERSIKPTDGWCEYPPSQNNSKETMMENLEEPIVLAADLIGSMAKNMDAQQVARATDASNSSSKVPEGKDTDGDNAMPSLELSLKRPRSTGDGGNVVQEEPRNVLRRSDLSAFTRYNTFAVSNQGGIGFVGSCSPHGNSSEAVKTDCTYNMKSSSDAAQMKQGSNGSSNNNDMGSTTKDVVTKPGSNKVSAINGSTHTSAFHRVQQWTPAVAGKDGVGKKNAAATAGKDDKGGEAESKQPPRAAHDDQENGGSAGGLQSNVTDPSAPLEGHAANYGSNSGSNNKNNNNNGSTAAAAVAASTAAVNVEAGGIDKRSSSIMYMKRELRVAAVTKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQPAVAAATER* >Brasy6G000600.2.p pacid=40051314 transcript=Brasy6G000600.2 locus=Brasy6G000600 ID=Brasy6G000600.2.v1.1 annot-version=v1.1 MDDATAQPPLCTWEHYLHKKIIRVLLVETDDSTRHIVTALLRHCMYQVIPAENGDQAWARLEHMQDNIDLVLTEVVMPGLSGISLLEKIMAHNVCKDIPVIMMSSNDAMGTVFKCLSTGAVDFLLKPIRKNELRNLWQHVWRRCHSSSGSGSGSGSESGIQTQKCTKSKSANESHNNSGSNDRNDDMSMGLNARDGSDNGSGTQSSWTKRVVEIDSPQDMSPDHSADPPDSTCAHVIHPKSEICSNRWLPGTNNKKCKKQKENNDEIKGKEMEIGAPSNLNADDQSSPNERSIKPTDGWCEYPPSQNNSKETMMENLEEPIVLAADLIGSMAKNMDAQQVARATDASNSSSKVPEGKDTDGDNAMPSLELSLKRPRSTGDGGNVVQEEPRNVLRRSDLSAFTRYNTFAVSNQGGIGFVGSCSPHGNSSEAVKTDCTYNMKSSSDAAQMKQGSNGSSNNNDMGSTTKDVVTKPGSNKVSAINGSTHTSAFHRVQQWTPAVAGKDGVGKKNAAATAGKDDKGGEAESKQPPRAAHDDQENGGSAGGLQSNVTDPSAPLEGHAANYGSNSGSNNKNNNNNGSTAAAAVAASTAAVNVEAGGIDKRSSSIMYMKRELRVAAVTKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQPAVAAATER* >Brasy6G000600.4.p pacid=40051315 transcript=Brasy6G000600.4 locus=Brasy6G000600 ID=Brasy6G000600.4.v1.1 annot-version=v1.1 MDDATAQPPLCTWEHYLHKKIIRVLLVETDDSTRHIVTALLRHCMYQVIPAENGDQAWARLEHMQDNIDLVLTEVVMPGLSGISLLEKIMAHNVCKDIPVIMMSSNDAMGTVFKCLSTGAVDFLLKPIRKNELRNLWQHVWRRCHSSSGSGSGSGSESGIQTQKCTKSKSANESHNNSGSNDRNDDMSMGLNARDGSDNGSGTQSSWTKRVVEIDSPQDMSPDHSADPPDSTCAHVIHPKSEICSNRWLPDEIKGKEMEIGAPSNLNADDQSSPNERSIKPTDGWCEYPPSQNNSKETMMENLEEPIVLAADLIGSMAKNMDAQQVARATDASNSSSKVPEGKDTDGDNAMPSLELSLKRPRSTGDGGNVVQEEPRNVLRRSDLSAFTRYNTFAVSNQGGIGFVGSCSPHGNSSEAVKTDCTYNMKSSSDAAQMKQGSNGSSNNNDMGSTTKDVVTKPGSNKVSAINGSTHTSAFHRVQQWTPAVAGKDGVGKKNAAATAGKDDKGGEAESKQPPRAAHDDQENGGSAGGLQSNVTDPSAPLEGHAANYGSNSGSNNKNNNNNGSTAAAAVAASTAAVNVEAGGIDKRSSSIMYMKRELRVAAVTKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQPAVAAATER* >Brasy6G000600.3.p pacid=40051316 transcript=Brasy6G000600.3 locus=Brasy6G000600 ID=Brasy6G000600.3.v1.1 annot-version=v1.1 MDDATAQPPLCTWEHYLHKKIIRVLLVETDDSTRHIVTALLRHCMYQVIPAENGDQAWARLEHMQDNIDLVLTEVVMPGLSGISLLEKIMAHNVCKDIPVIMMSSNDAMGTVFKCLSTGAVDFLLKPIRKNELRNLWQHVWRRCHSSSGSGSGSGSESGIQTQKCTKSKSANESHNNSGSNDRNDDMSMGLNARDGSDNGSGTQSSWTKRVVEIDSPQDMSPDHSADPPDSTCAHVIHPKSEICSNRWLPDEIKGKEMEIGAPSNLNADDQSSPNERSIKPTDGWCEYPPSQNNSKETMMENLEEPIVLAADLIGSMAKNMDAQQVARATDASNSSSKVPEGKDTDGDNAMPSLELSLKRPRSTGDGGNVVQEEPRNVLRRSDLSAFTRYNTFAVSNQGGIGFVGSCSPHGNSSEAVKTDCTYNMKSSSDAAQMKQGSNGSSNNNDMGSTTKDVVTKPGSNKVSAINGSTHTSAFHRVQQWTPAVAGKDGVGKKNAAATAGKDDKGGEAESKQPPRAAHDDQENGGSAGGLQSNVTDPSAPLEGHAANYGSNSGSNNKNNNNNGSTAAAAVAASTAAVNVEAGGIDKRSSSIMYMKRELRVAAVTKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQPAVAAATER* >Brasy6G099200.1.p pacid=40051317 transcript=Brasy6G099200.1 locus=Brasy6G099200 ID=Brasy6G099200.1.v1.1 annot-version=v1.1 MEHEFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLDKLSELGVVSWRLNADDWEKDENLKKIREARGYSYVDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQDEQWIRIAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDKIINRGGNQTVEAR* >Brasy6G235700.1.p pacid=40051318 transcript=Brasy6G235700.1 locus=Brasy6G235700 ID=Brasy6G235700.1.v1.1 annot-version=v1.1 MARASTMVLAAALATLLLLASAPVLATAARTSPAAANKAASDEKTADVDCEGGAETAEEECLARRTLAAHTDYIYTQEHHN* >Brasy6G152000.1.p pacid=40051319 transcript=Brasy6G152000.1 locus=Brasy6G152000 ID=Brasy6G152000.1.v1.1 annot-version=v1.1 MASNSPRRSGDSSPSSPLLPSLTSPTSRSAANGSGRLPSLRGAARFLRRTGSRRMMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLTERPAVPLRFWLAGYVLQCLLHVLCVAVEYKRRCREARSGGAGADQDDAGDRDLKISIVKHLESGNTMFSFIWWIIGFYWVSAGGQTLSQDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKYKFRTMGEADKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGTELRELPCNHHFHCTCIDKWLHINATCPLCKFNIVKGNLGSQEV* >Brasy6G152000.2.p pacid=40051320 transcript=Brasy6G152000.2 locus=Brasy6G152000 ID=Brasy6G152000.2.v1.1 annot-version=v1.1 MASNSPRRSGDSSPSSPLLPSLTSPTSRSAANGSGRLPSLRGAARFLRRTGSRRMMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLTERPAVPLRFWLAGYVLQCLLHVLCVAVEYKRRCREARSGGAGADQDDAGDRDLKISIVKHLESGNTMFSFIWWIIGFYWVSAGGQTLSQDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKYKFRTMGEADKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGTELRELPCNHHFHCTCIDKWLHINATCPLCKFNIVKGNLGSQEV* >Brasy6G208700.1.p pacid=40051321 transcript=Brasy6G208700.1 locus=Brasy6G208700 ID=Brasy6G208700.1.v1.1 annot-version=v1.1 MVGCPPPWMYGSGRGYQYDPVGGDEEPGRKYLPVEIADDEQRISAAEYLILDVSDDPPPSPEHTVRRFDELPLRPMTPFRKACIDVLFVVGTLVMEADLVWVAVQSEGGNWLLRILAMLPAMALYLLIAEFLHFASTRDLTGELVAPPDE* >Brasy6G151300.1.p pacid=40051322 transcript=Brasy6G151300.1 locus=Brasy6G151300 ID=Brasy6G151300.1.v1.1 annot-version=v1.1 MTGPSKLHMHSLCVTKFAAIINGYVYRFSPYIWRCALVPSSPLVGLLQDKIHDWRATTLVSTSILFIAVMFWFIGNDGVWTSFSSCF* >Brasy6G113400.1.p pacid=40051323 transcript=Brasy6G113400.1 locus=Brasy6G113400 ID=Brasy6G113400.1.v1.1 annot-version=v1.1 MAISGDDRYAPAGDFGSVKLWNLCRMPFRHAGGAPASSSGIHQSASRYGHEAPVAADGSAPGASAGISTMAKALLPARRRLRLDPPNKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETVIATVFKFVEHPENNENVLQKCKVKFKILSLKVKEPMDYAPELFDEQKDQAVVEKLLRVIFLDVQNPGPQLEKLNTQLAEAEAALEARKKPPEENGPRIVGEGLVIDEWKERRERYLAQQQVEVVDSV* >Brasy6G064000.1.p pacid=40051324 transcript=Brasy6G064000.1 locus=Brasy6G064000 ID=Brasy6G064000.1.v1.1 annot-version=v1.1 MAQEERELQVRALDGRSTAVTLAATASVRDLKAALRSSFPPAQMSHNFHLFLKGAKLRLDAEIGSLVAGRGELIVLVPFARKSVQSSPVAVPGEEQSANPPISSEVAAGANSAWKDIMDDLSSIPSSPHADVALKDLPSSSTLCDSSYAEDVSTGKTPSTGCSRKRRKLCKENENGPRVTSKSGVNGASEQPCTNKKSGVVKSAASSCHDKHPLGPAEMVQHLEKGLGKEGQIVHIEEIPCRGASYAELPRHLSEAMREALESIGISRLYSHQSEAIQSSISGKHVVVATSTSSGKSLCYNIPVLESLSQDSMACALYIFPTKALAQDQLRSLVEMKNAFHTDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHVSVLPCHAQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFMFCTATSANPCEHVMELAKLDNVELIQNDGSPCGSKYFLLWNPPLRMPKEGGSKGSSVIRRSSPIVEVSYLFSEMVQHGLRCIAFCKTRKLCELVLSYTREILQETAKELVDSICVYRAGYTAEDRRKIEADLFEGKLCGVAATSALELGIDVGHIDATLHLGFPGSVASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKIPHKLFGKPMEHCQVDSHNPKVLEQHLACAAFEHPICLQYDENHFGSNLHSVMTTLKEKGYLINNPSGPFSSTMWNYIGPEKRPSQTVSIRAIEHDKYSVIDRLNNRLLEEVEESKAFFQVYEGAVYMHQGANYLVEELDLPSKTAFCRKADLKYYTKTRDYTDINVLGGDFAYLPTDTCKTNCLKTTAQANDCKVTTKWFGFYRICKSSNKILDSIELSLPPYTCNSEAVWIRIPHSAKITVEERKLEFRGGSHAASHALLNIMPLHMMCSASDLGTECVNPHETRGMPERILLYDKHPGGIGIASQVKMLFGELLLAALELVSTCSCSSSAGCPNCIQSLTCSEYNEVLDKEAAILILKGVIEHERSYFESEVGSDCS* >Brasy6G064000.2.p pacid=40051325 transcript=Brasy6G064000.2 locus=Brasy6G064000 ID=Brasy6G064000.2.v1.1 annot-version=v1.1 MAQEERELQVRALDGRSTAVTLAATASVRDLKAALRSSFPPAQMSHNFHLFLKGAKLRLDAEIGSLVAGRGELIVLVPFARKSVQSSPVAVPGEEQSANPPISSEVAAGANSAWKDIMDDLSSIPSSPHADVALKDLPSSSTLCDSSYAEDVSTGKTPSTGCSRKRRKLCKENENGPRVTSKSGVNGASEQPCTNKKSGVVKSAASSCHDKHPLGPAEMVQHLEKGLGKEGQIVHIEEIPCRGASYAELPRHLSEAMREALESIGISRLYSHQHVVVATSTSSGKSLCYNIPVLESLSQDSMACALYIFPTKALAQDQLRSLVEMKNAFHTDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHVSVLPCHAQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFMFCTATSANPCEHVMELAKLDNVELIQNDGSPCGSKYFLLWNPPLRMPKEGGSKGSSVIRRSSPIVEVSYLFSEMVQHGLRCIAFCKTRKLCELVLSYTREILQETAKELVDSICVYRAGYTAEDRRKIEADLFEGKLCGVAATSALELGIDVGHIDATLHLGFPGSVASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKIPHKLFGKPMEHCQVDSHNPKVLEQHLACAAFEHPICLQYDENHFGSNLHSVMTTLKEKGYLINNPSGPFSSTMWNYIGPEKRPSQTVSIRAIEHDKYSVIDRLNNRLLEEVEESKAFFQVYEGAVYMHQGANYLVEELDLPSKTAFCRKADLKYYTKTRDYTDINVLGGDFAYLPTDTCKTNCLKTTAQANDCKVTTKWFGFYRICKSSNKILDSIELSLPPYTCNSEAVWIRIPHSAKITVEERKLEFRGGSHAASHALLNIMPLHMMCSASDLGTECVNPHETRGMPERILLYDKHPGGIGIASQVKMLFGELLLAALELVSTCSCSSSAGCPNCIQSLTCSEYNEVLDKEAAILILKGVIEHERSYFESEVGSDCS* >Brasy6G158200.1.p pacid=40051326 transcript=Brasy6G158200.1 locus=Brasy6G158200 ID=Brasy6G158200.1.v1.1 annot-version=v1.1 MTIPEEDSSRPPEEGRAPTRVRTSSEHLTDDILVEILLRVPAKSLCRFKCVSSHWLGLTQDPHHCKKLPQTLTGFFHSKDGSTSKEDQRLLESPFTNLGGTLRRPPVDTSFAFLPDKYKCFDLLDCCNGLLLFRWYDVSAKEELEFRYLVCNPATEKWTALPDSHQAAGLSAALYPKELIVRLGFDLVVSPHFHVFVLEDKQNIWDSYLARRSTVYSPEIRVARVAAYSSETGGWVYKHKTWDTHISITKRQSSTVFLNGKMHFHAYDREHSSFCLAAVDTDAETWTNFAVPGGMIEGFIHLSQGRLHYANLHRGEDGFSDRLAVYVLQDYEKKEWILKHSVDTFDLAKSIHVVFNGGFDWIVIHPQYNLIYFTLGCVSTFICYDMDRRQVQVICNLEECKKPYLPYVPLYAELPSLPM* >Brasy6G009800.1.p pacid=40051327 transcript=Brasy6G009800.1 locus=Brasy6G009800 ID=Brasy6G009800.1.v1.1 annot-version=v1.1 MMPWELATPEEKAKEEARRALEDELYERDPKTGSGSYTRLWFLDRTVFDLDEDTRYGPMRYMNSVITDNNRLCDSLNVLSVKIVSSDVGYPVNVYGTVIVRDELDYRCINIFRRDRSNCQVVRSENEDLILTGRSRGVVFLGGAFFEINLKIKEDEERNDRQFSKALIDVMLSLIMDSKVGSRTISSWLSEVQLVFAYVKDALEGTVEIKVLSGPQVFYGKVTACTTDVPNSILLYDSDVGGAVAVGDDGIVRLSRRVVSSTEGEKLILNIDAACGDGDGNISSSVSKFTPLVKSKDQDEVTCGLYKMRVKVTWSALYVPHCHGDCHRD* >Brasy6G121200.1.p pacid=40051328 transcript=Brasy6G121200.1 locus=Brasy6G121200 ID=Brasy6G121200.1.v1.1 annot-version=v1.1 MLLVALNLILLLSLPAPSAGGNQSFCPDAGGTYKPNSTYWSNLRSLADELIARATKSYSATGMSGGTTNSDKAYGAVLCRGDSNGAVDCGRLLREAFESTINAVCALRRDVAFYSELHQLRFSDKDFLSTFSNAPEWVDGTNLNLVPDAEAVQFDEVVAKLTRTLAEGAAGKPDRYKTADAPWPSRERERKVYGLAQCTQDMPPDRCGACLDGVAVEIRQKIGSGKMGGAIHGARCTLRYETDTQFFATTGQREDHALLIIGTVYSLSVMCARLLFWLLCIIRRRRKGGKTNSMEQPENMDEVLRLWKSEDTNSEFSLYDFAQIADATDNFSSSQILGEGGFGPVYKGVFPGGQEVAIKRLATRSRQGLVEFKNEIQLVAKLQHRHLVRLLGCCVHNEEKILIYEYMRNKSLDYFIFDPIRRTSLNWKIRMKIVEGIAQGLLYLHEHSRLRIIHRDLKASNILLDAELTPKISDFGMARIFPSDATQTKASRLVGTYGYMAPEYAFEGLLSIKSDVFSFGVLLLEIISGRRSAGFQHYGEFQNLLQYAWQMWKDKRWNEFSDQIECEPGDMMKYLTLALMCVQVKAIDRPTMSDVVTMLNSDEISIPEPRQPAYSYIRADVSVNVNVSCSRNDVTLTTVDGR* >Brasy6G121200.2.p pacid=40051329 transcript=Brasy6G121200.2 locus=Brasy6G121200 ID=Brasy6G121200.2.v1.1 annot-version=v1.1 MLLVALNLILLLSLPAPSAGGNQSFCPDAGGTYKPNSTYWSNLRSLADELIARATKSYSATGMSGGTTNSDKAYGAVLCRGDSNGAVDCGRLLREAFESTINAVCALRRDVAFYSELHQLRFSDKDFLSTFSNAPEWVDGTNLNLVPDAEAVQFDEVVAKLTRTLAEGAAGKPDRYKTADAPWPSRERERKVYGLAQCTQDMPPDRCGACLDGVAVEIRQKIGSGKMGGAIHGARCTLRYETDTQFFATTGQREDHALLIIGTVYSLSVMCARLLFWLLCIIRRRRKGGKTNSMEQPENMDEVLRLWKSEDTNSEFSLYDFAQIADATDNFSSSQILGEGGFGPVYKEVAIKRLATRSRQGLVEFKNEIQLVAKLQHRHLVRLLGCCVHNEEKILIYEYMRNKSLDYFIFDPIRRTSLNWKIRMKIVEGIAQGLLYLHEHSRLRIIHRDLKASNILLDAELTPKISDFGMARIFPSDATQTKASRLVGTYGYMAPEYAFEGLLSIKSDVFSFGVLLLEIISGRRSAGFQHYGEFQNLLQYAWQMWKDKRWNEFSDQIECEPGDMMKYLTLALMCVQVKAIDRPTMSDVVTMLNSDEISIPEPRQPAYSYIRADVSVNVNVSCSRNDVTLTTVDGR* >Brasy6G121200.3.p pacid=40051330 transcript=Brasy6G121200.3 locus=Brasy6G121200 ID=Brasy6G121200.3.v1.1 annot-version=v1.1 MLLVALNLILLLSLPAPSAGGNQSFCPDAGGTYKPNSTYWSNLRSLADELIARATKSYSATGMSGGTTNSDKAYGAVLCRGDSNGAVDCGRLLREAFESTINAVCALRRDVAFYSELHQLRFSDKDFLSTFSNAPEWVDGTNLNLVPDAEAVQFDEVVAKLTRTLAEGAAGKPDRYKTADAPWPSRERERKVYGLAQCTQDMPPDRCGACLDGVAVEIRQKIGSGKMGGAIHGARCTLRYETDTQFFATTGQREDHALLIIGTVYSLSVMCARLLFWLLCIIRRRRKGGKTNSMEQPENMDEVLRLWKSEDTNSEFSLYDFAQIADATDNFSSSQILGEGGFGPVYKGVFPGGQEVAIKRLATRSRQGLVEFKNEIQLVAKLQHRHLVRLLGCCVHNEEKILIYEYMRNKSLDYFIFDPIRRTSLNWKIRMKIVEGIAQGLLYLHEHSRLRIIHRDLKASNILLDAELTPKISDFGMARIFPSDATQTKASRLVGTYQMFSVLEYCFLRL* >Brasy6G121200.4.p pacid=40051331 transcript=Brasy6G121200.4 locus=Brasy6G121200 ID=Brasy6G121200.4.v1.1 annot-version=v1.1 MLLVALNLILLLSLPAPSAGGNQSFCPDAGGTYKPNSTYWSNLRSLADELIARATKSYSATGMSGGTTNSDKAYGAVLCRGDSNGAVDCGRLLREAFESTINAVCALRRDVAFYSELHQLRFSDKDFLSTFSNAPEWVDGTNLNLVPDAEAVQFDEVVAKLTRTLAEGAAGKPDRYKTADAPWPSRERERKVYGLAQCTQDMPPDRCGACLDGVAVEIRQKIGSGKMGGAIHGARCTLRYETDTQFFATTGQREDHALLIIGTVYSLSVMCARLLFWLLCIIRRRRKGGKTNSMEQPENMDEVLRLWKSEDTNSEFSLYDFAQIADATDNFSSSQILGEGGFGPVYKGVFPGGQEVAIKRLATRSRQGLVEFKNEIQLVAKLQHRHLVRLLGCCVHNEEKILIYEYMRNKSLDYFIFDPIRRTSLNWKIRMKIVEGIAQGLLYLHEHSRLRIIHRDLKASNILLDAELTPKISDFGMARIFPSDATQTKASRLVGT* >Brasy6G190100.1.p pacid=40051332 transcript=Brasy6G190100.1 locus=Brasy6G190100 ID=Brasy6G190100.1.v1.1 annot-version=v1.1 MARLAVVVFLVLVVSMAASAAAKDPSCCKSFHEWGDNQKGCAPENDGDCNTWCQSTCRGGFCKQENHLHVCHCDC* >Brasy6G167500.1.p pacid=40051333 transcript=Brasy6G167500.1 locus=Brasy6G167500 ID=Brasy6G167500.1.v1.1 annot-version=v1.1 MKFISWNCRGVGGRLDSTKLQYLARLMASTKAQVTFLSETKSCKFSSIHLNNRFNISDSLVVPSSGRSGGLWLLWTDEVVVDIKHASNHLILASVFNRATRMTFALVCMYGDPNHRQTSSIWDVVENFVYDNLGSPVMCMGDFNDILNPMDKSNMCVNRSRMRSFNLIVKRCGLLDLGYNGSAYTWTNKRFTSHPIYQRLDRCLANSEWCASFPNTNVYNLPIILSDHAPILATTDSVFKRPKLSFKFENWWLMESDFQEMAKSCWMKSADRDFSSRTTNLAGNLRKWCRKKKPLSQQLEGIEEKLANIQQQAPQNQNHCLEAELSRK* >Brasy6G062000.1.p pacid=40051334 transcript=Brasy6G062000.1 locus=Brasy6G062000 ID=Brasy6G062000.1.v1.1 annot-version=v1.1 MVGWLKALAYGAGGMAVVGLAALVALQERLVYVPVLPGLARAYSITPARLRLIYEDVWLRAADGVRLHSWFLRHSPTCRGPTILFFQENAGNIAHRLECVRLMMQRLQCNVFMLSYRGYGESEGYPSQDGITKDAQAALDHLLQRKDIDTSRIVIFGRSLGGAVGAILAKNNPDKVSALILENTFTSILDMAGIMLPFLRWFIGGSSAKGPKLLNRVVRSPWNTLDVVAEVKQPILFLSGLQDELVPPSHMRMLYDKASEHNKNCRFVDFLNGMHMDTWISGGDRYWRTIQLFLDQYAPEVQSCDTSCASEIADDAIISGDGSAPFHPKTPKL* >Brasy6G062000.2.p pacid=40051335 transcript=Brasy6G062000.2 locus=Brasy6G062000 ID=Brasy6G062000.2.v1.1 annot-version=v1.1 MVGWLKALAYGAGGMAVVGLAALVALQERLVYVPVLPGLARAYSITPARLRLIYEDVWLRAADGVRLHSWFLRHSPTCRGPTILFFQENAGNIAHRLECVRLMMQRLQCNVFMLSYRGYGESEGYPSQDGITKDAQAALDHLLQRKDIDTSRIVIFGRSLGGAVGAILAKNNPDKVSALILENTFTSILDMAGIMLPFLRWFIGGSSAKGPKLLNRVVRSPWNTLDVVAEVKQPILFLSGLQDELVPPSHMRMLYDKASEHNKNCRFVDFLNGMHMDTWISGGDRYWRTIQLFLDQYAPEVQSCDTSCASEIADDDEAAQ* >Brasy6G238600.1.p pacid=40051336 transcript=Brasy6G238600.1 locus=Brasy6G238600 ID=Brasy6G238600.1.v1.1 annot-version=v1.1 MHQSRRRRPDTAVGTAPALGEGGDLPSATVGAADRDHHRVGAGEWPDPPAPCGRPPPAAGEGLLAAQSAESGPGMVGSGGEEGGLIPPTADLGRSAARAGRGAVAAEVGRKKGDGGGREEKGERRERGCGREKGERRERSRGAFLAGKVPATLGTAGRRGYDGEAQCGVEMARGEERPRFVFFFREVAVSPECLPKRTTGR* >Brasy6G029700.1.p pacid=40051337 transcript=Brasy6G029700.1 locus=Brasy6G029700 ID=Brasy6G029700.1.v1.1 annot-version=v1.1 MLSVRRRQEYAGGGMEDDAELEEGEACVDDAFVDPDVALSYIDEKLQNVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLLPQTRSPPKAANRSSRSPYHQSTEGVSQNPPAVPVPSVSQNNASVVPFPGDSSKHERCESTKAIRGSSTRDNSYAPSKSSDHNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSGEDSPDGCGGLSPEFSNVQSESPRTILQIMTCFSIPGGFLLSPLQGSVLQLTKKVMPSSKKWETSVDAENAQEAYEGHVVKKMKPDGKKKKPVDMKKSNNKNDVSAVMKKEIDIETPAGQKIVSEALNIQLLSSSRGIEAKSESQFEEEPTGKTLERNEDAGSKEWAINNNVINAETVETEATECLGSGFGNTEMELPAAKREPKTEMTASVFEETNRTNDTDFLLDRKHGRKIKLESKCNANGVNFEDNTVINERGPPVCMEKVSSKETILCDTNGKNSTKSEAKRIHREQKKTASTSSDFLDVDNGSRPSAAVKERKNDSQSKSSQSGKKPKSKSHGDARDNFPDRSHGCKEQDILENGSGLGEFHPKEKSWSKRDPDMPGAPKREISYSSKHERHTASEEQKMHVPPSSASTANAAPALQPAPALQPPVVIEEHWVQCDICQKWRLLPYEMNPTTLPKEWKCSMQQWLPGMNRCDVGEDETTNALNALYVIPAPANGIPSVGHPHAASAGLTSASMLNMNGHAEHSRKRKSFPSDGNGLLDGSHPTQASAYPTSNEHALTRVRSTADGNHYSTERDSLSKSVDLFTEKKKSKSKSRGSYSDGGELVERSKKHSKVKSKREMDHGEYKASKKIKKEERHRSGRDRNPGCDLASGDVPIEAKPLLANSVTLKSLGEKGDVSSSKQKNVSRYDRSDKSKRENDEDIVLPEDRNKEQFHTSDAQRSDFSSKKRIVKEWEESQHNSIAQLSKGTAVNHSSAAKETYKDQNLKETKSKLKSEEIYSTIDSKSVKGQDSKQISSHNGGSVNNGLIEDSARFAGKRGLPEPSEKRSSGQALDLAEPASTDVAYFQTTAVTSSSSKASASQKRKQNPQVAKTSPIELVSSSPLRNTLIDKVSHNRILEKDGPMNANSSTLPSSVKYLNTEVDIVDNVRHAKKPQENLLASEPVLHGSSLGNSDKDAELVQLTRGHASERISSRKGLDDDTHHASERKDFTVNGSSVARGYSHLHSGDKNSLRADGSSVQPRIGALDAKGDSIVHANKKSGTSVHDRNGSTHRPPDVNSQPEVASGKDKLYSKSNKQDTEKPKAQMVPSPLIETHSTPVKSNPSKLTPQSGRCNDENGGQHGVAKQSTPNPADTSSPARKVGNSTAYALKEARDLKHKANRLKNEGKELESTRLYFEAALKFLHVASLLEPPNMDGLKQGDAAQSMYSDTAKLCNFVGQEYERCKKMAAAALAYKCVEVAYLKAAFYKYPIASKDRQVLQAVVQTTPGESPSSSASDIDNLNNNGLSKTGPSGKDVNSPQVAGNHLLLPVRNQPHLTRLLAYTNDVNCAFEATRKSQMAFASAAGNHEKGVDGLSPVRTVLDFNFRSVNDLLRLVRLSMESISC* >Brasy6G029700.3.p pacid=40051338 transcript=Brasy6G029700.3 locus=Brasy6G029700 ID=Brasy6G029700.3.v1.1 annot-version=v1.1 MLSVRRRQEYAGGGMEDDAELEEGEACVDDAFVDPDVALSYIDEKLQNVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLLPQTRSPPKAANRSSRSPYHQSTEGVSQNPPAVPVPSVSQNNASVVPFPGDSSKHERCESTKAIRGSSTRDNSYAPSKSSDHNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSGEDSPDGCGGLSPEFSNVQSESPRTILQIMTCFSIPGGFLLSPLQGSVLQLTKKVMPSSKKWETSVDAENAQEAYEGHVVKKMKPDGKKKKPVDMKKSNNKNDVSAVMKKEIDIETPAGQKIVSEALNIQLLSSSRGIEAKSESQFEEEPTGKTLERNEDAGSKEWAINNNVINAETVETEATECLGSGFGNTEMELPAAKREPKTEMTASVFEETNRTNDTDFLLDRKHGRKIKLESKCNANGVNFEDNTVINERGPPVCMEKVSSKETILCDTNGKNSTKSEAKRIHREQKKTASTSSDFLDVDNGSRPSAAVKERKNDSQSKSSQSGKKPKSKSHGDARDNFPDRSHGCKEQDILENGSGLGEFHPKEKSWSKRDPDMPGAPKREISYSSKHERHTASEEQKMHVPPSSASTANAAPALQPAPALQPPVVIEEHWVQCDICQKWRLLPYEMNPTTLPKEWKCSMQQWLPGMNRCDVGEDETTNALNALYVIPAPANGIPSVGHPHAASAGLTSASMLNMNGHAEHSRKRKSFPSDGNGLLDGSHPTQASAYPTSNEHALTRVRSTADGNHYSTERDSLSKSVDLFTEKKKSKSKSRGSYSDGGELVERSKKHSKVKSKREMDHGEYKASKKIKKEERHRSGRDRNPGCDLASGDVPIEAKPLLANSVTLKSLGEKGDVSSSKQKNVSRYDRSDKSKRENDEDIVLPEDRNKEQFHTSDAQRSDFSSKKRIVKEWEESQHNSIAQLSKGTAVNHSSAAKETYKDQNLKETKSKLKSEEIYSTIDSKSVKGQDSKQISSHNGGSVNNGLIEDSARFAGKRGLPEPSEKRSSGQALDLAEPASTDVAYFQTTAVTSSSSKASASQKRKQNPQVAKTSPIELVSSSPLRNTLIDKVSHNRILEKDGPMNANSSTLPSSVKYLNTEVDIVDNVRHAKKPQENLLASEPVLHGSSLGNSDKDAELVQLTRGHASERISSRKGLDDDTHHASERKDFTVNGSSVARGYSHLHSGDKNSLRADGSSVQPRIGALDAKGDSIVHANKKSGTSVHDRNGSTHRPPDVNSQPEVASGKDKLYSKSNKQDTEKPKAQMVPSPLIETHSTPVKSNPSKLTPQSGRCNDENGGQHGVAKQSTPNPADTSSPARKVGNSTAYALKEARDLKHKANRLKNEGKELESTRLYFEAALKFLHVASLLEPPNMDGLKQGDAAQSMYSDTAKLCNFVGQEYERCKKMAAAALAYKCVEVAYLKAAFYKYPIASKDRQVLQAVVQTTPGESPSSSASDIDNLNNNGLSKTGPSGKDVNSPQVAGNHLLLPVRNQPHLTRLLAYTNDVNCAFEATRKSQMAFASAAGNHEKGVDGLSPVRTVLDFNFRSVNDLLRLVRLSMESISC* >Brasy6G029700.2.p pacid=40051339 transcript=Brasy6G029700.2 locus=Brasy6G029700 ID=Brasy6G029700.2.v1.1 annot-version=v1.1 MEDDAELEEGEACVDDAFVDPDVALSYIDEKLQNVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLLPQTRSPPKAANRSSRSPYHQSTEGVSQNPPAVPVPSVSQNNASVVPFPGDSSKHERCESTKAIRGSSTRDNSYAPSKSSDHNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSGEDSPDGCGGLSPEFSNVQSESPRTILQIMTCFSIPGGFLLSPLQGSVLQLTKKVMPSSKKWETSVDAENAQEAYEGHVVKKMKPDGKKKKPVDMKKSNNKNDVSAVMKKEIDIETPAGQKIVSEALNIQLLSSSRGIEAKSESQFEEEPTGKTLERNEDAGSKEWAINNNVINAETVETEATECLGSGFGNTEMELPAAKREPKTEMTASVFEETNRTNDTDFLLDRKHGRKIKLESKCNANGVNFEDNTVINERGPPVCMEKVSSKETILCDTNGKNSTKSEAKRIHREQKKTASTSSDFLDVDNGSRPSAAVKERKNDSQSKSSQSGKKPKSKSHGDARDNFPDRSHGCKEQDILENGSGLGEFHPKEKSWSKRDPDMPGAPKREISYSSKHERHTASEEQKMHVPPSSASTANAAPALQPAPALQPPVVIEEHWVQCDICQKWRLLPYEMNPTTLPKEWKCSMQQWLPGMNRCDVGEDETTNALNALYVIPAPANGIPSVGHPHAASAGLTSASMLNMNGHAEHSRKRKSFPSDGNGLLDGSHPTQASAYPTSNEHALTRVRSTADGNHYSTERDSLSKSVDLFTEKKKSKSKSRGSYSDGGELVERSKKHSKVKSKREMDHGEYKASKKIKKEERHRSGRDRNPGCDLASGDVPIEAKPLLANSVTLKSLGEKGDVSSSKQKNVSRYDRSDKSKRENDEDIVLPEDRNKEQFHTSDAQRSDFSSKKRIVKEWEESQHNSIAQLSKGTAVNHSSAAKETYKDQNLKETKSKLKSEEIYSTIDSKSVKGQDSKQISSHNGGSVNNGLIEDSARFAGKRGLPEPSEKRSSGQALDLAEPASTDVAYFQTTAVTSSSSKASASQKRKQNPQVAKTSPIELVSSSPLRNTLIDKVSHNRILEKDGPMNANSSTLPSSVKYLNTEVDIVDNVRHAKKPQENLLASEPVLHGSSLGNSDKDAELVQLTRGHASERISSRKGLDDDTHHASERKDFTVNGSSVARGYSHLHSGDKNSLRADGSSVQPRIGALDAKGDSIVHANKKSGTSVHDRNGSTHRPPDVNSQPEVASGKDKLYSKSNKQDTEKPKAQMVPSPLIETHSTPVKSNPSKLTPQSGRCNDENGGQHGVAKQSTPNPADTSSPARKVGNSTAYALKEARDLKHKANRLKNEGKELESTRLYFEAALKFLHVASLLEPPNMDGLKQGDAAQSMYSDTAKLCNFVGQEYERCKKMAAAALAYKCVEVAYLKAAFYKYPIASKDRQVLQAVVQTTPGESPSSSASDIDNLNNNGLSKTGPSGKDVNSPQVAGNHLLLPVRNQPHLTRLLAYTNDVNCAFEATRKSQMAFASAAGNHEKGVDGLSPVRTVLDFNFRSVNDLLRLVRLSMESISC* >Brasy6G223600.1.p pacid=40051340 transcript=Brasy6G223600.1 locus=Brasy6G223600 ID=Brasy6G223600.1.v1.1 annot-version=v1.1 MSSYSYRLQYKRKIGPALLEELCPTASDSSQNHEGLQRIAWCILDSRMALP* >Brasy6G149200.1.p pacid=40051341 transcript=Brasy6G149200.1 locus=Brasy6G149200 ID=Brasy6G149200.1.v1.1 annot-version=v1.1 MKEMDKHMTPIRRDINSLTEAVRQLAPPTSPRGGLAERGRHRQLPGQQGLHFEEGQRSSGNSGRNVLGLVLSPCGHVGMLGLARLGDNADKIDVIPVGWGLRRFLRFFWRYSNSFASVVPAATMVNHGGRGNGGGGGGFTVFPVGRNGFERGGRRGFAGDYSDFEYRGGRGGGGYDDGRAGGGNIGGRGGSYDDGLGGYDDGRGTSYGGSRGGGGYDSRGGGGSYGGGGTGYHSRRRYEFRGGRGDGSRGRVASTGRGRGRGSAVGARGQTANQSSEAESAISSSSARGASGALVVAAAVATAPRQTSTAMGGVAAPALVGASAVVPVTTVASTSAAAMSAAAAAAVPVVTASRAVFLPVVLASAGPFGMACGAVSGSSSGVWGTLGSDGFASPFDWGGHGCGYPASSGCVKGEALLAGPC* >Brasy6G244000.1.p pacid=40051342 transcript=Brasy6G244000.1 locus=Brasy6G244000 ID=Brasy6G244000.1.v1.1 annot-version=v1.1 MSSFQPGESNNFPPSFSNDDFGKQASNTFNSFLVVSVVLGVLSILGSIAIAYFVYRCVKKNGLPAININTTAAAASSSSTASALYAVVPDSQIREATMERFLKEIAGEKPIRFTPEQLSGFTNNYSARLGAGGFGAVYKGMLPNGLMVAVKRLHATSDDRTSQEQFMAEVGTIGRTHHINLVRLFGFCFDASPTGVRALVYEYMEHGALDTYLFDDRNRGVGFPTLRAIAVGVARGLRYLHEECQQKIVHYDIKPGNVLLDGNLTPKVADFGLARLLNRADTHKTVSGMRGTPGYAAPEMWMQAGATEKCDVYSFGILLFEIIGRRRNFDEAAPESQQWFPKMAWIKYESGELMEIVGEQDKETAERMCKVAFWCVQQQPEARPPMGAVVKMLEGEMDIAEPANPFLHLMAAPVASNLWNTVTSSANTVSSSVKSVYRGSDKIV* >Brasy6G145300.1.p pacid=40051343 transcript=Brasy6G145300.1 locus=Brasy6G145300 ID=Brasy6G145300.1.v1.1 annot-version=v1.1 MVDFRFFFTAKIEVDSRSIRRIGSPHRSCANGIGPLPAPPTKRRAAGAAALSIHARWRAAGAVQLCPHAPGATALGAGAMGAGSVGGVGEGWRRSGRLGWAAALGAVLGKGGGPRAAGDGRRCKSSRGKSSI* >Brasy6G237800.1.p pacid=40051344 transcript=Brasy6G237800.1 locus=Brasy6G237800 ID=Brasy6G237800.1.v1.1 annot-version=v1.1 MLMSEMNHLPFLRHLILFISLNLSAFATSQDQFVYSGFAGVNLILDGVATVTPNGLLELTNGTVRLKGHAFHPTPFQFSKTPTGPVQSFSASYVFAIHCVQPHICGHGIAFLLAASSNFSDTMPTQYMGLINNHNNGNATNHFFAVELDTNQNVEFNDIDNNHVGIDINGLASVSSSSAGYFEDDNGNFHNLTLASYKEMQAWVEYDGDSKQINVTLAPINMAKPIKPLLTTTYNLSRVLTDMVYVGFSSSTGSFMARQYVLGWSFGVNKPAPAIDISKLAKLPREGPKPRSKILEIVMPILTAAFVIVAGTIVILLVRRRLRYAELRENWEVEFGPHRFSFKDLFRATEGFKNKNLLGVGGFGRVYKGVLPLSKLKIAVKRISHDSKQGMKEFVAEIVSIGHLQHRNLVKLHGYCRRKGELILVYEYMSNGSLDKYLYDQEKKPTLTWAQRFRIIKGIASGLLYLHEEWEKVVLHRDIKPSNVLLDDELNARLGDFGLARLYDHGSDPQTTHVVGTIGYLAPELARTSKASPLTDVYAFGMLVLEVTCGRKPIDRTAQGNQIMLVDWVLDRWHEGFPIDTVDTKLQGGYNVDEACLTLKLGLLCSHPFINLRPNMRQVMQYLNGDIPPPEINPTHTGFNLLSLMQNKDFDPESMTNSSTISDL* >Brasy6G102900.1.p pacid=40051345 transcript=Brasy6G102900.1 locus=Brasy6G102900 ID=Brasy6G102900.1.v1.1 annot-version=v1.1 MSSSLVHLELGLPERLGYVQCKFCTTILLVSVPCSSLLRMVAVQCGRCAGVLSVSVASPPSPAPAPPSVELPLQELGVDPPPREWSDESTGDESGDGEGEAAESNGATVNKLRKQRTPSAYNCFIKEEIKRIKALEPNITHKEAFSTAAKNWAHLPRIQHRGN* >Brasy6G033000.1.p pacid=40051346 transcript=Brasy6G033000.1 locus=Brasy6G033000 ID=Brasy6G033000.1.v1.1 annot-version=v1.1 MATEPPPVAVVTVPFPAQGHLNQLLHLSLLLASRGVPVHFAAPEPHLRQARARLHGWDGKAAPLLAAVRFRALDVPPHASPDPDPSSPFPAHMQPLFEAFCGGGARASLAALLDELAATHRRVVVLHDRMAAFAAAEAARLPNGEALGVHCLAASYNVGWADPGHALLREHGMAFHRPDACATPEFIALARRMGQERRRAPGAGMVVNSCRALEGEFLDVLLPLLPSSSDGRKLFAIGPLNPLLLPNTAATPERERHECLQWLDEQPPASVLYISFGTTSSLRREQLAELAEALCESGQRFLWSLRDADRADMRAPSPTSPGADMRIAAGEKGMVVTGWAPQLEILAHRATAAFMSHCGWNSTVESLSHGKPILAWPMHSDQPWDAELVCRYLSTGILVRPWERRGEVTSAADVRDAIERAMRSDEGERVREAARKLGEAVRADVAHGGSSRRDLDDLVAYITR* >Brasy6G011700.1.p pacid=40051347 transcript=Brasy6G011700.1 locus=Brasy6G011700 ID=Brasy6G011700.1.v1.1 annot-version=v1.1 MASPFVDDGQVDDDEFFDYNPHPYGGGYDISATYGAPLPPSPSTCYQPISGPANVPAPPVLAPAPAPSMPSPAKPRGSPIPQQPPARKPSPSPTPAPVPVPVAEPYHWSKPHDYGDAPRWGPTYYATPEVFRGWPYLPPIGPRCCHSSRCAPRDYWRQCMRGLDYLFGHADGYGERRIGVDCHGVPVYANKKGAVEDVAVVVEVPPPVTGHVQWHEPGEVLPPDQSNRLSWEHDDAKQDRYACAQPDYDTSYGQSYSVHGVLDEPPTLSWYGSANVDVYAYAQPTYDTSYEQSYSVHGVSDESSWFPNQSYQDVYKEEESQYQEVLSSYGAENTISAQPIYCYNKHFSEQPLHIEVEPPETVYSHKLEYHENFSAYTDQTNIDSLETSTHSCEIQPYVYVPDIPLEPYQPSWSRNLGYYLACTEEVAPKYDNHALESGEYGDMASLFPSSSYPKPVEVYEQSYGDEYVSLQQNFQSNWNIFSEDTSQITKSGDDCNYPNGSFWPFG* >Brasy6G123900.1.p pacid=40051348 transcript=Brasy6G123900.1 locus=Brasy6G123900 ID=Brasy6G123900.1.v1.1 annot-version=v1.1 MGGSSTSTKAYGAVVLIRLMYSGMHVMSKVALDQGMNPFVFVFYRHTTAALVLIPATFILERRKTKPVTLKIAGKMFIHALYGVTAVGVLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMESMKLKKLHGNVKVAGIIFCIAGVTVLAFYEGPMFKSFNHHRFVQHGSSSSSGADTSSKKLWVFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFVVAVAAERDFSKWKLGWNISLAAVVYSGVIVTALSYYMQMWTISKRGPVFLAMSMPLTLIFTIVISSFVLGDAVSLGSIISGILLIGGLYNVLWGKSMERKDDMNKIGAGKPVRLQEDKEADQVPDDAAAKV* >Brasy6G123900.2.p pacid=40051349 transcript=Brasy6G123900.2 locus=Brasy6G123900 ID=Brasy6G123900.2.v1.1 annot-version=v1.1 MRLLQEARRKTKPVTLKIAGKMFIHALYGVTAVGVLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMESMKLKKLHGNVKVAGIIFCIAGVTVLAFYEGPMFKSFNHHRFVQHGSSSSSGADTSSKKLWVFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFVVAVAAERDFSKWKLGWNISLAAVVYSGVIVTALSYYMQMWTISKRGPVFLAMSMPLTLIFTIVISSFVLGDAVSLGSIISGILLIGGLYNVLWGKSMERKDDMNKIGAGKPVRLQEDKEADQVPDDAAAKV* >Brasy6G031800.1.p pacid=40051350 transcript=Brasy6G031800.1 locus=Brasy6G031800 ID=Brasy6G031800.1.v1.1 annot-version=v1.1 MGRSFRDSLKLLEADIQHANSLASEFRREYDGACLQMRMSYCPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIYPSLGQLQEGINEVEDKKQKAICIERYRRPDEDHKRVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNNDIVDTETVRRENLRRLFMYIDKLPTVIPESVFEVYDSHVK* >Brasy6G203000.1.p pacid=40051351 transcript=Brasy6G203000.1 locus=Brasy6G203000 ID=Brasy6G203000.1.v1.1 annot-version=v1.1 MATIMSTMSSFAGATASPRVTAAAARSPTPSSLRPRTAFVARAQNDKEPTPSKPAASIWDILSFSGPAPERINGRLAMVGFVTALGVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGESAEARGAGKVMSADAELWNGRAAMLGLVALAATEVLTGAPFVNL* >Brasy6G052800.1.p pacid=40051352 transcript=Brasy6G052800.1 locus=Brasy6G052800 ID=Brasy6G052800.1.v1.1 annot-version=v1.1 MRGGAQGRAAIQGELKEEKEDRRELIYEKRKKCECFNCSKSGHLARDCWSPKKHSGKEVVTVADVVVEEKEWDAQEGLSMVEDEVYFFEDLTEGEHPSTQGVALEKFREEENEDEEEWDAEGGFSTEEDLEESGEETNNDEEEWDDEGGFFMEDLEESGEEKNDEEEECGIENDFCTEVRNLEPMDELTVFGYDSNGENHEDKPEEQGLIDYVDEKPHEGSEYNEDGDNALVMKDDPSVKVQEEMENKCMDQPRVMETQRSQLEVKKHQVWRTKQPNLRYVDAYLSQVSSYKEIAKEQVWRRKKKKIEKNSVHEEKKKVRNGRAQKIQGNH* >Brasy6G037400.1.p pacid=40051353 transcript=Brasy6G037400.1 locus=Brasy6G037400 ID=Brasy6G037400.1.v1.1 annot-version=v1.1 MALLLLQHGCRLAPVRAAAVAPAPAFRPAGAAARRRFVAAAAAAASGEGAGAATAVHGGSDDASAAKAREYGGTNGAVSGTPTSTAIETTVERIIFDFRFLALLAVAGSLMGSLLCFLNGCVYIKEAYSVYWNSCVKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNAPTDAPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKIATGLDLLSYSVCIFLSSASLYILHNLHRPEHDDHSSHSSVMPNL* >Brasy6G053300.1.p pacid=40051354 transcript=Brasy6G053300.1 locus=Brasy6G053300 ID=Brasy6G053300.1.v1.1 annot-version=v1.1 MMTSRRGSGSGSSCCALCEGSNLPSCCAACVNTRLSDYHAKLRRKRKLRDSFQSRVAARLEAKRKAEEQRSWRVSKAQDIKELRDRLNKLTSQTAIEKKKVEHAASDLKAKTDTLNLALVTLKVKQTESSTVHTNAMKAAQMGLMATTSERLKRQSKAIKQLCRLFPMRRAIIDGEKKDGHSDPYDVICSVRLPRGLDPHSVPSEELSASLGYMLQVLSIAVPILSAPALHVAGFGASCSRIWQRSSYWSTRQSQRYYCSKVYPLFVPRQNNCSVGEENSWTESGSGNFGVDSVDSDKKSLFDSKRSNSFNFSAASSHSMERHEDLQQGISLLKTSVKAITSYYYNSLGLDVPSNLSTFDAFAKMLHMLSSSKALRAALESNIASRSEKQAQQLNRSIWKASSAISSNSSLLDSTHTAIMPSALDNFLLNSNMSFLYTGKPAKHGGAMDSIVDGWDMVEREILPPPPSQAEDIVQWEKAHTYARGGAKKK* >Brasy6G053300.2.p pacid=40051355 transcript=Brasy6G053300.2 locus=Brasy6G053300 ID=Brasy6G053300.2.v1.1 annot-version=v1.1 MMTSRRGSGSGSSCCALCEGSNLPSCCAACVNTRLSDYHAKLRRKRKLRDSFQSRVAARLEAKRKAEEQRSWRVSKAQDIKELRDRLNKLTSQTAIEKKKVEHAASDLKAKTDTLNLALVTLKVKQTESSTVHTNAMKAAQMGLMATTSERLKRQSKAIKQLCRLFPMRRAIIDGEKKDGHSDPYDVICSVRLPRGLDPHSVPSEELSASLGYMLQVLSIAVPILSAPALHVAGFGASCSRIWQRSSYWSTRQSQSKVYPLFVPRQNNCSVGEENSWTESGSGNFGVDSVDSDKKSLFDSKRSNSFNFSAASSHSMERHEDLQQGISLLKTSVKAITSYYYNSLGLDVPSNLSTFDAFAKMLHMLSSSKALRAALESNIASRSEKQAQQLNRSIWKASSAISSNSSLLDSTHTAIMPSALDNFLLNSNMSFLYTGKPAKHGGAMDSIVDGWDMVEREILPPPPSQAEDIVQWEKAHTYARGGAKKK* >Brasy6G045100.1.p pacid=40051356 transcript=Brasy6G045100.1 locus=Brasy6G045100 ID=Brasy6G045100.1.v1.1 annot-version=v1.1 MGLCHGKPKQIPESEAEEEPHVAPDAVDGAGNGAASPAPAAAAAKPGTPKQPKFPFYLPSPLPASSYKSSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFVKYELGEEVGRGHFGYTCAAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDKILARGGKYSEEDAKVVMLQILSVVSFCHLQGVVHRDLKPENFLFSSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPTMSAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLKEQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHTDGKLSFLGFIKLLHGVSSRSILKS* >Brasy6G045100.2.p pacid=40051357 transcript=Brasy6G045100.2 locus=Brasy6G045100 ID=Brasy6G045100.2.v1.1 annot-version=v1.1 MRSIALIRKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDKILARGGKYSEEDAKVVMLQILSVVSFCHLQGVVHRDLKPENFLFSSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPTMSAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLKEQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHTDGKLSFLGFIKLLHGVSSRSILKS* >Brasy6G010400.1.p pacid=40051358 transcript=Brasy6G010400.1 locus=Brasy6G010400 ID=Brasy6G010400.1.v1.1 annot-version=v1.1 MHTASTAHLLSSSNPLERLCPTSKIPPPPAASLLLLPTAGQISPSAFPPPPAAFLRLSDLPCLLQLNLRLGLGVEGAAVLDGGSARAAGRSGGSPREKAAECGTGRVNDS* >Brasy6G027700.1.p pacid=40051359 transcript=Brasy6G027700.1 locus=Brasy6G027700 ID=Brasy6G027700.1.v1.1 annot-version=v1.1 MENRRQNNGRVFGPRLRKPSTKEKTILWKKCVKEKKKTEQFFRLSFPNNSRVEVQARRPLPSGLMSNKGIVCSQKNIKKSRPCSSRRHDGIVDVQSNIEKSRSSFLRPDNVVACPPNNIKNPKPSFRGSNNRAVSAQKNTELSSRLNKAPTSSHKNIENRKPSNLRPDTGRVRMPKNAEVPRPSVPRPNNGMVSQPKDNDKPRTSRLRDGFSSGKLEKLGCIGSKVEPKFESRIPKRVHPSSMVHNSSNAEQMGSVHVRKEHHFIQNHRCNLGGNSFPKTGKDLSAHRMMEASSMGNNIESNTKSEHGLQLNDDKFKRKRTNPLSEEKLETPIKRTEPREDNDSEEVKNCKLVKRRKMYRYEDDDDGDENLVGVEGRTGALTTQTAVVKSTKVLNPFVSESIKVQQYSDLPIDEPIWSGVFKTGNKEYLPLAAHLSVKHCEKVWKISRSLQPMVEVTKLSRLEAWPKSFERSRPTDDNIALYFLPQELRQDAHLDQLVKEVMENDMVLRAVVGEAEMLIFPSVLLPERHQTFKGKHYLWAVFKRIEVRLPHPHCMKNNLA* >Brasy6G264500.1.p pacid=40051360 transcript=Brasy6G264500.1 locus=Brasy6G264500 ID=Brasy6G264500.1.v1.1 annot-version=v1.1 MGPERELFRRLSSRREASDDSDAGSGPEKPLECALRCSSVARSAKTSGRWPEKRLTDKSSEVRLPRFRSRELSWPVRPLEARESFRRPVREKSAAGRGPVKELAARESSCSVAAQGAAEGSAPARRLSERSRTRRAAPPAQRSGERAPEMELLLALKKARVAGRPSGPERRLEERSAARRPRPRPAMTGEIGPESALPLRSSSSRRASPARAPAGTGPASPRPGSRSAVTRPPEPEQSTPSHPQQEPAPADHAARRSAAPPAVRFPAKARSARRSSGWHSSAARKGATSKNKKRSISCGGRKEPHFAMDSRASSGAAQHTRQEASARARRAVRE* >Brasy6G243500.1.p pacid=40051361 transcript=Brasy6G243500.1 locus=Brasy6G243500 ID=Brasy6G243500.1.v1.1 annot-version=v1.1 MDMYSDDDSDPEFDEGLQEDLDLVRRSCIAAGADPDAAAAAQVSSYLTTPAAPSSAAALPAAAGDGLSDVDEDEEEDEDLALVRSIREHLHLNKASPSSPLPSEPRPICVWPPSDTDDDDEDDLETLRAIQRRFSHYQAGTSTGPPENMKNETSKVGGDEFIAHQPGEEDVEKQNPKALSRARFPKAALLLVDALKKNRACQKLIRRKMINIEAKIEVNKDLRDRVKCLMDYQLGCRRSFGRFLCQKVDPRVRLISSRKPSLQSEKKMSALLHGPAENLHVSKYKAVLKQFPISLQKQSWSDMEKDSLAKGVKQQYQEILIKNSMKNGSSTGDFSALDIACAMTNTAGNFEVPPEILRSVLPLVNWDKIAAMYLPGRSGAECESRWLNVDDPLINNNAWTAREEKTLILTVQEKGMHNWINIAVALGTQRTPFQCLARYQRSLNPHILKRVWTKEEDLQLLAAVETFGCNWQLVSASLDGRIGNQCSNRWRKTLLPERTRVGRWSEDEDKRLMVSVKLFGSGSWIKIAQFVPGRTQSQCSERWRNVLDPDIDHGEWRPEEDSKLLASVHEVGACWSKIAGAMIPRRTDNMCLRRWKRLCQDEVPRVIATNQVKKSIFQTNFVDRETERPAIGPSDFPLLVYSKVDRGDGNTVSDQVKKRSRGTCKDNLPASDPSKSSADVAAVNTTTRKSRKKSSGSGSEMQTGEDIAVSNGVNKSSSGHSRARKRKSNNTNTVVVQKRMRGSISFDNEASLDILGGPIGIDNEAPRSVGKEGTSEKRTNGFMSAGNEGTLKKKMRGSISVRDERAAKKKARGSVPIGNEGNAVKRKRATRKSAKDSSAADMVDACELDLPTLLSESSVERDVDTGNVNKMKRKSTPRPRHINIAEGTADEYSRLADCLSFARRNGTSRNKSCSMRSLNNAMQSNGPSGENLQGPTMTISAGPDPIPVENGSTAKLAAND* >Brasy6G243500.2.p pacid=40051362 transcript=Brasy6G243500.2 locus=Brasy6G243500 ID=Brasy6G243500.2.v1.1 annot-version=v1.1 MDMYSDDDSDPEFDEGLQEDLDLVRRSCIAAGADPDAAAAAQVSSYLTTPAAPSSAAALPAAAGDGLSDVDEDEEEDEDLALVRSIREHLHLNKASPSSPLPSEPRPICVWPPSDTDDDDEDDLETLRAIQRRFSHYQAGTSTGPPENMKNETSKVGGDEFIAHQPGEEDVEKQNPKALSRARFPKAALLLVDALKKNRACQKLIRRKMINIEAKIEVNKDLRDRVKCLMDYQLGCRRSFGRFLCQKVDPRVRLISSRKPSLQSEKKMSALLHGPAENLHVSKYKAVLKQFPISLQKQSWSDMEKDSLAKGVKQQYQEILIKNSMKNGSSTGDFSALDIACAMTNTAGNFEVPPEILRSVLPLVNWDKIAAMYLPGRSGAECESRWLNVDDPLINNNAWTAREEKTLILTVQEKGMHNWINIAVALGTQRTPFQCLARYQRSLNPHILKRVWTKEEDLQLLAAVETFGCNWQLVSASLDGRIGNQCSNRWRKTLLPERTRVGRWSEDEDKRLMVSVKLFGSGSWIKIAQFVPGRTQSQCSERWRNVLDPDIDHGEWRPEEDSKLLASVHEVGACWSKIAGAMIPRRTDNMCLRRWKRLCQDEVPRVIATNQVKKSIFQTNFVDRETERPAIGPSDFPLLVYSKVDRGDGNTVSDQVKKRSRGTCKDNLPASDPSKSSADVAAVNTTTRKSRKKSSGSGSEMQTGEDIAVSNGVNKSSSGHSRARKRKSNNTNTVVVQKRMRGSISFDNEASLDILGGPIGIDNEAPRSVGKEGTSEKRTNGFMSAGNEGTLKKKMRGSISVRDERAAKKKARGSVPIGNEGNAVKRKRATRKSAKDSSAADMVDACELDLPTLLSESSVERDVDTGNVNKMKRKSTPRPRHINIAEGTADEYSRLADCLSFARRNGTSRNKSDEDPGPIL* >Brasy6G034700.1.p pacid=40051363 transcript=Brasy6G034700.1 locus=Brasy6G034700 ID=Brasy6G034700.1.v1.1 annot-version=v1.1 MHIGETNRGENPWHKKKPTKPWAGWAKEKKRLASRRLVVSRDPNRRRRSRRRRRRSRRHHSPAPLPPSSSSPRSAMSVESAQPAPAAAAVAEQAQDLIDAARYDELEDVVALFSAGVPLDSADSQGRTALHMSAANGHLAVVEYLIQNGANVNSTNLEKNTPLHWACLNGHIEVIKALICAGATVSALNSHEKTPMDEAVAHGKMEVIDAIGAAVAQAELDGVNVS* >Brasy6G020300.1.p pacid=40051364 transcript=Brasy6G020300.1 locus=Brasy6G020300 ID=Brasy6G020300.1.v1.1 annot-version=v1.1 MSAAGSTSSPSPSEAVRGSLIPVLPDDLAVHCIARLPRAAHPALALVSRALHALLCRNPEPLLAARKRLGLSDPHVLVSLRAPSSASPLFFLLLPQPGWPPLPLPSPPVPVSSSSAAAAAGSRLFLVGGSVDGVPAPSVLDARTRSWSAGPRLSSPREFAAAVALPSALFVAGGCVPSSPFWAESLDLAGTSPRAKWAPVPSPDHLREKWMHGCVSLAGKVLAVADRGGLAFDPAAPPAEAWAPVSPVLDMGWKGRAAVVSGILYSYDYMGKVKGYDPDTDAWSMVQGLDKELPRFLCGATLVNVGGLLYLIWEGKWKGKASASKGEVRGMVSVEWATIEVTRAEEGRLMGKVMCRDTVVFADMPRGSAITHCVALDL* >Brasy6G004800.1.p pacid=40051365 transcript=Brasy6G004800.1 locus=Brasy6G004800 ID=Brasy6G004800.1.v1.1 annot-version=v1.1 MAGAEREKARLPPALPLATLIGRELRAGGSERPALRYGHAGFAKRGEDYFLVKPDCLRVPGDPSTAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSALPPDIGGREEWLQALPRALVAGFVKADIEFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENVEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEYIVPIPHVKQVKLSNVGGRLIIASDGIWDTLSNEAAAKSCRGLPAELAAKLVVKQALKKCGLKDDTTCVVVDIIPSDRLVSPQLSPKRNQNKLKSLLFGRRSHSSVGKFGGKSASFGSVEELFEEGSAMLEERLGRNLSLKAASPPSRCAICQVDQEPFEGLMAENGVSHCSSPYEPWGGPYLCLECRKKKDAMEGKRSSCSTACR* >Brasy6G121100.1.p pacid=40051366 transcript=Brasy6G121100.1 locus=Brasy6G121100 ID=Brasy6G121100.1.v1.1 annot-version=v1.1 MKEPSIGMVVVLPCLLLVFLSSTLAAAADAAASEYSCNGTTGNYTANDAFGANLERLTAALPADASAAPSLFASAAIGNGPNTVFGLALCRGDITDARACSGCLDDAFRKLRGLCAGVRDATFYQDLCTLRYSGDDFLARPDDNSPVINAMDANSSTYADWDSRNATSQSLFLSRVDTLFSEMSIYGSYNSPARRFASAAMYINPTLPTVYGLAQCTPALSPAQCLRCFQGLKELIRRWYDGREGGRILGVRCNFRYEGYQFFAGSPVVRIGFAPSSPTGSNHKKTLIIVLCLSITVFCAMLVGCVLLIRRQRKGGGKTKLPHLPSHSKSNSKTEEALKLWKIEESSSEFTLYDFNELAAATDDFSEDNRLGRGGFGPVYKGTLPDGTEVAVKRLSAQSGQGLVEFKNEIQLIAKLQHTNLVKLLGCCVQEDEKMLVYEYLPNRSLDFFIFDQERGPSLGWKKRRHIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGDFVNLLGYAWQMWREGRWLELVDPTPGDGSEASTSMMRCVKVALLCVQDNATDRPTMTEVTAMLGNDGVPLPDPRRPPHFDLRVTSDDEEEDDAGPGVVRRTRSYFTGSRSTNEVTISTIQEGR* >Brasy6G121100.2.p pacid=40051367 transcript=Brasy6G121100.2 locus=Brasy6G121100 ID=Brasy6G121100.2.v1.1 annot-version=v1.1 MDANSSTYADWDSRNATSQSLFLSRVDTLFSEMSIYGSYNSPARRFASAAMYINPTLPTVYGLAQCTPALSPAQCLRCFQGLKELIRRWYDGREGGRILGVRCNFRYEGYQFFAGSPVVRIGFAPSSPTGSNHKKTLIIVLCLSITVFCAMLVGCVLLIRRQRKGGGKTKLPHLPSHSKSNSKTEEALKLWKIEESSSEFTLYDFNELAAATDDFSEDNRLGRGGFGPVYKGTLPDGTEVAVKRLSAQSGQGLVEFKNEIQLIAKLQHTNLVKLLGCCVQEDEKMLVYEYLPNRSLDFFIFDQERGPSLGWKKRRHIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGRFSIKSDVFSFGVLLLEIISGKRNNGFHQTGNSSNLLGYAWLLWKRENWCELIDPCLDVKHPNMEIMRFINVGLMCVQDNAIDRPTISDAISLLMNESTSLPDPKQPAYFSSRAEYTFELEEPQSVNLVTGSPPDGR* >Brasy6G019100.1.p pacid=40051368 transcript=Brasy6G019100.1 locus=Brasy6G019100 ID=Brasy6G019100.1.v1.1 annot-version=v1.1 MLCRRRNPTFLLRGERLPTEARVLARLPVVVPPESSQTASASAHPLGGGEEGVSVGGPISPPRRGNGRRVRVSPRRGNRPAAAPRERRGGGIHGGGAVVASGGECGGAVVVECGGGGFGFGTEKPPLQAVDIRATEVSFRSRPSVRRSYVTSSPTSREPKNPGATSCALPPSRLLRDWLHLPLDPHRSHPVDTSIPFLSIHLRLPSSTAPF* >Brasy6G061000.1.p pacid=40051369 transcript=Brasy6G061000.1 locus=Brasy6G061000 ID=Brasy6G061000.1.v1.1 annot-version=v1.1 MAEVALNVEAGELMAETRGRWTVEWLVFVTGFTTACMSVAAAIYKAPAGVFEDHKCAYYVSVVFAGVVGLAEVFAAITWIFRLGADETAQDAHASIARRGVLCASFVPLVFMAGVGGVRILVR* >Brasy6G057400.1.p pacid=40051370 transcript=Brasy6G057400.1 locus=Brasy6G057400 ID=Brasy6G057400.1.v1.1 annot-version=v1.1 MAASRSGAPYTNVDPETERDMRSRGLDPHELDLSMEQHMLIIYTNLPRPPVSAAAPLSACCRDDGGGVDRISGLPDALLRDIVSRLPVKDAARTATLAARWRGVWRSAPLVFIDVDLSDGVWPPSADTRAGVSRVFAAHPGPFRSVHLVCSRMDAHQAELTRWLRLLAARGVQELVLVNRPWPREVPLPTTLFIISTLIRLYIGLWKFPDTAGLRGVSFPNLRELGICSIEAKDGDIDAVVARSPVLEILNIQGSFKALRLRLVSKSLLCVQVCGSVIKSIAVVNAPRLERLILTNTIHPDGGLCTRLKIGNAPKLRLFGYLEPGKHALEIKDTVIMSEKCDQPTGELNLKFWEDQAGPILSVMLRIGVMTFSRFRGEQYELSFLQYFFESARMLKSAVIAMENHIFTSLSADEMFSTVKNMNDKKWATNFDLAVMGSNGPEGGTLWTFKKGVDFCDGDPFAPVKIIRGPATKQQKSQAGKRRN* >Brasy6G016300.1.p pacid=40051371 transcript=Brasy6G016300.1 locus=Brasy6G016300 ID=Brasy6G016300.1.v1.1 annot-version=v1.1 MQILLNDRSNTLVWVWRYEDSTTLPLIWNSGLPGRSNCQMAPHTYMWLSISGQSLLQ* >Brasy6G051700.1.p pacid=40051372 transcript=Brasy6G051700.1 locus=Brasy6G051700 ID=Brasy6G051700.1.v1.1 annot-version=v1.1 MATVMQKIKDIEDEMSKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGDGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIGYKGAKVQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNMTFRKKEKGGINFTSTVANTHLDLDTVKAICSEYRIHNADISLRFDATADDLIDVIEGSRIYMPCIYVVNKIDQITVEELDILDKLPHYCPISAHLEWNLDGLLEMVWEYLDLVRIYTKPKGLNPDYEDPVILSTKRKTVEDFCNQIHKDMAKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI* >Brasy6G183900.1.p pacid=40051373 transcript=Brasy6G183900.1 locus=Brasy6G183900 ID=Brasy6G183900.1.v1.1 annot-version=v1.1 MWLDGWCDLGEVVSSARCPLLQDLDIYNIHGLTNLTIHSKSLLSIELHSLEGLLKITIVAPMLRILELQCCFVGRAVIDISAPALRSLSWYVDYDPTSTKLNEMAHLMSLCTTLVVYGPSGSPNNSESQKLLQHFRVISSLQLYLAQPDIIDNCQYLMEEITMLPDTKTLSLWLSPDGHVFGPYVFYLLCLSTGIRNFKMELSRSSEEQKTCSSGCICNQQEDMETDDILLNSLTEVEITGLSGAEHEFTFVERLLRGAAMLKTITLSFHHAITVTGEVCQKLLGLSQPKTCMKINYHVNGDEVMYSPAG* >Brasy6G264700.1.p pacid=40051374 transcript=Brasy6G264700.1 locus=Brasy6G264700 ID=Brasy6G264700.1.v1.1 annot-version=v1.1 MHPFVHLSYDPACLSNLSTCLKEMRPIRNVEDLGGAIVMEKQSLEAIKRLWNEWEIQCLILASFGLQVFLFFAAGMRRHSVSRVLNSLLWLAYLSADSVAVFVLGHLAANASGSHQLLIFWAPFLLLHLGGQDTITAFSLQDNELWARHLLGLLTQAAVAAYVVSISSWQDRRLLAATVLMFLSGCFKYAERTLCLYIASPTLSKARSLSAMGLYIREEKYRRHDVHHSDMHLPSDFVPEAIKRSLLIGRMLDADMRLPGITSDTVPMLLSDTPLNDEYDFAWILAFVPGLLRSLKSKAERCRAYSYVSARLVRSYESLYTKAWFHTESFGVMIEVPKLIPKFIRIDTGALMELILAVSVCFFPLFSAFITLVLFMFAEKGQLYSRVDITISYILLIGAITLEVASLSMTILSYFASTDSEASCPGLTCVAKYIHPAGLRRKHWSKMLAQYSMIRTYTRQDGMGIIVSILPQWIGKHLHDNTLTRIPITEDLEKFVLDKLLDFGESKHSWNFASFRGQIALRRWPAMHTIINSADLPTSVMIWHIATEICYFGEKSNNSPYQSKITRVSRELSNYIMYLVFKCNVMLTDSTKLLYTETHKKMKKNLGGHSNLGEGDAIRMVFEANKGGCQAANTDNPSSSSSSNNNNNNSSNRRVQLPENNMSILLDNPVLSHACTVAKELQGIEVHCWDLIAAVWLEMLFYIAPRCGGAFHMQHLSTGGEFVTHVLVLMSSLGPFLPTPGT* >Brasy6G044100.1.p pacid=40051375 transcript=Brasy6G044100.1 locus=Brasy6G044100 ID=Brasy6G044100.1.v1.1 annot-version=v1.1 MAPPPSTDCWAAFFRAAGDGIFDLIEAAIDVAAADRPDALRARRDAIAEHLYTAHLAVPGAPAAAARPPAPVPAVEPRPEALQQKQQQQLLLPEGAASVPSLCSSDRAEAITDDGAPRRGEGDDAVAAEAERIKAALLNYHEKSEAALLELLRRLQQLEFTVHTLKVTEIGKTVTNLRKHNSKQVRQLVRLLVGGWKLIVDDWMSSGGDAIVDHTPQSMHPSSLEQEDRGLSSPSMDEGALLATLSTSIGLSEDNQNSRLFHGIDDGNTRNSGQRYPGSQEPIRRPPLSMAQQYAPDQSWRQEQSATRQSRPQELTNGQTKEQFIAAMLARPPASNAKPGPVRPQVRSKPHQDASPAQGKPQSVPSDKQVGNYDANSVRAKLELAKNAKLEMSNNSKLEVAKRKLQEGYQEFDNAKKQKCIQMVDPQDVRKQGNRSGQPSGKPWNNSNTNNNRNWSSR* >Brasy6G044100.2.p pacid=40051376 transcript=Brasy6G044100.2 locus=Brasy6G044100 ID=Brasy6G044100.2.v1.1 annot-version=v1.1 MAPPPSTDCWAAFFRAAGDGIFDLIEAAIDVAAADRPDALRARRDAIAEHLYTAHLAVPGAPAAAARPPAPVPAVEPRPEALQQKQQQQLLLPEGAASVPSLCSSDRAEAITDDGAPRRGEGDDAVAAEAERIKAALLNYHEKSEAALLELLRRLQQLEFTVHTLKVTEIGKTVTNLRKHNSKQVRQLVRLLVGGWKLIVDDWMSSGGDAIVDHTPQSMHPSSLEQEDRGLSSPSMDEGALLATLSTSIGLSEDNQNSRLFHGIDDGNTRNSGQRYPGSQEPIRRPPLSMAQQYAPDQSWRQEQSATRQSRPQELTNGQTKEQFIAAMLARPPASNAKPGPVRPQVRSKPHQDASPAQGKPQSVPSDVGNYDANSVRAKLELAKNAKLEMSNNSKLEVAKRKLQEGYQEFDNAKKQKCIQMVDPQDVRKQGNRSGQPSGKPWNNSNTNNNRNWSSR* >Brasy6G106500.1.p pacid=40051377 transcript=Brasy6G106500.1 locus=Brasy6G106500 ID=Brasy6G106500.1.v1.1 annot-version=v1.1 MSNFNQQQAPVTAYPPPQANYVVAAPPAGQAAYVPTAPAPAPGYPTSYNVAMGAPPQPAQTQSRGDKAFLEGCCAAICCCCLLDMCF* >Brasy6G084000.1.p pacid=40051378 transcript=Brasy6G084000.1 locus=Brasy6G084000 ID=Brasy6G084000.1.v1.1 annot-version=v1.1 MANFPTSPVRFIPLGMTIDNGPLDRVVRVELALGGSPPLAHDSFAIAETNAEVPFHLRDQARQEARATMERNGFEVREVFDSALGLGLFKFGNVRQRDDAAEHEWALDDWPADENLAVNFVNHDEARNMREPILGRDTWILMLAFPLDYQNNFWVDKAVSSFGKTLLWCNPQGNLSVVLVKVWIKNKRLVPKSLVMTQMGGRRRSWTVPVYILRSSDWNMQHPDGVSENPEDPLPDGDPHPWNGHYVTALQRFRQRAQLWLQQNGFAPAAGQDANVVVDELEDVALTVPPNSLFNFQAWLQDMNMQVSDGLVPENNVTDSPLSAWQETMSDSSSGSSSDLEFFVAGSGPEERIEVVPFVNEEAEVCSQMALIAFKSWFTPDPCWGSRSLRWIPSVPLDCIQFSSHFVIAPAVQVLFRNVARRLCALDSPRAGALRMEEEGFTSSVVITELTDIPEDGSNVTVLPSPRKRGRPAKAVVDLDPSLLRRSTRSNKFDGFKAHMSGASKARPTKVKQRQNFQAAPPVTPVAVLQEIAVKRCGVPANEATEEILEGGTFGEGGASSS* >Brasy6G267300.1.p pacid=40051379 transcript=Brasy6G267300.1 locus=Brasy6G267300 ID=Brasy6G267300.1.v1.1 annot-version=v1.1 MASSSSSTAIFLLMAAVSLCLATAAKAEESPLKQDFYNETCPGVEELVRKELKKIFADDSTIRAGLLRLHFHDCFVRGCDASLMLNSNNGTAEKHADPNLTVRGYEVIEALKLKVEAKCPLVVSCADLMAIAARDAVKFSEGPDYPVETGRRDGNISMAADAKRDLPPADGNVTVLTRFFAAKNLTMKDLAVLSGAHTLGVAHCPSFAGRVHNHTGAGDADPALDAAYLAKLNATCGPANVASVVPLDAATTDKFDLGYYQSVRGRKGLLGSDDALNHDSLMGAYVELMNNASSLDTFFADFAVSMVNMGRVGVLTGEEGEIRETCGIFVD* >Brasy6G258200.1.p pacid=40051380 transcript=Brasy6G258200.1 locus=Brasy6G258200 ID=Brasy6G258200.1.v1.1 annot-version=v1.1 MRLNMYASLFFSDILSLVCLLLEGDRLGTTSHAASSFLPLRAPRPPAPLCGLSPPRAPPPCPPLCLPWRQPAASCALASPSMEARHILLVSALGSSGREQPGGAAHGSGEQGARAAPRTGAASRIRIWPWTGFEERRRGDLRRGRRGDLRRRKKSH* >Brasy6G057100.1.p pacid=40051381 transcript=Brasy6G057100.1 locus=Brasy6G057100 ID=Brasy6G057100.1.v1.1 annot-version=v1.1 METPPPFQESAHCDVCRCTFSTFRRRHHCRNCGRTLCHEHSSYHVALPQYGIYTDVRVCYECFSKSSRRGGVRNESSPVSVSSAADSLSGLNLDKDDASSPTKYSAAQSPAAIIECKCGMPLCICEAPTEPAPVKQNISTSSSTAQSNTRPKKSTSNQQSADPSAKKASATSSSNSSSFLNLGLMSNDSNDKNLSDYDVTGEGLREAIKSGDINAVKKLLSEGVDCNYCDKQGFTLLHLAALFNQTEIALILMDSGANIQRKNGQGETPLDCAPPMLQYKMRQRMEELAASRRPE* >Brasy6G057100.4.p pacid=40051382 transcript=Brasy6G057100.4 locus=Brasy6G057100 ID=Brasy6G057100.4.v1.1 annot-version=v1.1 METPPPFQESAHCDVCRCTFSTFRRRHHCRNCGRTLCHEHSSYHVALPQYGIYTDVRVCYECFSKSSRRGGVRNESSPVSVSSAADSLSGLNLDKDDASSPTKYSAAQSPAAIIECKCGMPLCICEAPTEPAPVKNISTSSSTAQSNTRPKKSTSNQQSADPSAKKASATSSSNSSSFLNLGLMSNDSNDKNLSDYDVTGEGLREAIKSGDINAVKKLLSEGVDCNYCDKQGFTLLHLAALFNQTEIALILMDSGANIQRKNGQGETPLDCAPPMLQYKMRQRMEELAASRRPE* >Brasy6G057100.2.p pacid=40051383 transcript=Brasy6G057100.2 locus=Brasy6G057100 ID=Brasy6G057100.2.v1.1 annot-version=v1.1 METPPPFQESAHCDVCRCTFSTFRRRHHCRNCGRTLCHEHSSYHVALPQYGIYTDVRVCYECFSKSSRRGGVRNESSPVSVSSAADSLSGLNLDKDDASSPTKYSAAQSPAAIIECKCGMPLCICEAPTEPAPVKQNISTSSSTAQSNTRPKKSTSNQQSADPSAKKASATSSSNSSSFLNLGLMSNDSNDKNLSDYDVTGEGLREAIKSGDINAVKKLLSEAALFNQTEIALILMDSGANIQRKNGQGETPLDCAPPMLQYKMRQRMEELAASRRPE* >Brasy6G057100.3.p pacid=40051384 transcript=Brasy6G057100.3 locus=Brasy6G057100 ID=Brasy6G057100.3.v1.1 annot-version=v1.1 METPPPFQESAHCDVCRCTFSTFRRRHHCRNCGRTLCHEHSSYHVALPQYGIYTDVRVCYECFSKSSRRGGVRNESSPVSVSSAADSLSGLNLDKDDASSPTKYSAAQSPAAIIECKCGMPLCICEAPTEPAPVKNISTSSSTAQSNTRPKKSTSNQQSADPSAKKASATSSSNSSSFLNLGLMSNDSNDKNLSDYDVTGEGLREAIKSGDINAVKKLLSEAALFNQTEIALILMDSGANIQRKNGQGETPLDCAPPMLQYKMRQRMEELAASRRPE* >Brasy6G116000.1.p pacid=40051385 transcript=Brasy6G116000.1 locus=Brasy6G116000 ID=Brasy6G116000.1.v1.1 annot-version=v1.1 MTKFEKIIKQIRNTRYKCRRGAGSAPTGRREAAANCSKRERVREQERKQKDRGEAAAYHLVGRIRVGRAEGCRIRRPPGDRIQVGRPDPGRPSRRARGRGWRSTAGQANRPDPGRAAGSRPAVASRAGGGPRLGEAVAEVPARGWRSTAGQLNRRRWEREGERRSRVEGERRDRERK* >Brasy6G068400.1.p pacid=40051386 transcript=Brasy6G068400.1 locus=Brasy6G068400 ID=Brasy6G068400.1.v1.1 annot-version=v1.1 METEATTPRPVCAQEALGLLNCAAENPYDREKCLSLLDALRECIAQKRVKKFSLAEASSTGTTEAPKSK* >Brasy6G230000.1.p pacid=40051387 transcript=Brasy6G230000.1 locus=Brasy6G230000 ID=Brasy6G230000.1.v1.1 annot-version=v1.1 MAAKMARVMLLLVLFLTQIVFGVLLAGAARPLKLEGNGWMEGGIGMVTQMISGVKQSGSNPPGHCC* >Brasy6G191800.1.p pacid=40051388 transcript=Brasy6G191800.1 locus=Brasy6G191800 ID=Brasy6G191800.1.v1.1 annot-version=v1.1 MKDFSCFGESSVQIGDAASSSSSSLSATHGGNGGRKGAAQNQVSCLYQARLSGQACVISVTWSKGLSVSVDDACGKCLCKADAKPWLFSKKKGSKSIAAGDGKIGIFWDLSGAKFGPGPEPLEGFYVAVMFDREMVLLLGDMKKDAYRKMGGAVRPALSTLFLARKEHIHGKKLYCAKAQFCDNGRCHDIVIECDSIGLKDPCLEIRIDKKPVMQVKRLAWKFRGNQTIMVDGLPVEVFWDVHSWLFSSTTSNAVFMFQTCPDPDMSMPWNPWMYSQIFRESQLQGLSFSLILYAWKIE* >Brasy6G175300.1.p pacid=40051389 transcript=Brasy6G175300.1 locus=Brasy6G175300 ID=Brasy6G175300.1.v1.1 annot-version=v1.1 MDSQDDAMGMCTGLLSQRVLVDEVWDDTQNYGPFGEQSLQNELVTPPVRPNNKRTRNFINEEDQVLVSAWLHASLDPILGNEQKNATYWKRVHEEYELQKPEGSDWNISSLTRRWSVVEEQVGRFCGCFEQIMNRNASGKTEQDKIVEACSLYKATDKTKRSFGLLHCWSLLRFNQKWLAQIDRSSQSNKKQKSRSNASPSMSRSDTTY* >Brasy6G175300.2.p pacid=40051390 transcript=Brasy6G175300.2 locus=Brasy6G175300 ID=Brasy6G175300.2.v1.1 annot-version=v1.1 MDSQDDAMGMCTGLLSQRVLVDEVWDDTQNYGPFGEQSLQNELVTPPVRPNNKRTRNFINEEDQVLVSAWLHASLDPILGNEQKNATYWKRVHEEYELQKPEGSDWNISSLTRRWSVVEEQVGRFCGCFEQIMNRNASGKTEQDKIVEACSLYKATDKTKRSFGLLHCWSLLRFNQKWLAQIDRSSQSNKKQKSRSNASPSMSRSDTTY* >Brasy6G011100.1.p pacid=40051391 transcript=Brasy6G011100.1 locus=Brasy6G011100 ID=Brasy6G011100.1.v1.1 annot-version=v1.1 MFGFGHHHSQAAPPASGPNQIFKVFCRASDDYVLAVRDGAVVLTPINPKDETQHWLKDMRFSTSIKDEEGMPAFALVNKATGLAVKHSIGQSHPVKLAPFNPAYEDASVLWTESKDVGKGFRCIRMVNNTRLGFDAFHGDKDHGGVHDGTGVVLWEWCKGDNQCWKILPWAEAHAAVDSGAIAGNWAGSGPPVHSVRVFCKAGEDFSLAARNGTVVLAPTNPRDDYQHWFKDMRHSNRIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESRDVGKGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKILPW* >Brasy6G225100.1.p pacid=40051392 transcript=Brasy6G225100.1 locus=Brasy6G225100 ID=Brasy6G225100.1.v1.1 annot-version=v1.1 MGLFPRSCRLPAPRFQIRATVAARLTLQACGGSCQQGSSAIARRPMPFAICSYQGFNRRHSFRRSQVSSQVGAQDG* >Brasy6G201500.1.p pacid=40051393 transcript=Brasy6G201500.1 locus=Brasy6G201500 ID=Brasy6G201500.1.v1.1 annot-version=v1.1 MDVNPIKQCSSNDFSKSVGRQMAEVNALLIVNTILMGVMLGIGAYGHRYRHRPLTRLLFLGATTLFLPIISYVVSTIGNDQYIIIVRSNGNFITGLCSPRGHIFLVLLWTGLVQIIGINTTTVVAADPREGRNIAPPVVLLVQAVWTSYLAIYTAGVKGEEGFYFPEFCDSLQSSRGLILFLLFTLIFAKTILKYYMFYEAGKSFALGRNPGFVVTYMKKIQGTNNRQHINENITPPPLIVMGEDIVHQKNQHQGYCVRWIMSDDQGDITRMNNDGLMTMDKVWHSNDMLLRSVPQGQQLKDLCFSFALFKLLRCRFARYRAAEDDFMKVRKFFCQVLLNETNDERVLGIVTNELSFLHDCYYSSQPISYSKCWLLILGVLFSLLSISYCLVLVAGRTLLAVTKTSIWQIRCKVTCGGNDAQHFQGFGNLWFDLVPVFLLLAIVILSEARDITWYICSGWTKIAIIYGYRNHVSWDRSPVMRKCISLLLECRCKLVNPWVDMMNQCSVLPLPPRRTTMLLLRYFLHLPEQKKNVKMPMVVKAAILDKLRNCLESLSDGVISLHRRTVHVGDDLLWECSGNDIAHTILVWHIATSIFEVRHLQPQEPESSVVQKYKITATHLSRYCAYLVMYSPELLPGDDEWCKTLYKAVKKDTVRVLALADRRPDAVSPTPESEFRHLVDLLVNKSEHKVLKNGVKLGDKLSQLCQGEEEIGWKTLAGFWLEIILYVSPSDHFDGHAEAVARGGELITILWVLLAHVGVVSREEAATTTTPVDGGNCCLSV* >Brasy6G235300.1.p pacid=40051394 transcript=Brasy6G235300.1 locus=Brasy6G235300 ID=Brasy6G235300.1.v1.1 annot-version=v1.1 MSRAGSGKGSSSKTTKQASARWLWRAPLRALGRARDLCLNGCAGLLPPDAAAAFGCPSSSFACSRRHHYGDDDGFSSSSSFSRSFSTSSSFSSGAYGDLRELIRAASERRAAERGPPAAVARSRSVAMARIDEDRPCEFGALSGGAGVAFGRSRSCAVAGGGGGRRGGGRAAALA* >Brasy6G130500.1.p pacid=40051395 transcript=Brasy6G130500.1 locus=Brasy6G130500 ID=Brasy6G130500.1.v1.1 annot-version=v1.1 MGTYRRLGGRERPDPVGRGRGLPDPALSVIGGRRQKGGGASGGGGRGARAPAAGGGRGARAPAAGWPRKAGSGGARPGAAGSGPVRHRWPASEGWGRERRRRERGSGARGWRRRERGSGARGWRRRERGLGRPRLEEEGEGARAPVAGGGDGRSGARGWRRRWALGSQWLGEIGWKRGREKRDREGWGSQIGRVAQPIA* >Brasy6G056400.1.p pacid=40051396 transcript=Brasy6G056400.1 locus=Brasy6G056400 ID=Brasy6G056400.1.v1.1 annot-version=v1.1 MEAADEERPLIHDHDHPQDECSKYTRDGTLDINNQPALKQTTGNWRACFFILGTEFSECLCFFAVARNLVTYLTTTLHESNVGAARNVSTWIGTCFLTPLVGAFLADAHWGRYSTIVVFLSVYLLGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G056400.4.p pacid=40051397 transcript=Brasy6G056400.4 locus=Brasy6G056400 ID=Brasy6G056400.4.v1.1 annot-version=v1.1 MEAADEERPLIHDHDHPQDECSKYTRDGTLDINNQPALKQTTGNWRACFFILGTEFSECLCFFAVARNLVTYLTTTLHESNVGAARNVSTWIGTCFLTPLVGAFLADAHWGRYSTIVVFLSVYLLGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G056400.5.p pacid=40051398 transcript=Brasy6G056400.5 locus=Brasy6G056400 ID=Brasy6G056400.5.v1.1 annot-version=v1.1 MEAADEERPLIHDHDHPQDECSKYTRDGTLDINNQPALKQTTGNWRACFFILGTEFSECLCFFAVARNLVTYLTTTLHESNVGAARNVSTWIGTCFLTPLVGAFLADAHWGRYSTIVVFLSVYLLGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G056400.6.p pacid=40051399 transcript=Brasy6G056400.6 locus=Brasy6G056400 ID=Brasy6G056400.6.v1.1 annot-version=v1.1 MLLHPRHRVQRMPVLLRRRPEPGHLPDDDAAREQRRRRQERVHLDRDLLPDAARRGFLGRRALGEGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G056400.3.p pacid=40051400 transcript=Brasy6G056400.3 locus=Brasy6G056400 ID=Brasy6G056400.3.v1.1 annot-version=v1.1 MLLHPRHRVQRMPVLLRRRPEPGHLPDDDAAREQRRRRQERVHLDRDLLPDAARRGFLGRRALGEGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G056400.2.p pacid=40051401 transcript=Brasy6G056400.2 locus=Brasy6G056400 ID=Brasy6G056400.2.v1.1 annot-version=v1.1 MLLHPRHRVQRMPVLLRRRPEPGHLPDDDAAREQRRRRQERVHLDRDLLPDAARRGFLGRRALGEGMLIMTFSASLLPLLLQSSSSDTNGDASGIHGFVVYLGLYLVALGTGGIKPCSSALGADQFDGADPAELVTKGSFFNWYYFAINIGSLLSATAIVWIQDNVGWSVGYAVPMLAMALGLAVFVAGRKVYRYKRRPPGHCGSPLTRVAQVVVAAVRNCRLELPDDPSALHELSLPSSSSSLGSTKEEEEEDYRRIAHTGQFRFLDKAAIVEKGKKASPWKLCRVSQVEELKMLLRMCPVWASLLVFFAATAQMSSTLVEQGMAMDTRVFGFTVPPASLSTFDILSVLLWVPLYDALLVPLARRVTGESRGFTQLQRIGAGLALSALAMAYAAQVEAWRLSMAAAETGKRMGILWQAPAYFVFGAAEVFAGIGMLEYFYDQSPEPMKSLGTALAQLAVAGGNYLNSALLAAVASATGWITDDLDEGNLDYFFWFMAALGVVNLLQFVYCSTRHKG* >Brasy6G040000.1.p pacid=40051402 transcript=Brasy6G040000.1 locus=Brasy6G040000 ID=Brasy6G040000.1.v1.1 annot-version=v1.1 MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVTRTGSLKDLAAILDTASKVLPLVVAVSGRVSSDTPLICQQSGMRGVIVEEMAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLVLTIASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYASPKSIDQLILNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLQKKRQHELNKRVRAAAAQRQAREAEGADGTSNGDPNSKKDQLVLEICVICLEQEYNAVFVPCGHMCCCMNCSSHVTNCPLCRRRIDQAVRTFRH* >Brasy6G099800.1.p pacid=40051403 transcript=Brasy6G099800.1 locus=Brasy6G099800 ID=Brasy6G099800.1.v1.1 annot-version=v1.1 MAGAAGGFVTRAFEAMLKECSANRGKFAALQQSIQSYLDAIKGAAAQEPQQEDGAPAPVTQVLASAGRVLEGTQAELVLQPLRLAFETKHIKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTILQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVPPASSLVKDVPSSSTEVSENGEVVSTDNQIEEKTTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKASGGIDLDTMNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYASGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSFLSQKTSVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAHGSQSADNAAVASSQTVSIKGSSLQCLVSILKSLVDWEQARRDSSNQGSIVESHEEDASARSSAIDETKVQEDGRNQFERAKAHKSTMEAAISEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSNSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVHLNTASDEEECAPKELLEEIYDSILKEEIKMKDDLLHAAKTSKLRPEIEEKGRLVNILNLALPRLKSASDTKAESEKIIKQTQAVFRNQGHKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFKAGIHLTRVLGMDTMRFAFLTSIVRFTFLHAPKDMRSKNVEAIRTLLGLADTDMDALQDAWVAVLECVSRLEYITSNPSMAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFDALCGISAEELKQSPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVRPVDNLPEENFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGNKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSTGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREAQNNSLASSYHDSGDGGASISDNGEQEVHEETNSQSGLDNSEGLPSPSGREQPAVSLPSQTFGQRFMGNMMGNLLVRSLTSKSKGKMDDVPPASPVKTPDADGADKIEEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLQATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVENDANGSTEEANGFGVESADQEKLKNLAEGKLVSFCGQILKEASDLQPGTGETASADIHRVLDLRAPVIIKVLNGMCIMDAQIFKKHLREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP* >Brasy6G099800.2.p pacid=40051404 transcript=Brasy6G099800.2 locus=Brasy6G099800 ID=Brasy6G099800.2.v1.1 annot-version=v1.1 MAGAAGGFVTRAFEAMLKECSANRGKFAALQQSIQSYLDAIKGAAAQEPQQEDGAPAPVTQVLASAGRVLEGTQAELVLQPLRLAFETKHIKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTILQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVPPASSLVKDVPSSSTEVSENGEVVSTDNQIEEKTTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKASGGIDLDTMNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYASGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSFLSQKTSVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAHGSQSADNAAVASSQTVSIKGSSLQCLVSILKSLVDWEQARRDSSNQGSIVESHEEDASARSSAIDETKVQEDGRNQFERAKAHKSTMEAAISEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSNSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVHLNTASDEEECAPKELLEEIYDSILKEEIKMKDDLLHAAKTSKLRPEIEEKGRLVNILNLALPRLKSASDTKAESEKIIKQTQAVFRNQGHKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFKAGIHLTRVLGMDTMRFAFLTSIVRFTFLHAPKDMRSKNVEAIRTLLGLADTDMDALQDAWVAVLECVSRLEYITSNPSMAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFDALCGISAEELKQSPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVRPVDNLPEENFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGNKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSTGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQLLSREAQNNSLASSYHDSGDGGASISDNGEQEVHEETNSQSGLDNSEGLPSPSGREQPAVSLPSQTFGQRFMGNMMGNLLVRSLTSKSKGKMDDVPPASPVKKRYWSRLQATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVENDANGSTEEANGFGVESADQEKLKNLAEGKLVSFCGQILKEASDLQPGTGETASADIHRVLDLRAPVIIKVLNGMCIMDAQIFKKHLREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP* >Brasy6G221900.1.p pacid=40051405 transcript=Brasy6G221900.1 locus=Brasy6G221900 ID=Brasy6G221900.1.v1.1 annot-version=v1.1 MGNTCAGPSAAPDRHGFFDTVSVAMLWRPGGARAEPVVPPPPDSCSSMSSSTSSTAPDPVTIADSDSRPGSSNPNKPKVKRVQSAGLTAESVLKRDSERIKDLYTLGKKLGQGQFGTTYQCVEKATGKEFACKSIAKRKLVTEEDVEDVRREIQIMHHLAGHQNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIISVVESCHSLGVMHRDLKPENFLFVNQKEDSLLKAIDFGLSIFFKPGEIYSDVVGSPYYVAPDVLMKSYGCEVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISKSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMLDTDNSGQITLEELKIGLHRVGANLKESEIATLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITQDELEKACEEFGIGDAHLDDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQAQMSFGLREALKIG* >Brasy6G221900.2.p pacid=40051406 transcript=Brasy6G221900.2 locus=Brasy6G221900 ID=Brasy6G221900.2.v1.1 annot-version=v1.1 MGNTCAGPSAAPDRHGFFDTVSVAMLWRPGGARAEPVVPPPPDSCSSMSSSTSSTAPDPVTIADSDSRPGSSNPNKPKVKRVQSAGLTAESVLKRDSERIKDLYTLGKKLGQGQFGTTYQCVEKATGKEFACKSIAKRKLVTEEDVEDVRREIQIMHHLAGHQNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIISVVESCHSLGVMHRDLKPENFLFVNQKEDSLLKAIDFGLSIFFKPGEIYSDVVGSPYYVAPDVLMKSYGCEVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISKSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMLDTDNSGQITLEELKIGLHRVGANLKESEIATLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITQDELEKACEEFGIGDAHLDDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQAQMSFGLREALKIG* >Brasy6G105600.1.p pacid=40051407 transcript=Brasy6G105600.1 locus=Brasy6G105600 ID=Brasy6G105600.1.v1.1 annot-version=v1.1 MAKTASFVPLIALLLVAPALCSPPPKRCPAGDLQALFRVKQSLGNPATLKTWSAASPDCCSTWDHVRCDEATGRVNNVFIDGADDIHGQIPSAVAGLTALMSLSLFRLPGLQGTIPACLTTLSNLQFLTISHTNVSGPIPDSLARLHSLDSVDLSNNKLTGPIPNSFADLPNLRSLDLRRNLLTGPIPASLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAEGRPIGKVDLSWNDLEFDLSTLVFPKELTYLDLSHNRIRGTVPRSLEALSTLQTLDLSYNQLCGPLPKLHGVMRHGCKPYEHNLCHRGAPLEGSCHQLL* >Brasy6G009700.1.p pacid=40051408 transcript=Brasy6G009700.1 locus=Brasy6G009700 ID=Brasy6G009700.1.v1.1 annot-version=v1.1 MTHLPPTPTTPRGRHLLGPALRLRPQPTFLAVRMETPPSAADTKQASHRLAVVTGGNRGVGLEVCRQLAVQGVTVILTARDEKRGKDAVESICCESNLDNVILHPLDVTDDGSVASLARYIESRYGKLDILVNNAAVIGVAADEEGLKALNLDAETWTSGRAANLLKDVFQNTYEVTLNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLSSELKRMPNEKLRNDLSNIDIWDEARIETVLNTFMEDLKNGRLEEAGWPMMLPAYSVTKMVINLYTRILARRHPEMRINCVRPGFVKTDINWNLGTLTPEQGARGPVMLALLPEDGPTGCYFDQTDMVNVW* >Brasy6G268900.1.p pacid=40051409 transcript=Brasy6G268900.1 locus=Brasy6G268900 ID=Brasy6G268900.1.v1.1 annot-version=v1.1 MAAPLLDAGEASAVCSTEHNLPATAMLHRRLAQANYEPKTWDYDSICSMHLHGQNKPSKESSLFQLASLKQIVRQLLLLEEEEEDELPNKLRIIDQLQSLGVAYHFEEEIKSILTSMHVHDAHLQLEHDLPSTALLFRLLRANGIPASTDMLSAFLTNDNGALEAAGNINLMRDEDDTIDGLVALYEASYLAFPGETMLDTARAFAIDRHQQQMMPSSMVGGSNLQEDLPLHWRAPRLQAIQYLKHHRGNCSSDEIAPCIIQLAAEDFNGVQAVHQSELVEFTRWWKETKLGEKLPPSARDRLVECFFCAACIAPEPHLAGCRDVLAKVGSLIVHLDDIYDVYGTIDELTTFTDAIAGNWDDDDDATALLPEYMQAMLSVIRSTSTAAADHVLREHGHDVLPVYKKAWHELCEAFMVETKWQHERVMPRLDEYLDNGWVTSTGPLLLLHALTMLPSSDQQKQQKADSWLHDGYGKVVYPRLVELCSRIFRLCNDCATHEDESERGEGPSSIACLMSESSGGTEEARVAVADAIAVIWKEVNRELLTGSVDAATGTMCVNLARVIQCIYHDGDGITSPTDSRKQLVKDLLFTPIRTLF* >Brasy6G068000.1.p pacid=40051410 transcript=Brasy6G068000.1 locus=Brasy6G068000 ID=Brasy6G068000.1.v1.1 annot-version=v1.1 MSSRVCVTGAAGYIAAWLVRKLLERGCVVHATLRNLGDEKKTALLRELPGAAERLVLFQADIYDAATFEPAIAGCEFVFLVATPLQHDPTSTKFKCARGTVVRPGRFLINSRAVSLSSSWPLVPLSR* >Brasy6G068000.2.p pacid=40051411 transcript=Brasy6G068000.2 locus=Brasy6G068000 ID=Brasy6G068000.2.v1.1 annot-version=v1.1 MSSRVCVTGAAGYIAAWLVRKLLERGCVVHATLRNLGDEKKTALLRELPGAAERLVLFQADIYDAATFEPAIAGCEFVFLVATPLQHDPTSTKVRP* >Brasy6G024600.1.p pacid=40051412 transcript=Brasy6G024600.1 locus=Brasy6G024600 ID=Brasy6G024600.1.v1.1 annot-version=v1.1 MSLRSMIREMTGEVGAMSLRGLRSRPSSGRDRRVAPDEAARQSSCWAQLPPELLREVLGRVEESEPRWPRRRDVVACAGVCQSWRGAVREIVRVPEESGKLTFPISLKQPGPRDAPIKCFIRRNRATHTYCLYIGLTDALVDDGKFLLAARKCHRPTCTEYLISLDRTDMSKGGVTCIGKLRSNFLRTKFTVYDAHPPHSGAVVSKRWSSGNYPVSHIYYDVNVLGSRGPRRMRCVMDSIPVSAIKGGTAPTQTGFHSSNHDSFASIPFFKSKSSRRDSSTTRLSSQEESKLVLKNKSPRWQEHLQCWCLNFHGRVTVASVKNFQLVPSDESAPTDHELDDATLQFGKIGKDMFSMDYRYPLSAFQAFAICLSSFDTKIACE* >Brasy6G014100.1.p pacid=40051413 transcript=Brasy6G014100.1 locus=Brasy6G014100 ID=Brasy6G014100.1.v1.1 annot-version=v1.1 MFPASGGHGEAKKAVEARLPLFSGQGRSLLTCLMWGGKQGFGLLPLICARRR* >Brasy6G145400.1.p pacid=40051414 transcript=Brasy6G145400.1 locus=Brasy6G145400 ID=Brasy6G145400.1.v1.1 annot-version=v1.1 MLTLAAEELGVGAGGAGPGGVGAVGIRRSKGGGPRGRQNRGWGVAVGRNWAVGAGGSWGLGIRRAGEGGAGFEAGGGLPEERSPAEGGGRPAAPVRGDPPEAAAVR* >Brasy6G258000.1.p pacid=40051415 transcript=Brasy6G258000.1 locus=Brasy6G258000 ID=Brasy6G258000.1.v1.1 annot-version=v1.1 MVVAAFRSAEHRRREANEAHVRQGHALLVARHRVPDDEFITRLDVHAVGGGAEEDAAVAAVHGHPAAEVRPDRPKGGGREESAVSSKGLEEPKDWRRPVELAVAAAEAGVGEDAAPRLADGGGADEVLGLIRREAEEDLLEELAQQHRRRRHGAAAEARVSGGLGIKGRARERNSVGGCGLPEAAATGKPRRRVRPSSPAAAWCDGGGEGFVRNRARVGFKASSGWACGWVPKAVRVGVPFIFLFLFGIRSLGRRLMCDSGQL* >Brasy6G199300.1.p pacid=40051416 transcript=Brasy6G199300.1 locus=Brasy6G199300 ID=Brasy6G199300.1.v1.1 annot-version=v1.1 MGWRRCALAIWAAAAIVWTLAAAVGESDAGELERAFPIVEPDYGHTKLRLSKEGLEAIQRINTPIAAVSVIGPYRSGKSFLLNQLLSLSCNKGFGVGHMRDTKTKGIWVWGTPVEIDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALAAVLSSVLVYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNSNGDKYIDEVNQIRDSLAVMGDNSTAFSMPQPHLQRTKLCDMEDQELDPLYVERRDALKQLVASMIKPKLVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKGILERCLKLYTERMERVSLPVSVDKLQQVHDLAEDEARKLFDKQHFGKHYAAQSFLNLDEEMKKVFRSFGLANEYQSSKLCEARFSECEDKMDHLQALKLPSMAKFNAVVLHCNQSFEVECVGPAKGGYEHRMSKMLARSSALFIREYNNKLFNWLVAFSLIMVVIGRFIIKLFLLEIAAWVMFIFLETYTRLFWSSDSLYYNPVWHIIVSSWETIVYSPVLDLDRWAIPIVIMLSFLAIYWRCIGIRSRIGRSLLPLYRGSYRSSSRPRTD* >Brasy6G199300.2.p pacid=40051417 transcript=Brasy6G199300.2 locus=Brasy6G199300 ID=Brasy6G199300.2.v1.1 annot-version=v1.1 MGCGGDCVDPCRGGRGIRCRFPIVEPDYGHTKLRLSKEGLEAIQRINTPIAAVSVIGPYRSGKSFLLNQLLSLSCNKGFGVGHMRDTKTKGIWVWGTPVEIDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALAAVLSSVLVYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNSNGDKYIDEVNQIRDSLAVMGDNSTAFSMPQPHLQRTKLCDMEDQELDPLYVERRDALKQLVASMIKPKLVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKGILERCLKLYTERMERVSLPVSVDKLQQVHDLAEDEARKLFDKQHFGKHYAAQSFLNLDEEMKKVFRSFGLANEYQSSKLCEARFSECEDKMDHLQALKLPSMAKFNAVVLHCNQSFEVECVGPAKGGYEHRMSKMLARSSALFIREYNNKLFNWLVAFSLIMVVIGRFIIKLFLLEIAAWVMFIFLETYTRLFWSSDSLYYNPVWHIIVSSWETIVYSPVLDLDRWAIPIVIMLSFLAIYWRCIGIRSRIGRSLLPLYRGSYRSSSRPRTD* >Brasy6G199300.3.p pacid=40051418 transcript=Brasy6G199300.3 locus=Brasy6G199300 ID=Brasy6G199300.3.v1.1 annot-version=v1.1 MGWRRCALAIWAAAAIVWTLAAAVGESDAGELERAFPIVEPDYGHTKLRLSKEGLEAIQRINTPIAAVSVIGPYRSGKSFLLNQLLSLSCNKGFGVGHMRDTKTKGIWVWGTPVEIDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALAAVLSSVLVYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLRDKYIDEVNQIRDSLAVMGDNSTAFSMPQPHLQRTKLCDMEDQELDPLYVERRDALKQLVASMIKPKLVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKGILERCLKLYTERMERVSLPVSVDKLQQVHDLAEDEARKLFDKQHFGKHYAAQSFLNLDEEMKKVFRSFGLANEYQSSKLCEARFSECEDKMDHLQALKLPSMAKFNAVVLHCNQSFEVECVGPAKGGYEHRMSKMLARSSALFIREYNNKLFNWLVAFSLIMVVIGRFIIKLFLLEIAAWVMFIFLETYTRLFWSSDSLYYNPVWHIIVSSWETIVYSPVLDLDRWAIPIVIMLSFLAIYWRCIGIRSRIGRSLLPLYRGSYRSSSRPRTD* >Brasy6G239500.1.p pacid=40051419 transcript=Brasy6G239500.1 locus=Brasy6G239500 ID=Brasy6G239500.1.v1.1 annot-version=v1.1 MPYRCNACNRFDVVCKHPNVCLSSFTITMGDRCRDVAAVPQCFKDRLNDFVENFLVVRDLSGFNYDVFVERRNDATVPCGAYWRVFARIHKLKVGDSVRFSAADYGSVFEAVVEGQGRCYCFAGSDQVHDLFQSIVFTDMASLRDGDVSRILVTLKYVDLYVEHQREFIVHRMSDVDMASGLMQIRSFYGDQAISFLAACTVNIDGWADFCLCHGIRRGSVLMIEVDTMGGLHLYVDIINR* >Brasy6G123000.1.p pacid=40051420 transcript=Brasy6G123000.1 locus=Brasy6G123000 ID=Brasy6G123000.1.v1.1 annot-version=v1.1 MAAMATSASSLLKTSFAGARLPSAAARTPSFVATPRAGAICNSISASTPPYDLNAFKFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELNIEYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEDRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIDTVPGMKALDMNMAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNGIDGTLKNATPALHPEMVLASANNGDVVDA* >Brasy6G031400.1.p pacid=40051421 transcript=Brasy6G031400.1 locus=Brasy6G031400 ID=Brasy6G031400.1.v1.1 annot-version=v1.1 MVGGLRREGGRKRGGANLGVGSSLLEGFLWRIGSDVDVQELTGHEDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVQGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGVVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTACVFDISMFK* >Brasy6G031400.2.p pacid=40051422 transcript=Brasy6G031400.2 locus=Brasy6G031400 ID=Brasy6G031400.2.v1.1 annot-version=v1.1 MVGGLRREGGRKRGGANLGVGSSLLEGFLWRIGSDVDVQELTGHEDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDDDASLRIWDPKSAQSRHVVQGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGVVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTACVFDISMFK* >Brasy6G183400.1.p pacid=40051423 transcript=Brasy6G183400.1 locus=Brasy6G183400 ID=Brasy6G183400.1.v1.1 annot-version=v1.1 MGCGQSKEDAEGAVARCRERKHLLRAAVEARYALSGAHAGHAAALRNVGAALSDYATGEAHDGGALLRHSASAAAVMAHAHGPGAGAQAAALALPPPPPPPPPPPPPGRPEDDAPALVRSMSAPDLPLEPAIRKKPSGEAPIMEEDDGEGEGEDARRPAEVEPPRPPPPPPPTQLPPPTRSPPSLPPDAGHKAAAPQGGSWDEFFFGSQDGIPIPPPTLGGSTTTAASWAAERAEAPAPPPPPPETEEHPLPPPPVPVPAAAAAAEDVAQGKKPAVEPMARRALTQKAARRGEGKKGRTVVVVPPQAARLGDILHELDDHFLKASDSAHEVSKMLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVKNELDDDEGETHATVLEKLLAWEKKLSHEVKEFEVIKMEYQQKLAVLNKKKQRGASSSSLEKSKSAASHLHTKYVVDLQTMESTIAEINRLRDQQLYPKLLELVNGMWQMWDKMYGHHKAQLKIILELKSFDISVAARETSDQHNDRTVQLWHIVQEWHTQYDKFMTYQKQYVGSLYSWIKLNVIPIDTNLKPNSSHPVETTPPIKRLLHAWHDILGKLPDDAAKKAIHTFAEVVHTILLHQDDEMKLSIKIQETRKDYERKKRQFEDWAQRYMEKRAGIPHETGNPDGTRADPLAERKAAVERLELVLKDLEEQYKKQCRVVREKSLSLLRTNLPELFRVVSEFSLQSAAMLKGLWSIMSTNDQLDD* >Brasy6G257200.1.p pacid=40051424 transcript=Brasy6G257200.1 locus=Brasy6G257200 ID=Brasy6G257200.1.v1.1 annot-version=v1.1 MPPKPSKATNRPCHNNKNKKVRFYFCWKRFAAARRRRPPLRCHYPRAPLLVATRPREMPSWWKRSKSAFHPSPTTASYSSAPASPARASTSRRRDEESAGAGGDPFLLRRRQLTRQRKLRHVDDIDALLADLGIDVASSSSSSPPHSKGRASASDARSANAAEGVGAVAPPPRSASSPVLHPLPLPSPRRRPAELDTPEPALEGGSERSSLQIPRVTGQIAQKFPEHNDLCPNGTKRPATSHHRKAFRDKFQDKSSAENTNFRLNIPAKSAPSSGFSSPVCSPRRLSNADVSSAVASAQGPQVWSAPSIRTMDFLGASSPTGVPEQSPYSSALRSPIFMPRNTSAPPSPMHNPKLFPDNQISRTEGNGSASFHPLPLPPSAISPMQTAFSNQPAPKVEMPSVACEWQKGKLLGSGTFGCVYEATNRNTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTVEDRFYIYLEYVHPGSINKYVKQHYGAITESVVRNFTRHILRGLAFLHDQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLSKDVGYDLAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPVPENLSHEGKDFLQCCFKRNAAERPTASELLGHPFIRNSSHYSKHGSIYAFAGIKVNDNGYGFRDKTSSRSDPGGKTKYTIGDTNNVRPFESSAFRLTPLTMQDVTPNFPPQPLGLASNPSSFAISTNPMHFPIANPQPSPLPRPNGRGSVLNI* >Brasy6G075900.1.p pacid=40051425 transcript=Brasy6G075900.1 locus=Brasy6G075900 ID=Brasy6G075900.1.v1.1 annot-version=v1.1 SKDGGEYEVRRAKPLRRRHAACPDDHHVHVPDAGVPHAPLRRPGHGLLLRVPVLRPCYHAGAVHPGPLPRHPRPADHARSLDAGHPHLAVRVGLLHELRAHGRRPCAGHGLLFTVSLYIVLVASSQSLQGRLVADACAGARGGR* >Brasy6G092500.1.p pacid=40051426 transcript=Brasy6G092500.1 locus=Brasy6G092500 ID=Brasy6G092500.1.v1.1 annot-version=v1.1 MPLLATLPLILAALIFFAAGPAACTHPLDPFSPAEITAIRAAVLASPLVPARPLTFHYIGLDEPDKPAVIAYATNNASSASASAIPRRALVIARAGGESHELLVSVSGVSSASVLSHAVHRGAGFPTLTLEEQFAAVALPPRHPPFMESVLARGVDMDDVLCAVFPVGWFGDDPSLQQRRVVKLLCFVAGADTANFYARPIEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGHVGPPMAGVGRQPEAGRGFHIDGHLVRWAEWEFHVGFDMRAGTVISLASIHDALHGTRRSVLYRGFVSEVFVPYMDPAEEWYYRTFLDAGEYGLGLWAFPLQPGADCPHGAAFLDGHYAGQDGRPVENKNMICVFERRGAGDVAWRHTEAGFPNRLITEVRPDVSLVVRMVVSAGNYDYILDWEFKTSGSIKVTVSLTGLLEVKGTGYTHTDQIPPSEDAHGTLVAENTVAVYHDHFVTYHLDLDVDGTRNSFVKNTITTTKNNGTTPRKSYWTVRRDVAETEADAQIDLNASPPADLLIVNQGKRTRMGNEVGYRVIPDGATAASVLADGDFPQRRASYCKKQVRVTPYDRSEKWAPGVYADQSTGDDGLGVWSERDRGVRDEDIVLWYTVGVHHIPYQEDFPVMPTVSGGFELRPANFFDRNPLLGARPPPAASRRRGQAPNVNCSCTGG* >Brasy6G059300.1.p pacid=40051427 transcript=Brasy6G059300.1 locus=Brasy6G059300 ID=Brasy6G059300.1.v1.1 annot-version=v1.1 MARTGSFGPIHADTYQGSAVAAARDDLPLRRRHNEEGGREAIMAEEEDLAPAAAGDLRPLRAPGAHLGATADRLHSLRRRRFPPADRLHSLRRRPPCRPPHGARLGHGSAGRRWRPSPAMAPLSDELGPVLRSLGLCHRGHELTREAAARCEEGGEVSGAGWVEGGGGAWKESEAGAGAEGRGGALEEVGGSALEEVEEGAGAEGRGGLLEEGERGL* >Brasy6G100600.1.p pacid=40051428 transcript=Brasy6G100600.1 locus=Brasy6G100600 ID=Brasy6G100600.1.v1.1 annot-version=v1.1 MDHHVVTPDPFKYSFIRFGRRAQNSKSHRAPAVHRNVSPYRRPRPVLGFRSLHVCARSRQEAARQRRGRLLADRAPHALADADQGVRSRGPRPPGGGLGPRHPFPPAPRRRAPHRLRGPRGVRVPVRPAPHAPRQVRHRQPAEGGRGRRRLLPDDPVRRGPRARRAGLRLLPFPGRVPCAHAAPAGAPRGPDARRVRGGDGGHGGRPGAAAGAGALHAGVPRESRPELRLVRVPRAPLHGGQGRHRQHLRGARSLGPRRDPRCCSAGPRDRSRDLVQRRRRRRTAAAARVRAVARRAAGGVGGVHLLREHGLPRRGAGEGSGRGPGAKRPPVPLGAARPAARRLAVPDGRGPARIAAPGGVHGGHRGEGDGVAGMGAAEGDPVPRRRGRVRDPLRVELRPGEPVVRRADAAVAPVRGAAPERVRARGRRGRRCRRAGGGEEGEEGVLRGAGGAGARGAEPDGRRRVVGGGDEGEGEGRGDESRV* >Brasy6G229600.1.p pacid=40051429 transcript=Brasy6G229600.1 locus=Brasy6G229600 ID=Brasy6G229600.1.v1.1 annot-version=v1.1 MGQLGNARVLQCLRGPCRGGEAGRLRGAPPRGGRIRPRELRGRGRERRGGGQRRPVQVRRRRSPLRRVVFRLRREPRRRGRHGWDLGPDFNSAGRHRFVEARAGDLPRSPARPTASGRALLSCSRTSQQTGRRIGRGGEEDWEVAAVSGGGDGARDRGGALFCRASSNAQAGLEGGWAGSDELNGRMDSRRLDADGSRSLAAAGRRKQRRWARGRAEARFWRRRGHSDEARRCGWAPQIVNNLPESAKVLESVPRKIS* >Brasy6G187600.1.p pacid=40051430 transcript=Brasy6G187600.1 locus=Brasy6G187600 ID=Brasy6G187600.1.v1.1 annot-version=v1.1 MDPALHKAAVQGSVASLAKLVSERPDILLRSKTPQGNTALHLAAELGHAGFAEKVLAESEKLLVMKNADGDTPLHLAARAGKADVAELLIGRSAVWAAACSGLIAPADLQGQGKTPSPTSSPEKVAQGPLFMANKHGNTPLHEAVLHGRNAVALKLLGAEPGRGHALNAQKQSPLHIAAREGLADVVARIVGQPWVPERFVPSDSVSGTALHQAVLGGHTRVVEILLHATTEEQVGLTDSSDNNALHYAAQKNNARVVKLLLNRKVDLAYKKNRGLQQSPLHMAAHYGSTEAMAEILKRCPDVAEMVDAAGRNALHVAITSGKVDALRSLLKHVGPEEILNRVDGAGNTPLHLAASMSRIQSALLLLKDRRVNPCLLNREGHSARSLIEKRAAMEEMDTYEMYLWKKLKKHEASRCKKEQLPPVATYQSLRSRRTGHDEYYELSVGTYTLVATLIATVSFAATFTMPGGYDQAKGIALHGHRGGFKIFVISNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLMWGHRLTVVACLAMVVSLMTAVYITVPPTAMWPAYVVIAIGASTPAVVFLILGKEALYVPL* >Brasy6G194300.1.p pacid=40051431 transcript=Brasy6G194300.1 locus=Brasy6G194300 ID=Brasy6G194300.1.v1.1 annot-version=v1.1 MPKVKDIKELDGGGEQGRGGGRRGRRWEAGWGVAGGGRRRRRGGAWPEEAGKGRIRPWRRRIRLGAGARGRRRPRRRRGAGRREREREGRGGRARARERE* >Brasy6G116700.1.p pacid=40051432 transcript=Brasy6G116700.1 locus=Brasy6G116700 ID=Brasy6G116700.1.v1.1 annot-version=v1.1 MTRFRGIAAFVPRRKSVAQSTLAWGAACHFVVSSDFFLLPLLPEVACNLPYECGYCRCQVK* >Brasy6G256700.1.p pacid=40051433 transcript=Brasy6G256700.1 locus=Brasy6G256700 ID=Brasy6G256700.1.v1.1 annot-version=v1.1 MLEQVQQFPYTLPDPIFRRKTAKIALQQFPYPFPKKSASPILLPFSPTNRGARALPYPREGATAGFLLPPRGSGAGRSWRRRRALAGTELRGGAALVGGDSMRGQREIPGSGAVLLDFAAGSEEVGKQLSTTASGRRHGAPMRGSVGARGTKANAAGASWKRHGSSGGGGETRAGWLAGIWGKEQGRLTARWGRKEEAETTR* >Brasy6G240500.1.p pacid=40051434 transcript=Brasy6G240500.1 locus=Brasy6G240500 ID=Brasy6G240500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNTGPFKYIPRGFISCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENAVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy6G109200.1.p pacid=40051435 transcript=Brasy6G109200.1 locus=Brasy6G109200 ID=Brasy6G109200.1.v1.1 annot-version=v1.1 MRLRLHLLVLCLIILFVVYNMASYQHRQTALEAKSRPFDTMIESDGAAVKVSGRATVKVSERADGRIGFLPHGIVEPYSDMELKPLWLTRSVQSQKSNQNDRCLIAIPAGINQKKSVDAIMKKFLPENFTAILFHYDGKVNEWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSMYEYIFLWDEDLEVDNFNPRRYLNIVKSERLVISQPGLDPKLSEIHHPITVRKKTGNFHRRVSRANKDCSREGPPCSGWVEGMAPVFSKSAWKCAWHLIQNDLVHGWGIDYKFGYCAQGDRTKHIGVVDSEFVVHRGVQTLGGSATTKRTRGKNSQALRQKNAQVQQQTRVRAPGLDMRTKVRRKSRVELRDFQKRWERATREDRTWVDPFARRRKRRNRPTVD* >Brasy6G176100.1.p pacid=40051436 transcript=Brasy6G176100.1 locus=Brasy6G176100 ID=Brasy6G176100.1.v1.1 annot-version=v1.1 MRWGSRPRTMPTWSSLTSPNWMPRKKERGKPPRVPSCCCQCWSRKAARRRSPAVPVPGAKEEGDVERGHLCVVPAPSQARRQGRSYVVSLVSNDTNEFCKSLYALVLRPCSPPTSPPLPPPPPAPAAASSSPASRIPPPQERNELCPSSFAAVLTCARSAAPSPRSEEEAFWPWTELPGGRTNSPELMKAAAGGNSVGKRAEESLGREGERRRSGEREREKLGWGERPCGGPHRVPLLQNTHLIRTIRYGIHTGGEGVHESQRGLRGPDSRGTSPPPCYK* >Brasy6G257100.1.p pacid=40051437 transcript=Brasy6G257100.1 locus=Brasy6G257100 ID=Brasy6G257100.1.v1.1 annot-version=v1.1 MEDETLGFADHKSESECTARLRKRTIFNPGLQGFRGGARDDDAEPAERRRCLTVGIESGEGGRHLFVAGANEQGEHRAERPEAAPAAGEHAGPSGCFGVAEAVEDVEEDVVGQRAQAVLPLLAGAGGAELGGGFAPFLDALRH* >Brasy6G027200.1.p pacid=40051438 transcript=Brasy6G027200.1 locus=Brasy6G027200 ID=Brasy6G027200.1.v1.1 annot-version=v1.1 MELGASSSSSPLGQQLSLSTLVGDDGVLDSSRVVASRNGRLVLDLRRGKGVGGHVLRLCVCNPMAGDVDFLPPLRGKDSPGPYACTVLTAIDEPRGTDTTTTTTSSYRVLLVYNRRSFTALRCYDSSEAGWGPEAQVTGARIGRKRLGMSSRSVEPAAVMRGGAVFWPGLDFDLFLSTLRPKDDASKLPCPYTVIASSSSPSSSARRRRHKSNADRLVGLMPDGRLCVVEADSETIRAYYTSCPDCGAIGYCLSSSTKEWRWTIKVALIGPPEYGVHTVKLRWFFENSGVVLFTARNGHTDPNIYVYTLDVETKQVVRVAGGESIGEIYGYEMDRVTLLASLAR* >Brasy6G006100.1.p pacid=40051439 transcript=Brasy6G006100.1 locus=Brasy6G006100 ID=Brasy6G006100.1.v1.1 annot-version=v1.1 MAFWWPLLVLAAAYALCRVLLFLIPPTVPSMEVDASDVLEEANQNKEDSFIYIPRKGKAAQTDNVRCYEPATMKYLGHFPALTPEEVKEHVAQASEAQKTWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMIHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPVLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALLAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGKMIMQKASETLIPVTLELGGKDAFIVCEDVDLPNVVQVAARAALQSSGQNCAGAERFYVHKDIYPTFVSRVVKIIKSITVGPPLSGRYDMGAICMIEHSERLQNLVNDAVDKGAEIAGRGSFGNLGEDAVDHFFPPTVLVNVNHTMQIMQEEAFGPILPIMKFSSDEEAIQLANDSKYGLGCAVFSGNQKRAIKIASQLHCGFAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFAFQQLLVETLYGISVWDRLRALVNLLKMISEQKSPVIRKKSR* >Brasy6G006100.2.p pacid=40051440 transcript=Brasy6G006100.2 locus=Brasy6G006100 ID=Brasy6G006100.2.v1.1 annot-version=v1.1 MAFWWPLLVLAAAYALCRVLLFLIPPTVPSMEVDASDVLEEANQNKEDSFIYIPRKGKAAQTDNVRCYEPATMKYLGHFPALTPEEVKEHVAQASEAQKTWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMIHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPVLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALLAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGKMGPPLSGRYDMGAICMIEHSERLQNLVNDAVDKGAEIAGRGSFGNLGEDAVDHFFPPTVLVNVNHTMQIMQEEAFGPILPIMKFSSDEEAIQLANDSKYGLGCAVFSGNQKRAIKIASQLHCGFAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFAFQQLLVETLYGISVWDRLRALVNLLKMISEQKSPVIRKKSR* >Brasy6G006100.3.p pacid=40051441 transcript=Brasy6G006100.3 locus=Brasy6G006100 ID=Brasy6G006100.3.v1.1 annot-version=v1.1 MAFWWPLLVLAAAYALCRVLLFLIPPTVPSMEVDASDVLEEANQNKEDSFIYIPRKGKAAQTDNVRCYEPATMKYLGHFPALTPEEVKEHVAQASEAQKTWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMIHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPVLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALLAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGKMIMQKASETLIPVTLELGGKDAFIVCEDVDLPNVVQVAARAALQSSGQNCAGAERFYVHKDIYPTFVSRVVKIIKSITVGPPLSGRYDMGAICMIEHSERLQNLVNDAVDKGAEIAGRGSFGNLGEDAVDHFFPPTVLVNVNHTMQIMQEEAFGPILPIMKFSSDEEAIQLANDSKYGLGCAVFSGNQKRAIKIASQLHCGFAAINDFASSYMCQVVFAVRWC* >Brasy6G006100.4.p pacid=40051442 transcript=Brasy6G006100.4 locus=Brasy6G006100 ID=Brasy6G006100.4.v1.1 annot-version=v1.1 MAFWWPLLVLAAAYALCRVLLFLIPPTVPSMEVDASDVLEEANQNKEDSFIYIPRKGKAAQTDNVRCYEPATMKYLGHFPALTPEEVKEHVAQASEAQKTWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMIHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPVLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALLAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGKMGPPLSGRYDMGAICMIEHSERLQNLVNDAVDKGAEIAGRGSFGNLGEDAVDHFFPPTVLVNVNHTMQIMQEEAFGPILPIMKFSSDEEAIQLANDSKYGLGCAVFSGNQKRAIKIASQLHCGFAAINDFASSYMCQVVFAVRWC* >Brasy6G173500.1.p pacid=40051443 transcript=Brasy6G173500.1 locus=Brasy6G173500 ID=Brasy6G173500.1.v1.1 annot-version=v1.1 MATGAGEVRHWTAAVNGISLHVAEQGPATGPAVLLLHGFPELWLSWRHQMSALAARGYRALAPDLRGYGDSEAPAGGPAAYTMLHVVGDVVALLDHLRLPDALVAGHDWGAQVLWHLCLFRPDRVRAAVALGVPYLPRSPAPMADLFAARGDGFYMTQFQEPGRAEKAFAKYDVATVLKKFYSLELDDLSAPPGVEVIDFFQASSSPLPWMTEEELRQYADKFQKTGFTGGLNYYRAMDLTWQLTAPWHGAKIMVPAKFIAGNKDVGVESFGMSRYIDSGEFKSNVPNLEVVIIEGHHFLQQEQAEKVTSEILSFLEKFI* >Brasy6G152100.1.p pacid=40051444 transcript=Brasy6G152100.1 locus=Brasy6G152100 ID=Brasy6G152100.1.v1.1 annot-version=v1.1 MAAAGWSFLVFAAAALLTASTAAAGRPRPTTPASAASLDCGTVTSVLTGCGAFVRRGLNAAAGLWPGPGTACCEGVAGLYAVAADSADNWRSVCRCMAELVREYWSNASAIALLPGLCAVSPAAHHAFTYCTSVP* >Brasy6G058700.1.p pacid=40051445 transcript=Brasy6G058700.1 locus=Brasy6G058700 ID=Brasy6G058700.1.v1.1 annot-version=v1.1 MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNEDEAEEAPPVFNIDGVNYIHVKVAGLYFVVTTMVNISPSLLLELLQRIARVTKDYLGILNEDSLRKNFILVYELLDEVIDFGYPQTTSTEALKSYIFNEPIMVDSGRMPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLGIGRSGSSTHDYRSSSGGGTVVLDDCNFHESVQLDSFDIDRTLHLIPPDGEFPVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRLEWNLKKIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNASKLQVRYLQISKKSKTYNPYRWVRYVTQANSYVARL* >Brasy6G170400.1.p pacid=40051446 transcript=Brasy6G170400.1 locus=Brasy6G170400 ID=Brasy6G170400.1.v1.1 annot-version=v1.1 MAKLHCSGMIAGAALRPKSPCLPCVFAQEQNGVAEAMRQSKWIADLRDKVSAENLHEFVELWGRVNSVTLMPGPDSFRWRFTDDGFYSASSAYSLQFLGATLSSFKTTIWEIKALPNCRFFLWLLANSCSA* >Brasy6G206600.1.p pacid=40051447 transcript=Brasy6G206600.1 locus=Brasy6G206600 ID=Brasy6G206600.1.v1.1 annot-version=v1.1 MAGTHPWLGVVDHVCFRPLAGSSSLGRVADLAATVATDIGQSRHSLAAHVLAACRRRRHLVCRSCCRRVLAGGIDGLCFLYARVLPRLAIRFVAAITTGVVNCISHEKYHVGSS* >Brasy6G133200.1.p pacid=40051448 transcript=Brasy6G133200.1 locus=Brasy6G133200 ID=Brasy6G133200.1.v1.1 annot-version=v1.1 MGDDASKGAHGLGEASSASSLSTLPAAVPCGFVNGGEPLRPRRLPDETCAAASPWPPENAARSLVAWTRGGGAVRALLVISVGSLALVPLTLLLIFAFFLMAAATAAIVVSIGVSLAAAGALLAVMYVSALSVAVFVISVTTMATVIAITIATGWVAFFWTLWFSAKKCFGTF* >Brasy6G107400.1.p pacid=40051449 transcript=Brasy6G107400.1 locus=Brasy6G107400 ID=Brasy6G107400.1.v1.1 annot-version=v1.1 MESTTVIWLVCISIFITIVWSKIARGTTSSTPTTKRSLPPPPMAAGAPLLGILPALVMKGPLQAIHDAYKTMGSVFTVRLLHLQVTFLVGPEVSGHFYHGLDSEISQDEVSRFTIPTFGPGVGFDVDLATRREQFRFFGDAMKPAKLRTYAPLMAREVEEYFTKWGQSGTVDLKQELEHLVTLVASRCLFGEEVRGKMFGEVATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGEIFSGIVRSRKSARRGGHDDILESLIDSRYKDGRATTETEVAGMLVSALFAGQHTSSSTATWTGARLLSPDNAAHLRAAVEEQERVMGRHGPGRVGYEALQEMDALHRCVEETLRLHPPALTLLRHARRGFVVRSKEGREYEVPAGRTVASPLVIHNRLPGVYRDPDRFDPGRFGGPGRTVGEREGGGALAYTAFGGGRHACVGEAFAYMQIKSIWSHLLSNFEMEMVSPFPETDWNVVMPGPKGKVMVSYKRRRMAAAA* >Brasy6G112700.1.p pacid=40051450 transcript=Brasy6G112700.1 locus=Brasy6G112700 ID=Brasy6G112700.1.v1.1 annot-version=v1.1 MHPYSFRPPPMHHHEQGHQNLENFHFVGASPHDSFSTPPPPPQPEVASRSAPAKVDSTSSKRKRRAIDVDGNGSGERTAYRLAYTPEEHASAWLECSLDPIDGNGKKGEKFWDDIAALYNSTTPSNRKRDRNQLKMEWQRTKKRLAAFHGEWMAVIGVYHSGHSKYEGNYGHPFQHLTMWEKLKDDGKWLGSYKNMIAKAGKSSAHMETNLTSNETNLEAEKRPLAGRDKAKANRAGKVKSGGISQELGERLDKFIEVNNQSMEDRQKVIDNQVFLSNQQLETAKINNNTKMLDAYTKMLLADTSKMDDGEKARRSKALSRMEAMLFPEGDSGDQGEVQ* >Brasy6G050000.1.p pacid=40051451 transcript=Brasy6G050000.1 locus=Brasy6G050000 ID=Brasy6G050000.1.v1.1 annot-version=v1.1 MKLVLSFLLLVPLLVAVSSSSASAAEEEFDFFYLVQQWPGSFCDTRQGCCFPDDTGRPAPGFGIHGLWPNYAKCKTAFHKPNNAAPGLESSINKRRKKKCWPEYCNNGEPLKLGQIADLLTTLNANWGTLSCKNKKSFTFWAYEWKKHGTCSGLAQHEYFQAALRLKAQHNLTGILAQAGIVPSDEKTYFLSSIRDAIKEGTGFKANLQCNRGVGGETQLFQVYQCVDVSGERLIDCPLPMQGNCQDRVQLPVF* >Brasy6G070600.1.p pacid=40051452 transcript=Brasy6G070600.1 locus=Brasy6G070600 ID=Brasy6G070600.1.v1.1 annot-version=v1.1 MAGRKHSLYWWLTGDESPASPRPAHHRTVSPRSGCRRSPSPPTHRRPPAGSAPHRCHRPPVSSPATRRSMTLTSSRRTAPPRTLHQGNPTSYHPPPPALPVSSSMLAPRHANLDDMELARLASLETYWAELADREAREQARRSSRQ* >Brasy6G041100.1.p pacid=40051453 transcript=Brasy6G041100.1 locus=Brasy6G041100 ID=Brasy6G041100.1.v1.1 annot-version=v1.1 MGCAQGKCCGGGGGVAEREGRGVPGGGRGGATTLGRAAVPAAGLVLEYATLAVDGLYPDTPGRESQDAHLVATRFAGDPDLHLFAVFDGHGACGAACAQFAREALPRLLLLPRLAADPAGAFREAMTAANEEMHAAGGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHKDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDAENSGPAGSDKANYSGTGAPIALHTLQSGLPTFVPSEVYHLNGGAATELQQSSSGSPSERRLSCVAPSPTHLLLGGDEASEASRPTQTKRAVHMVESELPVFVPSETYHLNGGAATELQPSSSGYPSERCLSSIAPSSPHLLFRGSKASEVSKPTQTERAAPLAVEA* >Brasy6G041100.2.p pacid=40051454 transcript=Brasy6G041100.2 locus=Brasy6G041100 ID=Brasy6G041100.2.v1.1 annot-version=v1.1 MGCAQGKCCGGGGGVAEREGRGVPGGGRGGATTLGRAAVPAAGLVLEYATLAVDGLYPDTPGRESQDAHLVATRFAGDPDLHLFAVFDGHGACGAACAQFAREALPRLLLLPRLAADPAGAFREAMTAANEEMHAAGGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHKDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDAENSGPAGSDKANYSGTGAPIALHTLQSGLPTFVPSEVYHLNGGAATELQQSSSGSPSERRLSCVAPSPTHLLLGGDEASEASRPTQTKRAVHMVESELPVFVPSETYHLNGGAATELQPSSSGYPSERCLSSIAPSSPHLLFRGSKASEVSKPTQTERAAPLAVEA* >Brasy6G041100.3.p pacid=40051455 transcript=Brasy6G041100.3 locus=Brasy6G041100 ID=Brasy6G041100.3.v1.1 annot-version=v1.1 MGCAQGKCCGGGGGVAEREGRGVPGGGRGGATTLGRAAVPAAGLVLEYATLAVDGLYPDTPGRESQDAHLVATRFAGDPDLHLFAVFDGHGACGAACAQFAREALPRLLLLPRLAADPAGAFREAMTAANEEMHAAGGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHKDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDAENVLQGVTKLTTAARERR* >Brasy6G041100.4.p pacid=40051456 transcript=Brasy6G041100.4 locus=Brasy6G041100 ID=Brasy6G041100.4.v1.1 annot-version=v1.1 MGCAQGKCCGGGGGVAEREGRGVPGGGRGGATTLGRAAVPAAGLVLEYATLAVDGLYPDTPGRESQDAHLVATRFAGDPDLHLFAVFDGHGACGAACAQFAREALPRLLLLPRLAADPAGAFREAMTAANEEMHAAGGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHKDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDAENGVTKLTTAARERR* >Brasy6G041100.5.p pacid=40051457 transcript=Brasy6G041100.5 locus=Brasy6G041100 ID=Brasy6G041100.5.v1.1 annot-version=v1.1 MGCAQGKCCGGGGGVAEREGRGVPGGGRGGATTLGRAAVPAAGLVLEYATLAVDGLYPDTPGRESQDAHLVATRFAGDPDLHLFAVFDGHGACGAACAQFAREALPRLLLLPRLAADPAGAFREAMTAANEEMHAAGGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHKDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDAENGVTKLTTAARERR* >Brasy6G155700.1.p pacid=40051458 transcript=Brasy6G155700.1 locus=Brasy6G155700 ID=Brasy6G155700.1.v1.1 annot-version=v1.1 MPRPRGRRSGSARTDPKEGTAPPAPEQAAGPPPQEDSAAPVEEESAAPVKEESAAAAPVEEESAAAAPADEDNAAPAPVDEERTDVDVELDAAAGEDEGARVGEAERTREELERMVMELSLQNENLKSQIAVAQQPASNEETGGDKGKEEDSELVRSLKEQVEKLSKEVQEQKQTQKVAEAALEHVNLAYAEADGKVQELTVMLREAQQKMEKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEINQKAEQAASLQLAAQQELERARHQASEALRAMDAERQQLRTVNSKLRSNFDETRLALEARNNALEKLQQSILEKEQMLEQIQGSMQSAEEKRHASVSELAAKHQKQLESLEAQLAEVSEERTKASETIQSLQMLLAEKDSEITEIEAASTGEAARLRANLEEVKGELAHLKGQHEQERQSWEATCESLRAKLEASESACLRSELEAAKSRTQLELELSTQNQLLHTKDCDLMAAKDEISRLESDFSAYKIRAHALLQKKDAELTAAQNSDCLKAHEEAIKEVEKEVAAALEERDEAIQALQAAQSRHSEEIEARDLALADVDKKLKDVMKKLDSVSSQFLSEKESWERNLASVEENWRLKCESMKDQSNGHAEDHLQKNIGALTLKYEKLKEEHESFRDISDRMIEEKEHEIAKLLKENKDLRHSLDDKAAVSKNDSQSPGHVKQDVLSIELAEQQILLLARQQAQREEELGQSQRHILALQQEIEELERENRLHDQQEAILKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELNKCQNGVLSNVASSPATALLDGGSTPNSFFGRFTF* >Brasy6G128800.1.p pacid=40051459 transcript=Brasy6G128800.1 locus=Brasy6G128800 ID=Brasy6G128800.1.v1.1 annot-version=v1.1 MHNTKFRKGALTANFRSGGGGRLHHAAAPFRNEEAWPPTERTDGSGRGDGRRRIGKVEERGGRAGRGDGRRGRWGGGASGGKERRGRGRRRRGEAGAARRRVRGKWRRGEAGTGEVEERGGRGRGGTTAGTGAAGGARAEETRVGEAAGWGLCGERERAERVLGAKAAEPRTAAKPGTSTPMDDDGHADARPGPARRNRTREQREACRFTHPKERAKARASLSSVQ* >Brasy6G007900.1.p pacid=40051460 transcript=Brasy6G007900.1 locus=Brasy6G007900 ID=Brasy6G007900.1.v1.1 annot-version=v1.1 MFLARRPSGLSHVSRIRVLHTVSWIFPPSGQARFFSGCSDDATTNSLGRLSELFRPVRVDISGVILRALERSACSEPLVELERLDVELDPIVVNLVVRGLLDSETAVRFYWWAESRPGFDHSQFTIAYVVSLLFMDGNFALLSEFLGRVKSQGVALHRSLYRILLSGYVRASKFDSVIETFDEMVMSGCREFGVDYNRYIGVLVKNCCFDLVEKYYDMALAKGFCLTPFTYSRWISALCQSNRIELVQELLADMDKLGCYPDIWACNIYIYYLCKQNRLPDALQMVEKMRTKGTSPDVVTYQTVVSGLCDNKKFAEAIGLWEEMVKRDLKPDVFSCGALIFGLCKNNKVDEAFELASRMLTLDIELSVSIYNALISGFWRAGSIDKAYKIISFMRTNGCEPDIVTYNILLNHYCTIGMMEKAEKLIRKMETSGVNPDRYSYNQLLKGLCKTHQLDKAFAFVSDHMEVGGFCDTVSCNILIDAFCKTKKVKSALELFKEMGYKGMQADAVTYGTLINGLFSVGYYNLAEELFEQMLNAQIDPNVNLYNIMLHHLCKVKSN* >Brasy6G263800.1.p pacid=40051461 transcript=Brasy6G263800.1 locus=Brasy6G263800 ID=Brasy6G263800.1.v1.1 annot-version=v1.1 MPAKYLQALGEERRPELNHRQIGCVTGILQAFDRRHPLAAHHAHKRLLPPGNALSSSPSVGEERTRYGPQIVLDKNLSKSWIENQRASCTMELSQTSYSSSSCSSFSSLDGNRSTQQDLSSTDRMLFPDKPLKCSPKLKSSPDSDHGPDYYLDDTLTDSHNMSSGQSSHPTLGIRNLVKDSIYRDTHVLSVRTFTNEPVKDHKYNCGDPATCYDEPPSSGIQGKSKGTMDINESLRVLAKLRESTARLSFDAPRFSYDGRESASKLREMPRLSLDIKEGPLTACEMDSCSKPSTNTADRNNNSDKEFNAVLENQREQPACKRLPSVVAKLMGLEELPEPSNTVMSSHASKSVQEIKQEPLLIPLSLSSHNEPTRRQQRNLDATIRNVPNSKFPAETAPWKQQERIVLPRKLPKGSKGAHGKEQPAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQSKKREQASMLKLYDDNYDSQEGSVANPRPNISTNPNQISKGPPSSSAEEESIVERFFKSPIVIMKPAKSADLLGDSDTDSSVIPLGGLSDLPQLRTVNGTDKKKSTEINRAVIEQHPKSSDRKPNGRKEVIGKKQKSSSQLMTESSSRRQQLPREQNGSLLKHKNSTSPRLPQKKLDVERRGRPPTPSVESNKNQRQSADRSHLDTVSPRSKVRRNLAQGEDGHQNGTKSRTRSLKRQGDDVSTRSDGSMSVASELDIEVTSADRSAEATVSSFQLGNQTPAGRHPQKVKTSYDANKDVPSTDPAATILERPSPVSVLDSSFDQENFFPTSKTSNSPNVDDERHPSDESQKPSDTKPTKLTAQPKNSKLANVASLLQKLQQLTANKDDDEAPPVDHIAFLCETQSLDHRYVSEILLASGLLMKDLGSGLSQIQLHTSGYPINPDLFLVLEQRKSGWISKPEGIHQSRRSDDPQRAHRKLMFDAVNELLLQKYEKETSFHSASSLIGARELSNGQQLVKTICSEIEYLKTERSGMCQEDSSSSSSSVIPDAEILHRLEGWTPSFGQQHLPGMVLEIERSIFKELVDEVVRGESADGAQAKAGRRGRRRLFA* >Brasy6G263800.2.p pacid=40051462 transcript=Brasy6G263800.2 locus=Brasy6G263800 ID=Brasy6G263800.2.v1.1 annot-version=v1.1 MPAKYLQALGEERRPELNHRQIGCVTGILQAFDRRHPLAAHHAHKRLLPPGNALSSSPSVGEERTRYGPQIVLDKNLSKSWIENQRASCTMELSQTSYSSSSCSSFSSLDGNRSTQQDLSSTDRMLFPDKPLKCSPKLKSSPDSDHGPDYYLDDTLTDSHNMSSGQSSHPTLGIRNLVKDSIYRDTHVLSVRTFTNEPVKDHKYNCGDPATCYDEPPSSGIQGKSKGTMDINESLRVLAKLRESTARLSFDAPRFSYDGRESASKLREMPRLSLDIKEGPLTACEMDSCSKPSTNTADRNNNSDKEFNAVLENQREQPACKRLPSVVAKLMGLEELPEPSNTVMSSHASKSVQEIKQEPLLIPLSLSSHNEPTRRQQRNLDATIRNVPNSKFPAETAPWKQQERIVLPRKLPKGSKGAHGKEQPAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQSKKREQASMLKLYDDNYDSQEGSVANPRPNISTNPNQISKGPPSSSAEEESIVERFFKSPIVIMKPAKSADLLGDSDTDSSVIPLGGLSDLPQLRTVNGTDKKKSTEINRAVIEQHPKSSDRKPNGRKEVIGKKQKSSSQLMTESSSRRQQLPREQNGSLLKHKNSTSPRLPQKKLDVERRGRPPTPSVESNKNQRQSADRSHLDTVSPRSKVRRNLAQGEDGHQNGTKSRTRSLKRQGDDVSTRSDGSMSVASELDIEVTSADRSAEATVSSFQLGNQTPAGRHPQKVKTSYDANKDVPSTDPAATILERPSPVSVLDSSFDQENFFPTSKTSNSPNVDDERHPSDESQKPSDTKPTKLTAQPKNSKLANVASLLQKLQQLTANKDDDEAPPVDHIAFLCETQSLDHRYVSEILLASGLLMKDLGSGLSQIQLHTSGYPINPDLFLVLEQRKSGWISKPEGIHQSRRSDDPQRAHRKLMFDAVNELLLQKYEKETSFHSASSLIGARELSNGQQLVKTICSEIEYLKTERSGMCQEDSSSSSSSVIPDAEILHRLEGWTPSFGQQHLPGMVLEIERSIFKELVDEVVRGESADGAQAKAGRRGRRRLFA* >Brasy6G025900.1.p pacid=40051463 transcript=Brasy6G025900.1 locus=Brasy6G025900 ID=Brasy6G025900.1.v1.1 annot-version=v1.1 MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMVREADVDGDGQINYDEFVKVMMAK* >Brasy6G015300.1.p pacid=40051464 transcript=Brasy6G015300.1 locus=Brasy6G015300 ID=Brasy6G015300.1.v1.1 annot-version=v1.1 MPLQRAATGTVTEANRRTGDVRDGAGGTGLTVATRDREGPAAAESRRRRAPSFRCQSRPGLQPPEFLGGGVGLGGTRWGGHRAWEGNEGDGGTGRGGRQSGVVDGVGRPPAPEWRRRRRGP* >Brasy6G011800.1.p pacid=40051465 transcript=Brasy6G011800.1 locus=Brasy6G011800 ID=Brasy6G011800.1.v1.1 annot-version=v1.1 MGGGGEELMSPANGGGGQQQPFFGGGDSLVLDELHLQDQLAFLGGGDRQQQQLPLFDGGGSECRSPGGDAGIFPYGAGWAHGHRRSASVSELCFGGGDALGWKPCMYYARGYCKNGSACRFVHGGGGGFSDDLAGAKMDQAAVEQQCHDFLLRSKSQRLAGGFPYSPTGSLPGSPSAASKCLSLLLQQHQQQNESQRAAAAAAAAAALMLGGDEAHKFMGRARLDRGDFASMMNPGSRQIYLTFPADSTFREEDVSTYFNIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKFRKQQGERMDFTSCTSPTGLDARDPFDLHPLGSRMLQHSNSANEMLLRRKLEEQQQAAELQQAIELQSRRLMGLQLLDLKSRSAALPTPIGNPFSPTHTAGATPTGDSPPDSGEQGNGCGFLFPHKKAVNGADKDESAGDSTTSPHTDSDQSAEHNLPDSPFASPTKSAAFSRDPFTPTEAEISAASTGCNAAYAGIRNGGSGANHLLPPALDIPSPKPYFFPMSRLSSDHGAIGM* >Brasy6G229800.1.p pacid=40051466 transcript=Brasy6G229800.1 locus=Brasy6G229800 ID=Brasy6G229800.1.v1.1 annot-version=v1.1 MMDAAMYDESNPMMEWLNEDEEHIILVGADAARAIFEEIRSLNSRKTASRLGKKDSSRKRKRAVQEEEDDFIDCEDDEDEENEYIDDDDDDDDEGQDDSASESDGVPSQVQEERQNQVENEEATSGGSLVNRRTGRVRQAKKVKDVNSLYH* >Brasy6G198800.1.p pacid=40051467 transcript=Brasy6G198800.1 locus=Brasy6G198800 ID=Brasy6G198800.1.v1.1 annot-version=v1.1 MAFALKASSSSSVAASSAAASSASFAPRGRRAAARRVSFRGAAPVAAIRAEASATAAPVAGDRLTISGTFSKLKEQGKIAFIPFIVAGDPDLATTAKALKVLDACGSDVIELGVPYSDPLADGPVIQASATRALTKGTTFEDVISMVKEVVPSLSCPLALFTYYNPILKRGVANFMSVVKEAGVHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPTERMERITKASEGFVYLVSTTGVTGARSNVSFKVEALLQEIKQVTDKAVAVGFGVSTPEQVKQIAGWGADGVIVGSAMVRQLGESSSPEEGLKKLEELAKNLKAAFP* >Brasy6G029800.1.p pacid=40051468 transcript=Brasy6G029800.1 locus=Brasy6G029800 ID=Brasy6G029800.1.v1.1 annot-version=v1.1 MFLRAAIQRGYSTASTAAGASSQRLAGKVAVITGAASGIGKATATEFICHGAKVILADIQDTLGRSVAASLGGGPSTAHYTRCDVTDETQVAAAVDLAVSLHGKLDIMVNSAGVVGSLDLPPLSALSMADFDAVMAVNTRGVMAGVKHAARVMVPQKSGSIVCIASIAGVMGKLTPHPYSVSKSAVIGLVRAAAGETAKAGVRVNAVSPNYILTPLVKRILEEWYPGMSDEEHRGIVEKDINEMAEGGVVLEVEDIARAVVYLGSDEAKYVNGHNLVVDGGFTVGKAPNMPPMA* >Brasy6G123100.1.p pacid=40051469 transcript=Brasy6G123100.1 locus=Brasy6G123100 ID=Brasy6G123100.1.v1.1 annot-version=v1.1 MASPFSVPSLIMEEEGRFETEVAEVGAWWNTERFRLTKRPYTARDVVLLRGTLRQSYASGEMAKKLWRTLKSHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQQEARMSLPRAERAHAAPLVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHGFILGATNPRLKSRSLNTVLSDAMAAGKNGMELQAIEDDWIATAQLKTFSDAVKDAIAATPNATDHDRQRKLQEWSNATAGYVKCVSHEQARDIAASLGVPPLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADMLWMETSSPDIAECTAFAEGVRGGHPDTMLAYNLSPSFNWDASGMTDADMAAFIPQVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVDTLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGATEEQFKGSWARPGSESGGQHVLAKSRM* >Brasy6G218800.1.p pacid=40051470 transcript=Brasy6G218800.1 locus=Brasy6G218800 ID=Brasy6G218800.1.v1.1 annot-version=v1.1 MFPVGGSEFTFSFSLACFCLLRLQPHPLSPPSCRPCCPINLPQFSNSIDSTSNFKLHALETTALSRSVLASDCRCSIPTAASLSLWPVILNPRFCARRPSLELQGASQCQGSSFAPPRTRCSILAAALAPSAAVLLSLTVLRLPPVKSYCSNLTKEDWRRDLVPLFLEIREVYVADNFF* >Brasy6G088600.1.p pacid=40051471 transcript=Brasy6G088600.1 locus=Brasy6G088600 ID=Brasy6G088600.1.v1.1 annot-version=v1.1 MQSMVSLVLALLLLLGLDGEVASSTDDGQFAYQGFATARLMLDDATVTPNGLLELSNKKGHAFHPSPLSFRKHSSATTNSNVVARSFSTSFVFAIVGKYDGLSSYGLAFVVAPTTNFSVANGGPYMGLLNSTNGTADNHILAVELDTIMNTEFKDIDSNHVGVDINSLVSQQAKPAGYYADDDGTFRDLRLNSRKPMQVWVDYDGQTRQLNVTLSPVQVPKPKKPLLSEAIDLSTVMADMMYVGFSSSADISIITRHYVLGWSFSLDGPALPLDFSKLPTLPRLGPKPRSKVFDIALPLTTGLLVAAVLATIFFFLWHRRRFAEVREDWEDEFGSHRFVYKDLYLATDGFKERNLLGIGGFGRVYKGFLPTANLEIAVKRVSHDSRQGVREFIAEVVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMENGSLDKYLYIKNGPTLYWCERYKIIKGVMASLLYLHEEWEQVVIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGTIGKTTHVVGTMGYLAPELVRTGKATPLTDMFAFGIFLLEITCGRRPIDIDENNNQVVLIDWVLEHHRNDSILDVVDPRLAGNFNKEEVILVLKLGLMCSHPSPNTRPIMRKVMQYLDGGLSAPDLSPTYVNYSMMMLMQHEGFDSYMTLCPQSETSICTVSGESSVSVLLEGR* >Brasy6G043800.1.p pacid=40051472 transcript=Brasy6G043800.1 locus=Brasy6G043800 ID=Brasy6G043800.1.v1.1 annot-version=v1.1 MASVPSLAVSGGAVATAFRAVLAADAHKPPPGSVGFDKGSSYQGSTQVLENGRLEAPPRPLDAQEAMAMLKEGQSVQSAMYVPLLHRCIETGSLGGAKALHGHMVKTGTIVDIFVATSLVNVYMRCGSSQDARNLFDEMPEKNVVTWTALITGYTLNSQPVLALEVFVEMLELGRYPSDYTLGGMLSACVASHNIDLGKQVHGYTIKYGAESITSIGNSLCRLYTKSGNLESGIRAFKRIPDKNVITWTTMISACAEDENYTELGLTLFVDMLKGEVMPNEFTLTSVMSLCGTSLDINLGKQVQGFCFKIGCETNLPVKNSTMYLYLRKGETEEAMRLFEEMEDSSVITWNAMISGFAQIMDSAKDDLHARSRGFQALKLFRDLVRSPMKPDLFTFSSILSVCSTMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEYATKAFVEMPTRTLVTWTSMISGYSQHGQPHDAIQLFEDMISAGAKPNEITFVSLLSACSYAGLVEEAMRYFDMMQNEYHIEPLMDHYGCMIDMFVRLGRLDDAYAFIKRKGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKVVETYVLLLNMYISTARWRDVARVRKLSKHEDLGILRDRSWITIRDKVYFFKADDRSHPQSTELYQLLETLLEKAKAIGYEPYQNTELYDSEEDGKPAAGSLKHHSERLAVALGLLKTPPGVTVRVTKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFKDGRCSCGDFDFCMKAKFV* >Brasy6G043800.2.p pacid=40051473 transcript=Brasy6G043800.2 locus=Brasy6G043800 ID=Brasy6G043800.2.v1.1 annot-version=v1.1 MASVPSLAVSGGAVATAFRAVLAADAHKPPPGSVGFDKGSSYQGSTQVLENGRLEAPPRPLDAQEAMAMLKEGQSVQSAMYVPLLHRCIETGSLGGAKALHGHMVKTGTIVDIFVATSLVNVYMRCGSSQDARNLFDEMPEKNVVTWTALITGYTLNSQPVLALEVFVEMLELGRYPSDYTLGGMLSACVASHNIDLGKQVHGYTIKYGAESITSIGNSLCRLYTKSGNLESGIRAFKRIPDKNVITWTTMISACAEDENYTELGLTLFVDMLKGEVMPNEFTLTSVMSLCGTSLDINLGKQVQGFCFKIGCETNLPVKNSTMYLYLRKGETEEAMRLFEEMEDSSVITWNAMISGFAQIMDSAKDDLHARSRGFQALKLFRDLVRSPMKPDLFTFSSILSVCSTMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEYATKAFVEMPTRTLVTWTSMISGYSQHGQPHDAIQLFEDMISAGAKPNEITFVSLLSACSYAGLVEEAMRYFDMMQNEYHIEPLMDHYGCMIDMFVRLGRLDDAYAFIKRKGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKVVETYVLLLNMYISTARWRDVARVRKLSKHEDLGILRDRSWITIRDKVYFFKADDRSHPQSTELYQLLETLLEKAKAIGYEPYQNTELYDSEEDGKPAAGSLKHHSERLAVALGLLKTPPGVTVRVTKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFKDGRCSCGDFGTLL* >Brasy6G131400.1.p pacid=40051474 transcript=Brasy6G131400.1 locus=Brasy6G131400 ID=Brasy6G131400.1.v1.1 annot-version=v1.1 MQPPPGWRPSPNYKGKNPVYRPPKSAAPNNPGTPATHPPAWRAPTQDPWTGLVQAWPMPWTSAPPPAWTPAPPPAWTTAPRPHIGSPGLLGSRPPANAFHAYTNGAQYQPPSAPSAPLYPVPFQYQQAAAPPGALPTATIPLYQATSPPVKRSTP* >Brasy6G197400.1.p pacid=40051475 transcript=Brasy6G197400.1 locus=Brasy6G197400 ID=Brasy6G197400.1.v1.1 annot-version=v1.1 MEIATVVFAPILVASIIIILTKMARGTRSAGPLQVINDCYTKMGSVFTLSLFHLKMTFLVGPEASRHFCQGLNSEISQDEVSRFTVPTFGPGVAFDVDYATRHEQYRFFGDAMKPAKLRTYAGLMVGEVEEFFGRWGQSGTVDLKHELEQLVTLVATRCLFGEEVRGKVFGEVATLLGELSDGMRLITILLPRLPIPAHRRRDKARARLGDIFSEIVRSRADKSCGSDDKDMLQCLIDSRYRDGRATTETEVVGLIVSALFAGQHTSSSTSTWTGARLLAPANAGHLHRVDYEVLQEMGALRRCVKEALRLHPPGMMLMRHARRNFVVRTGEKGQDELEVPAGHAVANLLVIHNCLPHIYREPEKYDPDRFGPGREEDKAGGAFSYTAFGGGRHACVGKAFAYMQIMVMWSHLLRNFELELVSPFPETDWNVVMPGPKGKVMVRYKRRRMSGTASTTDSMQ* >Brasy6G041400.1.p pacid=40051476 transcript=Brasy6G041400.1 locus=Brasy6G041400 ID=Brasy6G041400.1.v1.1 annot-version=v1.1 MASVRVVGRSVVHVPRRLVLAEAVKQLATSPAVYFTSCSDGCFVSTVEVTVRLCCHEGRSRVLKASGRHTSGIQDAEEKAAGCMIRMLRVEHGVRFDDINRSRFTKCRRNFAMHPFFFGRT* >Brasy6G015500.1.p pacid=40051477 transcript=Brasy6G015500.1 locus=Brasy6G015500 ID=Brasy6G015500.1.v1.1 annot-version=v1.1 MGQVVSWAKAEIGPLEKTSGRPSPARPSSASSSPAAALCSPLPLTSWSHSSGRPSSTPKQLAVEQLACVRHEATSLWTVRSRDLLPEQPPKTSRAYPYPVVTFLALQRRIRRRTPPSRPLPSSMATPISLRTRIRPPRNVAPTSNLSATPSFNAGSHRSPPHRIGTHRQRRWASGRGERLQVHSRQCSLGRRLPAPRAQPPCSFEQRSRTVFNIASVGISLPFAGSGCSGVRHLCRSPAGHKSGGQRSLQARS* >Brasy6G107900.1.p pacid=40051478 transcript=Brasy6G107900.1 locus=Brasy6G107900 ID=Brasy6G107900.1.v1.1 annot-version=v1.1 MAKSASSLLILAVVVAAACFGAAAAARGVPAENKQQDEVKRPETFQEGTVLIPGIGRYELGTHYRPDIGGLDHSIPAAAHGQFMPGADDTWVPNPGFEVPNPFHP* >Brasy6G221000.1.p pacid=40051479 transcript=Brasy6G221000.1 locus=Brasy6G221000 ID=Brasy6G221000.1.v1.1 annot-version=v1.1 MCFLYPPEEEAQHRKVIADLGLYDAGWAVGKAMERSDVAPGQNRLLMPSWAVRRGPIPKLFPELEELGEDGKNAEKTISATMLSAEPRIEKEIGLVFLNCNSAYRIKGPGWKQFVAESGVATGDRLDLYTCRHPRDGRCCLFVFRSNGDGGGGLRLRGVHVAAGG* >Brasy6G032600.1.p pacid=40051480 transcript=Brasy6G032600.1 locus=Brasy6G032600 ID=Brasy6G032600.1.v1.1 annot-version=v1.1 MDVRRRPAAAAAEEPKRPRPAAAAAAAPAAPGDLVLRPPNLRVVLAAMALFLAPFSYLAFVHYPLDADLRRSILICGAISLGGFFVVLRLIPVAARYLLRRGMFGKDINKKGLPMGEITVPESLGIVVGIVYLVIAILFQHFNFTADSIWLVEYNAALASVCFMILLGFIDDVLDIPWRVKLLLPTIAALPLLMAYAGGTSIIIPKPLASYVGVAVLELGWMYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVVISAAVLIHNVTRIGSSKDLETQQAHAFSIYLLLPFLITSLALLGFNWYPSSVFVGDTYTYFAGMTLAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVPCPRHRLPRFDTRTGLLTGTKDGNLVNIFLRLFGECSEKSLCIRLLVFQALSCLFCFWLRYVLTGWYK* >Brasy6G262100.1.p pacid=40051481 transcript=Brasy6G262100.1 locus=Brasy6G262100 ID=Brasy6G262100.1.v1.1 annot-version=v1.1 MAMSPDQARPSRAGAGRTTLLLAALVAAVAASLPSPAAAANYTVGDEKGWNPKVDYTAWVKKHKPFYKGDWLLFQYQNGRSDVVQVDEVGYDNCDKESAISSHSKGTTYAFQLKEAKDYFFICSYGYCYSGMKLALTAKKGSASSSTSPSSPSSDDSDSSDDDSSSSKKTPAAKKSSAKSAAAASHAAAAAPLVTAAVAASAVLLRML* >Brasy6G073000.1.p pacid=40051482 transcript=Brasy6G073000.1 locus=Brasy6G073000 ID=Brasy6G073000.1.v1.1 annot-version=v1.1 MASPEPEVFDVVIFGASGFTGKYVIREALKFLPPNASPLRTLALAGRSRDRVAAALRWAAAPGPAPDVPILVADASDPPSLAALAARARVLLSCAGPFRLHGHQVARACAAAGADCLDISGEPEFMERVEADLHEEAAKNGSLVISACGFDSIPAELGFLFHSRQWPPPSAPASVVAHVSLESDKKIVGNFGTFESAVLGVANASHLQALRRTRPRPPKPNIPGPPPPKGSLIEHDKALGLWVMKLPSADTVVVKRTLSKMTEHPEGLPGVEETSDFAEHRKEFWSSVKPAHFGVKIGTRSLLGVLRFLCTGVFIGILGGFSFGRSLLLKFPEFFSLGWFRKTGPTEEEVSSASFKMWFVGRGYGDAAHAASQRGSKPDKEVVTRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGIVFGPTDLQKRLEENGLSFDLISKRTLPSD* >Brasy6G019700.1.p pacid=40051483 transcript=Brasy6G019700.1 locus=Brasy6G019700 ID=Brasy6G019700.1.v1.1 annot-version=v1.1 MSKRRLQGQGQEKKQANCACKRPRPAQKQKHLYLVLDDLDGGFSIHKIDAEADEQDATGLRQPPVLRLVSPVPQYPMSFDVLGSNILIAPNPFCGSAPTLVYDPERAELAVGPPLPDALHGKRQHSFDVMSWAPTAGKQDPWSTPTMEWSWKSVPTPPPFTENGMITAYAVHPDGRTIFTSVRDNGSRTFSFDTRRCEWRLHGEWALPFKNQGYYDGTLDAWVGLHKDGYICSCQVASRSGTSTSQPDWKIAKEKLFNRVPESKRADLDATLTYMGNAKFCLVECVVREEVEYEYAFGDHDGCMLLITKFGLMYNHKGELITRNLTTSSCLVSKHIACFSPTAFWI* >Brasy6G140300.1.p pacid=40051484 transcript=Brasy6G140300.1 locus=Brasy6G140300 ID=Brasy6G140300.1.v1.1 annot-version=v1.1 MCGTDTMRRALAASSSSSSILAAAAPPPFTTRSRRSTLVLFSSSSLTLAFSAAAAAAATTPYSQSRTLQLGLDNNGKIRTCPSSNPGCVCTNPTVGASSSVASPLIIPDSTSAQAAAQSLRQAILKTQKNVSFKVDQQTPHGQYIEAEVDGGRFGRDVMEFLVNKEAGVVAYRCVATKVTFIYPFTTAIGDSRGQEQRIAAIAQDLGWYAPDVSSSIDFDDVN* >Brasy6G121400.1.p pacid=40051485 transcript=Brasy6G121400.1 locus=Brasy6G121400 ID=Brasy6G121400.1.v1.1 annot-version=v1.1 MPMTMSLYTATPLGSPKCPHLTPTMLVLSLLLVATSISVPAAGVEEGALPVTNDLSRSCGSTSNPRFYQPNSTFAANLDAMFAALPKNASASGGFSAGAFGRAPDTAYGLALCRGDFTGDACAACLAREFKDAARLCGYSKDVTVYHDQCHVRFHDRDFLAGAGNSPEIFATNMNNVSRGNAAAFDMLVARLVNAVADRASRAGGRYATGQAGFDVAGPPDRVNVYGQAQCTPDLAPAQCRGCLAGIIGQMPRLLSGRLGGRILGVRCHFRYEKEIFFSTGDGNMVTVAPLVSSSTGSRSSSALWIVAIVVPLTVLLCGFLACFLWMRQRRRRVNNLSSGRVAMASMSMEMEQVLKLWKIEESDSEFSLYDFDQIADATRNFSNDYKLGQGGFGPVYKGELNGGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQAEEKMLIYEYMHNKSLDCFIFDSAKGAILNWERRFRIIDGIAQGLLYMHKHSRLRVIHRDLKASNILLDRDMNPKISDFGLARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSTKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEAKWHEMVDPVLGDDYPVAAVMKCVQVALLCVQDSADDRPNMWDVVAMLGSEGLALPEPRQPAYFNVRISSFPESTSSFGEMSYISSVTLTDEEGR* >Brasy6G125100.1.p pacid=40051486 transcript=Brasy6G125100.1 locus=Brasy6G125100 ID=Brasy6G125100.1.v1.1 annot-version=v1.1 MTTTTTTAATAAAAAGPAAMNVGVPQLCGAGGGGGGARGRRRRPADLRWARLLRLAVATRVVRLVWDQLLACSSCGGGGGGGGRYRRLGPPPHGGDVMCPVPMEDDDGGGGAGADPDAAEVEDVVGLKVSLLGDCQIGKTSFMVKYVGDDGEEQNGLQMTGLNLMDKTLAVRGARLAFNIWDVAGDSQSIDHVPIACKDAVAILFMFDLTSRCTLNNIIDWHERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF* >Brasy6G132700.1.p pacid=40051487 transcript=Brasy6G132700.1 locus=Brasy6G132700 ID=Brasy6G132700.1.v1.1 annot-version=v1.1 MDPQNPRPLFDFDLNELPPPDDGGTLDASPPSQDDGGKFDDASTTALERQPSPEDDVTADPSQADCLSPSQQMLDLDAPLSPMYDDEDEEDHVELQLPSPDPLYETDEAEAARSPEKMASGGRSPVIYHAEVEAAAPLTARPYFHAGVDMATPARSSYRVDIHGSAAPFYSSPSRHGGRTSRPNSEAKPPPPPSAFPVRSSSETTAASRAHADAKGASDTSSPSSHRSRLKRRLSVPRDDSASDSKSILHLGNNYDDEDTTGSPRRRTRRSPRHGERSWRNSRGPPTRDQQAPRRNSSRYKPYDGRDQRGQGHRGHEKRQGYRADDHGPRGYAKPKFPVQQVCFDPYGSREDSYGGRRQVPAQEAPNGGYHQYREPPRGHFPASFNQRCHPYVSAEDGAFDGTKKKNPVNQRAMRDENHRPYFPASFNQRCHPYVSVDDAYDGTKKNPGNQRAVRDENRPDQHRRRRNRRQYYQDPYSQSPSGLS* >Brasy6G063600.1.p pacid=40051488 transcript=Brasy6G063600.1 locus=Brasy6G063600 ID=Brasy6G063600.1.v1.1 annot-version=v1.1 MADKISTVVLKVDLECERCYKKIRKVLCKIQDKMNIKTISFDEKSSAVLLSGPFDADKLCRKLFSEAGRVIKKMHVQGEKASRDKDGGGDKPKAAKPVEKDGGKADKKDAGGGKVDKADKKDGGGGKGEKGDKKEGGGGKPEKGEKKVKFELDDGPGADQPKPMKPMTQLPPGMSNADLGPLLEKMMRAKQGGPEPPRGEPMGPPPKMMPPPGPAPAQGVAVPSIWPAPAGPVSGYSYNPAAAYGGQPPAYYCGGGCQCGSCCKAAGAGGYYGGGAPAYDHQGWYYGNRQQPPCYYQPQQPYHCSEDPNAGCSVM* >Brasy6G057600.1.p pacid=40051489 transcript=Brasy6G057600.1 locus=Brasy6G057600 ID=Brasy6G057600.1.v1.1 annot-version=v1.1 MKRGRSTGAMAPPPAAAAALEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYVDYLSSIGVLIPCIDSSGEIEEEEEHKVEQVPLKNVEKKVEKVEKKAEMQQMLEKIEDLIGLCKMTLCVFVHQLGHHHQLQHQQMVDPGQQHLLDHLCLNQGISSCYVQAGYMHCNAQ* >Brasy6G143600.1.p pacid=40051490 transcript=Brasy6G143600.1 locus=Brasy6G143600 ID=Brasy6G143600.1.v1.1 annot-version=v1.1 MKKKPRPIPPTPPPQIPKPSPPAIATATMSRWKENISPARPLPCGTSTPSPLLPCKRPLDSSCPPPRRPLADVTGNALQLRGSRIRCVGSEDGGGYGYSTPVAKVPKSCGFLLDDDDDDDMDEAFLLEVDAICEEHSRSMAGKDKGREKDSAVERRKAAEGPAVASAAIGAGPECGTLQDVQDAFWKEANAISEECDTQPVAKSQDEELKEVEIEESLVLSCDDDSLPPAISIADDGVELEDAFWEVNAFSKEHHATSSAQCQEEIKEVNEVDGSEALCVDSPPAISIAEGGGEVVDAFLGEVDAIGEGHSALSAAKGKQEPGEMELEMEEDGGCAPRKYYEYLHSLNDRQREAACSDVTVPLMILAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAAAEMRDRIGAVVGKAVAKEIVISTFHSFCLQLCRNHAEKLGRTSEFIIYGHGQQRRAVIEAERLLEHDKSDDLGDAVKQNHGGIKNSFKDKAKKWLKFVTQAKSSGRTPEEFEKKGDLTGASILRHYNEILVSCNALDYHDFINSSITLLTKFPEVYKECQDTWKAIVVDEFQDTSAMQYFLLKVLASHNHLTIVGDDDQSIFSFNGADISGFDSFCRDFPNHKEIRLNKNYRSTRAIVEAATALIHNNTKRCHHKLVETDNPSGSKITVKECHSEESQCAFVIDKIIETASSSAEGCNFGKIAVLYRRQVTGKAFQVSFRNRKIPFNIHGVAFYRKKVIKAIMAILRTTLPACDDGPWRQAFKALLPGDKEEKKKIIDHVEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSTLYSLSKFVEREQSISAVISSAGNMLPQQYLLEKRAVIDNDGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSGETCKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGNTLEEERRLFYVAMTRARKKLYILHVTVDSHRQLLPPSRFLKEIPIHLLDVQGEGAATKRHEHTRDISFDHAEGEASIEKVIAEQNETSPYPELMQTCVANDFLKRFEIDDRAVVSHIFHHWAKKQAFQIPKRLLDKIKFVIDERLRGKGYKRKDVLCKLKSLLSDDEALGYAEYVIKWEQIPIDKRSHLMRERQEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL* >Brasy6G002000.1.p pacid=40051491 transcript=Brasy6G002000.1 locus=Brasy6G002000 ID=Brasy6G002000.1.v1.1 annot-version=v1.1 MGGRQLEGPAAAAGRPLTCSYLAKSFALNAYEDNIKGLLRLVRNTFQHKAKRFHRFYLSIIIIDFPSLLSDLQRALHLGGYLSHLNLRN* >Brasy6G012800.1.p pacid=40051492 transcript=Brasy6G012800.1 locus=Brasy6G012800 ID=Brasy6G012800.1.v1.1 annot-version=v1.1 MVSVAVLKAAAAAVCAVLASTLAVYLYRVLWLAPERLRRQLRAQGITGPPPSFPYGNLADMRKASAEAKRTSSSSSIAGAGGSIVHDYRPAVFPFYETWRKQYGPVFTYSVGNMVFLHVSDAAMVRDVSLCVSLDLGKSTYNKATHRPLFGDGILKSNGASWSRQRKLIAPHFFPDKVKGMVELMVGSARALVRGWEEKVTSAAANGEEVELKVDDDIRAYSADVISRACFGSSYDKGKEIFAAIRELQKTVSKPNLLAEMLTGGLLRNNRDAWRLNRRVRALILGTVNGTEKEENNLLNAMLRSAAAAEAGEGEEGGGLSRAEAEDFVVDNCKNIYFAGYESTAITAAWCLMLLALHPDWQRRVRDEVLGELPGDGTAAPPDSSSLQKMKQLTMVIQETLRLYPAGSVVSRQALPRDGVTLGGVRVPGGVNVYVPVSTVHLDETLWGSRAREFDPARFAAGSGSTAPHAYLPFGAGARSCLGQGFAMAELRVVLALVLARFEVALSPAYVHSPVLRLVVEPEHGVRLLLTRVRA* >Brasy6G028000.1.p pacid=40051493 transcript=Brasy6G028000.1 locus=Brasy6G028000 ID=Brasy6G028000.1.v1.1 annot-version=v1.1 MSTAPPLKKTRYADGDGGVHLDEGVVGEILLRLPAASLLRCRAVCEAWRRLADSPEFLAAHARRRPVEMLLYQRFEVGPDGRRRKAPYGGGDEIQLDALAVVPGHKRRRLARFPVMHKKPGYLPPPYCSLLASCDGLLLLGHGGGSALRPYDSYLVCNPATRQWTQLPCLTADSFAAAAVPDRLELRETGFYHHAPSGEYRLLCHVTPIGGGAQAPYYCVLSTGAMEPRLLPASAPMAVVAGSDPLRSRYSQNLMTPAALGGRLHWLQHMEAGLTGSMVAFDTAAETFSRMPPPPVACKGNSRLLVADGGTTLMAAERVGGLVLDLWALEGYTGRGGEEAEAARWVLRRRVEAPWRVGRPEVVTGADVVVLGTSYRVVTYDVRSGAARRVVTDDDGDLALSWHVHRESLVRHAFFHARPDPGLPKFNIDRA* >Brasy6G036400.1.p pacid=40051494 transcript=Brasy6G036400.1 locus=Brasy6G036400 ID=Brasy6G036400.1.v1.1 annot-version=v1.1 MLVQSVVLSSGTAAGANKAPRRTRQGDYLNRLRWSPSSSSWSESTMAAMEDTAVSTTKTDRRGPPPPPAAVGSKEADRVERLPGQPAESVGEFAQYAGYVTVDAAAGRGLFYYLAEAVGNGSSSKPLVLWLNGGPGCSSLGYGAMQELGPFRVMSDGKTLYRNPYSWNTAANILFLESPAGVGYSYSNTTADYAQSGDNKTAEDAYLFLANWMERFPEYKGREFYITGESYTGHYVPQLAHQILRHKPPSINLKGIMIGNAVMNDWTDKKEMYDFYWGHALISDDTADAITKNCNFTTGESRSRRTRSPQCDKAIFEATEEPGDINIYNIYAPMCQSRKLVSPPITPSIESFDPCTDHYVEAYLNDPDVQKALHANVTRLNHPCVQLGYWVDSAPTVLPIIRELMKNNIRVWVYSGDTDGRVPVTSTRYSVNQLQLPVAGKWRQWFSSTKGTGEVGGYVVQYKGDLSLVTVRGAGHEVPSYQPQRALVLVQYFLAGKALPNCKNCT* >Brasy6G219600.1.p pacid=40051495 transcript=Brasy6G219600.1 locus=Brasy6G219600 ID=Brasy6G219600.1.v1.1 annot-version=v1.1 MEYHVWYYHEFPASTSRGPILRTTVKCLGELLVPSPSFHKQKEIRLPMTYHHFTRHYPKGYCEVFGGTLGVTKLSQTKEIHWPATDHFLYYHEFLASTSRTRHYPKEIHRSVADHIWCCHEFTASTSRGTVPRATVNCLGKLLVSPCFLSNDRCSSNLRD* >Brasy6G225200.1.p pacid=40051496 transcript=Brasy6G225200.1 locus=Brasy6G225200 ID=Brasy6G225200.1.v1.1 annot-version=v1.1 MGAPSLATAVLAVLAAALAAAPARAQNCGSQAGGATCADCVCCSQFGFCGSTAAHCGAGCQSQCSGCPRPGPPPPPEGEGVASILPRALFERLLLHRNDAACLARGFYTYDAFLAAAAAFPAFAASVAGITVETRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCFKQEKEPKSDYCEPKPEWPCAPGKRYYGRGPIQLSYNYNYGPAGRAIGLDLLNNPDLVATDPVVAFKTALWFWMTPRNNKPSCHAVITGLWTPTAVDVAAGRVPGYGMITNIINGGVECGIGPEPKVVDRIGFYQRYCDALGVSYGNNLDCYNQRPFPSGSLVGLAVQ* >Brasy6G119700.1.p pacid=40051497 transcript=Brasy6G119700.1 locus=Brasy6G119700 ID=Brasy6G119700.1.v1.1 annot-version=v1.1 MDLHQLLKYRLTGANVLYEIPTENNLTNSSWPASPLKLEFNNSPYTPLSTQLECDNLSALSNTPDNQSSTETISAQPISPLEADSSYIQPGSHLRENIQVRADPLYARSRHNMQHALREIETVLMAPDADDATTSAKHEFEETKPAQLMRQRSRTWSHESRQPLPGVVRPQFASGGYPMASYEFRPEKRQRELRDDPQIIVKQLLTKCAEALSEDRTEEFLKLVQEARGIVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALRCRKPESKELLSYMKVLYNICPYFKFGYMAANGAIAEALRSEDNIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLEIVGNMLKGMSKEFNIPLEFTPLPVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFMMRFAETMDYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKKRKLISASAWH* >Brasy6G119700.2.p pacid=40051498 transcript=Brasy6G119700.2 locus=Brasy6G119700 ID=Brasy6G119700.2.v1.1 annot-version=v1.1 MQHALREIETVLMAPDADDATTSAKHEFEETKPAQLMRQRSRTWSHESRQPLPGVVRPQFASGGYPMASYEFRPEKRQRELRDDPQIIVKQLLTKCAEALSEDRTEEFLKLVQEARGIVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALRCRKPESKELLSYMKVLYNICPYFKFGYMAANGAIAEALRSEDNIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLEIVGNMLKGMSKEFNIPLEFTPLPVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFMMRFAETMDYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKKRKLISASAWH* >Brasy6G162100.1.p pacid=40051499 transcript=Brasy6G162100.1 locus=Brasy6G162100 ID=Brasy6G162100.1.v1.1 annot-version=v1.1 MLPPTVNGIRDKTLRTSITSLNKRFDGILNKGSELGLLSGNQEILNGRSEFIAEVVLNDDTVGDIENEKNRLIDILTDRQSANIVVSILGDSGMGKTKLAWEMHNDHRTRNAFSMIAWVTVFNDFDGIGLLSAIVSAAGGNPRGAKDRMQLEAILAAMLKGKRFLLVLDGLCGHHVFENSLDAHWHVFGHGSRILITTQDGSVATKMKPAYAYINQMKELAFQDCWSLLCRNARYDESLRGNILRNTGIMIIQKCNRIPMAIKIIGAVLRTKEQTKEAWQQVCESKGWSFRGLHDSVDGLTGAIYVAYHDLPSHLKQCLIYLSLFPEGSVIRQQFVCQLWVSEGFIEEQDNCNPERVAEEYYRELVSRNLLQPEIGNHDMTRCTMHEKIRSFLQFFAEDKVFSGDLKPSVNGTSSEGLRQVWIRSNKPTTTLDEVVAVASLKTVILYKNPVGNHGLDKLFKGLKYLQVLDLGGTEIKYIPTTLKFLLHLRLLNLSLTRITELPESIECLRNLQFLGLRYCNCLHTLPKGIGKLQSLRSLDLRGTNLHQVLPCLENLKLLSTLHGFVVNCTPNRDDDPSGWPLEDLGSLNALRSLQILRMERVTDCLRMQKAMLEKKSQLKELELRCSTDDRQAEVLEDDARTIKDTFDCFSPPQCLKSLKMVSYYAKLCPDWLPHLSNLQRLVISDCKFCERLPDLGQLTELKFLAITGFSKLLTIEQYRTTGNQAFPKLEQLHLKDMHNLESWVGFLSGDMPSLVKIRLERCPKLRYLPSGIKYSKVLSSMHIYHADSLEVIEDLPVLKELVLQACNKLVEISNLLLLEALIVIGCSRLKDVNEVNYLRHARIEDRELRRFPEWLRSCASVLQTFTVVGTAELLERLFPNGEDLGIIRDINKVYANLPDGSPFFTYTKDTADFHVDQRIIEQSKPPVAIVVGNVHEALTISLGNSVVMAARIGVPRVPIIRTSTFKRVIRRYLVPYLVVVMIVMQLVSYSLQNKTTREIWLIQTLITFFATILLLFLVFLD* >Brasy6G108800.1.p pacid=40051500 transcript=Brasy6G108800.1 locus=Brasy6G108800 ID=Brasy6G108800.1.v1.1 annot-version=v1.1 MARAQRLTEKGAASPREAPPSRTNLNFEIASTARLTSRRPSPSCSSPFIFFPISVARLPFRSAPSSLPHLLLRRRRLSSRPSYDTPPPVRAAAAHDGTRRHGEGTVRDPMGSSEMASQPQSDSVKVAVNVRPLITSELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVYGSTGSPSTLIFEQCVHPLIDSLFCGYNTTVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFRKADAMKDDAELLIRVSFIEIFKEEVFDLLDDPGCVAKASAPARVPIQIRETANGSITLAGVTEAEVKSKEEMALYLARGSSSRATGSTNMNSQSSRSHAIFTISIEQKKTSNFTSDKTTNNDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSRTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQSELLFSRSGSAALEELQLLQQKVSLLELKNSELCYELKEREMSCEQLAQRALSAQLEKDQLMLKLESARNGKSWDDIENASSEDMDLMKTYISKIQQLEDEITRQKFSAACRNGLHDQLALDKGMLLDDLGSGCDVGTPEASSEVDEEEKEREHSSLQEKLDKELQDLDKRLQQKEAEMKQFAKSDTSVLKQHYETKLHEVEQEKKALQKEIEDLRHTLTNISSSTDECGHKLKENYLQKLNTLESQLKKKQQAQQQLLRQKQKSDEAAMRLQGEIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEATMATKRLKDLLEAKKSTRDTYGSGSGSGIQALMRTIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRMSALENMLSSSSSAMVSMASQLSEAEDRERAFNGRGRWNHIRSLPDAKNTMNYLFQLASSSRCQLHDKEVMCREKDLVIGELKEKVVVLNGRIRQLEAQTNDLHNQNMLLFTAMNNAKKSGRALRCDTTVGPEDGQTYALRKSARASQFSMYSKNNFYWSDDMDISDAEESQELDDMSDGSDSDWVKSSKKVNTRRRRSSNLSGNNSHKNVKSEMHSDEIPTFQKDHPSQCCSCTSKSLCKTRYCECKAGGSQCGTACGCDASKCTNRVHIKKEINDEPSPTEGSECGDVSFSDNDVKLKEDVKQGIMLLENAMAEKEAQEPESRKPLADIGNNIVKQPGAKPKQRKNWRKSTIQLVPTEPPPPPSAPDNCEAAPRSRADVPLRLPKSMSPVPAESNPLTDRNATKPEESASTNKDNNSVRARSPARPRKNSIEKENHLQ* >Brasy6G168900.1.p pacid=40051501 transcript=Brasy6G168900.1 locus=Brasy6G168900 ID=Brasy6G168900.1.v1.1 annot-version=v1.1 MNPYINFGLSFMNIGLFVERHWPLWAYGRLNCSGTSALLISCWYCLRSFVFLSQMKTAYNHL* >Brasy6G206400.1.p pacid=40051502 transcript=Brasy6G206400.1 locus=Brasy6G206400 ID=Brasy6G206400.1.v1.1 annot-version=v1.1 MPSPAPELMDDLLAEIFLRLPPDEHASLVHASLVCKPWRSLISSPAFLRPYSASHRTSPMLGFVLNSIHPSLARFVPRPSVHDRRVGRVLDCRHGRTLLYDSRSSEDLLLVCDPVTGEMKHLRVPADVRPQIPFSGAVLCATAGCRDHLDCNGGSFLVAFVCNGNRSVSGHAYLYSSETAAWSLPTSLQFLFSLRTEPPVLVGNALYFMCTQQSMSILRYEFGGDRGLLVFGGPPEWDGNGKTLIQAEDGGLGFAILDRLTVRLWSLETVQPDGVARWEPRRVIELEMIRKTLAPSSFPYLIPPYLVGFAQGPDSCNVLIRTYTDNQVYMVELKSERLTKVSERDYPIFPYMNFCTPVRLRGVTAGEQGVAAANNMASISVEDGVLALHLEAP* >Brasy6G017700.1.p pacid=40051503 transcript=Brasy6G017700.1 locus=Brasy6G017700 ID=Brasy6G017700.1.v1.1 annot-version=v1.1 MPPPSQTPRRRNQQQLEAPPTAAATSSFLLLLVLFLAQTAFAAAEDDAAAVERHCGMLLLHGDVCAATLSAMPGLSKKPLPEVISYVVSRAAAAVAAAASNCTSYLEPERQWRLRVRDRLALADCLELFSHTLTQLATATSELSENRTAEESVAGVQTVLSAAMTNQFTCLEGFSDPTPTPSPTQSPGPSDSGGRVRPYIQGRILHVSHLLSNSLALLRRLPSSSSSSRRRRRPPNRGGGGFPSWISPADRRRLGEQQSSVAADAVVAKDGSGDYATVGEAVAAAPNNSARRWVIRVKAGGYFENVEVGSEKTNLMLVGDGMWKTVIKASRNVVDNCTTFRSATLAVAGTGFLARDLTVENGAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYKDCDVYGTVDFVFGDAAAVLQGCNLYARRPGPGQRTAVFTAQGREDPNQNTGIVLQGCKVAAAADLVPVQGNFSSYLGRPWKAYSRTVFMGCKMESLVHPKGWLEWNVSGFGLDTLYYAEYMNRGPGADTSARVTWPGYHVLAGAADASNFTVQAFVQGDLWLNSSSFPYTLGLG* >Brasy6G194600.1.p pacid=40051504 transcript=Brasy6G194600.1 locus=Brasy6G194600 ID=Brasy6G194600.1.v1.1 annot-version=v1.1 MVSPWYVVICGLPRARRNQSHDARERIPHGKNTRKLTALASSARALGESPVAAAAGLLGPQPHASLPSSPPSASLFPVVAIVLPLARAWRIPPVQRRWRRRHRWPSLPANYAVVDLHRRRCRRPPRMTPRRTPLPLPSPWTTQRPVRSPPPPSVASG* >Brasy6G011400.1.p pacid=40051505 transcript=Brasy6G011400.1 locus=Brasy6G011400 ID=Brasy6G011400.1.v1.1 annot-version=v1.1 MSTTTLPPGFRFHPTDEELILHYLRNRAASAPCPVPIIADVDIYKFDPWDLPCQAVYGEQEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDPATGGPEPVGVKKALVFYKGRPPKGSKTSWIMHEYRLSGTDPLSSSGTNGAGNAYKPIKFRNVSMRLDDWVLCRIYKKSGLQASPMVPPLADYDHMDHTHSSNNDDDGLSSFSGSGGGFVVDAHDAACSSFLYAPPSRTAMAPRLPAIPSMSELFDDYALAQLFDAAAPDEHLAVHPSLNQLLSVGDGPHAEYYPSSPSPAVTAGKRKAEDQRGPPAAKRLVNGMSCFAVDDVAPQSAAESGLQAAVLGAGLSSHQMLPQF* >Brasy6G141900.1.p pacid=40051506 transcript=Brasy6G141900.1 locus=Brasy6G141900 ID=Brasy6G141900.1.v1.1 annot-version=v1.1 MVMGSDHGASLNVDRRRINSLLDQILSLNGIRTFSFDDDETTTIQRPHYDGGVEDEEESRDRISILPDDLLHHILSFVPTQDAVRTSALSRRWQRVWIGLPTLALGDDRSTAAFAESVDKVLALAHSHYVREGIEISLAHPPHLARANQWLQQLTAAAAAARSISIIFFREKKDCDRRNEVVLELPSCASGRTTSMTLDFCLVGVGTLVIPPAVASAPSSLTQLELKNLRVDGGALSHFVSSCCPHLRKLALRAFGYEDAVRVSNDALEDLELGYVYGRGGLRRLEVSCRNLRRLCIITLFSPEVMAHLQVHDGSKAACFRTPRLEDLTWSSSAMIHPSRVEFAHSLATVRRLVVGLCTHAMVHDHHNRFHRNKLSEWLLQRCTAVLSLRVHILNLLPRVSQHLLIT* >Brasy6G192400.1.p pacid=40051507 transcript=Brasy6G192400.1 locus=Brasy6G192400 ID=Brasy6G192400.1.v1.1 annot-version=v1.1 MASSLTAGPSSLPSPRLPSGEFKTRRLPSVRCSSSLPGSGDQGGAPPVVRAAVSAVTELLRALSPSKKPRQMGEAVDTELELPRSVEDVVAVLEADYRRAYFLTGNFTLGIYAEDCLYEDPTIKFRGRSKYAQNLDLLVPFFDSPSLELENIEKGLRAETTFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVVRHAESWDVSALEAIAQLFVSAPKQTGS* >Brasy6G192400.2.p pacid=40051508 transcript=Brasy6G192400.2 locus=Brasy6G192400 ID=Brasy6G192400.2.v1.1 annot-version=v1.1 MASSLTAGPSSLPSPRLPSGEFKTRRLPSVRCSSSLPGSGDQGGAPPVVRAAVSAVTELLRALSPSKKPRQMGEAVDTELELPRSVEDVVAVLEADYRRAYFLTGRSKYAQNLDLLVPFFDSPSLELENIEKGLRAETTFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVVRHAESWDVSALEAIAQLFVSAPKQTGS* >Brasy6G192400.3.p pacid=40051509 transcript=Brasy6G192400.3 locus=Brasy6G192400 ID=Brasy6G192400.3.v1.1 annot-version=v1.1 MASSLTAGPSSLPSPRLPSGEFKTRRLPSVRCSSSLPGSGDQGGAPPVVRAAVSAVTELLRALSPSKKPRQMGEAVDTELELPRSVEDVVAVLEADYRRAYFLTGNFTLGIYAEDCLYEDPTIKFRGRSKYAQNLDLLVPFFDSPSLELENIEKGLRAETTFIMATWTLRCFFKLLA* >Brasy6G192400.4.p pacid=40051510 transcript=Brasy6G192400.4 locus=Brasy6G192400 ID=Brasy6G192400.4.v1.1 annot-version=v1.1 MASSLTAGPSSLPSPRLPSGEFKTRRLPSVRCSSSLPGSGDQGGAPPVVRAAVSAVTELLRALSPSKKPRQMGEAVDTELELPRSVEDVVAVLEADYRRAYFLTGRSKYAQNLDLLVPFFDSPSLELENIEKGLRAETTFIMATWTLRCFFKLLA* >BrasyJ001200.1.p pacid=40051511 transcript=BrasyJ001200.1 locus=BrasyJ001200 ID=BrasyJ001200.1.v1.1 annot-version=v1.1 MSGVWVFKDGIVRRVEKGQPRGSSSNSGSMGRPRCLSTSLAASGVVVRHPGEAAPGAGVGEYLNDPCLLQFHQRSTVHLISVPRDFSRFKLVHMYDIVVKTRNVFEVRDA* >BrasyJ095900.1.p pacid=40051512 transcript=BrasyJ095900.1 locus=BrasyJ095900 ID=BrasyJ095900.1.v1.1 annot-version=v1.1 MEGCRVIIRLLHSDYGVDPIFTSCKAFAESDLDMLFSFLGFQVYHGSVIDPEDRDTANAIGSKSFSEILEILKSPPAEVEENTALMKKFVDNTKVRLTSYGLTCLKESIGHVEVGILFRYDRFSMLCKVCHLCS* >BrasyJ077600.1.p pacid=40051513 transcript=BrasyJ077600.1 locus=BrasyJ077600 ID=BrasyJ077600.1.v1.1 annot-version=v1.1 MIFGRWKTKMKTMKPLLLFVLNNVYFAACMIYQLVCPFSWSRYREKCVTISFIRGLDVLC* >BrasyJ077400.1.p pacid=40051514 transcript=BrasyJ077400.1 locus=BrasyJ077400 ID=BrasyJ077400.1.v1.1 annot-version=v1.1 MSGGTMNHRASRMLGVLSFFFFFLVAYTCAAVGDRRPYIVQMDASAMPAPFTTHEGWYTSVLSSLGAAGKNKEAAPEHLYTYAHAMNGFSAVLTPRQLGAIQGMPAHVAAFPETYARLHTTRTPEFLGLVDGAAAGGVWPASNYGDDVIVGIVDTGVWPESESFRETGITKPVPARWKGACEPGKAFKASMCNRKLIGARSFSKGLKQRGLGIASDDYDSPRDYYGHGSHTSSTAAGAGVSGASYFGYANGTATGIAPMARVAMYKAVFSGDTLESASSDVLAAMDRAIADGVDVLSLSLGFPETSYDTNVIAVGAFAAMQKGIFVTCSAGNDGSDGYTVMNGAPWITTVGASTIDREFTATVTLGSGGRGARSIRGKSVYPQAAAITGADLYYGGRGNRSRQRCEYSSLSRKAVSGKYVFCAAGDSIRQQMDEVQSNGGRGLIVATNMKEVLQPTEYLMPLVLVTLSDGAAIQKYAAATKAPKVSVRFVSTQLGVKPAPAVAYFSARGPSQQSPGVLKPDVVAPGVDILAAWVPNKEVMEIGRQRLFAKYMLVSGTSMSSPHIAGVAALLRSAHPDWTPAAIRSAMMTTAYVRDNTGRTVASLPKGSPGTPLDYGSGHVSPNQATDPGLVYDTTADDYVSFLCGLRYSSQRIAAVTGRRKVSCAAAGASLDLNYPSFMVILNNTNSATRTFKRVLTNVASSPAKYSVSVTAPAGMKVTVTPPTLSFGAKGSKQGFSVTVQVSQVKRAQDDYNYIGNHGFLSWNEVDGKHSVRSPIVSAFAQ* >BrasyJ077300.1.p pacid=40051515 transcript=BrasyJ077300.1 locus=BrasyJ077300 ID=BrasyJ077300.1.v1.1 annot-version=v1.1 MKEAAIEEEGLLMEDDAEDSARGGGFAALPKKVRYGVGFVAAFFALFFFFALILWGASRNQKPVVSLKQRDVPQLRDPGGDGRVAGAHGAVHHQRHRQAHLPQHGDLLRRARHRAALTLYYSQLLMASGNMKYFYQPRKSQRNVAVTVVGSKVPLYGGGAGLSSTPGPKGAPPPPVPLQLTVRIRRGAGAGEAGEAQVLQRLPVQPPPGRGQARQAVSLKKSCTHVN* >BrasyJ077800.1.p pacid=40051516 transcript=BrasyJ077800.1 locus=BrasyJ077800 ID=BrasyJ077800.1.v1.1 annot-version=v1.1 MREGMAIESSDRMKGIGSRATTTRRIDLPRILTPGPLYGATASSTLWYNNSSNERRDRHPPPSRPVRRNCLINPWYNGSNNERRDRRPQPSVPSLLYIPRKERSALEQLCPQIPQTGSPPSLPKLLVAGLEDRSGSRPPSLTPNISPPPCPKHEAVRGLLGCRPCCRLWCCAAASSSWPCRLQGTVGRAAPSSRRTRRPSLHSWRERHGGRTLRHPQGPHSVLGGTLVTADRLRGLPSSWL* >BrasyJ077700.1.p pacid=40051517 transcript=BrasyJ077700.1 locus=BrasyJ077700 ID=BrasyJ077700.1.v1.1 annot-version=v1.1 MRRWRRIGAYGGGCQSLLSLMMLLYQRVNETVAPYMGRTVAVVAATPISPSPSLPTSGCYVGGSPPPPAPSPVCCVAAPTGSLGPRIRPNPAKWRKGRRLPLRGQVTRGRCLPRAVPPTGSVLCGRGRRLPPSRVSAFLRRQRRLPPPSSVDPVAEEPAVSHLYLLVQRADIVLRRRDFNR* >BrasyJ077500.1.p pacid=40051518 transcript=BrasyJ077500.1 locus=BrasyJ077500 ID=BrasyJ077500.1.v1.1 annot-version=v1.1 MVSDMHVVVTTLCRWRWGTTGRAGCTTMSKELLLLEEIES* >BrasyJ077900.1.p pacid=40051519 transcript=BrasyJ077900.1 locus=BrasyJ077900 ID=BrasyJ077900.1.v1.1 annot-version=v1.1 MGAVNNFELSMYVLPSPICFSLLAGAEHQSLWMNRLKQQVLCTSADGKGAAPSWSIFLLRCTSTTEVEHLSLFDCSTHHHQITA* >BrasyJ078000.1.p pacid=40051520 transcript=BrasyJ078000.1 locus=BrasyJ078000 ID=BrasyJ078000.1.v1.1 annot-version=v1.1 MVVTSPPDPSAPTARQLAGPGGLLSPLAARYFFLAVDCNEGRLEDLWRTSSVSGGAERAGLARAEGRRCEGRPAKGRGARPRLGWRGGAVWGGRHIYAGAKCWCAARAEGRGEVLGAAGDHAWEGRGAGADCRELGI* >BrasyJ085100.1.p pacid=40051521 transcript=BrasyJ085100.1 locus=BrasyJ085100 ID=BrasyJ085100.1.v1.1 annot-version=v1.1 MEIGPCRLIPKRRRLAPASRSAGVRRYVGVDRLSDLPDGILGDIVSLLPIDDGARTQILASRWRHIWRCSAPLNLDCDVLDTCRRGRRDDDEVAGLISHILSSHRGTGRRLCGSFPLSDRTATIDALLQSAALDNLQELDLWYSHDHLHLPLPRCVVSRFSTTLRVATIAQCNLPDSTVQGLQFPHLKQLELLHVLISEYSLNHMIASCPSLDCLMIERSFGFRCVRINSLSLKSICVHFKRPLYLEPSELHLGELQFGELIIDNAPCLKRLIHLDLDVVLHISVISAPKLETLSCRSRGNFDSTVVIQRLYIKPCGSGKNAWRRKHRNVTRSLDINLKTIVLASYSGGQPYINFVTFFVLNVRVLELMTFQVDFKHYNEEFLAQQQRKLQVDKRASRAAEFHFTTDRCLRSIEHNNHVHDLDVTDPFIFADAQL* >BrasyJ085300.1.p pacid=40051522 transcript=BrasyJ085300.1 locus=BrasyJ085300 ID=BrasyJ085300.1.v1.1 annot-version=v1.1 MAMETRPCGLIPKRSRLATGVGEEVGVDRISDLPDVILGDIVTLLPLDEGARTQVLASRWRRIWRCSAPLNLDLCAPLVARTRRRRRRRRRGRRDDELAGLISRILSSHQGTGRRFCVHSSFRFSNQAATVEAWLQSAALDNLEELNLWHTNERLPDYLPLPRPAVSRFSATLRVATIAHCKLPDSTVQGLQFPHLKQLGLIQVLISEHSMHHIIAACPALECLMIKRIFGFSRVRINSPSLRSIGVGTGIFRTNELQVVELVIDNAPCLKRLLHLEMDACLDMHITVISAPKLETFRCCLSQWASTRFAVGSAAIQGLHVDGLAMVVRTVQILAVDMSILVLDKVIFFMRCFPCLEKLYIQSSGWGVTNWWRLKHRDLIPSLDIRLKTIVFERYRGTWSEVSFLTFFVFNARVLELMTLQIAVGNYNEKFLAEQRRKLQLENRVSRGAQFQFRTGRCVRSSGDIKDFYDLDLTDPFVC* >BrasyJ085600.1.p pacid=40051523 transcript=BrasyJ085600.1 locus=BrasyJ085600 ID=BrasyJ085600.1.v1.1 annot-version=v1.1 MEAGGLISEAGWTMFDFPSQGEESEIMLQLLDAFPSHFEEGHQDLPWYQASDPSYYDCNLNTSSESNASSLAVPSECMAYYLGDSSESLDLSSCIAPNDLNLVQEQDATEFLNMTPNLSLELRGNGELSCEDLTLVGPTNKRKHSSAEEGIDGQARGRKCARKAEPKRTKKAKQSGGEAAVATRNGSTASCCTSDDDSNASQESADAGVCPKGKARAARGASTDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVHYVKFLQLQIKVLSSDDMWMYAPLAYNGMNIGLDLNI* >BrasyJ085600.2.p pacid=40051524 transcript=BrasyJ085600.2 locus=BrasyJ085600 ID=BrasyJ085600.2.v1.1 annot-version=v1.1 MEAGGLISEAGWTMFDFPSQGEESEIMLQLLDAFPSHFEEGHQDLPWYQASDPSYYDCNLNTSSESNASSLAVPSECMAYYLGDSSESLDLSSCIAPNDLNLVQEQDATEFLNMTPNLSLELRGNGELSCEDLTLVGPTNKRKHSSAEEGIDGQARGRKCARKAEPKRTKKAKQSGGEAAVATRNGSTASCCTSDDDSNASQESADAGVCPKGKARAARGASTDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVHYVKFLQLQIKVLSSDDMWMYAPLAYNGMNIGLDLNI* >BrasyJ085400.1.p pacid=40051525 transcript=BrasyJ085400.1 locus=BrasyJ085400 ID=BrasyJ085400.1.v1.1 annot-version=v1.1 MDTSASTASATSPTASSATSSPSSPSTKAPAHRSWLPRGATSGAALPLSTSIAMSWTPVAVAVGMTMKLPVSYRTSFPPTEAPAAASVAPFRTTSATELLPLTPGSNLLRSTISRSSIYATAATIFIFRCHDAWSLASAPPSVLPPSSDATSLRAPSKGFNSHILKQLELLNVLVSECSLNHMIASCPALESLMIKWSCGFRCVRINSLSLRSICVNAGHRPANELQFEEVIIDNAPCLKVLLHLESMDDMRVSIISAPKLETLGCHWRRDLHFNSTFVIQGLHIDSLSTAVRSVHILSIYMRPLSLDTVVNFMRCFPCLEKLYIQAYYSDKNLWRRKHRNTIRSLDINLKKIVFASYRGIQSHINFVTFFVLNARVLELMTFQVDSEHYNEEFLAEQQRKLQLDNRASRVAQFHFTTDRCVHGSLDINHAHDLDVTDPFLC* >BrasyJ085900.1.p pacid=40051526 transcript=BrasyJ085900.1 locus=BrasyJ085900 ID=BrasyJ085900.1.v1.1 annot-version=v1.1 MPRSSRRRYPRRRSPSPPGTYYSPLSSFHGRRSSHSRPPTRSLHARSLHGRPPTRSSGNPNPHDPLPAAAMSGSVRPPTRSSGNTSRSSRPRPFPGRPASALLDSYGYVFLAGDDTDATGYGLLAGDDNETTAQTFTAATGTIKVTFVLANLPSLSYFYVRCPDPSASPFCSEPEIVWSTEDLALLTLQISTCRSPYFFIYRAGLHGRRRRRPSLDPIPDISSAYFAKWNLDPHLVGVLPDGGGTDFVLAAFPRFSAGRYELHIFRSKQRAWTTHLLVPDLPCWITRKQNIVCPDKVIALQGGVLGFVDLWKGILLCNVLKEPVTVDFVPLTKLLPNNRGHYEESFAWPIRDVTCTDAIYSVKTGIPDASTKDLLFDSEAVDPTDERIVEEFAGWRLITWFRELSWCYWRKGSVSHVDQLQTVSFPHPDYGGNGAAESPLKTLKASCPTVCGDDIVCLMFKKKPGDHDAWILTVDMRSKAVGELVSRHSNNTTYIPCPLNKYLNSESGRAQVGLQNACSDGELPRQNSSKYSSNTSQQKRQRMVQV* >BrasyJ085800.1.p pacid=40051527 transcript=BrasyJ085800.1 locus=BrasyJ085800 ID=BrasyJ085800.1.v1.1 annot-version=v1.1 MEDFVEEAFMEKTEDTLSLQLELDILKTILAEERTARVEVEERATSLGDELKAVNLRVLEACKRSDATESKLNDARSVIEALESQQILLINELDELKDNNHQSVELLKRRNIEISRLNTEFDNHRRHELLAIGDSKMQLVKCFKNEDSPLQRKLKRMQASLEKAHDLNTRYQRDQASDSSAKQEMDEVRRQVEIETTEVIMCLQEELTSLQQELDASKKNELFAKQSLDELQLEMKQLNDRLLEVMKENASFSALTEEKQKEIQLMTNDCESLHKEIISLQQNLDASSNSELLAKQCLDELRLERKQLNDRLSAVMNENKGFSALLQDKEKEIQLLTNDWNRLAAEIGTFLVDGNASLDEASDQVAFISESFSRRRWIEDQVRKMCRGISDRDKLLEDLQNQLKEADAIKYDLDLKLRSLRGAMEAINEMHQQEMNDQEKAIALLRSQVSEQGHVKQHQHEELKRVELLLDESIGTFLQKEVLEHNYLSLLRVVEEEIHQLNSQLDQSKKYIAELLSQSKDKDESFEKLKNEDSAVLLRMSSDVLKAKGIIREFGIGFNKLQSSLSVDPEEVVCQNSDLNLEDRAELKTLSAFEAGHQSNAEALRQVSIEMESSVHELHMLQSQMAKLLQEKENTKECHFQSQRTVKDLSSEVLQLKSHIIDQEKCYEVRLKELETKMQEKENDAATSLTSWHKEKEVLELEVSEAKGLAHQKSFEACTLIAKFQEAQATIADADSTVNALVKANKNTKLQVENYKQKECLFIVEKGDLLREISSLKMLLDLKEQSYQDMERKFKSSLLEANEVALELEDGIRYMKNLLSENLHFVSSDVEWMKSKLRQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQHGLCESNSMISKLREHNDKAKNELQICSVLKGKLLLDINHSFSRIAKKEQEATELSSRLDSFGKKILHLQAQEEAMLSRSDSMYSELSILIEEIDATNRSALAAESKEKEELRHQLDEALLLNATLKDTILEDLSVLEVNNAPPVNDMKRCNEFEFCTWLANYHHEAVMINTIAKDIDSIVLASELEQHKVQLQKQRHMFTDVLEGLNMQATLWKVDQDLGSVSLNALHEENNEMRIDLENLKQNKDGLVESILATSEENSKQRFLVDSLESNISSLQTDLDGKVRALMELQCSHTALSKELELKSEVIELGILRENALRSVNDSLKHENQDILCRDQRMVDLVSNIDTEKLSASVQVCLEQVSDQVHKYIDEQLSTVMKFSNDLDLIQLSAEELSTHNSFLQSELARKDEMAKGLSFDLSLLQESASVAKDQSDKLIELTEAINSLEQEVASKSHELDNLVSGRQLLEAQIKTSNEKITVLEEQLASTVCELKVVSMENSEFKSQLNHMEGINYAMEEELADRSNATERMEEQLAELTGLLDERNSFLQNLQNDFSKLSDEKQFCDSQVHILREKLEMAQAVAEESEAIAMEARQIADERKTYAEEKDEEVKLLERSIEELESTVCALENQVGNIKEEAERQRIQREELEVELQKVRHQMTSVPCAGKVRSSMEDGTVDSTGSFRHSREIHNELLEAQENIRILEKEVVEKESEIAQCKSHISELNLHAEAAAREYKQKFTELEAMAQQVNNDNASINAFSMRPEKISLKPRGSGSPFKCIGLGFVQQVNSEKDEELSAAKQRIVELEGIAASRQREIFMLNAKLAATDSMTHDVIRDMLGVKMNMTTWATLVDKQQKMSTKESAICQTEESKESNELIKLKKRLDEFIEERQSWIDEINQRQSELGAARIAIEKLRQKEHFMVAEVDLLKAENANYKTIIFNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKKQNEELSAKLQQLGAIVSRRKEKFGRHRVSDGKDPDELMEEEVFLTKKLEESEQDRNKLAENLSSLCTTVLKVAGVRSCESDASLLKAMEALNQLQCCISSLESEVEDLRLKCKLLREKARLDDLRSDSSSLSSGPKEDSRSPSMCRSPSILPFR* >BrasyJ085200.1.p pacid=40051528 transcript=BrasyJ085200.1 locus=BrasyJ085200 ID=BrasyJ085200.1.v1.1 annot-version=v1.1 MSLQCLLCRRNLETSLHLFLECPFAVQLWGEVALWPNCHSIATAFRETPVSILLFQELLIQATSAGLRKGMSSLFIIVCYSIWRERNSRIFNGKSMHLRQLAVFIKDEAQEWAFAGAKALRKLLWEPP* >BrasyJ085700.1.p pacid=40051529 transcript=BrasyJ085700.1 locus=BrasyJ085700 ID=BrasyJ085700.1.v1.1 annot-version=v1.1 MLLSPPRLHLLRLPHCCRPAPAPSALLGGSGVVRRLGSGTRRRGAVAMATDGRVERIASTIRVIPDFPKPGILFQDITTLLLDPQAFRDTTDLFVERYKDKGITVVAGVEARGFIFGPPIALAIGAKFVPIRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVLPNDRALIVDDLIATGGTLCAAAKLIERVGAEVVECACVIELPELKGRDKLGDRPVFVLVKAD* >BrasyJ085500.1.p pacid=40051530 transcript=BrasyJ085500.1 locus=BrasyJ085500 ID=BrasyJ085500.1.v1.1 annot-version=v1.1 MAVELGPCRYTPERWRTASAAPSTEGREYAGVDRISDLPDGILGDIVSLLPTAEGARTQILKRRWRHIWRCSAPLNLDCCTLVARGGGREAEDELVGLISSILSSHQGTGRRFHVPALSHADRAATVEAWLQSAALDNLEELDLWCTHTYLYDYVPLPPAVFRFSATLRVVTIANCNLRDSAVQGLQFPQLKQLGFKDIIIAEDSLHHMIAACPDLECLMIERSFGFACVRINSLSLRSIGVSTDHPRAHELQFVELVIDNAPCLKRLLHLGMTSRLDMHITVISVPKLETLSCCSSVSRSSTKLSFGSTAIQGLHVDSLTTVVRTVQILAVEMEPLCLDTIIDFMKCFPCLEKLYITVGAGSSSVV* >BrasyJ009700.1.p pacid=40051531 transcript=BrasyJ009700.1 locus=BrasyJ009700 ID=BrasyJ009700.1.v1.1 annot-version=v1.1 MMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDSTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTEEDDVDQELLTEAEATWAQREWTIQHVLFPSMRLFLKPRSQWQQMERLCRLPL* >BrasyJ009800.1.p pacid=40051532 transcript=BrasyJ009800.1 locus=BrasyJ009800 ID=BrasyJ009800.1.v1.1 annot-version=v1.1 MDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIELCILQYYLKHPKPFIHVHQSSTRTRGCQYTSDQKRVSLLNQEHIIETIKDAVEES* >BrasyJ009900.1.p pacid=40051533 transcript=BrasyJ009900.1 locus=BrasyJ009900 ID=BrasyJ009900.1.v1.1 annot-version=v1.1 MAWKPRQKAWTAFDLAERIRTTWKTIGFVGVVFASAASIVKGWADDRVGKLIEEAVSVERDTFKEFEKRATLAHLEFVRQTSDRYGNMQEQIHNLRSEGLDQQEKSLEQQRILAAALARARILEKKVETLEKAYGKELLSEDVEADEMLSGDSDGNRGTDH* >BrasyJ016300.1.p pacid=40051534 transcript=BrasyJ016300.1 locus=BrasyJ016300 ID=BrasyJ016300.1.v1.1 annot-version=v1.1 MQKLAQFGQRQQEELLSKQEQIRRAHEHLIENSHSILDAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVLLIYMLTSAKQTFRIRGQLYFGLCITFLLEMGLIRIGSGDFDNQFWVLSKVFLVRSIFLAAATIQTLHSIFTFRDYELLNHRLLQTLVEKVRTLEENKDGRMLSYSGSEESLRNYSWVFNELTDEADSKGDPNYVLPEEVVGENFITTSVSRRYDLRPRSRR* >BrasyJ016700.1.p pacid=40051535 transcript=BrasyJ016700.1 locus=BrasyJ016700 ID=BrasyJ016700.1.v1.1 annot-version=v1.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGIVKMIPTKPMVVETFAMYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK* >BrasyJ017000.1.p pacid=40051536 transcript=BrasyJ017000.1 locus=BrasyJ017000 ID=BrasyJ017000.1.v1.1 annot-version=v1.1 MPLGRPMPIPLSIPIKLGKVWVQNILPIKNGRVRAIAHRTNMQMGNTQRERPMARPNFSTRKITSPGIGWIPNHSALFEEIVAWAAATTEHRRGRRPEARGRWPSVVGCAGGGQRLRARERRTEARRQGPAPSGAREAASGDGRASGGRRRAGRGQRRRACGRRPAPSGARATTGGSLAAATADWSAGGGHGGSCSPPPSVRPHDSFNPILIDGGVPRRYGGRSPGRWCYGRGYANCFCL* >BrasyJ016600.1.p pacid=40051537 transcript=BrasyJ016600.1 locus=BrasyJ016600 ID=BrasyJ016600.1.v1.1 annot-version=v1.1 MSDVSPSGRAVSYLEALIDGGRSENGPPAPCPAAGTGAIDGCDAGRAASSSVRVETGFSRPRLASVFTAPAAGGAIQSEGIDDGGWVLVDRRRGPARRPAQAPIYRDLLLKKGRGRCFKCLLAGHRIAECRNLAKCLLCGGVGHKARWCREPRAKLPAAAASASPSGPAVGASSQPPPPPWAAGVPMDAPSAADRRRLHVRAAAPRTAGIADADRRLSRHALVAMVVGDTHALPLADITRAFVMHFRIDAAALQVSLFAEGEFLPEFEDRAVRDAALAIQGPLVLGGVSFSLSPWSRFRKATAAKKNYKVRVCLEGVPQDAWDLKTVAPLFDCSMLLDSRDDIIYSEQETACMRVWVWMDAVEMLAKFGTLQLEEPTERSSPDLHFPEFGIYEEVPVRSGPLNMLSYEVKLHLDRVIDYSPSPDSSWPARWHYRWYLGYIDGTFPPRSPRASVHSRLRFPEDGGDGGAGNGGAGGERRRRASRWDQFPGAGGAGSSNSQGPAAGHFPGGHRRDAAPACDDLPVVQGGGRAAAQVVAPVRVQMVQTTGAVLAVNSVVLTVPMRGSPYLSSEDAFFAGVLLRERSEPEPLGLDPMLEESCCRSGPAAVAVLAPSVSRPTTMLDLIDLLSGEGPVEPLAWAGVSADAHLLLAQDAVSLEAGLLGAATSVEMITDATAASPLSPGLGPGPFEVPVARPLSAASSEDGDASKVPEGELSSPLGSFLAEITVLAPPPVISSPPPVKSGAAVKSLCSEPRQSNRLAAKSSRGLSTLEKVRLVLMKKGGIPCDGGTPSAQDLQQYRKLFSSPLPDAFVEAISDLVRKPAKIVPESVPAA* >BrasyJ016800.1.p pacid=40051538 transcript=BrasyJ016800.1 locus=BrasyJ016800 ID=BrasyJ016800.1.v1.1 annot-version=v1.1 MSRFFNGLTLEVQDRVEMVVYYDLQDLVHQAERAEQQIKRRQVPATLPTCRRAPTEAPSSSGHPTTSTRSTPTVQRETPPSGVSKAASSTRSTANIECFTCGGRGHMRRECPNAKRVLLTQDGYVSASDEEKVDDPSVEETDDTVQLVDGHASAANYPNLMVQRGRRHVLHSMLDKDITVDVPVLTMKVKTKAKPRTVSIQVGGDDEDMATPSSHVLKLGSFIIEVPHVDPKKDEVNPNFRTPPCIFRFGQGSMAKDI* >BrasyJ017100.1.p pacid=40051539 transcript=BrasyJ017100.1 locus=BrasyJ017100 ID=BrasyJ017100.1.v1.1 annot-version=v1.1 MGLFPWPCRHLSRATHVLCIDPIPRSLASAARARPLRRPSPSRRPPAHRPSTTGPSPPAGRLLGRPRSSTRCFPFVAASSSADSPPREDYDLRLRRCRSPPLISCQGGRRRGGRGLDEYYVRYTDYIIIDSKVLKMNAFAC* >BrasyJ017100.2.p pacid=40051540 transcript=BrasyJ017100.2 locus=BrasyJ017100 ID=BrasyJ017100.2.v1.1 annot-version=v1.1 MGLFPWPCRHLSRATHVLCIDPIPRSLASAARARPLRRPSPSRRPPAHRPSTTGPSPPAGRLLGRPRSSTRCFPFVAASSSADSPPREDYDLRLRRCRSPPLISCQGGRRRGGRGLDEYYVRYTDLWILGKM* >BrasyJ016200.1.p pacid=40051541 transcript=BrasyJ016200.1 locus=BrasyJ016200 ID=BrasyJ016200.1.v1.1 annot-version=v1.1 MSTAAILSPALLLLLLATADQSTAAAPQPGSGCPTRCGAVAVPYPFGIGPSCFREGFEINCTNKGTTGPPLPFLAGTSIPAVNLSVDPPTSQVLLPIGWRCYSPNTTTTTSTTTSAAAVQINGTSNATASLSIVDAGVHRISNTDNMLVVLGCATIAVVKSIDGQGLLASTAGCLSFCRDAGAPQDGACTGVGCCRIETLPELANGSTAAFEFMGSTRGFRPGRVEYSPCDYAFLVAKDKYAFRRSDLSMDRDRTMPVRLDWAIRDMPSCHAASNTTEYACVSEHSHCVDSINGPGYNCKCSPGYEGNIIRSILKCSFMIYYIWNISHDILHLEYINVLLEGSTSSRHIDECARPAKYPCYGICKDTQGSYKCSCRKGYRSNDPTTEHCTPNFPLAAQISLGAIGGLLVLAFLLFHFVLRKESQKTREFYRKNGGPILKKAKNIKLFKKKELEPISKDINLIGEGRFGKVYKGVIDKELVAVKKPISGSLLENKQFANENIVRLIGCCLELDTPMLVYEFVSKGSLNNILHRVGNREPLGLNVRVRIAAESARGLSYMHSEAHTKIIHGDVKPANILLDDNFVPKISDFGISRLIARDKEHTVNVIGDLTYMDPVYRREGRLTEKSDVYSFGIVILELITRKKATRDFENKNILVTEFQEFYEESRAAELFDTEIAVPGDLEVLRSLAGIAMECLNADVDQRPSMTDVAANLLMLNRPHRS* >BrasyJ016400.1.p pacid=40051542 transcript=BrasyJ016400.1 locus=BrasyJ016400 ID=BrasyJ016400.1.v1.1 annot-version=v1.1 MRRRRPMRRRRSALLVVLTLVCLQLCPGHSSGLSWNIFSSSPPSPTTPTSTELDDPVTEFSMNSNNNNPRGLKLLENAQNKLAGPKNCWQEAYGKLFASCSKIMADKELQSRLAWHLSSCFQEDSGQPPFPSCAEASKTAHCLKRLGDSEHKVFLEFFLETNTLCHQLQAEAFKDNTERLVNGLSRSSRSAVEKLEEIGERSEQIITESGKVQDTLNFVKTQADRLAETSKNVQGLVDDVLVHSRAIFDQSREILVSQAELRGGQTEMKEKIDAGMERIQESYEKLWNGMDKLKEKAVDIQREIRSVGDSLSSKMEDLQDAADRIGSVAGKPLENQMQLLEGQSKAMAGLNSLYSFQSKALEESRETMQKLAQFGQRQQEELLSKQEQIRRAHEHLIENSHSILDAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVLLIYMLTSAKQTFRIWGQLYFGLCITFLLEMGLIRIGSGDFDDQFRVLSKVFLVRSTFLAAATIQTLHSIFTFRDYELLNHRLLQTLVEKVRTLEENKGERMLSYSGSEESLRNYSWVFDELMDEADSKGDPNYVLPAEVVGENFITTSVSRGYNLRPRSRR* >BrasyJ016100.1.p pacid=40051543 transcript=BrasyJ016100.1 locus=BrasyJ016100 ID=BrasyJ016100.1.v1.1 annot-version=v1.1 MPRAPPATKHRTAAAAATGQAPDGQSHQPCRGAAAAAPMPCPPPPEAADAHAPPSTAQQPPALARGLHTRQAAAMPPHAPPRPQKPDPRGETPDLSSPRDAAMPPPRARTPPRAPPARLPHASPRASRTPPRAPPARPGGHPRASTRAAAPRRPPARRRAPASSRRRATHAPPRAAPPAAPPTRHPRASSRRRASAASLAPPRREKSAPTTAAGPATPDPAGEPPDPASEQPLTATSRACDRIREEASRGRSQPRKKAPPPPSPSGLCPPATTGGGEGEERMCGGEGGGVLGAARVAPERATRGPV* >BrasyJ016000.1.p pacid=40051544 transcript=BrasyJ016000.1 locus=BrasyJ016000 ID=BrasyJ016000.1.v1.1 annot-version=v1.1 MECRFLQQKIEEGKHQKLLDVCDEEQRLRIIAVLTEDPAKLLRISLNTHGTRAVQKLIETVQIRKQIVLIISEIQPGFMHLVNDLNGNHVIQ* >BrasyJ016900.1.p pacid=40051545 transcript=BrasyJ016900.1 locus=BrasyJ016900 ID=BrasyJ016900.1.v1.1 annot-version=v1.1 MAQNRGVSLDIARYSRRLGAFRPTPAFDPRWNQEGNTRPPVFPSSVLLPDSGALRPSSFSSGAGGPLPRCRSTAPDDLPPLPNSFYDAGDLPSRSPCHPSTPLSLKKRVVRMGAAARLEPPPAVAHLHPGQQQRPSSLSCITGRSAAGAAPLFPGQRLRRPSSLDSRGGSLKYQSGQSMV* >BrasyJ016500.1.p pacid=40051546 transcript=BrasyJ016500.1 locus=BrasyJ016500 ID=BrasyJ016500.1.v1.1 annot-version=v1.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGIVKMIPTKPMVVETFAMYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK* >BrasyJ020900.1.p pacid=40051547 transcript=BrasyJ020900.1 locus=BrasyJ020900 ID=BrasyJ020900.1.v1.1 annot-version=v1.1 MSSKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVCLNAKELLHSNNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIRMYKTVGEERFLLWAVCSIQLQFYFSSGGEKLLALAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEVLSGDLGSLMGREEDKLRLQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLELDVNMPKPTTGGHTCSSCSVDSTMLNKTSLSEEVVESRLASALSFVQKLQKNESGDCVRGPHLANIEIQRQRRLSGNTIDRKFIEALVNYFHRFGHLSCSSSDVEIYLHMLSSDEITELLDTISRSFDASSVSVKALGLTITTFKIQELLGILLSKSTTDLHRIAKGMVETFYKNLPLSRDLDPQESMHGEELLSMASSILVQLFWRTRNLGYLLEAVLVLEFGLTVRKHVWQYKITLVHLYSYLGALPLAHRWYASLEVKNILLESISHHILPQMLSSPFLQQTANLVKDYLKFIDDHLKESADLTCLAYRHRTYSKVIEFVQFKNRLQRSMQYLCVKSDSVILSLKQKSESLEEVESVLENVNHLVYLSNEDNMKHFTFNEDLEARPWWTPTTSVNFLSEPIDEVSTPACFRAKVCKHKSTEKDGPRMRDTERKSLVPRLVYLSMHGCVSSLGETELNGAVSDITIGEMKTLLEKYARSIGYSIDDALSMILGMSSGKKSIKDFAPDIVSWMSFAVFINAMNLWSNESLIPRTDQSGPSSWQIVDSLVKICVEEQLTDANRILTCPGNNIPVLVQMVTEPISWHLIMIQSCMRAMAPQGKKKKKSGPAERSNIPQLQGIQRSVQCLINTVQSIQIWLSDQMCPEEQALDTLLSYLPAASDEGPGQMLRVLEDNPAAHHSELGDRIAQSLKTWNCTDALRRIVGAEHELLAEFKKTCDSKLKLLMSEAASLSSVLH* >BrasyJ020900.2.p pacid=40051548 transcript=BrasyJ020900.2 locus=BrasyJ020900 ID=BrasyJ020900.2.v1.1 annot-version=v1.1 MSSKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVCLNAKELLHSNNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIRMYKTVGEERFLLWAVCSIQLQFYFSSGGEKLLALAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEVLSGDLGSLMGREEDKLRLQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLELDVNMPKPTTGGHTCSSCSVDSTMLNKTSLSEEVVESRLASALSFVQKLQKNESGDCVRGPHLANIEIQRQRRLSGNTIDRKFIEALVNYFHRFGHLSCSSSDVEIYLHMLSSDEITELLDTISRSFDASSVSVKALGLTITTFKIQELLGILLSKSTTDLHRIAKGMVETFYKNLPLSRDLDPQESMHGEELLSMASSILVQLFWRTRNLGYLLEAVLVLEFGLTVRKHVWQYKITLVHLYSYLGALPLAHRWYASLEVKNILLESISHHILPQMLSSPFLQQTANLVKDYLKFIDDHLKESADLTCLAYRHRTYSKSVLENVNHLVYLSNEDNMKHFTFNEDLEARPWWTPTTSVNFLSEPIDEVSTPACFRAKVCKHKSTEKDGPRMRDTERKSLVPRLVYLSMHGCVSSLGETELNGAVSDITIGEMKTLLEKYARSIGYSIDDALSMILGMSSGKKSIKDFAPDIVSWMSFAVFINAMNLWSNESLIPRTDQSGPSSWQIVDSLVKICVEEQLTDANRILTCPGNNIPVLVQMVTEPISWHLIMIQSCMRAMAPQGKKKKKSGPAERSNIPQLQGIQRSVQCLINTVQSIQIWLSDQMCPEEQALDTLLSYLPAASDEGPGQMLRVLEDNPAAHHSELGDRIAQSLKTWNCTDALRRIVGAEHELLAEFKKTCDSKLKLLMSEAASLSSVLH* >BrasyJ020900.3.p pacid=40051549 transcript=BrasyJ020900.3 locus=BrasyJ020900 ID=BrasyJ020900.3.v1.1 annot-version=v1.1 MGKPDEALSVCLNAKELLHSNNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIRMYKTVGEERFLLWAVCSIQLQFYFSSGGEKLLALAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEVLSGDLGSLMGREEDKLRLQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLELDVNMPKPTTGGHTCSSCSVDSTMLNKTSLSEEVVESRLASALSFVQKLQKNESGDCVRGPHLANIEIQRQRRLSGNTIDRKFIEALVNYFHRFGHLSCSSSDVEIYLHMLSSDEITELLDTISRSFDASSVSVKALGLTITTFKIQELLGILLSKSTTDLHRIAKGMVETFYKNLPLSRDLDPQESMHGEELLSMASSILVQLFWRTRNLGYLLEAVLVLEFGLTVRKHVWQYKITLVHLYSYLGALPLAHRWYASLEVKNILLESISHHILPQMLSSPFLQQTANLVKDYLKFIDDHLKESADLTCLAYRHRTYSKVIEFVQFKNRLQRSMQYLCVKSDSVILSLKQKSESLEEVESVLENVNHLVYLSNEDNMKHFTFNEDLEARPWWTPTTSVNFLSEPIDEVSTPACFRAKVCKHKSTEKDGPRMRDTERKSLVPRLVYLSMHGCVSSLGETELNGAVSDITIGEMKTLLEKYARSIGYSIDDALSMILGMSSGKKSIKDFAPDIVSWMSFAVFINAMNLWSNESLIPRTDQSGPSSWQIVDSLVKICVEEQLTDANRILTCPGNNIPVLVQMVTEPISWHLIMIQSCMRAMAPQGKKKKKSGPAERSNIPQLQGIQRSVQCLINTVQSIQIWLSDQMCPEEQALDTLLSYLPAASDEGPGQMLRVLEDNPAAHHSELGDRIAQSLKTWNCTDALRRIVGAEHELLAEFKKTCDSKLKLLMSEAASLSSVLH* >BrasyJ020900.4.p pacid=40051550 transcript=BrasyJ020900.4 locus=BrasyJ020900 ID=BrasyJ020900.4.v1.1 annot-version=v1.1 MVSEAPTVFYFSSGGEKLLALAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEVLSGDLGSLMGREEDKLRLQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLELDVNMPKPTTGGHTCSSCSVDSTMLNKTSLSEEVVESRLASALSFVQKLQKNESGDCVRGPHLANIEIQRQRRLSGNTIDRKFIEALVNYFHRFGHLSCSSSDVEIYLHMLSSDEITELLDTISRSFDASSVSVKALGLTITTFKIQELLGILLSKSTTDLHRIAKGMVETFYKNLPLSRDLDPQESMHGEELLSMASSILVQLFWRTRNLGYLLEAVLVLEFGLTVRKHVWQYKITLVHLYSYLGALPLAHRWYASLEVKNILLESISHHILPQMLSSPFLQQTANLVKDYLKFIDDHLKESADLTCLAYRHRTYSKVIEFVQFKNRLQRSMQYLCVKSDSVILSLKQKSESLEEVESVLENVNHLVYLSNEDNMKHFTFNEDLEARPWWTPTTSVNFLSEPIDEVSTPACFRAKVCKHKSTEKDGPRMRDTERKSLVPRLVYLSMHGCVSSLGETELNGAVSDITIGEMKTLLEKYARSIGYSIDDALSMILGMSSGKKSIKDFAPDIVSWMSFAVFINAMNLWSNESLIPRTDQSGPSSWQIVDSLVKICVEEQLTDANRILTCPGNNIPVLVQMVTEPISWHLIMIQSCMRAMAPQGKKKKKSGPAERSNIPQLQGIQRSVQCLINTVQSIQIWLSDQMCPEEQALDTLLSYLPAASDEGPGQMLRVLEDNPAAHHSELGDRIAQSLKTWNCTDALRRIVGAEHELLAEFKKTCDSKLKLLMSEAASLSSVLH* >BrasyJ021100.1.p pacid=40051551 transcript=BrasyJ021100.1 locus=BrasyJ021100 ID=BrasyJ021100.1.v1.1 annot-version=v1.1 MTALSMVRPYAEPRISSPLLPANRAATLEGQRRARCRMDKIKGKEEGYLGEVDDVDLQKRAVAGEARHTGVDPVCDTVSYVCVLLGGDAHVPHVCTPLGRDEISHLCAPLITEAGTSHVCAPLGGEASDLDDGRRSPEMPRTAISSGVEAWRMRERVQI* >BrasyJ021000.1.p pacid=40051552 transcript=BrasyJ021000.1 locus=BrasyJ021000 ID=BrasyJ021000.1.v1.1 annot-version=v1.1 MSASWTPSRWEQTKRQLVNSRFHKIRVGSSNSSSATRTTTGVRRRRRTAAATAGEIDAARTTTTGSLYIRQPLLRLLPQRFTFIPGELRHLQLSFGGTDSFLNSREFLHRVAHFRLSGLRL* >BrasyJ059500.1.p pacid=40051553 transcript=BrasyJ059500.1 locus=BrasyJ059500 ID=BrasyJ059500.1.v1.1 annot-version=v1.1 MSNCETTRGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRILQDVPSDKFPVHKAMGIGHTIELAIQQVAYMCVTLLRTKYERGFITCKNKFFTLQGMPEEKLADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAIVDAGMYENEVRYPPRPPAPELAKMFKVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ029000.1.p pacid=40051554 transcript=BrasyJ029000.1 locus=BrasyJ029000 ID=BrasyJ029000.1.v1.1 annot-version=v1.1 MIQRAPLIGAMHLFLQTPLLCRLLIETPVLLPLCSHEYDTIAKKFTPSPLFPHTRRFQNIFSVPLRADNPPPNGCLPFRCSVAFFRDLNMHTTLIALYITVCSALFIISKMLISFLCYKKWARKKRIIETSLTGGKMVIFRSAAMQSLSPKSFLRMIMGLSSKDIIGSGGYGTVYMLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDAILHAAKDHQMEEEKKRAAVRLDWPVRYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDETLPSFPAEEVKFVFTVAEKCLESDPRDRPTMAQVAKMLEQAKLA* >BrasyJ013700.1.p pacid=40051555 transcript=BrasyJ013700.1 locus=BrasyJ013700 ID=BrasyJ013700.1.v1.1 annot-version=v1.1 MADGSGEEVVPTGQMPRVSASLSRRSDRGQKKEEEPRSRSGRGRMFNTTTVQRKYRPKIPTFPVVCPETEGGGWGGGEGEGEEEEEGKTNGTTEQGEAGPSKRIEDKLQLIPFQDPEEYMFEYYSMNQELTKEEMEEMATEERVYARYFGAQKHSLLSCSLLTNTTLSPMHFTHCTPGIKIKDDHTATTGASLQIFSFKIAEIKANLKWPLYVYGVVAARDKVDYNRNILFNRTRDNAQKVTEDDPFLRLTGPARAILAKLVVEVELRVKGRAKYRDRVLINDIYPYSDNSNGPYTVPFWNCLCRCELGLEQLGKSVQATILGVRIIKGLPCTFKYGGRVYFSSPAHEVVLVDDQGTAEVFDPVSTRVVLLDSRYRDDGKMPMGSDGYLDLTRHVVCVELQESDVLYPEKLEETFKVVIEAYSESRNGNVAAQGHVKLAPKLCNISHAVCDLGDSEVEITVAWSVHVASKEKL* >BrasyJ013600.1.p pacid=40051556 transcript=BrasyJ013600.1 locus=BrasyJ013600 ID=BrasyJ013600.1.v1.1 annot-version=v1.1 MPMTAFKVDVMLPGNRSVPLIPCWKKDACQNGRICQEATFWIQKVSAVREEVAGRRGYPGYMHADLATISERVYIEGRTGFTTQMPTLTMLNGGNRKCSDGHITSTMKIRKVVCNDYSEL* >BrasyJ111100.1.p pacid=40051557 transcript=BrasyJ111100.1 locus=BrasyJ111100 ID=BrasyJ111100.1.v1.1 annot-version=v1.1 MFITCLRALEFVAVLKSTSRKVKTKCEELAERVKILQDILPGYLSAAAEDEGMAAALRGFDAALGDALRDVESCRDRHVQSGVVGFFAAISGFDHQDLAKLEAADKKIERCIRDLMLAVQALSAAAAADRRPQINIHVENMSTYHEELRPQAAAPATTCVPSSSSMCRCWRRSWFRVKAHSSPTCLPAPARGAQFQGVPFVVQLILLEIRGWRQPPPRLLLRGVPFVVLPILVGIRGRRQPPPRQLIWGVPFALQLILMGLRGRRQSPPRQLLRGVSFALQLILVGIRGRRKPPPRQLHSADPRGDSRPATNPTQATTSGGSIRPSADPRGDSGPAATPTQATSSGGSIRHSADPHGVSGPSTNPTQAFASGVPFALQPIIMGIGDLRQPPTRLLFQGFPFAVKLIIVGRLILLQLQCRLFAVIVYHHHEPRAPRSGACQQRTRTTWRHCKSRACDNHAAASSG* >BrasyJ110900.1.p pacid=40051558 transcript=BrasyJ110900.1 locus=BrasyJ110900 ID=BrasyJ110900.1.v1.1 annot-version=v1.1 MLARDARRRVPNQTDVVARVAARPVVVIIRQTTIVNIIYCNSPVSNTNMNMAQQQQQQPRAGRSRPQMQMQQPASPSGPSQQRRPTNDHAPAAAFASGRRRTADHRGAAQQRRPTDTRATAAAIAAAFGTSSRGRPARA* >BrasyJ111200.1.p pacid=40051559 transcript=BrasyJ111200.1 locus=BrasyJ111200 ID=BrasyJ111200.1.v1.1 annot-version=v1.1 MVIETIIALATACLRALEFVSRLDKASREVKTKCQDLAERVQILRDILPGYLSAAAEDAGMAAALRGLKAALRGVLRAVESCDRHVQSGVVGWSLAVVSDFDRQDLAKLVAAEEKIERCIKDLRLAIEAPGAAVVNVALAPVVISQKQPMIVYNIHVEKLYLEPPRGAAASSCSGTYDYDVRRRALQQLGASQQQHMQELASGQRPVVADMPSSSRQWRPTADTTTPATATTSSVRRSDAQISSHGLCGDSEPATTRISLRRSVDRRGDSGPATTKISLADLCGHSGRATTKIFSADLLRDSMPTTTKIASHHLRGDSGPATTGISVRRSADHRGDSGPETTKISSVDLLGGPRPATTQISLRHSADRRGDSAPATTKISSADLLGCSEPATTKISLADLRGDSGSATTGISLHRSADCHGDSGPETTKISSADLLGDPRLATTQISVHHSADRRGDSAPATTKISSADLLGYSEPASTKISLAGLRGDSGSATTGIS* >BrasyJ111000.1.p pacid=40051560 transcript=BrasyJ111000.1 locus=BrasyJ111000 ID=BrasyJ111000.1.v1.1 annot-version=v1.1 MGTHQSRGRRTSYSVPGSSNHDHHPLGDRLKSTSAQVKTKCEDLAERVKIHQKILPRYLSAAAEDEGAAAALRGFEAALGDDVESCHDRHVQSGVVGFALAAFSGFDHRDLANLVAAEKKIDTCIKDLRLAVEAPAARRLQTGAVRQPVIVYNIHVQNMYLETYDDVRQHALQQQHMQELALGQRAVVADARRAFKLPPAAPNSSCDDPGDNNNHFPSSFTYAQISSADLRGDSEPATTWISLRCLVDRRGDSGPATAKISLSDLRGHSGSATTKISSAVLFRDSRPTTKISSADVLEDSGPATTKISLRRLADLRGDSGLATTKISLRQLADRRGDTEPATTKISSADPRGDSGAAPVIHVKS* >BrasyJ077100.1.p pacid=40051561 transcript=BrasyJ077100.1 locus=BrasyJ077100 ID=BrasyJ077100.1.v1.1 annot-version=v1.1 MEPSPPPFSSAASEPPLLQEDEQPQPRPPSAAASLRPIPSEGRRLVEPPLPSMDAVEEEKMNVERQVAPVDEHQTASEGCVQLSPKNPKKDRGKKTKRRIWKKRGGRNSRRRGESWKIIKKRHNKEKEKKLQQKKNKDGTSPSVMHCNKEVRCPICRSPGVNMMRTLKRRCSEALRKRSNKSPNSHILDETPESRANSLSPRSAAYQNDVPTTTLG* >BrasyJ077000.1.p pacid=40051562 transcript=BrasyJ077000.1 locus=BrasyJ077000 ID=BrasyJ077000.1.v1.1 annot-version=v1.1 MFSLLSKERKGTTNPETFASPPPPKMPQQPPQPGTASHVLDSVPLFVIVLGAVHVLALVYWIYKLASQKQPTRSKTQ* >BrasyJ058500.1.p pacid=40051563 transcript=BrasyJ058500.1 locus=BrasyJ058500 ID=BrasyJ058500.1.v1.1 annot-version=v1.1 MGLEHRPRQGPPLPPPWLVPSRLSSCRLRAAPHPPYPSYRLEAAAPRIRELAACPSVPAAPRIFAEAMLLRLATEFRTAADDSVRASIVRSLLPTEGGAARVAEPDQILRRVAAAHDAAGTARARALALRMFGCLARLAKDSVHVRSLVLSGLRSSNAAEVKAALFAAGCFCKLSEDFSCITLQVLAGLVTSPKLEAQVILAAIKTFPKLDCTLAIIQRVHVVGKQMVLGNLEDVFKAEMLMALSRLSSKSIILFRDQGNVEFLLLFLGHESSLSVKTMALKCLCFMFRRNTFYLPVAGTVFSTFSSIRHINSSELSKLALAVERFLHCSSWEMQDTALEILVDIFCFLKQIQPHETIDTLESSSFSCTGYQGISNKMLPTREENSEDERSMNKILTAIVDHIISLVNQAKYRTLFSLMLKLVTGCPSAASVALDKVRCLMKELARINVDHYSSVAATCVESLVASEQFRASNDTVEPVAASIKASPMEIDTDEAKLASSEFSSKKKASIVHDLILCSLKFANACHGVCCKTSGSSCNLHHSVKALIECVREEASEYCSTYEFFHLIMCACISWNTCKVRDGNKGSGYSKQHSDIFTTPAWITQEFYWEAYRSAMYCCREGLWFTASFVFRKVADAFESGSFSLWFKSLLLFCAGELEMKLLIFPSTVIKLVGELNTEGDVHEDLCCVETDVDNTLARSPELYGYQEKVTGICERTCLANDVLSSNASLDCEFFFQRWFISLRASFIEILADVLGILSSHSSAPKDISRHESRRDSSAVAIENNQVLVALANCSLRLSDLAKSYDILAASHGDMDCQSFTTIARLAFMCSFLSFCTVFSVDFSNIPSSSECWRLPDRFSHASILQDLHERVDRNDSQIVSQLRKFMSISSHGLDSLQFSTRMIVQFAVASLLREREDAKGKTTGEDTSSPFNRGMQFLSSILQRFMELPFVFPNTSSASDLALASSFSYLIPILQTEA* >BrasyJ090200.1.p pacid=40051564 transcript=BrasyJ090200.1 locus=BrasyJ090200 ID=BrasyJ090200.1.v1.1 annot-version=v1.1 MHHFPPPVPVRIRVGSSNSSSAACTTTEVHRRRRTAAATVGEIDAARTTTTGSLYIRQPLLRLLPRHFTFIPGELHHLQRAFGGTDSFLNSREFLPRVAHIRLSRLRL* >BrasyJ009200.1.p pacid=40051565 transcript=BrasyJ009200.1 locus=BrasyJ009200 ID=BrasyJ009200.1.v1.1 annot-version=v1.1 MAEVAGRGLTVDSAHPVTRVGAVCGRRGFSQELLLYGVVLVAARSCSGC* >BrasyJ100600.1.p pacid=40051566 transcript=BrasyJ100600.1 locus=BrasyJ100600 ID=BrasyJ100600.1.v1.1 annot-version=v1.1 MKKQKMVSKVLEIGIVFHSVIIGVTLGCRRTSAPFVRSSSRSPSTRSRRDGPRRCIAQAGFGMATVGYMCIMFSVTTPLGILLGMAVFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSLKLKKISYIALVLGSASMSILALWA* >BrasyJ100500.1.p pacid=40051567 transcript=BrasyJ100500.1 locus=BrasyJ100500 ID=BrasyJ100500.1.v1.1 annot-version=v1.1 MPSQIQGRRRTVELAKRSSTTYEPKPAPPRLLWRPTPCRPAAVAEASGTAGSGQIHSQPAAANPLPPATMCPGPRSAMACRHEAPPPQLLKDAGPVPNSKIEAARTRAAELLDGIGLVSPSSSSGLATSIPAGMGLDCDADEGAWWREGASGGGEGGARARGEAAQGDRAAGTA* >BrasyJ096800.1.p pacid=40051568 transcript=BrasyJ096800.1 locus=BrasyJ096800 ID=BrasyJ096800.1.v1.1 annot-version=v1.1 MVSAWKGCRVIIRLLHSDYGVDPIFTSCKAFAESDLDMLFSFLGFQVYHGSVIDPEDRDTANAIGSKSFSEILEILKSPPAEVEENTALMKKFVDNTKVRLTSYGLTCLKESIGHVEVGILFRYDRFSMLCKINGVLVTLQATEEQFKKNPDAVWQSLEEVNDDGMLLSSNNVHVKKQAVITDAEEAIAMKRQEVIIQAEAQEKLKLSKPSSKQRKRAAMRAADKSQFEVQPEFVELEEVAACDKKSEQELKGSKPSEGEPDRLEQQEQEVEPEETGEERDDMSK* >BrasyJ096900.1.p pacid=40051569 transcript=BrasyJ096900.1 locus=BrasyJ096900 ID=BrasyJ096900.1.v1.1 annot-version=v1.1 MPSVQAFSKFAMENDMHKLWILFDCGEKIVSATTYLGRKAAVGYLKFILKKHKARKCFNGSFQLEDLVVLVHGDGSLQFVIRTEASEDFDIEKAVADFNRFCVLVFPYFMDDKADEMPAYFDLFRLDYLKHMPNCDNTEEYDNWQKYMANHFAFKAPQACTTLVTETYEICASERTGYQYILDCAGDLLLSTSFVQSSGSLSLMDTYASFGSKMEPICLIRLENGALVP* >BrasyJ096700.1.p pacid=40051570 transcript=BrasyJ096700.1 locus=BrasyJ096700 ID=BrasyJ096700.1.v1.1 annot-version=v1.1 MGAGGEGGGRRGPAGAAGREGGGGEGRGKAGREGGRRGGRGEAGAGGGGGEGGGRRGGKGEGGGRRGGRWEAGRRGGRGRRGPAGAAGREGGDGGGGEGRAKAGAGGEGGGRRGGIWKELCARARERGEKFLGVGGFYFFLSNICRRPKKTPPAKDSLPAARQKAAGK* >BrasyJ070700.1.p pacid=40051571 transcript=BrasyJ070700.1 locus=BrasyJ070700 ID=BrasyJ070700.1.v1.1 annot-version=v1.1 MCAGSATAISPFVTRLSLASVSQPPPQRFFSSPAAAPDRAAATDDSSSSSVAIKVQPVLPPPPPPPQWFFSSPSASPDQAAAAVGFSRRGLVRGLDGGGGRYPRASFRQIQCCSVDERSLMVFSVII* >BrasyJ070400.1.p pacid=40051572 transcript=BrasyJ070400.1 locus=BrasyJ070400 ID=BrasyJ070400.1.v1.1 annot-version=v1.1 SRCWNYLQIQWPGGGNLKDILSSARRDFHQPFFFEVVIICCWNIWRQRNDFIFDGVDPRFRRWKYGFKDDMTLLMHRVKPSVAAALKVWLRDLP* >BrasyJ070800.1.p pacid=40051573 transcript=BrasyJ070800.1 locus=BrasyJ070800 ID=BrasyJ070800.1.v1.1 annot-version=v1.1 MNCVSWNCRGVGSAATVRDLCALVQAHHPQIVFLCETRQKSERMKCLCSRLGLRGFAGSDSNGNTKHLQESNGNRFCFIKRLE* >BrasyJ070600.1.p pacid=40051574 transcript=BrasyJ070600.1 locus=BrasyJ070600 ID=BrasyJ070600.1.v1.1 annot-version=v1.1 MAGKWAVSPRPRKCSGVFPNPIVTTHDSTSTTGDDTTRHSLAPVSGILAMLPALAAASPSQHASLASSHLRRHHRHHHGVYLHLRRLPVLTGARSRLLLAGAFDSADGGAGQDVGSSESTSSSGSAYVGLFVRMLGLDSDPHDREHAVCTLRHYSLGGQKCVDEIMQFPGCISLITILLKSESARACEAAAGLLHNVTSVKLYRDVAIESGAMEEIFSCLRKSTMTPEMKEQCLCTIWNFSTDENLRYKIFRSDMLILIVRFLEDEDFKVKEAAAGIISNLCLSHSYHGVLVEAGVIPKLVHLLQTKGDDYKIIRKEARSSLVELSADDDYHALIVEEGLIRVPLVGSSAYNAFKPQPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNEKKTEPDEAKINAMIGRSNQQFLARVGAIELDDEGKEQSGSQRNTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRTSFKEAGAVKALLQLLMHSDLPVREMTAYALEKLSLSSKVCEMIRAEDGLELLVSLVKDPNTQVEQLEKIIDILSRIFDMGISMITTPDYFGTGSEDTVNAQRFIQGNVDGGFNGSSQKFMKQEEMARCSESIIDFDVISRLNKVITESSPSLQAKCATVMEHFATSEQHATAMTAACTGSVIEAVLEIGVIQGNAGDPENLDEPPTLAIEVSHAVVATVGLLTKLLNFDIFARSINTVKFVALLRRILKSNIPLQSKDWIAACLFKLEPRAGLVDRSVGSIDMEITIYHTIPRLVEQMMTSSSFENKIKAIIELNSIISGGVMEYTRAVATAGGIFPLVKMIEECEDVLEDGLAVLYNLSMDPENHPAIIAAGAVPLLKRIVRLESPHWNRALQLLRTLPV* >BrasyJ071000.1.p pacid=40051575 transcript=BrasyJ071000.1 locus=BrasyJ071000 ID=BrasyJ071000.1.v1.1 annot-version=v1.1 MECSRWWRLPITVPPSKRPDFFMVASFGCCKFRLSEESVGNLLNVIGFHIAKLSTFTCSNFVVFFHLWGFGGPDYLREYEAWMKEENRSWVSPKKVISPVKAQRSFAQMVSQGPAILTGSNAIPIVRQSVFSRLETIDHPDPPLSPWSHTYEMDLADAGYSLEDILQCKETHIAKLKRQQKEPIAIGTVFKRLQFPVATTVLPAPEKETFKLQIRHPNPRYFNTFADCGKYFSSPSAPSSSSAPPPAKTPPTSSTPAGCSTAAPAMANFPVDPATFVPGHFDIVEVAGRPQHGRYHIRGQIERTNEDVVIATINPAPHTDDPFAVTRGTISHFIGHHLQLRVDTVQRSTLGHAYVRMGSGADRDWLVRHSPFQHNGVTFSFTEHNRDINWRSFTYNQEIWIMLLGSLSNMNRIVMKVKVTDVENWGPWDHHLQANNVDPIAEANAALEAANGVDHDLMQWLDNLPPEVPEAVANDQANDNESDLTLTLSSPVAAPSEGSVNNAEQVNPASLPQNMIIGRVLIPEFSHSQEDFPLLHGPDLIKPQLETLTCSAEGNDLWAKFVRPEKNKGPIISVPGPWLDFFTAALVSPDSFNWARKVLLSNMWQIRRSKKVPLVITEVRRSERISKKNVEYKHSTCIDKHCLACSAKAPTISKKIVRNLSERFGLSMGQEDTATQGLHKKGKKVPNDDTPQLDNEDKKGKKSKKPAKK* >BrasyJ070200.1.p pacid=40051576 transcript=BrasyJ070200.1 locus=BrasyJ070200 ID=BrasyJ070200.1.v1.1 annot-version=v1.1 MRLGSLCLVTFTVLAAPISAASFSPGKDPLKFVLAAGIGTLLLVSLVVLKIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLAHDRLLGSYKVKPVINQLKQTLVGRGALLVGAVSLFAFAAPVQDFVHSFNAAPSAASSKPTMRWVLTGALLVGSVYYVL* >BrasyJ070300.1.p pacid=40051577 transcript=BrasyJ070300.1 locus=BrasyJ070300 ID=BrasyJ070300.1.v1.1 annot-version=v1.1 MGRGDGEKEAKRRRGRSSKRSRDASPSSDSDSYPSSASSPSRSLEPRSHSGSKHRKSSNSSHRQHHRHKSSGRSHSSRDENRRRRRRRRRDEERGQRHGDAGDLSGSGSEDSDKEEEARQIVRGILSEFPAVAGELRQLLQMIDGGEGIDISGISDKPLVKRLKKLFRSLKLKESSNGTYLLPPKRVPTLDIIGPILSGSARCGDDQNENAMPQKRQELLSSNFDVQNKDDLPPAHGGKVDTEDDTPKKRVIGPAMPSRELLAAAAEMTEALRCRDAELEADDDSLIGPPPPAMVAEAASANEAERFEEVTRILGADADALYDVLGINWKMSSENIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPGKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGISLEGDEELLAAPKQPQEPPTRDEWMTTLPPERKAGVPMHSTTSFSMNGKEGRGDTSVWTDSPLDRAQKAQQNYLEAYNKAKAIADGYEDKGKSSDASLVDKYNSSKRSVSLVQKHRESKKEKKKHKQRDKEGWEENHPWKPWDREKDLTAGRQNVALDPENMTQGLSSRFSSGAVQRNFL* >BrasyJ070900.1.p pacid=40051578 transcript=BrasyJ070900.1 locus=BrasyJ070900 ID=BrasyJ070900.1.v1.1 annot-version=v1.1 MRELPEIYKRNICMPRHMQDEYHWTRGEHGRKQRYVLKARAPQATAHLALFAVYQLLQIFLVFTGSLGNGCGVP* >BrasyJ070900.2.p pacid=40051579 transcript=BrasyJ070900.2 locus=BrasyJ070900 ID=BrasyJ070900.2.v1.1 annot-version=v1.1 MPRHMQDEYHWTRGEHGRKQRYVLKARAPQATAHLALFAVYQLLQIFLVFTGSLGNGCGVP* >BrasyJ071100.1.p pacid=40051580 transcript=BrasyJ071100.1 locus=BrasyJ071100 ID=BrasyJ071100.1.v1.1 annot-version=v1.1 MKLLGGTQRGGGFSRTLKQQRARFYIIQRCVVMLLRWHD* >BrasyJ070500.1.p pacid=40051581 transcript=BrasyJ070500.1 locus=BrasyJ070500 ID=BrasyJ070500.1.v1.1 annot-version=v1.1 MSSLQAAAWSKEEDKAFENAVAAGAPPPLDGLPEDEWFVALAASVPARSTEEVRRHYEALVEDVGAIEAGRVPLPRYAGEEPSAAPPDGHKDGGGGGHRREDRKSFDSGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSITAGEVVAQQGPITGQAAGPLGMKHLGPGPPPMPGMGMYGGAPMGHPVAPGHMVPAAVGTPVMFPPGHSPYVMPVGYPAPQAKMHQ* >BrasyJ070500.2.p pacid=40051582 transcript=BrasyJ070500.2 locus=BrasyJ070500 ID=BrasyJ070500.2.v1.1 annot-version=v1.1 MSSLQAAAWSKEEDKAFENAVAAGAPPPLDGLPEDEWFVALAASVPARSTEEVRRHYEALVEDVGAIEAGRVPLPRYAGEEPSAAPPDGHKDGGGGGHRREDRKSFDSGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSITAGEVVAQQGPITGQAAGPLGMKHLGPGPPPMPGMGMYGGAPMGHPVAPGHMVPAAVGTPVMFPPGHSPYVMPVGYPAPQAKMHQ* >BrasyJ070100.1.p pacid=40051583 transcript=BrasyJ070100.1 locus=BrasyJ070100 ID=BrasyJ070100.1.v1.1 annot-version=v1.1 MAASQPPKATPNREEEEEEGDKGETPGWWERAAKVVREREEREKERERIRKHNEKHEMERVRRAKMFAEAAERERRDKLQKSQKDAHEQRIREACWQKQWEAAEKKFAKEDEEKKKAFNEKVLREARRQREEEKEDERKRKGKGPAPTQ* >BrasyJ057700.1.p pacid=40051584 transcript=BrasyJ057700.1 locus=BrasyJ057700 ID=BrasyJ057700.1.v1.1 annot-version=v1.1 MRSAAPGMATPDSEVGFERGRDAVAQDETELGLKTNTGGSHRRDKDLDMDNDEHDLYGDEGDEYYGNVGDEDYGNQQDDHNNDD* >BrasyJ057700.2.p pacid=40051585 transcript=BrasyJ057700.2 locus=BrasyJ057700 ID=BrasyJ057700.2.v1.1 annot-version=v1.1 MRSAAPGMATPDSEVGFERGRDAVAQDETELGLKTNTGGSHRRDKDLDMDNDEHDLYGDEGDEYYGNVGDEDYGNQQDDHNNDD* >BrasyJ057700.3.p pacid=40051586 transcript=BrasyJ057700.3 locus=BrasyJ057700 ID=BrasyJ057700.3.v1.1 annot-version=v1.1 MRSAAPGMATPDSEVGFERGRDAVAQDETELGLKTNTGGSHRRDKDLDMDNDEHDLYGDEGDEYYGNVGDEDYGNQQDDHNNDD* >BrasyJ061300.1.p pacid=40051587 transcript=BrasyJ061300.1 locus=BrasyJ061300 ID=BrasyJ061300.1.v1.1 annot-version=v1.1 MVMASLAAPAAAPRSASSSACSSPSAACCRASASRSAAASSPISTAHHGGGRLGRRLPHHHQRLLPRGRGRRRRGRRRAGGRGGAGGGAAEGAEVGRGLAGVGHGAHPRRGEEAGGRTRARAGRGEMVGRRGRKRERGYLFGSPAVV* >BrasyJ061100.1.p pacid=40051588 transcript=BrasyJ061100.1 locus=BrasyJ061100 ID=BrasyJ061100.1.v1.1 annot-version=v1.1 MTSTTLISVKSSPGKGKRDSTSPSAGQPHLRRLRLPPPPPSTRCRGAYPWPMGEVARKSPVKARGRQRAPAGRGPVGATDRGGAARSQIKPRAAPSTRRSSLRRSSKAGGRREPERAVRRSWARARKGKKGRDEWHREKNGKEKGKVSRRRLRGRDLQAAASQLQQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQPTQGTLPYVICSLVDFNQ* >BrasyJ061400.1.p pacid=40051589 transcript=BrasyJ061400.1 locus=BrasyJ061400 ID=BrasyJ061400.1.v1.1 annot-version=v1.1 MMEARQFRRYAEEKMSHDAAELASLEEAIAKRDAATATAAMRSVPSQSRLGGGTPRCGLSIPSSPRVSSTPRHASSTPSSSVAAAAAAAASGGYYPALRCFNDMQQHPPTASEADALDVQTPRDHLARLSHRVNMLERRAVAAPQPSITTPIIRVAPGSAFQQRNPRAFSDADSLDLCDGEFFPDDVDCGASDRVYTVDAIHGRGGVPMAVPEGSYCGGTPVGSVSDCCGGGGAPWGEDEEMRRLSARLRALEADRENMRQAILSMGAEKAQVVLLKEIAQQLCKDGPPPSSLPTVTVGQHYYKGAAPAPAMTVTVPRPQRPMVMHRMELKSQPKTSLFATVVKVISYALVTNAQESGFLYVQLFSIYGGCLGVGDFYACIAVYCS* >BrasyJ061200.1.p pacid=40051590 transcript=BrasyJ061200.1 locus=BrasyJ061200 ID=BrasyJ061200.1.v1.1 annot-version=v1.1 MGASLFHTFVMQLSHPIVLVRCSCDHEVGCDPSQIGSGNGVEPCRYQLVISEYSTKSSSSSFSEATYADPSEVRRVFSMGLPHVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRLDIDELPEMNEVANKSLWGNYTIPKDNPNTDDSNSRPEIWALGLETHGCAALTLKAFHLYCADNGQEQYKVVDLISKGGNYGWSGVYDDKHVHYPPWAAQGTKQTNDIIFPILGYEVPSTSEYAAIVGGYVYRGSADPCLYGRYLFADMYSSAMWAGTVNADGSGLYTSASIPLSCSEKMPLPCDGFINSPLGPIFSFGEDNKQDVFILASQGVYRIIQPSLCDYACVNDATTKQTRPSVSSGTQRMSNNYEGGDRSGDRSGVSFGHWSYLSCSHMLLQ* >BrasyJ086200.1.p pacid=40051591 transcript=BrasyJ086200.1 locus=BrasyJ086200 ID=BrasyJ086200.1.v1.1 annot-version=v1.1 MASPACARPAALQKEHATTVARRQGIRPVHRQDKTCADWSRSWAARLVLSRQRKARRVEGKLRRQAPPTRACDTCRADRAAPSPSTNKRGCGEPPMSEFRHRHLARQDAGLANQPVHAQDGQQDQPHGPGLQAGGSRATPGAHNEEARHEGQASFHQPELQLGPHRPPGVRSHPVSDPHQRAADEQSAPVEPPPGIRTRSETLATFQILLLHEPPCGTHEHDRWLLEFARRAELAPEPSAGNRGPPWSDRPPVSEGSVSRGPAPRPPPVGGRRGQNPDMAISVASSWPRPEHHGAPPHGERPRRRQPEVGGGQPDLPPPPPEGYDYGCAAFIPELPWVIWPRKFRSAIPTRFDGTTDPTEFIQLYSLARVDWLIGGAV* >BrasyJ086100.1.p pacid=40051592 transcript=BrasyJ086100.1 locus=BrasyJ086100 ID=BrasyJ086100.1.v1.1 annot-version=v1.1 MAAARGRRREWEGDELGGPSPPPLSAPVVCLVRSAGDFAAGSFVGSLVGYGQGLISNKGMKGSLINAGSSAKTFAALSGVQSFILCLLRRLRGKDDIINAGVAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATVNGSSLAIEDEGADVLPPFTLPPPLLDASDALASCCQAFAQARAKALDCAED* >BrasyJ086000.1.p pacid=40051593 transcript=BrasyJ086000.1 locus=BrasyJ086000 ID=BrasyJ086000.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >BrasyJ086300.1.p pacid=40051594 transcript=BrasyJ086300.1 locus=BrasyJ086300 ID=BrasyJ086300.1.v1.1 annot-version=v1.1 MTSMSASSGPAVPRTCRRSHRTSLTTSTPAHPTCSRSWMGYPSARSGVSSEMKYPRSATGQLPGASWAASRTKMSRAARLPCRVRQPPCPAPAPTMPCRWRKWEHLPLRAGGRRPPLPRSSNWSARKHRPPCPRLHALSPPHPPSSQVGGSFLVAPLPDSSS* >BrasyJ004000.1.p pacid=40051595 transcript=BrasyJ004000.1 locus=BrasyJ004000 ID=BrasyJ004000.1.v1.1 annot-version=v1.1 MLHEAAPCTCGLLYGSCCSMLFATAAPGDYHHHYYSSSGKQCGGDDGGFVNGSSYGGGSVDCTLSLGTPSTRRAAEAAAGMPWEAAAVSSCNGNGRQETIATAPRADHQSTNSASAARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAAGLGSDSGMEYAYGYARQQQQQQWGCYGPAVAKAAASYGMFGDAAVAEVDNGPCLPWGLGVMPSSPAFGSVREMPSLFQYY* >BrasyJ003900.1.p pacid=40051596 transcript=BrasyJ003900.1 locus=BrasyJ003900 ID=BrasyJ003900.1.v1.1 annot-version=v1.1 MAGPILIPKISQSHPKPGTDQPEHDPRAPLGRRHGRRAEEARSRRGTPHALPPARFRPSCRAVPRCCVVVADGDRYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVIILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQLVFGGSGDWVSWCWYRGKPLVGKSGHDDGDVPGRLIPSLRRCRDQRARGVGRRTAAGRLLVGGSVLRRRCQALQVGMLRSLS* >BrasyJ003900.3.p pacid=40051597 transcript=BrasyJ003900.3 locus=BrasyJ003900 ID=BrasyJ003900.3.v1.1 annot-version=v1.1 MAGPILIPKISQSHPKPGTDQPEHDPRAPLGRRHGRRAEEARSRRGTPHALPPARFRPSCRAVPRCCVVVADGDRYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVIILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQLVFGGSGDWVSWCWYRGKPLVGKSGHDDGDVPGRLIPSLRRCRGTNSTPSEASYSYGCRLCSWWWTCSTHGV* >BrasyJ003900.2.p pacid=40051598 transcript=BrasyJ003900.2 locus=BrasyJ003900 ID=BrasyJ003900.2.v1.1 annot-version=v1.1 MAGPILIPKISQSHPKPGTDQPEHDPRAPLGRRHGRRAEEARSRRGTPHALPPARFRPSCRAVPRCCVVVADGDRYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVIILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQLVFGGSGDWVSWCWYRGKPLVGKSGHDDGDVPGRLIPSLRRCRGTTTSPLI* >BrasyJ003900.4.p pacid=40051599 transcript=BrasyJ003900.4 locus=BrasyJ003900 ID=BrasyJ003900.4.v1.1 annot-version=v1.1 MAGPILIPKISQSHPKPGTDQPEHDPRAPLGRRHGRRAEEARSRRGTPHALPPARFRPSCRAVPRCCVVVADGDRYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVIILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVIYS* >BrasyJ004100.1.p pacid=40051600 transcript=BrasyJ004100.1 locus=BrasyJ004100 ID=BrasyJ004100.1.v1.1 annot-version=v1.1 MSSSGSRSSSTRGGANAASEWSRKENKLFEEALAYYGEGTPDRWQKVSRAIGGTKTAEEVRRHYEILFDDVGLIESGRLPFPQYNTQGAWN* >BrasyJ041600.1.p pacid=40051601 transcript=BrasyJ041600.1 locus=BrasyJ041600 ID=BrasyJ041600.1.v1.1 annot-version=v1.1 MVSVGTEIEEVRLATSHQRLVIPFRDISPPSTTKSTVSELTNEEKDSDDDDKPLDEPNHTNDVIYELADEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKEILVQIDQVYVKQCDLKCLLDSAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRNGEIGIHEATFMTNIVGNYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEHKRVDLTNTLQGLQYHLDILKTQENLCNHNWKNLDVTKWTITEQLHSPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIEFRYKLAAILMCWKTNTAQASATIEESDYSEGDPNDVVMFECIDENQSNTLNSVSIEKKYQSLITVLSNMSVHELEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIQTAKKTKGLISKHYLDMRFWLDLEQLAYSVCSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDTRTVYILDPTPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSTWNENIFLWHQHIINNIPIHNRKHFLLNMELSGYLITLFMSTWDDEKLNLPFLKDGYELRKQIFTKLLTFKKNECEVNMPAGVLDIINCIRNIQTNMNVKT* >BrasyJ022900.1.p pacid=40051602 transcript=BrasyJ022900.1 locus=BrasyJ022900 ID=BrasyJ022900.1.v1.1 annot-version=v1.1 MRFEATAVVLLCTWTRLERSKKKTSGTRAPAPATHSTAYEPAVALPDDLLANVLWRLPPKTLAVARCVSRAWCAAVDSRGLLLPSLTCSCSWWPGGIFVNYVGYCRQHLLTRPGAPRVSAGAWTSCPTTAPGRGGAPAVTTSARPSKPSPSTTAAGGAPSETTATSSCSWTTAPTSPSSIRPGHYEVVSIPSVPEKPKPCAGEAEPEDPYGSMELMAAVAVHGGRFLFEDRAMGGAHLCSARRRRRNGSRPAAGPRDVLARSALRALSRQHLII* >BrasyJ089300.1.p pacid=40051603 transcript=BrasyJ089300.1 locus=BrasyJ089300 ID=BrasyJ089300.1.v1.1 annot-version=v1.1 MSATMAKVLLLLLFVVQLSSVLVAAARPLPLQAGHGGWMENGIETVTQMLGGMKQQSGSNPVGHCC* >BrasyJ089600.1.p pacid=40051604 transcript=BrasyJ089600.1 locus=BrasyJ089600 ID=BrasyJ089600.1.v1.1 annot-version=v1.1 MAATAMTMIRMVLLSVFLVQILNVMAVSARTLKGDGWLEDGIGMVMEMLGDLKSGSNPPTHCC* >BrasyJ089500.1.p pacid=40051605 transcript=BrasyJ089500.1 locus=BrasyJ089500 ID=BrasyJ089500.1.v1.1 annot-version=v1.1 MAMMAKLVVVLLFLTQIFGVHLAAAARPLQEGHGAWMENGIEMVTQLLGGSKSGSNGRGHCC* >BrasyJ089400.1.p pacid=40051606 transcript=BrasyJ089400.1 locus=BrasyJ089400 ID=BrasyJ089400.1.v1.1 annot-version=v1.1 MAATMAKMVKAVVLLLFVMQIFCGVASAARPLQEGHGGWMENGIEMVTRMLGSVKQSGSNGHGHCC* >BrasyJ026800.1.p pacid=40051607 transcript=BrasyJ026800.1 locus=BrasyJ026800 ID=BrasyJ026800.1.v1.1 annot-version=v1.1 MVMVHGGLEFHHFGWPLICWLLWMEEKKDVVFVQIRCICNSYYLLYHKMTIVLYTYLLLIGPLLAGFVMPAASHRFGAS* >BrasyJ026700.1.p pacid=40051608 transcript=BrasyJ026700.1 locus=BrasyJ026700 ID=BrasyJ026700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAESPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ006500.1.p pacid=40051609 transcript=BrasyJ006500.1 locus=BrasyJ006500 ID=BrasyJ006500.1.v1.1 annot-version=v1.1 MDIPFPFGIGPECALPGFNLTCNDKGANRRPAPFYHNVEVVGVSLEQGQARMLNVISSACFNTGTRKMDYNDWQLNFTGSPYRFSHTANKFTAVGCRTLAYIADEDKRAAARQPFLGRFSTTRSGSTRASLWRRSTTSACSYAVLMESSNFTFLESYATSPEFNSTYQGRAPLLLDWAVGNETCEAARKKQDSYACASVNSECFNSLNGPGYICNCTEGFKGNPYIRDPELGCKDINECANSEHYPCSVRGTCKNLPGGFECQCPHGVFAALLIGLVGFLGTEVIRYRQNIRRQALERQSNEYFQLHGGDILSEMMRVERNIPFNLYGREEIEAATNGFDNPTSLGKAGRAPCTRQSCTPVAIKRCKEVDESRTKDFVQELVILCRVDHPNIVKLHGCCLQLEAPLLVYELVQNRTLQELLHPRVKNHRSVVTLGARLRIAVQSAGALAHLHSLEHPILHGDVKPANILLGDGWVAKVSDFGCSTIDEKTQVVPKGTHGYLDPDYLLDYQLTDKNDVYSFGVVLVEILTGRKPLSKERKSLTVMFQESMEDGTLRELIDMEIADEASMAVILQVAKLANWCLVVPGTTRPSMRWVAEELRRLAEQVQEWPQSPLVLEDLNLTNNVGRSTSPLFTGSNTTGSYSILEKKAVLSIEYARLDVSIWDLVLPPFQK* >BrasyJ094000.1.p pacid=40051610 transcript=BrasyJ094000.1 locus=BrasyJ094000 ID=BrasyJ094000.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLEELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093100.1.p pacid=40051611 transcript=BrasyJ093100.1 locus=BrasyJ093100 ID=BrasyJ093100.1.v1.1 annot-version=v1.1 MISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093400.1.p pacid=40051612 transcript=BrasyJ093400.1 locus=BrasyJ093400 ID=BrasyJ093400.1.v1.1 annot-version=v1.1 MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPGLTVQEV* >BrasyJ094100.1.p pacid=40051613 transcript=BrasyJ094100.1 locus=BrasyJ094100 ID=BrasyJ094100.1.v1.1 annot-version=v1.1 MDVSSWIRGAPASQCGMPPGVFRDWTSFLFSSFQYNHKLNGLWLDGVRNMNPDPRDWTSTVSTEIEPCTGAVASPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093700.1.p pacid=40051614 transcript=BrasyJ093700.1 locus=BrasyJ093700 ID=BrasyJ093700.1.v1.1 annot-version=v1.1 MISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093300.1.p pacid=40051615 transcript=BrasyJ093300.1 locus=BrasyJ093300 ID=BrasyJ093300.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYAETFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093900.1.p pacid=40051616 transcript=BrasyJ093900.1 locus=BrasyJ093900 ID=BrasyJ093900.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMRECVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093800.1.p pacid=40051617 transcript=BrasyJ093800.1 locus=BrasyJ093800 ID=BrasyJ093800.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLEELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093500.1.p pacid=40051618 transcript=BrasyJ093500.1 locus=BrasyJ093500 ID=BrasyJ093500.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYANTFGLHPASPEAEKRLGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLEELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093200.1.p pacid=40051619 transcript=BrasyJ093200.1 locus=BrasyJ093200 ID=BrasyJ093200.1.v1.1 annot-version=v1.1 MLLRVEAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYAETFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ093600.1.p pacid=40051620 transcript=BrasyJ093600.1 locus=BrasyJ093600 ID=BrasyJ093600.1.v1.1 annot-version=v1.1 MREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLEELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >BrasyJ019300.1.p pacid=40051621 transcript=BrasyJ019300.1 locus=BrasyJ019300 ID=BrasyJ019300.1.v1.1 annot-version=v1.1 MSSSSAETAAKQHHRHAGGSNGPVPLAALIKEEARTERRAGAGGSISAREEDGGVGGGVGEAPTRRPLLRYGCAAQSKKGEDFFLLRTDCARPSTSSSSPTSSHRPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSRNEWLHALPRALVAGFVKTDKEFQTKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGSVSLLTVDHRLEENFEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDSLSSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCVVVDIIPPDKTIRPPSPPKKMNKIKSLIFRKKAKVLSNKLTKQLSSVSMVEEIFEEGSAMLSERLGNDSSGRRTSCLFTCAICQVHLETSEGISVHAGSTFSSSSKPWESPFLCSDCRDKKDAMEGKRPSGVKVL* >BrasyJ019300.2.p pacid=40051622 transcript=BrasyJ019300.2 locus=BrasyJ019300 ID=BrasyJ019300.2.v1.1 annot-version=v1.1 MPRGLSRNEWLHALPRALVAGFVKTDKEFQTKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGSVSLLTVDHRLEENFEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDSLSSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCVVVDIIPPDKTIRPPSPPKKMNKIKSLIFRKKAKVLSNKLTKQLSSVSMVEEIFEEGSAMLSERLGNDSSGRRTSCLFTCAICQVHLETSEGISVHAGSTFSSSSKPWESPFLCSDCRDKKDAMEGKRPSGVKVL* >BrasyJ019300.3.p pacid=40051623 transcript=BrasyJ019300.3 locus=BrasyJ019300 ID=BrasyJ019300.3.v1.1 annot-version=v1.1 MPRGLSRNEWLHALPRALVAGFVKTDKEFQTKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGSVSLLTVDHRLEENFEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDSLSSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCVVVDIIPPDKTIRPPSPPKKMNKIKSLIFRKKAKVLSNKLTKQLSSVSMVEEIFEEGSAMLSERLGNDSSGRRTSCLFTCAICQVHLETSEGISVHAGSTFSSSSKPWESPFLCSDCRDKKDAMEGKRPSGVKVL* >BrasyJ019300.4.p pacid=40051624 transcript=BrasyJ019300.4 locus=BrasyJ019300 ID=BrasyJ019300.4.v1.1 annot-version=v1.1 MPRGLSRNEWLHALPRALVAGFVKTDKEFQTKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGSVSLLTVDHRLEENFEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDSLSSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCVVVDIIPPDKTIRPPSPPKKMNKIKSLIFRKKAKVLSNKLTKQLSSVSMVEEIFEEGSAMLSERLGNDSSGRRTSCLFTCAICQVHLETSEGISVHAGSTFSSSSKPWESPFLCSDCRDKKDAMEGKRPSGVKVL* >BrasyJ019100.1.p pacid=40051625 transcript=BrasyJ019100.1 locus=BrasyJ019100 ID=BrasyJ019100.1.v1.1 annot-version=v1.1 MLQEFVDNVIAVIKESVKTFTYETLNNVARLINGISALLLTLLPGKANILEGISGWELRPAFRGPRLPRWMESGVSSFNEFIHELSVDSDSESIADSIPGDDENEEFACPPSPMSQSSRLSHTSSFGRRDRRLRRSIKYAVSWIIWPVKFVLSLLLILFNAVMFRMSRTSAKSPESPSLARNISAKKPIHMKDQVLQRTTDRRRGVFEDVHLAIEIFIESVFDVVHKGAHYVLSPSEVWQKLFCWIRGHRNSVVDVQTAKIGSDNPVPTERKTVYRHALNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNLSSSKYWKYSVNEHGMKDMPAIIEEIHKIKTSELGKSQHHAQEETEDQNDNIKNLEIQASQEDVTEDQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSIVEKIILFVGPILAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVVLHLAQIKRTKRFQMYDYGSAAANMEAYGTPEPLDLGAHYGLIDIPMDLVAGQRDRVISPTMVKKHYKLMRKASVEVSYNEFEYAHLDFTFSHREELLSYVMSRLHLAADPGKGSIKQTTVRLRRPKKVQSEIESDCGTESRGVDEEVPGEPNA* >BrasyJ019200.1.p pacid=40051626 transcript=BrasyJ019200.1 locus=BrasyJ019200 ID=BrasyJ019200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIKLAIQQVAYMCVTLVRTKYERLNSGPFKYLPRGLITCENKFFTLPGLLEEKVANDNYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENQVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYREQKADAYVFLYSPQLLPRF* >BrasyJ000900.1.p pacid=40051627 transcript=BrasyJ000900.1 locus=BrasyJ000900 ID=BrasyJ000900.1.v1.1 annot-version=v1.1 MATNGDPAAQAAAQAQQQQAAQLQAQQQIEAQATAAAKMQAQALAAAQEVAKSATAAGVNIDAAGLVTDFNKFINKEQPTQGTLPYLIKGSRPQQQIPWGTRPASQPDDCLRMSDEPAANKLKL* >BrasyJ001000.1.p pacid=40051628 transcript=BrasyJ001000.1 locus=BrasyJ001000 ID=BrasyJ001000.1.v1.1 annot-version=v1.1 MEFLPAAAPASLHGGEEDSEERSTRAASAAEMEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDFDKGNGGIILSFEEYRGGGKAWRFRYSYWNSSQSYVMTKGWSRFVKDKRLLAGDAVLFGRGVDSHRRFFIDFRRRLRPVAAAFPPPLPSVPLCRAWPWDGVSGADRRVLFLRQQVPAAAAVVLKSSVVPAAAGALLEPASRQKRVRLFGVNLDFPCPCPPVAATQTVASTLLQQKHLPSPSSSSSSSTAAGKEEACSLDLGL* >BrasyJ110600.1.p pacid=40051629 transcript=BrasyJ110600.1 locus=BrasyJ110600 ID=BrasyJ110600.1.v1.1 annot-version=v1.1 MRPNTKTQREGERERERETRACGTQDAAATAPPQSAAATLQPPGPCPPLAKPSQTRPAAPSGPARGARSPPAGPWARRPAVLPPCAGAPPTRRLPLPVPNRLRPNRSRMSSAALVRH* >BrasyJ110700.1.p pacid=40051630 transcript=BrasyJ110700.1 locus=BrasyJ110700 ID=BrasyJ110700.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLSVIRDEAQLLGGVGGDVQFIKEEMESMNSFLMHLARKTPRSGEHDEQVSTWMKQVRDLAHDCSNSIDIYLRRRDPAVYRARGILLGYVWWVPWFLKKTLAQHLAATQLRDLKARARDVGERRLRYGVEVPAKTADSDKLLMSPAEASFQAASGVAEGDHDLEEDYYRATNDDPRRELAFSEPRLLWKCAEKLMHWLQHQHEDDQFQAIAIAAPDEEDGKDIIDEALGHDAVTEKFDHIFFLQDQFALQEPREFLGDILEALEADESVEESGEEEVHDESSMIEEEVHDESSMIEEEVHDETSMIEEEINKKISKIDEKIEEHLEEAGNKGYQVKPIGVLRRILRVLLQDAAVLAGEDQTQEKTILEDTVEKTKKYLESAGENGSCRIGHLEHHPEFIAILQELLPKQATTLAKAAANKQGEDNIVKNIKDITLKIQVQIQPELLPMTSLHQQDKSAEESSLSPGEDKEYCRERIKQVLKRIKEHVWTQETTGRARKHLQGTRTLVVLINAYGYKWEETAKALRELGCTSMAVVVTTKYMQSANEFCYGTEPIVYSSIEYYHDTALQLTNRHVNDDNRYNATIFHEILEKCRMDDVFCIKMFIHALFANPMRRREELDKLSNSLVFGGSVQTNGYKMIKFSYNDLPRDYKTCLLYLAIFHKDEKIDRTRLIGRWVAEGLVTRQDWSSSVSQAERCFDVFADLWLVCPSDIDAGGKVKSIMLHPLVYSFITKMARKEHILDTRLSRHLARHFSILSNIRLRPSDSIVDFLKQPSNATSQLKLIKVLDLEGCASLRHNQRWLRNVCTLLILLKYLSLRNTDVTELPKEINRLQQLEVLDIQRTPMNASAIKQLMLLKLKRLLAGQSACSNDTGGGDASILSSVEMPHKLRKMTDLEVLSHVQASKHHATELREIGQLWQLRVFGVVIYDWKAQLDNLLQGISDLNECLVSLSTEIKALPASEAVATPPDVDAISAHCKNTPKILESLSISGVTMYGRLLSFFAKGCQKLAKVTLHNTLLDQDDMESLAGLPNLRGLRLRHVKLHTESKLIIQTNGFQNLKYLLVEGGGITDIDFETGETPKLETIVWLIDEIKSLSGINNLPKLKKMVFSDGIRLPDQVKQAIEAHPNFIDDNGIWC* >BrasyJ114900.1.p pacid=40051631 transcript=BrasyJ114900.1 locus=BrasyJ114900 ID=BrasyJ114900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYCAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRDFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYGNEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ115000.1.p pacid=40051632 transcript=BrasyJ115000.1 locus=BrasyJ115000 ID=BrasyJ115000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGHQECSRFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ019500.1.p pacid=40051633 transcript=BrasyJ019500.1 locus=BrasyJ019500 ID=BrasyJ019500.1.v1.1 annot-version=v1.1 MASNLRAHMKHCFSAPSLRSYLAEFVSTFLFVFTAVGSAISARKMLTPDVTSDASSLVATAVAQSFGLFAAVFIAADVSGGHVNPAVTFAFAIGGHIAVPSAIFYWSCQLLGSTFACLVLHYFSAGQAVPTTRIAVEMTGFGAAIMEGVMTFMLVYAVHEQGAGDGGHGLAGGRLAAGACVLAAGSLTGASMNPARSFGPAVVSGDFKNQAVYWVGPMIGAAVAALVHQNLVFPSAPEPLPHEVRHGSVETVVV* >BrasyJ019600.1.p pacid=40051634 transcript=BrasyJ019600.1 locus=BrasyJ019600 ID=BrasyJ019600.1.v1.1 annot-version=v1.1 MKSLELQSSVIRDLVLLSCVGLRPILVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKNLVSLINLAGGTAVGLCGKDARLITARPSLNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILEDRNDPGSLVKEIDIAGVRQMVSDGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG* >BrasyJ019400.1.p pacid=40051635 transcript=BrasyJ019400.1 locus=BrasyJ019400 ID=BrasyJ019400.1.v1.1 annot-version=v1.1 MGEKEWYFFVQKGLKYRRARGQKGLSLPPQFRFHTTDEEAIIHYLLPKVLNPASPPRRHRGRIYSCEPRDSQVRAQVPTSSRANRATREGYWKSTGRDKGIFGEGTRELIGMKKSLVFYMGRAPAGVKTDWVMHEFRLHGRTRDQIVTVKRACGRWVVCKVFNKGEWEAAANPWRTLTPPELRNSDVDAGGELDLSGVEGYDDVDRSQEREPPAAGGHTTTNAGTIDVPPPYNWAANSYSVPTTAGMPSLTNNVNAAISGIQQSANAAAMAASSNLPNYGVGLGKSFFDGISLHEEQAVMADALGGLLSGAGPSSGVMPAMGSSAA* >BrasyJ005200.1.p pacid=40051636 transcript=BrasyJ005200.1 locus=BrasyJ005200 ID=BrasyJ005200.1.v1.1 annot-version=v1.1 METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRTHPSQGTY* >BrasyJ005300.1.p pacid=40051637 transcript=BrasyJ005300.1 locus=BrasyJ005300 ID=BrasyJ005300.1.v1.1 annot-version=v1.1 METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRTHPSQGTRDRRVLCYSFFQKFDGIGKPLESAL* >BrasyJ005400.1.p pacid=40051638 transcript=BrasyJ005400.1 locus=BrasyJ005400 ID=BrasyJ005400.1.v1.1 annot-version=v1.1 MDSHRLHALKAFDDTKAGVKGLVDAGVTAVPSIFHHPSESLLPCSAAAGVTIPVIDLAHGAAAARADLVAQRFNEEPAEAKRAYYTRDMARRVRFQSNFDLFQSPAANWRDTLLIDMAPEPPAPEEIPPACRAILFEYTGRVHSLARNLFELMSEALGGIGVALWTP* >BrasyJ050400.1.p pacid=40051639 transcript=BrasyJ050400.1 locus=BrasyJ050400 ID=BrasyJ050400.1.v1.1 annot-version=v1.1 MELAASASASVCSSYHHLSSTAPADVDGGGAQSTPRRRKHAAAGCGLRRRCYAVLKQQRTRLYILRRCVSMLLCWNEHDLSD* >BrasyJ050500.1.p pacid=40051640 transcript=BrasyJ050500.1 locus=BrasyJ050500 ID=BrasyJ050500.1.v1.1 annot-version=v1.1 MPAAQTVDPGNPAYFNEQSQFMEDLIGQEAPPLDEGFDEQWTEDIQEGVSIETEPLYNDAGTDGGKKGTSKRTQAYAECKDKLLCEAWLEIGQDPVCGAEQKGHAYWKRIQDFFHEQRLFLPHNFVSDQGELSLQKRWGLIRAECNKFAGAHDHVKARPLSGVGVQDVKRDGDIIDLEASGHTEASTRAVRPRGRTWMPSVRPPTSPLRRPSRRSGRIRRPKGDPQEDLEFIEVQKRKIAVDEANARATAKVAEAVMLAKETRIITADLSLLDPAMRAWFEPMRKMIQERNAPSASQDGEAASQDGNAATQDGESATPSGA* >BrasyJ078200.1.p pacid=40051641 transcript=BrasyJ078200.1 locus=BrasyJ078200 ID=BrasyJ078200.1.v1.1 annot-version=v1.1 MHAVPRREGSKPSPTSSSAASQSSWNRRRRRNVEIPGIVTRRRPTTKNQKAAAPQSPPPGDPSGDSTGNSSSSPSLSGARDQNRLLGRPNGSLFLTILAILVPSFPFV* >BrasyJ078800.1.p pacid=40051642 transcript=BrasyJ078800.1 locus=BrasyJ078800 ID=BrasyJ078800.1.v1.1 annot-version=v1.1 MTTPPGASRPPSPRARCCRRRFCCAIPRLCCCAAPPRARCRRRRLCCCAAPPRSRCRRRRLCCAIPRLCGLVAAAAASAVPSPVPMLLRRLHPAHPCSSLQTMRVRWGVVEGRGDFFPTPTEVSILLFPVR* >BrasyJ078400.1.p pacid=40051643 transcript=BrasyJ078400.1 locus=BrasyJ078400 ID=BrasyJ078400.1.v1.1 annot-version=v1.1 MADAPAADPEKAGTHSGGAGGDDASGGGAVAAVVGRWRRQDLLEKSGSALRAAAWALSLLSFLVMAANEHGDWKQFDHYEEYRYIVAVGLLAFIYTTLQLVRHGVRLTGGQDLQSKPGLLVDFAGDQMMAYLVMSALSAAIPITNRFREGADSVFTDSSAASISMAFFAFVCLAISALISGFKLSKQTYI* >BrasyJ078400.2.p pacid=40051644 transcript=BrasyJ078400.2 locus=BrasyJ078400 ID=BrasyJ078400.2.v1.1 annot-version=v1.1 MADAPAADPEKAGTHSGGAGGDDASGGGAVAAVVGRWRRQDLLEKSGSALRAAAWALSLLSFLVMAANEHGDWKQFDHYEEYRYIVAVGLLAFIYTTLQLVRHGVRLTGGQDLQSKPGLLVDFAGDQELKRTRDHYVSPSQQTPQQE* >BrasyJ078400.3.p pacid=40051645 transcript=BrasyJ078400.3 locus=BrasyJ078400 ID=BrasyJ078400.3.v1.1 annot-version=v1.1 MADAPAADPEKAGTHSGGAGGDDASGGGAVAAVVGRWRRQDLLEKSGSALRAAAWALSLLSFLVMAANEHGDWKQFDHYEEYRYIVAVGLLAFIYTTLQLVRHGVRLTGGQDLQSKPGLLVDFAGDQELKRTRDHYVSPSQQTPQQE* >BrasyJ078400.4.p pacid=40051646 transcript=BrasyJ078400.4 locus=BrasyJ078400 ID=BrasyJ078400.4.v1.1 annot-version=v1.1 MADAPAADPEKAGTHSGGAGGDDASGGGAVAAVVGRWRRQDLLEKSGSALRAAAWALSLLSFLVMAANEHGDWKQFDHYEEYRYIVAVGLLAFIYTTLQLVRHGVRLTGGQDLQSKPGLLVDFAGDQELKRTRDHYVSPSQQTPQQE* >BrasyJ078300.1.p pacid=40051647 transcript=BrasyJ078300.1 locus=BrasyJ078300 ID=BrasyJ078300.1.v1.1 annot-version=v1.1 MPPALTSNPPSFRRPLSSHLRRRGPAVLCRVSAGKPGTDEEAKKRPFFVDFGGKFTDAKSLIPVFPSPAAGSLFAGGRGRKDLQTVFVAGATGQAGVRIVQTLLRQGFAVRAGVPNLASAQELARLATEYRIISPEDARRLNAVESDFDDSEAIAKSIGPAAKVVITIGPAEKGPGGGVVTTDDALRVVQAADLAGVAHVVVVYDEGAGGLSGASTNNVLDGFTTFFSNLFSRVQTLTLTEFLAKVVQTDVKYTLIKASLTDDYSPESSYGLVLAKEGSSSTTASSADTGKVSKVQIASLVADVFSNVEIAENKVVEVSTSSSGTSKPTVESLTAIPEDSRRKEYEEAVAKAQVEEEALALKGAGDEEEPTSKLKAEGKTSEEAAGNAVNEAQASLENLLSRAKGISTDFSWEKLSTQLAAARNSDEEEPKTLLATTRGQAKAKKLAPQRAVVKPVGQKVKQASKQPLPKKEVRPVFGGLFKQETIFVDED* >BrasyJ078100.1.p pacid=40051648 transcript=BrasyJ078100.1 locus=BrasyJ078100 ID=BrasyJ078100.1.v1.1 annot-version=v1.1 MLNREYKRKGQMAQTGQSIKGQMIHGGFSVSISSSRRRPMEEESHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLHLDANLLVKLTSLRRIRRLESVWDDDMRFADAARNRAAVARCLLLDCESRSRGNRLIRAGYGAWLLYTAAAAGDAGFVQELLGRQPLLVFGEGEYGVTDILYAAARSRCSEVFRVLLNAVLSPAISPGEDAAEELGDGGFAANGGGYLFRREMMSRAMHAAARGGDLEILKELLQGCPAAAAGYRDAKGSTILHAAAARGQAEVVKGLITSFDIVNSVDDQRNTALHIAAFRGHLPVVETLITASSSLISATNEVGDTFLHMALTGFRTLGFQRLDHQMDLMEQLISGAIIDVSSVINVQNDDGRTVFHLAVVGNLHPNLVELLMSAPSIDLNVRDNNGMTPLDLLRKQPPTASSEILIKELILAGGISNSRDHEIRSAIASQLKMHHIVGSPGTSFKISDAEIFLHAGIDVSGISERTNPFCSVGKPEVGIQQPGLKRLNSMQSTVKQLKILLRWPLRKEKRTACGSKELDEDSVSVDSVKNWSHVETPTPLRQRYSKMSSLFGNKRTFATKSMPSTSTKMKFAAGNIKPESPSTSASWSSSLLVDKIKSVNLAKGEPSPNVSAVTRHTPKKYGSLNSRLMNQYFGIGEQTLEDSTSGQQSSWLLRRSLLPVA* >BrasyJ078100.2.p pacid=40051649 transcript=BrasyJ078100.2 locus=BrasyJ078100 ID=BrasyJ078100.2.v1.1 annot-version=v1.1 MEEESHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLHLDANLLVKLTSLRRIRRLESVWDDDMRFADAARNRAAVARCLLLDCESRSRGNRLIRAGYGAWLLYTAAAAGDAGFVQELLGRQPLLVFGEGEYGVTDILYAAARSRCSEVFRVLLNAVLSPAISPGEDAAEELGDGGFAANGGGYLFRREMMSRAMHAAARGGDLEILKELLQGCPAAAAGYRDAKGSTILHAAAARGQAEVVKGLITSFDIVNSVDDQRNTALHIAAFRGHLPVVETLITASSSLISATNEVGDTFLHMALTGFRTLGFQRLDHQMDLMEQLISGAIIDVSSVINVQNDDGRTVFHLAVVGNLHPNLVELLMSAPSIDLNVRDNNGMTPLDLLRKQPPTASSEILIKELILAGGISNSRDHEIRSAIASQLKMHHIVGSPGTSFKISDAEIFLHAGIDVSGISERTNPFCSVGKPEVGIQQPGLKRLNSMQSTVKQLKILLRWPLRKEKRTACGSKELDEDSVSVDSVKNWSHVETPTPLRQRYSKMSSLFGNKRTFATKSMPSTSTKMKFAAGNIKPESPSTSASWSSSLLVDKIKSVNLAKGEPSPNVSAVTRHTPKKYGSLNSRLMNQYFGIGEQTLEDSTSGQQSSWLLRRSLLPVA* >BrasyJ078600.1.p pacid=40051650 transcript=BrasyJ078600.1 locus=BrasyJ078600 ID=BrasyJ078600.1.v1.1 annot-version=v1.1 MMMSSSASSQLLRSAAAAPLLGRYASLQLSSAATGAVARSRRRSGRLVVVPSATAEVAEPATGYTTESLILYFKAEGTMEERAIPKITQALEGVDGVSELEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFEDFDKADSTAVPTEGAGADDVASE* >BrasyJ078500.1.p pacid=40051651 transcript=BrasyJ078500.1 locus=BrasyJ078500 ID=BrasyJ078500.1.v1.1 annot-version=v1.1 MEEPKQHEFTKDGSVDLRGRPVLASRTGRWKACSFLLGYEAFERMAFYGVASNLVVYLTTQLREETVSSVRSVNNWTGSVWMTPIVGAYIADTFLGRFWTFTISSLIYLSGMVLITLAVSVKTLHPHCAPDGGCARATRQQVIFFYAALYTMAIGAGGTKPNVSTFGADQFDDLDAREREIKASFFNWWTFSSFTGGLVAMLVLVYVQEDVGWGVGYTIPTVGLALSLLLFYVGTPFYRHKPLRRSTAAGPAKLVGKVLRAAYEKRGCQLPSDPKELHERDAAWYAAAGNKRRLLHTPAYRFLDKAACTLSSERESETSLAMVPCCTVTEVEEVKLIAGMIVVWLVTLVPCTIWAQVNTLFVKQGTTLDRSVVGGLRIPAASLGSFITISMLLSIPIYDRVLVPLVRRRTGNPRGITLLQRLGIGCALQVLVVACAYLVETRRMRVIRERSVHGAHDTVPMNIFWMLPQYVLLGVGDVFNSVGILEFFYDQSPEGMQSLGTTFFTSGLGVGNFLNSLLVTVVDRATRGSSGKSKSWIGDNLNDSRLDYYYVFLVFLSVVNTALFVWVAMRYEYKREFLEDGAVGIPKTDIVAGGKVTDTPLMLELGKVEGCGKVAGTPLVV* >BrasyJ078700.1.p pacid=40051652 transcript=BrasyJ078700.1 locus=BrasyJ078700 ID=BrasyJ078700.1.v1.1 annot-version=v1.1 MREDLNLRSSAVRHGLLSADFAPFFRANLARRRHQGSRVAIFYVTRHVLTPMVVWQRRDVLCGILLANLSSRKMSSFLSSSSRNRYA* >BrasyJ079000.1.p pacid=40051653 transcript=BrasyJ079000.1 locus=BrasyJ079000 ID=BrasyJ079000.1.v1.1 annot-version=v1.1 MRSDAWCSSDPVLPLRTLSRAQCPPPIPIEMHRALLPADRSSVRPRRGAPPSSSSAAALLHAANVRVKAAFGQRSWTTFCRGARTCSALPPPLRLPPLGLRPPQPGLPRGLRCCALVVPWPLPPHLLERRPAVVQCAQHAPIS* >BrasyJ078900.1.p pacid=40051654 transcript=BrasyJ078900.1 locus=BrasyJ078900 ID=BrasyJ078900.1.v1.1 annot-version=v1.1 MSVSKHRFLGERKDNGCVLVGCSAVARWSNEGERPANFFVALNLEARQGSAMASTRQRSLCCDEINGNGIPSIKHCCIIVCLPCNNALILHSDFVLLFLSVGLIRSSYGLH* >BrasyJ079100.1.p pacid=40051655 transcript=BrasyJ079100.1 locus=BrasyJ079100 ID=BrasyJ079100.1.v1.1 annot-version=v1.1 MIETGNSSRSQLKPFDEQAEAKAGTKRGGWITLPFIAGSMLGLGLAINGTSSNLVVYLIKEYNVESIDAAQIANIVRGSLNLVPVAGAILSDSYFGCFPVILAGAAFNVLSFVLFTLSAALPSLRPPHCQMPSPAACQHGSPGQLAVLYGAVAFLAIGTGGTRFNVATMGADQFGSARDQDTFFNWYFVFLYASFVVGDTAIVYIQDGVSWALGFGVCLAATAFSLAMLLLGARYYRMPAPKGSPYTELARVVMAAVRKARLDVGALGSPVQYFVGDDAVGQEFAHAPSERLRFLNRAAMITPNSDNLSRSSDGRLCTVQQVEDLKSLLGVLPLWSSGIMVSVSIGVMIGMIILQALAMDRSLGQRFTIPAGSITVCSLVAFIAVTPILDRAVFPLWRRITGTPASPLQRVGLGHVINVAGMVVAALVERRRLSVVHAHHGVDGAAAAAGWVTTPMSVLWLLLPLAIVGIGEALHFPGNMAFYYLEFPKTLRSLATAMAPLLIALGFYLSTVFVDVVRRVTAWLPENINQGRLDNVYWALAVGGTINFGYFLLCASRYKYQNH* >BrasyJ079100.3.p pacid=40051656 transcript=BrasyJ079100.3 locus=BrasyJ079100 ID=BrasyJ079100.3.v1.1 annot-version=v1.1 MLGLGLAINGTSSNLVVYLIKEYNVESIDAAQIANIVRGSLNLVPVAGAILSDSYFGCFPVILAGAAFNVLSFVLFTLSAALPSLRPPHCQMPSPAACQHGSPGQLAVLYGAVAFLAIGTGGTRFNVATMGADQFGSARDQDTFFNWYFVFLYASFVVGDTAIVYIQDGVSWALGFGVCLAATAFSLAMLLLGARYYRMPAPKGSPYTELARVVMAAVRKARLDVGALGSPVQYFVGDDAVGQEFAHAPSERLRFLNRAAMITPNSDNLSRSSDGRLCTVQQVEDLKSLLGVLPLWSSGIMVSVSIGVMIGMIILQALAMDRSLGQRFTIPAGSITVCSLVAFIAVTPILDRAVFPLWRRITGTPASPLQRVGLGHVINVAGMVVAALVERRRLSVVHAHHGVDGAAAAAGWVTTPMSVLWLLLPLAIVGIGEALHFPGNMAFYYLEFPKTLRSLATAMAPLLIALGFYLSTVFVDVVRRVTAWLPENINQGRLDNVYWALAVGGTINFGYFLLCASRYKYQNH* >BrasyJ079100.2.p pacid=40051657 transcript=BrasyJ079100.2 locus=BrasyJ079100 ID=BrasyJ079100.2.v1.1 annot-version=v1.1 MIETGNSSRSQLKPFDEQAEAKAGTKRGGWITLPFIAGSMLGLGLAINGTSSNLVVYLIKEYNVESIDAAQIANIVRGSLNLVPVAGAILSDSYFGCFPVILAGAAFNVLSFVLFTLSAALPSLRPPHCQMPSPAACQHGSPGQLAVLYGAVAFLAIGTGGTRFNVATMGADQFGSARDQDTFFNWYFVFLYASFVVGDTAIVYIQDGVSWALGFGVCLAATAFSLAMLLLGARYYRMPAPKGSPYTELARVVMAAVRKARLDVGALGSPVQYFVGDDAVGQEFAHAPSERLRFLNRAAMITPNSDNLSRSSDGRLCTVQQVEDLKSLLGVLPLWSSGIMSPQSWTVPSSRSGAGSPARPLPRCSAWGSAT* >BrasyJ054100.1.p pacid=40051658 transcript=BrasyJ054100.1 locus=BrasyJ054100 ID=BrasyJ054100.1.v1.1 annot-version=v1.1 MGRAPCCDKNNVKKGPWSPEEDAKLKEFMDKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTDHEDRVICSMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSNTGSGPPPRVPPRHHQHQHRPVQLLPYSSSPSSNYNTSSFFPGAGSQLLNAEPHGHLIIPTLTLQPQLQDYSMLSSSGLNPMANHNNNNNNNNVDSSVMNHMVKEECGAMIVFGGGEQQSCSSSDGTQYGQFGGRHGKELSMSFDNNNNNGSYGYGGYHHNNGAAVEQEVQAQLDYGYEEIKQLLMTAAGGGGSDGGGLHDQLISSSHAAGKLTMM* >BrasyJ054000.1.p pacid=40051659 transcript=BrasyJ054000.1 locus=BrasyJ054000 ID=BrasyJ054000.1.v1.1 annot-version=v1.1 MQRERERERERYRAAGEGWIRRRPLRIRREGSDGDRRRSPRRRHAHLRTRRRRPPPLHEIERERACRERENRERDRIEREILHIPRSGAADPAAGGLGGDGSGGQRSLGRRIRLVEAVGRRGGGEAGRRGGAEARGGRIHRKRGPWWGAGSRPAATGSAGMGGLVAVTPEMGEEGSRPAAELVEREARGVEERRGEAAEEEGARGGGEERERRLTGDLGLGLGLGALYMQYHGPSN* >BrasyJ053600.1.p pacid=40051660 transcript=BrasyJ053600.1 locus=BrasyJ053600 ID=BrasyJ053600.1.v1.1 annot-version=v1.1 MASSIGVVILQLLLFVTQPFTASADVFCDNLKLVAATLPNNTASSPSHFATATLGQSPDTVYAMALCRGDILGNDTACGDCVSGTVNRLVNQTPSPQDQCYKASYYYGDPCTVIYSFNLTILAPFNATADDDEPFEKWNIKNVTGDGIAALVRRLLVETVESAAASVGRFATGVMDSRTTFPLVRSLAQCTPDLSAQDCGTCLRRLLGAVNATMAQRMGGQLHVVRCYFRYEAYPFYTGEPTLRIDAAASAPPPAPAPARKHKDNMSKLWVIPVVAVPLAAAAFLCLICYYRRLTRQRKGKLTRLQGSRRAQDSEGEEQLVWEGNNSDFSVFEFEQVLEATNNFSEENKLGEGGFGAVYKGQFSEGLEIAVKRLASHSGQGFVEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILIYEYLPNKSLDFFIFDENKRALLDWPKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYDSNNTEGNTTRRVVGTYGYMAPEYASQGVFSIKSDVFSFGVIIFEILSGNRNSGSQQCGDFINFLGYAWQLWEEERWIDLVDASLVSKSNSREMMRCINIALLCVQENAADRPTMADVVAMLSSETMIMDEPKKPAYFNIRVGNGEASTTTDSRSINDMTISATIPR* >BrasyJ053600.2.p pacid=40051661 transcript=BrasyJ053600.2 locus=BrasyJ053600 ID=BrasyJ053600.2.v1.1 annot-version=v1.1 MASSIGVVILQLLLFVTQPFTASADVFCDNLKLVAATLPNNTASSPSHFATATLGQSPDTVYAMALCRGDILGNDTACGDCVSGTVNRLVNQTPSPQDQCYKASYYYGDPCTVIYSFNLTILAPFNATADDDEPFEKWNIKNVTGDGIAALVRRLLVETVESAAASVGRFATGVMDSRTTFPLVRSLAQCTPDLSAQDCGTCLRRLLGAVNATMAQRMGGQLHVVRCYFRYEAYPFYTGEPTLRIDAAASAPPPAPAPARKHKDNMSKLWVIPVVAVPLAAAAFLCLICYYRRLTRQRKGKLTRLQGSRRAQDSEGEEQLVWEGNNSDFSVFEFEQGQFSEGLEIAVKRLASHSGQGFVEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILIYEYLPNKSLDFFIFDENKRALLDWPKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYDSNNTEGNTTRRVVGTYGYMAPEYASQGVFSIKSDVFSFGVIIFEILSGNRNSGSQQCGDFINFLGYAWQLWEEERWIDLVDASLVSKSNSREMMRCINIALLCVQENAADRPTMADVVAMLSSETMIMDEPKKPAYFNIRVGNGEASTTTDSRSINDMTISATIPR* >BrasyJ053300.1.p pacid=40051662 transcript=BrasyJ053300.1 locus=BrasyJ053300 ID=BrasyJ053300.1.v1.1 annot-version=v1.1 MFGLENLKESLLPEMESAVRERLADWATKPSVDVRHAAPDILFDLVCDKCFGFDSTKSRQLRKSFDTLFNGLLSFPIYFPGTAFYRSMQARKDVDKMMRGAFAERLRTPGKKHDDLLDQIVQQLQGEEPLITESFAVDLASTLLFASIFTLSGTTAMAFKSLHDNPQVVHALQKENEDMLKNRKDKVSSGLTWEEYKSLRFTNQVTNEILRISNAALGIFRKTLGDAQVNGYTIPKGWLVIVIFPWQLIWTPNFSKIHSSLTRGDGWMMRNAAHSSRISCHLEQA* >BrasyJ053400.1.p pacid=40051663 transcript=BrasyJ053400.1 locus=BrasyJ053400 ID=BrasyJ053400.1.v1.1 annot-version=v1.1 MNKFEVCWFDDLQLNPTPKKPSKDGISDRWQYWPIGSTYRRFYFCVTAGTCSLQLRRSMDW* >BrasyJ053500.1.p pacid=40051664 transcript=BrasyJ053500.1 locus=BrasyJ053500 ID=BrasyJ053500.1.v1.1 annot-version=v1.1 MGDGGELSTRRWGSEATALGIHDGGAPGGWAGPGKAATRRLHGAGVVGRGEEAAAAAGEGKEEGAGAEAGRGGWRRRQGKGGGGGRRRGREEEEEEAGRRGREEEQAAGVGGVDGRRGREEEEAALEDGWGEEKRKKKRFGRGLKDSPAG* >BrasyJ054400.1.p pacid=40051665 transcript=BrasyJ054400.1 locus=BrasyJ054400 ID=BrasyJ054400.1.v1.1 annot-version=v1.1 MRVRNSVSWCSMIVAYAQNGAEEDALAVYLGARNAGEEPTDFMVSSVLTTCAGLLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >BrasyJ053000.1.p pacid=40051666 transcript=BrasyJ053000.1 locus=BrasyJ053000 ID=BrasyJ053000.1.v1.1 annot-version=v1.1 MASIGVVILLLLFITQPFTASADVFCDNLKLVAATLPNNTSSSTSHFATATLGQSPDTVYAMALCRGDILGNDTACGDCVRTTLDRLLNQTPSPQEQCSNASYYYGDPCTVLYSFNLTILAPFNATADDEDNDPLFERWNIKNVTGDGIAGLVRRLLVDTVESAAASVGRFATGVMDSRTTFPLVRSLAQCTPDMSAEDCGACLRRLLATVNATMALRMGGQIHVIRCYFRYETYPFYTGEPMLRIDAASAAPPPAPAPKHKDNMRKLWIIPVVAVPLAAAAFFCLICYYRRLTRQRKGKLSRLQGSRRAQDSEGEEQLVWEGNNSDFSVFEFEQVLEATNNFSEENKLGEGGFGAVYKGQFPEGLEIAVKRLASHSGQGFLEFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILIYEHLPNKSLDFFIFDENKRALLDWPKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFDSNNTEGNTTRRVVGTYGYMAPEYASQGVFSIKSDVFSFGVIIFEILSGNRNSGSQQYGDFINLLGYAWQLWEEERWIDLVDASLVSKSNSREIMRCINIALLCVQENAADRPTMADVVAMLSSETMIMDEPKKPAYFNIRVGNEEASTTTDSRSINDMTISVTIPR* >BrasyJ053200.1.p pacid=40051667 transcript=BrasyJ053200.1 locus=BrasyJ053200 ID=BrasyJ053200.1.v1.1 annot-version=v1.1 MVLRGRCCRSSREGNARGRKREAGARGRGRRSGRGSGRGGARVGARGGEALGEGRPGGARGKSRREEEEASGGRRKRERERGWRLGGEKIERDELRVGAIFMGRRE* >BrasyJ053700.1.p pacid=40051668 transcript=BrasyJ053700.1 locus=BrasyJ053700 ID=BrasyJ053700.1.v1.1 annot-version=v1.1 MAGVVLLLLSFLLMPLSASATGQVCGKGGNYTTNGTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTASDNNATASCGGCIADSFRYAQERCPNARAAAVYFDYNDSDSRPGCLLGFSPDAAFLSLTPSGIAAADGSTLFQFWNGQSIPGDAAAVAADVRELLNATARDAAAAAVRRFATVTMDSGSSDIPTLFSLAQCTPDLPAGDCLACLQRLVAMVNDTTAVRQGGRILVLRCNIRFEAFMFYNGESMRRIRPSSGVTPAPPAPGPTGKRTGIRPWVIAISVAAPVALVAFCFILYYRRLRRRYTKGKVRLHGKRARKLKGGDELLWEMEAEFSVFDFQQILEATCNFSEENKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFGEDQKALMDWDKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVGTYGYMAPEYASEGLFSVKSDVFSFGVLILEILSGKRNSGSNQCGDFINILGYAWQLWEEGRWIEIVDASLNPKSHSEEIMRCINIALLCVQENAADRPTMLDVVAMLSSKTMILRETKHPAYFNLRVGNEEASSGTQSCSVNDLTISVTTAR* >BrasyJ053700.2.p pacid=40051669 transcript=BrasyJ053700.2 locus=BrasyJ053700 ID=BrasyJ053700.2.v1.1 annot-version=v1.1 MAGVVLLLLSFLLMPLSASATGQVCGKGGNYTTNGTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTASDNNATASCGGCIADSFRYAQERCPNARAAAVYFDYNDSDSRPGCLLGFSPDAAFLSLTPSGIAAADGSTLFQFWNGQSIPGDAAAVAADVRELLNATARDAAAAAVRRFATVTMDSGSSDIPTLFSLAQCTPDLPAGDCLACLQRLVAMVNDTTAVRQGGRILVLRCNIRFEAFMFYNGESMRRIRPSSGVTPAPPAPGPTGKRTGIRPWVIAISVAAPVALVAFCFILYYRRLRRRYTKGKVRLHGKRARKLKGGDELLWEMEAEFSVFDFQQILEATCNFSEENKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFGEDQKALMDWDKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVWLHGSRVRIRGPVLCQVRCIQLWRFNPRDPKREKEFW* >BrasyJ053700.3.p pacid=40051670 transcript=BrasyJ053700.3 locus=BrasyJ053700 ID=BrasyJ053700.3.v1.1 annot-version=v1.1 MAGVVLLLLSFLLMPLSASATGQVCGKGGNYTTNGTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTASDNNATASCGGCIADSFRYAQERCPNARAAAVYFDYNDSDSRPGCLLGFSPDAAFLSLTPSGIAAADGSTLFQFWNGQSIPGDAAAVAADVRELLNATARDAAAAAVRRFATVTMDSGSSDIPTLFSLAQCTPDLPAGDCLACLQRLVAMVNDTTAVRQGGRILVLRCNIRFEAFMFYNGESMRRIRPSSGVTPAPPAPGPTGKRTGIRPWVIAISVAAPVALVAFCFILYYRRLRRRYTKGKVRLHGKRARKLKGGDELLWEMEAEFSVFDFQQILEATCNFSEENKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFGEDQKALMDWDKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVVATWLPSTHPRACSLSSPMYSALAF* >BrasyJ054200.1.p pacid=40051671 transcript=BrasyJ054200.1 locus=BrasyJ054200 ID=BrasyJ054200.1.v1.1 annot-version=v1.1 MKMKISLLLVAAAMVLLLTVATVQGIRLDAEIHAALSNQELNLQRSGDEGAVSSLDAVSEEKERARHREPENDIHVDYYGPRGHIPSHN* >BrasyJ053100.1.p pacid=40051672 transcript=BrasyJ053100.1 locus=BrasyJ053100 ID=BrasyJ053100.1.v1.1 annot-version=v1.1 MGAVVLLLLSFVLMPLSASATGQVCGKSGNYTANSTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTAADNATACSGCISDSFRYAQDSCPNARAAAVYFEYNDSDSRPGCLLGFSPDPAFLSLTPSAIAAADESTLFQGWYFQSMRGDPAAVAADVRALLNGTAHDAAAARRFFATAVMDSGSSEVPTLYSLAQCTPDLSAGDCLACLQRLLALVNDTTAVRMGGRILALRCTIRFEAFMFYNGLAMRRINPSSSGVIPAPPVRDPPGNRVRPWVIAISVAAPVALVALCFILYYRRLRRRYTKGKVRLNGKRARKLQGGDELLWEMEAEFSVFDFQQILEATCNFSEENKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDEDKKALMNWNKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVGTYGYMAPEYASEGLFFVKSDVFSFGVLILEILSGKRNSGSNQCGDFINILGYAWQLWEEGRWIEIVDASLNPKSHSEEIMRCINIALLCVQENAADRPTMLDVVAMLSSKTMILRETKHPAYFNLRVGNEEASSGTQSCSVNDLAISVTTAR* >BrasyJ053100.2.p pacid=40051673 transcript=BrasyJ053100.2 locus=BrasyJ053100 ID=BrasyJ053100.2.v1.1 annot-version=v1.1 MGAVVLLLLSFVLMPLSASATGQVCGKSGNYTANSTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTAADNATACSGCISDSFRYAQDSCPNARAAAVYFEYNDSDSRPGCLLGFSPDPAFLSLTPSAIAAADESTLFQGWYFQSMRGDPAAVAADVRALLNGTAHDAAAARRFFATAVMDSGSSEVPTLYSLAQCTPDLSAGDCLACLQRLLALVNDTTAVRMGGRILALRCTIRFEAFMFYNGLAMRRINPSSSGVIPAPPVRDPPGNRVRPWVIAISVAAPVALVALCFILYYRRLRRRYTKGKVRLNGKRARKLQGGDELLWEMEAEFSVFDFQQILEATCNFSEENKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDEDKKALMNWNKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVVATWLPSMRLRACSLSSPMYSALAF* >BrasyJ053900.1.p pacid=40051674 transcript=BrasyJ053900.1 locus=BrasyJ053900 ID=BrasyJ053900.1.v1.1 annot-version=v1.1 MYEWMNPPCNGVLPPGSMGYPIVGETLEFLKGSPSLDIPEYFDVRMKRYGPVFKTSVLGQPAVVSTDAEVNRYILQQEGSLFRVGYPAALNKIFGEKSIQAFEGATHRFIRRAAFSMFGLQNLKESLLPEMESAVRERLADWATKPSVDVRHGAPDILFDLVWNKCFGFDSTKSRQLRKSFDTLFNGLLSFPIYFPGTAFYRSMQARKDVDKMMRGAFAERLRTPGKKHDDLLDQIVQQLQGEEPLITESFAVDLASTLLFASVFTLSGTTAMAFKSLHDRFRRTWPSPFPHLQCERAIF* >BrasyJ053800.1.p pacid=40051675 transcript=BrasyJ053800.1 locus=BrasyJ053800 ID=BrasyJ053800.1.v1.1 annot-version=v1.1 MSHSYPSAPSAASFPPSPPPPGELQPSRSAPPPHLLLAILLPHLKPFACPVGATAPPPPNPRLPSPPLFPGSKGVIMERERKIQRALKRHSLISLVTHAKDVVQLSKEIKENERS* >BrasyJ053800.2.p pacid=40051676 transcript=BrasyJ053800.2 locus=BrasyJ053800 ID=BrasyJ053800.2.v1.1 annot-version=v1.1 MSHSYPSAPSAASFPPSPPPPGELQPSRSAPPPHLLLAILLPHLKPFACPVGATAPPPPNPRLPSPPLFPGSKGVIMERERKIQRALKRHSLISLVTHAKDVVQLSKEIKENERS* >BrasyJ053800.3.p pacid=40051677 transcript=BrasyJ053800.3 locus=BrasyJ053800 ID=BrasyJ053800.3.v1.1 annot-version=v1.1 MSHSYPSAPSAASFPPSPPPPGELQPSRSAPPPHLLLAILLPHLKPFACPVGATAPPPPNPRLPSPPLFPGSKGVIMERERKIQRALKRHSLISLVTHVLFSHLLKM* >BrasyJ053800.4.p pacid=40051678 transcript=BrasyJ053800.4 locus=BrasyJ053800 ID=BrasyJ053800.4.v1.1 annot-version=v1.1 MSHSYPSAPSAASFPPSPPPPGELQPSRSAPPPHLLLAILLPHLKPFACPVGATAPPPPNPRLPSPPLFPGSKGVIMERERKIQRALKRHSLISLVTHVLFSHLLKM* >BrasyJ054300.1.p pacid=40051679 transcript=BrasyJ054300.1 locus=BrasyJ054300 ID=BrasyJ054300.1.v1.1 annot-version=v1.1 MGMAGLINTRTSLRHPLSVPRSFSNQSSQASQLGTRANPLFPCAKLSKAGAVVMAAMEVSKAPSSFDLANRQPSKDVLEIWRNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALTARMSLIKPSLSQVEDCLEKRPPRISPGIADLIKKLKANNTEVFLVSGGFRQMIKPVAFELGIPTENIIANQLLFGTSGEYVGFDPAEPTSRSGGKAHAVQQIKQDRGYKTLVMIGDGATDLEARQPGAADLFICYAGVQMREAVATKADWAVFEFQELIAELP* >BrasyJ054300.2.p pacid=40051680 transcript=BrasyJ054300.2 locus=BrasyJ054300 ID=BrasyJ054300.2.v1.1 annot-version=v1.1 MGMAGLINTRTSLRHPLSVPRSFSNQSSQASQLGTRANPLFPCAKLSKAGAVVMAAMEVSKAPSSFDLANRQPSKDVLEIWRNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALTARMSLIKPSLSQVEDCLEKRPPRISPGIADLIKKLKANNTEVFLVSGGFRQMIKPVAFELGIPTENIIANQLLFGTSGEYVGFDPAEPTSRSGGKAHAVQQIKQDRGYKTLVMIGDGATDLEARQPGAADLFICYAGVQMREAVATKADWAVFEFQELIAELP* >BrasyJ067200.1.p pacid=40051681 transcript=BrasyJ067200.1 locus=BrasyJ067200 ID=BrasyJ067200.1.v1.1 annot-version=v1.1 MLMDDLSKGGGGGVRRGRGWRWGRQAPRGFDRRRKKRLSGGGRKGRQHGGSGARRRGGPRGEQREVGWSGGHSGCGRAAPGAETGKGRRRGWGRDESDGESGTQFFLSCVRPVRGGRKDAW* >BrasyJ067300.1.p pacid=40051682 transcript=BrasyJ067300.1 locus=BrasyJ067300 ID=BrasyJ067300.1.v1.1 annot-version=v1.1 MKYNAGYFDLDWLLEHEFDDEVVAPVRPVEDQGFNREAVLAQQNRLLASKVDEEKCKNKELYDALINREIELAFQDQVIEELESKNVEAEKQKEALMCQLLEGKKRIDDVKVFAVACFSVALTLGAVLFCLFEKM* >BrasyJ067000.1.p pacid=40051683 transcript=BrasyJ067000.1 locus=BrasyJ067000 ID=BrasyJ067000.1.v1.1 annot-version=v1.1 MPKREEMTPKRGETTPKRKDITTWAFRPVVCMEEEKDDFYAMAERGLAIVQGRTHTWEEHCAKVMPPLGPWQRERRICREGEERPGLLFDKGNHSWEILQKGGKKKKDVDPPAPAAAARMEPELRKERVRVVIDESIRVYDESIRV* >BrasyJ066900.1.p pacid=40051684 transcript=BrasyJ066900.1 locus=BrasyJ066900 ID=BrasyJ066900.1.v1.1 annot-version=v1.1 MPPKRYAAPRMLAGDPALKKPKEKERPLGMSNADWAADVTRRNVENASRRERERRAKERNTDLARQMEAQRAAASAGIPVPRPPSTQHWSGRGSQGSSSSSPSLVSPHMPHDHQGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGGFNPHTFASPPLRRGPLSYDGSSPSASFQQFPPAPNPFGGMSQGDSIMADMINDSQHAHFTYTQEEEEPYTAEDTEEREEWADGAEEPAVAAPKGKKKGAAKKKKSGGEGRGPKWTAKEDECLAEAWKVVSLDPFTGANQSGDTYWRCVKTAYDERRDIDQEFASVTHDHNESGLSHRWQMIQQACNKWHGIQEEVHRRPASGSSAHDQMVAMFTAFRDDNDGAEFKFIHVFARIETCDKWTETRACLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSAAAAQTAKRDELAAKREEVAASRWAMVIKKQDDKLEILKANVKAWYDGQRRLILAEARAPASAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >BrasyJ067100.1.p pacid=40051685 transcript=BrasyJ067100.1 locus=BrasyJ067100 ID=BrasyJ067100.1.v1.1 annot-version=v1.1 MERSRGEPRRWRQAAASGEEIPRRAAETGGGVGGSDPAAGGIVGGRDPAAGRWRRGGGAPAAGGGVGGRRSGGGWWRGGGGGGRELRRRAVASGEESPRRAAARSPPIFFPPDYLRAFRSW* >BrasyJ090800.1.p pacid=40051686 transcript=BrasyJ090800.1 locus=BrasyJ090800 ID=BrasyJ090800.1.v1.1 annot-version=v1.1 MHVGASMYTQHRRLNKQSTGTGRSTQPEVPPAEPGDPVLLTLVFIAGIIFFVLWLSLRPHRPKFTLADFAIPNINRQSGAVNLPVKFTVNEHNPNQKIGIYFDAVYGSVYYDNNKLIASGPVAYPFYQPPKGDMLVQGELTASGPTPTDPSWQRFASEVGGSVEMRLVLNSTVRFMVKLWDTREHHMKVDCGFKLSGDGTVLQKNSPCDVYF* >BrasyJ091100.1.p pacid=40051687 transcript=BrasyJ091100.1 locus=BrasyJ091100 ID=BrasyJ091100.1.v1.1 annot-version=v1.1 MSYSTSTSRGVTSVIFAGAVLVVAVAILIAAAGGVAAQGPGGYQGGGGYQGGGGYQGGGGYGYDGDHADESSGGLLSMEYYSMSCPYAEMIVRDVVGDAIYKDPTLAASLLRLHFHDCFVRGCDASVLLDSTHKTTAEKDALTNKSLRGFEVIDAIKAALEDRCPGTVSCADVLALAARDSVYMAGGPYYDVATGRRDGFVSDAADTSALPAATLQVSELKSVFASHGFTVPELVALSGAHTLGQAHCANFKNRVSGNKLDPTLDAQMAATLATTCKKGGDGATAKLDATSNAFDTEYFRGIQGSKALLTSDQTLAGSPDTSSLVNMFAESPDMFFDTFIQGMIRMGTLDLNPKGDVRKSCRITN* >BrasyJ090900.1.p pacid=40051688 transcript=BrasyJ090900.1 locus=BrasyJ090900 ID=BrasyJ090900.1.v1.1 annot-version=v1.1 MKLKSRRGIVGDEESATEAGGGKAARKDCWWSSGLAKAIIALLILMTGVLIGFAASANISRYYYHYSLADLDRQHNQLSTTLHKDSSKEERKYKQQGNLKSFLHPVPPWGHGMSDEELFWRASMVPRVEEYPYQRVPKVAFLFLTRGPLPFARLWERFFHGHQGLYSVYVHALPEYYNSTSNISDSSPFYGRQIPSQEVSWGSITLVDAEKRLLANALLDFSNDRFVLVSESCVPVFNFPTVYEYLVNSAHSYVESYNMDVPQCAGRYNPRMAPDVLEEQWRKGSEWFELSRELAVDVVSDRRYYAVFRKHCTPSCYPDEHYIPTYLHLVHGPRNANRTITWVDWSRGGPHPARYGKGAVTAEFLQAIRNNGTQCLYNGKPTTVCYLFARKLAPSALGTLLNLTTTLLDF* >BrasyJ091000.1.p pacid=40051689 transcript=BrasyJ091000.1 locus=BrasyJ091000 ID=BrasyJ091000.1.v1.1 annot-version=v1.1 MASFGDAPGGNAASGEKIFRTKCAQCHTVERGGAHKQGPNLNGLFGRSSGTTAGYAYSSANKNMAVVWGEDTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA* >BrasyJ090700.1.p pacid=40051690 transcript=BrasyJ090700.1 locus=BrasyJ090700 ID=BrasyJ090700.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASSKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEETSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKRQVSAKATICLVDQATPAQDRIKGEGNKTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKRYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >BrasyJ090600.1.p pacid=40051691 transcript=BrasyJ090600.1 locus=BrasyJ090600 ID=BrasyJ090600.1.v1.1 annot-version=v1.1 MIMMETKRCPACSRSLLEMCPTCSRALSEKPRPDEEVAGAGSKGKSSTLSRSLLEKPKLVVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLLSQGGKERKKIATEDEDARSVKKSKHNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEVFLLFSQKLSFGVLFLQVLLY* >BrasyJ000100.1.p pacid=40051692 transcript=BrasyJ000100.1 locus=BrasyJ000100 ID=BrasyJ000100.1.v1.1 annot-version=v1.1 MLPSSRSRSGPNESPISSRARPSTPSSGHRPSTPSSGYRPSTPGSRRSTAASGAGGTPSTPRSRNGSGGGGPFRSEPNSPPSAAAARPRLSFDRSPRSADNKPVVERRVPKIGTPPPDKQPRREAELQARLESAQEDLKKAKDQLSFIVGEKDRLVGDLNEAKRVADEIHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREVECVRGQHAADLETLVTTTEELERFRRELGMANEAKKAALGHADDAMKIAEANAEKVEILSGEVSRLKRLLDSSAVSEENKNRETEVLVRNLESDISVLKGKLEEASVLEIRLAEMEKLTEELKSQLADAKKAESELHQQFEEWKHKAGSLEMELEEVTLSEKFKSESLISTTEELDKTHSILQDRESEIEVLKGKTTALEIEVARLSADINESNEHLDASQQELFGLQTTIDVLKNKLDSAEEEKIKLISELKDARGREEKERRAVEDLTAALSEASDKANEEHERFLNKEDDYEHALAQIGDLKMALNSTKENYELMLDEANYDITSLRKTVGVLEAEVIKYREECEAKELDIVESSKQSEEEIAALKVEADKVVASLRDAEHELQTANDEKERLQERLRVKAEKEALQEQLMNTESLVAEANRTVQEVRAEKEALQEQLMHTESSVAEVNRTLEEVKAEKEGLRHKLIDTESAIAEANKAVQEATSESLHLKERLLDKENTLQSISQENEEFRLREADAMKKIDELSALLAEAMVKKHPEEEEKLVVVDEAHHSAREKFADLAAVIEDSELEKETDDSKAEHEEVKADFSAVQESNKVIEKQSQTDRKQETDSSKDEQDSKEDSSTEHANGTASAEVTSKVVMSPTTRPQQQQKKNKPLLKKFGSLLKKKNSK* >BrasyJ042800.1.p pacid=40051693 transcript=BrasyJ042800.1 locus=BrasyJ042800 ID=BrasyJ042800.1.v1.1 annot-version=v1.1 MPALKISYDYLPFHQQQCFSYAALFPEDHNYSSRQLIDLWIGLDMLQTDVRYQTFEDIGLSNLKDLVTHGFFREVEINGCLWYVMHDLLNDLALKVISHDCISLHRSNLGLAEVHLSITIDVSDDDDNAASDENFKSELSKLKTKLKVEHLQTLMFFGDMDEEIGSILGDLLSEANALRVLRLVGVNSSVEFMLRNISALVHLRYLCLGTKYGHDREMHLPLTISRFYHLRILDLTLWYNCCDLPRDMSNLAKLCHLYTLNYECHSDIYNVGKLELLEELKVFRVNKENEGFEPKQLEHMTELRELGIYNLENIHTKEEATSAKLIEKNYLERLALCWDSKRSNTEPEVEAVILENLQPHRYLQELCIEGHGGISCPTWLGDKIAVEVLRSLRLVGVSWEVFPSLCKMFDLEKLILENISTMKEFVIEQSFCRLTNLQLVGLESLEQWVSKAVHMFPLLQELTIRDCPKLLELPFANQIIYIPDQDWQMNWFPKLQKLEIQNCPEVLLLPYIPWTEALHGVNISDVKLVKKLEYSKSSDGVELEIVGKDDLHSFDEVLAFNKETCIETLTLKECPPLELKHLLRLTSLKTLVFVGTDRIFVPIRIHGEVEWQLPLEHLVVRDLRGSCGKKLTELLTHLPRLSDLRISNCVKITQLTVGVDLQQAAAAGSEVEQEKEEDELLLLPPHLSDSLRKLTINHCPELVLVDRSTFFPYSKNKTETRGGLQALRSLQKFVIRGCPAMFLSARLSSSPSLHLFPSSLQSLDLRGLKGMRTGFELRNCISTPLLNCGEHLRCEGLGPLLTTGGQLSKLTVGGSPRFFSGWDPNPRRVLQDEGEKKQQLQLVLLSSSSKLQKLWTDDAAGLLAVPVCSLLSSSLTKLELYGTENPTERFSKEQEDALHLLASLQELEFLDFYKLQHLPAGLHKLTNLKKLEVCMCPAVRSLPNDGLPKSLQELDVLSCHNELLIQQCRGLVGTIPKIK* >BrasyJ043700.1.p pacid=40051694 transcript=BrasyJ043700.1 locus=BrasyJ043700 ID=BrasyJ043700.1.v1.1 annot-version=v1.1 MKTKVLAQLGAAVLLLALAAVLQGAQAQKCGKQGNGMECPNNLCCNQYGNCGIGTDYCSNGCQSGACYTSKRCGVQANGTLCPNNHCCSRLGYCGFGSEYCANSCQSGPCRHDVRCGREAGGRPCANNLCCSMWGYCGLGSEFCSIDCQSGPCSYEKPCGKQNGGKACTDGYCCGQHGTCGLGMNYCGQGCQSGSCYKTGLDGTVAFVMTNSTTQ* >BrasyJ042500.1.p pacid=40051695 transcript=BrasyJ042500.1 locus=BrasyJ042500 ID=BrasyJ042500.1.v1.1 annot-version=v1.1 MVRHRWDPSFPVAAAAVIIWDLRRRRPPGFPSPSPCSKQQQVLSIPLLRVRMDVALSAVQWMVGKALAPVIDGVLESWVASKNLGLNIEALKMELLLVQATLETASGKKLNGPAMEELLQKLRDSAHSAEDLLDELDYVRIHDQLHGTYDAADEHAKGGMHNVALNARHTMKAFGKPACLSTWQFLSSKLQSG* >BrasyJ042400.1.p pacid=40051696 transcript=BrasyJ042400.1 locus=BrasyJ042400 ID=BrasyJ042400.1.v1.1 annot-version=v1.1 MPNLGKLLPFSSSPHAHPPELGFNRVHFSERMDCIIKELQPVRKDVTAILQTCSPNTAPTFLQSRPTTRDRSIEPKLYGRDHIMNSIIHGITKGKYSSNDLTVLPIVGPGGIGKTTLIQHIYHNPEVQNRFEVVIWVCVSLSFNLDKLLEEIQTRIPPVVGEKEGTTEALIEQRLKSKRFLLVLDDIWECSNEDDWKRLLQPLKTTQETGSMVLVTTRFQAIALMVETTNCSINLEGLETEEFRKLFLSYVFGDEPFRSDHHFLLETGDKIMEKLKGSPLAAKTVGRLLRKDLSLRHWRRVLESKKWEGENGINDIMPALKLSYDYLPFQQKQCFSYSALFPEDYEYTSRQLINLWLGLDILKPVGQNKRMEDIGLSNLNDLVTHGFFREEETNGSMHYVMHDLLHDLALKVASHECLSLHRNNVGSIEIEPSIHHLSIIIGDVGDDNAAENFRSELRKLKTRLKVEHLQTLMLFGGFDESFGRIFGDLFREANAFRVIHLPITQYPVESMLHNFSALVHLRYLCLGNSYSSKMDLPLDISRFYHLRILDIESWHGDCVLPKDMSNLAKLRHFIVPRDELHSDICNVGQLVLLEELKVFKVNKENEGYEPKQLEDLTQLREVGIYNLENIHKKEDAAATKLIEKSYLERLTLNWDRECVNIEPELEAVVLENLQPPRNLQVLSIRGNRGPSCPTWLLGDDLAVETLQSFHLIGVSWKTFPSLGKAWDLRKLVLKHIATIKEFVIEQSFSRLTRLKFVNLGSFEKWVKGAAHLFPVLQVLIIRNCPKLSELPDKKWNIDWFPKLQELEILNCPEFLSVIPISWTRSLCSVKIEDVKLLEKFEYSNSSDGILLNIVGKDDLHSLDEVLVFNKETGLENLILEKCPPLELKHLLMLTSLKKLVVESSDALVRSLGGHGDVELQLPVEHLVVEELRGASGKELTQLLIHLPRLSELKIRWCKNITRLAVGLDLQETTSGAASKVEQEKEEDELLLLPPHLSDSLQELVIRDCPELVLVDQSTFLPLRVRDGHSNNMTETRRGGLQALRSLQRFQIRCCPMFLSACLSSSHSLHLFPSSLQSLELRDLESMQTVEPLSNLTSLTSLILWNYGENLRCEGLGPLLTTGGQLSNFTVAWGGSRFFAGWSPNPRRVLQDEGAHPNCRISSSKLQELLTDDAEGLFAEPICSLLSSSLTNLILYGTFTEMERFSKEQEDALGLLASLHELEFWDFHKLQHLPAGLHKLTNLKKLMVWRCPVVSLPEDGLPKSLQVLDVSDCANEELKQQCRGLVGTIPKVLL* >BrasyJ043900.1.p pacid=40051697 transcript=BrasyJ043900.1 locus=BrasyJ043900 ID=BrasyJ043900.1.v1.1 annot-version=v1.1 MTKKVVLLALALALAATTQAIVKASQMGKVPCPAECGKDMDNTECPNNLCCSSGGLCGLGSDYCGSGCQSGACQASAACGANQPCPNNQCCNGGQCGLGSLYCGNGCQSGPCVQDLTCGQGKECPNNFCCNKAGKCGLGDRYCSNSTEVACQSGSCYDKITIDGQRCGTQNGG* >BrasyJ044600.1.p pacid=40051698 transcript=BrasyJ044600.1 locus=BrasyJ044600 ID=BrasyJ044600.1.v1.1 annot-version=v1.1 MLTRPGGRRADGGKVAGGGKVAGGEERGPGWGRGGEEGRVGKEWGGGVGPPGGGRGRGRWGGGGEERRGGGAASGRRGRPGAGAAGWGRGAEEGRGGWGRGRQEGRGAASGRRGKAGGGGGGVGEGAEEGRGGGVGPPGGGRGRGRRGGGGEQRRGGEGGGGDDRRGGGGVGPPGEGRGRGRRGGGGEQRRGGGAASGHRGEAGGGGGGVGEGSRGGEGGGGEGGGVGPPGRGRGQGRRGGGGEARRGRAGSGPDPAGGRGTGAGAAAAARDGRGGGGWAREGDERVRRARERGG* >BrasyJ043600.1.p pacid=40051699 transcript=BrasyJ043600.1 locus=BrasyJ043600 ID=BrasyJ043600.1.v1.1 annot-version=v1.1 MKLLHTTSLFVAAKLMIPWKSTGPRVVNDKVDGTVQASLGAGAALAAITTVVHSEAARPVLAAAIVVAASLVAVLLPAQLLGAARAALAAVAAVLGAEATVPMLATAEVVRAQLAAGELAALDVVAAGRALAAGAAVLGAVAAVAPPAAAVVVWAAGAVALGAAPLAAVAGAALATVAAVVDAQAAVPVLVAAQVLGALHAVALLAALLPLRRRCGQGEDGGAESEGLHVHHLIGLDLFLMLCLLASLACLFIVVLCGVYIMS* >BrasyJ044000.1.p pacid=40051700 transcript=BrasyJ044000.1 locus=BrasyJ044000 ID=BrasyJ044000.1.v1.1 annot-version=v1.1 MTKKVVLLVLALAMAATTTHADAQDQLVSCPAECGKGNGNMECPNNLCCSKDGFCGLGSAYCSADAGCQSGACSGSRRCGWQNNGATCPGNQCCSWDGYCGLGDWFCRGDCQSGPCHNDIKCGQSLPGGNGGLKKVCPDNLCCNKDGLCGLGEGYCSDSAGCQSGACYNDDIVIRDQRCGKITVDGGNGKTCVNKRCCSVYGYCGTDTRYCGSGCQKEYGTCQDSLLGALLGLDALLGCTRPN* >BrasyJ044400.1.p pacid=40051701 transcript=BrasyJ044400.1 locus=BrasyJ044400 ID=BrasyJ044400.1.v1.1 annot-version=v1.1 MRGCNVEPPVYTKVVGRPSLKRKKNPLEEEEGRRMSRHGALSHCSVCHSVQHNKRRCPKLGRGPVQEEATAAQEEPTPAEPEVEPIPARATRHRKLPVRRNVIIHEEPLTQESGVSSVGQNVGGSQQGTQRSMLYALMEEVSQVSQGPLPESNFIASSRDALPTARATTATVNLEAAAKKRRAAVKKSKAAAAKAKAPEGKGKAAAGKKKK* >BrasyJ042100.1.p pacid=40051702 transcript=BrasyJ042100.1 locus=BrasyJ042100 ID=BrasyJ042100.1.v1.1 annot-version=v1.1 MAILKNMSALCLAALMLMATCTTVLSSGAEETNLIPGCMPVRAGICNRENCSRMCSPNGMRGHCIIDGLEGPTCCCTVGTT* >BrasyJ043000.1.p pacid=40051703 transcript=BrasyJ043000.1 locus=BrasyJ043000 ID=BrasyJ043000.1.v1.1 annot-version=v1.1 MPNVGTTVLSEEMEYINYIKSKSIVQEEELIKIHDLSLNYKILRSLTDDRAWLEGDVISAYIYDLRTRKNMITRAGGKVYLETSITSAMLKRDGGNNEDGTEIDVNPSKRKRQLIVKLILEYLDNDMVFLPVNIKDSHWYPANINAPKRVVQVLDSFGAIMNRNDLHKTLKGLSRYIKIVQETIPDLTCNRWPDMDVTKWAVEEMLQHKTQTDSSSCGLFMLKYMEHFTGHEVSEPVKHSDMSAFRRKMPFILFDTELNTNPRIFFECDQDYCCWHRKKPWLGRRICPFQYR* >BrasyJ044100.1.p pacid=40051704 transcript=BrasyJ044100.1 locus=BrasyJ044100 ID=BrasyJ044100.1.v1.1 annot-version=v1.1 MTKKVVLLVLVLAFAATQVQTLLGLGQCPPECGKDRENTECPNNLCCSGGGLCGLGRNYCSAANGCQSGACQASAACGRDQPCPNNQCCNKDGKCGIGSLYCGDGCQSGPCREDLKCGLQPDGSQEPGCPNNLCCNKAGRCGLGDRYCSNTEEVSCQSGACYDDNVNGGKCGWQNGNRACPGNLCCSNWGNCGDTDPYCGLACQPRAGRCDSRVIDLTETVECLLPSLL* >BrasyJ044300.1.p pacid=40051705 transcript=BrasyJ044300.1 locus=BrasyJ044300 ID=BrasyJ044300.1.v1.1 annot-version=v1.1 MAAWRQRRAPPSMAAVPWSRAGNARSARRGGGEAGSGDVEAGERRIRPWNKHGREINRRERERERERDLPADRKTNRARARLGVGRSGGGGGSTGRGSGGAGSRETGSGGGRGLGRRIRRRRRRPLLLLQAPHAAPSPCWCRSVVGAAAGVEEGRGGGEMGSGGGRGGWGLGRGRREQRGVAAGVRERIEREGGE* >BrasyJ043500.1.p pacid=40051706 transcript=BrasyJ043500.1 locus=BrasyJ043500 ID=BrasyJ043500.1.v1.1 annot-version=v1.1 MMNTKALALGAAIVALALAAAAQAQKCGKQGNGMECPQNLCCNQYGNCGLGTDYCGDGCQSGACYTNKRCGGQGNGAACPNNHCCSWWGYCGFGQEYCGDGCQSGPCRAGVTCGKDAGGKLCADNMCCNGRGYCGLGIAYCRDDAGCQSGPCVTERLCGKQNGGQACTNDYCCSQYGACGLGMNYCGQGCQSGSCYKTGLDGFIMANSTTQ* >BrasyJ044500.1.p pacid=40051707 transcript=BrasyJ044500.1 locus=BrasyJ044500 ID=BrasyJ044500.1.v1.1 annot-version=v1.1 MKRRQRPRHSIPSTMLPLLKKKRLHLTQPPPPWCSKICLHAHQVQPHDVLLASSFSAPASSEDCSPACGRCSTYRLQGLLLQILVLHCFTSLLA* >BrasyJ043800.1.p pacid=40051708 transcript=BrasyJ043800.1 locus=BrasyJ043800 ID=BrasyJ043800.1.v1.1 annot-version=v1.1 MTKKVVLLVLALALAATHAHAYYVQPEEGQCSPECGKDKDNMECPNNLCCSAGGLCGLGSAYCGTGCQSGACSGSRRCGWQNNGATCPNNQCCSWDGYCGLGDWFCRNNKCQSGPCHYDIKCGKQLNNTKKLECPNNFCCNKDGLCGLGAKYCNIDAGCQSGACYDDSVTMGERKKCANKEGGTGTTCINKQGCTRP* >BrasyJ042700.1.p pacid=40051709 transcript=BrasyJ042700.1 locus=BrasyJ042700 ID=BrasyJ042700.1.v1.1 annot-version=v1.1 MGESKICLGFVYLLRSVHGWRSSPRPDPAAAAAHERRRVLDARRAQRCTEGHGPPPPGSPPPANSPASSGPQPQVMGAYRKFLEYTARVIFTMIGCCLSGSHEVLRLSVGARARPQRDRRAWTTWGRTRRSSELARAAGGGSRLLTDQTDDQS* >BrasyJ042900.1.p pacid=40051710 transcript=BrasyJ042900.1 locus=BrasyJ042900 ID=BrasyJ042900.1.v1.1 annot-version=v1.1 MRILQHSRRHLDFDDIEMDDVFTHTRWSVCVRVVVKFHPNSSGDNMRFILMDKTGSKIEAIVAGNEEVNRFKQILESGKNYTIHNVSFQPNAEDILFRNIRHTFECAFDRKTKVVRCTMAIPFPLYPKEFTPYPEVRNRPNKTFVDLVGVVVYFGDLEIVGRYPYAEQYREVIFMDLRGKLMTVGIKGGYLIQHSYRWSTAGANKPIVIATMLCKNKKFGCLDTSEHTTIAWNPDHPAATALQEIRQKVLREEIDLKFVRSYLEQRWAYLATVVKATKPARRPFLL* >BrasyJ044200.1.p pacid=40051711 transcript=BrasyJ044200.1 locus=BrasyJ044200 ID=BrasyJ044200.1.v1.1 annot-version=v1.1 MRAATISISRAEERCEDGGAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPRAGSGRPTLGPGCRRGLGVGWRRRALRRGSGAGRRRHELGEIGAAGWCRAAAARAEARARDRAERLRAGRGCGLVAGGALRSEIREMFRGRKGDDVV* >BrasyJ041700.1.p pacid=40051712 transcript=BrasyJ041700.1 locus=BrasyJ041700 ID=BrasyJ041700.1.v1.1 annot-version=v1.1 MSAMCLAALVVMATVLSSCHADQDHVEYDPCLKTLLGCNLATCSKVCNYVEGAHCTDIGLCSCCPSAVKGENADGKTVAN* >BrasyJ044700.1.p pacid=40051713 transcript=BrasyJ044700.1 locus=BrasyJ044700 ID=BrasyJ044700.1.v1.1 annot-version=v1.1 MKRRQWRRWLGWGRGGEGREGAGGGRGGGAGGGAGGGGEGGGRRPGWGRGGEGRGRWRPGWGREVAGGEGGGRQGGGEEAGAAGREGGGEGRGGGGRRPGWGRAGGGGVGRGGGGEGGGEAGAAGRVGGGRGRRGGWGGAGAAGRPGEAGPAGRPGEAGAAGGSRGRRWARARERERER* >BrasyJ042300.1.p pacid=40051714 transcript=BrasyJ042300.1 locus=BrasyJ042300 ID=BrasyJ042300.1.v1.1 annot-version=v1.1 MWPQINCWPKVLFIQAVFCHCSRHLRTLLPIEGAFICHNLRLSLGKGRSSCSLFSSVWNIIDD* >BrasyJ041800.1.p pacid=40051715 transcript=BrasyJ041800.1 locus=BrasyJ041800 ID=BrasyJ041800.1.v1.1 annot-version=v1.1 MRLALLFSLQAIVLAAPSFHSNNDTDLATLLAFKAQLSDPLGILGGNWTSGTSFCHWFGVSCSRRRQRVTALVLPGIPLQGPVSPYLGNLSFLHVLNLTNTNLTGSIPHDIGRSSRLVVLDLSLNGLSGIVPRTIGNLTRLKALVLGQNDLSGKIPYDLQNLNNLRLIHLGRNHLSGLIPEQFFNNTPLLKYLDFGNNSLSGPIPPGIASCPRLEFLNFQLNQLSGPVPQTIFNMSRLHTMSLVGNHYLSGSIPSNQSFSLPMLQKLLIGANNFTGEIPSGLASCKYLEQVLLAGNSFVDFVPTWFAKLPQLTFLSIGGNNLVGSIPGVLSNLTVLSVLDLSFSYLSGKIPVELGKMSQLTILHLSDNQLTGPFPSFVCNLSQLSLLALESNHLTGSVPATIGNLRFLTLLDIGSNDLKGTLDFLYTVSNCRQLQYLSIENCSFTGSIPTYIGNLSRQLKKFDARNNYLTDGLPATVSNLSDLSVLSLNDNQLSGTIPESLALMENLKVLDLSGNNMFGPIPTQVGTLTRLHALFLGNNEFSGAIPNGLGNLSMLERISLPYNQLSSTIPESLFNLSNLVILSLSHNSLTGSLHTDFSSMEAIYVVDISANNLVGNLPTSFAQHGLLSYLDLSHNTLQGSIPASFEGLLNLGVLNLSFNNLSGTIPKYLANFTSLNSLNLSFNKFQGEIPDGGIFSNISAESLMGNAGLCGAPRLGFSPCLGDSHPTNRHLLRFVLSTVIITAGVVAIFLCLIIRKKNAKQPDVTTSIYMADVVSHRLVSYHDIVRATENFNEDNLLGVGSFGKVFKGQLDNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLIRILNSCSNLDFRALLLEYMPNGSLDAHLHTENVEPLGFIKRLDIMLGVSEAMEYLHYHHCQVVLHCDLKPSNVLFDEDMTTHVADFGIAKLLLGDDKSMVSASMPGTIGYMAPELAYMGKVSRKSDVFSFGIMLLEVFTGKRPTNAMFVGEFNLRHWVSEAFPARLIDIVDEKLLSGEEISTRGFHDQTNITSSASPSTSCKSNFLVSTFELGLECSSESPDQRATMSEIIVRLKNIKKDYSASVMATQRAEQC* >BrasyJ044800.1.p pacid=40051716 transcript=BrasyJ044800.1 locus=BrasyJ044800 ID=BrasyJ044800.1.v1.1 annot-version=v1.1 MKTRSAMDCSSDESSDLIQEARGKVCTDRFRCPFCLGKKKQDYRYNELLQHAVGDALMNPPKPVQDQELFVWPWMGILANVPAEQTQRDGAILMQQLAHFNPSHFNAVYSPDGYTGFAVVRFTGDWIGFKDALAFHNNYKSRHLGKMDLNEASRRGKYICGWLAKDEDYKADDPVGRFLSENGELKTVSELQLDLSRKTETIIANLTTQISAKSKYMMELEVKCNKMNLALQRAMEDSDSLHQRYNEAEEQLDEKESDIQRRSKQLNEIVAQTDMQRRKLENERKKNAGQNDSLHMARVEQQKANEAVRVLKEKEVALNKILQLEKQLDEKQKLELDIQQLRGQLEVVKHMEGEGVDVKKHAKKELISGLSDLLGPRSNIGIKRMGRNKRLELCSMWQDNLKDANWHPFKVVVTGEKTEQIINEHDEKLVGLKEDLGKRCTRL* >BrasyJ043100.1.p pacid=40051717 transcript=BrasyJ043100.1 locus=BrasyJ043100 ID=BrasyJ043100.1.v1.1 annot-version=v1.1 MGVMAAAAALPDLVILDRFVFLRNSAAAASSPGSKGYAPLTASSSTSQGIQFRIALRLADPPTTSRLYAYFPPPLVDPDRIGCNLLAAHGDLLLFGVTDEVKPRDPFDDRLCCQDHFLCRVATSDHHLLLKRLPEYTHRILVGKDRVHGHDVTMQHLFHPKSLGLLRGRDERKQDCFAVAHLDRICQLPSIWNTELVRTVHPHSSMTMREAEISVLHASVSSCDAAADGAAVWEVKRFPILHPQHEDLDICGWATDAVFPFKGYICWVDYYVGGILFFDVFAQSPEVSYLELPSSARHQYSYDFKRNVEMYRSVCVTGDGDFLKFVCVDREDGEFGGPVTSSTGFTITSHVLKVTERGKMVWCEDASMKSDKVWPSICANFACDPEDVLTCPLVSMENADRLHFLFSETMDDDIDRVSVVTIDMNTETLVSIVPYIKGGDEDDTLEEKCRLRKSFLPSKFPPVTRKRKVLSD* >BrasyJ042200.1.p pacid=40051718 transcript=BrasyJ042200.1 locus=BrasyJ042200 ID=BrasyJ042200.1.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSKLNSLSDRIFSLAFDVISRVLETGPGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYCTAIFSYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.2.p pacid=40051719 transcript=BrasyJ042200.2 locus=BrasyJ042200 ID=BrasyJ042200.2.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSKLNSLSDRIFSLAFDVISRVLETGPGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.4.p pacid=40051720 transcript=BrasyJ042200.4 locus=BrasyJ042200 ID=BrasyJ042200.4.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYCTAIFSYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.5.p pacid=40051721 transcript=BrasyJ042200.5 locus=BrasyJ042200 ID=BrasyJ042200.5.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSKLNSLSDRIFSLAFDVISRVLETGPGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYCTAIFSYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.3.p pacid=40051722 transcript=BrasyJ042200.3 locus=BrasyJ042200 ID=BrasyJ042200.3.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.6.p pacid=40051723 transcript=BrasyJ042200.6 locus=BrasyJ042200 ID=BrasyJ042200.6.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSKLNSLSDRIFSLAFDVISRVLETGPGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.7.p pacid=40051724 transcript=BrasyJ042200.7 locus=BrasyJ042200 ID=BrasyJ042200.7.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYCTAIFSYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.8.p pacid=40051725 transcript=BrasyJ042200.8 locus=BrasyJ042200 ID=BrasyJ042200.8.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLALLTLATVIERLLALSMGGTMVLQDCYISLMESCIHLKDVQEDGDDDDGDEAEDLDDEEEEEDSDDDDEDSEDDDVREETEEEFLARYAAAAAGESIEVVEEGDIDDETQDIELGSLYEVDTKQVVISLMQKHFVLLQAQNLPDDLIERIAETFPEYEQMFHAHRHSSC* >BrasyJ042200.9.p pacid=40051726 transcript=BrasyJ042200.9 locus=BrasyJ042200 ID=BrasyJ042200.9.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSKLNSLSDRIFSLAFDVISRVLETGPGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLA* >BrasyJ042200.10.p pacid=40051727 transcript=BrasyJ042200.10 locus=BrasyJ042200 ID=BrasyJ042200.10.v1.1 annot-version=v1.1 MEVHAAAHPAAELRRLLAATLSADKASVDAATAGLDGMAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFAKENSWPQLVPQLKLVIQSSDIISPGQHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLLSRDGNKLEYEQLLLIICKCMYFTMRSYMPSRVKQILPSFCKDMFRVLDSLDFNSPEEAATTRFKIGKRCLIIFSTLVTRHRKHADNQMPHIVNCVTRISKCSNHLSGWRLVSPYFSSILDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWADDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKRKGESSIAELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWIIGQLALCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISTEDENESALLFQLLGTIVEAGQEKVLAHIPGIVSNIANTITKLLSPVPDPWPQVVEQGFAALVTMVHAWDSLAPDENKEHEKAVWQSGQTAIAQTFSTVLRKAWLLPVEQMELTSESPFPPPSCVNDASVLLEFIMRSATSMEEATHMKVFELVAVWADNIAYWDSWEEMEDQGVFNAIKEAVNFHQRFDSSGFFVKMLPSRSANGSQSSVISRVSNFVTRAIAVYPSATWRACSCIHSLLHAPDFSLGAKDARMTLAATFAEATFSYFKGISASPAGIWKPLLLAISSCYICYPEAIEQVLCKDDVNGYTVWASALAQVSSSSFTPALSSESEIKLA* >BrasyJ043200.1.p pacid=40051728 transcript=BrasyJ043200.1 locus=BrasyJ043200 ID=BrasyJ043200.1.v1.1 annot-version=v1.1 MARKKIREYDSKRLLREHLKRLAAIDLQILSAQVTQSTDFAELVNQHPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIVQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMAPDACAPLIAALPLEARGKIGDFIKGVFAVFQDLDFSFIEMNPFTMVNGEPYPLDMRGELDDTASFKNFKKWGNVEFPLPFGRVLSSTESFIHDLDDKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKASRMHLYVRRGGPNYQTGLAKMRKLGAEIGVPIEVYGPEATMTGICKQAIECIMAAA* >BrasyJ042600.1.p pacid=40051729 transcript=BrasyJ042600.1 locus=BrasyJ042600 ID=BrasyJ042600.1.v1.1 annot-version=v1.1 MSGATSFSLDPCLFRFFSHPLTFFYLERIAVVAEFAAPARRPRHLLDEPGQAKPAAARSHAQSRLASQGAAEMPARPSNGSSQSPTLLHAPLAVPSAYVPPRPPSAADTQTLDLPTAA* >BrasyJ043400.1.p pacid=40051730 transcript=BrasyJ043400.1 locus=BrasyJ043400 ID=BrasyJ043400.1.v1.1 annot-version=v1.1 MMTAKVLTLGAAVVLAFAMVGANAQRCGEQGNGMECPNNLCCSQHGYCGLGGDYCGGGCQNGACYTSKRCGTQANGALCPNNHCCSQYGHCGFGQEYCGDGCQSGPCRANIKCGSQAGGKLCANNLCCSQYGYCGLGSEFCGENCQSGACSGSKPCGRQGGGRACTNNYCCSQYGHCGLGGDYCGTGCQSGSCSTGLDAIAFIMNNSTTE* >BrasyJ041900.1.p pacid=40051731 transcript=BrasyJ041900.1 locus=BrasyJ041900 ID=BrasyJ041900.1.v1.1 annot-version=v1.1 MEYLHHGHHQVVLHRDLKPSNVLEYGRDNWVHGPELAQMGKASRKSDVFSFGIMLLEVFTGKRPTDPIFVGESSIRQWVSQAFPARLINVVDEKLLQGEGITRRAPSRRRNHRQRRLQSRGATMKNLPQVRAKPADLFLRFFFRQVPSCFTPSIMADPVVR* >BrasyJ043300.1.p pacid=40051732 transcript=BrasyJ043300.1 locus=BrasyJ043300 ID=BrasyJ043300.1.v1.1 annot-version=v1.1 MTKKAVLLALAVLAFAGTLAQAALPLPCAPECGKDKDNMECADNLCCSKEGLCGLGSKYCSADAGCQSGACVENQRCGSQGGGATCPGNQCCSYLGYCGLGADYCDKGCQSGPCNVDLTCGSNKECSNNLCCDKLGRCGLGGNYCSANAGCQSGACYDNKIIANQRCGAKYGNKVCLNKRCCSQWGYCGHQKEYCGSGCQPKFGTCSSPGAGGLDLGAILKCTRP* >BrasyJ042000.1.p pacid=40051733 transcript=BrasyJ042000.1 locus=BrasyJ042000 ID=BrasyJ042000.1.v1.1 annot-version=v1.1 MGSRIPSVRVPSLKQKADPARSPFSPHLAVFSDLFSPASPQWPDTRTRQPRPHPRLNRGLRGRRRLPPKASSPRFRAAGRTAADSSAQEFRDAASSRLGAAEARERRRLGGAAARNAGGAGAWGLLRDGGGLLELEEFHGLGYKLGKIKKRKRRRKGQNRFAGDGI* >BrasyJ069400.1.p pacid=40051734 transcript=BrasyJ069400.1 locus=BrasyJ069400 ID=BrasyJ069400.1.v1.1 annot-version=v1.1 MIPGSSAPPSARRSHAILHHPCTCKFQGPCSTNHHQAVPGHVIKGIYKIVCGKYCKVKGSYTISAEDGRVCVVGRKEVISKSFLKLETKCFSCCMYEVQGLSYLLCIFHISSWGSLFQIHYDGGDGSCVP* >BrasyJ069500.1.p pacid=40051735 transcript=BrasyJ069500.1 locus=BrasyJ069500 ID=BrasyJ069500.1.v1.1 annot-version=v1.1 MYMFDSAGEPIGRAISVGQFDNQLLIQAHRYVLRHCDELEQFRREFVEQEKLKPCHLSNLMPDDIEKLINRHFADWLEQKVMFSMFQFYELHT* >BrasyJ002300.1.p pacid=40051736 transcript=BrasyJ002300.1 locus=BrasyJ002300 ID=BrasyJ002300.1.v1.1 annot-version=v1.1 MPICLLLRKVLFRHHTRGWMTFSTVQPKVATVGIDFGCKNSRVAIVDSLVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ002300.3.p pacid=40051737 transcript=BrasyJ002300.3 locus=BrasyJ002300 ID=BrasyJ002300.3.v1.1 annot-version=v1.1 MPICLLLRKVLFRHHTRGWMTFSTVQPKVATVGIDFGCKNSRVAIVDSLVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ002300.4.p pacid=40051738 transcript=BrasyJ002300.4 locus=BrasyJ002300 ID=BrasyJ002300.4.v1.1 annot-version=v1.1 MPICLLLRKVLFRILFTLQLNAYLLVTEKSALPAPHEGMDDFQVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ002300.2.p pacid=40051739 transcript=BrasyJ002300.2 locus=BrasyJ002300 ID=BrasyJ002300.2.v1.1 annot-version=v1.1 MPICLLLRKVLFRVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ002300.8.p pacid=40051740 transcript=BrasyJ002300.8 locus=BrasyJ002300 ID=BrasyJ002300.8.v1.1 annot-version=v1.1 MDDFQVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGYGESLPINVYLGEHVLVQHNVFLGVVELINNQRSCQGSIYFELTFQVDKDYVVKVSGRNFGDQHEAAYDLVKPLKVFPVREIVMCKQSVDKAVESALLDWTMHGIDFRARLIRLATYIVSTLSDVLSARKDEVPKDLCEEAAKSLTDLLMALDGDAHVLNEKMLAAESAKLKVLQWMPPSESPCRDYSDYED* >BrasyJ002300.5.p pacid=40051741 transcript=BrasyJ002300.5 locus=BrasyJ002300 ID=BrasyJ002300.5.v1.1 annot-version=v1.1 MPICLLLRKVLFRHHTRGWMTFSTVQPKVATVGIDFGCKNSRVAIVDSLVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGLIRTMWLRSAAEILVISTKLPMIW* >BrasyJ002300.7.p pacid=40051742 transcript=BrasyJ002300.7 locus=BrasyJ002300 ID=BrasyJ002300.7.v1.1 annot-version=v1.1 MPICLLLRKVLFRHHTRGWMTFSTVQPKVATVGIDFGCKNSRVAIVDSLVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGLIRTMWLRSAAEILVISTKLPMIW* >BrasyJ002300.6.p pacid=40051743 transcript=BrasyJ002300.6 locus=BrasyJ002300 ID=BrasyJ002300.6.v1.1 annot-version=v1.1 MPICLLLRKVLFRILFTLQLNAYLLVTEKSALPAPHEGMDDFQVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGLIRTMWLRSAAEILVISTKLPMIW* >BrasyJ002300.9.p pacid=40051744 transcript=BrasyJ002300.9 locus=BrasyJ002300 ID=BrasyJ002300.9.v1.1 annot-version=v1.1 MDDFQVPEVVPSETGCSIPSYVTPIDSKDSDGRYAWALQRLDRLGKCVAVGELAKRRLSRQPSDVVFNIKKLVGKQFDDHNVQEMRKRVHFSIVEGERGEAWVKICGMKFSPVEIASVIFARLKDIILMHQFHHEFKVVISVPIFFNQQQRKEIMLAGHKAGLEILQIIDEPIAAALSSTTIKEGTIVVFDMGAGSYNVSILGVSGTKIEIKTQFGNPCVGGDQFDDILLDYSVAQIRKFYSVDVCGDKYGMMLLAEAVEQAKVALSSQHEVTVSLPYIISSAKCPGDPNISISRAEFENLGVNLVKQIRDKCQTLLAEANISSNDIDEVILTGGMTRVPMIQKIIFDVFGKHQATKVNHEEAVVIGSAIQAGLIVEHQREISEDIIPLSIGIESEGIFVRVIPRHSTIPTKKTVKIPAWRGLIRTMWLRSAAEILVISTKLPMIW* >BrasyJ025300.1.p pacid=40051745 transcript=BrasyJ025300.1 locus=BrasyJ025300 ID=BrasyJ025300.1.v1.1 annot-version=v1.1 MGFDPTFEIRWHLCGGIDPSFPGPACGLLQPPARCIYCSPRAIEPQACSKRSQHCIAARASWPRNCHRPSSSPLDLPLPVAAMRRPLCPHGHAPWTGLQLVATPRRLAVGAPAPEKTRPCQHGSTGAGGDITLPLPSLTGTVGAAAARGLRLPPAVLQGRIGDAATGGDGASRGRHRCYEGEAAMLRGHGGGAAAARSPSVLRAGTGCAATTAVGAA* >BrasyJ025200.1.p pacid=40051746 transcript=BrasyJ025200.1 locus=BrasyJ025200 ID=BrasyJ025200.1.v1.1 annot-version=v1.1 MADGGRMKGVEGSGEGAGQDEERDEDAREEPELALSLGRRGWHLPPQPPPAPALRWSMVFSAWDPDASGSSRAAERIWDSPPVPPLRFPDMWRGVRADGDAGGAGEAGEHNDDEEEDGDRDLQNKRPKVRGFGEESPQHSGVNASFFGFEPPHLNAFAEHDHLKLSHCPENELDFGLSLFSSDGGNEIQRETNNQEAGGLEKSGERNAEDIEIRMDLSDDLLHLIFSFLCQKDLCRAGAACKQWQSACIHEDFWKCLKFENTRISLQNFVNICHRYQNVTDLNLSGVSDADLLVMDAITFLRHLKTLTMGKGHLGEAFFQTLAECPLLNTLTVSDASLGSGIQEITVNHDGLRELQIVKCRVLRVSIRCPQLQLLSLRRTGMAHVSLNCPQLLELDFQSCHKLSDTAIRQAATACPLLASLDMSSCSCVTDETLREIASACQNLSVLDASNCPNISFESVKLPMLLDLRLSSCEGITSASIGAIYYSRILEALQLDNCSLLTSVSLDMPHLRNISLVHLRKFADLNLRSPVLSYIKVSRCSVLRCVSITSNALQKLVLQKQESLSSLSLQCHNLIDVDLSDCESLTNEICEVFSDGGGCPMLRSLILDNCESLSVVGLNNRSLVNLSLAGCRSMIFLKLACPRLQMVNLDGCDHLERASFCPVGLESLNLGICPKLTVLHIEAPNMSILELKGCGVLSQSSINCPRLTSLDASFCRQLMDDSLSRTAEACPLIEHLILSSCLSIDISGLSSLHCLHMLALLDLSYTFLINLKPVFDSCPQLKVLKLSACKYLSDSSLDPLYRECALPMLTELDLSYSSIGQTAIEELLACCTNLVNVNLNGCTNLHELVCGSDYCSSVDMPIDVCPSDSAPDKTKEINESPYSLLEVLNCTGCPNIKKVVISSVANYLNLSKINLNLSANLKEVNLKCSNLYNLNLSNCSSLEILKLDCPRLANLQLLACTMLQEEELESALSFCGSLEILNVHSCPKINALDFGRLQAVCPTLKRIQSSLIA* >BrasyJ024900.1.p pacid=40051747 transcript=BrasyJ024900.1 locus=BrasyJ024900 ID=BrasyJ024900.1.v1.1 annot-version=v1.1 MSDTLTFYLPNCFKVLMHFLLSSGAHAAEPSDAARAKPTARSIYYRNTVVRSFGQDQTYVFWVGPAARLPLSFSPIFPHSLFSLCLLRPRASRVEFGGDFARRGVGRRCVGLGARRERRAEPPSGGMVGVVFLHGDERECTADIMNSVEDEEEGVKDFSRDLIY* >BrasyJ025100.1.p pacid=40051748 transcript=BrasyJ025100.1 locus=BrasyJ025100 ID=BrasyJ025100.1.v1.1 annot-version=v1.1 MPNEVVTPPVRPNHKRTKNFTNEEDQVLVSAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPPGSDRNISSLTHRWAVVKEQIIDACSMYKATDKTRRSFVLLHCWSMVRYNQKWLAQFDRSSQSNKKQKSSSNASPSMSTPGSDTVHIDDSEATSPAKADHMRRPIGKKAEKERQRRGKNVISTDDSSVVMALDHVFSKRTAVEEAREMARQAREEAREAGKKERYVEALAIESEKFELEKRSQEMEIMNNDLSSMDVDQQEYYKLLRRDIIASRRSK* >BrasyJ024800.1.p pacid=40051749 transcript=BrasyJ024800.1 locus=BrasyJ024800 ID=BrasyJ024800.1.v1.1 annot-version=v1.1 MQLIQKKNLRKNHSELLKEDNFSDSVMVDMWLEAESHQFDRAMDVIIHQCLILPVYLGGQTDDKVVEENVKKLKITFEVYEARLTKFKYLAGDFFSLADLSHFPTAHYLLATPHASLLDEYPSVKAWITDILARPTVQKVVEMMKATA* >BrasyJ025400.1.p pacid=40051750 transcript=BrasyJ025400.1 locus=BrasyJ025400 ID=BrasyJ025400.1.v1.1 annot-version=v1.1 MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMTAGSKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVNLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVTVC* >BrasyJ025000.1.p pacid=40051751 transcript=BrasyJ025000.1 locus=BrasyJ025000 ID=BrasyJ025000.1.v1.1 annot-version=v1.1 MDEAVGYRGGGGGLDLQIEQLMECRPLPEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL* >BrasyJ025000.2.p pacid=40051752 transcript=BrasyJ025000.2 locus=BrasyJ025000 ID=BrasyJ025000.2.v1.1 annot-version=v1.1 MDEAVGYRGGGGGLDLQIEQLMECRPLPEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL* >BrasyJ025000.3.p pacid=40051753 transcript=BrasyJ025000.3 locus=BrasyJ025000 ID=BrasyJ025000.3.v1.1 annot-version=v1.1 MDEAVGYRGGGGGLDLQIEQLMECRPLPEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHVRWNKRS* >BrasyJ025000.4.p pacid=40051754 transcript=BrasyJ025000.4 locus=BrasyJ025000 ID=BrasyJ025000.4.v1.1 annot-version=v1.1 MDEAVGYRGGGGGLDLQIEQLMECRPLPEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHVRWNKRS* >BrasyJ024700.1.p pacid=40051755 transcript=BrasyJ024700.1 locus=BrasyJ024700 ID=BrasyJ024700.1.v1.1 annot-version=v1.1 MSLLRRLLSSAAAAARGSPPIRVALTASAGRGVFATRPISGGEVIHSAQPLISHPSRSLLHEVCYNCLRRKAGKDGDSSPGFYFCGDACREHAEGFHGIEQNVDWSLLDDHCRSRGLKYPYMVKRLACMVISGAVGADCLDILQPARLHQGTLIEMEEEFALLESTFRKSGFQEKLATFFTKEWYINVLARIRVNAFRIELVASSYEDLLSAAVASVACDASVGNAVYMLPSFYNHDCDPNSHIVWLENADAKLKALRDIDEGEELRICYIDTSMDVNARQKILTEGFGFQCCCPRCLSGDY* >BrasyJ024700.2.p pacid=40051756 transcript=BrasyJ024700.2 locus=BrasyJ024700 ID=BrasyJ024700.2.v1.1 annot-version=v1.1 MSLLRRLLSSAAAAARGSPPIRVALTASAGRGVFATRPISGGEVIHSAQPLISHPSRSLLHEVCYNCLRRKAGKDGDSSPGFYFCGDACREHAEGFHGIEQNVDWSLLDDHCRSRGLKYPYMVKRLACMVISGAVGADCLDILQPARLHQGTLIEMEEEFALLESTFRKSGFQEKLATFFTKEWYINVLARIRVNAFRIELVASSYEDLLSAAVASVACDASVGNAVYMLPSFYNHDCGMALRTHALIQGEKAIFNFFTLKVSSWEGYYYYYRICCHLILV* >BrasyJ025500.1.p pacid=40051757 transcript=BrasyJ025500.1 locus=BrasyJ025500 ID=BrasyJ025500.1.v1.1 annot-version=v1.1 MGRSGYTNDGAELMMSWLRDSVYCTSSVQDIDHLLEDDLEYDHEYLLSIEEGRMVKVTQVSKLQAMLEEEKKDGVIEDPETEIQEAKKLIENLKIQLQEQTSFCVACVSIAAILAAVLICVFYYL* >BrasyJ089000.1.p pacid=40051758 transcript=BrasyJ089000.1 locus=BrasyJ089000 ID=BrasyJ089000.1.v1.1 annot-version=v1.1 MMSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPGGFISCENKFFTFPGLPEEKVADDSYDLCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRCPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ021200.1.p pacid=40051759 transcript=BrasyJ021200.1 locus=BrasyJ021200 ID=BrasyJ021200.1.v1.1 annot-version=v1.1 MAACAAWGYEIRRGRGGEEGGAGVVEGKEGGRAGVVERRRGGRGGEEGGAGGRGEEEGGRAARWWLARHGVEAAIEFGGEEGGAGGRGEEEGGRAAWWRGRRGGRGGEEGGVGGRGEEEGGRAARWWLARHGVEAAIEFGGEEGGAGGRGEEEGGRAARWRRGRRAGGAVVASSAWG* >BrasyJ021300.1.p pacid=40051760 transcript=BrasyJ021300.1 locus=BrasyJ021300 ID=BrasyJ021300.1.v1.1 annot-version=v1.1 MAGGRAKMGARFGVETGSRETNGSYPKIQTAGSGFPTTEHRSPKSPPPELLAVSNSVPMSRVAVDSCLESPCQMENASRRMRCFATQPAAGGWADLPSELCGVILSRVPSLADRARFRCVCRHWRRAASQQWPLLPPPLPWLATADDNRRFVSLPDGATHHIVAPQINFDPSSPSAAFGEWLLFRNADSGTHLLLVNPLVPGATIELPPMALRKLVVSPGGDLVAAIACPDGGGYRYGLAFCRRRQPSGSWSWSGLRDPQEPRPGAPVVLDIAVHRNKLYARNALYAYDLDGGDCGPECVIADPRPLGLAEERLMAFYHTGNYLVPGGGGGKKLLLVRSEGARFAVLEAAGSGGRWSEPVARLDDDEVAFVSANCSRALPAASLYGGGNCIFFAGALRVVRAQ* >BrasyJ080400.1.p pacid=40051761 transcript=BrasyJ080400.1 locus=BrasyJ080400 ID=BrasyJ080400.1.v1.1 annot-version=v1.1 MRRVRCLGLGELAVGVPHELVELLHAQAPVVVIEVASAAYVRRNSSRAHATRRRPQAQSSSSKPPARRRLGAGERAAAEADAREPATPSRGNSPTDEPPASHLLLPAWASERRLLPHPRLLLRAGSVSRNDVSRACRAAAAVRAGQGGGGVPVRSDSRRGGDCPRGRSRAGARGRCGRRAGDGEVVPAAMGVDAGLLGLVPFQIEGGGGFPGTRQSSSARLGVAGDSGVGGARSRDAPAGVEEEEARGLFGWRNRSRAAVESAAGSGVLCIWAVHSFSPEEFTHQT* >BrasyJ079700.1.p pacid=40051762 transcript=BrasyJ079700.1 locus=BrasyJ079700 ID=BrasyJ079700.1.v1.1 annot-version=v1.1 MSGGEGTSNGASNMMQAGADDAPKEEELIRMARSKLRSVDESQFTPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRGKTMDGLYMLLAKAKACYPDLSIRNSRLLANRHEFVQMLLHDGCYVLSFLVDYYKSTSESLQLAPGGVEVVLVDRGCRRPRPPPCRGRRSH* >BrasyJ080000.1.p pacid=40051763 transcript=BrasyJ080000.1 locus=BrasyJ080000 ID=BrasyJ080000.1.v1.1 annot-version=v1.1 MGDIGIDVGSCHNDHENKAVISSKAVVEELYSALGRGDAGTARRLLNPELDWRFHGPRAQQHQALMRLLTGHEGASWPGVPFEVGAVDVFGPTVLAEGTDAAGALYWVHAWTVGPGGRVTEVREYCNTAVVVVAVARGLGDEATAAVYSPSRHVWRSRLLPDRARRNMPAIVLAI* >BrasyJ079900.1.p pacid=40051764 transcript=BrasyJ079900.1 locus=BrasyJ079900 ID=BrasyJ079900.1.v1.1 annot-version=v1.1 MMNRRHCSRSDNSSCKFCHVNVLEDCDHLFFTLDIYWDMSMDIRDRVRAAKTSFSGPSFMMIFICAAWHIWKQRNTYIFDRSPPSLSSWFAGFKQELFLLSHRVKETHRSILLVWLEP* >BrasyJ080100.1.p pacid=40051765 transcript=BrasyJ080100.1 locus=BrasyJ080100 ID=BrasyJ080100.1.v1.1 annot-version=v1.1 MSKQELLEAQEQEYQLAYQDKLMERTKDRKNALESYVYDIRNKLSERYRSFATDSEREQISVNLQQTEEWLYEEGDDETEAVYSSKLEELKKPVDPIENRCKDDEVRTQTTRELLKRIVDHRMAAKSLSAPEREAVDNEYAEVEQWLREGLQLQESLPKDVDPVLWSSEIKRKEEELDMLCRNIVTSKARGHSSEDGC* >BrasyJ079500.1.p pacid=40051766 transcript=BrasyJ079500.1 locus=BrasyJ079500 ID=BrasyJ079500.1.v1.1 annot-version=v1.1 MSGGEGTSNAASNMQAGADDAPKEELLIRMARSKLRSVDESQFKPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLANRQEFVQMLLHDGCYVLSFLVDYKSTSESSSDEAGSGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNEDRSVLDDLSPCVRLLLKDRLHTSGRRRPLPTSNFPSTLLHLVHAYFMPTQKDGSTAAQGDTVVQPRVPSPRRRMGRWRRATEYCRYGNVKLKRLHLADDDGEAHSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFADLCREVVLDVDSPDENYLNPTWRQLHELCQSKLNNFRGFP* >BrasyJ079500.2.p pacid=40051767 transcript=BrasyJ079500.2 locus=BrasyJ079500 ID=BrasyJ079500.2.v1.1 annot-version=v1.1 MQAGADDAPKEELLIRMARSKLRSVDESQFKPLVVPIGPYHRRARGCSSELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLANRQEFVQMLLHDGCYVLSFLVDYKSTSESSSDEAGSGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNEDRSVLDDLSPCVRLLLKDRLHTSGRRRPLPTSNFPSTLLHLVHAYFMPTQKDGSTAAQGDTVVQPRVPSPRRRMGRWRRATEYCRYGNVKLKRLHLADDDGEAHSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFADLCREVVLDVDSPDENYLNPTWRQLHELCQSKLNNFRGFP* >BrasyJ080200.1.p pacid=40051768 transcript=BrasyJ080200.1 locus=BrasyJ080200 ID=BrasyJ080200.1.v1.1 annot-version=v1.1 MDHRNCNQERKPESMQTRVRSNAPRTPIPVEEDHPTQKISDYINEMQKYLDALKLKLEEGTTGTCVSEDGKACLETCVMSCVATFVYGEPDRQVPKKFESKPTCGDQQSSTNGRSVEMNYIQTHIPLPGTYMDVEGKTLAVVINGAKEAIDKDLEKTAGNRGKDKVEDLNYGYFGV* >BrasyJ079800.1.p pacid=40051769 transcript=BrasyJ079800.1 locus=BrasyJ079800 ID=BrasyJ079800.1.v1.1 annot-version=v1.1 MMNTRHCNRSDNSSCKLCPVNVLEDCDHLFFTCPFSVSCWGSLDIYWDMSMDIRDRVRAAKTPFNGPSFMMIFICAAWHIWKQRNSYTFDRSPPSLSSWFAGFKQELFLLSHRVKENHRSILLVWLEYLVNPP* >BrasyJ080300.1.p pacid=40051770 transcript=BrasyJ080300.1 locus=BrasyJ080300 ID=BrasyJ080300.1.v1.1 annot-version=v1.1 QLQIVRACGSGGVGAAGDDCLQPNRGAREHTRGGGWLRGTRRSGPNLGGTGRGVWASAAGRCLRRARPRRSRGAWSLKRGQNRASMQHRAGGRRHGSAGPCGHGMEQTADGTEARGHGDGAAVGDRRVGPALDTAACFSFMESISGLDSDEESDNEGTEESFCLLLLRANS* >BrasyJ079400.1.p pacid=40051771 transcript=BrasyJ079400.1 locus=BrasyJ079400 ID=BrasyJ079400.1.v1.1 annot-version=v1.1 MQGVQKKTLSHAGEGTSNAASNMQARAGDAPKEELLIRMARSKLRSVDESQFTPLVVPIGPYHRLAIGCSSELPEEKKKQHAASELPEEKKKQHAADKVLRPGYTKRAKTMGELTTLLAKAKARYPDLSIRNSHLLENDEEFVQMLLHDGCYVLSFLVDYRSTSDEAGSGPGPAVVREENWVMRDTLFLLENQIPWFVLEKLHHCIVGNVDNEDRSLLGDLSPYVGRLLKDRLHSSGRPLPASHDVPSTLLHLVHTYFMPTQKDCSTKKEHLVLEMRWCCGPEMRWCCGRQLKARRSPSTSTAAQGEESPPVAVEESPPDIMEAASTTTAQGNTVEESPPDITEEAPPTAAQGNTVEESPPDITEEAPSTAAQDDTVVQPRVPSPRHRLGRWRRATEYCRYGNVKLKLLHLADDDGKPHSVLDVSLQGRTLWMPCLLIDSTTWTILRNLMALEECMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVVHFLRNDEEVINGFADLCREVVSDVDSPVENYLDPTWYQLQELCQSKLNNFCGFFREIHCGNDVHIFAFVGTVLLFLFQLAQVMLTGFSLHNQQPK* >BrasyJ079600.1.p pacid=40051772 transcript=BrasyJ079600.1 locus=BrasyJ079600 ID=BrasyJ079600.1.v1.1 annot-version=v1.1 MQGVQKKTLSHAGEGTSNAASNMQARAGDAPKEELLIRMARSKLRSVDESQFTPLVVPIGPYHRLAIGCSSELPEEKKKQHAASELPEEKKKQHAASELPEEKKKQHAADKVLRPGYIKRDKTMDELNMLLAKAKACYPDLSIRNSRLLANRQEFVQMLLHDGCYVLSFLVDYKSTSESASDEAGPGPAVVREENWVMRDTLFLLEENWVMRDTLFLLENQIPWFVLEKLHHCIVGNAARSVLGDLSPYVGRLLKDRLHSSGRPLPASHDVPSTLLHLVYDYFMPTQKDNSTGAQGDPAIDLELGVVPEAPSTAAVQGGDTDVRPRVPSPRHHMGRWRRATEYCRYGNVNVKLKRLNLADDDGGARSVLDVSLQGRTLCCFFGRYTLWMPCLLIDSTTWTILRNLMALEERMDRRPVTAYCVFMSQLACKAEDVELLQRAGIVQHFLGNDEEVVNGFAVLCREVVLDVDSPDKNYLNPTWRQLQELCQSKLNNFRGFFREIHCANDVHIFAFVGAVLLFLFQLAQVMLAGFSLHNQQPK* >BrasyJ079300.1.p pacid=40051773 transcript=BrasyJ079300.1 locus=BrasyJ079300 ID=BrasyJ079300.1.v1.1 annot-version=v1.1 MRLRPSALTDAVVRGGAGRRTHLGETGVGGRRAASGSTDWRESVDASAGAAAGAWNPNRLVYREPVPSSSVSLRQQGLEVVVECRSFTTTPPRWGTTLKSAAAAGTDRSRARLSPEESPAPPLDYPKEQPTPPHTEESPQLEKRSRPRPNLREPTTPEAKLRPPEHRRALTAPKHGLQHIDLRAPTQIRQHLRQEGRTRPNQHHPRRQHRIDLGAPAQIRPTPRPPRPRRRQERTPPRRPRHHLAGTAAPHREPPGHTSPRHQAAPHRSTPARPHLTAARKPNATWPPPPPPCAPAPGHEPQPPRASGPRTPPPTERRALRRRARRCPTSAQRPTSAPPPREGERQGAPPSPPIFDGQARPPPPAQAAAAAEENSTGGG* >BrasyJ030500.1.p pacid=40051774 transcript=BrasyJ030500.1 locus=BrasyJ030500 ID=BrasyJ030500.1.v1.1 annot-version=v1.1 MAREPTSSGGRGDPDYMVEQRGATTRRGLMGLTWYLVRSLRTNPLLLSSFFRRAHTIQVAERNAVESLTSPFLVFGGRLLPILHLRPLPQAAAATAMAMKGPGLFTDIGKKAKDLLTRDYTYDQKLTVSTVSSSGVVRIRSIPSRSYDSMVLGSR* >BrasyJ004600.1.p pacid=40051775 transcript=BrasyJ004600.1 locus=BrasyJ004600 ID=BrasyJ004600.1.v1.1 annot-version=v1.1 MAAVVAAVEAGVVAVASGRSSKLVRSLYWRLRAGIRRLQSPDRRRGWRRRERFSFHYDALSYALNFDDGRAGADFGLV* >BrasyJ100400.1.p pacid=40051776 transcript=BrasyJ100400.1 locus=BrasyJ100400 ID=BrasyJ100400.1.v1.1 annot-version=v1.1 MATKAYASLVILLGVALALAQPASGDMKVAFASAGTGYSIDAAVRQLMSPPPSMKLEDGVEPELSVDLEVHRRVLAGNISPGALNGARPACIRSCPAPGRPYTGRGCNGVYRCPG* >BrasyJ072900.1.p pacid=40051777 transcript=BrasyJ072900.1 locus=BrasyJ072900 ID=BrasyJ072900.1.v1.1 annot-version=v1.1 MQVDLTMSELALYATSTLLWAPPAPQSLTGGRVRVLRCCGVAVVPSAPAAARCCSGRRCAAPRPPPRHLLRPLPLRPLLRPPCPAPPLPPRLLPCHRDPARHICDPCSGHRALLRRRHPCSGRNALPHRRRLEAARFCSPPPRALLRRRVPCSSHRARIGNHSRRAAAARLRERESPGASYD* >BrasyJ072800.1.p pacid=40051778 transcript=BrasyJ072800.1 locus=BrasyJ072800 ID=BrasyJ072800.1.v1.1 annot-version=v1.1 MSDVTADSPPRQRPPPPSPSLSQQQTRGRQPPPPGSDPRALGIIVFIAVCFLLVSISAPSSILHQVPEGHVGVYWRGGALLKAITPPGYHLKLPLITQFEPIQVTLQTDQVKGIPCGTKGGVMISFDKIEVVNRLSKDFVYDTLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPSSIRRNFELMEEERTKALIAIERQKVAEKEAETQKKIALSEAEKNALVSKILMQQMLTEKDSSKRQQQIDNEMFLARERALTDANYYRITKEAEANKLKLTPAYLELRFIESIANNSKIFFGEKIPNMIMDQRMIRNYLDPASGKDRLEI* >BrasyJ072800.2.p pacid=40051779 transcript=BrasyJ072800.2 locus=BrasyJ072800 ID=BrasyJ072800.2.v1.1 annot-version=v1.1 MSDVTADSPPRQRPPPPSPSLSQQQTRGRQPPPPGSDPRALGIIVFIAVCFLLVSISAPSSILHQVPEGHVGVYWRGGALLKAITPPGYHLKLPLITQFEPIQVTLQTDQVKGIPCGTKGGVMISFDKIEIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPSSIRRNFELMEEERTKALIAIERQKVAEKEAETQKKIALSEAEKNALVSKILMQQMLTEKDSSKRQQQIDNEMFLARERALTDANYYRITKEAEANKLKLTPAYLELRFIESIANNSKIFFGEKIPNMIMDQRMIRNYLDPASGKDRLEI* >BrasyJ050100.1.p pacid=40051780 transcript=BrasyJ050100.1 locus=BrasyJ050100 ID=BrasyJ050100.1.v1.1 annot-version=v1.1 MCELEDPSASPFADWSLSDFQARMARLIKDHVKDFANEGLPPSFAIGYLDSKDWRGKYLKDWSDDEAARERIEGSARAESPPSRTLRSGIRKVPATRAAKIAAILLFLCCRQC* >BrasyJ049900.1.p pacid=40051781 transcript=BrasyJ049900.1 locus=BrasyJ049900 ID=BrasyJ049900.1.v1.1 annot-version=v1.1 MSESSTCAICHSGVDSWRHALIECSMSRCVWALADEQLTEHMCASVCPDAKEWLFHLLETVSHIEFTEILLTLWAIWSARQKVIHENIYQSPVSIHGLVQRLLAELQITSKPAVSRPRAAMAVSH* >BrasyJ050200.1.p pacid=40051782 transcript=BrasyJ050200.1 locus=BrasyJ050200 ID=BrasyJ050200.1.v1.1 annot-version=v1.1 MRAGGYTVHQSLTAEAAAVVKMSLALARRRGHAQVTPLHVAFTLLTGSSSPSHHHHHQLALSSSSSYAHGLLRRACVKSHSLQCRALELCFNVALNRLPTTDAGCSPPSSSSSLSASIIHQFNNPTLSNALVAALKRAQANQRRGCIELQSQLPPPPPPTEPLVAIKVELDQLVVSILDDPSVSRVMREAGFSSAAVKSNIEEESASVLAHHHHHHHQPASVALVRPHLFNEPHVLDFPTNGGFGTPFLNQEDDVRAILEAMMRKQGGRRRANPVVVGDTASVAEASVGELMRRLDRGEVLPDELRGAHVLRLHHQPRFMARADVDASVADLRRRTADAPAGVIIYVGDIRWAVDEADRAEYMAAELARLQGELMAARRGRAWLVAAASYKTYMQCRGSPLEAAWELQPVVVPAGAGNGLALGPRAPPIPAAPSMKQGQINRVAEVPVWDHASGEEDGVLALCAECADSYEKEASAVRAKAQDITLALTCFPGWPRADEPQASDKDELLELKMKWSSSCCQMLHLRGHQRPSITTNASPSPWWCASLPNNQNKPRNEPKPSFAELSLSLHAPGAVHGTSNSSNQGVETTLSLLLPDSSEDLNVKPAGQESRAVVCSGKVDSNRLSWYGVSELPIGYLKREAEGALVPSSGKSKRRRHGSSGLDLNLCADEEEHTGDSEEDHPVPSNLTQECESYDEAGHHTSSDEGHE* >BrasyJ050000.1.p pacid=40051783 transcript=BrasyJ050000.1 locus=BrasyJ050000 ID=BrasyJ050000.1.v1.1 annot-version=v1.1 MALAKDVFGDSAVITPGEPLKNLAPVCGVVRRLKEVGGKAILPFLGVGDVVPLSVADILRRLEKVPIYFKNW* >BrasyJ050300.1.p pacid=40051784 transcript=BrasyJ050300.1 locus=BrasyJ050300 ID=BrasyJ050300.1.v1.1 annot-version=v1.1 MEVPGKVGYPGRRDPGKPHPAKGANPSQEARSPATRGLPGKPPLGCTALVPVVMPTKKADDPKIDTRDAPATPTRSHDAQRGQGDAGSSRARQQQQSSRARQQQQPPPQQQQQQQAHEQQVLLPPPPPRTQEDNRQGRTPIPNAGTGASRTNDAFVGRANQQDHPRVSDNQHRSHRTQSVLTPLNSRSFDRQPNPATTCPNPGRSPCSDTCHSTFAGRRMEGPRGASGSCSGVSRGPDSGQNQEPGPEEQPRVLPQPAPPVGGHHGAGGGGDSVGSSASVKRPTPSRVRSLCLPC* >BrasyJ022600.1.p pacid=40051785 transcript=BrasyJ022600.1 locus=BrasyJ022600 ID=BrasyJ022600.1.v1.1 annot-version=v1.1 MDPSSQQTTGIHAINTSMPIAHHPHCHTAAVLARRRSLTLSTAALRRAPLPLRPAAPVFRNIGVAGSPCLSAPCLDKNVSLLLAAVGLALVAAEAGSSSLSLRSSPQ* >BrasyJ004900.1.p pacid=40051786 transcript=BrasyJ004900.1 locus=BrasyJ004900 ID=BrasyJ004900.1.v1.1 annot-version=v1.1 MELIESGLAGMEIPMGECRIKTKAMSRKERSNYLRSIREEQEMMRRQHEEKLRNPQLLYEEEVFGEQPTEELEVEDYRKFWERSYGHRYGSFDAENAGNRLWLCDFFS* >BrasyJ005100.1.p pacid=40051787 transcript=BrasyJ005100.1 locus=BrasyJ005100 ID=BrasyJ005100.1.v1.1 annot-version=v1.1 MLSLQEAIDFDHLDVMTSGFGGFTPWGADTCPTLEQLMASSSSSVAEEQGDEEELRRRQRRKMSNRLSARRSRARKQQRFDELRAEAAQLRAQKKELGARLHAVARHGLAARGQNARLRAEAAALARRLLALQRLTRQLLVLRPRGRLRPATALLDKPELKEPRPLRHRRGSTPGSSGFVADDLGRKGSKEGGPLTYDSWLMSRDQEITCSQVKFWNG* >BrasyJ005000.1.p pacid=40051788 transcript=BrasyJ005000.1 locus=BrasyJ005000 ID=BrasyJ005000.1.v1.1 annot-version=v1.1 MDSLVTSPAAAAAGSSSPRWDGIGLGAYKIYHHPAVAARRRRVVRLAGAVAAFLDAAASSADAALWWPPTSPISSVPTPTSSPAASRSSRSSPPPPRCPPPCRRYHRLSRQGYCAASAPPPGLAPPIKRPSPIASLTKLFSESGVRLASAVAGSFARHLITALYSAPSPPGETSSPSKWVNVVATGKGQRAISNWVEVFVGTAVGVFIDKTIHINTYEQLFEGLTNPSHDAKIKELLVSVCNGAVETLVKTSHHVMSNANGKLDDDGNRTSNDSGNSGVREGWVETVSSTLAVPSNRKFVLDVTGRMTFETVRSFLEFVMWKVHDGARKSGDTVLDSGLRTMSRLSGACIVLI* >Brasy1G161700.1.p pacid=40051789 transcript=Brasy1G161700.1 locus=Brasy1G161700 ID=Brasy1G161700.1.v1.1 annot-version=v1.1 MEVIRRRSNSSRSRPQLQNPSLHNFVEQGAGVIHETISCLRARRPHEQRQERGCGRATEGGRERRVAAGRRAQAHDGGRTGGGSEVALGGTEAGRKHCRQCHHSFSTEASGSGGILGTAALSDESNRRDAATIDIHQRSDHRAAELLLRLNSSSDRRHASSLVET* >Brasy1G043300.1.p pacid=40051790 transcript=Brasy1G043300.1 locus=Brasy1G043300 ID=Brasy1G043300.1.v1.1 annot-version=v1.1 MARDYCLHLLILVSLLLFFFPGQPQPASASKSGGRCRSAPVVALDIGNTNSCIAGYVAEGSDSMFQLCIPSWVAFTANGTILVGDDARDHAAIDPASAVSGFKRLIGMRWSHMYDEVVVQRMVKEAPYKLVEKNLYPHIKVKPGYIGGGVARNELSTEEVMAMVIGKLREAAESYVGCGVGHAVFTVPRHYYDSPWRHTEFAGHIADVRVARMLDEPIAAAVAHGLHRRLRNEGVALVLHVGGATTEASLMVLDNGVFDFLGGRHDSFLGGDDFDRRVVDHFAALIKRKHGKDMSKDTQALAKLRTACERAKKALSTRRQAEVAVESLGLAETLTRAEFEALNGDLFREVVALVHEAMVGADGELDGRSIRDAVDEVLLVGGSAVIPEIQRLVRDYFGGKKKVVVHAGVKPDEVVTLGGALLTRADAGGYPCMGVDGRRQRGYHSDWCDDSRW* >Brasy1G022900.1.p pacid=40051791 transcript=Brasy1G022900.1 locus=Brasy1G022900 ID=Brasy1G022900.1.v1.1 annot-version=v1.1 MAGERRPGKRAAPADPEPEAAAASRRRYPALPPLVSPTLTVETQHLTRAYTLRSRKVFVPRAGKPGPREYRKGEQRVYDTSWQTPSCAKAALEHFNRLNEDDQHELVRAVDSAAFLFNGLWMHANFLAKLKGGTTCVDLVPKHFAELRAGPKEAGMSCVSCVKMDPGVMCPSRIFHPAAGGHRGGLVDGENKKGGTAHGMANKNLFGSLFPPAAAAAAGGEGQECCHPADGEDEKGNTSTAGPGTAKLLFDF* >Brasy1G506900.1.p pacid=40051792 transcript=Brasy1G506900.1 locus=Brasy1G506900 ID=Brasy1G506900.1.v1.1 annot-version=v1.1 MRLENCWFCSSTVYPGHGIQFVRNDAKVFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKTHIMDRQKQGKSKQREKDAKEVEQDFGMLPKKLQETKLKVNVSQQQTEDNLMEE* >Brasy1G065800.1.p pacid=40051793 transcript=Brasy1G065800.1 locus=Brasy1G065800 ID=Brasy1G065800.1.v1.1 annot-version=v1.1 MAAAPPADGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDNRFSLMSDLFPAPPNASSAPGCGSVPEDEGEGEGEGGAYGEDDDYPREGPGPSGGDDDLDDERDAAVEEAVRVVEQWDSPAAGDRLVFESSEDAEEYLGAATCLVGAAGPRVEAALQVAMARLEEEFRQLLIRGTSSLAAEDLHASLLRRLSLTVPTFYSAAGDLDCPSFASHGEEGDESASAGRWSSVSDGEISPYLISPDTVSALRDIADVMLRAGYSPELCQVYSEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVQGLLAEERRICSQILASDADAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAELLPELEALFSGEARDFIKEEAEGILVRLGDAVRGTVAEFANAIRGETSRRPLPGGEIHPLTRYVMNYVRLLADYSRWLNDLLDGCESELETGGENVDMTPLGHCVLILITNLLDKIEDKSKLYDDEALQNIFLMNNLWYIVQKVKDSELKTLLGDNWIRKRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQATGSSSALKAALKERFKNFNLTYEELYRTQTAWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNFAKSIKYNPEDVENQVSDFFEGKRLNA* >Brasy1G563600.1.p pacid=40051794 transcript=Brasy1G563600.1 locus=Brasy1G563600 ID=Brasy1G563600.1.v1.1 annot-version=v1.1 MASSSGRPPTPPPARPSRSAPSQADDDPVVRSRRHALAMEILGKARKGDTEGLRKLQKKLVKAGSNLVSAVAGVPSLEGVNLLSKAIEWGKPEVCKYLIKDIKIDINAPNEHGYTPLMSAILDTKSEKLIKLLLHHGADINKVYKAGMTALHIATNAGDIPMTTLLLRNKADVNAVCDAGTALHIATVDKNVPLVILLLDENADTTVRDKTGLSPLHVAVLQGSVETAEALLSKNNVNLMSWKGTAMHIAAQQGDEPMLQLLLNTARTDIPDSGGRLPIELAAIHGWRDCVELLLTHSSCVDKYKGWSIDQVIQLTRSGKLEAQDPLPRKIGGSSLKADADLAFKENDYTAALTLYTMAIEEFPNDPILYAKRSFCFLNKNEKGKALEDINISKGLEYGSSGPVYEQVAALMATDEYDQALQTLMSGLSLEDEHHITGESSRREH* >Brasy1G563600.3.p pacid=40051795 transcript=Brasy1G563600.3 locus=Brasy1G563600 ID=Brasy1G563600.3.v1.1 annot-version=v1.1 MASSSGRPPTPPPARPSRSAPSQADDDPVVRSRRHALAMEILGKARKGDTEGLRKLQKKLVKAGSNLVSAVAGVPSLEGVNLLSKAIEWGKPEVCKYLIKDIKIDINAPNEHGYTPLMSAILDTKSEKLIKLLLHHGADINKVYKAGMTALHIATNAGDIPMTTLLLRNKADVNAVCDAGTALHIATVDKNVPLVILLLDENADTTVRDKTGLSPLHVAVLQGSVETAEALLSKNNVNLMSWKGTAMHIAAQQGDEPMLQLLLNTARTDIPDSGGRLPIELAAIHGWRDCVELLLTHSSCVDKYKGWSIDQVIQLTRSGKLEAQDPLPRKIGGSSLKADADLAFKENDYTAALTLYTMAIEEFPNDPILYAKRSFCFLNKNEKGKALEDINISKGLEYGSSGPVYEQVAALMATDVSCYGKLSTIKHSKRSCQD* >Brasy1G563600.2.p pacid=40051796 transcript=Brasy1G563600.2 locus=Brasy1G563600 ID=Brasy1G563600.2.v1.1 annot-version=v1.1 MASSSGRPPTPPPARPSRSAPSQADDDPVVRSRRHALAMEILGKARKGDTEGLRKLQKKLVKAGSNLVSAVAGVPSLEGVNLLSKAIEWGKPEVCKYLIKDIKIDINAPNEHGYTPLMSAILDTKSEKLIKLLLHHGADINKVYKAGMTALHIATNAGDIPMTTLLLRNKADVNAVCDAGTALHIATVDKNVPLVILLLDENADTTVRDKTGLSPLHVAVLQGSVETAEALLSKNNVNLMSWKGTAMHIAAQQGDEPMLQLLLNTARTDIPDSGGRLPIELAAIHGWRDCVELLLTHSSCVDKYKGWSIDQDPLPRKIGGSSLKADADLAFKENDYTAALTLYTMAIEEFPNDPILYAKRSFCFLNKNEKGKALEDINISKGLEYGSSGPVYEQVAALMATDEYDQALQTLMSGLSLEDEHHITGESSRREH* >Brasy1G305700.1.p pacid=40051797 transcript=Brasy1G305700.1 locus=Brasy1G305700 ID=Brasy1G305700.1.v1.1 annot-version=v1.1 MASFRLLPLALLLCACLSAHARTSLLEQTIRLPSQRGAAGQQEVDDDSVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNFFAVLLGNKAAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDIGIYATTASNAEESSWGTYCPGEYPSPPPEYDTCLGDLYSIAWMEDSDVHNLRTESLKQQYDLVKKRTAPENSYSSGSHVMQYGSLDLNAEHLFLYIGSNPANDNTTFVEGNSLPSFSRAVNQRDADLVYFWQKYRKLAESSPAKNDARKELLEMMAHRSHVDNSVELIGNLLFGSEDGPMVLKTVRTAGEPLVDDWSCLKSTVRAFESQCGSLAQYGMKHMRSFANICNAGILPEATAKVAAQACTSIPANPWSATHKGFSA* >Brasy1G215300.1.p pacid=40051798 transcript=Brasy1G215300.1 locus=Brasy1G215300 ID=Brasy1G215300.1.v1.1 annot-version=v1.1 MALLARCLVVCLSLAFFSSLGCLPRGGSAKSLPRPPPNMNFTVGVEGAVWCQGCRYAGYDKSKNASPLPNAAAQLLCRRGKKWALFASGSTDEGGNFRILTPKQVAPFTSKDCTVYVQRSPVGACGVALKPSGGKAGSPLKFRKFVPLSDDDLQALYSAGEFLFGTGPSGKC* >Brasy1G183100.1.p pacid=40051799 transcript=Brasy1G183100.1 locus=Brasy1G183100 ID=Brasy1G183100.1.v1.1 annot-version=v1.1 MDMGGGHHDMGGMAMAPPPDAAAAAAAHGGNKRMHYMHMTFYWGKDSEILFDGWPGALGGMYALALVAVFALAVLVEYLGSRGGLGLAKSRARRAAAAAVHAARVGLAYVLMLALMSFNVGVLLVAVAGHAAGFLLFRAGVCGGRAQVEEGDKEDHLAPAACC* >Brasy1G291800.1.p pacid=40051800 transcript=Brasy1G291800.1 locus=Brasy1G291800 ID=Brasy1G291800.1.v1.1 annot-version=v1.1 MAPEFGRVRRDRISDLPDCILITILSLLPTYEAARSTALASRWRRLFPQTLADFKVVTPGGALITCCARSLSTTGLCFPSFGADPSVNHVDGLLQDLADRGVAADNVAAPFSHLKELDLFDVTISEDALNSLLAQCTALESLKARSMSKCGRALVRSRSLKVLSTCGSFDELFVEDAPNLELLLGDAMYMRPVHLKVAPAPKLEFLGYLGMSFRAIEIVDSILEKDKFLVQTLMPSLKTLAVEVSYTTEGYIGWFLQLLTLFPCLETLYIKAEVSIPPCIDNHLEKVVFQVYRGHEWQREMARFLHGRSRFLKAMEFHCMDDTGRADYGKPPSESWVRTQHELLCVDGRASGDARFLFFKGQLADNHQQYCNYEWYQKKYYDHLYEV* >Brasy1G005700.1.p pacid=40051801 transcript=Brasy1G005700.1 locus=Brasy1G005700 ID=Brasy1G005700.1.v1.1 annot-version=v1.1 MPAAVAVHLAPLPNHPTKQPPLPNPSAASLPQWNALLADLSRAGRHADALAILPRLLAASEGLAPDRFTLPPALRSCLGDGGRQVHAVAAKLGLAHGDPFVGNSLVTMYGRCGRVEDAEKVFEGMAGRNLVSWNALMAAVADPRRGLELFRDCLEDLGGTEAAPPDEATLVTVLPMCAALAWPETGRAVHGLAVKSGWDAAPRVSNVLVDMYAKCGEVVDAERAFLEAPPGAGRNVVSWNVMLGGYARNGEAGAAFGLLREMQMEERGVPADEITVLSVLPVCSGPPELAKLRELHAFVVRRGLHLTSDMVPNALIAAYGRCGCLLHACRVFHGIGSKTISSWNALIGTHAQNGEASAAIELFLEMTNACGLKPDWFSIGSLLLACGNLKHLLHGKAAHGFILRNGLEKDSFIRVSLLSVYMQCGRESVARVLFDAVEEKDEVSWNTMIAGYSQNGLPGESLQLFREMQSKKRGHWPSLLSATSALVACSELPAVRLGKEMHCFALKADLCEDPFLSSSIIDMYSKCGFVDDARVFFDRLKAKDVVSWTVMITGYAVNGLGKEAVELYGKMRREGTEPDEFTYLGLLMACGHAGMLEEGLCFFQEMRNLHKIEAKREHYACVIGMLSRAGRFTDAAALMEVMPEEPDAKILSSVLSACHMHGEVELGKKVAEKLLELEPHKAEHYVLASNMYAGARNWDEMRKVRKMLRDAGVTKEPGCSWIDIAGKVYSFVAGENSLPEMDKVRRMWCSLEERIRAAGYAPDTTVVLHELKEEEKVEALRWHSEKQAIAFGLLKTARPAKVRVFKNIRMCKDCHNAAKLISKVADREIVVRDKKRFHHFRDGLCSCGDYW* >Brasy1G549000.1.p pacid=40051802 transcript=Brasy1G549000.1 locus=Brasy1G549000 ID=Brasy1G549000.1.v1.1 annot-version=v1.1 MSINHPEKCKRKEWDACDGAALCIDNSDLEILPIGRYSSPVNCPSSPQVNNAKSTVLSDPENTTQRSSTVMNSPALCGRIQGASSTARDNFLQSHEHRVEVAAVQVDHRNEQSLRETVLSQKRKESSSLPCKRKTSNKSPRLSSRSSSGQFQKSIGTLNKEGLKLGSPKEPPVEVKLDHIIGNKDMIVHPQKPFSVISTSHPLASLDKESPSLQKSSEKLKQGSQEELPVEVKVHQTMGERDMAGHEQKPISMIPTNHPLPSLNRNNPCLEKATEKAKLGSQQELQAEVKIDQKIGKKDMGGQKNEPFSMLPTNRLRPSLDINSLRVEKISEKVHSSASSQTRMKERHLVSIVDLDNQGVVELGGGATTSVTSCSASSRKAASEPHKASREPQPTGSERKVSGTCTISLNEQTNFEEKRQKKADIQVTRPCKDGNSVEPGVTDGSSSQLGVSPDIELCIEHPLCTIEPDIEKILSEVIVTTQRCCFVQLLYLEFPFQVGHFFLKLMHGLNGNAAKIDDVEKMRQLSSCSPSHLFRYGSAQGSPDAQEEMTSCYRTGRPKSIRNIRRFVFHRVQYFCKASPMTGIVDESHYILCLLESESPDDHQITVETIVGHEHIHIATLPTYDQANKFMDQFILLMKRDGYTLCNAPVCIGFSDHRQQSEDNSHLSYLSGEYPQYQGFSPCVAKSIVINESKDTGFAFQKSPPDEHANHLQQGNQQWGLPGTHANVLQQGPQQWGLPDVHANVVQQGSQQWGLPDVHPNAPQQRSQQRGPPDVHPNAPQQGTQQWGLPDVHPNVLRQGSQQLWLPDVHADVMHQGSQQWRWLANVYANVMHQGSSQQWGQPNVHANVMHQGSSHQWGLPGVHANVMHQGSQQWRLPDVHANAPHQGTSQQWAPPVQSQTLARANTFHHLNPSHPVEQQYNSRVLQDQRLSFAAGAYSMGQGQHLLVQPSQEVGMNQHFQHRHLIPGFSERCAPSMSTGNYGHWHQTPPQLDGRMQQWCLHDFGWQINTLPPMHAGRSMLSSVPQQHPAGGPQMSSQATGSSYGSLTSTQFHHPLAPPGYQQHPSHGIC* >Brasy1G480400.1.p pacid=40051803 transcript=Brasy1G480400.1 locus=Brasy1G480400 ID=Brasy1G480400.1.v1.1 annot-version=v1.1 MEERKGLAGPGSGFQVPVTNMRFGDFWVDGFCPASKLQDMCFSPTLIDQPRDQRRRCAFVAVSREQEQAFSSEI* >Brasy1G547600.1.p pacid=40051804 transcript=Brasy1G547600.1 locus=Brasy1G547600 ID=Brasy1G547600.1.v1.1 annot-version=v1.1 MTTGGRAPPLLLLLLVVVSAVLVQIRASEPLLYEPFDESFEGRWVVSGKDEYKGVWKHAKSDGHEDYGLLVSEKAKKYAIIKELDFPVTLKDETVVLQFEVRLQNGLECGGSYLKYIRLQDSTWDAKEFDNETPYSIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWTKPMKQNPAYKGKWHAPLIDNPNYKGVWKPQEIPNPDYFELDKPEFDPIGAIGIEIWTMQDGILFDNILIADDEKVANSILEKTWKPKYDLEKEKEKDEEEAAAGPSTLSELQKKVFDVLYKIADIPFLEPYKSKIIDVIEKGEKQPNITIGVLVSIVVVFVTVLFKILFGGKKPAAVPVKPAAVSKKPQLAATDAAGSSGADKEEEKEDEKDGTAAPRRRSRRET* >Brasy1G161200.1.p pacid=40051805 transcript=Brasy1G161200.1 locus=Brasy1G161200 ID=Brasy1G161200.1.v1.1 annot-version=v1.1 MASSRCRARLAACVFLVCAVSMAAYTAFPLSMSPCTYSLSRALLAISGIDPYIASCADHDDASAARLSDGGGSDNIIGGPIVTNLLTCGNATLPPHALPPFYCCPPMTTAEPINFTFPDPSEPLRVRRPAHAVGAEYMAKYERAIALMKALPHSDPRSFYQVANIHCAYCTTSYRQANPKLGVQIHFSWLFFTFHRAHLYFFERIAAKLLGEPEFALPFWSWDVPEGMRMPVEFANSSSVLYDPIRNPSHAPPKLVDLDFLGPEKNFTDEQQIQHNLRVMYKQMVGNAALPSLFHGQPYRAGQNDMPGAGTVELAPHNTVHTWTGDITLPNVENMGDYYSAGRDPIFYPHHNNIDRLWQAWRDAGVARGYRGHVDFTDPDWLDSSFLFYNEDARLVRITVRDVLNTEKLRYTHAGVGMPWLDAKPPTTPNVNTKRGSLKSVRFPVSLEAAVSAEVRRPRVLRSQHEKTAQEEVLVVEGVETNGNELVKFDVYVNAMEHEKVEAGGRELAGTFVALKQPSMDHRTGKRKPMETSMRVALNELLEDLGADGDESVTVTLVPRRGNVRIGGLRIVYMTE* >Brasy1G161200.2.p pacid=40051806 transcript=Brasy1G161200.2 locus=Brasy1G161200 ID=Brasy1G161200.2.v1.1 annot-version=v1.1 MASSRCRARLAACVFLVCAVSMAAYTAFPLSMSPCTYSLSRALLAISGIDPYIASCADHDDASAARLSDGGGSDNIIGGPIVTNLLTCGNATLPPHALPPFYCCPPMTTAEPINFTFPDPSEPLRVRRPAHAVGAEYMAKYERAIALMKALPHSDPRSFYQVANIHCAYCTTSYRQANPKLGVQIHFSWLFFTFHRAHLYFFERIAAKLLGEPEFALPFWSWDVPEGMRMPVEFANSSSVLYDPIRNPSHAPPKLVDLDFLGPEKNFTDEQQIQHNLRVMYKQMVGNAALPSLFHGQPYRAGQNDMPGAGTVELAPHNTVHTWTGDITLPNVENMGDYYSAGRDPIFYPHHNNIDRLWQAWRDAGVARGYRGHVDFTDPDWLDSSFLFYNEDARLVRITVRDVLNTEKLRYTHAGVGMPWLDAKPPTTPNVNTKRGSLKSVRFPVSLEAAVSAEVRRPRVLRSQHEKTAQEEVLVVEGVETNGNELVKFDVYVNAMEHEKVEAGGRELAGTFVALKQPSMDHRTGKRKPMETSMRVALNELLEDLGADGDESVTVTLVPRRGNVRIGGLRIVYMTE* >Brasy1G281300.1.p pacid=40051807 transcript=Brasy1G281300.1 locus=Brasy1G281300 ID=Brasy1G281300.1.v1.1 annot-version=v1.1 MEGSVVGFKACRKAGHSSWTRRGSNISYTYKRLAATSSPTLPVAAPARGVRLCRCRALLGSTAGRAARWSAPLQFLGRTVGAYLNSLFPRPPIKVVGSSKGVSSQPELLRRAASKRGGGVEYRRGVMLNFCVAEVLLRRSSSEVRRSPLQLVRPREAARTEC* >Brasy1G580100.1.p pacid=40051808 transcript=Brasy1G580100.1 locus=Brasy1G580100 ID=Brasy1G580100.1.v1.1 annot-version=v1.1 MDVALSAVQWVVGKALAPVVDGVLDAWGASKNLGPNIEALKTELRLVKATLETASRKDIGGQAMKELLQKLRDSAQGAEDLLDELDYFRIHDQLHGTYDAADQHGKGGVHDHVLNARHTAKAVGKLTLLSSCWPAASPADPGQEDAREQTSSCCAWRRAKHRPGGNTSSTPSSNQADEEVTGCMPKLGKLLTFSSTPHARADNSGMSSLCVAPQIKHEEETTMPGFDRVKISERMKHIVEELQPVRKDITAILQSCDRRTVPDIAKSRPITTSQSIEPIKLYGRDHIVNSIIHDITKGKYCGKDLSVLPVVGPGGIGKTTLMQHIYKNQEVRNHFDVKIWVCVSLSFDLNKLLEEIKKYSPPIPEEKEGTTEELIEQRLKSKRFLLVLDDIWGCNNRDDWKRLMLPLKTSQGNGNMIVVTTRFPAIAQMVKSKTSDRPIELEGLKLEEFQELFFSFVFDDEQCRRDHSFLVETGYKIMDKLKGSPLAAKTVGRLLKTDLKLRHWRRVLESKEWERQTDSDDIMPALKLSYDYLPFHQQQCFSYSALFPEDHEYNSTKLINFWMGLNILQPGDQNQTPEDIGLKILNNLVSHGFFKEEETDGDLHYVMHDLLHDLALKVACNECLSLHQSDMGSVEIQQSIRHLSIIIDDVGGDNADEKFRTVLKNLKARLNVGHLQTLMLFGKVDESIASIFGDLFKEAKALRVLHLSEMHCHVESLLHNFSALVHLRYLCLGTRYGREMHLPVAISILYHLKVLDVESCYRCLDFPKDMSNLVKLLHFYTPTDELHSDISHVGKLELLQELKVFRVHKESEGFELKQLEHLTELRELGIYNLEKLHTKEEASEANLIEKNYLNMLTLDWDRERANIEPDVEAVVLESIQPHRDLQKLSIRGHKGRSCPKWLGDELTVKALQSLHLSGVSWEDFPPLGKMCDLCEVTLECIAKMKEFVIEQSFCWLTRLTLIGLEFFEKWVPSHDAHDMFPVLQVLVIKNCPKLSELPSSNHIVCPPDQERKMVLFPKLQELEIENCPEFLLAPIPWTETLHRVNIRGVKLLKEFEYSKSSDAVSLSISGKDGLHSIDQVLASDILTEVTELTIKKGPCLELGHLLMLTSLKGLSFKNCQSHVLAPRSSSLIWPSGGHGDELPALESLQVKKCDVSGKQLTELLTHLPRLSNLSISRCEKITYLALGVDVQQTASVAVSNPDVEEAAVSEREDGGLLLLPAHLSESLRKLHISRCTELVLVDPPEVPGGGGLQALRFLERFDIWGSPKFLSACFVSSPSRFLFPSSLQSLYLCDVQGMGSLELLSNLTSLTSLDLSCCGEDLRCEGLGPLLGQLRELIVFSSPRFFAGWKPNARRVLQHEGRGEEQRRQIVSPLPSSSKLQELRTDDAEGLLAVPICSLLSSSLTKLALNGYIEDAHLERFTNDGLHLLTSLEDLWFTGFDELQHLPAGLHKLRKLKKLYVFYCPALRSLPKDGLPKSLQYLDVRMCGNEELKQQCRGLQGTIPKINL* >Brasy1G001800.1.p pacid=40051809 transcript=Brasy1G001800.1 locus=Brasy1G001800 ID=Brasy1G001800.1.v1.1 annot-version=v1.1 MATPPTAARLGLLILVLLCFFPAVSLAQMPGDPSTLSRHLLLPFCLGFIQPALIYSFLSIDCGGAANYTDSLGLQWTSDAGLIASGTPFAIQGPQSSSSSSSSSSSDQTTKQYTTLRYFPADGNKYCYTLKVTTRTRYLLRATFLYADFLHDAVFPEFDLYLGATRWSTIVIYDDTRITTRESVILAPSDSLSVCLSNATTGQPFISTLELRPLNGSLYRTADESTSFLALAARINFGAPSAAPVRFPDDPYDRIWDSDLLRRANYLVDAAPGTTNVSTANPVAVATNERPPEKVMQTAVVGSLGELTYRINLNGFPGNGWAFSYFAEIEEFVAPETRKFKLYIPGLPEVSKPTVDVAENAPGKYRLYQPGFFNVSLPFVLSFAFRKTNDSDRGPILNAFEIYKYVPIDPGSPDAPIMHALASSFAGGHVQGGGDPCLPSPWSWVQCTASLPQPRVVSIDLSGKNLTGSIPPELAALPCLAEIRLDNNMLTGPIPDLSAASNLSIIHFENNQLTGSVPSYLSTLPKLTELYLQNNKLSGDIPRALISRGIILNYSGNMHLQAGKQEKRHLIIILSALLGVSLLFAVSICCYVLTRKNIKKNSPEDDLTKPLPAQKLQKSSAPSCEISTETAHPFRLCDLEEATKNFANRIGSGGFGIVYYGKLPDGREIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVAFLGYCHEDGKNILVYEFMMNGTLKEHLHGRDKHITWIQRLEIAEDSAKGVEYLHSGCTPSIIHRDVKTSNILLDKQMRAKVSDFGLSKFVMEESHASTNVRGTLGYLDPQYYISQQLTEKSDIYSFGIILLELISGRPPISTMTFGEHFRNIGPWAKFYYESGDIEAIVDPSIVGGYRDVHSIWKIAETAARCIDAEARRRPSMTEVVKEIQEAIALERPPPDQQAVGVRRRAASFPASVSPGSGAARSHDMVMDSLMRGDDDSFFSDTLLRHPELR* >Brasy1G001800.2.p pacid=40051810 transcript=Brasy1G001800.2 locus=Brasy1G001800 ID=Brasy1G001800.2.v1.1 annot-version=v1.1 MATPPTAARLGLLILVLLCFFPAVSLAQMPGFLSIDCGGAANYTDSLGLQWTSDAGLIASGTPFAIQGPQSSSSSSSSSSSDQTTKQYTTLRYFPADGNKYCYTLKVTTRTRYLLRATFLYADFLHDAVFPEFDLYLGATRWSTIVIYDDTRITTRESVILAPSDSLSVCLSNATTGQPFISTLELRPLNGSLYRTADESTSFLALAARINFGAPSAAPVRFPDDPYDRIWDSDLLRRANYLVDAAPGTTNVSTANPVAVATNERPPEKVMQTAVVGSLGELTYRINLNGFPGNGWAFSYFAEIEEFVAPETRKFKLYIPGLPEVSKPTVDVAENAPGKYRLYQPGFFNVSLPFVLSFAFRKTNDSDRGPILNAFEIYKYVPIDPGSPDAPIMHALASSFAGGHVQGGGDPCLPSPWSWVQCTASLPQPRVVSIDLSGKNLTGSIPPELAALPCLAEIRLDNNMLTGPIPDLSAASNLSIIHFENNQLTGSVPSYLSTLPKLTELYLQNNKLSGDIPRALISRGIILNYSGNMHLQAGKQEKRHLIIILSALLGVSLLFAVSICCYVLTRKNIKKNSPEDDLTKPLPAQKLQKSSAPSCEISTETAHPFRLCDLEEATKNFANRIGSGGFGIVYYGKLPDGREIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVAFLGYCHEDGKNILVYEFMMNGTLKEHLHGRDKHITWIQRLEIAEDSAKGVEYLHSGCTPSIIHRDVKTSNILLDKQMRAKVSDFGLSKFVMEESHASTNVRGTLGYLDPQYYISQQLTEKSDIYSFGIILLELISGRPPISTMTFGEHFRNIGPWAKFYYESGDIEAIVDPSIVGGYRDVHSIWKIAETAARCIDAEARRRPSMTEVVKEIQEAIALERPPPDQQAVGVRRRAASFPASVSPGSGAARSHDMVMDSLMRGDDDSFFSDTLLRHPELR* >Brasy1G448200.1.p pacid=40051811 transcript=Brasy1G448200.1 locus=Brasy1G448200 ID=Brasy1G448200.1.v1.1 annot-version=v1.1 MTTTPPSPACDSTLLLCWNVCGLNNPVRCAAVKAMVSNSRASIVCLQETKLQFVSRDDILGVLGPDFADDFGFLPADGTRGGILLAASSRFFALSNFTTTSNTISATVSWRADGSNRNISGVYGPQGEALKIAFIRELRDLALAQGPRWLVLGDFNLIYKAADKNNLLLNRRLMARFKRALDVMSLRELRLTGRRFNWSSEQNSPTLTRIDRFFCTSEWDVLFPSATLQALPAAVSDHAPLLLLGAASFPRSSRFRFESFWTRMEGFQEVVANAWATPVLTHDGARRLHVKLARMADALTIWHRANFRGIDLQMAIALEVVGRLDVA* >Brasy1G184800.1.p pacid=40051812 transcript=Brasy1G184800.1 locus=Brasy1G184800 ID=Brasy1G184800.1.v1.1 annot-version=v1.1 MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDADSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFISDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGIMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIIASGLARRCIVQISYAIGVPEPLSVFVDSYGTGTIPDKEILKIVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRDDADFTWEVVKPLKFDKASA* >Brasy1G157400.1.p pacid=40051813 transcript=Brasy1G157400.1 locus=Brasy1G157400 ID=Brasy1G157400.1.v1.1 annot-version=v1.1 MRLCIQCAGGGSDVVSDRHDCSVHSDSDYCPFGYEGEQAAANAGRPVACDSNYSETDLIIDNISDLMASLNSQDNINVCAFTHNVFCSSSYLFFQIKLMSSVELQLFIHRIAIALPLKINCTPF* >Brasy1G539600.1.p pacid=40051814 transcript=Brasy1G539600.1 locus=Brasy1G539600 ID=Brasy1G539600.1.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKAHGGLGQIQTAIAGAFYGNGRPEVADTHIPKVTITAMHIHKQH* >Brasy1G539600.6.p pacid=40051815 transcript=Brasy1G539600.6 locus=Brasy1G539600 ID=Brasy1G539600.6.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQAHGGLGQIQTAIAGAFYGNGRPEVADTHIPKVTITAMHIHKQH* >Brasy1G539600.7.p pacid=40051816 transcript=Brasy1G539600.7 locus=Brasy1G539600 ID=Brasy1G539600.7.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVCGV* >Brasy1G539600.2.p pacid=40051817 transcript=Brasy1G539600.2 locus=Brasy1G539600 ID=Brasy1G539600.2.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVCGV* >Brasy1G539600.3.p pacid=40051818 transcript=Brasy1G539600.3 locus=Brasy1G539600 ID=Brasy1G539600.3.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQRTRKVVRVVICVSQLVSDRVQKKVCGV* >Brasy1G539600.4.p pacid=40051819 transcript=Brasy1G539600.4 locus=Brasy1G539600 ID=Brasy1G539600.4.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQVCGV* >Brasy1G539600.5.p pacid=40051820 transcript=Brasy1G539600.5 locus=Brasy1G539600 ID=Brasy1G539600.5.v1.1 annot-version=v1.1 MGAGREAIEGRGIRRRADLLFRRVPDSSTQRARMGRPGQRRRLRGVRGGRSHRRSLIRLLCSVAGFVAVQRCSWQGRVQDRVTINNSDTGAAVAMKILGKATIVNHRMMHQVCGV* >Brasy1G032900.1.p pacid=40051821 transcript=Brasy1G032900.1 locus=Brasy1G032900 ID=Brasy1G032900.1.v1.1 annot-version=v1.1 MEEGTNLGVLLQREEDHAGAGEEEEEEEEDSSEAFEPTEDELVLHFLRPQLRGFEPRVAGAVAEEADPCACAPWDLLARHGLLRRGRGYFFAPRRRRGGTVRRSAAGGKGGSWMHSGTKGDGASATELGVVVPWRRTRYCFYPRGGAARSSAGWVMSEYEITDPRCYRRVEEGEEDEYWVLCHVRRSSRAAAAAAKPRARRAHL* >Brasy1G485200.1.p pacid=40051822 transcript=Brasy1G485200.1 locus=Brasy1G485200 ID=Brasy1G485200.1.v1.1 annot-version=v1.1 MGLWMRWRWWAVAAVVLSVILPPSAATLSPAGINYEVVALMAIKTELQDHYNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQRLSGKLSPGIGNLTRLQSVLLQNNAISGPIPGSIGRLGMLQTLDISDNLLTGSIPSSVGDLKNLNYLKLNNNSLSGVLPDSLATINGLALVDLSFNNLSGPLPKISARTFYIAGNSMICGLKSGDNCSSVSLDPLSYPPDDLKIQPQQGIARSHRIAIICGATVGSLVFVIIAVGMLLWWRHRRNQQIFFDVNATDQYDPEVCLGHLKRYAFKELRASTNNFNSKNILGEGGYGIVYKGFLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHINGRPALDWSRRKMIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDLVKKLHHEKQLSMMVDKDLGSSYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELIPPKFMDLAAADESSLGLEAMELSGPR* >Brasy1G485200.2.p pacid=40051823 transcript=Brasy1G485200.2 locus=Brasy1G485200 ID=Brasy1G485200.2.v1.1 annot-version=v1.1 MGLWMRWRWWAVAAVVLSVILPPSAATLSPAGINYEVVALMAIKTELQDHYNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQRLSGKLSPGIGNLTRLQSVLLQNNAISGPIPGSIGRLGMLQTLDISDNLLTGSIPSSVGDLKNLNYLKLNNNSLSGVLPDSLATINGLALVDLSFNNLSGPLPKISARTFYIAGNSMICGLKSGDNCSSVSLDPLSYPPDDLKIQPQQGIARSHRIAIICGATVGSLVFVIIAVGMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRASTNNFNSKNILGEGGYGIVYKGFLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLREHINGRPALDWSRRKMIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDLVKKLHHEKQLSMMVDKDLGSSYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELIPPKFMDLAAADESSLGLEAMELSGPR* >Brasy1G369100.1.p pacid=40051824 transcript=Brasy1G369100.1 locus=Brasy1G369100 ID=Brasy1G369100.1.v1.1 annot-version=v1.1 MASPSSAKTTTFALPRLRDLIEHDEDDDFVEEEYEDEEDDEEDWDMSKRMSRLTVEGSDGGDADDEDDGGIDDDDEARSDGVANDGSKYGVSSQRPWHPFEEEPLQAPSSASLPGTPERAAHQSPWPRYSSKDYASETEAAGAPWWAGPAHHDKRRRQRMMREVWLDRAWQLRKQRRQLMAGDEATTTVVVGNSSPARGGVAMDLEEVRACKDLGFDLPCDWTVEIPCGYVDTASSGANSPASGSWRISSPGALTCWPRFISNLHLLRPHFISTTKPVSCNVYSGCSRYAAQLSRVYL* >Brasy1G369100.2.p pacid=40051825 transcript=Brasy1G369100.2 locus=Brasy1G369100 ID=Brasy1G369100.2.v1.1 annot-version=v1.1 MASPSSAKTTTFALPRLRDLIEHDEDDDFVEEEYEDEEDDEEDWDMSKRMSRLTVEGSDGGDADDEDDGGIDDDDEARSDGVANDGSKYGVSSQRPWHPFEEEPLQAPSSASLPGTPERAAHQSPWPRYSSKDYASETEAAGAPWWAGPAHHDKRRRQRMMREVWLDRAWQLRKQRRQLMAGDEATTTVVVGNSSPARGGVAMDLEEVRACKDLGFDLPCDWTVEIPCGYVDTASSGANSPASGSWRISSPGDDPKDVKARLKVWAQAVALTSASRLGS* >Brasy1G113700.1.p pacid=40051826 transcript=Brasy1G113700.1 locus=Brasy1G113700 ID=Brasy1G113700.1.v1.1 annot-version=v1.1 MAAATGYGAPADQQLVAYADLPKWALYGHKMAEVGRSHEMAEVFAVRVPAAAGGRKPPPCGIISFHGGHCCGYVIYSWSLPDQPTSMPPCDSEGNLVLTGPSVVISTYGPMYFRLRLHDSSQQVKDDVEDSVTLQFDANDGYFGGFSNYDRVRVGTVNTPYGPADVTYAVLSNGVEGRVAVKLACPDGEAPTGVLGRIVARSELFDAGCVLFYNEHNKDMSMVSGELLPLARHVLAVPMHMLLTVELDLSYYSGDEIVRDAVVFNPAFGGQHMERVMGAAGVVVEVEISWVDYPW* >Brasy1G056200.1.p pacid=40051827 transcript=Brasy1G056200.1 locus=Brasy1G056200 ID=Brasy1G056200.1.v1.1 annot-version=v1.1 MVVVRARRGGGDGREQGSCELVTGQVPRHGRRERRRIRARGSVAHLLHEASGRCGLRTGVCGHGGGQLEAGKKRRGWQCGDTQGLGRGLAASRGHEEMAQATGSSWRGWRRRGEPRERRGGLGQGKMGREKRQTARTGSSAGRHGGRAAWQGEEVGAGALEATAAMWLHDGKDGNRRRENWGRKREEDYFGTNLKMMQGVFSKKTNPVSL* >Brasy1G440800.1.p pacid=40051828 transcript=Brasy1G440800.1 locus=Brasy1G440800 ID=Brasy1G440800.1.v1.1 annot-version=v1.1 MDIARRKPNFVGKVAVAAALTVMCILVLKQSPGFGGTSVFSRHETGVTHVLVTGGAGYIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYTDLGDPKAVNKMFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITETTPQNPINPYGKAKKMSEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGTIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALGKAEPKKVGIYNVGTGQGRSVHEFVEACKMATGATIKVELLSRRPGDYAEVYSDPSKIRDELNWTARHTDLRESLATAWKWQKTHPGGYGLT* >Brasy1G440800.2.p pacid=40051829 transcript=Brasy1G440800.2 locus=Brasy1G440800 ID=Brasy1G440800.2.v1.1 annot-version=v1.1 MRKSASKVIRRNISICHLILWFSRHETGVTHVLVTGGAGYIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYTDLGDPKAVNKMFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPITETTPQNPINPYGKAKKMSEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGTIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALGKAEPKKVGIYNVGTGQGRSVHEFVEACKMATGATIKVELLSRRPGDYAEVYSDPSKIRDELNWTARHTDLRESLATAWKWQKTHPGGYGLT* >Brasy1G521200.1.p pacid=40051830 transcript=Brasy1G521200.1 locus=Brasy1G521200 ID=Brasy1G521200.1.v1.1 annot-version=v1.1 MDPLRSYLGRLLLEDITPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKLVVSEANEKTLPDLLADPPQLEDVLNKPEAELCPLWTKRFNRELIRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRNTLGLNDCKLLCINSSAQAHGSDADNSWLPYKAHGLHNHEGACVLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLTTRGQHREASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHYNVGRWYGVLGIFDVAIKHLLEVVACSHQSLTTQSMFLNDFFHFVQSTGKKFDVYKLQLPVFNMSSLRVVHEDHRTYASNADVDVSESIWQELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKASVCVAGEAVKLNVEVKNPLQISVNVSGISLICQLSTTVDASSAEKGTLSAATEEDIATTKPSISTFKSDENNFTVSSLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVSTQKKTKKGKRGAHRSLNNILIVIKALPKLTGYIDCLPTKAFTGDLQLLTLNLRNQSEHAVKNVKMKISHPRFVIPGGSSNLDLEFPRCLRKHVQSDSNVVSSKSTKEKFKGFLFAFPQDIKIQGGATFSWPIWFHAATPGNLSLYLSLYYEMESTTDIPYRTLRTHYNVEVLPSLDVSFAVSMCSSRLQEYIVRMDIMNRTLSESFALHQLSCVGTKWAVSTLPSCDSISLVETIPANQYVSCFFKIKDIGTNSCAEAADGSCGSDMVLSPGGSTDVFNISQSPIADFHYQERYHQGKLAKGPSSLLDFILIAKAVAANSSKSEQLLSYHTCHCRQVTLSQNPVWWLMEGPRTITHDFSKSCCEANIQLVIHNSSEHDISLGVVTSDGTLEKNQTISSHESASGPGGWYDVSLENDVKAITSMKGTHPQKQSSESISPFVWCSLSSAQVDLKPNSSAKIPLKVCIFAPGTYNFSNYELHWKVHPSEAGQVDDNGRSGVGQGYPFYVTVLQGAR* >Brasy1G521200.5.p pacid=40051831 transcript=Brasy1G521200.5 locus=Brasy1G521200 ID=Brasy1G521200.5.v1.1 annot-version=v1.1 MDPLRSYLGRLLLEDITPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKLVVSEANEKTLPDLLADPPQLEDVLNKPEAELCPLWTKRFNRELIRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRNTLGLNDCKLLCINSSAQAHGSDADNSWLPYKAHGLHNHEGACVLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLTTRGQHREASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHYNVGRWYGVLGIFDVAIKHLLEVVACSHQSLTTQSMFLNDFFHFVQSTGKKFDVYKLQLPVFNMSSLRVVHEDHRTYASNADVDVSESIWQELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKASVCVAGEAVKLNVEVKNPLQISVNVSGISLICQLSTTVDASSAEKGTLSAATEEDIATTKPSISTFKSDENNFTVSSLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVSTQKKTKKGKRGAHRSLNNILIVIKALPKLTGYIDCLPTKAFTGDLQLLTLNLRNQSEHAVKNVKMKISHPRFVIPGGSSNLDLEFPRCLRKHVQSDSNVVSSKSTKEKFKGFLFAFPQDIKIQGGATFSWPIWFHAATPGNLSLYLSLYYEMESTTDIPYRTLRTHYNVEVLPSLDVSFAVSMCSSRLQEYIVRMDIMNRTLSESFALHQLSCVGTKWAVSTLPSCDSISLVETIPANQYVSCFFKIKDIGTNSCAEAADGSCGSDMVLSPGGSTDVFNISQSPIADFHYQERYHQGKLAKGPSSLLDFILIAKAVAANSSKSEQLLSYHTCHCSTLSQNPVWWLMEGPRTITHDFSKSCCEANIQLVIHNSSEHDISLGVVTSDGTLEKNQTISSHESASGPGGWYDVSLENDVKAITSMKGTHPQKQSSESISPFVWCSLSSAQVDLKPNSSAKIPLKVCIFAPGTYNFSNYELHWKVHPSEAGQVDDNGRSGVGQGYPFYVTVLQGAR* >Brasy1G521200.2.p pacid=40051832 transcript=Brasy1G521200.2 locus=Brasy1G521200 ID=Brasy1G521200.2.v1.1 annot-version=v1.1 MDPLRSYLGRLLLEDITPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKLVVSEANEKTLPDLLADPPQLEDVLNKPEAELCPLWTKRFNRELIRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRNTLGLNDCKLLCINSSAQAHGSDADNSWLPYKAHGLHNHEGACVLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLTTRGQHREASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHYNVGRWYGVLGIFDVAIKHLLEVVACSHQSLTTQSMFLNDFFHFVQSTGKKFDVYKLQLPVFNMSSLRVVHEDHRTYASNADVDVSESIWQELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKASVCVAGEAVKLNVEVKNPLQISVNVSGISLICQLSTTVDASSAEKGTLSAATEEDIATTKPSISTFKSDENNFTVSSLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVSTQKKTKKGKRGAHRSLNNILIVIKALPKLTGYIDCLPTKAFTGDLQLLTLNLRNQSEHAVKNVKMKISHPRFVIPGGSSNLDLEFPRCLRKHVQSDSNVVSSKSTKEKFKGFLFAFPQDIKIQGGATFSWPIWFHAATPGNLSLYLSLYYEMESTTDIPYRTLRTHYNVEVLPSLDVSFAVSMCSSRLQEYIVRMDIMNRTLSESFALHQLSCVGTKWAVSTLPSCDSISLVETIPANQYVSCFFKIKDIGTNSCAEAADGSCGSDMVLSPGGSTDVFNISQSPIADFHYQERYHQGKLAKGPSSLLDFILIAKAVAANSSKSEQLLSYHTCHCSTLSQNPVWWLMEGPRTITHDFSKSCCEANIQLVIHNSSEHDISLGVVTSDGTLEKNQTISSHESASGPGGWYDVSLENDVKAITSMKGTHPQKQSSESISPFVWCSLSSAQVDLKPNSSAKIPLKVCIFAPGTYNFSNYELHWKVHPSEAGQVDDNGRSGVGQGYPFYVTVLQGAR* >Brasy1G521200.3.p pacid=40051833 transcript=Brasy1G521200.3 locus=Brasy1G521200 ID=Brasy1G521200.3.v1.1 annot-version=v1.1 MDPLRSYLGRLLLEDITPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKLVVSEANEKTLPDLLADPPQLEDVLNKPEAELCPLWTKRFNRELIRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRNTLGLNDCKLLCINSSAQAHGSDADNSWLPYKAHGLHNHEGACVLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLTTRGQHREASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHYNVGRWYGVLGIFDVAIKHLLEVVACSHQSLTTQSMFLNDFFHFVQSTGKKFDVYKLQLPVFNMSSLRVVHEDHRTYASNADVDVSESIWQELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKASVCVAGEAVKLNVEVKNPLQISVNVSGISLICQLSTTVDASSAEKGTLSAATEEDIATTKPSISTFKSDENNFTVSSLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVSTQKKTKKGKRGAHRSLNNILIVIKALPKLTGYIDCLPTKAFTGDLQLLTLNLRNQSEHAVKNVKMKISHPRFVIPGGSSNLDLEFPRCLRKHVQSDSNVVSSKSTKEKFKGFLFAFPQDIKIQGGATFSWPIWFHAATPGNLSLYLSLYYEMESTTDIPYRTLRTHYNVEVLPSLDVSFAVSMCSSRLQEYIVRMDIMNRTLSESFALHQLSCVGTKWAVSTLPSCDSISLVETIPANQYVSCFFKIKDIGTNSCAEAADGSCGSDMVLSPGGSTDVFNISQSPIADFHYQERYHQGKLAKYSQPESCLVAYGRTSNDYS* >Brasy1G521200.4.p pacid=40051834 transcript=Brasy1G521200.4 locus=Brasy1G521200 ID=Brasy1G521200.4.v1.1 annot-version=v1.1 MDPLRSYLGRLLLEDITPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDHEVADERIKLVVSEANEKTLPDLLADPPQLEDVLNKPEAELCPLWTKRFNRELIRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRNTLGLNDCKLLCINSSAQAHGSDADNSWLPYKAHGLHNHEGACVLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLTTRGQHREASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHYNVGRWYGVLGIFDVAIKHLLEVVACSHQSLTTQSMFLNDFFHFVQSTGKKFDVYKLQLPVFNMSSLRVVHEDHRTYASNADVDVSESIWQELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKASVCVAGEAVKLNVEVKNPLQISVNVSGISLICQLSTTVDASSAEKGTLSAATEEDIATTKPSISTFKSDENNFTVSSLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVSTQKKTKKGKRGAHRSLNNILIVIKALPKLTGYIDCLPTKAFTGDLQLLTLNLRNQSEHAVKNVKMKISHPRFVIPGGSSNLDLEFPRCLRKHVQSDSNVVSSKSTKEKFKGFLFAFPQDIKIQGGATFSWPIWFHAATPGNLSLYLSLYYEMESTTDIPYRTLRTHYNVEVLPSLDVSFAVSMCSSRLQEYIVRMDIMNRTLSESFALHQLSCVGTKWAVSTLPSCDSISLVETIPANQYVSCFFKIKDIGTNSCAEAADGSCGSDMVLSPGGSTDVFNISQSPIADFHYQERYHQGKLAKYSQPESCLVAYGRTSNDYS* >Brasy1G276900.1.p pacid=40051835 transcript=Brasy1G276900.1 locus=Brasy1G276900 ID=Brasy1G276900.1.v1.1 annot-version=v1.1 MALAPGFPSSRLLCGALLALVATAVVVVQAAAAARGEAGRLTVEARHEEWMAKFGRVYADAEEKARRQEVFGANARYVDAVNRAGNRTYTLGLNKFSDLTDDEFAKTHLGYRGHPGGLRPEEEENVSKVAALGYGQDMPDSVDWRAQGAVTGVKNQESCGCCWAFAAVAATEGLVKIATGNLISMSEQQVLDCTGQSPGSRANTNTCDGGYVDDALRYVAASRGLQTEAAYAYTGMQGACRTSGVTPNSAAAVGEPQMVRLQGDEGRLQGLVAGQPIAVAVEALDDFRHYKTGVFRAGTSSCGQRLNHAVTLVGYGSTADGKDYWLVKNQWGTSWGDGGYMRIARGNGAHNCGISTYAYYPTMDS* >Brasy1G412200.1.p pacid=40051836 transcript=Brasy1G412200.1 locus=Brasy1G412200 ID=Brasy1G412200.1.v1.1 annot-version=v1.1 MQLTLFSCCPPPCACATALPGADGGGCYEELPVSVPPHVPRGLSREYDQWEEGAYAGAAEQLQLPDDHRAGSVDITETGSSFGAWLRAAFASVYRNIDRAFGQILDA* >Brasy1G405200.1.p pacid=40051837 transcript=Brasy1G405200.1 locus=Brasy1G405200 ID=Brasy1G405200.1.v1.1 annot-version=v1.1 MPAEPQVVHESPTETRVVSRMTLCETREDPLAPWPPDYLAVFIRYTATFRCEARFTAGNIPRAAFTHDREDALVHLFDAEDLRSEAAVRAAVRKELMRKLLELAEHYGCRTADDDGGEEDAFVPPGLVDRILAAAAAVPAFADNDDAPDLWTVRHICDVSMEVDVTNVYDETKALMLLCSAVSGPAGRRDDAGSQQYCAVCTEELAADDATSFPECSHAFHGGCILDSLRRAPTCPSCRHDLMQYLPHQCRVCRAYWISQRSGVY* >Brasy1G476800.1.p pacid=40051838 transcript=Brasy1G476800.1 locus=Brasy1G476800 ID=Brasy1G476800.1.v1.1 annot-version=v1.1 MYRSASGLGALKRHGADAQMLNLAIRSASTSVAQRSSGGFWTWLTGARSNALPPPDFPLPGVTIPPPLPDHVEPGKTRVTTLPNGVKIASETSPGSTCSVGVYVNCGSVYEAPETLGATQLLKKLAFTTTTNRSHLRVVREIDAVGGKASASANREMMSYSYAALKTYMPEMVEVLVDSVRNPALLDWEVKEQMVKLKAELAAASSNPETFLLEALHSTGYSGALANPLIASESSISRLNTDVLEDFLAENYTSSRIVLAASGVDHDELVSIAEPLLSDITKAASTIKPKSDYVGGEYRRTADSANTDVALAFEIPGGWLREKDFVTVSVLQTLLGGGGVFSWGRSGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHTSTEAAFVPKAINLVARELTSLATPGQVDQTQLDRAKASAKSAILTSLESKASATEDMGRQVLAFGDRKPVEHLLKVLDGVTLKDVSTFAEKIISSPLTMASHGNVLNVPTYDTVRGKFSLK* >Brasy1G304000.1.p pacid=40051839 transcript=Brasy1G304000.1 locus=Brasy1G304000 ID=Brasy1G304000.1.v1.1 annot-version=v1.1 MPSGYSHPTMPPAQIAEALTQYGIAPVANLRPEDVANPQAELLPAVLSRFIASFVDATGDDLHGEDAQLGFNEALDNPEHHAEAIRVLRLYEKARAFLESIQFKGFTLADLLRPHPVRVVKVLSALINFLFYREEKLNLLHPIVSEAPDYPERSMELKARIAQLQKEIADHELAEQMEEPIVQQLEAEVNGLQLKAQGYNKQQLALRAKAKTITDKKEEILSKITQADFELTKHAQENAKLLSKVVQSPQKIQMALEKKKSARTELKKSEKMAMQNVQEKSATLEIYNKAFEKLSKQFSKIQDLHEQVAAAKTVEREVKALKTKLNDGSVSIMSLDAKIVEWEGRVHEAEERLKDKVNEKNEIIADENWKLSALRSEIECKLRCLEPREKEVEAKIMKASNLCVEADSARTAATAEQRKIRKKFNNILQAFNYYMDTQPFPGTC* >Brasy1G304000.2.p pacid=40051840 transcript=Brasy1G304000.2 locus=Brasy1G304000 ID=Brasy1G304000.2.v1.1 annot-version=v1.1 MPSGYSHPTMPPAQIAEALTQYGIAPVANLRPEDVANPQAELLPAVLSRFIASFVDATGDDLHGEDAQLGFNEALDNPEHHAEAIRVLRLYEKARAFLESIQFKGFTLADLLRPHPVRVVKVLSALINFLFYREEKLNLLHPIVSEAPDYPERSMELKARIAQLQKEIADHELAEQMEEPIVQQLEAEVNGLQLKAQGYNKQQLALRAKAKTITDKKEEILSKITQADFELTKHAQENAKLLSKVVQSPQKIQMALEKKKSARTELKKSEKMAMQNVQEKSATLEIYNKAFEKLSKQFSKIQDLHEQVAAAKTVEREVKALKTKLNDGSVSIMSLDAKIVEWEGRVHEAEERLKDKVNEKNEIIADENWKLSALRSEIECKLRCLEPREKEVEAKIMKASNLCVEADSARTAATAEQRKIRKKFNNILQAFNYYMDTQPFPGTC* >Brasy1G304000.3.p pacid=40051841 transcript=Brasy1G304000.3 locus=Brasy1G304000 ID=Brasy1G304000.3.v1.1 annot-version=v1.1 MPSGYSHPTMPPAQIAEALTQYGIAPVANLRPEDVANPQAELLPAVLSRFIASFVDATGDDLHGEDAQLGFNEALDNPEHHAEAIRVLRLYEKARAFLESIQFKGFTLADLLRPHPVRVVKVLSALINFLFYREEKLNLLHPIVSEAPDYPERSMELKARIAQLQKEIADHELAEQMEEPIVQQLEAEVNGLQLKAQGYNKQQLALRAKAKTITDKKEEILSKITQADFELTKHAQENAKLLSKVVQSPQKIQMALEKKKSARTELKKSEKMAMQNVQEKSATLEIYNKVAAAKTVEREVKALKTKLNDGSVSIMSLDAKIVEWEGRVHEAEERLKDKVNEKNEIIADENWKLSALRSEIECKLRCLEPREKEVEAKIMKASNLCVEADSARTAATAEQRKIRKKFNNILQAFNYYMDTQPFPGTC* >Brasy1G304000.4.p pacid=40051842 transcript=Brasy1G304000.4 locus=Brasy1G304000 ID=Brasy1G304000.4.v1.1 annot-version=v1.1 MPSGYSHPTMPPAQIAEALTQYGIAPVANLRPEDVANPQAELLPAVLSRFIASFVDATGDDLHGEDAQLGFNEALDNPEHHAEAIRVLRLYEKARAFLESIQFKGFTLADLLRPHPVRVVKVLSALINFLFYREEKLNLLHPIVSEAPDYPERSMELKARIAQLQKEIADHELAEQMEEPIVQQLEAEVNGLQLKAQGYNKQQLALRAKAKTITDKKEEILSKITQADFELTKHAQENAKLLSKVVQSPQKIQMALEKKKSARTELKKSEKMAMQNVQEKSATLEIYNKVAAAKTVEREVKALKTKLNDGSVSIMSLDAKIVEWEGRVHEAEERLKDKVNEKNEIIADENWKLSALRSEIECKLRCLEPREKEVEAKIMKASNLCVEADSARTAATAEQRKIRKKFNNILQAFNYYMDTQPFPGTC* >Brasy1G092900.1.p pacid=40051843 transcript=Brasy1G092900.1 locus=Brasy1G092900 ID=Brasy1G092900.1.v1.1 annot-version=v1.1 MGIGMAGGGGEAAAALEYTPTWIVAGVCSLIVLVSLAAERCLHYLGKTLKKKNQKALFEALLKVKEELMLLGFISLLLTVSQGVIQRTCIPPSWTNYLLPCKKIESLTRADPSGAHSVAAGVFSLGGRRLLNEGGSGHCQSKGKVPLLSLEALHQLHIFIFVLAITHVVFSAVTMILGGAKIRQWKDWENVIQKDDTRDAPRKVTHVDQFEFIRENVNGIGKDSMVLTWMHSFVKQFYASVTKSDYTTMRLGFIMTHCRGNPKFDFHKYMVRALEADFKKVVGIRWYLWLFVVIFMLLNVNGWHTYFWISFLPLLLLLAVGTKLEHVIAQLAQEVAEKHSSIEGDLVVNPSDEHFWCARPRVILYLIHFILFQNAFEIALFFWMLTTYGFNSCIMDHVPFIVPRLVIGVIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQGLVGWAQKAKRRKESKEATAGPAPGPAAPRASSRLEMLRRAASVLQCSRAPPR* >Brasy1G421900.1.p pacid=40051844 transcript=Brasy1G421900.1 locus=Brasy1G421900 ID=Brasy1G421900.1.v1.1 annot-version=v1.1 MGNAAAHANRSIDKQQMQVFILTMGANARPLALDVQSSDTIGVVKAKIHEKLPGNPAPSRQRLVFAGKMMEEDGRSTLEEYGVKEESTLHLAVTRPPPIGVFVRAPSGKRLYLRELELSDTVWRVKERISDEAGIPPGRLRGGKQLEDGSTLGECNVRNGTLLTAILRLGTTGRHCVICAERASRRRCGA* >Brasy1G429500.1.p pacid=40051845 transcript=Brasy1G429500.1 locus=Brasy1G429500 ID=Brasy1G429500.1.v1.1 annot-version=v1.1 MGKRGSPKPAAPKKKPQKLETSFTCPFCNHADSVECSIDLKLRVAVASCYVCEETYATKAHALTEPLDVYSEWIDECDKANQRDHMEID* >Brasy1G289400.1.p pacid=40051846 transcript=Brasy1G289400.1 locus=Brasy1G289400 ID=Brasy1G289400.1.v1.1 annot-version=v1.1 MGRVALAAAVLLAGSVIVAAGGVGRPCFDACFDQCVPREVFWFCQFSCYHRCSGGHAAEARVGGSGDCEHSCVLSMCGQLQPGSKMMAVCRDTCRKSYAAEGCRRQISTSARSAVM* >Brasy1G206700.1.p pacid=40051847 transcript=Brasy1G206700.1 locus=Brasy1G206700 ID=Brasy1G206700.1.v1.1 annot-version=v1.1 MEPCAFVRLIVGQLLLKLPSVPRPSSGAAGVHPSTSPCFCTLHLHDHPASLSRTAPLPLASVFSAPACGADPVVVLSLDAAAVQRLSARPAELVVTVHAGQAGGSAAAAGCGMMGAGRALGRVRVAVDVARAAAGETVVARDGWVDVGKKQQSSASASSSHGRAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGGAGAGQRQPVFTCRFSAGRRAARTRSLTSESSMTRSTSRRLRSWLSTLHGGDGGDARARREQRKGWMVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGAWLILQPTGAGPSSWKPWARLEAWRERGPIDALGYRLELVFDSGPVECAVPIAESSISTKRGGQFVIDPATFPEGAAGASWPFAGGFLMGSTVEGEGKASRPTVQVGVQHASCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDD* >Brasy1G505400.1.p pacid=40051848 transcript=Brasy1G505400.1 locus=Brasy1G505400 ID=Brasy1G505400.1.v1.1 annot-version=v1.1 MGSAPAPPPTPLRGGGSSYSSGQHLLHHRRRLLLRRAQPALGRKTKIKHLLLMDEEEQEEEEEEKTRQLLQIEVSELESVLDREKRLGRILRHCSLHAAGSGGRRCRHYRCCLSAMLPAKIRGLLAELAMVEEEICYLEKKVEDLRTRLYRERNWNDHCLLLQQRQTWLQQNRRLSGFHGGDEQLPKRLLPCPGNDDADDDGNLERQSKASAGGLSVSEQGDEVVEEQRRRSSHSFDNLRLPERRRRICSVNPNKLSEELMRLMITIFHKLNNTTTTPDHDELISSSSSAPKLIISSCIGSSRSIVPKPSSSSLSSPAAAAVENRGMASPEQCGCSGKGLVEFTRSSFDPSRVSLCLADIKNLRVLMNRLGTVDPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPDKLLALLNQASVKVGGTVLSVVSIEHLFLRHHSSPDQGKQGMMLMPEEEAGDLERDLQLRYGLGFPEPNVVFALCRGSRSSPAVAVYTAEEVSSELEQAKVRYLERCVRVVRRKKKKTRGSAAMAVVLPKLLHWHMRCFADDVESLLEWVHSQLGESSALKRAIRDVLLLDGAAVGDRRGKPPALEKMVEIEPYDAEFCYLLPVC* >Brasy1G505400.2.p pacid=40051849 transcript=Brasy1G505400.2 locus=Brasy1G505400 ID=Brasy1G505400.2.v1.1 annot-version=v1.1 MGSAPAPPPTPLRGGGSSYSSGQHLLHHRRRLLLRRAQPALGRKTKIKHLLLMDEEEQEEEEEEKTRQLLQIEVSELESVLDREKRLGRILRHCSLHAAGSGGRRCRHYRCCLSAMLPAKIRGLLAELAMVEEEICYLEKKVEDLRTRLYRERNWNDHCLLLQQRQTWLQQNRRLSGFHGGDEQLPKRLLPCPGNDDADDDGNLERQSKASAGGLSVSEQGDEVVEEQRRRSSHSFDNLRLPERRRRICSVNPNKLSEELMRLMITIFHKLNNTTTTPDHDELISSSSSAPKLIISSCIGSSRSIVPKPSSSSLSSPAAAAVENRGMASPEQCGCSGKGLVEFTRSSFDPSRVSLCLADIKNLRLGTVDPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPDKLLALLNQASVKVGGTVLSVVSIEHLFLRHHSSPDQGKQGMMLMPEEEAGDLERDLQLRYGLGFPEPNVVFALCRGSRSSPAVAVYTAEEVSSELEQAKVRYLERCVRVVRRKKKKTRGSAAMAVVLPKLLHWHMRCFADDVESLLEWVHSQLGESSALKRAIRDVLLLDGAAVGDRRGKPPALEKMVEIEPYDAEFCYLLPVC* >Brasy1G505400.3.p pacid=40051850 transcript=Brasy1G505400.3 locus=Brasy1G505400 ID=Brasy1G505400.3.v1.1 annot-version=v1.1 MVEEEICYLEKKVEDLRTRLYRERNWNDHCLLLQQRQTWLQQNRRLSGFHGGDEQLPKRLLPCPGNDDADDDGNLERQSKASAGGLSVSEQGDEVVEEQRRRSSHSFDNLRLPERRRRICSVNPNKLSEELMRLMITIFHKLNNTTTTPDHDELISSSSSAPKLIISSCIGSSRSIVPKPSSSSLSSPAAAAVENRGMASPEQCGCSGKGLVEFTRSSFDPSRVSLCLADIKNLRVLMNRLGTVDPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPDKLLALLNQASVKVGGTVLSVVSIEHLFLRHHSSPDQGKQGMMLMPEEEAGDLERDLQLRYGLGFPEPNVVFALCRGSRSSPADRIGNWGCFQVAVYTAEEVSSELEQAKVRYLERCVRVVRRKKKKTRGSAAMAVVLPKLLHWHMRCFADDVESLLEWVHSQLGESSALKRAIRDVLLLDGAAVGDRRGKPPALEKMVEIEPYDAEFCYLLPVC* >Brasy1G567700.1.p pacid=40051851 transcript=Brasy1G567700.1 locus=Brasy1G567700 ID=Brasy1G567700.1.v1.1 annot-version=v1.1 MMSSSPPAGDADGDMETLPLAATSDYASTASTFDPLLSTSPPSPPTPAAFPLSRASSSSFVDPPSYADVAASSPRSASASSSTSPRSARAAASEYAHIAVSDPETEAEAAATSLVPGSAPTYISYLVTSARRCDPRRHAVRRRFRDFVTLAERLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGTSDELRVFLQAEGKMPLPSSTDVASRMLDGAARLPRQLLGEETVAPPQDVVQPAKGGRDLLRIFKELKQSMVTDWGGVKPPLVEEDKEFLEKKQKLQDWEQQLSSASQQAEALVKAQQDMGETMGALGLAFVKLTKFETEEAMHDAQRIRAADSKRIAMAAVKASRTCRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQSRIEKLEAASSKVFGGDRARLRKVEELRETIRATEDAKCCALREFERIKENNKIELSRLDEERREDFLDMLKGYVRSQAAYAEKIVDGWTMVAEETSGYGRNSDVV* >Brasy1G325400.1.p pacid=40051852 transcript=Brasy1G325400.1 locus=Brasy1G325400 ID=Brasy1G325400.1.v1.1 annot-version=v1.1 MSEVFEGYERQYSEISASLSRKCAAASALDAEKKKQKLSETQSDVQEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDVNNIKSEIKRISAPNAQQATREELLEAGMSDTLAASSDQRGRLMMTSERLNQSTDRIRESQRTVFETEEIGVSILQDLHNQRQSLLHAHTTLHGVDDYIGKSKKILASMSKRMDRNKWIVGGIIAALIFAILFILYFKFAR* >Brasy1G383000.1.p pacid=40051853 transcript=Brasy1G383000.1 locus=Brasy1G383000 ID=Brasy1G383000.1.v1.1 annot-version=v1.1 MADCDYNYDNNSPPPSPPPADGRPDHLKDASLSDLFLYLRSRANSGPADDHSRHQQQMYVTNLDPRKRPDGTTLRYAIVSDRPLCRERWSNRTSRPSPAPHDDDDRGATKDVCLAKMLDSLEDDGFYQRFSKRGRRRSPAPLHDDDKGATKVVCLAKMLSPCDRLEDDGFYQHFLENVTEEARKFGDLVKVVIPQPGPGIEGSGAASVVAGAGKVFLEYTHLADAAWCRRRLDGKWYRGKVIAAAFFPQDRFDVGDYDYDG* >Brasy1G383000.2.p pacid=40051854 transcript=Brasy1G383000.2 locus=Brasy1G383000 ID=Brasy1G383000.2.v1.1 annot-version=v1.1 MADCDYNYDNNSPPPSPPPADGRPDHLKDASLSDLFLYLRSRANSGPADDHSRHQQMYVTNLDPRKRPDGTTLRYAIVSDRPLCRERWSNRTSRPSPAPHDDDDRGATKDVCLAKMLDSLEDDGFYQRFSKRGRRRSPAPLHDDDKGATKVVCLAKMLSPCDRLEDDGFYQHFLENVTEEARKFGDLVKVVIPQPGPGIEGSGAASVVAGAGKVFLEYTHLADAAWCRRRLDGKWYRGKVIAAAFFPQDRFDVGDYDYDG* >Brasy1G404700.1.p pacid=40051855 transcript=Brasy1G404700.1 locus=Brasy1G404700 ID=Brasy1G404700.1.v1.1 annot-version=v1.1 MLAGGADGNGHLPQPRSALRVGGMGPPPPGQAASAPPPHPAPPPCTDYDMAYFRAYSHIGVHEEMLKDHVRTNSYRNAIMNHQDLISGKVVLDVGCGTGVLSVFCAFAGASRVYAVDASDIALQAMEVVRENDLSDKVIVLHGRIEDVDIEEKVDVIISEWMGYMLLHESMLGSVIFARDKWLTPGGLILPSHASLYLAPITNSQRYQDSIYFWRDVYGIKMSSMMSLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTVQASDLEIITAAYKFTSMLQAPLHGFAFWFDVEFNGPVRQKTKKQASQPSDGNMQNASPSSKKKKPDVSIVLSTAPEDAPTHWQQTLLYLFEPIGLKKDQIIEGSVTISQSQQHSRFLNISLKYFTGDQWYVKESVMR* >Brasy1G561400.1.p pacid=40051856 transcript=Brasy1G561400.1 locus=Brasy1G561400 ID=Brasy1G561400.1.v1.1 annot-version=v1.1 MVFVAVEANNFRIVCRSNDRRFAVGADGRGHIVFNKTMPGSCARDVVSGRGRCGRRKPLRLCSITAWSSSRPACHILLHLQFLGFIVVRSDLLLPNVMDSLSTVPSHH* >Brasy1G011100.1.p pacid=40051857 transcript=Brasy1G011100.1 locus=Brasy1G011100 ID=Brasy1G011100.1.v1.1 annot-version=v1.1 MRERTAYACISKHSECDDIEEGYVCICNAGYAGNPFIQDGCSNDKGYNPIPGRADCTRLCGNITVQFPFGLEEGCFAREEFHLNCTNTTSSAVLLLKDLQVTDMNFDEGTIEYTTDQQYAPVQRFGGRIIFVGYRLYSSLQWVAANLSCLEAQNNISGYACVSMNSNCVAVNATDYEIMSITIQNSYVGYRCKCRDGFEGNPYIQNGCKVSDIDECLTPNICKGICHNIEGSFYCTECPHYTEYDTTKLQCTATAQHILLSGIIIGLSAGLATVALSFGAVFLILRWKKNVQQKLRKNYFQKNQGLLLETLISSAETANGKPKIFSFEELFRLKS* >Brasy1G011100.2.p pacid=40051858 transcript=Brasy1G011100.2 locus=Brasy1G011100 ID=Brasy1G011100.2.v1.1 annot-version=v1.1 MRERTAYACISKHSECDDIEEGYVCICNAGYAGNPFIQDGCSNDKGYNPIPGRADCTRLCGNITVQFPFGLEEGCFAREEFHLNCTNTTSSAVLLLKDLQVTDMNFDEGTIEYTTDQQYAPVQRFGGRIIFVGYRLYSSLQWVAANLSCLEAQNNISGYACVSMNSNCVAVNATDYEIMSITIQNSYVGYRCKCRDGFEGNPYIQNGCKDIDECLTPNICKGICHNIEGSFYCTECPHYTEYDTTKLQCTATAQHILLSGIIIGLSAGLATVALSFGAVFLILRWKKNVQQKLRKNYFQKNQGLLLETLISSAETANGKPKIFSFEELFRLKS* >Brasy1G347000.1.p pacid=40051859 transcript=Brasy1G347000.1 locus=Brasy1G347000 ID=Brasy1G347000.1.v1.1 annot-version=v1.1 MERGDGAGAGGGMGPRGAPAAMLGMGVQQFVAAPVFQQPEHLHGGGVFGQHHHQPVPAPARQPSYSPYPAVPVRAGGHHEEEAMGHGAGNDGVAAQQQQQQPGAGGLWSRMKWTDAMVRLLIMVVYNAGDDGEGVASAAAAGGGGSRAAAAHAHGHGHGSAAAAHAQQKKGKWKSVSRTMGEHGFTVSPQQCEDKFNDLNKRYKRVVDLLGRGKACAVVESPALLDAMDELPPRAKEEARKLLASKHLFFREMCSYHNSPHPHAAAAVTVASHQGAAVHDHEGAAACFHHPQPVPVLVACASSAAALHALAPSPAMMNSSTRTEGDEEEDDSENAHPRTSNEGEEMDEEDVLDDDEEEEQAPGVKTKHRRFHNNRSSNGFPKRRRGESSTMAAEEDGNNNNNDDDNTRAGEGEAPSSAGVQQLQSELAAAGGDPEQARRWMRRRALAVEEQLLACDYREYKLHRQRLKWERFCAGKEREMELAKLRNERARIDGRRMLLMIQHKEMDLLRGSSAAGAAEACNNSSSVDHNPRQTPPFAAFQQLGSSPSTAGGHHS* >Brasy1G099200.1.p pacid=40051860 transcript=Brasy1G099200.1 locus=Brasy1G099200 ID=Brasy1G099200.1.v1.1 annot-version=v1.1 MITVSKFKLESDSVGVDRYFFPGRATDTCVHLCLPRVLTTLQPASKPSVTPRYYVKVVSPALRPSSLWAAQRIPGTAAGRRTDRVPPYDISDFARSLPLPVLPRQPNPPTPFLSSPPLPPCLLPTPQSPPWKFPYPSGGPSLSHPSVRSPLLLAPPPADYPTSERSALGWI* >Brasy1G492300.1.p pacid=40051861 transcript=Brasy1G492300.1 locus=Brasy1G492300 ID=Brasy1G492300.1.v1.1 annot-version=v1.1 MPSASHGATPDHATYPRPGQHSCRGSSRRPRAARRIPPLSVSDASSPAPTTGRRRYPRAKRRTGWPPPTSTAPILASTTPSSPEEPPSPTCLPCRTISAAAGRRRTPTASSTATAPSFSTPPPSLRDGGPCSGRRSCVPAMPTGHARPEDPRESQAQLLEWRDMCRVPRWKDPGYSG* >Brasy1G117500.1.p pacid=40051862 transcript=Brasy1G117500.1 locus=Brasy1G117500 ID=Brasy1G117500.1.v1.1 annot-version=v1.1 MPKIKTSRVKYPEGWELIEPTIRDLDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKDLYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD* >Brasy1G257800.1.p pacid=40051863 transcript=Brasy1G257800.1 locus=Brasy1G257800 ID=Brasy1G257800.1.v1.1 annot-version=v1.1 MSGSNFGESMEWGRSSGSRRGKRGGGSSSGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLGSQPPSLIHRTGSLNLEDTRASTSSLSSSPSSPFHATPVSSPFPVNPNFGVAYGEIVDARYGEFQTPIVRSPSSSTIYAPPHYGHPGVTLPLFAPQESTSLLRGHHDRSRSADSTSMHSDDPQDVDLELKL* >Brasy1G181800.1.p pacid=40051864 transcript=Brasy1G181800.1 locus=Brasy1G181800 ID=Brasy1G181800.1.v1.1 annot-version=v1.1 MGQGIVDLEEASDAAVYHTTPPRHERRQGTQRLPPTVNMCCLTTLILAPFYCYMLYHGAIPSFSVALAGYESIDLARPARVVSPAFSLALRMNTTCVDRADVTVAYSGVALGWARPEPRDCAGERWGRDPEVVARGDGVGLSRRLRDRMASEWRRSAGTLELDVDVAIDNGGTGTRRVGGDDSRDKVVSCKVRAGGRERLVVSSPCTWYALDKYNYGVV* >Brasy1G131600.1.p pacid=40051865 transcript=Brasy1G131600.1 locus=Brasy1G131600 ID=Brasy1G131600.1.v1.1 annot-version=v1.1 MAPPQSDEQLGAEPAMEGLTMPPQDDEPTMDGYTVPPHDHSVNQLIGNLPPMQDTTFIPYAAVDSSLRAMAGQAEGFGRHAIGGLHGDVYHVTTLADDGPGSLRAGCRRQEPLWIVFDVSGTIRLSSGLRVSSYKTIDGRGQRVTLSGKGLLLRECEHVILCNLEVEGGRGHDADAVQIKPRSRHVWVDRCGLRDFADGLLDVTCGSTDVTVSRCHFSAHDKAVLIGASSGHVEDRGIRVTIHHCFFDGTRQRQPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQHNIYEAGEKKKVFMYMNEQAADRDKSSSGSIRSEGDLFLNGAEDCTGNDSEENLWDFEVKDCYPSCSAQPASLALKELLEYCTGWQPVPLPEDIRFAENCAAATTDI* >Brasy1G381100.1.p pacid=40051866 transcript=Brasy1G381100.1 locus=Brasy1G381100 ID=Brasy1G381100.1.v1.1 annot-version=v1.1 MADASAGGSLDDYPTIDPTSFDVVVCGTGLPESILAAACAAAGKTVLHVDPNPFYGSLFSSIPLPSLHSFLSSSTTTSSSSSSAAAAASHTAVDLEFRSLYSEIETSGTVPESSRRVTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWDGALYPVPDSRKAIFKDTTLQLTEKNLLFKFFKLVQSHIAASSGDNEGEGEASGMISEEELDLPFTEFLEKQRLPPKIRAVVLHAIAMADHDQDVVESCEKLLTTRDGIKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTALLMDEEKKRYIGTRLASGQDILCQQLILDPSYKISSLEMPSDSSDSKAQRKVARGICIISRSVKQDSSNVLVVFPPKSIQEQQVAAVRVLQLSSNVAVCPPGMYIAYLSTPCSDSITGKQCINKAMEVLFSTQALDGSEGPLETESKNNEDTKPALIWKCVYVQEITQGTSGTVLSCPMPDEDLDFRNILESTKKLFADTYPNEEFLPINSAPKYNDDDSDSAE* >Brasy1G394800.1.p pacid=40051867 transcript=Brasy1G394800.1 locus=Brasy1G394800 ID=Brasy1G394800.1.v1.1 annot-version=v1.1 MLGRIDGQQRRRSKSTTFLHGHRHAMLTGTDREIWRQKFVMVRLFGRMNR* >Brasy1G210900.1.p pacid=40051868 transcript=Brasy1G210900.1 locus=Brasy1G210900 ID=Brasy1G210900.1.v1.1 annot-version=v1.1 MMFSHVEKLRYFCLDAMTFKYTSNMFWGRLGVRAHSLALRGALPTAHGRKVQYYSLLAECPCVATEQQLEKYYSLIQSKICCMSSSPPTSSSFSVQDNLVRARADTEKLDRIPLANKTVVGREGDERDLAEGIWAEHDGWEEPDEACIASGRKARD* >Brasy1G309300.1.p pacid=40051869 transcript=Brasy1G309300.1 locus=Brasy1G309300 ID=Brasy1G309300.1.v1.1 annot-version=v1.1 MAGTAAPRYAPDDPTLPKPWRGLVDGTTGYLYYWNPDTNITQYEKPLPPEDQLPPPPPLPPPPPRSRSRRDRSRSRSRDRRDRSRSRSRSRSRTPPRRDHRDRDRDRRRHDEHNSSKQASSYHQLPPVVPTAAPDDPSAEAYRRRHEITVIGDNVPAPITAFDSGVIPSDILKEIQRAGFPSPTPIQAQSWPIALQNQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLERGVDVVVATPGRLNDILEMRKISLKQVSYLVLDEADRMLDMGFEPQIRKIVKDIPSSRQTLMYTATWPKEVRRIADELLVHPVQVTIGSVDELVANKAITQHVEVITPSEKLRRLEQILRSHDTGSKILIFCTTKRMCDQLSRTLNRHFGAAAIHGDKSQNEREKVLSQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFLCDQDAKYAADLIKILEGADQDVPRELMDMVSRGGRGRKRNKWATRSERGGGSRSELDSSRYSGRLESSRSGRGKDDYSGRGKDDYSGRGRHDYGGSDGRSRRSGRGRSRSRSRSDSDRRSPSPKRRRHDGLTSRSRTRSRSRSRSRSRSRSYTRNRRASRSRSRSPAARHRTERRDGSATARPDSGHRAEHKTSPRVHPSNDHTKHSGSPKVHPSNDHTNHSDQKDDYHLEDGKMGKVDLDRSPSPQDDKSAPYSPVYSKGKGSMSPIGQPVVEAKTVEVSQKPDPASPPRRSKNRDDEEEGIIDEEGEEGMIADDDPRSSAAVQNGGEK* >Brasy1G046000.1.p pacid=40051870 transcript=Brasy1G046000.1 locus=Brasy1G046000 ID=Brasy1G046000.1.v1.1 annot-version=v1.1 MAYQASVSPLYGFCQEKDSLKYELNAAAFVASLATIVLLVNGRLPTRGIRCYAVWVCVTVAFVGLFGAFAAGCYSWKVSAVDVLLLVLAVIACISLQILGVEDDDLGPVPEDGEQEVGITGARTEEAPLGVVEISTPIIGSAFTVILGIPVMATVDFLVKLPVAILIVSILVSIWQRSFARLLGALARPLRRRCIPNHSTPREQLVSALCHGACKVIDSLRSGEWMLVVVLVIWKPELRQNLKVRFKVSKISAVLGFLLVSAVPMTTASGSTMIGTPATATTTASTTIMDAPPSSTATPSGSATPTGTRRRRDRPLVQAEVEPRPTRNRRPNSRLIGGDWVN* >Brasy1G530800.1.p pacid=40051871 transcript=Brasy1G530800.1 locus=Brasy1G530800 ID=Brasy1G530800.1.v1.1 annot-version=v1.1 MKVLDVDYGSRSLVAVHALLAADAACTVMFNVSSAFAITDRFRISRSNRELYVLSKCRERRPPPGAVPVTNCSANSSGMYAYLGGSYGAGQPPVNEGSCEIAIFLVLRSEATTAENYRRLIKGGFQLEWEPVGDCNACTASGGRCRYDSSTAAFVCLCSDGGLRASTCDGKHKGKVRTLIVSLSVAASLVLACLAWLVYRRRRKIRSTISRIYSSNTSNVEEMLRKCGSLSLKKYKYSELKKITRSFEDELGEGGYGVVYKGSLKDGRMVAVKLLKGSKGNGEDFLNEVMSIGQTSHVNIVSLIGFCLEGSQRALIYEYMSNGSLQKHIYSETSKLAIGWEMFLKIAIGIARGLEYLHQGCNTRIIHFEIKPNNILLDHELCPKIADFGLAKLFHLKDSVL* >Brasy1G169600.1.p pacid=40051872 transcript=Brasy1G169600.1 locus=Brasy1G169600 ID=Brasy1G169600.1.v1.1 annot-version=v1.1 SSRADLRAQSRDSRARLLVQSFSTRAAAAEVSGGGRRPASQRPRPLPAPPPSPLTPLPLRDSRLPGDRLRRPGALLPRPRRNPILRLRRCRPRPRRNRGRFRPESRPPALKPARREEGRGSGGAAAAGQARAAAPVGAAADGGARRERQLAAESAGMEELRGENEEQEVADFTDPKLLAILQELDPAPADN* >Brasy1G026000.1.p pacid=40051873 transcript=Brasy1G026000.1 locus=Brasy1G026000 ID=Brasy1G026000.1.v1.1 annot-version=v1.1 MMWPLFTFSLFVVLLPSMFRTDATGVRSSTLLLARSDSVLSPNDGSPLTAWAASLAADAARVAALAPKPKQKPKGHGHTFVPIAAGQQILRTPSYVARARLGTPPQALLVAIDPSNDAAWVPCRACLGCATGASSPLFDPTQSSTYRPVRCGAPQCAQVPPATPSCPDGPGASCGFNLSYASSTLHAVLGQDALSLEDGHGAAVPDDHYTFGCLRVVNGVSLPPQGLIGFGRGPLSFLSQTKATYGSVFSYCLPSYKSSNFSGTLRLGPAGQPRRIKTTPLLSNPHRPSLYYVDMVGVRVGGKAVPIPASAFALDASTGVGGTIVDAGTMFTRLAPPAYAALRDAFRRGVRAAPVAPPLGGFDTCFYYVNGTKAVQVPSVAFVFAGGARVTLPEENVVIRSDSGGVACLAMAAAPSDGVNAGLNVLASMQQQNHCVVFDIGNGRVGFSRELCTAV* >Brasy1G510700.1.p pacid=40051874 transcript=Brasy1G510700.1 locus=Brasy1G510700 ID=Brasy1G510700.1.v1.1 annot-version=v1.1 MSQPSTVSVPRSGGGFPERVLLNKTGRIAADRNATTAEAATSEGQAVAVSFWLVDAPGVSSFSVDCPGLPEKDHHHLPPSIICAEGPFVLFYVILNVPRWKSFHLFLYTASSEEPSLHLLPEPADRVVERFEYQKFAILPSNGDNRRRDYAVAFLDWNWKPHDNPYPEYHANVFSSETRSWARRKARLDMPESEKALLQLHEVTKQIAVGTSSLAWIDLARGILLLSDLFEQQPVVRYIPFPASRFRFADTDDDDNLVSKIAVEYFRDVTSRDGLIKFVEIDFDDYPDCRTNGSAWRATTWNVEVSWINGWSKRCTVDVDEISVDSSYSSLLPVLLDEHSEQPKLKNLVFLVPTLSMQNDDLLYVMAKVDYQDDTAWVIAVDMKHACVEALAPVSTEQSYALPTYCPCAFPKYINDMTPGTPEDYEKPGYTNDHKLLDLPQAKLLPHNEKPAGRAEQKSHQRQQQQERFDNPSSSHGNQQELPLDGTWSSRCQQLAVVLLELDHRWVYFVCCVVLPMLYIIFGLVIPFIAKLI* >Brasy1G456800.1.p pacid=40051875 transcript=Brasy1G456800.1 locus=Brasy1G456800 ID=Brasy1G456800.1.v1.1 annot-version=v1.1 MAAAAVLLRAALRRSRLAAAAVLRPRNLPPSPIPLPPPPLGYALRRLPRLPFSAGFGYCTAAVESDSPARHKGKPRKSPMKQSRVDFTKVDAALLPTIILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTIVAMNKSESLDEHGVLTSAAGEAHRLGFGDPVAISAETGLGMAELYEILRPLFEEYMFQLPNNGLNQDDLASEAEANEGDESKLPLQLAIVGRPNVGKSTLLNALLQEQRVLVGPEAGLTRDSIRAEFQFDNRSVYLVDTAGWMERSGKEKGPSSLSVVQSRKNLMRAHIVALVLDAAKIANSKSSMNHPEVVIARQAIEEGRGLVVIVNKMDLLRENQRLLDKVIDAVPKEIQTVIPQVTGIPVVFVSALEGRGRVAVMHQVIDTYEKWCFRLSTSCLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMTGKSQLSDTDTRFLTKSLKEDFNIGGIPIRILQRSIPRKAAAKSNIKKRGSTIIRMKTDKRTEVSDPTLS* >Brasy1G456800.2.p pacid=40051876 transcript=Brasy1G456800.2 locus=Brasy1G456800 ID=Brasy1G456800.2.v1.1 annot-version=v1.1 MAAAAVLLRAALRRSRLAAAAVLRPRNLPPSPIPLPPPPLGYALRRLPRLPFSAGFGYCTAAVESDSPARHKGKPRKSPMKQSRVDFTKVDAALLPTIILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTIVAMNKSESLDEHGVLTSAAGEAHRLGFGDPVAISAETGLGMAELYEILRPLFEEYMFQLPNNGLNQDDLASEAEANEGDESKLPLQLAIVGRPNVGKSTLLNALLQEQRVLVGPEAGLTRDSIRAEFQFDNRSVYLVDTAGWMERSGKEKGPSSLSVVQSRKNLMRAHIVALVLDAAKVTGIPVVFVSALEGRGRVAVMHQVIDTYEKWCFRLSTSCLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMTGKSQLSDTDTRFLTKSLKEDFNIGGIPIRILQRSIPRKAAAKSNIKKRGSTIIRMKTDKRTEVSDPTLS* >Brasy1G274600.1.p pacid=40051877 transcript=Brasy1G274600.1 locus=Brasy1G274600 ID=Brasy1G274600.1.v1.1 annot-version=v1.1 MEVDVAATALVGDVAFPSPWSLLCGLAALLVLWAASQAAEGCWLRPRRLGRALRSQGLSGTAYRFPAGDLMENGRLNKEARSKPMPQCHDIVSRVVPQLYNTVKEHGNLCITWFGPVPRVIIAEPELVRDILSNKSGHFEKFTFKRLGKLIALGLASYDGEKWARHRRILNPAFHLEKLKGMSPAFSTCCTELIDSWESKLANSDGSQEIDIWKEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERVIKAFQYIYIPGYLFFPTENNKRMREINREIEGLLRGIIEKRESTKESNEHGDDLLGLLLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQDKAREEVLSVFGKDKPSFDGMNRLKTVTMILYEVLRLYPPVVTLNRKTFKEMRIGGISYPAGIVLELPIILVHHNPNIWGKDVLEFKPQRFAEGISKATKDRPAFFPFGSGPRICIGQNFAMLEAKMALSMILQRFEFELSASYAHAPYTVVTLHPQHGAQIIIKSLR* >Brasy1G274600.2.p pacid=40051878 transcript=Brasy1G274600.2 locus=Brasy1G274600 ID=Brasy1G274600.2.v1.1 annot-version=v1.1 MEVDVAATALVGDVAFPSPWSLLCGLAALLVLWAASQAAEGCWLRPRRLGRALRSQGLSGTAYRFPAGDLMENGRLNKEARSKPMPQCHDIVSRVVPQLYNTVKEHGNLCITWFGPVPRVIIAEPELVRDILSNKSGHFEKFTFKRLGKLIALGLASYDGEKWARHRRILNPAFHLEKLKGMSPAFSTCCTELIDSWESKLANSDGSQEIDIWKEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERVIKAFQYIYIPGYLFFPTENNKRMREINREIEGLLRGIIEKRESTKESNEHGDDLLGLLLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQDKAREEVLSVFGKDKPSFDGDDDIV* >Brasy1G476200.1.p pacid=40051879 transcript=Brasy1G476200.1 locus=Brasy1G476200 ID=Brasy1G476200.1.v1.1 annot-version=v1.1 MARKCSSCGHNGHNSRTCSGHRGLESGGLRLFGVQLHVGAAAASPLKKSFSMECLSSSSSGSAYYAAAAVAASNSASTSVSSASSSLVSVEESGEKMGHGGYLSDGLMGRAQERKKGVPWTEEEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQGGLAHKKRRSSLFDVVENGGGTTLKDEASSVVSVEGLGLFPALSLGGAPSVTGHHLPKPTAPFLVANASASPWQAPDLELKIATAARKAEDRPGPSPRRTPFFGQIRVT* >Brasy1G490300.1.p pacid=40051880 transcript=Brasy1G490300.1 locus=Brasy1G490300 ID=Brasy1G490300.1.v1.1 annot-version=v1.1 MKRMQRMPTKKSHSWWWDSHISPKNNKWLADNLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTVELRKNIPSSLQSQGSGISESDSEAQSTSPSPEKKKTPKQKSKIRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDEVGEENGNGVSYALNERIIELEDELQEAREKLEALEEKNVHCQCEKLEEKLLASQSEINSLQKDLEEKVSYLENLKEVTSGKEDLEAAALENKNTIKVSEGEMASAAEHYEHQLSYRDLEIEKCKQELEQVSQKYSHDKSTLETEIKKLQEAVKNLEGDLVKMSQEKLQLEAQVMELEQTSHSLDDSSVEITKLQKFIKDLQARLENDSNEKRVLEECAIEFEQIHRELEYSRAETVELQNTIKNLKCDLEGALQEKSMLEGCVKDLEQAIARNLEKFSLEKSSLSAEILQLTEANASLEGKLTSTEEQLKQLHAEKGEASLESEKLISELTQDIDNLKTKLQLLSSEKAAVDNKVSSLLSDLTTRDEKINEMGSHLHQLHLEHVKLIAETDTARQSMSDLRARVHVLEEEVEKQKLMIFDSAEGKREAIRQLCFSLEHYRHGYHQLRQVLQGHKRPMVMAT* >Brasy1G079600.1.p pacid=40051881 transcript=Brasy1G079600.1 locus=Brasy1G079600 ID=Brasy1G079600.1.v1.1 annot-version=v1.1 MLMKLRFLSLEGNNLTGSIPREIGNMTELQEMHFSDNYLEGQLPGTITHLRKLQDLFLSDNQLGGHIVPKLGNSSHLDTVAIAHNNFTGPFPSSICIGGALRIIIAGYNGFTSLHCHIFQNCTALQSVDFTANKIVADLKDCFGEHLGLPRTMVFSQNHLYGTLLTDRGEAFFCNYTNLNILDLSNNALYGGLSKCFWDLTRLALVDLSSNSFSGVVPFSRTCEDRLYYLHLANNHFEGTFPLGLEKCKNLITLDLGGNNFSGTIPSWVSKSLPRLKFLRLSSNMFDGTIPHQILQFRHLQLLDLSKNKFIGSIPDDFTNFTGMIHEQTNIDFIDADHYMHIEQIQIVWKNADHVYIIMRAGMAGIDLSGNSLSQEIPNGLTTLLGLRYLNLSGNHLSGSIPRDIGNLVLLEALDLSQNQLSGEIPSSFVDLKSMSTLNLSSNGLSGRIPTGSQLQTLVDPSIYSNNPGLCGFPLKDCVNSSTSTENGTTHAEDREAFWLCCFVAAGFVFGLWLYWGVEFLFCSETWRFTFNRCVDNMQEKVTKKIYGCISCFQAKDPPRKKKNKNPSKKWKCVTQC* >Brasy1G211800.1.p pacid=40051882 transcript=Brasy1G211800.1 locus=Brasy1G211800 ID=Brasy1G211800.1.v1.1 annot-version=v1.1 MKKTVVLYPGVGVGHLVPMVELAKVFLKHGLAVTVALVEPPDGSATFSAAVARTKASNPSVAFHVLPPPPAAPGADEAASTHHVIKIFRFLAAMNAPLRDFLRSLPSVHALVLDMFCVEAQDVAEELNLPVYYFYASAASDLAVFLNLPSKLAGMGKKVKELGDSVISFPGVPPFKASDLPSEVTNDGVVLGSILGMFERMPASDGILINSFESLEVRAVRALKDGLCVPSHATPPVYCIGPLVSGGGGDKEHECLRWLDAQPDKSVVFLSFGSMGTFSKKQLGEIAIGLENSGERFLWVVRNPPNPDHKFGDPVPEMEDLDTLLPDGFLERTMDRGLVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPMYAEQRVNKVHIVEEFKLGVEMRGYNEEVVKAEEVEEKVRWVMESEGGKALRERVEAAKSAAAEALKEGGSSHIAFVQFLEDLDASRVQTVQG* >Brasy1G369500.1.p pacid=40051883 transcript=Brasy1G369500.1 locus=Brasy1G369500 ID=Brasy1G369500.1.v1.1 annot-version=v1.1 MGIGMSRDRQDGRLLSLLALQVLLDYGRAGAIRPPVTAALLAANTLLYLRPGNLDALLPRLPRVLFNPHLIIEFGDLRRFLFSVFFHTSESQFVMNMSSLLWRGGRLEEYMCSFEFASMVASLIGLSQGFTLLLSKGLLLLGDDTAYYQYSSGFSGVLLGMQVLNARAGDIVLFGVCIPAKYAELAQLFLMQALVHEVNIVGNLSGILAGLTYLWLKNGPDPLSGIANVVSRSVRFARGIVRSAARRCQSCSGRRVLASVPREIGQGMWRCTACSHDNSRCEDVCERCSTPNEDHAFSRRRHLQDVGNGELPVEEIRARRLQRFER* >Brasy1G110400.1.p pacid=40051884 transcript=Brasy1G110400.1 locus=Brasy1G110400 ID=Brasy1G110400.1.v1.1 annot-version=v1.1 MAAYEKQQQSAPYYAYPAPPPYYAAPPPRRSGGGPRCFVCFLFKVIAIAVIALGALTVALWLIFRPGSVRATAVSATLSRFDLPDSTAPGSRGGELLQYNLTVDIRVRNPNRFGIRYEHAEARASYDGEPFGYDPLEPFYLDRKAERTVTAAFGGSEPVDALRAYRREKSEGFYYVKVRLYTDVAFRVRVFNVRRKSKISCTLRLPVPNASSAPVPTMLGTRCDVDF* >Brasy1G337000.1.p pacid=40051885 transcript=Brasy1G337000.1 locus=Brasy1G337000 ID=Brasy1G337000.1.v1.1 annot-version=v1.1 MVHHHRASLLLQHNNQQQLLVRKQADEEQQAEDEQGAASTGGKTMKELRCRIVDYACHDRKKHGHDALLLMLGGFAFFSCLLLLLPSSPFSAAMDELLQLGRNNRCDQEARSEPPCAAVAAGTVCCDRTAERTDVCVMRGDIRTQAKSNSLFLLLPANSSTSGSGRAADERIRPYTRKWESSIMSTIDELRLRAVSPESSSSSVPAPARCDVQHEVPAVVFSTGGYTGNVYHEFNDGIIPLYITARRYDRKVVFVMLEYHDWWMTKYGHIVEQLSDYPPVDFSGDNRTHCFKEVVVGLRIHDELAIDASRMPGNQGIRDFRQMLDDAHRGRVQVIIDEEAEAAKNSSSSKIKKAAVGDDEDEKPRLVIVSRNGSRAIENEADLARAAAGAGFRVELLQPRPDTELAQMYRVLNGSDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAENYYGEPARRLGLRYMPYKILPAESSLYRRYPRDDPVLTDPVAVNAKGWQVTKKVYLDGQNVRLDMPRFRRRLREAYAHWAAQRRRQHSNPL* >Brasy1G313600.1.p pacid=40051886 transcript=Brasy1G313600.1 locus=Brasy1G313600 ID=Brasy1G313600.1.v1.1 annot-version=v1.1 MTAQPILIFSPRTCAAAHPLVPSGTRGPQARHPVEAAIRASEATTMVAAASKKTIGGRHSRSRTPLLPRKQPEDPVPSGAGAAATTARKTRRRGRRARGDYSPRPPLGTTRGQGMGGGGGEGWAGRRREGTLGTLPHTNLLTTSSCWLREALAPSSKAKSGPPRAGACQPYNPNPTTLGVAEWGTDHGDCHPLKRKKKRPRRLPLPLPLQLPASDVGATSFQAEARRRSCFPIRRTLTRFGTVSDVGDFSHGRYTLLLPQLRRTLTRVDDVLQVGRIVRYFYARP* >Brasy1G383400.1.p pacid=40051887 transcript=Brasy1G383400.1 locus=Brasy1G383400 ID=Brasy1G383400.1.v1.1 annot-version=v1.1 MGIFSGTPPPSCPSSPRCAQWAQTYLKYCLCSTKDGVALGLGLASVISWGVAEVPQIITNYKQKSTEGLSIAFLMTWIVGDLFNLAGCFLEPATLPTQFYMALLYTITTVILTGQTLYYSHIYHHVKANKTRATGKTILSHFLWDDHLHFQPQKHQRKDASLREKLLGHRDDEAPRNNSQSGVTIPIPSSPIQVSTEVFRQRHGSISPSSDYYYVSARSLSRSPVPIGGTWLGNNRQTTKTPQTNDQNESLVGEFAPAQSAPATITKNSLSVVPWISVLLGMCVLHILVGTAHKEVSNGIIIPVGRKLLALADDHADSTLRHGSGSGIGSFLGWAMAIIYMGGRLPQIFLNMQRGHAEGLSPLMFTFALVGNSTYVGSILVNSMDWSRLRPNLPWLVDAGGCVLLDSFIILQFLYFHYRKQSEPAELDNVDKA* >Brasy1G383400.2.p pacid=40051888 transcript=Brasy1G383400.2 locus=Brasy1G383400 ID=Brasy1G383400.2.v1.1 annot-version=v1.1 MGIFSGTPPPSCPSSPRCAQWAQTYLKYCLCSTKDGVALGLGLASVISWGVAEVPQIITNYKQKSTEGLSIAFLMTWIVGDLFNLAGCFLEPATLPTQFYMALLYTITTVILTGQTLYYSHIYHHVKANKTRATGKPQKHQRKDASLREKLLGHRDDEAPRNNSQSGVTIPIPSSPIQVSTEVFRQRHGSISPSSDYYYVSARSLSRSPVPIGGTWLGNNRQTTKTPQTNDQNESLVGEFAPAQSAPATITKNSLSVVPWISVLLGMCVLHILVGTAHKEVSNGIIIPVGRKLLALADDHADSTLRHGSGSGIGSFLGWAMAIIYMGGRLPQIFLNMQRGHAEGLSPLMFTFALVGNSTYVGSILVNSMDWSRLRPNLPWLVDAGGCVLLDSFIILQFLYFHYRKQSEPAELDNVDKA* >Brasy1G125100.1.p pacid=40051889 transcript=Brasy1G125100.1 locus=Brasy1G125100 ID=Brasy1G125100.1.v1.1 annot-version=v1.1 MAAATTNSKQGPGDGGRAMDDEDLTFETTAGVEAITSFDVMKLRDDLLRGIYSYGFDKPSAIQQRAVFASSPAATSSPRPNPARGTPPRSHSPSARSSSTPVTPLPTRCRH* >Brasy1G483000.1.p pacid=40051890 transcript=Brasy1G483000.1 locus=Brasy1G483000 ID=Brasy1G483000.1.v1.1 annot-version=v1.1 MVAGGSGFAAAGWEWIWPLPAVPLASATAAGRHGVGRHSNRGGAGFGSQVVLLLLANSTVLLLP* >Brasy1G211600.1.p pacid=40051891 transcript=Brasy1G211600.1 locus=Brasy1G211600 ID=Brasy1G211600.1.v1.1 annot-version=v1.1 MAVTTTQKTVVLYPSLGVGHLNPMVELAKVFLRRGQAVVITVVNPPDKDAVSADALARLAAANSDITFRLIPVPSRGKDHHYPHPVMRTIDVLRAANPALREFLRALPAVDALVVDMFCVNALDVAAELGIPAYFFFASAVGDLAVMLHLPYYYPTAPSSFKDMGKTPLRFPGVPPIRALDMATTMRDRESETAKERLHQCARMPEARGILVNSFDWLEARALEAVRNGLCTPDRTMPPVYCIGPLVLPGGHTRGSNGERHPCVEWLDAQPDRSVVFLCFGSLGTFSAAQLREIAHGLQNSGHRFLWVVRNPPEHKSSSISVEPDLEALLPEAFLEKTSDRGFVVKNWAPQAEVLRHGAVGAFVTHCGWNSVLEGIVSGVPMICWPLYAEQRLNKVHVVEEMKLGVAVEGYDEELVKAEEVEAKVRLVMASEEGSKLRERLAMAREMAADALKEGGSSAVAFDEFMKDLENVSSESRKKSFIHANGRGTE* >Brasy1G324200.1.p pacid=40051892 transcript=Brasy1G324200.1 locus=Brasy1G324200 ID=Brasy1G324200.1.v1.1 annot-version=v1.1 MDAKDILGLPKTPFQSAQEKKPRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKIAWQWLPFTSSARTDSLQLYHWVKVANGVPPTGDYEFAKYNTKVDVLKYTEEEYEKYLTEPTWSREETDQLFELCERFDLRFIVIADRFPTNRSVEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQHERKDAAVLAEAKRIMESRAATKSLEEAGAQASFHNAAAPTDGASLSNSHPLSTAIHPALAANNTIPDSLRMLKVYLRSHALDQMVQTVSASAGLRMIKRVDQTLQDLGVNLKPKVPTKAVCAEHLELRNEILTLLNLQKQLQNKEAEVLANRESSFTEAPGTPKRSNRDVDRPFVPDAPGFGGDRTVKRDHKRKSTGRFMDAPPSPPQSKRPRKLKASD* >Brasy1G504700.1.p pacid=40051893 transcript=Brasy1G504700.1 locus=Brasy1G504700 ID=Brasy1G504700.1.v1.1 annot-version=v1.1 MGEAVGCAAAEARTKAMPLLHQGGKEEDRAAATLSPPVVAAPLPVVPEPRDFPATVMANMLRCHGGEDESRAAAPLSPRVVAVPLLVVPKPRGLPATVMANMLVSEMQLPPHGPTSTTSSPRPTSPWLRSPSANAHPKSLRQELEALLHDDIGALDIEENEATEEE* >Brasy1G199000.1.p pacid=40051894 transcript=Brasy1G199000.1 locus=Brasy1G199000 ID=Brasy1G199000.1.v1.1 annot-version=v1.1 MFLTDVTTGRQAWAFFVGFIRAFCLIVFTIPVCGSTFAWDNVVDDIWRTQYPRDFAALADCRTRTVRISFCSHQLKLLGSVPVELEFFFSCIKFWNWLKNSPSFRKEQKISLRLRRLQR* >Brasy1G565400.1.p pacid=40051895 transcript=Brasy1G565400.1 locus=Brasy1G565400 ID=Brasy1G565400.1.v1.1 annot-version=v1.1 MPIGRLLAAASARPLSTAAAATDSLRGYWWTWYLLSKTTVAAGPAPGPSVAFASPPTVSLLRVPEHLARHSGGLPMATSQDIRLSDQGGDRLRRFTGLADPGQLPGMTHYVFNPYNREISSCLPEIEGSKKTLAGFNLGLLTQADAGRPGPPDRYAVAEMEGHLMLRFLSETGEWEIVQGSPCQLPAGRRLLPDQEAVAWDVKLWWVDLTYGAIFADPFSDRPEPRFVQLPSGTVLPAGISVKAFHEAVRRGNDDWWRWQPHLYRRVGVSGGWLRSFKLDADCSRWTLEHSVALSRLWADGGHPWLPLLGAELGEMTPQIGALDLAQANVVYIKVGKHIVCVDMHREEITGHCPRGDGGCVLPCIITSWLPTTRIPGKKDVMKKNTLADVLVRSDRRQEK* >Brasy1G460600.1.p pacid=40051896 transcript=Brasy1G460600.1 locus=Brasy1G460600 ID=Brasy1G460600.1.v1.1 annot-version=v1.1 MAKRRGLWACPCVQAARAMDGTARSRTTGAQGSAPSDPARGKLGVRFASLIQRSFSEENPTFVGVRAHLSGHAPDPSPPLISPLLFPRLLRQAIFSEDAVSISDWISTARAQRVRQSVALNGEPPARGARRELPRAAAGFFCGD* >Brasy1G331900.1.p pacid=40051897 transcript=Brasy1G331900.1 locus=Brasy1G331900 ID=Brasy1G331900.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G437400.1.p pacid=40051898 transcript=Brasy1G437400.1 locus=Brasy1G437400 ID=Brasy1G437400.1.v1.1 annot-version=v1.1 MVPSLIPRAETPSARVGMSMPDEFVIFRGEGKIFNGPDGALVSPDCPFVVKRLAEPHLKSFEQVRRWIMKIFQLNDWTHELTLQHILYVRTNPLAPPSYVLIDITGDDSWRAFLNVALRHVGSFKLFVKWNVKRTSSVSKAINNNTTKLVDEDPAATDASDDESWPTCKHDKPCTIETSWDRQDPGRRFFRCPLFADSMEDCGFTQWLDKKFPENATKHMNCLGDNIDSLQQQVDNLKCELEELRRRLRKRSAAETVVSHEDKCLCGKIPCDLACRNQDEQIHPSQIRKMANGK* >Brasy1G437400.2.p pacid=40051899 transcript=Brasy1G437400.2 locus=Brasy1G437400 ID=Brasy1G437400.2.v1.1 annot-version=v1.1 MSMPDEFVIFRGEGKIFNGPDGALVSPDCPFVVKRLAEPHLKSFEQVRRWIMKIFQLNDWTHELTLQHILYVRTNPLAPPSYVLIDITGDDSWRAFLNVALRHVGSFKLFVKWNVKRTSSVSKAINNNTTKLVDEDPAATDASDDESWPTCKHDKPCTIETSWDRQDPGRRFFRCPLFADSMEDCGFTQWLDKKFPENATKHMNCLGDNIDSLQQQVDNLKCELEELRRRLRKRSAAETVVSHEDKCLCGKIPCDLACRNQDEQIHPSQIRKMANGK* >Brasy1G485900.1.p pacid=40051900 transcript=Brasy1G485900.1 locus=Brasy1G485900 ID=Brasy1G485900.1.v1.1 annot-version=v1.1 MASSGGKRRPRQIIFSWFVPLLLLVWSSSLSVSADNGGGGGNGTTHQFRSGDELRAYQRIVARMARTKKAAVKTIQSPDGDVIDCVPAHLQPAFDHPTLRGQKPEDEPVYRPKIAGGAAGEGDKEKEDTVFPQAWSEGGVEACPGGTVPIRRTTERDLLRHSAAGSLRRFAMKPPRARNVVRRDSTDDGHEHAVGYVTGDQFYGAKASLNVWPAKVASAAEFSLSQIWVISGTFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTSDAYQATGCYNLHCSGFVQTNRRIAIGAAISPASAYNGRQFDIALLIWKDPRRGHWWLQLGNSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPRGAHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLVPAAGIRLVADHPACYGVQGGYNRAWGNYFYYGGPGRNVRCP* >Brasy1G485900.2.p pacid=40051901 transcript=Brasy1G485900.2 locus=Brasy1G485900 ID=Brasy1G485900.2.v1.1 annot-version=v1.1 MASSGGKRRPRQIIFSWFVPLLLLVWSSSLSVSADNGGGGGNGTTHQFRSGDELRAYQRIVARMARTKKAAVKTIQSPDGDVIDCVPAHLQPAFDHPTLRGQKPEDEPVYRPKIAGGAAGEGDKEKEDTVFPQAWSEGGVEACPGGTVPIRRTTERDLLRHSAAGSLRRFAMKPPRARNVVRRDSTDDGHEASLNVWPAKVASAAEFSLSQIWVISGTFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTSDAYQATGCYNLHCSGFVQTNRRIAIGAAISPASAYNGRQFDIALLIWKDPRRGHWWLQLGNSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPRGAHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLVPAAGIRLVADHPACYGVQGGYNRAWGNYFYYGGPGRNVRCP* >Brasy1G455900.1.p pacid=40051902 transcript=Brasy1G455900.1 locus=Brasy1G455900 ID=Brasy1G455900.1.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPRYIAPEIINT* >Brasy1G455900.6.p pacid=40051903 transcript=Brasy1G455900.6 locus=Brasy1G455900 ID=Brasy1G455900.6.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPRYIAPEIINT* >Brasy1G455900.3.p pacid=40051904 transcript=Brasy1G455900.3 locus=Brasy1G455900 ID=Brasy1G455900.3.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPRYIAPEIINT* >Brasy1G455900.4.p pacid=40051905 transcript=Brasy1G455900.4 locus=Brasy1G455900 ID=Brasy1G455900.4.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPGMSR* >Brasy1G455900.7.p pacid=40051906 transcript=Brasy1G455900.7 locus=Brasy1G455900 ID=Brasy1G455900.7.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPGMSR* >Brasy1G455900.5.p pacid=40051907 transcript=Brasy1G455900.5 locus=Brasy1G455900 ID=Brasy1G455900.5.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPGMSR* >Brasy1G455900.2.p pacid=40051908 transcript=Brasy1G455900.2 locus=Brasy1G455900 ID=Brasy1G455900.2.v1.1 annot-version=v1.1 MDDDQAREVEALEAILSSDVSTEPIKLSYGLLKLITEKFSNEIGRGGFGVVYQGHLQDGMVAVKKISNAHDFSDEQFMAEIKCLESAYHKNIVRFLGYCANTQEEMMLFDGTNVMVGEPSRLLCFEYVPNGDIRQYLLQEKPRGDDWPVRYHMIRGICEGLKYLHDKDINHLDLKPENVMLDALMEPKITDFGLSRCLDQGKSMVTQPRLLGTPGMSR* >Brasy1G218700.1.p pacid=40051909 transcript=Brasy1G218700.1 locus=Brasy1G218700 ID=Brasy1G218700.1.v1.1 annot-version=v1.1 MSMQMDADIQPIGEQEEMDNLEKYASAIEVCGICRDIVIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKCEFQHITSTPVYDDTGASTEDEYPLTSGDDDWYSQGENSTLSFPSFYIDAEAVVCLDGGDCMIRSGLVAPENDLTLDTSIACDSCDLWYHAICVGFNPEMTSEDSWLCPRCVSTEVKNKSDVILKQNFSGDCVTDSDRTTADASFSERVSVSVADDGETALVVSMVGVNSGFSEGSLGSETGQAYSNSYPSYSKDDSSHDAVANAHILRDKDNSCGSPNKSSGINLVHMVSSEPTQRSLELSPIRESATTLFSSEHGNISNEQLEVPQDVSSYFLLHRSKEAGNTGEENAVPRNNNETFPVIKSRQHVSAASKTAISTDINMTNTDAVQQMKSDDDTQLPPMQGEHNTNDMESGNETGHPAKKAKLGVPDHEMHLITNSGVSSTDCHTTIAAKAVVSDTAKIVEQNEHVPDIMSIVEGEGYMRDPGRELAKPVGRRSGDKPGLRMKKIFHKEGKQSSVVVQKLQQEIRDVVRDNGVSILEKDNAFDEKLLTAFRAAIGKSMDGPAKRTNLSLSTRKSLLQKGKIRENLTKKLYGTSTGRRRSAWHRDWEVDFWKHRCSPGINPEKIETLQSVLQLLKKSSDTGMRKESAEEKKAFLSRLYLADASVVPRKDDIKPLSALEGFPLVDKNSQIKAKDSKSTSIPAPGTETSKTNSPNSTGKLSGSSTLNKEAQPPLNQQNQSAGDIKHDKRKWALEVLARKNASSLASKDKNEGTDDLNGNYPLLAKLPVDMRPQLTTDRHNKVPMSVRQAQLYRIAEHYLQKANLDVIRRCADTELATADAVNVEKDIYGKSSSKSVYVNLCSQATRQPAKAKSENNASTLGEKTELGSDSIMQQVRTENTNTSSSDVEEAISSACLLDLPVTTRKTEKGELGGVPEQNANEHVVSFNSVEEALKRAGLFDSPPNSPARKTTSTEYNPSTVSSFPIFSQQKFAGVKDTSLVNAGEHRHSPERKTTTGADTEPSKSLLSTSDSRMRDASTLKDDVDSSVQVDLADANSQNLYTGMSCEQPKSNSEEDQKLVHSNKTTDATENKTFSVNLTEDDRYSVQCKNTGGADQEIVVDTPDEVTRHVGDTKEMDIAASVVHNQSCHGNTLLKEGEVTSKPKKMQSTREKSSTDNHGLSSKHSKGDSLSAHPAARADNLKKDPGNNNTSDSSSSVYKKVEVFVKENIRPLCKSGVITTEQYRWAVAKTAEKVMKHHSEAKNANFLIKEGDKVKKLALQYVEAAQQKIN* >Brasy1G143400.1.p pacid=40051910 transcript=Brasy1G143400.1 locus=Brasy1G143400 ID=Brasy1G143400.1.v1.1 annot-version=v1.1 MMEYGRSCSQKRKPVLTLLVSLLACLLLLAPLVSSVPLSRSVSLGNHQASPSALEVPVQVQGVVTAAERSNLGEAAARMDIEVNDYPGSGANNRHDPPRSPGRG* >Brasy1G143400.2.p pacid=40051911 transcript=Brasy1G143400.2 locus=Brasy1G143400 ID=Brasy1G143400.2.v1.1 annot-version=v1.1 MMEYGRSCSQKRKPVLTLLVSLLACLLLLAPLVSSVPLSRSVSLGNHQASPSALEVPVQGVVTAAERSNLGEAAARMDIEVNDYPGSGANNRHDPPRSPGRG* >Brasy1G414300.1.p pacid=40051912 transcript=Brasy1G414300.1 locus=Brasy1G414300 ID=Brasy1G414300.1.v1.1 annot-version=v1.1 MDGTSWYHHVNDEPSSRGTRQQGRRPPANPGEARNLCSAIVNFQLQKLHVEGCRCFCCSCELQRRQRRAGRAHHGGRKGSMEIEFTDGRGRCRLHTLSYQTTIYISDQLDGVDPC* >Brasy1G183500.1.p pacid=40051913 transcript=Brasy1G183500.1 locus=Brasy1G183500 ID=Brasy1G183500.1.v1.1 annot-version=v1.1 MAPPSHFTNGAALNGTAPVAADKPQQCSNALDADDFRRQGHQVIDFIAEYYGGMGDYPVHPSVTPGFLRNVLPASAPSRPEPDAFSSALKDIRDHILPGMTHWQSPRHFAHFPASSSTVGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPETLLFAGGGGGTLLGTSCEAILCALVAARDRKLAEIGGRRIGDLVVYCSDQTHFAFRKAARIAGIQREHIREIQTCHANMFALSATDLEAAMQADVEAGLVPLFLCATVGTTQTTAVDPIGELCTVTAPHGVWVHVDAAYAGSALVCPEFRHVIDGVESVDSFSMNAHKWLLTNNDCCAMWVKKPSELIAALGTEQEYILKDSASEGHDIVDYKDWTMTLTRRFRALKMWLVLRCYGIDGLREHIRSHVRMAEAFENMVRADERFEVVTDRQFALVCFRLRSPEKYGGEKTANELNRSLLEEVNAVTLGPYMSSANVGGMYMLRCAVGSTLTEDCHVTDGWKVVQDRAASILRKMEIIYSVLG* >Brasy1G173100.1.p pacid=40051914 transcript=Brasy1G173100.1 locus=Brasy1G173100 ID=Brasy1G173100.1.v1.1 annot-version=v1.1 MVLAQLGGSITRALRQMSNATVIDEKVFGDCLNDITRALLQADVQFKMVCDLQSNIKRVVNLETLAAGTNKRGIIKQAVFNELCNMLDPGKPSFSPKKGNPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKENATKAKIPFYGSYMESDPVKIAVEGVERFKKENYDLIILDTSGRHKQEAALFEEMRQVSEATQPDLVIFVMDSSIGQTAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSLLVFLPFL* >Brasy1G173100.2.p pacid=40051915 transcript=Brasy1G173100.2 locus=Brasy1G173100 ID=Brasy1G173100.2.v1.1 annot-version=v1.1 MVLAQLGGSITRALRQMSNATVIDEKVFGDCLNDITRALLQADVQFKMVCDLQSNIKRVVNLETLAAGTNKRGIIKQAVFNELCNMLDPGKPSFSPKKGNPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKENATKAKIPFYGSYMESDPVKIAVEGVERFKKENYDLIILDTSGRHKQEAALFEEMRQVSEATQPDLVIFVMDSSIGQTAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSLC* >Brasy1G451300.1.p pacid=40051916 transcript=Brasy1G451300.1 locus=Brasy1G451300 ID=Brasy1G451300.1.v1.1 annot-version=v1.1 MSLSAAAGVGRLCRSASSVVAKAASGFHLLCIDGYSQTKNIPPEALLPQGFSIGGHTWHLDCYPTGLATTNPGSAVSVYLQLTTRNEKELLQARYSFSILDQAGAAAYELPAATGTFASVPLHHHHHHYHHALENADKHAVEDEGPGCGHEEFITREELERREGLVRDDALVLRCDVHGVTEIAAGWLAHDDLHGFGYGSDCTDDEEDEQYPAYGAPRHRRRRRHRRPDDGEYVKWCMAPRPAEPPRRRH* >Brasy1G183600.1.p pacid=40051917 transcript=Brasy1G183600.1 locus=Brasy1G183600 ID=Brasy1G183600.1.v1.1 annot-version=v1.1 MAPPSHCFDAPIAAAHNGNMLDSDDFRRQGHQVIDFIADYYGRMGDYPVHPSVTPGFLRNVLPADAPSRPEPDAFSSALKDIRDLILPGMTHWQSPRHFAHFPASSSTVGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLLFAGGGGGTLLGTSCEAILCALVAARDRKLAEIGGRRIGDLVVYCSDQTHFAFRKAARIAGIQRDHCREIQTYHGNMFALSATELEAAMQADVDAGLVPLFLCATVGTTQTTAVDPIGELCAVAAPHGVWVHVDAAYAGSALVCPEFRHVIDGVESVDSFSMNAHKWLLTNNDCCAMWVKKPSELIAALGTEQEYILKDSASEGHDVVDYKDWTMTLTRRFRALKMWLVLRCYGIDGLRDHIRSHVRMAEAFENMVKADERFEVVTDRQFALVCFRLRSPEKYGGEKTANELNRGLLEEVNAVSSGPYMSSANVGGMYMLRCAVGSTLTEEHHVSDAWKVVQDRAASILRKMEIIYSVLG* >Brasy1G007500.1.p pacid=40051918 transcript=Brasy1G007500.1 locus=Brasy1G007500 ID=Brasy1G007500.1.v1.1 annot-version=v1.1 MDRRLLRAATSGDSVSMKAMASQDPSILLGTTPSGNTCLHISSIHGHQEFCKDVITLEESLLSKYNLEQETPLVTAVTLGHVSLASFLLRRCCQLGLRQAILQQDRYGCNALHHAICNGHQDLALELIAAEPALSQGVNKCNESPMFVAAMRNFTNVADKLLENQFSAHAGQFGRNALHAAVRNGNSEIAKRIMEKRPGLAREAGKDTSTPMTLAMLFGSIHIVRVMLEQDSSLGYETSGLGVPLLESAAYRGQVDVAQELLKYCPDAPYRRADGWTCLHAAVWYDQAEFVEFIVTKPQLRNVVNMQDSKGKTALHYAVQKCNPKIVVALLSHKDINATVIDNNAGTAAWELLGIKSHAKTLNWNEVCMLMLKADPRDAASIYNLHEEAKLQAINASRNDAKSLTETYTSNTSLVATLIATITFAAAFTLPGGYSNDAGSEGLPTMSKKFAFQAFLISDVLAMCSSFAVAFICIIARWEDYEFLIYYRSFTKQLMWFAYVATTTAFSTGLYTVMAPRLHWLAIAICIVVALLPILTKLLGEWPVLKLRFRLGKTYKSDLLEMV* >Brasy1G227100.1.p pacid=40051919 transcript=Brasy1G227100.1 locus=Brasy1G227100 ID=Brasy1G227100.1.v1.1 annot-version=v1.1 MPKPTFVLAITLLIVLFNASAGQNIVVEIDNAVSSELIKGHSRKILTEIQDYDYGGANSRHDPRRRPGNGGRNG* >Brasy1G121600.1.p pacid=40051920 transcript=Brasy1G121600.1 locus=Brasy1G121600 ID=Brasy1G121600.1.v1.1 annot-version=v1.1 MMMGVLAFENNQGLWNGGYYSQFFGIGGVMVTVAILWLSTGYFGGFGAPFAPYFWPYLGQLPKKKERQRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPDAPYEITEEFMNTLFSKYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIRRTEGVSSTDIVGRILLTFKHNESVNENAVLESCDQMKTQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQVIRERRGCRPIMHLHERTLSVLACRYVDEVIIGSPREVSRDMITTFNISLVVHGTVAEGSSVDEVDPYALPKSMGIFQIITSPKAITSVSVATRIIDNHEAYKKRNLKKKASEDKYYTQKKFVFGD* >Brasy1G374700.1.p pacid=40051921 transcript=Brasy1G374700.1 locus=Brasy1G374700 ID=Brasy1G374700.1.v1.1 annot-version=v1.1 MPAAKESKNGTHLRSSGPNRPTTQQVNCPILLLSKENTHSVRLNSSLPPACRTPAPPPLPPSGPPPRRQQRGAVVLAGPRLPETEDQERPMVWKKHAFPGGASGGGPCNAVVDGPATAAAVPARRRAAHPHVPGVRRRRPCAQSFPVRYWRLRN* >Brasy1G348800.1.p pacid=40051922 transcript=Brasy1G348800.1 locus=Brasy1G348800 ID=Brasy1G348800.1.v1.1 annot-version=v1.1 MALLGCLFFLLLLTHNAAAAPHHGPAAHDYRDALTKSILFFEGQRSGKLPPSQRVSWRRDSGLSDGSSIKVDLTGGYYDAGDNVKFGFPLAFSATMLAWSVLEFGGMMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDASKDHACWERPEDMDTPRTVYKVDPSTPGSDVAAETAAALAAASLVFRKSDPAYSSRLVARAKRVFEFADKHRGTYSTKLSAYVCPYYCSYSGYQDELLWGAAWLHRATKSPTYLSYIKANGQLLGADEQDNTFGWDNKHAGARILLSKAFLVQKVGALQEYKGHADSFICSMVPGTPTDQTQYTKGGLLFKLSDSNMQYVTSSSFLLLTYAKYLVSAKKTVSCGGAVVTPQRLRAIARRQVNYLLGSNPMGMSYMVGYGAKYPRKLHHRASSLPSVAAHPGKIGCSQGFTGLYSGVANPNVHVGAVVGGPNQNDQFPDQRNDYEHSEPATYINAPLVGALAYLAHSSGQL* >Brasy1G066800.1.p pacid=40051923 transcript=Brasy1G066800.1 locus=Brasy1G066800 ID=Brasy1G066800.1.v1.1 annot-version=v1.1 MEDWRKTTVGFLVLALVAASSYEVLSVENNCFWKYSNDRCVNNEGCRNACIADGADDGQCRNRAKRLFYGANCECYPKECNKG* >Brasy1G369400.1.p pacid=40051924 transcript=Brasy1G369400.1 locus=Brasy1G369400 ID=Brasy1G369400.1.v1.1 annot-version=v1.1 MGVGMSSGRRRGIGGGGGRGASSGMLPLLALQVLLEYGRTGASRPPVTAALIAANALVYLRPGSLDAVLPPLSRVAFNPHLIIQYGDLTRFFLSAFYHLSETHFFYNMTSLLWKGIQLETSVGSVEFASMVAALLALSQGITLLLSKGLLLFGNETAYYDQYAVGFSGVLFGMKIVLNAWSDDYVFLHGMVIPAKYAAWAELLLIQAFIPGTSFLGHLGGILAGLAYLWLKRSYSGPDPLTLLISGITSVVSWPVKFAQGLLRPGHRRGSRVGRRASSRESGRGMWRCSACTYDNLRSADICEMCNTEREDEGRAFPHRQHPQAWGNSEPSVDEIRRRRLQRFDR* >Brasy1G083700.1.p pacid=40051925 transcript=Brasy1G083700.1 locus=Brasy1G083700 ID=Brasy1G083700.1.v1.1 annot-version=v1.1 MDKINNAKGFRKNLKLAKRIMQYDFSFKKRRRKGNAADSYERTYVQMPPAPRTGSTTRDNAEDDGGMGNLGYPSWSCPCQSGHGAGGLADLKHNAPAPTPGVLFVRTRIHYACGTYLRACVRPSVQIGAPVLSSLGRRRRFSMGRNFKTGGGIAGLLDLAFWKITATPVVIYP* >Brasy1G221500.1.p pacid=40051926 transcript=Brasy1G221500.1 locus=Brasy1G221500 ID=Brasy1G221500.1.v1.1 annot-version=v1.1 MGKSPAKWIKSVLLGKKSAKSNSTKAKDLPKAANGNNYPAGKEAAFPDNSPVISDPVLVSSHNNGTVSNLTNGRAVENMVQIEPDTPISPEKLREELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALVRGRNVRLSSPDLPFVKLGQHKLGSAKSSNAWKEKLSSNAYVRKLLSSPVLAQALRFQYDERDPNSAYNWFERWTISCIWKAVSQPKRVSDGRPQGRKASYAMETKSAKLKRNVRKSSAATVETQANMTLEPEKPKRNPRKFSSSPADSVPDSQLSELEKVKRNLKKATNSMAEASKISNSVAEASKIPNPKAHTSKVSSSVADVPKVSNSMVEASKMPSLVNGISDHQDDQCEKALQSAFDASFPPETQDSHSGNLLDNSNVDTLARDTEHDLETPFSPALIGEKVNEPNIVAQADEVMLLQNIAKKDGKKEQTRDKEEPLSNGNLRTSKRRSSFSNLGYPESGTKTTPVSARQPSYMAATESLKAKLRGSPILDSDSPVDKSSFTRRQSLPSAANNRGIKVEWRR* >Brasy1G215900.1.p pacid=40051927 transcript=Brasy1G215900.1 locus=Brasy1G215900 ID=Brasy1G215900.1.v1.1 annot-version=v1.1 MLGLVGISLAKTKTLHILKDVSGVIRPSRMTLLLGPPSSGKTTLLLALAGKLDTTLKASGEVTYNGYGLDEFVPQKTAAYISQNDVHAGEMTVKETLDFSARCQGVGQRYVLLQELTKKERQLGILPDPEVDLFMKATSVEGGTLQTDYILRILGLDMCADVMVGDEMRTGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQVVRCIQQIVHLGEATVLASLLQPAPEIFDLFDDVMLLSEGQIVYQGPREHVLEFFEKCGFRCPERKGAADFLQEVTSKKDQEQYWIENEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALVFSKQSVPTLELLKTSFSKEWLLMKRNSFIYVFKIVQGIIVALVASTVFLRTRLHQDNEEDGQVYLGALIFVMISNMFNGFAEATLTLARLPVFYKHRDFLFYRPWKFTLPNVLLKVPMSLFESIIWVVITYYLIGFAPEASRFFKHLITVFLIQQAAGGLFRVVAGLCRNVVITNTAGSLVLLIMFVLGGFILPRDAIPKWLLWGYWCSPLTYAYIALAANEMHSPRWMDQSLADGRPLGVAVLQNSGVFTDKEWYWIATGALLGFTVLFNVLFTVSLMYLNPIGKPQAILPEETDKSPENIREWKKETHITQRTTVPTPESASPDSIITLDKVIEQLRGRSPNTSGRSYMKAARNGPGKGMVLPFEPLSMSFSEINYYVDMPAEMKNQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEVYISGYPKNQATFARMSGYCEQNDIHSPQITVKESLLFSAFLRLPKDVTDQEKKVFVDEVMELIELNGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKVVEYFQEIPGVPKIKEKCNPATWMLDVSSAAAEVRLKIDFAENYKSSTMYQRNRALVKELSKPPPGTSDLYFPTQYSQSSFGQFKFCLWKQWWTYWRSPDYNLVRMFFAVLTGLLLGLLFWRVGTKMTSSADILVIVGSMYAAVMFVGCENCITVQPVVAVERTVFYREQAAGMYSAIPYALAQVVVEIPYVFVEAVLYTLIVYPMMSFQWTLVKFFWFFYVSFFTFLYFTYYGMMTVSISPNGQVASIFAAAFYSFFNLFSGFFVARSKIPNWWIWYYWLCPVAWTVYGLVVSQYGDVEDFIKVPGQPDQQVGPFIKSYFGYDQDFMGIVAAVLAGFTVFFAFLYAYCIKTFNFQHR* >Brasy1G215900.2.p pacid=40051928 transcript=Brasy1G215900.2 locus=Brasy1G215900 ID=Brasy1G215900.2.v1.1 annot-version=v1.1 MCADVMVGDEMRTGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQVVRCIQQIVHLGEATVLASLLQPAPEIFDLFDDVMLLSEGQIVYQGPREHVLEFFEKCGFRCPERKGAADFLQEVTSKKDQEQYWIENEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALVFSKQSVPTLELLKTSFSKEWLLMKRNSFIYVFKIVQGIIVALVASTVFLRTRLHQDNEEDGQVYLGALIFVMISNMFNGFAEATLTLARLPVFYKHRDFLFYRPWKFTLPNVLLKVPMSLFESIIWVVITYYLIGFAPEASRFFKHLITVFLIQQAAGGLFRVVAGLCRNVVITNTAGSLVLLIMFVLGGFILPRDAIPKWLLWGYWCSPLTYAYIALAANEMHSPRWMDQSLADGRPLGVAVLQNSGVFTDKEWYWIATGALLGFTVLFNVLFTVSLMYLNPIGKPQAILPEETDKSPENIREWKKETHITQRTTVPTPESASPDSIITLDKVIEQLRGRSPNTSGRSYMKAARNGPGKGMVLPFEPLSMSFSEINYYVDMPAEMKNQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEVYISGYPKNQATFARMSGYCEQNDIHSPQITVKESLLFSAFLRLPKDVTDQEKKVFVDEVMELIELNGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKVVEYFQEIPGVPKIKEKCNPATWMLDVSSAAAEVRLKIDFAENYKSSTMYQRNRALVKELSKPPPGTSDLYFPTQYSQSSFGQFKFCLWKQWWTYWRSPDYNLVRMFFAVLTGLLLGLLFWRVGTKMTSSADILVIVGSMYAAVMFVGCENCITVQPVVAVERTVFYREQAAGMYSAIPYALAQVVVEIPYVFVEAVLYTLIVYPMMSFQWTLVKFFWFFYVSFFTFLYFTYYGMMTVSISPNGQVASIFAAAFYSFFNLFSGFFVARSKIPNWWIWYYWLCPVAWTVYGLVVSQYGDVEDFIKVPGQPDQQVGPFIKSYFGYDQDFMGIVAAVLAGFTVFFAFLYAYCIKTFNFQHR* >Brasy1G349100.1.p pacid=40051929 transcript=Brasy1G349100.1 locus=Brasy1G349100 ID=Brasy1G349100.1.v1.1 annot-version=v1.1 MPPLHEKPIAPLLILLALTLAAGAAADSDVPFYPSAEAAAAAHCEGTLYPELCVSTLAAVPDLHKKPLPDVICATVNRTEVEVADMASNCSSFLQQGKSLPPRDRLAISDCIELLGTTMDELQATTSDLQQQQPSSGSAIAMDHVMTVLSGAITNQHTCLSGFTYHGPRNGGQVSLARPYMEPGIRHISRMVSNTLAMAKKMRSTKPSVQRVQRQPFTGYGQMVKGFPGWVRPGDRRLLQAAAAGITANAVVAKDGSGGYTTVSAAVAAAPANSKSRYVIYIKAGAYLENVEVGKNQKNLMFIGDGIGKTVIKASRNVVDGYTTFRSATVAVVGNNFIARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDVYGTVDFVFGNSAAVLQGCNLYARRPLAGQSNAYTAQGRTDPNQNTGISVHKCKVAAASDLAAVQSSFRTYLGRPWQQYSRTVFMESQMDSVVNPAGWLEWNGNFALDTLYYGEYQNTGAGAATANRVKWKGYRVITSASEASTFTVGSFIDGDVWLPGTSIPFSTGL* >Brasy1G323500.1.p pacid=40051930 transcript=Brasy1G323500.1 locus=Brasy1G323500 ID=Brasy1G323500.1.v1.1 annot-version=v1.1 MAPSPAFLLVAVVTLAATLAAVAAEHRDEYAGDYAEASCDDPPSPSSSSTTAAAFRADLLALLAALPAAAAPTGFASLRRSNAFARGLCYGASPPALQGSSCAACLSGAARKAAGCAGSGSRRGGVWSPGCSLAFAFADTNASSPNEASYRACLFVFSPSASDADPRSPAFYDDARALSALALSLAPQAASSSSGPMLATAATDMAATQSKQKRIKVHAVAQCARDRAAADCGECLGESARELPRCWGREAGRSEGVAAVSVVGYNCYLRLEMYAPAPPFSKILWTFVKNNAVVFILLAVIVGTVPLAGVACVLGRRFFGGAHAAAGRSKG* >Brasy1G090700.1.p pacid=40051931 transcript=Brasy1G090700.1 locus=Brasy1G090700 ID=Brasy1G090700.1.v1.1 annot-version=v1.1 MADDTKFLLCLLHLISSSLCFGSELDIQCLKTVKQSVIDPFGILKSSWKFDNSTIGYICRFTGVVCWHPDENRIISLRLGNLGLEGPFPQGLEDCSSMTGLDLSSNNFSGPIPFDISRQMPYLTSLDLSYNSFSGEIPSNITNMTYLNLLNLQHNQLSGQIPKQFDTPFRLSTFDVAHNLLSGPIPASLRMFASSNFAGNHGLCGLPLDGCRLAVPP* >Brasy1G003200.1.p pacid=40051932 transcript=Brasy1G003200.1 locus=Brasy1G003200 ID=Brasy1G003200.1.v1.1 annot-version=v1.1 MVSAAAPLVGPPVAVVDTTMADRKAPKQKQPPSKRVQQPSSSDDDSSDSDSDYGSRLGRAPLSYASSGDPCVDFFFQVVPGATAAADVASLLSVAWASDARTALRLVCHLRGVRGLGKSEREGFYAAALWMHANHPLTLAANLDTFANFGCLKDLLEIVYRVLHGPRDERDEADDAPRFTRGGSKRRRGVGGGARTATARARREKETQHAQVVISRYGSDDKFRFLYDRVAQMFADMLKSDLEHLRAGDKGKIGLAAKWCPSLRSSYDRSTLLCEAIARRVFPRDSSPEYLGAPDAHYAYRVRNRLRGEVLVPLRKVLELPEVYMSAGKWDEVPYARVASKAMRQYKDAFEKRDKSGVDGFFDEVRAGLAKIPADAVLPHEIVAAALKGEHDESAELQWRRMVSSLASEGRLTNCIAMCALSSSVEKPPASAAIALGLLISELSQEPWKGRVITFDATHQLHKVVGASLVDKLRPLAAVRAQKGANLQAVFNKILNVAVAGGLSKDMMVKRVFVLSDMEFDGWKGGEAWVSEHDAIRNKFEAEGFAVPEVVFWNVGTSKASVPVVAAQKGVALVSGYSKNLARLFLEADGVLTPSAIMADAISGHEYDALEVLD* >Brasy1G275800.1.p pacid=40051933 transcript=Brasy1G275800.1 locus=Brasy1G275800 ID=Brasy1G275800.1.v1.1 annot-version=v1.1 MRVDNTAAVPYDVFNSNVDYKLADVDYTKGICLSDEETTNLKDHIVEVGPGAAAFLVHGLS* >Brasy1G143000.1.p pacid=40051934 transcript=Brasy1G143000.1 locus=Brasy1G143000 ID=Brasy1G143000.1.v1.1 annot-version=v1.1 MAKSGGSSSSGSFDGANKRPLQDSVGGYAQEHAKKKTRVGVRTDYTYAPYHDGFQWRKYGQKMIRGNIFPRCYYRCTYHQDHGCPASKHVEQSNSEDPPLFRVIYTNDHTCSGASEHGYMASSMQIQQIADASLRKPATETTEITPAPLARRGRAAAYAAAIKQEKEAIVSSLLTVIRGCNGDVVKSEAVHENYTAGACMLSPAVAGGGGHEGSGSSLVSPVALPTADDLGLDFMVEPVDSRWFEPLDLGWFIESTHPI* >Brasy1G060900.1.p pacid=40051935 transcript=Brasy1G060900.1 locus=Brasy1G060900 ID=Brasy1G060900.1.v1.1 annot-version=v1.1 MQSSEYYVDEFPVWALCLLLLLGSTDSLTACSLSDMDNRKGGYVNHLLKGLAVMYIIVSVVYVNVHSGASYLQVPLWAIFFAIVLQSYTRLTSMRMASKAHLLCQNVKLIADYMKHEHNPETMKGYRYVVGGGGRQKEKERKKEKESSNAARPGTLTRTGYRKEDYEKATTVEQIWRCDGSLLRPGSKRGQWLKDLCLSMALSKMLNRRFAGFELAEATLAFEKTHDFVFRGLLDGEKALHERAFRAIEEELAFVHDLYYTRYPYLYRKGRVFALGLPVTMVTLCSWLTYELYGHFKLLQKTKTAPNPSGTTCLHTTLVLMIGVTFLEGFQLYLHMASGWFKVALIRSYVVTSRKVPAPREEGLFYQKIIGLVLSLKALRPWERKIGRSTCLHCVTLCLLDKAKKGHKGEKRVSLPDQVKQAVIASLVESNGHLKNGVRSLQKNDVLEKFSWACKQDTDGGTTATRTILIWHIATTFCKHQLSQDTQLSELSLVATGLSDYCAYLVAFEPNLLPDHSADSALILRETIAEARSSSLRGAEKMQGKCDELMSLVKDADAHADADAVADADASLVLLGGRLARQLMVDIKEPALRWRVLSEFWAEMMLYVAPCEDAQARAHLEALARGGEFITHLWALLTHAGVLKREQDPKGVLKRERDPMTVV* >Brasy1G523700.1.p pacid=40051936 transcript=Brasy1G523700.1 locus=Brasy1G523700 ID=Brasy1G523700.1.v1.1 annot-version=v1.1 MNGYSNLASSSPPAMASTGGGGGRARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSHRLCRSGEKVRAGHLPGALRRAFSMRRHPAAPGKGDGYYWRIHDMDGGSDGDDNACEERDEKEEEDQGKKNEEEGDVKEEAQGRTKKKRGILKACKKLFWL* >Brasy1G082800.1.p pacid=40051937 transcript=Brasy1G082800.1 locus=Brasy1G082800 ID=Brasy1G082800.1.v1.1 annot-version=v1.1 MRTRAGCARRARSRRPRWFWLSRRSRRRPRAPHAVAPLDLAASAMGLAPGARAAGRAGAQGLRGELCRRSRSRAAAACRTAAASCTTARAPPPALRVVRPRAAPARAAPPTPPNATTSRAATSCTSYSAAAENCVAASCTNSSAAASLADARCRRCFTPASPAEMAWRCDGVERDS* >Brasy1G146500.1.p pacid=40051938 transcript=Brasy1G146500.1 locus=Brasy1G146500 ID=Brasy1G146500.1.v1.1 annot-version=v1.1 MLNLEIDKRQVERRWCRRRLLRGGGEPSGGGGGEGGGRRGGKGEGGGRRGGRWEAGRRGGRGEAGAGGEGGGRRGRRRQRWEVAAAVGRERKGKSSARGRARERKSF* >Brasy1G427700.1.p pacid=40051939 transcript=Brasy1G427700.1 locus=Brasy1G427700 ID=Brasy1G427700.1.v1.1 annot-version=v1.1 MAPTKPAAKTAVQNADGDAADIPLHVTEKVLRRISPLESARFLTVCKSWASTIFSRLARPIPHLFALQASAAQAGEEEPRRRGAIFTLPIDGSKEELNPVMPARLPVMPSHAHANHMTLSGALPSGRVSFALPSNRVVLVNPVTGAAQSVEVHGTSLSGAPPKVRAVAGAEAFLVSEFGDEGVSIRWRRDGEQQWRARKLPIPQKFVFSSDAFDLVAYADHAFYAMEYFGFTFVASTRATPPHMARLNVPSILEQYAPICGPGFRFLQSCYMLVSQGEVLFVGPVLAADKAIGGFEVFRLDVNVEGARWVKVERLAGDRALFVSEQSSFSVRASETPGCRSNCIYFVTDLGDKHSNGNCTWGVYSMEEDKVLFQRSVGSPGKYIAARWFLPRVGPGTSPAQSGATSSAGQKRKIPDA* >Brasy1G119500.1.p pacid=40051940 transcript=Brasy1G119500.1 locus=Brasy1G119500 ID=Brasy1G119500.1.v1.1 annot-version=v1.1 MKVVRPRIVSCPVISERGKKLDDENLLSHAITSGGWWRCQCGASRLYSPSGHRITRVRHEVAVATDRQTAPDRSPTQPSSHWTHLHSRVWAGLAFSASKHTVDRHDNNTTCCFVFLHWFFIQSFSFSACIHHVRMSF* >Brasy1G188400.1.p pacid=40051941 transcript=Brasy1G188400.1 locus=Brasy1G188400 ID=Brasy1G188400.1.v1.1 annot-version=v1.1 MELADAVRDEAAFAMRVLRQLACSVGKGSGANLAVSPLSLHAALALLGAGARGATLDQIIAFLGPAGGLAHATLASHVALSVLSESPGDDGAPTVRFANGLWVDGATPLKLHYARVVAEHYRAQARPASFNGAPEEARHQINEWFESVTAGRIKGLLPPGSVNSATLAVLGNALYFKGAWCSKFDPRFTRDDAFYLHTGGHVRAPFMSGSKEQQCIACRPGYKVLKLPYARGRGQRPFAFSMYIYLPDERHGLESLLHKLGSHPELLEDSMTLMATVPVGALKVPKFTVSCKTNATELLKDLGLRLPFDPVAADFSEMLESAPLFVSAVYHQSFVEVNEEGTEAAAATAVVGAFGAAAVCTPVQVVDFVADHPFMFLIKEDLSGVVVFAGQVINPLLS* >Brasy1G089800.1.p pacid=40051942 transcript=Brasy1G089800.1 locus=Brasy1G089800 ID=Brasy1G089800.1.v1.1 annot-version=v1.1 MNSNLRDSLLPPGMGSGDDITQTPPAGTSPSLLDAGENSLASTGSSSPPPAAGLPGRGQISPTSQENGIRVLGSGTSPPSFLSSAFGVWPRSFGRRRRRTLQLPVSAPRRSCFDGFHEFIQECRRKDPRV* >Brasy1G540400.1.p pacid=40051943 transcript=Brasy1G540400.1 locus=Brasy1G540400 ID=Brasy1G540400.1.v1.1 annot-version=v1.1 MFTYPLDNAFSHNFKTDTNSEEDRKIGYSEPQSQLKPMGPDQPLQQRGDEQFVWPWKGILVNVPTELNSGRHVGGSGYLLREQLSEFCPKKVIPLWDRKRGHTGSAIVVFKNDWSGFKNALDFENHFKAQGCGERFWKEKYKGSEIFGWVARANDFRSHGLIGAHLRKHGDLKTIAEIKNDEEHKSRKLEASFVSQVEVMGKHVQDVECKNNETAELLGRLEADMEKLHWSHDEEIRKIQQRARRDKQKIIDRIKSSV* >Brasy1G083300.1.p pacid=40051944 transcript=Brasy1G083300.1 locus=Brasy1G083300 ID=Brasy1G083300.1.v1.1 annot-version=v1.1 MDGVEESKGTRGDAEHGRTSSKINGRRTSWGCVFLLVNNLFQYTAYFGVSTDLVNYLKVELHDGSKAAANSVTNWQGAGSITPLVAAFLADSFLGRFWTITLFLLISVAGYGVVTASAWAASSSTSSTAPFYAGLYLVALGGALQPVMSSFGADQFDASASPSERARQSSFFNWFYLSVTAGSLVGGTLLVWVQASHGWRLGYGIPALLSALAVALFLAGAPAYRRHQPPGGSPLTRVAQVVVAAARNWDAQVPQDDAAGKLYECDGEDGMSVIQGSRRLAHTDQFRFLDKAAVKSSSSSSSSPSSDNKAAAPSPWRLCTVTQVEELKCVLRLLPVWACGIIFAAAYTQMTTTFILQGDTLDPYLFGRRRGFRVPAAMLSVFDTLSVMLWVPLYDRVLVPVARRLTRSNTTGFSPLARMGVGYVILTLAMLCAGALEVARRRAISRHGAPGAGEGSYLPLSIFWQVPQYAVVGAAEVFTFIGQMEFFYDQAPDAMRSLCSGLSNAAFAMGNYASSALVAVVVRATARGGNPGWIPDDINVGGHLDYFFWLMAMLCAGNFGAYLLVARSYTYKKTVDCKFSDEPGRD* >Brasy1G435600.1.p pacid=40051945 transcript=Brasy1G435600.1 locus=Brasy1G435600 ID=Brasy1G435600.1.v1.1 annot-version=v1.1 MDVDSVECLSLPDAAMDADDVGLAIHTHGALLAASRAAACPKGAAGVAPGSSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKIKHEAQCGFRPYNCPYAGSECSVTGDIPYLVDHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLLIQRNMALFFSGGDRKELKLRITGRIWKEQQTQDGACVPVLCS* >Brasy1G250000.1.p pacid=40051946 transcript=Brasy1G250000.1 locus=Brasy1G250000 ID=Brasy1G250000.1.v1.1 annot-version=v1.1 MPPKRYAAPRTLAGDPAPKKPKEKERPLGMSNADWAADVTRRNVENASRRERERRAKERNADLARQMEAQRAAASAGSPVPRPPSTQHWSGRGSQGSSSSSPSPVSPHMPHDHQGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGGFNPHTFASPPLRRGPLSYGGSSPSASFQQFPDGCSQPAPNPFGGMSQGDSIMADMINDEEEEPYTAEDTEEREEWADGAEEPAVAAPKGKKKGAAEKKKSGGGGRGPKWTAKEDECLAEAWKVVSLDPFTGANQSGDTYWRRVKTAYDERRVIDRESASVTHDRNESGLSHRWQMIQQACNKWHGIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDGVEFKFIHVFARIETCDKWTETRAGLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAVKRDELAAKREEVAASRWATVIKKQDDKLEILKVKAWYDGQRRIILAEARAPASAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >Brasy1G581200.1.p pacid=40051947 transcript=Brasy1G581200.1 locus=Brasy1G581200 ID=Brasy1G581200.1.v1.1 annot-version=v1.1 MTPRGAAVHNPTTPISESTPAPSPPPYKSSAGSGTEAPADPVGPSDDIPGNPMGARGMLMPRAAVVHNTPMAPDHVRVQVDSVLPVYAKELVPFPPEEDAQTLGLCLGTYIQWPRRLVTLVTRPSPSPSSQQGPSPPASARRPTTPSPLGLNPNMPACLEDASSPGGYDYEPTVDCSDDNAPPNAPPPNPSPPHRTESQRSKPAIPRPTSGTEIYGRRAGVCVRQAGPRDLQATRDCRRVFEMQAQ* >Brasy1G120900.1.p pacid=40051948 transcript=Brasy1G120900.1 locus=Brasy1G120900 ID=Brasy1G120900.1.v1.1 annot-version=v1.1 MMAEAWTDVLLPPASYFSAQPCSWFAQADRRGASAWTAEENKVFEEALAAIDLGAPDGWEMVALMLPEKTVAQVVSHFRALENDVGFIEAGLVPFPRYLGDHDASAPSSGGFTLDWDGGGGFRHGYYLRRGGRADKERKKGVAWTEEEHRLFLKGLKKYGRGDWRNISRSYVTSRTPTQVASHAQKYFNRLSSSGGGKDDKRRASIHDITIVNLPDDDHGHGSPSPSALTTASDGQFGALVDVKPSSLSPPSLGRHRHFLPPGTISSHRPYGSVKLEQQSSFMADSTGLDLLQMQCGQHRSCHPAAAKTTSSIPGLERDSTCRDGAKTRACVRACLPCLGNSLFAELVVVYPDDGTTYAQHLPSESDTALSVLLRSP* >Brasy1G368300.1.p pacid=40051949 transcript=Brasy1G368300.1 locus=Brasy1G368300 ID=Brasy1G368300.1.v1.1 annot-version=v1.1 MATATMATATATAAPPLRLRARARPRGGHARCAVASNATEAPAAPGARLSADCVIVGGGISGLCTAQALATKYGVGDVLVTEARARPGGNITTVERPDEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMRAAFGKVWRLEEIGGSIIGGTIKAIQDRGKNPKPPRDPRLPSPKGQTVASFRKGLAMLPNAIASRLGSKVKLSWKLTSITKLDNQGYLLAYETLEGVVSVQAKSVIMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKTDSDLVEAVDRDLRKMLINPRAADPLALGVRVWPQAIPQFLIGHLDRLGAAKDALGRGGYDGLFLGGNYVAGVALGRCIEGAYESASQVSDFLTKYAYK* >Brasy1G183800.1.p pacid=40051950 transcript=Brasy1G183800.1 locus=Brasy1G183800 ID=Brasy1G183800.1.v1.1 annot-version=v1.1 MDKAAATSTTRLPVRTTTSNDDNGEPPALELGEPVSPSARLVEDFYIIVLIGVSTPVNHPALRAGIEAQLARYPHFRSIQVTGKDGVLRWARTTANVEDHLIYPRLDAAAVAADPDMAVEDYVASLSTVPMDWSRPLWEFHLFDFPTSEATATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPLPARRGAIYQRPRPPASAGCLPFAAWVWSFFVLAWHTVVDVAGFFATILFLKDPHTLFKRVVGHGGVNRKRIVNRSLSLDDIKFVKNAMNCTVNDVLVGVTYAALSRYYFRKSGDTDTDKEIRVRSMLLVNLRPTTSLHACVNMIESGKGSDVKWENKLGFIILPFFIGMHSDPLDYVRKAKKVVDRKKSSLEVVFTHVAAEIILKLFGLKAAGAIFHRMISHTTISFSNMTGPVEQVEFCGHPVLFIAPSGYGPPEALTVNYQSYVKTVKVNLAADEAQFPDCHELLDDFAESLEHIKDAASSLGKH* >Brasy1G183800.2.p pacid=40051951 transcript=Brasy1G183800.2 locus=Brasy1G183800 ID=Brasy1G183800.2.v1.1 annot-version=v1.1 MDKAAATSTTRLPVRTTTSNDDNGEPPALELGEPVSPSARLVEDFYIIVLIGVSTPVNHPALRAGIEAQLARYPHFRSIQVTGKDGVLRWARTTANVEDHLIYPRLDAAAVAADPDMAVEDYVASLSTVPMDWSRPLWEFHLFDFPTSEATATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPLPARRGAIYQRPRPPASAGCLPFAAWVWSFFVLAWHTVVDVAGFFATILFLKDPHTLFKRVVGHGGVNRKRIVNRSLSLDDIKFVKNAMNCTVNDVLVGVTYAALSRYYFRKSGDTDTDKEIRVRSMLLVNLRPTTSLHACVNMIESGKGSDVKWENKLGFIILPFFIGMHSDPLDYVRKAKKVVDRKKSSLEVVFTHVAAEIILKLFGLKAAGAIFHRMISHTTISFSNMTGPVEQVEFCGHPVLFIAPSGYGPPEVCSNAGLAGQPVQEPVEVSSGGTEFKFLRKLQESS* >Brasy1G133700.1.p pacid=40051952 transcript=Brasy1G133700.1 locus=Brasy1G133700 ID=Brasy1G133700.1.v1.1 annot-version=v1.1 MPSSESRPAFVYTSKRLDHPVPASAVRHWAPDPVVRSGRPDHARAYIQITPEMSLEANRTGYAFITPDPAEIADKKAFIRSAIHAAAPDLQFELLATTPMVAEMCLRFATAEDRAAAIAKQPFELDGFKVEVVREGEQRNCYRVPMPNYLAHIDLLNYPKEERTKKDIRSNLSGIGHVVEIDPACFDAPDLSPVRVVVRLDRPGGIPRELRIRYVGWYGSGSVCPMEVARSIIPIEVVEVWDYSLSISASGRYMPLFEPAAAGAP* >Brasy1G195300.1.p pacid=40051953 transcript=Brasy1G195300.1 locus=Brasy1G195300 ID=Brasy1G195300.1.v1.1 annot-version=v1.1 MAQLPPKIPMPAHHHWPGGVVDAPHHHHQHAHGAVAWVDEFAEFAAARRGAHRRSLSDSVAFVEVMAPGGAGDFDRLDDDQLMSMFPDEGHGGGGSATAPGSENGTSSDSDGVKHGKEERQYEDDEQNNNEANPEEEPAPGRATPTSSTETIRDPKRVKRILANRQSAQRSRVRKLQYISELERCVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALKEEIERLRQVYQQQNLRMSSAPASDHAHGGPPPVRAEKELIS* >Brasy1G189000.1.p pacid=40051954 transcript=Brasy1G189000.1 locus=Brasy1G189000 ID=Brasy1G189000.1.v1.1 annot-version=v1.1 MRLIKAEELFRKVLEGGPKKQQNRLLGLDVGSKYVGLAVSDHQNRIALPLSVLGRTKTNITLMADDFKTLVKKYSLAGLVVGYPFNLQGQCSPDAIQVSLLVGELCKTGKLDDLSYTYWDENFTSKCVEALLNPLKLHDPVETKTMTDKFAAVCILQGSVDGPPPPIDFFIGVKIFRSFS* >Brasy1G189000.2.p pacid=40051955 transcript=Brasy1G189000.2 locus=Brasy1G189000 ID=Brasy1G189000.2.v1.1 annot-version=v1.1 MRLIKAEELFRKVLEGGPKKQQNRLLGLDVGSKYVGLAVSDHQNRIALPLSVLGRTKTNITLMADDFKTLVKKYSLAGLVVGYPFNLQGQCSPDAIQVSLLVGELCKTGKLDDLSYTYWDENFTSKCVEALLNPLKLHDPVETKTMTDKFAAVCILQGSVDGPPPPIDFFIGVKIFRSFS* >Brasy1G189000.3.p pacid=40051956 transcript=Brasy1G189000.3 locus=Brasy1G189000 ID=Brasy1G189000.3.v1.1 annot-version=v1.1 MRLIKAEELFRKVLEGGPKKQQNRLLGLDVGSKYVGLAVSDHQNRIALPLSVLGRTKTNITLMADDFKTLVKKYSLAGLVVGYPFNLQGQCSPDAIQVSLLVGELCKTGKLDDLSYTYWDENFTSKCVEALLNPLKLHDPVETKTMTDKFAAVCILQGYLDNMNRASRSTDNCKD* >Brasy1G189000.4.p pacid=40051957 transcript=Brasy1G189000.4 locus=Brasy1G189000 ID=Brasy1G189000.4.v1.1 annot-version=v1.1 MRLIKAEELFRKVLEGGPKKQQNRLLGLDVGSKYVGLAVSDHQNRIALPLSVLGRTKTNITLMADDFKTLVKKYSLAGLVVGYPFNLQGQCSPDAIQVSLLVGELCKTGKLDDLSYTYWDENFTSKCVEALLNPLKLHDPVETKTMTDKFAAVCILQGYLDNMNRASRSTDNCKD* >Brasy1G237900.1.p pacid=40051958 transcript=Brasy1G237900.1 locus=Brasy1G237900 ID=Brasy1G237900.1.v1.1 annot-version=v1.1 MVKGRPPQGATTDGTDPLLPRFLGESLQKRSPRPHPRRRHAHLDSGGGSSRRRGYGGEGRRLRVWQGGAETEENPSRNGHRDRILAGGTPILTAEAAVLGGADMAGRGGDCEYGREGRRQRRIAAQRWYGGRGRAGLAGEGGAAWVQQEEEGGERRVARGGGDWERGKRSKSRGEDYPERVLPHGPENFRSTLSLSTGPTQSVARATGKKRGMDPKREANRPP* >Brasy1G214600.1.p pacid=40051959 transcript=Brasy1G214600.1 locus=Brasy1G214600 ID=Brasy1G214600.1.v1.1 annot-version=v1.1 MSTQQNAALSAKCAAWAQERRPFTHPIEIPAASGAREDRRREEEEEDNGDVVPPHELMARRRAAFSVCSGQGRTLKGRDLTRQKKMLRQEQEEEEEEFQEADILWPDAVQDLELELAKMYYSHDDGDDKDDDDGEYSGEHRTSKPHNGQKASSPIDIPGPGKPSRATGAKAQTLAGFSKFSASRDGAGGSSLTIGSHVFMPPHVILDRRAKRDTAILMVVEVPKGRRVRAMVNW* >Brasy1G578600.1.p pacid=40051960 transcript=Brasy1G578600.1 locus=Brasy1G578600 ID=Brasy1G578600.1.v1.1 annot-version=v1.1 MAARYRYSAQATRTQWPSHAEQVSRTSFPAGQGRSQTKREERGREGMDGEQRTAGKRGRTEERGKGRKGREGKAMPTPPHTQRPTAGAHKTLGPAAERSRHAGNSGGEDKRSRANSDGPVEAPGNTHSPTARSHQLRGPREVKPNHASKTKAEGRTEGAGANRKDRQKEQAQAISTHPSITAQRRPLHPSTEVPANAHSPTARPQQQRGPRAEEQHYAGTREQKTQRRSRPKSTPHRSEDRENQSPC* >Brasy1G507800.1.p pacid=40051961 transcript=Brasy1G507800.1 locus=Brasy1G507800 ID=Brasy1G507800.1.v1.1 annot-version=v1.1 MASAVAAVSSAVEKLQAAAKDAANSSSSSSSSRSAFSDQVIVSRASGRVVSLSTCTKVGAISFVVGIAVGFTLKRRLRRWAARLLKRIKDDN* >Brasy1G072000.1.p pacid=40051962 transcript=Brasy1G072000.1 locus=Brasy1G072000 ID=Brasy1G072000.1.v1.1 annot-version=v1.1 MSNRSSAIAEAGAPAAMPPPLRTVHLRRSGTEPAAAAIAVEGGEGVDLARVGRALGLDPATVRLNGYYLSRVYGGYVSMAVKWRALLDFFDARGLPAGAHPAAPVAVQGTPLPPSPEPRDRRSAKRKSGLETGNCSKRLQDNSLTLSKSGEDLLSDDITLGLKRRLRLDETTPSKKIKQQECSSETQQPVKFSCSFINGHRKRPRDEEMAASLSCKRVR* >Brasy1G549700.1.p pacid=40051963 transcript=Brasy1G549700.1 locus=Brasy1G549700 ID=Brasy1G549700.1.v1.1 annot-version=v1.1 MAAAAPPSPSLETEDRSGGERARDCCCRTCASTHSASARSRRSSALPMCPISSSQTTLLWSSEIGKGAQ* >Brasy1G550300.1.p pacid=40051964 transcript=Brasy1G550300.1 locus=Brasy1G550300 ID=Brasy1G550300.1.v1.1 annot-version=v1.1 MAAVSTSWALHLLPLLLLFATSEATTVNITNRCSFTVWPAAVPIGGGMQLDPGKSWTLQVPNDGPGRIWPRTGCSFDGKGNGLCQTGDCDGLLSCTGYGQPPTTLAEFTIGSLNSKDFFDISLVDGFNVPMDFLPMPTKGVTGCSKGPRCAANIRSRCPSELQTPGGCNSACTVFKQDKYCCTGTNSSNCESTNYSIIFKQMCPDAFSYPLDSSGTFACPTGTSYQVIFCPLINQTIAPAAPSPLPISPVERAPLSPSPLPVPGGPTRMNLKSSSVKRVVGILASIGSFILLTITRRRQERNEEEDFGELQGTPMRFTFQQLEVATQQFTGKIGGGGFGSVFKGKFGEEKIAVKRLDQAGQGKREFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPNGSLDRWIYRRHDNNATPLDWGTRCKIITHIAKGLSYLHEECTKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIMSGRKNLDTSRSEESIHLISLLEEKVKSDRLVDLIDNNSNEMQAQKQDVIQMMKLAMWCLQIDCKRRPKMSEVVKVLEGTMNADSNIDHNFVATNQANFGIAGNMYQAPGEMRRY* >Brasy1G400700.1.p pacid=40051965 transcript=Brasy1G400700.1 locus=Brasy1G400700 ID=Brasy1G400700.1.v1.1 annot-version=v1.1 MEGSGSGTGRKKLKHRLAAILSVFSRRSGSSRKRRDEEAPPPPLALPSYARLAGGGKKAASGGVGHHDRRLSVSERRTVPLIRITIDCAGRRSVDAADPALLAPLDADNYARKKLGLPYETSDWDGRKCPPSSPFPVAPHLPPMPPRWKERPSSGRPSTHSSRMLLSSSSSDDEYDEESSSRRNIFSSDSSDFYNCPRNCKSNTTKPRASVSGPCRAPPAAAGAGARRGASQSCRYSFELPRGSTASAATDGGFAVVKRSADPYEDFRKSMEEMIAEWPAGGHGHGHGEEEEHSAEGLLETYLVLNSPRHYPAILAAFADVRETLCP* >Brasy1G107500.1.p pacid=40051966 transcript=Brasy1G107500.1 locus=Brasy1G107500 ID=Brasy1G107500.1.v1.1 annot-version=v1.1 MLQLQPPRFLPLPRCRLAGRRRRRAPPPALAFHSQWKLPDVDTDAVQERVRSWLSQARGAVVDAAQAARDRGKHKEEAEGRTKQRKEEVEEQAIFMVPEITVERRVGRGWLSLDAVVAIEQFARLNGLTGRKLQWIFKALAHEHVHSDARSLVEYCCFRYLSRDNSSFHPSLREVAFQRLIFVTMLAWEDPYDEDNDPHSSLENYSVLGRLVGEDAFVRIAPAVAGVADVSTAHHLFRALVGTEKGLTLDLWTTYLGELLKVHHGRQTHKIGDNFLSDEQVLCIGSSRKRPVLKWEQNTAWPGHLTLTNKALYFEAIGLAGMKKPLRLDLTDHNTRVQKAKVGPFGSRLFDSAVSVSSGSMSNEWTLEFVDFGGEMRRDVWLAFINEIISVYKFIREYGPSDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLHEDPAKLVQFSYLSGAPFGDVVLQTLAVKFWSGKLNANSKVANQRSLQRHKHSEGSSSGQSYVLDIDGSVYLGKWMTSPSWASSHSVSFWRGSSVKHGVILNKSLVVADKSLVEKAMVACQEKSKVVEKTHATIVAATIEGIPSNIDLFKELLLPFAIMAEKFNKLKRWENPRSTVCFLLLVYTIIFRNMISYIFPFTLMAMSLSMLALKGLKEQGRLGRSFGQVTIRDQPPSNTIQKIVALKEAMASVENYLQHLNVSLLKMRTIILAGQPEVTTQVALVLLASSAVLLVFPFKYVLAVFTLDLFTRELEFRRETVAAFRRLVKERWESIHATPVTVLPYEGGENGNRTLPARATGQTESKGVQDQSSDTYVNSSSTGIRTS* >Brasy1G107500.2.p pacid=40051967 transcript=Brasy1G107500.2 locus=Brasy1G107500 ID=Brasy1G107500.2.v1.1 annot-version=v1.1 MLQLQPPRFLPLPRCRLAGRRRRRAPPPALAFHSQWKLPDVDTDAVQERVRSWLSQARGAVVDAAQAARDRGKHKEEAEGRTKQRKEEVEEQAIFMVPEITVERRVGRGWLSLDAVVAIEQFARLNGLTGRKLQWIFKALAHEHVHSDARSLVEYCCFRYLSRDNSSFHPSLREVAFQRLIFVTMLAWEDPYDEDNDPHSSLENYSVLGRLVGEDAFVRIAPAVAGVADVSTAHHLFRALVGTEKGLTLDLWTTYLGELLKVHHGRQTHKIGDNFLSDEQVLCIGSSRKRPVLKWEQNTAWPGHLTLTNKALYFEAIGLAGMKKPLRLDLTDHNTRVQKAKVGPFGSRLFDSAVSVSSGSMSNEWTLEFVDFGGEMRRDVWLAFINEIISVYKFIREYGPSDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLHEDPAKLVQFSYLSGAPFGDVVLQTLAVKFWSGKLNANSKVANQRSLQRHKHSEGSSSGQSYVLDIDGSVYLGKWMTSPSWASSHSVSFWRGSSVKHGVILNKSLVVADKSLVEKAMVACQEKSKVVEKTHATIVAATIEGTSTSLCNNG* >Brasy1G382200.1.p pacid=40051968 transcript=Brasy1G382200.1 locus=Brasy1G382200 ID=Brasy1G382200.1.v1.1 annot-version=v1.1 MPAQAPTIPWHPDLLGPAPAALAPSEFPSSLAPLRSGRPPLSPQPPPATTTHKERVKLHKGTSKN* >Brasy1G241900.1.p pacid=40051969 transcript=Brasy1G241900.1 locus=Brasy1G241900 ID=Brasy1G241900.1.v1.1 annot-version=v1.1 MPRRRKKKPKREPEPEKASSKESPSPSVYLVVGHGVTSPAYSVIKVNPFAVDAGGHCGTPTPIPPHLARLEAKHCMSFVPVRSIHGPWIVGVGGNRAPDYAPETVVFDTKTGAVIPGPKPLSTKLYPVLLPLGDKIYALASTPAVIGDVNFVPWFEVLDLSQAHVGEDGRLLGCQWEDLPRPPFFPWDLDPREYISPPEFTIKSHVAVSGSYILVSITEEMCMHKTSITEEQTGTHMFDVAKNQWVKLDDKDLPFIGGAIPLGPLLFLGLSSATRRITAYKITVCSSAPSLSILEFPISTGCDDAKGEEELLSTRRFVSLTSIPELMWKDHTRELVTMTTYTTESQDCCVKSTRSLVISKQWKQVYLVSDPLRLTSPCLENIISF* >Brasy1G051200.1.p pacid=40051970 transcript=Brasy1G051200.1 locus=Brasy1G051200 ID=Brasy1G051200.1.v1.1 annot-version=v1.1 MDAEAGAAGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLSRYQDEQTVFGVLSLIFWTFTLVPLLKYVIIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYRDGFAAQHGSTPWLRRFLEKHKTIKTVLLLVVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLQDRSVVLLSCIVLVGLFSLQHRGTQKVAFMFAPIVIIWLFCIGGIGLYNIVHWNPRIYQALSPYYIVKFFQTTGTDGWIALGGILLSMTGSEAMFADLGHFTSASVRLAFITIIYPCLTLQYMGQAAFLSKNMFHMRTSFYDSIPGPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDTTLIGNAYGIACMTVMLVTTFLMALIIIFVWQKNIIFALLFLFFFGSIETVYLSSSLMKVHQGGWVPLVLAFIFMSVMFIWHFGTRRKYQFDLQNKVSMRSILSLGPNLGIVRVPGIGLIYTELVTGVPAIFTHFVTNLPAFHEVLVFLCVKSVPVPYVPPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDENFENMLVMSIAKFIMMEAEDVSSSASYDVANEGRMAVIRTTDDAGTPLGMRDLSGLAESISTTRSSKSESLRSLQSSYEQESPSVSRRRHVRFELPDEDNMDQQVKDELLALVEAKHAGVAYIMGHSYIKARRSSSFMKKFAIDVGYSFLRKNCRGPSVSLHIPHISLIEVGMIYYV* >Brasy1G051200.2.p pacid=40051971 transcript=Brasy1G051200.2 locus=Brasy1G051200 ID=Brasy1G051200.2.v1.1 annot-version=v1.1 MDAEAGAAGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLSRYQDEQTVFGVLSLIFWTFTLVPLLKYVIIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYRDGFAAQHGSTPWLRRFLEKHKTIKTVLLLVVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLQDRSEAMFADLGHFTSASVRLAFITIIYPCLTLQYMGQAAFLSKNMFHMRTSFYDSIPGPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDTTLIGNAYGIACMTVMLVTTFLMALIIIFVWQKNIIFALLFLFFFGSIETVYLSSSLMKVHQGGWVPLVLAFIFMSVMFIWHFGTRRKYQFDLQNKVSMRSILSLGPNLGIVRVPGIGLIYTELVTGVPAIFTHFVTNLPAFHEVLVFLCVKSVPVPYVPPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDENFENMLVMSIAKFIMMEAEDVSSSASYDVANEGRMAVIRTTDDAGTPLGMRDLSGLAESISTTRSSKSESLRSLQSSYEQESPSVSRRRHVRFELPDEDNMDQQVKDELLALVEAKHAGVAYIMGHSYIKARRSSSFMKKFAIDVGYSFLRKNCRGPSVSLHIPHISLIEVGMIYYV* >Brasy1G051200.3.p pacid=40051972 transcript=Brasy1G051200.3 locus=Brasy1G051200 ID=Brasy1G051200.3.v1.1 annot-version=v1.1 MVIGDGVLTPAISVLSSMSGLQVRATGLQDRSVVLLSCIVLVGLFSLQHRGTQKVAFMFAPIVIIWLFCIGGIGLYNIVHWNPRIYQALSPYYIVKFFQTTGTDGWIALGGILLSMTGSEAMFADLGHFTSASVRLAFITIIYPCLTLQYMGQAAFLSKNMFHMRTSFYDSIPGPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDTTLIGNAYGIACMTVMLVTTFLMALIIIFVWQKNIIFALLFLFFFGSIETVYLSSSLMKVHQGGWVPLVLAFIFMSVMFIWHFGTRRKYQFDLQNKVSMRSILSLGPNLGIVRVPGIGLIYTELVTGVPAIFTHFVTNLPAFHEVLVFLCVKSVPVPYVPPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDENFENMLVMSIAKFIMMEAEDVSSSASYDVANEGRMAVIRTTDDAGTPLGMRDLSGLAESISTTRSSKSESLRSLQSSYEQESPSVSRRRHVRFELPDEDNMDQQVKDELLALVEAKHAGVAYIMGHSYIKARRSSSFMKKFAIDVGYSFLRKNCRGPSVSLHIPHISLIEVGMIYYV* >Brasy1G226300.1.p pacid=40051973 transcript=Brasy1G226300.1 locus=Brasy1G226300 ID=Brasy1G226300.1.v1.1 annot-version=v1.1 MGEGLEEATGFEVSIVVPKLSRAAAAEGGDDCVARLVRELEDAGLLVERVRGVPAEFIKLSAPMGTLGRAAAKMQMKKLTYIGMDLQFEWDQVAAFVRQPDGSLFSWRERFTCFHYLIYGIVNKTNSEISLKFDGKEFDWKRNESLLRRLEDEEVVKLVFPLHDEVKRKLLLRNWALNWHDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVSGLATQLIDFGSLQWLVLPSFFIFIISWAVFFLQFWKRKNSALLARWGINYSFSEYKASCNELDPLRHSLSVQGVEEKKFDDAPDEKRRLQRNEWSGVLLRIRNNAIIVLGIICLQLPFELAYAHLYEKTETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEESSADSLIYKVFGLYFMQSYIGLFYHASLYRDILTLRQVLIQRLVVSQVLENLIENSIPYLKYSYKKYRAVHKKKEEKESPSGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLIVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVRANRVRYVAQAQTVCSKQLLRSITKLDSKWE* >Brasy1G226300.2.p pacid=40051974 transcript=Brasy1G226300.2 locus=Brasy1G226300 ID=Brasy1G226300.2.v1.1 annot-version=v1.1 MGTLGRAAAKMQMKKLTYIGMDLQFEWDQVAAFVRQPDGSLFSWRERFTCFHYLIYGIVNKTNSEISLKFDGKEFDWKRNESLLRRLEDEEVVKLVFPLHDEVKRKLLLRNWALNWHDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVSGLATQLIDFGSLQWLVLPSFFIFIISWAVFFLQFWKRKNSALLARWGINYSFSEYKASCNELDPLRHSLSVQGVEEKKFDDAPDEKRRLQRNEWSGVLLRIRNNAIIVLGIICLQLPFELAYAHLYEKTETEALRYVLTALYLVAIQYYTRIGGKVSVILIKYENNQGEESSADSLIYKVFGLYFMQSYIGLFYHASLYRDILTLRQVLIQRLVVSQVLENLIENSIPYLKYSYKKYRAVHKKKEEKESPSGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLIVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVRANRVRYVAQAQTVCSKQLLRSITKLDSKWE* >Brasy1G013000.1.p pacid=40051975 transcript=Brasy1G013000.1 locus=Brasy1G013000 ID=Brasy1G013000.1.v1.1 annot-version=v1.1 MSGGGGVGGGGSSRSDVAGGSSREGPPVVGTPRALTELPTLAQYLSLDPLPVGDHRHSRAVELRRALGVSVDAEQAFLLAQQSKAAAAGPPVAPDDLKRIRGAITDSSAKAKDKAKALQESIQKMDKYRNVVARRRRQSDGGSSGSGSGSLRMGAQNSGDNPVQRLEERAKSATTSKRVRSSLTADARLEGRVNVPTRQGPSVDTEKNPPLEKEKSSVRNVNSTSGFSEDKLRGLSPASEGWEKKLKRKRSVGVMLNRGSDVDRDAKPSVQHRSNSEVRGRSSDALPFRHGASAGASGGSKMDGSSQQSNSGSRYLPKTDIDSVPTERRERHAGLDKERVLTKGNKAHTSEDMQPGTLGPVTKGKACRAPRTSSLVGIHSSSTLQRSAGGMDDWEETPSANKASPLGSTTNRKRPMAASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEPLSEGSPLDVAVRPALEAPGLLLPRGAASNNSQAASRMDNVTSPAGLSESEGSVATEHRNKEKVTNSGDFENEGTNSAHVATDLIFSSKKSRIPLKEELEDGSIRRQGRSGRGSMHVKGCSSISKEKLDSTETRKLVKSVRPVSDKIESKLGRPPTKKGSDRKASSRHPEILNCGLMDSTGESEDDREELLAAANAARGAIVGAYAGPFWKKIEPMLTFISSEDSFFLKNQITYLEELEKGMSNMHDEDKLTVSTNYNGSSSMGEHSSQVLPPSNSCVLPDQIEANGIGPRESVDILSYNGENHSIASQKAHGQGIFGQMTHLTNRLLSALIVEDVDDISECNGVQGDILLEFSNDFLPCAPNVNLQNEFEDSAMKSSFGIFPEFKHSSNNLGCSTISNGITASSNLKGSYSQNSVSSEKISDGINVMVYPENGSLHGSMPHISQQYQNPAKDLSLPLYGYQYAQMSLHDRTLVELHSIDICPEMPELDEGEDEDINKVILELQKRLFEQVNQKKCQLHKLEKAIRNTKNMEERSLEQHAMNKLVERAYKKLLGGRGSSSHKGGLNKAASKVAKQLALAFAKRTLARCQKFEETEKSCFREPFLWSVLSAPLPKSDAVDGGHPGSAERPKAVKLDRSPLSQGSTKLKKGDRERDQGRDSAAKNSGSKSGRNSSGSGRNERKTKMKPKQKLAQLSTSGNVLGRVTEPSSSFPSPSPRGSQEWTNPLSVKHTQQARNSGTANAAQEPLDAPLTNLPSMDPMVDIMDVPEGNDISAWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM* >Brasy1G269200.1.p pacid=40051976 transcript=Brasy1G269200.1 locus=Brasy1G269200 ID=Brasy1G269200.1.v1.1 annot-version=v1.1 MQALEQPTMASELRALAWTALLVPVCTVYARSACRRLRPGRARLAALFPTFPVFIYLPCLFNSLHLRLLSTFFHTWLATNKLILLALDLGPLHPSLPLLPFLLCAGLPIKLRAAQQPTKTKTSSHSAPPVAELLVPCARSFLFLTCLTALHPHTGPLPLYVLHYLYCAQIFLTLDLVFSSAGLVAATLLGGAGMERQFRAPLVVASVNDFWGRQWNLMAVDLLRASAYGPVRARWGRDAGVLAAFLMSGVLHECLYWYMTLQRPTGEMLLFFALHAVSHIAERWARVAGLWRPPKAVAYLVGTAFMIVTISELFFGPFVRAGIDVRMMQEAAAAVESLRAVAKHLIVRPFAGAVSR* >Brasy1G498900.1.p pacid=40051977 transcript=Brasy1G498900.1 locus=Brasy1G498900 ID=Brasy1G498900.1.v1.1 annot-version=v1.1 MRFTDDNPDPRGYRHREAMQILSIKIASIRGGLRWPLEVFGMVAARDVLDHGLCSNIIFARARNNCQTITEEHPYLELTGPTRAVVVDHDPGELEVVLNRDLSFLAVTLRTSMHWSFHKDYTSKLSTLQLNFRHIRNSVEATIGVCLVGRASWPDGFQGVFTASTANIDDLEVSLLAFGADELPIVADDGTIKLSRRVVSVQRSNEELTVSIMARCEKDGQGTKKDYVAFMPKNAGRSVGMLNVGVCKMQVTVAWSIF* >Brasy1G211400.1.p pacid=40051978 transcript=Brasy1G211400.1 locus=Brasy1G211400 ID=Brasy1G211400.1.v1.1 annot-version=v1.1 MQNTVVLYTWMVRGHLHPMTQFADHLAGDGVPVTVAVADVPSTGSSDETIARLSASYPSVSFQLLPPATARSADTADPDADPFITLIADLRATNPALLTFLRSLPSVKALVADFFCAYGLDPAAELGVPAYLYFTLCASALATFLHIPVMRSAVSFGDMGRSLLHFPGVHPIPATDLPEVLHDRDNKQYNTILGLFDQLPRVTGILSNTFEWLEARSVKAIKDGTPRPGESLPRLFCVGPLVGEERGGSERHECLSWLDKQAARSVIFLCFGSASSVPAEQLKEIAVGLEKSGHSFLWAMRAPVAPDADSTKRFEGRGEAALEPLLPEGFLDRTRGRGMVVSSWAPQVEVLRHSATGAFVTHCGWNSTMEAVTEGVPMVCWPMYAEQRMNKVFVVEDMKLGVVMDGYDEGLVKAEEVEAKVRLIMASETGKEIRMRMALAKEMAADALQIGGSSTEALLDFLRSLKFTTQD* >Brasy1G282500.1.p pacid=40051979 transcript=Brasy1G282500.1 locus=Brasy1G282500 ID=Brasy1G282500.1.v1.1 annot-version=v1.1 MDIFYQCKEILKIQKFRRLVSYAAFYSFTTLVTYAYTSNTTRAGISRADQYYASYPSGTELLTDTAKLYKAALGNCFEIDDWGPIEFSVMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG* >Brasy1G135000.1.p pacid=40051980 transcript=Brasy1G135000.1 locus=Brasy1G135000 ID=Brasy1G135000.1.v1.1 annot-version=v1.1 MADCMQEWPEPVVRVQAVAESGLAAIPCRYVKPPRDRPAATPSPSDDDIAIPVIDLGALLAADEEGRGAMSEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRLPIPAKQQYANLPRTYEGYGSRVGVQKGGPLDWGDYYFLHLAPDAAKSPDKYWPTNPGICKEVSEEYGREVIGLCERLMKVMSASLGLEEARFQEAFGGADCGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRRGGVDGDDWVTVQPVQDAFIVNVGDQIQIMSNSMYKSVEHRVIVNATEERISLALFYNPRGDIPIAPAPELVTRDRPSLYPRPMTFDEYRVYIRKNGPKGKAQLEGLKAAQAAPAENELN* >Brasy1G135000.2.p pacid=40051981 transcript=Brasy1G135000.2 locus=Brasy1G135000 ID=Brasy1G135000.2.v1.1 annot-version=v1.1 MADCMQEWPEPVVRVQAVAESGLAAIPCRYVKPPRDRPAATPSPSDDDIAIPVIDLGALLAADEEGRGAMSEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRLPIPAKQQYANLPRTYEGYGSRVGVQKGGPLDWGDYYFLHLAPDAAKSPDKYWPTNPGICKEVSEEYGREVIGLCERLMKVMSASLGLEEARFQEAFGGADCGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRRGGVDGDDWVTVQPVQDAFIVNVGDQIQIMSNSMYKSVEHRVIVNATEERISLALFYNPRGDIPIAPAPELVTRDRPSLYPRPMTFDEYRVYIRKNGPKGKAQLEGLKAAQAAPAENELN* >Brasy1G135000.3.p pacid=40051982 transcript=Brasy1G135000.3 locus=Brasy1G135000 ID=Brasy1G135000.3.v1.1 annot-version=v1.1 MADCMQEWPEPVVRVQAVAESGLAAIPCRYVKPPRDRPAATPSPSDDDIAIPVIDLGALLAADEEGRGAMSEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRLPIPAKQQYANLPRTYEGYGSRVGVQKGGPLDWGDYYFLHLAPDAAKSPDKYWPTNPGICKEVSEEYGREVIGLCERLMKVMSASLGLEEARFQEAFGGADCGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRRGGVDGDDWVTVQPVQDAFIVNVGDQIQIETIGRISLLRSADN* >Brasy1G377800.1.p pacid=40051983 transcript=Brasy1G377800.1 locus=Brasy1G377800 ID=Brasy1G377800.1.v1.1 annot-version=v1.1 MCVESNDRTVEKKSTEKNTLSKIVRRLRKRQNRKKKGKRLPPPTRRLLSGSPCLLTSPIVAAGSPTPASPFPNARSAASSPLRPPPPPPDTPLLSSLRPPRRILSSALCTISARRLAAAALLPSPPLVPSTPPPPPTPSAATSSLPPPSPLVRYGAELAVRGACSGGSGGSYLPLRFVVDSGSDWSCIWWMLHRQELKCYIRYTRSKL* >Brasy1G498000.1.p pacid=40051984 transcript=Brasy1G498000.1 locus=Brasy1G498000 ID=Brasy1G498000.1.v1.1 annot-version=v1.1 MEEDDDDQRLLHSLGVTSANIDDIERKILSEATTDPKNDTESSVPTDGNQETLQGDPQAKLHQKLRSVQLEIDAVASTIGGAKTTAGKKSRGSDSADAEDKKKGKRKENADGIAQDAPHGGALQQALAAERLRSLKRAKAQIQRDILQSESGPSSSGNQTDKMLAMLVEEEPRRKKKSLMPPRGPKVKSPRRLKTVTYNDDNDFDAVLDGASAGLMETEREELIRKGLLTPFHKLKGFEKRVERPGPSGGQHNSAEQTEETMEASSIAKVAQAMQKMAQNRPTTKLLDAESLPRLDAPTAPFQRLGMPLKRPASPSSDKQANKRQKSKTKRPLPGKQWRKANSRKESLFDDEDVGDTAASASENEDEVIEGSDGLPPVILEGGLRIPGSIYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHESGMYKSSIVVCPVTLLEQWRREASKWYPKFKVEILHDSANSSSKRAKRSSDSESDFCSDSDQEEVTRAKPAKKWDALISRVVNSGSGLLLTTYEQLRIMREKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEIEFSVPIKVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQDPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFAQTQQMLDILENFLTACDYPYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDDDMNGSTETSNIFGQLSEDVHIGAPNDEQRSELSSALPTSTEAECSSGRGKGKVDPNSDQADEESNILKSLFEAQGIHSAINHDAIMSANDDQKVREEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRAGAAGAPSSVRRKFGSTLNSQLVSSSQPSGSPNSKVQNLQVGALNGKALSSAELLAKIRGTREGAASDALEHQLSTGPASNQISGPSANGRVTNSSGRNNMIVQPEVLIRQLCTFIQQNGGSASSTSLTEHFKNRIQPKDMLVFKNLLKEIATLQRGTSGATWVLKPDYE* >Brasy1G174600.1.p pacid=40051985 transcript=Brasy1G174600.1 locus=Brasy1G174600 ID=Brasy1G174600.1.v1.1 annot-version=v1.1 VSQVKLNRLCNDTLVTVVNGQLPGPAIEVTEGDSVAVHVINNSPHGLTIHWHGVKQQLNCWADGVGMITQCPIQPNNNFTYRFHVTGQEGTLWWHAHVGSLRVTIHGALIIRPRSWPSSYPFPMPDKEIPVIIGEWWEMDLVQLDRRFRNGLLSGLPRAATINGHPGDLYNCSGVIIKDNNHLNVEHGKTYLLRIVNAALNSEYYLKIAGHKFMVVAADANYVKPYTTDVIAIAPGETVDALLVADVPPGKYYMVAKSCPPPRPATLIPAIVSRGIVQYDEDPSKEEDAPVPDTTDPTIVPEMPDQHDANTSFYFYGNLTSLQPHPVPTEVDEQLFYALDGGFFCREGALSFCNNETNRMGMINNVSFQLPAALTPLLQAHYHDNLSSSIGMLLEMPDRAPRMFYYNETIEPTSKATLVRRLRYNETVEIGIGKYDEEKDVKKYNLVDPPVKNTILVPIFGWAAIRFVTKNPGVWFLHCHYGHHLSSGMATTLIVENGPTLDTTLPPPPRDLPICQSYDSRLAYE* >Brasy1G088800.1.p pacid=40051986 transcript=Brasy1G088800.1 locus=Brasy1G088800 ID=Brasy1G088800.1.v1.1 annot-version=v1.1 MPRPLPVRALDPRRPRPGGKGIPVINPDIRGPFEHRARYKYSLCTEKGRAQLEHPPRRGLVSFSGEPPAMGSSRCRGQLQLGFRFLALSSLLLLASGEVIFEERFEDGWETRWVKSDWKRSEGKAGTFKHTAGKYSGDPDDKGIQTTIDARHFAISAKIPEFSNKGRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKYSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDRLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVDAKKPKDWDDREYIEDPDEVKPEGYDSIPREIPDPKDKKPDTWDDDDDGIWKPRRIPNPAYKGQWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPDYAKKVAEETWGANKEAEKEAFEEAEKERKAREDKEAQQAREEGERRRRDRGDRHRGRDNYKDRYKRRNRDHWDDYHDEL* >Brasy1G126800.1.p pacid=40051987 transcript=Brasy1G126800.1 locus=Brasy1G126800 ID=Brasy1G126800.1.v1.1 annot-version=v1.1 MERLVAATSKLCSEMEALDELEAAERKLATRGWSRLSGPIPAKLAPDPPPCASDPLGAESLRQEIKTQLLKVRRLKEESLWSQSYEKAVGLMARAACAVFARICTVFGQYVPGLPPAPTPSSSATTSDRVQTRIAKLLNPRTGKAKASSGPMLARPSRVHPPVPLSSSCPIIGTIRPYSSGSSGQKPGGTDWRKLLDAPPSTVGGAGLDQQYANVIASAEQLLQMEADGRQEEANAERAEMYEMLPGKLRAAVRSKLRDWWRRDAGPLDDGLAEGWKEAVGRIMAWLGPMARDTVQWQAERNMDRTRRFDGGTRVYALQTLRWADKDKAEAAIVEVLVALSCVCWYEERRRGSVRL* >Brasy1G119100.1.p pacid=40051988 transcript=Brasy1G119100.1 locus=Brasy1G119100 ID=Brasy1G119100.1.v1.1 annot-version=v1.1 MVCSSSPSKYYLDLEIPEVQQFRSNLHHPKIPIVHLPSQQESTATDPTQESQSISKRAQELQSSWRTIKQLKDLDPFELQENARFLCRASIVDINCKNGWCYLGCLDCRQSIRGSSSKSCCSQCSPVNKKRKKPVLLYKLDAEVLDATGKMNLMIFDDEAQRLIGVPAEDLENTDYTRADAVSCILGWTRVFQVAIDNRSLSFVVKWVLDDDDLELLQHSGSTQMTGRCGGPLPKEEGSSSVSSCSSHLMEEKMVIFKGKNKVKTENEAVQAE* >Brasy1G209800.1.p pacid=40051989 transcript=Brasy1G209800.1 locus=Brasy1G209800 ID=Brasy1G209800.1.v1.1 annot-version=v1.1 MGGYGYGGEADPFLPPQPGSSAYVAPPGDHGQPQMQPGPRPPGCPYSSNASAPPASTSYHSMPLAASPPPVSSPPPASPPPEPLPSPPPALPPSLPPPALSPPPPDAPPPSTPPSPSPSPPSIEAQAPPPPMAADQPRVQPRVYPSPPPPSLPPPPPATVSPPSPPPSPTPSPSPAPAAQAPSPAPVAPYRVPPPPRIVSPPPPHHHNKPHYAPPRSPARHSNSTHAPSGVGKNIEISRETATTIVAIAGLAMLSFIGATIWFVKKKRRRTEPPSALPTQQPAPPPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRSSTGSHGFPYSPADSGIGYSRMLFTPENLSAISNDFAEENLLGEGGFGCVYKGILPDGRPVAIKKLKIGNGQGEREFRAEVDTISRVHHRHLVSLVGYCTAEGQRMLVYDFVPNNTLYYHLHVNEVALDWQTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVSTRVMGTFGYLAPEYALSGKLTAKSDLYSFGVVLLELITGRKPVDSSQPLGDESLVEWARPFLMQAIEHRDFGDLPDPRMEQKFDENEMYHMIGAAAACIRHSAVMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPRTEEIRLFQLREFGSRECSDELSQASWRSRRDL* >Brasy1G209800.2.p pacid=40051990 transcript=Brasy1G209800.2 locus=Brasy1G209800 ID=Brasy1G209800.2.v1.1 annot-version=v1.1 MGGYGYGGEADPFLPPQPGSSAYVAPPGDHGQPQMQPGPRPPGCPYSSNASAPPASTSYHSMPLAASPPPVSSPPPASPPPEPLPSPPPALPPSLPPPALSPPPPDAPPPSTPPSPSPSPPSIEAQAPPPPMAADQPRVQPRVYPSPPPPSLPPPPPATVSPPSPPPSPTPSPSPAPAAQAPSPAPVAPYRVPPPPRIVSPPPPHHHNKPHYAPPRSPARHSNSTHAPSGVGKNIEISRETATTIVAIAGLAMLSFIGATIWFVKKKRRRTEPPSALPTQQPAPPPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRSSTGSHGFPYSPADSGIGYSRMLFTPENLSAISNDFAEENLLGEGGFGCVYKGILPDGRPVAIKKLKIGNGQGEREFRAEVDTISRVHHRHLVSLVGYCTAEGQRMLVYDFVPNNTLYYHLHVNEVALDWQTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVSTRVMGTFGYLAPEYALSGKLTAKSDLYSFGVVLLELITGRKPVDSSQPLGDESLVEWMRQDLVDFLFTVLDNFC* >Brasy1G123000.1.p pacid=40051991 transcript=Brasy1G123000.1 locus=Brasy1G123000 ID=Brasy1G123000.1.v1.1 annot-version=v1.1 MERAAMIVPLVLALCTAMASAAIVEHTFNVGGMNISQLCMDSVIYTANEQLPGLGLGPGPTIEATEGDTVVVHVVNDSPYPLSIHWHGIFQLLSGWADGAHMITDCSVQPSGNFTYQFNVTAQEGTLWWHAHSSLLRATIYGALIIKPRNGTGGYPFPAPYGEIPILLGEWWNKNVNDVEIDAHLTGLGQDISDALTINGLPGDQTPCRGAGIYEAEVEYNKTYLLRIINAAVNVELFFKVAGHNFTVVAIDASYTDPYATDTIVITPGQTVDALMTTSAPPGRYYMAANVFDSKTVPIRFNTGTATGIVKYKDAPDPDDDNATAAMPTMPSHTDVVTAGNFYWSLTGLVRPSDPPVPKTVDHDMVVEFGVDQAPCATDQTKCQGFALVAFMNRNSFQFPRNASLLRALYDGVPGVYSEDFPSSPPPVAGIRRATSVKKLNYSDVVEVVLQSRVYSSVLGAENHPIHLHGFNFFVLAQGLGRFDPVVRTTHNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPVDYPKCY* >Brasy1G253700.1.p pacid=40051992 transcript=Brasy1G253700.1 locus=Brasy1G253700 ID=Brasy1G253700.1.v1.1 annot-version=v1.1 MAGGGGDLKMLGMWASPAALRVRLALSLRGVSYEYVEEDLKNKSDLLLKSNPVHNKVPVLIHGGKPVCESLVILEYIDEAFAGVVPSLLPADPYERAVARFWAAFVDETLVKAMYQASWGTTEEKEEGKKKVTAAVETLEGALRECSKGKPFFGGDSAGYLDAVLGGLLAWVHAVDAMQGVKIFDPSTMPLLAAWADSFGALDQVEAVMPDLNRLIEFVKQ* >Brasy1G436400.1.p pacid=40051993 transcript=Brasy1G436400.1 locus=Brasy1G436400 ID=Brasy1G436400.1.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQVLVSIQGLVLNDKPYFNEPGNKSSANTPLGEKNSMAYNQTTFVLSCRTMLYSLRKPPKVIYRILIFCQGKHGILVLHAGRCKRLSCLTVINCTNPKSSRFNIVFKSASAILNYFKSFVFLLFYVEHWKNLSYNSDQFDKKKSDYHNTPNSLFP* >Brasy1G436400.2.p pacid=40051994 transcript=Brasy1G436400.2 locus=Brasy1G436400 ID=Brasy1G436400.2.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQVLVSIQGLVLNDKPYFNEPGNKSSANTPLGEKNSMAYNQTTFVLSCRTMLYSLRKPPKVIYRILIFCQGKHGILVLHAGRCKRLSCLTVINCTNPKSSRFNIVFKSASAILNYFKSFVFLLFYVEHWKNLSYNSDQFDKKKSDYHNTPNSLFP* >Brasy1G436400.8.p pacid=40051995 transcript=Brasy1G436400.8 locus=Brasy1G436400 ID=Brasy1G436400.8.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQVLVSIQGLVLNDKPYFNEPGNKSSANTPLGEKNSMAYNQTTFVLSCRTMLYSLRKPPKHFETLVMRHFHERERAILDACDAYSSGMVVGSLVRDGARYPCDKCFAGFKKSLDAHTEHLTKELATNRARALELKRDAPAADEIVSTS* >Brasy1G436400.9.p pacid=40051996 transcript=Brasy1G436400.9 locus=Brasy1G436400 ID=Brasy1G436400.9.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQVLVSIQGLVLNDKPYFNEPGNKSSANTPLGEKNSMAYNQTTFVLSCRTMLYSLRKPPKHFETLVMRHFHERERAILDACDAYSSGMVVGSLVRDGARYPCDKCFAGFKKSLDAHTEHLTKELATNRARALELKRDAPAADEIVSTS* >Brasy1G436400.7.p pacid=40051997 transcript=Brasy1G436400.7 locus=Brasy1G436400 ID=Brasy1G436400.7.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQVLVSIQGLVLNDKPYFNEPGNKSSANTPLGEKNSMAYNQTTFVLSCRTMLYSLRKPPKHFETLVMRHFHERERAILDACDAYSSGMVVGSLVRDGARYPCDKCFAGFKKSLDAHTEHLTKELATNRARALELKRDAPAADEIVSTS* >Brasy1G436400.3.p pacid=40051998 transcript=Brasy1G436400.3 locus=Brasy1G436400 ID=Brasy1G436400.3.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPHFETLVMRHFHERERAILDACDAYSSGMVVGSLVRDGARYPCDKCFAGFKKSLDAHTEHLTKELATNRARALELKRDAPAADEIVSTS* >Brasy1G436400.4.p pacid=40051999 transcript=Brasy1G436400.4 locus=Brasy1G436400 ID=Brasy1G436400.4.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPHFETLVMRHFHERERAILDACDAYSSGMVVGSLVRDGARYPCDKCFAGFKKSLDAHTEHLTKELATNRARALELKRDAPAADEIVSTS* >Brasy1G436400.5.p pacid=40052000 transcript=Brasy1G436400.5 locus=Brasy1G436400 ID=Brasy1G436400.5.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQNNVVFTSEASKAF* >Brasy1G436400.6.p pacid=40052001 transcript=Brasy1G436400.6 locus=Brasy1G436400 ID=Brasy1G436400.6.v1.1 annot-version=v1.1 MVLKKLLKLFGVGKKKDPKKKGKSIDPLWQGAVPHSTVNSLLDPCSSGAGTVLSLQKLDPECSSIILSTINTSHGSENDDYKLFNQFDVVQDFSDHHYAKTSSGKTTKDWVKAIQNEWKLLQRDLPESIYVRVYEDRIDLLRAAIIGPSGTPYHDGLFFFDIRFPPEYPRCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSNSTILQNNVVFTSEASKAF* >Brasy1G088700.1.p pacid=40052002 transcript=Brasy1G088700.1 locus=Brasy1G088700 ID=Brasy1G088700.1.v1.1 annot-version=v1.1 MGKPLIYEVLEKPASSSVIGICSLIWFLIQKRNIGYADVGLSYEAALEGGQYWRIITSAFSHISVVHLVFNMSALWSLGAVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGIYHVMIQKFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIVLVFVFSLKRIGSIELRFIEIEPITDPSLPSVGVLASRNGRTLQMDVQPGRGGADLV* >Brasy1G491200.1.p pacid=40052003 transcript=Brasy1G491200.1 locus=Brasy1G491200 ID=Brasy1G491200.1.v1.1 annot-version=v1.1 MACLTRRLVFLAVLAFSAMAAESRDLYRESTDQYICMLARESLPLVSKGAGLTAANGKLCVLCEQYSTEALFYLQQNETQTEILSVLHHACANLGPLRQQCITLVDYYIPLFFMEVSAVNPEEFCESVHFCPKGTRSRLPTRGDTCSLCHHVIVEVLTMLKDPNMKLEIVGFLLKQCSKAENYAPQCKRLVLEYVPLILVKTQKLLETTDVCSDIHACKAVTQATTETVSLSAAL* >Brasy1G346000.1.p pacid=40052004 transcript=Brasy1G346000.1 locus=Brasy1G346000 ID=Brasy1G346000.1.v1.1 annot-version=v1.1 MPHENHGNATPSLSRFSPDYSDTDPLGGFNPNTFAADPLGGFNAFASPPLRRGPLYYGGSSASASFQQFPAGCSQATPNPFGGMSQARHYTYTQEEEAQEEEAYAGEDAEQEEWADGTEETAVAVPRGKKKAEAEKKKAGGRGPKWTSKEYECLAEAWKVVSMDPFTSANQTGDTYWRRVKTAYDERRVIDREFAMLTHDRNESGLSHRWDMIQQACNKWHDTQEEVRRRPQSGSSAHDQMVAMFTAFREDNDGVDFKFIHVFARIETCDKWTETRNGLSKSGAYDPTTAPLAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQLAKREELAAKREEVVASRWAMVIKKQDDNLEILKANGAAKKRWDDLLILTCDTTGMDIEVKAWYNGQRRLILAEARAPASATSTPSAPSPPETATTATSTPPAGSEVPSTPADDGGPE* >Brasy1G401200.1.p pacid=40052005 transcript=Brasy1G401200.1 locus=Brasy1G401200 ID=Brasy1G401200.1.v1.1 annot-version=v1.1 MALAAIFVFLLVSALHVLDRMLDIARKRGSLSDNQLRLRVEITEILKNASLLSTPSTFAQAAKLKRLAAAKEKELAKLQQEDIKGKQSLHDKYGKVLLIAKGLTYGALVLWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGHVVVGIVPWLFLTSRVSKLLSEKLAPFLHP* >Brasy1G073700.1.p pacid=40052006 transcript=Brasy1G073700.1 locus=Brasy1G073700 ID=Brasy1G073700.1.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVSSCQFLLTVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.2.p pacid=40052007 transcript=Brasy1G073700.2 locus=Brasy1G073700 ID=Brasy1G073700.2.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.4.p pacid=40052008 transcript=Brasy1G073700.4 locus=Brasy1G073700 ID=Brasy1G073700.4.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVSSCQFLLTVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.3.p pacid=40052009 transcript=Brasy1G073700.3 locus=Brasy1G073700 ID=Brasy1G073700.3.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.7.p pacid=40052010 transcript=Brasy1G073700.7 locus=Brasy1G073700 ID=Brasy1G073700.7.v1.1 annot-version=v1.1 MTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.5.p pacid=40052011 transcript=Brasy1G073700.5 locus=Brasy1G073700 ID=Brasy1G073700.5.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESVYERECGNKPGFAVYFRFPNSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKNPRERMGRGKEA* >Brasy1G073700.8.p pacid=40052012 transcript=Brasy1G073700.8 locus=Brasy1G073700 ID=Brasy1G073700.8.v1.1 annot-version=v1.1 MTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVSTMKEDSDPNGASKRRKIKREQSALEAGEYAPSAPQPPSLGAGGSQLEIRERERKGVISQHRPSHADDLPRTHAKDSTSKTSRREADQIHEREWEEEKRPRTEAKRKHRK* >Brasy1G073700.6.p pacid=40052013 transcript=Brasy1G073700.6 locus=Brasy1G073700 ID=Brasy1G073700.6.v1.1 annot-version=v1.1 MSPPLQAPDYKYVTEECLREWKSQSAAGFRLPDPVPMARFLYELCWAIVLGDLPPQKCRVALDSVVFVEEAWHEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIVPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSATISIIKSLIGHFDLDPNRVFDIVLECFELYPDNSTFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRRIDEVTKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHIEICDGLFRVIEKTISSAYTIYCQTHHKIPRNIDTHMIEASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHVSSKDSARTAGVMSPESRVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVTQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSNIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPATYVQLIPPLEDLVYKYHIDPDVAFLIYRPVMRLFKSANGGDTCWPLDDNEEGESVSCDDMILHGDSSQKLIMWSDLLNTIRTILPTKAWNALSPELYATFWGLTLYDLHFPKDRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESEKHQQHVVSVFQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKVHWKWSGRITKVLNQCMESKEYMEIRNALVVLTKITSIFPVIRKSGVNIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDTKPVPAKPIPGNQSSDPSTAKDQSVRAKSTEGRHERTENAMKPDAQHKKNPLPANGSDSQIPSSSAQGKVSGVARGADEPPKLLSDEGVKVLKPTTEFETRLPQKRATHNAAKVSKHDAVKEDAKSGKSTSRGLNQQASAVPIDREVLSQAADGVLDTNPTNSLVGTNGNVHPAPRKQKRSVPAEEQERISKRRKGVIESRDGELTEHHTDKEKKLDSRSADRFRSADHERGANEEQNVIRTDKLKEKFDDKHDRDPREKADRIERRRGEDVVERSMDRASDRRERSIDRMQDRGTDRASEKGREDRNKEDRNKAKHAEPSVDRAHSSDERFRGQSLPPPPPLPASFVPQSVGSNRRDEDGDRRGGSTRHTQRLSPRRDEKERWHLEENASSLQDDGKHRREEDLRDRKREDRDVSSSKNPRERMGRGKEA* >Brasy1G069200.1.p pacid=40052014 transcript=Brasy1G069200.1 locus=Brasy1G069200 ID=Brasy1G069200.1.v1.1 annot-version=v1.1 MKPGILSRNYGVLSTPNHGRSRRQGNCLPGTPQLVGATLRLSATQFRPRTVGAHIHGCPAQAFRRAGVGCGVGEGIGARTPAQSKDGRTWRSPPRRDACWRNASTLQGLEVVEPSAHWAVTARQSPVEQVVAMECVVLSRDAALEEESPPELGDWGGSEHSQAVPRMDASSRSSSRSMLCNMREYVLYEIGTAEKARRCVLYAGRGGGSDLVRRRRRFGPAAAALSSMPARLHFSSSVPSGRGDQKIDSIDLIFTRGHYCPGKSDLWGQFEKLAKK* >Brasy1G393600.1.p pacid=40052015 transcript=Brasy1G393600.1 locus=Brasy1G393600 ID=Brasy1G393600.1.v1.1 annot-version=v1.1 MVAVPAPAAVGFVEVAWTCRGIVGVARGFVGRRGVVGRKECPPGLSFTPSPPRELDDGATARIERRLWECSLRRRVSGKKREPPLASGKVAQETCIEGFLWAYTSRYQAAK* >Brasy1G013400.1.p pacid=40052016 transcript=Brasy1G013400.1 locus=Brasy1G013400 ID=Brasy1G013400.1.v1.1 annot-version=v1.1 MSGEKEKVADHHQALLSPGAGSSRSPQPPRASSSSTAAMEIGWPTDVRHVAHVTFDRFHGFRGLPADLQPEAAANAPSASKTVFGVSPESMQHGHDARGNSVPTILLLLQRRLYAQGEGLATEGVFRVAADEAQERLVRDHLDRAGAIPSSPSSDNAAAVDVHCLAGLIKAWFRELPGGLLDALPEDEVARCRTADDAAALCAATLPPGKAALLDWAVELMADVAAMEARNRMGSRNVAMVFAPNMTQTVDPLTALKYAVQVMNFLNLLIERALRQRRERQQDDDPQHEDAEEDEQQHKQKQLQPN* >Brasy1G499100.1.p pacid=40052017 transcript=Brasy1G499100.1 locus=Brasy1G499100 ID=Brasy1G499100.1.v1.1 annot-version=v1.1 MLLRLATEFRTAADDSVRASIVRSLLPTEGGAARVAEPDQILRRVAAAHDAAGTARARALALRMFGCLARLAKDSVHVRSLVLSGLRSSNAAEVKAALFAAGCFCKLSEDFSCITLQVLAGLVTSPKLEAQVILAAIKTFPKLDCTLAIIQRVHVVGKQMVLGNLEDVFKAEMLMALSRLSSKSIILFRDQVEFLLLFLGHESSLSVKTMALKCLCFMFRRNTFYLPVAGTVFSTLLQLIDDDGFPLDCKSYAFRILQKMLCGKAPSIRHINSSELSKLALAVERFLHCSSWEMQDTALEILVDIFCFLKQIQPHETIDTLESSSFSCTGYQGISNKMLPTREENSEDERSMNKILTAIVDHIISLVNQAVSKRSNEAANIHIYVSSSELKKYRTLFSLMLKLVTGCPSAASVALDKVRCLMKELARINVDHYSSVAATCVESLVASEQFRASNDTVEPVAASIKASPMEIDTDEAKLASSEFSSKKKASIVHDLILCSLKFANACHGVCCKTSGSSCNLHHSVKALIECVREEASEYCSTYEFFHLIMCACISWNTCKVRDGNKGSGYSKQHSDIFTTPAWITQELCALRMAKMLTKKQSYWEAYRSAMYCCREGLWFTASFVFRKVADAFESGSFSLWFKSLLLFCAGELEMKLLIFPSTVIKLVGELNTEGDVHEDLCCVETDVDNTLARSPELYGYQEKVTGICERTCLANDVLSSNASLDCEFFFQRWFISLRASFIEILADVLGILSSHSSAPKDISRHESRRDSSAVAIENNQVLVALANCSLRLSDLAKSYDILAASHGDMDCQSFTTIARLAFMCSFLSFCTVFSVDFSNIPSSSECWRLPDRFSHASILQDLHERVDRNDSQIVSQLRKFMSISSHGLDSLQFSTRMNCSGTLEKDSYFLFKFAVASLLREREDAKGKTTGEDTSSPFNRGMQFLSSILQRFMELPFVLPKYFFSVRPCLGVELFIFDSNPANRGIVSVSPGFQLSLTLCFQWKRVLERTPIRITKLYCILAASSSSRLDIAGTRSKQFEIRRTTEMVGLNFKLLQHIKDDLRKTSDKKNSHSETDLVTGLACFEPTDSGQGFSDCLLDVSSFPQGSYQIAWRACCVDEKGRYFSLLPLNDGVVFSVQKP* >Brasy1G499100.2.p pacid=40052018 transcript=Brasy1G499100.2 locus=Brasy1G499100 ID=Brasy1G499100.2.v1.1 annot-version=v1.1 MVLGNLEDVFKAEMLMALSRLSSKSIILFRDQVEFLLLFLGHESSLSVKTMALKCLCFMFRRNTFYLPVAGTVFSTLLQLIDDDGFPLDCKSYAFRILQKMLCGKAPSIRHINSSELSKLALAVERFLHCSSWEMQDTALEILVDIFCFLKQIQPHETIDTLESSSFSCTGYQGISNKMLPTREENSEDERSMNKILTAIVDHIISLVNQAVSKRSNEAANIHIYVSSSELKKYRTLFSLMLKLVTGCPSAASVALDKVRCLMKELARINVDHYSSVAATCVESLVASEQFRASNDTVEPVAASIKASPMEIDTDEAKLASSEFSSKKKASIVHDLILCSLKFANACHGVCCKTSGSSCNLHHSVKALIECVREEASEYCSTYEFFHLIMCACISWNTCKVRDGNKGSGYSKQHSDIFTTPAWITQELCALRMAKMLTKKQSYWEAYRSAMYCCREGLWFTASFVFRKVADAFESGSFSLWFKSLLLFCAGELEMKLLIFPSTVIKLVGELNTEGDVHEDLCCVETDVDNTLARSPELYGYQEKVTGICERTCLANDVLSSNASLDCEFFFQRWFISLRASFIEILADVLGILSSHSSAPKDISRHESRRDSSAVAIENNQVLVALANCSLRLSDLAKSYDILAASHGDMDCQSFTTIARLAFMCSFLSFCTVFSVDFSNIPSSSECWRLPDRFSHASILQDLHERVDRNDSQIVSQLRKFMSISSHGLDSLQFSTRMNCSGTLEKDSYFLFKFAVASLLREREDAKGKTTGEDTSSPFNRGMQFLSSILQRFMELPFVLPKYFFSVRPCLGVELFIFDSNPANRGIVSVSPGFQLSLTLCFQWKRVLERTPIRITKLYCILAASSSSRLDIAGTRSKQFEIRRTTEMVGLNFKLLQHIKDDLRKTSDKKNSHSETDLVTGLACFEPTDSGQGFSDCLLDVSSFPQGSYQIAWRACCVDEKGRYFSLLPLNDGVVFSVQKP* >Brasy1G499100.3.p pacid=40052019 transcript=Brasy1G499100.3 locus=Brasy1G499100 ID=Brasy1G499100.3.v1.1 annot-version=v1.1 MLCGKAPSIRHINSSELSKLALAVERFLHCSSWEMQDTALEILVDIFCFLKQIQPHETIDTLESSSFSCTGYQGISNKMLPTREENSEDERSMNKILTAIVDHIISLVNQAVSKRSNEAANIHIYVSSSELKKYRTLFSLMLKLVTGCPSAASVALDKVRCLMKELARINVDHYSSVAATCVESLVASEQFRASNDTVEPVAASIKASPMEIDTDEAKLASSEFSSKKKASIVHDLILCSLKFANACHGVCCKTSGSSCNLHHSVKALIECVREEASEYCSTYEFFHLIMCACISWNTCKVRDGNKGSGYSKQHSDIFTTPAWITQELCALRMAKMLTKKQSYWEAYRSAMYCCREGLWFTASFVFRKVADAFESGSFSLWFKSLLLFCAGELEMKLLIFPSTVIKLVGELNTEGDVHEDLCCVETDVDNTLARSPELYGYQEKVTGICERTCLANDVLSSNASLDCEFFFQRWFISLRASFIEILADVLGILSSHSSAPKDISRHESRRDSSAVAIENNQVLVALANCSLRLSDLAKSYDILAASHGDMDCQSFTTIARLAFMCSFLSFCTVFSVDFSNIPSSSECWRLPDRFSHASILQDLHERVDRNDSQIVSQLRKFMSISSHGLDSLQFSTRMNCSGTLEKDSYFLFKFAVASLLREREDAKGKTTGEDTSSPFNRGMQFLSSILQRFMELPFVLPKYFFSVRPCLGVELFIFDSNPANRGIVSVSPGFQLSLTLCFQWKRVLERTPIRITKLYCILAASSSSRLDIAGTRSKQFEIRRTTEMVGLNFKLLQHIKDDLRKTSDKKNSHSETDLVTGLACFEPTDSGQGFSDCLLDVSSFPQGSYQIAWRACCVDEKGRYFSLLPLNDGVVFSVQKP* >Brasy1G256500.1.p pacid=40052020 transcript=Brasy1G256500.1 locus=Brasy1G256500 ID=Brasy1G256500.1.v1.1 annot-version=v1.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA* >Brasy1G527900.1.p pacid=40052021 transcript=Brasy1G527900.1 locus=Brasy1G527900 ID=Brasy1G527900.1.v1.1 annot-version=v1.1 MQAAATSSALALSPAPPICLSLSAAASARPRRTVARAAAVRCDLAAPSAPASSPSAARWAQRTVVIRPQRRGCHLITHKIMHEIRSDLSEFKCGLAHLFLHHTSASLTINENYDPDVQTDTETFLNRIVPEGTSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITNGRFNMGTWQGIWLCEHRDYPTPRTIVITLNGM* >Brasy1G268200.1.p pacid=40052022 transcript=Brasy1G268200.1 locus=Brasy1G268200 ID=Brasy1G268200.1.v1.1 annot-version=v1.1 MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGETDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGASDGVIRVLSMMTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLVLWSADHIHDSRELVPKICLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPPQALAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPALTESKEHSAVYIVERELKLLNFQLSNTANASLGGASETGRSRNESIEQLIVKQTKKHISTPAPHDSYSILSCSSSGKYVAVVWPDIPSFAVYKASDWSVVDSGTGKLFAWDSCRDRYALVESALAPRMPLIVKGGSSKKAKEAAAQAAQAAAQAASFASAATVQVRILLDDGTAHVLQRSIDGRSEPVVGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSNREGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEIKAREAQGRAVEEHGDLALITVEAPQVTASEKISLRPPMLQVVRLASFQHAPSIPPFVVPKQSKLDGPDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVLVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSKDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQAEIAREVLKRLAAAASVKGALHGQTLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRNSVITWNKVLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKAGAKLGLPNTAQNPNAAIGAPMAQGTPMNQGTAMNQGTPMIQGTAMNQGTPMIQGTPAAQGTPAPTQSTDEGKPSEATEAPENVDAAAAPSNAEGTGAEAPGTAEATVAPAVDPASSPDAAAAATPAQEAGSSGIDAPAVTSSEATNDAPSTEEPESHDKPPSIEASPSPPPSVSSV* >Brasy1G505900.1.p pacid=40052023 transcript=Brasy1G505900.1 locus=Brasy1G505900 ID=Brasy1G505900.1.v1.1 annot-version=v1.1 MGALETSKVAKDHEGWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIAINLAVGILPHVDNFAHIGGFISGFFLGFVLLIRPQFGYINQKNSPLGLSTGPTKCKYKTYQIILLVIALMILISGFTIGFVLLMKGFNASENCSWCHYLSCVPTSKWSCKAPSNYCLSSQLDNQLNLTCQSTGKSETYVLNNPSNAEAIKNLCLGLCS* >Brasy1G505900.3.p pacid=40052024 transcript=Brasy1G505900.3 locus=Brasy1G505900 ID=Brasy1G505900.3.v1.1 annot-version=v1.1 MGALETSKVAKDHEGWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIAINLAVGILPHVDNFAHIGGFISGFFLGFVLLIRPQFGYINQKNSPLGLSTGPTKCKYKTYQIILLVIALMILISGFTIGFVLLMKGFNASENCSWCHYLSCVPTSKWSCKAPSNYCLSSQLDNQLNLTCQSTGKSETYVLNNPSNAEAIKNLCLGLCS* >Brasy1G505900.5.p pacid=40052025 transcript=Brasy1G505900.5 locus=Brasy1G505900 ID=Brasy1G505900.5.v1.1 annot-version=v1.1 MGALETSKVAKDHEGWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIAINLAVGILPHVDNFAHIGGFISGFFLGFVLLIRPQFGYINQKNSPLGLSTGPTKCKYKTYQIILLVIALMILISGFTIGFVLLMKGFNASENCSWCHYLSCVPTSKWSCKAPSNYCLSSQLDNQLNLTCQSTGKSETYVLNNPSNAEAIKNLCLGLCS* >Brasy1G505900.2.p pacid=40052026 transcript=Brasy1G505900.2 locus=Brasy1G505900 ID=Brasy1G505900.2.v1.1 annot-version=v1.1 MGALETSKVAKDHEGWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIAINLAVGILPHVDNFAHIGGFISGFFLGFVLLIRPQFGYINQKNSPLGLSTGPTKCKYKTYQIILLVIALMILISGFTIGFVLLMKGFNASENCSWCHYLSCVPTSKWSCKAPSNYCLSSQLDNQLNLTCQSTGKSETYVLNNPSNAEAIKNLCLGLCS* >Brasy1G505900.4.p pacid=40052027 transcript=Brasy1G505900.4 locus=Brasy1G505900 ID=Brasy1G505900.4.v1.1 annot-version=v1.1 MGALETSKVAKDHEGWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIAINLAVGILPHVDNFAHIGGFISGFFLGFVLLIRPQFGYINQKNSPLGLSTGPTKCKYKTYQIILLVIALMILISGFTIGFVLLMKGFNASENCSWCHYLSCVPTSKWSCKAPSNYCLSSQLDNQLNLTCQSTGKSETYVLNNPSNAEAIKNLCLGLCS* >Brasy1G328200.1.p pacid=40052028 transcript=Brasy1G328200.1 locus=Brasy1G328200 ID=Brasy1G328200.1.v1.1 annot-version=v1.1 MGRLSCGGGGQAKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPKLAGLHRCGKSCRLRWINYLRPDLKRGSFSLQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPITAMADAAAALPADAREDEDQKPPTDGAVAPKQQAVFDPFPAATDFGGVFDHDSLAAAPALFEGAGDYSSVLDLDSYGESSSNSSNNNWNGCGGAEMSNVLDGEALHWAPIKDDDDAALEEHKFLLQMTCQEQMSLPHFDFNLEYF* >Brasy1G427500.1.p pacid=40052029 transcript=Brasy1G427500.1 locus=Brasy1G427500 ID=Brasy1G427500.1.v1.1 annot-version=v1.1 MELLNSYTAGSSRLMVANFLVAIQLIAVMGGGAMPSTMAVVAAELRYNAMFAFGDSMAETGNICAASTNKTELDVLTCTHPPYGMTYFGKPACRWCNGRIALDFIAQALGLPLLPPSKSKGVDFRRGVNMAITGSTAMDFSFYNSLGIHDPVWNHGSLHTQIQWFQQLMPSICGTDQSCKEFLSNSLFMLGGFGGNDYNIQFLELGLKPEQGMNYTLKIVDAIVDGVEKLIQLGAVHIVVPGIFPTGCLPIFLSLYASSSGKADIDDAGCLKPYNKLTEYHNSMLRERIQALQSKHQNSSTARIMYADYYGLVYQMVQEPQRFGFSDPLQACCGAGGGRYNFDVADRCGMEGATTACRDPAARLSWDGVHPTEAANKIIAQGWLRGPYCDPPILG* >Brasy1G427500.2.p pacid=40052030 transcript=Brasy1G427500.2 locus=Brasy1G427500 ID=Brasy1G427500.2.v1.1 annot-version=v1.1 MELLNSYTAGSSRLMVANFLVAIQLIAVMGGGAMPSTMAVVAAELRYNAMFAFGDSMAETGNICAASTNKTELDVLTCTHPPYGMTYFGKPACRWCNGRIALDFIAQALGLPLLPPSKSKGVDFRRGVNMAITGSTAMDFSFYNSLGIHDPVWNHGSLHTQIQWFQQLMPSICGCKEFLSNSLFMLGGFGGNDYNIQFLELGLKPEQGMNYTLKIVDAIVDGVEKLIQLGAVHIVVPGIFPTGCLPIFLSLYASSSGKADIDDAGCLKPYNKLTEYHNSMLRERIQALQSKHQNSSTARIMYADYYGLVYQMVQEPQRFGFSDPLQACCGAGGGRYNFDVADRCGMEGATTACRDPAARLSWDGVHPTEAANKIIAQGWLRGPYCDPPILG* >Brasy1G000200.1.p pacid=40052031 transcript=Brasy1G000200.1 locus=Brasy1G000200 ID=Brasy1G000200.1.v1.1 annot-version=v1.1 MLRSGLLYLCSIIITLYFARVQPTTAQITAPWEVDALRAIKGSLLDPLGRLNSWNRGDPCVGNWSRVICYNATASDGYFHVQELQLLQLNLSGTLAPELGQLSHMKIMDFMWNSISGSIPKEVGNITSLELLLLNGNQLSGSLPEEIGFLPNLNRIQIDQNHISGSIPRSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDSNNLSGYLPRDLSKLPKLLIIQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLAGPIPSGQLASNITTVDLSHNLLNGSIPRSFSGLPNLQRLSLDNNNLDGPVPSDIWQNIDFNGNRSLILDFHNNSLTNLSSPLTPPANVTILLSGNPICTSQNQLNISQYCQSASVVVPGGSENNSTLCQPCSRDLPYENIPMSPIPCLCAIPLFVEYRLKSPGFWDFVPYEAQFQQYLSSGLSLSLYQLKVSTFMWQEGPRLKMNLKLFPNNTALFNTSEVSRLRDMFTGWLIGDSDIFGPYELIDFDPGWYNNILERPAKSGLSTGAVVGVVIAAFAAAAILSSLITLIILRRRSSHSSKKRSAKRVPMKIDGVKDFTFEELSNCTSDFSDSALIGQGGYGKVYRGVLADGTIAAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGTLRDNLSAKAKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVSKVADFGLSRLAPLPEIEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNLVREVVAANQSGMILSVVDRRMGPCPGECVERFAALALRCCRDETDARPSMVEVVRELETIWQMTPETESIASESVVMDPSNTGTPASSRMVSGGNDHQYMSSSDVSGSNLLSGVVPSINPR* >Brasy1G000200.2.p pacid=40052032 transcript=Brasy1G000200.2 locus=Brasy1G000200 ID=Brasy1G000200.2.v1.1 annot-version=v1.1 MLRSGLLYLCSIIITLYFARVQPTTAQITAPWEVDALRAIKGSLLDPLGRLNSWNRGDPCVGNWSRVICYNATASDGYFHVQELQLLQLNLSGTLAPELGQLSHMKIMDFMWNSISGSIPKEVGNITSLELLLLNGNQLSGSLPEEIGFLPNLNRIQIDQNHISGSIPRSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDSNNLSGYLPRDLSKLPKLLIIQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLAGPIPSGQLASNITTVDLSHNLLNGSIPRSFSGLPNLQRLSLDNNNLDGPVPSDIWQNIDFNGNRSLILDFHNNSLTNLSSPLTPPANVTILLSGNPICTSQNQLNISQYCQSASVVVPGGSENNSTLCQPCSRDLPYENIPMSPIPCLCAIPLFVEYRLKSPGFWDFVPYEAQFQQYLSSGLSLSLYQLKVSTFMWQEGPRLKMNLKLFPNNTALFNTSEVSRLRDMFTGWLIGDSDIFGPYELIDFDPGWYNNTKRVPMKIDGVKDFTFEELSNCTSDFSDSALIGQGGYGKVYRGVLADGTIAAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGTLRDNLSAKAKEPLNFPMRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVSKVADFGLSRLAPLPEIEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNLVREVVAANQSGMILSVVDRRMGPCPGECVERFAALALRCCRDETDARPSMVEVVRELETIWQMTPETESIASESVVMDPSNTGTPASSRMVSGGNDHQYMSSSDVSGSNLLSGVVPSINPR* >Brasy1G581300.1.p pacid=40052033 transcript=Brasy1G581300.1 locus=Brasy1G581300 ID=Brasy1G581300.1.v1.1 annot-version=v1.1 MEMNSGDSLSKIPEPPRVTSMRARSVNDLPVGITRDCCDFSSNHCQPSPIPSGIGRIEWGLNGQTRKRQTRKRCCAVEMMSSLGLIVLICTLFYLDCTKAALLVLALTLGLPCLLFLVLVLVYDQYCKTKRVLASTPWDIVPAFYSFEDFVSDSSTQDHQRRLYNSSRVPQIEKNSFMRRRLGGRGQSSPAATTSSSRGSLPFLREHSRDGLKQTRSGCPVSILQSPRIIRDASCYVSHQKLRRPCGREQSSPAATSSSSSSSQAVIQNVANVVSWIFDTGASYSMTGDRANLLGVHGIAPVSVCVANGQNMYSNQCGRAYGAVNLSGVMYFPGASNLISSGHLTRLGCETSMKELDLVLTKAGRLVGYGRLLENNIYSLDFLDDSILGTICSCCPYPHAHASGAIQL* >Brasy1G427900.1.p pacid=40052034 transcript=Brasy1G427900.1 locus=Brasy1G427900 ID=Brasy1G427900.1.v1.1 annot-version=v1.1 MAPTKPAATPAAKIAVQNSDGAAADIPLHLTEKILCGISPLESARFLTVCKSWASTIFSRLEKPIPHLFALRASGPQPGEEPRRRGAIFSLPIDGSKELNPVMPARLPIMKSHAHANHMKLSGALPNGCVSFAFPHVDAVDGSRSPARSNRVALANPVTGADQSVEVYGAKSLNGFQPKVRAAAGADAFFVSEVSSDSTNRISLQWLREGEQQEWGARIKLPMASVPQQQFSSSTDAIDLVAYADGAFYAMEFLGFTFVVDTRAPPPMRMSRLNTRSILGQYTAISGTGFLRSCHMLVSEGEVLFVGPVLDTPTKPCKFVDNNNAAIGGFKVFRLDVEGERWVKVESLAGDRALFVSQQSSFSVRASETPGCRSNCIYFVTDLGDKHSNGTCTWGVYSMEEEKVLFQRSVGSPGEYIAMRWFLPRVGTSPAQPGTSHGMKKKIQEA* >Brasy1G551500.1.p pacid=40052035 transcript=Brasy1G551500.1 locus=Brasy1G551500 ID=Brasy1G551500.1.v1.1 annot-version=v1.1 MYHTCDTKDAFCLPASNKKIQKQDKKSKGIQWRSTHHSESAHGSEAAAATMLLLLPRRPYAAAAATAAAADRSPGAQRRLTHHRRRKVYGRARRQRFSSDADVQRGPSPDFLDAAVAGQTRLV* >Brasy1G287300.1.p pacid=40052036 transcript=Brasy1G287300.1 locus=Brasy1G287300 ID=Brasy1G287300.1.v1.1 annot-version=v1.1 PAPQVPLEWRFSQVFGERGAGEDVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDAKDDAPRKEAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQGTNGASFLLSTNDKTIKFWKVQEKKVKKICEMNLDPSTAPANGSPAGNNMVGPSTRIPNGGFLRPGGPPLLRLPVVTSQEISLAANCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITCAEFHPSHCNTLAYSSSKGSIRLVDLRQSALCDTHSQLFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGSQVATGSYSNIFRVFNCGAGGSEVTTLEATRNPTRRQIQNPARPARSLSSLTRVVRRGAENTGIDANGNSYDLSTKLLHLAWHPDENLIACAAANSLYMYYA* >Brasy1G310700.1.p pacid=40052037 transcript=Brasy1G310700.1 locus=Brasy1G310700 ID=Brasy1G310700.1.v1.1 annot-version=v1.1 MDAERWRKIAESSSSSRHRRGSARSSAHPGPGCSDRLVAAPDAIAAHDTKILFVDHVGTDGKKDRQAKELLATVECVDRYPSHVDDKPVVEEYQDLQEWFHMETERYTTMIRHRHTSELEAFTEQLRLKDEKLEAFRWRAASKDVEVARLRCRIQELEGRLSKHEQHGAGIEALLLDRESENTFLKEKLVAFQFPRALESETSPPAEDGDHACDHCIPCSPVKIQTMDPSRELSFPAQNFEDTEITTYARHDHTEIVELGELVPPDDHKAFDMEATEVYHISVGSNDMPASARGSIDEDRPVIPTDHSHRSEIEEAHTDPGNVRVRTSTSSYHQETTSLDVADQKPSAWRMDIHALAVSYKIKRLKQQQIVLEKLAAAAAAAAEGGKEATMSNEASGSSSRQQPRSYQLMISFVSKHVKRYQSLEDKIYDLCKRMEESKRNEGRGREGDREQSAALGRFLEETFQLQRYMVATGQKLLEMQSRVATNLARACGGGGNNGDGVDTARFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILQTT* >Brasy1G568300.1.p pacid=40052038 transcript=Brasy1G568300.1 locus=Brasy1G568300 ID=Brasy1G568300.1.v1.1 annot-version=v1.1 MSAMETDTPDAPPPAPAPAPVPAGEGSSAAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH* >Brasy1G019800.1.p pacid=40052039 transcript=Brasy1G019800.1 locus=Brasy1G019800 ID=Brasy1G019800.1.v1.1 annot-version=v1.1 MGRKLVPSSPRAHHQPHRRLRSLSPDPERARHHAARGSPPRRRRRPSRRRRFLGPSLRRIPGG* >Brasy1G290800.1.p pacid=40052040 transcript=Brasy1G290800.1 locus=Brasy1G290800 ID=Brasy1G290800.1.v1.1 annot-version=v1.1 MFYGAMVWDPWLIVSQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWCAIASFLIAAVSGAAFMLYVIERAKKCLDFAATLYIIHLFICIIYGGWPASITWWVVNIVSLAIMSLLGEYLCIRRELRDIPVSRIRASV* >Brasy1G373000.1.p pacid=40052041 transcript=Brasy1G373000.1 locus=Brasy1G373000 ID=Brasy1G373000.1.v1.1 annot-version=v1.1 MALLLSALAVLLLASLAPSTRAASPSTDTITAGQVLAADGTLVSNNSKFVLGFFQDPVGEPSSAKWYLGIWFSAVPNRTTVWVADGASPVMDAGATPQLAISDNGSLAVSNRATNTITWSTHNTQSQSPANINNTLAVLLNNGNLVLQDTSDSGSQPRVLWQSFDYPTDTLLPSAKLGRDKVTGLNRRLVSRKSQAGPTPGRYCYEVDPDTPQLVLKLCNSSIVYWSTGPWNGRAFSGIPELTGDVPNFQLAFVDNSREEYLQFNVTIEVVTRNMLDVTGQNIHQVWRDGGGAQGQGWQTLYAAPKSPCDVYGVCGPFASCDYEQVPLCVCMKGFSVRSLKDWERGDRTGGCVRDTPLNCNSSGAASIDDKFFSSMASDTLPDKSRSVQAARSLAECSQACLNNCSCTAYSYGGQGCLVWQDELLNAKSNAASANGGGILYLRLAASEIPRPSTGSSSETGLIVGVVLGASAALVLVFIIALILWRRKTKTSVQGGGGLVAFSYKDLRSASKNFSEKLGQGGFGSVFKGRLRDSTGIAVKRLDGSFQGDKQFRAEVSSIGIIQHINLVKLVGFCCDGDSRFLVYEHMPNRSLDIHLFFQTGGALLSWGSRYQIALGVARGLSYLHEGCRDCIIHCDIKPQNVLLDASFRPKIADFGMAKLVGRDFSRVLTTMRGTLGYLAPEWISGTPITAKVDVYSYGMVLLELVSGRRNTDQGYTATDGSHVVYFPMQASKKLLEGDVVSLLDQRLGGDANLEEVQRVCKVACWCIQDDEAQRPTMGQVVQILEGVLDREMPPLPRLIETIFARPRSANFSTETV* >Brasy1G326600.1.p pacid=40052042 transcript=Brasy1G326600.1 locus=Brasy1G326600 ID=Brasy1G326600.1.v1.1 annot-version=v1.1 MSSKEMVGPRERDPGVAVLAVAVCGPRGEVVLRIQKPVEASVGGRMTLEVMALNEALHAALGLGIRSIKIVTDYKVLHNHLLGIWRPAQKKLADMINQVLLVRKNFEKCEILLVERSQLQYVRKLAMGSLQSQIAKAIAVNAGMGMRENCPICLEDTDVSKIHAVEGCSHRFCFSCMKEHVKVKLLHGMLPACPQDGCTKQLTVEGSKVFLSPRLLGIMVQRIREGQIPPTQKVYCPYPKCSALMSLSEVIQPMEASSSKYTAADATTLRKCIKCRGSFCIRCKVPWHDRITCNQYKIMYPDAQSEDAKLKNLAKHWLWRNCAKCNHMIELAEGCYHMTCVCGYEFCYTCGKTWKDKKPTCTCPLWDERNIIRNDARVNIVREDIEEDEDDYEDEYYVEEGHRYNLR* >Brasy1G512600.1.p pacid=40052043 transcript=Brasy1G512600.1 locus=Brasy1G512600 ID=Brasy1G512600.1.v1.1 annot-version=v1.1 MNVVCAAVLWFLWTHRNDLIFDNSSWSDINQIWWLILKTIKIWEILLNVDLLPQRPRWWLSLGRSP* >Brasy1G554500.1.p pacid=40052044 transcript=Brasy1G554500.1 locus=Brasy1G554500 ID=Brasy1G554500.1.v1.1 annot-version=v1.1 MPSAVWRSPEWSSGGSKPCREANLQEEFYKKRYMIATIVLYHLEQDAVGDEYYSSWCFFCIMDSRQDDEQVEDDDSHPSELIH* >Brasy1G472900.1.p pacid=40052045 transcript=Brasy1G472900.1 locus=Brasy1G472900 ID=Brasy1G472900.1.v1.1 annot-version=v1.1 MHLAADYPHRGGRLGHGTLAAAFLRTENRRRAIAGCAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLVGVPGLILCLCGSGCFGISLRWEALGWFLFYAGNIGAAFGSAYYHLKPDDDRLIWDRLPMMISASSLLSILVIERVDEGAGLSCLISLLSLLLVSSACERVLDDMRLWLVLNFVPCVAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKIARDS* >Brasy1G556000.1.p pacid=40052046 transcript=Brasy1G556000.1 locus=Brasy1G556000 ID=Brasy1G556000.1.v1.1 annot-version=v1.1 INECERGTPKSIMYEKLYPCHGGTCHDEDGNYTCICNFGRKGNGKSEDGCEPILSMAAISVIGTISAIALLVILLIFLHMQREKRKLRDHFNKNGGQLLKSIKIEIFTREKLDHVTENYRYIVGKGAFGEVYKGTIGDNAPVVVKRSISINEDRQKDFANEITIQSKISHRNVVQLLGCCLETEVPMLVYEFVPRGSLYDVLHSKRDPIPLQKRLDIAINSVEALAYMHSQASKKILHGDVKSGNILLDDEFAPKVSDLGTSRLLSIDKDHTNWVIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYDGNNSLPINYVKASTNGKSKEMYDVEIVASGSEQDVKCLEEVGLAAVQCLEVDVNDRPTMTEVAEKLKMCKYQWLQSHGQAGELCT* >Brasy1G265000.1.p pacid=40052047 transcript=Brasy1G265000.1 locus=Brasy1G265000 ID=Brasy1G265000.1.v1.1 annot-version=v1.1 MLAAESNSGNNRHSRNDSSTRHKSGYEPSDTETEWHESPWNDAILKSQRTVLPKDPGRIPQVGARRQNTSPNRTKEHLDEKTSNLRNSRTPPRVTEQRHQTSPYTGGKNESRKKSSRTPPRFRSSMEKFSRSSIKEKISHRRSISTPKLRAHEKEHPSRVPAFHGTPVSTQAERDSTDFMKADSHAEPEINELIANGKLSNSRHNEYTCTSTESVATGEIFISRDCRAQFEKTSVKHKDVDKSFTSNSNADAENDGAVTQANISNLGQTSQLVSVRTVFSQTTTNTSYATGRHSQISSGTTLSNQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNVQKAQNDAWFPCVTGKSCHKPRAPNHKTTDESESTFIQKALVIEKIRLFWADKYRPRTLSGFTCHREQVQQLKELVSPEFCPHIILKGPPGSGKRSLCRAVLTEIFGDSSLNVSHCLKSCNGQGSASLPILVPLSSSDHHVELNMRSQSKNARYALTALANEMSNKHKTSEASARKNFKVIVLYDVDKVIENNQRLIKWIIDSSSDACKIMMTCQDESNLLDSIVSRCKLITIGVPNTREIVEILTYISKRESFDLPASFAATIASQSARNMREAILALEACKASNYPFIDGQAIPLGWEGVLQELAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLVELFLKGIHASIKREVYYWHAYYDKRLPAGASALLKLEEFVAKFMSIHRKNLSVVS* >Brasy1G130200.1.p pacid=40052048 transcript=Brasy1G130200.1 locus=Brasy1G130200 ID=Brasy1G130200.1.v1.1 annot-version=v1.1 MAVVVAGSRARAEQPRPHWRERQQEQRSPDMAMPRPPRPRPPGPARVAVVYYLSRNGQLEHPHFMEVALPSPEGLYLRDVIDRLDALRGKGMARLYSWASKRSYRNGFVWHDLSEDDYIHPVAGREYVLKGTERLHPPVKQLVDAAAASSSCSTGSQDTTATTSSSSGWEHRSNGSLARQQKKAEELGEYRVVYKADERAGTGTDAATQTEDAYRGRGHQQRRRPQQGEDETTASTSPETLEALIRADGRVVAGRARASSVLMQLISCGSVSVKGGALASPAMPRVVHYRPRPPTPAEIPAFRHKFVEDKEYFSGSLVETQHSAAADKSQDMAVLRRSSSYNADRASKVEPAREAVDLHDRCIPRKPKSKKDGYQVISCSAHGSRRIGGA* >Brasy1G216400.1.p pacid=40052049 transcript=Brasy1G216400.1 locus=Brasy1G216400 ID=Brasy1G216400.1.v1.1 annot-version=v1.1 MPAAEGQSTGDQRRPHFFKVLIGDFQKRLKIPPNFCKHIPWEASRKAKKSLKEASSMAATLEGPSGRTWPVVIRRTAEGAFFATGWTKFVQDQALRELDFLVFRHDGGTRFAAMVFDKTACEREDLLRLGGDGPRKKRGRPRKASSRDDTVGKELVPYRGAPSDRQPQVACPDSTLELGSAGQSIAAKTEADTDALPLIRSNAGPSQKRHGPDADQDGCPAKTRSIQDDLAVAAGIPPSVRRYNGYVSRRRPVTGAERQRAMEIAHSFRSSLPHCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERAEMALRDPDGKAWAVLYIPNTRDRLSRGWCAFARGNCLEEGDCCVFELLGAAEFRVHIFRVVEPPVQAVRLPVA* >Brasy1G216400.2.p pacid=40052050 transcript=Brasy1G216400.2 locus=Brasy1G216400 ID=Brasy1G216400.2.v1.1 annot-version=v1.1 MPAAEGQSTGDQRRPHFFKVLIGDFQKRLKIPPNFCKHIPWEASRKAKKSLKEASSMAATLEGPSGRTWPVVIRRTAEGAFFATGWTKFVQDQALRELDFLVFRHDGGTRFAAMVFDKTACEREDLLRLGGDGPRKKRGRPRKASSRDDTVGKELVPYRGAPSDRQPQVACPDSTLELVKGGHQLNLLYEKEVISRHTGRSCSHAVVTSCRAREIRFCAQFLARAENWFCRISRAVHRSENRSRHRRAPADSLERRAVAEAARARR* >Brasy1G554600.1.p pacid=40052051 transcript=Brasy1G554600.1 locus=Brasy1G554600 ID=Brasy1G554600.1.v1.1 annot-version=v1.1 MPQALFLLLVMSCAALLGEGVSGSGDSPSTAPAVLPVPPPNCPNKCGKVDILYPFGIGPGCFMAPTFELTCNETTNPPGLYSGTVLVANISMETAEMTVYYRGLASTCNDPDNPTAPPSNEGAQLKTGDAFLISTEGNTFTAVGCGAEARISGNNGASYRTGCMTYCAPANESADDGTPCRGNGCCETSLTADLNEFSVRWAGDFKSPAFNPCQYAFVAKKGCKQQ* >Brasy1G178000.1.p pacid=40052052 transcript=Brasy1G178000.1 locus=Brasy1G178000 ID=Brasy1G178000.1.v1.1 annot-version=v1.1 MATAISSSLSAACLLRPVPSRHRPLFRALAASGSGGKKKKPSKSKGKAKTLEPPPDVVRRAPAGSASVFEQQRTEPGFKPGGDGERPTAEEARQRQATESAFIIAWLGLGGVILFQGLALAASGFLPAEWDSFLVKFLYPSFTPTVLLFIGGTTGYGVFKYFEGEKSKS* >Brasy1G019300.1.p pacid=40052053 transcript=Brasy1G019300.1 locus=Brasy1G019300 ID=Brasy1G019300.1.v1.1 annot-version=v1.1 MESAGRTVGVLRSTRRGSGHTPGPQVSATSGSVRVPSRKQSSKTSETLAVLPCTPFQILCLLLLLLLLLSRSPPPPLSVIVGDRPGQPMAAAAQPRRSQVAFLRRSKPQPQLRPAPAAAANGKASTKPPASSVQAQPPSSSEEERTVKQLRLAKALTLPESTPASAACRRMAARRADAALVVDARGTLSGILTAEDIAVRVVAEGLRPEGTNVAKVMTRDPVYVMSDSSATDALQKMVQGKFRHLPVVERGEVIAMLDITKFLYDAISRMERAAEQGSAIAAAMEGVEQQWENDFPGPNAFIENLCDQMFKPSLSTIITDSSSVPVVSPSDLVTVAAKKMREYRVNSVVVMTGNMLQGILSSRDLVLQVVAQNLSPETTRTEKVMTANPRCATLGTSILEALQSMQDGKFLHIPVADKNGNIVACLDALQLTHAAILMVEGASGANDVANTMIQKFWDSALALHPAEECDAPSDGSHTAASDSAEGKHIPPHVGNAFSFKMEDRKGRMHRFSCESLDELVSVIAYRLGTENEKPNASLLYEDDEGDRVLLATDSDLVAAVEHARSAGWKVLRLHMDYYYGSETRAAESTNLSPVDTSTAQRQRAWAPSLRLGIMAMVAGVGVVVYLRRSQL* >Brasy1G431100.1.p pacid=40052054 transcript=Brasy1G431100.1 locus=Brasy1G431100 ID=Brasy1G431100.1.v1.1 annot-version=v1.1 MRKKAEPNPDRTQPNTTAGQHLVSHRYAVHVSHACSRRFYGPLICWQPAASESRLSRHEKETDGGGQRPQLGAATLWQEGANLSDKGAGTDKAGKIRGGGGAKRRPPESASARGGRRRKEPPAAAPKEMGVDYYKVLGVDRGASDDDLKKAYRKLAMKWHPDKNPTNKKEAEAKFKQISEAYEVLSDSQKRTIYDQLGEEGLKGQQPPGAGGPGASSFYPGGAQSTSFHFNPRSADDIFAEFFGFNVSGMGGMGGMSGGTGGMRGEPRFYAGSPMFGNEFRSSRFGTESSASNMPRPLHKPAPIENRLPVTLADLYKGAAKKMKISREVIDANGRVSQQEEILTIDIKPGWKKGTKITFPEKGNEAPTMTPADIVFIVEEKPHDVFTREGNDLVMTEKISLVEALTGYNVRVTTLDGRSLSVPISSVIHPSYEEVIPGEGMPLPKEPSKKGNLRVKFNIKFPSRLTADQKDGIKRLLSS* >Brasy1G371700.1.p pacid=40052055 transcript=Brasy1G371700.1 locus=Brasy1G371700 ID=Brasy1G371700.1.v1.1 annot-version=v1.1 MLLFLFNLLAVFLLPSLAGFLLPAAAQPKSPTIAQANPAIDLPRSGWLLRPRRQEEEGPTRPWRESLGLLLLPQSRPLLPPASASSWSPTAAACLRLLFPPRWPRLRSSTATTKAEWSSRCSSCGTDKVNHDLDSGFRFESSLRNFS* >Brasy1G173700.1.p pacid=40052056 transcript=Brasy1G173700.1 locus=Brasy1G173700 ID=Brasy1G173700.1.v1.1 annot-version=v1.1 MLGFAEDNNVAVVWTIAGLFMVETESLQFKKLCETNIIQSYYPFESVYTGGIGGETDGAELLHDAEDD* >Brasy1G135700.1.p pacid=40052057 transcript=Brasy1G135700.1 locus=Brasy1G135700 ID=Brasy1G135700.1.v1.1 annot-version=v1.1 MDPYHHYGNTHDPRGAGGFPIHAQPPHAPYFLHHPAAALAEGRPMRVAGGGRRRPGTKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALSQHEQEQEEGARHGADDDDEALTAMQLLGQEVMGCYHAAPGAHHQLVEELDLGPGQMGSSAHDLPPLPSCIFDEESSAAACYSVCTLQGEEIAHEHGSY* >Brasy1G117900.1.p pacid=40052058 transcript=Brasy1G117900.1 locus=Brasy1G117900 ID=Brasy1G117900.1.v1.1 annot-version=v1.1 MRPAHTPASAARGGRALYELYRAASRVAAPAVLLWRRLRGLEHPSRWPERLGQPSVARPLPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVIKDLLPDVVIYQFAPLDCPDAIESFIGYWKPSLILLMESELWPNLIIFAAEKGIAVALLNARMSLKSFKRWSVPLGLPLVSLMLSKISLVIPLSTIQAVRFQLLHTSPQKIHFAGDLKYAVGDVEVVEKELNTIKDLQQQFGNRPIWMAASIHKGEEEVILRVHDDLIKLYPSLLLILVPRHPHDSKIVSLALKKRKVNFVLRSTMEVVSVNTRIYLVDTLGELRMLYRVTPVAVVGGSFLPSLAGHNISEAAAAGCAVLTGPHVGHFYHMLVEMWQTNPLAVKQLTGEVELREVLKELLGDSKALEARQRAAKDAFSIMSDGVVNRIWDLVRTLAIDFQQKHTIAD* >Brasy1G184700.1.p pacid=40052059 transcript=Brasy1G184700.1 locus=Brasy1G184700 ID=Brasy1G184700.1.v1.1 annot-version=v1.1 MAMETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVLGEITTKATVDYEKIVRDTCRNIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGIMFGYATDETPELMPLSHVLATKLGARLTDVRKDGTCAWLRPDGKTQVTVEYRNESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPARYLDEQTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIIASGLARRCIVQISYAIGVPEPLSVFVDSYGTGKIPDREILRLVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRDDADFTWEVVKPLKFDKPASA* >Brasy1G115500.1.p pacid=40052060 transcript=Brasy1G115500.1 locus=Brasy1G115500 ID=Brasy1G115500.1.v1.1 annot-version=v1.1 MLTKFETKSNRVKGLAFHPRRPWILASLHSGVVQMWDYRMGTLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSSSPADDIMRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFYEYSTQKEVQVTPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSSGRADYLQDAKKGAGGSAVFIARNRFAVLEKSSNQVLVKSLKNEIVKKTPLPIATDVIYYAGTGNILCKAEDRVAIFDLQQRLVLGELQVSAVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDSGIIRTVDVPIYITRVAGNNICSLDRDGKNKIIMVDASEYIFKLALLRKRYDHVMSMIKSSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLLTGYLDKVGFMSKIAGQNNNLMGQFHNALYLGDVRKRVEILESAGQVPLAYVTAATHGLTEIADRLASDLGENVPSLPEGKGRSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAEQDEDYEDAGGDWGDEDLEIVDISNVVENGDITVDVEENGTNEEDGEEEGGWDLEDLELPPEAETPKATGPSRSALFVVPTPGMPVSQIWTQKSSLAGEHAAAGNYDTAMRLLSRQLGIRNFAPLKALFLDAHMGSHTFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFSFSQMDDKLKAAYKATTEGKFPEALRQFLNILYTIPLLVVDSRREVDEVKELIEIVREYVLGLKMEVKRKELKDDATRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENSPNEAQAKKARQVLQACGDRKDGHQLNYDFRNPFVVCGATFVPIYRGQKDVSCPFCASRFVPTVEGQLCSICELSVVGADASGLICSPTQSR* >Brasy1G505200.1.p pacid=40052061 transcript=Brasy1G505200.1 locus=Brasy1G505200 ID=Brasy1G505200.1.v1.1 annot-version=v1.1 MARSQWAQLQWPDRPPPRPSLAESNHPLLPNHPLTHTQILLPTRRSAAKARCRLEIPRRGGTDPARPAPRSAQGGKGKSVEEEKRGRGRGLLGGGNGGFSVSCCRGGCGCLYRGA* >Brasy1G295900.1.p pacid=40052062 transcript=Brasy1G295900.1 locus=Brasy1G295900 ID=Brasy1G295900.1.v1.1 annot-version=v1.1 MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDVEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMELLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQEHAEIVIQLVDLIGITSIMEVLIRLIGADETIYSNYADTMQWLENTDVLGMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFCHALEGSRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTVGSETAEKELINQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELIEHVLNECDIVGKILAADKLSSLSTESNGPTLPSEGKTPSKIGNVGHMTRIANKLIQLGNSNSTIQTHLQDNSEWVEWQTDILVRRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGEEQDGSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEEVSPDAEETDDGEATGTEDQMETLCLGNGPTEAEDVAECTKQTNFSTEDEQLGSPEGIGRHPDALNGDTEVSTDEAASAAVESSTPAAEEQTERTVDKPTGSSGLDNLVSEALPDPDVNGSDLADSAVSSEQAVRNEDVQPPIETDAVVDVEAKTDAVVDVEAKTDAVVDGQAKTDEVVDGEMKTDAVVELPVKEVSALDVEAKTGVVEANE* >Brasy1G295900.2.p pacid=40052063 transcript=Brasy1G295900.2 locus=Brasy1G295900 ID=Brasy1G295900.2.v1.1 annot-version=v1.1 MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDVEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMELLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQEHAEIVIQLVDLIGITSIMEVLIRLIGADETIYSNYADTMQWLENTDVLGMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFCHALEGSRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTVGSETAEKELINQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELIEHVLNECDIVGKILAADKLSSLSTESNGDNSEWVEWQTDILVRRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGEEQDGSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEEVSPDAEETDDGEATGTEDQMETLCLGNGPTEAEDVAECTKQTNFSTEDEQLGSPEGIGRHPDALNGDTEVSTDEAASAAVESSTPAAEEQTERTVDKPTGSSGLDNLVSEALPDPDVNGSDLADSAVSSEQAVRNEDVQPPIETDAVVDVEAKTDAVVDVEAKTDAVVDGQAKTDEVVDGEMKTDAVVELPVKEVSALDVEAKTGVVEANE* >Brasy1G215000.1.p pacid=40052064 transcript=Brasy1G215000.1 locus=Brasy1G215000 ID=Brasy1G215000.1.v1.1 annot-version=v1.1 MGMAKCIAIVSCVLFVASAAAAARSLAADGERFGARKDYYPPGPTGPTPSPNPLPPPHDSHGIPPCSPSVGTSPTSPGGYNPPTPSTGTAPPTTPGGDYNPPSPSAGGEDTPPSPSSGTSPTTPGGGGGYYPPSPSSGTSPTTPGVSNCPPSPSAGTDPTTPGSGGGYPPSPSSPGGSDYPPSPSSPGGSDYPPSPTTPGVGNCPPTPSAGTSPTTPGSGYYPPSPSTGTTPTTPGGGYYPPSPSTGTDPNTPAGGGCPPAPSTGTDPNTPAGGYSTPPTPCIGTTPPTGTPTTPDVPISTPSTPPFSPLVPTPPTSTPTPFDPNTPPFSTGPYSYWMSHPGVIWGLFGFWCPLVRLFGPSAAVPFGHDLTVPEALANTRADGVGALYREGTASLLNSMVSTGGFPFTTRQVKDAFGAALGSGEDRAAAAQAQLFKMANEGQAKH* >Brasy1G041700.1.p pacid=40052065 transcript=Brasy1G041700.1 locus=Brasy1G041700 ID=Brasy1G041700.1.v1.1 annot-version=v1.1 MVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGAGLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHKKWLSENKHIKYLWIPYADTVVVVKCNPPSRWKTPKLTSKYGKDEAIQHVRDLYREALKKYRTEAESNDPAIDQLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPSMKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSEEDDIFSWVTCSSVTLCCSVTAKCQSMLLLQSSTYEPLLSLRWAHILPNLLHSGWPFMLLHGREIHCTQVVWCGV* >Brasy1G041700.2.p pacid=40052066 transcript=Brasy1G041700.2 locus=Brasy1G041700 ID=Brasy1G041700.2.v1.1 annot-version=v1.1 MVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGAGLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHKKWLSENKHIKYLWIPYADTVVVVKCNPPSRWKTPKLTSKYGKDEAIQHVRDLYREALKKYRTEAESNDPAIDQLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPSMKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSEEDDIFSWRDPLHTGGVVWSLRSQAIG* >Brasy1G551400.1.p pacid=40052067 transcript=Brasy1G551400.1 locus=Brasy1G551400 ID=Brasy1G551400.1.v1.1 annot-version=v1.1 MISRSFGAISCKSDVYSFGMLLMEMAGGRRNVDQQAARSQTYYPAWVYNQLTRQEVSEISEAVGIYQVERKLCIVGLWCIQMRPHDRPTMSRVLEMLEDGIDGLQIPPKPFFCGDDNAPAADSWRSSELSGTFSE* >Brasy1G282900.1.p pacid=40052068 transcript=Brasy1G282900.1 locus=Brasy1G282900 ID=Brasy1G282900.1.v1.1 annot-version=v1.1 MATPLRCLCLLLCSLIGAARSAAPPALRRLKASASENAQMPPGVGRALSPPLIGHGGRIVACSGKNLLAFEPNGSIAWIVPLGYNCKQDIAPVIEREKIYLVAEDKVIKITPRNLRTGDPATEVFFSYTSTPGRSEEIIGLSTASSYSSLFLTIMNRGLFSFSLRNGQLLWSAGPVLDRFGYRIGCKGNISGCYFNSAPVVDQCEGTLYISNNEGQLYSMYIHSRQYRWIQDLSSIDKVMTIAPGNNGRLYVVLPRKSIVIGFDVLTGNISWQQSVGPLSNEKVLPAVDSNGWISIGSLDGTLYSVSPDGDIRKFLQRTSPNSVIHASPVLDCSGFSVYISQTIMEAKSSQTIGDYTYVSAMKPSSILFTLLAPATGTTYWTEKYPGELADLLSSSDLNYFTLDETILLTALSAARIGSTVQCYTKRQKIWTCRKSKPKFVHGDPGDHNHVLLLFFFQLFVIVIQAVIVRFCCIFWKKKKLQHNGLQKFLEKRRSLHSKRRVLGKIISELEQKAVEDASSNETLEQLGEMVKAKEGVERKLYTSYSLGRDVLGLRQRSSILPLYNGKHKSHSFHGAERESITIFNTLSDTSSSEDRTTSSYSSGSGSCSGSSCGDMESDARFNSAGEAGPSNTADVAVEAQGKCPADAEPSYRVFTNPLYVQGESSSESLSRRKEFLMETMHQGSAPTKRKWLKRRRTLSSTN* >Brasy1G067600.1.p pacid=40052069 transcript=Brasy1G067600.1 locus=Brasy1G067600 ID=Brasy1G067600.1.v1.1 annot-version=v1.1 MLVFVEYGPRLIRHRKHLDWNSIYSLRKKTPSRVVSREATPPQTLSRRLALAVAASPGRRRRGASPGRPVSPLRAKAELLSGAAGGKVAAARLVVSAGARRSAQGGAAVGGGGCARRGFAPCWRRVVQRRSKGWGRDCASAVRGLAMPSGPEQGFSALPSPICKALWLLGGRHGPNQPSTPPPRHPRG* >Brasy1G494900.1.p pacid=40052070 transcript=Brasy1G494900.1 locus=Brasy1G494900 ID=Brasy1G494900.1.v1.1 annot-version=v1.1 TRPGPLLLLLLLLQIQLLPPAYSLFDSAGEYTNHTVYYQQYLPCLPDQVSALLRFKHSFSITNESIAAFRSWRAGTDCCHWEGIRCHHANGRVISLNLSNRGLQSGGLNHALFDLTSLEYLNLAYNDFNGSRLPSTGFERLVKLTHLNLSTSTFGGLVPAGIRQLTNLMSLDLSTGFYIVEMLNEGYKWGTYSYDDSIQLVEPNFAALVAKLGKLRELNLGSVDLFSNGARWCDALAMSTPKLQILSLPLCGLSGPICASLSSLDSLAIIDLQENYLNGPIPDFLTNFSSLKVLQLKRNKLEGWLPPAIFEHKKIVTIDIYHNFGVSGHLPNFSTSNTLENLDVGRTNFSGTIPSSVSDLKSLKRPGLGESGFFGELPSSIGKLKSLSALHISGIGLVRSIPSWVTNLTSLETLKFSDCGLSGSIPPFIGNLTKLQILMLCNCSFSGEITSYISNLTQLQILVLYSNNFFGTVELTSLKKLPHLYALDISQNNLVVVDGEGNSSLASYPKIEVLGLSGCNISKFPNFMRHQYQISILDLSKNKIHGAVPQWAWDIGTSFFIYNLANNKFTSLGYGPLLPFSIEVLDLSDNMFEGPIPIPQGSATMLDYSRNKFSSIPSNFTSHLSGTTLLTASQNNLSGDIPPSFCGATTIQLLDLSYNNFTGSIPPCLMANVNGMQSLKLRENKLHGEFPDNIIEGCSFEALDFSGNWIEGQLPRSLVACKNLEVLDVGNNQISDSFPCWMSTLDRLEVLVLRSNRLFGHVAQSLSNEKTTCAFPSLRIVDLSSNNFSGPLPQDQWFKNLKSMILRYSNIHAYMYSTAITYKGHDTAFAKILRTLVFIDVSNNAFHGGIPKAIGELVLLHGLNLSHNFLTGAIPSQVGRLNQLEALDLSSNELSGVIPRELASLDFLTMLNISYNMLEGKIPESPHFSTFPNSSFLGNAYLCGPPLLKECNNTTMLNVVRPTSEKNSLDIMLFLFVGLGFGVGFAVVIVLTWVLPIKKKS* >Brasy1G400900.1.p pacid=40052071 transcript=Brasy1G400900.1 locus=Brasy1G400900 ID=Brasy1G400900.1.v1.1 annot-version=v1.1 MGSECKGHQVQDDEGSGSGPGSIAPFVAKTFHMVSDPATDGVVRWGGASNTFLVLDSAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDSWEFAHESFLRGQAKLLPLIVRKKKKAGARGELCEEEEEVRGTIRAVQRLRDERRGMEEELQAMDRRLRAAENRPGQMMAFLGKLADDPGVVLRAMVAKKEELAAAAGGGKDSSSPEKRRRIIGGEAGRGGAVAGADAAESRAVPFPLLCSWPSVLLVDPQEE* >Brasy1G400900.2.p pacid=40052072 transcript=Brasy1G400900.2 locus=Brasy1G400900 ID=Brasy1G400900.2.v1.1 annot-version=v1.1 MGSECKGHQVQDDEGSGSGPGSIAPFVAKTFHMVSDPATDGVVRWGGASNTFLVLDSAAFSDFLLPSYFKHRNFASFVRQLNTYGFRKVDPDSWEFAHESFLRGQAKLLPLIVRKKKKAGARGELCEEEEEVRGTIRAVQRLRDERRGMEEELQAMDRRLRAAENRPGQMMAFLGKLADDPGVVLRAMVAKKEELAAAAGGGKDSSSPEKRRRIIGGEAGRGGAVAGADAAESRAVPFPLLCSWPSVLLVDPQEE* >Brasy1G273400.1.p pacid=40052073 transcript=Brasy1G273400.1 locus=Brasy1G273400 ID=Brasy1G273400.1.v1.1 annot-version=v1.1 MRIGGAPEGGSDHGLGLAERGAPWRSCSIVAARRVARACADPITAASRRNGRRLPRHRQRGRLVAAARAARARGSSWGRRRWRRAATRGGGRGGGEGSARPPSWQLLALVRAGERLRSRHGRSGREATRAGRRPVGAEPAAGGPHGAAAPVAVSRYLFCADASNRTAPPHPSAAAAGGTRRSQPELGKKNRRERGTSQLSRE* >Brasy1G395200.1.p pacid=40052074 transcript=Brasy1G395200.1 locus=Brasy1G395200 ID=Brasy1G395200.1.v1.1 annot-version=v1.1 MASQSPPPPPVLTLTVEKGPRKGEIRQCIAGSALRVGRVVKGNDLAVRDVGASQQHLAIEFHPPPASRWAVSDLGSSNGSFLNGAPLVPTVPAPLSDGDLIKIGESTVLAVSLASDSDLSRGAAEAAGPRRSSRCPAVPAAAEEKKPPAGTRRGTRKKAAVVEVPELEDEVPDAAAVVVEEEKPRAVTRRGGRKKAAAAAPPEPEKDEEEEEEEPPVVTRRGRQKAATEHPQPEKDEETEVALVVTQLEGSKKAVEPPEPEPEKEGAKRRGRPKKATEVPEPGKEEEAKEEAPVVTRRSARNKGAAMVAAPPPPAKTRSRRGRGKGARAASTREAVVPEDEDVEEQEEIEVAKPREPTVNHLSTSTVVKSAEAEKIAAGDGEIKAAAKEAPEVEVAKEAAGATVANNGCEKENDGGEDEEEDGKAKLVGSGGEAGAGEKVEERAGRSSLDTMTLGEWFYRMEKYLVRKIDECAEQSIVELEDQERRLYEYISTLGKQL* >Brasy1G231100.1.p pacid=40052075 transcript=Brasy1G231100.1 locus=Brasy1G231100 ID=Brasy1G231100.1.v1.1 annot-version=v1.1 MDQFSCHAGTPRWPDRWTRFRQPNASRAELVVAEAPFRFPERAAQCQWSRPPLPSSSPPPLWTPLARMAVPCARGSSTPLTSLGMYARGSAPMDPSSAGLASSGQDLRMAVRRTRVRHL* >Brasy1G084600.1.p pacid=40052076 transcript=Brasy1G084600.1 locus=Brasy1G084600 ID=Brasy1G084600.1.v1.1 annot-version=v1.1 MGRPARPAQNGPAAPRTPPRANTSDPTGSGTTNHGTGASSTGSGHASHGPSAAAPTAASRAPPPAPPRPQRPPARLHARPGGHPRASTRAAAPRRPPERLLAPPASHLAPPRLPRASSRLRASRAPPRPSVRPPARPLSPPRHGGLPQRPNHGGGPSDARSGRRGRRAAGSGQRTARSGRRGPHRRHRPATSRACDRNEACRERRPRRRRRRAGFARRRPPAA >Brasy1G463800.1.p pacid=40052077 transcript=Brasy1G463800.1 locus=Brasy1G463800 ID=Brasy1G463800.1.v1.1 annot-version=v1.1 MASPPSSSSYSSSYPAPHHTRPRFRRSPRPPPPPRLCSSSTSSSRRPSAVQESQFGSMASTATSWRGSVQIATAFDEDLGDFSLVHHDDGDEAFGVALYSSESEWSDDEAVLTQIADVELPVMTDRRFKGAEGAITIAAHRLASIEKGHRKSRTQQGLMNNVGLIAFLATLLLFVDWCSWRIVRLPLDSFYLTRPFLISAVLSALAGFIFAPIADSMKIHHFRRRGKPVSPSYGKPTPAMGGLFFIPIGILVARRHVSSNSSGVNGATIITLIFAIVGLLDDISNLAMDRKRKIPQWIRFLVQAAAGIYFFIWLGSADISTPYSMKFLVPLPPPFGLALMGKLYLVLATICSLSMGTGVTLVDGLDGLAGGVAALALSGLSVAALPICSELSVFGTSMSGACTGFLFHNRYRASIVMGRVGSFALGGALATIAACSGMFIPMLIASSVFFLELLLVILQVPLNLTLKHVHGTNRYFLRTLPSHYYLRLWGVKEPYIVAGAYVMSCFLTVLAGYLGLVSA* >Brasy1G307200.1.p pacid=40052078 transcript=Brasy1G307200.1 locus=Brasy1G307200 ID=Brasy1G307200.1.v1.1 annot-version=v1.1 MALLVKKYNPTTFKAGNKFSKGKKMTCYNCGQVGHFANECPCEKREDPPKVEKKDIRFVKKLPNPLNKKTFKKKEGKALVGTIYTSDDSDNEDEGDVIEIAGVARLAKPDSLFKYDYSKDYKEGSHMCLMARETKVSPPFISSLLNSSNNISPDINLDDDDDVCVEDDDEELEEATKILNGLTTFMTTLRGESLSKFQYLMDFVVERNEVIMSLESHIIEEKRRVKL* >Brasy1G304600.1.p pacid=40052079 transcript=Brasy1G304600.1 locus=Brasy1G304600 ID=Brasy1G304600.1.v1.1 annot-version=v1.1 MVANGDAPARGSAAAAASLRRRRTTSGAAAGGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFIAVVAVLHVFGKLYRTPN* >Brasy1G079800.1.p pacid=40052080 transcript=Brasy1G079800.1 locus=Brasy1G079800 ID=Brasy1G079800.1.v1.1 annot-version=v1.1 MKLTCLAAAGSGGGYHSPASHLLELEGLRFLLDCPVDLSVLAAFAPVPFGVNTGDAGDLISAVPYYWSPAAAVAAKAGGVDAVLVSSATGMLGLPFLTRLPSFANTKVYVTELAARIGELMMRELVEMHSEFVLYYGPDIDGPPKWMEREKVDKLLSVLQKVVNEDEVKDFAPLMPLYSATNIDECVQKMQRVKYSEEVCFNGMLMLKASSSGLELGNCVWSIKGPRASITCLSSTVFVSAHALDFDYNSLKENDIILFSDFSSLNAMDEDNENLDENATDENDTLLCHDSVLRDNSVNEDENAQYLCINDDIADEIERIGFVCSCIMDAIKSGGSVLIPIGRLGVILLILEYISETVHFSNTKVPIFMISGAAEKIIAFTNAVPEWLCKPRQEKLFSGEALFGHVELLKEGRLFLFPHLYSKGLLAAWKEPCIVFCPHWSLRHGTAVHLLRRWHADKRNLLVLEQGFDAELALKPFMPLAMQVLECSFLSGMKARKVNPLLRVLKPKLVLFPEWMKAPCPLKEDSPWSFLHYSKGKTIEIPNIREEFEVRLSTDAGFGLDENITVTRLRGKLHLSKGQYVLVAEKDQSDRPKRHCRR* >Brasy1G079800.2.p pacid=40052081 transcript=Brasy1G079800.2 locus=Brasy1G079800 ID=Brasy1G079800.2.v1.1 annot-version=v1.1 MKMSATNIDECVQKMQRVKYSEEVCFNGMLMLKASSSGLELGNCVWSIKGPRASITCLSSTVFVSAHALDFDYNSLKENDIILFSDFSSLNAMDEDNENLDENATDENDTLLCHDSVLRDNSVNEDENAQYLCINDDIADEIERIGFVCSCIMDAIKSGGSVLIPIGRLGVILLILEYISETVHFSNTKVPIFMISGAAEKIIAFTNAVPEWLCKPRQEKLFSGEALFGHVELLKEGRLFLFPHLYSKGLLAAWKEPCIVFCPHWSLRHGTAVHLLRRWHADKRNLLVLEQGFDAELALKPFMPLAMQVLECSFLSGMKARKVNPLLRVLKPKLVLFPEWMKAPCPLKEDSPWSFLHYSKGKTIEIPNIREEFEVRLSTDAGFGLDENITVTRLRGKLHLSKGQYVLVAEKDQSDRPKRHCRR* >Brasy1G526400.1.p pacid=40052082 transcript=Brasy1G526400.1 locus=Brasy1G526400 ID=Brasy1G526400.1.v1.1 annot-version=v1.1 MAAAAAGTGGLAPGRSSFSRAASSQTASSAAATASGVKLGPKGAAFVSSGIPDLDRILGGGFLLGSVVMVMEDSDAPHHLLLLRNFMAQGVVHKQPLLFAGPMKEPRTFLGALPAPVASSKEDARQRAIGPGATSDGRASDEGLRIAWQYRKYFGEEKTSHAQHRDNKQEFSNDFDLRKPLERHLLNAQHIECLSTQDVDNLTDLQDHCSTFLSKLPRKDGGTLNAGRIAIQSLCAPQCGYFGKDWDMVSFIRSLKSMVRSSNAVAVITFPYTVLSNSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSSGPSSGSSGSCSSSAQGSQLDF* >Brasy1G415700.1.p pacid=40052083 transcript=Brasy1G415700.1 locus=Brasy1G415700 ID=Brasy1G415700.1.v1.1 annot-version=v1.1 MSEMKDTNATGHDLVGNSEPMDQSADNSMPSVQQQEQAIKKKFGGLIPKKTPLISKDHERAYFDSADWALGKSGQGVSKPKGPLEALRPKLQPTRQQQQRSRRSIYTSSENEDGEGAGAEDMNIS* >Brasy1G560300.1.p pacid=40052084 transcript=Brasy1G560300.1 locus=Brasy1G560300 ID=Brasy1G560300.1.v1.1 annot-version=v1.1 MHIQGAAGTPKPSSLPEESGTRLSSCRVNHATFATLIERSQMKRNDHNQVRVQLFCARGLGGRMEFTSGDVWFAVAVLLIATVATKIAIARATVDPACTRPLPPVVKGAALLGLLHALVTKDLPTVIHDLYGKFGSVFTVSLLGQKVTFLVGSEVSAHFFKGLESEINIGNLLNFTVPIFGQEVGYGVDLATRNEQARFCLDALKPSKLRSHVDPMLQEVEEYFAKWGQQGIVDLKHEFEVLLMLISSRVLLGKEVREKMFDEFCALFGQIENGVNLLSVFFPYIPIPANQRRDRARVKLTQILSDVVSSRKSSGRVEEDTLQRLIDSRYKDGRPTTEAEITGMIIGMLFAGKHTSSHTTIWTGACLLNSPKFLAAAVEEQKELVVKYRDQIDYSTLAEMDSLHCCIKEALRMHPPSPVLVRKAQKRFTVKTKQGNEYDIPRGHTIASPTIVNNNMHYIYKEPQVYDPDRFGPGREEDVVGGKFSYTSFGSGRHACIGESYAYMQIKLIWSHLLRNFELQLVSPFPRTDWNKIVPGPQGKVMVSYKRSRMSA* >Brasy1G560300.2.p pacid=40052085 transcript=Brasy1G560300.2 locus=Brasy1G560300 ID=Brasy1G560300.2.v1.1 annot-version=v1.1 MEFTSGDVWFAVAVLLIATVATKIAIARATVDPACTRPLPPVVKGAALLGLLHALVTKDLPTVIHDLYGKFGSVFTVSLLGQKVTFLVGSEVSAHFFKGLESEINIGNLLNFTVPIFGQEVGYGVDLATRNEQARFCLDALKPSKLRSHVDPMLQEVEEYFAKWGQQGIVDLKHEFEVLLMLISSRVLLGKEVREKMFDEFCALFGQIENGVNLLSVFFPYIPIPANQRRDRARVKLTQILSDVVSSRKSSGRVEEDTLQRLIDSRYKDGRPTTEAEITGMIIGMLFAGKHTSSHTTIWTGACLLNSPKFLAAAVEEQKELVVKYRDQIDYSTLAEMDSLHCCIKEALRMHPPSPVLVRKAQKRFTVKTKQGNEYDIPRGHTIASPTIVNNNMHYIYKEPQVYDPDRFGPGREEDVVGGKFSYTSFGSGRHACIGESYAYMQIKLIWSHLLRNFELQLVSPFPRTDWNKIVPGPQGKVMVSYKRSRMSA* >Brasy1G503300.1.p pacid=40052086 transcript=Brasy1G503300.1 locus=Brasy1G503300 ID=Brasy1G503300.1.v1.1 annot-version=v1.1 MDSSTGSRGPATFSTQANALLRKNLCFQKRNLKTNVCITLFPILLCVLLVALQGAIDREIDKPKYRCGCACVDTAADGSCRRTECGIQYSTLDQVASCPIPNPPRWPAVVQVPRPESRAIRTASQPFDGLPDPTCRDTGSCPAAFLITGKNRSFAESLSAELFPTLSSSLNFTDYLDVLSKIVPGSDTWTSSRQLLEPTFVPGNTLYIVQPQCRSNLSQTISVNAGPIPLQLNVDCIQGLSLWRDSASVINDELLKGYRQQGGSGGKTNEFAAGYDFLNTNKDSLDISILFNSTYNNNTANSAIALLRVPRLVNMASNAYIKFLRGSGVEMLLEYVKDMPKVGTKLTFDLSSLLGALFFTWIVELLFPVVLTYLVYEKQQKLKIMMKMHGLKDGPYWMITYGYFFALSAVYMVLFVIFGSLIGLRFFTKNDYTVQFVFYFIYINLQIALAFFAATFFSSVKIATVVGYIYVFGSGLLGAFLLSFFVEDTGFPKGWIVVMEIIPGFSLYRGLYEFGQYAFSGNAMGTNGMKWDNLSDPVNGMRTVLIIMVVEWAILLPLAYYLNQVSLLGGGLRKKSLFFMKYFKKRALSLRRYSFGRLGPKVVVEMENPDVTQEREVVEQLLLEPNANHAIICDNLNKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGMDIRTDMNDIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKGRYGGTYVFTMTTASEHEQEVEQLVHRLSPSANRIYHISGTQKFELPKQEVKIADVFHEVESAKCRFSIHAWGLADTTLEDVFIKVAKGAQAFSVIA* >Brasy1G503300.2.p pacid=40052087 transcript=Brasy1G503300.2 locus=Brasy1G503300 ID=Brasy1G503300.2.v1.1 annot-version=v1.1 MDSSTGSRGPATFSTQANALLRKNLCFQKRNLKTNVCITLFPILLCVLLVALQGAIDREIDKPKYRCGCACVDTAADGSCRRTECGIQYSTLDQVASCPIPNPPRWPAVVQVPRPESRAIRTASQPFDGLPDPTCRDTGSCPAAFLITGKNRSFAESLSAELFPTLSSSLNFTDYLDVLSKIVPGSDTWTSSRQLLEPTFVPGNTLYIVQPQCRSNLSQTISVNAGPIPLQLNVDCIQGLSLWRDSASVINDELLKGYRQQGGSGGKTNEFAAGYDFLNTNKDSLDISILFNSTYNNNTANSAIALLRVPRLVNMASNAYIKFLRGSGVEMLLEYVKDMPKVGTKLTFDLSSLLGALFFTWIVELLFPVVLTYLVYEKQQKLKIMMKMHGLKDGPYWMITYGYFFALSAVYMVLFVIFGSLIGLRFFTKNDYTVQFVFYFIYINLQIALAFFAATFFSSVKIATVVGYIYVFGSGLLGAFLLSFFVEDTGFPKGWIVVMEIIPGFSLYRGLYEFGQYAFSGNAMGTNGMKWDNLSDPVNGMRTVLIIMVVEWAILLPLAYYLNQVSLLGGGLRKKSLFFMKYFKKRALSLRRYSFGRLGPKVVVEMENPDVTQEREVVEQLLLEPNANHAIICDNLNKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGMDIRTDMNDIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKGRYGGTYVFTMTTASEHEQEVEQLVHRLSPSANRIYHISGTQKFELPKQEVKIADVFHEVESAKCRFSIHAWGLADTTLEDVFIKVAKGAQAFSVIA* >Brasy1G397700.1.p pacid=40052088 transcript=Brasy1G397700.1 locus=Brasy1G397700 ID=Brasy1G397700.1.v1.1 annot-version=v1.1 MNRVRSEDLAVDRAIDRYGIHEVGGPLLVGGVDLLNTRTGLFCDNGLHHILTDEQRFRAYLEFREQAFQIAVTELMSGAESTVDMFLSIAVDREQFGSHLVEYIMLHCTRHDGISSIFQQSAIDRDGPNGSEAEKLLRRRLYALQRYFALRRLLVKLDFIQLSSDPEDVIKRLKSSIRCPELQEDELSYSLKSHMNFLKKYVEKKMDPAYSISESSVSTTMTTLVFFFQDSCSIPGN* >Brasy1G397700.2.p pacid=40052089 transcript=Brasy1G397700.2 locus=Brasy1G397700 ID=Brasy1G397700.2.v1.1 annot-version=v1.1 MNRVRSEDLAVDRAIDRYGIHEVGGPLLVGGVDLLNTRTGLFCDNGLHHILTDEQRFRAYLEFREQAFQIAVTELMSGAESTVDMFLSIAVDREQFGSHLVEYIMLHCTRHDGISSIFQQSAIDRDGPNGSEAEKLLRRRLYALQRYFALRRLLVKLDFIQLSSDPEDVIKRLKSSIRCPELQEDELSYSLKSHMNFLKKYVEKKMDPAYSISEDSCSIPGN* >Brasy1G397700.3.p pacid=40052090 transcript=Brasy1G397700.3 locus=Brasy1G397700 ID=Brasy1G397700.3.v1.1 annot-version=v1.1 MNRVRSEDLAVDRAIDRYGIHEVGGPLLVGGVDLLNTRTGLFCDNGLHHILTDEQRFRAYLEFREQAFQIAVTELMSGAESTVDMFLSIAVDREQFGSHLVEYIMLHCTRHDGISSIFQQSAIDRDGPNGSEAEKLLRRRLYALQRYFALRRLLVKLDFIQLSSDPEDVIKRLKSSIRCPELQEDELSYSLKSHMNFLKKYVEKKMDPAYSISERFQQSR* >Brasy1G300200.1.p pacid=40052091 transcript=Brasy1G300200.1 locus=Brasy1G300200 ID=Brasy1G300200.1.v1.1 annot-version=v1.1 MDSAHARNGGSSSGAAPSTSDRYSSAEPDDNGGAKVWVLVLLFSLIVLLLLPSAVRRGGFQRGGITLKSGWDVVNLCLVLFAILCGLLGRGGGDGDSPGAAAAAAKDHRQVSPVAAPEPAAEAGEEASTEDVWAGINNSSAASYSGIRRLKSTSSYPELRLDSDGVWGLASPELAWRSYDDAELYRTRRLERTTTALDADRPPPPLRRPTPPEEEKTIPVDTYEVRSRRSLPQEPRRRRRSVERLPKMAEVEEERTHPTETLPTLARSRRWSPEDLDYATPGVPERTVHPTETLPTLARRRTWSPEDLYAAAAELETPVEVASVEPPPPPPVARRRRRSMENLPRVEELEPEIIAQEISANDPFSSSSPAMFPPGTPPPPPPPPPGAMSRSKKKRSGSVGGAKELASAIALFYQKKRKSIIMKRERHHHNKHHHHHHHLSDDHYSSPSSEASASPEATARTGRPPPPPPPPPPPPSSIFSNLFKNKKGGSKSRRIYSVAPPQPPPPPPPTRRSRKPPTPPSRAAPPAPPPPPVTTRPPRPRAHQQQQPPRAPVYRQPTPPPHTQQPPLYPRREVVYYTYPLPPPSPPMPPPPPPPPMSEGEEEAPSVTASPAPSYCASPDVNAKADNFIERFRAGLKLEKINSYREKLQIQEGATVTVAEEDGEFMVIGSLFEDDDDDMSLPETPAAATAAAVAVGY* >Brasy1G190100.1.p pacid=40052092 transcript=Brasy1G190100.1 locus=Brasy1G190100 ID=Brasy1G190100.1.v1.1 annot-version=v1.1 MEAAAALARPPEYTSAGGLLTEHYRLGSDSKRMYVRIVSSNAGRTSLFLHQRRSRKATAFAAPPVVAASLPRRRIQRRGSGTTKVVAAARRRRADIQSDTYVLLEPGMEEEFVSKEELEARLRGWLERWPGDELPPDLAVFDTLDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE* >Brasy1G004200.1.p pacid=40052093 transcript=Brasy1G004200.1 locus=Brasy1G004200 ID=Brasy1G004200.1.v1.1 annot-version=v1.1 MASASSSRGRWASPERTVVWTEPRPKPTSRASAPAPKKKVTAAVVYYLSRDDGQLDHPHFMEVPLSSPHQGLSLQDVLARLALLRGAAMADAYSWSSKRGYRNGYVWHDLAADDIVHPAIDANGNEEYVLKGSKLLVPPRPRDASAGSSSSSSLSSSSSSCLVQEQEGKTKTAMASGRAGRRKNWSSFDLGEYRVAAAVHPGADAATQTEDRRRRIPREPPAAAELGADEISPPPSSSSPDTLETLIKHDARLAAASAATAMAAPTQEAVGVIAGGRMRASAVLMQLISCGSIPAAKPKQDRRRLPQGRSDLSAAAADGGFSSSSGSSIGIGIGGMTMDREYFSGSLVESTKTSSHSDAVPGSELKRSSSYNADRGSSTKLELAEKEVDGVRARCIPRKLPSSSSKLAPTAAAAGLQTQGTDGAQP* >Brasy1G004200.2.p pacid=40052094 transcript=Brasy1G004200.2 locus=Brasy1G004200 ID=Brasy1G004200.2.v1.1 annot-version=v1.1 MASASSSRGRWASPERTVVWTEPRPKPTSRASAPAPKKKVTAAVVYYLSRDDGQLDHPHFMEVPLSSPHQGLSLQDVLARLALLRGAAMADAYSWSSKRGYRNGYVWHDLAADDIVHPAIDANGNEEYVLKGSKLLVPPRPRDASAGSSSSSSLSSSSSSCLVQEQEGKTKTAMASGRAGRRKNWSSFDLGEYRVAAAVHPGADAATQTEDRRRRIPREPPAAAELGADEISPPPSSSSPDTLETLIKHDARLAAASAATAMAAPTQEAVGVIAGGRMRASAVLMQLISCGSIPAAKPKQDRRRLPQGRSDLSAAAADGGFSSSSGSSIGIGIGGMTMDREYFSGSLVESTKTSSHSDAVPGSELKRSSSYNADS* >Brasy1G399600.1.p pacid=40052095 transcript=Brasy1G399600.1 locus=Brasy1G399600 ID=Brasy1G399600.1.v1.1 annot-version=v1.1 MHDFMQPLERPSSQSPPPPSHPTDNNKPLTPPTARTTTTATAVSLTWLHPCTPRPMRPCPTVAAPGPDGGAEDTLRRQLLARVRRRLRRVAGGAATPLRLWAPPGRTSTPLPRRPAATRPPPHLTAAAAGAVSLLACYSAASAQQRVNQGMDGMGGEGMWFGEGWEERGCGLGRDGRG* >Brasy1G365600.1.p pacid=40052096 transcript=Brasy1G365600.1 locus=Brasy1G365600 ID=Brasy1G365600.1.v1.1 annot-version=v1.1 MQVDQTTSEGAVASETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTNGAYVARQAAKSIVASGLARRCIVQVSYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIIIDLDLKRGGNDRCLKTAAYGHFGRDGPDFTWEVVKSLEWKKPSA* >Brasy1G270000.1.p pacid=40052097 transcript=Brasy1G270000.1 locus=Brasy1G270000 ID=Brasy1G270000.1.v1.1 annot-version=v1.1 MNMASSASGRGGFLLPPAAAVVVLVLGAAVFQARGAPAVACVPRVFSFGDSLADTGNFPFLYGNDSREPALRRPYGETFFRRATGRFSDGRLIVDFIADAMGLPFVRPYLSGGSAEDFACGANFAVGGAMALSSDFFRGRGVPMGDRMHLGIEMKWFRNLLDLLCPVDRADCRGLMNKSLFLVGEIGGNDYNIPLLSRVPFKKIRTFTPSVVAKISSTITELIGLGAKTLVVPGNLPIGCIPNYLMIFKSDKEDYEPETGCLRWMNEFSQYHNKLLVDELEKLRKLHLGVSLIYADYYGAAMEVYRSPKQFGIEHPLAACCGGGGPYGVSITSRCGYGEYKVCDDPQKYGSWDGFHPSEAAYKGIAIGLLRGTYTQPSIATVISGCPQLTELGSSVEHKNCSTVLQITIVAQVIGWLLFFHQYL* >Brasy1G270000.2.p pacid=40052098 transcript=Brasy1G270000.2 locus=Brasy1G270000 ID=Brasy1G270000.2.v1.1 annot-version=v1.1 MNMASSASGRGGFLLPPAAAVVVLVLGAAVFQARGAPAVACVPRVFSFGDSLADTGNFPFLYGNDSREPALRRPYGETFFRRATGRFSDGRLIVDFIADAMGLPFVRPYLSGGSAEDFACGANFAVGGAMALSSDFFRGRGVPMGDRMHLGIEMKWFRNLLDLLCPVDRADCRGLMNKSLFLVGEIGGNDYNIPLLSRVPFKKIRTFTPSVVAKISSTITELIGLGAKTLVVPGNLPIGCIPNYLMIFKSDKEDYEPETGCLRWMNEFSQYHNKLLVDELEKLRKLHLGVSLIYADYYGAAMEVYRSPKQFGIEHPLAACCGGGGPYGVSITSRCGYGEYKVCDDPQKYGSWDGFHPSEAAYKGIAIGLLRGTYTQPSIATVISGCPQLTELGSSVEHKVLYDL* >Brasy1G286100.1.p pacid=40052099 transcript=Brasy1G286100.1 locus=Brasy1G286100 ID=Brasy1G286100.1.v1.1 annot-version=v1.1 MAPQPPLHPPASFDRHFSASFTQGFLKAAHDGDLLDVKKGAMVVGRGLEGRRLAERLGAVRDGFGMGLLHSAALGGSLPMCRFLVEDLRLDIDAAGPQGETPLTIAIGLQYVDTVRYFLEQGADLEKVNAEGSTPLHFAAGAGNREIVELLLSNGACVNALSLGGTALHAAVHYGQDDIVKVLLDHHADHKIALSGTGYTALDIATAVGSVKCVKLLLEAGADVNGNCKETPLMIAASTGGLTHILKRLVRAGADANVSDSKAMLATAKFKAHEAFNNGNYLVAARIYKEAMELDPDNATLLSNRSLCLLRFGNGVEALKDAQACRMMRPGWSKACYREGTALMLLEEYGKASAAFLDGLKLEPGNVEIEEGLREAMKALKMSCGMPERN* >Brasy1G286100.3.p pacid=40052100 transcript=Brasy1G286100.3 locus=Brasy1G286100 ID=Brasy1G286100.3.v1.1 annot-version=v1.1 MAPQPPLHPPASFDRHFSASFTQGFLKAAHDGDLLDVKKGAMVVGRGLEGRRLAERLGAVRDGFGMGLLHSAALGGSLPMCRFLVEDLRLDIDAAGPQGETPLTIAIGLQYVDTVRYFLEQGADLEKVNAEGSTPLHFAAGAGNREIVELLLSNGACVNALSLGGTALHAAVHYGQDDIVKVLLDHHADHKIALSGTGYTALDIATAVGSVKCVKLLLEAGADVNGNCKETPLMIAASTGGLTHILKRLVRAGADANVSDSAMELDPDNATLLSNRSLCLLRFGNGVEALKDAQACRMMRPGWSKACYREGTALMLLEEYGKASAAFLDGLKLEPGNVEIEEGLREAMKALKMSCGMPERN* >Brasy1G286100.4.p pacid=40052101 transcript=Brasy1G286100.4 locus=Brasy1G286100 ID=Brasy1G286100.4.v1.1 annot-version=v1.1 MAPQPPLHPPASFDRHFSASFTQGFLKAAHDGDLLDVKKGAMVVGRGLEGRRLAERLGAVRDGFGMGLLHSAALGGSLPMCRFLVEDLRLDIDAAGPQGETPLTIAIGLQYVDTVRYFLEQGADLEKVNAEGSTPLHFAAGAGNREIVELLLSNGACVNALSLGGTALHAAVHYGQDDIVKVLLDHHADHKIALSGTGYTALDIATAVGSVKCVKLLLEAGADVNGNCKETPLMIAASTGGLTHILKRLVRAGADANVSDSKAMLATAKFKAHEAFNNGNYLVAARIYKEAMELDPDNATLLSNRSLCLLRFGNGVEALKDAQACRMMRPGWSKACYREGTALMLLEVL* >Brasy1G286100.2.p pacid=40052102 transcript=Brasy1G286100.2 locus=Brasy1G286100 ID=Brasy1G286100.2.v1.1 annot-version=v1.1 MAPQPPLHPPASFDRHFSASFTQGFLKAAHDGDLLDVKKGAMVVGRGLEGRRLAERLGAVRDGFGMGLLHSAALGGSLPMCRFLVEDLRLDIDAAGPQGETPLTIAIGLQYVDTVRYFLEQGADLEKVNAEGSTPLHFAAGAGNREIVELLLSNGACVNALSLGGTALHAAVHYGQDDIVKVLLDHHADKAMLATAKFKAHEAFNNGNYLVAARIYKEAMELDPDNATLLSNRSLCLLRFGNGVEALKDAQACRMMRPGWSKACYREGTALMLLEEYGKASAAFLDGLKLEPGNVEIEEGLREAMKALKMSCGMPERN* >Brasy1G292100.1.p pacid=40052103 transcript=Brasy1G292100.1 locus=Brasy1G292100 ID=Brasy1G292100.1.v1.1 annot-version=v1.1 MPVALAAAVVLIGVKFRHRRQQDSERAPTAPFKPTGQTTHIVNLMEEQTNKVMAALETLTKELKEMGLRMTGMETSVGSLQTSVDSLQTDVQKSQKNVEMAMTTVGSVQQDQIAMGRNVQQLQRASPPTAASLPINRTGLPTGPPLLAGSGVLGPNATTVQPPPKAPVGAAGASAAATTTQIPGHDTSHAAKVTNHELHTDLEYSSDVEQHGRRNMPKMDFPKFSGVDARIWIDQCNTYFLLYNIPEGFKVSATTMNFIEPASHWYHSFKLEHPYLTCVKPRDRNYAI* >Brasy1G160800.1.p pacid=40052104 transcript=Brasy1G160800.1 locus=Brasy1G160800 ID=Brasy1G160800.1.v1.1 annot-version=v1.1 MPSVPVVGMDALLQELHACVRGGDVGVVGIYGMAGVGKTALLNKFNNEFLINSQDINVVIYVDVGKEFSLDDIQKLIGDRLGVSWENRTPKERAGVLYRVLTKMNFVLLLDDLWEPLNFRMLGIPVPKPNSKSKIIMATRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMRATTEIRQHAQALAMKCGGLPLALITVGRAMASKHTAKEWKHAITVLKIAPWQLLGMEMDVLTPLKNSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLDRGKDEEHITMHPMVRAMALWIASEFGTKETKWLVRAGVGLKEAPGAEKWSDAERICFMRNNILELYEKPNCPSLKTLMLQGNPALDKICDGFFQFMPSLRVLDLSHTSISELPSGISALVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLEMIPGGLIDSLKMLQVLYMDLSYGDWKVGDSGSGVDFQELESLRRLKAIDITIQSLEALERLSRSYRLAGSTRNLLIKTCGSLTKIKLPSSNLWKNMTNLKRVWIASCSNLAEVIIDGSKETDRSIVLPSDFLQRRGELVDEEQPILPNLQGVILQGLHKVKIVYRGGCIQNLSSLFIWYCHGLEELITVSPNEGEQETASSSDEQAAVICKVITPFPNLKELYLHGLAKFRTLSSSTCMLRFPSLESLKIVECPSLNKLKLTAAELNEIQCTREWWDGLEWDDEEVKASYEPLFRPMH* >Brasy1G094200.1.p pacid=40052105 transcript=Brasy1G094200.1 locus=Brasy1G094200 ID=Brasy1G094200.1.v1.1 annot-version=v1.1 MKGRAVLAPPRTRAHDPPPRRFLFHRGTEYNRSIPLPCSYRRRRRRRRRLTSPN* >Brasy1G186700.1.p pacid=40052106 transcript=Brasy1G186700.1 locus=Brasy1G186700 ID=Brasy1G186700.1.v1.1 annot-version=v1.1 MEGRRREDPPAAQPHLLFVTSPLQGHINPVRRLAARVAAAAAGAAQVTVSTAVSGHRRMFPSVAASPNADAVDGTGMLHAPYSDGFDEGFDPEIHDVRSYGPRARAVGCETLSGVIARLARRGRPVTRVVYTFLVPWAPDVARAHGVPAALFWIQPAAVFAVYYHFFHGHEAALASSCADAVVSLPGLPPLEPRALPSIVLTTTPEQRRYAVLQTLRELFLALDEDDPQQHRRPKVLVNTFDALEPEALRAVPQFELVAVGPVVPPEPDDAASSPNSSTDLHLSLFGGRDVEKKQASMDEWLGTNAAGSVVYVSFGSLIAASKRQEVELRRGLKATGRPYLWVSSTAAAADEEAPDTVNGMVVEWCDQVRVLSHPAVGCFVTHCGWNSALESVACGVPVVAVPQWTDQPMVAWIVEERAGVGVRAWVDGEGMAEGGEIRRCVEAVMGDGDAAVGIRANASRWRERAMEAIASAGTLEKNLRAFVSGL* >Brasy1G076100.1.p pacid=40052107 transcript=Brasy1G076100.1 locus=Brasy1G076100 ID=Brasy1G076100.1.v1.1 annot-version=v1.1 MAGIRKVSNNAAAMAMALLVAALLAVAVSGRHTACHVDLERMQGACGSYCAAGSREGSPSGACCDALRGADLPCLCHFKNLLPSVAPGIDAARAMQIPAKCGIPNMPASC* >Brasy1G152900.1.p pacid=40052108 transcript=Brasy1G152900.1 locus=Brasy1G152900 ID=Brasy1G152900.1.v1.1 annot-version=v1.1 MVEIGACFMDKIEESTVEDEEVAGSIDGDEVEMEPVEPLPEPPDDAGPVGWPMPDFCPLTIDGAVKESFLETLRKEKDAEEKLQGEEEAEAAPSPDSRPSSSKRQRAGTGSPRSPYRNILQVFQQCKQDVA* >Brasy1G575100.1.p pacid=40052109 transcript=Brasy1G575100.1 locus=Brasy1G575100 ID=Brasy1G575100.1.v1.1 annot-version=v1.1 MTQLVEEGAEEGYGHVPPYLVMGESKEHVEEKPQGFRVKREALENKLGSLVTLSRVWRLSDHGDGLLARRRELRDLCLSFSLFKNLRRRLSGYPLAEEGSSNALDFVLRGMDSSSADADADADAEASKGNADADRVFRVLVDELWFASDFYYSPLPLCSFSGWCAVLNYLLSVLVVAAAIGVGWVFTDKRVIVFTTDTDPKFPVPTPAQKAYFVITWFLLLATVLSETWEIIASLCSNWTKMALLGDYITREYSPSGQKALDAVLRFRPARRWSDKIGQNSVLEPRRFGRRSGLFSEKLYGRAGLMRSVQVSAAVKDAVLRSLKSSYGGVGRPGGKVDGAWAWPPSTSCFSDIHGSCSSSTTEHILACHIGTRLFEMMYSHAAGPKSADMAAASQLSFYCAYLVAAEPGLLPDNRAWTEKRYKEVVEGVKAAALGKEKDDDGGASESTAQRFLKQLDSSRDEVLRRGGKLGRCLVEAYAEAEDEAAAWRFLADFWSEMVLFVAPSENVKGHVEAMGRGGEFLTLVWALLLHAGVKDRPEAPHSIP* >Brasy1G464300.1.p pacid=40052110 transcript=Brasy1G464300.1 locus=Brasy1G464300 ID=Brasy1G464300.1.v1.1 annot-version=v1.1 MSSCESDAMGWVRMRVRSPPVLQSKLLCLSLLYLLTTLPLALYVSFSDPSRCPLLHLLIPSSSPAAATTKLFHYPRDYGERKHALPAPRALCSDPAVFSDYRTVLEEINGLCRGNLSASPALRYQNGTRGSFAGNLSTEERRSFFRRTDGAVEIPCGFFKEFPVTQADRLAMESCRGVVVASAILNDHDKVRQPKGLGSATLKTACFFMFIDDLTHGALTSHGILKPDDPLAPSSTVVGAWRVVKLQQQKLPYESPAMNGVVVKHLLHRLFPNARFSVWVDGKMQLTVDPLLLVHSLLLVGKDGADMAVSKHPFNRHAMEEAIATARWRKWGDADAIRAQMETYCRYGLTPWSPSKLPYPSDVPDTAIIIRRHGSASDHFSCLLFNELEAFNPRDQLAFAYVRDQMSPRVSINMFEAEVLEHIAVEYRHNLKRGNGGTTKQGITRMASSRGIAGSSCEKYLMKMWGEPTE* >Brasy1G464300.2.p pacid=40052111 transcript=Brasy1G464300.2 locus=Brasy1G464300 ID=Brasy1G464300.2.v1.1 annot-version=v1.1 MSSCESDAMGWVRMRVRSPPVLQSKLLCLSLLYLLTTLPLALYVSFSDPSRCPLLHLLIPSSSPAAATTKLFHYPRDYGERKHALPAPRALCSDPAVFSDYRTVLEEINGLCRGNLSASPALRYQNGTRGSFAGNLSTEERRSFFRRTDGAVEIPCGFFKEFPVTQADRLAMESCRGVVVASAILNDHDKVRQPKGLGSATLKTACFFMFIDDLTHGALTSHGILKPDDPLAPSSTVVGAWRVVKLQQQKLPYESPAMNGVVVKHLLHRLFPNARFSVWVDGKMQLTVDPLLLVHSLLLVGKDGADMAVSKHPFNRHAMEEAIATARWRKWGDADAIRAQMETYCRYGLTPWSPSKLPYPSDVPDTAIIIRRHGSASDHFSCLLFNELEAFNPRDQLAFAYVRDQMSPRVSINMFEAEVLEHIAVEYRHNLKRGNGGTTKQGITRMASSRGIAGSSCEKYLMKMWGEPTE* >Brasy1G123400.1.p pacid=40052112 transcript=Brasy1G123400.1 locus=Brasy1G123400 ID=Brasy1G123400.1.v1.1 annot-version=v1.1 MASETAVSPQPPSNPSAANSAHSSPTSPADGTEGPAPPQLHPQPHHASPSSPPSMPGETEETPVHPQRQPHHPPPPSSSSPSTADETQTPVQPPPQPEPHHPSPSSPSMAETQAPVQPQPQPQPNHSSPPPSGDDGDDVVFTGAFAASGEPVKGPWSPEEDALLSSLVAEHGPRNWTLIAGGIAGRSGKSCRLRWCNQLNPNVKRKPFTEEEDRIIMEAHAVHGNKWASIAKHLVGRTDNAIKNHWNSTLRRRFCTGRKCTQGSAVEQPTGDMSRAVLEEPWPLVEHIPFSAMEVKEAPVQKLSGSCAMALQIRDNNNCSTQVVDQPYLVPVQTLPASSSVALQTRDHNNCITEVVDPPYLVQPVAKVGAFGPYNQGPVQSMQKEMSSSTKSVSTLQALGADLTCFAADVPNKCGHGCCSAEERPRNDSLLGPEFNEFEDHPPIQNSRFASLVSELSSIAWINSSFQTSDARNSLQHNQVSPIL* >Brasy1G123400.2.p pacid=40052113 transcript=Brasy1G123400.2 locus=Brasy1G123400 ID=Brasy1G123400.2.v1.1 annot-version=v1.1 MASETAVSPQPPSNPSAANSAHSSPTSPADGTEGPAPPQLHPQPHHASPSSPPSMPGETEETPVHPQRQPHHPPPPSSSSPSTADETQTPVQPPPQPEPHHPSPSSPSMAETQAPVQPQPQPQPNHSSPPPSGDDGDDVVFTGAFAASGEPVKGPWSPEEDALLSSLVAEHGPRNWTLIAGGIAGRSGKSCRLRWCNQLNPNVKRKPFTEEEDRIIMEAHAVHGNKWASIAKHLVGRTDNAIKNHWNSTLRRRFCTGRKCTQGSAVEQPTGDMSRAVLEEPWPLVEHIPFSAMEVKEAPVQKLSGSCAMALQIRDNNNCSTQVVDQPYLVPVQTLPASSSVALQTRDHNNCITEVVDPPYLVQPVAKVGAFGPYNQGPVQSMQKEMSSSTKSVSTLQALGADLTCFAADVPNKCGHGCCSAEERPRNDSLLGPEFNEFEDHPPIQNSRFASLVSELSSIAWINSSFQTSDARNSLQHNQVSPIL* >Brasy1G123400.3.p pacid=40052114 transcript=Brasy1G123400.3 locus=Brasy1G123400 ID=Brasy1G123400.3.v1.1 annot-version=v1.1 MASETAVSPQPPSNPSAANSAHSSPTSPADGTEGPAPPQLHPQPHHASPSSPPSMPGETEETPVHPQRQPHHPPPPSSSSPSTADETQTPVQPPPQPEPHHPSPSSPSMAETQAPVQPQPQPQPNHSSPPPSGDDGDDVVFTGAFAASGEPVKGPWSPEEDALLSSLVAEHGPRNWTLIAGGIAGRSGKSCRLRWCNQLNPNVKRKPFTEEEDRIIMEAHAVHGNKWASIAKHLVGRTDNAIKNHWNSTLRRRFCTGRKCTQGSAVEQPTGDMSRAVLEEPWPLVEHIPFSAMEVKEAPVQKLSGSCAMALQIRDNNNCSTQVVDQPYLVPVQTLPASSSVALQTRDHNNCITEVVDPPYLVQPVAKVGAFGPYNQGPVQSMQKEMSSSTKSVSTLQALGADLTCFAADVPNKCGHGCCSAEERPRNDSLLGPEFNEFEDHPPIQNSRFASLVSELSSIAWINSSFQTSDARNSLQHNQVSPIL* >Brasy1G123400.4.p pacid=40052115 transcript=Brasy1G123400.4 locus=Brasy1G123400 ID=Brasy1G123400.4.v1.1 annot-version=v1.1 MASETAVSPQPPSNPSAANSAHSSPTSPADGTEGPAPPQLHPQPHHASPSSPPSMPGETEETPVHPQRQPHHPPPPSSSSPSTADETQTPVQPPPQPEPHHPSPSSPSMAETQAPVQPQPQPQPNHSSPPPSGDDGDDVVFTGAFAASGEPVKGPWSPEEDALLSSLVAEHGPRNWTLIAGGIAGRSGKSCRLRWCNQLNPNVKRKPFTEEEDRIIMEAHAVHGNKWASIAKHLVGRTDNAIKNHWNSTLRRRFCTGRKCTQGSAVEQPTGDMSRAVLEEPWPLVEHIPFSAMEVKEAPVQKLSGSCAMALQIRDNNNCSTQVVDQPYLVPVQTLPASSSVALQTRDHNNCITEVVDPPYLVQPVAKVGAFGPYNQGPVQSMQKEMSSSTKSVSTLQALGADLTCFAADVPNKCGHGCCSAEERPRNDSLLGPEFNEFEDHPPIQNSRFASLVSELSSIAWINSSFQTSDARNSLQHNQVSPIL* >Brasy1G123400.5.p pacid=40052116 transcript=Brasy1G123400.5 locus=Brasy1G123400 ID=Brasy1G123400.5.v1.1 annot-version=v1.1 MASETAVSPQPPSNPSAANSAHSSPTSPADGTEGPAPPQLHPQPHHASPSSPPSMPGETEETPVHPQRQPHHPPPPSSSSPSTADETQTPVQPPPQPEPHHPSPSSPSMAETQAPVQPQPQPQPNHSSPPPSGDDGDDVVFTGAFAASGEPVKGPWSPEEDALLSSLVAEHGPRNWTLIAGGIAGRSGKSCRLRWCNQLNPNVKRKPFTEEEDRIIMEAHAVHGNKWASIAKHLVGRTDNAIKNHWNSTLRRRFCTGRKCTQGSAVEQPTGDMSRAVLEEPWPLVEHIPFSAMEVKEAPVQKLSGSCAMALQIRDNNNCSTQVVDQPYLVPVQTLPASSSVALQTRDHNNCITEVVDPPYLVQPVAKVGAFGPYNQGPVQSMQKEMSSSTKSVSTLQALGADLTCFAADVPNKCGHGCCSAEERPRNDSLLGPEFNEFEDHPPIQNSRFASLVSELSSIAWINSSFQTSDARNSLQHNQVSPIL* >Brasy1G419200.1.p pacid=40052117 transcript=Brasy1G419200.1 locus=Brasy1G419200 ID=Brasy1G419200.1.v1.1 annot-version=v1.1 MAAEEGIVIACHTKADFDAQMAKGKETGKLVIIDFTASWCGPCRTIAPVFVEYAKKFPGAIFLKVDVDELKEVAEAYKVEAMPTFLFYKGGEKVDTVVGARKDDIQTKIVALTGSASA* >Brasy1G446800.1.p pacid=40052118 transcript=Brasy1G446800.1 locus=Brasy1G446800 ID=Brasy1G446800.1.v1.1 annot-version=v1.1 MHDGDGGAVQAQACATCAKGHACQAVVSRTREMRALIDEKKPHQAHAVFAQLAGEGHRPSLVTYTTLLSLLTSQRAFERIPALLADIEAAGLRPDPIFFNALINAFVEAGQMGEATSVFWKMSRHHPGCRPTVSTFNTLIKGFGIAGRPEESQRIFDLMTTTGVGVRPNLTTYNILVKAWCDQRRMEQAWAVVGRMRPAAVEPDVVTYNTLASAYAKNDETWRAEELVVVEMARARLRTSERTWGIIVGGYCREGRLEEALRCVRQMKDAGVGPNVIVFNTLLKGFLDANDAAAADDVLGLMEQFGIKPDIVTYSHQLNALSSLGHMARCAKVFDRMLEAGIEPDPQVYSILAKGYVRAQQPGKAEELLQQMGRLGVRPNVVTFTTVISGWCSVADMDNATRVYDKMRGAGVRPNLRTFETLIWGYSEQKQPWKAEKVLQMMKDAGVRPKQSTYSLVADAWKAVGIVENANRALGSPDDRRRRNASNHDDEPDRHSDDDVGKLQRFGRTDEQAKSDPANSSILQVTSALGTGKAGKFASSPPPASFRRSCQLQMRSPGFCRNQWQKQCVFYGHNISSLKTVVFLN* >Brasy1G312100.1.p pacid=40052119 transcript=Brasy1G312100.1 locus=Brasy1G312100 ID=Brasy1G312100.1.v1.1 annot-version=v1.1 MVRASSPYVPRGKISRPAPISVSFLFPFFPCRACRQHRHVRPRAARPPLRAPALCLLALPGHPSMNLLEPDQRAPPGRPSASKAYSPVPARHRFQRLGPCAPSIPASKSEPPARLPCSPLIPPARAHEPLLAGASCAPSIPAARALGGYGGDLEKSSTGTAMMGRVGDLDPLFVTTIANPYKELSCESWLLAGVPSTSGQRGRKQGLGRGGAVGGNWD* >Brasy1G232100.1.p pacid=40052120 transcript=Brasy1G232100.1 locus=Brasy1G232100 ID=Brasy1G232100.1.v1.1 annot-version=v1.1 MGDEATRGRGEDDEEAERKKSPGAKKVPFLGMFRYAGRTDLALMAVGTAAAMANGMSEPLMTIIFAAVIESFGGSDSGTVLHRVSKVVMYYIYLGIWSAVASFLQVSCWTMAGERQSTRIRSLYLEAVLKQDVSFFDVEMTTGEAISRMSADTVLVQDALGEKVGKYVQLLTTFVGGFVIGFIRGWMLALVMLASVPPSILSFATVSRLRTQISARRQASYDDAGNVVEQNIGAIRTVASFNGEKKAIALYKTLIKRAYEATVFEGIVTGIGVGSIYFVVFCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPKIDITDTFGIVLDDIKGNVELDNVFFRYPARPEQLILNGLSLQVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLKLQWIRGMISLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAELANAANFIAKLPNAYDTMVGQNGAQLSGGQKQRIAIARAILKNPRVLLLDEATSALDVESERVVQEALNQIMVGITTLIVAHRLSTVRNADCIAVIHQGKVVERGAHDELTKDPDGVYSQLIRLQQAHTEEMHDMPHVSGSRFKSTSLSLEQPRDSPRNRRQHSIKPLVLSGPDDLHGHVASRQEQEEIGDSEFPKKGPTRRLYNLNKPEAPILLLAVIAAFVHGLLFPLFSIMMSGGIRTLYYPAHQLRKDSTFWALMCLLLAIISLVSIQLEFFLFGMAGGRLIERVRALSFQSIMHQDIAWFDDPSNSSGALGARLFIDALNIRHLVGDNLAILVQCTVTLIAGFTIAFASDWKLTLIIVCVVPFLGLQNYIQMRFLKGFSEDAKVMYEDASQVVAEAIGSIRTVASFCAEKKVITVYSKKCKASMKQGMRSGMVGGLGFSFSNLMLYLTYSLCFYVGAQFVHEEKSSFKAVFRVYFALVFTAFGVSQTSAMASDSTKGQESATSILAFIDRRPKIDSTSDEGIKLEKVDGHIEFNHVSFKYPSRPDVQVFSDFTLGIPSGKTIALVGESGSGKSTVIALLERFYDPDLGTISLDGAELKNLTLSWLRDQMGLVSQEPVLFNDTIRSNIAYGKRGEATEEEIITVAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDKVMVSRTTIVVAHRLSTIKGADMIAVIKDGSVAEKGKHESLMGIKDGVYASLVELHSQTA* >Brasy1G232100.2.p pacid=40052121 transcript=Brasy1G232100.2 locus=Brasy1G232100 ID=Brasy1G232100.2.v1.1 annot-version=v1.1 MGDSRGFLKPLKVVMYYIYLGIWSAVASFLQVSCWTMAGERQSTRIRSLYLEAVLKQDVSFFDVEMTTGEAISRMSADTVLVQDALGEKVGKYVQLLTTFVGGFVIGFIRGWMLALVMLASVPPSILSFATVSRLRTQISARRQASYDDAGNVVEQNIGAIRTVASFNGEKKAIALYKTLIKRAYEATVFEGIVTGIGVGSIYFVVFCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPKIDITDTFGIVLDDIKGNVELDNVFFRYPARPEQLILNGLSLQVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLKLQWIRGMISLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAELANAANFIAKLPNAYDTMVGQNGAQLSGGQKQRIAIARAILKNPRVLLLDEATSALDVESERVVQEALNQIMVGITTLIVAHRLSTVRNADCIAVIHQGKVVERGAHDELTKDPDGVYSQLIRLQQAHTEEMHDMPHVSGSRFKSTSLSLEQPRDSPRNRRQHSIKPLVLSGPDDLHGHVASRQEQEEIGDSEFPKKGPTRRLYNLNKPEAPILLLAVIAAFVHGLLFPLFSIMMSGGIRTLYYPAHQLRKDSTFWALMCLLLAIISLVSIQLEFFLFGMAGGRLIERVRALSFQSIMHQDIAWFDDPSNSSGALGARLFIDALNIRHLVGDNLAILVQCTVTLIAGFTIAFASDWKLTLIIVCVVPFLGLQNYIQMRFLKGFSEDAKVMYEDASQVVAEAIGSIRTVASFCAEKKVITVYSKKCKASMKQGMRSGMVGGLGFSFSNLMLYLTYSLCFYVGAQFVHEEKSSFKAVFRVYFALVFTAFGVSQTSAMASDSTKGQESATSILAFIDRRPKIDSTSDEGIKLEKVDGHIEFNHVSFKYPSRPDVQVFSDFTLGIPSGKTIALVGESGSGKSTVIALLERFYDPDLGTISLDGAELKNLTLSWLRDQMGLVSQEPVLFNDTIRSNIAYGKRGEATEEEIITVAKAANAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDKVMVSRTTIVVAHRLSTIKGADMIAVIKDGSVAEKGKHESLMGIKDGVYASLVELHSQTA* >Brasy1G142900.1.p pacid=40052122 transcript=Brasy1G142900.1 locus=Brasy1G142900 ID=Brasy1G142900.1.v1.1 annot-version=v1.1 MASPRSKRESIDFEDPRAQEAMGSASASYSPPGGIFGLSPPDSSPRDNRKRRKDRPSWVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDKQCLASKLVQQENCDDPPLFKVTYTYEHTCNTAPVPTPDVVAELPPPPAAGDSSSLFLRFDSSGAGHSHGHGDTHRTMMMEQERQRHYQSLPAPAWPSMMLSFDSSNNIQHHDQHPAFPSELPPAASSSTSSLFSTEAGLPAPSTTTDGGDRFSTWDSLRYGLDDHVQFGDHVYLPDSGNAGDDHY* >Brasy1G522400.1.p pacid=40052123 transcript=Brasy1G522400.1 locus=Brasy1G522400 ID=Brasy1G522400.1.v1.1 annot-version=v1.1 MGFQGMKQIHMLPKINLRFSAWLLQKLDPDSFLLVVSEKSRIELTEESVKSVFGLPMGSKAVSQGPVQGVVSSSEVMAFYGDVANSHGQKGIHSLKAAEVYLLRPLTEESTELETGSFQIAFAIFLVGHILAPTCKHDYVCLDFLESLLVPSQIGVFNWCRYVLKHIALAARKLQTDTAKGISSINVGGCHLYLQIYYIDQLDLGSLNKPTGVYPRISLYDYESVRRIVEHLRIDQTGEPTLNVHPADTEEKNLAPDGHEAVVNAAQLPTKLGNITRSMTQAASLQTCQNFEATPVRIPPFASPLECGPTQFSNFLKSRYPQYSNDGE* >Brasy1G517200.1.p pacid=40052124 transcript=Brasy1G517200.1 locus=Brasy1G517200 ID=Brasy1G517200.1.v1.1 annot-version=v1.1 MTLMHILPKINLRFSAWLLEKFDTETMSINVGGGLQLSEKAVEEYFGLPAGVETVFSDCPVYSDALIHLKAAEVYLLSALDEQSAKIDVDCFQIAFVVYVIGNMLAPTTKHDYVTLDFLGAINKADDIGSFNWCAYVLKHLVVAARKLKTDMASGNTTIHIAGYHLLLQVFYLDNVDLGGLNKPKNITPRIQLFDYDSVKKMVDMITTANAGEASFLPPNSTKQGRKLDGRQAAAPIRRGRLRPQPQQ* >Brasy1G496100.1.p pacid=40052125 transcript=Brasy1G496100.1 locus=Brasy1G496100 ID=Brasy1G496100.1.v1.1 annot-version=v1.1 MVLGKIALVIGSGIVGTLVTGGDAKLPDLRDVLSVSFKFMSKQDKKDGTSTSSPHTDQLLSQVNHLREQLRIIVDKKQVHIINVNGTPGAGAYGLTAIVIGAIGYLYIRWKGWKISDLMFVTKRGLTDACNVVGKQLDQVSESVNASKKHLSGRIDRVDCSLDECHEIIDATGKEVTVIHGDLSAFQQEIQSVHLVVRTLETKLGRLAYTQDHTTRGIHELCEFTKRLDRSPKADTLQVTSSTPLPAIESAEGITRAASLPPGLEPESPVAQSPRAEAHKVVRSSTTISASGLSMLAETSIPKRGVISRATSMKEGSSEVSNGTSSSATLKNPVSSSSRFGFLRGFAS* >Brasy1G080100.1.p pacid=40052126 transcript=Brasy1G080100.1 locus=Brasy1G080100 ID=Brasy1G080100.1.v1.1 annot-version=v1.1 MARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERIKAVITAAEVLLPNSKDPDFARFVRDLQARVLTASSDQAMECTDMEVGSSAVASPLPAPNSSKDHELDMTKKTPVSLGENEMTHSSSVPTLAAVKDGSTKVLPFEFRALEVCLESSCRSLEEETVTLEKEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQDISETSSRVEVDSPSHLEEDKLKEDRDEDYKSELDESNCSFIGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPETPEEKQAANVMFWETACGTIASCAILYIVAMVLGKRSGLLQ* >Brasy1G080100.2.p pacid=40052127 transcript=Brasy1G080100.2 locus=Brasy1G080100 ID=Brasy1G080100.2.v1.1 annot-version=v1.1 MECTDMEVGSSAVASPLPAPNSSKDHELDMTKKTPVSLGENEMTHSSSVPTLAAVKDGSTKVLPFEFRALEVCLESSCRSLEEETVTLEKEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQDISETSSRVEVDSPSHLEEDKLKEDRDEDYKSELDESNCSFIGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPETPEEKQAANVMFWETACGTIASCAILYIVAMVLGKRSGLLQ* >Brasy1G020600.1.p pacid=40052128 transcript=Brasy1G020600.1 locus=Brasy1G020600 ID=Brasy1G020600.1.v1.1 annot-version=v1.1 MWGDKTHHKHWHHAHGHGPSGSSKEKIWDKRQPKFIADNYSTVDEVIAALREAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFFKDNRPCRGFEEVLQRYRQIVPHLNLSGPTSFAPLIHAAMSVVENSNWQYHVLVVIADGQVTNSNSGDGRLSPQEHATIQAIVDASFYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEMPTQYKATQGIRPPEKHTQMTDRPTILPPPNKVLEHDNAAASHPPTATSRSTGADKNASDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPMCRAPITVRVRLYS* >Brasy1G303800.1.p pacid=40052129 transcript=Brasy1G303800.1 locus=Brasy1G303800 ID=Brasy1G303800.1.v1.1 annot-version=v1.1 MILPSPALLHAAWITAAVCLALCTIHSRKSSHSSVRRRASSRPGSAPLHGSTRPPFRDQINNGVAATAPGATPAAKVSPTPSDTAAKSCCVVRVGDDNNNDDDVTVALQDDDGGPVTVIDVGTHGPIAPVFPPVQDPMPPRRSLSAKHVRFAERLGRIRSRRWGLLGDDADDDDLANGQLPADRDATLWTKTILLGERCRVPGDCENGDGEGGDGMVRWKSYRPRQPRSVPVTRSNSFAGVVGGSCRPAAGPGARF* >Brasy1G436800.1.p pacid=40052130 transcript=Brasy1G436800.1 locus=Brasy1G436800 ID=Brasy1G436800.1.v1.1 annot-version=v1.1 MGPLKSPSSPSDPRPRPTSPPRTRPPHDRLPPPPLPPPPQLPLPPPPPVSSSAPPLPPPGQAGDALELPPPPPLPLPPPPPLSTHPSHRASSSSCRATRTPPRHGTLPRPRRDSGQATAVLALYSPLPNRLARGDHSVEHLVPARTTLPICGDAPGEDFAGVPTEMLPPKKRLARYHPYRAAPKIQEVASSHGKNRPAPLAAVGGDVGDMGVRRGGDGRRQEHRDDDAGLRAELHRLRISRPSLVLTKLLTPSDRSRDRARLVLPEGLVRASPLLTMMTSGERRLVYGDGGLAVPAFDRLGRSYCMTLKRSHGSYRLTGEWSVFVSQHDMRDGDAVEVLAFRPPGWQERLGRCGDGGLGMALLHCRSARDSWSNNGQCDAGGGLLHPDADPAPVARPPRRRRRRRAP* >Brasy1G494300.1.p pacid=40052131 transcript=Brasy1G494300.1 locus=Brasy1G494300 ID=Brasy1G494300.1.v1.1 annot-version=v1.1 MGLRVGLAGWSLGLVHSCWLHVAPPLIPSPSRRQSHRPSPPPPAPPPPPRLLGSATGRRQQDRGGRIRRPPSPCAAGSAAVDLLSSRISCPRARASPDQPPLTSPICSCCGVAGGRRPCYRHAHLRHRASDPQLRRADDQLRRRPTDPPRSGRRGRS* >Brasy1G416000.1.p pacid=40052132 transcript=Brasy1G416000.1 locus=Brasy1G416000 ID=Brasy1G416000.1.v1.1 annot-version=v1.1 MMYFFVMRKRYPAQPCYEHWYWSRSILCASAISDQYEGMHGSMEARHCFSLRSEQYREAAWRRHQAAAWLESMVGPFGLSPCPSEQEFVAALRNGIVLCKAINKIKPGVVPKVVAYAPCDSQPSTAFQYFENIRNFLVAVQELKLPSFEASDLEKDNLDVGSVGKIVDCVNCLKSYYERRGANGPLKYMKSPLALRSASHLQSENVSLGSSTPQKRLDLTETTDAEGQSFQNVGPNMEEAIEKLQRIILDCMIGCKENLDQDVLRKDPVALVGMILSNQLEKEQFKPLLQLFSPQDSTIKSELTQHIQCSNLQIENRLRLLEAQESELLELKTMFQEVKVDFRSLQNQFQDDITELGLNIRGLSKAALGYNQAVKENRNLYNMLQEARGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPFKPQTTRRVFQFNKTFGPTTTQDEIYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGDSSNDLGINYMALNDLFTISTSREDVKYDIRIQMVEIYNEQVRDLLSKDTSSTKIDIRTSSNGMFNLPDAKMCPVQSPSDVMNLMLLGENHRASSTTAMNNRSSRSHSILTVHINGKDMSGNVSCSCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMLAHISPEGESYVETLSTLKFAQRASTVELGTAHANKESNDIRELKEQVDTLKKALAAKELEKSSLKLKENTVMSERIKQLPERTPPRPRRLSLENVSSGKGSIARKAPKSPISMIKFNRDHGTSHDKECSTDGFSLTKYHRSVIQMSPTLSEEPAREKNKKIITTDDVVTFYQLPPDAYNQSKQSGLDSLQRTPCGPRYMSVETTQTSEASDAKLDKPTTSNVTKKGSHLRRSIQSSIGKLIHGSERRNSPHSAQATPAKTTTQTNYDDPSPVTANARLMRRQSLTGLPPPSSRRTSLGGKSDLSVQNSQIIACAASEYKPLPFSWVRWPDQHQCSSDKRAKTPPPMNSGAKAKRWL* >Brasy1G516900.1.p pacid=40052133 transcript=Brasy1G516900.1 locus=Brasy1G516900 ID=Brasy1G516900.1.v1.1 annot-version=v1.1 MMGGFLSRILLLVFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDLTISWLPFYSEAKLMFFIYLWYPRTKGTTYIYGTFFKPYISQHENEIDRNLLELRARASDVVVVYFQKAAAVGQNTFFDVLKYVASQSPSQRSKLQRSQEPHQPQQQQPAPQIQQQQPQKQAPPVMRRAASIAARQAAMAQQSQETKPVSPKIKRQASAKAGPVASPRPTSAASTTKPDENTKKSDVKPVADQAPAPVADTNVPRSEPRAPPSPEADGVDNMVVDEADDAAEGTEELDPALEETVMEETIRVTRAKLRRRAAAEGPAGN* >Brasy1G397100.1.p pacid=40052134 transcript=Brasy1G397100.1 locus=Brasy1G397100 ID=Brasy1G397100.1.v1.1 annot-version=v1.1 MKCHSVAALWSPSPPSHHITAVAATPAALFTGADDGTVLHWPLPPSPPFHPRPSSLLCAHAAAITALCPLPSPASLLVSCSAGVLSLFSASASLRCLRRRSLPPWAGSPCLVAPLPSSSSTSSTLRVAILCHAQDDGHGHRHVSAVVVIDARTLAVLHTAFHGALSVAPPKAITVTVDSDDNAVTVVLADAQGRTQMVPVVEGSAIAGDSPRRLSVSSLSSVASAEAPDGMVEAVALSDDGKVIALVLKTSCLLKCVVEGSLLGEVSLANTSLCNEEDAGVTSCLAGGFFLHGGEWGSRHSEDGSMVRSLVLWSSNGGAMVYRVVVGTPSFVCEAVCAIPSIASEQGQRSSVQCCQMDNCLIRVESCPYKICGSVLWKPHISIWSMNQLELSVAKNAEDPPFSKVLGEGSLQGEEFMSEPSHSLPKSDNGLEISSHMCSSYNDGPGRYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGAYPHISERFFLGHKGAIICLAAHHMHAHSDSRSFQRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIVLPPAWTHHPWDDCFISVGEDGLVALVSLETMRVERMFPGHSCYPSMVAWDGVKGYIACLCRSLHSSTDAGSILYIWDMKTGARERIVRGTASQSAFEHLCKGISRNKVTGGFLGGTTSASSLLVPVFKEITLLQSDGNKNGHNISSVSKNYHNAADSVALSAPTAHDVKGKTPAPDDRDNSGYISGKFGSAQIINKRRKYRIKCSCPYPGIASLRFDLTAIMSAQGMSNSNSDRHLGDHLCNDHIKETVKPGAFDNTSRAHEMDSPSRESLEGQLLRFSLCFLHLWDVDCELDKLIVDEMQICKPEGCHRATGVVGDRGSFTLMFPGKEATLELWKSSAEFCALRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRIQRSKIPDVHLSPSDHMDKLIPAIQNASLSRYGQLKADGENLDRDADDTSQINSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDIAHFLSDVLFQIECLSSAPSSNAMYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSADSPVHVVSLKTLIRVVRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEINSATICVYDIESVTKIRILDACGPPGLPSFLEGPSNTTTTILITALSFSLDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIICNILGHDSRRNSETKTRELDEADNLKLLLHNLDLSYRLHWVGVKTIKLARHGQELGTFQL* >Brasy1G397100.3.p pacid=40052135 transcript=Brasy1G397100.3 locus=Brasy1G397100 ID=Brasy1G397100.3.v1.1 annot-version=v1.1 MKCHSVAALWSPSPPSHHITAVAATPAALFTGADDGTVLHWPLPPSPPFHPRPSSLLCAHAAAITALCPLPSPASLLVSCSAGVLSLFSASASLRCLRRRSLPPWAGSPCLVAPLPSSSSTSSTLRVAILCHAQDDGHGHRHVSAVVVIDARTLAVLHTAFHGALSVAPPKAITVTVDSDDNAVTVVLADAQGRTQMVPVVEGSAIAGDSPRRLSVSSLSSVASAEAPDGMVEAVALSDDGKVIALVLKTSCLLKCVVEGSLLGEVSLANTSLCNEEDAGVTSCLAGGFFLHGGEWGSRHSEDGSMVRSLVLWSSNGGAMVYRVVVGTPSFVCEAVCAIPSIASEQGQRSSVQCCQMDNCLIRVESCPYKICGSVLWKPHISIWSMNQLELSVAKNAEDPPFSKVLGEGSLQGEEFMSEPSHSLPKSDNGLEISSHMCSSYNDGPGRYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGAYPHISERFFLGHKGAIICLAAHHMHAHSDSRSFQRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIVLPPAWTHHPWDDCFISVGEDGLVALVSLETMRVERMFPGHSCYPSMVAWDGVKGYIACLCRSLHSSTDAGSILYIWDMKTGARERIVRGTASQSAFEHLCKGISRNKVTGGFLGGTTSASSLLVPVFKEITLLQSDGNKNGHNISSVSKNYHNAADSVALSAPTAHDVKGKTPAPDDRDNSGYISGKFGSAQIINKRRKYRIKCSCPYPGIASLRFDLTAIMSAQGMSNSNSDRHLGDHLCNDHIKETVKPGAFDNTSRAHEMDSPSRESLEGQLLRFSLCFLHLWDVDCELDKLIVDEMQICKPEGCHRATGVVGDRGSFTLMFPGKEATLELWKSSAEFCALRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRIQRSKIPDVHLSPSDHMDKLIPAIQNASLSRYGQLKADGENLDRDADDTSQINSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDIAHFLSDVLFQIECLSSAPSSNAMYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSADSPVHVVSLKTLIRVVRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEINSATICVYDIESVTKIRILDACGPPGLPSFLEGPSNTTTTILITALSFSLDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIICNILGHDSRRNSETKTRELDEADNLKLLLHNLDLSYRLHWVGVKTIKLARHGQELGTFQL* >Brasy1G397100.4.p pacid=40052136 transcript=Brasy1G397100.4 locus=Brasy1G397100 ID=Brasy1G397100.4.v1.1 annot-version=v1.1 MKCHSVAALWSPSPPSHHITAVAATPAALFTGADDGTVLHWPLPPSPPFHPRPSSLLCAHAAAITALCPLPSPASLLVSCSAGVLSLFSASASLRCLRRRSLPPWAGSPCLVAPLPSSSSTSSTLRVAILCHAQDDGHGHRHVSAVVVIDARTLAVLHTAFHGALSVAPPKAITVTVDSDDNAVTVVLADAQGRTQMVPVVEGSAIAGDSPRRLSVSSLSSVASAEAPDGMVEAVALSDDGKVIALVLKTSCLLKCVVEGSLLGEVSLANTSLCNEEDAGVTSCLAGGFFLHGGEWGSRHSEDGSMVRSLVLWSSNGGAMVYRVVVGTPSFVCEAVCAIPSIASEQGQRSSVQCCQMDNCLIRVESCPYKICGSVLWKPHISIWSMNQLELSVAKNAEDPPFSKVLGEGSLQGEEFMSEPSHSLPKSDNGLEISSHMCSSYNDGPGRYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGAYPHISERFFLGHKGAIICLAAHHMHAHSDSRSFQRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIVLPPAWTHHPWDDCFISVGEDGLVALVSLETMRVERMFPGHSCYPSMVAWDGVKGYIACLCRSLHSSTDAGSILYIWDMKTGARERIVRGTASQSAFEHLCKGISRNKVTGGFLGGTTSASSLLVPVFKEITLLQSDGNKNGHNISSVSKNYHNAADSVALSAPTAHDVKGKTPAPDDRDNSGYISGKFGSAQIINKRRKYRIKCSCPYPGIASLRFDLTAIMSAQGMSNSNSDRHLGDHLCNDHIKETVKPGAFDNTSRAHEMDSPSRESLEGQLLRFSLCFLHLWDVDCELDKLIVDEMQICKPEGCHRATGVVGDRGSFTLMFPGKEATLELWKSSAEFCALRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRIQRSKIPDVHLSPSDHMDKLIPAIQNASLSRYGQLKADGENLDRDADDTSQINSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDIAHFLSDVLFQIECLSSAPSSNAMYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSADSPVHVVSLKTLIRVVRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEINSATICVYDIESVTKIRILDACGPPGLPSFLEGPSNTTTTILITALSFSLDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIICNILGHDSRRNSETKTRELDEADNLKLLLHNLDLSYRLHWVGVKTIKLARHGQELGTFQL* >Brasy1G397100.2.p pacid=40052137 transcript=Brasy1G397100.2 locus=Brasy1G397100 ID=Brasy1G397100.2.v1.1 annot-version=v1.1 MKCHSVAALWSPSPPSHHITAVAATPAALFTGADDGTVLHWPLPPSPPFHPRPSSLLCAHAAAITALCPLPSPASLLVSCSAGVLSLFSASASLRCLRRRSLPPWAGSPCLVAPLPSSSSTSSTLRVAILCHAQDDGHGHRHVSAVVVIDARTLAVLHTAFHGALSVAPPKAITVTVDSDDNAVTVVLADAQGRTQMVPVVEGSAIAGDSPRRLSVSSLSSVASAEAPDGMVEAVALSDDGKVIALVLKTSCLLKCVVEGSLLGEVSLANTSLCNEEDAGVTSCLAGGFFLHGGEWGSRHSEDGSMVRSLVLWSSNGGAMVYRVVVGTPSFVCEAVCAIPSIASEQGQRSSVQCCQMDNCLIRVESCPYKICGSVLWKPHISIWSMNQLELSVAKNAEDPPFSKVLGEGSLQGEEFMSEPSHSLPKSDNGLEISSHMCSSYNDGPGRYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLLPAAKFGTGGAYPHISERFFLGHKGAIICLAAHHMHAHSDSRSFQRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIVLPPAWTHHPWDDCFISVGEDGLVALVSLETMRVERMFPGHSCYPSMVAWDGVKGYIACLCRSLHSSTDAGSILYIWDMKTGARERIVRGTASQSAFEHLCKGISRNKVTGGFLGGTTSASSLLVPVFKEITLLQSDGNKNGHNISSVSKNYHNAADSVALSAPTAHDVKGKTPAPDDRDNSGYISGKFGSAQIINKRRKYRIKCSCPYPGIASLRFDLTAIMSAQGMSNSNSDRHLGDHLCNDHIKETVKPGAFDNTSRAHEMDSPSRESLEGQLLRFSLCFLHLWDVDCELDKLIVDEMQICKPEGCHRATGVVGDRGSFTLMFPGKEATLELWKSSAEFCALRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRIQRSKIPDVHLSPSDHMDKLIPAIQNASLSRYGQLKADGENLDRDADDTSQINSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDIAHFLSDVLFQIECLSSAPSSNAMYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSADSPVHVVSLKTLIRVVRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEINSATICVYDIESVTKIRILDACGPPGLPSFLEGPSNTTTTILITALSFSLDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIICNILGHDSRRNSETKTRELDEADNLKLLLHNLDLSYRLHWVGVKTIKLARHGQELGTFQL* >Brasy1G103400.1.p pacid=40052138 transcript=Brasy1G103400.1 locus=Brasy1G103400 ID=Brasy1G103400.1.v1.1 annot-version=v1.1 MASGAGEHFLRQLSATSGAPAREESYGGAGTGRRRGSKRWSKKRQAAARGYGGKQQGESAAAAGRKRVMVVVDESSGAKHAMMWALTHVASKGDFLTLLHVLPHCGGGGEDAAAPASLANSLGTLCKACRSEVEIEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSPLCWLSWFRFTPPALKTCSFLRSSSEEFVEQCINQAACLTLAVRKQSKGMGGYLISTRWQKNFWLLA* >Brasy1G103400.2.p pacid=40052139 transcript=Brasy1G103400.2 locus=Brasy1G103400 ID=Brasy1G103400.2.v1.1 annot-version=v1.1 MASGAGEHFLRQLSATSGAPAREESYGGAGTGRRRGSKRWSKKRQAAARGYGGKQQGESAAAAGRKRVMVVVDESSGAKHAMMWALTHVASKGDFLTLLHVLPHCGGGGEDAAAPASLANSLGTLCKACRSEVEIEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSPLCWLSCFLRSSSEEFVEQCINQAACLTLAVRKQSKGMGGYLISTRWQKNFWLLA* >Brasy1G122100.1.p pacid=40052140 transcript=Brasy1G122100.1 locus=Brasy1G122100 ID=Brasy1G122100.1.v1.1 annot-version=v1.1 MALPGQLLPLTRSLLPPSAPTFLSGRRHRLPPARAQIPAPPPWQPRLLHGRLLPPAPLQPLRPLARTPPATPPGASAAGGGEAQAVAAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTSSFAGVVQSSFLWGYLISPIIGGALVDYYGGRRVMAYGVALWSLATFLSPWAAARSLWLFISTRVLLGIAEGVALPCMNNMVSRWFPQTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRVGTFGPFVIFGLFGFLWVLVWISAISGTPGEHPQISAYELEYITKGQKLVKPQIRSEKLGKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIFHVNLREAAWFSAIPWVMMAVLGYVAGVVSDTLIRNGTSITLTRKIMQTIGFVGPGIALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSALFWDIFATGERVDFDGTG* >Brasy1G415200.1.p pacid=40052141 transcript=Brasy1G415200.1 locus=Brasy1G415200 ID=Brasy1G415200.1.v1.1 annot-version=v1.1 MQKPPMAADAQALQLQVEQAAVVQAPVAPAPQAQAQPKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKIVSGKTGDDIKNAEREKAKELLELSKIAKQKAIGSTTIYSVDLTTLRFVDQSSMHRSPGGLQGVPKSQQGSTKAGLSLAQVNQAKDIPTYMDDFKNGFPSSGLSCETMKWWGASSHTETASAKGDSREAPESTNEASKGMTDDELDWGADEAEADTDGVVTSEPSAQLCSLRRKAAGDGRRLLKGDTGRGQGFCRLNKRQKIALAQVFGTSLPEQWRSKLA* >Brasy1G415200.2.p pacid=40052142 transcript=Brasy1G415200.2 locus=Brasy1G415200 ID=Brasy1G415200.2.v1.1 annot-version=v1.1 MQKPPMAADAQALQLQVEQAAVVQAPVAPAPQAQAQPKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKIVSGKTGDDIKNAEREKAKELLELSKIAKQKAIGSTTSPGGLQGVPKSQQGSTKAGLSLAQVNQAKDIPTYMDDFKNGFPSSGLSCETMKWWGASSHTETASAKGDSREAPESTNEASKGMTDDELDWGADEAEADTDGVVTSEPSAQLCSLRRKAAGDGRRLLKGDTGRGQGFCRLNKRQKIALAQVFGTSLPEQWRSKLA* >Brasy1G452700.1.p pacid=40052143 transcript=Brasy1G452700.1 locus=Brasy1G452700 ID=Brasy1G452700.1.v1.1 annot-version=v1.1 FFCASLCDDVFLFSVFIVSTDSGGWFYDMATGPQVIWLANRAGPVRENATLELTRNGNLILHKSMTGMEITKLGNLVLFDQRNSTVWQSFDHPTDSLAPVHSLPQGMRLTDITSSTNSIQSQLYYITVTFKNGSFSIIMQSSEPRDILLPPALSSHAYPTMCGDYGICTNGQCTCLFQSNTDSYYFKPVDEPKANLCCMPLIPIFCQDMQHHHLFTLIDVSYFEESHTYRSCLHNCSCREVVFRYGQDDSNGECFWVTKVFSLQSIQPEALHYNSTAYIKVQYRPSNSTSAPTNRRNIILTITLPTSILVLLLVIVAILYLQRRKYEENDEDSDFDQLSVMPARKEKKILAEVETIGSIEHINLVKLTGFCAEKSQRLLIYNRHNNAPLDWPTRCRIILDIAKVLCYLHEDCRRKIAHLDIKPQNILLDENFNAEVMDFGLRSAMMRGTPGYLAPEWLTSQINEKVDVCSFGVPKENLQLINLLREKAQHNQWIDLIDTKSDDMVSHEEEVIQMIKLAVWCLQNNSIHRPSMSTVIKILEGTVSVEVCIVQSFLNAN* >Brasy1G297600.1.p pacid=40052144 transcript=Brasy1G297600.1 locus=Brasy1G297600 ID=Brasy1G297600.1.v1.1 annot-version=v1.1 MVSSKNARCSAAFLFAALLLLSHCGAARRLEEDAAAPAEEGDYPDVAAPESPPEDELAPPPVAPEFELPPFFPEVHLPPMPEMPSIPGFHFAAAEPKAADEP* >Brasy1G129900.1.p pacid=40052145 transcript=Brasy1G129900.1 locus=Brasy1G129900 ID=Brasy1G129900.1.v1.1 annot-version=v1.1 MAPKAEKKPAEKKPVEEKSAEKKPKAEKRVPGAAKEGGSDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy1G199400.1.p pacid=40052146 transcript=Brasy1G199400.1 locus=Brasy1G199400 ID=Brasy1G199400.1.v1.1 annot-version=v1.1 MSNPPSASPVTPATPPPQTPAVTPPPPDPPAVTPPPDPPAVTPPPVTPVTPPPVTPVTPPPVVTPPVADPPANTVTPPVADPPANTVTPPVADPPVVKPPAVNPPTTPNPTPIVPPSPPPPRPTPTPTPVTPSPSPPRPTPTPTPVTPSPPPPRRPSNPSPPPPANVPGSFSPPPDAPAAASPPPPDDVPGTPVPPPNDVAAAPPPPPAADAPSTSASSSSSPSSGTAVGVGVAVGAVFLLGLAAAVIFFFVARRRRRRRRVPPPGTPADFFYDPRQPTTPLQQQSLSTPSSTPPLMHSWQSSTAGGGGGAPGSPLIPGMSGGTFSYDELAAGADGFSEANLLGQGGFGHVYKGTVRGQEVAIKKLRAGSGQGHREFRAEVDIISRVHHKNLVSLVGFCIHAEQRLLVYEYVPNKTLESHLHHGSNRAALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYSYEPKVIVADFGLAKCQEAEHTSVSTRVMGTFGYLAPEYYATGKVSDRSDVFSFGVMLLELITGRTPIMTSSDQQPETLVDWARPFLTKAVEEENYEELIDPRLETNYDAYDMARLVACAAAAVRKTAKSRPRMTQIVRYLEGELSAEDLNGGLKPGQSALQGGNTDEVRRLRKMAFGPGTGMTAGTISEYASSELSAPTSEYGLNPSSSEYQYTASSAADTEEMTTDFAPRNGINGRGAGEAGSTERFSRRTTVSRQAGRASRG* >Brasy1G199400.2.p pacid=40052147 transcript=Brasy1G199400.2 locus=Brasy1G199400 ID=Brasy1G199400.2.v1.1 annot-version=v1.1 MSNPPSASPVTPATPPPQTPAVTPPPPDPPAVTPPPDPPAVTPPPVTPVTPPPVTPVTPPPVVTPPVADPPANTVTPPVADPPANTVTPPVADPPVVKPPAVNPPTTPNPTPIVPPSPPPPRPTPTPTPVTPSPSPPRPTPTPTPVTPSPPPPRRPSNPSPPPPANVPGSFSPPPDAPAAASPPPPDDVPGTPVPPPNDVAAAPPPPPAADAPSTSASSSSSPSSGTAVGVGVAVGAVFLLGLAAAVIFFFVARRRRRRRRVPPPGTPADFFYDPRQPTTPLQQQSLSTPSSTPPLMHSWQSSTAGGGGGAPGSPLIPGMSGGTFSYDELAAGADGFSEANLLGQGGFGHVYKGTVRGQEVAIKKLRAGSGQGHREFRAEVDIISRVHHKNLVSLVGFCIHAEQRLLVYEYVPNKTLESHLHHGSNRAALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYSYEPKVADFGLAKCQEAEHTSVSTRVMGTFGYLAPEYYATGKVSDRSDVFSFGVMLLELITGRTPIMTSSDQQPETLVDWARPFLTKAVEEENYEELIDPRLETNYDAYDMARLVACAAAAVRKTAKSRPRMTQIVRYLEGELSAEDLNGGLKPGQSALQGGNTDEVRRLRKMAFGPGTGMTAGTISEYASSELSAPTSEYGLNPSSSEYQYTASSAADTEEMTTDFAPRNGINGRGAGEAGSTERFSRRTTVSRQAGRASRG* >Brasy1G547100.1.p pacid=40052148 transcript=Brasy1G547100.1 locus=Brasy1G547100 ID=Brasy1G547100.1.v1.1 annot-version=v1.1 MKTEWEKLPRKRAWACPGPPPSSEQNQGPRDLALPSPSDLVPLASASLFLPPPTTSLLRPPVQSAAGIAAHQIDGDPPPPQIYASPPAAHPADGASLAW* >Brasy1G543700.1.p pacid=40052149 transcript=Brasy1G543700.1 locus=Brasy1G543700 ID=Brasy1G543700.1.v1.1 annot-version=v1.1 MAAAATAAGLHDELLLDIFSRLPDPIDVLRCAATCGPWFRVILAATAAGNLNLPQPHRSSFIAGAFYPVKAPGFARPSISCFLPNSDGRLLFSNSYVTNGKPLASRRGLLLSSLTPTPFDQRKLYLAVSHPLLAARARCLLPPPPFDLDPALRRDLTGYALLPDVDGPAFRVLITAVVFGSGDHHRLVHRAYSYSSATDQWTAPLDCPSVEHLAMSGPRAGAVDDAHGTAHWLYRNTSSFYVLSVAGDATHAALTKLPMIQVHCSRFQLDQQTLFPCLTRGGELALLHSYRRRERGAVDLWVKKAADDDGGSSSWARSEMPNYRAAAPLEPLKVLGFAESAGKLLVCGVETWTLWWLDVESGETEEELVSHECYYPPDACGKSSGSCQGYDSCSECTYNSRVIYEVHWPSFLRHISAWS* >Brasy1G295200.1.p pacid=40052150 transcript=Brasy1G295200.1 locus=Brasy1G295200 ID=Brasy1G295200.1.v1.1 annot-version=v1.1 LGSVAAAVSVSLGSAAAADDVGLGSAAAAAAGGSAAVAAASRLRIDDEEASSLTPSWAPPPPLSSVDGDGIYLLCLNLPGFKKEDFRVHVDPADRLTILGHGAGGATRVHKVFQLRSTSDLDGITGRYDGSVIALTVPKLPPPVVPSASSQEISREEERLIEAARARLPGMRQAKEQSTAGRSEMPAPSKEVEEKKEEPKPKAEASAERKGPDEKARGATAADEQRAKVARQGKAAGGGGERETMERQEKKGRCWNEEGFKWADAIGNNKEVTATAVAAFTLGVFVSHRLFARN* >Brasy1G082600.1.p pacid=40052151 transcript=Brasy1G082600.1 locus=Brasy1G082600 ID=Brasy1G082600.1.v1.1 annot-version=v1.1 MPSLGIEIESPYSYNFVSAFYSVHYMAFIAFHVRWRIVRNLGAMPLPVACILVNSPPAVLIIVHPVTYLGMYFGDLHVATCICWVFTVLFVAFLYWCLSIHSALPLAVRHATVPLKGRKPCAIYELLDSWNN* >Brasy1G073600.1.p pacid=40052152 transcript=Brasy1G073600.1 locus=Brasy1G073600 ID=Brasy1G073600.1.v1.1 annot-version=v1.1 MGGRARPEEEGGDGGGARWGRETAREAERGFCLVCGTNEKLERTFFPNSVAPLRCLPRRPTTAEPDPSPGCARPSHGRPQPRLRPPPRPTPTPAPAAPPAAPTPDPDPSRGRAARRPHARARPRTQPRLRPPPRPTPTPAPAAPPAAPTPDPEPSRAARRPHARPRPQPRPRRPPPPRPRPTWLPPPRPTHVRGPPIPRGTR* >Brasy1G397500.1.p pacid=40052153 transcript=Brasy1G397500.1 locus=Brasy1G397500 ID=Brasy1G397500.1.v1.1 annot-version=v1.1 MLRSPGHSPRDLSPSPSPAPSTPRPVSPTPSSASASTLATTSSKRRRPEVLDEDAYVVAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLLLRDAQLKILDRRRRLQRNGRLPTPTPATSTALRSPSFLSTPSVAPSTTGAGAPEDEDEDVSAALSLDDFFRRYTSEDNESFSRILEKVNRRRRERYAHLLEPGELADKQLLEDAKRDRITDGYGTSGQPLSTLDGAKFTAKNLLMYHPADRGEAPLTDEELAERLKGLTKEIDRSNTRFHGKAPAEDGKPKEEETAAILYALVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYIESGKKAENGYSFVKTPSPAPGVDESPFMMWGEIDGTPLRLDPDETPGGSGGSKRAHFKIPPPPVRDVKAHLLSRDAARKIKARTNMFHKPPLPSPVRGGSASPRTLSPAAQKFVRNAIAKSTRTIDESLRASYRGSTPSASTPKTRFSTDPGLGSRSPSTRKGSIPPW* >Brasy1G253300.1.p pacid=40052154 transcript=Brasy1G253300.1 locus=Brasy1G253300 ID=Brasy1G253300.1.v1.1 annot-version=v1.1 MGSHPGPSRAALEPFATLDPAALAALPASTPLTVRSAALSAPHLLYLGTGGGKLLLFSLQTPSSPEFLRLLPIGATRPVSAIVPLPSVARVLVLADGILLLADPLLARPVRRLGSLRHVAAVAAATTDPSSSSSCSLAVAVGKKLLLVDLTLHEADELEVQTREIAAAGGVEGITVLAWIGDSVFAGTKTGYSLFSTSTGQAVELFTLPESAGSPRIKPLSGGDEVMLLVDNVGVVVDRFGQPVGSSFVFNSRPDCIVEVFPYVVVAGESKVDVYRRKNGVHLQTVPIARSGSGVLTVASDSDGSGGDVVVIATAYKVFCYRKVSAVEQIKASLRIKCYTEAISLLEEFESDGEISKDMISFVHAQLGFLLFFDLHFEDAVNHFLLSETMQPTEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTLQRALFLKKAGVDTDVDEDFLSNPPTRADLLELAIRNIIRYLCVSREKTLSPAEMEGVDTLLMYLYRALDLVDDMEKLASSQNSCVVEELESLLDNSGHLRTLAFLYGSKGMCSQAVSIWRILARNYSTGLWKDRANLPEIDSSKTPADKKSGEEIAAIEASKILEASSDQDLVLEHLGWVADIDQELAVSILTSETRENQLSAEKVVAALDSEKVGIHQRYLQWLIEDQGCDDPHYHTSYALLLANSAMEAFHLESNSGEKNDKEIDSDIQFIFALRERLQLFLQASDLYDPEEVLDVIAESELWLEKAILYRKMGQENIVLQILALNLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKEPMFTAAVRLLHNHGKSLDPLQVLERLSPEMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSVSGRGRNFRKDAIFKQSWLVSR* >Brasy1G010600.1.p pacid=40052155 transcript=Brasy1G010600.1 locus=Brasy1G010600 ID=Brasy1G010600.1.v1.1 annot-version=v1.1 MKKESAVATNQIQTQQKHVKFQDNHGNATEKDDVNKDVDTVASDFIKRKHMSWALQKSTTIYTGS* >Brasy1G321400.1.p pacid=40052156 transcript=Brasy1G321400.1 locus=Brasy1G321400 ID=Brasy1G321400.1.v1.1 annot-version=v1.1 MSTKRDAAGKKAYVVALVIQLIYTGMYVMSKAALDGGINTFVFIFYRQAAATLLLLPLAVILERRNAPPMSLWLFTKIFMYALLGNTVSMNLHNVSLSYTSATVASATSNSVPVITFLFAVLLRLESLNLRTATVSGASKLAGVALCLAGVLVIALYAGPSTVPPLTHPHNTTSPSPSSSSSWMKGTFMMLLANITWSLFIVLQASLLKEYPNKLLATALQCLLSTAQSFLLAMAATIYSSSSSSSVLSSLWRLRMDVGLVAVAYSGFVVTGVSFYLQAWCIERRGPVFLAMSNPVGLVLTVLCSSAFLGETVRLGSILGGALLVAGLYSVLWGKSKEQQLLASPPQASSDAGLPVALPAGKSSKEDDEMKQQQQQEESKMKGSQMQQV* >Brasy1G321400.2.p pacid=40052157 transcript=Brasy1G321400.2 locus=Brasy1G321400 ID=Brasy1G321400.2.v1.1 annot-version=v1.1 MSTKRDAAGKKAYVVALVIQLIYTGMYVMSKAALDGGINTFVFIFYRQAAATLLLLPLAVILERRNAPPMSLWLFTKIFMYALLGNTVSMNLHNVSLSYTSATVASATSNSVPVITFLFAVLLRLESLNLRTATVSGASKLAGVALCLAGVLVIALYAGPSTVPPLTHPHNTTSPSPSSSSSWMKGTFMMLLANITWSLFIVLQASLLKEYPNKLLATALQCLLSTAQSFLLAMAATIYSSSSSSSVLSSLWRLRMDVGLVAVAYSGFVVTGVSFYLQAWCIERRGPVFLAMSNPVGLVLTVLCSSAFLGETVRLGSILGGALLVAGLYSVLWGKSKEQQLLASPPQASSDAGLPVALPAGKSSKEDDEMKQQQQQEESKMKGSQMQQV* >Brasy1G533800.1.p pacid=40052158 transcript=Brasy1G533800.1 locus=Brasy1G533800 ID=Brasy1G533800.1.v1.1 annot-version=v1.1 MSSGTGQKQMRKGQAPASLAGAGEDAEMKKLFSRFDADGDGRISPSELAAVSRAIAPPPSESAGGREVASMMDELDADRDGFVDLGEFAAFHSRPAGRGGDEEEEERELRDAFAVYDMDGDGRISVAELAKVLARIGEGCSAEECQRMVASVDVDGDGCVGFEEFKKMMSRDAAAVPDKPKKE* >Brasy1G414600.1.p pacid=40052159 transcript=Brasy1G414600.1 locus=Brasy1G414600 ID=Brasy1G414600.1.v1.1 annot-version=v1.1 MLNLATFQLVQRVAGLDSEPPLDLSNGLKNKMMSVGLGNAQELLQMIKSETSKMKPMIIDFEPSYNKDIRPKLASSCLLAPGPITDPWTVFLHRQTFKIANVNPSYLSPFDIMLYKLERYLVKRRIYVIDDWESSFSTIETLMYDHGLKEPDDGTDIPFYKGECDASYDEHTKTANLSYMLWDDEKKIKHSEVFLSVKCSSATEAEIFAALALLYKAKELDLIKILNGELAIGKNHKHCDLYLMLRSSRILFQWLVLSWRPRELMVFVDALAKSETRFLAPAKFAVEKWADHLQGLPVFRIERTKEAKTAIKKFGNVTLDSAFGVIPELHYFLQVEEENKLDSLVRLIPSLKPSAIKVTFNNCVKVDSFKEKLINVIGKPIPGEDCTYTSGPFTTYTSHPKTHPPGSKCLVVVFDYVVPKHVYYTDNGFHVLFVTAREQELLGGEMTELNALGFTYINGGSWQSHLPTLLSFVAHV* >Brasy1G533100.1.p pacid=40052160 transcript=Brasy1G533100.1 locus=Brasy1G533100 ID=Brasy1G533100.1.v1.1 annot-version=v1.1 MAPASSTLHVITGDKTQQQNSSCPAASNGAKEPTAKCAASSKHLLDAEEFRRQGHKVIDFIADYYSGIADYPVHPSVTPGFLLNKLPADPPSRPEDHPDGAFGSALQDVRDLILPGMTHWQSPRHFAHFPASSSVAGALGEALAAGINAVPFTWAASPAAAELEMVAVDWLGKALHLPKSLLFSGAGGGTLLGTSCEAILCALVAARDRKLAEIGADRIGDLVVYGSDQTHFALRKAARIAGIRHGHCRELPTCIADMFALSPAALSAAMDADAGAGLVPLFLCATVGTTQTTAVDPVGALCAAAAPHGVWVHVDAAYAGSALVCPELARGAIDGVEAVDSFSMNAHKWLLANTDCCALWVKRPALLVSALGTKNDELILRDAAAEGHDVVDYKDWVVTLTRRFRALKLWLVFRCYGVEGLREHIRAHVRMAALFEDLVKADPRFEVVTERRFALVCFRLRAPDQLLDEGNKKKTMAEANELNRRLLQEVNAVALGPYMSAAVVGGIYILRCAVGSTLTEERHVRQAWEVVQERATSILRG* >Brasy1G558200.1.p pacid=40052161 transcript=Brasy1G558200.1 locus=Brasy1G558200 ID=Brasy1G558200.1.v1.1 annot-version=v1.1 MAWTLLRKPPFALSVPATRKLPFLSRSYEIDSFVSDRYWEQFFLLISFYSVHSCAVFPREQKSFLFCVAGSFIYAVALLGLFCIS* >Brasy1G500200.1.p pacid=40052162 transcript=Brasy1G500200.1 locus=Brasy1G500200 ID=Brasy1G500200.1.v1.1 annot-version=v1.1 MPTARNENLQRISAGRDAGLAPGGRNLARNFQIALRPRSSFMLGHDFRMAGQPDQQDSALSSSLSSPLSFRDVLMDGGRGGRGNGFRGGRGRWIRKQRPAAAPQRRAGLNIRTIPQQAPSTTGGDQATRNVEASTPAVAAGVDPGVAAPSVQVGASGSKRAAPSSPRSLQGGDPAESSMAGAARGRRNRVRADASPLLCDICESPDHVAPRCPVLRAPLSSLQFVGIASPSLGYCVINSAGNKKKQSATLLVRVVGGSCPADVVLHELKRIVPVADWQWTARPHGNDAFIVPFPSQLELDRLVHFEELHVRNPRIILQFEEWSAHSQAKFQIPEVWARVHGVPEEIHDFDRLWNVGQLAGETLKVDMVFTRKHKIPRMLIGTVNPAELKGNIELSVDGWMHYVNFELEDGHGVSGDDDDGLLDDFRPENDKDEGNKDMEVDDVAGNSNNGAAAPTASGGTELVQPIGSTSVEGRSVAANVMGAFSMAASPTVPDQVPSEVARLTAASSKLAAASAAHLACSSDVSDVAATAAFSAVSATMEGPSAALAAMPSPLTAVVAPSSASVAAPADAATPQAAVVPFEAHLSAAAAPRPSEARSSQGADGGGTRVFTLAVFRRRGATGHRGEGQVALSSPSPVSRESQLQLLLGCGYSLEAASRAVGRMVEFASSPPVVVAPVAVPSPPVTVAPVTDPRRNARLSGRVDADEDSMVRAQKLVQQRNLDKQPGGVRSGWLSWPAKQLAPEGKSAGRERRR* >Brasy1G212800.1.p pacid=40052163 transcript=Brasy1G212800.1 locus=Brasy1G212800 ID=Brasy1G212800.1.v1.1 annot-version=v1.1 MGQFTRTQGQRIRNHKTFLSVNVVGQFRNHTPVVRRAHPPRRSRRRVKMKAIGSGGEWWWNLPSLRRKHDPRRRGRRNHDARGRRRGPPREPLSSSSESVGQSRGWPLDFPFKQAVTAACLTLTGDTIAQVHRRIVDRRNRSPEPDSKALVPDLLLNHDWLRGLRIASYGFLLYGPGSYAWYQFLDQCMPKQTFASLSTKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQHDALPTLLDGFKFWIPVSIINFGMIPLSARVGFMSSCAIFWNFYLSTTMNK* >Brasy1G212800.2.p pacid=40052164 transcript=Brasy1G212800.2 locus=Brasy1G212800 ID=Brasy1G212800.2.v1.1 annot-version=v1.1 MGQFTRTQGQRIRNHKTFLSVNVVGQFRNHTPVVRRAHPPRRSRRRVKMKAIGSGGEWWWNLPSLRRKHDPRRRGRRNHDARGRRRGPPREPLSSSSESVGQSRGWPLDFPFKQAVTAACLTLTGDTIAQVHRRIVDRRNRSPEPDSKALVPDLLLNHDWLRGLRIASYGFLLYGPGSYAWYQFLDQCMPKQTFASLSTKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQHDALPTLLDGFKFWIPVSIINFGMIPLSARVGFMSSCAIFWNFYLSTTMNK* >Brasy1G121100.1.p pacid=40052165 transcript=Brasy1G121100.1 locus=Brasy1G121100 ID=Brasy1G121100.1.v1.1 annot-version=v1.1 MGGEVPEPRRLHRALSFGCGVPDEALHLVMGYVDGPRDREAASLVCRRWHRIDALTRKHVTVAFCYAAEPSRLRARFPRLESLALKGKPRAAMYGLIPDDWGAYAAPWVAELAVPLDCLKALHLRRMTVTDADLSALVRARGHMLLELKLDKCSGFSTDALRLVARSCRSLRTLFLEECFITDKGGEWLHELAANNSVLVTLNFYMTELKVAPADLELLAKNCKSLLSLKMSECDLSDLIGFFQRANALEDFAGGSFYEVGELTKYEKVNFPPRVCLLGLTYMGKNEIPVIFPFSARLKKLDLQYTFLTTEDHCQVISKCPNLFVLEVRNVIGDRGLVVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRDLEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDIQKEVTDLPLDNGVRALLRSCTKLRRFALYLRPGGLSDMGLEYIGQYSGNIQYMLLGNVGESDHGLVRFAMGCTNLRKLELRSSCFSERALSLAVLQMPSLRYIWVQGYRASPTGVDLLLMARPFWNIEFTPPDIVRHVTADGEPCVDRQAQVLAYYSLAGKRPDCPGWVIPLHPDA* >Brasy1G359400.1.p pacid=40052166 transcript=Brasy1G359400.1 locus=Brasy1G359400 ID=Brasy1G359400.1.v1.1 annot-version=v1.1 MHDGIAPPQSTALRRCPTEAPRLQASPDGDDGGLGRRGRRAASGRRDGDDPASFFSPSALVPGVLPLPEPSATPYSSPATSPLYWYFRSHLLLRSAAATRRRGLAHMAVTQRRWARRAARALTKLGLGSVPWLAGQMSRAGFGSKTATFWLRPAVLSPATSSLLGLSSPAQSGTRYDNDPMSLCFALLCLHAMYKVS* >Brasy1G575300.1.p pacid=40052167 transcript=Brasy1G575300.1 locus=Brasy1G575300 ID=Brasy1G575300.1.v1.1 annot-version=v1.1 FPLTTFLFVQLSSTQCQHFKKRALKVKGDLESAVPGVSVIINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIQDIVKKIS* >Brasy1G537800.1.p pacid=40052168 transcript=Brasy1G537800.1 locus=Brasy1G537800 ID=Brasy1G537800.1.v1.1 annot-version=v1.1 LARRSSAQPNVLALDDVCRRRDDPGEIGQQLLPALAEARGRPLPAPTDEVGRHHLQQLWYTLLSKRRFAVRKKYDGFLRDE* >Brasy1G322700.1.p pacid=40052169 transcript=Brasy1G322700.1 locus=Brasy1G322700 ID=Brasy1G322700.1.v1.1 annot-version=v1.1 MLDYIIHIRFLSIVGITCFIMERVSTNLDRRILTNPHPATCEAHPSVQGKLLSLSPATALSSPPINQSPSSLRTTATAPPPAVARPAPLRFARPIHPSKVRTDRRPPTLPFFTAEKGARKPPRTYMSLMATAGHPRHRHRHWPWPDTRSAITKLAILRLCSVNYDADSSRGWGDFICLLVWHSGTLTGLNFSLEVLSPAFLM* >Brasy1G377200.1.p pacid=40052170 transcript=Brasy1G377200.1 locus=Brasy1G377200 ID=Brasy1G377200.1.v1.1 annot-version=v1.1 MGRGGGAGPARGDGGRAGSWLRTYDRVMAMVGESQGQVEALLAGRKQLEAVNELQHEFWDSREGLLQSRLLQAEESRKKWEAAYMDLLPEGDHRKFAELQESDLEDSRTFIDLLAAENSELKIQLMEVGSCIEHNKQNTADHEHAARSLRAELGKLKEAHETLSPKKDKEVAALIAEKDFVLNQLRRKKEDHAKLHKNMKIEVARATEDAEKLQQRVEDLQVVAQKKDGEISRLRAEVLATKTKLQEMLSSAKEKDEKIHNFCRENDLVQNQLDVMEQDHAALLKNKKIEVAQATEAAEKLQQNVENLQVVAQKKDYEIGRLRGEVFATKKKLQEMLSSAKEKDEKIHNLCRENDLVQNQLDVMEQDNVALLKDKKIEAAQLWKQHKSFSRIWRSCMWRWMLELAGHEQMLRERWYWKCAPWS* >Brasy1G308300.1.p pacid=40052171 transcript=Brasy1G308300.1 locus=Brasy1G308300 ID=Brasy1G308300.1.v1.1 annot-version=v1.1 MGNGRRRRRPSKNAQSKSTAEDKVDAIPDELLCLVFLRLTSPVDLVRAAFTCRRWRRVIAADAFRVVGSQHGAPRSHAVGHYRVEEPGGGPFAATRPPGRSPVFVPSSSSPWKDVAAAAGFLALDFLPRTRYGDFNWELADVRGGLVLLMEFSDKLDWASLLGLAVCDPLARRYKKIPSSAWFQGRCCFMGCFLLDGEDDAGARISLSNFRVTCALFCDGVAKAYTFSSAAGGRWTSRAAARGSTVICGDDYWTATEPISFSGSTGGSAYWTAANRVVALDC* >Brasy1G356000.1.p pacid=40052172 transcript=Brasy1G356000.1 locus=Brasy1G356000 ID=Brasy1G356000.1.v1.1 annot-version=v1.1 MSDSTLQDVNLAQSAELEKSKDSVAKPCNTKPVLNGNKRVDKEENAPLASPDAVTNGCEAGVVDVEYIDSENLIDVPDVDRSFSTLLARLDSKDWIKTCEALNNVRQLAIYHKERLQELLEPIVPLIVKSVKNPRSALCKTALMTCADIFKAYGELMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALISMTSWISPSILLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGVEGIKEYGMDKLIQVAAT* >Brasy1G270100.1.p pacid=40052173 transcript=Brasy1G270100.1 locus=Brasy1G270100 ID=Brasy1G270100.1.v1.1 annot-version=v1.1 MRPSVMRYAAQLLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAATPGVAADVSHINSPALVKGFMGDEQLAEALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALINMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTGVNVPVVGGHAGITILPLFSQATPASNALSAEEIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIVECSYVQSTITELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLESLKGELKSSIEKGIKFANAN* >Brasy1G117400.1.p pacid=40052174 transcript=Brasy1G117400.1 locus=Brasy1G117400 ID=Brasy1G117400.1.v1.1 annot-version=v1.1 MWCEEAKRRERGELSARRFQALARSRRAASLALSNRKEIATPHHGAVNSLQVDLTEGRYLLSGASDGSAAIFDVKNATEYEAGFIAKHRSILLVDKQHEHGHKFVVSKAIWYPVDTGLFVTASFDTYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKQDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.2.p pacid=40052175 transcript=Brasy1G117400.2 locus=Brasy1G117400 ID=Brasy1G117400.2.v1.1 annot-version=v1.1 MWCEEAKRRERGELSARRFQALARSRRAASLALSNRKEIATPHHGAVNSLQVDLTEGRYLLSGASDGSAAIFDVKNATEYEAGFIAKHRSILLVDKQHEHGHKFVVSKAIWYPVDTGLFVTASFDTYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.4.p pacid=40052176 transcript=Brasy1G117400.4 locus=Brasy1G117400 ID=Brasy1G117400.4.v1.1 annot-version=v1.1 MWCEEAKRRERGELSARRFQALARSRRAASLALSNRKEIATPHHGAVNSLQVDLTEGRYLLSGASDGSAAIFDVKNATEYEAGFIAKHRSILLVDKQHEHGHKFVVSKAIWYPVDTGLFVTASFDTYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKQDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.3.p pacid=40052177 transcript=Brasy1G117400.3 locus=Brasy1G117400 ID=Brasy1G117400.3.v1.1 annot-version=v1.1 MWCEEAKRRERGELSARRFQALARSRRAASLALSNRKEIATPHHGAVNSLQVDLTEGRYLLSGASDGSAAIFDVKNATEYEAGFIAKHRSILLVDKQHEHGHKFVVSKAIWYPVDTGLFVTASFDTYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.5.p pacid=40052178 transcript=Brasy1G117400.5 locus=Brasy1G117400 ID=Brasy1G117400.5.v1.1 annot-version=v1.1 MDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKQDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.6.p pacid=40052179 transcript=Brasy1G117400.6 locus=Brasy1G117400 ID=Brasy1G117400.6.v1.1 annot-version=v1.1 MDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKQDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.7.p pacid=40052180 transcript=Brasy1G117400.7 locus=Brasy1G117400 ID=Brasy1G117400.7.v1.1 annot-version=v1.1 MDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G117400.8.p pacid=40052181 transcript=Brasy1G117400.8 locus=Brasy1G117400 ID=Brasy1G117400.8.v1.1 annot-version=v1.1 MDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLALDQSRSQLGRRPPFLDSTIEKDQKNTLGPSTSSKNYSVQQRTGNRKKQSKTLHRSQTPTRGHMQQRVHPGMSSGQNRTIAHYGAITGLKTTTDGMHLLSSGSDSRLKLWDIDSGCNTLVNFEATRLQTSKPLQLAVTEDPSLVFVPCMASIKAYNIWSGTTFQTFRGHYDDVNCCYYSSQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKPQGVFAADVDSWSD* >Brasy1G171400.1.p pacid=40052182 transcript=Brasy1G171400.1 locus=Brasy1G171400 ID=Brasy1G171400.1.v1.1 annot-version=v1.1 MPIARPAPVLPLLRSCLPGTWVARTWPVARAPWPSRTTDGSRLAGPPCTPSMLAPLVCAGSPRPLPCAASPCPQPCYCCRARAPHAAAARSTALRRLATPAAMHLPSRVCCFSAALAPHAAAPQAATARCPSSSPISLLKVEEDPMKATTDPFALA* >Brasy1G114100.1.p pacid=40052183 transcript=Brasy1G114100.1 locus=Brasy1G114100 ID=Brasy1G114100.1.v1.1 annot-version=v1.1 MKKTTGENTNMSILERLATSDVPLVKEYGIHGVIGALVLAMVIPILLSSMLNKKVKKRAVQADVGGEAGLAMRNSRFSSLIQVPWEGATTVAALFEMASKKYPQHRCLGSRNLVSSEFIEADDGRKFEKLHLGEYEWNSYAEAFNRACNFASGLIKMGHQPDSRAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPSVSSKLQSVRHVIYIEDEPVEADTLNQLKHLTTLSFHAVEELGKTSHTDPRLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVRTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGVAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVAEKGGMKKKLFDVAYKRNLGAIEGSWFGSWAPERLIWDSIIFKPIRLMLGGRIRFILCGGAPLSSDTQRFINICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLISWEEGGYRISDSPMPRGEVVIGGYSVTKGYFNNEEKTNEVYKVDERGIRWFYTGDIGQFHPDGCVEIIDRKKDIVKLQHGEYVSLGKVESALQTSNYVDNIMVYADPFHSYCVALVVPPHQALEKWAQNSGINYKNFEELCQNGQAVKEVQQSLSKAAKAARLEKFEMPAKIILLAEPWTPESGLVTAALKLKREQLKTKFKDDLNKLYL* >Brasy1G270200.1.p pacid=40052184 transcript=Brasy1G270200.1 locus=Brasy1G270200 ID=Brasy1G270200.1.v1.1 annot-version=v1.1 MPRRKLRDSPVPPPGFAKKPKNLADAGPDHARAFDRCRELLDKLLQHEDSWVFDKPVDVYELGISDYYTKIPDPMDLGTVRSRLKRLRYADPRAFAEDVRLTFRNAMTFNDEDDDVYKSAAELSAIFESGWASILVELTPPLLERKKKLKEEMTRLPVSWQGKAVAIMKEIGGCLQEVNRWAEVDFDKADEATLDKLEQLVAYATKTAATSA* >Brasy1G470200.1.p pacid=40052185 transcript=Brasy1G470200.1 locus=Brasy1G470200 ID=Brasy1G470200.1.v1.1 annot-version=v1.1 MEEDQSFLDMLSFGGSQQLPCSPLGEQEVPATQESSAAVKAKSTKGKHWSSDEDKVLIQAWAHTSLDAVIGTDQQSSSYWGRISEYYNTHKNSSWPERNPNAINCRWNTIREQSNKFCGCCQQIINRNCSGQTIDQKKKQKKASDASPGTTSIDDDIGAHTDDLETEKRPLGTKREKERQRKGKASASESDGCKLSLETVWSQKLEKDEIKEATKTARYARAFELQERQIASQEREEARKRLELEDKIMTSRRRSLLATTTQQVNFVVN* >Brasy1G405500.1.p pacid=40052186 transcript=Brasy1G405500.1 locus=Brasy1G405500 ID=Brasy1G405500.1.v1.1 annot-version=v1.1 MSSSRRAPIPISSSSPAGQHAEIEQILHTNSWIRATQSTVWGRYGRSISHLLPVVLTAPHPRLLHISPSLHPQLPISSTSLATRRRHTGGGLVLHVAPLAGEIIEEEVGRGLLLCVVTKEAWASAAPRHRRRRRIPTRSGRHRVPRSPAASRLLVLRRPATTLLLHLSPAAALPSLLP* >Brasy1G243400.1.p pacid=40052187 transcript=Brasy1G243400.1 locus=Brasy1G243400 ID=Brasy1G243400.1.v1.1 annot-version=v1.1 MVNNCRESVWPALLGTTGHVTPQSGGFHLAAGEELTFNVPVMWSGRVWPRRGCAFDAHGTGNGSCATGDCGGVLRCAGKPGAAPATVIEMTLGTPSSPVHFYDVSLVDGFNAPVSMAPVGGGRGCGVASCGADLNGCCPSALEVRDREGRIAGCRSACGAMGGDRYCCTGDYGTPAACKPTMFAHLFKAICPRAYSYAFDDATSLNRCKASRYLITFCPPQPQY* >Brasy1G450900.1.p pacid=40052188 transcript=Brasy1G450900.1 locus=Brasy1G450900 ID=Brasy1G450900.1.v1.1 annot-version=v1.1 MSALLTALRAAGQKQLSASTLSASRKATGAHVFRMGEYSQVREKVANGTAVHSTTFGAGGHDWQIKCYPNGYAKEDEDSVSLYLRHASHARTGDATAKFEFSVLDQAGKPLHTIGSGQRCFSSSWYYEWGFSKFMKHADLHEEKHLKGDSLTVLCGVTVDLGLDSTAVPVPEPAAAPPPFDLRGHPAEAIWKSHAADVQIEVSGGGETFAAHRSVLEDQSPVFRAELSSKTDEDENTVVVLRIDDMDADVCKALLQFVYTESAPGTDRLEAMAGRLLAAADRYELDKLKKICEEALCKNVDMGSVAATMALAERHGCPLLKDACIRFLSAPGNLEAVMENDGLFEKLKTGCPSVLLEIVATKIAGQQQAIAYRHYLHN* >Brasy1G413100.1.p pacid=40052189 transcript=Brasy1G413100.1 locus=Brasy1G413100 ID=Brasy1G413100.1.v1.1 annot-version=v1.1 MDKVASCCLRHGAPSSRNYSKPYSVERSTSSLPRRQCRRSIPVVRCVLTNPNTATGSHRKPRHADEFSSGFDFEQYLSSHAKSVHDALDRALALPARLENEPDRRLIESMRYSVLAGGKRVRPVLAIAACEFVGGDAAAATPVACAVEMVHAMSLVHDDMPCMDDDALRRGRPACHAAFGEHTALLAGDALLALAFEHLARGYHADAGIVVPAERALRAVAEIANAAGIGGVAAGQAADKACEGARVGAVSLATLEHVHVHKTARLLEAAAVAGAIVGGGAGVEVERVRRYARRVGLLFQVVDDVLDVTRTSEQLGKTAGKDLVADKATYPKLLGGVGQARAYADELLKMAEAELVGVDARRAAPLRHLARFVAYRQN* >Brasy1G442600.1.p pacid=40052190 transcript=Brasy1G442600.1 locus=Brasy1G442600 ID=Brasy1G442600.1.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKGHSALNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFADASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G442600.4.p pacid=40052191 transcript=Brasy1G442600.4 locus=Brasy1G442600 ID=Brasy1G442600.4.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKGHSALNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFDASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G442600.2.p pacid=40052192 transcript=Brasy1G442600.2 locus=Brasy1G442600 ID=Brasy1G442600.2.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKGHSLNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFADASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G442600.5.p pacid=40052193 transcript=Brasy1G442600.5 locus=Brasy1G442600 ID=Brasy1G442600.5.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKAALNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFADASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G442600.3.p pacid=40052194 transcript=Brasy1G442600.3 locus=Brasy1G442600 ID=Brasy1G442600.3.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKGHSLNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFDASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G442600.6.p pacid=40052195 transcript=Brasy1G442600.6 locus=Brasy1G442600 ID=Brasy1G442600.6.v1.1 annot-version=v1.1 MNATAYHAVTADKYFATGEEDFHASSRYQKIYGLAQCAPDMTAAQCRGCLTGFVADIPWFLNGKPRGRALGIWCNLRYSVDPFYTGGAMVKLSAPPPAPAPAIVPSIATAETGTGRKRRIAGISAGVGCFLLLLILILAACAFIRFKRRKANKKAALNEMARVKCTVYDFLTLQEATGNFAEKHKLGEGGFGAVYKGILPDGHEIAVKKLIDSTGHGLDQIRNEVLLLAQLQHKNLVRLQGFCLHQNEILLVYEFIKNGSLDNFLFDASRRNTLNWDEEYNIVLGIAKGIMYLHEDSSIRIIHRDLKANNILLDEAMDPKIADFGLARLQVGGHTQTKTTRVVGTFGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGSCEADTVNLLTDVWACWTKGTVSQMIDQSLEGHSRVQALRCVHIGLLCVQSDSHDRPDIPSVIFMLKRADMELQPPAQPAFFFGRDSNSDSQQLEQGTHVYNRSDVIVEDISLNGLTITYPYPR* >Brasy1G361600.1.p pacid=40052196 transcript=Brasy1G361600.1 locus=Brasy1G361600 ID=Brasy1G361600.1.v1.1 annot-version=v1.1 MENADHIFFNCCFIRHIWREIASWIRIPAFDPAGSEPSSSLRQWWIGRVELFRSSYSKSKARAATSLFLLSLWTTWKERNNRISNHSRKSVGGVDDVP* >Brasy1G446600.1.p pacid=40052197 transcript=Brasy1G446600.1 locus=Brasy1G446600 ID=Brasy1G446600.1.v1.1 annot-version=v1.1 MESLGVLLLHPMNAYLEQELDRRFRLLRLWESPPDSRADFLRANASAIRAVVGNAGYNADAALIDALPSLEIVASFSVGIDRVDLAKCRERGIRVTNTPDVLTDDVADLAVGLAIATLRRIPQADRYVRAGLWKAKGDYTLTTRFSGKRVGIIGLGRIGLAVATRVEAFDCPVNYYQRTKKEYPNYTYYPTVLELASNSDVLVVACPLNEHTRHIVNREVIEALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEDEPNVPEALFALDNVVLVPHVGSGTHETRRAMADLVLGNLEAHVLKKPLLTPVV* >Brasy1G479700.1.p pacid=40052198 transcript=Brasy1G479700.1 locus=Brasy1G479700 ID=Brasy1G479700.1.v1.1 annot-version=v1.1 MASPSSFKVTRISEGPVKPASPDTPGHTLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALAKALVFFYPLAGRIVEPEKESAAAENGDEGKKAPAASFPLGVRCAGDGVYFAEAEAECSLEDVRFLERPLLLPKEDLVPYPGPDKWSVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFIKAVGDMARGLPEPAVKPVWDREKFPNPSIKPGPLPELPVLALDYIVLDFPTGYIDGLKAQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPGATVKLCFFASVRHLLKLDPGYYGNSIFPVKMSAPSEKVLGSSVMEVIDMIREAKQRMAVEFFQFAKEETTQDPFQMSFDYESIYVSDWSKLGFSDVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSDEFVRGMKEDMP* >Brasy1G310200.1.p pacid=40052199 transcript=Brasy1G310200.1 locus=Brasy1G310200 ID=Brasy1G310200.1.v1.1 annot-version=v1.1 MDGFPALIAAGATAVCCLVCAIWAFRSPSSSSSKKHSSGPSHCCGCASCGCRAKITAASANGEMAVGGETKKAPTTAPPTTGASMMEQLVPEITTHALSYLDCISLCRLSMTNSAMRRAANDDGAWKALYRKDFTAEQDNITPPNGWKAYYAATKAIINVNAEFYNIIREGSLPAMSHFWLNADYVKCIHGTGELFTGYNAVMDSWGLLFNWGQDGGQGTDFQLRDVKARVLADVAWVNMKMHVDVDPGPFHVTNVYEFRNGRWYMVHHHRSLMADLAPHNLFG* >Brasy1G094300.1.p pacid=40052200 transcript=Brasy1G094300.1 locus=Brasy1G094300 ID=Brasy1G094300.1.v1.1 annot-version=v1.1 MKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNIRHERQKTHIMERQKQGKSKQREKDAKEVEQDIGMLPKKLQETKLKVDISQQQTEDNLMEEQGRTVLPRVQAEFRMLYNHVDDGRSCFLIVAIVKLT* >Brasy1G300000.1.p pacid=40052201 transcript=Brasy1G300000.1 locus=Brasy1G300000 ID=Brasy1G300000.1.v1.1 annot-version=v1.1 MGTPANIIVGSHVWVEDSTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYREMINEGKNNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHIGNINFAKGTEVDSSVIKDDNSRFHLNTAAELLECDCNNLEKALITRVIVTPEEIITRTLDPDSALASRDALAKTVYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFVKPKLSRTDFTVVHYAGDVTYQADHFLDKNKDYVVAEHQDLLNASSCPFVAALFPSLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAVFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLGPELLEGSNDDKIACQKILEKMKLENYQIGKTKVFLRAGQMADLDARRAEVLGKAARIIQRLMRTYIARKQFVLVRRSATHIQSFVRGTLVRNMYECMRRESAAMKIQKNVRRHKAHESYLLLQAAAVTLQTGLRAMSARKEFRFRKETKAAIHIQARWRRHSDYSHYKNLQGAALTYQCAWRQRLARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKSQEIAKLQETLNDTQLQVEEAKAMVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTTEVEQLKALLQAERQATETAKKEHAEAERRNEELMKKFEGAEKKIEQLQDTAQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLRTPENVNAPNGEVKSSPDVTPISLNSKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGRAIAACVIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGIRASPQSAPRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEITDVDMPPLIRENSGFTFLHQRKD* >Brasy1G300000.2.p pacid=40052202 transcript=Brasy1G300000.2 locus=Brasy1G300000 ID=Brasy1G300000.2.v1.1 annot-version=v1.1 MGTPANIIVGSHVWVEDSTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYREMINEGKNNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHIGNINFAKGTEVDSSVIKDDNSRFHLNTAAELLECDCNNLEKALITRVIVTPEEIITRTLDPDSALASRDALAKTVYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKVTYQADHFLDKNKDYVVAEHQDLLNASSCPFVAALFPSLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAVFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLGPELLEGSNDDKIACQKILEKMKLENYQIGKTKVFLRAGQMADLDARRAEVLGKAARIIQRLMRTYIARKQFVLVRRSATHIQSFVRGTLVRNMYECMRRESAAMKIQKNVRRHKAHESYLLLQAAAVTLQTGLRAMSARKEFRFRKETKAAIHIQARWRRHSDYSHYKNLQGAALTYQCAWRQRLARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKSQEIAKLQETLNDTQLQVEEAKAMVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTTEVEQLKALLQAERQATETAKKEHAEAERRNEELMKKFEGAEKKIEQLQDTAQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLRTPENVNAPNGEVKSSPDVTPISLNSKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGRAIAACVIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGIRASPQSAPRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEITDVDMPPLIRENSGFTFLHQRKD* >Brasy1G073400.1.p pacid=40052203 transcript=Brasy1G073400.1 locus=Brasy1G073400 ID=Brasy1G073400.1.v1.1 annot-version=v1.1 MEANGREQGELLNLELALQFPSAASESEPEEPPGFFLCVYCGRKFYNSQALGGHQNAHKEERGLARRRREDIAAATHAHAASSAPSRSQDAARLLGDRVAAGGFFPAVKKKQRMEAQETAADDDEDDAAHAARSFPEYGGDGLKLDLSLRL* >Brasy1G456000.1.p pacid=40052204 transcript=Brasy1G456000.1 locus=Brasy1G456000 ID=Brasy1G456000.1.v1.1 annot-version=v1.1 MLALREGWASRSVVRGVELPRPPVVKESWPGPAPRPGRGSPTPTPPPPPRETPPAMESPGGDPACRRDFVCATAARTADMAARDRDLGRRAVVAVVVGFQPHLLLTSVRWGVARHFRIAESEVEVSLSAPAEYLIVFSDMAFRNEALRISGPLIIGDVSFMLSPWSRFRRAAAARLCYKVRVCLEGVPRHAWDAASVDKLFADGSIIDGPDCTTNSEQETTVFRVWVWMDDVNKLARRGSLLLLEPVAIPSPDMHFPELGIYDETPVPEGPKNLLKYDVLLHLDRVLDFSESPPSSPDMPSESSATRRPSSWHYRWYLDYEDGTFPPRRSRGPVHSRLHFPDQRDDDGGGAAGRGGVGGARSGGQAPFPGCAQVGVGARSRGVGPGAGGGRQVAGLPAGVGLAALSGTVLEVAAADPQRDDGAFDLLTGSEWKVQQGVALSELLAVIPFRESVQIEECRWGCDPMLSEAELCPGTLQVQGDSGGPSSLTLELLAPVVAEVDDPLVRGPQLSGVVSQELLSGPPVSAQEEATSPARLALANEPNGPALSVDLPASGPSDGQIGVVEEVAAGPAREGPQPTIVPYRHRPAFRPSSLSSLRRRLRLCSTSPLHRLQQPWRRPASALLRALQGPVGG* >Brasy1G104800.1.p pacid=40052205 transcript=Brasy1G104800.1 locus=Brasy1G104800 ID=Brasy1G104800.1.v1.1 annot-version=v1.1 MALAGGEALTAVDHRGCPVSRRSSGRWPAALFVVGVEVAERFAFSGISGNLITYLTGPLGQSTAAAAAAVNAWSGTALLLPLLGAAVADSWVGRYRTIVHASLLYILGLAMLTVSSAQPAAACEGSTVDSAECSGSQLQSAFQVAFFYISLYLVAFAYGGQKPCVLAFAAEQFDGSDPKELAARGSFFNWWCFASYGGNAVTVSALNYVQESVGWQFGFGIPCVAMALALAVFWMGTKTYRFYPPARNGGLLVQLGEPLVARIQSWHLSLWRSKLPDDDSQPLLANASSSSSLKGGNGNTDFTYHEAASLLKLFPIWSACLIYAVVLSQWPTLLTKQASTLDRRVGGLVVPAAALQNLSPAVVMIFLPIYDRVFVPLARKRTRKPHGITMLQRIGVGLAISVVSMVVAALVEMKRLRVAEDHGLLDEPGAVVPMGFLWVVPELILSGLSMVFAAVGLQEFFYDQVPDSLRSLGAALALSIGGIGNFISSFLVYAIDKVTSSRGESWFSNNLNRGHLDYFFWLLAALSALGFSAYLHFARLVHKKRDAAVLVQ* >Brasy1G127500.1.p pacid=40052206 transcript=Brasy1G127500.1 locus=Brasy1G127500 ID=Brasy1G127500.1.v1.1 annot-version=v1.1 MELYGAEDKQPKKRSGKAAMSVRANGNGNGNGKSRPSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA* >Brasy1G086800.1.p pacid=40052207 transcript=Brasy1G086800.1 locus=Brasy1G086800 ID=Brasy1G086800.1.v1.1 annot-version=v1.1 MASLAGATSSFLSQPRGKRTIITLRLELCLIGSNSYSRDIGLLVGNAIDGEMVKELDLGIINDKFPEDSHHEVLVQHARDVDGFFSACPSVLRCLTRLHLHNARFAERDMNRLLFGCCKQLRHLSLSHCDTGDRSVWTIDAPDSNLRVLEVIFSSSKRTEVLCLPKLERLCWETWLRYEAPLCFGSVPSLQEFRLMCGATLSHQDFSLSEVLHGATNIQTLTLDFKGERIWMQPEGKQLCTAFDNLKKLSLCGIFMEFDLFWTLNLIEAAPIC* >Brasy1G016500.1.p pacid=40052208 transcript=Brasy1G016500.1 locus=Brasy1G016500 ID=Brasy1G016500.1.v1.1 annot-version=v1.1 MAAGGATGVSPSSLRLPSSLHPTPYPAPSSSRPRLPRGAPHPLLRSLSTTTPSLACAAAPDSSSSNYRSHASLMPPFSGLMLDEGSRSKKPYKWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMMATVMNAIFLQATMESIGIPTRVQTAFRISEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKQNPDARILETVSYNEVISRDLSVMDMTAVTLCQENNIPVVVFNLQNPGNIAKAIVGEKVGTFIGCTRNQDRDVESALDQERSLVNEW* >Brasy1G372900.1.p pacid=40052209 transcript=Brasy1G372900.1 locus=Brasy1G372900 ID=Brasy1G372900.1.v1.1 annot-version=v1.1 MGDHYGTLGLRRDATKAEVKAAFRHRALRDHPDRHAHSSDATARADAARRFRQASDAYHVLSDDRRRAEYDFRLRSSSSYGRTSSSTWASSSSSASSGYGYGHGHSGGSWRRPPPGYNWGFLLKAMTRRRFLMNLGFSSVLLSGAAFLDGSILELWNMNNSGKSFEEAMESIEKVKTGRGNS* >Brasy1G395600.1.p pacid=40052210 transcript=Brasy1G395600.1 locus=Brasy1G395600 ID=Brasy1G395600.1.v1.1 annot-version=v1.1 MAKIKPRALLAQSKQKKSPTKIGPTMIAYIALAALVVSSVYAYKYWMGKGPAGAEGVMEN* >Brasy1G251000.1.p pacid=40052211 transcript=Brasy1G251000.1 locus=Brasy1G251000 ID=Brasy1G251000.1.v1.1 annot-version=v1.1 MAAATSFATLAIARPAAAAHKALLASRPSSSLLSLRGASPATPVAVAGGSHSRARFVTSASAEPKAAELQSKVTNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTVENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGAGVLSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGLDIVSMIESSETDRGDRPKKKVVISECGELPVV* >Brasy1G574300.1.p pacid=40052212 transcript=Brasy1G574300.1 locus=Brasy1G574300 ID=Brasy1G574300.1.v1.1 annot-version=v1.1 MVLDAFASYLQSLLTEMAAEEVHLLLGVSVEIDKLGDKLKDLKNFLADADRRYITDNSVQEWVGLLKRAMYDATDILDLCQLKAMERGACSVDARCLNPLLFCIRNPFHAHDIGSRIKRLSSSGQPSPLPGETNIVKTH* >Brasy1G088000.1.p pacid=40052213 transcript=Brasy1G088000.1 locus=Brasy1G088000 ID=Brasy1G088000.1.v1.1 annot-version=v1.1 MVQLFLQVPADEGSVNADAVKARRSLLDKAESIIKSVVRSGSRYEARLWLCSTISSIHLLDPRAQRDLFLDLLEMKDSRQDVATLLLRMIFNKKPDKAGSVLAKKCHMLEKFFQGNPERIMQWFSHFAATGESSHKKGARGLAQFAFVNRDVCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSAELADSVKDGEILQIDTEYFVDQFVYLMYEENFRDAWRVVEDFLMEEQFSRLSQHLLIHLDEQRLLGFLKALGKLISLNAQCKELAFPCCWLEVLLSTHIDQISLDELILLNCVTTKGRQLWRLMNDGEHEDERGRMDELTKSTNHLTDADHFALIKEFMGTNFPDALKWIGIQSWVIFCDMSKECKSAGSCESLFTGNNIKFRKAYEYSLVQNDGHSVSHTDDKDLTRSSHKRKRDRKRRSHKYDSEEDNYDQLLELGSFNGKRAIESQYGSWYLSTDDFSASWDIADIPDHLSTHYLWVWVKWACFR* >Brasy1G088000.2.p pacid=40052214 transcript=Brasy1G088000.2 locus=Brasy1G088000 ID=Brasy1G088000.2.v1.1 annot-version=v1.1 MVQLFLQVPADEGSVNADAVKARRSLLDKAESIIKSVVRSGSRYEARLWLCSTISSIHLLDPRAQRDLFLDLLEMKDSRQDVATLLLRMIFNKKPDKAGSVLAKKCHMLEKFFQGNPERIMQWFSHFAATGESSHKKGARGLAQFAFVNRDVCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSAELADSVKDGEILQIDTEYFVDQFVYLMYEENFRDAWRVVEDFLMEEQFSRLSQHLLIHLDEQRLLGFLKALGKLISLNAQCKELAFPCCWLEVLLSTHIDQISLDELILLNCVTTKGRQLWRLMNDGEHEDERGRMDELTKSTNHLTDADHFALIKEFMGTNFPDALKWIGIQSWVIFCDMSKECKSAGSCESLFTGNNIKFRKAYEYSLVQNDGHSVSHTDDKDLTRSSHKRKRDRKRRSHKYDSEEDNYDQLLELGSFNGKRAIESQYGSWYLSTDDFSASWDIADIPDHLSTHYLWVWVKWACFR* >Brasy1G088000.3.p pacid=40052215 transcript=Brasy1G088000.3 locus=Brasy1G088000 ID=Brasy1G088000.3.v1.1 annot-version=v1.1 MVQLFLQVPADEGSVNADAVKARRSLLDKAESIIKSVVRSGSRYEARLWLCSTISSIHLLDPRAQRDLFLDLLEMKDSRQDVATLLLRMIFNKKPDKAGSVLAKKCHMLEKFFQGNPERIMQWFSHFAATGESSHKKGARGLAQFAFVNRDVCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSAELADSVKDGEILQIDTEYFVDQFVYLMYEENFRDAWRVVEDFLMEEQFSRLSQHLLIHLDEQRLLGFLKALGKLISLNAQCKELAFPCCWLEVLLSTHIDQISLDELILLNCVTTKGRQLWRLMNDGEHEDERGRMDELTKSTNHLTDADHFALIKEFMGTNFPDALKWIGIQSWVIFCDMSKECKSAGSCESLFTGNNIKFRKAYEYSLVQNDGHSVSHTDDKDLTRSSHKRKRDRKRRSHKYDSEEDNYDQLLELGSFNGKRAIESQYGSWYLSTDDFSASWDITYQITFLLIISGYG* >Brasy1G088000.4.p pacid=40052216 transcript=Brasy1G088000.4 locus=Brasy1G088000 ID=Brasy1G088000.4.v1.1 annot-version=v1.1 MVQLFLQVPADEGSVNADAVKARRSLLDKAESIIKSVVRSGSRYEARLWLCSTISSIHLLDPRAQRDLFLDLLEMKDSRQDVATLLLRMIFNKKPDKAGSVLAKKCHMLEKFFQGNPERIMQWFSHFAATGESSHKKGARGLAQFAFVNRDVCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSAELADSVKDGEILQIDTEYFVDQFVYLMYEENFRDAWRVVEDFLMEEQFSRLSQHLLIHLDEQRLLGFLKALGKLISLNAQCKELAFPCCWLEVLLSTHIDQISLDELILLNCVTTKGRQLWRLMNDGEHEDERGRMDELTKSTNHLTDADHFALIKEFMGTNFPDALKWIGIQSWVIFCDMSKECKSAGSCESLFTGNNIKFRKAYEYSLVQNDGHSVSHTDDKDLTRSSHKRKRDRKRRSHKYDSEEDNYDQLLELGSFNGKRAIESQYGSWYLSTDDFSASWDITYQITFLLIISGYG* >Brasy1G384200.1.p pacid=40052217 transcript=Brasy1G384200.1 locus=Brasy1G384200 ID=Brasy1G384200.1.v1.1 annot-version=v1.1 MEVGSALATVLFAAFSLPCLLLLVVAAEAALRLASLALRGDGSSSYAWPSRGAFLGYRISRPSSAAATAAVFHDAQQRLLLPPECLDHLAVAAYRGGGGAAEVDCVFCLSRIEEGDEVRELRCRHVFHRACLDAWLLIRPRATCPLCRDRLLPADPPRACSASPLDDDDEIYPNDDDAFSISSSSNAAAAAYHHHGAALWHT* >Brasy1G117600.1.p pacid=40052218 transcript=Brasy1G117600.1 locus=Brasy1G117600 ID=Brasy1G117600.1.v1.1 annot-version=v1.1 MAAEAAMTVDFLRARLLSERSVSRASKERADQLAAKVAELEEQVRAVTAQRQQAERETDEVLAILEPRGFSGSQLSDVLDSGSDNDEEPRDAKTYDGEAASSHGEVEPEQEPAAQGEAGALSGTAQQQPEPGGLSWKGRSVSPRKARQLKQRHRRSYLYLLASEPDPSRKYRMGQSCRKNKRTELRSPALDDGTGSVVMSQNGQRDGSDFTDGSRAELGGEQRSSGDCSGQYVIRYQKDGEMERVLEKQAELIGKYEAEEKAQRDWEKQFNDNRSSPEANSSGKFLSSGTSENASKKYAPQQREASQEHGHGRTQIAAIPARGSSSNSTATTSGKQDPQGDANSDGCSSYNTRDPSSGHRPIKSPSESSPSTDTRISKVSDWSSSRFHDHSHTDDRQLIDTRLDRQASNNYIDVESVLQALQRAKISLTKKLSKPLPPSQVTLALPAPGDEYRTEEEFYDGNGSYRGEEPSGSSPSRQEILALPAPEDYRYHEAEFSSFAEKSSGSSPPRQEILALPAPGDDCPGEREDDSDLKIPISNPGLFRLPTDSFPPDEMLSTSNGHDPAVLGLGEAARHAAVSSNPSSVRSEDPGVSAKQCHDLHGSGTLSLPDSRRCNAPSSVFSVGSAASFLSGVPELPEDLRRGRKPLADVNSFMQRGCDYTVSNNWML* >Brasy1G064400.1.p pacid=40052219 transcript=Brasy1G064400.1 locus=Brasy1G064400 ID=Brasy1G064400.1.v1.1 annot-version=v1.1 MRAERRRRDGDEVGLIGWVRSIDLFGSPLSNRVGAAGRRSSAAVPQWAVAIDPIGPSHAQAGSEAAVLDSLATRLATAVGRHQSPSTRRQADIRHRSLPIAGGQLDVLHTKPRAGEPETQAGELRGAGGPGKGVAAPFSRRGAGSSTSRRHWRRPSRSSMRRCDGSMARGARRRRRRGIHGVEAEIPAWRRSSGHAVAGGGSGRRSGAAARWHASRRVAFTEAAGLELSARIARCAAGAAGKLAGMFAGEPVEEPPCQR* >Brasy1G286400.1.p pacid=40052220 transcript=Brasy1G286400.1 locus=Brasy1G286400 ID=Brasy1G286400.1.v1.1 annot-version=v1.1 MSTSENLRMELLSRTPPFGLRLWVVLGISIWAAILFVLGCICFLLIYWRKRGNHGEVAEPEIPDVTKEIAVDEVGSRAFVENICVQESHIFPVKERCTEKDSGKKFTHSITSKSSGDCNLIECGSVHQYDRTQGFYSGDEGSSAYDKREYSKCASMSPRSGLPELSHMGLGHWFTLRDLEHATNGFSNEYIIGEGGYGVVYHGHLTNGTDVAIKKLFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGSHRMLVYEYISNGNLEQWLHGTMRQQGVLTWEARIKITLGIAKALAYLHEGIEPKVIHRDIKSSNILVDEEFNGKLSDFGLSKLLGEGKSHITTRVMGTFGYVAPEYVNTGLLNEKSDVYSFGVLLLEAVTGRDPVNYGRPANEVHMVEWLKLMVGSRRAEEVVDPDIEVKPTKQALKRALLVALKCVDPIADRRPTMGQAVRMLEAEDVPSREDRRRSRPVQDDGGEV* >Brasy1G146900.1.p pacid=40052221 transcript=Brasy1G146900.1 locus=Brasy1G146900 ID=Brasy1G146900.1.v1.1 annot-version=v1.1 MANPRRAIALQIHTQTPPIPAAAASSSSSLPSSLLNFLKRPASFPFLLSLFVLLTWISLRFHQPAPASTASLRRPTVAFDPQANLVRYPAALHPTPIAADARGWLLDPVAAAHDAGLPGGALVCLKLHVGLIPPGGLRGNHRHHTCNETFVIWGAKTKFRLENADIKDKGYGEAMIAADEVAVVASAKSTAHALINMDVRPTFFLGCQDTPVNPNSSNTDYKVWKDL* >Brasy1G030500.1.p pacid=40052222 transcript=Brasy1G030500.1 locus=Brasy1G030500 ID=Brasy1G030500.1.v1.1 annot-version=v1.1 MAGDEEGLAASLGELRVEPSPSPSRESEVAPHGNGDDVVDDDVWDDDASDSPGRGSVLDREWAYRQNQFRKMGYRDGITEGQKDAAQEGFDIGFRESVHIGYRWGLVRGITSALASLPDRLKEKLLPSDQCRVRLQSLYNSVQEISADGALQMFHESTLDNNRPLEEPHVKSEEGEAADSSRLETLPKNLLLMLHECPNIKVHEEMARVM* >Brasy1G473900.1.p pacid=40052223 transcript=Brasy1G473900.1 locus=Brasy1G473900 ID=Brasy1G473900.1.v1.1 annot-version=v1.1 MDDDLHELDGLPMRTGPPPPLPPGVQERWKEPRVVPLSAASKGRARAPVSAAAKQRAKKRSAMAAAGKVPPVRGGAEAQFEEPQSESFLNMMDDAIDIETFSMSNEVEEVSAPKPQKRSSNYTHDEDIQLCKSWINISTDAIVGNEQPSKSYWARIAEHYHENRTFDSDRSSNSLEHRWSVLQKECMKWQANFEQVERRHPSGIPYKEHMKECHALYASGEPKNRAFQYVHCWLEVRHTPKFLALHGNSKRPRSTPSDEVGDGDDDSKSPTPDTARQARPLGRKKSKELMKNAGEGGSYKEALKDLLQVREKEAKMRENRWKEAEDRQERKLSLEERKFQWEQEQKIMFCDVNALEPNVKVWVLAMRSQMAATAAARVGGLGGDGMVGGLGEDA* >Brasy1G133200.1.p pacid=40052224 transcript=Brasy1G133200.1 locus=Brasy1G133200 ID=Brasy1G133200.1.v1.1 annot-version=v1.1 MAPSSYPAPAKRCISKTPSGNPLPRRGQVKESMGKQIAAAAVTTASVVLHACSDKKAAAGAKKK* >Brasy1G194300.1.p pacid=40052225 transcript=Brasy1G194300.1 locus=Brasy1G194300 ID=Brasy1G194300.1.v1.1 annot-version=v1.1 MPRSRGSELPQRGASPRAPLHLKTTALSEANGAHHRPVVDRSSPKMADRPSPRSPLHEKKRVGPRVAELETKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKHVGSAKGSPAASPSCPTTPVAVESEKKTEQLKVAEPAADDGEEESGINSPATDVFEVVRTESGDKENQTVVVAEDGEEVSCGDKAAIMAEKEEVEVEETKTMIEEDSNASAAAIGVEKDSPEVVELKAQLMAKEMEVAALTAENAELRKQAAETAKRAEEEAEARAFLIEQDLKEHAAREGRLGEQLRASEAAREALEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHLVGHHGNGNGWGSPATMPDDGDDEGFGSRRKGAGIRMLGDLWKKKGNK* >Brasy1G442700.1.p pacid=40052226 transcript=Brasy1G442700.1 locus=Brasy1G442700 ID=Brasy1G442700.1.v1.1 annot-version=v1.1 MAGHFVLVALALLLLAARGAAYPWQVCGKAGNNFTANSTYQANLGLLAAALPTNTSSSPGLFATAVVGADDDPVSALALCRGDVTNATLCSGCLATAFQDVQNMCAYDRDAAIYYDPCVLYYSSP* >Brasy1G313900.1.p pacid=40052227 transcript=Brasy1G313900.1 locus=Brasy1G313900 ID=Brasy1G313900.1.v1.1 annot-version=v1.1 MGSPPQAPWRGEARAGDRHALVLNLLFFTFSDACGCHCDGSCPRPDGGGGGGCGCGPGGGCDGSGRGGSGDDGRPAGGGGGGGGGHQCPSRARVPNGMINLELGTPPKKTCCTLIHGLLDLEAVMCLCTALRAYILGININVPVNLSLHSTTAARPQECPRRFLVPMIIDHPFFP* >Brasy1G439400.1.p pacid=40052228 transcript=Brasy1G439400.1 locus=Brasy1G439400 ID=Brasy1G439400.1.v1.1 annot-version=v1.1 MKEKERAVVPSSSPSLTSTAEAPPATDGEVVMVEEVVTVAEENRDRLLLGPPASSRTQPQGNSTLARMKNVKGQETQVFSSSHVDDLVDKKDWDSLEAYLISFIPTSHPLESHPMLFLRIRISHAIDLINQKCFSAAHQYFEEHIRSPFQKVIEEGGTGHKNASIADLTDGLAHIADCLTEKSTPRLYTGSLTKLMVNDYMRLYLPENIGYKVHGKKDVFWAFAFPLDYGLTRPSYRCLACSQKVFHSASIRDLPPHLEVCPKMNTPYVEDLFVHCKILKEESTAAQSDQKPAGVEKAARKRGRTDLTTQAGTSTVADEKGILAEIAREIESADDLHRKMGGEVHKVINMHKRMGEVVENIVGMHTGIGHIVNKVKCLACPGPCPVAPVADPAGRDLGATDTTIISAGTDLAATDPAGNKAEVEEGELHWDGLMMVDHTSNPTDGLMSYEEIIEFFETE* >Brasy1G166400.1.p pacid=40052229 transcript=Brasy1G166400.1 locus=Brasy1G166400 ID=Brasy1G166400.1.v1.1 annot-version=v1.1 MPTPTDLGRPQIWARGARTRCRGRGARRRCLDGYDDGGRKMVLPSSSGPGEQSNHSFADYVAIAMATSGQVNSAGRVATSAIRILSLTGPLNLPCFCYHFGPPERPVDPVSLSRELVRRLDSTAAAAGSASH* >Brasy1G020700.1.p pacid=40052230 transcript=Brasy1G020700.1 locus=Brasy1G020700 ID=Brasy1G020700.1.v1.1 annot-version=v1.1 MLLRLRPPPATAAAAASLCSFSPSAPFTRRLPSPSWRPRPRPRRGLSTAASSSPNGSGGRLESPRERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAMEMRKVVESTQNLFIREAMATEVMIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVNFSGLEAQHGDEEVGWFSFDPEFHIEREQMCCYLTRTTKDTHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLPLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLFRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKRTKVPGGEFAAEVTAESNQPVKESSTLEAILKKPHVHYKLLDKHGYGNEHLSLIEKDCVEIDIKYEGFIARQQSQLQQIVNQEHRKLPEDLDYHSMKNLSLEAREKLSKVRPQTVGQAGRIGGVSPADMTVLLISIESNRRMAEHRRQQDMLRSATAKATDDSSEGAVHASATTA* >Brasy1G176100.1.p pacid=40052231 transcript=Brasy1G176100.1 locus=Brasy1G176100 ID=Brasy1G176100.1.v1.1 annot-version=v1.1 MSIPGKTSWPELVGTPAATAVMTIHTERPDLAVVVLPVGATVSPPGFHSTRVCVFFDSHDKLGRVAALPAVG* >Brasy1G121500.1.p pacid=40052232 transcript=Brasy1G121500.1 locus=Brasy1G121500 ID=Brasy1G121500.1.v1.1 annot-version=v1.1 NLKVRKVSRHRKEHNRKRWEKAKGISSKCPTSEMLIPTPQTTEWGSGDGRDWASGGDILRGSPPAAGRGSRGKTPSSVWRWRGGEGREICVRAARCGEESLPPRRCLACLRLVLDEAGNETGRDESPSSVSQIGVWWCGFLPSTN* >Brasy1G576000.1.p pacid=40052233 transcript=Brasy1G576000.1 locus=Brasy1G576000 ID=Brasy1G576000.1.v1.1 annot-version=v1.1 MLASWMTHRCLHNQRRHLTYMPFTLIHQILNKRYAKAKLGQSILAHTNLTEMTGECLSPFVTRVKLALTLKGLSYEYVEEDLSNKSELLLSSNPVHKKVPVLIHNGAPVCESNVILQYIDEAFASTGPSLLPSDPHERTTARFWAVYIDDKLVVPWVQYSFRGETEEVRSEGKKQMFAAVETLEGALRECSKGKPFFGGGSVGLVDISLGSLVSWVHATEVMSGIKIFDPAKTPLLAAWMKRFDELDAVKAVMPTSGGLTPPPPRCCSAPWPAAGGRRRHPAAPRHGCCWWPPPPRCCSDPRHGLLLVAAAARRGSRRSGSPTPLTPWKPWTTHPAASRLRTLRQATQLLRAGAGDVKVVSTDGDGAGPS* >Brasy1G111600.1.p pacid=40052234 transcript=Brasy1G111600.1 locus=Brasy1G111600 ID=Brasy1G111600.1.v1.1 annot-version=v1.1 MVSVLVILPICSLSSTPPHYIMQRGIEVRDKYSHLATAICNRVESSNPTTRLHSVIRSSTAFARSLRSAAPILFFSSVQPDPKRALVVFIEWRVVRMSRNSGGKVSKLESLRLSLSRARGGGGGQSSSSSTTARPGANNTSPRRLSSSSSSTASPPSSCVSSEGSPEAAAPSMVLAGCPRCMMYVMLSREDPRCPRCHSAVLLDFSGAGEQQRQPRKQRR* >Brasy1G286300.1.p pacid=40052235 transcript=Brasy1G286300.1 locus=Brasy1G286300 ID=Brasy1G286300.1.v1.1 annot-version=v1.1 MNPQVIVGTRMSQAHLYHESKDPEALETGLNMLLYMVHHYLPDPPVSTSAPPSLHGASSSMPNGVDRISRLPDAVLRDIVSRLPAKDAARTAALASRWRPLWLSAPLTLVDSHLLPDGGAVGPLVIGAPSPSTVTSAVTRILAAHPRPFRCVHLTCSNMDEHRIELERWLVLLAAKGVQDLTFVNRPYPIDLRLPATLFSCASLTRLYTGVWRLPDTAAVPRSAIFLNLRELGLCLTVMEDRDLTFMLERSPVLEFLTIVGSQTGVRLRLLSHSLRCVQLGHTYLEDIDVVDAPRLERLFQWTTCGAPATLRSRSSRIKIGHAPKLRVLGYLQPGEQEMEISNTVIVAGKKVNIVPGVQILAIEVRFGVRNVIKKVPGFLRCFPNLHTLHVLEYKDKDIIAALLLPELCMRWFTLQSDRVEKPTGKVNLKFWHDSGLACVLAMKKVFFYEFRGSRSEVSFLMFIAERARVLEKMVVVVASKYFSSGDDVNAKLKPLTSAKWNSKACKLQLFKGPLTDGGSTLHNPVASDFSFADPFDLMYYEESL* >Brasy1G286300.2.p pacid=40052236 transcript=Brasy1G286300.2 locus=Brasy1G286300 ID=Brasy1G286300.2.v1.1 annot-version=v1.1 MNPQVIVGTRMSQAHLYHESKDPEALETGLNMLLYMVHHYLPDPPVSTSAPPSLHGASSSMPNGVDRISRLPDAVLRDIVSRLPAKDAARTAALASRWRPLWLSAPLTLVDSHLLPDGGAVGPLVIGAPSPSTVTSAVTRILAAHPRPFRCVHLTCSNMDEHRIELERWLVLLAAKGVQDLTFVNRPYPIDLRLPATLFSCASLTRLYTGVWRLPDTAAVPRSAIFLNLRELGLCLTVMEDRDLTFMLERSPVLEFLTIVGSQTGVRLRLLSHSLRCVQLGHTYLEDIDVVDAPRLERLFQWTTCGAPATLRSRSSRIKIGHAPKLRVLGYLQPGEQEMEISNTVIVAGKKVNIVPGVQILAIEVRFGVRNVIKKVPGFLRCFPNLHTLHVLSDRVEKPTGKVNLKFWHDSGLACVLAMKKVFFYEFRGSRSEVSFLMFIAERARVLEKMVVVVASKYFSSGDDVNAKLKPLTSAKWNSKACKLQLFKGPLTDGGSTLHNPVASDFSFADPFDLMYYEESL* >Brasy1G218000.1.p pacid=40052237 transcript=Brasy1G218000.1 locus=Brasy1G218000 ID=Brasy1G218000.1.v1.1 annot-version=v1.1 MKAKDVGNGKGLDDQQAQVVQVGRKNELFNRSSPMKLVRLCKEFNRKQRHKITISGFGSFLDTKISKLHPDLCKFLMESFNPDTCELQFGGRGSIPINEQAVHRVLKIPIGNHSVKYCIDTEATNSVFDMLEIKDGKHPKLSDLEKKLASMGSTGDLFMRMWMLYAMSSVIAPTTGIIVSPRCYPSILDVEGISDLNWCRFVVDVLVKTAKAKEKNMFKACMPFLMILYVDSLDIKSAELKKKGPRICVWSNKLVRMAVNLDRSSDGSFGMLPLKQCQSECSLFCNTLSKVDSFIKFHAGDNFGEDISKYRPAVIEMCKDFELGLGKFIQKVVSGKEHGESSNQQQAARSEKRKREDLSDGTDIYVQTKELEETKQQHKIPECVDCSDFEEGKLQRRRRRKRRFVVYDVARTVGDEIGRGSEKKREQVVQDVVMDRVNKATKPEVKVSKRMAKKSDEATRHKQSTVPEAEHEIAAFPAGGTDAVSDVQIASTRVECMDDGSKKSLGQMSVHDIEETHHQDDIGQGHESNGCDLEYIDKDANSYLKMSKQHDADSKDQADFNCQNMPATNLELLAKVSEPFLAAARKSQDSFMCQPLQDLQIYATDSMSSSEISRKDKASIVAMDNRSHVVSPSSHKISEKSTPMNVTSQEKEGKIESEP* >Brasy1G218000.4.p pacid=40052238 transcript=Brasy1G218000.4 locus=Brasy1G218000 ID=Brasy1G218000.4.v1.1 annot-version=v1.1 MKAKDVGNGKGLDDQQAQVVQVGRKNELFNRSSPMKLVRLCKEFNRKQRHKITISGFGSFLDTKISKLHPDLCKFLMESFNPDTCELQFGGRGSIPINEQAVHRVLKIPIGNHSVKYCIDTEATNSVFDMLEIKDGKHPKLSDLEKKLASMGSTGDLFMRMWMLYAMSSVIAPTTGIIVSPRCYPSILDVEGISDLNWCRFVVDVLVKTAKAKEKNMFKACMPFLMILYVDSLDIKSAELKKKGPRICVWSNKLVRMAVNLDRSSDGSFGMLPLKQCQSECSLFCNTLSKVDSFIKFHAGDNFGEDISKYRPAVIEMCKDFELGLGKFIQKVVSGKEHGESSNQQQAARSEKRKREDLSDGTDIYVQTKELEETKQQHKIPECVDCSDFEEGKLQRRRRRKRRFVVYDVARTVGDEIGRGSEKKREQVVQDVVMDRVNKATKPEVKVSKRMAKKSDEATRHKQSTVPEAEHEIAAFPAGGTDAVSDVQIASTRVECMDDGSKKSLGQMSVHDIEETHHQDDIGQGHESNGCDLEYIDKDANSYLKMSKQHDADSKDQADFNCQNMPATNLELLAKVSEPFLAAARKSQDSFMCQPLQDLQIYATDSMSSSEISRKDKASIVAMDNRSHVVSPSSHKISEKSTPMNVTSQEKEGKIESEP* >Brasy1G218000.3.p pacid=40052239 transcript=Brasy1G218000.3 locus=Brasy1G218000 ID=Brasy1G218000.3.v1.1 annot-version=v1.1 MKAKDVGNGKGLDDQQAQVVQVGRKNELFNRSSPMKLVRLCKEFNRKQRHKITISGFGSFLDTKISKLHPDLCKFLMESFNPDTCELQFGGRGSIPINEQAVHRVLKIPIGNHSVKYCIDTEATNSVFDMLEIKDGKHPKLSDLEKKLASMGSTGDLFMRMWMLYAMSSVIAPTTGIIVSPRCYPSILDVEGISDLNWCRFVVDVLVKTAKAKEKNMFKACMPFLMILYVDSLDIKSAELKKKGPRICVWSNKLVRMAVNLDRSSDGSFGMLPLKQCQSECSLFCNTLSKVDSFIKFHAGDNFGEDISKYRPAVIEMCKDFELGLGKFIQKVVSGKEHGESSNQQQAARSEKRKREDLSDGTDIYVQTKELEETKQQHKIPECVDCSDFEEGKLQRRRRRKRRFVVYDVARTVGDEIGRGSEKKREQVVQDVVMDRVNKATKPEVKVSKRMAKKSDEATRHKQSTVPEAEHEIAAFPAGGTDAVSDVQIASTRVECMDDGSKKSLGQMSVHDIEETHHQDDIGQGHESNGCDLEYIDKDANSYLKMSKQHDADSKDQADFNCQNMPATNLELLAKVSEPFLAAARKSQDSFMCQPLQDLQIYATDSMSSSEISRKDKASIVAMDNRSHVVSPSSHKISEKSTPMNVTSQEKEGKIESEP* >Brasy1G218000.2.p pacid=40052240 transcript=Brasy1G218000.2 locus=Brasy1G218000 ID=Brasy1G218000.2.v1.1 annot-version=v1.1 MKAKDVGNGKGLDDQQAQVVQVGRKNELFNRSSPMKLVRLCKEFNRKQRHKITISGFGSFLDTKISKLHPDLCKFLMESFNPDTCELQFGGRGSIPINEQAVHRVLKIPIGNHSVKYCIDTEATNSVFDMLEIKDGKHPKLSDLEKKLASMGSTGDLFMRMWMLYAMSSVIAPTTGIIVSPRCYPSILDVEGISDLNWCRFVVDVLVKTAKAKEKNMFKACMPFLMILYVDSLDIKSAELKKKGPRICVWSNKLVRMAVNLDRSSDGSFGMLPLKQCQSECSLFCNTLSKVDSFIKFHAGDNFGEDISKYRPAVIEMCKDFELGLGKFIQKVVSGKEHGESSNQQQAARSEKRKREDLSDGTDIYVQTKELEETKQQHKIPECVDCSDFEEGKLQRRRRRKRRFVVYDVARTVGDEIGRGSEKKREQVVQDVVMDRVNKATKPEVKVSKRMAKKSDEATRHKQSTVPEAEHEIAAFPAGGTDAVSDVQIASTRVECMDDGSKKSLGQMSVHDIEETHHQDDIGQGHESNGCDLEYIDKDANSYLKMSKQHDADSKDQADFNCQNMPATNLELLAKVSEPFLAAARKSQDSFMCQPLQDLQIYATDSMSSSEISRKDKASIVAMDNRSHVVSPSSHKISEKSTPMNVTSQEKEGKIESEP* >Brasy1G218000.5.p pacid=40052241 transcript=Brasy1G218000.5 locus=Brasy1G218000 ID=Brasy1G218000.5.v1.1 annot-version=v1.1 MKAKDVGNGKGLDDQQAQVVQVGRKNELFNRSSPMKLVRLCKEFNRKQRHKITISGFGSFLDTKISKLHPDLCKFLMESFNPDTCELQFGGRGSIPINEQAVHRVLKIPIGNHSVKYCIDTEATNSVFDMLEIKDGKHPKLSDLEKKLASMGSTGDLFMRMWMLYAMSSVIAPTTGIIVSPRCYPSILDVEGISDLNWCRFVVDVLVKTAKAKEKNMFKACMPFLMILYVDSLDIKSAELKKKGPRICVWSNKLVRMAVNLDRSSDGSFGMLPLKQCQSECSLFCNTLSKVDSFIKFHAGDNFGEDISKYRPAVIEMCKDFELGLGKFIQKVVSGKEHGESSNQQQAARSEKRKREDLSDGTDIYVQTKELEETKQQHKIPECVDCSDFEEGKLQRRRRRKRRFVVYDVARTVGDEIGRGSEKKREQVVQDVVMDRVNKATKPEVKVSKRMAKKSDEATRHKQSTVPEAEHEIAAFPAGGTDAVSDVQIASTRVECMDDGSKKSLGQMSVHDIEETHHQDDIGQGHESNGCDLEYIDKDANSYLKMSKQHDADSKDQADFNCQNMPATNLELLAKVSEPFLAAARKSQDSFMCQPLQDLQIYATDSMSSSEISRKDKASIVAMDNRSHVVSPSSHKISEKSTPMNVTSQEKEGKIESEP* >Brasy1G316000.1.p pacid=40052242 transcript=Brasy1G316000.1 locus=Brasy1G316000 ID=Brasy1G316000.1.v1.1 annot-version=v1.1 MSMSSGGGPSGPQRQRSTTLVPRGMSRAITMRTDGLSGEEGGDPIIVETELVPSSLAPIVPILRAANEIEDENPRVAYLCRFTAFEKAHTMDPNSSWRGVRQFKTYLLHRLEKDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLVLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.2.p pacid=40052243 transcript=Brasy1G316000.2 locus=Brasy1G316000 ID=Brasy1G316000.2.v1.1 annot-version=v1.1 MSMSSGGGPSGPQRQRSTTLVPRGMSRAITMRTDGLSGEEGGDPIIVETELVPSSLAPIVPILRAANEIEDENPRVAYLCRFTAFEKAHTMDPNSSWRGVRQFKTYLLHRLEKDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.3.p pacid=40052244 transcript=Brasy1G316000.3 locus=Brasy1G316000 ID=Brasy1G316000.3.v1.1 annot-version=v1.1 MSMSSGGGPSGPQRQRSTTLVPRGMSRAITMRTDGLSGEEGGDPIIVETELVPSSLAPIVPILRAANEIEDENPRVAYLCRFTAFEKAHTMDPNSSWRGVRQFKTYLLHRLEKDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLVLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.4.p pacid=40052245 transcript=Brasy1G316000.4 locus=Brasy1G316000 ID=Brasy1G316000.4.v1.1 annot-version=v1.1 MSMSSGGGPSGPQRQRSTTLVPRGMSRAITMRTDGLSGEEGGDPIIVETELVPSSLAPIVPILRAANEIEDENPRVAYLCRFTAFEKAHTMDPNSSWRGVRQFKTYLLHRLEKDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.5.p pacid=40052246 transcript=Brasy1G316000.5 locus=Brasy1G316000 ID=Brasy1G316000.5.v1.1 annot-version=v1.1 MRSRTRTRASHTSDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLVLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.6.p pacid=40052247 transcript=Brasy1G316000.6 locus=Brasy1G316000 ID=Brasy1G316000.6.v1.1 annot-version=v1.1 MRSRTRTRASHTSDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.7.p pacid=40052248 transcript=Brasy1G316000.7 locus=Brasy1G316000 ID=Brasy1G316000.7.v1.1 annot-version=v1.1 MRSRTRTRASHTSDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLVLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.8.p pacid=40052249 transcript=Brasy1G316000.8 locus=Brasy1G316000 ID=Brasy1G316000.8.v1.1 annot-version=v1.1 MRSRTRTRASHTSDEKDTTRRLASTDATEIQRFYEQYCKKNLEEGLHMRKPEEMSRYYQIASVLYDVLKTVKPEKSKFDQYAKEVEKDKASYSHYNILPLNISGPTQPVMNIPEIRAAVDLLRRMENLPMPRPDLPAVPEEIDEPNVHDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRKGGERHMIDSDTVEHLMKKIFQNYISWCRYLHLESNIKIPNDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMAKDLYDIISDRREGSFDPPFRREGSDDAFLQLVIQPIYSIIQKEAEMSKHGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDLTADFFADPTKTKNEIERHDHSLSRRRMPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.9.p pacid=40052250 transcript=Brasy1G316000.9 locus=Brasy1G316000 ID=Brasy1G316000.9.v1.1 annot-version=v1.1 MPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLVLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G316000.10.p pacid=40052251 transcript=Brasy1G316000.10 locus=Brasy1G316000 ID=Brasy1G316000.10.v1.1 annot-version=v1.1 MPKTNFVEVRTFLHLFRSFDRMWSFFILAFQAMVIIAWSPSGSLSAIFDPAVFRNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHILKFVVAIGWLIILPSTYSSSIQNPTGLVKFFSNWIGNLQSQSIYNFAVAIYMLPNIFSALFFIFLPIRRALERSNSRFVRFLLWWTQPKLYVARGMYLDTCSLLKYTTFWILLLICKLAFSFYVEISPLVGPTRIIMFLGRGKYVWHEFFPYLQHNLGVVFTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPRAFGKKLVPGDGIKSKRHERQEEKNPHIDKFSEIWNAFISSLREEDLISNRERNLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKGVKKKDEELRKRINQDPYTYYAVIECYETLLIILYSLITEAGDRKVIDRIRDSVISSIHTQSLVKDFRLDQLSHLSDKFEKLLNLLLKTESGERDTTTLKTQIANLLQDTMEIITQDIMKNGQGILKDEDKGNQLFANLNLDSIKSEAWREKCVRLQLLLTTKESAIYVPINLEARRRITFFANSLFMKMPRAPPVRSMMSFSVLTPYCKEEVLFSAEDLHKKNEDGISILFYLRKIYPDEWKNFLERINFKPKDEESLKSEMDHIAPWASYRGQTLTRTVRGMMYYRRALEIQSIHDKTDIAKLDRQKTTASYQEGGSIVDMALAIADIKFTYVVSCQVYGMQKISKDAKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGMTEKIYYSVLVKGVGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNVLEEFASDDYGKSKPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIQADIRPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTGEQEHLRKTSIRALLLEIILSLRFLIYQYGIVYQLNIARHNTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLTFRILKGLLFLGFVSVMAVLFVVCELTISDVFASILGFLPTGWCILLIGQACYPVIKKTTIWESIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE* >Brasy1G198200.1.p pacid=40052252 transcript=Brasy1G198200.1 locus=Brasy1G198200 ID=Brasy1G198200.1.v1.1 annot-version=v1.1 MLSRWVAAAGRQQGLLARLRSRAYSYGRVFDAASRDGELRLFVVAGEVSGDSLASRLMASIRELSPVPVRFAGVGGELMRKEGLQSLFPMKEIAIMGLWELLPHIYNVKRKIENTVDAAILFQPHAVVTIDSKGFSFRLLRQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDEICRLNGLPATYVGHPLLDDAAGLKVDPELSSDKSMHQESGEAFRLEHKLSPDATILTMLPGSRMQEVARMLPIFLRTVQHLSHTLNELSLVIPVAPHRDVRNYVENVVRSVPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTDKNLAAKLSEVIFDDEVRQLQVGSADRMLQVLYEPIKQRGGSFVEEVRSLSSSVYSPSTIAALTVLYTDKSRRMVHQN* >Brasy1G198200.4.p pacid=40052253 transcript=Brasy1G198200.4 locus=Brasy1G198200 ID=Brasy1G198200.4.v1.1 annot-version=v1.1 MLSRWVAAAGRQQGLLARLRSRAYSYGRVFDAASRDGELRLFVVAGEVSGDSLASRLMASIRELSPVPVRFAGVGGELMRKEGLQSLFPMKEIAIMGLWELLPHIYNVKRKIENTVDAAILFQPHAVVTIDSKGFSFRLLRQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDEICRLNGLPATYVGHPLLDDAAGLKVDPELSSDKSMHQESGEAFRLEHKLSPDATILTMLPGSRMQEVARMLPIFLRTVQHLSHTLNELSLVIPVAPHRDVRNYVENVVRSVPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTDKNLAAKLR* >Brasy1G198200.2.p pacid=40052254 transcript=Brasy1G198200.2 locus=Brasy1G198200 ID=Brasy1G198200.2.v1.1 annot-version=v1.1 MHRELMRKEGLQSLFPMKEIAIMGLWELLPHIYNVKRKIENTVDAAILFQPHAVVTIDSKGFSFRLLRQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDEICRLNGLPATYVGHPLLDDAAGLKVDPELSSDKSMHQESGEAFRLEHKLSPDATILTMLPGSRMQEVARMLPIFLRTVQHLSHTLNELSLVIPVAPHRDVRNYVENVVRSVPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTDKNLAAKLSEVIFDDEVRQLQVGSADRMLQVLYEPIKQRGGSFVEEVRSLSSSVYSPSTIAALTVLYTDKSRRMVHQN* >Brasy1G198200.3.p pacid=40052255 transcript=Brasy1G198200.3 locus=Brasy1G198200 ID=Brasy1G198200.3.v1.1 annot-version=v1.1 MRKEGLQSLFPMKEIAIMGLWELLPHIYNVKRKIENTVDAAILFQPHAVVTIDSKGFSFRLLRQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDEICRLNGLPATYVGHPLLDDAAGLKVDPELSSDKSMHQESGEAFRLEHKLSPDATILTMLPGSRMQEVARMLPIFLRTVQHLSHTLNELSLVIPVAPHRDVRNYVENVVRSVPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTDKNLAAKLSEVIFDDEVRQLQVGSADRMLQVLYEPIKQRGGSFVEEVRSLSSSVYSPSTIAALTVLYTDKSRRMVHQN* >Brasy1G198200.5.p pacid=40052256 transcript=Brasy1G198200.5 locus=Brasy1G198200 ID=Brasy1G198200.5.v1.1 annot-version=v1.1 MRKEGLQSLFPMKEIAIMGLWELLPHIYNVKRKIENTVDAAILFQPHAVVTIDSKGFSFRLLRQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDEICRLNGLPATYVGHPLLDDAAGLKVDPELSSDKSMHQESGEAFRLEHKLSPDATILTMLPGSRMQEVARMLPIFLRTVQHLSHTLNELSLVIPVAPHRDVRNYVENVVRSVPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFITECFIHLRKKINFISLPNILLNSPIVPEILFRACTDKNLAAKLSEVIFDDEVRQLQVGSADRMLQVLYEPIKQRGGSFVEEVRSLSSSVYSPSTIAALTVLYTDKSRRMVHQN* >Brasy1G168200.1.p pacid=40052257 transcript=Brasy1G168200.1 locus=Brasy1G168200 ID=Brasy1G168200.1.v1.1 annot-version=v1.1 MGCCFSKKRPDGSAVFPPRCKPEDRDPPPPPTPEEEKVKEVLSETPNTKVIVEPNKPAAIVVAVEEQQVLKVVKASADATTMSDLGSCMSLATDERSEAASESSVATSSVAGPERSPRKPAARKRPVSGELGVGRRDRAAAAYGIRSRSCRASPSPPPRREPRDRSVRRSPSPAAKRTPQEQHGAAGPAASLQRKPPVPSRPCSRASPRRAREAPPPLPPPEPPQPEEEREDSAMDVNASHGDGDGDGKESLENPLVSMECFIFL* >Brasy1G487100.1.p pacid=40052258 transcript=Brasy1G487100.1 locus=Brasy1G487100 ID=Brasy1G487100.1.v1.1 annot-version=v1.1 MLGSFRGPPTSFCFGGPFVRGPSLLARRCPKAFDRYQIPFSFPPPAGDHRLCWIRRRFTSLLAETEHRRYAVLPVSLESLFPPARSLFARPSGMSSSMYRKRRPAKAACSMERPSGLTACGSSKRPRLIPSAAVSDESSSSWASLPADLVRLIGWRVLASDLRGYVRFRAVCPHWRSSSVCPRGRGVVDPRFHPRRWMMLPEGDGLHPGHGKLRGYIRFFNLSTGVIVRVLLPLFRDHCILDSVDGLLLLQRDQDTAIRLLHPFTGDIAELPPLATLMRLPKANLDVRRTWKYFRTICATSFSVSEDGVITVMIVFHKLSMLAFATSSDHQWNVPTWRRSPYRRPISFRGKIYMLDNTPLYGGSRDVQIIQIDPPQYEGMPSGSCSTPTQKLVATCPVSKMCFPRYLAECDSEILVIGYRDGFFCHPLVYRLSDLILDRIVPVTSIGDNVLFIDERILNVSPSAVNIVGDSIVAIHPKEKYLAQCQLSSCTWSPAADGRIAIHGGVSRPYSFIYHIYTSCHRASWNKGAIRYQYRDQLVWKVKRKWREGA* >Brasy1G119800.1.p pacid=40052259 transcript=Brasy1G119800.1 locus=Brasy1G119800 ID=Brasy1G119800.1.v1.1 annot-version=v1.1 MEVLSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLGAFGKVESKNVFYWFQNHKARERHHHKKRRRVASSSSDNSSASNNADDAADHGREDLLLQPPESKREARSYNHHRPIMTCYVRDAVEQEVVMWERPTREVETLELFPLKAAYDLEADRLRYVRGAGEQQCREISFFDVANGRDPPLELRLCSFDI* >Brasy1G411200.1.p pacid=40052260 transcript=Brasy1G411200.1 locus=Brasy1G411200 ID=Brasy1G411200.1.v1.1 annot-version=v1.1 MKSSTLMVILLVLHAVLVMGIFAAVAKENAAVGESKGNRETNGGKLRCCSSCNFSFSGLYTCDDVVKKCDPVCKKCTVVRGSNAKHNPAKPKQLFQCTDTFLGVCGPPCKKN* >Brasy1G542700.1.p pacid=40052261 transcript=Brasy1G542700.1 locus=Brasy1G542700 ID=Brasy1G542700.1.v1.1 annot-version=v1.1 MAEQRKQTGQQKKRTRFWEESSAWSSRGDTPLAASGPIPIMAPPPTSAAATVNPLEEEEPFFSLAKKKCLHCAVCSGPLKPPVYMCDDRHSVCHSCAARHRYRYCGACNRGSSFVHSSGLDTLVDGTKTSCPAQIFGCRERSVPYHQVWRHYAVCEHLPCYCVHPEPRGTFVGDPAMLLRHLTEEHCWPVEKIKYETRHQVVVVPSPSEQHRRLLVAEEDGAVFLLAVDAAVEDGDFCPVGLVRVRRNADNVKPFYVCTLSLRGPLKGAPYFYADSSQHEAQVTNCVAPNEVDVKRCRVRTQVFPSLHGHGENKERREDLRTDPIRI* >Brasy1G009800.1.p pacid=40052262 transcript=Brasy1G009800.1 locus=Brasy1G009800 ID=Brasy1G009800.1.v1.1 annot-version=v1.1 MAAAAAFSSSSSSTNLIPPPLPKGDGDDAPNWVLLDIVGYMGDYSNATSAESHTSTGERIQVSFFTARPPQVSHFCVLCPDLGPGGFKTPPRVVSVDSDLILFSVSLFHQIWSTPHGCDYFLYRAGANPSLHLVPHPYHNNYQDKEVALLSLGGDEYAVAVLRSRYLTEPNKEFNLYLYRSSKPLQGWTCKLLSVAEPLRDKVCPLDLLPYHQTTKVIILGRGMVGWVDLWRGILLCNVLQENPDLVDIPLPPPARGNWELLLQCHPYVVRDITVNLLKDSIKCIEIENYKRSPPDSTRAWKATTWSLPIPIVPSQAWHPDCTFDVADITIDPMHSGLLPSRLRTTDDNPSKPILPARLIGIPTMSMDDDNVFYLLHKAYHTGQTDAVIALDMRNKTLQGSANLVTGKDFTHTRYRTSEISKYLCKDDKGTR* >Brasy1G119400.1.p pacid=40052263 transcript=Brasy1G119400.1 locus=Brasy1G119400 ID=Brasy1G119400.1.v1.1 annot-version=v1.1 MGIQVASPSSPASPSSSRPAVLGGACMRLSRAQSSPSSLASWSTGLARRRGGHQMVRRALSASIDSIGSHGGGDDEEFLKRIQELAVGLHPGAGGCGWPASVERSASSVGLPLSLRMLKRKKQQRQQQEVQRGRGCDERGLTVGRAGGRGAVGRAFSSMVLIIRELQSFALQMRQALFYEDLQSVLARVHAEMDASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDNIAMAANLPPPQPTVAAVAMIDYTNQQDTEQQPSRSDDQLFVDTGLLNNKFSIGRTAAVGGNSGGGGKVRPFAGGAGDDRSDESLYRQSGAVLPQDASEATAAPVGTGAEEAVSDAMAAEEKSEDELVVWKRIADEARRMQASVRVEALMDPDILGQLVAPVEAKLDTEDFAGYARTEQRYEQAVSEEPNNSLLLANFAQFLYLVQRDHDRAEHYFKRAVGAAQPADAEALGWYATFLWKARSDLAGAEETYQEAIAADPGNGHHAAAYAHFLWNTGGEDTCYPLD* >Brasy1G308000.1.p pacid=40052264 transcript=Brasy1G308000.1 locus=Brasy1G308000 ID=Brasy1G308000.1.v1.1 annot-version=v1.1 MIFFIKVHVVFFSNKCCFLHRVRAGALVTRGQALVDSCSSSSWRPDAHVKLKPSGALSLNKHSMQTPPRKIRNQTDAMSSSNVAPVSPRSQLALSCFEDLLDFAVADVASECHRIARLGLDRSVDAEEEELRVWAARVAGDHPGAEDGGSRGIGGGGGNKGAPDVFGQTHPPIAADVVDCMNCGRPVVAGRFAPHLEKCMGKGRKARPKTTRSSTAGRNRNNNGEEQSNHTLLES* >Brasy1G258600.1.p pacid=40052265 transcript=Brasy1G258600.1 locus=Brasy1G258600 ID=Brasy1G258600.1.v1.1 annot-version=v1.1 MPTSRIIYCRWDGRAFAGSGLGSSRGRRGHGCRDGSTERWLVGPGCAELSAPHPLLRRQPMAASSSSSAPAHPVRGCSLRRRRIPSASSPSPPPPPPLRR* >Brasy1G410800.1.p pacid=40052266 transcript=Brasy1G410800.1 locus=Brasy1G410800 ID=Brasy1G410800.1.v1.1 annot-version=v1.1 MASLLLLLRAIVGVSDKGLLGAEEAQEEGKQPEMFSPKHLVAYDGCRNRDDNMEEERWLVACLRRPRTGRQSAWMQTI* >Brasy1G237600.1.p pacid=40052267 transcript=Brasy1G237600.1 locus=Brasy1G237600 ID=Brasy1G237600.1.v1.1 annot-version=v1.1 MSRVPNCSRTSLTALVLPPPMCCRRGPNPAGASAKRKRQTGRNHLIQVAVQADVTSFEFPPPASVFGFVIAVSMPSRREASNVGEQRPEEEEGIS* >Brasy1G420600.1.p pacid=40052268 transcript=Brasy1G420600.1 locus=Brasy1G420600 ID=Brasy1G420600.1.v1.1 annot-version=v1.1 MRWQTNFHELARLLILLLSLSSPPSKSRASSPSLLHCRRPPWFHHRRPPAPEHRRPSSTGGLPHRRPSSTDGLLHRRSSPIGPCSAGAGSGRRGSSRHGSFCAAPGGLLHAAEGHGKQWRAAAAGPEAEPPDPPAPTKPAAGSGVLGNARCPIPKPSGAAHLPNPVRLHSSRQRPQQLLTSAARVRRRRRGESSSYPSSNLLPCSYYSPAQQFLDGWMHS* >Brasy1G349600.1.p pacid=40052269 transcript=Brasy1G349600.1 locus=Brasy1G349600 ID=Brasy1G349600.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQATAAAQAQTQALAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTHEKSTAPSLH* >Brasy1G295400.1.p pacid=40052270 transcript=Brasy1G295400.1 locus=Brasy1G295400 ID=Brasy1G295400.1.v1.1 annot-version=v1.1 MASWPQGSAIGAHPDVVDLDLAPIPHWDDGATNYLVRFHLPGFKKEEFRVLVDRGGRLTLRGQRSAGVVRVQRTLQLPPTADVDRIAARFDGRVLCLTLPKVPLRAAEMARARMDEAKEVAAAWDMEVARDKERSQSQYWDKGQVVAATVVAFALGVVVTHRFFSARNV* >Brasy1G346900.1.p pacid=40052271 transcript=Brasy1G346900.1 locus=Brasy1G346900 ID=Brasy1G346900.1.v1.1 annot-version=v1.1 MPSPLAMASRDLRRLLDGAALVAREAARRSSGRDILRSALLAVTDLAGLTRGTPRRPQPPPLPPGPHPGEADSSRPSSSSVVYFSHDDAPSREPPLEQQPPAQDSLHPAQTQENQGITNTHTTAAVAAAAKREAVEAARPEDEAFRHEFSPSPSPSLSPTPAPVEKRRRRRERNVPSTPFTRAIGFAGLGAGLAWGGLQESARRVMYGTPVRDGKQSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPSKQLNSVLDAELGQGWSSKLRSFDYEPLAAASIGQVHRAVLKDGSEVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAIKVAKQELARECDYVIEASNQKRYKELLSGSEGFYVPKVTEELSSKKVLTSEFVPGVPIDKVAGLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDTTRQFNLIDFGAAREFPKKFVDDYLRMVVACANRDRASVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFSTSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDHSEVSSSTV* >Brasy1G346900.2.p pacid=40052272 transcript=Brasy1G346900.2 locus=Brasy1G346900 ID=Brasy1G346900.2.v1.1 annot-version=v1.1 MNSHRRPRPRSRPRRRRSKRGAGEGNGMFPPHLLPEPLGLGAGLAWGGLQESARRVMYGTPVRDGKQSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPSKQLNSVLDAELGQGWSSKLRSFDYEPLAAASIGQVHRAVLKDGSEVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAIKVAKQELARECDYVIEASNQKRYKELLSGSEGFYVPKVTEELSSKKVLTSEFVPGVPIDKVAGLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDTTRQFNLIDFGAAREFPKKFVDDYLRMVVACANRDRASVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFSTSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDHSEVSSSTV* >Brasy1G346900.3.p pacid=40052273 transcript=Brasy1G346900.3 locus=Brasy1G346900 ID=Brasy1G346900.3.v1.1 annot-version=v1.1 MPSKQLNSVLDAELGQGWSSKLRSFDYEPLAAASIGQVHRAVLKDGSEVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAIKVAKQELARECDYVIEASNQKRYKELLSGSEGFYVPKVTEELSSKKVLTSEFVPGVPIDKVAGLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDTTRQFNLIDFGAAREFPKKFVDDYLRMVVACANRDRASVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFSTSGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDHSEVSSSTV* >Brasy1G528000.1.p pacid=40052274 transcript=Brasy1G528000.1 locus=Brasy1G528000 ID=Brasy1G528000.1.v1.1 annot-version=v1.1 MQALLLSPPPAPPRPPLPTLRRRSLSSAVAPAPCVSRPRLAAAYSTAAGRGTPSRLAAAAAPGPVEPAPPSAEEEAERAKLAQVSKRLEKTGRYFGSLGTLGFWSQLVCTTVSAGILSFSAVATGNATAPFTFYATAVGIIAAFVSVFRSFSYIRLSERLRRTSTEPAKAPPRADVVKNLRNSVVVNVIGMGAALLGMQATVGALVAKALTTSSVPYYQGISPGQSPVLALDVFLVQASANTILSHFLGLSSSLELLRSVTVPQAEAAPRPA* >Brasy1G110200.1.p pacid=40052275 transcript=Brasy1G110200.1 locus=Brasy1G110200 ID=Brasy1G110200.1.v1.1 annot-version=v1.1 MESRMDQYEIMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLRWFAQLALAVGYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTAFEILKNPYLQPYVNQHRPFSDVPHPMRSPEKSITSSRSSRRSMSGSQCSSISGTGSDMDSIQSSERNTSGIASSSNTTTIDTEDAEATDDVSVKRYSTPHDLKSCKNTARSNLERQDSCKSIHVDQHPKYVSKQPKIIKKILTTLREETSKLRVHNSPLRASRVKLYPPSHRERSPDDSKHNGDISSSSKSSEVTSHESVKATFEPAKQIQESPPMKHLSPIVEHSPKSKIKVDELHNLDSAKQTMENVDAAEGKVKNRILPKFNKRPSFPPRRQIGAESPQHTGTQRAHNKVVTEHAKSPCQPAHGPKNALAEPPGILIAPPSPLGGIQIKVGNSRAKSTPPKAVATKEVSTACSSSTVDYADGIKQTGGHDSPTNLVSSCKCPIPDSAIQKHDLKAIPSPELNTTTLQKSMASSDDISLSSGLDPSCDISEQDFICKDDVPCSKMGQNTAVVTVESDEDKFTVQELLSSVPDILPFDSTTKNIALDEGPTSVHHSSEKAPVLHLSPPFEDANVIRRSSFCLGNEQAVTENSEMGIQSTNVGQLQDVIKEEVDVRSIPPNHIPSVLINSVAAKPNVSEATTISPELASSDVVKLPATPEANSMSKEILDVKSFRQRSEALEGLLELSADLLQNNRLEELAVVLKPFGKDKVSPRETAIWLARSFKGLMNDEASRSSM* >Brasy1G407000.1.p pacid=40052276 transcript=Brasy1G407000.1 locus=Brasy1G407000 ID=Brasy1G407000.1.v1.1 annot-version=v1.1 MSTSRAVATMALFVLVALSISRLASSLRPTLGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNSFHSDKELVVALSTGWFKNMARCGHRIKITSNGNSVYAKVVDECDSVYGCDEDHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSEE* >Brasy1G213900.1.p pacid=40052277 transcript=Brasy1G213900.1 locus=Brasy1G213900 ID=Brasy1G213900.1.v1.1 annot-version=v1.1 MAPEADDDIMKENNPPPLDEGDIALLKSYGLGPYTTRIKKAEKETKELVKKINDHSGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYLTKIERIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKANLGVLVNLVAVSRVQPMLHHLHYGVY* >Brasy1G213900.3.p pacid=40052278 transcript=Brasy1G213900.3 locus=Brasy1G213900 ID=Brasy1G213900.3.v1.1 annot-version=v1.1 MAPEADDDIMKENNPPPLDEGDIALLKSYGLGPYTTRIKKAEKETKELVKKINDHSGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYLTKIERIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGHQKLSATPKYMVYN* >Brasy1G213900.2.p pacid=40052279 transcript=Brasy1G213900.2 locus=Brasy1G213900 ID=Brasy1G213900.2.v1.1 annot-version=v1.1 MMQEEQPLQVARCTKIISPNTDDAKYLTKIERIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKANLGVLVNLVAVSRVQPMLHHLHYGVY* >Brasy1G213900.4.p pacid=40052280 transcript=Brasy1G213900.4 locus=Brasy1G213900 ID=Brasy1G213900.4.v1.1 annot-version=v1.1 MMQEEQPLQVARCTKIISPNTDDAKYLTKIERIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGHQKLSATPKYMVYN* >Brasy1G466700.1.p pacid=40052281 transcript=Brasy1G466700.1 locus=Brasy1G466700 ID=Brasy1G466700.1.v1.1 annot-version=v1.1 MEAPPGSEAMALDAPPPGAAVAGPAVGDKRKEEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHAVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGETAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAIDLASRLLQYSPSLRCTALDACAHSFFDELREPNARLPNGRPFPPLFNFKPELVNASPELINRLIPEHVRRQNSLNFAHAGS* >Brasy1G466700.2.p pacid=40052282 transcript=Brasy1G466700.2 locus=Brasy1G466700 ID=Brasy1G466700.2.v1.1 annot-version=v1.1 MEAPPGSEAMALDAPPPGAAVAGPAVGDKRKEEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHAVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGETAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAIDLASRLLQYSPSLRCTALVNASPELINRLIPEHVRRQNSLNFAHAGS* >Brasy1G268100.1.p pacid=40052283 transcript=Brasy1G268100.1 locus=Brasy1G268100 ID=Brasy1G268100.1.v1.1 annot-version=v1.1 MKYVVVTGGVVSGLGKGVTASSIGAVLKSCGLRVTTIKIDPYLNTDAGTMSPIEHGEVFVLDDGGEVDLDLGNYERFLDIKLTSDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQDWIERVAINPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLVHVSLVPVITVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEEHVTAKLSQFCNVPISSIVNLHDVTNIWHIPLLLRDQKAHEAILKVLDVQLLGKVLREPKLAEWTERASKFDKLKTPVKIAIVGKYTGLSDAYLSIQKALLHASVVMERELVVEWIPSCDLEDSAAKDTHEAYQKAWKLLKGADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLCSANSTEFDPATTSPCVIFMPEGSRTQMGATMRLGSRRTYFLGNNCKSGKLYGNASFVDERHRHRYEVNPEIVPELEKAGLSFVGKDESGSRMEIVELPSHDFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLDLLLQHSSGVVSSNLAPRFITKGATVPVIKVYPNGHIKKPLKSLVNGYYTNSNGIHI* >Brasy1G130900.1.p pacid=40052284 transcript=Brasy1G130900.1 locus=Brasy1G130900 ID=Brasy1G130900.1.v1.1 annot-version=v1.1 MAGSGAGGAEGEELRLLSAVEAGGGGGAPEAEKSWRLNFDGFRPPEAHQERPPRGLHHHCLGVLAQSPEDVIAEYYQQQVEMLEGFNEMDALTDHGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESTRSLVSDGNEFSLTKDQERWVVDIMLSVTLVKLALALYCRSFTNEIVKAYAQDHIFDVITNVIGLVAALLANYFEGWIDPLGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSGMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHDK* >Brasy1G130900.2.p pacid=40052285 transcript=Brasy1G130900.2 locus=Brasy1G130900 ID=Brasy1G130900.2.v1.1 annot-version=v1.1 MGVIFQASMEQQRQLSQSPEDVIAEYYQQQVEMLEGFNEMDALTDHGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESTRSLVSDGNEFSLTKDQERWVVDIMLSVTLVKLALALYCRSFTNEIVKAYAQDHIFDVITNVIGLVAALLANYFEGWIDPLGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSGMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHDK* >Brasy1G532200.1.p pacid=40052286 transcript=Brasy1G532200.1 locus=Brasy1G532200 ID=Brasy1G532200.1.v1.1 annot-version=v1.1 MAVMRHRARAAKSLWLLVRRLLCRTKTRQRPEQLEEGEKSGLLLLGRSGSLEELLGSDGVCRCASTPCCCGGAKKRDGQHVRQRVDVARPETEAEAEAEAEAMATTAAALSAGAAGQYRRFVFGGFRRRLMARRQWRPMLVAIPE* >Brasy1G009400.1.p pacid=40052287 transcript=Brasy1G009400.1 locus=Brasy1G009400 ID=Brasy1G009400.1.v1.1 annot-version=v1.1 MDSDASTSPRRRSCYSDSGDSSCSEPFSECGSDDLSSFSPAAAAGIHRLLLSCAVEASDDSISSLVAELESPTASVDSLRRAAMEIRLLAKHNPENRIRIAASGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAAIVEAGAIHPLVRALKSAASPAARENAACALLRLSQLEGAAAAAVGRAGAIPLLVFLETGGARGKKDAATALYAVCSGARENRLRTVEAGVVRPLLDLMSDPGSGMVDKAARV* >Brasy1G386200.1.p pacid=40052288 transcript=Brasy1G386200.1 locus=Brasy1G386200 ID=Brasy1G386200.1.v1.1 annot-version=v1.1 MMQQQAQSAMAVAASAAAARAPEEAGGDAPPKQVAQAMERLGRAGRIIADIRLGADRLLEALFTAASAPPHSTQQHIDKNERVVAQEEVVMHRHFDDLRSLGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGESVIAKRPRLTAGNGEPEEKTLSEVLKNLENEVPNLKIFTYRRLDWSKRASSLVCLMDDDFVDPSKELNLQNMRKSRSGSATTPTDQVAVIELLVPSIFRAVVSLHPAGSVEPAAVAFFSPTEGGDYLHARGLSVHHVFKHVTEHAEKALQYFVSVEPDKALSQLLRWIADYQTLFTKVCSKCRRLPLMDKSLALLLPPVHRPYHQTSNGSSDQQEAYHVGCSSYDA* >Brasy1G532400.1.p pacid=40052289 transcript=Brasy1G532400.1 locus=Brasy1G532400 ID=Brasy1G532400.1.v1.1 annot-version=v1.1 MSIVRRSGVFDPLADFWVDPIDSIFRSIVPAAATGDSDTAAFVNARMDWKETPEGHVFKADLPGVKEEEVKVEVEDGNVLVVSGERSREKEDKNDKWHRVERSSGKFVRRFRLPDNAKVEQVKAALENGVLTVTVPKAEIKKPEVKAIEISG* >Brasy1G305100.1.p pacid=40052290 transcript=Brasy1G305100.1 locus=Brasy1G305100 ID=Brasy1G305100.1.v1.1 annot-version=v1.1 MDPNGSYHNYAIFSESESNISPLHEALRGTTAMPMLDELVSPTLQPPPPHSNSMRNTPPTTNALEVPMPPKNQSNLQDQTNVPSAQPPVLPDTNTYHSPSITSLLQGDPIASVHAHLNTIGGLDDGPIFENPTRLFTGVSSPLGYVSSPLNHYPFGSNTIYTQDIQHGALYNEDLYGVRTPSGPFATFPSPPREDTTLVDGQNVSFANDVMQDPMRSGHICRFCNATFNSPQAYGGHMSHHSKQNKKNLQG* >Brasy1G141900.1.p pacid=40052291 transcript=Brasy1G141900.1 locus=Brasy1G141900 ID=Brasy1G141900.1.v1.1 annot-version=v1.1 MSLEPVPRLSSTELARLSLDLSGGGSVIRPGTLLDEYERLAIEAQPSRAVLRRSYSEPSPSRTAALQPEERAARRAENVDQWRQGHPAPCEPRARRFWLLEALKRVWCWLGLVLGGAWAGHGRNQERAMPVPQPPAPAPRP* >Brasy1G197700.1.p pacid=40052292 transcript=Brasy1G197700.1 locus=Brasy1G197700 ID=Brasy1G197700.1.v1.1 annot-version=v1.1 MWWRAVARARRRVAGARPVSTAAGAEKSSRAVLVPRFGGPEVLEVRQGVPVPDLKPGEVLVRARAVSINPLDLRMRSGYGRCIFEPLLPLIIGRDISGEVAATGTSVSPFFIGQEVFGALHPTAMRGTCADYAILSQDELTPKPSMLTHVEASAIPFAALTAWRALHGTAGISEGQRVLVIGGGGAVGLSAVQLTVAAGCSVSATCGAQSIEQVLAAGADKAIDYTSEDTESAVTGKFDAVLDTIGVPETERIGINLLRRGGHYMTLQGEAAALADRYGLYVGLPAATAALLKKQMQYRCSHGIEYWWTYMRADPEGLHEIRRLSEAGKLQIPVEKTFPISQVREAHEAKEKRLVPGKVVLEFD* >Brasy1G024000.1.p pacid=40052293 transcript=Brasy1G024000.1 locus=Brasy1G024000 ID=Brasy1G024000.1.v1.1 annot-version=v1.1 MLVLFVYLVLGASQRSVICSVRGVRHRSVCSGQCGLVAVAGLGSMWALLVPQVSLWNVALTELRAVKYVQFVCFPGCLFWFPS* >Brasy1G246900.1.p pacid=40052294 transcript=Brasy1G246900.1 locus=Brasy1G246900 ID=Brasy1G246900.1.v1.1 annot-version=v1.1 MQVYKRYGVAKGTILTAWRMCRCNPLGGQGYDPPRWFGEEELPE* >Brasy1G174000.1.p pacid=40052295 transcript=Brasy1G174000.1 locus=Brasy1G174000 ID=Brasy1G174000.1.v1.1 annot-version=v1.1 MDQYEKLEKIGEGTYGVVYKAKGRHTNETFALKKIRLEQEDEGVPFTAIREISLLKEMQHRNIVRLQDVVHKDNCIYLVFEYLDLDLKKHMDSSPDFKNHHIVKSFLYQILRGIAYCHSRRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPNEETWPGVASLPDYKSAFPRWPSLDLATVVPTLEPLGIDLLSKMLCLDPSRRINARAALEHEYFKDLNVAS* >Brasy1G086500.1.p pacid=40052296 transcript=Brasy1G086500.1 locus=Brasy1G086500 ID=Brasy1G086500.1.v1.1 annot-version=v1.1 MSRTFFLVAAAMLLAAALADDAGNNYPAPSSATFSAVILELLDDLPAAAAPTGFAALELSSGSGPGSVAFARGLCLGVGAGGPCAECLAGAAKKAAADGCAGSGSRRGAVWSGSPPYCSLAFGDTNGTSAVEAAYRECQLVVSFGAGRLRDAGARGLGALALSLARRAANSSSGPLLATAATDMAAAGSRKVPVRALAQCARDRAPADCATCLQLSAQHLPKCWGPRDEGLAAAAVSVVAYDCYLGLGLELAPPVYQESPICM* >Brasy1G402200.1.p pacid=40052297 transcript=Brasy1G402200.1 locus=Brasy1G402200 ID=Brasy1G402200.1.v1.1 annot-version=v1.1 MEWNVQACAFRDSYEKYKKAGAEVIGISGDDAASHKAFAKKYRLPFTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKKGVVQYVYNNQFQPEKHIGETLKIIQNL* >Brasy1G156300.1.p pacid=40052298 transcript=Brasy1G156300.1 locus=Brasy1G156300 ID=Brasy1G156300.1.v1.1 annot-version=v1.1 MELANHDLAALGAADLIRVSASIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILVRIHTSGHTLDSHQWLILFAYQFVYAILLFVFSLLSTAAAVFTVASLYAAKPASISSSLSALPPILPRLLRTFLWVSLIMVAYNIAFVFTVLLLIIFLAPSPATSPPSLSFLLLLLLIVFVFLAIHVYISALWHLASVVTVLEPLCGLAAMSKSKQLLQGRTRLAAVLVFSYFAICGVTAGLFRAAVVKGQGEEGSLGLSMPGRVLAGAVLVSVFVCVNLLGLLVQSVFYYACKAFHNQQIDRTALYEHLGGYLGEYVPLKSNIQMENL* >Brasy1G247100.1.p pacid=40052299 transcript=Brasy1G247100.1 locus=Brasy1G247100 ID=Brasy1G247100.1.v1.1 annot-version=v1.1 MPHDNHGNATLSLSRFSPDYPDTDLLGGFNPNTFAVDPLGGFNPNTFAADPLGGFNPNAFASPPLRRGLFPTADIRRDTIMADMINDGSQHAHYTYTQEEEPYAVEDAEEREEWADGTEEPADGTEEPIVAEPRGKKKAAAEKKKTGGRGRGPKWTSKEDECLTEAWKIVSMDPFTGANQSGVTYWRHVKTAYDERRRIDRQFASVTHDRNESGLSHRWQIIQQACNKWHGIQEEVRRRPQSGSSAHDQMVAMFTAFREDNDGVEFKFIHVFSRIETCDKWIETRNALSKSGTYDPNAAPSAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKREELAAKREEVAASRWATVFKKQDDKLQILKANGAAKKRREDLLILTCDTTGMDAEVKAWYEDQRRLILAEARTPASAPATAAPATSTPSAPSSPETATPATSTPPAGSEVPSTPADDEGAE* >Brasy1G130600.1.p pacid=40052300 transcript=Brasy1G130600.1 locus=Brasy1G130600 ID=Brasy1G130600.1.v1.1 annot-version=v1.1 MAAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLIGNKADMDESKRAVSTAQGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDQTIKINKTGQGSEDPESQKSACCGS* >Brasy1G059000.1.p pacid=40052301 transcript=Brasy1G059000.1 locus=Brasy1G059000 ID=Brasy1G059000.1.v1.1 annot-version=v1.1 MSISLVSAISAAATTARPRHGAVKQQLSIKVKPQQKHAGCWESSGNGRAVVARAGPGPLTEIEPDLQEDPIDKWRTNGVSPEDFVYGVYDGHHTYDEGQEKKGFWEEVGEWYQEAEPPQGFQAFISWSFPPAVILGMAFGVPGEYLYIGAALFIIVFCIIEMDKPDKPHNFEPEIYMMERSKRDKLIADYNSMDIWDFNEKYGELWDFTVSGEDIVKL* >Brasy1G479800.1.p pacid=40052302 transcript=Brasy1G479800.1 locus=Brasy1G479800 ID=Brasy1G479800.1.v1.1 annot-version=v1.1 MNPLPPGPNAASRARAARHRWRLRARSSDPGHSPRSSDFSAPTANLHNFSAPVGGEPSSWRVGLDLNTAAYTLDNSPDLLRQLRSFSTAGNTEGRNLFGQLFSQAERIHEYFHEWKNYPPHSFTSDRNESSLAHRWSTIQDATNKFCGAFEQVNNRKVSGIGIKDHMGMAMSLYNSNEGKPFGFVHCWTKLNKAPKWEAIKQKSNEGSSCQSSSLNEDGEAGEGLASGGRVQRPKGRKWEKAKEKRAKSTKDAWAEIMSNKELACGKRVEAKEAKKEERHHAFMEIQRQKVALEQKKVDTDAENAATARLSEDSKIMFANTSLMDENQRQWVDLMRGDILARRAKTS* >Brasy1G546600.1.p pacid=40052303 transcript=Brasy1G546600.1 locus=Brasy1G546600 ID=Brasy1G546600.1.v1.1 annot-version=v1.1 MASPASLLHSSTLAPASFSPARPRRGLPPPPRLDLRPSRRPGRGLSLAAAAASPDVEEEPSPSPQPPDESALSAVAESVAVLKAAAKTRKVAATEVLSALGKIKKAKLDTSAFFHTLGGTQSPGRTWMLIFTAQGRLEKGSYFPVTAVQRFDAAGKRIENGVYLGPVGSLTFEGRLSWKKKKILAFVFERLRLKVGPLGPLEIGLGGGNGEEEPGTKDPFFVWFYVDEEIAVAQGRGGGVAFWCRCQRVP* >Brasy1G207500.1.p pacid=40052304 transcript=Brasy1G207500.1 locus=Brasy1G207500 ID=Brasy1G207500.1.v1.1 annot-version=v1.1 MYRIGSHLRSLKQHGSSRLASTSVVKQSPGGLFGWLLWGKSTQIPPLDIPLPGITFPPPLPDFVELAKTKVTTLPNGVKIASETSTSPAASVGLFVDCGSIYETPNSSGASHLLERMAFKSTTNRSHLRLVREVESIGGNISASASREQMCYTYDAFKAYVPDMVEVLIDSVRNPAFFDWEVKEQLEKIKAEIAEVSDNPQGLLLETLHYAGYAGALAKPLIAPESAIHRLNSSVLEEFIAENFTAPRMVLAASGVEHDVLVSIAEPLLSDLPGVKRPEEPKSVYVGGDYRCQADSPNTHVVLAFEVPGGWYEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNHYQQIESFSAFNSIYNHSGLFGIHATTSPDFASKAVDLAAGELLEVATPGNVTQEQLDRAKQATKSAVLMNLESRSVASEDMGRQILTYGERKPIEHFLKDLEAITLNDISSTANNIISSPLTIASWGDVIHVPSYESVSRKFHSK* >Brasy1G241600.1.p pacid=40052305 transcript=Brasy1G241600.1 locus=Brasy1G241600 ID=Brasy1G241600.1.v1.1 annot-version=v1.1 MAAPAPAQHKEAEEEAEEMAGVVKLISAEGFEFFVDKKAAMVSNTLRNMLTSPGGFAETREGEVRFPEISTAILEKICQYFYWSLHYSSGKETSEFPIEPEITLELMMAANYLDT* >Brasy1G463000.1.p pacid=40052306 transcript=Brasy1G463000.1 locus=Brasy1G463000 ID=Brasy1G463000.1.v1.1 annot-version=v1.1 MVQEHANNQHHLQQQLAKYGGGGVAGSTATGVARASRKNKPKKIPQRGLGVAQLEKLRIEEQKKTMAAAGPATPSSHAALNGHLPPLHHHPPPAPPLSALSRPPGAAEGGGGFPPVLWSPVDPAKHPYKRSLCPQPPLPMVSTGLSLTAPSSHPTEPPSNQMYSSSSSSRSGAAPATEEERETAGGDRSWPIMFEGMTAFRTASKAFLPPPPGPPFTAVRTATDSGLADVVPDLSRFEFRATNLFSASAYGSYSDWTSSEFAHSKSNSSKEAGRGRDPALLTLSSQPPHLIKQPHVVPSMYIPEYNGFSASTSVMPSQGSTSAASSSSSQPFYSFLPVGPVRLERAPSECKTDVSEGGVDLELKLWKG* >Brasy1G342700.1.p pacid=40052307 transcript=Brasy1G342700.1 locus=Brasy1G342700 ID=Brasy1G342700.1.v1.1 annot-version=v1.1 MEISAATAAAGSSPPPSKPLLLRPLASSTPATSSTTTTTTIRRRAPTSASATVRRRARTRPPRTRRSKTLSDAGGSFSGDDGDGSFGPGSGGGSGGGGGWNYGFGSGSGQDWGASDPDVPAPGRSAAEMALGVVYELMCLIALSNCTQFAVRRLAGLFAARVAAMRFVPTVC* >Brasy1G536600.1.p pacid=40052308 transcript=Brasy1G536600.1 locus=Brasy1G536600 ID=Brasy1G536600.1.v1.1 annot-version=v1.1 MLGTWFRSFPAGQRKLMLCGAAAVVWTIWKSRNNACFRSQFPNDPAALIFALCNTMHAWASLQSEVKQKRLEEGIDRIKMVVAEAYSRCHGWNLTRRRITGG* >Brasy1G351400.1.p pacid=40052309 transcript=Brasy1G351400.1 locus=Brasy1G351400 ID=Brasy1G351400.1.v1.1 annot-version=v1.1 MRKIEKNNQTPPEYRSTNNQQNSSVAGPPSRGVYAPPWRWIRADSSKGGRRRTAARERERLREQEKKQREDRRERRWGGAAYLVVGRIHVGRAKGGRIRWRLAPGRPDPGRQGRAGGGRPSGTRRRGPEVMVRGDGGRRLAARASGARRLPSAGRGPSREGRRKEEKGGGGGREKREMERMVVR* >Brasy1G439000.1.p pacid=40052310 transcript=Brasy1G439000.1 locus=Brasy1G439000 ID=Brasy1G439000.1.v1.1 annot-version=v1.1 MEYDQGSGRGRGRGGGGRGGARGGGYGYGPQGGGGGYGGGEGQGRGGGGGYAPRGRGYGPQGGGEGRGGGGYGYQGRGGGGYGSQGGGEGRGRGYGPQGRGEGRGVGYGAQGRGEGQGRGGYGPLGRGEGRGAGGGHGPQGSGGCDGGRGTGGGGRGYGPGGGSAWGQPGRGRGGGGGAEGHVPAWGPAPAPAPAPEARRIKAEEAGRSSGSVEHISDKVAKIESLAPQIAASPTGTRVPMQRPDRGGSSFQNQVQLLVNHFIVNYQNVPTIFHYDIDIKFDQSSSEASGKELSNADFLSAKAELFRDVSFRNLSSSVAHDGKRNLFTCAELPEGSYRVRVRSRTYIVSLEFKKLLPLNQHSELPREVLQGLDVVVREDSRLHNIMLGQGFYSPDRIANLGQGVVAMKGTQQTLKLTQQGLVLCVDHSVMPFREAGPVLDVVRRFTRHLDYRTRLTPSELKRLSDELKGQRVTVTHRRTNQKYTIRGLTKEPARMITFEDSESGQQKRLVDYFSQQYGKVIEYEMLPCLDLSKSLEKPNYVPIELCAFLQGQRYPKANLPRNIDTSLKRMALIRVNERKAEIMMSVGATHGPCRGEIAEKFGVSLDVKMTEVTGRILPPPILKLGGPKGQTCKFNINQPTCQWNLTRNKLVEGKALNYWGIIDFSTDSRQPLHREMFLNYIVGKCRELGIQMAEKPCYQHSSGMAALWDADELYRVLNEAKQSAEKKKQQKLQLLFCAMFEQHPGYKTLKLICETKLGIQTQCFLSNVANNPRGQDQYMSNLALKINSKIGGSNVQLFDPLPRDTGAPFMLIGADVNHPSPGNLESPSIAAVVASMDKGATKYVSRIRAQPHRCEVIKHLGEICQELISVFEKKNKFKPHKIIYFRDGVSDGQFDMVLNEELADMEKRIKVNGYSPTITVVVAKKRHHTRLFPKDPQARPDQNVLPGTVVDTKVVDPSAYDFYLCSHNGLIGTSRPTHYYSLMDEHNYSSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMTMASPTQERGSSSSSSSSAAAPAPAPQFPRLHEDLQDNMFFI* >Brasy1G439000.2.p pacid=40052311 transcript=Brasy1G439000.2 locus=Brasy1G439000 ID=Brasy1G439000.2.v1.1 annot-version=v1.1 MQRPDRGGSSFQNQVQLLVNHFIVNYQNVPTIFHYDIDIKFDQSSSEASGKELSNADFLSAKAELFRDVSFRNLSSSVAHDGKRNLFTCAELPEGSYRVRVRSRTYIVSLEFKKLLPLNQHSELPREVLQGLDVVVREDSRLHNIMLGQGFYSPDRIANLGQGVVAMKGTQQTLKLTQQGLVLCVDHSVMPFREAGPVLDVVRRFTRHLDYRTRLTPSELKRLSDELKGQRVTVTHRRTNQKYTIRGLTKEPARMITFEDSESGQQKRLVDYFSQQYGKVIEYEMLPCLDLSKSLEKPNYVPIELCAFLQGQRYPKANLPRNIDTSLKRMALIRVNERKAEIMMSVGATHGPCRGEIAEKFGVSLDVKMTEVTGRILPPPILKLGGPKGQTCKFNINQPTCQWNLTRNKLVEGKALNYWGIIDFSTDSRQPLHREMFLNYIVGKCRELGIQMAEKPCYQHSSGMAALWDADELYRVLNEAKQSAEKKKQQKLQLLFCAMFEQHPGYKTLKLICETKLGIQTQCFLSNVANNPRGQDQYMSNLALKINSKIGGSNVQLFDPLPRDTGAPFMLIGADVNHPSPGNLESPSIAAVVASMDKGATKYVSRIRAQPHRCEVIKHLGEICQELISVFEKKNKFKPHKIIYFRDGVSDGQFDMVLNEELADMEKRIKVNGYSPTITVVVAKKRHHTRLFPKDPQARPDQNVLPGTVVDTKVVDPSAYDFYLCSHNGLIGTSRPTHYYSLMDEHNYSSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMTMASPTQERGSSSSSSSSAAAPAPAPQFPRLHEDLQDNMFFI* >Brasy1G507100.1.p pacid=40052312 transcript=Brasy1G507100.1 locus=Brasy1G507100 ID=Brasy1G507100.1.v1.1 annot-version=v1.1 YLNSWLGQLRDVIYDVDELLDVARCKGSKLLPDHTSSLSSKSAACKGLSVSSCFCNIGSRRDVAVQIRSLNKKIENLSKDKIFLTFNNSTQPTRNGSTSKLIRSSNLIEPNLVGKEIIHSSRKLVNLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKIIGSFDICAWVCVSQDYNGVSLLKEVLRNIDVHHEQGETIGELQRKLAGTIEGKSFFLILDDVWQSNVWTDLLRTPLHAITAGVILVTTRDDQIAMRIGVDDIHRVYLMSVDLGWELLWKSMNIDEEKKVQHLRNIGIEIVCKCGRLPLAVKVTASALASRDLTENEWKRFLGKYSQSIISDEIDAALYLSYDELPHCLKQCFLYCALYTEDSIIYCRRVTMLWIAEGFIEEQQGQLLEEIAEEYYYELIHRNLLQPTSRYFNKIGCRIHDLLRQLACTISRQECFVGDVETLRGENLSKLRRVTAVTSKDKLVLPGMDMVEIKVRTFLTVHGPWRFEDTLFKRFLLLRVLVLNCSLVQSIPDYIGKLIHLRLLDLDYTGISSLPESVGSLKNLQVLSLCYCDALHILPSATTRLCGLRAIRLAGT* >Brasy1G004100.1.p pacid=40052313 transcript=Brasy1G004100.1 locus=Brasy1G004100 ID=Brasy1G004100.1.v1.1 annot-version=v1.1 MSSSGSSPGSSGSTRSSTTSDRRVLLSDLLGHIHVYYKAAIERLPGEELPVLIPRILEAGVCFGPLDPVSNIIVNTICSCEPNASAQGEAGDDKRIKRGRKRKRKRNAEALRRMRDEAMSEILTDASSISRLPPILGPGTICKRRTVAQRSLEGLVTFLICYFRHLPVSEALHYLLLAKADLLTAVHLIQCSRGMGDNRLCPVSSPTTLIALRCAAVSASHPEPAIFAARLRSHPSLLVPSCLSPNGLNRLHKLLDEALQQTAESRDIKGTNIPIEFPLEFTDTLRSLLLEKIHVLYLKAIAQLPSDRLRRHLHRGLLKGGLCFGPADDPVSNIILNSIWYNTTFPAPVEFKVDMISTKSLTRIECQSLIGLLAFLRNLFPALCEHQAMLYLFRSNASLQKVISRALQDHVMSSSYEDAYKAAAEAAEHPHPDAQAEFAMSKLLPILQSSRGVSCALTSSEVELISSFFSHKSCPGKSVPKLLPRAAQIVSHHQYNFMADQDFISRKVNAALQTYAEEKGTEYEHHVICGMNLYVPENGKRRFFRNRKGYPYAHVNFLARRKGPQPDDRTPNLFILARSNDEEDLHRKHSHPDDTTSILFFLECSNVEEDLDRLSPCCVALESPADYGRCFHCEYEGNKIVHPAFGTYHGRETDFEEMACGQRKVDNEDLIGFGKMRSEFVGVLSDDSVYFDPAMDSDFAQWMNFLATKEEADKEFILRESCI* >Brasy1G541000.1.p pacid=40052314 transcript=Brasy1G541000.1 locus=Brasy1G541000 ID=Brasy1G541000.1.v1.1 annot-version=v1.1 MHQSNPTMSGGDGTPPHVVEDLLGVVQLLSDGSVVRGDESVLSPPQQYPDVPGVEWKDVVYHAAHGLKARVYRPSSEKTKKKLPVLVYFHGGGYCIGSYAQPLFHAFCLRAAAELPALVLSAQYRLAPEHRLPAAISDGADFLSWLRAQAEAETTRGAGGAADTWLAETADFARTFVSGVSAGANLAHHVTVQNATSASPVRLRIAGLVLLSAFFGGVRRTPAETALSPADVSLTVDMADQLWRLALPAGATRDHPLANPEMLTGMGKAVEVARFDDEQHGFSVLRPFGAAADQLMQVLRSFLYRPTA* >Brasy1G285800.1.p pacid=40052315 transcript=Brasy1G285800.1 locus=Brasy1G285800 ID=Brasy1G285800.1.v1.1 annot-version=v1.1 MDSEALLAAGMNLLQAFMENSDLGVSMSAARSLMARSGVDARTLDHSVNLFIRAAHEYIPTPPVSLAAPLALSGASWVPDGVDRISRLPDVVLHNIVSRLPTKDAARTAAISSRWRPLWRSAPLVLIDGHLLLDGGASPSPRAVTATVSRVLAAHPGPFRYVHLACSNMNEHRNKLERWFVLLAAKGVQELIFLNRPLPMDLRLPATLFSCASLTRLYLGFWRLPDTAAVPRAATFLNLRELGLCFTIMDDRDLAFMLERSPVLEILTIIGTGNQSGVRLRLVSHSLRCVLLGLVHLEDIHVVDAPHLERLLPWDVGQHHVSLIWGKKCRSRIKIGHAPNLRLLGYLEPGDNEIEISNTVMAAGTKENIVPSVKILAMLVRFGVRNALKKVPGYLRCFPNLETLHVQSLKDDEPSGKVNLKFWQEGGRIKCVLQTMKKVFFYEFRGSRSEVAFLKFIAERAQVLERMVILVASECFSSGEDLNGRLKPLTSAKWNSKVCKLEICKGPSSEGGDPSFRHQLGTDFSCPDPFVQVSYQEDSGSVELHHQ* >Brasy1G171700.1.p pacid=40052316 transcript=Brasy1G171700.1 locus=Brasy1G171700 ID=Brasy1G171700.1.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.2.p pacid=40052317 transcript=Brasy1G171700.2 locus=Brasy1G171700 ID=Brasy1G171700.2.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.3.p pacid=40052318 transcript=Brasy1G171700.3 locus=Brasy1G171700 ID=Brasy1G171700.3.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.4.p pacid=40052319 transcript=Brasy1G171700.4 locus=Brasy1G171700 ID=Brasy1G171700.4.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.5.p pacid=40052320 transcript=Brasy1G171700.5 locus=Brasy1G171700 ID=Brasy1G171700.5.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.6.p pacid=40052321 transcript=Brasy1G171700.6 locus=Brasy1G171700 ID=Brasy1G171700.6.v1.1 annot-version=v1.1 MGTRMIIPRRLPVALSSALLEWILMLLLFIDAVYSFLVTKFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLAGVESMCEGCSSSSLAADDKPNNDDNTDEPGDAGDAFDSNQGDNAVVHSPLTRMCSCCAERFEQRSISLFSRKTGELKPANSPMICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.7.p pacid=40052322 transcript=Brasy1G171700.7 locus=Brasy1G171700 ID=Brasy1G171700.7.v1.1 annot-version=v1.1 MICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.8.p pacid=40052323 transcript=Brasy1G171700.8 locus=Brasy1G171700 ID=Brasy1G171700.8.v1.1 annot-version=v1.1 MICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.9.p pacid=40052324 transcript=Brasy1G171700.9 locus=Brasy1G171700 ID=Brasy1G171700.9.v1.1 annot-version=v1.1 MTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.10.p pacid=40052325 transcript=Brasy1G171700.10 locus=Brasy1G171700 ID=Brasy1G171700.10.v1.1 annot-version=v1.1 MTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNLEEACGSEITVISSGVFHQRSALTNDLDTSEPVDQDSQHIASEDRYLKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.11.p pacid=40052326 transcript=Brasy1G171700.11 locus=Brasy1G171700 ID=Brasy1G171700.11.v1.1 annot-version=v1.1 MICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.12.p pacid=40052327 transcript=Brasy1G171700.12 locus=Brasy1G171700 ID=Brasy1G171700.12.v1.1 annot-version=v1.1 MICTDYSVSGRLDESLEPKDIYHQSDHTSHERYGGLQMTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.13.p pacid=40052328 transcript=Brasy1G171700.13 locus=Brasy1G171700 ID=Brasy1G171700.13.v1.1 annot-version=v1.1 MTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKDFTEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G171700.14.p pacid=40052329 transcript=Brasy1G171700.14 locus=Brasy1G171700 ID=Brasy1G171700.14.v1.1 annot-version=v1.1 MTSDSNVEVPCADDGSHSHPHEAYDMETDLMGDSVVEIPVLPPPEMIKSSQGNVQKEDKVTYTGDISSAYPVLDDHDPDNVISASEMEAKHRSSERRASLHDPPIAIGELCLEDATIPQIPVVSIGVLPKIIGETETCVRTSESSVDPYISQLAIPEQHYAFSGDKNMKDNFGDNHILQVSADLETLAEVEGHPKEAEPIGDTGMHVLTSQDPSNADFKDMITKEEAHIPPVAARSSVEVSQGLDAIEENPQTSEPIDERRPSLSTQISMNEAYNLAIGIRGSLPSPTLTDVILGKGSCSSVNEELRLLLSQLSASRGLEATWVDPGPSPRAYGRGDDMIVQNITKRISIERNVSGLESLDGSIISEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMDEQAEYDSQALVEANELLAQREQQVEELEAELENYRTKFGDGGPTEKQDTQVPLKEQNTTTSLLEHERSYIAECLRKLEHKLQLYSNNSTFTDLSNSDAIEYDLSNKMLDTLQCQKTSRETREPVLLVKESQSPTMNEEIDVSTFQEEISNLHKRLKTLEGDRDFLEHSINSLRNSKEGVLFIREIACNLRQLRGIAIDR* >Brasy1G573800.1.p pacid=40052330 transcript=Brasy1G573800.1 locus=Brasy1G573800 ID=Brasy1G573800.1.v1.1 annot-version=v1.1 MPSGLSLQISLHLSNVALCAEVIDAFYKARAQVSATQENLCLVRRRSRREIIWYLDCNELRCCLNYCCAAIVQICLIRARSSTNRHLVMPKRSITLPPVICCVSLGNSLCLSEQCLVTTSFGLELFRLQ* >Brasy1G195900.1.p pacid=40052331 transcript=Brasy1G195900.1 locus=Brasy1G195900 ID=Brasy1G195900.1.v1.1 annot-version=v1.1 MDESRKRAASSANAKNINSSLDEDFGNDFLSSWKLPKSGKDTIDFDVKSVLKNSNNFSFDNLDDFGLDGAFDKLSSFKMGMPDLDFSSPLKKKVKHNSSNGKDLSEGKKETEKDNFSFSFDFNELGKFNLDTKLAFEENGMCNTEKTGLITAEGNKDPQRGISDKGTDVAEDSMSKEQTQTQGACTLKPTHLTSIDPARMEQLKVDTVSNDMLVEHSNETNPRKMAVNNSSRSIPCSAVSGEDPIHPKAIAVPENSKEAPAVDLSKVGISRGNNNNEQSVSSQSRNTSTGNLYISRRTIGQSDSQNNQNEVMEDSTSLNEGSQGSQCFRGTSLKPVKKTLCGTKNVEEGTSDPKNLSSSMQREIRNVKPSLVNDTGNFSLLSKAENAKASRPPKLTSVTTLNQLSGADNMIKKTNTHPTDVKREHKHAKPEKLQITSARTCCKPVLQGLSAISLNAKHGLEPLKAGNSSILNAPSSIAHSSGHNNLTNLHGTPSKDDKRPVAFQLTGSRVPKVGTRSPKPGLLLEKDSVEVSKGSPVITPKISNSFVEAKPASLSPCIRQNIPEESVPDPKSPAVLKNIMRSPAVRKSPQTVLKLGDKTILSGTPKACMDNVVSSTIPCEIRGISDLELPALLEDAGNVDKAEACRKELEDMCILLKRKHTEAKELAVRAIVNNNMMLMLNHPMFEEKISALQKYANSLRSKTHLFEEINAVDTVALMQECCGEKMICLGGTRVM* >Brasy1G379300.1.p pacid=40052332 transcript=Brasy1G379300.1 locus=Brasy1G379300 ID=Brasy1G379300.1.v1.1 annot-version=v1.1 MNAAARKGASGGGVRGSAAAALLLVAAGVVAFLISYSFLAMVLRGGVGGGGEGGGVAGVGAGRRDPVVPMPEWMRAAGGARGRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPEGADMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHIFVKPLQNLAYDNDPAAYPFFYITPSEHEKIIRKYYPEERGPVTNVDPIGNSPVIIKKTLLDKIAPTWMNVSIQMKEDQDTDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYTLKGVLTYGKIGEWRFDKRTYQDRPPPRNLTLPPPGVPESVVTLVKMVNEASANLPRWDDGL* >Brasy1G182000.1.p pacid=40052333 transcript=Brasy1G182000.1 locus=Brasy1G182000 ID=Brasy1G182000.1.v1.1 annot-version=v1.1 MGQAVDLEQAADQPAYHAIPADEAPVLGHAPPASAEGKSKGEGRALLIVFVASFLFTMLTMGPFDYIKSANMPSFSVGLAGFDGIDPARPGPVVSPAFNLTLRMNKTCVDRAELTVSYSGVALGWGHVEPGDCTSRQPWGKAVEILARADGVGLSTPLRQRLASEWRSGSVQLDVDVMVYNDNRRGVSAHDRVMMQCKVMTDGLQAESLPCTWYCLRPYRYGMLT* >Brasy1G389200.1.p pacid=40052334 transcript=Brasy1G389200.1 locus=Brasy1G389200 ID=Brasy1G389200.1.v1.1 annot-version=v1.1 MDGGGGVGGGMAGPVGGGMVGPVGVGVGGGGGGGSDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSTTRSTIIVPIAGVAWFLDLFDYYIRTDERDVFSKELRLDTKVFYFDIGENKRGRYLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEISDEASRLYVLPNHPSQQHLEPPERLPGLSDDVGAGFIAGHASQSASGPEVDVERLVDVPPIEEFSGMGLSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR* >Brasy1G457200.1.p pacid=40052335 transcript=Brasy1G457200.1 locus=Brasy1G457200 ID=Brasy1G457200.1.v1.1 annot-version=v1.1 MATVKSLIPSFNRVLVEKVLQPKKSAGGILLPETSKQLNSGKVVAVGPGDRDKEGKLIPAGFKEGDHVLLPEYGGLEVKLGAEKEYVNSFHHHIRFVAVNAVLFILGALMIQNCSP* >Brasy1G265500.1.p pacid=40052336 transcript=Brasy1G265500.1 locus=Brasy1G265500 ID=Brasy1G265500.1.v1.1 annot-version=v1.1 MAYCGGGGPPSRRPGAQLQQETAVESLCQGLMLDDVMLDDDRYRAICGAFGGYLQEWPDLSSACYAAGFGAPPVQEVSNGGNSFSCSGSASSGSGSTKRKPDAYVHAKGECKRLRGKQQLCELDQSAGRGKPERPKPAGTRKKADVAVHKQDPRAAGGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCDKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFDIVEDLFGGRRLGQACSPAATTMPMPIHGQMDTSCLQMQQMHQPAAAGFGLEMVVNNQYTPAQSRPLSAATPPSASAGVSVESCLDVNGAAAAAAWDIGSQSLFSGFDAQFQQVQSDCLLDNLKMEM* >Brasy1G574100.1.p pacid=40052337 transcript=Brasy1G574100.1 locus=Brasy1G574100 ID=Brasy1G574100.1.v1.1 annot-version=v1.1 MHNCHMDEGIGPFFILYINLVSLFSHDDSENSSPAKTLFKICFSMPWCSYIHSQRILHTLDLNLGGHQSSRLGDVPV* >Brasy1G577700.1.p pacid=40052338 transcript=Brasy1G577700.1 locus=Brasy1G577700 ID=Brasy1G577700.1.v1.1 annot-version=v1.1 MAAAVLPCGCTFNCLDFQVRTGSVLSGNCCEKQARPFYLHNGASQHMWKLPLAVGTQHGREVAVEDRRRGGARPYGGAVVNDDSILRPSQLIPQPRHGECRGRIS* >Brasy1G187700.1.p pacid=40052339 transcript=Brasy1G187700.1 locus=Brasy1G187700 ID=Brasy1G187700.1.v1.1 annot-version=v1.1 MAAQSQGSEGGSKPWRGDGGGQWSQGRGPSRRVETAGAHEAATADGGRPRAGWQRGDGGGSRGQTAAGRVRGGGVETAGLARARRQTAADRVPLVRMRRRRVAIGIPGPVRGSAAATGRVWGDWRQRRWRSGALTGEQARRAEAGQRRAAGGGGGGFRGREGGGGVCEAGCVAGQRRAAGGGGGGGRRGREGGDGVGGWMGED* >Brasy1G545900.1.p pacid=40052340 transcript=Brasy1G545900.1 locus=Brasy1G545900 ID=Brasy1G545900.1.v1.1 annot-version=v1.1 MAGIRWPPEDPEMFPTRMLGSGVWAGAGGPAGGGPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSCSASSASSSVVAPSSASSLSAHHSSDFSFDKDVPDVVPPMLGFHNYHDGAYAQDLAHYHERSHADDWFGTEVMDVLVGWTTNLCSSKNLPGCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEAAIEHARNLSIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGVLVITSCSRTKDELVQEVENFNQRKLGATVSEGALGSDAVVFKYLDHVQAYPNVDSPCIATVAFLHM* >Brasy1G364800.1.p pacid=40052341 transcript=Brasy1G364800.1 locus=Brasy1G364800 ID=Brasy1G364800.1.v1.1 annot-version=v1.1 MMVERLRWGNKVLSMSIQSGDADAEVSLDMLSRIKRLYFLYKFLYQMGFKFPVIQIYPVRNASGFGHITVRMCYHGFLIVM* >Brasy1G217100.1.p pacid=40052342 transcript=Brasy1G217100.1 locus=Brasy1G217100 ID=Brasy1G217100.1.v1.1 annot-version=v1.1 MSTLNPFDILGADDNDDPAQLLAAAAAAAQKAEAKKPAAVPAGKAAPAAAKLPTKPAPPAQSVRDARSGGAPSRGGFGRGEPGRGRGGRGYGQNRDFGGENMNGYQGGYGGAGFGDGAVSGGGDGDRERGPRPPFRGGGGRRGGYRNGEYGDDSERPPRRNYERHSGTGRGFGMKRDGAGRGNWGSSTDEGLAQETDEALKIEDNAPIAEKQDEHDDAPTTEENKDNKDVAAKEEEENEEDKEMTLEEFEKIREEKRKALLALKTEERKVEVDKDLQSLQPLSTKKANDEIFIKLGSDKDKKKESAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGGRGDRGGFRGGYGGGGYQRGPAAPSIQDQAEFPTLGGK* >Brasy1G344200.1.p pacid=40052343 transcript=Brasy1G344200.1 locus=Brasy1G344200 ID=Brasy1G344200.1.v1.1 annot-version=v1.1 MGIRRKAGPRAVRMAPAFPHLELSRRAVNVLINANQAKRRFRSSLACLPSSVSSPTTPRSTLPSGDLRRRRLKPEEEAAREAEKMFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLRNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK* >Brasy1G478700.1.p pacid=40052344 transcript=Brasy1G478700.1 locus=Brasy1G478700 ID=Brasy1G478700.1.v1.1 annot-version=v1.1 MTNEVVSQENTNSGSPSDNEDVPAIKKKGRTATKLKKPSNGVRIAITPKSDFHFEGDDGIAGQVSALLKLEYPSVIKEQDEAGVETKRHASQWEDYCIVEEPGDGSQDITESAADRFKAEFWSIYKLQNDTPAQKIEADRVLQNLAKKQLKQVLYQARKDAIKKYYNEIADEPITDREACRKELTRSRYIRAKLDWCKDAEAWESLCDYWCSDEYKEKQMLGRHSRTISDDVAQNRGGSRNFIRTKSFIAKTFGPEEATSINTYKLMKVGLKKYKETGSSSCIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKVIYSTGGGLPHGRLAIGDGAIKKSEVRAIAKQHNIRPANSLSYQDLLRSHQKLEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALMGDSDLVSSD* >Brasy1G475300.1.p pacid=40052345 transcript=Brasy1G475300.1 locus=Brasy1G475300 ID=Brasy1G475300.1.v1.1 annot-version=v1.1 MPFSRQHEVGRICVDVVSKKIPSGTDLGHKGVAYRLTFQVESSGESVISDDDQRNDDDNEFNDDDEDMLDDMKDVDNKKDSDDRSGKRSRSSLLFQPTEGLTESSSAPPASKSGAPSSGVIFRKGWNAGAGCVPPISLQVASSPKAMVESAALLASRPGLEAPVAPVFAAAASVAQVAAPPLSVQEVPVAVAPPSPAHAASARLPEVASSQVAVLPTAPAHAALPQSVQEVPSSLVQVAPVLAHADDVELGSRLGVRPASSRGSPSRSPSPSSVLPCAPELLSPLSPTESSLRLSSPVEFPPLVRPSSGEGRVGQAAPTSSPAAVVGEHARRRRAYPPPSRFSARLAAKGDADEASINKAMRLAKIRNLEMSTSDLSGGVLAPYLVPTASDGGQGFYGFWVQPYGDGSTGFLQPVWVARRA* >Brasy1G397800.1.p pacid=40052346 transcript=Brasy1G397800.1 locus=Brasy1G397800 ID=Brasy1G397800.1.v1.1 annot-version=v1.1 MQQYYNRMKLRRLARECAIQAQMTGTIIIGEALPIRKHGKENILSANLEEKLPLFKEAVKQSLNGYISRIQQKFRSGAASGRPLPLLFLGTFATIATLGIKTER* >Brasy1G381900.1.p pacid=40052347 transcript=Brasy1G381900.1 locus=Brasy1G381900 ID=Brasy1G381900.1.v1.1 annot-version=v1.1 MTTASRWRTGPPLAQAPAATNNGGGLVLTTQCEFPALGRSAWRDKFAVLVRAKAPGGGVARARVDLVTVLDVSGSMEGSKLALLKQAMGFVIGQLGPSDRLSVVTFSSAARRLTGLARVSDAAGKAAAKAAVESLVAGSSTRIGEGLRVAADVLGGRLNKNAVASVILLSDGRDDTLIHAFGFGGDHDAAAMHAVAEATGGTFSFVENEAAIQDSFAQSRIAVRCLHRGVRLQEIKSGGYRSDVHADGRGGSIGVGNLYEDEERRFLALVYVPRARDAERVTRLIKASCDYRDAANGQAARAVSAPAAAIGRPFELPGMPPPCMDVERERVRLAATQDIAAARAAADGGEHAGAARILDSRLAAVERSAPGLAADPACEALKEELRDLSARVGDRHEYRQTGRACLMAGMSSHSRQRASAVELQSYSTSSNRARAYLTPKMEEMLEVSRGSRKRTNGEQPDGTSKQIKQDLAENF* >Brasy1G188700.1.p pacid=40052348 transcript=Brasy1G188700.1 locus=Brasy1G188700 ID=Brasy1G188700.1.v1.1 annot-version=v1.1 MAHAEQLFDEMALPDVVAWTTVITSYKNRGRSFQSLATFRRMLAASVAPNRVTMVAALGACGAHGAVESGTWIHEYVEKQGWELDVVLGTALVDMYGKCGHVAEAARLFSEMVERNVYTWNAIIGALVLSQDGTMALQWFYRMEADGVQPDAVTLICALCACAHAGFVDTGRKIFNLIAQGEYGFQPGIKHFGCLVDLLSRSGHLDDAFTVVQTMPSQPNAVIWGLLLRGCKAHGDSSLSEHVLTKLVELEPENASHYVLLSNLYAETGRWQEAEEVLLWMKKNGLRKDAGWSLRILGDRLLSPKFGSMKQMLPIQGSFAR* >Brasy1G352700.1.p pacid=40052349 transcript=Brasy1G352700.1 locus=Brasy1G352700 ID=Brasy1G352700.1.v1.1 annot-version=v1.1 MDWREYFFFFIFFVCKNLLHIQMPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.15.p pacid=40052350 transcript=Brasy1G352700.15 locus=Brasy1G352700 ID=Brasy1G352700.15.v1.1 annot-version=v1.1 MDWREYFFFFIFFVCKNLLHIQMPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.12.p pacid=40052351 transcript=Brasy1G352700.12 locus=Brasy1G352700 ID=Brasy1G352700.12.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKICRTCHKILVTFLQATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKVLCLQMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.10.p pacid=40052352 transcript=Brasy1G352700.10 locus=Brasy1G352700 ID=Brasy1G352700.10.v1.1 annot-version=v1.1 MVCFAFSFVKLLLVMPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.13.p pacid=40052353 transcript=Brasy1G352700.13 locus=Brasy1G352700 ID=Brasy1G352700.13.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKICRTCHKILVTFLQATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.7.p pacid=40052354 transcript=Brasy1G352700.7 locus=Brasy1G352700 ID=Brasy1G352700.7.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKVLCLQMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.2.p pacid=40052355 transcript=Brasy1G352700.2 locus=Brasy1G352700 ID=Brasy1G352700.2.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKVLCLQMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.3.p pacid=40052356 transcript=Brasy1G352700.3 locus=Brasy1G352700 ID=Brasy1G352700.3.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.14.p pacid=40052357 transcript=Brasy1G352700.14 locus=Brasy1G352700 ID=Brasy1G352700.14.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.8.p pacid=40052358 transcript=Brasy1G352700.8 locus=Brasy1G352700 ID=Brasy1G352700.8.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGVEECKLEGYQFFRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.11.p pacid=40052359 transcript=Brasy1G352700.11 locus=Brasy1G352700 ID=Brasy1G352700.11.v1.1 annot-version=v1.1 MVCFAFSFVKLLLVMPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.4.p pacid=40052360 transcript=Brasy1G352700.4 locus=Brasy1G352700 ID=Brasy1G352700.4.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKVLCLQMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.5.p pacid=40052361 transcript=Brasy1G352700.5 locus=Brasy1G352700 ID=Brasy1G352700.5.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKVLCLQMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.6.p pacid=40052362 transcript=Brasy1G352700.6 locus=Brasy1G352700 ID=Brasy1G352700.6.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G352700.9.p pacid=40052363 transcript=Brasy1G352700.9 locus=Brasy1G352700 ID=Brasy1G352700.9.v1.1 annot-version=v1.1 MPDSISMAVKVGEAAQVHKDETIKHTAMARPSFGREEKPIRFMLNHFAVKLSGIDAVSCQYSVSIKSDDDVVVDGKGIGRKVIDKMLQSYSSEFAGKEFAYDVEKCLFTVGPLPQNNSEFTVILEETSSRAVGRSPGHGSPCLGDKKRATRSYRPKLFVVGISYAAKIPLRAVALALRGSESDHGQDALRVLDIVLRQQPTKRGCLLVRQSFFSDDNRNLVDLTGGVNGCHGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDVDWPKATKILKNLRAKAMHNNMEFKIIGLSDQPCSRQTFPTKVRNGCTESQIVDITVEEYFKSKEVFLAKPYLPSLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRAALVEKSRQKPQDRIRVITDAVKTNRYDDDPIFPSRINIENQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVRIGRWAIVNFSARCDMSRISGDLINCGRSKGIMIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGLWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKMQIFVKTLTGKTITLEVKSSDTINNVKSKIRDKNGNFMDQQRLFFAGKQLEDGCSLAEYNIQKESTLHLMLRLMGGKQFFVRTLTGKTITLQIESSETIDNMKAKIQDKDNTSDQQHLIFSGKQLEDGHTLADYNIQKEVLWLSGGMQIFVKTLSGKTITLEVKSSATIDNVKAKIQDKEGITPCQQRLLFAGKQLEGGRTLAHYNIQKESTIHLVLRLIGGRVTGNSSSHQSLSSKRSPPPYSSSTSTRRSQSSPSKNSGISSASPEKMVKRKSRKTKDCNFFYLMRQDGEKSGSVPLEDMRISELLSKVKVPGQKSKQNVAGQDPRSHKFSQLTNKGTNIMKALKNLVFSMKGQGVSLKGRFH* >Brasy1G330300.1.p pacid=40052364 transcript=Brasy1G330300.1 locus=Brasy1G330300 ID=Brasy1G330300.1.v1.1 annot-version=v1.1 MAKAMNKPVPALSRPPPGPYDDRRPGPAYPAAPPESGKKAPSPSAAGKGLDASVPTRGTKPDEARGGGGQTKKR* >Brasy1G553300.1.p pacid=40052365 transcript=Brasy1G553300.1 locus=Brasy1G553300 ID=Brasy1G553300.1.v1.1 annot-version=v1.1 MGLFSSSSSSSSASMARAVVVLAIICCSLPCSAAVQAVHPEGDRSGGVIPPSPRPGPAGNCIVRCRHQASTSAPPTASALLAPHRKTLQQHAVVDLYYTEAPPMLP* >Brasy1G553300.2.p pacid=40052366 transcript=Brasy1G553300.2 locus=Brasy1G553300 ID=Brasy1G553300.2.v1.1 annot-version=v1.1 MGLFSSSSSSSSASMARAVVVLAIICCSLPCSAAVQVHPEGDRSGGVIPPSPRPGPAGNCIVRCRHQASTSAPPTASALLAPHRKTLQQHAVVDLYYTEAPPMLP* >Brasy1G145700.1.p pacid=40052367 transcript=Brasy1G145700.1 locus=Brasy1G145700 ID=Brasy1G145700.1.v1.1 annot-version=v1.1 MHIARQLIAELRLMVFSEGTVDENNHTLKCWMRVELPTSPATPEYRVEKIYGDACLSLNDATDSLGEKIIQRFMRKFQKEKNTCI* >Brasy1G093900.1.p pacid=40052368 transcript=Brasy1G093900.1 locus=Brasy1G093900 ID=Brasy1G093900.1.v1.1 annot-version=v1.1 MSGELPGPGKEGHMSVLLPDGVTYELAEHVTTELTTGLGSSSAQAPQGGNTGVTNGEPPSRPSTAPAAAVAVYSPAQLARALWTMALLTLIMDMATALYKPAKGVVFGRSKLAYYLTLAAIFAAGLAEAFAAFCLSCHCSFNNNNDDSSSSSSHWLFSFGRAALCASVLPFVAIVAIEGSVTATDTTGKLEVTTVNAGAVSADGITGGHVVVTTTDAGAVNTKGPPADRTKKGRVNGPSLKAKTTGSGTVTINGVTVADDPAYAVPVAMEASATTTGRSARASR* >Brasy1G539100.1.p pacid=40052369 transcript=Brasy1G539100.1 locus=Brasy1G539100 ID=Brasy1G539100.1.v1.1 annot-version=v1.1 MCWLSKWRAVTERALVVFSFSQHRAWRRGGTKAEEVMRTASVGFVVSGQWGWIFNNFVMGLQKTPPPLPPPCAPERAASPCTRAIRRRHPVRAGQIRPRSLSRRHHPALRPPTPADTEDGNAGAVASQLRDLPRRRQPRRTRHVGRFLPLFRRTSIAQHHARGILDIPPQPVRITSSLADFFLSSCRRACVALQRTPPGEPAAAPPDPIDAGCRLHEAPAIVTPLHGRTSLDLPHWFGFFHLHVL* >Brasy1G099300.1.p pacid=40052370 transcript=Brasy1G099300.1 locus=Brasy1G099300 ID=Brasy1G099300.1.v1.1 annot-version=v1.1 MSFVGRVDPSTTYADNIYVHKFGTPNSNFAARRFGSDTQLFRYGPEPFNSEDYEHMGFTEAPSSAFQSSYYNQQASLTPYHVMANGRSPSVSDNMANSCSDAAKDSPVFSNVSQQNSQSISDNHSSGLEVEFDPEIRLKLQELEHALLDDGDDVLFEISQAGCINDEWADPMKDVLLPNSPKESESSISCAGSNNGEARTPKQLLFDCAMALSEYNIDEAQTIITDLRQMVSIQGDPSHRIAAYLVEGLAARIVASGKGIYKALTCKDPPTLYQLSAMQILFEICPCYRFGFMAANYAILEACKGEERMHIIDFDINQGSQYITLMQFMKNDANKPRHLRITGVDDHETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVAANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFVTRFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMMMAGFAPCPFNSNVIGGIRSLLNSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ* >Brasy1G549200.1.p pacid=40052371 transcript=Brasy1G549200.1 locus=Brasy1G549200 ID=Brasy1G549200.1.v1.1 annot-version=v1.1 MAMPTALRALAVMSVLVVLIIDHVEGRDHRRDCPSFSCGRLGNVSFPFRQASDPPDCGYQSYELVCSDSTATILIDNATYYVSGINYSDKTFWVVDADLDLYNSCPLPRWKRNQPRGQRISIFRDQRSPNTIVELAPAAYNEANFVKYVLKK* >Brasy1G008900.1.p pacid=40052372 transcript=Brasy1G008900.1 locus=Brasy1G008900 ID=Brasy1G008900.1.v1.1 annot-version=v1.1 MGEVSSLPDDMLRDILLRFKDDAPALFRCATVCKRWRRLVADPSFLRCCWPPEPEKKDDARSFAGFFAKVRQADEPCFIPAPGSPLGRRALGSFVPGAAPGLFNHAVPVAWRHGLLLVRLAPQAGGGGLVRLAVCELLTGACHVLRPLNPAARQFEVQTRYGYAILTAADCRSPPALFFRVLVVALGSGGRHNAHKVHLHTLSSSASGGEAGWSTPPAQRFDCGCSGNYGPVCHGDAVVCRGTAHWLFSDQYGSCFHTIQVDAETGRLSSTTNPSRFPQSNIADHCLGVAADGALSLLRMRRRPGFRPRLDIWTRQDDDHTGGQAQAAPRTWLCTKTLEPGLPEGRNPMDAPSVSGGVIMYFMLGLGDKCGKLLVTDNHRSRVYVADLGTGAMEQVAAWPGSRLLNRDEVVPLEIDWADMFVSRLWRSSTLTSKGCFELIACFSSN* >Brasy1G400000.1.p pacid=40052373 transcript=Brasy1G400000.1 locus=Brasy1G400000 ID=Brasy1G400000.1.v1.1 annot-version=v1.1 MVVCAHYPNSEPFTRHPPSPTLPPAAHCPPRLLILRRPRRRSSSSLRLALSAPPPSRPPYRHTRAPDAYSFCTARHTTAARRQRALLLLLRRHHAAQSSSSSTSARRGVPEIAWPSSGAGWWPLAASSSPSRDLRLISTKETPAGTPICGYVGPGGDRSFLGV* >Brasy1G380700.1.p pacid=40052374 transcript=Brasy1G380700.1 locus=Brasy1G380700 ID=Brasy1G380700.1.v1.1 annot-version=v1.1 MPCMCFVHGVRSRTGLMGIGYPTAAWLYKYAEIVPIRRTQSTLWMISTILLHQCTLEQLTWDGCRNMKEAFTRSNGWIFWSKRPDQVDELPQATYLMKCIHV* >Brasy1G013900.1.p pacid=40052375 transcript=Brasy1G013900.1 locus=Brasy1G013900 ID=Brasy1G013900.1.v1.1 annot-version=v1.1 MARLTVEQAARDAGSGATALDLSHRTFSDVSCLGSFKSLERLDLGYNCLVTLEGLASCANLKWLSVIENKLVSLKGVEGLTKLQVLNAGKNKLTKIDEVKSLTSLGALILNDNNISAICKFDRHHQLNTLVLSKNPVGAIGDALVNAKSLKKLSMSHCEIENIGSSLVACVELKELRLAHNKISKIPSDLAKNIKILNLDLGNNLIERESDLKVLAELRYLRNLNLQGNPIAEKNNLAKKVMKTVPTLRLFNAKPIEASSQNENSRKESMRNKDEDMPDHDTIEPNTKKKDKTKRSKQQVKSPEEPTAQNSRPDVTIASPIKSGQLDGKKKKKDKVAMDMEQGKRSKLKSKDDAPSLDNADRKAKKEVKRKKSAVKEDKDMDGIDDTEVSFAELMFSREGGGPEPELKDKIQGTAQDSKFVGGLVIDHTKKRKKSKGTVLDASDLKLLCSAPEVGVGGLSGWD* >Brasy1G179600.1.p pacid=40052376 transcript=Brasy1G179600.1 locus=Brasy1G179600 ID=Brasy1G179600.1.v1.1 annot-version=v1.1 MATAAPEELAHFGAEEKEEEEEEEDPEEVEPWLGSSDSEPEEHTALEPWVPSPEAAPEQHPPPPLPAPTNSASSEDEEEEGEFRPRWPGFPGASVFRLVVAGDKVGGLIGRRGETIKRLCEETRARVRVLDPTDGVAGQIVLISATEETHAELAPAMDAAIKIFKHVNEIEGINGSVTLSASAPEICSARLLVPKEQAAHLIGKQGIMIKSIQETTGGTVRIIDKDDLLNYGMVDERIVEILGASLKALNALKSVLGLLRKFLVDHSVLHLFERKNKAIAHAQDISKENQVSNDYALPVSRDLLLSDGQSPLSPKGNRYLSYGRDPSVCDPYSSEIRHPTESLIKKITQTMKIPLPQADEIIGVGGRNIAHIRSVSGAVVVLEETGDYLNEVLVTIQGSSSQVHTAHQLVQVILLGDREPPPTRSSYNSDLDTGLPRRLFAPDGVPASRDHPLLHHEYRPSSDRWGHSAYRGYRLV* >Brasy1G514300.1.p pacid=40052377 transcript=Brasy1G514300.1 locus=Brasy1G514300 ID=Brasy1G514300.1.v1.1 annot-version=v1.1 MKLLEYTPFDSINVFLDELNLGDCTIRGSLEAFSCKHAGNDRRLSISLEHEILDYLGKSSDSDPPSPVEHLSCRSSRKMLIYLVLTLGHMYPDYDFSAVRAHLFFREEDWESFKQMLDTYLSEAFTLWAANTEGSSLLDSMTKVIDEVIKIRECDIYSYNPDSDGDPFLEKGAIWSSNFFFYNRKLKRVVSFRCCCTSKLAGDDFLARAISDGEEEDALIDMDI* >Brasy1G555000.1.p pacid=40052378 transcript=Brasy1G555000.1 locus=Brasy1G555000 ID=Brasy1G555000.1.v1.1 annot-version=v1.1 MTHPAPEEGIVPSSKDFNKGMDIPIDRRPGEQLRQSLWRRWNLPITVPASPKPDFFMVASFGRSKFRLTEESVGNLLNVCLGGNPEEFRVIILRDRTFRFSVTNKWIGFHIAKLNCFTCSNFVVYFHLWGFGGPDFVKEFSDWKEENLQWESPKKTLPPRNMKKSYVQVVKSDSDLLTGANAIPITRKSVFTRLETQAATELLDQDESPPMSPWSRTKEIDLADAGYADEDIEKCKQDHIAKLKKKQQSAIPINTVFQRLKFPAKSVPPATEKMTGVVNGRRNYASTSSANVNAAIGANYGQSLNGVSNSNFEPSADLAQSRPIEKPDPRPTCTRCLQEGHLITDCPNPIHCRFCLIPGHIYRFCRKRQAQISHQNDSQFQKNQGFVYRPKFPGIPITHWKPGEIATWFRSTAKNEPARGTPPIFSSFSQYGIFLSKKQGDPSPSSVSPSLSKPQSTVAAKLATHDNGTVGAMANFPVDPAPFLPGRFDIVEVPGRPQQCRYHVIGNLPAKNVDVAIVTMFPPPNPDAPFHDTRDNLLALLDGHLGIRVDYMQRSTLGHAIIRFTATSDRDWLHNRGINWRAFSYNREVWLMLLNLPLDLWETAHVNAVVAKWGKLISWDKTVSNLTRAVIKVRVESLADIPFSIQVSHGNDFTGESWTVPIFILSQRLMGLEPPKEDVPPDNGDTPHPLPALPFHQDHGQHAPVLPDLNDNVMEWQPWPVPHEINHAPDQAPDQDDESAITLSLSSNAPEQASEGSINQLQLFPALQPVMPEMEVNDVANDILPVVQGNEEVGLHNMIVGRAIIPQFNHSVQEFPARLETNIHQPQMEKIHITGEGIDIWLKHFRPSDMHTHSVTIPGPWIDFFTAMLASSDNFDWARKVLMSNMWSIFAATNDYSRPFVLPDSCPSKMAPICKLTARAIEASQGLHKSTSAARVKTASKPPLVIIEVRRSPRLADKYGGYKHKTCINKHCLACAAKAPKINHKIVRSLTDPSKKNKKKINDATPTKKQKKK* >Brasy1G169800.1.p pacid=40052379 transcript=Brasy1G169800.1 locus=Brasy1G169800 ID=Brasy1G169800.1.v1.1 annot-version=v1.1 MGIQGLLPQLKSIMAPIGVEDLRGQTVAVDTYSWLHKGALSCSDRLCKGIPTTRHIEYCMHRVNLLRHHGVKPILVFDGGFLPMKSEQEIKRARSRKENLERAREHEAAGNSRGAFECYQKAVDITPRIASELIEVLKQENVSYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLEQNRELDFNGFTKQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKSHEKVIKHLRYSSVSVPPQYEENFKKAIRAFQFQRVYDPATEDIVHLSGIPHDFSEDDFLGPWLPQAVVKGIALGDIDPLSKEPFEAKIQCSTSAVDKVYPIRESIIPSNGKKRLDLPVQKNILTNYFCLASLEAKRKFRAPKVTPKQQILNGSSLPSPLAEDSATSDSIEDTSLPTNNIQASQSSSEHFSSEPPKDDSINASQHSTEHFSCDFPRNDSASASPHCSSHDVGSDPPFEDPYIEDREVEINYCNVAIPGSPLLERTLPGIADPLLLSHDMEPSRPVPHYAESNVVPTNRNITVRSSYFRKDKRVYTNQGEDQLDDEDNLEAGTCTLSGDQLRNPGGIVKRRKHWDPQNFEDEALQPTSTHESAPVDEDCDADSPGGISTNSEGRFGCNVAHVNTYSGIAEKSMDKFAALISSFKNAGSRASGLRAPLKDVKNTLSVRSILRPPEKNLKCMAKKTARGHGAQSRFTRDAPKIADGPPDLSTFAYRPIKTAVCPDQGKITNNAADPAASPPGLRAFEFAPARPTVSYPDKRKNARKAVGTAPCPPDVSTFAYTSATTANLSDQGKFSNTAIRTADSPPDLSTLEYTPLHRSKFSITAARTADSPPDLSKFAYKPMKPVAGHSGGSRLAASARTSQGRFA* >Brasy1G003100.1.p pacid=40052380 transcript=Brasy1G003100.1 locus=Brasy1G003100 ID=Brasy1G003100.1.v1.1 annot-version=v1.1 MAMETEPAAFLLGPPVIRGVRPSPAADAPASHPFVDLLDAAFNAPSASELKSLRKPHRALTENCSATYANSGNPCLDFFFQVVPDTPAERVRELLAAAWAHDAPTALKLACNLRGVRGTGKSDKEGFYAAAIWMHANHPRTLACNVAALAQFGYLKDFPELLFRLVRGPEVRKVARENAAAEKERRKEKELAKQREGLRERLSGRKRAREAAPVLRKATFGDYLSAALAKTKSKPMEIETPEQEPEAMEVDQKKNSPAEITEKKPRGMSKKVRKVAKLAVQSLETYYGDRAYRFLFDAVSEFFADLLASDLEQMAPGGKRNKIGLAAKWCPTPGSSFDHTTLLCEAIARRLFPRDSDPEYAQLPEEHYVYRVLHRLRREVLVPLRELLKLPEVYMSSQRWAELPYARVASVAMRRYKSLFKKHDELRFAKYLEDVDAGKSKIAAGALLPHEIAAPAYRGEDDEVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMEVCIALGVLTSELSEEPWAGKVITFHSRPSIHVIKGNTLRAKMKFVERLEWHGSTNFQGVFDQILRTAVEARLAPEKMIRTVFVYSDMEFNEATGRGYYGGQAYGSGSWDTDYKVICDKSCSGTFATPSPRR* >Brasy1G493100.1.p pacid=40052381 transcript=Brasy1G493100.1 locus=Brasy1G493100 ID=Brasy1G493100.1.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.8.p pacid=40052382 transcript=Brasy1G493100.8 locus=Brasy1G493100 ID=Brasy1G493100.8.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.9.p pacid=40052383 transcript=Brasy1G493100.9 locus=Brasy1G493100 ID=Brasy1G493100.9.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.10.p pacid=40052384 transcript=Brasy1G493100.10 locus=Brasy1G493100 ID=Brasy1G493100.10.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.4.p pacid=40052385 transcript=Brasy1G493100.4 locus=Brasy1G493100 ID=Brasy1G493100.4.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.5.p pacid=40052386 transcript=Brasy1G493100.5 locus=Brasy1G493100 ID=Brasy1G493100.5.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.6.p pacid=40052387 transcript=Brasy1G493100.6 locus=Brasy1G493100 ID=Brasy1G493100.6.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILAINNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.7.p pacid=40052388 transcript=Brasy1G493100.7 locus=Brasy1G493100 ID=Brasy1G493100.7.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILAINNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.11.p pacid=40052389 transcript=Brasy1G493100.11 locus=Brasy1G493100 ID=Brasy1G493100.11.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.12.p pacid=40052390 transcript=Brasy1G493100.12 locus=Brasy1G493100 ID=Brasy1G493100.12.v1.1 annot-version=v1.1 MVEGDGVAGAIVDGGKGELFCTGSGKSVSVSERAIRRARALVGDQAGETSNKRIKQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.2.p pacid=40052391 transcript=Brasy1G493100.2 locus=Brasy1G493100 ID=Brasy1G493100.2.v1.1 annot-version=v1.1 MEHILVLEQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.3.p pacid=40052392 transcript=Brasy1G493100.3 locus=Brasy1G493100 ID=Brasy1G493100.3.v1.1 annot-version=v1.1 MEHILVLEQPFGDGVDLEGELGGMDVSFRGGGHNSSMSPVFQTGSGKAVSLSKDSVQKARVILEDVGGVDSMEQFPLFQTGSGRAVSVSVASFQKAKSVFGENNTSGGNTESFGRPDQPMMFQTGSGRPVMISEGPTESCRAAVLEEDAEKSGHCDTNCQFPMFQTGLGRPVAVSWSSVQKARAVLEEQNIKKTGHGDTSDYPTILQTETPRSVLMSSSLITNDRSVTPKGDSTMQEKNHVDDDHLPLFQTGLGRSVAISKSSIKRASAVLEPRNIAKELEDEAHLDGGHDGTLVFKTGLGRSILASENSIKKVQVILEAQEEAKSVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEGDLDLPKISRAEGKTKLSTFSHEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.13.p pacid=40052393 transcript=Brasy1G493100.13 locus=Brasy1G493100 ID=Brasy1G493100.13.v1.1 annot-version=v1.1 MCKLVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G493100.14.p pacid=40052394 transcript=Brasy1G493100.14 locus=Brasy1G493100 ID=Brasy1G493100.14.v1.1 annot-version=v1.1 MCKLVNNDNGEAYAEDATFQAGAQKFVPQNGSSSHNASILLEQGSFAEKGYEYYGSQLPMFQTGSGKSVLISESSVQKARAVLEEECNMNRDNHKLLNSKDKKFHVFTSPLKTSCARTVNISSVGVSRAAALLGLEENTLSTQFSGHVGEKLGTKITVERENPEQRLGTASVHGISGGCSMSSFPTENQVLKEPHMSFVLSNSTLSDSGECPIRFSTAGGRSMAISSDALQRAKSLLGESELAVSKDDLVGHSSVSVSKDEIPSSTLAWKEAIPEKKHTGSFGYAVPDTLATKGNGNRFHVGSHSISNIPKIPKPSSRCLSESDNASDTKDMVRRLHMPSGALVDITNFMGEHSGNINHVANEKRRSVGRNSASPFKRPRSSRFIAPINTNKQSSAGVPKLPPTQITPCRTKLSACYPFQHKRKTWKEYFGGCPCFNLSKEHLTDEVKLMDAKRAEKYTFNNLGTGAEEFQKMLLACGASSTYATKEWVSNHYKWIVWKLASLERCYPTKAAGKFLTVANVFEELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIHSRPDISNCKLEAGRTDTNEDIIGNKSLTAAHRNKSAQIELTDGWYALEASLDVALSEQLDKRKLSIGQKLRIWGASLCGWTGPVSFHEASGTVKLMVHVNGSYRARWDDTLGFCKHVGPPLAFKCIKASGGRVPRTLVGVSRIYPVLYKEKLSDGRSVVRSERMERKAVQMYHQRVSKITEDILCEQEENCGSTDDSEEGAKICKMLERTAEPEVMMAGMTSEQMISFSSYQEKQKVVRQNKVAKKVEKALEVAGLGPRNITPFLKLRVTSLVHKTTATKTTKEGLITIWNPTEKQKADLVEGQIYFVTGLVPCTYCTDILYLHARGPSTMWKQLASVQAKDFEPFFTPRKVVELSSFGDVPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFISAQQSTEQNDCLLAVSFSCPIAGDDYAFFSYALSGNTVGFSNLVKRQKDQMRRLWVAEATESTTYTISHEISKRSHLKEAATCAEKWASSSYPKIQELKERVLCIIGDSGG* >Brasy1G379600.1.p pacid=40052395 transcript=Brasy1G379600.1 locus=Brasy1G379600 ID=Brasy1G379600.1.v1.1 annot-version=v1.1 MAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGNEVVQQWEDDWDDDDVNDDFSLQLRKELVEGSAQKS* >Brasy1G055000.1.p pacid=40052396 transcript=Brasy1G055000.1 locus=Brasy1G055000 ID=Brasy1G055000.1.v1.1 annot-version=v1.1 MPPPPSRALLAAIVLAALLVVVAAHGDADPGMAPCTAEDDEACQGEEELMARSTRRELGGGGYIGYDALRRNAVPCSYRGASYYNCRPGGQANPYSRGCSSITRCRG* >Brasy1G215800.1.p pacid=40052397 transcript=Brasy1G215800.1 locus=Brasy1G215800 ID=Brasy1G215800.1.v1.1 annot-version=v1.1 MAHGTDREAAPPAWAWRGSVRAPAAGPTPDQAWALLSDFCSLDKWVSSVRTCRRLEEEGVAEDGAPGCVRYCEGPVNMAAAPGEEEAVVGWSKERLLEVDAAGRSCSYEVVETNKGFGRYRATVGVEPDPAWCAVRWSFEADPVKGWTLQGFVGFLEKLACGIAKRLEEQIMVNVDDQLAAMEMKVHRDYLLH* >Brasy1G215800.2.p pacid=40052398 transcript=Brasy1G215800.2 locus=Brasy1G215800 ID=Brasy1G215800.2.v1.1 annot-version=v1.1 MAHGTDREAAPPAWAWRGSVRAPAAGPTPDQAWALLSDFCSLDKWVSSVRTCRRLEEEGVAEDGAPGCVRYCEGPVNMAAAPGEEEAVVGWSKERLLEVDAAGRSCSYEVVETNKGFGRYRATVGVEPDPAWCAVRWSFEADPVKGWTLQGFVGFLEKLACGIAKRLEEQIMVNVDDQLAAMEMKVHRDYLLH* >Brasy1G196600.1.p pacid=40052399 transcript=Brasy1G196600.1 locus=Brasy1G196600 ID=Brasy1G196600.1.v1.1 annot-version=v1.1 MKLLSWNCRGLKKPAAVTSLLDLQGRVRADVIFLAETHLNKVKADVIRRKLGFDFMLVEESNGRAGGLILFWNSMNKLHGRASMPWLVVGDFNEILYHHEKEGGNPRPSKMLDDFGECLSDCGLDDLGFIGDRFTWSRGDIRERLDRAVANADWINLFPFFGVVNEEHHRSDHRPILLNTDQYDASLIKARSGGRKFEARWLSEEGVEEIVRTSWLRAINSGTAPDLAKRTAAVHADLHRWDRKVLKGPKNRIDKLKKELEKLRRDTPNQEILGRMKEVQLLIENLMDQEEVTWCQRGRANWLLHGDRNTNFFHNSATARKKRNQIKKLLDDTGVWKEGTEDLNKLITSYFSSLFTCGFSGDE* >Brasy1G281700.1.p pacid=40052400 transcript=Brasy1G281700.1 locus=Brasy1G281700 ID=Brasy1G281700.1.v1.1 annot-version=v1.1 MLHLKITILVSMSMVVSRSLGVETDHKCKCFMCLCDVDPHPLPPALPSDHPPPPPEREYYPPPAEPDPTPEYYPPTPEYYPPPSEPEPTPGCYPPPSEPEPTPGYYYPPPSEPEYYLPPPAEPYEYPWGDTYGPPAPVMGIVGTPGQMYPRDRAFNPSSARRSRCCGVTTLVLASSMVAGALSLIM* >Brasy1G228700.1.p pacid=40052401 transcript=Brasy1G228700.1 locus=Brasy1G228700 ID=Brasy1G228700.1.v1.1 annot-version=v1.1 MPPQAPSSSAAATTTPPAGTSRASAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMLITTVVVASSPKERPAWPLRLWVAAYNVGNVLSLPLLFWRHRHSSAAAAGRGDDPEMHGASDALRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLSWNAVVYSLPFLLFLLLCCFVPVVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDNVPRDREHDDQECCICLAQYREKEEMRQLPCTHMFHLKCVDRWLRIISSCPLCKQELG* >Brasy1G228700.2.p pacid=40052402 transcript=Brasy1G228700.2 locus=Brasy1G228700 ID=Brasy1G228700.2.v1.1 annot-version=v1.1 MPPQAPSSSAAATTTPPAGTSRASAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMLITTVVVASSPKERPAWPLRLWVAAYNVGNVLSLPLLFWRHRHSSAAAAGRGDDPEMHGASDALSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLSWNAVVYSLPFLLFLLLCCFVPVVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDNVPRDREHDDQECCICLAQYREKEEMRQLPCTHMFHLKCVDRWLRIISSCPLCKQELG* >Brasy1G228700.3.p pacid=40052403 transcript=Brasy1G228700.3 locus=Brasy1G228700 ID=Brasy1G228700.3.v1.1 annot-version=v1.1 MPPQAPSSSAAATTTPPAGTSRASAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMLITTVVVASSPKERPAWPLRLWVAAYNVGNVLSLPLLFWRHRHSSAAAAGRGDDPEMHGASDALRAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLSWNAVVYSLPFLLFLLLCCFVPVVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDNVPRDREHDDQECCICLAQYREKEEMRQLPCTHMFHLKCVDRWLRIISSCPLCKQELG* >Brasy1G053300.1.p pacid=40052404 transcript=Brasy1G053300.1 locus=Brasy1G053300 ID=Brasy1G053300.1.v1.1 annot-version=v1.1 MELVPFKPAAGALVEAVGGGSIPAMVAAQQEQLHAQVDQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKIMQSIFALKDNIGKREIREISALCGVTVTQVREFFASQRTRVRKVVSLSREKALKIEALEAPNSVCSMSTEQTPVDIEAHAQLVEPLRTLEPLEMSQSSSQLVEVPHNFLQQAEVQQSYASATTHSGTMQPTDAKINPDLAQKETKQEEVAAGVESEDKKFLESIFARMRKEETFSGQVKLMEWILQINNVTILGWFLTMGGLPIVSTWLSQAATEEQTTVILIIFKVLLHLPLHKALPAHMSAVLQTINRLRFYRTQDISSRARNLLSRLSKVLVRSQASKKPQKDLICKQRISEILRDESWRSEVDITDEILALTEGASESRKPEPRKTPLLLTASADEPYKKSSVQPKSKERRKVLLVEHPNRKATGNNVHSVRSKSTNSSRPLSADDIQKAKMRAMFMQEKYGKRDTGKGTDKTEMAEIQKPSGLVNSDVLPMPRSPPVSTTKQPVEPSPSTSKQNTMPLPDKPEIPVSPKPNITSRENSREKLDSKRVLWQIPPEVWIDPSWTVSAGENSKELDVQTQRNRREKETFYASLNDIPLNPKDPWDLEMDFDDSLTPEIPIDQPSDADTMEVDNVGTAPPSICFPDENNHVGSTSSSTVPAGANGAASEPDLELLAVLLKNPQLVFALSSNQVGNLPTEQTVALLDMLKQTGLGLPELVNSLSNGTGVSKASEPGPETIPTSLPSPTPPEDLPASASWRSEFPTQVRAPNLQQAHLPSRGNTSLVASTMHQSFSNVVSPLPSQPYTSIPALPAHIQTNIPSSPQLAVSVNTLNQHVAPVNDLLNGAAVHRHTQSYALASDAAAGGIQQHPAVNKPAHEFQNISNPALAPAWQSSAANLASTGRNATPEPWASRTTNSFNDASMPYLNQRAYSNQSTQSPYNAYASMSVSSQGLDRNGYTRTAEYQVSGRDAHQRHSLSPEPGAARVYGGAQAYVPEPLNVGNYGQQSYNPEPSRDWRSGQQSYAAAEPSSQWSSIQQSYPPAEPSRQWSSGQQSYVPADPSSQWSSGQQNYNQSDIPRQWSSAQQNYTRAEPSRPSWNTANQVQAPDTSRQWSLGNQDYYNPNDSRSSYDQRQRRRWE* >Brasy1G108000.1.p pacid=40052405 transcript=Brasy1G108000.1 locus=Brasy1G108000 ID=Brasy1G108000.1.v1.1 annot-version=v1.1 MAEEKESTSIPLSQAAEAVDPEDPAKAPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAFTFFITWWFIQFVDGFFSPLYAKVGIDIFGLGFLTSLAFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVKHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLLNTDEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRMT* >Brasy1G108000.2.p pacid=40052406 transcript=Brasy1G108000.2 locus=Brasy1G108000 ID=Brasy1G108000.2.v1.1 annot-version=v1.1 MAEEKESTSIPLSQAAEAVDPEDPAKAPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAFTFFITWWFIQFVDGFFSPLYAKVGIDIFGLGFLTSLAFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVKHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLLNTDEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRMT* >Brasy1G108000.3.p pacid=40052407 transcript=Brasy1G108000.3 locus=Brasy1G108000 ID=Brasy1G108000.3.v1.1 annot-version=v1.1 MTGCVVLFPVAFTFFITWWFIQFVDGFFSPLYAKVGIDIFGLGFLTSLAFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVKHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLLNTDEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRMT* >Brasy1G108000.4.p pacid=40052408 transcript=Brasy1G108000.4 locus=Brasy1G108000 ID=Brasy1G108000.4.v1.1 annot-version=v1.1 MTGCVVLFPVAFTFFITWWFIQFVDGFFSPLYAKVGIDIFGLGFLTSLAFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVKHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLLNTDEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQSIRLNRMT* >Brasy1G041600.1.p pacid=40052409 transcript=Brasy1G041600.1 locus=Brasy1G041600 ID=Brasy1G041600.1.v1.1 annot-version=v1.1 MEGAVHIFTECCFARQVWLLVAAWSGFPIFSPTSWNPTCSMVEWWTARIEIARRTMTRFAARGATSIFLLSLWSIWKERNCRIFNAKSTPPAGIMVLIKNEAELWRLLNGKGIGALLSGPDDVP* >Brasy1G059700.1.p pacid=40052410 transcript=Brasy1G059700.1 locus=Brasy1G059700 ID=Brasy1G059700.1.v1.1 annot-version=v1.1 MAATAGFPFPCGRDVMDHASSLFDFHAGAPFPSSSAATGVALPAGCFVHDFGTGNNNSSNPFDVDAAPFLQFGGPAAAGQKGVGFMAAQAGAFGGGGMGWEDDEDEMDQQSLDDASMGAVSASLENGVTAAAGGNGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESAPSTAVAGPTPSNFHPSTPTLQPFPGRVKEERCPASFPSPSGQQATVDVRMREGQAFNIHMFCARRPGILLSTLRALDSLGLDIEQAVISCFNGFAMDVFRAEQCKDGPVPLPEEIKAVLLHTAGLQNPM* >Brasy1G170500.1.p pacid=40052411 transcript=Brasy1G170500.1 locus=Brasy1G170500 ID=Brasy1G170500.1.v1.1 annot-version=v1.1 MLLNERQQNQRFCTCAGGSLNRIKWESRDSLKEADSLSK* >Brasy1G580900.1.p pacid=40052412 transcript=Brasy1G580900.1 locus=Brasy1G580900 ID=Brasy1G580900.1.v1.1 annot-version=v1.1 MKGSKILVMARREDAVRAMGADEPIAISDLDEEQYFRMFMHYAPDGTCIPDEEFIPVGRKIAKKLHRSPIAAVTVSGQLRGNPNISFWKITAELDVLNGTMGALWWSYMQLDVDIRRCFEYCSIIPRRFKLKRDELVRLWVAQGFVKTSCATEATEDVAEIYVQELVSCSFLQPAGTSADTDYFTIYDLPHDLADKVTGNDCYRIENAGSWKGDVPQDVRHLFVHYYDGELITEKILELENLHTLIIYVVGKDTPVEKDITESIFNRLRKLRVLAIALSQDHDALFHEPRIFSVPESIRQLKHLRYLALRTISSCTVILPNAPTKLYHLQVLDFGQCQKMEFFSADLLNLQHVLSDLKLCNIGRLISLQTIPDFTVRNKQGYEVKQLRDLNKLRGTLCINCLENVKSKQEALEANLAAKEQLTFLSLFWTVGDTRCRPEVEAEVLEGLCPPMWLEKLAICYYNGLRYPNWMVSRQNGCPKDLQVLTFWGLKQLGPAPELDAFIHLHSLNLLDCSWDALPVNIEHLSISHRSRN* >Brasy1G422600.1.p pacid=40052413 transcript=Brasy1G422600.1 locus=Brasy1G422600 ID=Brasy1G422600.1.v1.1 annot-version=v1.1 MKKNTSASNYMCLAPIFSSCIPSGKQQSGDDAAKNRLSFSFTDNRAGRKDPWQPEEHLSESIIDPAASIITKKDGRHCTIIVGTIFGSRSGRVTFCVQRDATVPPPFLFELSVPMQSLAAEMASGLLRIVLECHRSSGRSGAAGDKATGGRSRNVWKASCNGQDVGHAIRRPPTDWERGVLESMRTMTAGVGALPPVEAPEQINEEGQQDCGGGEVLYMRATYQRIVGSKDAVSYHLISPGTAGGSPPQELSLFLLRTRGN* >Brasy1G313200.1.p pacid=40052414 transcript=Brasy1G313200.1 locus=Brasy1G313200 ID=Brasy1G313200.1.v1.1 annot-version=v1.1 MAALEEPWEEEGAVGAGGLPRYPVGSEVEVQMTDRGFHGAYYEGIVAARLPDSGGYEVVFSTLVEEGGGGPLREAASPANVRPRPPPPPGTQRCDLNVFDMVEAYHNDGWWPGVVSGAWPASTAGEPRYDVSFPTIPEVLQLQASLVRSRRAFVRGRWMDMEEVVPRVPLYDEGSSVEVIWHDGKQGTTWMTATIIKMVGSANYVVRHEDSKCSIAVLHSRYIRPQPIFDRMNSHYDWEPSAKVEVYHDGTWLPGVISDVGSCGSSKYAVRVKRRNNEDEEDYTLVSSTFLRPCISWDGQERMLCSTKKHARKRKYAVCVEDLSPMFSTPGGDSDQNCSVPNKRSRTDNVRNEGLNMRHSLHSKQVTTGSSSKLGIYIEGPSDKHTTTKKKLDNENKANGGQYMQASSTLLKMNCKQGDGKSRNCLTKPLQWLPGMNQTKEPPLSGHFHSEAETMAPDDSTARDVNQEMCQEVIKTQVKSWMVDNCNEVAYNSSIISQNTNQSIADRPHGEANSKRQWPRDSEVPVSRKIGSSSCAQGVKVELLCLDNDDVPLPCYPVLGMQPLQRLTGMDVKKRTIRIRSFSIRSGANDT* >Brasy1G111500.1.p pacid=40052415 transcript=Brasy1G111500.1 locus=Brasy1G111500 ID=Brasy1G111500.1.v1.1 annot-version=v1.1 MVSRKLALGSLFHTKAKDTASPSTPPSDPGAAAPAWAWPSCKHPRTQSFHHAGPPPPGAPRTLASIFLGSAESSFTSSSARQHEDDCSADDSLSTTASEASAAAGDDGAIVVGGLRIRSSDRILFDPAAGASATSSILEEKPVVDARARARARGHEVFVGGLAVAFESANPYRDFRASMEEMLDAAHGVGAGFLGWGWGWLEEMLGWYLRANGEDTHGAIVAAFIDVIVAIADRQARSACSSRSSSCTSSVDRELEEVAR* >Brasy1G228600.1.p pacid=40052416 transcript=Brasy1G228600.1 locus=Brasy1G228600 ID=Brasy1G228600.1.v1.1 annot-version=v1.1 MAAAAVLSNGSGGDTKAEFAEIYNKLKEEMLEDPAFEFTDESLHWIDRMLDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEEIFLACALGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTIHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDYKCSWLVVQALEHADESQKCILVENYGKSDPDSVAKVKDLYKELNLEAVFHKYERESYNKLIADIEAQPSKAVQKVLRSFLDKIYKRVK* >Brasy1G228600.2.p pacid=40052417 transcript=Brasy1G228600.2 locus=Brasy1G228600 ID=Brasy1G228600.2.v1.1 annot-version=v1.1 MAAAAVLSNGSGGDTKAEFAEIYNKLKEEMLEDPAFEFTDESLHWIDRMLDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEEIFLACALGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTIHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDYKCSWLVVQALEHADESQKCILVENYGKSDPDSVAKVKDLYKELNLEAVFHKYERESYNKLIADIEAQPSKAVQKVLRSFLDKIYKRVK* >Brasy1G472400.1.p pacid=40052418 transcript=Brasy1G472400.1 locus=Brasy1G472400 ID=Brasy1G472400.1.v1.1 annot-version=v1.1 MARGTSTTALLLVLFLVGLLVAPSDTKGDEQSANKASSGGPVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDSGERLIGEAAKNQAASNPLRTIYDAKRLIGRQFGDAEVQKDMKHLPYKIVEKQGKPHMEVEVKEGDVRTLSPEEVSAMVLTRMKETAEAFLGEPVKDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAAIAYGLDTNAKEEKNVLVFDLGGGTFDVSVLTIDNGVFEVLATNGDTHLGGEDFDHRLMDYLVKLVKRKHGKDVSGDARALGKLRRECERAKRALSSQHQVRVEIESLFDGVDLSEPLTRARFEELNSDLFRKTMTPVKKAMADAGLAKGDIHEVVLVGGSTRIPKIQQLLKDYFNGKEPSKGVNPDEAVAYGAAVQGSIVRGDNAEKLVVLDVTPLTLGIETAGGVMTPLIPRGTVIPTKKTKSFTTYQDRQTTVSVVVLEGERSMTKDNKQLGKFDLTGIAPAPRGTPQIEVTFEVDVNGILHVSAADKGTGKSEKIRITSAADRRITQEEIDRMVREAEEFAEEDRKVRERVDARNRMEAYVYHVRTTVDGEAGQGMDGGDKERVQEAAREANEWIEENPEADKDEYVEKLKELEDLCNPVFAAADSHKSGGGHDGAEEDDHDEL* >Brasy1G540600.1.p pacid=40052419 transcript=Brasy1G540600.1 locus=Brasy1G540600 ID=Brasy1G540600.1.v1.1 annot-version=v1.1 MEKKMFLGRAWLLLAMAAAWLVVLVQEAEGGGRLLDAGKLEKFVDELPDMPVLLGYGVAGGGRLVAGELAVGMYDTTWKFHRDLPPTRVFAYGTSKETATVPGPTIIATRGIPTRVTWTNHLPRHHFLPWDRSLSAARPHAGVPTVLHLHGGVQQSTSDGHGMAWFTSNFSSTGPTFSSGGPYHYPNRQPPGNLWYHDHAMGVTRVNILAGLMGSYRVTDPATEARLNLPSGPAHDRNLVLFDRDFTSAGELFMNATGNNPGVHPQWQPEYFGAVMVVNGKAWPFLRVARRRYRFRILNASNARFFRLSLSGGLRFVHLGSDSVYLAKPVSSRSFVLAPSEIADVVVDFAKASGSSGHVVLRSDAPAPYPGDPGEKPETVSVMKFVLPKRSPSSSPELEKKEMEMDKSTIPAALMEKNPRPDPGEAVRTRRIAMYEYTKNGTDEPTHLYLNGLAYGDPVTETPREGTSELWEVINLTDDNHPLHVHLALFAALDQRSLRRVGEFRDCMRGSAADGAGGRNDVIKCRMARHLAGGRWHKVPRQERGWKNVFKVRPGSVTRLLVRFKPLEEKDGDQTTQSGGGGRFPFDVTAGPGYVYHCHILDHEDNEMMRPMKIVR* >Brasy1G054300.1.p pacid=40052420 transcript=Brasy1G054300.1 locus=Brasy1G054300 ID=Brasy1G054300.1.v1.1 annot-version=v1.1 MAAIKLYGLALSPNVVRVAAVLNEKGLDFEIVPVDLTTGAHKQPDFLSLNPFGQIPALKDGDEVLYESRAINRYIAEKYRATGTDLLPATASAKLEVWLEVESHHFYPAVSPLVFQLLIKPMLGGAPDQAAVEKHAGELAQVLDVYEAHLAKPGNRFLAGEQFTLADANHMSYLFMLGKTAQKGLVESRPRVKAWWDEISARPAWVKTVAAIPFPGAA* >Brasy1G238000.1.p pacid=40052421 transcript=Brasy1G238000.1 locus=Brasy1G238000 ID=Brasy1G238000.1.v1.1 annot-version=v1.1 MTLVVGAPGSGKTTFLRALAGKLDPSLMFQGKVLCNGESGSSAPHYLRAYVSQHDLHHAEMTVRETINFSSNMLGASNEFEMLQGIVQRKVGITAVDEELFSKATKFGEGSNLKTNYIMKILGLSNCADTIIGDDLRRGISGGQKKRTTIGEMLVGCARCFFMDDISTGLDSSTTFEIMTFLGQMANIMDLTMVISLLQPSPETLELFDDIILLSEGQIIYHGARQNVVGFFNTIGFTCPNRKNIADFLQEVTLKKYQKQYWSGDASQYQEHTIENFVNDFRAYSLPQFLKDNQRNINDIKRGKNAFQAADGPGISKWNIFKACISREVLLLKRNSPVHIFKAIQIIFLAFVIATLFLQTEMSHDTVFDGIKYMGALFMGIAVVNFNSMIELAMTTKRLPIFYKQRELLALPGWALVCSVFLISLPVSLMESGLWTFPTYYAIGYAPSPTRLFRQLLVLFAMHQMSLGLYRFLATIGRTPIVSNILGTQALVAIFVLGGFIISKDDLQPWLRWGYWASPFTYALNAVALNEYLDKRWAKDFHFENAKTVGEAILKVRGLLSEWQWYWICVGVLFGFTLAFNILSILALKFLNSPHKHQVNIKSQERQSSEYNDHDQIVHGWKASTDEASLPFQPLSFVFKKINYYVDMPKEMKKHGVTESRLQLLRDVSGSFRPGVLTALMGVTGAGKTTLLDVLAGRKTGGYIEGKIRIGGYEKKQDTCSRITGYCEQTDIHSPYLTVYESLQFSACLRLPSDVKPYKRDIFVEEIMGLVELTSLRNAVVGIPGMSGLSAEQRKRLTIAVELVASPSVLFMDEPTTGLDARAAAIVLHTVRKLANTGRTVVCTIHQPSIHLFESFDELLLMKQGGQIIYSGSLGPLSRNLTKYFEAIPGVPRIKEGQNPASWVLDISSHAMEYTLGVDFLEIYRNSNLYKDNMALVDELSKPNTNQEDLQFSSRYWSDTKAQCMACIWKQYCSYRKNSELNIFRFLNTFAISIMFGVVFWQIGSTIKVEQDVFNILGIGYGSALFLGFMNCNSLQPVVAMERVVFYREKASGMYSSMAFVIAQVAAEIPYIVIQPLIFSAIVYPMVGFQLTFVKFFWFSLYMILSFMDYTLYGMMAVALTPSPEIAAGLSFLIFMVWNFFSGFIVTRKAMPAWWRWMYWADPAAWTLYGLVSSQLGDQTELIRVLGQPDQPVMKFLEEYLGLQNGYFPLVTALHIMLSTLFGFVFCVGIKYLKFQTR* >Brasy1G351600.1.p pacid=40052422 transcript=Brasy1G351600.1 locus=Brasy1G351600 ID=Brasy1G351600.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQAQAQALAAAQEVAKVAAAAGVNIDAAGLVTDLNKQTQEKSTAPHSGRSSCSEI* >Brasy1G046300.1.p pacid=40052423 transcript=Brasy1G046300.1 locus=Brasy1G046300 ID=Brasy1G046300.1.v1.1 annot-version=v1.1 MDHFPSSSQFLLLLLPIVLYISYHLARALAKKKPTTHGLKSHPLLGHLPAFLKNRHRFLDWSTELILRSPEQRMGFWIPGMLTGMVTGNPADVEHVLRANFANYPKGARSISMLHDFLGGGLFNSDGEQWQWQRKNASLEFSTRSLRGFVVDAVQTEVADRLLPLLRRAAAAGEVLDMQDVLERFAFDTICVVSFGHDPCCLADGGALSEGKSEFMHAFGEAQDLIVGRFLEPVAASWKIKRWLNVGTERRLKKAIADVHAFAMDIVRARRQSPSPAKSRDDVLSRFAVSDEHGDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPNVVARIAAEVRSVRASTRTAPGEPFGFDMLRDMHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWSITYSAYAMGRLAALWGEDCMEYRPERWLGDDGGFRPESPFRYTVFHAGPRTCLGKEMAYVQMKSIVASVLEEFAVDVVDKGTGAVREHVLSVTLRMKGGLPVQIRRRG* >Brasy1G441900.1.p pacid=40052424 transcript=Brasy1G441900.1 locus=Brasy1G441900 ID=Brasy1G441900.1.v1.1 annot-version=v1.1 MLASGRDGAPRRCSVRDPLASPAAAVFPPRASLVCKRWRSLVSDPQFLRQFGIHHRTPPLLGFFSGHFGKPVFTPALDPPDRIPAERFSIPRRLHASVSIPRRLDDSWMLVGCRHGLVLFLHQTPLALIWDPITGDYTCIAVPLEFAAAERIICHGAIRPRPRARRLTHMPLQCGFGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.7.p pacid=40052425 transcript=Brasy1G441900.7 locus=Brasy1G441900 ID=Brasy1G441900.7.v1.1 annot-version=v1.1 MYSSESGSWGRVFSTPILSPVSAGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGKRGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.2.p pacid=40052426 transcript=Brasy1G441900.2 locus=Brasy1G441900 ID=Brasy1G441900.2.v1.1 annot-version=v1.1 MYSSESGSWGRVFSTPILSPVSAGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.3.p pacid=40052427 transcript=Brasy1G441900.3 locus=Brasy1G441900 ID=Brasy1G441900.3.v1.1 annot-version=v1.1 MYSSESGSWGRVFSTPILSPVSAGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGKRGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.4.p pacid=40052428 transcript=Brasy1G441900.4 locus=Brasy1G441900 ID=Brasy1G441900.4.v1.1 annot-version=v1.1 MYSSESGSWGRVFSTPILSPVSAGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.8.p pacid=40052429 transcript=Brasy1G441900.8 locus=Brasy1G441900 ID=Brasy1G441900.8.v1.1 annot-version=v1.1 MYSSESGSWGRVFSTPILSPVSAGRPSTLIGNALYWSLINNDILELHQDRQCLTVIENPVGGPLFPEHFRYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGKRV* >Brasy1G441900.12.p pacid=40052430 transcript=Brasy1G441900.12 locus=Brasy1G441900 ID=Brasy1G441900.12.v1.1 annot-version=v1.1 MGQSLLDANTVPGFCREAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.5.p pacid=40052431 transcript=Brasy1G441900.5 locus=Brasy1G441900 ID=Brasy1G441900.5.v1.1 annot-version=v1.1 MGQSLLDANTVPGFCREAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.13.p pacid=40052432 transcript=Brasy1G441900.13 locus=Brasy1G441900 ID=Brasy1G441900.13.v1.1 annot-version=v1.1 MWVWEAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.6.p pacid=40052433 transcript=Brasy1G441900.6 locus=Brasy1G441900 ID=Brasy1G441900.6.v1.1 annot-version=v1.1 MWVWEAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.14.p pacid=40052434 transcript=Brasy1G441900.14 locus=Brasy1G441900 ID=Brasy1G441900.14.v1.1 annot-version=v1.1 MWVWEAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGKRV* >Brasy1G441900.9.p pacid=40052435 transcript=Brasy1G441900.9 locus=Brasy1G441900 ID=Brasy1G441900.9.v1.1 annot-version=v1.1 MWVWEAQHPHWYQITPSEDGDLGIAILSRSTIQLWDRKISSLCVASWEWRKTIDLDMILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGKRV* >Brasy1G441900.10.p pacid=40052436 transcript=Brasy1G441900.10 locus=Brasy1G441900 ID=Brasy1G441900.10.v1.1 annot-version=v1.1 MILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSISYFPPSRRKGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G441900.11.p pacid=40052437 transcript=Brasy1G441900.11 locus=Brasy1G441900 ID=Brasy1G441900.11.v1.1 annot-version=v1.1 MILALESGKERIQLKILGFSEDANAILVAMGTNAFLVHLEGMQVRKLPVRVSDNAYHPYTSFAIPGSGAKRPLNADKGGDFHMATTPLFLNGWHYLDPQGHQQGPFSMVQLRKWKQSGYFSQDISVESRPKKGASYSADRCYVVLAVVHSAILFAHLIALKNCSTSHLTPLMYTSLIPGLVESEDGGMYSFVVINHQAQCQSRRLFELCVLCTVLCLCTVLH* >Brasy1G290100.1.p pacid=40052438 transcript=Brasy1G290100.1 locus=Brasy1G290100 ID=Brasy1G290100.1.v1.1 annot-version=v1.1 MKLQIDCLLHGDSSSQCGIHYLADFDLQSVYGNGLTMTAAQVCHQQNLLLPGKPDIRYYVCSMNKTFTSSKAEMYFSVNFTKDFLLPFLNTPIELDAEFA* >Brasy1G411800.1.p pacid=40052439 transcript=Brasy1G411800.1 locus=Brasy1G411800 ID=Brasy1G411800.1.v1.1 annot-version=v1.1 MAAASRGLLARLRHLSLAGPRLPPCRLFSAEPLVYHPDEDGAGEGGGRIIEARSGIMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRSQGVPLKRKLKEFPVTEDALLPVGTTITARHFVPGQFVDVIGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFLFVKDSIYKKPDRTLLPFPTYFPQEGELEDLEPLVADLGDIDPFMAAD* >Brasy1G223200.1.p pacid=40052440 transcript=Brasy1G223200.1 locus=Brasy1G223200 ID=Brasy1G223200.1.v1.1 annot-version=v1.1 MRKICPRAAPARASHPSLATLRPRGWPRLLAPSGVGSPTCAPAAAASSSIPNTPTSSSSSSFRSTDMDGVSSKRRSPSASSTSTSSGDVVTSAARTQRVTRKRRSGGRGPRRGGLRRPPAHRPRGLNTPRGRHVGFGDPFTGILSSKLSVVGLIATLSEAVAATAWSSGPGIPVWVNEMDLNRAVFDPDKELRNSDISQLGRIILPKKEAEAYLPILTSKDGKSLCMHDLLNAQLWTFKYRYWPNNKSRMYVLENTADISAFMPQADENYEIFDGILNSLPEIPAANVRYSDFFNPFDDSMDMSNPGLNANNSVNLMTHFHDDKAGLSLFPNPKSGPLI* >Brasy1G343700.1.p pacid=40052441 transcript=Brasy1G343700.1 locus=Brasy1G343700 ID=Brasy1G343700.1.v1.1 annot-version=v1.1 MGQTAAVGDGAPQKKSYAAEKAKKGGASSSGDGGGGWPAIKPKKDLQINRLKGTQLLIVPNFLASAELKAFVDVTESMGFTHQGSLGPLKGEACRDDRYFNAKES* >Brasy1G013700.1.p pacid=40052442 transcript=Brasy1G013700.1 locus=Brasy1G013700 ID=Brasy1G013700.1.v1.1 annot-version=v1.1 MFSTSILLQILACALYNNWWPMLAALMYILVPMPCLFFGDGSTRFLTTGEGGAWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW* >Brasy1G403000.1.p pacid=40052443 transcript=Brasy1G403000.1 locus=Brasy1G403000 ID=Brasy1G403000.1.v1.1 annot-version=v1.1 MEQEHPPRIIGNGMVSRSIFVPANGTRPGHFIPRGSTPDTRRFRRQPPAPPRNTGDSSSCEEKEHLPDPSSGVHNIAPQMLLGHDVLFSNPMLSNEMTPSGLSAFTAAYAPPYWYTQQQKPWSCLSGAAFALLLGHLSCTGNSFTGELTFTSGSIMRDVTTRILMWAGHLKHKMKLRKPSGATSMTTGIQKLDIAVRQCLYWPDGTRKRRLRSEPVDEIRDRQRQLVLALVDKYNEDNHLSGDLAYALKDVVYYQSIFEGDFQNCYHHINFTAKPEGAVCYNLFFAEVIFMEGESEELPVSCLCSLKPTDNGNCFGCKNNGNAYMKHPNDVAYAGGHVMKTFWPFSGPRIPAGFFDDDTLEAEEANLRRGLKCLNDPHRMKRMRSTLPGSKAKETTGALGDHLNVNISKQLDHGSLLFPVAMAN* >Brasy1G403000.2.p pacid=40052444 transcript=Brasy1G403000.2 locus=Brasy1G403000 ID=Brasy1G403000.2.v1.1 annot-version=v1.1 MFTEDNVSPVDIAVRQCLYWPDGTRKRRLRSEPVDEIRDRQRQLVLALVDKYNEDNHLSGDLAYALKDVVYYQSIFEGDFQNCYHHINFTAKPEGAVCYNLFFAEVIFMEGESEELPVSCLCSLKPTDNGNCFGCKNNGNAYMKHPNDVAYAGGHVMKTFWPFSGPRIPAGFFDDDTLEAEEANLRRGLKCLNDPHRMKRMRSTLPGSKAKETTGALGDHLNVNISKQLDHESATWGGGGLSPGCSSSASLGAVESASGKKD* >Brasy1G219800.1.p pacid=40052445 transcript=Brasy1G219800.1 locus=Brasy1G219800 ID=Brasy1G219800.1.v1.1 annot-version=v1.1 AQLPDLSSPRSRPRVEHLLCIHGESPFSVSSSDWPTFFAEDVGDMQQCYTSKTGVSCLLSVEFGNEGYSEGHNQQYQELEQQGEPSIGEFFDVERDV* >Brasy1G454700.1.p pacid=40052446 transcript=Brasy1G454700.1 locus=Brasy1G454700 ID=Brasy1G454700.1.v1.1 annot-version=v1.1 MQEKPNILVCREQEKYPSGRVVGGPAARLDPQLVVAARAAVAVALRLPS* >Brasy1G050500.1.p pacid=40052447 transcript=Brasy1G050500.1 locus=Brasy1G050500 ID=Brasy1G050500.1.v1.1 annot-version=v1.1 MASLVESTTAAASCFPSVFASSSSSGSWETLAASSWETATGACSSAAASMDALATCSTEVGSAVGSSSLFPEDVGCSCASAASSTGSGTGPAGIARLSSSSLVAGAAAPVTGFSSSLAAAVETTGTAATSSPSVAGAGGAAATVMLWPSLAATATVSSSVATGEGAATVVAVPPCSLVTGASTTTAAVISSSLLAMAAGGMVAVVTTSSTAAVPTGALSTTSFTDGADDKGTMTSSSSCLAGGGADTEASAGGGTKAATSVAEEAATGSGSGTKAAALPASSFSSSAAGEPPSATLSVSVSAATGASATTPPSTSSSSPAISSTSFSSSSSSDAAAAHASASASSSFFTPATSSPFASATTAAATSAPPFSASPAFGPPTTFAAITAAFSGAGALDTTALWLFLTRSSPPSRAPSALVATTLDLRRKSLRLLHLPLPSLLSTASLEVPQPMAGRPAIEAGAGCTAGSPDDGDPCWGFDLRPGEKPEGPFLLAEWIHLGLEDQGMVDRGLVGQVGEALVGGVQLHRVGLALALGDLGLVALALVHGGLGSVVSSGPASTSSAAAACSKIAAALSSDSQARAARHILSDSMPAVSTTINKVLTGYS* >Brasy1G446300.1.p pacid=40052448 transcript=Brasy1G446300.1 locus=Brasy1G446300 ID=Brasy1G446300.1.v1.1 annot-version=v1.1 MASAYDREDGTAPPSHGGGAADAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSERLFRDTPWPSAEAVAPYCDGDHVFLLLYRELWYRHAYARLSPLTASHRSESWNNYCDLFTVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTDDELQQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTALKCLAPINLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRFDDEAYSSYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSAITISKLAQYMEMDEATLRSILVTYKHKMHAVDSNGKIVSSADFDFYINEDIIHVVESKPTKRHGDYFLRQILKFEETIAELEKVQLD* >Brasy1G552200.1.p pacid=40052449 transcript=Brasy1G552200.1 locus=Brasy1G552200 ID=Brasy1G552200.1.v1.1 annot-version=v1.1 MTSSTAFLHSVILQALVISCALSALLTKTEAADIDVKAVCPSFSCGHLQDIQHPFRLQGKWAAFVSCSQMIRENDMYRPVVCQSTNTLLFVLNTMPLWVQNVQPSCGYLAMTPLGRWHVTATTDASYDYEDIVKFMRTGFAVNFPLRLEDRAWTYSGIINRCVNDSVSNFHEQMSSSSIQNQTLAIVGVDMKFLICVNDCSYRSKLFWASLVVVSAISIVKFIIGMKESPNPNYYGYIIVYI* >Brasy1G450300.1.p pacid=40052450 transcript=Brasy1G450300.1 locus=Brasy1G450300 ID=Brasy1G450300.1.v1.1 annot-version=v1.1 MAECSLATVRGQYRARWIHSNGRLSQRNVSARLSKRNYIHKTHMPCQSKFNSAKEWKSGAFTSFGSHGRDHRLKTEVKCYFFQSLIGSGSMVSPNLMLLSDEVLLVISIVFAYLAGVAPSRRTITQTRSPSANERLTAPNSSDSGRNAKTLLNKNTEFHPNDTWNEVRAKLSSALEANCQDGSFDSKEDVLKNDRKNYPLSMLAIHGGPRLRLLLMTFQLLEMEARNISESSKLLDGIGWSQMSILLIDCLIQPAFMKWIEEEQTLENGKIDEKLMLVISRKIKEDDGILKRFNRLGKAELYLDLLFFLRFGSARSDSYFDAKFLAENGARILEDLVIFLADVIASIYLEIMSVDGDMPTEVVGSSLALCSLSTRELQKQRNEVAINGWLHQYFESVVSMYEDRFELYVLRRRARENPVDNQDEKTNWWRPAFRKTSTSTPLDYVCISPFSLPVRRTKELRALAGWRYYYSLVLELSDIAMPFARAVFSRVSAGVSYFWVSMIGRSLGLIFSGIRQSLGWR* >Brasy1G029100.1.p pacid=40052451 transcript=Brasy1G029100.1 locus=Brasy1G029100 ID=Brasy1G029100.1.v1.1 annot-version=v1.1 MQPKPDQSSLFVPRRTPRTARQRAAMDAKISRFFDAVGAFLSGGDNVPWCDSNIIAGCERDVAEAATEEQRKYAIMRLSWALVHSRSQADVNRGIGMLQASLGAGSSSSPLQTREKLYLMAVGHYRNGDYPRSRQLLLHCLEIQPDWRQALALKKAVEDKIGKDGMIGIGIATTAVGLLIGISAAVARKS* >Brasy1G289200.1.p pacid=40052452 transcript=Brasy1G289200.1 locus=Brasy1G289200 ID=Brasy1G289200.1.v1.1 annot-version=v1.1 MPICHDAAEPRGPEMASVAQARRLTPKRRRWICESVIILTMSCAKKAKSDVFCIIGQMFCISVMLGSVSCKNHTRDCNVPYNGLVFMFV* >Brasy1G167500.1.p pacid=40052453 transcript=Brasy1G167500.1 locus=Brasy1G167500 ID=Brasy1G167500.1.v1.1 annot-version=v1.1 MEDPHACGAAAAEKVRVNGAPAEASLSTGAGGGPELRWRCAGVATAERSLSLEADVLGAEANGKEVVVVRAFVADAAATSCAAVGKRRRETRRRRDYVFEMAAGEGAAAAWGDTLRGCLDSFGRPKRLFAFVNPFGGKKRANKIYAAEIKPLFEAAGVQITTQETKHRGHAREVASSLDLGRYDGIVCVSGDGVLVEVANGILQRTDWEEAVKMPIGIVPAGTGNGMAKSLLHAASETYSVSEAVFAIIRGHKQSLDVCAIVQGQERIFSVLSVTWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRRYCGSIHFVPALGYEAYGEPVTQVENPIVERIEQNGESPGSSYQGPPAEFRGSEWRSIDGPFVAVWINNVPWAAESAMAAPEAKFSDGYMDVVIIKDCPKPDLLALLLKMSDGSYVKSTYVTYLKVKSFRLSPGQLVEDPRRGGIIDVDGEVIARGEGTYDRNQHEDLMAYGPSIQLTVHQALATIFSPK* >Brasy1G311400.1.p pacid=40052454 transcript=Brasy1G311400.1 locus=Brasy1G311400 ID=Brasy1G311400.1.v1.1 annot-version=v1.1 MVEAVGSFQRRNAGDVATGMSSNLEDVHMEEALIFSETIKDLKTLRSQLYSAAEYFELAYTQENGKHAAMSNIKEYAVKALVNTVDHLGSISFKVSSLVDQRLDEVAEANLRVSCIQQRTQMSQECMNREGLSQQSLVITAPKYHKRYILPAGDDSIPNAVPNFSEMNKVKNRAAQMHQAFGGAAGAQPKTKEKQPSFRKLRSFAHAPSQRARSSSPAQHPHFVPPSEAAIPIKRDKRSDSSISSTTPLTRSGSLSKKPSLLKTSSVRVQTHITSDPKRLAPLRPNADRYNDDSKEGDQTPKKSKKFLKSLLSRRKSRKEEPMPCYFDDY* >Brasy1G311400.2.p pacid=40052455 transcript=Brasy1G311400.2 locus=Brasy1G311400 ID=Brasy1G311400.2.v1.1 annot-version=v1.1 MVEAVGSFQRRNAGDVATGMSSNLEDVHMEEALIFSETIKDLKTLRSQLYSAAEYFELAYTQENGKHAAMSNIKEYAVKALVNTVDHLGSISFKVSSLVDQRLDEVAEANLRVSCIQQRTQMSQECMNREGLSQQSLVITAPKYHKRYILPGDDSIPNAVPNFSEMNKVKNRAAQMHQAFGGAAGAQPKTKEKQPSFRKLRSFAHAPSQRARSSSPAQHPHFVPPSEAAIPIKRDKRSDSSISSTTPLTRSGSLSKKPSLLKTSSVRVQTHITSDPKRLAPLRPNADRYNDDSKEGDQTPKKSKKFLKSLLSRRKSRKEEPMPCYFDDY* >Brasy1G456500.1.p pacid=40052456 transcript=Brasy1G456500.1 locus=Brasy1G456500 ID=Brasy1G456500.1.v1.1 annot-version=v1.1 MATRQAFRRLARRMLSNVPESTVYGGPRPQEAAAARRVTLTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLGHCRAVARGAPRPLLVGDLPFGCYEASSTQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFANVGSVINKALSDYKQEVETRSFPGPSHTPYKITAADVDGFANVLQKMGLSEAANAAATAAENGEKDGKPSENS* >Brasy1G534600.1.p pacid=40052457 transcript=Brasy1G534600.1 locus=Brasy1G534600 ID=Brasy1G534600.1.v1.1 annot-version=v1.1 MNCSVLSWNVRGLNNPAKRGAIFQSIGDFGCNVVCLQETKLAVINRSIVAETLGGRFADNFVFKPADGTRGGILLACTDDFAFCSASVIESANAITVLISDKANGESWFMTGVYGPQEDADKILFIQEIRDLKGLVGNEWLLLGDFNMISRSEDKSNTNINLQMMGRFRALIEDLELIDFPLTGRRFTWCNEREISTLTRIDRVLVSKDWDLKFPQFQLAPASTAVSDHCPLVLSKMERKPFRGFRFELVWLKWPARLGAGGEAAFPY* >Brasy1G230100.1.p pacid=40052458 transcript=Brasy1G230100.1 locus=Brasy1G230100 ID=Brasy1G230100.1.v1.1 annot-version=v1.1 MDTAVSGRWTRVRTLGRGASGAVVSLAADDLSGALFAVKSARAAGAEQLRREGDILSGLSSPHVLPCLGFRAATTAAGECQLFLEFAPGGSVADVAETSGGRLEERAIRAYAADVARGLAYLHGRSLVHGDVKGRNVVVGADGRAKIADFGCARTVDSDRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDDVLAAMHRIGYTDAVPEVPGWLSAEAKHFLAMCFARDARDRCTAAQLLEHPFLALAGCGVKPDEVAPKWVSPKSTLDAALWESDTEEDEENSESPAERIKALASPCTAFPDWDSDESWIDVLNNERCEASDAVAPADKTGEDECVLGEALETDADFTDAYVEDAGCVCAVGLTALSIELQKEFCLGIFSYLVVFPVNIFAESEITKSLLRQSIPSFTPHIRVLFYLLE* >Brasy1G184300.1.p pacid=40052459 transcript=Brasy1G184300.1 locus=Brasy1G184300 ID=Brasy1G184300.1.v1.1 annot-version=v1.1 MLLELEGITASGFVPLFGGLLQAHGKFPGETRMSFSCKNKRGTRFTPMFQWPDKSVSLGVTQALAWKRSGLMVRPSVQVSVCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQVGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI* >Brasy1G346400.1.p pacid=40052460 transcript=Brasy1G346400.1 locus=Brasy1G346400 ID=Brasy1G346400.1.v1.1 annot-version=v1.1 MDSSSEAPLLLTRHKENDEARGGKRGWWKEATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.2.p pacid=40052461 transcript=Brasy1G346400.2 locus=Brasy1G346400 ID=Brasy1G346400.2.v1.1 annot-version=v1.1 MDSSSEAPLLLTRHKENDEARGGKRGWWKEATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.4.p pacid=40052462 transcript=Brasy1G346400.4 locus=Brasy1G346400 ID=Brasy1G346400.4.v1.1 annot-version=v1.1 MVEGSDGGGRPAGGAGSADDRGGAAAADDAAHLDRHGGAPRRGRARWRRHRQLAHQCLRLQCPRLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.7.p pacid=40052463 transcript=Brasy1G346400.7 locus=Brasy1G346400 ID=Brasy1G346400.7.v1.1 annot-version=v1.1 MVEGSDGGGRPAGGAGSADDRGGAAAADDAAHLDRHGGAPRRGRARWRRHRQLAHQCLRLQCPRLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.3.p pacid=40052464 transcript=Brasy1G346400.3 locus=Brasy1G346400 ID=Brasy1G346400.3.v1.1 annot-version=v1.1 MDSSSEAPLLLTRHKENDEARGGKRGWWKEATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.6.p pacid=40052465 transcript=Brasy1G346400.6 locus=Brasy1G346400 ID=Brasy1G346400.6.v1.1 annot-version=v1.1 MDSSSEAPLLLTRHKENDEARGGKRGWWKEATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.5.p pacid=40052466 transcript=Brasy1G346400.5 locus=Brasy1G346400 ID=Brasy1G346400.5.v1.1 annot-version=v1.1 MVEGSDGGGRPAGGAGSADDRGGAAAADDAAHLDRHGGAPRRGRARWRRHRQLAHQCLRLQCPRLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G346400.8.p pacid=40052467 transcript=Brasy1G346400.8 locus=Brasy1G346400 ID=Brasy1G346400.8.v1.1 annot-version=v1.1 MVEGSDGGGRPAGGAGSADDRGGAAAADDAAHLDRHGGAPRRGRARWRRHRQLAHQCLRLQCPRLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICVRVSNELGAGNPEGARMVVSVALSIIICSAVLVSTTLLALRHFIGIAFSKEEEVINYVTRMVPVLSVSVITDSFQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPTALFFGFTMNLRGMGFWIGMIAGGATQVTLLSVITATTNWNKMADKAKERVFEERLPTQAAL* >Brasy1G044200.1.p pacid=40052468 transcript=Brasy1G044200.1 locus=Brasy1G044200 ID=Brasy1G044200.1.v1.1 annot-version=v1.1 MGGRNRRWHNSKSHYDGPRRPPPTPPPPGHSDGNNQCPVPLWEREFCSHVGGISWKRFCENKQFCSIYKDIEQWDDSAAFENFQNAKSRFCAHYHGQPSDIPLPDPDMYIDRVDHSCEVDPELVAELDKVRLPFEADNVYAPATGWGNTEANNKCAPNQSGNWDIYLEKLSESNKWEEDSSRPNTGWGEKHDPLNKWSKDSSSWGDAPVKPLNQWSKNSSGWGAALVNPSWGSSSNNYCPPNNWNSGHANNDRWSTYGRKRNNGGGCSQQRNSKQRNQDEGNQQRGRWQDRRGGNGERFPFDSRPNGQRW* >Brasy1G271300.1.p pacid=40052469 transcript=Brasy1G271300.1 locus=Brasy1G271300 ID=Brasy1G271300.1.v1.1 annot-version=v1.1 MSNHHIAGDHHSSPANTALGTLLLLPSELLHEILIRLALPELLRFRSVARPLSHLISSPDFRRFYHLASVSSGPAPAAAWLLVFKKLPPRGAALRGFHGPSGRWFRIAVSDIISPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAQSARRLPPSPLGPRGTSSWRRFGIKLVADPPGSNRFRFLFAELVNNTPFLFEYTSGTDTWQSSEAVLADGPTAPAGPDATYLCAAHAGPDCVMVYSAPGVDRAVFFRPRFPQNPNGAAGHGAERLHVYGDGSAVVVRSTVVDEPSRTRVKVVAGVDLYGFGPEAAAGGDWQLVSTVPGELVEGFRKPYAVMTGLLAEREGVIRLVLISNCRGAWDVVWLSYDRGRGEWRWVPVPDWGGTKGLNMAGIAVSSTFSRLWPPAPTICR* >Brasy1G247400.1.p pacid=40052470 transcript=Brasy1G247400.1 locus=Brasy1G247400 ID=Brasy1G247400.1.v1.1 annot-version=v1.1 MDISGWATDAVFPFRNYICWVDYYVGGILFFDVFAQSPEVSYLELPSSARHQYSYDFKRNVEMYRSVCVTGDGEFLKFVRVDREDGEFGGPVTSSTGFTITSHVLKADRLHFLFSETMDDDIDRVSVVTIDMNTETLVSIDPYIKGGDEDDTLEEKYRLRKSFLPSKFPLATSRHTPSFLFLLSLSPVTTAAAVTLARGVDPAGEARECRGGSGESRSAEGCSSRRRWEDDALLPASESAPSRAHFLFSDLEKGGADLEKTHTYDGRVLGGVMGDRTLCFQPIS* >Brasy1G027600.1.p pacid=40052471 transcript=Brasy1G027600.1 locus=Brasy1G027600 ID=Brasy1G027600.1.v1.1 annot-version=v1.1 MTKLFTHRMLGLHRAVKDRLLAGLLRRHPSPSPAAAMAAAPIAVAETAVVDADALLLTGKAPSAAALFPPFFLVAVEAGSFARGLLLLALYPFLRLVKLEACARAMAMVAFCGLRRDEAARIGRAVLPRYFSRSTSIPSLPAPGKMTAAVSSSFPTVMVEPFFKEYLGFDAVVGREVRKAGQYYYSGFMEEDDAAEKEARFMAATKGAAEKSKPENNNRGSQQCYPKPMVFHDGRLAFTPTPARALAMYAYLPFAVILAAVRMAIYTLLPRRLINPAAALAGVRVIVTGSGNTSTTTNGGRLYACNHRTLLDAIAIASALNKPVSAVTYSLSRVSELLSPIPLLRLTRRREEDRARMSSLLARGDDVVVCPEGTTCREPFLLRFSPLFAELADEVSPVAVDERSAVFYGTSTSPGAKCLDSVYFLMNPRPEYSVRFLEPVRTGGGKSSIEVANMVQGAIADTLGFQPTAMTRKDKYLLLAGNEGVVPTKA* >Brasy1G226100.1.p pacid=40052472 transcript=Brasy1G226100.1 locus=Brasy1G226100 ID=Brasy1G226100.1.v1.1 annot-version=v1.1 MRTTRCRRRRTASAHKSTHNQPASVLFLLLDRPPHCPAQHNRKAAPEPTPTPMGLLARAAGLQLAVVAVALWCRASCASAEGGAGVLRWAKRPQFAAWMAGVRRAIHERPELAFEERETSALVRRELDAMGVRYEHPVAGTGVVAAVGTGRPPFVALRADMDALPLQEEVEWEHRSKVAGKMHACGHDAHTAMLLGAARILHEHRHDLQGTVILLFQPGEEIGIGARKMVEAGAVDKVEAIFGFHVTVMLPTGVVGSRAGPLLAGCGFFEAVITGKGGHAAIPQSSVDPVLAASSVVLALQSLVSREADPLDSQVVTVTRFRGGGALNVIPDSVTIGGTFRCFSNEGFARLKRRIEEVIVAQAAVHRCAAGVDFHAGGRPLLAPTINSAALHAHFVAVGAGTVGAGGVRGGMEPCMGSEDFAAFSEAVHGESHFYFVGIRNESAGSVHDAHSPHFRVDEGALPYGAAMHATLAMAYLQQRPRGDSHDEL* >Brasy1G278300.1.p pacid=40052473 transcript=Brasy1G278300.1 locus=Brasy1G278300 ID=Brasy1G278300.1.v1.1 annot-version=v1.1 METPPPWRVLEFYSGIGGMRYSLTASGVQAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G278300.6.p pacid=40052474 transcript=Brasy1G278300.6 locus=Brasy1G278300 ID=Brasy1G278300.6.v1.1 annot-version=v1.1 METPPPWRVLEFYSGIGGMRYSLTASGVQAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G278300.2.p pacid=40052475 transcript=Brasy1G278300.2 locus=Brasy1G278300 ID=Brasy1G278300.2.v1.1 annot-version=v1.1 METPPPWRVLEFYSGIGGMRYSLTASGVQAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G278300.3.p pacid=40052476 transcript=Brasy1G278300.3 locus=Brasy1G278300 ID=Brasy1G278300.3.v1.1 annot-version=v1.1 METPPPWRVLEFYSGIGGMRYSLTASGVQAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G278300.4.p pacid=40052477 transcript=Brasy1G278300.4 locus=Brasy1G278300 ID=Brasy1G278300.4.v1.1 annot-version=v1.1 MHGFFLLHVNHTHDKKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G278300.5.p pacid=40052478 transcript=Brasy1G278300.5 locus=Brasy1G278300 ID=Brasy1G278300.5.v1.1 annot-version=v1.1 MHGFFLLHVNHTHDKKHSADARAFSFIKILNLMSNMRFPPQLLFVENVVGFEVSDTHDQLLEVLSILNFNTQEFILSPLQFGVPYSRPRYFCLAKQESMCFQNASVNNKLLRTPTCLTFNSTTQNSYDQNEDELEVACRSIKDFLETQSINIGDQDCSGTISVCNLKEVDGCTPSETVSQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSKNLKPIPKENLEISSLNELSLRFFTPREVANLHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVAPLLHYLFSEK* >Brasy1G538500.1.p pacid=40052479 transcript=Brasy1G538500.1 locus=Brasy1G538500 ID=Brasy1G538500.1.v1.1 annot-version=v1.1 MVKKRLKKLHAKDALEFFNHVMVEQPLLPFLIPLGLFAWFIERWVVPFSNWVPLAAAVWATIQYGRFKRKIAIEDLNKRWKHLILNTAPTTPIEPCEWLNKLLIEVWPNYMEPKLSRKFQSTVERRLKNRRPKLIDKIELQEFSLGSCPPTLGSQGMRWMTSGDQQVMTLGFDWDSHEMSVMFLAKLANPLIGTARIVVNSIHIKGDLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPPVDLKKQAVGGVLSVTVVSASNLRRKGTTNELGKRQSSSGSNACLIFDNKVAHAFIEVEVGNLMRKTNTCEGPNPTWNSTFNMVLHGETGVVKFNLYELDSGGVKFNYLTSCEIKVKYVLDGSTIFWAIGHNSGVVARHAEHCGKEVGMVVPFEDITGELSVSLVLKEWQFTDGSVTLSNSLSNGFQSSPDRSPKLQSRTGRMLRVKVVEGRALAVNSKSGKCDPYVKLQYGKALYKTKTLSQTVRPVWNDKFEFDELAGGEYLKIKCYNSDTFGDDSIGSARVNLEGLLYGASRDVWVPLEKVDSGEIRLEIEPIQNDQNDSLKRSSSKVEAGWIELVVIEARDLVAADLRGTSDPYVRVQYGNKKQRTKVIYKTLSPCWNQTFEFAETGEPLILHVKDHNAVLPTASIGNCAVEYSMLLPNQPADKWIPLQGVRSGEIHVKIARRVTDPKRKDSLQTAASALGKGHKISAQMRDSLKKCAGLVDEGGDAEAVSLALTEVEGVQDEQELYIQQLEREKAVLLGTCRPAAASDKGLAAAVQQLAWSLITARRSES* >Brasy1G538500.2.p pacid=40052480 transcript=Brasy1G538500.2 locus=Brasy1G538500 ID=Brasy1G538500.2.v1.1 annot-version=v1.1 MVKKRLKKLHAKDALEFFNHVMVEQPLLPFLIPLGLFAWFIERWVVPFSNWVPLAAAVWATIQYGRFKRKIAIEDLNKRWKHLILNTAPTTPIEPCEWLNKLLIEVWPNYMEPKLSRKFQSTVERRLKNRRPKLIDKIELQEFSLGSCPPTLGSQGMRWMTSGDQQVMTLGFDWDSHEMSVMFLAKLANPLIGTARIVVNSIHIKGDLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPPVDLKKQAVGGVLSVTVVSASNLRRKGTTNELGKRQSSSGSNACLIFDNKVAHAFIEVEVGNLMRKTNTCEGPNPTWNSTFNMVLHGETGVVKFNLYELDSGGVKFNYLTSCEIKVKYVLDGSTIFWAIGHNSGVVARHAEHCGKEVGMVVPFEDITGELSVSLVLKEWQFTDGSVTLSNSLSNGFQSSPDRSPKLQSRTGRMLRVKVVEGRALAVNSKSGKCDPYVKLQYGKALYKTKTLSQTVRPVWNDKFEFDELAGGEYLKIKCYNSDTFGDDSIGSARVNLEGLLYGASRDVWVPLEKVDSGEIRLEIEPIQNDQNDSLKRSSSKVEAGWIELVVIEARDLVAADLRGTSDPYVRVQYGNKKQRTKVIYKTLSPCWNQTFEFAETGEPLILHVKDHNAVLPTASIGNCAVEYSMLLPNQPADKWIPLQGVRSGEIHVKIARRVTDPKRKDSLQTAASALGKGHKISAQMRDSLKKCAGLVDEGGDAEAVSLALTEVEGVQDEQELYIQQLEREKAVLLGKIHELGSEIIRTSSGPARTIF* >Brasy1G343100.1.p pacid=40052481 transcript=Brasy1G343100.1 locus=Brasy1G343100 ID=Brasy1G343100.1.v1.1 annot-version=v1.1 MGSSDRKVDGIGVVEEGRRSCVECRTTTTPMWRGGPTGRRSLCNACGIRYRKKRRQDLGLDQKEPPPQQQQHDGEEAITAEVKDSTSNSNSSSGSSNLQVVQERKLLMGVEEAALLLMTLSSPPPSTLLHG* >Brasy1G539700.1.p pacid=40052482 transcript=Brasy1G539700.1 locus=Brasy1G539700 ID=Brasy1G539700.1.v1.1 annot-version=v1.1 MTKRGSTAAAIVLLMLSLPAALLVADAGGSGMDAHKEDTIRLPSDRVVVEAEGLGETAMAAATRPWDCCDMTLCTKSIPPICRCTDVVDRCAAACESCEPSESDPSGRVCNDWHRGDPGPRCPHHDDADHHHDEEEEEEEEEEEEEEEEEEEEAIPITEPPPTPQRPWDCCDVTVCTKSFPPTCRCLDIVDRCAAACDRCEPAEDDPARRVCKDQFFGDPGPTCKSKHHGGDDGPPPTGGGSPSMTTLAAAGATGLLWAFSTVLLFTQT* >Brasy1G109500.1.p pacid=40052483 transcript=Brasy1G109500.1 locus=Brasy1G109500 ID=Brasy1G109500.1.v1.1 annot-version=v1.1 MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDSLMKIMTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMNKEITALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKCF* >Brasy1G045000.1.p pacid=40052484 transcript=Brasy1G045000.1 locus=Brasy1G045000 ID=Brasy1G045000.1.v1.1 annot-version=v1.1 MTWLCHLTETPFFSPHSLLCLCSSSRRWPEAVAPPPRAIELIAGRDLLPSLRPLVPPSSRPRRRRRAHPPWPPLSPPPPRPAFGARGAHRPQPPPSVRPESRCRPQGISGSSNPHLELGYGALRASRGAAGLSLRCAWTRATHRCAR* >Brasy1G150700.1.p pacid=40052485 transcript=Brasy1G150700.1 locus=Brasy1G150700 ID=Brasy1G150700.1.v1.1 annot-version=v1.1 MTQARCWPRRSLLGACSFPRLPPSLSGSSYLRRPTAAPSSLRSTNNPSPLATISTANPSSSLFLSGGLGRSTEAATLFLGGPPYRRSCTSSAAHSSAGLPWNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.2.p pacid=40052486 transcript=Brasy1G150700.2 locus=Brasy1G150700 ID=Brasy1G150700.2.v1.1 annot-version=v1.1 MPRRSLLGACSFPRLPPSLSGSSYLRRPTAAPSSLRSTNNPSPLATISTANPSSSLFLSGGLGRSTEAATLFLGGPPYRRSCTSSAAHSSAGLPWNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.3.p pacid=40052487 transcript=Brasy1G150700.3 locus=Brasy1G150700 ID=Brasy1G150700.3.v1.1 annot-version=v1.1 MTQARCWPRRSLLGACSFPRLPPSLSGSSYLRRPTAAPSSLRSTNNPSPLATISTANPSSSLFLSGGLGRSTEAATLFLGGPPYRRSCTSSAAHSSAGLPWNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.4.p pacid=40052488 transcript=Brasy1G150700.4 locus=Brasy1G150700 ID=Brasy1G150700.4.v1.1 annot-version=v1.1 MPRRSLLGACSFPRLPPSLSGSSYLRRPTAAPSSLRSTNNPSPLATISTANPSSSLFLSGGLGRSTEAATLFLGGPPYRRSCTSSAAHSSAGLPWNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.5.p pacid=40052489 transcript=Brasy1G150700.5 locus=Brasy1G150700 ID=Brasy1G150700.5.v1.1 annot-version=v1.1 MNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.6.p pacid=40052490 transcript=Brasy1G150700.6 locus=Brasy1G150700 ID=Brasy1G150700.6.v1.1 annot-version=v1.1 MNLDLDAAAIAASKERSVFWQSIPPDLSMARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.7.p pacid=40052491 transcript=Brasy1G150700.7 locus=Brasy1G150700 ID=Brasy1G150700.7.v1.1 annot-version=v1.1 MARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLMLLGLDITSHWFQMYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G150700.8.p pacid=40052492 transcript=Brasy1G150700.8 locus=Brasy1G150700 ID=Brasy1G150700.8.v1.1 annot-version=v1.1 MARPSAGKTPSVYLYIPNIIGYFRIIINLIAFGVCYSNRPLFAVLYFFSFLCDGLDGWFARKLNQASTFGAVLDMVTDRVSTACLLALLSQFYSSFLSGKTSHKDVEDNGNWLLKLYYRYRPFMAFCCVASEVLYIVLFLFADEKSKSLLYVCRGIMKQSPLIVLVFISSLVGWALKQVINVIQMKTAADACVLFDPK* >Brasy1G037400.1.p pacid=40052493 transcript=Brasy1G037400.1 locus=Brasy1G037400 ID=Brasy1G037400.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSRIFTGITTSKDYDKTDEAGGGGLERLEMARIKIEAALETSNKWQITDTPLLHWRKKLKRAAQDCEDAARRCRQCSQEEDERKQIVRQSSFPRRIAHTTKTFISSFVGRKNDHCSDNNADVRRFERFADGATEFMRFVQLGGTPRHQLFFDPLIGHIFAGKSIRYIVLHPGGQYHFFTIHPMASEERGLEAMLSFIYEDCKVPENSFALAFMMRISESTNIIGTTIKCLQLVTPHFKSTADVVIKEIAQLPTQDFSCLPPEVASVNTEHYWNEMNTTFARTCRSDPLCCQGYEHNIVSSCRGGESSNGNKLGLSSIFPEPVSGVFLQCHISLSEYSNLQRSATRYNLSSVENCRPLMLGILFMPHDSLEEPKSTNKGSVIEAVDGEKKHFTHANVHPDQLEEMFLPKAVDYLYHNVKATMYEISWRSNHGSAHLRVDMRNTALPGAPTASTIQVKARVARVPTDHALLPRARALFPAAACPPPRPLPRALLPRRRALLPAAAAAPSSPAPSPAPSSPAPSPSAGHLLPSLPPQLPPHRARLLPPPPSPPATSSPPSHPKLPFLSFLSLAQNSPLSSTPSSWTCCPASTPPPPAIEDVFATTMEEHRPFDRRSTGCLEGLFNFLALNQKLQMPKMIAYRKHGEGNSNTLSVKVPKPKNGIEKEETAPKEANSISPTAKGSMFMWKTLLSILALLHTARSPHVTVMLLALPVIDVCDDELAPRVMTVFMTHYQIGTAKPRDHRSFHGADHVGGA* >Brasy1G438400.1.p pacid=40052494 transcript=Brasy1G438400.1 locus=Brasy1G438400 ID=Brasy1G438400.1.v1.1 annot-version=v1.1 MAAARSAPKDSRILKHPALSPSLSRSPAPPASAQWLVVADRSRGGEWGDVAGAQPRGRGSVRALSGYREAVFFFLRLGPAVASARELTPTSPTPTATRLRLSRWPRALSLPATAAATRAACLDGDGGAAVGEVLLLLGGEAVNHRGRQPASLKQIDRAPPRPRGKRRARPATSTDASPNVRIEPHPSPHGAPRPDRATGLDGERSWRRREAKLQRRETGKEGRGLTQSEESSLDVEGYCHHNETFPCSPSMQPIASGCVHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPVLPGKLPKGQQANSLLDLMTVRAFHSKILRRFSLGTAVGFRIKKGVLTDIPAIIVFVARKVHKKWLNPNQCLPAILAGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVNKLCGSDEYIGSGSQVASQDTFGTLGAIVKRRTNNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTIVREVGEIGDVKVIDLQSPINSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDLLVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRIKLTSDHGPENWTTGVDLGRLLDRLELDLIITNESLKDAVQQQRNALVAAVISAVGESSTVAATAPEEKAEEVFEPLGIKIQQLPRHDVTISATEGEDTANASASVEEHQFMSNFGSMSPARRDQDAPRNIGNLNNPSEEELTMSLHVGDREPKRLRSDAESNLDLEKRPRLDPESSLDLEKGPRSDPEASLDLEKRPPPDPEPSLDLEKQPRSDPEASLDLEKRPGSDPEASLDLEK* >Brasy1G438400.2.p pacid=40052495 transcript=Brasy1G438400.2 locus=Brasy1G438400 ID=Brasy1G438400.2.v1.1 annot-version=v1.1 MKPSDDRMQLSGLTQSEESSLDVEGYCHHNETFPCSPSMQPIASGCVHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPVLPGKLPKGQQANSLLDLMTVRAFHSKILRRFSLGTAVGFRIKKGVLTDIPAIIVFVARKVHKKWLNPNQCLPAILAGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVNKLCGSDEYIGSGSQVASQDTFGTLGAIVKRRTNNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTIVREVGEIGDVKVIDLQSPINSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDLLVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRIKLTSDHGPENWTTGVDLGRLLDRLELDLIITNESLKDAVQQQRNALVAAVISAVGESSTVAATAPEEKAEEVFEPLGIKIQQLPRHDVTISATEGEDTANASASVEEHQFMSNFGSMSPARRDQDAPRNIGNLNNPSEEELTMSLHVGDREPKRLRSDAESNLDLEKRPRLDPESSLDLEKGPRSDPEASLDLEKRPPPDPEPSLDLEKQPRSDPEASLDLEKRPGSDPEASLDLEK* >Brasy1G138600.1.p pacid=40052496 transcript=Brasy1G138600.1 locus=Brasy1G138600 ID=Brasy1G138600.1.v1.1 annot-version=v1.1 MAHPLLLLLLLLASTTISSSKRIQPKFSAIFYFGDSVLDTGNNNHIPTLAVGNHFPYGRDFPGSKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLKTRLSNDDIMTGVNFASAGSGFDERTSRLSNTLPLSTQVDLFKDYLLRLRNIVGDKEATRIIANSLIFISSGTNDFTRYYRSSKRKMDIGEYQDTVLQMAHANIKELYNLGGRKFSLAGLPPFGCTPIQITLSGDPERTCVDEQNSDARVYNSKLEKLLPTLQGSLYGSKIVYLDAYEALMEILGNPVKYGFTETTRGCCGTGLTEVGILCNAFTPTCENASSYVFYDAVHPTERVYRIATDYILKNVIPRF* >Brasy1G320300.1.p pacid=40052497 transcript=Brasy1G320300.1 locus=Brasy1G320300 ID=Brasy1G320300.1.v1.1 annot-version=v1.1 MTTNGNPAAQAAAQAQQQQAVQLQQQQIEQQAIAAAQAQAQALVASQEVAKAAAAAGVNIDAAELVTDFNKFINKEQPTQDTLPMRPTQF* >Brasy1G063800.1.p pacid=40052498 transcript=Brasy1G063800.1 locus=Brasy1G063800 ID=Brasy1G063800.1.v1.1 annot-version=v1.1 MEETAAGGDGERHTRLIRDLCALLAAIISPTSAPGPRVGHGGMSPAAAAAMLLGSSMALMLCGSVTFAIGFLLMPWVAGVALLFGFAGAVSTLSSGLLPSSSSSKAPPRGRIRSAAPEKLVAWR* >Brasy1G306200.1.p pacid=40052499 transcript=Brasy1G306200.1 locus=Brasy1G306200 ID=Brasy1G306200.1.v1.1 annot-version=v1.1 MMTTATRRWWKRRDGSDDADDLVPMDTQEQEELVRSLEQKQAHESRRWRRVFAGFLLGYAAFLVYSSFHHVWSPWELRYHAYFMEDMPAPMVIIADWVAALACLFAVKGLVLSSSSSRKWMWYSFYVGMAVAVFWTYYLLKLPRIRWDAVWLPFGPLIASTLSLYIDHTLLKSMQDISTLRSYMYNFKSL* >Brasy1G155800.1.p pacid=40052500 transcript=Brasy1G155800.1 locus=Brasy1G155800 ID=Brasy1G155800.1.v1.1 annot-version=v1.1 MRTTVARPAPSATQAPPWEALPLVAGFLDDAASLAAASCVSTSWHAVFAADHLWARLCRSHYPSAIALLQPDNGTGIINAGDPRSRSSPHRRLFALFHSASASRRRSLPAPRLALADVTFAIDLFAASGDSILSFAVAACDATANKGVFQFGVDVSARIAVAGPGEQHWSVRWTAVRTGLAPALPAAAIVMMEAKVPSSRAGELGGGEKGEAWASEKLPAPGCGGARMEAEVVVEVSGEERRVETVRFGVLLECRYVSVDEGLRYLQHFLL* >Brasy1G077800.1.p pacid=40052501 transcript=Brasy1G077800.1 locus=Brasy1G077800 ID=Brasy1G077800.1.v1.1 annot-version=v1.1 MDASAGSPPPPHSQQKHGGGARPGKALAGEIRAGEDDFLFADDTFPSLPDFPCLSSPSNSTFSSTSSSNSSSAFTAAATRGSEHSAAAAEDGIDALADLDQLLDFASLSVPSAWEDEPLFPDDVGMMLEDADALSDDHKPPARHAADVGCRAAGKAAAAAGTGGGGEGFMASGGGAAEDLPRFFMEWLTNNRDCISAEDLRSIRLKRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRLRVDATMTMEMDASPAGPHGSHHHQQQQLPSPGANPGSYEFPAAAPEGASWTMPYQQAAFTPPSAYGGETQTAIYPNTTSTTATTGPYPFQQSCSTSSVVVSSQPFSPPPPATDMQTAPVGGSAGSGSNSVPLVWPQQYAFPGGVPSGNSYPMPQPFAPGFAMPMCPQRAAGGTEPSATKEARKRRMARQRRLSSSLQHQRSQQLNLGQIQIVPQPQEHSAPVTLTPPPSGAWGLWASPPGCHQQVPVQAQAQPAQVQNPPSKPSSSSKTRQQKPSAPDAGAKLDGSSPQRLLPPATSDQKRQGANKPAADKNLRFLLQKVLKQSDVGSLGRIVLPKEAETHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDVKGRYLIRGVKVRPVQDQAGKHKYGIPGNKGGASDVKTGPEDRGCKDKSPHGAQRSRQEATGSNMMAVRI* >Brasy1G087100.1.p pacid=40052502 transcript=Brasy1G087100.1 locus=Brasy1G087100 ID=Brasy1G087100.1.v1.1 annot-version=v1.1 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVTDTDIGVSVDSIIFPEVPIALRLSSHLMVGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPETAFQGDIDRHVSTKEQITLQDNPERTAYSTSEFGLDERFGDGNSSQISLDLEEELLLTKDHSIQLESDDGIIIQGRSSVYPTDMDVDDDVDNNPSKIHGAEGYVNMDDGPSHSNLNPLNTDVPSWSGYNVQTPDLNMLLHNEDGAGPSASYYQPSLFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPASNDEVAKVDNVAVPPSDFLHSAAVDANDAVSAEPTGEVGLVKPVLVESSDGVHEIDSLRQQCAMPPQIQTSNLEITVEKSVVSTDDIVVSGEMLASKATMEGVPLVENTSQLCADTSTEPCMIENPTQFNEEAFDLQGDNLLASNVLAEQNLEAIPPEMPHVDMASAVFQQNVETIRFDLQGDNLLASNVLAEQNLEAIPPEMTHIDMASAGFQQNIEAIPQEMAYNDRPNALSTSEFPERERMLSAPDIELHQTYDLGQITAEKGITESDGSNKIGSLTSRKRHLEDSSPVPESAITEKLSSKPHGKRSAEAIPEDNDLLASILVGRRTPGLWLDSTPLPSKASSLKRPRLGLKTMTPKRKVQMDDAMVIHADIIRQQLISTEDIRRIRRKAPCTRSEIWMIEKGSLEDDIFHEPIFSCMCKDLNDLQYRTYETVPRLTAHNMELQGQLGMTGTTSSDIHNVDISGAKDTATIGQEFHMVLPDGAQLDEMLPETTDLLDATAAFGMQIPSDKHVNNIEGVTEFLFGDEKELPLVDKTNADTNISAQVNALDKDCLQDAPADLQRSEDPPLFVLDDITHDSATMVTDAPDVVLESSGPACAQAVDNMDGELTGIVQSHIDAFENNKEMPTSEITGLEFTQDASAFPQPTDDENAMSAMGENSGLQENNRGSFMDMDNMGHDFALKECSDFGSAIHGVDTDFLNYDDDVDFDDANDEEPNPDEFQSHDALSGWSSRTRGVARYLKTLFDEESGLGRKNIAIDHLLRGKTRKEASRMFFETLVLSTKDYIHVDQPNPFDFVSVKPGPKLLKSDF* >Brasy1G087100.2.p pacid=40052503 transcript=Brasy1G087100.2 locus=Brasy1G087100 ID=Brasy1G087100.2.v1.1 annot-version=v1.1 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVTDTDIGVSVDSIIFPEVPIALRLSSHLMVGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPETAFQGDIDRHVSTKEQITLQDNPERTAYSTSEFGLDERFGDGNSSQISLDLEEELLLTKDHSIQLESDDGIIIQGRSSVYPTDMDVDDDVDNNPSKIHGAEGYVNMDDGPSHSNLNPLNTDVPSWSGYNVQTPDLNMLLHNEDGAGPSASYYQPSLFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPASNDEVAKVDNVAVPPSDFLHSAAVDANDAVSAEPTGEVGLVKPVLVESSDGVHEIDSLRQQCAMPPQIQTSNLEITVEKSVVSTDDIVVSGEMLASKATMEGVPLVENTSQLCADTSTEPCMIENPTQFNEEAFDLQGDNLLASNVLAEQNLEAIPPEMPHVDMASAVFQQNVETIRFDLQGFQQNIEAIPQEMAYNDRPNALSTSEFPERERMLSAPDIELHQTYDLGQITAEKGITESDGSNKIGSLTSRKRHLEDSSPVPESAITEKLSSKPHGKRSAEAIPEDNDLLASILVGRRTPGLWLDSTPLPSKASSLKRPRLGLKTMTPKRKVQMDDAMVIHADIIRQQLISTEDIRRIRRKAPCTRSEIWMIEKGSLEDDIFHEPIFSCMCKDLNDLQYRTYETVPRLTAHNMELQGQLGMTGTTSSDIHNVDISGAKDTATIGQEFHMVLPDGAQLDEMLPETTDLLDATAAFGMQIPSDKHVNNIEGVTEFLFGDEKELPLVDKTNADTNISAQVNALDKDCLQDAPADLQRSEDPPLFVLDDITHDSATMVTDAPDVVLESSGPACAQAVDNMDGELTGIVQSHIDAFENNKEMPTSEITGLEFTQDASAFPQPTDDENAMSAMGENSGLQENNRGSFMDMDNMGHDFALKECSDFGSAIHGVDTDFLNYDDDVDFDDANDEEPNPDEFQSHDALSGWSSRTRGVARYLKTLFDEESGLGRKNIAIDHLLRGKTRKEASRMFFETLVLSTKDYIHVDQPNPFDFVSVKPGPKLLKSDF* >Brasy1G057100.1.p pacid=40052504 transcript=Brasy1G057100.1 locus=Brasy1G057100 ID=Brasy1G057100.1.v1.1 annot-version=v1.1 MAPQDRRQHAESPFTVHAESRLLKFKARKTQKPAPWARDSLLVRIGCSVRVSSESRHLTGSPVRSVPRQRYEYAPDSDEEVLIVELHAEDLRSEPACHAAFRRRLRRLPQLAGFRGAEAALWDAFVPPGVVGEILLAAAAAVSAAAAGGEEGGDLRSVGYCCDVWMHVEAATVYSEAKALLMSCADGVVVGGEEQCPICLEEMTADDGDGDATSLPGCSHAFHRACILEWLHTAPTCPCCRGEMMQYLPHQYCQGDEA* >Brasy1G024800.1.p pacid=40052505 transcript=Brasy1G024800.1 locus=Brasy1G024800 ID=Brasy1G024800.1.v1.1 annot-version=v1.1 MAAPPPKPMLQLILVFVVFIASASATDMPMRVNEEVLGLVVFRSALTDPSGALATWSESDATPCGWAHVECDPATSRVLRLSLDALFLSSNSGSGGIPRGLDRLPALQSLSLANNNFSGTLSPGLSLLTSLRSLDLSRNAFSGTLPEDFPFFPSLRYLDLTGNSFSGSLPSSFPSTLRFLMLSGNSFSGPVPLGLSNSALLLHLNVSGNQLSGTPDFPNALWPLTRLRALDLSHNRLSGPVAAGIASLHNLKTVDLSGNRFSGAVPADIGLCPHLSRIDVSKNSFDGALPGSIGALSSLVFFSASGNRLSASVPSWLGGLTALQHLDLSDNTLTGTLPESLGRLKDLGFLSLSKNKLVGSIPESMSGCTKLAELRLRGNGLSGAIPGALFDLGLETFDASGNALTGALPPGLAETTLQWLDLSGNRLTGGAMGGLFVNLRYMNLSGNPLRAQLPPELGLLRDLTVLDLRGCGLYGPVPAGLCESGSLAVLQLDGNSLSGPIPDSIRKCSALYLLSLGHNGLSGQIPAGIGELKKLEILRLEDNKLSGEIPQQLGGLESLLAVNISHNRLVGRLPASGVFQSLDASAIDGNLGVCSPLVKEPCRMSVPKPLVLDPNQYSHGNNNGDTATDNGGDGDGEAAPRKKRRVLSVSAMVAIGAALVIVLGVVVITLLNVSARRRAGAGLLLPESKEMVSIVSASTRTTKTTGSKPASTGKMVTFGPGTNSLRSEDLVGGADALLSKATELGRGGSSSGRASYRAPVGDGRVVAIKKLLLANSAMDQQPSSTNAAREVFDREARVLGAARHPNLMPLKGYYWTPRMQLLITDFAPHGSLEARLHGNNGVSPPPMTWEERFRVISGTASGLAHLHHSFRPPLVHYNVKPSNILLDSCCNPLVSDFGLARLQPEVNPNDKRGDAMGYAAPEVACGSLRVNEKCDVYGFGVVVLETVTGRRAVEYGDDDVAVLVDQVRSALETTRGGGVLDWVDPAMGGEFPEEEAVPVLKLGIVCTSQVPSNRPAMAEVVQVLHVIRAPLPGMEPV* >Brasy1G423300.1.p pacid=40052506 transcript=Brasy1G423300.1 locus=Brasy1G423300 ID=Brasy1G423300.1.v1.1 annot-version=v1.1 MAGLILQFQHHHVGGCWSSRRRRRGRLRGRGRWRRRGRDLLSARCRGLVCQEPHKPRRQRIKKRFLKKCSCRLEVPVVEEEYDEEEADDTEEYGSFLDFCRFRSPAASSNGLFLRLAPSASEPPWRSGSGSSYMVPDTNRRARGGRGRGRGEEEEEARRACDCARAGGGGEEQRRGGRRSAEQGEGGGEWEWGWDGAAAGGGVRRRAAVKDGRVVCDGSEFSLNWLDLAVVSVRVLARPIDFDLVGCGGLGGGSAVIWGLLGSSTMPSVLSVAGCFLLVAGVNVSVKMKVANYPTGSTAASLPSNFGRGS* >Brasy1G423300.2.p pacid=40052507 transcript=Brasy1G423300.2 locus=Brasy1G423300 ID=Brasy1G423300.2.v1.1 annot-version=v1.1 MAGLILQFQHHHVGGCWSSRRRRRGRLRGRGRWRRRGRDLLSARCRGLVCQEPHKPRRQRIKKRFLKKCSCRLEVPVVEEEYDEEEADDTEEYGSFLDFCRFRSPAASSNGLFLRLAPSASEPPWRSGSGSSYMVPDTNRRARGGRGRGRGEEEEEARRACDCARAGGGGEEQRRGGRRSAEQGEGGGEWEWGWDGAAAGGGVRRRAAVKDGRVVCDGSEFSLNWLDLAVVSVRVLARPIDFDLVGCGGLGGGSAVIWGLLGSSTMPSVLSVAGCFLLVAGVNVSVKMKLFHLKFCRVLNLDALSTF* >Brasy1G423300.3.p pacid=40052508 transcript=Brasy1G423300.3 locus=Brasy1G423300 ID=Brasy1G423300.3.v1.1 annot-version=v1.1 MAGLILQFQHHHVGGCWSSRRRRRGRLRGRGRWRRRGRDLLSARCRGLVCQEPHKPRRQRIKKRFLKKCSCRLEVPVVEEEYDEEEADDTEEYGSFLDFCRFRSPAASSNGLFLRLAPSASEPPWRSGSGSSYMVPDTNRRARGGRGRGRGEEEEEARRACDCARAGGGGEEQRRGGRRSAEQGEGGGEWEWGWDGAAAGGGVRRRAAVKDGRVVCDGSEFSLNWLDLAVVSVRVLARPIDFDLVGCGGLGGGSAVIWGLLGSSTMPSVLSVAGCFLLVAGVNVSVKMKTLRIER* >Brasy1G183200.1.p pacid=40052509 transcript=Brasy1G183200.1 locus=Brasy1G183200 ID=Brasy1G183200.1.v1.1 annot-version=v1.1 MAHSLTGGASPFCRCPSPPCYAAVRAVPIAHRRTRRIVLASASPPPTPPAIEGRGVRFSVTTRRGQVLPVLKDCSLYVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGAVHINKPFSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSESLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIEAVRNSVAAGGEVAALWVTHRLEELKYADGAIYMEDGQTVIQDDVSSISRFIKKKQARYFGHFEL* >Brasy1G262900.1.p pacid=40052510 transcript=Brasy1G262900.1 locus=Brasy1G262900 ID=Brasy1G262900.1.v1.1 annot-version=v1.1 MTDEMPGADGKHRSASSASGFPPSAPPYPPPQHHQYGTFGPSSASGEFPPPAVGFPQPAPPPGFRSYPPPASYEVYPPQQAYSAAAPYYAQGYQTVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFFAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASVAAIAMLLGATKGAEVW* >Brasy1G292000.1.p pacid=40052511 transcript=Brasy1G292000.1 locus=Brasy1G292000 ID=Brasy1G292000.1.v1.1 annot-version=v1.1 MIRVLGVPTNAVHAFLHFLYSSKVSQWARTRRPSRRGSTRSGAVDMLKLVRLHDTSRLYLRCAWLAAKEFSAIERSEGQRTKRHHDAARELELLQDADQQRERWAREKAAQEACRQLGEAMASLD* >Brasy1G235400.1.p pacid=40052512 transcript=Brasy1G235400.1 locus=Brasy1G235400 ID=Brasy1G235400.1.v1.1 annot-version=v1.1 MSGIPYFYLMQKKRIQGKGENNKRISSFSEGLLVLLIVYSAQEKGLFAFFLCRFFFSCLEIRILFLSIRQRLQFLLYSGLSLGPLLLRFRGGSAQTEGKEIWRGTNLL* >Brasy1G147100.1.p pacid=40052513 transcript=Brasy1G147100.1 locus=Brasy1G147100 ID=Brasy1G147100.1.v1.1 annot-version=v1.1 MSSLRNAISRRAHKERAQPEARKKFGLLEKHKDYVVRAKAFHRKEDFIRKLKEKASFKNPDEFYFKMINSRTVDGVHRDKPENKYTEEELLLLKNKDMGYILQGIQSEKKKIERLSSTLHQLDNKRPNKHVYFAEDREEAKEIQSRIEQSSNSTDFGNIPSRIRRKTASSYKELEGRKLRVQKLEKLYADMALQKELKKPGRKRKLREEEMENPTSQPVYKWRAQRKR* >Brasy1G403400.1.p pacid=40052514 transcript=Brasy1G403400.1 locus=Brasy1G403400 ID=Brasy1G403400.1.v1.1 annot-version=v1.1 MALHLPLFVLVLIPLLSTALATHNQISPATTTASRRHHHRSRTNTATAHFHTVPSATSSMHQNYLETEESKSLLAVDSFTAEAQASSGAEAMAAAATGDDRPGLLVPPQASPPPPTLVAAPDLISRAQPQPQEESETNAASTTTTLLPLPNQQTSVATESPPPPLQAGVAAELSRVLTSLGYNEMASVTPLLANSPPLARWPGAITVFAAPDVFLQASCPTCSRRHLLLQHIAMGYYPYSELAASPSTKIPSASAGLCVEIIYQSGPFGIHYARIYADGVKLTRPDQYMDNKYVVHGLQGLLRPRKCVESSLKQHYLARITMKIGTSRHADGRRAKPRSAGLGVASGIALAAAATATFVCLSKKFVKQENLRRKVKSFSRYGRSVGDFDISNPAVCQSCLCDATAWRTTCCGGHCCCSCLVQEYMRTHARQYFRSTSRNDRLCSSEGVILSEGGDVHPLNQQYAFQKIVELEGTVERTFIYLPDLMEKKAPRRLIDKDGSIEYVPPEPMSLPTD* >Brasy1G433600.1.p pacid=40052515 transcript=Brasy1G433600.1 locus=Brasy1G433600 ID=Brasy1G433600.1.v1.1 annot-version=v1.1 MDIDPSSASHADGGAGDLWPFDSLTTSLFFSSVSSSPPPLTTLPLASSSWLAPPSPLWLFDDRQLLPIEVGPAPVSAAAENAAVAAAAAAAAAAEDVQRARSGNSDTASKRVERLNNNKWQIHLSLHDDSTNSSCLFKEKLTHALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYADEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYHRPCFSKDISQFCKVEYPLVHYARMFGLAGCFAVCLQSPYTGDDYYILEFFLPPSCRDEDDQNALLESILGLINQCLRNLKVAGNGVSNEASLQLSNVLIIENEDFKTNGHFENSEGCFRESPEDDTNGVHEFDKGNNKVSEGHLLADDNSQNNGTSVSRPNGSGASDSSLLHKSNKQPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPTISVGPSSDSFNIERANQSKAELSNPAVDADRDSSLQKSLENGSHFGLLMPQQGFVDTSNNVQLEADKVSLSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFLEPLPNPEGFTKEPFQEPELPLSRMLIEDSGSSKDLKNFFTSATDKPMFAPPSNFGPVQNSGTVTIKASFKEDIVRFRFPCSSSVMVLKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSCSHVIRLLVSDIAAHIGSSCGSSG* >Brasy1G433600.4.p pacid=40052516 transcript=Brasy1G433600.4 locus=Brasy1G433600 ID=Brasy1G433600.4.v1.1 annot-version=v1.1 MLHLFCYPGNSDTASKRVERLNNNKWQIHLSLHDDSTNSSCLFKEKLTHALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYADEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYHRPCFSKDISQFCKVEYPLVHYARMFGLAGCFAVCLQSPYTGDDYYILEFFLPPSCRDEDDQNALLESILGLINQCLRNLKVAGNGVSNEASLQLSNVLIIENEDFKTNGHFENSEGCFRESPEDDTNGVHEFDKGNNKVSEGHLLADDNSQNNGTSVSRPNGSGASDSSLLHKSNKQPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPTISVGPSSDSFNIERANQSKAELSNPAVDADRDSSLQKSLENGSHFGLLMPQQGFVDTSNNVQLEADKVSLSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFLEPLPNPEGFTKEPFQEPELPLSRMLIEDSGSSKDLKNFFTSATDKPMFAPPSNFGPVQNSGTVTIKASFKEDIVRFRFPCSSSVMVLKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSCSHVIRLLVSDIAAHIGSSCGSSG* >Brasy1G433600.2.p pacid=40052517 transcript=Brasy1G433600.2 locus=Brasy1G433600 ID=Brasy1G433600.2.v1.1 annot-version=v1.1 MDIDPSSASHADGGAGDLWPFDSLTTSLFFSSVSSSPPPLTTLPLASSSWLAPPSPLWLFDDRQLLPIEVGPAPVSAAAENAAVAAAAAAAAAAEDVQRARSGNSDTASKRVERLNNNKWQIHLSLHDDSTNSSCLFKEKLTHALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYADEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYHRPCFSKDISQFCKVEYPLVHYARMFGLAGCFAVCLQSPYTGDDYYILEFFLPPSCRDEDDQNALLESILGLINQCLRNLKVAGNGVSNEASLQLSNVLIIENEDFKTNGHFENSEGCFRESPEDDTNGVHEFDKGNNKVSEGHLLADDNSQNNGTSVSRPNGSGASDSSLLHKSNKQPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVLFLLFLLVLHQILSI* >Brasy1G433600.3.p pacid=40052518 transcript=Brasy1G433600.3 locus=Brasy1G433600 ID=Brasy1G433600.3.v1.1 annot-version=v1.1 MLHLFCYPGNSDTASKRVERLNNNKWQIHLSLHDDSTNSSCLFKEKLTHALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSIDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYADEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYHRPCFSKDISQFCKVEYPLVHYARMFGLAGCFAVCLQSPYTGDDYYILEFFLPPSCRDEDDQNALLESILGLINQCLRNLKVAGNGVSNEASLQLSNVLIIENEDFKTNGHFENSEGCFRESPEDDTNGVHEFDKGNNKVSEGHLLADDNSQNNGTSVSRPNGSGASDSSLLHKSNKQPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVLFLLFLLVLHQILSI* >Brasy1G118000.1.p pacid=40052519 transcript=Brasy1G118000.1 locus=Brasy1G118000 ID=Brasy1G118000.1.v1.1 annot-version=v1.1 MLLSQSPAATHQQLHASSFSRRTRRSAKPCPRRPGAAPATEPAEALGLPSGLRAESLPRHVAVVMDGNSRWARARGLPSAFGHEAGRRALEETVRLSRAWGIRALTAFAFSHENWSRPKVEVDFLMGLFERVIHDTVAEFLRDGIRLRVIGDCSRLPVSLQKTAREAEEATRNNSQLDLTLAISYSGRRDIVEACRNLAQKVRGELLRPEDIDESLLAEELETSCASEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLQALGSFQSRDRRFGRRNL* >Brasy1G093200.1.p pacid=40052520 transcript=Brasy1G093200.1 locus=Brasy1G093200 ID=Brasy1G093200.1.v1.1 annot-version=v1.1 MEGAGGGAPYPSRTAEEVFRDLRGRRAGMIKALTEEVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFGARFGFDRETRRRLFNMVNNLPTIYEVVTGVAKKQAKEKTPNSSSKSNKPTSKVQSRVEPRSSSKAKVSAPKDDEDSGDEDGDEVEEEHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKHYRCPECTNGNGNSNKRLKP* >Brasy1G093200.2.p pacid=40052521 transcript=Brasy1G093200.2 locus=Brasy1G093200 ID=Brasy1G093200.2.v1.1 annot-version=v1.1 MEGAGGGAPYPSRTAEEVFRDLRGRRAGMIKALTEEVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFGARFGFDRETRRRLFNMVNNLPTIYEVVTGVAKKQAKEKTPNSSSKSNKPTSKVSRVEPRSSSKAKVSAPKDDEDSGDEDGDEVEEEHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKHYRCPECTNGNGNSNKRLKP* >Brasy1G308700.1.p pacid=40052522 transcript=Brasy1G308700.1 locus=Brasy1G308700 ID=Brasy1G308700.1.v1.1 annot-version=v1.1 MGKATGSGSPADKGTFVAQLVLIWLPGHRANKSKQTAKVQLVATTSSSSICTREEKHRFGGFWFRLELKQIPTPFYPSHLIGIYPKTATGSKTSAPNCFLLSSPPLTPTPERWAHRASERRPIPDPAPSGTPPARPCRIRLVLRYLLLLSRSNPHRRPPPRLLCAGSDRAHTNDPLGCPRDRRPTELRRAACAGSASSAGSSSFSAANPPRSPQPRTRKQLWFIPPPTSKQRAAATRCASPLRFPFVFIFIEIFAAMNWYRSYVYNVLIVYLW* >Brasy1G252300.1.p pacid=40052523 transcript=Brasy1G252300.1 locus=Brasy1G252300 ID=Brasy1G252300.1.v1.1 annot-version=v1.1 MVLGNIAILLGSGYLGTVLTSDEGSKVPILGGLLSGAAKFVSQDGKAASSSNDQHTAQLMSQVDRLREDLRRHLGRREVTVVTTRSSGPGALTITAVVAAGVIGYAYIRWKGWKVSDFMWVTKRGLSDACNVVGNQLTEVSDSVHVAKKHLSGRIDRVDASLDEAQEIIEGTRDEVAIIHVDLSTFQRELQEVSRTVQIWGSRLSSIEDTQDRTVRATEALVGFGQQMEHDQDANIRQVLSFLPAPGPSEQTIKRQLSPPPPLAMQTVPSAAKSKPTAEESQEKHGASPEASMRWKLPGLSFLRTSSNV* >Brasy1G202800.1.p pacid=40052524 transcript=Brasy1G202800.1 locus=Brasy1G202800 ID=Brasy1G202800.1.v1.1 annot-version=v1.1 MSPAMSSLCTFAALSGAAGRAPGRRASQQGGNKKRAVAQPLAAGAMTEAPAAAAVVIAPPARPVVTAPRRRGPGRDGGASENVAWKSIRQERWEGALELEGELPLWLDGTYLRNGPGLWDVGDHGFRHLFDGYATLVRVSFRDGQAVGAHRQIESEAYKAAKEHGKVCYREFSEVPKPESFMSFVGQMASLFSGSSLTDNSNTGVVRLGDGRVLCLTETIKGSIVVDPDTLETVSKFEYTDKLGGLIHSAHPVVNDTEFWTLIPDLIRPGYVVARMEAGSNERRFVGRVDCRGGPAPGWVHSFPVTDNYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGNIVASVEVPPFVTFHYINAYEEKDEEGRVTAIIADCCEHNADTSILDNLRLHNLRTFAGQDVLTDARVGRFRIPLDGSPFGELEAALDPEEHGRGMDMCSINPAHVGKEYRYAYACGARRPCNFPNTLTKIDLLEKTAKNWYEEGAVPSEPFFVPRPGAVDEDDGVAISMVSAKDGSGYALVLDGQTFQEIARAKFPYGLPYGLHCCWVPRDK* >Brasy1G171200.1.p pacid=40052525 transcript=Brasy1G171200.1 locus=Brasy1G171200 ID=Brasy1G171200.1.v1.1 annot-version=v1.1 MWGWPPTSKDRHERSKSGLRPKGEHPAAAIRHWGALPRHPPAAARRRRWGELAAALEVGSPPPLCSYYEWIDNEQPAWAKYDIEYDHKVVWEKFHAATRREEAAEKMKLWREEQRKKKEEKEREKKELREAERERARRAQEDAADGKGKGKWPRWTQ* >Brasy1G489200.1.p pacid=40052526 transcript=Brasy1G489200.1 locus=Brasy1G489200 ID=Brasy1G489200.1.v1.1 annot-version=v1.1 MEEVVVLIVGAGPAGLATAACLNQFSIPYVIVERENCSASLWRNRAYDRFKLHLAKEFCELPHMSYPIDAPTYIPKDQFVKYLDNYIERFNIQPKYLTIVESSTYDVDGKFWSIMVRDMTSCTVVNYVAKFLVVASGDNSATNIPRFPGQQTFPGSVYSRRNVLVVGSGNSGMEIAYDLATHGANTSLLIRSPIHVMTKELIRLGMTLVRHIPPKLVDHLLVMMADFVFRDLSKHGITRPKKGPLIGPQVQGGVTKIKGKTIEFQGGNESSFDAIVFATGYKSTANMWLKNGESMLNNDGLPNKEFPNHWKGENGLYCAGLARRGLAGIAIDAKNIANDIKSKIDPMRSI* >Brasy1G333200.1.p pacid=40052527 transcript=Brasy1G333200.1 locus=Brasy1G333200 ID=Brasy1G333200.1.v1.1 annot-version=v1.1 MGKTRQTTPGTFTSMCASPAPAWASISTLPPSNRGGTGSSPSLVRASRFSSPTWSGWCSSSAPVSSSAPTFQGTS* >Brasy1G168800.1.p pacid=40052528 transcript=Brasy1G168800.1 locus=Brasy1G168800 ID=Brasy1G168800.1.v1.1 annot-version=v1.1 MGRRKVTTAAVAAAPPRGSSRPGDGVISEIREKHKLELENLTLTKHPFRTLHFFNLAMLQYLKRLATYILSKGALFVLLIVLVVIPGVTLLVTDGLHKKHVQEFLNYARFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQAPSWLDKKCSEFGSPMYHASDHSVMIPVFDLLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEIEAVKELDVAPSTEDGPIASTLNRTKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYFMEKELIWIFGHIPGFSATLPSVIAKLHSAKEKYLSPPVSVSPSSQMEDKQWNFSFALVWNTVVWLVLVNFFVKIVTSTAQDYRKRQQDVEMELITDSSSLNHSKTN* >Brasy1G507200.1.p pacid=40052529 transcript=Brasy1G507200.1 locus=Brasy1G507200 ID=Brasy1G507200.1.v1.1 annot-version=v1.1 MGFGGAARTPIGQILGLRTCSQVVSLSTCMKVRAISFMVGIAVGFTLKRRLRQWAARLLKRIKDDN* >Brasy1G306000.1.p pacid=40052530 transcript=Brasy1G306000.1 locus=Brasy1G306000 ID=Brasy1G306000.1.v1.1 annot-version=v1.1 MQHRLVDCPFSHKAHGFERLATLLLREFPSRPGGTLPIRKDLNSFGSANSLMIWEQLNECTFDNTRPCCSTILLYKEAL* >Brasy1G236800.1.p pacid=40052531 transcript=Brasy1G236800.1 locus=Brasy1G236800 ID=Brasy1G236800.1.v1.1 annot-version=v1.1 MARTPRGRNLSSRHPRSSPSAVPSGYQKAKRSAKQNDLQLTSEKKDWKHATCPICLERPHDAVLLLCSSHTKGCRPYMCGTNYHQSNCLEQFKNAYLKEKPAHEVATAAAAIKKPKDMELACPICRAEVKGWTVVEPARQFLNRKRRTCMHEDCSFIGSYKKLCKHVKANHPSSKPREVDPVRLAEWKELESEKDRQDAISIVTGLNPGSIIVGDYFVDPNSGSSDYSMDTSDWSDSSDSYGDIESLRRVVRRAHRINGERPRRNVPNRALGNSGIRRSGLAISRSNGRRSGITISRSSGRGCGLPRTRGRRSGSTGGRRPRVDSAPSARSTNDS* >Brasy1G236800.2.p pacid=40052532 transcript=Brasy1G236800.2 locus=Brasy1G236800 ID=Brasy1G236800.2.v1.1 annot-version=v1.1 MARTPRGRNLSSRHPRSSPSAVPSGYQKAKRSAKQNDLQLTSEKKDWKHATCPICLERPHDAVLLLCSSHTKGCRPYMCGTNYHQSNCLEQFKNAYLKEKPAHEVATAAAAIKKPKDMELACPICRAEVKGWTVVEPARQFLNRKRRTCMHEDCSFIGSYKKLCKHVKANHPSSKPREVDPVRLAEWKELESEKDRQDAISIVTGLNPGSIIVGDYFVDPNSGSSDYSMDTSDWSDSSDSYGDIESLRRVVRRAHRINGERPRRNVPNRALGNSGIRRSGLAISRSNGRRSGITISRSSGRGCGLPRTRGRRSGSTGGRRPRVDSAPSARSTNDS* >Brasy1G236800.3.p pacid=40052533 transcript=Brasy1G236800.3 locus=Brasy1G236800 ID=Brasy1G236800.3.v1.1 annot-version=v1.1 MPLAQYAWSVHMMLSSSCVLHTPKEKPAHEVATAAAAIKKPKDMELACPICRAEVKGWTVVEPARQFLNRKRRTCMHEDCSFIGSYKKLCKHVKANHPSSKPREVDPVRLAEWKELESEKDRQDAISIVTGLNPGSIIVGDYFVDPNSGSSDYSMDTSDWSDSSDSYGDIESLRRVVRRAHRINGERPRRNVPNRALGNSGIRRSGLAISRSNGRRSGITISRSSGRGCGLPRTRGRRSGSTGGRRPRVDSAPSARSTNDS* >Brasy1G277900.1.p pacid=40052534 transcript=Brasy1G277900.1 locus=Brasy1G277900 ID=Brasy1G277900.1.v1.1 annot-version=v1.1 MDDRPQCTCEKTACLQRYCHCFEAGWYCTDECSCHGCLNTSDNLTEVEEHADNIRRRKPDAFKPKIAAGSGIDDVAAQAQHGGAPSLSGVPPARTHVKGCNCRKSGCKKLYCECFKLHVGCTAKCHCVGCANKFGAKAGDASEGTDNDSPNGTSGGSGGTTAGSNDGASSILGDDMYDLPTEPSVVDNFFATRPDLDPCWSFDLGPIQAAGDGGVDKFFDHGDCSQHNVDPQTHEGFMQADESLHNSSANSVLQQDPANPDICNMSTKMVAKLTNSGEIDLS* >Brasy1G167100.1.p pacid=40052535 transcript=Brasy1G167100.1 locus=Brasy1G167100 ID=Brasy1G167100.1.v1.1 annot-version=v1.1 MRAAAPSLIHLLFATTFFSFSVATDTIDQTTSIAGNSTLVSARGVFRLGFFSPADSSDGRTYLGIWYVAIPVQNIVWVANRQNPILTSPGVLKLSPDGRLVILDGQNTTVWSSAAPTRNITTNGADVTARLLDSGNLVVNDGSGSPESVAWQSFDYPTDTLLPGMKLGVDTKKGITRNMTSWSSPTDPSPGDYTFKLVTGGLPEFFLFKGPAKIYASGPWNGAGLTGVPYLKSQDFTFTVVSNPEETYYAYYISDPSVRSRFVVDGTLRRLQRYVWSGTSDGGWNSFWYYPNDACDTYAKCGPFGSGNCDSGQSPQCNCLPGFTPRSPQQWILKVGSGGCVLKTNLTCDGAGDGFWKVNQMKLPDATNATVHDYMTLEDCREACLRNCSCRAYAAANVGGPVSRGCVIWAGDLLDMRQFPEVVQDVYIRLAQSEVDALNAAQAIMRAPRRMVIAIATTISGVLLLGAFGYLCFWRNKSRRKHARQAETALLHFRHKNVLPYKASRKYSDLSSSQDQRVGENRVSGEQDLDLPLFNLAVILVATDNFAAERKIGEGGFGDVYLGGLEDGQEVAVKRLSRKSAQGVEEFKNEVKLIAKLQHRNLVRLLGCCIDKDERMLVYEFMHNNSLDTFIFDEGKRKLLRWNKRFEIILGIARGLLYLHEDSRFRIIHRDMKASNVLLDKNMIPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVLEIITGKKNRGFHDVKLDLNLLGYAWMLWKEGRSTELLDETMTIGDSCDHSQVRRCIQVALLCVDVQPRNRPLMSSVVMMLAGENATLPEPNEPGVNIGRNTLDTESSQTQSATDTP* >Brasy1G283200.1.p pacid=40052536 transcript=Brasy1G283200.1 locus=Brasy1G283200 ID=Brasy1G283200.1.v1.1 annot-version=v1.1 MTMTLAAYGCSMALPFSVSRSDRVVPPSRVSLTSSKPRALATGLRVSYCRRRLHVSACSSEVDADATELPAEATFDLKLPRRSLLVQFTCNKCDARTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEYDLREENGMNTCTED* >Brasy1G419500.1.p pacid=40052537 transcript=Brasy1G419500.1 locus=Brasy1G419500 ID=Brasy1G419500.1.v1.1 annot-version=v1.1 MAEALILVVLQKITLALGAEGIKTLASNFKKQAPDLLEVTSRIRLLQSDFSMMQAFLSQADVHRSNDKVLEAWMEQVRQAAHEAEDVVDEYTYHIGQMEGTNSFLKKALNQAAETKRWRKLAAQAKLVEDRLQKITETKNRFDVSFASGRTDNTSSYSSNHQHLSEYSYLNGDVDFVGNATELKQLIDWLSDDKKDRSIISICGMGGLGKTTLASSIYKKEEIKRMFACRAWISVSQSYRVKDLLKRILLQLMPKNVNIPEGLDTMDCLNLVQLLQRYLHDKRYLIVLDDLWSRDAWKLLDNAFVKNNSGSRIIITTRIETVASLADVDREMKLRLLPKEEAWTLFCRKAFSRLEDRSCPLNLKACAERIVEKCQGLPLALVAIGSLLSYKEMEEREWELFYSQLRWQLGNNPELSWVASILNLSYNDLPSYLKNCFLYCCLFPEDYEIGRKRLIRLLIAEGFVEDRGPESTLTDVASCYLKELANRSLIQVVARNEYGRPKKFQMHDLLREISLNISKKEKFATTWDCPNSGGISDGCRRISIQKDGTLTQAAQSSGQLRSIFVFVVEVSPSWFRECYPCFRLLRVLCLRHCNIKKVPDAMSDLFNLHYLDLGHANLQEIPRFIGKLSNLQTLYLSGSVLELPSSITMLTKLQHILIDVGRFGKSASKKISHLEYLQTLRSIEANNFLVKKLACLTRMRSLGVMKVLESHNADLWASISKMAALNSLAVLAADRESSILDLVGLKPLPQLEKLMISGRLHEGAIPPIFCHFPKLRSLRLCYSGLNEDPLALFADMFHNLGHLNLYRCYNGKKLTFRAGWFLELKHLYLSSMNELKEVEVEDGSMKNLHRLELWGLKSLTLVPGGFVYLRSVQQLCIGSMMPEEFHKRLVGADQWIVQHIPYIGEP* >Brasy1G205400.1.p pacid=40052538 transcript=Brasy1G205400.1 locus=Brasy1G205400 ID=Brasy1G205400.1.v1.1 annot-version=v1.1 MSAPRARLLACIGIAVATALAVVPPDGVAGHTRGVRPGQAASPFPENATRAEELERLFLRWVRYVGGLQHTTFRHAPLARAFPSYSLVVDKNPSAGDFTSIQAAVDSLPPINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADRTVVQWGDTADTPTGPRGRPLGTYGSASFAVNAQYFLARNITFKNTSPVPKAGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHTGRHYYKDCYIEGSIDFIFGNALSLYEGCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASYSGRVSWSRELTDEEAKPFISLSFIDGTEWVRL* >Brasy1G205400.2.p pacid=40052539 transcript=Brasy1G205400.2 locus=Brasy1G205400 ID=Brasy1G205400.2.v1.1 annot-version=v1.1 MSAPRARLLACIGIAVATALAVVPPDGVAGHTRGVRPGQAASPFPENATRAEELERLFLRWVRYVGGLQHTTFRHAPLARAFPSYSLVVDKNPSAGDFTSIQAAVDSLPPINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADRTVVQWGDTADTPTGPRGRPLGTYGSASFAVNAQYFLARNITFKNTSPVPKAGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHTGRHYYKDCYIEGSIDFIFGNALSLYEGCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASYSGRVSWSRELTDEEAKPFISLSFIDGTEWVRL* >Brasy1G363100.1.p pacid=40052540 transcript=Brasy1G363100.1 locus=Brasy1G363100 ID=Brasy1G363100.1.v1.1 annot-version=v1.1 MEQACEPAAARSLFVERTMQALKTVPAPPEDGRECPICLEKNLLEAPSTAVMITCWKQMPCGHCFHQRCLESWVANDMKKKSCPVCRSSAYAIIGVCRPLRPIVHKHGMHLLGANHFVMAGGVTRPITPGVDPRDYLRNVLIPDQALRVAGGLLAHALSRLLETTASAAAMDDALDAVNGELDDALAALRVVLGRRAEAESACRLVRRCCGELATAKGLLRQQQQAQASILLLPCAVVDALRLAAVRDLEAALREARAGAAITTAALRDAGWGHV* >Brasy1G521100.1.p pacid=40052541 transcript=Brasy1G521100.1 locus=Brasy1G521100 ID=Brasy1G521100.1.v1.1 annot-version=v1.1 MSHPHATVPKRPFSSSASPSPTSPAPPHMKKAKLPASSSAAGGPTEKNGLHLDPTAAAAVRGSGRTNGEEDAEMLLADQDELRAPSASAPGGVTANLFRKKATLPQPSATAAARKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAVGDLCLHKLGANLYERVKKECEIHIAAKISALVGQSPDLVVFLSLVHRTWQDFCDQMLIIRGIALLLDVKYVKNIANLCSVWDMGLQLFRKHVSLSPEIEHKTVTGLLRLIESERLGEAIDKTLLSHLLKMFTDLGMYSETFEKPFLECTSQFYATEGVKYLQQSDIPDYLKHVESRLQEEHERCIMYLEANTRKPLIATTEKQLLHRHTSAIIEKGFTMLMEANRVKDLWRMYTLFQRVDAIEMLKQALSLYIRGTGQGIIMDEEKDKDLVPFLLEFKASLDKILEESFAKNESFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRYIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKVEFPKGRKELAVSLFQSVVLMLFNDAQKLSFLDIKDSTGIEDKELRRTLQSLACGKVRVLQKTPKGRDVDDKDEFVFNDEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPADMKKRIESLIDREYLERDRSNPQIYNYLA* >Brasy1G107200.1.p pacid=40052542 transcript=Brasy1G107200.1 locus=Brasy1G107200 ID=Brasy1G107200.1.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQREFQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.3.p pacid=40052543 transcript=Brasy1G107200.3 locus=Brasy1G107200 ID=Brasy1G107200.3.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQREFQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.4.p pacid=40052544 transcript=Brasy1G107200.4 locus=Brasy1G107200 ID=Brasy1G107200.4.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQREFQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.5.p pacid=40052545 transcript=Brasy1G107200.5 locus=Brasy1G107200 ID=Brasy1G107200.5.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQREFQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.6.p pacid=40052546 transcript=Brasy1G107200.6 locus=Brasy1G107200 ID=Brasy1G107200.6.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.7.p pacid=40052547 transcript=Brasy1G107200.7 locus=Brasy1G107200 ID=Brasy1G107200.7.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.8.p pacid=40052548 transcript=Brasy1G107200.8 locus=Brasy1G107200 ID=Brasy1G107200.8.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.9.p pacid=40052549 transcript=Brasy1G107200.9 locus=Brasy1G107200 ID=Brasy1G107200.9.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.10.p pacid=40052550 transcript=Brasy1G107200.10 locus=Brasy1G107200 ID=Brasy1G107200.10.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.11.p pacid=40052551 transcript=Brasy1G107200.11 locus=Brasy1G107200 ID=Brasy1G107200.11.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.12.p pacid=40052552 transcript=Brasy1G107200.12 locus=Brasy1G107200 ID=Brasy1G107200.12.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.13.p pacid=40052553 transcript=Brasy1G107200.13 locus=Brasy1G107200 ID=Brasy1G107200.13.v1.1 annot-version=v1.1 MPSSPEQIVSEVGKRLAEPRLGKDALVKLLKQAENALSELSQSSSLHDTLHPLSKSLVQATLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.14.p pacid=40052554 transcript=Brasy1G107200.14 locus=Brasy1G107200 ID=Brasy1G107200.14.v1.1 annot-version=v1.1 MSDFSLLFALLKSCGSLHLIHLLLTKYLSEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.15.p pacid=40052555 transcript=Brasy1G107200.15 locus=Brasy1G107200 ID=Brasy1G107200.15.v1.1 annot-version=v1.1 MSDFSLLFALLKSCGSLHLIHLLLTKYLSEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.16.p pacid=40052556 transcript=Brasy1G107200.16 locus=Brasy1G107200 ID=Brasy1G107200.16.v1.1 annot-version=v1.1 MSDFSLLFALLKSCGSLHLIHLLLTKYLSEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.17.p pacid=40052557 transcript=Brasy1G107200.17 locus=Brasy1G107200 ID=Brasy1G107200.17.v1.1 annot-version=v1.1 MSDFSLLFALLKSCGSLHLIHLLLTKYLSEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.18.p pacid=40052558 transcript=Brasy1G107200.18 locus=Brasy1G107200 ID=Brasy1G107200.18.v1.1 annot-version=v1.1 MSDFSLLFALLKSCGSLHLIHLLLTKYLSEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.19.p pacid=40052559 transcript=Brasy1G107200.19 locus=Brasy1G107200 ID=Brasy1G107200.19.v1.1 annot-version=v1.1 MRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.20.p pacid=40052560 transcript=Brasy1G107200.20 locus=Brasy1G107200 ID=Brasy1G107200.20.v1.1 annot-version=v1.1 MRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.21.p pacid=40052561 transcript=Brasy1G107200.21 locus=Brasy1G107200 ID=Brasy1G107200.21.v1.1 annot-version=v1.1 MRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRSELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G107200.2.p pacid=40052562 transcript=Brasy1G107200.2 locus=Brasy1G107200 ID=Brasy1G107200.2.v1.1 annot-version=v1.1 MRILAPDPPFTDKVFKEIFRIFISEFAGLAETSSPYLTRRMKILENVAALRCSVIMLDTGCQDLVLDMTKIFFSAVKQGLQQCAHQAMLSIMTQILNEKVTQPLLDVIFRNLVREDKGASHKLAVDIIQNCAEKLEHMVRNFLSSCILNKDAAVNEHWKLHHKIILEIFQCAPQMLFAVIPSLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGEENQYVFMEFLKRFSDKSAEVRIAAIDAAKACYIAVSSGNVARDILKALEGRLLDFDDKVRIRAVYAVCDLAKSNVSSFPFELILQAAERLRDKKISVRKNVMHKLLDLYRDYCEKCSEGTATINTHYEQIPAKLIVLCFDKDCESFRPQNMELILAEELFPSSLSPKERSRHWVEFFSYFKSQHAKALGIIFSQKRRFQLDMQAYLSLRAKKEEPSDEIQKKISVLFRKMSASYKDTSKVEECFEILQQMKDNNIFKDLTELSKESTTSATIRSTRDSFLKRIGSKHPIYNFCKELSIKFSHSILNCDIICAILESLLPLRNESTNYTESACDLLLLVAMMFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSVCHLSVNLSSDVYMLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCEKVVGGLHDNLNVPTLLQSLGLILEHSPCMYMLYDDQIISFVQHIFVSPEFVSTPGLSSLDEDSTCSFSCKLKIYCLKALVKSYLPRTTARDRVEHFFKMLLDIIREEFKPITICESDKPHLRLAAGKSLLRLATRWDSHISPELFRSVLLMARDSSYIVRKSFICKLHGLLKKHAIPVKYACAFALASTDYSGDVCTESHRYLTEVLKEQRGLSVHQNSTNKDSIVGHPSYAVVFLIHTLAYEMEFPSSFSEKESGSAEFWSPLVLMLRELVEIDNLKRVEHGLTTSSVSILYGIFQAVQKAEDLADSDITHKLHILSKIGLLMIKELDKNCTISDSPRHILLPSSYYRLLSRSERKVDECCQGEIITDSFVKRILKAHEPYNRQDDTKCSITAERVSNEPAPQREACSSLNKIASGHNKVKMKKSSVPGEVVSKKNEQNIHSLGKDRVSSCGSAGTKLSSPGSLGLTKEADSRDRASLLEKQNRPTTKSSTREKVSLKADHNYCSHMPVEDAGEMLVGRHIRLWSAMRYTDGTVKAYDEQNGFHEIVYGNGDKEFIRLESQKWEFINETMSAEDIPDHCPRNRELEEDDDCDANFVKRPSSNSRAAVTGLKRDSKRALDLRNSQNSGLTADTTDNVRRTRSRKVQT* >Brasy1G139700.1.p pacid=40052563 transcript=Brasy1G139700.1 locus=Brasy1G139700 ID=Brasy1G139700.1.v1.1 annot-version=v1.1 MASKNSLARATTGSEASEALLLLPEGPEHVVVGDAEPPPPAGAGASVLGAVFNVSTSVVGAGIMSIPASMRVLGVAPAAALIVGVALLANAAVDFMLRYTRAGSLTTSYAALMGGSFGRAGAALLNVFVAFNCVGTLTVYLIIIGDVMSGPASGGEAHAGVLPEWFGPHWWTGREAVLVAAAVILLPLVLQKRVDSLRFTSAVSILLAAVFMLISMGIALYALFSGTAKMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAATRISLVLCSVIYAAVGFFGFLLFGDATMPDVLANFDSSSGSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPGRRPLAADTRRFVSLTAALMAALYALAIAIPSIWTLFEYTGSTFAVCISLIFPGAIVLRDAHGIAKRKDKTLAAAMITLAVITSSVAIASNIMSSIRGAQVKGV* >Brasy1G139700.2.p pacid=40052564 transcript=Brasy1G139700.2 locus=Brasy1G139700 ID=Brasy1G139700.2.v1.1 annot-version=v1.1 MGKEAAGGGGADEPLLPEFSGGHAAGASVSGAVFNLSTSIIGAGIMSIPAAMRVLGVVPALVLIVSVAVLSDVSVEFMLRYTGWATATSGGQPSYAAIMGDAFGRPGAAALNVFIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLRELFGAQWWTGREFVILVTAVVVLLPLVLLRRVDSLRFTSAVSILLAAVFMLISMGIALYALFSGTAKMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAATRISLVLCSVIYAAVGFFGFLLFGDATMPDVLANFDSSSGSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPGRRPLAADTRRFVSLTAALMAALYALAIAIPSIWTLFEYTGSTFAVCISLIFPGAIVLRDAHGIAKRKDKTLAAAMITLAVITSSVAIASNIMSSIRGAQVKGV* >Brasy1G139700.3.p pacid=40052565 transcript=Brasy1G139700.3 locus=Brasy1G139700 ID=Brasy1G139700.3.v1.1 annot-version=v1.1 MASKNSLARATTGSEASEALLLLPEGPEHVVVGDAEPPPPAGAGASVLGAVFNVSTSVVGAGIMSIPASMRVLGVAPAAALIVGVALLANAAVDFMLRYTRAGSLTTSYAALMGGSFGRAGAALLNVFVAFNCVGTLTVYLIIIDSLRFTSAVSILLAAVFMLISMGIALYALFSGTAKMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAATRISLVLCSVIYAAVGFFGFLLFGDATMPDVLANFDSSSGSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPGRRPLAADTRRFVSLTAALMAALYALAIAIPSIWTLFEYTGSTFAVCISLIFPGAIVLRDAHGIAKRKDKTLAAAMITLAVITSSVAIASNIMSSIRGAQVKGV* >Brasy1G139700.4.p pacid=40052566 transcript=Brasy1G139700.4 locus=Brasy1G139700 ID=Brasy1G139700.4.v1.1 annot-version=v1.1 MSGPASGGEAHAGVLPEWFGPHWWTGREAVLVAAAVILLPLVLQKRVDSLRFTSAVSILLAAVFMLISMGIALYALFSGTAKMPRMFPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAATRISLVLCSVIYAAVGFFGFLLFGDATMPDVLANFDSSSGSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPGRRPLAADTRRFVSLTAALMAALYALAIAIPSIWTLFEYTGSTFAVCISLIFPGAIVLRDAHGIAKRKDKTLAAAMITLAVITSSVAIASNIMSSIRGAQVKGV* >Brasy1G013800.1.p pacid=40052567 transcript=Brasy1G013800.1 locus=Brasy1G013800 ID=Brasy1G013800.1.v1.1 annot-version=v1.1 MSKLVYPQYVWPQQQFRRKEIYPSNLSIHLKLIYKLSITYLCSKLQPKLIAHKSYPRTPNKIAPAHSSTHPAYLHA* >Brasy1G386400.1.p pacid=40052568 transcript=Brasy1G386400.1 locus=Brasy1G386400 ID=Brasy1G386400.1.v1.1 annot-version=v1.1 MATSMGCLVVLCVVCPLLLAGAVRANPWYGLFPQFYDQSCPKAKEIVQSIVAQAVAQETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNLNSIRGFEVVDQIKVALETACPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDLPAPNNTLPTIITKFKRLGLNIVDVVALSGGHTIGMSRCTSFRQRLYNQSGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTPTKFDNLYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNITPLTGSQGEIRKNCRRLNNYH* >Brasy1G101400.1.p pacid=40052569 transcript=Brasy1G101400.1 locus=Brasy1G101400 ID=Brasy1G101400.1.v1.1 annot-version=v1.1 MNVYHNLASSPPTPVPSGEQPARTAHAVTAPEEGKWEGMAVAGAATLARNFSSASQRFAAVERSKSTNGGHRGGSGGGFQAAVRRAFSMRRQPGSGISDGYWRIHDGMDEDADGADEAELQQQVLEETDKHQKKEEKGEATEQVAEGDAASNNKETEIKGRKNKKKGRILKACKKLLGF* >Brasy1G421300.1.p pacid=40052570 transcript=Brasy1G421300.1 locus=Brasy1G421300 ID=Brasy1G421300.1.v1.1 annot-version=v1.1 MHRAAEQGGSKTTTAMTTCRRFRWLYVARWAVATAVTILAVAVIIRAVVVLLRPEKLQIRLAEGRVAVGYIPSLPPPGNTVTFSFLLRASNPSGRASVVYTNVTIRLTDVSVPRAITEIPLPDPIAVSQRTTREAAVDVGLTPGDDVPMTYVRALYEGRSVGGAEMEVSGLLASHVAMARGGGMATTTTTSGAASYYCWPVTIAVVDDAAQEATSDDYSSDGGGGGHDTVCLDKSEAPAIA* >Brasy1G275900.1.p pacid=40052571 transcript=Brasy1G275900.1 locus=Brasy1G275900 ID=Brasy1G275900.1.v1.1 annot-version=v1.1 MAPTVTKSPPALIPPAVPTPGGSLPLSSIDKTAAVRVSVDFIQVFPSSADAPRDQAASVATMREGFAKALVHYYPVAGRIAEPVQGEPEVECTGEGVWFVEAEASCTLEDARNLERPLCIPKEELLPRPPPEVRLEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWSRDAIPNPPKPPLGPPPSFTAFNFVKSVVEISLDSIKRVKDQVASETSQKCSTFDVVTAIIFKCRALAIGFAPEADVRLGFAAGTRHLLNNVLPSVEGYYGNCVYPGGLAKTSQDVKEASLVEIVTAIREAKDALSTRFLDWMSGGAKENHYNVSLDYGTLIVTDWSHVGFNEVDYGFGEPSYVFTMNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEEQHSAVFREELQKLA* >Brasy1G004700.1.p pacid=40052572 transcript=Brasy1G004700.1 locus=Brasy1G004700 ID=Brasy1G004700.1.v1.1 annot-version=v1.1 MGDLPRASERVPMEDNRTYSIYEDHTALLEGFPPAGQTPCSGGELHHSVDATHIQGRDVDSLETEVDISGSTSSGTKRGKGDDGNSHTVPQDGESKEPSTYECTVSVPPTPRLISAMKGGREKSGEALLTENRRVKWDPDVYDPPITSVDHSVKSDQQQRPRSRKKDKNQQKQKHKRKSRSGNRGAKKSGHDGVRNPCPLVIAA* >Brasy1G359800.1.p pacid=40052573 transcript=Brasy1G359800.1 locus=Brasy1G359800 ID=Brasy1G359800.1.v1.1 annot-version=v1.1 MACPLASRQSPGATTVTVLGSTGITGRKLPHIKWIPEMVQCRLPLYWLETVTVMRKIIEAANAMSHAMEMEQAFVVIGGGAVRLACSMEAAAAPITLKLLVDTKAKRVLLAEAGKDAVDFLLALLTTPVGTVAKQLLPDTGVASIYASAESLDPAYFQSAAVHGALLRTNAPLQLHPCYCYCPATPTTSWYSTAPHQLAAVAENGAVTYYAGYGSSASSGCGIVRGAVTYTVMDDLTVAPMSNISCMALLSKLNGEDKGLVVEEKSVQISHQEGVEILKASLRSKTVLTDVFLSGSGDDDVQLVSKNKRARTDDKKDDLGAEKTHNKIPDYYL* >Brasy1G543200.1.p pacid=40052574 transcript=Brasy1G543200.1 locus=Brasy1G543200 ID=Brasy1G543200.1.v1.1 annot-version=v1.1 MYVTRRLSVYLADPSATAEPPPEGPGSGFLVVVDETGETARTRCWGMCVDREMRGLPFPQNRQLALRESSSSSPLESCATFVDFVGEIVGTCTGKRSGRPPGSKAPKVPNYAMLLPVVGQPLSSGRYYVVQVDGKHRGKVSACSKEEDKTTCCFGCSCVNDVKPRPLDRGDLYQQVEVQRLPSSSGFKAVAVAADGIPPHHLRKKGWKVNTMMSPRYDLTDALGVDSALRRRMPDLDDSLSVVVGRWYVPFMFVKADGERSLKEQSRRCTFYEMTMEQSWEQIYCRDDPAAEVAVTATVRRSTALLGGTGVQVQEGWPHADDGGTVWFRPAAAAAAATVGLDVVLWERMRWEADKGGWVAPAGNGDEERIERVERHDDGAQLVGQGHKFRCYLLVERFLLKRMDGSLALTCEFRHTDKIKAKWL* >Brasy1G555400.1.p pacid=40052575 transcript=Brasy1G555400.1 locus=Brasy1G555400 ID=Brasy1G555400.1.v1.1 annot-version=v1.1 MVLLLPSPLTVAPYQSAASTSSPLSGHPRSRRHLLPGARCSARRTPPPTAASSREAASSWAGELAGAVPWKAAVSGAVALTLSFSCFVGLVNAKAGVNKPELLPKEFTTVIDVAGFLSPGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNIINFNIGSLIDLDIPQSFWSRVSGKYGNMFYWKEKGEDASIEGAVMAISRCLREPTGASNCSEVF* >Brasy1G572600.1.p pacid=40052576 transcript=Brasy1G572600.1 locus=Brasy1G572600 ID=Brasy1G572600.1.v1.1 annot-version=v1.1 MARHKDVARRSVPGRPRQPLTRRILVVSLPAPPHQNPRSAADHGEARGPGAAAAPDSEPVARRTRSRRRQAASIAVLHPDVLIWEILAWLPAAALLRCRAVCRAWRGITSAPGFLLAHHRRQPSLPLFLLRGSSTDAANPDRGRPLVGLDHRDRHCHGCLGVGPFALHASCDGLLLVSRRGRFTICNPATRQHAPVPGLDAAASCTKVEALYLYPHRPSGEYRVLYWYRDEVCCYILRVPRAREPRRVVVPASCLGIACTVMQCSDHSRPPPVMICGSLHWDPPRHLTSGASLVFDTVAESFRSMRLPDAVATGCCARLHDMEGLLGLSCFDDSSTVAKLWVLEDYEKEVWSLKYKINFSSVHVMAPGGQTSPAQRAGPSPSRAGPARPQLASQPEHCSSPSIQFLRPSPRHEIGQLPIERSPIVLFLPSRPSAPPEARASSLRLTCLLLSPSRRLPAAAAGARPPPPASRAPPSSPSPPPPPASLLLRAMPNGSCWWHPPLAAPSPCRRPQPILLAGNAAATCSCFCSPLTLRAIILHAMVTLLLLAMAFMTAPDRTSPSWVPDRAEPAKTTRQVFGPSQARLAGGELARAGTEPSRAGSASTRSHGQ* >Brasy1G283900.1.p pacid=40052577 transcript=Brasy1G283900.1 locus=Brasy1G283900 ID=Brasy1G283900.1.v1.1 annot-version=v1.1 MAGGRSPTPSPPEKSPTTYNPTYISCISGDILREIFLRLPSLGTLRDAVVSSPKFRRQFRNLHHAPLLGLFTEVPNPPRSHILPAIPIFFPAVFRDRDLVAVVRRGDFQLTSIQERSDVSLPGTIMIDSRHGYVLLMNCFDRLFTVFNPLLRWSKTFGVYEETVHLARPQLVCSDEDPMSFRVVLLGHDNSRVRATVFSSKTLEWSILPWVEVPTMPPNGSQDPWIEIEGCMQANRFLYWVYTHNQICFQDGWFMMALDTTTMVFSFAELPPILNDECWSFHLHLGEMKDTSPCIVHVSDFNICFLLCGTEGDGAQSWYIDKVSDLHTQITELLGDAPLVEVDVVEVMGGFAYLAVDMGNETPDFRWLLSLCLETMELDKHFRVAFDGVVYPYIMAWPPALVGNYGTFAQGDDA* >Brasy1G077000.1.p pacid=40052578 transcript=Brasy1G077000.1 locus=Brasy1G077000 ID=Brasy1G077000.1.v1.1 annot-version=v1.1 MGTYRRLGGRERSDPVGRGRGLPDPALSVIGGRHGRRGPASEWRGRERRRRERGSGARGWRRRWALGSQWLGEIGWKRGGREKRDREGWGSQIGRDGDGERRKYREAGRDVGPWIRFLCCSANSVTAHGSVLLSTPENLENISGGSNCKARR* >Brasy1G319900.1.p pacid=40052579 transcript=Brasy1G319900.1 locus=Brasy1G319900 ID=Brasy1G319900.1.v1.1 annot-version=v1.1 MSNRAAFVVAFLIRSIYGGAQIVAKVAFNQGMSTSVFVFYRHATAILFLVPIAFVLERKTAPPLSYNVCLKLFAHAFYGISGAINIYCLGLKYASATASSAIFNLLPVVAFFLALLLGMEFLNLKRFHGIAKVSGIVFCIAGVIVLAFYQGPELKPPNHYRLFHHLSSTHDVAAHPKTSWILGIFLTTLSTSSWALWTVLQGPMLEAYPSKLLNTTLQMIFATIQSFFIALAVERDFSRWKLGLDEGLVAVLYSGILLSGVGYYMQVWVIDKSGPVFLAMTMPITLLVTIALSSFLLGEPVSLGSVTSGVIMVGGLYCVLWAKRSEQIAASKQKMAAPVQSAQV* >Brasy1G164600.1.p pacid=40052580 transcript=Brasy1G164600.1 locus=Brasy1G164600 ID=Brasy1G164600.1.v1.1 annot-version=v1.1 MGERKRRGSAVAGRAEEEKSAAPAPTVWFALKKSLQCRSQTSEVHVPKPKASSAGAGHLSLSSIVTKRGAARSGCSRSIANLRDVIHGSKRHPGQGPPSNCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGAGAGGGGGLLAHESSEGGDGAVLSSSFVGTLRPGTPGPGWSHGLQYSGSCRARSPNNVMLDKDGAAAPMAGHRASCEVDGAVKNNGGKGSGGGLSCHRCSEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLSRFEEYREAVKTKASKLPKKHPRCLADGNELLRFHGATLSCALGASGGGGSGSGSSSSSSSSSSSLCASDKCAVCRIIRHGFSSKKEGKAGLGVFTTSTSGRAFECVADEAAEDEGQGTRRALLVCRVIAGRVHKPLDNLKEFVGQTGFDSLAGKVGPYANIEELYLLNPRALLPCFVVICKP* >Brasy1G514400.1.p pacid=40052581 transcript=Brasy1G514400.1 locus=Brasy1G514400 ID=Brasy1G514400.1.v1.1 annot-version=v1.1 MGLAVGGMGHAIAGTPSAREERRHGEADCGQPSSHVRGWRRWSSPTAAGDPDSGGAAAQPDTQMRGGGAGPTNLERERERERGEGGRGDMAAAGGGPRPCEGGGAAGAWRGAVGSRAAMGTHGWFGDFNGWARRYENTLKWKGSFA* >Brasy1G293400.1.p pacid=40052582 transcript=Brasy1G293400.1 locus=Brasy1G293400 ID=Brasy1G293400.1.v1.1 annot-version=v1.1 MSKRSISGDGGGAEEESSRDCRVRRRAGRSSTREGEPDKEKVECPLSRLGEDDVVEIAVRLASPSAACALALASKYLYSVIHPVLFRADFLASYLQRAGRSAPRCPPPPPGFFLSTGSKTRRHGRAGAGPEPDTMFVRGPHSFLRSHNVVDPGPFRAFLASAKRRRACVAASCCGRLLCVAMPTTGTVRYWVVDPAADLWIPLPTPPPLSGTAYPENAGFQCTAAVGTFTVAYLMAQPQPQRRGEALLVVRWFTSESGRWRCSLQPAAAAASVGDAFYWPLVRAGEPVCQWPLQQEALAILACNTDDDIGTPAAAAQREAAVSSCRSWMVTPPPVHGERDGLALLSEAHGSLRYIRCSSYYRLSMIDCSLDVWTAEEAAAGKEIQVVTSAAPKWQLRHGNLNEEGLGHGVDGVGAELATWENQYWRRIKGLEREREEEEERVDSHGGDEPTRDRAEEGRRPATDPIGSGPRRSLAEAAGSRAGSCPIWPNEEAAGGRAGSLLAVEGAVGSRGEEMGAGVGGGRC* >Brasy1G494700.1.p pacid=40052583 transcript=Brasy1G494700.1 locus=Brasy1G494700 ID=Brasy1G494700.1.v1.1 annot-version=v1.1 MRLLSLQVGRNPATLDIHVRSLSSSMTMKHPKPWWPLLPLLLLQLQLVAMNSIPAPVSMFANRTTASPEAMSRRPCSPEQALALLHLKQSFSASDNSALAFRSWSFGTDCCHWEGVRCGGTHAHAGRVTSIDLGDRGLESHSLDPALFELTSLRYLNLGGNDFNMSEISSIGFERLTRLTHLNLSTSNFAGQVPHNNIGRLTNLVSLDLSFRLERLELLGMGYRVRADSSYPGQLLLSNLSALLANLESLRELHLSFVDLSNGGEEWCTALSSNIIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKKAIEASLGPNKDCLCFRGNCTQG* >Brasy1G481100.1.p pacid=40052584 transcript=Brasy1G481100.1 locus=Brasy1G481100 ID=Brasy1G481100.1.v1.1 annot-version=v1.1 MDPGASEGPEPKHGWARWAVAPTVLVNAAFAGAVYGAARHGGARDVALVAADYCVTYYVLAAFCFCVWKLELLRRQRDAPESAAQRRRVSIAAWAVSVALGVNIASHVTDATVGRYDHLALKVAVWVLAGVATGVVGYFFFFGHPRADAGRRLEEEEEALHVRGVSVEQRRVCEV* >Brasy1G487500.1.p pacid=40052585 transcript=Brasy1G487500.1 locus=Brasy1G487500 ID=Brasy1G487500.1.v1.1 annot-version=v1.1 MFKLKSPPFPLQIASASRCPGRLLLLSRSPRRSKTTAKKNEEKVSRSKTTPSSACRDELLLLPFSPRAATNRSGLAATKRWFPGTRRRRGKHGGQERVKWRGAALRTAGKVQGNSGEGRESGRAGRRIRRGQGERRSGEERGGGAAERGGERMGERGARAQRGVYKEEDRGD* >Brasy1G546000.1.p pacid=40052586 transcript=Brasy1G546000.1 locus=Brasy1G546000 ID=Brasy1G546000.1.v1.1 annot-version=v1.1 MEHKYMNPFHSRALLSVLLIVTCFNTHGQCKTMEDMDEKIKFPHGLCVHEGDVPACISRSFCYCCLAGKDLCYHTMDACMRHCVHQV* >Brasy1G071400.1.p pacid=40052587 transcript=Brasy1G071400.1 locus=Brasy1G071400 ID=Brasy1G071400.1.v1.1 annot-version=v1.1 MDVRQTVQANQVGGSGVGSAANEAPQDQQMLDVTDLDTEEPQLETLRDNTRQKILKHIEELQRLARWQQLMQSYANELEEFLFRRYPNKMDYYNMSKGPIQPHVQSAMTELNRMWAFSTINGTTVPTLGITRGPLSSGAMDPLNAYMGTSLPEEAPGEHVNTELSLGVNRKRQRSAASNNSHLVANMVNTPGTNSIMPKPTLAPPAPVDEPKLSCPICHCELVNASSTLCGHIFCLECVKTAVKLQRKCPTCRTGLPMDGYHRIYLPD* >Brasy1G515800.1.p pacid=40052588 transcript=Brasy1G515800.1 locus=Brasy1G515800 ID=Brasy1G515800.1.v1.1 annot-version=v1.1 MDFSGDVKQAIPRPAVAFTGVGSGGPSPLLRGWREFRRSAAPVRFLCFEGTAWADVEGEAAAALRRAFLDGKVVAEAAYGGREFLFDFLRMARIDAASAEAVAMGWIDERGACFFPVPESGRKRKRGHPEQDGASSGVEEGSDESSETVDSGRVRKAARGAWGKAVKLEETDKFYQVVKKLFLSGIAPMAGRGVAMTAVHKVAQGPRSRAFQLQGQLLAAQRGAGGGNAKFAWYGAPSVDVAAAVEHGFGKTNSRVLGHRAHGDGVHLSPPQSPYASAMLAKADESGEAHIVLCRVLMGRPEAIPAGSSQCHPSSDNYDSAVDNIQNPKWYVVWSTDMNTRILPEYVVSFKCPNLQQMQGSSSRATSELKKPSPVARDMFPTLLAEIQRFVPSSKLQTLQGTYNCFKKGQMKKDQFIRFLRTFIGDRVLTTVAQKLRGY* >Brasy1G069600.1.p pacid=40052589 transcript=Brasy1G069600.1 locus=Brasy1G069600 ID=Brasy1G069600.1.v1.1 annot-version=v1.1 MASPGTALSTTVNLILSILSLLVSSISCWSSGGATWYGSPYGAGSDGGACGYQGAVGQRPFSSMIAAGGPSFFKNGKGCGACYQVKCTGNKACSGRPVKVVITDSCPGGVCAREAHFDMSGTAFGAMANPGMADRLRSAGELRIHYARVPCKYNGMNVAFKVDAGSNPYYLAVLVMYQAGDGDLSSVEIMQGGCRAGQHGRNGEPWRRMQHSWGATWFLNSNDGKPLRAPFSFRLTSGSGKVLVATNAIPAGWRAGTSYRSSVNFAS* >Brasy1G353000.1.p pacid=40052590 transcript=Brasy1G353000.1 locus=Brasy1G353000 ID=Brasy1G353000.1.v1.1 annot-version=v1.1 MECLAEVPHAPLDRRPRKRQRLGWDVGPEIPQIKFCGQEVANVISAVTLGLSSSGVVPSQVAQELLRVATPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLCRYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNNYRSFPIAVVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSLKDGPYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDVWSVGCILIELCTGEALFQTHENLEHLAMMEWVLGPLPYNMFKRRDRQAEKYVRKGRLNWPEGCASRESMKAVMKLPRLQNLVIQNVEHSAGDFIDLLEGLLRYDPDSRLTAQEALKHPFMTAL* >Brasy1G353000.2.p pacid=40052591 transcript=Brasy1G353000.2 locus=Brasy1G353000 ID=Brasy1G353000.2.v1.1 annot-version=v1.1 MECLAEVPHAPLDRRPRKRQRLGWDVGPEIPQEVANVISAVTLGLSSSGVVPSQVAQELLRVATPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLCRYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNNYRSFPIAVVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSLKDGPYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDVWSVGCILIELCTGEALFQTHENLEHLAMMEWVLGPLPYNMFKRRDRQAEKYVRKGRLNWPEGCASRESMKAVMKLPRLQNLVIQNVEHSAGDFIDLLEGLLRYDPDSRLTAQEALKHPFMTAL* >Brasy1G461600.1.p pacid=40052592 transcript=Brasy1G461600.1 locus=Brasy1G461600 ID=Brasy1G461600.1.v1.1 annot-version=v1.1 MLPLRRLPALPLLLLPHGSTPRRILATGASAASPLPWPGLHAWRRASPSDLRSWGPHGPCAPDTGDAGPPEASAAVGNGSSLAEMGALVLSTADPLAKAQLTHAAFSQWATGGLPVGLARAPDHPARPDKPLVVTQKEITTHKAMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFSPLQDTLGDGFFADFARVADDESRHFRWYSQRLAELGFRYGDMPVHNLLWRECAKSSTDVSARMAVIPLVQEARGLDAGPRLVQKLLGFADHRSADIVAKVAEEELAHVSVGLFWFLKVCHMMGRVPGATFKDLIKEHGVVMKGPFNYPSRDEAGIPREWYDEKFKHEAASKLSEVHDRLACVVEMEKENAS* >Brasy1G325900.1.p pacid=40052593 transcript=Brasy1G325900.1 locus=Brasy1G325900 ID=Brasy1G325900.1.v1.1 annot-version=v1.1 MQQQQAAAPRRMVASKKLMKVGPWGGSGGTPWDDGGHTGIRSITMCYDHRCVDSIAVEYDQSGIPIHGDRHGGAAGNQTTRVKLRFPDEHLTAVSGRYGPVAQGGAAVIRSLAFRTDRAAYGPFGAGAERGTTPFEFAVDGGVIVGFCGRSGWQLDAVGVYLAALTPETVYHKVHKLGLMAYRSVMHRLGQPPPPPAGAGQEEDGNGKAAAQVQQQNGGSVQTNRK* >Brasy1G294100.1.p pacid=40052594 transcript=Brasy1G294100.1 locus=Brasy1G294100 ID=Brasy1G294100.1.v1.1 annot-version=v1.1 MPPPSSRLLPPVSTPPPLPKLRFLLHDWKAHNPRQALARPVSPARSAQLDGALSAGFVRLLNAGKDDANRGGEYYEPKPGDYAVGVVVSGTEARLDVAVGADRLATLLSKELLPLCRSELPNGGAQAVPPRLGSVGIVAASSVDEENPNQKHGARTLVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKFELVGRINTFTDLKNNVGRSIRVCVIRLVEETNDLIISEKKAWEMTHLKEGTLLQGNVCKIFPYGAQVRITGTNRSGLLHISNISQGRVLSVSDVLKIDDEVKVLVIKSNASDKIALSIAELESGPGLFLSDKVKVFSEAEGMAKRYQEQLPVVSQNSKLDYDLPGGTIPFDNEATLYANWKWFKFLRHTKPGDKTYGT* >Brasy1G048600.1.p pacid=40052595 transcript=Brasy1G048600.1 locus=Brasy1G048600 ID=Brasy1G048600.1.v1.1 annot-version=v1.1 MNFYIDVTYICWSTDFRRRCSSLGHRLCSKNGQIPRRKNYLDYARKKIWRSQTVLV* >Brasy1G503500.1.p pacid=40052596 transcript=Brasy1G503500.1 locus=Brasy1G503500 ID=Brasy1G503500.1.v1.1 annot-version=v1.1 MELLSGPALAWQQYRSLLRKNAALAWRHRRSSALQLLSSLVFIFLIFCIDRAVRSRFSYTTAYQNVPDPQALVAPPIPPCEDKFFVKSPCYDFLWSGGGSARVAGLVDAIRTNNPGRPIPADKVLGFRTPDEVDAWLFENPMRCPGALHFQDINATQLTYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLIIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESSYWLSWLTWEAFLTLLSALFTVLFGMMFQFDFFLNNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIVGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPNVFAQALNILGKATATPEDKGISWNQRGTCQSFETDCVITVDDIYKWLISTFFVWFILAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKMQEGGLCSFFGSNRSADDATPTDEDVLTEQNLVKEQAASNEVDSGVAVQVRGLRKTYPGSFSMGCCKCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDALIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTIKSVAEQSLAQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFTGNGHTQSPNINSNTEAPVNPNIESVKRFFKERLDVDPKEESRTFLTFVIPHQKEPLLTRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGASIQIPKGARFVGIPGTETDEHPRGVMVEVYWDQDDNGSLCISGHSDETPVPANVELGRPPSLSRRASRGRGGPVGYIIDPNQVPTRR* >Brasy1G322400.1.p pacid=40052597 transcript=Brasy1G322400.1 locus=Brasy1G322400 ID=Brasy1G322400.1.v1.1 annot-version=v1.1 MDTEPLRIVICPWLAFGHMLPYLELSERLASRGHRVYYVSTPRNIARLPPLSPAAASRVEFVALPLPRVEGLPDGAESTNEVPEDKRELHWKAFDGLGTPFQEFLAAACADVGRRPHWITADCFHHWAAAAALDHKVPCATLLPSAAMMAASRRTEHAKPMRAVPGYEREYTAPFFSNQGASGMSSIQRCILTAERCTIAAIRSCVEWEPESFPLLPMIVGKPVVPLGLLPPSPEGARADSTHATVRWLDAQPPNSVVYVALGSEVPLPVGRVHELALGLELAGTRFLWALRKPSGVPDDADVLPPGFLERTRGHGLVTMGWVPQISVLAHGALGAFLTHCGRNSLVEGLLFGHPLIMLPIFGDQGPNARQMERKKVGVQVARDEKDGSFDRQGIASAVRAVMLDEEARRGFVANALKMQEIVADKKLQDRYIDQFIEQLRSYINSN* >Brasy1G109000.1.p pacid=40052598 transcript=Brasy1G109000.1 locus=Brasy1G109000 ID=Brasy1G109000.1.v1.1 annot-version=v1.1 MGSLRSSSSGGEKKKMESVDAEEACALLSSGQYRYVDVRTWEDFDKGHVAGARNVPYYLSVTPHGKEKNPDFVDQVAALHAKDDLFLVGCRSGVRSKLATADLVNAGFKNVKNLEGGYLSLLRSADPQPPHQ* >Brasy1G109000.2.p pacid=40052599 transcript=Brasy1G109000.2 locus=Brasy1G109000 ID=Brasy1G109000.2.v1.1 annot-version=v1.1 MGSLRSSSSGGEKKKMESVDAEEACALLSSGQYRYVDVRTWEDFDKGHVAGARNVPYYLSVTPHGKEKNPDFVDQVAALHAKDDLFLVGCRSGVRSKLATADLVNAGFKNVKNLEGGYLSLLRSADPQPPHQ* >Brasy1G254200.1.p pacid=40052600 transcript=Brasy1G254200.1 locus=Brasy1G254200 ID=Brasy1G254200.1.v1.1 annot-version=v1.1 MSAVNLTNVAVLDNPTSFVNPFQFEISYECLVPLEDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREADIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILTDKPRVTKFPINFHPETSAGAGQQQQQPQSASPENHANEGNKPNTDL* >Brasy1G185100.1.p pacid=40052601 transcript=Brasy1G185100.1 locus=Brasy1G185100 ID=Brasy1G185100.1.v1.1 annot-version=v1.1 MASRSSQQLAGLVMLLPAILCLQLPAASRAQLQVGFYDTTCPNAEALVRQAVTEAFAKGSGIAAGLIRLHFHDCFVNGCDASVLLVSANGTAERDAAPNKPSLRGFEVIDAAKAAVEKRCAGTVSCADIVAFAALDSINLTGNVLYSVPAGRRDGFVSTEANATDNLPPPTSTTQELVDRFANKTLTAEEMVILSGAHTIGRSFCSSFLPRIWNTTTPIVKEELSSGYAVLLRSLCPSTPNNLTTTVMDPSTPAVLDNNYYKLLPLNLGLFFSDNQLRTNATLNAFVNNFAANETLWKQKFVAAMIKMGNIEVLTGTQGEIRLNCSVVNNRSSGPFYSGSTASNDEIATS* >Brasy1G185100.2.p pacid=40052602 transcript=Brasy1G185100.2 locus=Brasy1G185100 ID=Brasy1G185100.2.v1.1 annot-version=v1.1 MASRSSQQLAGLVMLLPAILCLQLPAASRAQLQVGFYDTTCPNAEALVRQAVTEAFAKGSGIAAGLIRLHFHDCFVNGCDASVLLVSANGTAERDAAPNKPSLRGFEVIDAAKAAVEKRCAGTVSCADIVAFAALDSINLTGNVLYSVPAGRRDGFVSTEANATDNLPPPTSTTQELVDRFANKTLTAEEMVILSGAHTIGRSFCSSFLPRIWNTTTPIVKEELSSGYAVLLRSLCPSTPNNLTTTVMDPSTPAVLDNNYYKLQRDSLEAEIRGGHDQDGEHRGPHRDPGGDPSQLQRCQ* >Brasy1G392800.1.p pacid=40052603 transcript=Brasy1G392800.1 locus=Brasy1G392800 ID=Brasy1G392800.1.v1.1 annot-version=v1.1 MMLHIYCWYSADLLITAQITFSSVHTPISDDHTSFSMNKHLFLIYVCYYFRRILYSFQWVYSHNTKEYNTPEYPPVKKEYHTTYEYPIKKRVYTPLDVYLAERLKIWTRWTDRCSYSFKKFRWPSFSS* >Brasy1G233600.1.p pacid=40052604 transcript=Brasy1G233600.1 locus=Brasy1G233600 ID=Brasy1G233600.1.v1.1 annot-version=v1.1 MQLLSGVSASRLPCPLLSFARARPRCVPRSSSISACRAASSSAASTGDGGARKLWLFVGLGNPGKMYQSTRHNVGFEMIDVIAEAEGISLSSMQFKAIVGRGRIGDVPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFTKKEQEELDFTFHRGLEAVRIMTVEGFNKSATFVNTVQSSEMLNR* >Brasy1G254300.1.p pacid=40052605 transcript=Brasy1G254300.1 locus=Brasy1G254300 ID=Brasy1G254300.1.v1.1 annot-version=v1.1 MAEAPARQPLLPRKDQEAVGDGETKAAAAAGWRSETGKLAYLALPMVAVSLSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVSGFSLLIGMASALETLCGQAYGAKQYHTLGLHTYRAMATLLAVCVPLSLLWAFMGKVLVLLGQDPPIAHGAGRYIVWLIPGLFANAVIQPATKFLQSQSLIMPLLASSVATLALHVPLCWAMVFRSGMGYTGAALAVSVSSWINAAMLAAYIALSSSCRDTRTPPTVKAFTGVGVFLRLALPSALMICLEWWSFEILILMSGLLPNPELQTSVLSICLTSVTSLYSIPSGLGAGGSTRVANELGAGNPQGARSAVRVMMSMAATEAVVVSGTLVLSRRLLGRAYSSEEQVVSAVAAMVPLVSITVVTDGLQGALSGVARGCGWQHLGAYVNLGSFYLLGIPIAMILGFVLNMGAKGLWMGIICGSISQTMLLSAITFFIDWQKMADQAKERSLSEKESEPGSRILLE* >Brasy1G254300.2.p pacid=40052606 transcript=Brasy1G254300.2 locus=Brasy1G254300 ID=Brasy1G254300.2.v1.1 annot-version=v1.1 MASALETLCGQAYGAKQYHTLGLHTYRAMATLLAVCVPLSLLWAFMGKVLVLLGQDPPIAHGAGRYIVWLIPGLFANAVIQPATKFLQSQSLIMPLLASSVATLALHVPLCWAMVFRSGMGYTGAALAVSVSSWINAAMLAAYIALSSSCRDTRTPPTVKAFTGVGVFLRLALPSALMICLEWWSFEILILMSGLLPNPELQTSVLSICLTSVTSLYSIPSGLGAGGSTRVANELGAGNPQGARSAVRVMMSMAATEAVVVSGTLVLSRRLLGRAYSSEEQVVSAVAAMVPLVSITVVTDGLQGALSGVARGCGWQHLGAYVNLGSFYLLGIPIAMILGFVLNMGAKGLWMGIICGSISQTMLLSAITFFIDWQKMADQAKERSLSEKESEPGSRILLE* >Brasy1G541300.1.p pacid=40052607 transcript=Brasy1G541300.1 locus=Brasy1G541300 ID=Brasy1G541300.1.v1.1 annot-version=v1.1 MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKSLQKIEGVYSVAIDVDNHKVTVTGNVDSETLIRKLTRGGKHAELWSHQKGSSNQGHKGNNQQKQQQQNQKQAANPSKDGHNKNKNNNNQKDQGKHGGVGSLMQGLKAFKSQHNKNQLPELSSEDDDMYDDDDDEFDDEYEDDDLGFLGDKMNQLNFLRQHATAAANAKNKNGNSANVNNNQSNANGKKDGGGGAGAHHQNNHHQNQKNANMINMAAAANAKMGNGAQKNNSAINSMMGLNHGLGAGNAAPSLQGYTGGFSHPSYAATGYGGLQQQHLQQQQNNNLMASMQGYHNNPAAAAAMMNNLRGLNNNMMMHQPQPQPQMMYHRSPQISPYTAYYNPYSYYYQQPGSSGYHQAGNGDVETMFSDENTKGCVVM* >Brasy1G254900.1.p pacid=40052608 transcript=Brasy1G254900.1 locus=Brasy1G254900 ID=Brasy1G254900.1.v1.1 annot-version=v1.1 MTPLHAAPPPLSASAPHHAAVSSSAPPLLFAKPYHPKAAASCSLSATAATPSRKAFLSCPDHSPAAGRSLAPARSAPASSPALISAVQDLYEFICSGPLVDRIGYSREKIAESIDRWLWCGSQVSRLFRLDELRLSDAEKSRIYHFYIPVFLWCEDQVAEHRSKYNQGGEIPPLVIGVSAPQGSGKTTLVFALDYLFRVSGRNSATLSIDDFYLTAAEQGKLRESNPGNALLEFRGNAGSHDLQFSVETLESLIKLTKEGMKMKLPRYDKSAFGGRGDRADPSVWPEVEGPTEVVLFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLYAYYDAWDRFIESWIVIKIKEPNCVFQWRLQAEVAMRADGKAGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGAKKDHLLVIDIDEERTPITGS* >Brasy1G254900.2.p pacid=40052609 transcript=Brasy1G254900.2 locus=Brasy1G254900 ID=Brasy1G254900.2.v1.1 annot-version=v1.1 MDAFLSCPDHSPAAGRSLAPARSAPASSPALISAVQDLYEFICSGPLVDRIGYSREKIAESIDRWLWCGSQVSRLFRLDELRLSDAEKSRIYHFYIPVFLWCEDQVAEHRSKYNQGGEIPPLVIGVSAPQGSGKTTLVFALDYLFRVSGRNSATLSIDDFYLTAAEQGKLRESNPGNALLEFRGNAGSHDLQFSVETLESLIKLTKEGMKMKLPRYDKSAFGGRGDRADPSVWPEVEGPTEVVLFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLYAYYDAWDRFIESWIVIKIKEPNCVFQWRLQAEVAMRADGKAGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGAKKDHLLVIDIDEERTPITGS* >Brasy1G254900.3.p pacid=40052610 transcript=Brasy1G254900.3 locus=Brasy1G254900 ID=Brasy1G254900.3.v1.1 annot-version=v1.1 MDAFLSCPDHSPAAGRSLAPARSAPASSPALISAVQDLYEFICSGPLVDRIGYSREKIAESIDRWLWCGSQVSRLFRLDELRLSDAEKSRIYHFYIPVFLWCEDQVAEHRSKYNQGGEIPPLVIGVSAPQGSGKTTLVFALDYLFRVSGRNSATLSIDDFYLTAAEQGKLRESNPGNALLEFRGNAGSHDLQFSVETLESLIKLTKEGMKMKLPRYDKSAFGGRGDRADPSVWPEVEGPTEVVLFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLYAYYDAWDRFIESWIVIKIKEPNCVFQWRLQAEVAMRADGKAGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGAKKDHLLVIDIDEERTPITGS* >Brasy1G126600.1.p pacid=40052611 transcript=Brasy1G126600.1 locus=Brasy1G126600 ID=Brasy1G126600.1.v1.1 annot-version=v1.1 MGWHYGGHFAAPRYLQDFPRAATDLISACHRLSFFPPASLFPQVRLPSPSRGPPDQQRGHASAQLPAAFPPRKPAPSSTRPSLPRPTNPPLIATGPPPHHVSLPFHSIRTPTLILSTLPHCRLGQERILLAVSPQPIEASRMTVRPDGGCPARSKIEPGRPERQCPCVAGPGEGINKQRCRGGTCFIAKRHVCPGRAITPALTSSGAPPRRTRCLNNRTRVSIFASARSHASGIRWRRTAKMRRTRDTSRQR* >Brasy1G110300.1.p pacid=40052612 transcript=Brasy1G110300.1 locus=Brasy1G110300 ID=Brasy1G110300.1.v1.1 annot-version=v1.1 MKQSHQEIHVDGVPFSDGPPPHPQPQPAPRRPRSRLRIVVAAVIGVCILVGIIMLLVWLIYRPQTIEVAVDRVTLSRFLLNSTTSPPVLSFNLTAGLIITNPNKRASVKYHLLRAEGIYQGQRFDRVALPMSFQGARRADAVRAVLEGSSALGGGQPSGVAAAGNDDDNNRAAVYPVELWIDGVLRYKYGALMTTSASTLSVKCPLLLQLMVPSSSVVCIVNG* >Brasy1G486700.1.p pacid=40052613 transcript=Brasy1G486700.1 locus=Brasy1G486700 ID=Brasy1G486700.1.v1.1 annot-version=v1.1 MRRWQVKGAADRGWREEEMQEGGKCDRALERGQVACSGGGVSRGRQIAGRCRWRGQWRWIEGKKHERGEQEETARRRRAGWPNQPAAAGGAQIRRRAARRARIRRGAAWGARPWLRRGRDRGSSSMSPAGCGRASSPLWEVVHGGEKWGEREVSGEVGREERETTARPWLGEGSLGGYRAGLARLGLNGPGPAR* >Brasy1G260100.1.p pacid=40052614 transcript=Brasy1G260100.1 locus=Brasy1G260100 ID=Brasy1G260100.1.v1.1 annot-version=v1.1 MAAGDEPSITRWSFEDFERYYDARLGIRREPKGDGNDDDDERPLGSGSPGSSPAAVHANGGADLTVFEQFERLDRKVALNNGAMEAGPLQKSLLPPFESAETRNLAETLLRDIIRGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNELVFVLAATNLPWELDGAMLRRLEKRILVPLPEPEARHAMFEEFLPSTPVTMGIPYDVLVENTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGTQEEVPEDELPEVGPIAAEDIELSLRNTRPSAHLHAHKYEKFNQDYGSHVVS* >Brasy1G064300.1.p pacid=40052615 transcript=Brasy1G064300.1 locus=Brasy1G064300 ID=Brasy1G064300.1.v1.1 annot-version=v1.1 MGLYRMRLLPLPPLPEKLSSAKTLRSHVYANYLGSRPVRERWWRWLLLLWVLGWTLASCWIFYYENSQAVEKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHAQTPSAINQATFARYAERTAFERPLTSGVAYAVRVTHGERDQFERQQGWSIKKMYSSKKSPGPGPGDAATAEVREPAEEYAPVIFAQDAYKHVLSFDMLSGDDDRENILRARESGKGVLTAPFKLLNNRLGVISTYTVYKSEFPAYARPQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNEKPISMYGSNDTGGGMYHNSTLNFGDPSRRHEMHCRFMQKPPLPWLAITSSLGTLVIALLTGYIFHATVHRIAKVEDDFQNMMELKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDFVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRIVCDDILSLFCGKAQEKGLELAVYVSDQVPQRLIGDPGRMRQIITNLMGNSIKFTERGHIYLTVHVVEEVMGCLEVKTGTHYTNTLSGYPVANRRRSWESFRLFDMDLHSSEMPFTPVTPDTIRLIISVEDTGAGIPFEAQSRIFTPYMQVGPSIARIHGGTGIGLSISKCLVHLMKGEIGFVSKPHVGSTFSFTAVLTRAQSNGNLNKSSGFKGINALVVDHRPVRAKVAKYHLQRLGVQTELTTDVNQIIPKMNCGPLAAKLVLVDKETWLKESHSMPHLVSKLRNKDQADPPKFFLLENPTSSIKSSSHISSEQNLNVIMKPLRASMLQVSLRRALGGVDKVHCKNGVVGNSTLGSLLHKKQIIVVDDNAVNLKVAAGALKKYGAVVTCADSGKKAIAFLSPPHIFDACFMDIQMPEMDGFQATKEIRKMESKLNEKIESGDVPPECVNVRRWRTPILAMTADVIQATYEECLRCEMDGYVSKPFEGEQLYREVTRFFQNHDQVQ* >Brasy1G238500.1.p pacid=40052616 transcript=Brasy1G238500.1 locus=Brasy1G238500 ID=Brasy1G238500.1.v1.1 annot-version=v1.1 MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLVIPEISRGPARRRRRDGGGDSSSGAAQEEDGTEVVRLRTRRGNEIVGVYVRNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGYDYAGYGRSTGKPTEYNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASQLPNLRAVVLHSPILSGLRVLYPVKKTFWFDIYKNVDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKHSPLWLSGGGHCNLELYPDYIRHLKKFVSSLGKKSAKHDLKEATAKNDSSSKDAEPASSDKPREVAKCRQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW* >Brasy1G238500.2.p pacid=40052617 transcript=Brasy1G238500.2 locus=Brasy1G238500 ID=Brasy1G238500.2.v1.1 annot-version=v1.1 MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLVIPEISRGPARRRRRDGGGDSSSGAAQEEDGTEVVRLRTRRGNEIVGVYVRNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGYDYAGYGRSTGKPTEYNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASQLPNLRAVVLHSPILSGLRVLYPVKKTFWFDIYKGTSDDVVDCSHGKQLWELCKVKHSPLWLSGGGHCNLELYPDYIRHLKKFVSSLGKKSAKHDLKEATAKNDSSSKDAEPASSDKPREVAKCRQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW* >Brasy1G145300.1.p pacid=40052618 transcript=Brasy1G145300.1 locus=Brasy1G145300 ID=Brasy1G145300.1.v1.1 annot-version=v1.1 MRGISICAYSLSALLLLHCYLSLHATELPPGDSSSGVRFQSRKLLQNVLTRSEHAQVFHAAAAAGEKSDAGVAESLKKQASGSKSNPIQN* >Brasy1G511500.1.p pacid=40052619 transcript=Brasy1G511500.1 locus=Brasy1G511500 ID=Brasy1G511500.1.v1.1 annot-version=v1.1 MPRPPSIVSFRPDALAPSPRPVFPEWVLLNKTGLISVDRNETTAECTTSEGQPVAVSFWLVDAPDVSSFSVDCPGIPEKDLHSHPPFIICAEGPFVLFCVVLDVPSWSSFHLFLYTASEEPSLQLLPEPADHVVEDFENQYFAILPSCGDHHRDYAVAFLEWEWQSADIAYPQYYAYVFSSKKRSWTRKKVLLNLHKSEKALFVDAHDITKQMAVGSTSLAWVDLKRGVILLSNVFDQQPVIKYIPFPASRVRIPDDDSRTPSIAVEYVCDVICCKDLIKFVEIECDECRSNGNTWKAITWSRKVSWDNWRKLYTVDVDDISIDSSYAALLPELLDKNTNQLKLKNLISLVPTLSMQDDNLLYMMSKVKFEEGTFWIIAVDMEHVAVKAVAPVSTKTSCTFPMYFPCSFPKYLNYMTPEADVADPFFLLGPLQITAPTVTAEGTCQIGGLEKPGYTKGYKLHRFSDAHLYKKNRKKLPPTMRNRWLILDRVEEKEADMVNPAIIPQVPQQKKRRRGGKKKKKNPAEVLDDRFGLYFHFIIGILTAASIAAVVAYRLISMS* >Brasy1G511500.2.p pacid=40052620 transcript=Brasy1G511500.2 locus=Brasy1G511500 ID=Brasy1G511500.2.v1.1 annot-version=v1.1 MPRPPSIVSFRPDALAPSPRPVFPEWVLLNKTGLISVDRNETTAECTTSEGQPVAVSFWLVDAPDVSSFSVDCPGIPEKDLHSHPPFIICAEGPFVLFCVVLDVPSWSSFHLFLYTASEEPSLQLLPEPADHVVEDFENQYFAILPSCGDHHRDYAVAFLEWEWQSADIAYPQYYAYVFSSKKRSWTRKKVLLNLHKSEKALFVDAHDITKQMAVGSTSLAWVDLKRGVILLSNVFDQQPVIKYIPFPASRVRIPDDDSRTPSIAVEYVCDVICCKDLIKFVEIECDECRSNGNTWKAITWSRKVSWDNWRKLYTVDVDDISIDSSYAALLPELLDKNTNQLKLKNLISLVPTLSMQDDNLLYMMSKVKFEEGTFWIIAVDMEHVAVKAVAPVSTKTSCTFPMYFPCSFPKYLNYMTPEADVADPFFLLGPLQITAPTVTAEGTCQIGGLEKPGYTKGYKLHRFSDAHLYKKNRKKLPPTMRNRWLILDRVEEKEDMVNPAIIPQVPQQKKRRRGGKKKKKNPAEVLDDRFGLYFHFIIGILTAASIAAVVAYRLISMS* >Brasy1G367700.1.p pacid=40052621 transcript=Brasy1G367700.1 locus=Brasy1G367700 ID=Brasy1G367700.1.v1.1 annot-version=v1.1 MARKKVTLQWIPNDATRRATFKKRRKGLMKKASELATLCDVKACVVVYGEGEAQPEVWPSVAEAVPILNCFKDMPELDQCKKMMNQEGFLRQRIDKLREQIHKAGRENRERDTTSLVHKAMVGCLPGLTGLTIEELTSVGWMVEMRLKGLGDRIASVRGQKGGQHQIQASFPAPYGAATGNMMADTGAPSSQMYIQAPQKEAAGWLDMVRSGGDLGALVYSGYTGGSGGADDAMAQFSNLGAGFSWAWGADPGPSGSSFLPM* >Brasy1G220300.1.p pacid=40052622 transcript=Brasy1G220300.1 locus=Brasy1G220300 ID=Brasy1G220300.1.v1.1 annot-version=v1.1 MDSLRLAIAAALFVAAVALAAAAAADDAQLLEEFRAAVPNQASLSGWKAADGACRFPGAACRAGRLTSLSLAGVPLNADFRAVAATLLQLSGVEALSLRGANVSGALAAAAGGARCGGKLEALDLSGNAALRGSVADVAALADSCAGLKKLNLSGGAVGAAKAGGGGGAGFAALDVLDLSNNKITGDTELRWMVGAGVGSVRWLDLAWNRISGELPDFTNCSGLQYLDLSGNLIDGDVAREALSGCRSLRALNLSSNHLAGAFPPNIAGLASLTALNLSNNNFSGEVPADAFTGLQQLKSLSLSFNHFTGSIPDSLAALPELEVLDLSSNTFTGTIPSSICQDPNSSLRVLYLQNNFLDGGIPEAISNCSNLVSLDLSLNYINGSIPESLGDLAHLQDLIMWQNSLEGEIPASLSRILGLEHLILDYNGLSGSIPPDLAKCTQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFSGRVPPELGDCKSLVWLDLNNNQLNGSIPPELAEQSGKMSVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGPIPLELAGAKKLAVLDLSYNRLEGPIPSSFSTLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNSGLCGFPLPPCQEHAGQSASDGHQSHRRQASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNEEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQRLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDYMQFGSLEDVLHDRKKVGVKLDWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKLHAKLKIIDVFDPELLKDDPALELELLEHLKIACACLEDRPTRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDVGFGIVDMTLKEAKEEKD* >Brasy1G220300.2.p pacid=40052623 transcript=Brasy1G220300.2 locus=Brasy1G220300 ID=Brasy1G220300.2.v1.1 annot-version=v1.1 MDSLRLAIAAALFVAAVALAAAAAADDAQLLEEFRAAVPNQASLSGWKAADGACRFPGAACRAGRLTSLSLAGVPLNADFRAVAATLLQLSGVEALSLRGANVSGALAAAAGGARCGGKLEALDLSGNAALRGSVADVAALADSCAGLKKLNLSGGAVGAAKAGGGGGAGFAALDVLDLSNNKITGDTELRWMVGAGVGSVRWLDLAWNRISGELPDFTNCSGLQYLDLSGNLIDGDVAREALSGCRSLRALNLSSNHLAGAFPPNIAGLASLTALNLSNNNFSGEVPADAFTGLQQLKSLSLSFNHFTGSIPDSLAALPELEVLDLSSNTFTGTIPSSICQDPNSSLRVLYLQNNFLDGGIPEAISNCSNLVSLDLSLNYINGSIPESLGDLAHLQDLIMWQNSLEGEIPASLSRILGLEHLILDYNGLSGSIPPDLAKCTQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFSGRVPPELGDCKSLVWLDLNNNQLNGSIPPELAEQSGKMSVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGPIPLELAGAKKLAVLDLSYNRLEGPIPSSFSTLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNSGLCGFPLPPCQEHAGQSASDGHQSHRRQASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNEEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQRLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDYMQFGSLEDVLHDRKKVGVKLDWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKLHAKLKIIDVFDPELLKDDPALELELLEHLKIACACLEDRPTRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDVGFGIVDMTLKEAKEEKD* >Brasy1G220300.3.p pacid=40052624 transcript=Brasy1G220300.3 locus=Brasy1G220300 ID=Brasy1G220300.3.v1.1 annot-version=v1.1 MDSLRLAIAAALFVAAVALAAAAAADDAQLLEEFRAAVPNQASLSGWKAADGACRFPGAACRAGRLTSLSLAGVPLNADFRAVAATLLQLSGVEALSLRGANVSGALAAAAGGARCGGKLEALDLSGNAALRGSVADVAALADSCAGLKKLNLSGGAVGAAKAGGGGGAGFAALDVLDLSNNKITGDTELRWMVGAGVGSVRWLDLAWNRISGELPDFTNCSGLQYLDLSGNLIDGDVAREALSGCRSLRALNLSSNHLAGAFPPNIAGLASLTALNLSNNNFSGEVPADAFTGLQQLKSLSLSFNHFTGSIPDSLAALPELEVLDLSSNTFTGTIPSSICQDPNSSLRVLYLQNNFLDGGIPEAISNCSNLVSLDLSLNYINGSIPESLGDLAHLQDLIMWQNSLEGEIPASLSRILGLEHLILDYNGLSGSIPPDLAKCTQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFSGRVPPELGDCKSLVWLDLNNNQLNGSIPPELAEQSGKMSVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGPIPLELAGAKKLAVLDLSYNRLEGPIPSSFSTLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNSGLCGFPLPPCQEHAGQSASDGHQSHRRQASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNEEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQRLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDYMQFGSLEDVLHDRKKVGVKLDWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKLHAKLKIIDVFDPELLKDDPALELELLEHLKIACACLEDRPTRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDVGFGIVDMTLKEAKEEKD* >Brasy1G220300.4.p pacid=40052625 transcript=Brasy1G220300.4 locus=Brasy1G220300 ID=Brasy1G220300.4.v1.1 annot-version=v1.1 MDSLRLAIAAALFVAAVALAAAAAADDAQLLEEFRAAVPNQASLSGWKAADGACRFPGAACRAGRLTSLSLAGVPLNADFRAVAATLLQLSGVEALSLRGANVSGALAAAAGGARCGGKLEALDLSGNAALRGSVADVAALADSCAGLKKLNLSGGAVGAAKAGGGGGAGFAALDVLDLSNNKITGDTELRWMVGAGVGSVRWLDLAWNRISGELPDFTNCSGLQYLDLSGNLIDGDVAREALSGCRSLRALNLSSNHLAGAFPPNIAGLASLTALNLSNNNFSGEVPADAFTGLQQLKSLSLSFNHFTGSIPDSLAALPELEVLDLSSNTFTGTIPSSICQDPNSSLRVLYLQNNFLDGGIPEAISNCSNLVSLDLSLNYINGSIPESLGDLAHLQDLIMWQNSLEGEIPASLSRILGLEHLILDYNGLSGSIPPDLAKCTQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFSGRVPPELGDCKSLVWLDLNNNQLNGSIPPELAEQSGKMSVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGPIPLELAGAKKLAVLDLSYNRLEGPIPSSFSTLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNSGLCGFPLPPCQEHAGQSASDGHQSHRRQASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNEEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQRLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDYMQFGSLEDVLHDRKKVGVKLDWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKLHAKLKIIDVFDPELLKDDPALELELLEHLKIACACLEDRPTRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDVGFGIVDMTLKEAKEEKD* >Brasy1G220300.5.p pacid=40052626 transcript=Brasy1G220300.5 locus=Brasy1G220300 ID=Brasy1G220300.5.v1.1 annot-version=v1.1 MDSLRLAIAAALFVAAVALAAAAAADDAQLLEEFRAAVPNQASLSGWKAADGACRFPGAACRAGRLTSLSLAGVPLNADFRAVAATLLQLSGVEALSLRGANVSGALAAAAGGARCGGKLEALDLSGNAALRGSVADVAALADSCAGLKKLNLSGGAVGAAKAGGGGGAGFAALDVLDLSNNKITGDTELRWMVGAGVGSVRWLDLAWNRISGELPDFTNCSGLQYLDLSGNLIDGDVAREALSGCRSLRALNLSSNHLAGAFPPNIAGLASLTALNLSNNNFSGEVPADAFTGLQQLKSLSLSFNHFTGSIPDSLAALPELEVLDLSSNTFTGTIPSSICQDPNSSLRVLYLQNNFLDGGIPEAISNCSNLVSLDLSLNYINGSIPESLGDLAHLQDLIMWQNSLEGEIPASLSRILGLEHLILDYNGLSGSIPPDLAKCTQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFSGRVPPELGDCKSLVWLDLNNNQLNGSIPPELAEQSGKMSVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLSRMPSKKLCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMFYLMIMNLGHNLLSGPIPLELAGAKKLAVLDLSYNRLEGPIPSSFSTLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNSGLCGFPLPPCQEHAGQSASDGHQSHRRQASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNEEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQRLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDYMQFGSLEDVLHDRKKVGVKLDWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKLHAKLKIIDVFDPELLKDDPALELELLEHLKIACACLEDRPTRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDVGFGIVDMTLKEAKEEKD* >Brasy1G375200.1.p pacid=40052627 transcript=Brasy1G375200.1 locus=Brasy1G375200 ID=Brasy1G375200.1.v1.1 annot-version=v1.1 MYTHEHIHHRINTDEDIPGSTHSSSSPHEESQLKGETSRKKTYLDPMTRSRAKQIQQEVNALLAAPNDNINENFILPNSSVLLVLRFTTRSHTCCLDHQTTHEQLQNSSPTLLHHCT* >Brasy1G113600.1.p pacid=40052628 transcript=Brasy1G113600.1 locus=Brasy1G113600 ID=Brasy1G113600.1.v1.1 annot-version=v1.1 MAPLGDPFEGEEVHLRSVKGSEMLILSFVCKAIQRVPRILVLKAFSNRTTTRNPGRLLCTRPVCRANPGKLTRQRGLLYPGWLRAHANGA* >Brasy1G153500.1.p pacid=40052629 transcript=Brasy1G153500.1 locus=Brasy1G153500 ID=Brasy1G153500.1.v1.1 annot-version=v1.1 MAASARPFLQSSFVSSSASAYPASFPAAVSSSLAFTSASSFAGSSLGIEFSYHRLTTRRPRGLQIRAGKAALCLTKRSRSRKSLARVHDFRRRMRTTSGRKVLKHRRAKGRKILCTKTNSPTGMK* >Brasy1G391300.1.p pacid=40052630 transcript=Brasy1G391300.1 locus=Brasy1G391300 ID=Brasy1G391300.1.v1.1 annot-version=v1.1 MGFLSCLFRCPEDGVAAAAEEEECSRVAHQDASVEFSESSESCPLKTESMDMEGTQRNGAHDEALIFTMRELADATNNFSPDFLLGRGGFGCVYKAYMNGGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLLGYCVDGDQRLLVYEYMPLGSLEDHLHDLSPNQQPLDWTTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYASTGQLTIKSDIYSFGVVFLELITGRRALDSNRPREEQDLVSWVGFVNLRCS* >Brasy1G233900.1.p pacid=40052631 transcript=Brasy1G233900.1 locus=Brasy1G233900 ID=Brasy1G233900.1.v1.1 annot-version=v1.1 MADRRPELRRSMTLSEQLSTPDQAIRDFLKIPNDDSHLEDADRPAADSGGSGGMWKPLRDRLRLRRAANAWSSTPSQKSPTTSSDGGGTTGGNLKNNNNNRSNKYIYAPGEATAAFSRTTSLRQTPTFSRATSTRVGPNAAVGRMDRAREIGREEASDEESEHDEEDDEEDEEEKDEEDAEAPAAQMSLMALLGQSDNTWDEDDEDEDGDGGAGGKDGEAHGAGGDEDDGDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF* >Brasy1G226700.1.p pacid=40052632 transcript=Brasy1G226700.1 locus=Brasy1G226700 ID=Brasy1G226700.1.v1.1 annot-version=v1.1 MDEKTQKKVEATVLEILRGSDRESSTEYKVRSAAADRLGIDLSLPDRKLFVRRIVEDYLRSLIEEDEQKQQAGSDEEGEKKPLEEEEEKEVVEKEEEDEKEGGAGNKEFDDNGDLILCRLSNHRRVTLQEFRGMTLVSIREYYLKDGKEMPTSKGISMTVEQWETFRNSVPAIQDAIKNLGESE* >Brasy1G463200.1.p pacid=40052633 transcript=Brasy1G463200.1 locus=Brasy1G463200 ID=Brasy1G463200.1.v1.1 annot-version=v1.1 MDNREKPLPEAGGRGGPGGVAEEDAAAAQVGVDPAPDVTAANDGGGGRILDGEKGDAAEGFVQADVKRPPGVGAAQKGGGDEVGEGDVKCEPDSVRSELNNSAMDPLVGHDHQVTQNRAGNGTPTAAESDEDADLFVEVEQQELAGSDQSEEFFDNAEASVAGESVDVEGDAIEDGTHAEIRVEDDTAHDEHRERLEEEAMLTAIRQCVTDAVLAEHMEEQPEKGGEDVPACVGNPDIPVSSSGEPEHVKEAKLDDQGTEQPEYSEGGIPTRDSKLEVPTQFGGEPDVVVEELAESNSSDDENKASSAPARPSSSAAGHSNGSSLPSRPAGLGSSTSLLQPPARPAQQVRANGPVAVGRDTRQDIESAGDDGDENDEIREKLQMIRVKFLRFANRFGQTPHNMVVSQVLYRLGLAEQIRSNTNTGHGVFSFDRAQEMAERLEAAGNEPLDLSCTILVLGKTGVGKSATINSIFDDVKLETDAFESSTRKVQEVVGMVEGIKVKVIDTPGLSCSSSDQHYNQKILNSVKRLVSKNPPDIVLYFDRLDMQSRDYGDVPLLQTISKIFGASVWFNAIVVLTHAASAPPDGPNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANVLLKLEDSPTGKPSSARIPPLPFFLSSLLQSRAPLKLPEEQFGDDDDLEDVVDDCGSDDGSDYDDLPPFKRLAKSQLSKLNHAQRKAYLEELDYREKLFYKKQLKEERLRRKMLRKMAEEARARTDDFSDSNVDGDDSTPTNVAVPMPDMVLPSTFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNIERLFAVKGKVPLSVSGQLTKDKKDSSLQMEVASSVKHGEGKTTSLGLDLQSVGKDMAYTIRGESRFKNFRRNNTAAGISATLLGDSVSAGVKIEDRLIVNKQLRLLVSGGAMSGKGNAAYGGRLEATLRDKDYPIGRMLSTLAISVVDWHGDLAVGCNVQSQIPAGRSSSLIANANLSNKGTGQVGIRLNSSEHIQIALIAFVPIYQNIRKLLDKYSESA* >Brasy1G229900.1.p pacid=40052634 transcript=Brasy1G229900.1 locus=Brasy1G229900 ID=Brasy1G229900.1.v1.1 annot-version=v1.1 MDATVAKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAGAGSAEQLQREGRVLAGLCSPHIVPCLGSRAAPGGEYQLFLEFAPRGSLADEAARSTGGRLPERAIQGYAADVAQGLAYLHGNSLVHGDVKPRNVMVGADGRAKLADFGCARSAAADSGRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDTDDVFAAVHRIGYTDAVPELPGWLSPEAKDFLGKCLARNPRHRPTAAQLLEHPFLVSACREAEPAKQDWMSPKSTLNAEFWESDEEDETEDMLRSATERIGSLASACVALPDWDFEDGWIEVHGGHPEASSETTTATVMAGADFGPWSEEALEAEVDVQLVDVDVVPTVQGAHDSVECDRYLSVLVASAKQFCLLPCRGSKFVEFISHCDGQRTIKFDFAQNSSFPELSFACCLHFNFHSRVGRVETVCWFKLIF* >Brasy1G427200.1.p pacid=40052635 transcript=Brasy1G427200.1 locus=Brasy1G427200 ID=Brasy1G427200.1.v1.1 annot-version=v1.1 MLIGTAHGERLANITKNPVLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIDVKWSMWTSIKYVSFQLCINMVKALRSGLKF* >Brasy1G407300.1.p pacid=40052636 transcript=Brasy1G407300.1 locus=Brasy1G407300 ID=Brasy1G407300.1.v1.1 annot-version=v1.1 GRPEDALVLLASTWAEREMADARGYLPGKAGHCEKSNDPDCCEDGKKYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEMVVALSTGWFENMGRCGHRIKITANGKSVYAKVVDECDSVYGCDDEHNYEPPCDNNIVDASPAVWNALGLDQNVGMEGITWSDE* >Brasy1G406000.1.p pacid=40052637 transcript=Brasy1G406000.1 locus=Brasy1G406000 ID=Brasy1G406000.1.v1.1 annot-version=v1.1 MANGNKLAALAVLVILLHASAHCAAVLRPSRKVDGGGDGGTRAVMTENGFERGGSGGGPAECDGKFHGDKDLLVALPTASYAGGRRCFQKIRITSAQTGRTVEATVVDECECRGNIVDTSPAVWKALGLDTNIGEVPVTWSDA* >Brasy1G065700.1.p pacid=40052638 transcript=Brasy1G065700.1 locus=Brasy1G065700 ID=Brasy1G065700.1.v1.1 annot-version=v1.1 MQARREIRTREERREDEHAAVPETPLGSARWSPETEIGMRVEDIWDSLEQQQLSRGDKLNSCFDAIPVSSFPQTFGSQLVDIPSDATLAEAVDILSRNRINGAPVRNVDAPDDASWIDRYIGIVEFAGIAVWLLHQSEAGAALGADELAAKLGTVALEGNSKPQPAAAMEAVAAMEAFGSLPSSELFKKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNIITQAAVVHMLSECVGLSWFEDWGTKTLADLGLPIMKPSRLVKVREDESALKAFRQMRKRGVGGIPVVDGADKPMGSIMIKDVKHLLTASEANRDYRTLTAKEFIASARQSSGEKQMSIITCTGDDTVKDIILRLDAEKRQRIYVVDAEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPMPQNSRV* >Brasy1G003300.1.p pacid=40052639 transcript=Brasy1G003300.1 locus=Brasy1G003300 ID=Brasy1G003300.1.v1.1 annot-version=v1.1 MLHVRPAPGPAPSNFSRLNLSRTYAIKSSVGGRTGICLGQCYLIKSIACKCKLSWSSQPPPHSRQHFRPCSSASEALLTSQSDIRKLYVVNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRAFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQGEALMEALCEKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLVEKELLNFPEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELERRGMGNPCTLAYQSRVGPVEWLKPYTDETIIALGQRGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDMLPPPVIVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGHGFLHQWGILPPLP* >Brasy1G389500.1.p pacid=40052640 transcript=Brasy1G389500.1 locus=Brasy1G389500 ID=Brasy1G389500.1.v1.1 annot-version=v1.1 MLPVSVHDQIARSDVPNQSRPFMGAKNEQRISFIDLGR* >Brasy1G466500.1.p pacid=40052641 transcript=Brasy1G466500.1 locus=Brasy1G466500 ID=Brasy1G466500.1.v1.1 annot-version=v1.1 MGRRVWVLLALLLMATAVAAAQTQTMGQDAIWKKTIWRGGGRPAGSRPKSKGDDDSSTKGDPHAEEGASGGGVVRGDKGGRGGGGMDNVRRPG* >Brasy1G492600.1.p pacid=40052642 transcript=Brasy1G492600.1 locus=Brasy1G492600 ID=Brasy1G492600.1.v1.1 annot-version=v1.1 MSDFLMGSGFERLLDQLAQIEAGGFGAARPCDNPPASKAAVESMPVVVVAACHVGADSHCAVCKEPFELGVEAREMPCSHMYHQDCILPWLALRNSCPVCRHELPTDVPRPPASDQGAAEDQGSNTGAEAGSEEETVGLTIWRLPGGGFAVGRFAGGRRAGERELPVVYTEVDGGFNNGPAPRRISWSSRGSRSSQSGIIRRMFHNMFACFGHAHSTTNARASSSRSEWSSVFTRGLRSRSTSWRSQDGHADAIAR* >Brasy1G016700.1.p pacid=40052643 transcript=Brasy1G016700.1 locus=Brasy1G016700 ID=Brasy1G016700.1.v1.1 annot-version=v1.1 MPSSKPTPQPLSLALPSADGRSLQDFPSLFSDLTSLLLHHTPASSSHAAVFSSSSLSIPIPTPAAQAPAPAQAQVPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAGAASRGSWQVFLDILRADGPLGLYRGLSAVILGSASSSAIYFGTCELAKSLLRPHLPPFLVPPLAGASGNISSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYTATLLRNLPAGVLSYSSFEYLKAFTLKQSDRDNMTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRILHSACFAAIGYCAFETARLAILKSYLEGCERKAAAEMKTGVAPV* >Brasy1G062500.1.p pacid=40052644 transcript=Brasy1G062500.1 locus=Brasy1G062500 ID=Brasy1G062500.1.v1.1 annot-version=v1.1 MTAAAAAEAGGERKAEALVRDDAPKLLLALKEMKDGLDVVRSKVESLTRKVRKNQLPTGDGIGYLEAKHHLLLSYCQDLVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSAAAQEKVPDAEVNGKGEQRDEEDLLKYRPNPDMMDTKIAPDGQGNDGIYRPPKFVPTEDKRNKDASRRAIALARSATENPYLKEIIDDAADRPEEWKDTVGDESKEFVHYKRQREKQEKAEEELFTRAPVTKREKYIEKQMKNRLHGLEGLTDGFDLGMNMLFDGDKDDGGGSSEPRGKGAGRRKHQKGGKKRKRH* >Brasy1G450200.1.p pacid=40052645 transcript=Brasy1G450200.1 locus=Brasy1G450200 ID=Brasy1G450200.1.v1.1 annot-version=v1.1 MAGGEHDAPPTSEQHLYLVFDDWPWGYSIRKLNLPPRSPRLRPPLEPEHKRLPPPCICLEATRRQPLFFAAVGTSIIAAHRRNDFWNAPVPECILPIFDVRSLGLRFGPGLLCPAQPILITAGEDEAWSWQDLPPPPLNGMDVTSFALGSDGRTIFASSDRATFAFDTVSSEWRRSSDCSLPFAGPANYVHALDIFVGLSKDPDTYGHLCFCRELGGGDENVVRLGKENLLSKDPAESHAGATLVYLGGGSEPPGFCLVECVSITQGKSVNMRLEECDQQLVECVDEGGGNCGELDQLKKKNVDEASGSSMHECGELDELKKNVDEGDGSMHDRYIYLGRD* >Brasy1G224400.1.p pacid=40052646 transcript=Brasy1G224400.1 locus=Brasy1G224400 ID=Brasy1G224400.1.v1.1 annot-version=v1.1 MVAKKPRIVIVGAGISGLSAAQQLCRAGRGDKFDVVVVEAGPRAGGRVFTSEFAGHRVEMGATWVQGIIGSPVYALARDAGALTEDAGGHLPYERMDGPFPDDRVLTVAEGGVVVDADMVAKPVEELYRGMMDAARAGEAVAEGGSVEEYLRRGLRAYQSARTNGSGSKVKEVEEALLAMHINRERTDTSADALGDLDLAAEGEYRDFPGDHVTIPGGYTRVVERLVAALPPGTVRLGLRLLRLDWSETPVRLHFAEDGAGELAADHVILTVSLGVLKASIGKDAVSAAGAIAFHPPLPEFKRDAMSRLGFGVVNKLFVELEAVEPEAEGGGEEQQLAWAADFPFLHMAFVGHVATIPWWMRGTESICPVHAGSSVALAWLAGREAAHLESLPDDDVIRGVQVMLDSFLPATSSSGATSRWRVKRIKRSGWAADPQFLGSYSYVAVGSSGEDLDRMAEPLPRGGVPEADRPLRVLFAGEATHRTHYSTTHAAYLSGVREANRLLQHYP* >Brasy1G514800.1.p pacid=40052647 transcript=Brasy1G514800.1 locus=Brasy1G514800 ID=Brasy1G514800.1.v1.1 annot-version=v1.1 MEVRPSCQGDDGEHGIRLPRPRAGHDHHGSGRPPICGPTPTNRRIRPPSVWPLLDLEGSRSPAWKGSRRSSSGREPPSPSRRLRAPAADRSARPSLAPDAGRSPRCPRAGSGEVAVGSSLLQPHGMSLSLSLSASLVLSLIFSLSQIVAGRRCVEADRRASAIFV* >Brasy1G453300.1.p pacid=40052648 transcript=Brasy1G453300.1 locus=Brasy1G453300 ID=Brasy1G453300.1.v1.1 annot-version=v1.1 MHSLCVTKFAAIINGYVNRFSPYIWQCALVPSSPLVCLLQAKSIIGEQ* >Brasy1G307400.1.p pacid=40052649 transcript=Brasy1G307400.1 locus=Brasy1G307400 ID=Brasy1G307400.1.v1.1 annot-version=v1.1 MAWTATATLCCRLVRAPAVRRRAPHRTLCSAERSTDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSASFVEPTGPPDWKEVFEDPLLPLMVDIGCGSGRFLVWLAKNARERRNYLGLEIRQKLVERTQFWVTELGLRNVYFMFANATVSFEQIVSSYPGPLLLVSILCPDPHFKKKHHKRRVLQTQLVDSITKNLCLGGRVLLQSDVLGVAADMRERFDGYSDVFEHADCIDKNLRCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDVPRQKTSAVQWISALPRPQAVMG* >Brasy1G307400.2.p pacid=40052650 transcript=Brasy1G307400.2 locus=Brasy1G307400 ID=Brasy1G307400.2.v1.1 annot-version=v1.1 MAWTATATLCCRLVRAPAVRRRAPHRTLCSAERSTDAVDREYADLNLRPLYPNHVNPLSASFVEPTGPPDWKEVFEDPLLPLMVDIGCGSGRFLVWLAKNARERRNYLGLEIRQKLVERTQFWVTELGLRNVYFMFANATVSFEQIVSSYPGPLLLVSILCPDPHFKKKHHKRRVLQTQLVDSITKNLCLGGRVLLQSDVLGVAADMRERFDGYSDVFEHADCIDKNLRCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDVPRQKTSAVQWISALPRPQAVMG* >Brasy1G326200.1.p pacid=40052651 transcript=Brasy1G326200.1 locus=Brasy1G326200 ID=Brasy1G326200.1.v1.1 annot-version=v1.1 MIRKFRVPAGEYPPGSWNILPGRANRPSPAFAFLACLAVHGRDTTNHHLLLLPVPALRYASLPLSTSSFRSPMDLLVVRPPRAWSSRPSGLITLLLYQAAVVALPAIPRCRRLPPALPEAAHLARVPSRPRRCRSPSSHRRGPSSSPCCRSSSPRRGRRPPCTRPCRVPCSPRRRCSASARHCCPSGAVPPPTSQLATAFLCVLPLKGKRKN* >Brasy1G298600.1.p pacid=40052652 transcript=Brasy1G298600.1 locus=Brasy1G298600 ID=Brasy1G298600.1.v1.1 annot-version=v1.1 MERRQWRRHGRARGRRGEGVGEGREVAGGGEGGGGRWPAGREGGGGEEGRRGGSGGGGEGGWRRGGGEEAGAGGEGGGRRGGGEEAGAAGREGGGGGRRGGRGEAGAGVEGGGRGEEGRKRGRRGGWGEAGAGGEGGRRRGPAGRPGAAGRAGGSGEGGGEPGAAGGEGGGEGGRRGGREEAGAGGEGRGRRGGWGGAGCGGGAGGGGEGGGEPGAAGNGRGGRGREREGESKVLGGVYFF* >Brasy1G022800.1.p pacid=40052653 transcript=Brasy1G022800.1 locus=Brasy1G022800 ID=Brasy1G022800.1.v1.1 annot-version=v1.1 MAIRLLSRQNLRKLAASFTLLNPSQKILLPPPPAALRTGAITPSKCLSPFCPFVNSLGVRWASYESVNLVLSSDGKPKFEIEEVEPSKKGRYLTKRCLKLQRKREKRKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKRYEVAKAQGPEAKPDDLNGEERFYLKKVSQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGVPIHIVGNDTVVFYRGKDYVQPDVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYQHVALYGKPQSQNAGLVYGVDRKASSLEMEELNHGKDQGSHLATNTNGLYNIDIADTSESEEDGFSSDSDVNNDSTGDVRDGSEDTVVSDHGVF* >Brasy1G548100.1.p pacid=40052654 transcript=Brasy1G548100.1 locus=Brasy1G548100 ID=Brasy1G548100.1.v1.1 annot-version=v1.1 MKLHSTRNGIPGPDEVLEAPAHVGLLVVPAPYRCPGSTPAPMNTARSVSVSLPSHRVPGLPPIAEHHPHGARPSIVPVAADRRPRRPPGRHLSSAGRGLGGAGRQRSTRSKELQLRILRSITSFPIFSFFSMISKRINKRLFWERREEYVARRQDEIFILADPRHARQRRRRPAVLPPRAARGRGGGRRLTGEAEDGGGARRQRWEKPTMGATPGWPGAGVAPAAAGQEGDMRVGVGARANWEEETGKD* >Brasy1G447500.1.p pacid=40052655 transcript=Brasy1G447500.1 locus=Brasy1G447500 ID=Brasy1G447500.1.v1.1 annot-version=v1.1 MQKKKKKTLPANGEEAVEEARQGEGEEGRRGGGGEGGGRRRGGWGREVAGGEGGGRRGGGEKGRKRGRRGGRVEAGRRGGSGGRRGGRGEAGRRGGSGGGGEGGWRRGPAGREGEAGAGVEGGGRGEEGRKRGRRGGRGERGGGEEAGAAGRVGGGGGRRGGREEAGAGGEAGGGGEGRGRRGGWGGAGGGGGGREAGREGGGEGGRRRGPTGRAGGGGEGGGEPGAAGGPGAAGRVGGAGGSGEWEGWARARERGRE* >Brasy1G361900.1.p pacid=40052656 transcript=Brasy1G361900.1 locus=Brasy1G361900 ID=Brasy1G361900.1.v1.1 annot-version=v1.1 MGGGHDMHGGGGGGVKGFVSNLVTGGKGHGSYGAHGYGQQGHGSYGGGGHGYGSSYGGGGHGHGYEQGYGQQHGHGYGGHGYEHGYGGHSQHGYGNEHGYGGHGHQQQHGYPPPVAAGAYPPHGGYGQAHGGYAPAAYPSHGAQHGHMGMGSYHTGHGGGHGGKHKGMFGGKHGRKWK* >Brasy1G033000.1.p pacid=40052657 transcript=Brasy1G033000.1 locus=Brasy1G033000 ID=Brasy1G033000.1.v1.1 annot-version=v1.1 MAIMPDQDRAALSAPLLPRPVSLSSSSSSFSASSSPAADAAAAAAAAPTPMPMPRDNACCKALAVLRDAHAGGGSVSCLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGGEGAVKALVASGGRVFSAHQDGRVRVWRVSRCYRSENAFKLVAALPTARDYLGRVFRQASYDGAAQQSRRRRGGRRRLWIEHADSISCLALRDGNVYSGSWDKTLKVWRMADLKCIESIRAHDDAINAVAADAGIVYSASADGRVKAWEKGKAIGGSSHSLQGILIARDGVSWNAVAVSADGGGRRRVYAAGSDGHVVGWDRLGGGGWSMAYDVKAHAMAVLCLCVAPGGLVCTGSADKTIGLWRQQTSGSGGGLSRIGVVGGHEGPVKCLQASWCRASNGCMVYSGGLDKSIRVWWVPNGRNGGDELRNEKITKDDHDHKPRVFLR* >Brasy1G542300.1.p pacid=40052658 transcript=Brasy1G542300.1 locus=Brasy1G542300 ID=Brasy1G542300.1.v1.1 annot-version=v1.1 MDGSYSSKRTAEAQQEGEHSAKRLNVTVGMETLDCPICYNPLEPPIFQCSVGHFICSSCRGKQLDKKCPSCCIKTSFKRCFGMEHVVQSATVPCSNAKYGCAVKVTYYHKEEHEKACPNNPCFCPESGCGFAGPTMALLDHCTTQHKCPSTTLPDSAFSADSGMVCLCLQPGLHLLRCGRSPFGHAISVVCVQPNVTKPKSCNMKYECSTTGYCEISSCQIRSSSLSDGLPTGYDLILPKGKVSDDRNGIRLRTTIIQPLSFSLVTSCLQGKGLTPTLQEQPLIQEQPFAVRHIPDDSDSDPDDARPLIIAARRIPADSMNVP* >Brasy1G209100.1.p pacid=40052659 transcript=Brasy1G209100.1 locus=Brasy1G209100 ID=Brasy1G209100.1.v1.1 annot-version=v1.1 MAANNGASSTGGRAKPHAVVIPYPLQGHVIPAAHLALRLAARGFAVTFVNTESVHQQTARALGVPGAGYDIFAAARAVKEEEEENKLDVRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVEELLSRLVGDVDQAASTCLVADTFFVWPATLARKLGVPYVSFWTEPALIFTLYYHMDLLAKHGHFKCQEPRKDTITYIPGVPAIEPRELMSYLQETDTTTVVHRIIFKAFEEARGADYVLCNTVEELEPSTIAALRAERPFYAVGPIFPSGFARSAVATSMWAESDCSHWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFVAASAGRGLVVPWCCQVEVLSHAAVGAFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVAREWRVGVPVGDRGAVFADEVRARIEGVMAGEEGEELRKAVKKVRATLEAAAAPAGSSQRSFDQFVDELTRRCGGR* >Brasy1G209100.2.p pacid=40052660 transcript=Brasy1G209100.2 locus=Brasy1G209100 ID=Brasy1G209100.2.v1.1 annot-version=v1.1 MAQGGTDVAARRGGGKPHAVVVVYPLQGHIIPVTHLALRLASRGFAVTFVNTEAVHDQTARALGVDPAGYDVFAGARAGWPAEMDVRYELVSDGLPVGFDRSLHHDEFMEALFGALSGHVEALLRRVVEDPASTCLVADTFFVWPATLARKFGIAYVSFWTEPALIFNLYYHVHLLTKNGHFGCDEPRKDTITYIPGVPAIEPRELMSYLQETDTTTVVHRIIFKAFEEARGADYVLCNTVEELEPSTIAALRAERPFYAVGPIFPSGFARSAVATSMWAESDCSHWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFVAASAGRGLVVPWCCQVEVLSHAAVGAFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVAREWRVGVPVGDRGAVFADEVRARIEGVMAGEEGEELRKAVKKVRATLEAAAAPAGSSQRSFDQFVDELTRRCGGR* >Brasy1G255000.1.p pacid=40052661 transcript=Brasy1G255000.1 locus=Brasy1G255000 ID=Brasy1G255000.1.v1.1 annot-version=v1.1 MELLSTEDTQPKPRLIVRLGVFLASHHILFSVLCCSAGIIALLFLPSLAKNTYLSENALIPGSANPLFSNEDVMEANKFIRGVEAVAGESRGGIGMPKFIAQQIKDLGAEVCYHEFLPHSKHFHPLKFFTSMTKDLPIEPNGTYTNYGTNTIGIIRAPRGDGKEAIVLVTPYNSQRAESNEVLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYTAVSAWLNQYHNPMFLGHPAMLDTKLFDVTHEPDGVTEKAGFMDFKRAGTMAAALIFKVGETRNHGDRDSVMMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIERINSLLSSAWLRVIAEVIQTLGSLLRKINPDWKLDIKAPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFDLRNENAKSSFIVRGGRLIEGVVRSVNNLLEKFHQSFFLYFLAAPSKFISVGVYMIPFALLLAPLPIVAAALADSKTKGKSLDGSKTRGSADKMQTEGGSWKWLQAARVLLVIQFWAVVVSLLQYYISQIPDGTPIQSAVIWVVLSIVILIVLYTMFGSPYSTGVEWKLLKVTMITSISIGLGLMSIINFATAQLGALIVIPMCLFSRPLKAQVGRNSLPRAVLLAVNIFIAVVGFPPAALLIMKGVSKGSWSLDIGEFWVSMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCCQAGPKVKRE* >Brasy1G371600.1.p pacid=40052662 transcript=Brasy1G371600.1 locus=Brasy1G371600 ID=Brasy1G371600.1.v1.1 annot-version=v1.1 MSVCPLNLLARHGLLAIPAVPGLSPDRRVPAAHGLSPGRRRAPSPPPPGSASTVTSPPPTGSAPVPGFSMQSTTLIDRENETRSIIFLSIDAPPLHSRRILLVEANSAASGELRRGGGAAAGEMKEGRGAIDGENGPREGRRCGGDAEGEGRCRWGKWRRGGPPLTWKTSLGRRRTGNGETPSHAKKEEGRRGRGQQGLQQAAGARQLIEDDVRKSTRKTSREQHRRREQTGDARKRNIMRVLVERCTQPSR* >Brasy1G481400.1.p pacid=40052663 transcript=Brasy1G481400.1 locus=Brasy1G481400 ID=Brasy1G481400.1.v1.1 annot-version=v1.1 MEIAADAAASGGCRIRDLPPSKRFKFVGSSSLGSAPCLPLPETGHVPVCLPAKKRAYAPAVAEDALKAFLPAKKRAYPPPLDDIVPACLPAKKRVYVPPPPPLEDAAARPSVPAKKRVHPLLPPGGAAGAAAAPSSSAKRRVHVPLPPVPEDAAVSPPIPLRKRVQPLLPPGGAPAAPSAPAKKRVHVPLPPPPADAAASSSIPAKKKVQPLVPPEAIAAPLSIPAKKRAQTPSPAEDDSGLAPVCLQANKRVMSPFICPSPCPPMESDGARVTPVKQARSQRSVKRGGASDPRSAKGAVDCTRAEAFKMPADKPVKPKEVQEQVSVKSCRASTAKESSDLHRKKLCDVVNGRRSEVEAEVLKKSERVVDQVEAPAREEESKKEAEEVAPERNQEAAGEEEEEEDGVLCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGSPLAQSIPEGDWFCSLCSDKATATTKDGKPARPPCRLCPARGGAMKRTTDGAWAHIACALLVPEVFFQDPDGRDGIDCSRVPGHRYTKRCYICESSRGCALECSQPKCGLGFHVSCGLKGGLCIEYREEKAGAVVAGFCREHTKLWEKQQLTGKYKIVSRGHA* >Brasy1G357000.1.p pacid=40052664 transcript=Brasy1G357000.1 locus=Brasy1G357000 ID=Brasy1G357000.1.v1.1 annot-version=v1.1 MYNIAGCLGNYGEMNHTVKMAVDDIRAGRTEVAAGKLSGAAGKPGECDSSFSKRSAKKNPMRKENQDAKSLSYMAYGITMEALQGKLLAVADASSATITKACAGLSNFTTHVDYDFCVLALAADSAAGAAKDARALAVVTANLAAANVSATLLVLDDLHESLSACLSAYKWMTNMLAAAAYNIHAGHAQTASGLLNTAVGDPELCDMRLFAGSTKKNPMTKENNDAISLSYLAAAIADRLYAS* >Brasy1G114500.1.p pacid=40052665 transcript=Brasy1G114500.1 locus=Brasy1G114500 ID=Brasy1G114500.1.v1.1 annot-version=v1.1 MAPCFSDLPAEALDEIARRVGALDNVTCSAVCKPWRRALNVIRLGPLKEPAQPHRLTLEHTYQYLSVTEPRKTWTRKVRLSPGSATTFLDHKSGLAFPTRIIGCSFHGWLVIADDARGMSLLDPLTGMPPIARSRRRGKALQKELDERGDDMFQKAALAPGRRLGTFAVMLIHSGGRGLSFLAPGARHWTTLREPAWAPKRYLDVVSHKGAFYTVSLDCELNAWVPDGSSTGVRAKLLASPRTERAWAALVESKTREGLFMVSTHSIRSYGVRGPFQVSRYDEREGKWVKENRDENKFLVGHNGSFCVSGRGVDERSLHLRFQSCWFLPYVAPEFPSRS* >Brasy1G503700.1.p pacid=40052666 transcript=Brasy1G503700.1 locus=Brasy1G503700 ID=Brasy1G503700.1.v1.1 annot-version=v1.1 MAMATALRRFACLALVLLLLLHITTVDHPHRATNDAVPVPPRAFLLRAMDTGMPSTGMGHAPSPPLTPYSEGSPLRRLDDVSASASATASEEDAAAASPPHDVAVVPSTRPPTTVQAPSCVCTCTCTRRGAAAPLIGLTRALPLLLVAPFLPPPLAALAALSSLASPARARVSDDCFQLTHATCAVYPYDADTRTVDRSYPVADMRSVCLHPLCEAHSCLRNISTACGELNGRWGDPLHAYCTVATLEAKGDAWTYLPAQLPLADPHGVAASGGEVCYVELTHFSYREGYYIRCPLYDCSHVPRLSCTEFPPAAVASAVWEHRRTTYRDTVGQQFVRYNYDIVGPLAV* >Brasy1G577400.1.p pacid=40052667 transcript=Brasy1G577400.1 locus=Brasy1G577400 ID=Brasy1G577400.1.v1.1 annot-version=v1.1 MSFICTQEISPNAAMAMDAAVSMSLFPTMAGHPLARRTNSFAGSYKKKRRIPMKRVCCSTNDEEEVDDLGVNVALSMLKFYKREISPFLPSSCRYVPTCSDYSMQAYRRYGVAKGTILTAWRLCRCNPLGGQGYDPPRWFGEEELPEE* >Brasy1G577400.2.p pacid=40052668 transcript=Brasy1G577400.2 locus=Brasy1G577400 ID=Brasy1G577400.2.v1.1 annot-version=v1.1 MAMDAAVSMSLFPTMAGHPLARRTNSFAGSYKKKRRIPMKRVCCSTNDEEEVDDLGVNVALSMLKFYKREISPFLPSSCRYVPTCSDYSMQAYRRYGVAKGTILTAWRLCRCNPLGGQGYDPPRWFGEEELPEE* >Brasy1G577400.3.p pacid=40052669 transcript=Brasy1G577400.3 locus=Brasy1G577400 ID=Brasy1G577400.3.v1.1 annot-version=v1.1 MAMDAAVSMSLFPTMAGHPLARRTNSFAGSYKKKRRIPMKRVCCSTNDEGEISPFLPSSCRYVPTCSDYSMQAYRRYGVAKGTILTAWRLCRCNPLGGQGYDPPRWFGEEELPEE* >Brasy1G515600.1.p pacid=40052670 transcript=Brasy1G515600.1 locus=Brasy1G515600 ID=Brasy1G515600.1.v1.1 annot-version=v1.1 MPRPLHPEINWLSTNQIGWMDLRTVQKWRIHFTQENVSICRGFLYPPPFGAAGAPSLGLALTTFESIGGRALPLSSCHDEKLLGDYARLKITDALQSSPPRKATDPRQASILSPV* >Brasy1G173300.1.p pacid=40052671 transcript=Brasy1G173300.1 locus=Brasy1G173300 ID=Brasy1G173300.1.v1.1 annot-version=v1.1 MDFNLPLLKQLTLTHVSISGEVFHGLLSGCHALESLSIWAVQAAGCLRVFSPTLRSIGFHEYSSRKAEELVIEDAPRLERLLLPYISLACSVTIRVIRAPQHKILGPFTPDISKLQVFQGMNLVSLENSMRTVKVLAVGSCNHQLNALLSALRGFPCLEKLYVIFYRLYGMDKENEPRYDDRLQPIECLHNHLKTVVLKFYSGNEKQVAFARFLVLNSKVLNKIEFEVHEDYKKQSMARIHSLLKVENRASRDIQIEFRGNCYFPDNHAKRRIHDLSMTDPFR* >Brasy1G345900.1.p pacid=40052672 transcript=Brasy1G345900.1 locus=Brasy1G345900 ID=Brasy1G345900.1.v1.1 annot-version=v1.1 MESPSSSPTLQDHLSVTTGPLHLKVWEVVCIALGAFMVVVFFLTVWFTIRSRKRIRRASANIPVTQIPAISKEIKEVRVEQVPASDFGAHDGVLLTFQDKASDRESDKDKVMVHLGVSKSRRGDESNSGSFRYMDKDAGFQSAEEGGSGTFRQTSAHAITAPSPLVGMPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGEIVNGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRILVYEYVNNGNLEQWLHGGMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSDEVVDPTIETRPSTRSLKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSRHNRGGSTEMDSQRDNSDTDKSDNPDSRPSRSRASSSK* >Brasy1G345900.2.p pacid=40052673 transcript=Brasy1G345900.2 locus=Brasy1G345900 ID=Brasy1G345900.2.v1.1 annot-version=v1.1 MESPSSSPTLQDHLSVTTGPLHLKVWEVVCIALGAFMVVVFFLTVWFTIRSRKRIRRASANIPVTQIPAISKEIKEVRVEQVPASDFGAHDGVLLTFQDKASDRESDKDKVMVHLGVSKSRRGDESNSGSFRYMDKDAGFQSAEEGGSGTFRQTSAHAITAPSPLVGMPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGEIVNGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRILVYEYVNNGNLEQWLHGGMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSDEVVDPTIETRPSTRSLKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSRHNRGGSTEMDSQRDNSDTDKSDNPDSRPSRSRASSSK* >Brasy1G011200.1.p pacid=40052674 transcript=Brasy1G011200.1 locus=Brasy1G011200 ID=Brasy1G011200.1.v1.1 annot-version=v1.1 MGILVYASLTLFVLGSLGKLKVLASGTGVVRRYNTEGNWVQPPRATLNGCPKSCGNLIFNYPFGIGSGCSRGPDFNLICNDTSRPPRLFLHDGITEVIDNINFANIGLIYMNFIKASVSHTIPMKSGVSVYNWSLKPPGRSFAVGYVNLNITGCNLEVYVVGDTATKLACTTVCTNPGITEMMAMQNCNIYSGCCRVDVDDDADTFQFKLLSSHSKNNTRLRSNHTSQLWDRIRITSDGHEGFGLLWQIGDEPTCVAAVQNKTTYACISEHAECIDSTTNECSRKDVTCITGGYGYSCFCNTPYTGNPYIPHGCSNDDDQGYNPTPSGTNCTRLCGIHTVPFPFGLEEGCFAREQFQLNCTHGASSAVLMFKHFQGFEIEEMNVNEGTIKYSQRDPQIRSGNLFDLFSSEFSFQWAAANLSCAEAQQNRSGFACVDSNSICAAVNSASGYVGYRCECRHGFAGNPYIPNGCLDADECSFEPNVCKGACHNTIGGYYCERDRHTLLLGIIVGLSCGFGVLLLSFSTVLLIRRWKRNVQKQLRKNYFRKNQGLLLETLITSDETANDKTKIFSLEELEKATNNFDPTRIIGRGGHGLVYKGILSDQRVVAIKKSKVIEQCEIAQFINEVAVLSQINHRNIVKLFGCCLETEVPLLVYDYVSSGSLSQVLHADSSNGFSLSWGDYLRIALETAGALSYLHSSASISIFHRDVKSSNILLDGDYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYFHTRQLNGKSDVYSFGVVLVELLIRMKPVFTSESGTIKNLSNYFLQELKEGRIRGIVNPQVLEEATEEEINSVASLAELCLRLRGEERPTMKQVEMELQTIRTKRVNSCQADPRNGEQMQPRSLTRRTRAAPQSSAIESGERVDLGSRDSQRCYSLEEEFISSASLPR* >Brasy1G011200.4.p pacid=40052675 transcript=Brasy1G011200.4 locus=Brasy1G011200 ID=Brasy1G011200.4.v1.1 annot-version=v1.1 MGILVYASLTLFVLGSLGKLKVLASGTGVVRRYNTEGNWVQPPRATLNGCPKSCGNLIFNYPFGIGSGCSRGPDFNLICNDTSRPPRLFLHDGITEVIDNINFANIGLIYMNFIKASVSHTIPMKSGVSVYNWSLKPPGRSFAVGYVNLNITGCNLEVYVVGDTATKLACTTVCTNPGITEMMAMQNCNIYSGCCRVDVDDDADTFQFKLLSSHSKNNTRLRSNHTSQLWDRIRITSDGHEGFGLLWQIGDEPTCVAAVQNKTTYACISEHAECIDSTTNECSRKDVTCITGGYGYSCFCNTPYTGNPYIPHGCSNDDDQGYNPTPSGTNCTRLCGIHTVPFPFGLEEGCFAREQFQLNCTHGASSAVLMFKHFQGFEIEEMNVNEGTIKYSQRDPQIRSGNLFDLFSSEFSFQWAAANLSCAEAQQNRSGFACVDSNSICAAVNSASGYVGYRCECRHGFAGNPYIPNGCLDADECSFEPNVCKGACHNTIGGYYCERDRHTLLLGIIVGLSCGFGVLLLSFSTVLLIRRWKRNVQKQLRKNYFRKNQGLLLETLITSDETANDKTKIFSLEELEKATNNFDPTRIIGRGGHGLVYKGILSDQRVVAIKKSKVIEQCEIAQFINEVAVLSQINHRNIVKLFGCCLETEVPLLVYDYVSSGSLSQVLHADSSNGFSLSWGDYLRIALETAGALSYLHSSASISIFHRDVKSSNILLDGDYTAKVSDFGASRLVPIDQTHIVTNVQGTIKNLSNYFLQELKEGRIRGIVNPQVLEEATEEEINSVASLAELCLRLRGEERPTMKQVEMELQTIRTKRVNSCQADPRNGEQMQPRSLTRRTRAAPQSSAIESGERVDLGSRDSQRCYSLEEEFISSASLPR* >Brasy1G011200.2.p pacid=40052676 transcript=Brasy1G011200.2 locus=Brasy1G011200 ID=Brasy1G011200.2.v1.1 annot-version=v1.1 MMAMQNCNIYSGCCRVDVDDDADTFQFKLLSSHSKNNTRLRSNHTSQLWDRIRITSDGHEGFGLLWQIGDEPTCVAAVQNKTTYACISEHAECIDSTTNECSRKDVTCITGGYGYSCFCNTPYTGNPYIPHGCSNDDDQGYNPTPSGTNCTRLCGIHTVPFPFGLEEGCFAREQFQLNCTHGASSAVLMFKHFQGFEIEEMNVNEGTIKYSQRDPQIRSGNLFDLFSSEFSFQWAAANLSCAEAQQNRSGFACVDSNSICAAVNSASGYVGYRCECRHGFAGNPYIPNGCLDADECSFEPNVCKGACHNTIGGYYCERDRHTLLLGIIVGLSCGFGVLLLSFSTVLLIRRWKRNVQKQLRKNYFRKNQGLLLETLITSDETANDKTKIFSLEELEKATNNFDPTRIIGRGGHGLVYKGILSDQRVVAIKKSKVIEQCEIAQFINEVAVLSQINHRNIVKLFGCCLETEVPLLVYDYVSSGSLSQVLHADSSNGFSLSWGDYLRIALETAGALSYLHSSASISIFHRDVKSSNILLDGDYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYFHTRQLNGKSDVYSFGVVLVELLIRMKPVFTSESGTIKNLSNYFLQELKEGRIRGIVNPQVLEEATEEEINSVASLAELCLRLRGEERPTMKQVEMELQTIRTKRVNSCQADPRNGEQMQPRSLTRRTRAAPQSSAIESGERVDLGSRDSQRCYSLEEEFISSASLPR* >Brasy1G011200.3.p pacid=40052677 transcript=Brasy1G011200.3 locus=Brasy1G011200 ID=Brasy1G011200.3.v1.1 annot-version=v1.1 MMAMQNCNIYSGCCRVDVDDDADTFQFKLLSSHSKNNTRLRSNHTSQLWDRIRITSDGHEGFGLLWQIGDEPTCVAAVQNKTTYACISEHAECIDSTTNECSRKDVTCITGGYGYSCFCNTPYTGNPYIPHGCSNDDDQDADECSFEPNVCKGACHNTIGGYYCERDRHTLLLGIIVGLSCGFGVLLLSFSTVLLIRRWKRNVQKQLRKNYFRKNQGLLLETLITSDETANDKTKIFSLEELEKATNNFDPTRIIGRGGHGLVYKGILSDQRVVAIKKSKVIEQCEIAQFINEVAVLSQINHRNIVKLFGCCLETEVPLLVYDYVSSGSLSQVLHADSSNGFSLSWGDYLRIALETAGALSYLHSSASISIFHRDVKSSNILLDGDYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYFHTRQLNGKSDVYSFGVVLVELLIRMKPVFTSESGTIKNLSNYFLQELKEGRIRGIVNPQVLEEATEEEINSVASLAELCLRLRGEERPTMKQVEMELQTIRTKRVNSCQADPRNGEQMQPRSLTRRTRAAPQSSAIESGERVDLGSRDSQRCYSLEEEFISSASLPR* >Brasy1G467700.1.p pacid=40052678 transcript=Brasy1G467700.1 locus=Brasy1G467700 ID=Brasy1G467700.1.v1.1 annot-version=v1.1 MASTRAVAIALVVLFLVLAASPNSLLLQARMVPGEIAAPTAITTTSSSVTASSSSSLQEALVQRPPLPLPLPVSPPTTEKKKITFSVAARRSSRMLGSVPSPGVGH* >Brasy1G402300.1.p pacid=40052679 transcript=Brasy1G402300.1 locus=Brasy1G402300 ID=Brasy1G402300.1.v1.1 annot-version=v1.1 MDLLERHLDAVAFRAYSLPEVAAAWTCLAAALAAAAAAGLWRRRSSTAPSDAIAGDANSKPLESEKRPDSLMMSSSPGTETERVPEPTSSPAPSPRERCTAYYYDDSGCVGFCDIDEEEEEEEECQDLEDEDGAYGPPAPEETDPFPWEVVRSLPLAAPARLGISRPPLGGSVVRLWDQAGGTGRSLTASPRRRSGTAAAGTIDLGFLVSPRY* >Brasy1G226400.1.p pacid=40052680 transcript=Brasy1G226400.1 locus=Brasy1G226400 ID=Brasy1G226400.1.v1.1 annot-version=v1.1 MSVGKKTAEDAGPAEPKPSSSARFDRLLSGLGTGGLVDIEPDRLKSDIQRWAKAVAALVRQLSFGAWSEKSDSSSDHQNAGGER* >Brasy1G576500.1.p pacid=40052681 transcript=Brasy1G576500.1 locus=Brasy1G576500 ID=Brasy1G576500.1.v1.1 annot-version=v1.1 MSRGSVKLVHTVVSSFNKEKKDIVCSIDFGGMLSFPQLTKLNLKFSKWLLTRVDEETTSVVIDQNRIYKFRPTDVNNIFGVPAEGLDVRDNKLDRSDAAINKIRNRLGLNVKEARSLKAAQAILVKDYGGSMDQDQVDAFKTAFVLFIMGNFFAPSTKHNYCNIDYWPALVDPALIHTFNWGKYIIEELCDAAKKLKSDIRKNVFYLNSVNLGALNIPEGTRPMIKCITMDRLRAMIAADTVHKAGTNLSLEFGASLPKQRELMIGLKRSAAEMESTIDIGVWNNAMHLRELLKLSNEGDTSSLLPASSSRLVNGPEVLCTTLNGSTLDEPATHMSFMELLSGSVNASDNVLPSGCVGCELSLFTSTDQPLCQKSGMDTIGAMQTENTLAAQTSEVIPCQEIMKAPRERVSDAPTTDELTSRFETPLISKHRMESLIAWEPSFDLITDYVESTTNQAYPVADTPSSIKGVRSNNGSTSKNVNSSSGKHSTDKRHGAGMDKYSPCSINKMLQESIVFEMDTRQEDDLNLNAKTLDFDISNSPFKKRRAKIFGTLTPVAKLVVDNKYPADVCEEFCTWAVSIRGPEVGKT* >Brasy1G286700.1.p pacid=40052682 transcript=Brasy1G286700.1 locus=Brasy1G286700 ID=Brasy1G286700.1.v1.1 annot-version=v1.1 MESGGMFRANGRRELTQHGLTASKEASSPRPDLWNGGLVCAFEFVRGSSEARPGAACKHHHHGHGGKVEKESYWAPIGWRRIAELVETTVDGGGAQAAWGDGQDQGQMMSLMDGDGGGESARCCDADSVTVADVVAPYWQRPAGPTWWCHVTAGHPGVDAWLAEARWLHPAICVALRDETMLISEKMRHLLYEVPVRVAGGLLFELLGQSVGDPGNDEDDIPIVLRAWQAQNFLITALHVKGSAPNINVIGVTEVQELLSACGSTAPKCINEVIAHLASRLARWDDRLWRKYVFGVADEIELKFVNRRNQEDLNLLCIIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLKGSTTKSLLEAIRKDTRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAKNTPYAFALFSGLMFLIGFLLIIAGIIYFGLEKPISDEQVEVRKLELQEIVSMFQHEAQTHAKVREGVLRSDLPPRAADLVYDKVRKLGRYISFHANREPSLQGYHLVSLCTLY* >Brasy1G040100.1.p pacid=40052683 transcript=Brasy1G040100.1 locus=Brasy1G040100 ID=Brasy1G040100.1.v1.1 annot-version=v1.1 MPLTSLSASSSPLTTSPTGTVMARGMALAVGREVQEQYVLCCSLPAMAHHCLLRPCAGAGPRNAEVQSILSKTREVSLKMRTCRRRILFEIHGLRFMDPKLSCIKSSWFVFYMPSI* >Brasy1G040100.2.p pacid=40052684 transcript=Brasy1G040100.2 locus=Brasy1G040100 ID=Brasy1G040100.2.v1.1 annot-version=v1.1 MPLTSLSASSSPLTTSPTGTVMARGMALAVGREVQEQYVLCCSLPAMAHHCLLRPCAGAGPRNAEVQSILSKTREILLLARGWFRCP* >Brasy1G414500.1.p pacid=40052685 transcript=Brasy1G414500.1 locus=Brasy1G414500 ID=Brasy1G414500.1.v1.1 annot-version=v1.1 MSRNQRPTVTREKRMIFLRFFAPIMSGYHNFIDNALKTAFNSQAFLKKRS* >Brasy1G450000.1.p pacid=40052686 transcript=Brasy1G450000.1 locus=Brasy1G450000 ID=Brasy1G450000.1.v1.1 annot-version=v1.1 MARFQERFLRKESNSYLQEVVADLGLCEAALGEVVNGGVLSCVKPKGMLLCEQVWPDLLGGRPSGGGGSEVEDGGDRDITGAAQTSVRRERLGARGGPRQTMDLP* >Brasy1G574900.1.p pacid=40052687 transcript=Brasy1G574900.1 locus=Brasy1G574900 ID=Brasy1G574900.1.v1.1 annot-version=v1.1 MGMQWERRKFRDIGQQNYIGGRNTFNVGGKRQQEQEQAAGHYAAAGGGGGGGRYGYTYGYGYDPPAYPPQKPWAYGGGGGGGGGGWWDSYGGHPPPRAVDLHGGAQEKPPTQQRPPDDVNKKPEECKPPAEQEPLNAKKEPENLPSLPKASPTKHEGNKPPAADPPQHCEGGYYGGGGGYPPAQRWGYPPSRPSLPPPYQDPPQHCEGGYYGGGGGYPPAQRWGYPPSRPSLPPPYQAGGGQWDGYVGYAGADMGWPKPAPPAGDYATHKHHGGYGGGGYGGGGYGYGNGKYTMGHRGKFEQYAHHQGKY* >Brasy1G574900.2.p pacid=40052688 transcript=Brasy1G574900.2 locus=Brasy1G574900 ID=Brasy1G574900.2.v1.1 annot-version=v1.1 MGMQWERRKFRDIGQQNYIGGRNTFNVGGKRQQEQEQAAGHYAAAGGGGGGGRYGYTYGYGYDPPAYPPQKPWAYGGGGGGGGGGWWDSYGGHPPPRAVDLHGGAQEKPPTQQRPPDDVNKKPEECKPPAEQEPLNAKKEPENLPSLPKASPTKHEGNKPPAADPPQHCEGGYYGGGGGYPPAQRWGYPPSRPSLPPPYQDPPQHCEGGYYGGGGGYPPAQRWGYPPSRPSLPPPYQGGGQWDGYVGYAGADMGWPKPAPPAGDYATHKHHGGYGGGGYGGGGYGYGNGKYTMGHRGKFEQYAHHQGKY* >Brasy1G516400.1.p pacid=40052689 transcript=Brasy1G516400.1 locus=Brasy1G516400 ID=Brasy1G516400.1.v1.1 annot-version=v1.1 MLWAARASGFFSAALLMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGGLAFRRAPSFRNAADCGNGTGNVCDPSLVHIAITLDEGYLRGSVAAVHSVVQHAMCPESVFFHFLVSDPSLGDLVRAVFPQLRFKVYYFDPERVRGLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLAGRTVGAPEYCHANFTKYFTDRFWSEKRFSGTFAGRRPCYFNTGVMVLDLARWRHEGYTRRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNILGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAAVESSR* >Brasy1G032400.1.p pacid=40052690 transcript=Brasy1G032400.1 locus=Brasy1G032400 ID=Brasy1G032400.1.v1.1 annot-version=v1.1 MAGATAGDAHWLENFDPPDLASRGAAERRRAARRGTLGRRAAGREAAATRAASNGGGAHGEQGRGLVAAARAASNGGLGRVEQGRGGGARGGQGRGDGRDDYPRHAFARPAARLLLCGAAARACCCSPAGRGSSFEGHEVERRRPQLQRWAMGHRSAAAVPARPAAAPWASRWRRRRWVVWRRRSESLGRTAMQRFGDGWGGGDGACELHGSGSGRNRDGLPSTWGWGPTRPQLGRRGRRSTGKGNQGAGRALGCGGQVDGGLHRGGGDWNFGGRRREQRVDGRKMVKVLACRTSGPHRSESWFTRQMRIMTFA* >Brasy1G528300.1.p pacid=40052691 transcript=Brasy1G528300.1 locus=Brasy1G528300 ID=Brasy1G528300.1.v1.1 annot-version=v1.1 MADDAAPSGSTVKAGGDDPEPTIEINIKTLDSQVHKLRVQKNETVLNLKEKIVDAAGIPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAEGQHSSGTSEEGTHGNVNVAANGGMLGDMSREFIDDLLSEGTIGDLARTVRDILGSLGLEMPGGVVNGAFSVPLTTAPEGTNNVGRTQPGNPAQPGFSIHVSQLQPGGAIPRNMVIPDSMATIVEYINRMDLLLQNNGVPSVESIARPPRSEDAYLNPRFPSPEVLVSVMERTQQLLGGSASSALSHLAQRIQRDAATSDTAIRSQIQNDSAQLGAAMQHLGAMLLELGRTMMMLRMGASPDNAFVNAGSAIYINPAGPNPIMVQPSFQSAPHFGVSSIPVLSGVSGQFGIVDPSRTSGVNVYGGASATNGTSVGSTAASATTVDGGRQNVERTQGGNPSANSTRGLPPRTVVAAIPARSSADAPNHVLGVILPVQVRSQVAAPNQSTVSQGSQAAVGNGSQPNATFVVPQASSGGVANISSIVAQITAQVANAMAATQPGQVSSSVQNTADHGAHPTTNNGVGTVSSEASRNTRLQNEILSSQQAETPLNVQSHVTATGTLQSNTSDPNLSPEASSTANVFFSVDSPQQQSQMEGINVDNSRTYSEELAAALAGQDILVEHAHDIPSSAAAENSEVKNKPSDGVTGEPVKPSASGRSEPLGLGGGLQPKRRSKISKPSGTSGDSSEPLDTSSVPRSQEAVSAGQQILQALASSNTDVRNGSVADTRPPSSMPQVAGGMPPRRPGGEGQLDIGSMLSSVLNSPVFGNLMSNVAAQTGLESPADVRNIMEDLTQNPAMMDTISNIVQNADGPRRGQGGGFDLSRMMQQMMPVVSQVLGGAAARPAGTDGGESRLQPGRRAQIDLHQACERIEQHESPEDIFGAVLETAAQAYGEDETIQVMLEELASDPELADDYLKLLIEQVGGRVQSESGTEKQP* >Brasy1G528300.2.p pacid=40052692 transcript=Brasy1G528300.2 locus=Brasy1G528300 ID=Brasy1G528300.2.v1.1 annot-version=v1.1 MADDAAPSGSTVKAGGDDPEPTIEINIKTLDSQVHKLRVQKNETVLNLKEKIVDAAGIPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAEGQHSSGTSEEGTHGNVNVAANGGMLGDMSRTVRDILGSLGLEMPGGVVNGAFSVPLTTAPEGTNNVGRTQPGNPAQPGFSIHVSQLQPGGAIPRNMVIPDSMATIVEYINRMDLLLQNNGVPSVESIARPPRSEDAYLNPRFPSPEVLVSVMERTQQLLGGSASSALSHLAQRIQRDAATSDTAIRSQIQNDSAQLGAAMQHLGAMLLELGRTMMMLRMGASPDNAFVNAGSAIYINPAGPNPIMVQPSFQSAPHFGVSSIPVLSGVSGQFGIVDPSRTSGVNVYGGASATNGTSVGSTAASATTVDGGRQNVERTQGGNPSANSTRGLPPRTVVAAIPARSSADAPNHVLGVILPVQVRSQVAAPNQSTVSQGSQAAVGNGSQPNATFVVPQASSGGVANISSIVAQITAQVANAMAATQPGQVSSSVQNTADHGAHPTTNNGVGTVSSEASRNTRLQNEILSSQQAETPLNVQSHVTATGTLQSNTSDPNLSPEASSTANVFFSVDSPQQQSQMEGINVDNSRTYSEELAAALAGQDILVEHAHDIPSSAAAENSEVKNKPSDGVTGEPVKPSASGRSEPLGLGGGLQPKRRSKISKPSGTSGDSSEPLDTSSVPRSQEAVSAGQQILQALASSNTDVRNGSVADTRPPSSMPQVAGGMPPRRPGGEGQLDIGSMLSSVLNSPVFGNLMSNVAAQTGLESPADVRNIMEDLTQNPAMMDTISNIVQNADGPRRGQGGGFDLSRMMQQMMPVVSQVLGGAAARPAGTDGGESRLQPGRRAQIDLHQACERIEQHESPEDIFGAVLETAAQAYGEDETIQVMLEELASDPELADDYLKLLIEQVGGRVQSESGTEKQP* >Brasy1G461400.1.p pacid=40052693 transcript=Brasy1G461400.1 locus=Brasy1G461400 ID=Brasy1G461400.1.v1.1 annot-version=v1.1 MPTKALVSILLLALLAIAQRGSSSRGYNAIYNFGDSISDTGNLCLGGCPSWLTTGQPPYGKTYFGRPTGRCSDGRVFVDFLSEYFGLPLLPPSKTNGTDFKKGANMAIIGATAMNMDFFKSRGLTKSVWNSGSLEAQVSWFQQLMPSICGNANHCKSYLKNSLFIVGEFGGNDYNAGIFAQRSLDEVKTYVAQITDKVRSGVEVRTLIHKSAAPTIKHCILPEYYWICKVRNSERAMNMNVQALLGLGAVDVVVPGVLPIGCFPVYLTLYGGSNQGDYDGDGCLKRFNDLSGYHNELLRQAISSLQSKYPGARIMYGDFYNHVTQMVRSPSIFGLKYGLRVCCGAGGQGSYNYNNEKRCGMPGACACGDPADYVFWDGIHLTEAAYRSVANGWLNGPYCIPAIRH* >Brasy1G461400.2.p pacid=40052694 transcript=Brasy1G461400.2 locus=Brasy1G461400 ID=Brasy1G461400.2.v1.1 annot-version=v1.1 MPTKALVSILLLALLAIAQRGSSSRGYNAIYNFGDSISDTGNLCLGGCPSWLTTGQPPYGKTYFGRPTGRCSDGRVFVDFLSEYFGLPLLPPSKTNGTDFKKGANMAIIGATAMNMDFFKSRGLTKSVWNSGSLEAQVSWFQQLMPSICGNANHCKSYLKNSLFIVGEFGGNDYNAGIFAQRSLDEVKTYVAQITDKVRSGVEALLGLGAVDVVVPGVLPIGCFPVYLTLYGGSNQGDYDGDGCLKRFNDLSGYHNELLRQAISSLQSKYPGARIMYGDFYNHVTQMVRSPSIFGLKYGLRVCCGAGGQGSYNYNNEKRCGMPGACACGDPADYVFWDGIHLTEAAYRSVANGWLNGPYCIPAIRH* >Brasy1G097000.1.p pacid=40052695 transcript=Brasy1G097000.1 locus=Brasy1G097000 ID=Brasy1G097000.1.v1.1 annot-version=v1.1 MALDGIKSRRWGKRADDYMAEFTCFVCMDGGHVRVCDVENCLKAYHPSCVGRKDDLCMSDEEFICVCHICVNCKRSSVYRCLCCPRSACEECLGEVEFVPVKQIREFFASHGAILGDFKSAQMKQGKGFCSTCLNLALVLEKDADADYEKARAVFGRTEDYEFGFVGYWSFIKDQEELTLLDLKIARHLKRIQSSKEGRDSEKSPEQHRKTDGSSSGDNDNAGETFRPDKMDIPNEVQASLKRRKAKKKTYVGWASKELTDFLSCIGKDTTKPIDHFKVTGVVKEYIRQRNLFQDKKKKSVVCDDNLHSLFSKRKVKYNLIHGLLDTHFAANAISESEDESDGSDYDDGSTVQKKLRNSLEPTILKRVPEVNKRCLASLNQKNLNLIYLRRTLVTKLLGQPDTFEQKVLGCLVRVKNDLKSYSYQMSKKYYQIGFVTGIKRSSEEYKIKDTCTDILFCVSSVWEDVKITMLSEEDFEEDECHDILLAKKEPLKRPTVAELEEKVASVHADIVNHWMDRELLRLEKQIERAFDKGWRCEAHDLLCKQKLLMAPAERQRRLEEIPEVIPDPEEENKETETESAASNFSQGNRGIKRKIAACMNFAEEKSKDATTQVPVSLETIIEEPAEGATVQPCDSMEVVTKESREGVTQQVTDPLAVGIQESPEGASVQIDSASEVASQADGKALCNGDTPRSGLRTLVHPGQVTADGEDKNGSRHHNEIIDLDGDDDENLHAEQREPEPAQRGSPEALTASGPLFTVTPSAPDGPDSIKMWYYMDPQGQQQGPFSMFQLRNWHRLDWFHKDFRVWHTGQKPKRAILVADAVQMIF* >Brasy1G533700.1.p pacid=40052696 transcript=Brasy1G533700.1 locus=Brasy1G533700 ID=Brasy1G533700.1.v1.1 annot-version=v1.1 MALIKNNTRALCLVALVMMSTTSLLSCHAAGTNIGPVAGPTSWYDRMCFRFSNCERNPDAGEEPCRLDCKFFGYDKSYCVTYHGGICCCSEPVRPRI* >Brasy1G185300.1.p pacid=40052697 transcript=Brasy1G185300.1 locus=Brasy1G185300 ID=Brasy1G185300.1.v1.1 annot-version=v1.1 MASSNSSLAGLAVLLTAVLCLLLPAASHAQLRVGFYNTTCPNAEALVRRAVTAAFANNSGIAAGLIRLHFHDCFVNGCDASVLLSINPGGGTTERDSAPNNPSLRGFNVIDAAKALVEQSCPRTVSCADILAFAARDSVDLTGTNSFYQVPSGRRDGTVSRAIDALNNLPGPNSTADDLIRGFAAKTLNAEEMVVLSGSHTLGRSHCASFLFKNRERLANGTISPAFQALLEALCPRNTGQFTLIRTEIDLSTPAVLDNNYYRLLPLNLGLHFSDDQLIRNGTLNAFVNQFIANETLWKRKFFAAMIKMGNIEPKTGTQGEVRLNCSIVNAASSSSSAGVIEMPLVPEDHDEVATS* >Brasy1G514900.1.p pacid=40052698 transcript=Brasy1G514900.1 locus=Brasy1G514900 ID=Brasy1G514900.1.v1.1 annot-version=v1.1 MTDSARGCNKDECHGELSSTPSRPDFHRVSTNAYTAMKNAAAQPHPERVPGGKSTETSRCSRHAQGLAECCRVVVDRDAFLYLN* >Brasy1G390200.1.p pacid=40052699 transcript=Brasy1G390200.1 locus=Brasy1G390200 ID=Brasy1G390200.1.v1.1 annot-version=v1.1 MDKKWEDPRRPPQRAPPLRAPPRPSPFSASRSARTPSRSSALPYLSTLPPKSPTCVAPSASSSTPLSSAPGLPRRARRLGILIHTYFASARSVFDHTTGKDIFCWNVMISGYVKSGNLACARPVRFYAGKECGVVDDSDWGICADETASGRLEEVEVFRRMQLEEGSSLTG* >Brasy1G553500.1.p pacid=40052700 transcript=Brasy1G553500.1 locus=Brasy1G553500 ID=Brasy1G553500.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAEFAAWSKEEQQQWQQPKKPRSPLKAARTYAEVVTQDPPLLTGANAVPIVRQSAFLRLDNAIPSSPLSPWSRTKEIDLEDAEYSPDAIPKCKEDYIAKFNRQQKAPIPIRTVFQRLEFPRSSTSMAAPVSEKTQRISRSDRARPTCARCLRAGHHFSRCWRAIHCRYCLMPGHIFRHCKSRTAEWPLGSTNAGRVGRSGSSRLGRVPGKACSREASPGKGWYSPPPGEYASSFHQNIRDPSSSSAPPPKPQLTVHGRPAEEASPSATMANFPVDPAPFIPGLFDIVEVAGRTQQSRVHIDGPIEAKNDDTAIVTLTPPPPPPRPTLLLFSMPRKRCPHGHAYLRTAAKSDCDWLILNSPHHHNGTEFHFCAHNKGINWRVFSYNQEAWLMLLGLPTDIWHTEKVKNAIAKWGKFVSWDQSVSNTARIIIKVKVANLRDIPFSLVVTHSNDMEGESWSVPIYILSHRMLAVQAPEEDQPPDDASSEGSVNNTNRHAGGGDMDPVHAETARVVNQNLVVHADLFDGNNQQVHDGQLNHNIIVGRVIVPHIIPLIEESQLLGGPHLTKPHLEKLHLSAEGTAMWSKYFHTDLLDQNTVTIPGPWIDYFTAQLANPASFSWARKMLQSQLWKIFSEEPPSCSLTLRSLELSNGFSTPRHTNSSLPCHAQISRKPPLLVIDVRRSARISAGSQRFSHQTCIDRNCLACSAKAPEISKKIVRNLNPKRKNKKHQPNEDTPKKRAKKE* >Brasy1G274700.1.p pacid=40052701 transcript=Brasy1G274700.1 locus=Brasy1G274700 ID=Brasy1G274700.1.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.4.p pacid=40052702 transcript=Brasy1G274700.4 locus=Brasy1G274700 ID=Brasy1G274700.4.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.5.p pacid=40052703 transcript=Brasy1G274700.5 locus=Brasy1G274700 ID=Brasy1G274700.5.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.6.p pacid=40052704 transcript=Brasy1G274700.6 locus=Brasy1G274700 ID=Brasy1G274700.6.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.2.p pacid=40052705 transcript=Brasy1G274700.2 locus=Brasy1G274700 ID=Brasy1G274700.2.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.3.p pacid=40052706 transcript=Brasy1G274700.3 locus=Brasy1G274700 ID=Brasy1G274700.3.v1.1 annot-version=v1.1 MEVDAAARALVGDVASPSPWSLLCVLAALLVLWAASQVAERCWLRPRRLGRALRAQGLGGTAYRFPTGDLTENGRLNKEARSSPMPPCHNIVPRVAPHLYNAVKEHGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G274700.7.p pacid=40052707 transcript=Brasy1G274700.7 locus=Brasy1G274700 ID=Brasy1G274700.7.v1.1 annot-version=v1.1 MVPAPPPRLATPGLAVCVAACAAATSRLGLLLCCLPLQPLPRLLPDVAASRVWSPSVRCSPALLPPGNVCITWFGPIMRVIIAEPELVRDILSNKSGHFKKFTNKRLAKLMALGLANYDGEKWAKHRRMLNPAFHLEKLKGMLPAFSTCCTDLIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERKIKAFQYIYIPGYLFFPTENNRRMREINREIEGLLRGIIEKRERAIESDGHEHDLLGLMLQSNKASGTSSLRMSIEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKDKPSFDGLNRLKTVTMILYEVLRLYPPVVTLTRKTSKEMQIGGISYPAGTVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEGKIALSMVLQHFEFRFSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy1G071200.1.p pacid=40052708 transcript=Brasy1G071200.1 locus=Brasy1G071200 ID=Brasy1G071200.1.v1.1 annot-version=v1.1 MTFSLSLLVPTATARRRRPAGRRIGGGGGGSPTWHCRPIVVLLVLLFFVAGAATIVTTLDRNEDENLYSSMLMLLLLRRPRPQAVLPTTANETSPPAGERRASCENNRQQGSRPPGSEMLPRGIVRDKSSFEMKPLLGGNGTEQEQRPAKSLLAIPVGVKQKATVHKLVSKFPAANFTVMLFHYDGMVEAWGDLPWSARAVHVAAANQTKWWFAKRFLHPDLTAEYDHIFLWDEDIEVDNFDPMRYLDIVKREGLEISQPALDNRSNIHHRLTARVPEGGPVHRRLTGKGRCSYGNITGPPCAGWVEMMVPVFSHTAWRCVWYMIQNDLIYGWGLDFKLGYCTRGDRRVNVGVVDSEYVLHRGIPSLGNGDGAMTTTTTSSPVEGSPSTTERHAVIEQSYAEMRIFSKRWKEAVAEDECWTDPYH* >Brasy1G130800.1.p pacid=40052709 transcript=Brasy1G130800.1 locus=Brasy1G130800 ID=Brasy1G130800.1.v1.1 annot-version=v1.1 MSQRPGRHQRRASQSVFSLPENFASLEDVPADGGEQRKPAAAAADASGEQQQQKPAGRHRRAMSMAVAASLDMIAEDIGSYKYGA* >Brasy1G148300.1.p pacid=40052710 transcript=Brasy1G148300.1 locus=Brasy1G148300 ID=Brasy1G148300.1.v1.1 annot-version=v1.1 MSGGLPGFHNAPVSRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYEKFQIWKLITSLFAFSSTPELIFGVALLYYFRVFERQIGSNKYAVFIVFSTTVSVLLQILALGYLKDPSLAPLISGPYGLIFASYVPFFFDIPISTKFRIFGLHLSDKSFIYLAGLQLLFSSGRRSVIPGISGILAGLLYRVNIFGIRRLKVPDFATSLFSRFSWPFANISYQRLPVTRTDENIPYHQARQMEGAPTTTPDPMDTSIARLVSMGFDRASAIQVLALTNNDVNLASNILLEAQST* >Brasy1G267400.1.p pacid=40052711 transcript=Brasy1G267400.1 locus=Brasy1G267400 ID=Brasy1G267400.1.v1.1 annot-version=v1.1 MIFEATVRDPSSELYGSTVVLRQLTSSQAKRRGRRALEVLKKLARRQIMYHSYAMQVHGYVTPSNVMEEGDGPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSIGGPAVTRQLRLIRILMRDLLIGVNYLHSHGMAHTELRLENVHVSPVDKHVKVGILGNAVDFHDSDPSNSSVPSNNDRRKMMIAFDMRCVGFIMAKMVLKELMDSSTFLKFKSFLNKGNDPSCLREFLVPILSQNSPTGNIGLQMLDRHWGAGWNLLALLLATKSDNRISCLDALRHPFLCGPKWRISPSVNVVRWGLGSTAVRLAEDYIYGHHQRRRLAYFIELMEVLNPNSRTESWLHLLPGRWCLLYCTGRHIGLTLRQSTPRILMRDVFLTFAQTPESVDPILSLASDIGFKIMPESDWPHDKSGTEGNLSVTTSARIAPGRIYINAEDSKDSRITSSRRYLHGKWGKASKMKELPASLPTANINVDEDEVDVSMTCGSTLNVNSARKVLQEVRTQTPPEMFDLSKIVCGTYIDSRLMILRGVNGSALLFIRSNCTSDS* >Brasy1G267400.2.p pacid=40052712 transcript=Brasy1G267400.2 locus=Brasy1G267400 ID=Brasy1G267400.2.v1.1 annot-version=v1.1 MIFEATVRDPSSELYGSTVVLRQLTSSQAKRRGRRALEVLKKLARRQIMYHSYAMQVHGYVTPSNVMEEGDGPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSIGGPAVTRQLRLIRILMRDLLIGVNYLHSHGMAHTELRLENVHVSPVDKHVKGNDPSCLREFLVPILSQNSPTGNIGLQMLDRHWGAGWNLLALLLATKSDNRISCLDALRHPFLCGPKWRISPSVNVVRWGLGSTAVRLAEDYIYGHHQRRRLAYFIELMEVLNPNSRTESWLHLLPGRWCLLYCTGRHIGLTLRQSTPRILMRDVFLTFAQTPESVDPILSLASDIGFKIMPESDWPHDKSGTEGNLSVTTSARIAPGRIYINAEDSKDSRITSSRRYLHGKWGKASKMKELPASLPTANINVDEDEVDVSMTCGSTLNVNSARKVLQEVRTQTPPEMFDLSKIVCGTYIDSRLMILRGVNGSALLFIRSNCTSDS* >Brasy1G248000.1.p pacid=40052713 transcript=Brasy1G248000.1 locus=Brasy1G248000 ID=Brasy1G248000.1.v1.1 annot-version=v1.1 MADLASGAVRSLLGVIHDESHLLGSVETDVQFIKEEMESMNSFLLHLSTTVRPGEQVHTWMKQVRELAHDCSNSIDRYLQRGNPAVHHANNRALGYYLWWVPWYLQKLVAQHRAAIELRELKERARDVGKRRLRYGVEIPKQLGDATGETMSVPSSTSQSQPTSGTAGHLVGDADVEEDDWQEDDGALRAQVFADPCTQEECRDELVDWLLHTTEDSHMPSEFAAIAVAAPVEKEGVKVASDAVANSFVNKQFQRKFNIDVSMKSSSKQDVSMESTWQGPGLPAGPLDILEAIIDQITPREQDDFPDEDSNTKLANMMAIDEKIKEQIKELMRSMSVKDDAATDKLSKDEMENKSSRSNKIEATAKKIEGLMESRSWPVALDHTEYVEILQKLHCESAEDKPNKEEATNKSDSHGEITKNIREIINKIRSVDKLSGDDQIAQNIKETTEKIMINKLQELLLKPKEAGKQEEKKATYIMLESQLSEKKIEQISNRGLQSIKEKLQAKMVDKIKEHLATRKTLIVLIHMDYEYNWSEIRKALSSLGCAAGSAVVVTTKYTHGSQDFCNPVREPILCYLAASYYKKALKIIEKQPINYKTRIFRDILERCEPFSMKIFIHAMYANPTRKHEELQKLLDTLLPGGGSVEEARANDKMMIRFSYIDLPKDYKTCLQYLAIFSTDDKISRSRLVGRWVVEGLITKQDWPSSVRKAERCFDVLVDHRWLLCPGEDIGATGKIKSCRVDARVHEFITRIARKEHFVETRLSHHLAKHFSVLNDIRLRSSDTMDKFLNRLSGSPQLHLVKVLDLEGCRGFDKNNLKHICAKMLLLKYLSLRNTEITKLPKGINNLHQLEVLDIRQNNLTESTTKHVLLLKLKRLLADHNHPPSPNPNPNNTGNIRIPHGIGKMTNMEVLSRVKASSSWAGGDLKDIGKLWQLRKLGVVTDDDDKQIYSLLRAISDLNECLQSLSITVTSKGTPSGRDIPSYLTERFTMDPKLLESLSINGFTSRGQLLRLLVKSPELAKVTLCNVSLYQHDMGVLSELTLKYLFVDCFLFEDVDRSMVVDVNFEVGAAPELNKIVAVSSFTSKECLSGTENLAKLKELVFNNENIPIPSKKRPDNLPMDQGQATTTKAEAAP* >Brasy1G261900.1.p pacid=40052714 transcript=Brasy1G261900.1 locus=Brasy1G261900 ID=Brasy1G261900.1.v1.1 annot-version=v1.1 MEGARRIVCKDCCCKFGALLLDKTFGRGAAMVKHLVSIFDAHGERTKNWHYLISFCMFLFASVPCQIHHILFASL* >Brasy1G162000.1.p pacid=40052715 transcript=Brasy1G162000.1 locus=Brasy1G162000 ID=Brasy1G162000.1.v1.1 annot-version=v1.1 MTIFGMLCLAGNVLEFLPTRALFPSPSLTRVQSLALYSPGNPGDSKERRPQVQAMSPKRKSPAAATAMSPRKTRSMAAGKRGAVVPAKEEEAEPVPAGKKGRKKGKKEEAAAVVEKEDADAAKQEGRKRAKKEVAAAATAEEEDDGAAVEDGKRVVVEACTQCQHFKKRALKVKEDLESAVPGVSVIINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIQDIVKKIS* >Brasy1G172000.1.p pacid=40052716 transcript=Brasy1G172000.1 locus=Brasy1G172000 ID=Brasy1G172000.1.v1.1 annot-version=v1.1 MFFKIWGGNSSWLFLESAFSKGGIYTAAAAMDVALTWDLGRSRIPFPKKPGNYVRARTPPRGRGQLALARCRAHALAGSKKPGNYVRARTPPRGRLARARALPRARARGLEEARQLRSRQNAAARTRPARAHALAWQPDVASNVASPQFKPRRAAGSQRELPSSVRPPRPYPREKTGSPRPRGPAPPLLPDLSPSCPPPLLLFVHDTASPHLPLPSSSAAGVRPAAPRAASSSRTAPLSLALPAASAFSPLLCPLQSDPSPLLSSPSPFLLRCRRPPGCPPRRFLFEDDAPLSCSARCLCSLSLPLPAAIRAAAAAPPSSAALPAAIRAGAAAPPRGGSGNAVPARRRPCDGDSGEALSRARAVPATCGDGCARAVPATYLSDILGRTL* >Brasy1G085200.1.p pacid=40052717 transcript=Brasy1G085200.1 locus=Brasy1G085200 ID=Brasy1G085200.1.v1.1 annot-version=v1.1 MELEIPAVVEASKGGGDADHVLFPCPNCDIQMVHKLAQLLLSGLATACVDSTTFSFFSSFASARDSSSSSAVAIEMRKELVDYITHRSTSIILDNTDEVISMDPSEKVSIFLDDFASTKRNIVVGWLGLGLPYLSDSRDDRIDDLVQEIETTRFWPIHAREAIARSLLLAGNLDVSGRFHCREKLQRKAAADADGHVDCSFRPVRCQNQGCRAEVSALRAREHDEVCPLKLLPCEQHCELSVIRSQMDRHCITSCPMKLTNCPFYQLGCESAFPSCNLESHCAQFLQSHLREILLSQIPVSDRHDHLDLVEKRLLLLEKCDSQATLHKALDVRSLTKALAELEKNLNAQP* >Brasy1G392100.1.p pacid=40052718 transcript=Brasy1G392100.1 locus=Brasy1G392100 ID=Brasy1G392100.1.v1.1 annot-version=v1.1 MAKLLAAILLLAMALAPSSSSATAMVGGGGVAAAAVMRRGGRACRGTVGECMEYFGVDGEGEEEVAAMAGKRRVLQDGSGYIGYDALKRDSVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG* >Brasy1G259200.1.p pacid=40052719 transcript=Brasy1G259200.1 locus=Brasy1G259200 ID=Brasy1G259200.1.v1.1 annot-version=v1.1 MLGRKRGGPSSSSSRPHAGDADPAGDTKTVVTDGGQQEVTVSQFVAQLDEAARERLDRMNQRLRLLEQQMETLEAEVGRASTADN* >Brasy1G453700.1.p pacid=40052720 transcript=Brasy1G453700.1 locus=Brasy1G453700 ID=Brasy1G453700.1.v1.1 annot-version=v1.1 MSLSHGLSLWLLSFLPKLQGGFFPKSTMIRKTIMMISSIILYKCTAPQGRPVRPVLQPPRRARAPLMVAYVVCLEGADTVRLFDRRVAARKRVTVL* >Brasy1G064000.1.p pacid=40052721 transcript=Brasy1G064000.1 locus=Brasy1G064000 ID=Brasy1G064000.1.v1.1 annot-version=v1.1 MGDSSAARDRYYDGVMSDDDTAYYHHDGAVSSDTAALYRRLHKQPPPFSFPGRPPTLNSNEPSSTRPADSNLQQVGEGCGRWFATHHGLGRHMVGHKKKFLRLQAAAAGGPRGEAGQDPRVRQVR* >Brasy1G164800.1.p pacid=40052722 transcript=Brasy1G164800.1 locus=Brasy1G164800 ID=Brasy1G164800.1.v1.1 annot-version=v1.1 MDRHVRRLLNRVSIALAAVATAALLHIFRHHSSSSCFAAGSPAYSSLSLAPFPRTSCDAASRRVVDPDLRLAKLRSSPRWRRHNAALSASVLAPLVSLRILGGSSRVLCLAAGAGQAVDALHETGVGDVTGVDLVDFPPLVRRADPHSLPFFDDAFDLVLSDDPAALTGALFPSRFAAEAERAVRPGGAIALAVDRHIDLSIVASLFRKSRVVQARNATLDGTAASVVILSTNTNRH* >Brasy1G278900.1.p pacid=40052723 transcript=Brasy1G278900.1 locus=Brasy1G278900 ID=Brasy1G278900.1.v1.1 annot-version=v1.1 MRITQGSKVEVWTTEAAPPMGAWRAGEVKWGNGHSYIMRWFDGGPDSGRISRKFVRPFPPHVDLPEDLEAGDIVEVSDRELWKWAEVVRAGHGGDRQFDVKIIGSTKVLTADRGALRVRQVLREDDVWVVHHKDKEIAAVPSRPIAGKNIKSKANVARKVIVANGDDGSKFAAQAFKLGKTKRSNDCTVDSDIVRDVKRFQGNGDTKRPLVANKEPAPRYDDNIEVIDVHPSQYLIKKQQETRNNNELDCHVAGRTDKDSDDDASSKSDTSSAGSSSGGTSSSSSSSSSNNNCGGDGAVSATGETCQDQDAAIQPLPSCKKLQSEKHDSDDRTESRASGGMHHRPANDQAAAVMKQEEEQNDERVHGLELDAYVSVMAAFHATGSLTWEKEELLSNLRLHLHVSSDEHLQVIWRLNGKRKPAGGGPRSVHC* >Brasy1G553600.1.p pacid=40052724 transcript=Brasy1G553600.1 locus=Brasy1G553600 ID=Brasy1G553600.1.v1.1 annot-version=v1.1 MPIKFIFADKKEKKDGSSPPIPSPSLPLAPYQAAASTSSPLSGHPRPRRHLLPGARCSARRTPPPTATSSWEAASSWAGELAGAVPWKAAVSGGLALTLSFSCFVGLVNAKSGTNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLDKNTGYKLRVLAQNYPDTPGLAIKDFWQFDDRTESLLQIPPLAVFQCDREAGSERIHPAKVA* >Brasy1G553600.2.p pacid=40052725 transcript=Brasy1G553600.2 locus=Brasy1G553600 ID=Brasy1G553600.2.v1.1 annot-version=v1.1 MPIKFIFADKKEKKDGSSPPIPSPSLPLAPYQAAASTSSPLSGHPRPRRHLLPGARCSARRTPPPTATSSWEAASSWAGELAGAVPWKAAVSGGLALTLSFSCFVGLVNAKSGTNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLDKNTGYKLRVLAQNYPDTPGLAIKDFWQFDDRTESLLQIPPLHGTEVSGASDGLSLLEH* >Brasy1G553600.4.p pacid=40052726 transcript=Brasy1G553600.4 locus=Brasy1G553600 ID=Brasy1G553600.4.v1.1 annot-version=v1.1 MPIKFIFADKKEKKDGSSPPIPSPSLPLAPYQAAASTSSPLSGHPRPRRHLLPGARCSARRTPPPTATSSWEAASSWAGELAGAVPWKAAVSGGLALTLSFSCFVGLVNAKSGTNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLDKNTGYKLRVLAQNYPDTPGLAIKDFWQFDDRTESLLQIPPLCNMC* >Brasy1G553600.3.p pacid=40052727 transcript=Brasy1G553600.3 locus=Brasy1G553600 ID=Brasy1G553600.3.v1.1 annot-version=v1.1 MPIKFIFADKKEKKDGSSPPIPSPSLPLAPYQAAASTSSPLSGHPRPRRHLLPGARCSARRTPPPTATSSWEAASSWAGELAGAVPWKAAVSGGLALTLSFSCFVGLVNAKSGTNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLDKNTGYKLRVLAQNYPDTPGLAIKDFWQFDDRTESLLQIPPLVIL* >Brasy1G553600.5.p pacid=40052728 transcript=Brasy1G553600.5 locus=Brasy1G553600 ID=Brasy1G553600.5.v1.1 annot-version=v1.1 MPIKFIFADKKEKKDGSSPPIPSPSLPLAPYQAAASTSSPLSGHPRPRRHLLPGARCSARRTPPPTATSSWEAASSWAGELAGAVPWKAAVSGGLALTLSFSCFVGLVNAKSGTNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLDKNTGYKLRVLAQNYPDTPGTDDALCFFDF* >Brasy1G470300.1.p pacid=40052729 transcript=Brasy1G470300.1 locus=Brasy1G470300 ID=Brasy1G470300.1.v1.1 annot-version=v1.1 MVTAREMAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECAVLTAVDPKQIKVWFQNRRCREKQRRESGRLQSLNRKLTAMNKLLMEENDRLQKQVSSLVYENGYYRQQHTHSVRPSSPPPAPAAAMTSSSPRLLQVREAHAFVAPPPPLLLPLQAGLATTDTSCESVVTSGQQQNVVVPPQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGSNGTIELLYMQLYAQTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHLDLEPRSVPEVVRPLYESSAIVAQKMSMAALRYLRQLAHEDTHSIITGWGRQPAALRALSQKLTRGFNEALCGLTDDGWSAIESDGVDDVCISVNSSPNKVISCNATFGDGLPIVGTGVLCAKASMLLQDVSPPSLLQFLHEHRSQWADSTLDAFFASALKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKIGNASNYQDTLMHRDLFLLQMYNGVDENTVGGSSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEVGTPRGRMTGSGPVNGAGMKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIALALSSSRLVSHGSPRLLPPVTPEAATLSRWIVQSYRFHFGAELIKSGDADGGESVLKSLWHHTSAILCCSLKAMPVLTFANQSGLDMLETTLAALQDTTLEKILDGQAGKCLLGELPSIMEQGFACVQGGMCASRLGRPAAYEKAVAWKVLDDGGAAHCVCFAFLGWSFV* >Brasy1G470300.2.p pacid=40052730 transcript=Brasy1G470300.2 locus=Brasy1G470300 ID=Brasy1G470300.2.v1.1 annot-version=v1.1 MVTAREMAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECAVLTAVDPKQIKVWFQNRRCREKQRRESGRLQSLNRKLTAMNKLLMEENDRLQKQVSSLVYENGYYRQQHTHSAGLATTDTSCESVVTSGQQQNVVVPPQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGSNGTIELLYMQLYAQTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHLDLEPRSVPEVVRPLYESSAIVAQKMSMAALRYLRQLAHEDTHSIITGWGRQPAALRALSQKLTRGFNEALCGLTDDGWSAIESDGVDDVCISVNSSPNKVISCNATFGDGLPIVGTGVLCAKASMLLQDVSPPSLLQFLHEHRSQWADSTLDAFFASALKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKIGNASNYQDTLMHRDLFLLQMYNGVDENTVGGSSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEVGTPRGRMTGSGPVNGAGMKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIALALSSSRLVSHGSPRLLPPVTPEAATLSRWIVQSYRFHFGAELIKSGDADGGESVLKSLWHHTSAILCCSLKAMPVLTFANQSGLDMLETTLAALQDTTLEKILDGQAGKCLLGELPSIMEQGFACVQGGMCASRLGRPAAYEKAVAWKVLDDGGAAHCVCFAFLGWSFV* >Brasy1G216300.1.p pacid=40052731 transcript=Brasy1G216300.1 locus=Brasy1G216300 ID=Brasy1G216300.1.v1.1 annot-version=v1.1 MATTAASASPAALRAKTPTPPTHPGPKPSLAFPWPPPRPSAPASASGRLHASLHLGGARGTGTGSANGTGLHVLHPDLTPLAVPKMAGGTGAQKSILLYHCEEMRDLAQQVAARNDDIELCSISWRTFADGFPNLFITNAQSIRGRHVAFLASFSSPGVIFEQLSVIYALPKLFIASFTLILPFFPTGTSERMEDEGDVATAFTLARSLSHIPISRGGPTSLVVFDIHALQERFYFGDAVLPCFESGIPLLISRLQELPDSDNIVIAFPDDGAWKRFHKQLQHFPTIICNKVREGDQRIVRIKEGDARGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPEHALSHFWITDSCPLTVKAVKDRRPFEVLSLADSIASALQI* >Brasy1G544900.1.p pacid=40052732 transcript=Brasy1G544900.1 locus=Brasy1G544900 ID=Brasy1G544900.1.v1.1 annot-version=v1.1 MGNNKKQAAAEAAGSSRKKAKAELEVVKTVSPKIMVAIDPKLLECEVCSGRLVPPIFQVLRMPTRCLAMERILGGLTMPCSFRSSGCKLKINPGCTEMISYSETLAHAQSCLYAPSHCLIAGCGIYAGRPSLRDHIMQDHPMLHYNVVVPGVARELAISDGETFLIVVTDDRGALAPGQLLSVIHLARKVVTGRFNYRMEAHTKEGLLLLYGQARDVRRLTTAYEPTPSLFMSDAMWGPKDSPVKVHINIHPEDEEV* >Brasy1G068300.1.p pacid=40052733 transcript=Brasy1G068300.1 locus=Brasy1G068300 ID=Brasy1G068300.1.v1.1 annot-version=v1.1 MAGSNHLHQPPPLLPPATAAIAPRWLARLRATVVIGPGLPCLSAPSCGSVRRRPRRHGSGRPRPRRPFHRRRGRRVRADVETKKPPPLWRLGGRRRRRVVGAVAGSGRRTLASSA* >Brasy1G279400.1.p pacid=40052734 transcript=Brasy1G279400.1 locus=Brasy1G279400 ID=Brasy1G279400.1.v1.1 annot-version=v1.1 MRIGPSAFLRRAVSMSSHYKHRGYPRPHRGYSSRPSPPYPYAGAEHVSGDSHRSAVHAANDSLRRGGGVRAPPPQYGHGPQFQPPPYGYGYGQPQPQPPVQPYGAVPYNYSYPQPPLPGPQYGHWTPNQYVHEIPNQYGHGIPNQYGHLHQQPYGIVPPNAGFRPGATQPPPRLPEYRREWRYVQKPQPRQAERFKVLSYNILADYLAQEHRDLYENVPSHFMNWNWRKKQIFFEISLWNPDILCLQEVDKFTDLEQEMATKGFSGIWKMRTGNAVDGCAIFWRTARFQLRYEENIEFNKLGLRDNVAQLCVLELLDQGNVQTGSIYLSTSPSHPQQAKQVVICNIHVLYNPKRGDVKLGQVRTLLDRAYTVSKMWNDAPVILCGDFNSTPKSPLYNFISEQKLNISGLTRYAISGQQTSSSQGIYSGSNKSYYKSCPPSHTTNGRGGRIIPLNSHKPPSEAKNLARDSCLGGREPVLAENASASCFNSESSKCFGSNRPCSGSTNLDDQGLSSCLEGSAKDACNSDAEAHAKENKGEEGLLVDNSCEEYFGETKIESKEEPDTADFLYSPTTVCNEILKSNTNETVDSGHLLSSNESSGLKDFVQELRGISSKDSEVQGDLSGDVVSEDLTCGFERNNVQSDTLLNVSKDYPCEKGRGSVSESGHNDCRSSESESSQSSTLFTDTLHKASNLRVEEEINTEPTHLAPPVEPMPQSTHATSDACDNCCTPQVINKHLEEEFGKHARAFENDVSASELLCSDVNSDPTFFQEFFGDKECLHVDEDHLPTISNGLPHAQKVVTSFASYHNDPCRWTVDEIRAATGKEECTYLEHNLKVRSVYTDVEDFERTKDANKEPLVTSYNRKFMGTVDYIWASKDLQTVSVLDTFPKEILKQTSGFPTKKWGSDHISLACVLAFTK* >Brasy1G279400.2.p pacid=40052735 transcript=Brasy1G279400.2 locus=Brasy1G279400 ID=Brasy1G279400.2.v1.1 annot-version=v1.1 MRIGPSAFLRRAVSMSSHYKHRGYPRPHRGYSSRPSPPYPYAGAEHVSGDSHRSAVHAANDSLRRGGGVRAPPPQYGHGPQFQPPPYGYGYGQPQPQPPVQPYGAVPYNYSYPQPPLPGPQYGHWTPNQYVHEIPNQYGHGIPNQYGHLHQQPYGIVPPNAGFRPGATQPPPRLPEYRREWRYVQKPQPRQAERFKVLSYNILADYLAQEHRDLYENVPSHFMNWNWRKKQIFFEISLWNPDILCLQEVDKFTDLEQEMATKGFSGIWKMRTGNAVDGCAIFWRTARFQLRYEENIEFNKLGLRDNVAQLCVLELLDQGNVQTGSIYLSTSPSHPQQAKQVVICNIHVLYNPKRGDVKLGQVRTLLDRAYTVSKMWNDAPVILCGDFNSTPKSPLYNFISEQKLNISGLTRYAISGQQTSSSQGIYSGSNKSYYKSCPPSHTTNGRGGRIIPLNSHKPPSEAKNLARDSCLGGREPVLAENASASCFNSESSKCFGSNRPCSGSTNLDDQGLSSCLEGSAKDACNSDAEAHAKENKGEEGLLVDNSCEEYFGETKIESKEEPDTADFLYSPTTVCNEILKSNTNETVDSGHLLSSNESSGLKDFVQELRGISSKDSEVQGDLSGDVVSEDLTCGFERNNVQSDTLLNVSKDYPCEKGRGSVSESGHNDCRSSESESSQSSTLFTDTLHKASNLRVEEEINTEPTHLAPPVEPMPQSTHATSDACDNCCTPQVINKHLEEEFGKHARAFENDVSASELLCSDVNSDPTFFQEFFGDKECLHVDEDHLPTISNGLPHAQKVVTSFASYHNDPCRWTVDEIRAATGKEECTYLEHNLKVRSVYTDVEDFERTKDANKEPLVTSYNRKFMGTVDYI* >Brasy1G059900.1.p pacid=40052736 transcript=Brasy1G059900.1 locus=Brasy1G059900 ID=Brasy1G059900.1.v1.1 annot-version=v1.1 MPPVAMAPPPQAPNAGDPLFNELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADNQMRLYDLPSKLLCSVINVELKAEADTDEVYAQVMLMPENDQNEMAVEKSSSKAATTLAKPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRAMRQLSNVPSSVISSHSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSIGVRFRMRFEGEEAPEQRFTGTIIGSENLDPLWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNVPPASPESSALTKEGATKVDVDSAQAQRNQNSMVLQGQEPMTLRTNNLTDSNDSDATVQKPMMWSPSPNIGKSHPLTFQQRPSMDNWMQLGRRETDFKDASSGAQSFGDSPGFFVQTYDEAPNHLASFKNQFQDQGPTRHFSEPFFFMHQQHNLTVDSSTKMHPENNELHFWNGQNTVYGHSGDQSQGFRFEEQHPSNWSSQQFSRVEQPRVIRPHASIAPVDLEKTREGSGFKIFGFKVDTASAPSNQLSSPMAATHEPVLQTQPSASLNQLQHAQTDCFPEVSVSTGGTNENEKSIQQAPQSSKDVQSKSHGASTRSCTKVHKQGVALGRSVDLSKFSDYDELKAELDKMFEFDGELMSSNKDWQIVYTDNEDDMMLVGDDPWGEFCSIVRKICIYTKEEVQKMNSKLSAPRKEDGAAAGDGKNENATLPAPSNLDNLVMFKPVEA* >Brasy1G058800.1.p pacid=40052737 transcript=Brasy1G058800.1 locus=Brasy1G058800 ID=Brasy1G058800.1.v1.1 annot-version=v1.1 MGKKGKAAARERREQRRAEVTLLRAVPYEPHQRWWDLMAPAPARAVAVVTGASRGIGREIARQLALHGLHVVLASRDAACGREAAEKLVEEAAAAGGASVAVEWRQLDVADAASVETFAAWTARTHGGIHVLVNNAGVNFNRGADNSVKFAEQVIETNYFGTKRMIEAMLPLLKPSPYGGRIVNVSSRLGRVNGRRNRIGDASLKEQLLTDDRLSEELIDGMVTEFLEQVKQDSWSPVDWPQMYTDYSVSKLAVNAYTRLMARRLLDRPEGQKIFINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLPQEQATIGKFFAERREMSF* >Brasy1G058800.2.p pacid=40052738 transcript=Brasy1G058800.2 locus=Brasy1G058800 ID=Brasy1G058800.2.v1.1 annot-version=v1.1 MGKKGKAAARERREQRRAEVTLLRAVPYEPHQRWWDLMAPAPARAVAVVTGASRGIGREIARQLALHGLHVVLASRDAACGREAAEKLVEEAAAAGGASVAVEWRQLDVADAASVETFAAWTARTHGGIHVLVNNAGVNFNRGADNSVKFAEQVIETNYFGTKRMIEAMLPLLKPSPYGGRIVNVSSRLGRVNGRRNRIGDASLKEQLLTDDRLSEELIDGMVTEFLEQVKQDSWSPVDWPQMYTDYSVSKLAVNAYTRLMARRLLDRPEGQKIFINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLPQEQATIGKFFAERREMSF* >Brasy1G058800.3.p pacid=40052739 transcript=Brasy1G058800.3 locus=Brasy1G058800 ID=Brasy1G058800.3.v1.1 annot-version=v1.1 MGKKGKAAARERREQRRAEVTLLRAVPYEPHQRWWDLMAPAPARAVAVVTGASRGIGREIARQLALHGLHVVLASRDAACGREAAEKLVEEAAAAGGASVAVEWRQLDVADAASVETFAAWTARTHGGIHVLVNNAGVNFNRGADNSVKFAEQVIETNYFGTKRMIEAMLPLLKPSPYGGRIVNVSSRLGRVNGRRNRIGDASLKEQLLTDDRLSEELIDGMVTEFLEQVKQDSWSPVDWPQMYTDYSVSKLAVNAYTRLMARRLLDRPEGQKIFINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLPQEQATIGKFFAERREMSF* >Brasy1G048300.1.p pacid=40052740 transcript=Brasy1G048300.1 locus=Brasy1G048300 ID=Brasy1G048300.1.v1.1 annot-version=v1.1 MSLLQVVTARPAILFIQDMDEQMIPDLLLTKSSDDTNSVATPSCSSNHRFSTRPWSLATSQHGVHHGRQGRDAAFGGVSARFFNWNQSSGGPDALESQ* >Brasy1G569400.1.p pacid=40052741 transcript=Brasy1G569400.1 locus=Brasy1G569400 ID=Brasy1G569400.1.v1.1 annot-version=v1.1 MSSSALRAAAQKASAAGRKQALSLTETAASRVRQLLDLRQRPYLRLGVKARGCNGLSYTLNYAEEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYIDDPLRSEFVFINPNSKGECGCGESFMTTGGSKGSTS* >Brasy1G524100.1.p pacid=40052742 transcript=Brasy1G524100.1 locus=Brasy1G524100 ID=Brasy1G524100.1.v1.1 annot-version=v1.1 MQAKKGTGTPAYQSAVESKTLFSVDSLTSLPSKTLRTNKTGLENQNPHVHIPRSRKALFARRRDVRTNKYTIRRSSRIRLFYGTHRKDGRYGRAKALHSKHCSSGRHVPSRSVEVPAANSWPVDPARGEAGSAARTCQHGTETRWNIRDPDEAGQVRRPRRSGASQATPTQTTAWLNRQARWRTARARARARGETGFSERPASGETPPPRIGCGSSDPGRRSARPEIPRVRPLPIRGRRVVDLFPPLRPPIPFSSSPRRGKKRDWLVSPLFLPPRMEEDWSIPHE* >Brasy1G546100.1.p pacid=40052743 transcript=Brasy1G546100.1 locus=Brasy1G546100 ID=Brasy1G546100.1.v1.1 annot-version=v1.1 MGNRNSYIQLPRMAGGGSGSVKVVHADGSVTRAAAARAAEVMADHPGQFLCEAARLAVGCRVPGVGADEVLSPRAAYFLLPLDMLYSVLTHDEMAALSAAAASHGAAPSPWKRIVITRGHGRRRRIGQQRVGDSGDADGRVFPVVGLMEQIQADNGGDQVARVVVKPGGGGGGLVRRHHRAWRPALDTIDEAP* >Brasy1G392500.1.p pacid=40052744 transcript=Brasy1G392500.1 locus=Brasy1G392500 ID=Brasy1G392500.1.v1.1 annot-version=v1.1 MRYTKIGYLHGLDLEDSANILSVKIVSSDRGFPIDVYGTIIGRDDIDQKCIYLFNRDREDYQTINSEDESLILTGPGRGLVEYVEINLKVKVDEKPLGQQISKGLLSIDGRMQPRDEKVNVGTEMEGTFEIEILEGHFCGEIKAGIEGVEEKIVIHSSTEDGVVTRIDRTLIKLRRHVMTICLDRKLTFEFVSKGCRLCVGVPKATSERKVDFTPQRRGDEKAKVTSGAGNFLVKVVWSMMDILGRSPVA* >Brasy1G576200.1.p pacid=40052745 transcript=Brasy1G576200.1 locus=Brasy1G576200 ID=Brasy1G576200.1.v1.1 annot-version=v1.1 MHLHVQQRSNRGEQSHRFDGAEDWLLCSDRRAEDLQRSKSRRSGLFVHVTPLKGGDCGVGGCALSVDLREDVRRGWVWLRLPGIPRSGSIGLHGGNVKRFRRL* >Brasy1G030800.1.p pacid=40052746 transcript=Brasy1G030800.1 locus=Brasy1G030800 ID=Brasy1G030800.1.v1.1 annot-version=v1.1 MIGPRPRTWTWLSGRRYEDGREFVYVGAIKVKSELPSSLQAYRSQQHRWSCGPALLFKKMALQILFAKLGSVGVEEGVHDVRLLRGAEAGVHLLHGLLLQRAAAGQGALLPGGGDPGVGDDLRAHGHHAAQLPRDDAQVHAPLARPLGHLRERHGAAPLQGHPHRALRGRPGQRVDRHPQVRRLRGDQRSSGSAHQTTRHLLLLSETTQVPLHGAADGRVSAGVGVLRLHVRAWLFLPLCAAPVHNVSSNRVSTPGC* >Brasy1G277300.1.p pacid=40052747 transcript=Brasy1G277300.1 locus=Brasy1G277300 ID=Brasy1G277300.1.v1.1 annot-version=v1.1 MAFAAGAARLAAAAALVAAVVVMPAPAEGGVCFQRIFSFGDSLTDTGNFVLSVPDDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPYVPPYLGGGDFLNGANFAVGGATALNGSFFRDLGVEPTWTPHSLDEQIQWFKNLLPSIASSESEHRDVMSKALFLVGEVGGNDYNHLIVRGKSLDELHKLVPNVVGVISSAITELINLGARKLVVPGNFPIGCVPLYLAIFPSQKEGYYNEKTGCIEWLNEFTEYHNRLIQEELDKLRNLHPDVSLIYADYYGATLDIYRAPLQFGFTVPLNSCCGSDAPYNCSPSVMCGNPGSFVCSDPSKYISWDGLHFTEATYKVIIQGVLGSYAIPPLSETCRGGEYKVSQLHQCTENNPTNTVTYDAMSSFI* >Brasy1G364400.1.p pacid=40052748 transcript=Brasy1G364400.1 locus=Brasy1G364400 ID=Brasy1G364400.1.v1.1 annot-version=v1.1 MDHIQPRDGPHSEHLPLGQKHFVHGSDAPLRLGPSGHRSMTSRSNDIPSSSHPIQFPGHRVGNRETSHVSFVPFSTGRSSGHVPHSSQPKPAPSHTLRSEECFADVSSHVALLDNRRVAMKRKAHPVNGMNAADYYMGSSSSSNTRFSNYVQQNPTALSEPSHHQMPLSIGPSNWNGQSLVNQEGPQRNVRARHNPEPRPASTSTAVNNNPPTFGSTGSAFTSTSAGRNQAPFSLPTRTLPSGASGVTGTVSSSRPYHPAMHSSSSSVAAAPTVQGSSGGAIFANAGYAHPSSAASSSSRAISHAAVIPSYHPATSSSMRINQPSPIGTAASSTHARHVSMGHANSGRNQRARSSMYGINPSMVEAQRFMMEQLALYQSRQPPPVDPHRDLRLNIDEMSYEDLLVLEESIGNVNTGLEDEKISSCVKKVVCCCSDERQDDEDDDGSCVVCLEGYRDKDVLGVLKCRHDFHADCIEKWLQEKNACPVCKLVAA* >Brasy1G044800.1.p pacid=40052749 transcript=Brasy1G044800.1 locus=Brasy1G044800 ID=Brasy1G044800.1.v1.1 annot-version=v1.1 MPAPAPRGPGPNLLSFSFRPQKVETERQSQTSRSDSQFPPGLGRAPSPELSTQPSPMSGGYPYGGGGGGGGYGAPPPYGSTPAPSAPPYGEKPPKEGKTSSSSSGSSPYYGAPPPQSYGGGGYGAPPSGQPQPYGGGGYGGPPAGQPQPYGGGGYGAPPSGQPYGAPPPSSAPYGAPPTAGPPYGAPPPAGAPYGGGYGSPFAALVPSAFPPGTDPNVVACFQAADRDGSGTIDDKELQSALSGYNQSFSIRTVHLLMYLFTNTNVRRIGPKEFTSVFYSLQNWRSIFERFDRDRSGKIDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGMNKAVEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFIIA* >Brasy1G527400.1.p pacid=40052750 transcript=Brasy1G527400.1 locus=Brasy1G527400 ID=Brasy1G527400.1.v1.1 annot-version=v1.1 MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYALQMLYVSAPMPAAAMEEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNDKFQGNMQKYLVDGGSLPREPIPSSVTGRLPTLTELENYALEQWECFLLQLINSSQVERGTSFSSSMMKTFQRGLLSSRDGDAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGAAYSLNTLTDVQRIAIRDLAELGLVKVQQGRKDSWFIPTKLATNLSSSLSDSSASKEGIVVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLKQNAHPRVADKIPVVPENVTDQIRLWETDRNRVDMVLSHVYEDFPSKDLFEQCCDLARDNGFLLWEDSKKMRLMVRVEFHQEMREFLRRQR* >Brasy1G155100.1.p pacid=40052751 transcript=Brasy1G155100.1 locus=Brasy1G155100 ID=Brasy1G155100.1.v1.1 annot-version=v1.1 MGGAEDAPNGHAPANGGVEEKVDELRRLMGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKVQLRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRDVFREIGRYWKERVNDPVIISLTKGIEASLDPVPRIITPTQMISNATRVPLDNILYLGGPNIASEIYNKEYANARICGTDKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYKLLSQDSLSVMHPEANKSVAPVEMCPILKRLYKILIKRELPTESILQAIRDESMYDPRERIEMAQGHSLYRPSILGQPNGDAKA* >Brasy1G278000.1.p pacid=40052752 transcript=Brasy1G278000.1 locus=Brasy1G278000 ID=Brasy1G278000.1.v1.1 annot-version=v1.1 MSSSGGRGVRREYGRTYVVRPKGRHQATIVWLHGIGDNGSSWSQLLDNLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFSNGAAYPISLSAVIGLSGWLPCSRTLRTKMESSQTAVRRAAALPILLSHGRADEVVTYRNGERSAEFLRMSGFSYLNLKTYNGLGHYTIPEEMDDVCKWLSARLGLDRSRG* >Brasy1G321500.1.p pacid=40052753 transcript=Brasy1G321500.1 locus=Brasy1G321500 ID=Brasy1G321500.1.v1.1 annot-version=v1.1 MNYILSLSYFDLPLYLRSCLLYLTMFPEDHEIQRQRLIHRWISECFIHGEDGEDLVELGDMYFHELINRNLIQSVNIEYDGKASSCRVHDTVLDFLIYKSTEENLCTSLNNHSKPDSRIRRLSLLGNEVQGSVEQLDLSHARSLGSFRKADQLPSLVKSKALRVLDLENCSGLKNHHVRDIGRLFLLRSLNINSSGISDLPRQIGDLVYLETLDVSQTKLLELPASVTCLKRMARLFVPGSTKLPDCIGNMELQELGDSIKIFEQSVKFVEELGKLINLRKLNVNLDYDDSDKASYNKKEMLVSSLRKLDRFKLQSLYIKFSLEERDAGTFIEGHPLFLPALKSIREIALNHGQLCWITKWLLSLANLEKLSVYGAPIGQQEFEMVGRIPSLLEFTVLCCSEPVIINSRNGGGFQQLQMLTLLSLHVREFIMSEAGAMPNVRKLCVNIWPSLDMLSSDKLLTSLNICPHLNILPSDDRGGFDDIDIQHLSSLAELRVGIYCQGTRAADVEALEVAFKSMTEAHPNRPSLVMGRIFAGFMLKGSMSDN* >Brasy1G190500.1.p pacid=40052754 transcript=Brasy1G190500.1 locus=Brasy1G190500 ID=Brasy1G190500.1.v1.1 annot-version=v1.1 MAMRRSLLQFQRLLAQQALRSAIPKPRPIPNPHSRFLRCASPSAAASSSPLSHFLCRGSGSAGTILPMSAAAVAAAARAAAARWLTARGAGSLELFSLQRRRSPGWLSSSSNFLRGAPWARWLPSADGMLLTIVSTNMAVFMLWQLADPSFMMDHFTVSLDNLKSGRLHTLLASAFSHRESGHLLGNMIGLYFFGSSISSMFGPAFLLKLYMAGALVGSTFFLLEKTLTSPPKQAYLEWDSSSNSSLGASAAVNAIVLLQIFLNPKGLVYLYFVIPVPAALVGAAIIGFDLLRVSKGQGQGSGSSHLGGILVAALVWARIRKGWI* >Brasy1G497400.1.p pacid=40052755 transcript=Brasy1G497400.1 locus=Brasy1G497400 ID=Brasy1G497400.1.v1.1 annot-version=v1.1 MAIMAAAAAAAATTTCFFSPNRKHQPRTFFSAARRGSLRHHPRRLRAFPGVELTLEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCAAKRNIAKLLANCIIYRYLCSDDLLEDVLGGKEALAAFKESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLELAVNDMLKSMGAKATSDPDSFSQAMGKLRQRYDELKERYAVSDVTVSVQNVVSQLGYGSIDSLSLEDVVLDIVRKIEKLIQAKSMMEAAGKPF* >Brasy1G060200.1.p pacid=40052756 transcript=Brasy1G060200.1 locus=Brasy1G060200 ID=Brasy1G060200.1.v1.1 annot-version=v1.1 MEEDGEAERDAIVARMRAEDYTGARTLLLETLQTNPRLDGAVEMLSVLEVLCVAAAGRPGCGAVDWYRILQVHPGDDASKVEARYSSIVLQLESVRDDDLPGADLALRLVNDAYKVLSDPAERARFDSSNAVGSVKQMAIGASSNASVDSRCGDILSNKWLHLEGAAALFSGVDGSTPHCNASSGVDRLNSGDTKHIQLSGKPCLVVRNCSYAASSSRTKTDPYFLGGDVELQLAEENHVDKKQKSVCKNDVYCVSSSQEDSDVCFTDPSEANVCSADPSEAKQDDHCFSKQYDYHNFEGDRSIEHFTNDQIWAAYDWEKFPRRYAWINKVLTDKMQLYVSWFKPCPQSHEEEKWINAGLPFVCGTFIAEEHQMSLTCPSMFSHEISGDNLNQHLEVYPRKGEVWAIYHDWDIGWYNNPEMRKKSTFSVVEILTSYSEYSGCTVALLVKVDGSRSVFQRYLRSGREQLLLVPSDNLLKFSHRIPSFRFSHEDGTVLELEHSTVPVNLQHENTLATQAPLSPLSSFHDATNGFQEAAVLQFSNPSTGNLGSGIHLQGMMNCNIKLSPEDFVEGQIWAVYDARDRMPRSYVRIIRVVSHTAVFVLKLEPHPMLDEEIRWVEDGLPVACGVFRAGTETSYKDMSDFSHPVRCDWSSKRSFYRIFPKKGEIWAMYKNWNIALSSTDIDQCEPRMVEVLSDYTDEIGVNACSLTRVKGYLSFFQRVLLEDFHLTRWISRFSVGDIFFLTPLFFWLPFVGNSLDGNPVSDAPNFLLLRCNINHKWMDGI* >Brasy1G055100.1.p pacid=40052757 transcript=Brasy1G055100.1 locus=Brasy1G055100 ID=Brasy1G055100.1.v1.1 annot-version=v1.1 MAFNSAHAITLGFLLLMASGGAEAYVYGFCYSPSGSFRGPCFSDSHCKAACEREYYKGDKSKPFTDGQCSDSGSQRLDGISIGYVPLVAPSDVHMCSIFCGSERGVETHHHRPPPPSEDPEPSPPHRHHHHPPLPLEGSEPSLPHHHAPPPPPEQESPAQGGE* >Brasy1G423700.1.p pacid=40052758 transcript=Brasy1G423700.1 locus=Brasy1G423700 ID=Brasy1G423700.1.v1.1 annot-version=v1.1 MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANRLAGKHQRVPLLSDAHAPTAAEPPKCDICQDASGYFFCLEDRALLCRDCDVAIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPIADKHVNASGGSVDSQMKHLPRKNPTVLFSGESSVSIPSQNAISEDYSRQSPVPNTRTGMVNWTMNNSAIRSAEPPPKYLSEGSPTLLLSSQTTTAFSNQMNKDSDRAYNLPFSGGNGSDSLPDWHVDEFFSNSEYGPNLGFAEHGSSKGDHAKLGSAGGSPQCRLAEGLFAEDLLGQVPGFVAEDPWVVPEIPSPPTASGLYWQGNLRYPVYEYDHAMFVPEIPSLQSSQNHFTASDGSKRRRREF* >Brasy1G219300.1.p pacid=40052759 transcript=Brasy1G219300.1 locus=Brasy1G219300 ID=Brasy1G219300.1.v1.1 annot-version=v1.1 MSAAQKNMHEVEHEESKDSSPDEEIRKGRTNWTKKENERLINSWIKNSVDSIEGNGKRGDYYWKQVAEEYNKNSPANEIRSVAQCKGHWSKTTPLVSLFHACYIKTKNVYASGQSEEGLMEKTRAMYLNAAKVKRPFALEYWWRVVKEEPKWRNLYMEEDLGGKRHKLDASGAYTSSSAPDSEGADRVREPRPQGTKAAKEARKLKGKGKGKAREIPDFVPFHISEESSELLREGHGRKAAALEKWAEATTAKAGADKEMAKARNERTNVDIFNTYMELLKVDTSGFNDAQMQRHEKMVENLCNKLD* >Brasy1G266400.1.p pacid=40052760 transcript=Brasy1G266400.1 locus=Brasy1G266400 ID=Brasy1G266400.1.v1.1 annot-version=v1.1 MGFIGGEELLVTLAPVAVYWLYSGIYEALGSSKALDKYRLHSRRDEETKNMASKKDVVKGVLLQQAIQAAISVAVLKLTGGDEEISGGVKAHDSSSSLTFLEVAARFGVAMAVLDAWQYFMHRLMHSSKFLYLRFHSWHHRVVAPYAFAAQYNHPVDGVLTETLSGAAAFLASGMGPRAAAVFFAFATIKGIDDHCGVMVPWNPIHAVFRDNNTAYHDVHHQLGGGRRNFSQPFFVVWDRLLGTYTGYAVEERRGGGGLQVKIVK* >Brasy1G436100.1.p pacid=40052761 transcript=Brasy1G436100.1 locus=Brasy1G436100 ID=Brasy1G436100.1.v1.1 annot-version=v1.1 MDGWGEQTPDQATWPDQVPGASLWTASAGQRVEAGSDEVEVVSPGGGGGCSRGRRDRVGLGPRRSGPHPDPHRPCRRPLRPAAEGLDGEAPHGGLERAMLVGSESSGGGGAPETAGSETPGGGAGELPWILGRSFRGWGTAREDEWRRAAAAGG* >Brasy1G469400.1.p pacid=40052762 transcript=Brasy1G469400.1 locus=Brasy1G469400 ID=Brasy1G469400.1.v1.1 annot-version=v1.1 MARLGVTTASLALLVAAVAAMAYLPAPASAGAGLDSMVLLQQPRAAPSCDGAVGECGVDEDEELGTGGGEALRRSLARKPTARYISYGALKADQVPCNKRGQSYYTNCANMKQANPYQRGCSAITRCARNMN* >Brasy1G098100.1.p pacid=40052763 transcript=Brasy1G098100.1 locus=Brasy1G098100 ID=Brasy1G098100.1.v1.1 annot-version=v1.1 MPAYPLPLRPPSCGGLPVSRHRTPLPPTPLHPLPSGLHPARSSAPGRRLPPQREPRPPPSVTEAAAPSARSVVAVDPAEATRGLCIACKVAEYCRKAVPVLAAGRTTWCSSWGDLQQGDGVAVDAGYRG* >Brasy1G226900.1.p pacid=40052764 transcript=Brasy1G226900.1 locus=Brasy1G226900 ID=Brasy1G226900.1.v1.1 annot-version=v1.1 MRRRWGESATTTTGTMTSGSGHESMLDDRGHESQLKPWVKRPDLFRSTASVWRRADLASGGMLVLESNKFLLGRGNRRRISGHEKRGRRLGTMGNTTCLLRVRDRLIFFK* >Brasy1G310500.1.p pacid=40052765 transcript=Brasy1G310500.1 locus=Brasy1G310500 ID=Brasy1G310500.1.v1.1 annot-version=v1.1 MAEGLLTADSARSLVDGVDAFLFDCDGVIWKGDELIDGVSETLELLRKLGKKLVFVTNNSRKSRRQYSKKFKSLGLEVTEEEIFTSSFAAAMFLKLNSFPPEKKVYVVGEDGILDELKLAGFECFGGPEDGKKNIMLEANFYFDHDKNVGAVIVGLDQHFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVMSGVTTLPELQDASNDIHPDLYTNSVYDLVQLLQQ* >Brasy1G047300.1.p pacid=40052766 transcript=Brasy1G047300.1 locus=Brasy1G047300 ID=Brasy1G047300.1.v1.1 annot-version=v1.1 MNRRGRRATPCRGHSPPYSRVVQSSERTLLQEDPLLGIVAAACTLFCAYDNFQLAFQRRQEWVTGVAQVVICLPFVVVKIHEFPAVQWPAPPPLPSTAFILPACPANSRICRDTAVIVIISYVLLLDISLGYIWLAVFPAVAISFIYALCTKLCPPPAPPAAAGCGELRPNSQDNGGIDRKTAKRALKAMAATPFFALLLMAQLEDDAANTFAVSQFLLFLSTTLGALAYMMMRLPACGVAPASELLHKAFLLLFLVTAHAMAAEALGEEAMVMACAPELLPMVIWFGLHLDGNSSIISLDKMKRPGKYVLGVLAPVVVALLTYLATSMGESGLSRCTTIMVSCGVSGLLTYYLVFMLRHWPGQQTAAGKIDDVAPVDTSLQPKKKGGKGKSTTASSGEAAQPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAAHRLGLQESQLLDTFCRNFQRLCW* >Brasy1G047300.3.p pacid=40052767 transcript=Brasy1G047300.3 locus=Brasy1G047300 ID=Brasy1G047300.3.v1.1 annot-version=v1.1 MNRRGRRATPCRGHSPPYSRVVQSSERTLLQEDPLLGIVAAACTLFCAYDNFQLAFQRRQEWVTGVAQVVICLPFVVVKIHEFPAVQWPAPPPLPSTAFILPACPANSRICRDTAVIVIISYVLLLDISLGYIWLAVFPAVAISFIYALCTKLCPPPAPPAAAGCGELRPNSQDNGGIDRKTAKRALKAMAATPFFALLLMAQLEDDAANTFAVSQFLLFLSTTLGALAYMMMRLPACGVAPASELLHKAFLLLFLVTAHAMAAEALGEEAMVMACAPELLPMVIWFGLHLDGNSSIISLDKMKRPGKYVLGVLAPVVVALLTYLATSMGESGLSRCTTIMVSCGVSGLLTYYLVFMLRHWPGQQTAAGKIDDVAPVDTSLQPKKKGGKGKSTTASSGEAAQPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAAHRLGLQESQLLDTFCRNFQRLCW* >Brasy1G047300.2.p pacid=40052768 transcript=Brasy1G047300.2 locus=Brasy1G047300 ID=Brasy1G047300.2.v1.1 annot-version=v1.1 MNRRGRRATPCRGHSPPYSRVVQSSERTLLQEDPLLGIVAAACTLFCAYDNFQLAFQRRQEWVTGVAQVVICLPFVVVKIHEFPAVQWPAPPPLPSTAFILPACPANSRICRDTAVIVIISYVLLLDISLGYIWLAVFPAVAISFIYALCTKLCPPPAPPAAAGCGELRPNSQDNGGIDRKTAKRALKAMAATPFFALLLMAQLEDDAANTFAVSQFLLFLSTTLGALAYMMMRLPACGVAPASELLHKAFLLLFLVTAHAMAAEALGEEAMVMACAPELLPMVIWFGLHLDGNSSIISLDKMKRPGKYVLGVLAPVVVALLTYLATSMGESGLSRCTTIMVSCGVSGLLTYYLVFMLRHWPGQQTAAGKIDDVAPVDTSLQPKKKGGKGKSTTASSGEAAQPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAAHRLGLQESQLLDTFCRNFQRLCW* >Brasy1G459500.1.p pacid=40052769 transcript=Brasy1G459500.1 locus=Brasy1G459500 ID=Brasy1G459500.1.v1.1 annot-version=v1.1 MQAVHVTPYSYAPFRSVDASQLQFRSVFTDMGLAPRPGPLQPTRRGKNSLQAQPMWLLQEGKTSRSIFLPVIPFLRPAVVLLFPLCLCRCPPPPCPPLALPSYARPFLAHWARRSPSPSASLPCPPEIDSRRTPSPHVPVSGPFGAHLLFVCTSGSAAATDPLPTTNAPETTLRIQAFIDHDCAANAAQKLLGFCVGSLCSSLKFGGSYHRWFGWGRCGPSRPRL* >Brasy1G395100.1.p pacid=40052770 transcript=Brasy1G395100.1 locus=Brasy1G395100 ID=Brasy1G395100.1.v1.1 annot-version=v1.1 MTSHDYSSSSHRHRTGSGRADQNCESSSAQFADLDRDFSLLNDHFTFPRKADCTLYFCGRVRGSREFLSRTYGFLVVKSGPIAHCTFC* >Brasy1G118600.1.p pacid=40052771 transcript=Brasy1G118600.1 locus=Brasy1G118600 ID=Brasy1G118600.1.v1.1 annot-version=v1.1 MISGPSFHTNTRTTPVDLAIGRMDSPILPPRLGHQASRGAGMCSLDCFPAPDFLLPVPSDRP* >Brasy1G259400.1.p pacid=40052772 transcript=Brasy1G259400.1 locus=Brasy1G259400 ID=Brasy1G259400.1.v1.1 annot-version=v1.1 MRDYTVRAMDEAPTSSGQSEATSCEPSWWPPDLLDKIESVAISRKQEVLVDKESRIILANSRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKECFPTIPSLNDLQTLEADGLRTDIIVVDAEKDKKIFMLKQLSAALVKGLNSSPALVIKKIAGLVFDCFKGQNPDVSPGRAATEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGADGFVDSYKHMSVVVPLNSMELLVDLMRFPGQLIPFSAKAIFTSHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNTMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRKGVAEEPRGASSSPEHPLMRAKGRSILSGERQSFQEYTDGVTSRSDDPGGTTIPTPRRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNDGSCSYISEDTSNAHDCPNKDDKSGRDVATNNDSRNRTCSTQKAMSLPTSPHDYEGGISETSDNCDFISKEKMVFAWNKVLQSSPFNKPLLPFQEWNIDFSELTIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVTLFLGACMVPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRAMTDSPMTDNSSAGTPEWMAPELIRNEPFSEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYAVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYSTS* >Brasy1G468500.1.p pacid=40052773 transcript=Brasy1G468500.1 locus=Brasy1G468500 ID=Brasy1G468500.1.v1.1 annot-version=v1.1 MAAASFSIREYAASKRGEAAAEALRPFGITDPPPMEAPRFWWWANELASAVAAEAAAAAASPRKAKPPKERSIYDLFAAAPPVAVPPAGESGGDQQPEDDDDEALCAIVRRTKEMKRKRRRLQEEVAAATAADSSGACEAEGNFATRKEALDKPNSPDGVDSQPSEKTEALEHLRKESENISKKPKQGNNNNMKKNDHKIKCTESKNANKRGILKKYTKHTSIKMVTEKPGNSKGNEVLELCRKSVKRVKFSESNDILGSNMQRSELPKQRSLRKLLSDAMASSSSSSSSSPSTSAEGDKCTTAESSSPHMPEEAFAKTKEASNNTDLEDSPELSSTELSSPWIDLNKALPESTDSNSEVPNLEHTHDQTVKSDVRLLDGREKQRNLSFSSHFLESQPPAADLESTMNSRSRGTFLHGQTIKMSDTHIVGLQSSLGELPETHRACSDVPVKDILPSRTLPCELPRSTFQDSTNISHGGSQLSPVEKSSSWQSRECNLSGSKEFHFCSEVNVQQECGPSTGPTVRLMGKDLTVCMTRAESSVETAQKHTGTSICGRLKTNVVLELPRQAHPVVSSQAQSFPNVTINATNTIHSSTYHASTSQAYFGYRTPHDFSHPSPAANVFAGHQLQYENRFGDFTNSQTNQPVLLGCPPLPNHGSATFPQNSPRPWRYYSDPYTRTEPPRATFVPTTRKHGTPSSVLRANLPPPYVVHSPSSSVCQLNSVSPTPRHPPWVVEEASVSRSVAATSRNSDNGMGRAVPGNANASSSEPSVKKRSGPVKLTPGAKHILVPSGSTGDGNSLPVYSCVSFGSRSGNAAASQNKGT* >Brasy1G468500.3.p pacid=40052774 transcript=Brasy1G468500.3 locus=Brasy1G468500 ID=Brasy1G468500.3.v1.1 annot-version=v1.1 MKKNDHKIKCTESKNANKRGILKKYTKHTSIKMVTEKPGNSKGNEVLELCRKSVKRVKFSESNDILGSNMQRSELPKQRSLRKLLSDAMASSSSSSSSSPSTSAEGDKCTTAESSSPHMPEEAFAKTKEASNNTDLEDSPELSSTELSSPWIDLNKALPESTDSNSEVPNLEHTHDQTVKSDVRLLDGREKQRNLSFSSHFLESQPPAADLESTMNSRSRGTFLHGQTIKMSDTHIVGLQSSLGELPETHRACSDVPVKDILPSRTLPCELPRSTFQDSTNISHGGSQLSPVEKSSSWQSRECNLSGSKEFHFCSEVNVQQECGPSTGPTVRLMGKDLTVCMTRAESSVETAQKHTGTSICGRLKTNVVLELPRQAHPVVSSQAQSFPNVTINATNTIHSSTYHASTSQAYFGYRTPHDFSHPSPAANVFAGHQLQYENRFGDFTNSQTNQPVLLGCPPLPNHGSATFPQNSPRPWRYYSDPYTRTEPPRATFVPTTRKHGTPSSVLRANLPPPYVVHSPSSSVCQLNSVSPTPRHPPWVVEEASVSRSVAATSRNSDNGMGRAVPGNANASSSEPSVKKRSGPVKLTPGAKHILVPSGSTGDGNSLPVYSCVSFGSRSGNAAASQNKGT* >Brasy1G468500.2.p pacid=40052775 transcript=Brasy1G468500.2 locus=Brasy1G468500 ID=Brasy1G468500.2.v1.1 annot-version=v1.1 MKKNDHKIKCTESKNANKRGILKKYTKHTSIKMVTEKPGNSKGNEVLELCRKSVKRVKFSESNDILGSNMQRSELPKQRSLRKLLSDAMASSSSSSSSSPSTSAEGDKCTTAESSSPHMPEEAFAKTKEASNNTDLEDSPELSSTELSSPWIDLNKALPESTDSNSEVPNLEHTHDQTVKSDVRLLDGREKQRNLSFSSHFLESQPPAADLESTMNSRSRGTFLHGQTIKMSDTHIVGLQSSLGELPETHRACSDVPVKDILPSRTLPCELPRSTFQDSTNISHGGSQLSPVEKSSSWQSRECNLSGSKEFHFCSEVNVQQECGPSTGPTVRLMGKDLTVCMTRAESSVETAQKHTGTSICGRLKTNVVLELPRQAHPVVSSQAQSFPNVTINATNTIHSSTYHASTSQAYFGYRTPHDFSHPSPAANVFAGHQLQYENRFGDFTNSQTNQPVLLGCPPLPNHGSATFPQNSPRPWRYYSDPYTRTEPPRATFVPTTRKHGTPSSVLRANLPPPYVVHSPSSSVCQLNSVSPTPRHPPWVVEEASVSRSVAATSRNSDNGMGRAVPGNANASSSEPSVKKRSGPVKLTPGAKHILVPSGSTGDGNSLPVYSCVSFGSRSGNAAASQNKGT* >Brasy1G432900.1.p pacid=40052776 transcript=Brasy1G432900.1 locus=Brasy1G432900 ID=Brasy1G432900.1.v1.1 annot-version=v1.1 MLYLYWSVLLCTLRKPGIASVGFTSGLLPTADRSTAKMSRILVIGGTGNIGQHLVTASLDAGHPTAMLVRQATVASDSGKAKLLKDLVARGATVVCGDMNDHGSLVAAIKEHGEVVICAVGHGRPEELDGQLNIIQAIKEAAGFVKRFVPSEFGCDVEHAERTLEPAKGMIASKLRVRRAIRDAGIPHTIICSFWAIGLLLSRLVDFGENGPLTAGVNIFGDDVKSRVIFVDEKDTSMLTIRAVEDPRTLNKVMYVRPPTNMRSFGQLVQLLEKKTGRTLERHYVSEHEVAKKIQESPFPLNFQLAMVHSTVVHAGACEEAVDGAVGVEATLLYPDVEFITVEEYLDGLLP* >Brasy1G092300.1.p pacid=40052777 transcript=Brasy1G092300.1 locus=Brasy1G092300 ID=Brasy1G092300.1.v1.1 annot-version=v1.1 MAVCCLLTRRNQRDDLDSVRNLERMEMTAGIFSRECRRNFHALKLLFDLEQHVWYLGTTGSDVISLLWKLLQEFDATEISS* >Brasy1G057200.1.p pacid=40052778 transcript=Brasy1G057200.1 locus=Brasy1G057200 ID=Brasy1G057200.1.v1.1 annot-version=v1.1 MAAAAGSGKSREERWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCARGAADLEARLRDWDADADADAGRGRVTGTPCDVSVRGDRERLMSAARASLGGKLDILVNNAGQTFFSAATDASPEDYARLMATNLESAFHLSQLAHPLLVQSPDGASIVNVSSIGGLVGYPQLAVYSATKGGMNQLTRSLAVEWAAAKIRVNCVAPGGIRSEILSSSGMKMDPETMAGFWEKENARVALGRIGEPEEVASLVVFLCLPAASYITGQVICVDGGRTIAA* >Brasy1G179500.1.p pacid=40052779 transcript=Brasy1G179500.1 locus=Brasy1G179500 ID=Brasy1G179500.1.v1.1 annot-version=v1.1 MERPWRAATTTTSSSKQPKRGGSATRSPSPAPKVVAVLAGLLERAAERGDAEEDGERAAAAGLFRGERKPEIGVRRYAERIYRYAGCSPACFVVAYAYLDRLAAAEDEEAALRVDSYSVHRLLITSVLVAAKFMDDIHYNNAYFARVGGVELGEMNGLELELLFALRFRLNVTPDDFASYCAALESHIITPSSAGSFRRRRPLIASPEEPEEEEAGPAAAAVTTTTFAAARRSAVEMMIAQ* >Brasy1G025800.1.p pacid=40052780 transcript=Brasy1G025800.1 locus=Brasy1G025800 ID=Brasy1G025800.1.v1.1 annot-version=v1.1 MEGTVVASLPHDVLADVLSRLAPQRLAMCRCVCRSWQGVVDARRLLCTDQLPLSLGGLFMRLDGHPFPEFISRPNLTSPINGAIDFLPAATLPPNTWGWDGSLQVHGHCNGLLLLDRYVVNPATRRWDPLPPAPRQGAMPVLSRDFVSADSHLAHDPTVSPHYEVLRVHWEYEWPPRAFKMHVFSSRTGCWGETSFLRQGDAAGTVFDMRANVMHAYSVYQRGVLYVDWEFHFVLRISLSDRKYHVIKEPEGISYYRYLGKSKKGVYYGSFTHYWRLQVWTLDQGSMTWTPKHNIDLKPLLTHHQLPKLQSSWLLQDVNLNFFRTRPPIEWNSDHDASIIPRDDKTLETRLAYDLSSSQVQVMGNLYPTHYRDHFNDCLSNEMWCFESFPYTPCWVQEFGGNQSN* >Brasy1G409500.1.p pacid=40052781 transcript=Brasy1G409500.1 locus=Brasy1G409500 ID=Brasy1G409500.1.v1.1 annot-version=v1.1 MGDGRRAALIQYGVVPSQPIEEVQLDEDVDMLDGSGTGKEQVSFSQSEESDSEEELSLSQKVDKLGYGTGKFVVSSEVITGLVRCQMQQAMVAIPKQVACSSVGWLSKKSIYIADCAYELFLKSLISSSSDKVGYWQDILKTTSRTTTALLQGATSKPANVPGKEDEGIEWDALVTEHEELGLTVLPVQRQSDFGGVPLAACSGAVIHQGGSPVPDRLDETVRSEVFEREKVQLTEFQVDGGQSMGNLISGVYFHSGDNLKMGDVQVSEIVNNQVMVVDSSDSAPNRDELKRYTRKSARIQARGDGHVPIMEKAQAQKAGVDVSELQMEDDKEVILTGSAGLQGMAMELRNAAAGKRRRLMIEEA* >Brasy1G108700.1.p pacid=40052782 transcript=Brasy1G108700.1 locus=Brasy1G108700 ID=Brasy1G108700.1.v1.1 annot-version=v1.1 MNRFGQELNGMKSPALTGVRTNVGVREPPVACSCLRTVTSSEVRLISGCELSSMATEAGSKRSRALAVTAHRTHGNGRSKRATTLAQMPTYVCCQARLRRREPETTLPDGTERDGTGKARSTRPTPPPAQRINKTNRGAPAPAPFLIPPFSLSLSLSLAFFFTHPPPPPPPPPSAGTYTPGCPAESGRALGTSEAEPTSESGSGSCAPGASRGIKGGRAAPRPAAPARLNVMDC* >Brasy1G542800.1.p pacid=40052783 transcript=Brasy1G542800.1 locus=Brasy1G542800 ID=Brasy1G542800.1.v1.1 annot-version=v1.1 MKYGCTERVTYYQKEEHEKVCPCAPCFCPESGCNFVGSTMALLGHLNAQHKCPIFTFLHSGMVNMHFYLQPGLTVLRCGEAGQFFLFNMGSEPFGHAVSVICVQPKDTEPKFTCALYYNNYDADSNQSSCFDIQSSSLSEGLPKGYDLIVPTGKFFDEGDGMLLKVVLREKRKVYSDSDSDDEQPLPAKLLAARRNS* >Brasy1G560900.1.p pacid=40052784 transcript=Brasy1G560900.1 locus=Brasy1G560900 ID=Brasy1G560900.1.v1.1 annot-version=v1.1 MTKKQRREASARRQQQQGRQRRRPPALLQARDERSVSCTTFNILAPIYKRMDSENCRESQNRANWFSRNEKIIDRLLADRSSIICLQEVWLGNDELVNMYEKRLGEANYIMFKLARTNNRGDGLLTAVHRNYFRVLNHRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCVQQQSLIVNTHLVFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKISWNEAVFGIIKYLLLQAASLSEANAFALLKADTPDDHITYSSFCQALCQLGMVHPDRVNSEELEDLWNEADRDRDGVVDYTEFQRCIWSPKCYSQEEEDDTETDVTDESIVTFQANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRSP* >Brasy1G560900.2.p pacid=40052785 transcript=Brasy1G560900.2 locus=Brasy1G560900 ID=Brasy1G560900.2.v1.1 annot-version=v1.1 MTKKQRREASARRQQQQGRQRRRPPALLQARDERSVSCTTFNILAPIYKRMDSENCRESQNRANWFSRNEKIIDRLLADRSSIICLQEVWLGNDELVNMYEKRLGEANYIMFKLARTNNRGDGLLTAVHRNYFRVLNHRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCVQQQSLIVNTHLVFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKISWNEAVFGIIKYLLLQAASLSEANAFALLKADTPDDHITYSSFCQALCQLGMVHPDRVNSEELEDLWNEADRDRDGVVDYTEFQANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRSP* >Brasy1G092100.1.p pacid=40052786 transcript=Brasy1G092100.1 locus=Brasy1G092100 ID=Brasy1G092100.1.v1.1 annot-version=v1.1 MDAGGTSKRGGPGRRPKMPPKLKFKPKVPVRKVKKSTAEKPQLEETQPIDEELMKRLRTGRGDAKTLSAIKEENSAAQNSHPMPSPEAGFSLPLTQSGGQKQVQPKKALQIPRSFPVAANPEMFYDDEDEEDDDEDEDNVEFQENQPSSFECESSIHPAKELNLLEQDNKTRMFLFKLPKYLPLPRISSTVVQRNGKAIIKETKEGHNLNDLPGGYMGKMQVYKSGKIKMKLGDTMFDVNPGTECGMSQHAVAINTREKHCCPLGEIENRHVVVTPDVDSLLNDNRD* >Brasy1G092100.2.p pacid=40052787 transcript=Brasy1G092100.2 locus=Brasy1G092100 ID=Brasy1G092100.2.v1.1 annot-version=v1.1 MDAGGTSKRGGPGRRPKMPPKLKFKPKVPVRKVKKSTAEKPQLEETQPIDEELMKRLRTGRGDAKTLSAIKEENSAAQNSHPMPSPEAGFSLPLTQSGGQKQVQPKKALQIPRSFPVAANPEMFYDDEDEEDDDEDEDNVEFQENQPSSFECESSIHPAKELNLLEQDNKTRMFLFKLPKYLPLPRISSTVVQRNGKAIIKETKEGHNLNDLPGGYMGKMQVYKSGKIKMKLGDTMFDVNPGTECGMSQHAVAINTREKHCCPLGEIENRHVVVTPDVDSLLNDNRD* >Brasy1G092100.3.p pacid=40052788 transcript=Brasy1G092100.3 locus=Brasy1G092100 ID=Brasy1G092100.3.v1.1 annot-version=v1.1 MDAGGTSKRGGPGRRPKMTGRGDAKTLSAIKEENSAAQNSHPMPSPEAGFSLPLTQSGGQKQVQPKKALQIPRSFPVAANPEMFYDDEDEEDDDEDEDNVEFQENQPSSFECESSIHPAKELNLLEQDNKTRMFLFKLPKYLPLPRISSTVVQRNGKAIIKETKEGHNLNDLPGGYMGKMQVYKSGKIKMKLGDTMFDVNPGTECGMSQHAVAINTREKHCCPLGEIENRHVVVTPDVDSLLNDNRD* >Brasy1G092100.4.p pacid=40052789 transcript=Brasy1G092100.4 locus=Brasy1G092100 ID=Brasy1G092100.4.v1.1 annot-version=v1.1 MDAGGTSKRGGPGRRPKMTGRGDAKTLSAIKEENSAAQNSHPMPSPEAGFSLPLTQSGGQKQVQPKKALQIPRSFPVAANPEMFYDDEDEEDDDEDEDNVEFQENQPSSFECESSIHPAKELNLLEQDNKTRMFLFKLPKYLPLPRISSTVVQRNGKAIIKETKEGHNLNDLPGGYMGKMQVYKSGKIKMKLGDTMFDVNPGTECGMSQHAVAINTREKHCCPLGEIENRHVVVTPDVDSLLNDNRD* >Brasy1G531100.1.p pacid=40052790 transcript=Brasy1G531100.1 locus=Brasy1G531100 ID=Brasy1G531100.1.v1.1 annot-version=v1.1 MPQALLLVLVQSFLLPASLFLLVIIPCHRAHAECEPVACGNLSDIRYPFWLGAPGQSPPDPACGHPAFELWCDGASASMRGSAIQVLSIDYNASSFVASHARIAAGDDGACRADFNMSSSLALSPFKTSPTNRALCFLRDCVNGTEPAGIGSRYVNATGGCRGGPIYAYLGGSYDRDAPPAIRTGRCRYVYLPVLGTEAESATAADYARLLKSGFLLEWAGTGGVGADCPGCVASGGQCRYRSETASFLCLCPGGELRRSTCDVLTSAGAALLSTSIYVLIRRMKEKRISFLLCTKASSSTERNYEAMIVSYGSLAPKRYVHSEVMKITSSRKNQLGRGGYGVVFKGRLHDSRLVAVKFLHDCKGNGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKEILGWERLYVIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCGTKESKLSMTGTRGTIGFIAPEVHSRTFGIVSTKSDVYSYGMMLLEMVGGRKNARSLAEKSSEQYFPDWIYDHFAQDDVLQACEVTSDMEEIARKMTLIGLWCIQVLPAYRPTITKVLEMFEKRLDDMSMPPKQNFCELL* >Brasy1G565300.1.p pacid=40052791 transcript=Brasy1G565300.1 locus=Brasy1G565300 ID=Brasy1G565300.1.v1.1 annot-version=v1.1 MRYLQWTRLTDVWVPSKLYKQSTPWSGAPSPDEPTGAQLEDEEEEAKPSPLVPSSRRPVLDHPTHDAAPPPPAGRLRQPPPPPNLHGRGRARGRLVVVHAPEDDGRHGADAGAVRDLRRTSAGLPAPRARGPPRPRPRSRRRPSIPHFPRLRREPGRPPPPQLRRRPLPAAAGRRQTRRPPRHDVPRPQPFDQRDIAQSPGDQRPKEGELEQEQPGHPHPSRWTAERERAPGQVRRGRAGAGGTHEDAPVSLGNRGVGHRAGLPVPASRRAADMMSPTQGQEVLAWGGRLWWVDLTWGAISADPFSDRPEPRFVELPSGSVLPEDAFEKAVRRGGVLPDGEGGTKLWVPIPLTYRRAGVSGGTLRYVEVSEEEPFMLSSFAIEADGSGWMLEHRVALSGSAGFGGTEATRGYLCRGSGHHRLVLFTRFKEM* >Brasy1G099000.1.p pacid=40052792 transcript=Brasy1G099000.1 locus=Brasy1G099000 ID=Brasy1G099000.1.v1.1 annot-version=v1.1 MPPRRQPHHRMFPVFSRIYSAARSRLSLLISALPGGHNGSHRRRDDRSRRSSAASTPLSTPISMYSALGPGDDAAAALAAAADGAALQQGGVVPPLLPPPQMVVVALDATRDHREVEVRMALRALVARGDILRGGDSLLVLLVLHAVTNPSEGRARPLVGYQTKASSDSFAGTSLRYLGEQVAKKFEYYRDKLLHDVEELRKVGISVTLKVSPGSPAKVVIIHEVNSSQAAWVVLDRHFRRDFKHLEKHVACKVAAFQDNLSVQTLKSIRTKVLSRSMGEAKDLRHLVMSLDLSSKSVDTDKFRLSIKSSPVSYFASLSNHEIQYTPSVVGSSMQDFTPSMSTTSITMIDETEFLPNGKCTEDNMICHYDTSERPVLCAGCGLRSVLYIKESMKYPFSEIQAATSDFSSENLLGEGGFGHVYKGKLKDGQVIAAKLHKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLEECEGQCTHILQWAEPLVENLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK* >Brasy1G099000.2.p pacid=40052793 transcript=Brasy1G099000.2 locus=Brasy1G099000 ID=Brasy1G099000.2.v1.1 annot-version=v1.1 MPPRRQPHHRMFPVFSRIYSAARSRLSLLISALPGGHNGSHRRRDDRSRRSSAASTPLSTPISMYSALGPGDDAAAALAAAADGAALQQGGVVPPLLPPPQMVVVALDATRDHREVEVRMALRALVARGDILRGGDSLLVLLVLHAVTNPMGYQTKASSDSFAGTSLRYLGEQVAKKFEYYRDKLLHDVEELRKVGISVTLKVSPGSPAKVVIIHEVNSSQAAWVVLDRHFRRDFKHLEKHVACKVAAFQDNLSVQTLKSIRTKVLSRSMGEAKDLRHLVMSLDLSSKSVDTDKFRLSIKSSPVSYFASLSNHEIQYTPSVVGSSMQDFTPSMSTTSITMIDETEFLPNGKCTEDNMICHYDTSERPVLCAGCGLRSVLYIKESMKYPFSEIQAATSDFSSENLLGEGGFGHVYKGKLKDGQVIAAKLHKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLEECEGQCTHILQWAEPLVENLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK* >Brasy1G099000.3.p pacid=40052794 transcript=Brasy1G099000.3 locus=Brasy1G099000 ID=Brasy1G099000.3.v1.1 annot-version=v1.1 MMWRSSAKSISVTLKVSPGSPAKVVIIHEVNSSQAAWVVLDRHFRRDFKHLEKHVACKVAAFQDNLSVQTLKSIRTKVLSRSMGEAKDLRHLVMSLDLSSKSVDTDKFRLSIKSSPVSYFASLSNHEIQYTPSVVGSSMQDFTPSMSTTSITMIDETEFLPNGKCTEDNMICHYDTSERPVLCAGCGLRSVLYIKESMKYPFSEIQAATSDFSSENLLGEGGFGHVYKGKLKDGQVIAAKLHKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLEECEGQCTHILQWAEPLVENLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK* >Brasy1G099000.4.p pacid=40052795 transcript=Brasy1G099000.4 locus=Brasy1G099000 ID=Brasy1G099000.4.v1.1 annot-version=v1.1 MGEAKDLRHLVMSLDLSSKSVDTDKFRLSIKSSPVSYFASLSNHEIQYTPSVVGSSMQDFTPSMSTTSITMIDETEFLPNGKCTEDNMICHYDTSERPVLCAGCGLRSVLYIKESMKYPFSEIQAATSDFSSENLLGEGGFGHVYKGKLKDGQVIAAKLHKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLEECEGQCTHILQWAEPLVENLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK* >Brasy1G327200.1.p pacid=40052796 transcript=Brasy1G327200.1 locus=Brasy1G327200 ID=Brasy1G327200.1.v1.1 annot-version=v1.1 MAGLQRSSGTFRRSGSSGLVWEWDDKHLSGEIRPAASARRGVSLDGGATGAPEARGFDRSRSSGHGGAARTPEAAAPVRVDVERSRSSGHRTAAGRVPPAADPPSPRVALCGGGFCGFFRGKGGGRKKKKTKNDARKAKGKGRRH* >Brasy1G240100.1.p pacid=40052797 transcript=Brasy1G240100.1 locus=Brasy1G240100 ID=Brasy1G240100.1.v1.1 annot-version=v1.1 MAGQESLAYAGVMRGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPIQATQDSSSEYGIPFRRLTGHGHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDHGGGEGHTGWVSCVRFSPNTFVPTIVSGSWDRSVKVWNLTNCKIRSTLDGHGGYVSAVAVSPDGSLCASGGKDSVTLLWDLAEGKRLYSLDAGAIINSLCFSPNRYWLCAATQDSIKIWDLESKHVVQDLRPEVPVSKNQMLYCTCLSWSADGSTLYAGYTDGTIRIYKISGFGYST* >Brasy1G397200.1.p pacid=40052798 transcript=Brasy1G397200.1 locus=Brasy1G397200 ID=Brasy1G397200.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVHKAVRIGHTIELAIQQVAYMCVTLLRKKYERLDNGPFKYLPRGFITCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSTVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPQRVFESTYLPRQLHKFGPGVSHGRI* >Brasy1G292600.1.p pacid=40052799 transcript=Brasy1G292600.1 locus=Brasy1G292600 ID=Brasy1G292600.1.v1.1 annot-version=v1.1 MESSRSRKRTRQELEGAGAPPPEREVVARGGVSPPWRDDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRDTREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRPLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELHLIHTDLKPENILLVSSEHIKVPSSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSLPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSAQKYFKRGTRLNWPEGAVTRESIRAVRKLHRLKDLVAKSADDSRASLADLLYGLLRFEPSERLTAQEALDHPFFRNPAPT* >Brasy1G292600.2.p pacid=40052800 transcript=Brasy1G292600.2 locus=Brasy1G292600 ID=Brasy1G292600.2.v1.1 annot-version=v1.1 MESSRSRKRTRQELEGAGAPPPEREVVARGGVSPPWRDDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRDTREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRPLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELHLIHTDLKPENILLVSSEHIKVPSSKEHNSIVSTRHYRAPEIILGLGWSLPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKANSSAQKYFKRGTRLNWPEGAVTRESIRAVRKLHRLKDLVAKSADDSRASLADLLYGLLRFEPSERLTAQEALDHPFFRNPAPT* >Brasy1G086300.1.p pacid=40052801 transcript=Brasy1G086300.1 locus=Brasy1G086300 ID=Brasy1G086300.1.v1.1 annot-version=v1.1 MHHINFFRYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGHQSLVTWATPRLADKVNQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPSMSMVVKALSLCLTSQLYLHNQQL* >Brasy1G086300.2.p pacid=40052802 transcript=Brasy1G086300.2 locus=Brasy1G086300 ID=Brasy1G086300.2.v1.1 annot-version=v1.1 MLGYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGHQSLVTWATPRLADKVNQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPSMSMVVKALSLCLTSQLYLHNQQL* >Brasy1G086300.3.p pacid=40052803 transcript=Brasy1G086300.3 locus=Brasy1G086300 ID=Brasy1G086300.3.v1.1 annot-version=v1.1 MTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGHQSLVTWATPRLADKVNQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPSMSMVVKALSLCLTSQLYLHNQQL* >Brasy1G012100.1.p pacid=40052804 transcript=Brasy1G012100.1 locus=Brasy1G012100 ID=Brasy1G012100.1.v1.1 annot-version=v1.1 MAKSFRDSFFRSTKQVEPEEEEEGAEYSDLEGGGEKPAASSDIRMVLLSMFCIVFMATIGICNLAAGFRAPSRPRRRRKARGSSLPRRPSPSPCWPYSSFGAATVVARRPSDNLVFSFTSME* >Brasy1G058700.1.p pacid=40052805 transcript=Brasy1G058700.1 locus=Brasy1G058700 ID=Brasy1G058700.1.v1.1 annot-version=v1.1 MAGVVNVKVAAAAVLLLALVTMATASATTDVAAAAAGNPMAAPELAAGRRARGGARARPAGLTQCVADCGDVTTSCLLDCYNTAPSAVRVDAERKLLPVCLLDCTNAGMFCASDCSSQNLN* >Brasy1G480500.1.p pacid=40052806 transcript=Brasy1G480500.1 locus=Brasy1G480500 ID=Brasy1G480500.1.v1.1 annot-version=v1.1 MAEPDEVAVEPAAESPTTPLLLESTAASPSVEVRLYRRGSGPAAVFRSALGGPRRDRLDVRGIQADHGLRALFAFNPSASRRGLRIRPDSNRGGLSALPFRDGAAIALDGEPEPKASWAWTKPASMVAAAVLAPAAMVAVALNGVPEPLRSSRLANAVFAPWILASVVIVVVRLRTRPRARAPMSA* >Brasy1G273300.1.p pacid=40052807 transcript=Brasy1G273300.1 locus=Brasy1G273300 ID=Brasy1G273300.1.v1.1 annot-version=v1.1 MATSGIESPMLLLYAATGAVLAWCAVRVAEWAWWRPRRLERALRSQGLRGTAYRSLAGDAALSVRLNGEARSRTLPLRCHDVVPRAMPMFHQAMKEHGKISMTWFGPVPRVTITKPELVREVLSNKFGHFEKLKLGRLQRMLHNGVGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTDLVQRWEGLAAGDKPYEVDVWPDMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVELAVLAMNKIHIPGYMLLPTRANRRMEQIAAEIERILKGIIAKRENALKAGEAASDDLLGLLLESNMAHCSGDGNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLCMHPEWQDRAREEVLHVFGASTPDYDGLSRLRTVTMVLYEVLRLYTPLTALHRKTYKPMELGGVRYPAGVVLMLPLLCVHHDKEVWGADADEFRPERFAEGVSRASADAPAFFPFGWGPRICVGQNFALLEAKMGLAMILQRFSFDLSPTYAHAPFPVGLLQPEHGAQINLKRLR* >Brasy1G494000.1.p pacid=40052808 transcript=Brasy1G494000.1 locus=Brasy1G494000 ID=Brasy1G494000.1.v1.1 annot-version=v1.1 MRTHPRPLLLLLLLLQIQLVPAVYSLLDSAGEYTNHTVLLPCLPGQASALLRFKRSFSTNNESIAAFRSWRTGTDCCHWEGIRCHDANGRVTSLDLSNWGLQSGGINHALFDLTSLEHLNLAYNDFNGSRLPSTGFERLVKLTHLNLSTSHFDGQVPTGIRELTDLVSLDLSTGFEIIELHTDGYMENINYGSTRLVETNFQALIAKFSKLTKLSLGYVDLSGKGAQWCDALAKSTPNLHLLSLPLCRLSGPICTSFSSLHSLAIIDLQQNHLSGPIPDFLTNFSSLIVLQLKRNQFEGWLSPAIFEHKKLKTINLYHNTRVSGYLPNFSTSNTLENLDVGRTNFSGTIPSSVSNLKSLKRLGLGAPGFFGELPSSIGKLKSLNALHISGTGLAGSIPSWVANLTSLKILQFSDCGLYGSIPSFIGELKNLERLMLCNCNFSGEIPTHISNLTQLQILLLYSNHLHGTVELTSLKKLPRIYAFDISHNNLVVVNGRDNSSVASYPKLVVLGLSECSLSKFPNFLRHQYQLSILDLSSNKISGAVPQWVWETGTDFLFFFLANNKFTSIGYAPLLPCHIEVLDLSNNMFEGPIPIPRGSAIVLDYSNNKFSSIPSNSTFHLSDTTLLMAPQNNFFGNIPSFFCGATSIQLLDLSYNNFNGSIPPCLMANVNGMQSLKLRENKLHGKFPDNISGGCTLEALDFSGNWIEGQLPRSLVACKNLTVLDVGNNLINDSFPCWLSMLHRLEVLVLRSNKLFGHVAQSLAEEKTTCAFPSLRIIDLSSNNFSGPLPQDQWFKKLKSMILRDSNTSVVMGHEMAGLTQTYKYSTAITYKGHDTTFAKILRTLVFIDVSNNSFHGGIPKAIGELVLLHGLNLSHNFLTGTIPSQVGRLNQLEALDLSSNELSGAIPRELASLDFLTMLNMSYNMLEGKIPESPHFSTFPNSSFLGNAYLCGAPLSKECNNTTMTNVVRHTSEKTSLDIMLFLFVGLGFGVGFAVVIVVTWVLPIKKKS* >Brasy1G494000.2.p pacid=40052809 transcript=Brasy1G494000.2 locus=Brasy1G494000 ID=Brasy1G494000.2.v1.1 annot-version=v1.1 MENINYGSTRLVETNFQALIAKFSKLTKLSLGYVDLSGKGAQWCDALAKSTPNLHLLSLPLCRLSGPICTSFSSLHSLAIIDLQQNHLSGPIPDFLTNFSSLIVLQLKRNQFEGWLSPAIFEHKKLKTINLYHNTRVSGYLPNFSTSNTLENLDVGRTNFSGTIPSSVSNLKSLKRLGLGAPGFFGELPSSIGKLKSLNALHISGTGLAGSIPSWVANLTSLKILQFSDCGLYGSIPSFIGELKNLERLMLCNCNFSGEIPTHISNLTQLQILLLYSNHLHGTVELTSLKKLPRIYAFDISHNNLVVVNGRDNSSVASYPKLVVLGLSECSLSKFPNFLRHQYQLSILDLSSNKISGAVPQWVWETGTDFLFFFLANNKFTSIGYAPLLPCHIEVLDLSNNMFEGPIPIPRGSAIVLDYSNNKFSSIPSNSTFHLSDTTLLMAPQNNFFGNIPSFFCGATSIQLLDLSYNNFNGSIPPCLMANVNGMQSLKLRENKLHGKFPDNISGGCTLEALDFSGNWIEGQLPRSLVACKNLTVLDVGNNLINDSFPCWLSMLHRLEVLVLRSNKLFGHVAQSLAEEKTTCAFPSLRIIDLSSNNFSGPLPQDQWFKKLKSMILRDSNTSVVMGHEMAGLTQTYKYSTAITYKGHDTTFAKILRTLVFIDVSNNSFHGGIPKAIGELVLLHGLNLSHNFLTGTIPSQVGRLNQLEALDLSSNELSGAIPRELASLDFLTMLNMSYNMLEGKIPESPHFSTFPNSSFLGNAYLCGAPLSKECNNTTMTNVVRHTSEKTSLDIMLFLFVGLGFGVGFAVVIVVTWVLPIKKKS* >Brasy1G469800.1.p pacid=40052810 transcript=Brasy1G469800.1 locus=Brasy1G469800 ID=Brasy1G469800.1.v1.1 annot-version=v1.1 MAVHTEALHSQQTGTRSSSPVRPGAPQRRVRTRRLTAPWAEAQAAAMEPSGSGLRRWMGQKPEAEMEAHLARVSGGGWKGERRQMRPPCGKAPPPGGGEESGRIWPWDLVADKGETPPTPSSAHAQAEARERDGGRGHPAARWGADPGGGGGRMRTAARGVGSSTWVPRTRDGAARAPPSPSSLAARFAEAFSGDGREEEGGWRRSEGNLSRPRVAPCEDDTRTFFCISVFWNGGSMY* >Brasy1G109800.1.p pacid=40052811 transcript=Brasy1G109800.1 locus=Brasy1G109800 ID=Brasy1G109800.1.v1.1 annot-version=v1.1 MAAMAPAEVPSYFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPARTCPVTREPLAPELQLTPNHTLRRLIVSWVASLSPGSHADVDDEVAAALRPVHRDELTSLLSDAGKAQVGALKKLGELVAECEDRRAMLESQDGVFDVLSRVLTGASACSTAREEAVGVLASLRIPQQELVRVVSRHGNLAESLTAVLRSPNPRSRAHAVRLVRSLADVAVPAWMIGLDQDLVAEVVRVVRGRDMSARATKAALHALSALCPYGRNRVKIVGAGAVPALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAATERAVRVLRSVARHAATPAVLQEMAQAGVVGKLCLALRSELCGVKTKEKAHEVLKLHSRVWRSSPCLSPKFLALYPS* >Brasy1G120200.1.p pacid=40052812 transcript=Brasy1G120200.1 locus=Brasy1G120200 ID=Brasy1G120200.1.v1.1 annot-version=v1.1 MASQSPPAPLHPHQLQQQPLPPHQHPHPQYQAPPPSMPPPSGAPPKTMDLEVTVVSGKHLKNVNWRRGDLRAYAVAYLDPSRRTATCPDDAGGCKPAWNERIVLSIPPHLSPHDPSPLVSIDVFHSKPSDSPKPFVGSARSPLRELLYPANPNPSSDSASPLITLPLLRPSGRPQGKLRIRLALRDRSPPPPEPQYPPPSSPYYFPPPPPPTYSAPPQYGSEQYYRPSGYYSAPPPPSQYEYTTGPSAPVEYSRQYEQRARTEGGTGSGRYGVGTGLAVGAVAGAVGGLAIDEGVKYKEEKAAERVGEKVAPAGRDDYSEYRGDY* >Brasy1G444100.1.p pacid=40052813 transcript=Brasy1G444100.1 locus=Brasy1G444100 ID=Brasy1G444100.1.v1.1 annot-version=v1.1 MPLPLTKLVLGHGREASDPGCLRAVLGELVLTFLFVFVGVGSTITAGSAAAAGADPSAALIAVALAHALVVAVFATAGFHISGAHMNPAVTLSLAVGGHITLFRSSLFVVAQMTGSSCACLLLRALTGGLVTPVHALAAGVGPIQGVVAEVVFTFTLLFTIYAAILDPRSSAPGFGPLLTGLLVGANTIAGGALTGASMNPARSFGPALASGDWANHWVYWVGPLAGGPLAVAVYEFVFTVPATYQQLPTVE* >Brasy1G094700.1.p pacid=40052814 transcript=Brasy1G094700.1 locus=Brasy1G094700 ID=Brasy1G094700.1.v1.1 annot-version=v1.1 MAAGVLLAVVAAAMAMAAVVVEGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGAVLYPPDKKEANGCAPFAAKFTAKSRRPVVLLLDRGECYFALKSWNAQQAGAAAVLIADSVDEQLLTMDTPEASPGTEYIDKISIPSALVNRAFGESLKKMAEKAETEGEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAEFVRSFRGHAQILERGGYARFTPHYITWYCPDAFKLTRQCQSQCINHGRYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANDTGRPWAWWDYAMDYKLRCSMKEKKYSKGCAEAVVTSLGLPLDKVLECMGDPDADAENAVLSKEQEDQIGSGSRGDVTILPTLVINDVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSHDMETNECLHRNGGCWQDHATNVTACRDTYRGRVCECPTVNGVQYQGDGYTNCKAVGPGRCSLNHGGCWSETRGEHTFSACSDTALTGCRCPPGFQGDGHRCEDLDECKEKLACTCPDCHCKNTWGSYECGCKSNQVYIRGEDICIASSMSRFGWLIGILVVSCAVGLGVAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNEHQPLRQQDSEA* >Brasy1G078700.1.p pacid=40052815 transcript=Brasy1G078700.1 locus=Brasy1G078700 ID=Brasy1G078700.1.v1.1 annot-version=v1.1 MQDLFSVPSCFSSGEKLPADNPASAAPATRSGQSAVTLVYRAEIAGHSRLVTVTWCRNLLAHGMQVSIEGSSGGGKDKSSSGSDVAGGKSCGSGASACKVEMQPWHFWRKYGAKQFHVAAGAVVDVVWDLRSARFSSDEPEPVSDYYVAVVSGQEVVLLLGNQKKEAFRRTGSRPSLSLQDAARLVCKKEHVFSKKRFLTRAKFHESGKLHDISIECSSGNLAGGAADVDMVIKIDGCVNVLVKHLQWKFRGNDCISINKLKVQVYWDAHDWLFGTGMRQALFIFKPEAPSISDCKADGEFSDFCLFLYAWKVE* >Brasy1G416500.1.p pacid=40052816 transcript=Brasy1G416500.1 locus=Brasy1G416500 ID=Brasy1G416500.1.v1.1 annot-version=v1.1 MTQFLTRPPVIIENRFPRQPHLAPFHPDSERGGGGETRQLLLHFAAKRSPSARSGGGRREAEMDAGELSIVHHIGLVLAALWAAGSVGCCHSVLFILAFFYLYLVNARCAMRLQKRIQHGEMKSAYQRRLLSDGESVRWLNHAINKMWSICMEKIVSQLLRPVIPWFLDKFKPWTVSKASVQELYMGRDPPIFTSMRVLPETSDDDHLVLELGMNFLSAEDMSVVLAMQLHKSVGLGMTANMHLTRMHVEGKVLLGVKFVRSWPFLGRLRLCFVEPPYFQMTVKPLVGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDMEKFSSTPSENNWFNIEERPPVAYVKLEVLEGIDMKPSDINGLSDPYVKGRLGPFKFQTQIQRKTLSPKWFEEFKIPITSWEASNELVMEVRDKDHMFDDSLGECTIDVHELRGGHRHDKWISLKNIKKGRIHLAITIEDISEEKDVTGLEESLTKADAELPVSTSAAKLPEEKKALMDEVEHINIEGQEQPGGVYVHRPGTGVPKTWESRKGRARCPDTEIYQEVDISKETPPTPKSSGQGGMFNLGSFFRRNSKKGSSRDLDPSLPATPSSQSATEVDPKLPRTPRPNLKELGEKRTSIKIVVDEDTSKLGEAEDVAKVMAKNAGEPGRSLTSTLSRKISMKRKEDTLSDIPEQVETHGPEVLVNEGRILVEGKPMDGRPTTEDGNVLGVAVDVETTPQTS* >Brasy1G490400.1.p pacid=40052817 transcript=Brasy1G490400.1 locus=Brasy1G490400 ID=Brasy1G490400.1.v1.1 annot-version=v1.1 MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMVQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPSRLHSPGSLTGSECGSELQRSPSPSPEPQKSWTREQSPRAAGFDFFLSNKSYDSPSSRKEPESASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNVANQKLRDANEKLEVFEEKSLRCHCGYKENGNGSNYATKIEDIEGELRSTRERLLSSQEEINNLQRSFGNLSEEHFGLMKQNKELGADIVNLKEEVASARRRFDDKLSESDADISKHRQELAAASEKLLQEKSANSAEVAKLQETIQGTRRKLEEVSEEKSLVEDLVKELEEANAEAEKQRQELIHATEMLSEDKFRHEAEILTMQQSIEDLKPELESIAKEKSLLRSWFADLERVVERGRSVVQEQTLFYRKNSVARI* >Brasy1G228300.1.p pacid=40052818 transcript=Brasy1G228300.1 locus=Brasy1G228300 ID=Brasy1G228300.1.v1.1 annot-version=v1.1 MPCEFNTGKKSLKWIECPRNPKKKKKMSKEPNHHEVSFAVSPAPSAAAEGKGKAKGKWMRRREAAFEDGLSDDGLRREIAQMTDGELQEQIASIPRPSLHCLLVNRRRRRLLPLLEAEVRFRLIAVRAASPIPSAAAEGKGKAKGEWTRRREAAFDHELSDDSLRREIAKMTDGELQEQIASIPRPPLDCLLMDRRRYRRLLPPLEAEAIQMTFIDGMIVLLAGLLL* >Brasy1G271700.1.p pacid=40052819 transcript=Brasy1G271700.1 locus=Brasy1G271700 ID=Brasy1G271700.1.v1.1 annot-version=v1.1 MAKWLVVATGENAEPHVPEFPGMGQFAGRVMHTCDYKSGEEYSGKKVLVVGCGNSGMEVSLDLCRYGAKPSMVVRNTVHVLPREMMRLSTFGIAMALLKWFPVQLVDRLLLAAAHLVLGDTGQLGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEVTRRGARLADGKEEQQFDAIILATGYRSNVPSWLKDGGDVFTTEGTPKVRFPNCWKGRNGLYTVGFSQRGLLGASSDALSVAIDIHCQWRERERPTNNSV* >Brasy1G542500.1.p pacid=40052820 transcript=Brasy1G542500.1 locus=Brasy1G542500 ID=Brasy1G542500.1.v1.1 annot-version=v1.1 MDGSYSSKRTVEAPQEGECSAKRLNVTLGMETLDCPICFNPLEPPIFQCSVGHFICSSCRGKHLDKKCPSCSIKTSFNRCFRMEHVVQSATVPCFNAKYGCTVKVAYYHKEEHEKACPNTPCFCPESGCGFAGPTMALLDHFTTQHKCPSTTFPDSGPVYLCLQPGLHLLRCSGSGANNFFLFSMASEPFGHAISVVCVQPNVTKPKS* >Brasy1G542500.2.p pacid=40052821 transcript=Brasy1G542500.2 locus=Brasy1G542500 ID=Brasy1G542500.2.v1.1 annot-version=v1.1 MDGSYSSKRTVEAPQEGECSAKRLNVTLGMETLDCPICFNPLEPPIFQCSVGHFICSSCRGKHLDKKCPSCSIKTSFNRCFRMEHVVQSATVPCFNAKYGCTVKVAYYHKEEHEKACPNTPCFCPESGCGFAGPTMALLDHFTTQHKCPSTTFPDSGPVYLCLQPGLHLLRCSGSGANNFFLFSMASEPFGHAISVVCVQPNVTKPKS* >Brasy1G072900.1.p pacid=40052822 transcript=Brasy1G072900.1 locus=Brasy1G072900 ID=Brasy1G072900.1.v1.1 annot-version=v1.1 MALPGAVTSPWMDHRLYVFYTLVMSAALPGAVSSPRMNIILHEEGDFIWHPWLYPAPCRRHGWTIVTMPKFVKIYLMHIEKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy1G320500.1.p pacid=40052823 transcript=Brasy1G320500.1 locus=Brasy1G320500 ID=Brasy1G320500.1.v1.1 annot-version=v1.1 MATNRGGGSSSNSPNNSVSSPDVRPSSPLPATNSSPPQSTRRAGRRRRRGSASPCPSSPSLGGFETPPPPGRFTPSGAGPTRQRQNWTGRFPPTPSTPMSTDDVPMSSEAGDEDTPETDGGGAGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPQRCQKEQCKATNSMTLVHNRCRFSDKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRIGPSQRTVKSIFKTYIDCLHIKKTDKSRLHIEDGMDTDSTNASKTSEDDFVRDKIEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGANFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPDVEELQVLDLPTLVAYISYARKYIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVGVRDVTEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAAIRNLVMEKMQLGGPSMRMIELLEELRQQSSMEVHLHDVRGALGTLMSEGTVVMHGDSFKRT* >Brasy1G352100.1.p pacid=40052824 transcript=Brasy1G352100.1 locus=Brasy1G352100 ID=Brasy1G352100.1.v1.1 annot-version=v1.1 MGRAPCCEKVGLKRGRWTGEEDDILAKYIKEHGEGSWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEKIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRSHDFPKGGDGVVVNVDLSKLPGGGKRRGGRVGRATATTAKGKRKARGKKAGKVKDKLAEMEHHRHHKEDATDEEAMNSISTPSSCHSGSAPRGGEEQAQASASGVTSDGFDEAPLGLCEEMVSGLKAEASPHTEVGPDVSGNEGESAPEKAVGHEELGSSKAVVEQDQHGPCNEGECGTSGRAKVMGQEELGDKAMDCDRNGCCDKERSEPAEAVGLEEPVDDKAMEWDLVGLDDSLPTDDMWGSLVWDYDDMVISDGGQQQDSVLSDLFFLDNI* >Brasy1G444300.1.p pacid=40052825 transcript=Brasy1G444300.1 locus=Brasy1G444300 ID=Brasy1G444300.1.v1.1 annot-version=v1.1 MKHTEGNYPLYAISFNFIDSRYYDVFTTVSTNRVTIYRGLPDGNLALLQAYNDEDKDEKFFTLSWAADLDASADLDGSPLLVAAGNNGIIRVINCGIGKLLKSLVGHGGSIYEIRTHPRNPSLVISASKDESVKLWNVHTGICILFFAGLAGHRNAVLSVDFHPYDIYRIASCGMDNTIKICFLYTSAYCLSLTQFVNVVIKFWPYVEKSFTWADLPSKFPTKYVQLPLMSAVVHSNFVDCSRWLGDFILSKGVDNEIVLWQPKINGENPSELSIINVLQKYLVPNSDIWFVKFSCDFHFSPLSIGNREGKIYVWEVQASPPVLVAE* >Brasy1G005400.1.p pacid=40052826 transcript=Brasy1G005400.1 locus=Brasy1G005400 ID=Brasy1G005400.1.v1.1 annot-version=v1.1 MAAALKRAYLSVYNWAVFFGWAQVLYYAVAALLGAGHEGVYAAVERPLQLAQTAAVLEIFHGLVGLVRSPVSATLPQIGSRLFVTWGILWSFPEVRTHILVSSLVISWSITEIIRYSFFGTKELFGSAPSWLLWLRYSSFLVMYPTGISSEVGLIYIALQFIKASEKYCLRMPNKWNFSFDYLYASILALVIYVPGSPHMYTYMLGQRKKALSKLKTM* >Brasy1G241700.1.p pacid=40052827 transcript=Brasy1G241700.1 locus=Brasy1G241700 ID=Brasy1G241700.1.v1.1 annot-version=v1.1 MAAASPLDSAWECLIDNFSEFQLATVVTFLLHESVFFLSGIPSLLFERFGLFAKYKIQKKSNAPAYQNRCVLRLILYHVGVNLPVMIFSYPAFKFMGLRSSLPLPHWTVIVSQILFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLITLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKGYRKIKAIEGEEGKHL* >Brasy1G430100.1.p pacid=40052828 transcript=Brasy1G430100.1 locus=Brasy1G430100 ID=Brasy1G430100.1.v1.1 annot-version=v1.1 MSSNPDGGSKSHARRDLLLKIQSDAQKCWEESKVFQAEPGNELPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIKLYGNPPVFPAAEDESSAEVADDSQADQAAAVAPDKFKSKKSKAAAKTGMQKFQWEIMRGFEMSDEEIAKFQDPCHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMSKLKKMGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVIPPFPPRLKALEGKKVYLAAATLRPETMYGQTNCWVLPDGNYGAFEINETDVFILTARSALNLAYQHLSRVPEKPTCLVELAGNDLIGLPLESPLSFNKIIYALPMLTILTDKGTGIVTSVPSDSPDDYMALQDLITKPALRAKYGVKDEWVLPFNVIPIINIPEFGGKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGVMIVGEYDGRKVQEAKPLIKSKLLGEGSAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVRCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIKPEQLTDEVWDYVFCDGPAPKSDISPALLRKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHAALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRGGPPSAYADHVFANEINIAVKETEKSYNSFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLGRFMEVQTKLITPICPHYAEHVWQKMLRKEGFAIKAGWPVADTPDPTLRSANKYLQDSIVLMRKLLQKQESGSKKPKKGAAPPPSAENKLTVGLIYVNEHYDGWKEQCLRVLQSNFDTQARSFAPDEQINEALRNCFIDREANFKQVQKLCMPFIRFKKDEARNVGPQALNLKLPFGEIDVLEENLELIKRQLGLEHVEVMSAFDGAARAKAGRHASVLEKNPPSPGEPVAIFMSKQEFEAQN* >Brasy1G433200.1.p pacid=40052829 transcript=Brasy1G433200.1 locus=Brasy1G433200 ID=Brasy1G433200.1.v1.1 annot-version=v1.1 MAKARRPVAIALRVAAAAAAGVAAIVMATSHETTTVFGMQVEAKFQYTPSLVFFVAANAIACAYSLLVLLVPPAISSPAASKLVLVADAMIGMVLTGAAAAATAMSDLGKNGNSQAGWLPICGQIRSFCDHVTGALACGFVAVALHFVALLYSIYIMDG* >Brasy1G105300.1.p pacid=40052830 transcript=Brasy1G105300.1 locus=Brasy1G105300 ID=Brasy1G105300.1.v1.1 annot-version=v1.1 HAERKNRKQLGLSSPRPAERKRKETAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKVGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKKHVYIYQKKNLHLLLPFPSELSSG* >Brasy1G105300.2.p pacid=40052831 transcript=Brasy1G105300.2 locus=Brasy1G105300 ID=Brasy1G105300.2.v1.1 annot-version=v1.1 HAERKNRKQLGLSSPRPAERKRKETAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKVGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKKHVYIYQKKNLHLLLPFPSELSSG* >Brasy1G105300.3.p pacid=40052832 transcript=Brasy1G105300.3 locus=Brasy1G105300 ID=Brasy1G105300.3.v1.1 annot-version=v1.1 HAERKNRKQLGLSSPRPAERKRKETAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKVGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKKHVYIYQKKNLHLLLPFPSELSSG* >Brasy1G105300.4.p pacid=40052833 transcript=Brasy1G105300.4 locus=Brasy1G105300 ID=Brasy1G105300.4.v1.1 annot-version=v1.1 HAERKNRKQLGLSSPRPAERKRKETAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKVGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKKHVYIYQKKNLHLLLPFPSELSSG* >Brasy1G062700.1.p pacid=40052834 transcript=Brasy1G062700.1 locus=Brasy1G062700 ID=Brasy1G062700.1.v1.1 annot-version=v1.1 MDPKFPPPPPLNKTEPTTGATTTTTTPTSQQQQQQPLDREQYHQPQQLQIQVHQQQEEDGGGGGGGKEQQQPLQVAAAAGERRVQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGSGTIPASALASVAPSLPSPTSALARPHHHHHLWGPSAGFSPAGFMNSAAAAGAGGADSGGGLGGLMQRIGLPAGMELPGGGGGGHIGFAPMFASHAAAAAAMPGLELGLSQEGHIGVLAAQSFSQFYHQVGGAGGSGQLQHPHPQQHHHHQQQHQQEDGEDERDEGDSDEESGGQ* >Brasy1G149900.1.p pacid=40052835 transcript=Brasy1G149900.1 locus=Brasy1G149900 ID=Brasy1G149900.1.v1.1 annot-version=v1.1 MENTGPLLHSTPPHASLPAAFVLAAGKLPPASTAAVSLPVVDLSLPRDEARRAVLAAGKDIGFFQVVNHGVPAETMRAMEELCDGFFRLPASEPGKAALLSEDKKKPNRLFSGVTYDTGGQKYWRDCLRIACPFPLDSSMINQWPDNPLGLRVVIEKFTVQTRSVGMEILKLLSEGTGLQPDYFCGDIAGGDVILNVNHYPPCPNPEKALGQPPHCDRNLITLLLPGSVNGLEVACNGDWIKVNPVDNAFVVNFGQQLEVVTNGLLKSVEHRAVTNSVKARTSVATFIMPTEDCVIGPDERFVNEENPVGYRTTVFSDFMRIYNVVNLGASLNLTTNLKDVQKEL* >Brasy1G149900.2.p pacid=40052836 transcript=Brasy1G149900.2 locus=Brasy1G149900 ID=Brasy1G149900.2.v1.1 annot-version=v1.1 MENTGPLLHSTPPHASLPAAFVLAAGKLPPASTAAVSLPVVDLSLPRDEARRAVLAAGKDIGFFQVVNHGVPAETMRAMEELCDGFFRLPASEPGKAALLSEDKKKPNRLFSGVTYDTGGQKYWRDCLRIACPFPLDSSMINQWPDNPLGLRVVIEKFTVQTRSVGMEILKLLSEGTGLQPDYFCGDIAGGDVILNVNHYPPCPNPEKALGQPPHCDRNLITLLLPGSVNGLEVACNGDWIKVNPVDNAFVVNFGQQLEVVTNGLLKSVEHRAVTNSVKARTSVATFIMPTEDCVIGPDERFVNEENPVGYRTTVFSDFMRIYNVVNLGASLNLTTNLKDVQKEL* >Brasy1G162300.1.p pacid=40052837 transcript=Brasy1G162300.1 locus=Brasy1G162300 ID=Brasy1G162300.1.v1.1 annot-version=v1.1 MAHSGGSIAFFGTFRPLVPLDIFSLPANPQPSTSPAEQRLTDGVSYNHNGRSIPPAPLKELLAFLARKEPPVKCGATPDDVDSGIVTGIVFVSERDKGLETLHVALSVKGNPTPTVLSLRDIYGHDDTFSGVRMEDSGCFAGGFTAGARAVGHSLVYVSTKEPAKARRTPWTAVYKTSLADGSTERLTPQYQYDINPAVSPSGKRVAVANFRFNQWTGEIDRIHTDIAVMNVDRQAQGGLGRSVVIKDGGWPTWGSENVIFFHRGKFVAGRRQPCWQVFRYDLTTKKIDAMTPENMDAMTPAAIDENRVAVAATQTPFGLQAVAPEQRTDVGQFRQIEIYELGKPADPVVITSNKTDHYNPFVLQHGSNSNTSVVGFHRTRDGDAEKKFSMLQSPPTHKDVALYRGAGVFPTFTNKGSELAFVDNDFRTVYVADGGDEPRAVYKHENSNKILSVTWNQKQDTLYICIGTAFTGGEIQIWAIYDVCDKDTNKQSWKQLTDDTKQYNNAFPSSNKDGDKLVFRSSCDRSGGDKKFKNLYIMEDATEGDSSAGKVTRLTEGDWTDTHCCWSPTDDWIVFSSSRDRAPPGTDPAILDAGCFSIFMVSYHKRDVVVRVMHSAMSLAGHVCHPVFSPDRRSIVVTSDVAAVSADPASLPIFIHSVRPYGDIFTIKLRNPDCLEKNGDIVEFDRVTHSRYENSTPTWTELANDPNDLLTSSRTGHAAGPCPFLHSTAYKC* >Brasy1G559500.1.p pacid=40052838 transcript=Brasy1G559500.1 locus=Brasy1G559500 ID=Brasy1G559500.1.v1.1 annot-version=v1.1 MAVASMQAGKPLRLKDLLALDCDSCSAAGFRCYPRRLCVAPPAPAPMRPLFERSPSRLRRRPVLLLSHLSRRLRSGFTISWRRRDDEEEPGAEAPAPVATVSSSGCCNNTSSSNSETSESGSESSSAERKCSESDDFSSASSTESPHAGVVAAAKTAGDKHEGMKRGSKEARFGSEADDKDQLSPVAVMDFPFDDAVEEEEESDAGSSVCWPTFSDSLAQLHQRRNIQLQYKIRRLGAIAELGAAADLEARFAASDDDPVKNRGSVDPTHQRRCCSDVATAPPCRRSVDECKDPDELRSLLGLLTGTVSVAGGVDSVSERLLLDLFAETRKPSSTSNNDDEAAVRMAKSWLEGTGARWGLKEALCCREDLVAEMEGWSAHVSGDEREGREVGVVVAGFLVDELVLELVRDLRLLV* >Brasy1G112900.1.p pacid=40052839 transcript=Brasy1G112900.1 locus=Brasy1G112900 ID=Brasy1G112900.1.v1.1 annot-version=v1.1 MPQVDLESLVCGAAGAGAGDRKVSCETVIAGDASPPPPPPPLPDPDFPPESITIRIGSSDEAAFSELNPIYERDDSTKGSTNPKSAAGGGASNPVPLKSRSNSARIAGARAPAAAGATTTFFGLPAKIRRPSFTRRQPSQGRILPDKRSGGEEEEPRSPKVSCIGKVLSDRERCGRRRRRRWWRGVVAVFRCGGGCGCRGLGGHQGKKMASDEDDYGEDEDEEPSIAGMRRFKSGRRAATWGEEALAAAAAAAAASPAKAQEGEEKHESHEAEHWARLPVS* >Brasy1G162400.1.p pacid=40052840 transcript=Brasy1G162400.1 locus=Brasy1G162400 ID=Brasy1G162400.1.v1.1 annot-version=v1.1 MKLLSDGTVLRFTPPPFPAGADYDDGRVEWKDAVYDARHNLGVRMYRPQVKYYINKPDNKQQLPVLVYFHGGGFVFGSYSWPKNHAGCLRLAAELPAIVLSFDYRLAPEHRLPAAMEDAASALSWVADRMSSASDPWLPMPAESTQIFLGGQSSGATLAHYLLVLLEKKKKIQIKIAGYILLMPPFLSEKATQSELDTPDTAFLSRATSDRYFRLMMPKGANKDDPLVNPFGPGSPSLETAHVGRMLVVAAECDMVRDKDIEYAERLRAMGKDVELAVFAGQEHAFFATRPFSPAADDLLALIKRFLLAA* >Brasy1G143700.1.p pacid=40052841 transcript=Brasy1G143700.1 locus=Brasy1G143700 ID=Brasy1G143700.1.v1.1 annot-version=v1.1 MAMASPPACSLLLPSTAAMSSASTYASGLRAPRFLASPRAVAARRPLPTASSPKAAAPAAVEIPEEYADDIDAVNIALDVTQLIGKTPMVYLNNVVEGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIGLASVAAARGYKLIATMPSSIDVERRILLRAFGAEIVLTDPATGLKGALDKAEEIASKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNRDIKVIGVEPAETSVISGDSPGYIPSILDVQLLDEVVKVTTAEAVDVARQLALKEGLLVGISSGAAAVAAMNVAKRPENAGKLIVVIFPSFGERYISSILFRPIYNSVRRMRKR* >Brasy1G437200.1.p pacid=40052842 transcript=Brasy1G437200.1 locus=Brasy1G437200 ID=Brasy1G437200.1.v1.1 annot-version=v1.1 MADQIIHPRFGPGRPELVGQAQAEKVPVGHPRADHSPTPHPAHRQAPVGDTVTDRSRRGRQVPGRPGNSSTRRRAEQQHACERRAAARDGARASEAQALFEGKNGSRSRAIVTARCTEGTGSSSMGRAAKAAALLLLSTAENTAVNACDSSHRPPPVRRRAAASPRAVARWPLLPAARPRVIARSCLRSPVRRHALLPCTPSRALLPSPRTAALRAPSHGLLPSPRAAARRSHARRRALLRCCPSRGAPRHARCCPLLCPLLARAPSRVAAHRSHGRRRARCCPPLCPLLARAPSRVAARRSHGRRRARCCPPLCPLLARAPSRVAARLSHGRRRALLPSARTRAAAVPGPTRHLSATA* >Brasy1G009900.1.p pacid=40052843 transcript=Brasy1G009900.1 locus=Brasy1G009900 ID=Brasy1G009900.1.v1.1 annot-version=v1.1 MYAKCRDVSAARRVLGEMEDRNVATFTALLGGFAAARRSHDAMLLVGEMERSGVAPNMMTYSSLLSAFTGPGDLDHGRQAHCAVLKKGLENNQYVLSALVTMYSKCGSLEDFRRVQMDVSCQDQVSFNSMISGLSCLGRGDEAFQHFVQMRRQGTDTDVFTFTSMLKAIGSSSSLLEGSQVHALISKTGYDSDVNVQNGLISMYARRGAIGESRDVFASVETPDLVSWNSLLSGYAQHGYGKEVVEVFEQMRRLNVQPDHTTFLLVLTACSHVGLVDKGLEYFSLMKSNGFLAGARLEHYACMVDLLGRAGNLREAESLISDMPIEPGVSVYRALLSACQIHGNLEIAIRTSRRLMELCPHDSSAHVQLSKAFAGDGHWGNAAEVREAMTGKGIAKIPAWSCVDDRVQVG* >Brasy1G532700.1.p pacid=40052844 transcript=Brasy1G532700.1 locus=Brasy1G532700 ID=Brasy1G532700.1.v1.1 annot-version=v1.1 MADEEAGCMHALMLASSVVQPMAVRTAIELGLLEILVSAGVGKTMTPEEVTAKLPTSNPEAASMVDRLLRVLASYSVVSCVVEEAEDGSLSRRYGPAPVCKWLTPNEDGVSLAPFCLLAQDRVFTKTWYVLHEGRHPRGRWRRLQQAFGTTWFEHAGVDTRFNNLFNEAMKQHSVIITKKLFELYTGFEGIGTLVDVAGGVGATGGRVQHVGGNMFEKVPSGDAIFMKWILNCFSDKECAMLLKNCYDALPAHGKVINLECVMPVNPDPTHGAQGLISVDVSLLSYSPRGT* >Brasy1G460300.1.p pacid=40052845 transcript=Brasy1G460300.1 locus=Brasy1G460300 ID=Brasy1G460300.1.v1.1 annot-version=v1.1 MPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGTSHQQLATLSGHQGPVWQVAWAHPKYGSMLASCSYDGRVIIWKEGSKPDEWAQAHTFAEHKSSVNSIAWAPHELGLYLACGSSDGNISVFTARSDGGWETTRIDQAHPVGVTSVSWAPAMAPGALISPGPSGQFEYVQKLSSGGCDNTVKVWKLTNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLNDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP* >Brasy1G322800.1.p pacid=40052846 transcript=Brasy1G322800.1 locus=Brasy1G322800 ID=Brasy1G322800.1.v1.1 annot-version=v1.1 MTKILSSILSQLGKKDDAHAAAADPQLIIHHIRDFLKDKRYLIIVDDLWDVPIWRILECAFVKNSHGSRVMATTRKNDVAKSCCSCQGNLVYEMKPLGESDSMKLFFKRIFDSEEKCPPNSKEASEEILKKCGGLPLAINAISSLLVTGKTEQEWERVRRSIGFAQGRNSDIDAMHYILSLSYYDLPLYIRSCILYLTMFPEDYKIARKRLVLRWISEGFIHGEDGEDLVELGETYFHELINRSLIQPVDIEYDGKAWGCRVHDTVLDFLIYKSTEENFCAYLSSHSKPDGKIRRFSLMGNEVQGSVEQLDLSHARSLGAFGKAEQMPSLVKSNALRVLDLEDCRGLENHHIKDIGKLLQLRYLVVNGSAISELPTQIEDLVYLETLDVRRTNLVELPESVTHLKRLELLFVPGSTKLPDCIGNMEKLQELGVYIMIFKKSVKFVEELGKLINLRKLSVHLKYYDSDKASYEKEKMLVSSLHKLDTCKLHTLSIQFSFGEKDAGALIEGHPFFISALKSIREITLLDGQLCWITKWMLSLANLEKLTLEGQWRIGQQGVEMVGSIPSLLEFSGPSFSEPVTISSSSSSGGFQQLQVFEFTLCVREFMFEAGAMPNVRRLYVEIWLKEIKSASGGRGGFDDIGIHHLSSLAC* >Brasy1G462600.1.p pacid=40052847 transcript=Brasy1G462600.1 locus=Brasy1G462600 ID=Brasy1G462600.1.v1.1 annot-version=v1.1 MSTDTTNNTALQPGLGVGVSPCCGTTTLLAALAGSFACCFLFILAFLCLRFLHIRRTWARRHGTAAQAPLQRQQGLLRPNKRGLDAAAIEAMAPSFPYRRDGSASTAVECAVCLGAVDEGETARRLPGCGHMFHQACVDVWLLSNASCPVCRGRLADPARAAAASASVVPVEMLDDRTVSSSSSTTSGAVPERPGWFAGRASGSGQGTDLERQ* >Brasy1G560800.1.p pacid=40052848 transcript=Brasy1G560800.1 locus=Brasy1G560800 ID=Brasy1G560800.1.v1.1 annot-version=v1.1 MSSLAWRGSQAGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPADFSPAIGVLAAVFAAYCLLSTASFAVAGIIRSSSASASSTASSGVIGRIKRKYLLPSGPFLLPLVLLVLAKGQRINAVFPVSRLGPALLLTLQASALAFPNEAEGDVRYAVLEASTVSGILHACLYLDAVVLPFYTGTDALRRSSRFSGECATCLCRMEPLVVGGATVLYRGLSKTALAIIFALCARMVCRIYGEERLSAWTRTALEAASWVFVSGDAVYLAGWALVEGAVAGVAAYGLVAGLVFLCVFGKVYRFLAWVENRQVQWKPSLSCHNVV* >Brasy1G098300.1.p pacid=40052849 transcript=Brasy1G098300.1 locus=Brasy1G098300 ID=Brasy1G098300.1.v1.1 annot-version=v1.1 MSTQSPIVYAQDESSNNTPPVPQHAAIDTDKDKLIKSAAKRSIQFTEPDLMNKGSENEVELADGTKELQDLKRNKHEYVHGHNQPCRKQHRKSQLHCLLPKISYSVA* >Brasy1G030300.1.p pacid=40052850 transcript=Brasy1G030300.1 locus=Brasy1G030300 ID=Brasy1G030300.1.v1.1 annot-version=v1.1 MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYQPRRIAAGGGGVLPLGTGRLTPSFRWVGAAFRISEDDVLRRHGLDALAVIRLFKFGIKCFSVCSIVGVLILAPVNYTSEGPSGIKRPNSMELFTVSNVTRGSDRLWVHFSCLCFISFYVVYLLHKEYKEMSHKRIEHLKYHRNRPDQFTILVQGIPICSDHGTYGCHADHFFSQHYLTYESCQILHDVGNIEALQNLASSLEKQIKRKRDTRRCNFWRWIWCKLTLGPIDARSQEHKLKEVHQSIRLLQCENMLKQKELPVAFVSFKSRLEATQAAETQQHVNPLSLVTRYAPEPTDTIWSNLAIPFSRIAVYKIGVFIAAFLLTVFFTIPVTAVQGIVQFEKIKKWFPLARAVQLIPGLSSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCISKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLASAVSAQSDFFMTYILTNGMSGFSFEVLQFGLLTWHFLKAHSVGHTDEPYLYGFPYYRVVPIVALAVLIGMVYAVVAPLLLPILVIYFLLGYAVFINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQTTMIGLFGLKSKPGASIATIPLLALNIMFNEYCKVRFLPTFQCRPVQICKENDDLDKTEGVAEGNPAHAIRAYTPPWMRPTECSSESSSVQPLARCFI* >Brasy1G030300.2.p pacid=40052851 transcript=Brasy1G030300.2 locus=Brasy1G030300 ID=Brasy1G030300.2.v1.1 annot-version=v1.1 MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYQPRRIAAGGGGVLPLGTGRLTPSFRWVGAAFRISEDDVLRRHGLDALAVIRLFKFGIKCFSVCSIVGVLILAPVNYTSEGPSGIKRPNSMELFTVSNVTRGSDRLWVHFSCLCFISFYVVYLLHKEYKEMSHKRIEHLKYHRNRPDQFTILVQGIPICSDHGTYGCHADHFFSQHYLTYESCQILHDVGNIEALQNLASSLEKQIKRKRDTRRCNFWRWIWCKLTLGPIDARSQEHKLKEVHQSIRLLQCENMLKQKELPVAFVSFKSRLEATQAAETQQHVNPLSLVTRYAPEPTDTIWSNLAIPFSRIAVYKIGVFIAAFLLTVFFTIPVTAVQGIVQFEKIKKWFPLARAVQLIPGLSSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCISKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLASAVSAQSDFFMTYILTNGMSGFSFEVLQFGLLTWHFLKAHSVGHTDEPYLYGFPYYRVVPIVALAVLIGMVYAVVAPLLLPILVIYFLLGYAVFINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQTTMIGLFGLKSKPGASIATIPLLALNIMFNEYCKICKENDDLDKTEGVAEGNPAHAIRAYTPPWMRPTECSSESSSVQPLARCFI* >Brasy1G030300.3.p pacid=40052852 transcript=Brasy1G030300.3 locus=Brasy1G030300 ID=Brasy1G030300.3.v1.1 annot-version=v1.1 MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYQPRRIAAGGGGVLPLGTGRLTPSFRWVGAAFRISEDDVLRRHGLDALAVIRLFKFGIKCFSVCSIVGVLILAPVNYTSEGPSGIKRPNSMELFTVSNVTRGSDRLWVHFSCLCFISFYVVYLLHKNLASSLEKQIKRKRDTRRCNFWRWIWCKLTLGPIDARSQEHKLKEVHQSIRLLQCENMLKQKELPVAFVSFKSRLEATQAAETQQHVNPLSLVTRYAPEPTDTIWSNLAIPFSRIAVYKIGVFIAAFLLTVFFTIPVTAVQGIVQFEKIKKWFPLARAVQLIPGLSSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCISKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLASAVSAQSDFFMTYILTNGMSGFSFEVLQFGLLTWHFLKAHSVGHTDEPYLYGFPYYRVVPIVALAVLIGMVYAVVAPLLLPILVIYFLLGYAVFINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQTTMIGLFGLKSKPGASIATIPLLALNIMFNEYCKVRFLPTFQCRPVQICKENDDLDKTEGVAEGNPAHAIRAYTPPWMRPTECSSESSSVQPLARCFI* >Brasy1G030300.5.p pacid=40052853 transcript=Brasy1G030300.5 locus=Brasy1G030300 ID=Brasy1G030300.5.v1.1 annot-version=v1.1 MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYQPRRIAAGGGGVLPLGTGRLTPSFRWVGAAFRISEDDVLRRHGLDALAVIRLFKFGIKCFSVCSIVGVLILAPVNYTSEGPSGIKRPNSMELFTVSNVTRGSDRLWVHFSCLCFISFYVVYLLHKEYKEMSHKRIEHLKYHRNRPDQFTILVQGIPICSDHGTYGCHADHFFSQHYLTYESCQILHDVGNIEALQNLASSLEKQIKRKRDTRRCNFWRWIWCKLTLGPIDARSQEHKLKEVHQSIRLLQCENMLKQKELPVAFVSFKSRLEATQAAETQQHVNPLSLVTRYAPEPTDTIWSNLAIPFSRIAVYKIGVFIAAFLLTVFFTIPVTAVQGIVQFEKIKKWFPLARAVQLIPGLSSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCISKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLASAVSAQDFLLRFFSLVCLHGTS* >Brasy1G030300.4.p pacid=40052854 transcript=Brasy1G030300.4 locus=Brasy1G030300 ID=Brasy1G030300.4.v1.1 annot-version=v1.1 MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYQPRRIAAGGGGVLPLGTGRLTPSFRWVGAAFRISEDDVLRRHGLDALAVIRLFKFGIKCFSVCSIVGVLILAPVNYTSEGPSGIKRPNSMELFTVSNVTRGSDRLWVHFSCLCFISFYVVYLLHKEYKEMSHKRIEHLKYHRNRPDQFTILVQGIPICSDHGTYGCHADHFFSQHYLTYESCQILHDVGNIEALQNLASSLEKQIKRKRDTRRCNFWRWIWCKLTLGPIDARSQEHKLKEVHQSIRLLQCENMLKQKMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQTTMIGLFGLKSKPGASIATIPLLALNIMFNEYCKVRFLPTFQCRPVQICKENDDLDKTEGVAEGNPAHAIRAYTPPWMRPTECSSESSSVQPLARCFI* >Brasy1G139600.1.p pacid=40052855 transcript=Brasy1G139600.1 locus=Brasy1G139600 ID=Brasy1G139600.1.v1.1 annot-version=v1.1 MTHNVVEDDIEDIPCSDAESPILTEYHITVPTLHDGLMQGADKNEGRLLDFLKATPSVHWLKGINLCAPLERFQLPSIGVHRYLHVHFTRRVNWRSLFTICKNYLKHPLNVALLIWLLCVAASSAMFGLLLLGLLNKAFPSKALRNHWIEINNQILNALFTLMSIYQHPNLIHHLVLLCRWRSEDVLELRKVYCKNGAHRPNERAHISFVVALLHITCIAQYMECSLYWGYHSKSRSEFAESFYYTLGVVAPVVAGVYTVYSPLGRDDDAASCEETKQPYTVEVESDETRTVVGNPMWAGELLDCSEDPAACYLSFLCTFCVFGWNMERLGLGNMYLHTVMFLLLCVTPFWVFNITALNIHDYVLSDAFGAAGIVLCFFGLLYGGFWRIQMRKRFGLPRSRWCCGSASLTDYVQWLFCWPCALAQEVRTGNLYYVEEGGFYGKLMDGADPESVPSAAMDVPVSCGVKLAVDGEMIPPIQPVVESGRQRQGDDAQIVANGSIQLKS* >Brasy1G015300.1.p pacid=40052856 transcript=Brasy1G015300.1 locus=Brasy1G015300 ID=Brasy1G015300.1.v1.1 annot-version=v1.1 MASSSSPLWSDLPPELLGLLASMISGVGKEPSAADLARFRAVCRSWHRAVRDHAPPPRRLPWVVFPNGEIFTTTDREWHHITSLPENAVCIGSSDSWLALDCIDTDKRHAYLLHNPFTGRTVPLPELGAIIGIVPESFQIRKVLLRSTPDDLVVFMTDRLSCPIILIRPGKVMWLPKPQATTFSRIIDVAFLGDILYGITQDEDLVSLHVAFDDDGVPMVTGVERIIRHPVHDDDSDEWSDEDDNDGEGEEEANDEDGGGDNDEALDGEGEDYDDRIVEAIEYKDDGDDFLTTLWYFVESRGKLIMVRRQLQWPTYGVNFTVQLDVFEANIDTGVWVQVEGGLDGQAIFISRLFCKPVPACGEEIKEDTIFFIDTGEVLNIKSYAITPSRTKFDLNSSTWLFPPKLVV* >Brasy1G457700.1.p pacid=40052857 transcript=Brasy1G457700.1 locus=Brasy1G457700 ID=Brasy1G457700.1.v1.1 annot-version=v1.1 MARASAAVVLFALVCCLLVDPYPARRPGELPPQVITAAHVPVDDPREASAEPLLPKLVALEEVDPVQRAPQVFRCGGEDVAAAVTVSSSSEEDARPRRESGAEEEAGIMGWFRGSDTDCDSGSDSDCDSDSDDEHDGGWIMGWFWRLARRF* >Brasy1G036600.1.p pacid=40052858 transcript=Brasy1G036600.1 locus=Brasy1G036600 ID=Brasy1G036600.1.v1.1 annot-version=v1.1 MARIKMEAALETSNRLQITDTSLLHWRKKLKRAAQDCKDTTRRCKQHSQEEDERKQMAMQSSFPRRIAHTTKAFISSFLSHNNDHCSYNISDVRRFERFADGATEFMRFVQLGGTPRHPLFFDPLVGHIFAGKSIRYMVLHPRGGYHCFTIQPVVSEERGLEVTLSFLYEDCKVFENSFTLALMMRISESTDIIGTTVKCLRLVTPHFKSTADIVIKEITQLPTQDFLCLPPEVASANAENHRNEMHTTFTAWIRPDPLCCQGYEHDIVSSCGGGESSSGNKLRLSSIFPEPVCQVSLQRPISVSEYSNQLQGSTTRYDSSYLENYPPLQLGIMFMPHDSLKEPKSGEGSTIEAIDGEKQHLTHANFHPDQLDEMLIPKAIDYHNAEATTYEICWRSNHGSAYLFVYKTSMVAKFGARTASTRQGRRKNIKMLREILRGQMKKCAVEGGSQRLLKTLGRSFLGETAEHVYGMAQMVRI* >Brasy1G071100.1.p pacid=40052859 transcript=Brasy1G071100.1 locus=Brasy1G071100 ID=Brasy1G071100.1.v1.1 annot-version=v1.1 MVMCSLAVGSDDGSTPAPSDPSSSTQKRQFSGAVPVFVVLVLVAGAIVTLDRNENLSSMLQLKPRSQVVANHEPAAPASPTSDLGEHGDICEFLAANFTVMLFRYDGVVDLWGDLEWTTRVVHVAAAYQTKWWFAKRFLHPDLVAEYEYVFLWDEDIEVDNFGPTRYLEIVKMEGLEISQPALGRRSKIHHRLTIRGRQGGAAVHRHSIGKGQCYGPPCTGWVEMMVPVFTHVAWRCVWHMIQNDLIYADFKLGYCAQGDRRMNVGIVDDEYVLHRGIPTLGDDDDAMAPSSPMDGSSSTTDRQALRQQSNKEMEIFNRRWKKAVANDQCWTDPYPQPVATKG* >Brasy1G046700.1.p pacid=40052860 transcript=Brasy1G046700.1 locus=Brasy1G046700 ID=Brasy1G046700.1.v1.1 annot-version=v1.1 MAGRGAAHHDALTSRCLAFAEGVATMVSPVLLALALKKDKWKSDVHEPALPMAMLTAVAGATLFTGICPTLACCASERFPATCVRLPSVTRGLTATVSSACLLFIACWISHLSVVSDNFVFVVGFLSGTFLLVRAVSYWLPQQDQQQQLPKLNHPEEGEDRKAKEKELCAMVDKSHEFLSGVTGILFLALEGMALEGLAMASSATPAYNPGTDHQHAVLLRPHMSISFLVCAVGVVLIFLEMVPPRGAAKVLGVMYCADAAMAAGTGALLTAVVSTAMGKRKTKAGFLFLFFPFLIFIQLVYRVTINARNSINGRRTPGELHAAENPVEEEAGGEEEAKPAPMGLTKVTFTGFLVVSVKAISGGSPSGWTLCFLLFAAAAIVSGVSWRLLTHAQNKVKVGKAAADEAANVAAFCTHLCVAVATVLFAVVAWEAAAAGIDDEQQHVSAAACAHVSQVTCVHLDKILDVQNVRNMCTCIDPCRN* >Brasy1G378200.1.p pacid=40052861 transcript=Brasy1G378200.1 locus=Brasy1G378200 ID=Brasy1G378200.1.v1.1 annot-version=v1.1 MAAREAMNGGSVGALPPAPVQEEEEQQGQGQGQGQGRSSGIVRGHWRPHEDAKLRELVSEFGPQNWNLMAEQIESRSGKSCRLRWFNQLDPRIERRGFTAEEEDRLMAMHRACGNRWAYLARHFPGRTDNAVKNHWHVLNARRERQQSGNPVRRRRNKSRSSRSSSSSTSSASSSARRHQAPPPASAAAPLPLRPHSSAPPLYYRHGHGHPLGGAAHSSGESSSSASTCTTDFTLYGGSHRKGYDIMAPPPPQPLQAFKPSGRSAFVAPPPKKLLPRREEPKQEEANKPKLPFFDFLGVGDV* >Brasy1G545000.1.p pacid=40052862 transcript=Brasy1G545000.1 locus=Brasy1G545000 ID=Brasy1G545000.1.v1.1 annot-version=v1.1 MGSTDKADAAAAVETEDYCYCPEPGCLFEGGPTAVLEHLHLADGAHARPIYDVRYGQPWNFTLQLSRPWDIVFGVGEDDRSTFFVFLQAAAPGGGAFMSVSLQVCVGECVGPQLLYRSKMTLESVLSGDKKKRATTQSVVCDRVHLEVPQEMVLSGETLAVSIQIDQVLPAAADWV* >Brasy1G527800.1.p pacid=40052863 transcript=Brasy1G527800.1 locus=Brasy1G527800 ID=Brasy1G527800.1.v1.1 annot-version=v1.1 MEWRTRRRRGGGEEGVAGGAVLELAPWLLLLLALAASVPGARGLDMDTGPGSDAALLLSFKRAVTADPLGVLSAWSLSPSGSDSGKYSPCAWNGVICNGFPASPNATSPPPPVNATSNSNSTAAGIDAASLAAAATTVSRVIGLVLPNAQLSGTIPAELALIPHLRHLDLSNNALNGTLPPALLLNASDLRILSLAGNDLSGSLPVPSSPSYPNGSLLQELNISDNALSGSLPAGLLHLPSLAVLALANNYLAGGLPGSGVGDALEVLDLSDNYFEGALPSDFGGVKLRTMNVSSNRLTGDLPVGLAAVLPANATVDLSGNNFTGAVPAAGVFGAQPAAAYEGNPRLCGPPLDRSCSIPSSLSRPPNGTSDSPPAFAAIPKGSGRGAAPGGAAEEGQGPGGGGQGKLRPAAIVAIVAGDLAGVGVLFALFLYVYHVRKRRREHGVVTPPEPQQKSARGAGGVKTVDVDIAGVREDRAYTSTGCCIGRGKSDGSESSDCSASSSDAGSDGDEDIKRRHPGSFIGWSTPQHHSKKHHEQQQAPAPATLVTVDDGGGGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADRLKDFEAQVRAVARFRHPNVLRLRGFYWGADEKLLIHDYAANGSLANIAFTRRFGASSSPIHLSLEARLRIARGVARGLAYIHEKKGVHGNVKPSNILLSVDMEPWIGDLGLDRLVSGEGMYRSGVGASARLFGSKRSIHSTSSLPDLSQMPGGAGASPCTSSSSTAPPPYQAPECLKNLRPNAKWDVYSFGMVLLELLSGRVYSEVELCQWHAGSVASATADEQRVRVLRMADPTLRGEADGNEDTLLGCFRLAFACCTMAPGKRPSMRDAAVLLDRMPAASTSTAETPY* >Brasy1G122700.1.p pacid=40052864 transcript=Brasy1G122700.1 locus=Brasy1G122700 ID=Brasy1G122700.1.v1.1 annot-version=v1.1 MASTSSSSSSSNSGPADLSVAHRRRLTDLVERGRGGGGRHRGVVKALFSGRRNNQSKRAPSVLDQAWLRNAVAFLLGITIVIGLVLTSSHGPGSGGGRLLRRVDGDALGWSEENLTVPALRPPDPPTPQIWLMPDSEGYSKCIEHPKKNYRTSNATSGYIIVDANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHRSFWTDPSDFKDIFDVEHFKKTLEDDISIVDSLPPDYRGLKPYMRAPSSWSKASYYRAFARTLKKAKVVKFTHTDSRIVNNGLPPHIQRLRCRTNYEALKYKKEIEELGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVRHWKEKEINSKERRLQGGCPMTPREAALFLKALGYPSKTNIYIVAGAIYGEHSLDELKTEYPNIYTHYSLATVDELEPLKLYQNRLAAVDYNVALQSDVFAYTYDGNMAKAVQGHRRFEGFRKTINPDRQKLVELIDKFDEGIITWNEFQSKVKIHHEKRLGGPYQRFSARSLRLEEHFYANPLPGCLCKRTQMIK* >Brasy1G557000.1.p pacid=40052865 transcript=Brasy1G557000.1 locus=Brasy1G557000 ID=Brasy1G557000.1.v1.1 annot-version=v1.1 METVFGKDPSRKPTTKKQSIAHLFFDCCVAKVTCKLISSILAITIGSDFLSVGQWWISNKKLARHNVVSSAVLWSLWTHRNAICFQGKSWRSAQVILDMASAHCRRWRVLCRGNCLVKVEQFIRDMEHKGGELLCIAWH* >Brasy1G002700.1.p pacid=40052866 transcript=Brasy1G002700.1 locus=Brasy1G002700 ID=Brasy1G002700.1.v1.1 annot-version=v1.1 MVQRSSNAEVMSMDLSPKKPAKSYGGDAGAYYAWSPADLPMLAAASIGAAKLHLAAGGLSLPSYSDSAKVAYVLQGNGSIGVVLPEAAAEKVVPVKEGDALALPFGAVTWWHNASESTSELVVLFLGDTAKAHKPGQFTDFQLTGSTGIFTGFSTEFVARAWDLPPTAAASVVSTQPSSGITKLSPAHKMPSPDPAHRAGMVLNCLDAPLDVDIPAGGRVVVLNTANLPLVKEVGLGADLVRIDGGSMCSPGFSCDSAYQVTYIVSGGGRVQVVGIDGKRVLETRAEAGCLFIVPRFFVVSKIADATGMSWFSIITTPNPIFSHLAGKTSVWKAISPAVLEASFNTTPEMEKMFRAKRLDSEIFFAPN* >Brasy1G124400.1.p pacid=40052867 transcript=Brasy1G124400.1 locus=Brasy1G124400 ID=Brasy1G124400.1.v1.1 annot-version=v1.1 MRAVLVCVCVVADSKTSRNRELKAVGITERRECEWIFGKRRWLSWGGLGWGRRKDEVTIRLGYQHHRGRE* >Brasy1G535800.1.p pacid=40052868 transcript=Brasy1G535800.1 locus=Brasy1G535800 ID=Brasy1G535800.1.v1.1 annot-version=v1.1 MEQRDALAVHDLSRQGGDEGSTEQGLVLMVDSSVHGAAMLESVKKEDEDHVGEFSRKFFVPVGTAADLAGSGTPVLGASSSSAPAPVTASGSASKSKVAMAHASPPDSYRRVPSRSRKQYSPQRFITTRSALGDATAISCADDAPASSNFLPSRARKHPRPEHFIPEEEAEASAATKYRRTNSVLDRFLSSFVLHGSSTETSSEQAGPRVRGVGAAVSRLGRASPSSAAAEGSPRGELNGSARIYVVIAILGASLALSVVSCLLFYIVGRRSPGPP* >Brasy1G442500.1.p pacid=40052869 transcript=Brasy1G442500.1 locus=Brasy1G442500 ID=Brasy1G442500.1.v1.1 annot-version=v1.1 IQLKVHSNGQYLFPGSLFQYLLFEDEKLHAGIGRYKFSSYETPFRPSLLMEPHMAHIPWRLRRLNLSAQGLHPAAESININEGVLIRYFYELLPMLVNNGSDGQPLSSSQCDVKILQAFSNRIHYGKSVAESKFLEEPEKYTPAIREQDKDKLMEILTDPDAEEEVITRVKNKAMVYRQKVDPELVGELYDKLVIPMTKEVQVQYLLRRLDLVSPEPHPFCLSA* >Brasy1G087300.1.p pacid=40052870 transcript=Brasy1G087300.1 locus=Brasy1G087300 ID=Brasy1G087300.1.v1.1 annot-version=v1.1 MGSTGRDEETAAEVTRADFPEGFIFGVATSAYQIEGARKEGGKGDSIWDVFADNKEHILDGTSGEVAVDHYHRYKEDIELMAKLGFGAYRFSISWSRIFPDGLGKEINEQGVAFYNNLIDFMIEKGIQPYATLYHWDLPHNLQQTMGGWLSDKIVEYFALYAEACFANFGDRVKHWMTINEPLQTSVNGYGIGIFAPGVCEGAAAEPFLAAHHQILAHAASVDVYRRKFKAVQGGQVGFVIDCEWAEPFSDKMEDQAAAARRIDFQLGWYLDPIYFGDYPESMRQRLGDHLPKFSEKEREFIRNKIDFIGLNHYTSRFIAHQQDPQAIHFYQVQQMERIEKWNTGEGIGERAASEWLLIVPWGLRKVINYIVKKYNNPVIYVTENGMDDEDDQSAPLDQVLNDTKRVGFFKGYVGAVAQAIKDGADIRGYFAWSFLDNFEWAMGYTKRFGLVYVDYKDGLSRHPKASAMWFSRFLNGEAADSKPDTN* >Brasy1G390400.1.p pacid=40052871 transcript=Brasy1G390400.1 locus=Brasy1G390400 ID=Brasy1G390400.1.v1.1 annot-version=v1.1 MGKGARSVRYEPGPSFEESREEAVLDISPSDSTELWLMQWPVNQLDASDFHGEEVSLKLHHDGKLGSLESSSGKGKLYELVSFSAQQPDATVFLPSESGIRAVGKISRRVCLVRYPEPEEFEKEKPGYGSLTPGSRKSAASSKKTMSRFTGASKNRSSQGSAFSLGQQSVEPTPKHKQKRKDGSSLGHSNVSGKSSEGSKVRGTESNTTSEMPQTSGEKSKKKKKVRIEG* >Brasy1G390400.2.p pacid=40052872 transcript=Brasy1G390400.2 locus=Brasy1G390400 ID=Brasy1G390400.2.v1.1 annot-version=v1.1 MGKGARSVRYEPGPSFEESREEAVLDISPSDSTELWLMQWPVNQLDASDFHGEEVSLKLHHDGKLGSLESSSGKLYELVSFSAQQPDATVFLPSESGIRAVGKISRRVCLVRYPEPEEFEKEKPGYGSLTPGSRKSAASSKKTMSRFTGASKNRSSQGSAFSLGQQSVEPTPKHKQKRKDGSSLGHSNVSGKSSEGSKVRGTESNTTSEMPQTSGEKSKKKKKVRIEG* >Brasy1G175400.1.p pacid=40052873 transcript=Brasy1G175400.1 locus=Brasy1G175400 ID=Brasy1G175400.1.v1.1 annot-version=v1.1 MAVRPPDHTRTSWPDLVGYPDVNAGLIIAYDRPDLRHVKFYDVGLNGPVGSDLTRAVVFRDPGTRIVVYPPYVG* >Brasy1G510400.1.p pacid=40052874 transcript=Brasy1G510400.1 locus=Brasy1G510400 ID=Brasy1G510400.1.v1.1 annot-version=v1.1 MALFAAARRAASSSLPLLRASRGAALLRPLAAAAARPMPFSSSSANAVKPSSDEELLRVIKSEIKFAEDCDDHDRVEEIPDSFPFKITDKKGLNDITLTRTYQGEKIEVVVSMPSLVTGDEAEDGQDEDDKEGDEDKDEDGEKPPKSTIPLTVTISKSGGPSLEFCCTAYPDEIMIDTLSVKQQPSGEEDEDLIAYEGPDFNDLDENLQRAFHKYLELRGITPMTTNFLHEYMINKDSREYLFWLTKLKDFVKQ* >Brasy1G044300.1.p pacid=40052875 transcript=Brasy1G044300.1 locus=Brasy1G044300 ID=Brasy1G044300.1.v1.1 annot-version=v1.1 MRVPSPRPSYLGVRAGAEDDARGAGFGKGGARCPWVGLAAACGEVLDYIDGVEEQGRKSARGERGGQDQKRQQRRKTKSVRIELG* >Brasy1G104500.1.p pacid=40052876 transcript=Brasy1G104500.1 locus=Brasy1G104500 ID=Brasy1G104500.1.v1.1 annot-version=v1.1 MLPMSPAVTDAGGDLFAANLKGALLAVASSAFVGVSFIVKKKGLRRAGSTGSRAGVGGYGYLVEPLWWVGMVTMLVGEIANFVAYMFAPAVLVAPLGALSIIVSAVLAHFILNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVEQIWHLATQPTFLCYAALAVAVSLLLMLYCAPRYGQTNIMVYVGICSVIGSLTVMSIKAVGIAVKLTIQGINQAGYFQTWLFVTVSATCLVIQLIYLNKALDTFNTALVSPIYYAMFTTLTILASAIMFKDWSGQSASIIASEICGFLTVLAGTVVLHSTREPDQTLSGDLYTPLPPTIYWHIQGNGDIGKQKEDDSLPCDFITVVRQDYFV* >Brasy1G104500.2.p pacid=40052877 transcript=Brasy1G104500.2 locus=Brasy1G104500 ID=Brasy1G104500.2.v1.1 annot-version=v1.1 MLPMSPAVTDAGGDLFAANLKGALLAVASSAFVGVSFIVKKKGLRRAGSTGSRAGVGGYGYLVEPLWWVGMVTMLVGEIANFVAYMFAPAVLVAPLGALSIIVSAVLAHFILNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVEQIWHLATQPTFLCYAALAVAVSLLLMLYCAPRYGQTNIMVYVGICSVIGSLTVMSIKAVGIAVKLTIQGINQAGYFQTWLFVTVSATCLVIQLIYLNKDWSGQSASIIASEICGFLTVLAGTVVLHSTREPDQTLSGDLYTPLPPTIYWHIQGNGDIGKQKEDDSLPCDFITVVRQDYFV* >Brasy1G104500.3.p pacid=40052878 transcript=Brasy1G104500.3 locus=Brasy1G104500 ID=Brasy1G104500.3.v1.1 annot-version=v1.1 MLPMSPAVTDAGGDLFAANLKGALLAVASSAFVGVSFIVKKKGLRRAGSTGSRAGVGGYGYLVEPLWWVGMVTMLVGEIANFVAYMFAPAVLVAPLGALSIIVSAVLAHFILNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVEQIWHLATQPTFLCYAALAVAVSLLLMLYCAPRYGQTNIMVYVGICSVIGSLTVMSIKAVGIAVKLTIQGINQAGYFQTWLFVTVSATCLVIQLIYLNKTFTHLSLQRFIGTSKEMVI* >Brasy1G401800.1.p pacid=40052879 transcript=Brasy1G401800.1 locus=Brasy1G401800 ID=Brasy1G401800.1.v1.1 annot-version=v1.1 MSTAAARPRARSSRPKKRPPQSPQNTDTSSRSTPASAARSHDAAAARSQRGSASSPSAAAARSQRGNTSSPQPVDFNVPPPPDLSEAAPPREEQPPDAQPREEQPIVIRKKRKRHQPVEEMKLGAAACGGGIKSRRGERSQEQEMRKKRHANKPRMSCATWTAEHQHGVDEIRK* >Brasy1G291000.1.p pacid=40052880 transcript=Brasy1G291000.1 locus=Brasy1G291000 ID=Brasy1G291000.1.v1.1 annot-version=v1.1 MLLRRPLQTLTSPLLRRHLSTAATVVSAPDHRIDELLSPPFEYLPGHPRPDPKHDEVIFAVPRASSGRHFAAKERKAGRVPAIVFEQENGQEGGNKRLVSVPSKQIRKLVDHLGRSFFLSRLFRLEVFSEHAGEGDLIESVRVLPRKVHLHAGTDEPLNVTFMRAPPSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKFLCPADIVPPYIEVDLSELDVGQKLLMRDLIVHPALKLLQSPDQPICSIIGSRAPDQKKEKEKSK* >Brasy1G154800.1.p pacid=40052881 transcript=Brasy1G154800.1 locus=Brasy1G154800 ID=Brasy1G154800.1.v1.1 annot-version=v1.1 MDDGLYIPIPSHLLFPHPEIFSHGFDEFLTNTATPTCTHTHTCNALSSPSVARHTHTCLHRHTQVLASGVGEDRHPEQEPRNNPRVMKPLGNREAVRKYREKKKAHAAFLEEEVRSLRAANQQLLRRLQGHAALEAEVARLTSLLFDVRGKIDAEIIGDLPFQNQKPCAFGTGEVAAAAVPDEVDCGIDESAIASVEADLPELADSVVDADELCCLIG* >Brasy1G154800.2.p pacid=40052882 transcript=Brasy1G154800.2 locus=Brasy1G154800 ID=Brasy1G154800.2.v1.1 annot-version=v1.1 MDDGLYIPIPSHLLFPHPEIFSHGFDEFLTNTATPTCTHTHTCNALSSPSVARHTHTCLHRHTQVLASGVGEDRHPEQEPRNNPRVMKPLGNREAVRKYREKKKAHAAFLEEEVRSLRAANQQLLRRLQGHAALEAEVARLTSLLFDVRGKIDAEIIGDLPFQNQKPCAFGTGEVAAAAVPDEVDCGIDESAIASVEADLPELADSVVDADELCCLIG* >Brasy1G475600.1.p pacid=40052883 transcript=Brasy1G475600.1 locus=Brasy1G475600 ID=Brasy1G475600.1.v1.1 annot-version=v1.1 MAAAAAFSPLSGAFLRRRIPLLHRRRLLAITAAAPEAPASAPTPSQPPPRPRGKGYFPKKNEILELTCEGIAFKGKGVCKVEGSTFVLLCDGALPGERLVARVRRLRRGAFAEAAKLKTLEPHHDAVEAPCPLAADCGGCKSQSLAYSAQIHHKYIQVRDLLVNIGKFHPGSLESSDPDAILKPIVQCDEIFRYRNKMEFSFGTKRWMKREWKEDKEEVTKGEEEEVDGYSLGLHAPGFFDKVLHVETCLLQSEPADKVLAVVQESWTDPALGLTPYDVYKHVGFLKHLMIRTGRNVSTGAPEVMVNFVTSCYKPELLAPLVDNITKIPEVVSVVNNVNTSVGNTSVGEQEYTLYGKPTITEILRGLTFQISANSFFQTNTKQADVLYKLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLATRAKHVYGYEVVPEAIADAQKNAEFNGIRNATFVQGDLNKINETFGKQFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPSTCARDLDYLCHGEEEKGLRGCYELKRVIPVDMFPHTPHIECVCLLELC* >Brasy1G312600.1.p pacid=40052884 transcript=Brasy1G312600.1 locus=Brasy1G312600 ID=Brasy1G312600.1.v1.1 annot-version=v1.1 MAARHGSRRTKAELWLFGGRWRGTVKETSHPVASEVKPRNPTTTQKDEDICLEKSRVHLPDLGQRETIDIAPGRKSMPEMQINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSQDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVSPS* >Brasy1G311800.1.p pacid=40052885 transcript=Brasy1G311800.1 locus=Brasy1G311800 ID=Brasy1G311800.1.v1.1 annot-version=v1.1 MEKVMMSRKPGDWSCRSCQYLNFCKRDACQRCGEAKLGSERPDYAAMGGSWEVKPGDWYCACCGVNNYASRASCFKCGNAKTDSAAVSQNWGFNAAGQAGWKSGDWICPRLDCNVQNYANRTECFRCNAPKSYYG* >Brasy1G196700.1.p pacid=40052886 transcript=Brasy1G196700.1 locus=Brasy1G196700 ID=Brasy1G196700.1.v1.1 annot-version=v1.1 MYTALYLLIWGEAANLRFMPECLCYIFHYMALDLSHVIDRSIDVETGRPAIPAVCGEEAFLNGVVTPIYNALKGEVGASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRGFFVPPGKPGRVGKTGFVEQRSFWNVYRSFDRLWVMLILFFQAAMIVAWDGRGVPWDSLRYRDIQIRVLSVFITWGGLRFLQALLDAGTQYSLVSRETKFIAVRMVLKAIVAAGWTITFSVLYARMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLALVLFIIPWIRNFLEKTNWRILYLLTWWFQSRTFVGRGLREGLIDNIKYSIFWICLLLAKFSFSYFLQIKPMVAPTKTIFSLHNISRNWFEFMPHTERLAVIILWIPIILIYLMDIQIWYAIFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDKFRGGIRSRLYDAIHRLKLRYGFGRPYRKIEANEVEAKRFALIWNEIIQTFREEDIVSDKEVELLELPPVVWKIRVVRWPCLLLNNELLLALSQATELVADDKTHWNRICNIEYRRCAVIEAYDSIRQLLLEIIEERTVEHIIVNQLFLAFDNAMEYGKFAEDYRLTLLPQIHSSVITLVELLLKENKDQTKIVNTLQTLYVLVVHDFPKNKKDIEQLRREGLAPSRPTESGLLFEDALKCPSENDVSFYKQVRRLHTILTSRDSMNNVPKNPEARRRITFFSNSLFMNMPRAPPVEKMVAFSVLTPYYNEDVLYSKDQLRRENEDGISILFYLQKIYEDDWANFLERMRREGMVNDDGIWAGKFQELRLWASYRGQTLARTVRGMMYYYSALKMLAFLDKASEIDITEGTKHLATFGSIRHENDVYPRNNGFQQRPQRRLNRGASTVSQLFKGEEEDGAALMKYTYVVTCQIYGNQKMAKDQRAEDILTLMKKNVALRVAYVDEVRHEMGDMQYYSVLVKFDQDLQKEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYNYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRVDFFRMLSVFYTTIGFYFNTMLVVLTVYTFAWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGFFTALPMILENSLERGFLPAVWEFFTMQMNFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAFHSVIARNTLVYIIMMLSSWILVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGGIFSKAEHSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIADGSRSIAVYLLSWICVAVIFGVFVLMSYARDKYSAKQHLHYRLVQCAVIILAVLVLILFFEFTEFQIIDIFTGLLAFIPTGWGLISIAQVIRPFIESTVVWASVISVARLYEILLGVIVMAPVALLSWLPGFQEMQTRVLFNEGFSRGLQISRILAGKKTNAV* >Brasy1G408700.1.p pacid=40052887 transcript=Brasy1G408700.1 locus=Brasy1G408700 ID=Brasy1G408700.1.v1.1 annot-version=v1.1 MWPSRRNQGLDLHVRASGSRSEMDTSSPSPFVNGETLKIFVGRRVRTVVQVQRNEGGVLVGQSTDGHQLTIRGATGAPESPHYMEVVGIADSNQSIRAEVCTDFGENFDAGVFNGLCKLANETSKPLFL* >Brasy1G408700.2.p pacid=40052888 transcript=Brasy1G408700.2 locus=Brasy1G408700 ID=Brasy1G408700.2.v1.1 annot-version=v1.1 MDTSSPSPFVNGETLKIFVGRRVRTVVQVQRNEGGVLVGQSTDGHQLTIRGATGAPESPHYMEVVGIADSNQSIRAEVCTDFGENFDAGVFNGLCKLANETSKPLFL* >Brasy1G455800.1.p pacid=40052889 transcript=Brasy1G455800.1 locus=Brasy1G455800 ID=Brasy1G455800.1.v1.1 annot-version=v1.1 MPFDRTILFLIIIHAIQWRHRKNREQPLTAMPITPQRTEQNNPLGLEVQLHITKAICPALSEPGLRAFLRFMTGVSVCLNRGDLDPKSQQHADAAGSSLVSFIVDHIFHCRSLILTNLTFTLAQVEGAAQFKVWVKDVNFFLKIPL* >Brasy1G478100.1.p pacid=40052890 transcript=Brasy1G478100.1 locus=Brasy1G478100 ID=Brasy1G478100.1.v1.1 annot-version=v1.1 MESSTSSTSLNCISLSDPDIQRSVALLKQACLDSGFFYVLDHGISQELMDEVFAQSKKFFELPNSEKMKLLRNEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADDPQSNRPFYGPNQWPSEEVLPKWREVMEEYHREALRVAKSVARIIALALNLDEDFFDTPEMLGDPIATLRLLHYEGQVSNPSKGVYGAGAHSDYGLITLLATDDVVGLQICKDSNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLSGQERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLSQRYKDTHADLSAYSDGKA* >Brasy1G489000.1.p pacid=40052891 transcript=Brasy1G489000.1 locus=Brasy1G489000 ID=Brasy1G489000.1.v1.1 annot-version=v1.1 MASPSSTGNSGVSVVAAASASASTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKDLDAAHSELLRYACADVVIPTALPVVNASAAGRLAAASAAMPCPGAQLSAGIYGGGRRLGLGVGVGVGGLVDAIAPPMPPSSSAGCYYMRSSSNNNNAPGGGVSGVDVAPVQIPYASMANWAVNAISTAASGSESIGMDHKEGGDSSM* >Brasy1G552900.1.p pacid=40052892 transcript=Brasy1G552900.1 locus=Brasy1G552900 ID=Brasy1G552900.1.v1.1 annot-version=v1.1 MHSSARQFAECSFGGQTTYACTSRISALIFGWCQKFQSKNLFFRAALLVCCLLRWRREILD* >Brasy1G424400.1.p pacid=40052893 transcript=Brasy1G424400.1 locus=Brasy1G424400 ID=Brasy1G424400.1.v1.1 annot-version=v1.1 MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEANCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEDDDGA* >Brasy1G458600.1.p pacid=40052894 transcript=Brasy1G458600.1 locus=Brasy1G458600 ID=Brasy1G458600.1.v1.1 annot-version=v1.1 MPRSTRRRSRKHERDERDRSDSDEDQRPLEQEDKKGSVRDSKGTDQHEKRLFPALAKLPNAGEASGSSVEGQRKRKSRGDEEAVTGDDRWNGGGEDERLSYYDSKKSRNSDGDVKGKSGGKLNESDRSNEAGRRKSEKEALLEEHSAGEHHQVKGREKEQEAEKSKASKQVFTLKEDGMDQQYKMAKDRNRDHEKGNDDKHGASLKGLSRTKEGKTEDMESDRPRNKCNGTDVDHQRQDELHSGERRHRKIKDHSDGKDESQSGSRDRQTMPRDVRTKVAGCYEQQHTDEKAKSDMSRGLEKRKEEKYKDDRSKAHDRYKNNKYIDDRHKEEERHGDERYKEESSRHEDRHRDGKYREERSREDRHNKDERYKEKPRNERSDNSSRERHRDDSYRNHRNQDGGSWDVRSSKDNTRDRSLEKHYKEEHSYSENRYNKNILHENEGNPSVADLRSSKYRDNNKGKKRSYEESALNGDRETRNTKEYHGDATKRRSEVGSEDRRREYEKFDSRKRDFERKSSTRSSTYPAKEQSRHCTKQEESSPEEYGAALGRHRQASDFQSVEDQNKIDANCNESSLPSQNDGSLRSDGRPVHFSERLPSASDRQVLIRSNLRHSHDTSDGSMKKGNTRHHDLLLNRQATENYQTASPGHLHPPPDRSEMDSQIAFDDDNWSQIRERRSSSRSRRSGTVDNARGHGNTWNNPSSWSSPIPNGFGPFQHGPQIPGFHPAIHHFPQSLYGMRPSMETNHTGVPYPLHGHPESFSHCAQSFQWHNPAEDPYLSRLPGWDASRSVFEEHSHSYDRHELVRNKDPDFQQQSEVPPLSHTSDKPILTQFPGQNRSESSEVKTVDETNAEKTDAHAPKYRSNSKPVPSSVEVGSRFCSNYFKRLDISTSLASPELYKQCITMTMELEPSASCKATMKRSLKSSKDEHGYQAHGFKHIMQSVFSGKTTSIFEKAMALYSSNAGSVKSKAPASSSQPESEEVIKGPSVDMVDEGHVTVSKVQALPCTGMSDEVHNSNPAHHGTDFGMSDDSGLAKDCSNIEEDNVTSDTGDKSCIINHREGRACYTEEQVPNNGEGELLPDAKALQPCCNSVGGITDVPKEQVSGVISDAAFASGPQACEGAIPDCRVNLNRIPCSPGST* >Brasy1G258400.1.p pacid=40052895 transcript=Brasy1G258400.1 locus=Brasy1G258400 ID=Brasy1G258400.1.v1.1 annot-version=v1.1 MARRNAGAMQREGSVKDWSEFDPSPSPKMAYSQSYVAMRGLLTSLASLDLVLMSSSIKSAWAVILSHKHARSLERPKSKGMGWERAMIRLSVCFMIGIFIGCTPLFPADLSKKMPSEKAVLPFDGDVIDRRQMIEHQGTELEPFVAEAEAEPMNEPQVEDSPPVPAMLDDEADFVEASSILHSVNDSGIVMRKQLIVVTATTVRPHQAYYLNRLVHVLKDVPPPLLWIVAEWPYQSRETVEILRSSGIMYRHIVCNRNATNIRKIIVCQKNNAIFHIKKHRLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVATHVGTKYRVVLEGPLCKGDQVTGWHTNQGRGVLRRFPIGFSGFAFNSTILWDPKRWKSPALESIILHSGGRGGLQESRFIERLVEDESQMEGLADNCTRVMVWNFDLEPPQLNYPTGWLLQKNLDAVVPIT* >Brasy1G342400.1.p pacid=40052896 transcript=Brasy1G342400.1 locus=Brasy1G342400 ID=Brasy1G342400.1.v1.1 annot-version=v1.1 MMMTRASMGAMDGAALDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPASTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKIFCMHGGLSPELNSLDQIKDIERPIEIPDYGLLCDLLWSDPSADTQGWGESDRGVACTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPNTNKAPRAEDG* >Brasy1G342400.2.p pacid=40052897 transcript=Brasy1G342400.2 locus=Brasy1G342400 ID=Brasy1G342400.2.v1.1 annot-version=v1.1 MPNLSPGNLGLCSCTVVNGRNRYGLCYIGTSVFPFSTSLGGRKGILCILMLGDIHGQFVDLLRLFDLGGYPPASTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKIFCMHGGLSPELNSLDQIKDIERPIEIPDYGLLCDLLWSDPSADTQGWGESDRGVACTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPNTNKAPRAEDG* >Brasy1G471300.1.p pacid=40052898 transcript=Brasy1G471300.1 locus=Brasy1G471300 ID=Brasy1G471300.1.v1.1 annot-version=v1.1 MHARLLSAPSRASAAATSSSSSSLPSAAGHPCRVSWKPRPSRTSTSPPRPLSLSARASMEPAPPEGQSDPPVHGVSNRVVGVLGGGQLGKMLCQAASQMGLKVAILDPLENCPASSVCHEHVIGSFDDGDTVREFAKRCGVLTVEIEHVDAVTLEKLEKQGVVCEPKASTIMIIQDKYRQKEHFSKFGIPLPDFVQVDNLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHNKKELSSVVTSLGGFEHDLYVERWTPFAKELSVIVARSRDGSTVCYPVVQTIHKDNICHVVEAPAEIPEKMKKLATDVAEKAIKSLEGAGVFAVELFLTNDNQVLLNEVAPRPHNSGHQTIEACYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGESGFVLAHQMIGRALNIPGASVHWYAKPEMRKQRKMGHITIVGPSMFSVKARLDKLLQRDNDGLTKVRPRAAIIMGSDSDLPVMKDAKEVLEKFNIPFELTIVSAHRTPERMYEYALSAKERGLEVIIAGAGGAAHLPGMVASLTTVPVIGVPIWTKSLQGMDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELSDRMNEYQQNLKDSVLEKARLLEELGPDDYLKQYMKP* >Brasy1G471300.2.p pacid=40052899 transcript=Brasy1G471300.2 locus=Brasy1G471300 ID=Brasy1G471300.2.v1.1 annot-version=v1.1 MHARLLSAPSRASAAATSSSSSSLPSAAGHPCRVSWKPRPSRTSTSPPRPLSLSARASMEPAPPEGQSDPPVHGVSNRVVGVLGGGQLGKMLCQAASQMGLKVAILDPLENCPASSVCHEHVIGSFDDGDTVREFAKRCGVLTVEIEHVDAVTLEKLEKQGVVCEPKASTIMIIQDKYRQKEHFSKFGIPLPDFVQVDNLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHNKKELSSVVTSLGGFEHDLYVERWTPFAKELSVIVARSRDGSTVCYPVVQTIHKDNICHVVEAPAEIPEKMKKLATDVAEKAIKSLEGAGVFAVELFLTNDNQVLLNEVAPRPHNSGHQTIEACYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGESGFVLAHQMIGRALNIPGASVHWYAKPEMRKQRKMGHITIVGPSMFSVKARLDKLLQRDNDGLTKGMVASLTTVPVIGVPIWTKSLQGMDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELSDRMNEYQQNLKDSVLEKARLLEELGPDDYLKQYMKP* >Brasy1G547500.1.p pacid=40052900 transcript=Brasy1G547500.1 locus=Brasy1G547500 ID=Brasy1G547500.1.v1.1 annot-version=v1.1 MTTTGGRALLLLLVVVSAVLVQIRASDPLLYESFDESFEGRWVVSGKDEYTGVWKHAKSDGHEDYGLLVSEVAKKYAILKELDVPVILKDETVVLQFEVRLQNGLECGGSYLKYIRPQADTWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHRNPKTGKYVEHHLKFPPSVPHDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPALIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPEDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPRMDNPKCEEAPGCGEWKKPMKQNPAYKGKWHAPLIDNPSYKGVWKPQEIPNPEFFELDKPDFDPIGAIGIEIWTMQDGILFDNILIADNEKVANSILEKTWKPKYEVEKEKEKAEEAAAGPNSLSELQKKIFDVLYKIADIPFLEPYKSKIIDVIEKGEAQPNVTIGILVSIVVVIVTVLFKILFGGKKPPVPVKPAAVSKKPQAAVADAAGSSGADKEEEKEDPAAPRRRSRRET* >Brasy1G537500.1.p pacid=40052901 transcript=Brasy1G537500.1 locus=Brasy1G537500 ID=Brasy1G537500.1.v1.1 annot-version=v1.1 MKRTRAQNPKLQDPLDPSAAVAATPNPKPQRRAKQPRQPKAAAGAGKKTSAAVDAAGAAASPAVSSAAEMVATVPDVCGGGAGGGEELAEAEWEYQMDGGGLLGNVEGSWWTFGVEEEKLLGWFPFVEEDFFRCRGADAAAEFDDDIWRIHQIYEIPSYAAK* >Brasy1G226000.1.p pacid=40052902 transcript=Brasy1G226000.1 locus=Brasy1G226000 ID=Brasy1G226000.1.v1.1 annot-version=v1.1 MSEVFEGYERQYCEVSASLSRKCTAASALDGEKKKQRLSEIQSGVEEAESLIRKMDLEARSLQPSMKASLLAKLREYKSDLNNLKTELKRISAPNARQATREELLESGMADTLAVSTDQRGRLMMTTERLNQSTDRIKDCRITMLETEDRGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILIILYFKLVH* >Brasy1G226000.2.p pacid=40052903 transcript=Brasy1G226000.2 locus=Brasy1G226000 ID=Brasy1G226000.2.v1.1 annot-version=v1.1 MSEVFEGYERQYCEVSASLSRKCTAASALDGEKKKQRLSEIQSGVEEAESLIRKMDLEARSLQPSMKASLLAKLREYKSDLNNLKTELKRISAPNARQATREELLESGMADTLAVSTDQRGRLMMTTERLNQSTDRIKDCRITMLETEDRGVSILQDLHQQRQSLLHAHTTDGLSKWNGSGSSLLSFIRCGSSESVCNINS* >Brasy1G230600.1.p pacid=40052904 transcript=Brasy1G230600.1 locus=Brasy1G230600 ID=Brasy1G230600.1.v1.1 annot-version=v1.1 MLGALGCLLVLFGCDFRRTKLLLSVQCHRLSGNPCSPRLARCLPVVSFCTVVHLSSNPPCSYMIFRLVFLNELYELPARPKKTLREYNFHMSRHRAKFLNRNVRPNENASPAHGIGL* >Brasy1G255100.1.p pacid=40052905 transcript=Brasy1G255100.1 locus=Brasy1G255100 ID=Brasy1G255100.1.v1.1 annot-version=v1.1 MFSWKILEHAETISQIKPHAETTSPEMLYDATDEAKSQINGLAVPGNTVSSFNVQQVLKNTSIAAIVHAYPDSDSKSGSDKYAIYDEQWRSVNRWCEADFSEEYVDNSINENKENINPLASYYASPAYQARMATYGPQPALRESDIQCAQNQRSNDKCSCANSLSPIKPRKLLNQLESAVTVRGSTNKQFYEGRQEDPINIKDSPDLEILDEESYFKQLQDIQREKRCKQPKPKQDASLNERQTGVQVLGERNFRQTCNMLTDKTDQLYNQANNKRRVLQPSKWVCSPYETGRINVRNDETRLYQCIMSLGSSRDYKYRIAIFFDKVKVSFKTFADSFRHEGWVEGYVLNCFCRKLFRDNHPRDSLKHFFFHTMSEYFLEKYTTEAKRQKMKEGVMHAFEGAGSAMTLHLSNMLYFPTLHMQHWFLFTVDLRDEKFIFLDSLFGPDNMLHRETSQMLIKFFIQAWRDCGLPMLRFEDYGIIYPRVPKQANGHDCGIFVMKFMEQWDPRNQPSCAFSKDDITSIRVKICNQLMFSNFNIQEDAKNCVLNFVPSMYIPGSY* >Brasy1G224800.1.p pacid=40052906 transcript=Brasy1G224800.1 locus=Brasy1G224800 ID=Brasy1G224800.1.v1.1 annot-version=v1.1 MAASSGDGASRPNALPAGLVSNLQSVLAARRPPPPPPEDAGATAPETSAPGAEAKAGDAPAKPVVLLTCAGGIGSPGLVALVDALVKGGRCDVHVCAPDSDKPVCGHSITIRETVAATSVDLTGAKAFEISGTPVDCVSLALSGRLFSWSAPALMISGINAGPNCGYEMFHSSAIAAAREALMYGVPSIAISLNWKKDETKENDFKDAAGLCLPLIHAALADIEKGTFLRGCLLNIGVPSSPAANKGFKLTKQSIYSPAQSWQAVSTSRPAPAAHFMGMHQSLGIQLAQLGKDASAAGAARRGNSQRKAVEVESVAAAGKPEAREVVKKSFRAEFIEKLHEGLDDDIDLRALENGFISVTPLNVHGRVEPELEAPASDWLSAAVAGDKEKEAPAT* >Brasy1G224800.2.p pacid=40052907 transcript=Brasy1G224800.2 locus=Brasy1G224800 ID=Brasy1G224800.2.v1.1 annot-version=v1.1 MAASSGDGASRPNALPAGLVSNLQSVLAARRPPPPPPEDAGATAPETSAPGAEAKAGDAPAKPVVLLTCAGGIGSPGLVALVDALVKGGRCDVHVCAPDSDKPVCGHSITIRETVAATSVDLTGAKAFEISGTPVDCVSLALSGRLFSWSAPALMISGINAGPNCGYEMFHSSAIAAAREALMYGVPSIAISLNWKKDETKENDFKDAAGLCLPLIHAALADIEKGTFLRGCLLNIGVPSSPAANKGFKLTKQSIYSPAQSWQAVSTSRPAPAAHFMGMHQSLGIQLAQLGKDASAAGAARRGNSQRKAVEVESVAAAGKPEAREVVKKSFRAEISVTPLNVHGRVEPELEAPASDWLSAAVAGDKEKEAPAT* >Brasy1G000500.1.p pacid=40052908 transcript=Brasy1G000500.1 locus=Brasy1G000500 ID=Brasy1G000500.1.v1.1 annot-version=v1.1 MATAQSSPSASIGSSTAPRARSAAEVKGKDEPTRELQSSCCRLKKIKKISKPKVKTGDMLPGASSPPSKKTRKSTRKEQPYMEPLDQSSGDPLASQNFIEEQRTYFAEIDAFELVEEFASSESDQFF* >Brasy1G300500.1.p pacid=40052909 transcript=Brasy1G300500.1 locus=Brasy1G300500 ID=Brasy1G300500.1.v1.1 annot-version=v1.1 MGPWAIPLEAPTPQTGKSTWLFRPSPRPSSLEAKNPRGHTTLACRYGSDTHPGSLRNAAMVWFQCEDCGENLKKPKLAGHFRSCSAYKLSCIDCGAVFSQDTVQAHTQCISEAEKYGPKGQNKPSNNSQGKLDKPKPNADVDTSVGLSICPPWFCSLCNTTTTSKQTLLGHADGKKHRAKAKAYHASQKQENGAKQTPSDKEAGGAPMVESTQTQVNGGKGADSERDVDKDAVKRKRIDDTASEEPDNAKRQNLPTLTGEVIQSENGEPELKTKSKSNADELVNAKKEKIKWKKIITKIIKTNPDGVMKLKKLQKLVIKELLECGLTEDKDQLHHVLLDKDACSMMKNGKLTDVLSMQIASSSRFSVDGKNVRMVCRNEES* >Brasy1G300500.2.p pacid=40052910 transcript=Brasy1G300500.2 locus=Brasy1G300500 ID=Brasy1G300500.2.v1.1 annot-version=v1.1 MGPWAIPLEAPTPQTGKSTWLFRPSPRPSSLEAKNPRGHTTLACRYGSDTHPGSLRNAAMVWFQCEDCGENLKKPKLAGHFRSCSAYKLSCIDCGAVFSQDTVQAHTQCISEAEKYGPKGQNKPSNNSQGKLDKPKPNADVDTSVGLSICPPWFCSLCNTTTTSKQTLLGHADGKKHRAKAKAYHASQKQENGAKQTPSDKEAGGAPMVESTQTQVNGGKGADSERDVDKDAVKRKRIDDTASEEPDNAKRQNLPTLTGEVIQSENGEPELKTKSKSNADELVNAKKEKIKWKKIITKIIKTNPDGVMKLKKLQKLVIKELLECGLTEDKDQLHHVLLDKIASSSRFSVDGKNVRMVCRNEES* >Brasy1G380900.1.p pacid=40052911 transcript=Brasy1G380900.1 locus=Brasy1G380900 ID=Brasy1G380900.1.v1.1 annot-version=v1.1 MAAACAHESTRPHWVIADTFHHWAAASALQHKVPCAILLPTAATMTAMPTPPSEKDAETSAASVVEQLAARALPQHERQEMAPFFTNHDASGMSIIQRCILTQQGCALAAIRSCVEWEPECFPLVATIVRKPVVPLGLLSPSAQGGRAAIAGNREHPAVRWLDAQPPKSVVFVALGSEVPLRVEQVRELAHGLELAGPRFLWALRKPSGGASHLDADALLPAGFQERTDGRGLVITGWVPQMSILAHGAVGGFLTHCGRNSLIEGLMFGHPLIMLPIFGDQGPNARLMEGKKVGLQVARDENDGSFDREGVASAVRTVMLEEEARKGFVANASELQKIVADKELHERYIDEFVHQLRSYTSTADGSFTSGGSTSS* >Brasy1G292700.1.p pacid=40052912 transcript=Brasy1G292700.1 locus=Brasy1G292700 ID=Brasy1G292700.1.v1.1 annot-version=v1.1 MMSSLMARLADHLLAYLFPAALLLLLLRPSCCQQSHVTPSGAPAAAERAPASNWRLFDAKAIDQGIAYVLMLAALFVTYILH* >Brasy1G580500.1.p pacid=40052913 transcript=Brasy1G580500.1 locus=Brasy1G580500 ID=Brasy1G580500.1.v1.1 annot-version=v1.1 MYVPLSAVQWVVGKALSPVVDGVLEKWGASKNLGPNIEALKMELLLVQATLETASRKEIGGQAMEKLLQKLRDSAHSADDLLDELDYFRIHDKLHGTYDAADQHGKGCISDLVLNAGHTAKAVGKLACLPKCLSAASPADPGQEDAREQASCCAWPRVKHRARGNSSSAPDSNQANEEVRGCMPKLGKFFLCSSSPDVVLDDETPKLGFNRVDVSERMKHIVEELQPVRREVTTILQSCGPITVRAIAQSRPIATSQSIEPKLYGRDHIIKSIIDDITKGKYCGKDLSVLPIVGPGGIGKTTLIQHIYIDQEVQNHFPVRIWVCVSLSFDLNKLLEEIKRCIPRVEGEKEGTTEELIEQRLKSRRFLLVLDDIWGCSNRDDWKRLLLPLKTSQENGSMIVVTTRFPKIAQMVKSKTSDRSIELEGLELEKFQELFFSFVFDDEQCRRDHNFLLETGYKIVDKLKGSPLAAKTVGRLLKADLNLRHWRRVLESKEWEGQTGADDIMPALKLSYDYLPFHQQQYFSYSALFPEDHKYNSTKLINFWMGLNILQPADRSQTLEDIGLNNLNNLVSHGFFKKEETDGRLYYVMHDLLHDLALKVASHECLSLHHSDMGSVEIQQSIRHLSIIIDCKCKRYMNVNRCQGNGRLRVSKDI* >Brasy1G292900.1.p pacid=40052914 transcript=Brasy1G292900.1 locus=Brasy1G292900 ID=Brasy1G292900.1.v1.1 annot-version=v1.1 MSSPCKPHKLIATGPSYTCCFLRLHAHARPQNVLLAPLLVRRKLGTTHSYPQRARQRVSKPSPRVRFFPPILSLYLCVLSCPPGAKSTRQLRDEAMSLAPSIPSIKVKVGRVAPPPPHRACRSFAVIRSSKAEGPRRPAAPPLSPPPPMPPKAPALSTPPSLSQPPTPVKPAAPSTPPPETKPAVAPVAAGTPPVGGVVTFEYQRKVAKELQDYFKKKKLEESNQGPFFGWLAKNEIANGRWAMFGFAVGMLTEYATGSDFVEQMKILLSNFGIVDLD* >Brasy1G260300.1.p pacid=40052915 transcript=Brasy1G260300.1 locus=Brasy1G260300 ID=Brasy1G260300.1.v1.1 annot-version=v1.1 MASPARPAAASVSGAFGLPPDPARCSFDQTRRRAEDSQDKRLVRTFVNVYGQEGCYPKEAVMAAVEECMKKQADGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEATVNFRCLDKNIRQVQKAVQMLQDKHEFADTAQELAKLQMAHEFPARTSGATALPMLAARENDHITQVAKHEVSFVPIHQVNTMQSPAMPTQSSNGYVLQQLVPVSLNTQHEQQHPNQAAVYYMQSQNHVKCTENKPSESFVQVMQPHAQNPEARVAVELPQKSSQATELYPPQQHHMLQTPAQHLESQAWRSQPPVSQQQQYSVPQVQPQIVQQKTSSPHAQSAPQVTLMYPPYCSQKPANPTTEPLPRSLVVQSPYSSPQQKHHEVTPSFYGQGNTILLPTAEHNIQHQQPQQLQPHSQGSCPPQPSKPSHCSVASYAVQGSGRAYSTTYKNPTECPATVVAVLPQHPATAPMAFHHLGSQVMHNHPFGNMFETGSVVGYPQDRVGSMTLPVVSAARPADSGVIVDKLNAGSNVTSPRDWSA* >Brasy1G260300.2.p pacid=40052916 transcript=Brasy1G260300.2 locus=Brasy1G260300 ID=Brasy1G260300.2.v1.1 annot-version=v1.1 MLQDKHEFADTAQELAKLQMAHEFPARTSGATALPMLAARENDHITQVAKHEVSFVPIHQVNTMQSPAMPTQSSNGYVLQQLVPVSLNTQHEQQHPNQAAVYYMQSQNHVKCTENKPSESFVQVMQPHAQNPEARVAVELPQKSSQATELYPPQQHHMLQTPAQHLESQAWRSQPPVSQQQQYSVPQVQPQIVQQKTSSPHAQSAPQVTLMYPPYCSQKPANPTTEPLPRSLVVQSPYSSPQQKHHEVTPSFYGQGNTILLPTAEHNIQHQQPQQLQPHSQGSCPPQPSKPSHCSVASYAVQGSGRAYSTTYKNPTECPATVVAVLPQHPATAPMAFHHLGSQVMHNHPFGNMFETGSVVGYPQDRVGSMTLPVVSAARPADSGVIVDKLNAGSNVTSPRDWSA* >Brasy1G068600.1.p pacid=40052917 transcript=Brasy1G068600.1 locus=Brasy1G068600 ID=Brasy1G068600.1.v1.1 annot-version=v1.1 MAAVVSEEAIKQFCALMEQLKEPLKTTFQHVHQGYPRGTVMRFLKAREWNVPKAHKMLMDCLNWRLQNEIDSVLAKPIVPSDLYRSIRETLLVGLTGYSKQGQPVYAFGVGLSTFDKASVHYYLQSHIQMNEYRDRVVLPGASNMFGKQVNTCLKIMDMTGLKLSALNQIKMLSTITAVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIKVLYGPGRDELLKVMDHEALPHFCNREGSGSLSDGVDCYSYDHPFHQQLYNFVKQQALSQDIVGPLKQGSMHVHVPVPDIEDAKIAETIESELHKLREGNGLSRSFNRINIEGP* >Brasy1G366900.1.p pacid=40052918 transcript=Brasy1G366900.1 locus=Brasy1G366900 ID=Brasy1G366900.1.v1.1 annot-version=v1.1 MPESWKAAEENASSSAPAGAPSGPGPGNGKKKKKDRPPGAVSSRVPFHRLFAFADRTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHQVVNRVSMVSLDFIYLAFASALASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDQYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVIAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVERYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWSGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETISRTPEIDAYSTSGRKLDDIRGDVEFRDVYFSYPTRPDEKIFRGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPELGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGTHHELLKDPEGAYSQLIRLQEANRQDKTDRKGDSGARAGKQVSNQSASQRSSHDNSSHHSFSVPFGMTLGIDIQDGSSKKLCDEMPQEVPLSRLASLNKPEIPVLILGSVASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKLVNMEIEWFDHTENSSGAIGARLSADAAKVRGLVGDALQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMELYKRKCEAPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARMVEEGKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIIDRKSRIDASDDAGVTVDTLRGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAIALLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNETIRANIAYGKEGQATESEITAAAELANAHRFISSLLQGYDTKVGERGAQLSGGQKQRVAIARAILKNPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIKNADLIAVVKNGMIIEKGKHDTLINIKDGAYASLVALHSAASS* >Brasy1G366900.3.p pacid=40052919 transcript=Brasy1G366900.3 locus=Brasy1G366900 ID=Brasy1G366900.3.v1.1 annot-version=v1.1 MPESWKAAEENASSSAPAGAPSGPGPGNGKKKKKDRPPGAVSSRVPFHRLFAFADRTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHQVVNRVSMVSLDFIYLAFASALASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDQYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVIAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVERYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWSGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETISRTPEIDAYSTSGRKLDDIRGDVEFRDVYFSYPTRPDEKIFRGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPELGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGTHHELLKDPEGAYSQLIRLQEANRQDKTDRKGDSGARAGKQVSNQSASQRSSHDNSSHHSFSVPFGMTLGIDIQDGSSKKLCDEMPQEVPLSRLASLNKPEIPVLILGSVASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKLVNMEIEWFDHTENSSGAIGARLSADAAKVRGLVGDALQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMELYKRKCEAPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARMVEEGKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIIDRKSRIDASDDAGVTVDTLRGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAIALLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNETIRANIAYGKEGQATESEITAAAELANAHRFISSLLQGYDTKVGERGAQLSGGQKQRVAIARAILKNPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIKNADLIAVVKNGMIIEKGKHDTLINIKDGAYASLVALHSAASS* >Brasy1G366900.2.p pacid=40052920 transcript=Brasy1G366900.2 locus=Brasy1G366900 ID=Brasy1G366900.2.v1.1 annot-version=v1.1 MPESWKAAEENASSSAPAGAPSGPGPGNGKKKKKDRPPGAVSSRVPFHRLFAFADRTDVALMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHQVVNRVSMVSLDFIYLAFASALASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDQYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVIAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVERYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWSGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETISRTPEIDAYSTSGRKLDDIRGDVEFRDVYFSYPTRPDEKIFRGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPELGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGTHHELLKDPEGAYSQLIRLQEANRQDKTDRKGDSGARAGKQVSNQSASQRSSHDNSSHHSFSVPFGMTLGIDIQDGSSKKLCDEMPQEVPLSRLASLNKPEIPVLILGSVASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKLVNMEIEWFDHTENSSGAIGARLSADAAKVRGLVGDALQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMELYKRKCEAPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARMVEEGKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIIDRKSRIDASDDAGVTVDTLRGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAIALLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNETIRANIAYGKEGQATESEITAAAELANAHRFISSLLQGYDTKVGERGAQLSGGQKQRVAIARAILKNPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIKNADLIAVVKNGMIIEKGKHDTLINIKDGAYASLVALHSAASS* >Brasy1G496900.1.p pacid=40052921 transcript=Brasy1G496900.1 locus=Brasy1G496900 ID=Brasy1G496900.1.v1.1 annot-version=v1.1 MPNLTTTTSFPAASGGTFRRPAAAAGPSLPPRTLLRARATAARQGVRTAEQKQQPTFYELLGISAEGTSFEDVRAAYRRMARKYHPDVSPPGAAGEHTRRFIQVQEAYETLSDPSRRSSYDRALARGVCRLAFSPASRHHHQEQEEMSGWRTSWEGQIAELKKRSTVKDSEENLSWGARMRRRRAEQL* >Brasy1G035700.1.p pacid=40052922 transcript=Brasy1G035700.1 locus=Brasy1G035700 ID=Brasy1G035700.1.v1.1 annot-version=v1.1 MADEEDFEYVLSSDDAADGGSSSQEEEEEAEEEEEDYEESLLDGTHTIPPIHNQEGDTCAFHALAFAAEMELRRRVPATDITFNVESFVVDYEREIGMNLTQQAHLQAATGQISEYHREYTGLKLFRRDGVLARSAAWGGAERRLRISSYRVHRNVQGINEDPDGVSFSRVAELIMQRRPVVGIIRHCGLFDNLGAGEIYEYVPQSSDAVADRHAVVIVGFGVRAGREYLVVANSHGTGFGDNGFGRVYFTSVYNDRFYTVAATPPEDRPSSSTPSRFFDVGSTSSAPPTAPYFDDGSTFSALLSSPSGMHRFFNDDDSTFFMSPSATHHSMDDGLSSSSSASQSSFVGSTTSTVQTFFDAGSTNVVPTDLASSSTAPISSSTGPVELFRSHFAVHDPQLFCRSDLVFHQSAQRRLPQ* >Brasy1G353800.1.p pacid=40052923 transcript=Brasy1G353800.1 locus=Brasy1G353800 ID=Brasy1G353800.1.v1.1 annot-version=v1.1 MWALEHFSFWTLEIYWNQRALRAPRLSLSLFSLSSDSSSRPAATACPRATGRPRRLLPPCGLPPPLSARSGAPAPGSAASRPGAPAWPGPAPRRLPPCGRAVRRPTPDPAFCLEPFAWSFYGERRRQPRLHLACTCTAHRQQAAAQRHSQQQPTGSKQQHNGIARGRGDQLFLQGRTARRIPAVFQHRADRDSASRNSAEREVARSLPSPLPGLGAAGWPGRKEGRRPRGREGGPLPAWQTRNRDGGGGGGDRPRPGGRATRVCSAGGSGLEAADSGGGPSDLADGGGARGEAAPAVGRGEGGGAGLATVDPDPAPLSLASRWDLRTPLPPPGPPPPPILPGSSAPLPSSERATAASDRIGPGRPYVLPPREPPLSSPRSTHTVFSSSSSKAPRMMVLASLRASSSGSAGALDPVGVALAGGIQERGGRSRAGRRQRRRGRHEPPRGRQAAATVAAATLGGGGRLPPQQQQQFTTIISARFLAS* >Brasy1G004800.1.p pacid=40052924 transcript=Brasy1G004800.1 locus=Brasy1G004800 ID=Brasy1G004800.1.v1.1 annot-version=v1.1 MADTEQPLLLPQRHRQPDNRAAAWGFTVAAAAGVLLLLLLLVAAAPGPLRRSRMPEPVALTLLAGAREKGAVCLDGTPPGYHLIRGSGNGSSNWVVHLEGGGWCSTGKDCSNRRMSQYGSSNFMRPLQFAGAGIFGSDQLQNPDFYNWNRVYVRYCDGASFSGDAEGQAQDGTTLHFKGLRIYEAVIDELMGKGLANATQVLLTGCSAGGLATILHCDDFSARFPHEVSVKCLADAGFFLDVKDISGQRSFWSVYNGVVHLQNVRKVLPKDCLTNKEPTECFFPAELIKSIHTPMFILNSGYDSWQVQNVLVPVSSTPDKSWLSCRDNLTNCNSTQIKVLNGFRNTMVDDLKVVVEDKQDWGLFIDSCFTHCQTLSGSSWNSPVSTRLGNKSIAEAVGDWHFGRSKRVKEIDCLYPCNPTCSSPTWPSASFGGEPKILGVAETTRTSDFEQ* >Brasy1G004800.3.p pacid=40052925 transcript=Brasy1G004800.3 locus=Brasy1G004800 ID=Brasy1G004800.3.v1.1 annot-version=v1.1 MSQYGSSNFMRPLQFAGAGIFGSDQLQNPDFYNWNRVYVRYCDGASFSGDAEGQAQDGTTLHFKGLRIYEAVIDELMGKGLANATQVLLTGCSAGGLATILHCDDFSARFPHEVSVKCLADAGFFLDVKDISGQRSFWSVYNGVVHLQNVRKVLPKDCLTNKEPTECFFPAELIKSIHTPMFILNSGYDSWQVQNVLVPVSSTPDKSWLSCRDNLTNCNSTQIKVLNGFRNTMVDDLKVVVEDKQDWGLFIDSCFTHCQTLSGSSWNSPVSTRLGNKSIAEAVGDWHFGRSKRVKEIDCLYPCNPTCSSPTWPSASFGGEPKILGVAETTRTSDFEQ* >Brasy1G004800.2.p pacid=40052926 transcript=Brasy1G004800.2 locus=Brasy1G004800 ID=Brasy1G004800.2.v1.1 annot-version=v1.1 MADTEQPLLLPQRHRQPDNRAAAWGFTVAAAAGVLLLLLLLVAAAPGPLRRSRMPEPVALTLLAGAREKGAVCLDGTPPGYHLIRGSGNGSSNWVVHLEGGGWCSTGKDCSNRRMSQYGSSNFMRPLQFAGAGIFGSDQLQNPDFYNWNRVYVRYCDGASFSGDAEGQAQDGTTLHFKGLRIYEAVIDELMGKGLANATQVLLTGCSAGGLATILHCDDFSARFPHEVSVKCLADAGFFLDVKDISGQRSFWSVYNGVVHLQNVRKVLPKDCLTNKEPTEPNLLRASTPPCLFSTLDMTHGRYKMFLYQSHLLLISHG* >Brasy1G503800.1.p pacid=40052927 transcript=Brasy1G503800.1 locus=Brasy1G503800 ID=Brasy1G503800.1.v1.1 annot-version=v1.1 MAKHMENNGLLLLSLLILSCLVIPPPIYGWTTESVGSTKAVGTNGIDIINSIRCTQQNRGLYCCNNWNLCFPSEQECMQACSGHMENDHSTSP* >Brasy1G240400.1.p pacid=40052928 transcript=Brasy1G240400.1 locus=Brasy1G240400 ID=Brasy1G240400.1.v1.1 annot-version=v1.1 MTTPVPAQPRAHVLLVSTAFQSHVNPLMRLGRRLAAKGVLVTFTTALRKGIRLDEVPGGIDDDDALSRFRVERLRGDALWEPEDPRFGVPGDMARHVEAAGPAALEALIRREAQAGRPVTCVVANAFVPWALRVAGELGLPRAMLWIQSCALLSVYYHYVHSLAAFPEAGEDASGSLAIPGLPELGTDDLRPLLIYNTPVHDMWRQMLVADLCSVRDKGVSWLFVNTFDELEHEAIAALSEHAPVIPVGPLIEPEEEPLDGNKADDDIVAWLDAQAPRSVVFVAFGSIVNTGDDETAEFAEALAGTGRPFLWVLRDESRALLSRDTLDSIRAPGGGKGKVVPWCRQTHVLAHGAVGCFVTHCGWNSTAEALAAGVPMVACPRWSDQRINAKFVVDVYRVGVRGPTPVTRDALRVAVEEVMSGPEGEAMGARAAGWKERSRAAVADGGSSDLGVQAFVDQIC* >Brasy1G199300.1.p pacid=40052929 transcript=Brasy1G199300.1 locus=Brasy1G199300 ID=Brasy1G199300.1.v1.1 annot-version=v1.1 MGGGMEVNKNRWIEEWNAGRENLELNFRFTRRSLAVIGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL* >Brasy1G229300.1.p pacid=40052930 transcript=Brasy1G229300.1 locus=Brasy1G229300 ID=Brasy1G229300.1.v1.1 annot-version=v1.1 MDSTIENREAHTKSEMASATALDGVTHRTLEVNGIKLHVADIGDVDGAAGTVLLLHGFLELWSSWHHQLRSLSGRGYRCLAPDLRGYGDSSAPASPSSYTAFHIVGDLVGLLDALSLPRVFVVGQGWGALLAWHLCTFRPDRVRALVNMSVAFMPRNPAVRPLELFRRLYGDGYYLLRLQQPGAMEAEFAEMDTRFIFKKLLTTRDTGAISLSKEWWGSQEEDVPLPPWVSEDYVDRLAAKFDETGFAGAMNFYRCLDLNWELTAPWTAAKVTVPTKFMAGESAMSYNYTGVQDYIHKGGLKGDVPGLEEVAVIAGGAHYIHLEKAEEVNEHIYDFLQKF* >Brasy1G378000.1.p pacid=40052931 transcript=Brasy1G378000.1 locus=Brasy1G378000 ID=Brasy1G378000.1.v1.1 annot-version=v1.1 MSLLYAVNVIGHRPRVALLLLLLPPLPPVSTVRATPPSSLVFLISVLIIVKGSKIIKMFQQSSRRLHGF* >Brasy1G361300.1.p pacid=40052932 transcript=Brasy1G361300.1 locus=Brasy1G361300 ID=Brasy1G361300.1.v1.1 annot-version=v1.1 MHLHVQQRSNRGEQSHRFDGAEDWLLCSDRRAEDLQRSKSRRYGLFVHVTPLKGGDCGVNGCALSVDLREDVRRGWVWLRLPGIPRSGSIGLHGGNVKRFRRL* >Brasy1G050400.1.p pacid=40052933 transcript=Brasy1G050400.1 locus=Brasy1G050400 ID=Brasy1G050400.1.v1.1 annot-version=v1.1 MGQGVVEIQPRELQFTFELKKQSSCSVHLINKSNEYVAFKVKTTSPKRYCVRPNIGVILPRATCDFTVTMQAQRSAPPDMQLKDKFLVQTTVVPPGTSDADLSPGFFSKESNVYIEESKLRVVLVDASHPPVEQLVNGVPNTDAVVEVPVLKDTLNLENEVPAKEKVGPLPQEQISAVVTDIPSPVRETLIPREIPVLLHEEPAILAESPPPLKDESPPPLKDESPSPLKDTPASTIEQTTPLKEDTIIVKKSPLEETLPIEAITLIDRGLLGSQNHQLSHVTEDVQNLKSKLSNLESKLEGAEKMIIKLREESRTTTQERDKLQQEMVFLQKGTPKSKVGFPLLFVVYVALLGTSLGYLLRL* >Brasy1G149200.1.p pacid=40052934 transcript=Brasy1G149200.1 locus=Brasy1G149200 ID=Brasy1G149200.1.v1.1 annot-version=v1.1 MVGIGVARRAMLFRMPRRRRAPGTSAGAAPASGGHRKRMAVARLGGVRRRRFLGALWRVRVRCWLAALYRRTLRRLRASYAKALRELAEGTALLGALRPPAGVECSRAAAFGPVATVGF* >Brasy1G399900.1.p pacid=40052935 transcript=Brasy1G399900.1 locus=Brasy1G399900 ID=Brasy1G399900.1.v1.1 annot-version=v1.1 MQRQMRCKYTDALIHLPPIHPPTPWPHSPDPISSSPHPVPVFHRRLRRPGPPPPLVASVLLHCRTPTSVFFFAPPSSVRLDGVPTGPDVRAGLPTVKLVVMRDASSSSLFPSDGAGTRLKP* >Brasy1G530300.1.p pacid=40052936 transcript=Brasy1G530300.1 locus=Brasy1G530300 ID=Brasy1G530300.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEVEEEEEEEEEEEEEEEEEEEEEEEEEEEEPCSQDSGGGGDQEMHLEYYNYETASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPVSNGGMMIGSGLMLGHVNFTARPVVVAGHEAAPPRPFFAEVRYESPYLMASCVMSLDDGEEELEEEDLCPDCGDHMRHPKLDSELRVENGRAAMVVRRPKLRC* >Brasy1G100600.1.p pacid=40052937 transcript=Brasy1G100600.1 locus=Brasy1G100600 ID=Brasy1G100600.1.v1.1 annot-version=v1.1 MDTFFLSHGSPTLSIDETIPARKFFESWLPAAVAGPETPRAILVVSGHWETATPAVNVIRGNNDTIYDFYGFPSSMYQLKYPAPGAPDLAKRTKELLEQAGFGPVKEDHARGLDHGAWVPLMLMYPEANVPVCQLSVQTGRDGTYHYNLGKALAPLREEGVLVLGSGSATHNLRRIRMETGAPVPQWASDFDAWLKDSLLDGRYEDVKRFEEKAPSAKVAHPSPDHFYPLHVALGAAGDEAKAEQIHQSWSNATLSYASYRFTAKN* >Brasy1G127700.1.p pacid=40052938 transcript=Brasy1G127700.1 locus=Brasy1G127700 ID=Brasy1G127700.1.v1.1 annot-version=v1.1 MESSTEAAMAAAVVGGHGGCGGWETPKREECRIPATLPCPAAPRKAVPDFGKPRGPPKNGYFQPPDLEALFALAPRRQASCA* >Brasy1G276600.1.p pacid=40052939 transcript=Brasy1G276600.1 locus=Brasy1G276600 ID=Brasy1G276600.1.v1.1 annot-version=v1.1 MDSLPSGKSRWALCSLLCLCLLSAGLLLRSRPSFPAPRPWEHFSGVQVQQVASSSSSPPPSAAAAYHDQPAMPPAPAPDASSGYLDEELEAGSSAPAPAPGPAWDGEEEEKCEAWDGEWVQDPAGYPLYEAAECPFLSDQVTCRRNGRPDSGYEQWRWQPNACGRGGRRFDAGVAALEQCRDRRLVFVGDSLNRNMWESLVCILYTAVPDRSRTRIDDVSSEYRIFRAMLQDYNCSVEFFWSPFLVKLETKQDRTRALKLDQLPAMLQQAIGADVLVFNTGHWWTHTGKLRAWDHLERNGVHVEMDGEEAFNRALRTWARWVDRNVDPTRTRVFFRSVSPEHKSSNWCYSQTSPITNGTVVPWFPKSLISIVETNIQSMSTHVTYLNITHLSELRIDAHPSVYTTNREGKPLTMEQRHQPIIYADCSHWCLPGLPDTWNVLLLASLMRSPADVHLGR* >Brasy1G276600.2.p pacid=40052940 transcript=Brasy1G276600.2 locus=Brasy1G276600 ID=Brasy1G276600.2.v1.1 annot-version=v1.1 MDSLPSGKSRWALCSLLCLCLLSAGLLLRSRPSFPAPRPWEHFSGVQVQQVASSSSSPPPSAAAAYHDQPAMPPAPAPDASSGYLDEELEAGSSAPAPAPGPAWDGEEEEKCEAWDGEWVQDPAGYPLYEAAECPFLSDQVTCRRNGRPDSGYEQWRWQPNACGRGGRRFDAGVAALEQCRDRRLVFVGDSLNRNMWESLVCILYTAVPDRSRTRIDDVSSEYRIFRAMDYNCSVEFFWSPFLVKLETKQDRTRALKLDQLPAMLQQAIGADVLVFNTGHWWTHTGKLRAWDHLERNGVHVEMDGEEAFNRALRTWARWVDRNVDPTRTRVFFRSVSPEHKSSNWCYSQTSPITNGTVVPWFPKSLISIVETNIQSMSTHVTYLNITHLSELRIDAHPSVYTTNREGKPLTMEQRHQPIIYADCSHWCLPGLPDTWNVLLLASLMRSPADVHLGR* >Brasy1G326900.1.p pacid=40052941 transcript=Brasy1G326900.1 locus=Brasy1G326900 ID=Brasy1G326900.1.v1.1 annot-version=v1.1 MASDQNQASFQAGEIKARAEEKSGQMMDATKDKAGQATEAAKQKAGEAQDWTAQTAQAAMDRAVEGKDQTGSFLGEKTEAAKQMAGEAAQYTQDRASDAAQFTTDSAEAARQKAAETAQYTQERASDAAQFTTDSAVAGKDKTGSVLQQAGEQVVNAVVGAKDAVVNTLGMGGDNASNTAKDTTSSATDKTTGDH* >Brasy1G314800.1.p pacid=40052942 transcript=Brasy1G314800.1 locus=Brasy1G314800 ID=Brasy1G314800.1.v1.1 annot-version=v1.1 MARRRAAALSLMLHSSLLPSNSLGHLRRGLVVLEVLHVVGDLVSLVDAYSSPRYLVE* >Brasy1G009200.1.p pacid=40052943 transcript=Brasy1G009200.1 locus=Brasy1G009200 ID=Brasy1G009200.1.v1.1 annot-version=v1.1 MGEEAGGGGYDYGFLGRGEYARVTEWETGLPGSDELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASSTTVSRLRSSSSSSSGNGGGGGSFPTFPSGHGGAPAPSSRDDTGADSATAASELEKTSKRPRMVWNPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPPSLREPQVPAAMAPMYHHHPMGGSGGAMTGGYYQPQHQHGGHAVYNGYGGGGGGYSQYHHGDQ* >Brasy1G009200.2.p pacid=40052944 transcript=Brasy1G009200.2 locus=Brasy1G009200 ID=Brasy1G009200.2.v1.1 annot-version=v1.1 MGEEAGGGGYDYGFLGRGEYARVTEWETGLPGSDELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASSTTVSRLRSSSSSSSGNGGGGGSFPTFPSGHGGAPAPSSRDDTGADSATAASELEKTSKRPRMVWNPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGMRIIQARDGDALSSLFC* >Brasy1G021500.1.p pacid=40052945 transcript=Brasy1G021500.1 locus=Brasy1G021500 ID=Brasy1G021500.1.v1.1 annot-version=v1.1 MDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLEIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDVTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTEEDDVDQELLAEAEATWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQRGQRHLPFELIIWFSVDR* >Brasy1G021500.2.p pacid=40052946 transcript=Brasy1G021500.2 locus=Brasy1G021500 ID=Brasy1G021500.2.v1.1 annot-version=v1.1 MDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLEIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDVTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTEEDDVDQELLAEAEATWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC* >Brasy1G528500.1.p pacid=40052947 transcript=Brasy1G528500.1 locus=Brasy1G528500 ID=Brasy1G528500.1.v1.1 annot-version=v1.1 MACSSSCRGLVLLLAVSAAAVLVAGDGGIGFDLHHRFSPVVKRWAESRGRPAAAWWPEGSPEYYAALSTHDRARRVLAGGSGKGGESLLYFADGNSTIRRDGSLHYAEVAVGTPNATFVVALDTGSDLFWVPCDCKHCAPIANNSELLKPYSPRQSSTSKPVTCSHSLCDRPNACSGSSNGGANGSCPYSVKYVSANTSSSGVLVEDVLYMTRQSSSSSNGGEAVGARVVFGCGQEQTGAFLDGAAMDGLLGLGMDRVSVPSALAAAGLVGSDSFSMCFSTDGNGRINFGEPSDAGAQNETPFIVSKTRPTYNISVTAVNVEGKGAMAAEFAAVVDSGTSFTYLNDPAYSLLATSFNSQVREKRANLSLSIPFEYCYRLRRGQTAVLMPEVSLTTRGGAVFPVTRPFVVVIDGESSDGQVHAVGYCLAVLKSDIPIDIIGQNFMTGLKVVFDRQRSVLGWTKFDCYKNMKVEDDGSPAAAPGPTPATQLRPRQSDNTPFPGAAQPRSAAGRALRPFSLAMLLFPLVAAAAAVL* >Brasy1G259900.1.p pacid=40052948 transcript=Brasy1G259900.1 locus=Brasy1G259900 ID=Brasy1G259900.1.v1.1 annot-version=v1.1 MANLPTQAATADASGFKLFGKVIQPDAASASASASITTSTSTEESTPPPPPPPPLPPSPPPLQAPAGGEPLPCPSRATSAAPAAATGRPAARSGASPPRPRAAAGLGPTRPDRLPPRPRRPPRPPKGQRRALTRGRRRVRAAWVERLSSFRDLAILFGGRKDAAAASDEREFRKKNALVAATPLLVKIKWMYHFLPCGNDDPEWQIEP* >Brasy1G138000.1.p pacid=40052949 transcript=Brasy1G138000.1 locus=Brasy1G138000 ID=Brasy1G138000.1.v1.1 annot-version=v1.1 MGPTAGGVFFRDRILGEIGKTFAIGRSVILSSGYGYVVDDSTCITRHLLRHHAGSIFIGFVGQEAEQPSTGDADDTNNINSGSDSADSITSTDEIEGWVHDEYDPEYNWSTGPRGVLAIGDATSADRTDVLHTPINANNAADRAGVLEETRRNLASQEREIQAEAARLQRERELMVTARDVFDPFRPTLSHGRVSLPLAVSHLSLRSIHPPRTLLPRTARSASSTNSRSNAWSRRRPALPTRMTERWEEIRKHGSFVDVSGTGRLSKQSITIGRGGGGGGQDGPGGGHARGVVRAAVVVRSCPEVKFPAGRTYHRRLFRQPRPGRRRGAAGSRRPSRSGSVRSRSGRGLFCRTNRSLIGTKKFR* >Brasy1G138000.2.p pacid=40052950 transcript=Brasy1G138000.2 locus=Brasy1G138000 ID=Brasy1G138000.2.v1.1 annot-version=v1.1 MGPTAGGVFFRDRILGEIGKTFAIGRSVILSSGYGYVVDDSTCITRHLLRHHAGSIFIGFVGQEAEQPSTGDADDTNNINSGSDSADSITSTDEIEGWVHDEYDPEYNWSTGPRGVLAIGDATSADRTDVLHTPINANNAADRAGVLEETRRNLASQEREIQAEAARLQRERELMVTARDVFDPFRPTLSHGRVSLPLAVSHLSLRSIHPPRTLLPRTARSASSTNSRSNAWSRRRPALPTRMTERWEEIRKHGSFVDVSGTGRLSKQSITIGRGGGGGGQDGPGGGHARGVVRAAVVVRSCPEVKFPAGRTYHRRLFRQPRPGRRRGAAGSRRPSRSGSVRSRSGRGLFCRTNRSLIGTKKFR* >Brasy1G138000.4.p pacid=40052951 transcript=Brasy1G138000.4 locus=Brasy1G138000 ID=Brasy1G138000.4.v1.1 annot-version=v1.1 MGPTAGGVFFRDRILGEIGKTFAIGRSVILSSGYGYVVDDSTCITRHLLRHHAGSIFIGFVGQEAEQPSTGDADDTNNINSGSDSADSITSTDEIEGWVHDEYDPEYNWSTGPRGVLAIGDATSADRTDVLHTPINANNAADRAGVLEETRRNLASQEREIQAEAARLQRERELMVTARDVFDPFRPTLSHGRVSLPLAVSHLSLRSIHPPRTLLPRTARSASSTNSRSNAWSRRRPALPTRMTERWEEIRKHGSFVDVSGTGRLSKQSITIGRGGGGGGQDGPGGGHARGVVRAAVVVRSCPEVKFPAGRTYHRRLFRQPRPGRRRGAAGSRRPSRTQGVGASDRGVAEAYSAGQIAP* >Brasy1G138000.3.p pacid=40052952 transcript=Brasy1G138000.3 locus=Brasy1G138000 ID=Brasy1G138000.3.v1.1 annot-version=v1.1 MGPTAGGVFFRDRILGEIGKTFAIGRSVILSSGYGYVVDDSTCITRHLLRHHAGSIFIGFVGQEAEQPSTGDADDTNNINSGSDSADSITSTDEIEGWVHDEYDPEYNWSTGPRGVLAIGDATSADRTDVLHTPINANNAADRAGVLEETRRNLASQEREIQAEAARLQRERELMVTARDVFDPFRPTLSHGRVSLPLAVSHLSLRSIHPPRTLLPRTARSASSTNSRSNAWSRRRPALPTRMTERWEEIRKHGSFVDVSGTGRLSKQSITIGRGGGGGGQDGPGGGHARGVVRAAVVVRSCPEVKFPAGRTYHRRLFRQPRPGRRRGAAGSRRPSRTQGVGASDRGVAEAYSAGQIAP* >Brasy1G488400.1.p pacid=40052953 transcript=Brasy1G488400.1 locus=Brasy1G488400 ID=Brasy1G488400.1.v1.1 annot-version=v1.1 MGLRCDGHRPSETTQPETIRPIKLLRLGEDAGKPARGRYERSSGGRRRWKGAAASPGGRAVPGLSGTRTPSSTTTPGSPRVPTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQAGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.3.p pacid=40052954 transcript=Brasy1G488400.3 locus=Brasy1G488400 ID=Brasy1G488400.3.v1.1 annot-version=v1.1 MGLRCDGHRPSETTQPETIRPIKLLRLGEDAGKPARGRYERSSGGRRRWKGAAASPGGRAVPGLSGTRTPSSTTTPGSPRVPTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.2.p pacid=40052955 transcript=Brasy1G488400.2 locus=Brasy1G488400 ID=Brasy1G488400.2.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGFLECSCPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.11.p pacid=40052956 transcript=Brasy1G488400.11 locus=Brasy1G488400 ID=Brasy1G488400.11.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGFLECSCPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.12.p pacid=40052957 transcript=Brasy1G488400.12 locus=Brasy1G488400 ID=Brasy1G488400.12.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGFLECSCPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.10.p pacid=40052958 transcript=Brasy1G488400.10 locus=Brasy1G488400 ID=Brasy1G488400.10.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGFLECSCPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.14.p pacid=40052959 transcript=Brasy1G488400.14 locus=Brasy1G488400 ID=Brasy1G488400.14.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGFLECSCPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.4.p pacid=40052960 transcript=Brasy1G488400.4 locus=Brasy1G488400 ID=Brasy1G488400.4.v1.1 annot-version=v1.1 MGLRCDGHRPSETTQPETIRPIKLLRLGEDAGKPARGRYERSSGGRRRWKGAAASPGGRAVPGLSGTRTPSSTTTPGSPRVPTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQAGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.5.p pacid=40052961 transcript=Brasy1G488400.5 locus=Brasy1G488400 ID=Brasy1G488400.5.v1.1 annot-version=v1.1 MGLRCDGHRPSETTQPETIRPIKLLRLGEDAGKPARGRYERSSGGRRRWKGAAASPGGRAVPGLSGTRTPSSTTTPGSPRVPTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.6.p pacid=40052962 transcript=Brasy1G488400.6 locus=Brasy1G488400 ID=Brasy1G488400.6.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQAGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.7.p pacid=40052963 transcript=Brasy1G488400.7 locus=Brasy1G488400 ID=Brasy1G488400.7.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.13.p pacid=40052964 transcript=Brasy1G488400.13 locus=Brasy1G488400 ID=Brasy1G488400.13.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.8.p pacid=40052965 transcript=Brasy1G488400.8 locus=Brasy1G488400 ID=Brasy1G488400.8.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQAGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G488400.9.p pacid=40052966 transcript=Brasy1G488400.9 locus=Brasy1G488400 ID=Brasy1G488400.9.v1.1 annot-version=v1.1 MEGSGGEPGRESSAGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYTLWTSDAGKERGVHYPCDEASQGPEPDSLQQPPPSEWMEETLINMYLSGYSNIEVNTENVLGDSQTNEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTSGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPEVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVTSSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTISKHGAGLGWNQTR* >Brasy1G350100.1.p pacid=40052967 transcript=Brasy1G350100.1 locus=Brasy1G350100 ID=Brasy1G350100.1.v1.1 annot-version=v1.1 MAPLPVLLLPLLLISSLLPNAARADTGPTVVLKDGTTCTLCASCDNPCNPTPTYYPSPPPPVVNPTPLCPPPPSYPSGGGGGGGPVIYSSPPPTNGGGGSGNGGGYFYPPPTGGSSGGGPQGGGGGVYPTPPPPNPFLPYFPFYYYSPPPKFVVKSSAAGAISSSPATLLLLLLALSGLLLWRE* >Brasy1G378800.1.p pacid=40052968 transcript=Brasy1G378800.1 locus=Brasy1G378800 ID=Brasy1G378800.1.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRYEVYVTKDGSKLSASGGNVDHNLFVFGDHSSARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGQSVLTFASSTLNQSKLHSQTQHNKESCAGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMEAGDIVHAESRAVLPSWVLGCMSTINPGRCGYGSSCLITDVKVLWCAKFPVLCTECILYWAVGNGTTNSGTL* >Brasy1G378800.3.p pacid=40052969 transcript=Brasy1G378800.3 locus=Brasy1G378800 ID=Brasy1G378800.3.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRYEVYVTKDGSKLSASGGNVDHNLFVFGDHSSARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGQSVLTFASSTLNQSKLHSQTQHNKESCAGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMLGMEPPTAEHCKYRYTFLYRHLQGYGSSMICLIC* >Brasy1G378800.5.p pacid=40052970 transcript=Brasy1G378800.5 locus=Brasy1G378800 ID=Brasy1G378800.5.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMEAGDIVHAESRAVLPSWVLGCMSTINPGRCGYGSSCLITDVKVLWCAKFPVLCTECILYWAVGNGTTNSGTL* >Brasy1G378800.2.p pacid=40052971 transcript=Brasy1G378800.2 locus=Brasy1G378800 ID=Brasy1G378800.2.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRYEVYVTKDGSKLSASGGNVDHNLFVFGDHSSARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGQSVLTFASSTLNQSKLHSQTQHNKESCAGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMKSKQ* >Brasy1G378800.6.p pacid=40052972 transcript=Brasy1G378800.6 locus=Brasy1G378800 ID=Brasy1G378800.6.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRYEVYVTKDGSKLSASGGNVDHNLFVFGDHSSARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGQSVLTFASSTLNQSKLHSQTQHNKESCAGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMKSKQ* >Brasy1G378800.4.p pacid=40052973 transcript=Brasy1G378800.4 locus=Brasy1G378800 ID=Brasy1G378800.4.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMLGMEPPTAEHCKYRYTFLYRHLQGYGSSMICLIC* >Brasy1G378800.7.p pacid=40052974 transcript=Brasy1G378800.7 locus=Brasy1G378800 ID=Brasy1G378800.7.v1.1 annot-version=v1.1 MDPAPDSLAASAPFPTIPAATHRPRGARPRRQAGGPFRSDHPLASCSSGRRDPGPATRPSTAGGSRPAAFVFGGDAPSDGTIEENPASMGPWSSPRRDNFVFGSGLAGASEMKKSSSFGSSDASSLCRHAAAVEELTLDDSYGKQRAADDGVSGGTDSALEMNAGDSFGACESSLKLHEVFSPSVLHGEAKQRDEERGTGLLSQTVGCVRTETSSSLAGHLNNSVICTDKNVSIESPKDHGSSTVGDSRARYGGFVANTSQSDIKKLDSSYIEDATRSSEQLDLSTSEDCGCIKLEPARGSRNRGPLHLGPHEVSETEKASNTAPFNFGSQGDIGNVSFTELPDGMQTQSTVVPVSGLGECRSFDKKSFTLHDHNAVSRDKGGVKSNRRAVKPRKFSSSRQVSSLQTAFAADGFSGKVTPEANLNLKETVGLRLEDPTICIGGSGPEEAVSTRTTESNHDGTRLTFTANMENSGIATHSNSVQSVPTSAIDLAHSEVSESQRNTVLAAQWTECSKSESKTGYQECSKEENFGYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSPNEASQKALMLCYSNRAATRISLGRMRDALSDCRRATDIDSSFLKARVRAANCLLALGDVEEAQKDFEICLKSNHTTSLQYKIIEEASDGLQKAQKVSSFMLQSKEYLVEKAFDKIPSALQMVTDALSISTHSDNLMKMKAEALLLLRRYEEVIRFCEETLHLAEKNSVTVCPDEHSENINTDSYSCSLKLWRYYLIAESYFFIGKLEEAQQFLKKHEQATLVEYRCPKQSQQSVVSFSKTISELLRLKAAGNEAFQAGKYSVAVEHYTAALLSNTESLHFAAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYGQAENDLRRLISLLEKQLQDNMSMPSEKSDNIRHNLHRANLRLSVLEREARKRTSLNMYLILGIEPSCSVKDIKKAYRKAALRHHPDKAGNFLVRSENIDDTLWREITNVIRRDADYLFKIIGKAYAILSDPTMKSKQ* >Brasy1G171800.1.p pacid=40052975 transcript=Brasy1G171800.1 locus=Brasy1G171800 ID=Brasy1G171800.1.v1.1 annot-version=v1.1 MVRKRQDVVDLGDEDIKHVAVRNRASPFGLTQLYKDFNVAQKLDFRNMEFTPFLHINTTKLHNKAIDWLASCYDSSARCLLIPGKGRIAMTEESVYNALGCPHGKLPVPYRVDKDIEARLAPQMFPGMDLSKAPLHSQVNSMLKDMTESGDRFKRLALMYIMSTILAPTTSTRISNRCYPVLDDIANVHKYNFCKFVLDQLHENLSKKKLNKGCRLYLLLLYVDSLDISELGLDVPAAPFGVTAWTNSLIDEVLKADTKEDGSFGKLQLISDHAVNYTYFGGPEQFSRWIDMNSHPDIEPKERKKVETLVGQFASGMTCLLGNIVQGWTGLTPPESEEMSRRFATVTGGASTRSRTARGRFEGYNYPSDTDDEDEHAQDSGDSSDSDDDPPNNPGGGEGEGKKDGDNEDGGMGKGDDHEGQGSGNDGGNNNDGSGHNDNNEATPNDEPGDESGGVGCGGESDASNKGIANVAHREIAKLVVGELNLKRQRYIFC* >Brasy1G555200.1.p pacid=40052976 transcript=Brasy1G555200.1 locus=Brasy1G555200 ID=Brasy1G555200.1.v1.1 annot-version=v1.1 MLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSMAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGSNRLKMHGQFQIMQMGILRRNLNLVSQKLTSPLAVLLKSFSLVFSAEWGDRSMLATIALGSAQSPLGVASGAIAGHLIATLLAIVGGAFLANYLSEKLVGLLGGVLFLLFAAATLLGVF* >Brasy1G548400.1.p pacid=40052977 transcript=Brasy1G548400.1 locus=Brasy1G548400 ID=Brasy1G548400.1.v1.1 annot-version=v1.1 MKGGGVRFESQRFRLLTIVVGCFLISITFLLSSRPEAAAFDTLSPKAWLEEARTPAPKKPAAIKTVVAAKSSSSSSPAFGRDFLVDIASSQQGPGETSGSRGQGRTPTSSAAAGDGGVEKTTESTEWVKDTVIIQELRGTSGERAEPQEERDRNAAAGAQDEASAATAGAAAEEAVVRDHAVRSTTTTLPDVRPAGQEEITRKLAAVGGRRMKLQGAQDEITATSTTEQHTPATSETTARAADHHEPQQQALPPLCDFSDQRSDVCDFTGDIRMDANTSSFVLVVDQGTSPQSHKVRPYPRKGDETCMGRVTEIAVRTATAASSPPPRCTRTHDAPAVTFSIGGYTGNIFHDFSDVIVPLFNTVHRYAGDVQLVMADVAPWWLIKYDKLLRSISRHAPLDLAAAGTRGEVHCFRHAVVSLRAHRELIIEKDRSLGGLATPDFTRFLRSALSLPRDAPTNVADGSGRKPRLLVISRHRTRILLNLAAVVLAAEEAGFEAVVNESDVANDISEVGRLINSADVLVGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGDPAEAMGLRYVQYEITVGESSLKEKYPRDHEIFTNPTGLHKKGFGFMRHTLMDGQNITVDVARFKGLLQEALANYLAHQS* >Brasy1G548400.2.p pacid=40052978 transcript=Brasy1G548400.2 locus=Brasy1G548400 ID=Brasy1G548400.2.v1.1 annot-version=v1.1 MKGGGVRFESQRFRLLTIVVGCFLISITFLLSSRPEAAAFDTLSPKAWLEEARTPAPKKPAAIKTVVAAKSSSSSSPAFGRDFLVDIASSQQGPGETSGSRGQGRTPTSSAAAGDGGVEKTTESTEWVKDTVIIQELRGTSGERAEPQEERDRNAAAGAQDEASAATGAAAEEAVVRDHAVRSTTTTLPDVRPAAGQEEITRKLAAVGGRRMKLQGAQDEITATSTTEQHTPATSETTARAADHHEPQQQALPPLCDFSDQRSDVCDFTGDIRMDANTSSFVLVVDQGTSPQSHKVRPYPRKGDETCMGRVTEIAVRTATAASSPPPRCTRTHDAPAVTFSIGGYTGNIFHDFSDVIVPLFNTVHRYAGDVQLVMADVAPWWLIKYDKLLRSISRHAPLDLAAAGTRGEVHCFRHAVVSLRAHRELIIEKDRSLGGLATPDFTRFLRSALSLPRDAPTNVADGSGRKPRLLVISRHRTRILLNLAAVVLAAEEAGFEAVVNESDVANDISEVGRLINSADVLVGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGDPAEAMGLRYVQYEITVGESSLKEKYPRDHEIFTNPTGLHKKGFGFMRHTLMDGQNITVDVARFKGLLQEALANYLAHQS* >Brasy1G548400.3.p pacid=40052979 transcript=Brasy1G548400.3 locus=Brasy1G548400 ID=Brasy1G548400.3.v1.1 annot-version=v1.1 MKGGGVRFESQRFRLLTIVVGCFLISITFLLSSRPEAAAFDTLSPKAWLEEARTPAPKKPAAIKTVVAAKSSSSSSPAFGRDFLVDIASSQQGPGETSGSRGQGRTPTSSAAAGDGGVEKTTESTEWVKDTVIIQELRGTSGERAEPQEERDRNAAAGAQDEASAATGAAAEEAVVRDHAVRSTTTTLPDVRPAGQEEITRKLAAVGGRRMKLQGAQDEITATSTTEQHTPATSETTARAADHHEPQQQALPPLCDFSDQRSDVCDFTGDIRMDANTSSFVLVVDQGTSPQSHKVRPYPRKGDETCMGRVTEIAVRTATAASSPPPRCTRTHDAPAVTFSIGGYTGNIFHDFSDVIVPLFNTVHRYAGDVQLVMADVAPWWLIKYDKLLRSISRHAPLDLAAAGTRGEVHCFRHAVVSLRAHRELIIEKDRSLGGLATPDFTRFLRSALSLPRDAPTNVADGSGRKPRLLVISRHRTRILLNLAAVVLAAEEAGFEAVVNESDVANDISEVGRLINSADVLVGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGDPAEAMGLRYVQYEITVGESSLKEKYPRDHEIFTNPTGLHKKGFGFMRHTLMDGQNITVDVARFKGLLQEALANYLAHQS* >Brasy1G505700.1.p pacid=40052980 transcript=Brasy1G505700.1 locus=Brasy1G505700 ID=Brasy1G505700.1.v1.1 annot-version=v1.1 MIDASLFEIEWVRISKPYPISLTLRQIRNILFTDYMDADCFNVAVHVLASHPSNFCRDELLHFMDLKFCTMSKFSRDAGCRERLDVDQLEQLFRSWPNCIDKYHISDCDTIYLPYEIYGLCMLFVINLKEKIVYILNPLPIQSWGEHLFKTMETGNNLNLALEVANPGWNDDICKWECKVSDVITRNYHGGVSGYLVFNFMHSYYNEKIHYSIPTGVFKLKRRFLAHILKHELNEVVDNISPVERDVLDRIKKMDIHRLIESWIILWRTCILIIFSNFC* >Brasy1G504500.1.p pacid=40052981 transcript=Brasy1G504500.1 locus=Brasy1G504500 ID=Brasy1G504500.1.v1.1 annot-version=v1.1 ACGVLNSDHGTRTGHPHQPSSDDAAVRRALINKTFFVNHNGLADFTSVQSAVDAIPDNNNVRIRIHVAAGVYREKVGIATNKTFILLEGEGRDQTSIEWDDYTNRNTPHGRNVPTELTATLNCGANNFVARSITFKNTFKIANNIRRAAAAQVYGDRAAFYNCGFISTQDTLSDLQGRHYYQNCYIEGAVDFIFGSAQSIFQLPAGMSPGFITAQGRDHAGATNGFVFRECTVDGVTPVYLGRAWRAYSRVIFYRTYMSNVIVRQGWYAWNYQGQERNLNMIESECMEPGSNRTGRAPWSRAASARQITRFIELSFISPDGWIEAQPH* >Brasy1G530000.1.p pacid=40052982 transcript=Brasy1G530000.1 locus=Brasy1G530000 ID=Brasy1G530000.1.v1.1 annot-version=v1.1 MVGVPASPGGAPLLLSLFCFSFLQGARPETTFTITNGCGYPVWPGILSNAGAPPPPTTGFALAPGESLPVPLAAGWSGRLWARTLCAYNNSSSSFSCVTGDCGTGAVECSGHGAAPPATLAEFTLSSGAGADNDNELDFYDVSLVDGYNVPMLVSPRGNASFCRATGCPADVNAACPRELSVGVVGASGAARVVACRSACGAFGAAEYCCSGPAHGTPATCAPTAYSRFFKAECPEAYSYAYDDATSTFTCAGNGNGGGYDVVFCPGESSGGKASPPASAAAAYPPMAFSSGGANPATTTSHAVVAVLLLLFIINPGL* >Brasy1G267800.1.p pacid=40052983 transcript=Brasy1G267800.1 locus=Brasy1G267800 ID=Brasy1G267800.1.v1.1 annot-version=v1.1 MAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEDRVKEFNLKQMWRSPNGTIRNIINGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLRLVFEGKEETVDLEVFNFTGAGGVALSMYNTDESIQGFAAASMATAYDKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAEWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLEFAQKLEDACVGTVESGKMTKDLALLVQGSSNVTRSHYLNTEEFIDAVAAELRTRLSAN* >Brasy1G382300.1.p pacid=40052984 transcript=Brasy1G382300.1 locus=Brasy1G382300 ID=Brasy1G382300.1.v1.1 annot-version=v1.1 MSDDAPGGGGAAEPLLKRARYHPNCPGCRVDRRKEESEGFPFTELSCMWLVTICSSLPLHSLSPFLYFMIRDLHIAKEEEDIGFYAGFVGASYMFGRALSSVIWGVVADKYGRKPVLVITLFSVIIFNTLFGLSASYWMALATRGLLGLFSGILGPIKAYATEVSGKEHSHLALSLISSSRGIGLIVGPAIAGYLAQPADKYPGIFSQNSIFGRFPYFLPCLCISLLAIAALVACFWLPETLHKHKDTISNNSVEAAEESLSDPNAEEICDGCLCLFTHGPLISAITVYCIFSLQDMAYAEVFSLWAVSDRKYGGLSFSSQDVGSILATSGLFLLIYQILIFPSVAKSIEPIALVRTIAILTIPLLSSYSFMPALSGFFLQLVVNCASFLKNAFSVTTIIVFNILMNDAVSQDLRASVNGLSVTLMSIFKAIGPAIAGVIFSWAQRRQTALFLPGDHLVFFMLNVVTVVGITITLRPFFARRGTTH* >Brasy1G474500.1.p pacid=40052985 transcript=Brasy1G474500.1 locus=Brasy1G474500 ID=Brasy1G474500.1.v1.1 annot-version=v1.1 MMLKDLATIQQAEENMSNLTSASGDQTSVSSHPLPPPSKKKRSLPGNPDPEAEVIALSPRALMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPNEAVRKKAYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKRWRCDRCGKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAAEGQQQQQLQGTLFSHDGFQMPVLDPAAQQQQQQLQGSQLIQELCLKRENHHHQQQQFAPSSWLSEQQQQQLEMAGGEQGTSSSAMFVIGTSSTPAAESSSTSSFAFSSPPPPAPAAAHMSATALLQKAAQMGATLSRPSSWQGQMAAAATLSSTNAAPAGGAVATAATTSSNANVTGNAAIGAGFGVPLPRANNRAAGGNDGLTRDFLGLRAFSHGDMLGMAGFDPCSMPTSASASAAAVVYDQQGGGHHQSSSKPWHG* >Brasy1G474500.2.p pacid=40052986 transcript=Brasy1G474500.2 locus=Brasy1G474500 ID=Brasy1G474500.2.v1.1 annot-version=v1.1 MMLKDLATIQQAEENMSNLTSASGDQTSVSSHPLPPPSKKKRSLPGNPDPEAEVIALSPRALMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPNEAVRKKAYVCPEPGCVHHDPARALGDLTGIKKHFSRKHGEKRWRCDRCGKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAAEGQQQQQLQGTLFSHDGFQMPVLDPAAQQQQQQLQGSQLIQELCLKRENHHHQQQQFAPSSWLSEQQQQQLEMAGGEQGTSSSAMFVIGTSSTPAAESSSTSSFAFSSPPPPAPAAAHMSATALLQKAAQMGATLSRPSSWQGQMAAAATLSSTNAAPAGGAVATAATTSSNANVTGNAAIGAGFGVPLPRANNRAAGGNDGLTRDFLGLRAFSHGDMLGMAGFDPCSMPTSASASAAAVVYDQQGGGHHQSSSKPWHG* >Brasy1G215200.1.p pacid=40052987 transcript=Brasy1G215200.1 locus=Brasy1G215200 ID=Brasy1G215200.1.v1.1 annot-version=v1.1 MGSYAESAGVRAALLVLAAVLLPAHHVMAMAPAPAGSTAMSPRPAANDTAMAPSYRSPPVRPVLPYVIVEGVIYCKPCRSRGYSRDMDASPLQGATAQLVCYGREVVNVTGTVTDERGYFLVMFYDLGNFHPRNCKLYLGTSPTTLCDNPVYPPNKWIGLSLVRETRTTPPEGLQGIYCPTSVLFYGPAAGHKCTSD* >Brasy1G170900.1.p pacid=40052988 transcript=Brasy1G170900.1 locus=Brasy1G170900 ID=Brasy1G170900.1.v1.1 annot-version=v1.1 MQQSLKANPFSPAPPLVLLLLLLFLAASIPASHARVKCGSSSQPSPAPAASASSPSPSPAPTKSNSSSSAFRTNLLTLLDALPRAAAPTGFASLSTPGTGPDRAFVRGLCRGDSTPSECLSNLEEAARDIAGQCPSTSRSAGGWYEKCYVTYADTNDTTAYEQLISDLVYDTRRLQADPDSYDETYYALMDRLVARAAASGGSARTFFATGEAEYARGEPDGTMYGLVQCMRDRSAAECRSCLELAVPQFPACCSGYQGGVVQNFNCHLRIQIYTYYDVALDAPPPAAPSPPELPSPSPAGDRQGKRRSGHVILIATLLPVGTLLFLAAMLLCVYLQRRRVKANNEPRDDSREEDTTYVHPEQFTLPLLRAATGSIAVKRLSKSSSQGFHELKNELVLAAKLRHRNLVHLLGVCLEEQEKLVVYEYLPNRSLDTVLFDNGRRRHGLDWGKRHAIIRGIARGLQYLHVDSRLRVIHRDLKPSNVLLDEGMNPKISDFGLARAFRGDQSRDVTRRAAGTLGYMAPEYAYCGHVSTKSDMFSFGVILLEIVTGRRNNSPCQDTNTKNLLSDVWEKWRAGSVAETADASLGDLYPRAEMLRCVHVGLLCVQKEPALRPDASEVVLILHSQSTSLQVPSRPAFYSGTTVSGVASLVGIANVSVNGVTMSEFEGR* >Brasy1G348000.1.p pacid=40052989 transcript=Brasy1G348000.1 locus=Brasy1G348000 ID=Brasy1G348000.1.v1.1 annot-version=v1.1 MNLSPSQKAVVHFECAKGILFVWYMHHVIDAEERYCRETHPRNFCEAVCGGYGKARLKFSAERKNGCQTLRLTALRCFGFAKTPGFGRRLLWLL* >Brasy1G424000.1.p pacid=40052990 transcript=Brasy1G424000.1 locus=Brasy1G424000 ID=Brasy1G424000.1.v1.1 annot-version=v1.1 MNEQQGPDWSELPSDLVNLIAQRSRDAVTGLAAFRSVCRTWRAAAGPAPRLLLPPRRSQEEEALLVFPLSRGWCLVLDARDASCHLSHLATGATAALPKLTAVRRRDQGRYYLHYTDKESGRGSRSSSHHDWLPGEKIRIGCPAPNYSWVWGFGNYLAFTDYMHFAVHVPPAGGADQGAAGAGMVVMMYHALLGQTGMVFCRPGDAAWTKLEKPRPEVGNSGFIGFAYHDGKVLGLEADGVTAVFDATTLDVLLLVQPPPPDLEYKLFDALPTGNRYDELDYLHLVALPSKLVLVRTSIKSSRPVAFDLFELAAGVRWRKVADAGDYELFLDGYHPTFRENAHGISGTRIYYVYNHHWVSSTAACFYSMAGTPIYYAHDQRWVLNAAAYCYSMQDNKLECVYTWPPPLDQVNNYSGRAPVDNYVISTKPSWFVP* >Brasy1G153000.1.p pacid=40052991 transcript=Brasy1G153000.1 locus=Brasy1G153000 ID=Brasy1G153000.1.v1.1 annot-version=v1.1 MARRGRDEDDDEVEEEEDEEEAYDLDEEEDEEDDYEEEARGRGKAAARSRGSGGGGGSGGRKRSRQDNFIDDSAIEDDDEEDEDDDGGGRPRKKGGGGVRGFFDEEAQVDEDEEEEDEGEGEDDFINDAGADIPDDDAARGSRSRHSIPMRDEEEDIEEIERQVRERYARSTHIEYGEEAADVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRTDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLFVESKTVDLSRDTWVRMKLGVYKGDLAKVVDVDTVRQRVTVKLIPRMDLQALASKLEGREVVKKKTFVPPPRFFNIDEARELHIRVERKRDKDSGEYFEMVDGLMFKDGFLHKTVSTKSIHTQGIQPTFDELEKFRKPGDDMNGDMASLSTLFSNRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDTTVHIRPKLSDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVEMEAFQVLKGVPDRPEVVMVKLREIKSKIDRRASAQDRSNNMISTKDVVRVVEGPCKGRQGPVEHIHKGILFIYDRHHLEHAGFICAKAKQCLLIGGSNGGRRGNGMDAADARLGALRTPASILQSPGKLPPRGPYMNSGGRFGGGGRGGRGYDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKREDIADTPTVATPFREPRYSMGGETPMHPSRTPLHPFQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPDTWGSSPAYHPGTPPARPYEAPTPGSGWANTPGVSYNDVPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEVNWLLPDVLVNVLRAGDDGPGIVREVLGDGSCRVALGSSGNGDIVTVLPNELEAVRPKKGDRIKILNGNFRGFVGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT* >Brasy1G302400.1.p pacid=40052992 transcript=Brasy1G302400.1 locus=Brasy1G302400 ID=Brasy1G302400.1.v1.1 annot-version=v1.1 MGKACFCGPVDTERCSMGGIVECGLSTDTKASPRRVAIEKAQEELRQEYDVREDRRRELEFLVKGGNPLDFKVGHVASRSVQSTSVTDQIVEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCREANTADNLMLLDGGTSNIGVEKLIKRGTKRTSTAQPELSLCNDVHNTKEAEESGLFRLGAKSQAYARRRSKSSRENANNAPVRSPPVHPLSSQLKDAKGVIQEAKNDDHGASSIASPKPTVSNGNSMLKNASLDNQVVDEMDGVQPIHGGKQKEKHEMTNNKDDTLAPEISPNSVTDNSQLTGGGQMTNAASKAESPNAILKEASSKTSFPSAYSGVFREAHNHEKAGNGCCDKIMVDAHTDDMENKASVPHPAIETTSFNENGVDLTRRDAIKISDEHSGKTDNFVSGKAGESSDESLSNVVSCDSNVKKIGLLEGSSRATAMDDSSTPIQPEVNSAAENEIEVRNKVIGAQKDTVHVTTSDCNKGNRAAGSNLDRNNKYSSALNGSDKSAFVSVPPVSLTEDMPNSVHNFDGDINKCSGNEATLAKKECEDSIMAKKEYEDSVLKAAQFIEVNVKRAGERALCNISLEKKRKSHWEFVLEEMAWMANDFMQERLWRCAAAAQTCHWIASSGRDAFEEASIHKKQKSVARFLAKGIANFWRSAETLRATSGEIPKATQIEKSVGHEETKLAGIEAEKEPGDESFEQDKSKLSDQSPIRSYALRFLEYNCDAPECLSLAEAPPTPERLNDFGILKVPDQLSEENLFYVVAPGAMHTYRESVESLFVYNKRFVNTGHKDDYDPSTCDSVSDIHRENAYEDDEGEGYTYSTSKLGHKKKQQMHQRMNGTRPYDIGVDLPYDPCLESKSGNKLFLSNGKRPPDFLSIPTKRIRTAARQRVASPIPAGVAGTHQFTSKTDASSGDTNSCQDDQSSLHGGFFPRKNADIESTVDFDRQLIYDGSEVSTKSKKKKKPKHPGYRTPQSGAESCTFIAGKKDYLKKRPEAYQFDSNGNIVVNGQHGAKKTKLAHQAPDMSLEALTPVGPMASPVASQMSNMVNPTKIIKIITHRDRGRKSKVLKMPAGHSGPGSPWSNFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPDECKDRHKLLTDKSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQVPFEEETLKTHFEKIIILGQKLHPCRRKGESQELKQINALHPSQLCPSNFSGGVLMPLDLCDAITSNPDALSVGYPGPHTSGLTLPNHHHLGSLGPTLPTSNMNARVPGSPGMVLGSNLQSPSTVNAPSRDAQRYGVPRPTSLQGDEQQRIQYNHMLNGRSLQQPGVSVPGVLPTGVDRGVRMVAGPNGMGIMTGLNRGPPIARPGFPRLVPPGMLNMVSSGNILSTNGQGMQNTVNVHPGPGNTMLRPRDPVQMLRPGQNLEEHRQMMVQEFQMQVSQGNSQAAHFSGAPFSNAGTSSPAQSFPVQQSQTHQMPQQSRMFGNTHHSHIRGANQPSPQHQAYAMRMMPQQQHPLSAVPTLQNGSQMQQQTAGSAVPSSQSQHKKQHPAQNAQDSSVLLNQPANNTTLHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNIMQQNPPVDATQASGISATSKNQVSDKKLVQQGPAYFAGNKGLVPSVVQTGNQPKIYAAHIPQSPDIGNQVSMQGSPNQTLLASQQVPLHSTSQLQQQQQRHLNTSHSNIHRMMMQQNRHMNSDARIELPVEQVQHNQVIATASIARSTDSGSPGVSSIQQRKQESSHDPTAITSASQLASSPQDTFVGNETSLSASNQGLLQRQMSGGVPIHGHGLAAQRQQQQARQQLQPQQQQRPVVQGNVYAHPSNSGPG* >Brasy1G302400.2.p pacid=40052993 transcript=Brasy1G302400.2 locus=Brasy1G302400 ID=Brasy1G302400.2.v1.1 annot-version=v1.1 MGKACFCGPVDTERCSMGGIVECGLSTDTKASPRRVAIEKAQEELRQEYDVREDRRRELEFLVKGGNPLDFKVGHVASRSVQSTSVTDQIVEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCREANTADNLMLLDGGTSNIGVEKLIKRGTKRTSTAQPELSLCNDVHNTKEAEESGLFRLGAKSQAYARRRSKSSRENANNAPVRSPPVHPLSSQLKDAKGVIQEAKNDDHGASSIASPKPTVSNGNSMLKNASLDNQVVDEMDGVQPIHGGKQKEKHEMTNNKDDTLAPEISPNSVTDNSQLTGGGQMTNAASKAESPNAILKEASSKTSFPSAYSGVFREAHNHEKAGNGCCDKIMVDAHTDDMENKASVPHPAIETTSFNENGVDLTRRDAIKISDEHSGKTDNFVSGKAGESSDESLSNVVSCDSNVKKIGLLEGSSRATAMDDSSTPIQPEVNSAAENEIEVRNKVIGAQKDTVHVTTSDCNKGNRAAGSNLDRNNKYSSALNGSDKSAFVSVPPVSLTEDMPNSVHNFDGDINKCSGNEATLAKKECEDSIMAKKEYEDSVLKAAQFIEVNVKRAGERALCNISLEKKRKSHWEFVLEEMAWMANDFMQERLWRCAAAAQTCHWIASSGRDAFEEASIHKKQKSVARFLAKGIANFWRSAETLRATSGEIPKATQIEKSVGHEETKLAGIEAEKEPGDESFEQDKSKLSDQSPIRSYALRFLEYNCDAPECLSLAEAPPTPERLNDFGILKVPDQLSEENLFYVVAPGAMHTYRESVESLFVYNKRFVNTGHKDDYDPSTCDSVSDIHRENAYEDDEGEGYTYSTSKLGHKKKQQMHQRMNGTRPYDIGVDLPYDPCLESKSGNKLFLSNGKRPPDFLSIPTKRIRTAARQRVASPIPAGVAGTHQFTSKTDASSGDTNSCQDDQSSLHGGFFPRKNADIESTVDFDRQLIYDGSEVSTKSKKKKKPKHPGYRTPQSGAESCTFIAGKDYLKKRPEAYQFDSNGNIVVNGQHGAKKTKLAHQAPDMSLEALTPVGPMASPVASQMSNMVNPTKIIKIITHRDRGRKSKVLKMPAGHSGPGSPWSNFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPDECKDRHKLLTDKSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQVPFEEETLKTHFEKIIILGQKLHPCRRKGESQELKQINALHPSQLCPSNFSGGVLMPLDLCDAITSNPDALSVGYPGPHTSGLTLPNHHHLGSLGPTLPTSNMNARVPGSPGMVLGSNLQSPSTVNAPSRDAQRYGVPRPTSLQGDEQQRIQYNHMLNGRSLQQPGVSVPGVLPTGVDRGVRMVAGPNGMGIMTGLNRGPPIARPGFPRLVPPGMLNMVSSGNILSTNGQGMQNTVNVHPGPGNTMLRPRDPVQMLRPGQNLEEHRQMMVQEFQMQVSQGNSQAAHFSGAPFSNAGTSSPAQSFPVQQSQTHQMPQQSRMFGNTHHSHIRGANQPSPQHQAYAMRMMPQQQHPLSAVPTLQNGSQMQQQTAGSAVPSSQSQHKKQHPAQNAQDSSVLLNQPANNTTLHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNIMQQNPPVDATQASGISATSKNQVSDKKLVQQGPAYFAGNKGLVPSVVQTGNQPKIYAAHIPQSPDIGNQVSMQGSPNQTLLASQQVPLHSTSQLQQQQQRHLNTSHSNIHRMMMQQNRHMNSDARIELPVEQVQHNQVIATASIARSTDSGSPGVSSIQQRKQESSHDPTAITSASQLASSPQDTFVGNETSLSASNQGLLQRQMSGGVPIHGHGLAAQRQQQQARQQLQPQQQQRPVVQGNVYAHPSNSGPG* >Brasy1G302400.3.p pacid=40052994 transcript=Brasy1G302400.3 locus=Brasy1G302400 ID=Brasy1G302400.3.v1.1 annot-version=v1.1 MGKACFCGPVDTERCSMGGIVECGLSTDTKASPRRVAIEKAQEELRQEYDVREDRRRELEFLVKGGNPLDFKVGHVASRSVQSTSVTDQIVEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCREANTADNLMLLDGGTSNIGVEKLIKRGTKRTSTAQPELSLCNDVHNTKEAEESGLFRLGAKSQAYARRRSKSSRENANNAPVRSPPVHPLSSQLKDAKGVIQEAKNDDHGASSIASPKPTVSNGNSMLKNASLDNQVVDEMDGVQPIHGGKQKEKHEMTNNKDDTLAPEISPNSVTDNSQLTGGGQMTNAASKAESPNAILKEASSKTSFPSAYSGVFREAHNHEKAGKAGESSDESLSNVVSCDSNVKKIGLLEGSSRATAMDDSSTPIQPEVNSAAENEIEVRNKVIGAQKDTVHVTTSDCNKGNRAAGSNLDRNNKYSSALNGSDKSAFVSVPPVSLTEDMPNSVHNFDGDINKCSGNEATLAKKECEDSIMAKKEYEDSVLKAAQFIEVNVKRAGERALCNISLEKKRKSHWEFVLEEMAWMANDFMQERLWRCAAAAQTCHWIASSGRDAFEEASIHKKQKSVARFLAKGIANFWRSAETLRATSGEIPKATQIEKSVGHEETKLAGIEAEKEPGDESFEQDKSKLSDQSPIRSYALRFLEYNCDAPECLSLAEAPPTPERLNDFGILKVPDQLSEENLFYVVAPGAMHTYRESVESLFVYNKRFVNTGHKDDYDPSTCDSVSDIHRENAYEDDEGEGYTYSTSKLGHKKKQQMHQRMNGTRPYDIGVDLPYDPCLESKSGNKLFLSNGKRPPDFLSIPTKRIRTAARQRVASPIPAGVAGTHQFTSKTDASSGDTNSCQDDQSSLHGGFFPRKNADIESTVDFDRQLIYDGSEVSTKSKKKKKPKHPGYRTPQSGAESCTFIAGKKDYLKKRPEAYQFDSNGNIVVNGQHGAKKTKLAHQAPDMSLEALTPVGPMASPVASQMSNMVNPTKIIKIITHRDRGRKSKVLKMPAGHSGPGSPWSNFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPDECKDRHKLLTDKSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQVPFEEETLKTHFEKIIILGQKLHPCRRKGESQELKQINALHPSQLCPSNFSGGVLMPLDLCDAITSNPDALSVGYPGPHTSGLTLPNHHHLGSLGPTLPTSNMNARVPGSPGMVLGSNLQSPSTVNAPSRDAQRYGVPRPTSLQGDEQQRIQYNHMLNGRSLQQPGVSVPGVLPTGVDRGVRMVAGPNGMGIMTGLNRGPPIARPGFPRLVPPGMLNMVSSGNILSTNGQGMQNTVNVHPGPGNTMLRPRDPVQMLRPGQNLEEHRQMMVQEFQMQVSQGNSQAAHFSGAPFSNAGTSSPAQSFPVQQSQTHQMPQQSRMFGNTHHSHIRGANQPSPQHQAYAMRMMPQQQHPLSAVPTLQNGSQMQQQTAGSAVPSSQSQHKKQHPAQNAQDSSVLLNQPANNTTLHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNIMQQNPPVDATQASGISATSKNQVSDKKLVQQGPAYFAGNKGLVPSVVQTGNQPKIYAAHIPQSPDIGNQVSMQGSPNQTLLASQQVPLHSTSQLQQQQQRHLNTSHSNIHRMMMQQNRHMNSDARIELPVEQVQHNQVIATASIARSTDSGSPGVSSIQQRKQESSHDPTAITSASQLASSPQDTFVGNETSLSASNQGLLQRQMSGGVPIHGHGLAAQRQQQQARQQLQPQQQQRPVVQGNVYAHPSNSGPG* >Brasy1G367100.1.p pacid=40052995 transcript=Brasy1G367100.1 locus=Brasy1G367100 ID=Brasy1G367100.1.v1.1 annot-version=v1.1 MSNCQWNSTEPSNRIFFLLGPTPSSDWCVLFIITISPRVLLHFSLEARSHRKQGSSGAMGPRHLLWVTCLWTLSCALLLGASSDGLLRINLSKKRLDKEALTAAKLARQQRNVLRSGDGSYRYLGVSDDDIVPLDNYLDTQYYGEIGVGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHKYKSTKSSTYKKNGETCTISYGSGSIAGFFSEDSVLVGNLVVKNQKFIETTREASPSFIIGKFDGILGLGFPEISVGSAPPVWQSMQEQKLIAKDIFSFWLNRDPDAPTGGELVFGGVDHKHYKGKHTYVPVTRKGYWQFDMGDLLIGGQSTGFCAGGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISMECKEVVREYGEMILELLVAQTRPQKVCSQIGLCVFDGTQSVSNQIESVVEKENRGSDLLCTACEMAVVWIQNQLRQNQTKELILQYANQLCERLPSPNGESTVDCHQISKMPNLAFTIANKTFTLTPEQYIVKLEQSGQTICISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGDSKIGFAKSA* >Brasy1G340900.1.p pacid=40052996 transcript=Brasy1G340900.1 locus=Brasy1G340900 ID=Brasy1G340900.1.v1.1 annot-version=v1.1 MSAAPAAAVLRPHLSLLSGGGGGGIPSGTFQTLSYVSPLLHHRRRRRGGVVLRSNASSSPPSPPPSPQKEAEAVPTAESCVNLGLELFSKGRVRDALEQFENALELEPNPIEAQAALYNKACCHAYREESKKAAECLRTALRDYNLKFGTILNDPDMAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVAFIAAAGISTFFTIPRLILAVQGGDGAPDLLQTAGNAAINIGGIVVLVALFIWENKKEEQQITGISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTKAMQRAERYRTELLKRGVLLIPVIFGASEKAQTKPKGFGTTRSAASVPSVGVRPGDFEKRTEAIAAKSRLKAEVRFKADIVSPDQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLLSKLER* >Brasy1G340900.2.p pacid=40052997 transcript=Brasy1G340900.2 locus=Brasy1G340900 ID=Brasy1G340900.2.v1.1 annot-version=v1.1 MSAAPAAAVLRPHLSLLSGGGGGGIPSGTFQTLSYVSPLLHHRRRRRGGVVLRSNASSSPPSPPPSPQKEAEAVPTAESCVNLGLELFSKGRVRDALEQFENALELEPNPIEAQAALYNKACCHAYREESKKAAECLRTALRDYNLKFGTILNDPDMAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVAFIAAAGISTFFTIPRLILAVQGGDGAPDLLQTAGNAAINIGGIVVLVALFIWENKKEEQQITGISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTKAMQRAERYRTELLKRGVLLIPVIFGASEKAQTKPKGFGTTRSAASVPSVGGDFEKRTEAIAAKSRLKAEVRFKADIVSPDQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLLSKLER* >Brasy1G116800.1.p pacid=40052998 transcript=Brasy1G116800.1 locus=Brasy1G116800 ID=Brasy1G116800.1.v1.1 annot-version=v1.1 MGKSGKKPKESHRHGRGRRASQFGDDDLPSSAYDAPPPRPEDSDDDDSNEAAAEGEHEGDAEAGDQDQWQVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSTEWLRTDARRTAIGLDFDRESLEWCLENNLSKIGADGYSRMLLFDGNVLQPNESRLVKQKISDLMEGLNTANDNGSTEICDQSESAIPKCLANSTMADAVLPGRDIICAFNYSCCCLHRRKDLVLYFRHAFSALSKRGGIFVMDLYGGTSSECKLRLQRRFPSFTYFWEQEEFDIITRETRISLHFQVGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWVREMPDTQSSGNAKEYTADRDVKYEELQHFNQGDAWNAYVVGVANI* >Brasy1G337700.1.p pacid=40052999 transcript=Brasy1G337700.1 locus=Brasy1G337700 ID=Brasy1G337700.1.v1.1 annot-version=v1.1 MPPSVVSTSMRCLAVSPSLLSLPHLLSSQIPQRTGCLPLVTCRLPRHRRRLLDAAVHPLHQRSIRHLSSPCLTSFPPSTHWPGCTWLHSVVRPQPVGR* >Brasy1G337700.2.p pacid=40053000 transcript=Brasy1G337700.2 locus=Brasy1G337700 ID=Brasy1G337700.2.v1.1 annot-version=v1.1 MPPSVVSTSMRCLAVSPSLLSLPHLLSSQIPQRTGCLPLVTCRLPRHRRRLLDAAVHPLHQRSIRHLSSPCLTSFPPSTHWPGCTWLHSVVRPQPVGR* >Brasy1G266900.1.p pacid=40053001 transcript=Brasy1G266900.1 locus=Brasy1G266900 ID=Brasy1G266900.1.v1.1 annot-version=v1.1 MDLLVRPAAPDPVHPEQRGVEGAKEGQVKQPDNVIDLCSDDEEEDLCSDDEEEDFPVHTCKEERDFDEEEEDSKPLSQLCKRLRRGEPGALESGEGEGQQQGNLASTLARMESPVARQMVGTPEDAMLTPLTVLLPSGERLGSSALQKVFSKSDHGRCRTGEKEGSFGDDVPSKGVSQSLKRNAKMNKTPMVESSAGVWNKEGGAEKQKTRIAREGVLFEPWNSNITGVQVKSETGSLPSAVTRNWESEGDMIISIVENVELSMQALCALYRQKKLTLESAGLTFRATKLAEFLLDSDLQGPMKRTAEELANHDPSNFSFLQQVGLVCSEQLFNIFRNKEDRYFC* >Brasy1G478600.1.p pacid=40053002 transcript=Brasy1G478600.1 locus=Brasy1G478600 ID=Brasy1G478600.1.v1.1 annot-version=v1.1 MASRLQLRPRFLLLLVLAVALGGLGTGGAGWGHGAEASDRADPDPYSILTWHDYSPPSPPPPPPPPEAPAATCAGDLHGKGDFSTSCEVSEEVELGGDVYITGSGSLVLISGAALTCEKPGCVISANLSGEVRLGRGVRVVAGTVSLTATNITIADTVVVNTSGLAGDPPDRTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGVGGGILWLFAEDLLMNGTVLADGGDSNEKGGGGSGGSIYIKAATMHGGGKISASGGNGLAGGGGGRVSINVFSRHDDTQIFVHGGRSSGCPDNAGAAGTLYDAVPKSLVVSNNNMSTQTDTLLLEFPYQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLSGAVLTFGLTRYPYSEFEMMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLINGGGDSVVATSLLDASNLIVLKESSVIHSTANLGVRGQGLLNLSGDGDMIEAPRLILSLFYSIRVGPGAILRGPLVNGSNGDVAPKLNCEDETCPVELIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLIQGTVIHFNRARSVTVHTSGTISTTGLGCKSGIGRGRLLSSGLSGGGGHGGKGGSGSVNGSHAEGGPTYGNADLPCELGSGSGNDTTGFSTAGGGIIVLGSWEYSLPSLMLYGTVESNGGSSTDAVANASIGPGGGSGGTILLFVHTLSLAGSSVLSSVGGFGSAGSGGGGGGRIHFHWSDIPTGDEYVPVAAVKGSILASGGISKGLGSPGENGTVTGRACPKGLYGTFCKECPLGTYKNVTGSSKSLCFRCPSGELPHRAVYTSVRGGAAETPCPYICVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLAAVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLQSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLASLMSQAVASSIWHRLVAGLNAQLRLVRRGNLKVTFLPVLNWLETHANPALGVNGVRVDLAWFQATSLGYCQLGLVVYAVEGEPLTAELDGSPRIKIEHHSLVHDLLADNQLSRSSIKDALMRKRITGGILDSNTLRTLKDRGDLLYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVALICGLVHYTSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCVQARLVDWHVGNLEIQDRAVYSNDPNIFWQS* >Brasy1G207700.1.p pacid=40053003 transcript=Brasy1G207700.1 locus=Brasy1G207700 ID=Brasy1G207700.1.v1.1 annot-version=v1.1 MQGASMARRFSGLAAAVRTADVPLPRMPAFDHAPQPYDGPTADEIARKRAEFLSPSLFHFYSKPLNIVEGKRQYLYDEHGRRYLDAFAGIATVCCGHSHPDVVDAMIAQARRLQHPTVLYLNHVITDFAEELASKMPGDLKARTLVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAATMGATAQKNWKFNVIQSGVHHAVNPEPYRGAFGSDAEMYARDVKEIIEFGTTGNVAGFISEAIQGVGGIVEVSPGYMPLAYDLVRKAGGLCIADEVQTGFARVGSHFWGFETHGVIPDIVTMAKGVGNGIPLGAVVTTPEIAQVMTRRSYFNTFGGNPFCTAGGLAVLKVLEKERLQENAFVVGSYLKDRLCGLQEKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVDVMDIALSEL* >Brasy1G145500.1.p pacid=40053004 transcript=Brasy1G145500.1 locus=Brasy1G145500 ID=Brasy1G145500.1.v1.1 annot-version=v1.1 MAGGGAGAGGGAGAGASGGAPVATDGGAARGRGGSGDPVRSRAARFRAFQLWQIRRRLRRGSGAKMVDPCEDEDGGDGAVPGGGGVVPGGGHGAEQEGGAVPGGGHGAEQEGAAAGAGHGHVAVKN* >Brasy1G484100.1.p pacid=40053005 transcript=Brasy1G484100.1 locus=Brasy1G484100 ID=Brasy1G484100.1.v1.1 annot-version=v1.1 MSAVVRVPRHLVLTEAADGLRTTTPTYRTHVTEDRHTCTIQMNLPSKCHPQCVQPIQATGQPAATTIEAEEQAAGYMIRLMNTRFKVQFDDVNLYRLNHCRRKREMACATLRVIIREYAVISRKARLMEKGWQEALANLNGVHDVCAETSSRGTKTVYTSKSPTKHNKTLARVRSLGAWAQDRFEQGTVGLSTAQRDANP* >Brasy1G481600.1.p pacid=40053006 transcript=Brasy1G481600.1 locus=Brasy1G481600 ID=Brasy1G481600.1.v1.1 annot-version=v1.1 MLTQRLGRPIAYALKSSASDEEIGYRSSCNICYDRPFLPSEFSRYLDLDVLDRRFGHPGLSRTEHNEHSAGMGWVTNGQLENGTPEHSGHSAGKGWVTNGQHGNGTPDEQSAAQAFGNQDCSGAENTGRPAMNSWTEAQV* >Brasy1G526700.1.p pacid=40053007 transcript=Brasy1G526700.1 locus=Brasy1G526700 ID=Brasy1G526700.1.v1.1 annot-version=v1.1 MMDSTAWRSSTIKAIVIIIIKHKYKELLSNAQREDNKMDQRML* >Brasy1G332300.1.p pacid=40053008 transcript=Brasy1G332300.1 locus=Brasy1G332300 ID=Brasy1G332300.1.v1.1 annot-version=v1.1 MAQSSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPQELNALLKKVSQYLRSKNWDTRVAAAHAIGAIAENVKHTSLKDLFASVEAEKHASGLSDETGDVGSTLPRPDTTATSELDFGSFEINRVLAFGSPLLSSGGQEYDVGNDGGKNPADRLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANVQNNGFYSFNTGQNIQHLVASMVPRYSKHSNFRPRRLSARERNMLKRKAKSNAKDHTKSVPDDDEVVLRNSASSNGASSDQVGAHNDASDAVADEDNMEYSESGRWPFQQFVDLLIHDMFDPIWEVRHGTIMALREILTHQGGCAGVYFPELSSPFADLDDKIDSDSDSLKRPQSIDLNEDIDTEQLEPVLKRHKKYESNPTEIMLEPVVERFNKEEPSPSEVMDIDFGKELVNANDSKAGAGLSNVLTIPSGEPNFPHVKVEPELQLDGSADPSKVDTSCASLPKTLNPASNPNSVIHVPENSKYMRLLKLAKHSCMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRKEMLKDLFDYVLGACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTAALGEREEFDLNKSTQFAEQGDKLTYIENPYVLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVGNSRSLAGITPSKLWPTSMLGDSLQVVFQNILLESNDEILQSSERAWKLLLQCSEKDLECAARSYFSNWMQLATTPYGSTLDSTKMFLPVALPRGSRSRAAAKIRSARLEHEGTRMISFDSTGDTSHQKNFDVSSSVSKIIVGAGSDKSVTHTRVLTATALGLFASKLPVGSWQVVLSPLANDVMSLSGVQRQVASMVIVSWFKDLRGRDLAAVGTLLAFFSSVKEYLLDLLACSDPAFPTKDSMLPYSELARTYTKMRNEATNLLHSVDSCAIFKDYASNLNFNADMLSVDDAINFASKLLLPTEFDFLSDSDKTVLNNVESAKQGLLATSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEELLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLACTDVCETPQAAVINSMQVIEDQNLLSIGKRFSNHKSRGHVGSGSEERAKMEGFISRRGSEFAFKHLCEKFGSSLFEKLPKLWECLTEFIEPIKTKDDIQKDDPSITQLGRSCEDKDPQSLINNIQVVCSVTPHLPEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTGNVMVVVIENAIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDVSVRQSVTHSFAALVPLLPLAKGVPLPTGLSERLSRSTEDAQFLEQLLDNSQIDDYKLNIHLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRARNEDKDPKSLIICPSTLVAHWEYEMEKYIDSSIMKPLQYIGSSQDRIVLHSQFDKFNVIITSYDIVRKDIDFLENICWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLIAAKESKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSSSNAKEEISTIVTANESEQPTSQPKATRHVFQALQYLLKLCSHPVLVIGESPPDYLVDHLKDIGMGSGDDLHDLHHSPKLVALQEILHECGIGSEISSPDASAAVGQHRVLIFAQHKAFLDIIEKDLFQAHMRSVTYLRLDGSVQTEKRFEIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFTSTPASRKASVVPSSSSGEESKESKGKSGRKGLKSILSGLDELWDQSQYADEYDLNQFLAKLNG* >Brasy1G332300.2.p pacid=40053009 transcript=Brasy1G332300.2 locus=Brasy1G332300 ID=Brasy1G332300.2.v1.1 annot-version=v1.1 MDFNDVIKDEDLLAQKNYWGANVQNNGFYSFNTGQNIQHLVASMVPRYSKHSNFRPRRLSARERNMLKRKAKSNAKDHTKSVPDDDEVVLRNSASSNGASSDQVGAHNDASDAVADEDNMEYSESGRWPFQQFVDLLIHDMFDPIWEVRHGTIMALREILTHQGGCAGVYFPELSSPFADLDDKIDSDSDSLKRPQSIDLNEDIDTEQLEPVLKRHKKYESNPTEIMLEPVVERFNKEEPSPSEVMDIDFGKELVNANDSKAGAGLSNVLTIPSGEPNFPHVKVEPELQLDGSADPSKVDTSCASLPKTLNPASNPNSVIHVPENSKYMRLLKLAKHSCMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRKEMLKDLFDYVLGACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTAALGEREEFDLNKSTQFAEQGDKLTYIENPYVLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVGNSRSLAGITPSKLWPTSMLGDSLQVVFQNILLESNDEILQSSERAWKLLLQCSEKDLECAARSYFSNWMQLATTPYGSTLDSTKMFLPVALPRGSRSRAAAKIRSARLEHEGTRMISFDSTGDTSHQKNFDVSSSVSKIIVGAGSDKSVTHTRVLTATALGLFASKLPVGSWQVVLSPLANDVMSLSGVQRQVASMVIVSWFKDLRGRDLAAVGTLLAFFSSVKEYLLDLLACSDPAFPTKDSMLPYSELARTYTKMRNEATNLLHSVDSCAIFKDYASNLNFNADMLSVDDAINFASKLLLPTEFDFLSDSDKTVLNNVESAKQGLLATSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEELLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLACTDVCETPQAAVINSMQVIEDQNLLSIGKRFSNHKSRGHVGSGSEERAKMEGFISRRGSEFAFKHLCEKFGSSLFEKLPKLWECLTEFIEPIKTKDDIQKDDPSITQLGRSCEDKDPQSLINNIQVVCSVTPHLPEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTGNVMVVVIENAIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDVSVRQSVTHSFAALVPLLPLAKGVPLPTGLSERLSRSTEDAQFLEQLLDNSQIDDYKLNIHLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRARNEDKDPKSLIICPSTLVAHWEYEMEKYIDSSIMKPLQYIGSSQDRIVLHSQFDKFNVIITSYDIVRKDIDFLENICWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLIAAKESKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSSSNAKEEISTIVTANESEQPTSQPKATRHVFQALQYLLKLCSHPVLVIGESPPDYLVDHLKDIGMGSGDDLHDLHHSPKLVALQEILHECGIGSEISSPDASAAVGQHRVLIFAQHKAFLDIIEKDLFQAHMRSVTYLRLDGSVQTEKRFEIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFTSTPASRKASVVPSSSSGEESKESKGKSGRKGLKSILSGLDELWDQSQYADEYDLNQFLAKLNG* >Brasy1G161900.1.p pacid=40053010 transcript=Brasy1G161900.1 locus=Brasy1G161900 ID=Brasy1G161900.1.v1.1 annot-version=v1.1 MSSPSARSNRAVSLPLCPLPRRRRCVHSCAAHALSFSPSSASSADAAQPEPEEPPPRLRRRQLPRPPRPARAHPPQLRQPERWPATRGRELSAICRDLRYVHPPELICSAFHLCTVIVPRRGQAPTRRGRWRRMWGTAVRTASPSRIFSSPPATSTRQTSWAKAASARCTRARSARPTLRRVHPHAHTHTPAPLSS* >Brasy1G365200.1.p pacid=40053011 transcript=Brasy1G365200.1 locus=Brasy1G365200 ID=Brasy1G365200.1.v1.1 annot-version=v1.1 MRICSSVSLLSSVSSISHLRPEKEAHAAPGAGGARRGRRPPASSSCKPRHGWGRIRPPSAPPAPDLPAPPPPLHPDDTAPTFPARHQQLVLFPRSRRPALTPVWQSSRSCRPPLPPLLVASLLVHGWMSHSWSCVQQLALDAIDHQVTGSSRCFAWFVLRLRCN* >Brasy1G577100.1.p pacid=40053012 transcript=Brasy1G577100.1 locus=Brasy1G577100 ID=Brasy1G577100.1.v1.1 annot-version=v1.1 MSTRSPGAPSFHRPTLSRQPKIQYLLFNIRDENTSSIKDMGQINCCCEAGGMPSFSERKRTICC* >Brasy1G468900.1.p pacid=40053013 transcript=Brasy1G468900.1 locus=Brasy1G468900 ID=Brasy1G468900.1.v1.1 annot-version=v1.1 MSAIAKEAWKGCSVILDINDGDRVIFSRLTPRATVDIGGRKCSLQPLVGRPFGSTFRVEPCDSEDGDYSGVLVSCAADAPASRDDDPTQDGEERDDPRDNRSLVDNITAQTLSSDDIEALKRNGLSGDAIVDALVANSSTFGKKTAEKYILKKQKKYAPKLLLRRPSARSICETYLKQNADRTGFMRVDSLSLLLSMANVGAYSDVLVVDMVGGLVVGAVAERLGGSIDIVGIFNFSSDVTSRIVQSPLSDLYSLRNSGNAPCVLNDSIQGEVDEPSAVSDCKRDDCTSVASKSAKAGMAPSSERTKYWKEHGFSSLIVAAPEYELGSLVSYLLPLLSYSAPFAIYHRYLEPLATCMQSLVVSGMAVRLQISEPWLREYQVLPSRTHPHMKMNSFGGYILSGIWVREPAYSGE* >Brasy1G316700.1.p pacid=40053014 transcript=Brasy1G316700.1 locus=Brasy1G316700 ID=Brasy1G316700.1.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.18.p pacid=40053015 transcript=Brasy1G316700.18 locus=Brasy1G316700 ID=Brasy1G316700.18.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.9.p pacid=40053016 transcript=Brasy1G316700.9 locus=Brasy1G316700 ID=Brasy1G316700.9.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.19.p pacid=40053017 transcript=Brasy1G316700.19 locus=Brasy1G316700 ID=Brasy1G316700.19.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.4.p pacid=40053018 transcript=Brasy1G316700.4 locus=Brasy1G316700 ID=Brasy1G316700.4.v1.1 annot-version=v1.1 MAPAQEAEKTMPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.13.p pacid=40053019 transcript=Brasy1G316700.13 locus=Brasy1G316700 ID=Brasy1G316700.13.v1.1 annot-version=v1.1 MAPAQEAEKTMPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.5.p pacid=40053020 transcript=Brasy1G316700.5 locus=Brasy1G316700 ID=Brasy1G316700.5.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.6.p pacid=40053021 transcript=Brasy1G316700.6 locus=Brasy1G316700 ID=Brasy1G316700.6.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.16.p pacid=40053022 transcript=Brasy1G316700.16 locus=Brasy1G316700 ID=Brasy1G316700.16.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.7.p pacid=40053023 transcript=Brasy1G316700.7 locus=Brasy1G316700 ID=Brasy1G316700.7.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.8.p pacid=40053024 transcript=Brasy1G316700.8 locus=Brasy1G316700 ID=Brasy1G316700.8.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.17.p pacid=40053025 transcript=Brasy1G316700.17 locus=Brasy1G316700 ID=Brasy1G316700.17.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.14.p pacid=40053026 transcript=Brasy1G316700.14 locus=Brasy1G316700 ID=Brasy1G316700.14.v1.1 annot-version=v1.1 MAPAQEAEKTMPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.2.p pacid=40053027 transcript=Brasy1G316700.2 locus=Brasy1G316700 ID=Brasy1G316700.2.v1.1 annot-version=v1.1 MAPAQEAEKTMPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.10.p pacid=40053028 transcript=Brasy1G316700.10 locus=Brasy1G316700 ID=Brasy1G316700.10.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.11.p pacid=40053029 transcript=Brasy1G316700.11 locus=Brasy1G316700 ID=Brasy1G316700.11.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.20.p pacid=40053030 transcript=Brasy1G316700.20 locus=Brasy1G316700 ID=Brasy1G316700.20.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.21.p pacid=40053031 transcript=Brasy1G316700.21 locus=Brasy1G316700 ID=Brasy1G316700.21.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQYKAEMAKIMEAAVSGKLPVMASWTLEDFRTLAPLTPVVF* >Brasy1G316700.15.p pacid=40053032 transcript=Brasy1G316700.15 locus=Brasy1G316700 ID=Brasy1G316700.15.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.3.p pacid=40053033 transcript=Brasy1G316700.3 locus=Brasy1G316700 ID=Brasy1G316700.3.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKASTRWRKVQDRLEDDDRCSRLEIVDRLDMFEEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G316700.12.p pacid=40053034 transcript=Brasy1G316700.12 locus=Brasy1G316700 ID=Brasy1G316700.12.v1.1 annot-version=v1.1 MPVAGKVHAIPLEEKASEDEIVVYSNKLDARNAFRVLLESVKSDLTLGQALRVIINDKRYVALETLEESLQAFSEYFNQREKHEPEERHAIQKARDDFVTMLEECNKLASSMRLSKAIAMFEDDERFKAVENLREREALLENYLAELQKKEEAKATEEHKRHIAEYRAFLESCDFIKEYIQDLEKAEEEQMRLRQKQVRRQERKNRDVFRKMLEEHVADGTLTAKTRWFVYCEEVKDSQAYLTVVSNTTGSTPKDLFEDVMEVIEEQVLHSLHVTNVTKLKWPKLWKQLCLESFL* >Brasy1G172700.1.p pacid=40053035 transcript=Brasy1G172700.1 locus=Brasy1G172700 ID=Brasy1G172700.1.v1.1 annot-version=v1.1 MDNRRPSVIVLFGCLLAVAAATTAVELELEAPQDGAISTYIVHVANSHAPRSTLSAARLTSAYTSFLRDTLLPNISEPAPSILYSYAHAMTGFAARLTARQATHLEAQPSILAITPDKLYELHTTLSPSFLGLTPSSPLMAASNGATDVVIAVLDSGIYPKDRASFYPSVNLPPPARFRGGCVSTPNFDAAAYCNSKLVGAKFFTKGSTARCSEASPLDVNGHGTHCASIAAGSPVPNANLFGYATGTAQGAAPGARIASYKVCTGCAAKSSCPASDVLAGLNEAIADKVDVISLSLGGQHPNLYNDLTAVGAFSAIREGIVVVAAGGNSGPDRATLSNVAPWLLTVGASNMNREFRAPVKLGNGKTFRGVSLYDINSDPSYDGTKMKPLVYGLDVGSDQCVAGKLDPTKVAGKIVVCSPGINLGTEKGAAVKQAGGVGAIIASGNTYGEYASAEAHVLPATSVTFADAVEIAKYSQTPNPVATISSFSGFTGQLSLSPPRVAAFSSRGPNHIAPEILKPDVIAPGVEILAAWTGERSPSHVNTDTRRVKFNIISGTSMACPHVSGITAMLKAARPAWSPAAIKSALMTTAYSVDRSGGAIKDTNTSMEAGPFDLGAGHVDPNSALDPGLVFDVGEDDYITFLCALGYTPGQVAIFTKASPVVDVCSKRKGASVGDLNYPAFSVAFKSYTDKVTQRRVVRNVGSNVNAVYTVSRRGPAGNVGVTVTPDRLVFDAQHQTRDYTVTFSTLQPGVKSTEEHGALVWSDGKHKVVSPMVFTWPTPKPGPKPAVAVM* >Brasy1G034400.1.p pacid=40053036 transcript=Brasy1G034400.1 locus=Brasy1G034400 ID=Brasy1G034400.1.v1.1 annot-version=v1.1 MTGRLGLVQAVLSVMPIYHMLALDLPSWVNLGSFSPSSSDSLQSWREKLFLSWPLKSRKANCGVILPTLSSIWLERNSRISYNRSISVVKLSEDIGEERKRWKTVGMLRE* >Brasy1G091400.1.p pacid=40053037 transcript=Brasy1G091400.1 locus=Brasy1G091400 ID=Brasy1G091400.1.v1.1 annot-version=v1.1 APAAPSTRPADRRARRNASQPPTPRAAPPPPLRAAPGPPAAAKFRPRRPQARPRPPQNDVPAHGPTGSAPTPPALRHRLNPPRGLSEARRPSPLLLFSSPTTGAAPTALPRPNEQPKLPPDCAAGRRSPNPAVHPFPAESLATDSTCVHGPQIHQPSLCRGW* >Brasy1G093500.1.p pacid=40053038 transcript=Brasy1G093500.1 locus=Brasy1G093500 ID=Brasy1G093500.1.v1.1 annot-version=v1.1 MAPTVGLKRSATTQTIAVPPPPQDARFAVREAVRSTIASPPPAEAPGVPARVAPAPALEGFLCLEEVDGRRWKYVVDEAAGKGKGGRGGSAVPLGASVRAVPLQSPLPPAEEIMAFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDNDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIYITKRNPSLVTSFALLSCGYLMSSYQEVRSVVLNTLNRARFTVAVDSFIKTGYVPSLKEGNSQETLFIHPWRHEPVAIGSRFGEAFQEPVSFVATRPLFEDERYMVTYNPTKDKVYALLKDQAKPDDVLKAAFHAHVLLHFINASHARKRMNTNRSDHYVNLHPRNMDFLAHIAESCKLVSASYGTFKKKAREQGWIMSESLLNPGKARLCVTGLQ* >Brasy1G138200.1.p pacid=40053039 transcript=Brasy1G138200.1 locus=Brasy1G138200 ID=Brasy1G138200.1.v1.1 annot-version=v1.1 MGFVVDARALRPAETTFGGGRLEFPCHQVLGLRGTVSSISSPPVTTPEVVVRPSPEGPSQHSLCTEEVLHGGPVNGPGLGPAGTRGGTGLGGSPRGESDLELLFGHFWARSRVSPPPRDSSFEWWPGKVGGDPRSFAQVAASAPVVMADRGARYNRGHRRDGFGAGCQGRGAGRFDRGRARNNVWQRDSPEQDQDSSNTAAGDQRWDEAAVGQGCARQADRRQAIANQDAGQARAQQRHDDPQNPGNIDLCTLCRGSGHLAVRCPQAVCERCGRSGHVASVCQVFLPWEFMASMCAFQSPGQGFYYMPDFSSAKQLKDRSSSVVITVVSGEIGAKEIEFEFNNYFASGWRCSACQIGPKQYVMRFPSAREVEKACYLETFCLRGCGATLRLTPWTAAVGAKSELQTGWVKVANIPLDKRCDRNVAYASSLVGVPLEIDFSTMNRPDSVRVLLGCKDIHKIPVVAEGCLGRHFYDFFYELETVVSGGPPKEADASMVDVPDPRGHLLM* >Brasy1G054000.1.p pacid=40053040 transcript=Brasy1G054000.1 locus=Brasy1G054000 ID=Brasy1G054000.1.v1.1 annot-version=v1.1 MPMAFPASCLLGSLQASCRHAARRNSSPLSKLIEVLLVCCDLLV* >Brasy1G429700.1.p pacid=40053041 transcript=Brasy1G429700.1 locus=Brasy1G429700 ID=Brasy1G429700.1.v1.1 annot-version=v1.1 MARSSAPVLLLLCAWLLASAASAQMYNALYNFGDSITDTGNLCTNGNPSSITFTQPPYGETYFGKPTCRCCDGRVVVDFLSNKFGLPLLPPSKSTSANFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQMQWFQQITSSVCASSCKSYLAKSLFVFGEFGGNDYNAMLFGGYSTDQASTYAPQIVDTISSGVEKLIAMGAVDVVVPGVLPIGCFPIYLSIYGTSSAGDYDSLGCLKKFNALSTYHNGLLKTKIAALQAKYASARIMYADFYAGVYDMVRSPSSYGFSSVVEACCGSGGGKYNYANSARCGMSGASACASPASHLSWDGIHLTEAAYKQITDGWLSGAYCHPAILHS* >Brasy1G419100.1.p pacid=40053042 transcript=Brasy1G419100.1 locus=Brasy1G419100 ID=Brasy1G419100.1.v1.1 annot-version=v1.1 MPTADRARDVHRLRCSGIGALGFSTASSCTWGSTKTKVETNEFDWTHGEIDGQGSRRPRPRSPARSGRPARRRDDDDDQHRGRKDDRNTGWRAFFRSRSRATRDDDRRGRGDDRDQQRERDSRRNHDDRHRRRGDRSPRFRRPVLLGRCLATLDTRGRSRTRARCSPAASPMPVPMDAPSGVSSSAVTLATTTPAPGSPLVVSPVTPRDIDSAPRRADLIDDATGSPPGFGLCARRSVSPSPSPPTPAIEGSQALPRTPSPPPPRRTTNAFLRRLTRAVPAPLLPAPAMARRRAAEATARGLPLRRSARLARRTGNGGNPVATALAVLLKRLGITDVGDSAQIAMERYMDLFSGPLSQAVIQAIGALCGLDAQTPLPTIVALDSAGAAAAPVH* >Brasy1G105600.1.p pacid=40053043 transcript=Brasy1G105600.1 locus=Brasy1G105600 ID=Brasy1G105600.1.v1.1 annot-version=v1.1 METAVPPPPPAPEPFLLLLRWRRRFSSSGSGRPPPSAAVPLLRHPSAAPPSWLQLLRARNAKHPAAASPCTPAAASRAVMRPKGLSPTKHPLRSCSVLAHFVRKN* >Brasy1G180200.1.p pacid=40053044 transcript=Brasy1G180200.1 locus=Brasy1G180200 ID=Brasy1G180200.1.v1.1 annot-version=v1.1 MVLWAEPIPPIVVPGSKIAELTNDTKHDTSNLEQRTRMRTIERHRSCEVSQLILSEVEHDGTPRSSCSPSVESTIETHALPRHTIADAAWEALKQSIVYFRGQPIGTVAAIDKSQAELNYDQVFMRDFVPSALAFLMKGEPLIVKNFLIETARLQSREKMVDLFKLGQGVMPASFKVHHSHPTKKTESLLADFGEIAIGRVAPVDSGLWWIFLLRAYTKWTRDNSLAESPHCQRAMRLILKLWLSEGFDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSLLKDSNDDFVCQITKRIKALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWQELIGEMPMKICYPAMENQEWQIVTGCDPKNTRWSYHNAGSWPVLLWLLVAVSVKLGRPHIARRAVELMEKRLAKDEFPEYYDGRAGRYVGKQARKHQTWSVAGYLVAKMLLDDPSNLRAVSLDDDGRSIREPVLKRSNSCP* >Brasy1G135100.1.p pacid=40053045 transcript=Brasy1G135100.1 locus=Brasy1G135100 ID=Brasy1G135100.1.v1.1 annot-version=v1.1 MGNCCRSPAAAAREDVKSSHFPAAAAKKKPHQPRNGAAGAGGGGGGQKRLAVLGEEGCDFIGGIDDKYVLDSELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSHSIVTLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKIRLTAKQVLEHHWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSCEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYAEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPDELREALKDDGAADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLVKDGSVKLGIE* >Brasy1G059200.1.p pacid=40053046 transcript=Brasy1G059200.1 locus=Brasy1G059200 ID=Brasy1G059200.1.v1.1 annot-version=v1.1 MVRLPYLTALTTLVSYGLLFAFGHFRDFFRRILDAGKSSDNLKGYAPICLGYEDFYTRRLYLRIQDCFGRPIASAPDAWVDVVERVSNDCNKTLQRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSARVDGGNTKLHMELEELVARFVGKPAAILFGMGYVTNSAIIPVLIGKGGLIVSDSLNHISIVNGARGSGATVRVFQHNNPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCNLPEIMAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKHQCPAHIYATSMSPPAVQQVISAIKVVLGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASQSREDLIKGLEVISKVGDLVGIKYFPVEQEKTSVDKLKKLQ* >Brasy1G514100.1.p pacid=40053047 transcript=Brasy1G514100.1 locus=Brasy1G514100 ID=Brasy1G514100.1.v1.1 annot-version=v1.1 MAGVAREAGSGGVEVEGSGSVAGRVEGAGTRRCTRPADAASATTALPTSCTARERERERERERDYLRWGGGGLATAAGSSVVERRGGRIRRWGRRVLGGRPTGVEQQAGARKPKGSRILRAPWRRARREGGGDPEGERREGRSREREEKGDREQPGRGGEERSTRGWGEKISGERGGPVDPCLPCSANRVRP* >Brasy1G572900.1.p pacid=40053048 transcript=Brasy1G572900.1 locus=Brasy1G572900 ID=Brasy1G572900.1.v1.1 annot-version=v1.1 MDIQVHYFLNSKAQLAAACNGVVRVSSVSVFHFRFFCLSVRSQPRLLPILLLPTCSTTLVGGGRFSETLLRWTSSSTGSIKSRHHRESKKQDSIVLISTTSAEPPSESSRPCCSSCSGSPASGVSEAPSASSTLHGGGLEFSGQRPRDRSIDRRRPRQLLLRQAPSTCTCAATEPELHEHADHSPSTSICTLFWLLVRAEVVEPPQPAALPRPPQPRRPRRRVPPPFPVLRHVVHQRQVLLHRPRAPPQHGLLLLLLLMMMMITLRRRRRRRH* >Brasy1G536000.1.p pacid=40053049 transcript=Brasy1G536000.1 locus=Brasy1G536000 ID=Brasy1G536000.1.v1.1 annot-version=v1.1 MALVGAGLLLFLAAVLPVVATSSAYGSGRSLTPRCHPDQAASLLQLKQSFSFFRYPSALESWQDGTECCHWEGVGCSNSSGHVTSLELSGRSFLPASGFERLSLLTHLNLSNSGFRGQIPIGISKLANLISLNLSGSYHPAPEDFVYGYPTIIDDVTNGLWLQEPNFQILVANLNNLRELYLDGVNMASSGDWCHALAKSTPDLRVLSLSYCYLIGPICSSLSTLQSLTVINLEENFYMSAAPFPELFTDFLNLSVLQLAATNLQGWFPPRTFKSETLRVLDLSRNWNLSGHVPNFSNTSSLETMMLHFTNFSFVKPGSFSIFKSLKTLSLDVNFVSVEPQSSLGVPRSLRHLKLTQMHSTRDLGPILSLIGDLQNLPSLELFGWDFSRTSFSSVAKLNRLTSLSIFRCSFTRPVISAICNLVNLRSLEIVSSGFNGQLPSSIGNLSNLETLVIYGCGVFSGPMPSSIGSLSNLETLDIYDCGFSGPIPYAIGLLKKLASLHLSGCSFTGSIPNSIFNLTRLILLDISFNLLNGELPTSVFSIPTLQHLAMQSNQLSGSIQDFNATSSHLVSVDLSINELTGNIPSSFFQLTSLAYLDIGWNNLVGSLDLSLFWRLGNLFHLGLSNNNLSVMDMDGEGNNFPSTYVSRLARLKLASCNLTGFPSLLAHLNQLSYLDLSCNRISGAIPKWIWAWNSSLTYLNLSHNMLSTMQLTSYVLPFNRLQILDLSSNQLQGQIPMPSPPAVVLDYSNNSFSSVLPNFTLYLGSVFKISKNNISGYIPKSICNSTISVLDLSFNNFSGHIPPCLIEDGYLSVLSLRDNQFKGVLPNDIKDQCMLQTLDLNNNNIKGQLPMTLTKCLQLEFLDVGNNHMVGTFPSWLGNLPSLYVLVLRSNRFYGLLGGDLHRYDKSREYFSSLQILDLASNSFTGNLSPEWFEGFKSMMAELNTTADVIIGNNFSGGSDAGYRDTVAVTYKSIYRTFAKILTTLTVIDLSNNSFDGTIPGSLGRLVSLLVLNMSGNAFTGDIPREFGRMTQLESLDLSRNQLSGNIPDELTNLTFLGILNLCNNQLVGSIPRSRQFATFQNSSFEGNAGLCGLPLSNPCGVSPARQGEAHVDKSSHVDVVLFLFVGLGFGVGFAAAILMRWGRIWEWFNCSPVTSILH* >Brasy1G449600.1.p pacid=40053050 transcript=Brasy1G449600.1 locus=Brasy1G449600 ID=Brasy1G449600.1.v1.1 annot-version=v1.1 MSGLGSVIASAVGEQIVSKLGKFASKEITLQWRFREDVEDMEDKMKDLKAMLLDADDKSRRVGESGKVFRRWLTKFKRVAYDVEDVLDELDANELIKKSQSKVKLWFSRNNQLLQRISMPHKMKNVRKKIDEIKAEGQRDLSLVPLEARAEGSRNNETFAGMKSGMVGRDTEKEKIISLLLTSEAHQDISIIPVVGLGGIGKTTLVESVLTDKRVGVFDVSVWVHVSTQFDLHKIGSAIMKSMNNSINLDNCNLQFLQDNLKKELVTRRYLIVLDDLWEEDGNKLEILKQMLQHGCKGSRIIVTTRNQSVVQKLRTGILANERKICPFPESDKIDLDVFGPDDDHRGLEQIGRQIAEKCGGLPLLANALGQVMSELRTVRAWVDIRDTKVDLGNKALESLMLSYYYMKLEFKMCFTYLAVFPKGFVMDSNLLIQQWKALGYIYSGHDGQRCINYLLGMSFLRIPGSPTVSPGPLHTKAPSKLVIHDLVHDLASIIVADEFIDLDATKSNSWNKARYCRHAQLSNYKNDPQVFKYLPSKVRSLHLRDLVRVQLPQKAFSRSKYARVLDLSGRSAKGSSAPSNIVLPSSIHRLKLIRYLDATGFPIKSLPKYFHTLQNMETLILCNSSLETLPDSICHLGKLSYLDISGSSSLNKLPASLGDLSELSFLNLSGCSLLQELPESICDLTFLYHLDLSDCYALQKLPDKFSSLPKLSFLNMSSCSKLATLPDNFSFPSLEHLNLSNCHELKHLPKYFGCLPQLESLSLSDRRKFAMQPESFCRLDHLKYVDLSDCHNLKELPEYLGNFSELEYFNLTSCCKLQTLPESLCKLFKLRRLYLSYCLRLKKLPSSFGDLKLQILDIDGLLGLGDLPDSIGAMNSLTKVTVMFASIKLLEKGKSILRRLNLQGCIEHDVHEIGNRGCSSIVELAGLTCYELKLRKLQNVRLPEEAESVKLRDKLDIQQLQLSWESEGDKSVLEELVPPRTLESFVLNGYMSQDFPNWMSHISSYLPSLTILALIDLETCDYLPPFGGLPNLRSLLMANIPNIRKIGKEFYGESGPCMKLRVISVKLMHNLEEWWTTKSGKESEEYLIPNLHYLSVEDCPNLRFLPNPPSSMLWVLQKSDLALLDRGFGKISSSILPSVMTITNCSFSQDKWDRLVHFSTLELFNVTSIIGLRTLPEVIQCFTSLTELYLQSLKDLETLPVWLGMKNLSALRDLALIECLGLQECPNLTRLPESIRSLTALKELSIQQCPSLIARCQGEDAHKIRHIPTVYFWNQTNQVRNSKKPSR* >Brasy1G271500.1.p pacid=40053051 transcript=Brasy1G271500.1 locus=Brasy1G271500 ID=Brasy1G271500.1.v1.1 annot-version=v1.1 MKRASPVFHLLKNNKRNKCCSAVQYMKGPNSQAETKTSNQLHRATQRNMTDARGNRKQCLSGSKGSRHPAPEHGLKESS* >Brasy1G529500.1.p pacid=40053052 transcript=Brasy1G529500.1 locus=Brasy1G529500 ID=Brasy1G529500.1.v1.1 annot-version=v1.1 MPMPCDDASSSSPPARFPNRTPSMIPDSIHSSIPSVFSFPLHHHPPFIPASASLHSPHPHSRPPPPAIAMSPPILPFLLLFFFFLLGLPAPAAASPDRDIYALAKLKAALVPSSSSSTALADWDPAAVSPAHCAFSGVTCDAATARVVSINITSVPLHTGGPLPPELALLDALTNLTIAACSLPGLLPLHPHIPSLPNLRHLNLSNNNLTGPFFFPTSGNTPYFPSLVLLDCYNNNLSGPLPPFGSPHAATLRYLQLGGNYFSGPIPPSYGDLASLVYLGLNGNALSGRVPPELARLAKLEDLYLGYFNQYDGGLPHEFGELGSLVRLDMSSCNLTGPVPPELGGLSKLQTLFLLWNRLQGPIPPELGELASLQSLDLSVNELSGEIPASLGKLRSLKLLNLFRNHLRGDIPAFVAELPDLEVLQLWENNLTGSLPPGLGKKGPLKTLDVTTNHLTGLVPPDLCAGRKLETLVLMDNGFFGPIPGSLGACKTLVRVRLSRNFLSGPVPAGLFDLPDANMLELTDNLLSGELPDVIGGAKIGMLLLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGELPPEIGRLRNLSRLNVSGNSLTGAIPDELTRCASLAAVDVSRNRLSGEIPQSVTSLKILCTLNLSRNAIGGSIPAAMANMTSLTTLDVSYNRLSGPVPAQGQFLVFNESSFLGNPGLCNADNDDACSSSSSSSPAGSGGGLRHWDSKKTLVCLVAVFLALAAAFLGSKKAWEAWREAARRRSGAWKMTVFQKLGFSAEDVVECLKEDNIIGKGGAGIVYHGAIVSSSTGSVGAELAIKRLVGRGAGGDRGFSAEVATLGRIRHRNIVRLLGFVSNREANLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVALEAARGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGAGGNGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGEGVDIVHWVHKVTAELPDTAAAVLAIADRRLSPEPVALVAGLYDVAMACVEEASTARPTMREVVQMLSQPVIAATTADAAQPDDLLVSF* >Brasy1G551600.1.p pacid=40053053 transcript=Brasy1G551600.1 locus=Brasy1G551600 ID=Brasy1G551600.1.v1.1 annot-version=v1.1 MHGANLLHFFFFARTQRRCLPSSHYMLAVPLHFARRRRPKMQYLIRTEAVCQLILLRPRGQGGRERRRSSLRPLPPPPLPETKTLAGPHRKTLKQKIVAN* >Brasy1G222000.1.p pacid=40053054 transcript=Brasy1G222000.1 locus=Brasy1G222000 ID=Brasy1G222000.1.v1.1 annot-version=v1.1 MAAPVDVPSMSAPVDAPFVVASVDAPSMAATACGLAPGDGCSKVASGDGPSKVASGDGPSKVAPGPGLYSEVGQKARDLLYKDFHTDQKFTLTTRAQNGAAITATSTKKNEAIFGDIKTQFKFYNVKVDVKATTDSQVLITTTTEDLGVAGLKKIVTIPFPNQTAGKVEVQYLHDYAGLNVSVGLNAKPLLNLSGVFGNKTLAVGFDVAYDSATGDFTKYNAGVSLTNEDLSAAVMLNNKGDSLTASYYHSVNKETAVGAEVTHSFSSKENTFTFGSQYSLDPLTTAKLRYNNLGMVSTLIQHEWRPKSFLALSTEVDTKAIDKSSKIGLSLVLEP* >Brasy1G256900.1.p pacid=40053055 transcript=Brasy1G256900.1 locus=Brasy1G256900 ID=Brasy1G256900.1.v1.1 annot-version=v1.1 MKMVLKVPMVCRKCKSCILQVVSKIRGVKSLAYDEEKNTLTVIGEVDVVVIVDALRKAKHPATVVTVGDEKKEAEEKKKKDEEEEKKKEKEAEEKKTKECFELMHHCSKVYQPSYCIDDHPVSCTIV* >Brasy1G136900.1.p pacid=40053056 transcript=Brasy1G136900.1 locus=Brasy1G136900 ID=Brasy1G136900.1.v1.1 annot-version=v1.1 MEAWRKNVLCSALSVLLIVASSEVWSVRGMEADGCWNIKEPSFAFCANTARCREACQAGGQLDGRCNAEFPIVWPRCECLAPHCT* >Brasy1G280400.1.p pacid=40053057 transcript=Brasy1G280400.1 locus=Brasy1G280400 ID=Brasy1G280400.1.v1.1 annot-version=v1.1 MDRGRYAPQHGWENNSAPDGYGVINEPDFRAGGSYIGRRYVDEGFPNDRRGAICHDIHDRNMYPPPPSAGTMWSQPRRNFDEEFVTGKDYRRNKRIGSRDRGEFGAEFEDRYQSSHQSREDSYERDHQYGRYSCDSDYERGRRDSSWRRHDSFEHDRERKGLSHERDTSPYARHSRSRSRGRDDRSRSRSRSRSPRGKSRSRSQRDGFYDDNRFDRRRDQDWDERRHDDLVAPSATVVVKGLSQKTSEDDLYQILAEWGPLRSVRVIKERTSGMSRGFAFIDFPTVEAARKMMEGTGDNGLLIDGRQVFFMYSSKPTGGMVGDSLGEEQFTRRRTITAPCDWICTICGCMNFARRTSCFQCNEPRTDDAPPADATSSTHLFGKRGSEQGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGVSQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNLNGNSESQKGASAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPFNESNSKSTGDMANESLKISESNSTKKVVISAPAATVKQSEKTSLHEAVQAAANAALAAEKKEKEKAKEIKLASKTSLLANKKKMNNVLALWKQRNQEGQPAHVALDNKEPSSSVVDKLNNSTSGFGFSLKAKPKSDFGSAKDMNLTAGHNSLGRGTADTQMLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHASSDVGGSDSPSTIIASTSGLMTNAETHTASTPFKTDLSSLGSYASCGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSLGGDNGGLDSTGDYPSRKGSSEMGSMPFPPGVGERSSGEIDNENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSGDVRAGLGSQQKKADPSLEARAGDSYKTIIQKKAIARFREMS* >Brasy1G280400.2.p pacid=40053058 transcript=Brasy1G280400.2 locus=Brasy1G280400 ID=Brasy1G280400.2.v1.1 annot-version=v1.1 MDRGRYAPQHGWENNSAPDGYGVINEPDFRAGGSYIGRRYVDEGFPNDRRGAICHDIHDRNMYPPPPSAGTMWSQPRRNFDEEFVTGKDYRRNKRIGSRDRGEFGAEFEDRYQSSHQSREDSYERDHQYGRYSCDSDYERGRRDSSWRRHDSFEHDRERKGLSHERDTSPYARHSRSRSRGRDDRSRSRSRSRSPRGKSRSRSQRDGFYDDNRFDRRRDQDWDERRHDDLVAPSATVVVKGLSQKTSEDDLYQILAEWGPLRSVRVIKERTSGMSRGFAFIDFPTVEAARKMMEGTGDNGLLIDGRQVFFMYSKPTGGMVGDSLGEEQFTRRRTITAPCDWICTICGCMNFARRTSCFQCNEPRTDDAPPADATSSTHLFGKRGSEQGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGVSQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNLNGNSESQKGASAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPFNESNSKSTGDMANESLKISESNSTKKVVISAPAATVKQSEKTSLHEAVQAAANAALAAEKKEKEKAKEIKLASKTSLLANKKKMNNVLALWKQRNQEGQPAHVALDNKEPSSSVVDKLNNSTSGFGFSLKAKPKSDFGSAKDMNLTAGHNSLGRGTADTQMLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHASSDVGGSDSPSTIIASTSGLMTNAETHTASTPFKTDLSSLGSYASCGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSLGGDNGGLDSTGDYPSRKGSSEMGSMPFPPGVGERSSGEIDNENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSGDVRAGLGSQQKKADPSLEARAGDSYKTIIQKKAIARFREMS* >Brasy1G280400.3.p pacid=40053059 transcript=Brasy1G280400.3 locus=Brasy1G280400 ID=Brasy1G280400.3.v1.1 annot-version=v1.1 MDRGRYAPQHGWENNSAPDGYGVINEPDFRNKRIGSRDRGEFGAEFEDRYQSSHQSREDSYERDHQYGRYSCDSDYERGRRDSSWRRHDSFEHDRERKGLSHERDTSPYARHSRSRSRGRDDRSRSRSRSRSPRGKSRSRSQRDGFYDDNRFDRRRDQDWDERRHDDLVAPSATVVVKGLSQKTSEDDLYQILAEWGPLRSVRVIKERTSGMSRGFAFIDFPTVEAARKMMEGTGDNGLLIDGRQVFFMYSSKPTGGMVGDSLGEEQFTRRRTITAPCDWICTICGCMNFARRTSCFQCNEPRTDDAPPADATSSTHLFGKRGSEQGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGVSQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNLNGNSESQKGASAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPFNESNSKSTGDMANESLKISESNSTKKVVISAPAATVKQSEKTSLHEAVQAAANAALAAEKKEKEKAKEIKLASKTSLLANKKKMNNVLALWKQRNQEGQPAHVALDNKEPSSSVVDKLNNSTSGFGFSLKAKPKSDFGSAKDMNLTAGHNSLGRGTADTQMLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHASSDVGGSDSPSTIIASTSGLMTNAETHTASTPFKTDLSSLGSYASCGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSLGGDNGGLDSTGDYPSRKGSSEMGSMPFPPGVGERSSGEIDNENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSGDVRAGLGSQQKKADPSLEARAGDSYKTIIQKKAIARFREMS* >Brasy1G280400.4.p pacid=40053060 transcript=Brasy1G280400.4 locus=Brasy1G280400 ID=Brasy1G280400.4.v1.1 annot-version=v1.1 MDRGRYAPQHGWENNSAPDGYGVINEPDFRNKRIGSRDRGEFGAEFEDRYQSSHQSREDSYERDHQYGRYSCDSDYERGRRDSSWRRHDSFEHDRERKGLSHERDTSPYARHSRSRSRGRDDRSRSRSRSRSPRGKSRSRSQRDGFYDDNRFDRRRDQDWDERRHDDLVAPSATVVVKGLSQKTSEDDLYQILAEWGPLRSVRVIKERTSGMSRGFAFIDFPTVEAARKMMEGTGDNGLLIDGRQVFFMYSKPTGGMVGDSLGEEQFTRRRTITAPCDWICTICGCMNFARRTSCFQCNEPRTDDAPPADATSSTHLFGKRGSEQGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGVSQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNLNGNSESQKGASAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPFNESNSKSTGDMANESLKISESNSTKKVVISAPAATVKQSEKTSLHEAVQAAANAALAAEKKEKEKAKEIKLASKTSLLANKKKMNNVLALWKQRNQEGQPAHVALDNKEPSSSVVDKLNNSTSGFGFSLKAKPKSDFGSAKDMNLTAGHNSLGRGTADTQMLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHASSDVGGSDSPSTIIASTSGLMTNAETHTASTPFKTDLSSLGSYASCGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSLGGDNGGLDSTGDYPSRKGSSEMGSMPFPPGVGERSSGEIDNENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSGDVRAGLGSQQKKADPSLEARAGDSYKTIIQKKAIARFREMS* >Brasy1G280400.5.p pacid=40053061 transcript=Brasy1G280400.5 locus=Brasy1G280400 ID=Brasy1G280400.5.v1.1 annot-version=v1.1 MDRGRYAPQHGWENNSAPDGYGVINEPDFRAGGSYIGRRYVDEGFPNDRRGAICHDIHDRNMYPPPPSAGTMWSQPRRNFDEEFVTGKDYRRNKRIGSRDRGEFGAEFEDRYQSSHQSREDSYERDHQYGRYSCDSDYERGRRDSSWRRHDSFEHDRERKGLSHERDTSPYARHSRSRSRGRDDRSRSRSRSRSPRGKSRSRSQRDGFYDDNRFDRRRDQDWDERRHDDLVAPSATVVVKGLSQKTSEDDLYQILAEWGPLRSVRVIKERTSGMSRGFAFIDFPTVEAARKMMEGTGDNGLLIDGRQVFFMYSSKPTGGMVGDSLGEEQFTRRRTITAPCDWICTICGCMNFARRTSCFQCNEPRTDDAPPADATSSTHLFGKRGSEQGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGVSQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNLNGNSESQKGASAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPFNESNSKSTGDMANESLKISESNSTKKVVISAPAATVKQSEKTSLHEAVQAAANAALAAEKKEKEKAKEIKLASKTSLLANKKKMNNVLALWKQRNQEGQPAHVALDNKEPSSSVVDKLNNSTSGFGFSLKAKPKSDFGSAKDMNLTAGHNSLGRGTADTQMLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHASSDVGGSDSPSTIIASTSGLMTNAETHTASTPFKTDLSSLGSYASCGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSLGGDNGGLDSRFK* >Brasy1G525600.1.p pacid=40053062 transcript=Brasy1G525600.1 locus=Brasy1G525600 ID=Brasy1G525600.1.v1.1 annot-version=v1.1 MEASRTQKQLAFLLGLLLSTAAAAAASSAPAATVACDCDKPSHSHPPKTKPSFPSPKTGHTKPSPKPKTPAPPVVGPPKRRPVPRPPVVVGPPKGRPTPRPPVYSPPKGPSPIPLPPVVGPPKGPIVAPPVTYPSPPVTTPPVTYPSPPITAPPVTYPSPPITAPPVTYPSPPVTAPPVTYPSPPVTTPCPPPPPPATLTCPVDSLKIGACVDLLGGLVHVGLGDPAVNTCCPLLAGLVELEAAVCLCTTIRLKLLNINLYLPLALQLLLTCGKTPPPGYTCSI* >Brasy1G154000.1.p pacid=40053063 transcript=Brasy1G154000.1 locus=Brasy1G154000 ID=Brasy1G154000.1.v1.1 annot-version=v1.1 MAKEAAGGGGDAALSDSVLRKVLVSYCYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVFRVVDLPSSPAMTPQLYTSSVIPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSAMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLFVPWVFVELPRLRAVGMFEPDFVVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKVAQADEEAGSLLQERESHGERKTDSQS* >Brasy1G492100.1.p pacid=40053064 transcript=Brasy1G492100.1 locus=Brasy1G492100 ID=Brasy1G492100.1.v1.1 annot-version=v1.1 MVPSARARMGAGRKTETYNAATPSNTRWHGDQSFSARNLEEDKLAGVIFGCTHKTINECLSKQLFGLPAGHFTYVKNIKPGLPLFLFNYSDRKMHGIFEAATSGQLAIDQFAWSHDGRTKTQYPAQVRVSTKTQCLPLPENKYKSVIGGNYHKFRHFYFELDHAQTRDLVSLFVPASVHPAPNKRNPSVPPAPVHAISNNQFGVSSHSLDTVPYKLVDQNADNASASRTSKSNFDEEASDWDDLDDGLTEKGIDAVNDDRPHINPVHDEAHGTTAILQKLQEFSLLRQEKAQSSKDVVDSISDKSIPQESPLGATFPKGPSNVTLEGDPLVKDNTSSEQQCGNDELLQIINEIAKKTEAIGKKQIESDQEILVLRKCVRNMKTKLQQLQYQHDKLHMEYSAALLGETRNIVEGPSIFLIGGHNGISWLPSLDSFYPTIDRLIPLRPMSSARSYTGVAALNDHIYVFGGGDGSSWYNTVECYNRVSNEWMACPCLKQKKGSLAGATLNGKIFAIGGGDGYRSFSEVEMFDPALGSWIYSPSMRQRRFTPAAAELNGVLYVVGGYDYNSNTYLQSTERYDPREGLWTQLASMGTKRGSHSVTVLGEALYAVGGHDGDHMVSTVEIFDPRANSWRVSCPISIPRGYACAVTANDNVYLIGGIETNGENVETVEVYNERQGWSIPGYKAIGQRSFASAIVV* >Brasy1G221000.1.p pacid=40053065 transcript=Brasy1G221000.1 locus=Brasy1G221000 ID=Brasy1G221000.1.v1.1 annot-version=v1.1 MAPQHHRPTVVALFLAIALLAGPATVASRPSGEVPSEEQTLIEKINVAFKTARDAANSAPPDDKFLVFQATFDKTLKDSMAGATADAAKAVPKLDAAFRQAYDATIAATPGQKYDVFIAALTEAFRAMAGAVEAHGIKPAAEETKAKIPDAEQKIIDKIDAAFKTAATAANTALPADKFPVFETTFNKALQESLAGMSINGKAYTDYKFIPPLDAAFKEAYASTVAAKPEVKYAVFEAALTKAIGAMAEAEKAAATKPAA* >Brasy1G339300.1.p pacid=40053066 transcript=Brasy1G339300.1 locus=Brasy1G339300 ID=Brasy1G339300.1.v1.1 annot-version=v1.1 MSMNDECLIAGVHFRRAPGFRRRRQRNVSGNGNTSVDKKKSSAPYLLRGLVFLEPEVFDSAPPTSENLGAGALPNRP* >Brasy1G515400.1.p pacid=40053067 transcript=Brasy1G515400.1 locus=Brasy1G515400 ID=Brasy1G515400.1.v1.1 annot-version=v1.1 MAAAAGFGQLVGAAAATLLAAVFLAAVLGRRRRRRRAPVEGSTAPGDGCAVADGEGSAAGDGATDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLRLMELGLQDCVEEIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLIEENGTVKGVQYKIKSGEEVKAYAPLTIVCDGCFSNLRRALCSPKVEVPSCFVGLVLENCQLPHANHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGDMANYLKTVVAPQIPPEIYDSFVAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSSSPDKARDEMRQACFDYLSLGGVCSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGAQLISGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPAAEF* >Brasy1G540200.1.p pacid=40053068 transcript=Brasy1G540200.1 locus=Brasy1G540200 ID=Brasy1G540200.1.v1.1 annot-version=v1.1 MSPPSLCAASCSAPHRACPAPIRRLRRGAVFVSASRAAAAAVSDDLVLRIAEQLEDSASSSPLLDPLRSASALSLLSTPWPTRRSNEAFRFTDISYLRSLPISLPSQTPSPAPAPPPSSDLGSSHIRFSDGLLVSASGAHAAALADLPPGQARDRAAAALAASAEFAHRDLFYDFNAVGASDVAVVYVPEGAKMADDPVHIMFAYSGSDGASMMMSNPRVLVIAEKGAEVAIVEEHFGIEEEDDGACYWANPVVDIVVEEGARVVHSYVQRQSTAAAHTKWTTVQQNTSSKYEFVEVSTGARLNRHNLHIQQLGPETITELSTFHLTSQNKQIHDLHSRLILDHPRGYSRQLHKMIACGTGNGIFDGNIKVNRYAQQTDAGQQTKCLLLSPKAVVNVKPNLQIIADDVKCTHGAAISGDLDPNELFYFQARGVDVKTATDALLFSFGAQVINRIPFKPVEQKALAQLKELLTLSRQSK* >Brasy1G548800.1.p pacid=40053069 transcript=Brasy1G548800.1 locus=Brasy1G548800 ID=Brasy1G548800.1.v1.1 annot-version=v1.1 MGQQSKRTRAPAPHLVPPAPCPLLPSAFPSAYGPGTWMPLRPPPSVPREGSSAQGPCWAPRAEIGGSTALWYTTGNIDDPDPQAWGLDSHPPGGFLSLINHNSSHSQTVSNGTSPQPINIADDTNGGDSARTGKCLQWTKEEDCRLSNYKKNDQYWKDVAAVYNSTTPKDRARLVKQVKDRFGRIKKRVAWFCANWKEANALYASGESDVDLRERAMKTYEADHKEDGPFMYEHCWEFLKKEPKWDAYLERLEDLEPDKRKFSVDDEVGQHFNLDDARDERPVGGKQAKTHV* >Brasy1G486600.1.p pacid=40053070 transcript=Brasy1G486600.1 locus=Brasy1G486600 ID=Brasy1G486600.1.v1.1 annot-version=v1.1 MSRRSCGVVHRRPSQGCPSSLLMKLNRAKRQGETYDSRCALQVLQDSVCRGRFRAPRPCPTAHDAARRV* >Brasy1G055900.1.p pacid=40053071 transcript=Brasy1G055900.1 locus=Brasy1G055900 ID=Brasy1G055900.1.v1.1 annot-version=v1.1 MDPSNRNFTSQDFPNPYLENANTQPSQIPPNYSPPHVAPNYPRSPYPQNFPPSQYMQNSPPSHLLQNINPFGVPTNYQHIHYGHPTSSYQSMLQQQAYMNSPSGVFGAAGIRASSSHPPATIIHTDPAASSHGVESITPHSRSQQKEPAQNIESSGSSPEEDERRGQKNWTEEENERLAKSWVNNTTDPVDGNAKKSDFYWKSVTEEFNNNRPADARKRSSKQCKSHWGTINKATVLFNGVYERMKNTYASGQCDNMLMHKTREMYKSENEQKLFTMEYLWDLLKDKPKWRRVYVEKVKSKRAKVSESGAYTSSSNPEAEGEDANLNRERRPEGQKAAKAKKGKEKGKPQPSPKKLEHMKLLNDAVATRSSALAATAEAAKEKTRMNKLQTYLDLVEKDTSEFNEARLKRHEQVLDQLQQELFG* >Brasy1G045300.1.p pacid=40053072 transcript=Brasy1G045300.1 locus=Brasy1G045300 ID=Brasy1G045300.1.v1.1 annot-version=v1.1 MEYLGSNAAALPRHSRLSRRRAWKASGGGGVCTSLVNAALRTSSSPLPQLVAGPHFSLLFSSRAKLGFPNRPESAPARPRAGRHGPPADPPREPPSPRPRWEPRLRSRRQPAVADTPPGQPQPMRAWSVPHRSAAAARLLWIGLLLPAVLRAQHSQLPSVPAPCMARERYWQDRLRLACGHPVDDVVGASVDREKMKMEHSNTYIEEIGNSTRA* >Brasy1G543300.1.p pacid=40053073 transcript=Brasy1G543300.1 locus=Brasy1G543300 ID=Brasy1G543300.1.v1.1 annot-version=v1.1 MGKKKRNRRTYAEHAQGGGGEPHKKRNKPIEEAGGTDRSEGARASTQGSGLSAPAAASCTRREAEEHGGALDGATGRTRLCTHNTYINLSLVSPGYHRPREKPYMGRQDGHAQTDGADKLRRRRRGMFQLRPRRLNSQGGGGDGKPPVSTATTRMAPDTRPTISSYQANIFDGEEPHKAKERGGALREEPARQAAASAQGGAGFAGHGDPADHGSRTGGHLYKHAQDQPAINTNIHGTAEVTQTCIPTPTCTSGMALTTELARGQEVAINRHQHPWASPEPAVDSLPDAKELAT* >Brasy1G192500.1.p pacid=40053074 transcript=Brasy1G192500.1 locus=Brasy1G192500 ID=Brasy1G192500.1.v1.1 annot-version=v1.1 MSLLERRSSVRRSGSMAREENRGPPADQAGGDQGTGPSDMDMMKEKFAKLLLGEDMSGSGKGVPSALALSNAITNLAASVFGEQRKLEPMDPERKARWKKEVGWLLSVSDHIVEFVAKKQVLPNGVEMEVMGTQQRTDLQANVPALRKIDTMLVDYLDNFKDRTDFWYVKRDSATESEKADSQRGDEKWWIPIVKVPPNGLSPASRAWIQHQKELVNQVLKAAMAINANCLMEMAIPECYLESLPKNGRASLGDALYRIITDVEFDPDDFLSTVELNTEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSALEIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVIIADRVASEKAKKGMPPGSAQATEAGAEGLDGGMADSRTLLDFMGWTGDAEGKGDDKSPAAPEQAQDDGRLMKLPNIMTNLKNTYMDVLGGHRSPPGRT* >Brasy1G235900.1.p pacid=40053075 transcript=Brasy1G235900.1 locus=Brasy1G235900 ID=Brasy1G235900.1.v1.1 annot-version=v1.1 MALLSATSPAKTSRLSLFLHESSSSYSAQQHHHQSGGKRLKRARARPCAAVMRPPPDAAQTAVAAEAAPGKGKRPRVLVAGGGIGGLVFALAARRKGYDVTVFERDISAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDCHVVDFKDDGNKVTAILEDGREFEGDLLVGADGMWSKVRKALFGQTDPAYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFSGWCDNVIDLLNATDEEAILRRDIYDRPPTIDWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWQESIKSRTPVDIVSSLRSYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSSKLEGRPLSCRLSDKANDQLGQWFQDDDALEQAMGGEWYLFPVSSGNGSASQPIRLIRDEQRTLSIGSRPDPNNSDSSLALPLPQISETHATITCKNKAFYLTDLGSEHGTWFTDNEGRRFRLPPNFPVRFHPSDAIEFGSDKKAMFRVKVLNTLPYESARSGGQVLQAA* >Brasy1G504400.1.p pacid=40053076 transcript=Brasy1G504400.1 locus=Brasy1G504400 ID=Brasy1G504400.1.v1.1 annot-version=v1.1 MQLPPVYQLLLERYVKIQQCPDCGSVPDEPALCLLCGKLCSPSWKSCCRTGKCLNHSSQCGAGVGIILLVRKTTILLPQSAHLVFWPFPVP* >Brasy1G197600.1.p pacid=40053077 transcript=Brasy1G197600.1 locus=Brasy1G197600 ID=Brasy1G197600.1.v1.1 annot-version=v1.1 MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGIAQANHRLATNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSVAGAAIIYEVQRSARSEARKEEIRRQEVEAIKKKVEEYDREVQIMKQRLAEAQRCTGGWIRLPWILSFGPAQPAAAAQPGGTQQPTAA* >Brasy1G233500.1.p pacid=40053078 transcript=Brasy1G233500.1 locus=Brasy1G233500 ID=Brasy1G233500.1.v1.1 annot-version=v1.1 AYFQHLTSQLQNFIASFNSLTGSIPDTIANLSSLTKIALDGNQLSGAIPVSITTLNNLQELNLANNTIAGGIPEEISGLTRLVGLYLDKNQLYGSIPSSVGNLSELQYMSSSLNALSSTIPLSLWHISKLVSLNLSYNMLSGPLAMDVSQVKQIAQMDLSSNLMTGGLPDSLGRFQMLTYLNLSNNSFHEQIPSSFGGLVSIETMDLSYNSLSGSIPASLANLTFLTSLNLSFSRLDGSIPDSGVFTNITLQSLRGNNALCGLPRLGISPCQSNHRSQESLIKIILPIVGGFAILVTCLCVLLRTKIKKWSIPSESSIINYPLISFHELVRATTNFSESNLIGSGNFGKVFKGQLDDESIVAVKVLNMQHEGASVSFDVECRALRMARHRNLVRILSTCSNFEFKALVLQYMPNGSLDSWLHSSNSQQCLGFLKRLEIMLEVAMAMEYLHHQKNEVVLHCDLKPSNVLLDEGMTAHVADFGIAKLLLGDNNSVALTSMPGTIGYMAPEYGSTGKASRMSDMFSYGIMLLEVFTGKRPTDPLFSGELSLWQWVNEAFPSKLIDVIDHKILSTGSRSCFHADNSTLQEQSTILNTCLASVIELSLRCSSTIPDERTPMNNVVVKLNKIKNTAT* >Brasy1G416600.1.p pacid=40053079 transcript=Brasy1G416600.1 locus=Brasy1G416600 ID=Brasy1G416600.1.v1.1 annot-version=v1.1 MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDSDADYICRKVLNMRLFPNEKTGKAWDRSVMQQNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYAALVEKFQKSYTNDTVKDGIFGAMMKVSLVNDGPVTMQVDSPSLQDSAQSSNGDAAFVRDGEAAVPNEIL* >Brasy1G193700.1.p pacid=40053080 transcript=Brasy1G193700.1 locus=Brasy1G193700 ID=Brasy1G193700.1.v1.1 annot-version=v1.1 MDKATSIDAQLRLLAPNKLSEDDKLVEYDALLLERFLDILQDLQGQGIRETVQECYELAAEYENKLDPKQLDEIGNLLARLDGGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVGPLKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPYEKQELDEALQREIQAAFRTDEIRREPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPSVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRSKADQLHRSSRKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERSRHLLASGFSEIPDEAIFTDVEQFLEPLELCYRSLCACGDHGIADGSLLDFLRLVSTFGLSLVRLDIRQESERHTDVMDAITTYLGVGSYREWPEEKRQEWLLSELNGKRPLYGPDLPKTNEVAEVLDTFHVLAELPSDSFGAYVISMATSPSDVLAVELLQRECHVRKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAGWQLYKAQEELIKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMHPPISPKQEWRALMDEMAVIATEEYRSVVFHEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGRIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDISNLQILQQMYNEWPFFRVTLDLVEMVFAKGDPGIAALYDKLLVSDDLWSFGEHLRANYEETKQLLLQVIL* >Brasy1G250200.1.p pacid=40053081 transcript=Brasy1G250200.1 locus=Brasy1G250200 ID=Brasy1G250200.1.v1.1 annot-version=v1.1 MATLAAYFGIGKQRHRGLDDARMNLEVIKHCAAVLLLEATLPGLLAGDAAAAALADGAVTRRRASHRSTGSSSSDSDSSSSSSPATATAAAGVIQKGNSCKKRDIMGKVVVKQQQKPKLQGAAGSGGATMATAAARRRPIAATPTPAFSMILRHSRAIIR* >Brasy1G529700.1.p pacid=40053082 transcript=Brasy1G529700.1 locus=Brasy1G529700 ID=Brasy1G529700.1.v1.1 annot-version=v1.1 MASSYNYPATTSPATTTNPSFPAPSAPPLYPTLTMADLAPVEIPSSPPTPDGAPPPSEDVLLRLPGAQLHLIDRRRSHPLAAGDLSLRRIRAGDASLAATAILGPIQWPLARDVAAVKLDPRHYSFSLAVPASPDDPSPDPLHYGLTLSAADPRLDAVLAAYTSFSVHSVAGSEALAGGARGEVEAAAYWTAVAPNVEEYGGAVAKAIATGAENVAKGIIWCGVMTVDRLRWGNEVLRKRIQPGDTDAEVSPEMLRRIQRVKKVTKMSEKVAAGILSGVVKVTGYFTSSLANSKAGKKFFSMLPGEIVLASLDGFGKICDAVEVAGKDVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAGAADLRAKSSKSK* >Brasy1G224900.1.p pacid=40053083 transcript=Brasy1G224900.1 locus=Brasy1G224900 ID=Brasy1G224900.1.v1.1 annot-version=v1.1 MSPATTRISTAVVMAMILAAAVERAEAGQNCMCECVKLCMRTHIPAMDGCKGKCRENSCIESCSTACVKKGFPKPPNEGIPTCEIEPLSADEQHMLRH* >Brasy1G439100.1.p pacid=40053084 transcript=Brasy1G439100.1 locus=Brasy1G439100 ID=Brasy1G439100.1.v1.1 annot-version=v1.1 MAYRHGRDRGGRGGGRVGLGDERDSGGRRDGYTDGGWTYPGHGNRGGNGNGSRNHRERQSRGGGSRNQDGYHHASGRNGGGDNGGRGDDRQGANAAETAGKKTAQHEDAGSSSSGPVDPNIGPSEVVRVKLLVNHFTVKFEESTMFHYGIKLDQDSPGASGTGLPNADNFAKAELVKVLRRPPHSLTVAYNGMGRLFTFAELPEGPFTVKVGSRAYSASAKLENKVSLSKLSERPVPEYFSQGLDSIVREASSLGKIIVGQAFYSPKPDLEVPGNEADPNTDQPSAVPPVALSGTKQTLKLTNQGPILCVDYSVMDFCKKGGSVLSLVKDLVKRLDGTILDIHTTLGEKQLVHLERHLKGLYVTLNYQKSPEGKSDGTTARKYKVHGLTKQLAHQITFPDFKSGDQRKLLEYYCQQYGKVIEYKMLPCLSLSKNSNRPNSVPIELCSLHEWQRYPKESSRKNSNQQPKNRPPELSKRKAEILRMVKDVDGPCRGKGGEQFKISLGEQMTEVTGRILPPPMLKLRGFQGNSYRLSIDRQRQPKCQWNITRKKVADGIRLEFWGIVDFSAGSSLSRHWQQALDRNTFIDHIVWKCDELGIPMAGEPCYVEASEMSVLSDAGELYKVLSRAKQFVEKKMKQKLQLLFCPMSEQHPGYKTLKQICEMQLGIQTQCLLSQVANKDKGRDQYMSNLALKINSKLGGSNVQLSDGLPKMAGSHFMFIGADVNHPSPNDNLSHSIAAVVASMDCPGASKYVPRIRAQNNRCEEILKLGQMCKELIQVYEKKNGAKPQKIIYFRDGVSDKQFEMVLEKELIQLEDMLKALKEGYSPTITAIVAKKRHHTRLFPKDVGQKDKDKDRNVLPGTVVDTDVVNTPDQDFFLCSHDGLHGTSRPTHYHRLKDDHGFEPVDLQKLVYNMCFLFARCTKPVSLTAPVKYADLAAYRGRDYYDIMKTEPQHSELLKKTDGFPIPLHVDLEDRMVFI* >Brasy1G472600.1.p pacid=40053085 transcript=Brasy1G472600.1 locus=Brasy1G472600 ID=Brasy1G472600.1.v1.1 annot-version=v1.1 MHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKPLLMQIRLFHDGFAVKGTLLVDKRLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHADMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPHNLRVKKYPHHMERKARDECHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTEECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVMRSNAEARTCGFAWKVGGHALCQLHAIKRGGDTILCSRSVLRDAFVKDRRGT* >Brasy1G232200.1.p pacid=40053086 transcript=Brasy1G232200.1 locus=Brasy1G232200 ID=Brasy1G232200.1.v1.1 annot-version=v1.1 MRPAPALAGGGRTLASMLSSTEWMLPSPATQVHTISVLPSRSQSPSAAAPQFAFSNLTTASKSSGGKGDEQGIPRFHVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVTCGGCQSAHAAAVAVHCTEWGIKPHLLLRGEKLDVPTGYNLISLMFGNVKYASRSVYAHRDEMLYEHAKTVAGGSGTVLWADDIVRDDLAVVEENVCGNDSRRVVIVKEGAGTVQALLGVMRLVEHLSNFSSFQKDEEVHIVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEHEKSLISDFKGLCHEDCRDTVWTDGLVHWVDRFSPRRFGKVLGGEIATCRQIAQQTGILLDPVYTLSAWEQAVDLCSGSNRGTKVAMIHTGGTLGLFGLAQRYPQHFAANAQS* >Brasy1G213600.1.p pacid=40053087 transcript=Brasy1G213600.1 locus=Brasy1G213600 ID=Brasy1G213600.1.v1.1 annot-version=v1.1 MDAGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDAPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGSARDLIPRMLVVDPMKRITIREIREHPWFVAQLPRYLAVPPPDTAQQVKKIDEETLGKVISLGFDKNLLVESIHNRLQNEATVAYYLFLDNKHRTTSGYLGAEYQEAMDSSFSPITPSETQSSAHGNRQQLYMESPVGLRPHFPAERKWALGLQSRANPREVMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPSQENMNRANYNFSVEPIETDDLGDKLNLIKFEIQLYKTRDEKYLLDLQRASGPHLLFLDLCAAFLAQLRVL* >Brasy1G213600.2.p pacid=40053088 transcript=Brasy1G213600.2 locus=Brasy1G213600 ID=Brasy1G213600.2.v1.1 annot-version=v1.1 MDAGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDAPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGSARDLIPRMLVVDPMKRITIREIREHPWFVAQLPRYLAVPPPDTAQQVKKATVAYYLFLDNKHRTTSGYLGAEYQEAMDSSFSPITPSETQSSAHGNRQQLYMESPVGLRPHFPAERKWALGLQSRANPREVMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPSQENMNRANYNFSVEPIETDDLGDKLNLIKFEIQLYKTRDEKYLLDLQRASGPHLLFLDLCAAFLAQLRVL* >Brasy1G213600.3.p pacid=40053089 transcript=Brasy1G213600.3 locus=Brasy1G213600 ID=Brasy1G213600.3.v1.1 annot-version=v1.1 MDAGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDAPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGSARDLIPRMLVVDPMKRITIREIREHPWFVAQLPRYLAVPPPDTAQQVKKIDEETLGKVISLGFDKNLLVESIHNRLQNEATVAYYLFLDNKHRTTSGYLGAEYQEAMAILTCCLASRTLHSHP* >Brasy1G213600.4.p pacid=40053090 transcript=Brasy1G213600.4 locus=Brasy1G213600 ID=Brasy1G213600.4.v1.1 annot-version=v1.1 MDAGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDAPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGSARDLIPRMLVVDPMKRITIREIREHPWFVAQLPRYLAVPPPDTAQQVKKATVAYYLFLDNKHRTTSGYLGAEYQEAMAILTCCLASRTLHSHP* >Brasy1G473000.1.p pacid=40053091 transcript=Brasy1G473000.1 locus=Brasy1G473000 ID=Brasy1G473000.1.v1.1 annot-version=v1.1 MDLPLALPALILLLLPLLAAALPALLVALRLRRRRRHKPRLTLPEPRAPAQEEPSDEGREEKTRRRRAKRKQQQQHQRKGGDGAAAEGGGDEALPPRRPRFPLESVAGALQRRINARYDDLARASQGGQSLTVDQVRDFLNCLIDARNELLQKSETTQRSITLKKAMLSHTRRSSYDQHRLSEQVDKLESNHERLKKDANVYDFLQARLQLSESYKLMVELNDVMEKEAQEQALANEVPEMSFDELLAKEKEDTAFWWRDGKLRSISDSK* >Brasy1G174400.1.p pacid=40053092 transcript=Brasy1G174400.1 locus=Brasy1G174400 ID=Brasy1G174400.1.v1.1 annot-version=v1.1 MNPMQVKTICSSVSIYAPVPKHSIHRLKLPTAAMAAAASVVFFLAVALAAASNGDAALVEHTFVVSQVKLNRLCNDTLMTVVNGQFPGPAIEVTEGDSVAVHVVNMSPYGLTIHWHGVLVQMNCWADGAGMITQCPIQPNNNFTYRFDVVGQEGTLWWHAHVASLRASIHGALIIRPRASLYPFPRPDKEIPIVIGEWWEMDLVQLDRRLRNGLLFDMPSAATINGKPGDLYNCSGAIKESNILSVEHGKTYLLRIVNAVLNSEYYLKIAGHKFTVVGADANYVKPYSTDVIAIAPGETVDALLVADAHPGGRYYMVAKANQPPKPAIQISRFISRATVQYNNGPESKQEDEADPIIAPEMPDEHDQITSFYFHGNLTRLQLLPRPVPANVDERLFFALDSGSFCDEGGSLPCIKTTNMTIHKFRNMVGTINNVSFQLPATTPLLQAHYYNNVSSIDTLRDMPDRAPRMFYFSETIEPTSKATSVRRLRHNATVEIVFQSPLLGDTFSNPMHLHGYNFFVLAQGFGMYQAEKDVKRYNLVDPPVRNTVQVPIFGWAAVRFVANNPGVWFLHCHYGHHSSSGMATTFLVENGPTLDMALPPPPEDLPACSENYNSRLAYE* >Brasy1G174400.2.p pacid=40053093 transcript=Brasy1G174400.2 locus=Brasy1G174400 ID=Brasy1G174400.2.v1.1 annot-version=v1.1 MNPMQVKTICSSVSIYAPVPKHSIHRLKLPTAAMAAAASVVFFLAVALAAASNGDAALVEHTFVVSQVKLNRLCNDTLMTVVNGQFPGPAIEVTEGDSVAVHVVNMSPYGLTIHWHGVLVQMNCWADGAGMITQCPIQPNNNFTYRFDVVGQEGTLWWHAHVASLRASIHGALIIRPRASLYPFPRPDKEIPIVIGEWWEMDLVQLDRRLRNGLLFDMPSAATINGKPGDLYNCSESNILSVEHGKTYLLRIVNAVLNSEYYLKIAGHKFTVVGADANYVKPYSTDVIAIAPGETVDALLVADAHPGGRYYMVAKANQPPKPAIQISRFISRATVQYNNGPESKQEDEADPIIAPEMPDEHDQITSFYFHGNLTRLQLLPRPVPANVDERLFFALDSGSFCDEGGSLPCIKTTNMTIHKFRNMVGTINNVSFQLPATTPLLQAHYYNNVSSIDTLRDMPDRAPRMFYFSETIEPTSKATSVRRLRHNATVEIVFQSPLLGDTFSNPMHLHGYNFFVLAQGFGMYQAEKDVKRYNLVDPPVRNTVQVPIFGWAAVRFVANNPGVWFLHCHYGHHSSSGMATTFLVENGPTLDMALPPPPEDLPACSENYNSRLAYE* >Brasy1G576900.1.p pacid=40053094 transcript=Brasy1G576900.1 locus=Brasy1G576900 ID=Brasy1G576900.1.v1.1 annot-version=v1.1 MGAVAGDEVLSSELDEYHINTLKDIGLKIIQKCGGLPLAVKVMGGLLCQREMQRRDWEQVLDDYKWSMSKMPEDLNNAVYLSYEDMPSYLKQCFLYYSLLPKSSEFIVFEVVAMQIAEGFIPGNSDDDLEEMGIRYYKELISRNLIEPDKSYINQLVCTMHDVVRSFAHYIAREEALVAQNGEMDILIKLKSQKFLRLSIETGGLQSGELDWKSLREQQAVRTLMTTIQIKMKPECADAAALLESLHKLKHLRYLALLSTDISVLPGNISKMKLLQFLKLTGCKKLVNLPDSIVKLGKLRFLWLPEVSMVPRGFRSMTNMRRLGRYRAHMDGDWCRLLELENVSTASSAAKAKLGEKIHLTELLLHCTSKLGHDGSAKHKEVVSEEEQQRIKKVLDELCPPPGVEYLEITGYLGQQLPNWMMSTPTVPRHINLKTLFFRDLACCQLPYLEFLQVNRAPCIKRVETEFLHPSQAAATPFPRLQKIELAGMVEWEEWEWEEQVQAMPRLEDLLLKQCKLGRVPPGLASNARALRKLYIHQVKQLSYLEKFPSVLDLIVAGCPHLDRISNLRKLQKLTITDCTKLKVVKDVPALQRLVLEDHEMETIPEYMRDVNPRHLELKCSLMLVTSIAAGQSGPEFAKFSHVEHVKARGAYESVFCERVGTYPVHPSRPRIHRCLGIQDKKYIWPVVNLVEQ* >Brasy1G394700.1.p pacid=40053095 transcript=Brasy1G394700.1 locus=Brasy1G394700 ID=Brasy1G394700.1.v1.1 annot-version=v1.1 MEPEGVRHRTVEVARGVRLHVAEAGPEDGPAVLLLHGFPDLWYGWRHQMAALAARGFRAVAPDLRGYGDSDAPPDAGSYTTFHVVGDLVALIADLGQPQVFVAGHDWGAIVAWQLCLLRPDLVRALVNLSVAYHPRRSEGSPLQAVRALCGEDHYMCHFQKPGVAEAEFALPDMRHLFKKVLGMRKAAPLILPKDKTFFDSLDSDGTCPAWLSEEDISYYADKFEKTGFTGGFNYYRCMDKNWELSAPWTGAPIKVPTKFIVGDLDLTYNTPGVKDYIHKGGLKTMVPNLDDVVIMEGVGHFINQEKPNEVSDHICEFFSKF* >Brasy1G394700.2.p pacid=40053096 transcript=Brasy1G394700.2 locus=Brasy1G394700 ID=Brasy1G394700.2.v1.1 annot-version=v1.1 MEPEGVRHRTVEVARGVRLHVAEAGPEDGPAVLLLHGFPDLWYGWRHQMAALAARGFRAVAPDLRGYGDSDAPPDAGSYTTFHVVGDLVALIADLGQPQVFVAGHDWGAIVAWQLCLLRPDLVRALVNLSVAYHPRRSEGSPLQAVRALCGEDHYMCHFQKPGVAEAEFALPDMRHLFKKVLGMRKAAPLILPKDKTFFDSLDSDGTCPAWLSEEDISYYADKFEKTGFTGGFNYYRCMDNPCLFC* >Brasy1G564200.1.p pacid=40053097 transcript=Brasy1G564200.1 locus=Brasy1G564200 ID=Brasy1G564200.1.v1.1 annot-version=v1.1 MAGTTKKLIKSLAAAMALFLLVAMAGTADAADAGFVSRTCNKTKNATQCISVLSADPESASKATTERDLAGIALRLAIATAGDAATAAIDELAKGIGKGTPLGDALGACRGAYFNAAGVLKLDARQSFDGGDYAVAAQLVAGAGAAGQECDSAFPFVGVAPAPELMKEVDRDMTRRCAVAEELIELLVVANPGPPPAA* >Brasy1G230900.1.p pacid=40053098 transcript=Brasy1G230900.1 locus=Brasy1G230900 ID=Brasy1G230900.1.v1.1 annot-version=v1.1 MDGVAIHTTPRKLVVLYPSPGMGHLVSMIELGKIFAARGLAVTIVVIDLPHSTGGATEAFLAGVSAANPSISFHRLPKVTRVSNPHLRDFLAAVASPEVLIVDFFCNVARDVASELGIPIYFFFTSGAAVLAFFLHLPALHAQSTASFRDMGEAPVHVPGIPSFPATHTMLPIMDRDDAAYTRFVGVVSDLCRSQGIIVNTFGSLEPRAVDAIVAGRCTPSGLPTPPVHCIGPLIKSEEVGVRRDDECISWLDTQPKDSVVFLCFGSLGRFSAKQIMEVAAGLEASGQRFLWVVRTPPMPSQDPAKKLEKLPEPDLDALLPEGFLDRTKGKGLVVKSWAPQRDVLAHDAVGAFVTHCGWNSALESIVAGVPMLAWPLYAEQRMNRVFLEKELGLAVAVEGYDKEVVKAEEVAAKVKWMMESDGGRVLRERTRRAMRQAKEAMREGGEYEATLARLVDGWMLA* >Brasy1G436900.1.p pacid=40053099 transcript=Brasy1G436900.1 locus=Brasy1G436900 ID=Brasy1G436900.1.v1.1 annot-version=v1.1 MDTGLLQQGSSSFLAPDGAAWGASQQQKRQRCEGSSNDQVGSSTSDVQMSESEQQDFDYGENEEEDYYMDDDDGDNEDDDESEYEFDAADFNQQLADKFDDLDLPPGVEATVPWLQKLAAAEEQDDMSSLKTEDEVDKKYKSFRQFYTVEKFSDHHYASTSVGKTSREWAKRIQHEWKLLEKDLPASIYVRVAEDRMDLLRAVIIGPKGTPYHDGLFFFDAQFTSSYPSAPPTVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGSGCEKWNPTQSTMLQVLVSIQALILNEKPYFNEPGYAGSANTPTGQQSSIEYNKNTFLHSCRTMLYSLRRPPEHFADLVAGHFREHGRTILAACKHYMEGNLVGSVVPEEEESECNDTGASSSSSAPKQDPIKTDIFGRRNQFYTNLATLFEDLLMEFNVKGADTRKFVEEKRKKNQPAA* >Brasy1G579900.1.p pacid=40053100 transcript=Brasy1G579900.1 locus=Brasy1G579900 ID=Brasy1G579900.1.v1.1 annot-version=v1.1 MAMVLDAFASYVQNMLTEIATEEVHMLLGVKGEIEKMGARLGDLKNFLADADRRNITDQSVQEWVGQLKRAMYEATNILDLCQLKAMEQGPSTVDVGCFNPLLFCLRNPLHAHDIGRRIKELNQSLDAIKTRSDAFSFINLGSYEDRSSKVRAGNDVHVRESTGELDRSGVVGEKIKEDTRKIVETMLTEKQGNNIMAVAIIGVGGIGKTTLAQKVFNDEAIQREFDKKIWLSINQVFSEAELLRRAISEAGGDHRLAGNAKATLQRTLKDLLDGHKTLLVMDDVWNHEAWECVLKTPFVNASAPGSRVLVTTRDERVARGMKVVLPYHHIDKLEDEDAWSLLHKQVVSSEIDGRDIDMLKDIGLKIIAKCGGLPLAVKVMGGLLCQREKKRGDWQMVLNDSMWSVSGMPEELNNALYLSYEDLPPCIRQCFLYYSLLPKSTKFFEDDIIGMWISEGFLHGTSDDLEELGRKYHKELILRNLIEPDAGYVDQCVCNMHDVVRSFAQYVARDEALAAHGGETGIVSKLSAQKFLRLSLESKGSEADELEWSSLQNQKSLRTLISIGHANMKLGDSLGDFSYLRTLHIDSVNDVALAESLDQLKHLRYLSIQNSDISTLPDNIGNMKFLQYISLFGCGTLVKLPDSIVKLRQLRFLRLTETSIEAGIPRGFRGLTNLRNLCGFPTHGGGDWCSLEELGPLSQLRILAIEGLQNVCTTSFAAKARIAEKVHLTLLNLCCTTRLGDDGLVKEEEDISEVEQRHIEEVFDELCPPSCLDNLDIEGYFGQRLPRWMMSSSVVPLKSLRILMVEDLACCTQLPSGLCQLPCLQLFQIRRAPSIKRIGPEFLQMYHQDSHHPSQAAVVAFPRLRRMYLLGMVEWKEWEWEEKVQAMPALEQLVLENCKLRSVPPGLASQANALKTLSVKHVKYLNSLDNFVSLVELEVSCNPDLEIITNLPKLQKLLVSKCPKLKILEGVPTLQRLACWRITT* >Brasy1G579900.2.p pacid=40053101 transcript=Brasy1G579900.2 locus=Brasy1G579900 ID=Brasy1G579900.2.v1.1 annot-version=v1.1 MAMVLDAFASYVQNMLTEIATEEVHMLLGVKGEIEKMGARLGDLKNFLADADRRNITDQSVQEWVGQLKRAMYEATNILDLCQLKAMEQGPSTVDVGCFNPLLFCLRNPLHAHDIGRRIKELNQSLDAIKTRSDAFSFINLGSYEDRSSKVRAGNDVHVRESTGELDRSGVVGEKIKEDTRKIVETMLTEKQGNNIMAVAIIGVGGIGKTTLAQKVFNDEAIQREFDKKIWLSINQVFSEAELLRRAISEAGGDHRLAGNAKATLQRTLKDLLDGHKTLLVMDDVWNHEAWECVLKTPFVNASAPGSRVLVTTRDERVARGMKVVLPYHHIDKLEDEDAWSLLHKQVVSSEIDGRDIDMLKDIGLKIIAKCGGLPLAVKVMGGLLCQREKKRGDWQMVLNDSMWSVSGMPEELNNALYLSYEDLPPCIRQCFLYYSLLPKSTKFFEDDIIGMWISEGFLHGTSDDLEELGRKYHKELILRNLIEPDAGYVDQCVCNMHDVVRSFAQYVARDEALAAHGGETGIVSKLSAQKFLRLSLESKGSEADELEWSSLQNQKSLRTLISIGHANMKLGDSLGDFSYLRTLHIDSVNDVALAESLDQLKHLSLFGCGTLVKLPDSIVKLRQLRFLRLTETSIEAGIPRGFRGLTNLRNLCGFPTHGGGDWCSLEELGPLSQLRILAIEGLQNVCTTSFAAKARIAEKVHLTLLNLCCTTRLGDDGLVKEEEDISEVEQRHIEEVFDELCPPSCLDNLDIEGYFGQRLPRWMMSSSVVPLKSLRILMVEDLACCTQLPSGLCQLPCLQLFQIRRAPSIKRIGPEFLQMYHQDSHHPSQAAVVAFPRLRRMYLLGMVEWKEWEWEEKVQAMPALEQLVLENCKLRSVPPGLASQANALKTLSVKHVKYLNSLDNFVSLVELEVSCNPDLEIITNLPKLQKLLVSKCPKLKILEGVPTLQRLACWRITT* >Brasy1G008200.1.p pacid=40053102 transcript=Brasy1G008200.1 locus=Brasy1G008200 ID=Brasy1G008200.1.v1.1 annot-version=v1.1 MEEYWQDDFGFGQELMMRELLDEAAAVAPSPVDAGAAAGYSSYSSKDDEEEEEEYCRSAARRRPEQQSSMVNKLISAVYSGPTLSDIESALSFSGAGAAADLLADGRKYSSTPVGFSPEKMMSKMENKYTMKIKTCGNGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERSTEEADTLLVTYEGLHLHYTYSHFLQAHHHPTQAQPQAQAAAGSKKPKLRSAAAGDITTAPAATATTTTSLPPSMAAGDITITPAAAASGGDSLQWSAAAPPNCSYFLDRSGDIVGDGEDDQGMITSVVNNVGSGLLEDMVPLLVRRPSSGNDDSATTTTTNSSSTSTLGSPAGAGAWAAPSPSTSSSVSWNPASPYIDMAILSNIF* >Brasy1G137200.1.p pacid=40053103 transcript=Brasy1G137200.1 locus=Brasy1G137200 ID=Brasy1G137200.1.v1.1 annot-version=v1.1 MAAAYPVERGASDGHAGKGPALSEAGQGDAWRPDLEQHGDGHKEEGHGDEQRPRPTRGRCWRRRYGNLAPPTPQYILNTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELREKKAMRCYVLVTHPPMLKLKFKMKMRQAHLLSYIIFHRN* >Brasy1G014900.1.p pacid=40053104 transcript=Brasy1G014900.1 locus=Brasy1G014900 ID=Brasy1G014900.1.v1.1 annot-version=v1.1 MCGFVRALRQESKGRKSMASSSSPSWSELPPELLGLIASMISGVGMEPSAADLARFRAVCRSWHQAVRDHAPRSRWLPWVVFPDGEIFTTTDGNWHHITPLPENAVCIGSSDAWLALDCTDANKRHTYLLHDPFTGRTVPLPELGAIIGIVPKSFEIRKVLVRSTPDDLVVFMTDRLSCPIILIRPGKGVWLPKPRATTFSRIIDVAFLGDILYGITQDEDLVSLHVSFDGDGVPMVTGVERVIRHPVHDDDSDEWSDEDDNDGECEEEANDEDEGGDNDEALNTEGEDDDDRIVEAIDYKDEGDYLLSTLWYFVESRGKLLMVRRQLQWPACGLNFTVQLDVFEANIDTGAWVPVEGGFDGHAIFMSRLFCKSVPAHGEEMKEDMILFIDTGEVVNNQSYTITTPRTAFDIYSSTWLFPPKLVV* >Brasy1G416200.1.p pacid=40053105 transcript=Brasy1G416200.1 locus=Brasy1G416200 ID=Brasy1G416200.1.v1.1 annot-version=v1.1 MEEQNERTDRPEIAAGENRSTGPPAPSTVPENASKKKQKSTQARVATFSPPPPPRDAPPCRGAAVAAPAPRRRYRGPAPGRRRRRAALVGALPIWPLHRLREPSPPGPCSAAPLRHHLSLATRRLASPRLDHRAAGALNSLPINNEQNHIYVQHQPVRLLWRHLHDVFAHVVVCASPSDQMSR* >Brasy1G416200.3.p pacid=40053106 transcript=Brasy1G416200.3 locus=Brasy1G416200 ID=Brasy1G416200.3.v1.1 annot-version=v1.1 MEEQNERTDRPEIAAGENRSTGPPAPSTVPENASKKKQKSTQARVATFSPPPPPRDAPPCRGAAVAAPAPRRRYRGPAPGRRRRRAALVGALPIWPLHRLREPSPPGPCSAAPLRHHLSLATRRLASPRLDHRAAGALNSLPINNEQNHIYVSFSFDLVLSCFLHRFNVGCTAS* >Brasy1G416200.2.p pacid=40053107 transcript=Brasy1G416200.2 locus=Brasy1G416200 ID=Brasy1G416200.2.v1.1 annot-version=v1.1 MEEQNERTDRPEIAAGENRSTGPPAPSTVPENASKKKQKSTQARVATFSPPPPPRDAPPCRGAAVAAPAPRRRYRGPAPGRRRRRAALVGALPIWPLHRLREPSPPGPCSAAPLRHHLSLATRRLASPRLDHRAAGALNSLPINNEQNHIYVSFSFDLVLSCFLHRFNVGCTAS* >Brasy1G364600.1.p pacid=40053108 transcript=Brasy1G364600.1 locus=Brasy1G364600 ID=Brasy1G364600.1.v1.1 annot-version=v1.1 MDYAKDDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQRNEKKEASEKKYYEGKSFDNGE* >Brasy1G295600.1.p pacid=40053109 transcript=Brasy1G295600.1 locus=Brasy1G295600 ID=Brasy1G295600.1.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPESDVARIHPVDRTVKINSLG* >Brasy1G461000.1.p pacid=40053110 transcript=Brasy1G461000.1 locus=Brasy1G461000 ID=Brasy1G461000.1.v1.1 annot-version=v1.1 MAISGLSVALVAALACCSCLVRLSQCAGGGAGQNYTSMFSFGDSLTDTGNLLVSSPLSFTIVGRFPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLQSKGKDLRQGVNFAVGGATAMGPPFFEGIGASDKLWTNLSLSVQLDWFEKLKPSLCNSPKNCKEYFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVATAIIDATERLIKAGAMHLVVPGNLPMGCSSAYLTLHPGKNSSDYDSVGCLKTYNEFAQRHNAMVRQKLQGLRRKYPQARIMYADYYGAAMSFAKNPKQFGFKHGPLKTCCGGGGPYNFNPKTSCGVRGSSVCEDPSAYANWDGVHLTEAAYHAIADSILHGPYTSPRLL* >Brasy1G263500.1.p pacid=40053111 transcript=Brasy1G263500.1 locus=Brasy1G263500 ID=Brasy1G263500.1.v1.1 annot-version=v1.1 MLVSGRKGLLVLFTSFVILSLLIPPSHGSSNSKEELDQSRNKTAHPLEMMPKKSFQLKLHALFHWSSFGFLMPVGILLVRMSSKSKSGRSIRVLFYCHVISQIAAVLLATGGVALSVMNFENSFSNSHQRVGLALYGFMWLQPLIGFFRPERGAKARSLWYFSHWLLGVTVCATGIANVYTGLRTYHERTTKSVSLWTGLLTVEVSILAFLYLLIDRWSYMMKQGHLPVEQLRPTDNHRTYPTTLRKELGMVQE* >Brasy1G456700.1.p pacid=40053112 transcript=Brasy1G456700.1 locus=Brasy1G456700 ID=Brasy1G456700.1.v1.1 annot-version=v1.1 MAGPAAAGGGKGVSAGKGPGGPAFVGQVFTMLDPSGNGLMAVTSRFDLPHFIAHRTPTWFKRILSPLRKSESSPVFRFFMDLNDSVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSIPQKSRRKKLAGVPVFSAQNLNIAVATDDGIRWYSPYFFDKNLLDNILEASMDQHFHSIIQNRHIRRRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRYDASMNNDLQANPLLPKITMVGISMSEGGQMSKANLKKTMEELTKELEQAGEKTVFSDEKDPLFVANVGDYSRITKISST* >Brasy1G456700.6.p pacid=40053113 transcript=Brasy1G456700.6 locus=Brasy1G456700 ID=Brasy1G456700.6.v1.1 annot-version=v1.1 MAGPAAAGGGKGVSAGKGPGGPAFVGQVFTMLDPSGNGLMAVTSRFDLPHFIAHRTPTWFKRILSPLRKSESSPVFRFFMDLNDSVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSIPQKSRRKKLAGVPVFSAQNLNIAVATDDGIRWYSPYFFDKNLLDNILEASMDQHFHSIIQNRHIRRRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRYDASMNNDLQANPLLPKITMVGISMSEGGQMSKANLKKTMEELTKELEQAE* >Brasy1G456700.7.p pacid=40053114 transcript=Brasy1G456700.7 locus=Brasy1G456700 ID=Brasy1G456700.7.v1.1 annot-version=v1.1 MAGPAAAGGGKGVSAGKGPGGPAFVGQVFTMLDPSGNGLMAVTSRFDLPHFIAHRTPTWFKRILSPLRKSESSPVFRFFMDLNDSVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSIPQKSRRKKLAGVPVFSAQNLNIAVATDDGIRWYSPYFFDKNLLDNILEASMDQHFHSIIQNRHIRRRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRFVLQWHPPPPLLFWCHAQDMMPA* >Brasy1G456700.4.p pacid=40053115 transcript=Brasy1G456700.4 locus=Brasy1G456700 ID=Brasy1G456700.4.v1.1 annot-version=v1.1 MFQAVWWEPVALMWHMNISRKSPTCSSLFQMKNRYSPYFFDKNLLDNILEASMDQHFHSIIQNRHIRRRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRYDASMNNDLQANPLLPKITMVGISMSEGGQMSKANLKKTMEELTKELEQAGEKTVFSDEKDPLFVANVGDYSRITKISST* >Brasy1G456700.2.p pacid=40053116 transcript=Brasy1G456700.2 locus=Brasy1G456700 ID=Brasy1G456700.2.v1.1 annot-version=v1.1 MFQAVWWEPVALMWHMNISRKSPTCSSLFQMKNRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRYDASMNNDLQANPLLPKITMVGISMSEGGQMSKANLKKTMEELTKELEQAGEKTVFSDEKDPLFVANVGDYSRITKISST* >Brasy1G456700.5.p pacid=40053117 transcript=Brasy1G456700.5 locus=Brasy1G456700 ID=Brasy1G456700.5.v1.1 annot-version=v1.1 MFQAVWWEPVALMWHMNISRKSPTCSSLFQMKNRYSPYFFDKNLLDNILEASMDQHFHSIIQNRHIRRRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRFVLQWHPPPPLLFWCHAQDMMPA* >Brasy1G456700.3.p pacid=40053118 transcript=Brasy1G456700.3 locus=Brasy1G456700 ID=Brasy1G456700.3.v1.1 annot-version=v1.1 MFQAVWWEPVALMWHMNISRKSPTCSSLFQMKNRRDIVDDSLTSEILEESADSLLEPPEVQELMNEIGPAGIPLSVVTKAAEIQLLDVVDKLLLGNKWLRKATGIQPCFPYVVDSFEERTALSVDRAATSSNNCTASKDADFTNDQQSQALEPNVDSGNHRKHNSRDHGQSHFPFRNLLPNIWPGHDRKFQAQENDNRFKRFVLQWHPPPPLLFWCHAQDMMPA* >Brasy1G276200.1.p pacid=40053119 transcript=Brasy1G276200.1 locus=Brasy1G276200 ID=Brasy1G276200.1.v1.1 annot-version=v1.1 MLGLQGMLVKDCVGRSGGIALFWRRGVDVTLRWMGRGHIDVEVKEVDGFRWRFTGIYGHPQHKETTWRLLHTLHNQSSLPWVCMGDFNEVLFSHEKQGGAVRSQICMDKFRDALMYCGLNDHGFEGDVFTWRNNNCRVDGYVRERLDRAVANQAWCNRFPGYRVRNVEPEHSDHRPVVLSVDGCRRKAGRGGNNVQQLKRFEAKWLLEEECEHVVTNAWNTAKLRGNVHAADILRSVSLELQTWDREVLGDIQKRVKELKSSLEACRRAELTSRSVQREQVLRFKIDRLEEQLDVMWRQRAHVNWLDKGDRNTSFFHAAAEGERRQKFKIFLRTNQPRSLSGCDRLITYQQP* >Brasy1G352400.1.p pacid=40053120 transcript=Brasy1G352400.1 locus=Brasy1G352400 ID=Brasy1G352400.1.v1.1 annot-version=v1.1 MVSISISTEETLFRAAAQSPRWPMPNNRPNCRPAGHRRTLKPPFVSTSAISPQEAPNTPATTSSSSTTAPLTGDSDFTISSHKRGLTTQQLRPHHYSAKAPRRTSTETRTTHRPSRPSPTSKPCATDCRTSTPAYAKHHRHRAARNTQRVRHSGIVIDTAPHAGDSDFTPNKTQKRLHNTTAPTAPRHGRSTRQRQRRALPIQIQDSRSSPSHQGEPPQPCTAWAAATTRLCTATRSAGRKPPRRPCTFPATSPGLPAPRLAEIQAAAPRRPPCQPAPCRPPCRPTPRRTAAPWAPPARSSPARALPATSPARTTLARAKAAT* >Brasy1G223000.1.p pacid=40053121 transcript=Brasy1G223000.1 locus=Brasy1G223000 ID=Brasy1G223000.1.v1.1 annot-version=v1.1 MASEDEANAAAAAEGEASEGKNWRRKGKHDKDKPWDNDPTIDRWKVERFDPSWNEGGMLEVTSFSTLFPQYREKYLQEAWPTVKGALKEFGITCELNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIKVLNDEMNCDIVKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPNTKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLDKQSEKMEEKKRKREAAFVPPKENSAGPSESAKPTHAKNEIADITKSLKNKAKKFRNSEAQENVKVESYIASNEEPHTKKKRKSSSK* >Brasy1G223000.2.p pacid=40053122 transcript=Brasy1G223000.2 locus=Brasy1G223000 ID=Brasy1G223000.2.v1.1 annot-version=v1.1 MASEDEANAAAAAEGEASEGKNWRRKGKHDKDKPWDNDPTIDRWKVERFDPSWNEGGMLEVTSFSTLFPQYREKYLQEAWPTVKGALKEFGITCELNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPNTKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLDKQSEKMEEKKRKREAAFVPPKENSAGPSESAKPTHAKNEIADITKSLKNKAKKFRNSEAQENVKVESYIASNEEPHTKKKRKSSSK* >Brasy1G381600.1.p pacid=40053123 transcript=Brasy1G381600.1 locus=Brasy1G381600 ID=Brasy1G381600.1.v1.1 annot-version=v1.1 MQAGGVSGFQNAPVTRAVVLASGLLSVVFSANRRARALGLSYQEIVKNFRVWRIFASVFAFQSTPELIFGLYLLYYFRVFERQIGSNKYSVFSLFTISVSSLLQILSLVLLKDTNYIGALASGPYGLIFGSFVPFFLDIPITSRFRIFGVNFSDKSIIYLAGLQLLLSSWKRSLIPGICGLVAGSLYRLNVLGIRRMKLPQIIASFFARFFAPPPGSSSRPSRSLVGNMSSHTGRAVQNQPSTGSAPVVEPPESSIAMLVSMGFDANAARQALMRARNDINVATNILLEAQSH* >Brasy1G015200.1.p pacid=40053124 transcript=Brasy1G015200.1 locus=Brasy1G015200 ID=Brasy1G015200.1.v1.1 annot-version=v1.1 MGFPLRFGTLIQVSSVVAEIFGQLLPLQNFHMHIFCLHSYGLRLGCAEFARAARQGQLGVPSSPSPRCVKLGVFRLNSVKRLRNLQFNLLPPVHKSERPTVKPPAGHQLASISSETLEDSVSCFAPAGGFCRSLQRLLAVVLASCLHWCMLPYVAGSLLLA* >Brasy1G296800.1.p pacid=40053125 transcript=Brasy1G296800.1 locus=Brasy1G296800 ID=Brasy1G296800.1.v1.1 annot-version=v1.1 MSVSKHRFLGERKDNGCVLVGCSAVARWSNEGERPANFFVALNLEARQGSAMASTRQRSLCCDEINGNGIPSIKHCCIIVCLPCNNALILHSDFVLLFLSVGLIRSSYGLH* >Brasy1G560600.1.p pacid=40053126 transcript=Brasy1G560600.1 locus=Brasy1G560600 ID=Brasy1G560600.1.v1.1 annot-version=v1.1 MVMTSSAMWFTVFLVFVTVVFIRIIRGKAAGAVDPTSGKPHPPVVNGFALLGLLPRLFNKDLPTKINCLYSKYGSVFTVSLFGRNVTFLIGPEVSAHFFQGLDSEISHGNLLEFTVPMFGQEVAHGVDTATRNEQARFYLDALKQSKLRSHFDPMLQEVEEYFSKWGQEGTVDLKHEFEQLLMLISSRCLLGKEVREKMFDEFYTLFRDIENGVNLVSVFFPYIPIPANRRRDRARVKLIELLSEIVRSRKSSGRVEEDALQKLIDSKYKDGRSTSETEVTGMIIGLIFGGKHTSSHATTWTGACLLSHDKFLAASSEEQKQIMKKYKGGLEYEALLEMNTLHSCIKEALRMHPPSPMLVRKANKHFTVKTREGQEYDIPKGHTIASPIVQNNIMPYIYKDPHLYDPDRFGPERQEDVAAGKFSYTSFGGGRHVCVGEGYAYMQIKIIWSHLLKNFELKLLSPFPNTDWNKLIPEPEGNMMVSYKRHRLLG* >Brasy1G560600.2.p pacid=40053127 transcript=Brasy1G560600.2 locus=Brasy1G560600 ID=Brasy1G560600.2.v1.1 annot-version=v1.1 MVMTSSAMWFTVFLVFVTVVFIRIIRGKAAGAVDPTSGKPHPPVVNGFALLGLLPRLFNKDLPTKINCLYSKYGSVFTVSLFGRNVTFLIGPEVSAHFFQGLDSEISHGNLLEFTVPMFGQEVAHGVDTATRNEQARFYLDALKQSKLRSHFDPMLQEVEEYFSKWGQEGTVDLKHEFEQLLMLISSRCLLGKEVREKMFDEFYTLFRDIENGVNLVSVFFPYIPIPANRRRDRARVKLIELLSEIVRSRKSSGRVEEDALQKLIDSKYKDGRSTSETEVTGMIIGLIFGGKHTSSHATTWTGACLLSHDKFLAASSEEQKQIMKKYKGGLEYEALLEMNTLHSCIKEALRMHPPSPMLVRKANKHFTVKTREGQEYDIPKGHTIASPIVQNNIMPYIYKDPHLYDPDRFGPERQEDVAAGKFSYTSFGGGRHVCVGEGYAYMQIKIIWSHLLKNFELKLLSPFPNTDWNKLIPEPEGNMMVSYKRHRLLG* >Brasy1G385000.1.p pacid=40053128 transcript=Brasy1G385000.1 locus=Brasy1G385000 ID=Brasy1G385000.1.v1.1 annot-version=v1.1 MEEERGDMSLEDDDVYTDEELTEEEDMAEYRECWNKMLGGGEIDRFEDESSIHRLKGHTHRYAATVGSMISTSEHVRLATTTGALQVYSIKFKETKLMWPLQIYGVVAARDCVDYNRNILFSRQRDNYQTITTEEDPCWSLTGPSRAIVYLDPVYFEIQLKVKGESESQDQALIHKSLAYNGSVGPFGTSWSNDLCTIRLHFRRLFESVQATIMSVQVVSGSCCWPSEYGWQAVCRARGDDEFVLLDFPDGTKKVRR* >Brasy1G385000.2.p pacid=40053129 transcript=Brasy1G385000.2 locus=Brasy1G385000 ID=Brasy1G385000.2.v1.1 annot-version=v1.1 MEEERGDMSLEDDDVYTDEELTEEEDMAEYRECWNKMLGGGEIDRFEDETTVGSMISTSEHVRLATTTGALQVYSIKFKETKLMWPLQIYGVVAARDCVDYNRNILFSRQRDNYQTITTEEDPCWSLTGPSRAIVYLDPVYFEIQLKVKGESESQDQALIHKSLAYNGSVGPFGTSWSNDLCTIRLHFRRLFESVQATIMSVQVVSGSCCWPSEYGWQAVCRARGDDEFVLLDFPDGTKKVRR* >Brasy1G495600.1.p pacid=40053130 transcript=Brasy1G495600.1 locus=Brasy1G495600 ID=Brasy1G495600.1.v1.1 annot-version=v1.1 MAPWSPPVAAAAAVSSQAQFGYLPARSPRTRQALLLPPLARKIPNSSLLAVNATNSWRRHAVATEVEGLNIADDVTQLIGKTPMVYLNKIVQGCVANVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASRGYKLILTMPTSMSMERRVLLKAFGAELVLTDAAKGMKGALDKATEILNKTPNSYMLEQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGSGRFLKEKNPEIKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSFGERYLSSVLFQSIREECEQMQPEA* >Brasy1G068100.1.p pacid=40053131 transcript=Brasy1G068100.1 locus=Brasy1G068100 ID=Brasy1G068100.1.v1.1 annot-version=v1.1 MASKAVTEIVADKQCKKNIAESSEAVLSRRQSGEQIEGVSKSGSASPRTITPVDLATPSGVKEQHKDINSVDGSVKLDDDGDAEKNSTRGSVKDSSVSAKFSDRTSSLTKASGSTKVSGHADLVQSGKSSVYRASAGSDVSDDSTCSSICSSASKPHKSNDSRWEAIQMIRTKEGSLGLSHFRLLKRLGCGDIGSVYLSELSGSKCCFAMKIMDKASLAGRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVNPTLLKSSNPGVDPNQKGNPVCIEPACIQPCVTTTTCFSPRFFSSKSKREKKEKKAKADIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVGFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKAVEIPRPVASTSQKATPSADKGSDNYLEFDFF* >Brasy1G068100.2.p pacid=40053132 transcript=Brasy1G068100.2 locus=Brasy1G068100 ID=Brasy1G068100.2.v1.1 annot-version=v1.1 MASKAVTEIVADKQCKKNIAESSEAVLSRRQSGEQIEGVSKSGSASPRTITPVDLATPSGVKEQHKDINSVDGSVKLDDDGDAEKNSTRGSVKDSSVSAKFSDRTSSLTKASGSTKVSGHADLVQSGKSSVYRASAGSDVSDDSTCSSICSSASKPHKSNDSRWEAIQMIRTKEGSLGLSHFRLLKRLGCGDIGSVYLSELSGSKCCFAMKIMDKASLAGRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVNPTLLKSSNPGVDPNQKGNPVCIEPACIQPCVTTTTCFSPRFFSSKSKREKKEKKAKADIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVGFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKAVEIPRPVASTSQKATPSADKGSDNYLEFDFF* >Brasy1G249500.1.p pacid=40053133 transcript=Brasy1G249500.1 locus=Brasy1G249500 ID=Brasy1G249500.1.v1.1 annot-version=v1.1 MGSQLKRPNNIARSDPRNMSPPPPPPPPAAAAAPPGQKLTTNDALVYLKAVKDQFQDNRAKYEEFLEVMRDFKSARIDTAGVIIRVKTLFNGYPDLILGFNSFLPKGYAIKSQDLEKKPVDFMEAISFVNKIKSRFQQEDHVYKAFLGILNMYRMHNKSIQDVYEEVATLFHDHSDLLEEFKHFLPDTSAAPQVLTVPRGVFVKQGDRSPIIPSARTVHNIKRERPHPSTADRDISVDRPDLEHDPERRRIEKEKGRKIDRDRRDYDKDGEYDSKDLDGGQHKRKPFPKKLEGTCAETHQGVYPQEFHFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVTDILQRYPDLMNGFSEFLEHCETIDGFLDGVVNKRQTPRTVKTVEKERDKGRDGEDRERDSEKLSEKERERLDKGSALNSKETATHKAAAFSSKEKYLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVAIKRVEELIQKMQDNLIKPDSPIRIDEHLTSLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLVEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDLYQIIKYSCGEVCSSSDQVDKVMRTWTTFLEPILGVQPRAHGVEDGGVVKPKSRTSTAGLASVGESNDATANGTVAVKQANGDESIPKEQAQSSHSLVVNGVTEDAQNGFHDADRSVHGGEGPSNTSLHGRAQNTDEMLAVTAQNISTERSVENAHLSRTEQNQRRTNMDLTSGTNSSRSNFAGGEAAVEAMGGSETIPSIGRGESGRPGSLSREDNKVYAASHNNSKVEREEGELSPNGDFEEENFGPLGNVAVGALKPKEAPAGRSRPVEFAGEHDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHEEEEDIDPDAKAESEGEAEGNTEAQHAGGGISLPFSERLHNAVKPLAKHVPTTLHDRDEKFSCLFYGNDSFYVLFRLHQILYERILSAKTNSSTTEKKWRTSKDTISSQQYSKFMSALYDLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIASDEMDNKMLQLYMYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYDEYLSSISNTKSSDDVFLERNKRKHRSNNGSQASLEVMDDFMVTNGLECKISCKSSKVSYVLDTEDFLFRIRKRRRVLSAPEKADFVKAAYAAKAQQFHRFLSRP* >Brasy1G279900.1.p pacid=40053134 transcript=Brasy1G279900.1 locus=Brasy1G279900 ID=Brasy1G279900.1.v1.1 annot-version=v1.1 MRMLKQGLLDMQFQQLQMLQGPDMPNFVSEVITLFCEDGERIINELAKLLDKPCVDFDRVDAIAHRFKGSSASVGAMRVKNTCIQLHVFCKEKSLNGCLTTLNTVSNEFYNMRGKFQMILQLEQQVKAYYSKK* >Brasy1G178400.1.p pacid=40053135 transcript=Brasy1G178400.1 locus=Brasy1G178400 ID=Brasy1G178400.1.v1.1 annot-version=v1.1 MRAGVLLPVPHSSAAGCSLRRRLGAGPWDSLAFMALRPAHLCLRLCAALMRDGKSSSGHAQERFLDFKRRTCGRCFRCLASDHRSTTCREPFRCLECLGCGHRARVCPRLRSSPAPSSAATPRRPGRAPFGSRSWAPVVAAPAPAPPSRPTPVPAGMAMQGFGAPDTRPEEDTCVIPFSYDIDWDMREWETTAAIAWVINAPRRLDDVDHAIRAEFRISHADIIVPPHHSEQFLVKFANKAHCDGVLAKGRMSAKGLTVRIRPYRPLEHAFAAAMAFRVHLCLEKVPAFAWTPRIIERIIGRRCSFDRLDRRSAFMEKTDTLDLWAWTTNPSLIPKVMWVTFMGRSLDERADELLVTDYRPSGVKRGSSNRVIIHLDTMEDYTAALLDYSSSAGKPPLFKRRVTNFNCVLGVVDGVPAPTIATAPALELRDDVDSWVRRRHSHGNNYKRPLPRRRDDNEDDRHGRRSPRRASHDRGSGENLLAFRRERTRSPRRRDGDSGRHGRRRELEADSGHLAARACSMVGQQAMDAGLQGLFDTQAKEIQAGLTAHDSLAPELRAERATELQELLDRA* >Brasy1G283600.1.p pacid=40053136 transcript=Brasy1G283600.1 locus=Brasy1G283600 ID=Brasy1G283600.1.v1.1 annot-version=v1.1 MGEADASTGGGGGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLVVEPMSSTGSTQSNRSAARSSSTPSSENVRARDSGSAATQPPSTERTGSSLRFDGRTIHFSINAWVLVVASLGILPILPKDIASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFRIALLPVLCWAVDHVARFLRRNFARSSFYRRYLEEPCLWVETNNTTVSLLCSNAEIALGFLMIVSLFSWKRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPHIDRYAPFLNTPISAAQRWWLR* >Brasy1G283600.2.p pacid=40053137 transcript=Brasy1G283600.2 locus=Brasy1G283600 ID=Brasy1G283600.2.v1.1 annot-version=v1.1 MGEADASTGGGGGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLVVEPMSSTGSTQSNRSAARSSSTPSSENVRARDSGSAATQPPSTERTGSSLRFDGRTIHFSINAWVLVVASLGILPILPKDIASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHFRIALLPVLCWAVDHVARFLRRNFARSSFYRYLEEPCLWVETNNTTVSLLCSNAEIALGFLMIVSLFSWKRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPHIDRYAPFLNTPISAAQRWWLR* >Brasy1G205000.1.p pacid=40053138 transcript=Brasy1G205000.1 locus=Brasy1G205000 ID=Brasy1G205000.1.v1.1 annot-version=v1.1 MRGLRSSTLARIATGREACSRWCSSRIIAAGARAKQYGSTMAGRPREEAPSSDAAMAGVATGGVEDAYGEDRATEDQPVTPWAICIASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRELQVPLQRYMFLMDLQERNERLFYKLMIDNVEELLPVVYTPTVGEACQKYGSIFRRSQGLYISLKDNVCFLLARGRVLEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQKRATGQEYAELLDEFMAAVKQNYGQKVLVQFEDFANHNAFTLLEKYKPTHLAFNDDIQGTAAVVLAGLIAGLKFVGGTLADHTFLFFGAGEAGTGIAELVALEISMQSKVSQEDARKNIWLVDSKGLIVSSRKDSIQPFKKLYAHEHEPVKDLLSAIKDIKPTALIGSAGVGQSFTKEVIEAMSSINKRPIILALSNPTSRSECTAEQAYSWSQGRAIFGSGSPFDPVKYNEKLFVPAQANNAYIFPGFGLGVVISGAIRVKDDMVLAAAEGLAEQVSSEHFDKGLIYPPFSSIRKISANIAARVAAKAYDLGLASHLPRPRDLVKYAESCMYSPIYRSYR* >Brasy1G205000.2.p pacid=40053139 transcript=Brasy1G205000.2 locus=Brasy1G205000 ID=Brasy1G205000.2.v1.1 annot-version=v1.1 MRGLRSSTLARIATGREACSRWCSSRIIAAGARAKQYGSTMAGRPREEAPSSDAAMAGVATGGVEDAYGEDRATEDQPVTPWAICIASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRELQVPLQRYMFLMDLQERNERLFYKLMIDNVEELLPVVYTPTVGEACQKYGSIFRRSQGLYISLKDKGRVLEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQKRATGQEYAELLDEFMAAVKQNYGQKVLVQFEDFANHNAFTLLEKYKPTHLAFNDDIQGTAAVVLAGLIAGLKFVGGTLADHTFLFFGAGEAGTGIAELVALEISMQSKVSQEDARKNIWLVDSKGLIVSSRKDSIQPFKKLYAHEHEPVKDLLSAIKDIKPTALIGSAGVGQSFTKEVIEAMSSINKRPIILALSNPTSRSECTAEQAYSWSQGRAIFGSGSPFDPVKYNEKLFVPAQANNAYIFPGFGLGVVISGAIRVKDDMVLAAAEGLAEQVSSEHFDKGLIYPPFSSIRKISANIAARVAAKAYDLGLASHLPRPRDLVKYAESCMYSPIYRSYR* >Brasy1G344400.1.p pacid=40053140 transcript=Brasy1G344400.1 locus=Brasy1G344400 ID=Brasy1G344400.1.v1.1 annot-version=v1.1 MAARAASSCYRVAVARRFLLARKKKALEAGTRKKLAGARQKQGTTTSRRRGLEVIRRKIRVLRTLVPRASNGELQDRQDRHSRLDELLLHSADYILRLQMQVQVMQFMVHVLNPKD* >Brasy1G497000.1.p pacid=40053141 transcript=Brasy1G497000.1 locus=Brasy1G497000 ID=Brasy1G497000.1.v1.1 annot-version=v1.1 MAARSALLFALLVAALSFTAATAADAPFIVAHKKVALSRPKPGVERLAVSLDVYNKGSATAYDVAITDDSWPKEAFELVSGEVSKTLERLDPGATASHVFVLETKAQGRFQGSPAVIKYRVPTKAVLQEAFSTPILALDILAERPPMKKFEWAKKLVAKYGALVSVVSFVAAFIYLVASPSKSGGAKAGKKRR* >Brasy1G305400.1.p pacid=40053142 transcript=Brasy1G305400.1 locus=Brasy1G305400 ID=Brasy1G305400.1.v1.1 annot-version=v1.1 MDPNSFYHNYAIFSESESNISPLHEALRGTTAMPMLDELVSPTLQPPPHSDSMRNTPPTTNALEVPMPPKNQSNLQDQTNVPSAQPPVSPHTNTYHSPSITSLLQGDPIASVHAHLNTIGGLDDGQIFENPTSLFTGVSSPLGYVSSPLNHYPFSSNTIYTQHIQHGALYNEDLYGVRTPSGPFTTFPSPPREDTTLMDGQTASFANDVMQDPMRSGYRCRFCNATFNSHRAYGGHMSHHSKQNKKNLQG* >Brasy1G442200.1.p pacid=40053143 transcript=Brasy1G442200.1 locus=Brasy1G442200 ID=Brasy1G442200.1.v1.1 annot-version=v1.1 MWLGLEEDEDINHLLLQCVVARQVWHAVCSRWQRQAWVPGPNDCFEIWWPGLEVASKKDRMNLNTAVGLVCWSIWKHRNAVVFDGVTPSVFHIIRSIGQEGEAW* >Brasy1G426900.1.p pacid=40053144 transcript=Brasy1G426900.1 locus=Brasy1G426900 ID=Brasy1G426900.1.v1.1 annot-version=v1.1 MASCCSGTSSRMPKACVVLILILLSPAASRCQLADDRLLETTLTGARRMLVTSGSNAVAVSSSSRLPVAASASWTKAAAGMPYSESKRSSPGGPDPQHH* >Brasy1G306700.1.p pacid=40053145 transcript=Brasy1G306700.1 locus=Brasy1G306700 ID=Brasy1G306700.1.v1.1 annot-version=v1.1 MLLPTLLGGCLTASPPSLPTPTATATRSTRLPSRLRPSSRIVARRSAAGPGETVHATAAEEEEQELKELEEEGLPRRGQYGEQDDHDHDPEIADIMGDYFDDPKKAQSRMEERIKTKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDVKLIGDALRSWHIVGRLGGCNSMNMQLSQLSLDSKRPTYDALEAANATPTSFYNIGDLEIQDNLARVWVDIGIQDPLLLDILLNSLTTINSDHLGIKQVQFGGSEFESWSDSLNTEEAGCSVHKI* >Brasy1G074200.1.p pacid=40053146 transcript=Brasy1G074200.1 locus=Brasy1G074200 ID=Brasy1G074200.1.v1.1 annot-version=v1.1 MLPESKVQSPKSKPRRVKYFETQTSRYTPPLSTPPSQPSISYPLSLSPSLNPSAALPQVITISRPFLSLLPPMAFLSPLLPPIRIHLLELLRAVRKLGPFPASLDGARPVTLPQISVMLLLPACQLEAPGGRRLELHRRPGLHRPRRRP* >Brasy1G363000.1.p pacid=40053147 transcript=Brasy1G363000.1 locus=Brasy1G363000 ID=Brasy1G363000.1.v1.1 annot-version=v1.1 MSRVGGGEGALAVVLAQAATMTPAPKTEPPQADLDAIFYDKTKAFELLHCHACRNPLKPPIFKCESGGHFVCAPCRVAHRGACAGAVDRCAVAEAFAGAARMRCGNERFGCDVAGGVAYHDAEAHRRACIHAPCGCPESSCGFLGSRQMLLNHVSGPDHSRPVIVLRYGQPRVLTLPLSRHWHVLVGEEDRPAPADRDRNVFLVSVSARETGSAEVSLVCVRADGGGAATHFSCRISVEHSVDGSRLILESPKVSSSSLCSSGDGAPPVRTLMVPQDYLAGDSVPLGIHIDKLRPPPSPPALTPTTSISSITSIVTSTTPSPLAAAAATVNPSPFAAAAAVKFTAAEISKKRKNANPKRL* >Brasy1G550800.1.p pacid=40053148 transcript=Brasy1G550800.1 locus=Brasy1G550800 ID=Brasy1G550800.1.v1.1 annot-version=v1.1 MRSCGVVFTISHESVCKLVIAPLASFLPSPPGTAGDLLPPSHRLDLQGQCIDMFVIGLKLWSSKML* >Brasy1G248300.1.p pacid=40053149 transcript=Brasy1G248300.1 locus=Brasy1G248300 ID=Brasy1G248300.1.v1.1 annot-version=v1.1 MAMASIVSKLARAAFATRASPSAVAAAGRASPSAVAAAGRPTAAIPAGGPESEDAITPCNHLYPDENVFKSKEAMWAFYEHWCKFHGIVRERKEMERRFNTFSATARRVHNFNSLGLDDKSTMAQFADMTNREKLGMLREQNRLQINHTSLQHIEKKRKSIQHTHH* >Brasy1G260900.1.p pacid=40053150 transcript=Brasy1G260900.1 locus=Brasy1G260900 ID=Brasy1G260900.1.v1.1 annot-version=v1.1 MPPANLTPAKWPKTLTADHLHRLVRAERDPRRALALFDAATAASVAAPILPSPDTVSLLTSRLASAGLLPLATSLLSRSRALFPSAADLEPPFLTLLRAFSRTHRPLAALQLFRSAPSALALPHSARSYTAVLATLVSHSHLPLAHSLLADMRAGGFAPTTATYNVLLKAHFSDASAPIEDAVRLFRNIPKPDACSYNTVIDGLCRRSRRAEAQEIFSEMVADGVAPTVVTYTTVINWLARDGCLDDALKMFDEMGRIGIAPNVVTYSSLIDGLCKGGRAASALELLDRMVKEAKLPNTITYSSVINGLCKEDRLNEAMEVLDRMRLQGRKPDAGLFGKLIVGLCGAGRAVEAANYLDEMVLSGIQPNRVTWSLHVKINDAVMTALCAKGEVGRTFRVYQSMRTRGISTEPGTFHLLVEFFSKKNDLEKAAHVVLDMLSEKCIPERETWDIIVTRYWSKKKVKQEAEQMWNQLTVV* >Brasy1G363600.1.p pacid=40053151 transcript=Brasy1G363600.1 locus=Brasy1G363600 ID=Brasy1G363600.1.v1.1 annot-version=v1.1 MASLLFLPRTPTPKPAKLLSVPRTTITCGPRDNRGPLQRGRSLSTEAIHAVQALKRLTAADRSPPAATAAASASLGRLLRADLLAAMAELQRQGHWSLALAALHVARSEPWYRPDPELYATFVSSSPSNDPAAAAAVDALVEAFLEEKETAGGFSEGAWIGEDVYKLTRLVRALVAKGRARAAWRVYEAAVRKGGCEVDEYMYRVMAKGMKRLGLDDEAAEVEADLADWEARVSPPARRVFDEMRTREKTKTAASSL* >Brasy1G363600.2.p pacid=40053152 transcript=Brasy1G363600.2 locus=Brasy1G363600 ID=Brasy1G363600.2.v1.1 annot-version=v1.1 MASLLFLPRTPTPKPAKLLSVPRTTITCGPRDNRGPLQRGRSLSTEAIHAVQALKRLTAADRSPPAATAAASASLGRLLRADLLAAMAELQRQGHWSLALAALHVARSEPWYRPDPELYATFVSSSPSNDPAAAAAVDALVEAFLEEKETAGGFSEGAWIGEDVYKLTRLVRALVAKGRARAAWRVYEAAVRKGGCEVDEYMYRVMAKGMKRLGLDDEAAEVEADLADWEARVSPPARRVFDEMRTREKTKTAASSL* >Brasy1G139000.1.p pacid=40053153 transcript=Brasy1G139000.1 locus=Brasy1G139000 ID=Brasy1G139000.1.v1.1 annot-version=v1.1 MASSRLLFLLSCSCLALALLAGAEVHHHEFIVQETPVKRLCKEHNIITVNGQFPGPTLEVREGDTLVVNVVNQAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYKFTIEGQEGTLWWHAHSSWLRATVYGALIIRPREDKAYPFEKPSREVPLLLGEWWDANPIDVIREAQRTGGGPNISDAFTVNGQPGDLYNCSREETTAISVKPGETALLRFINSALNHELFVSIANHKMTVVGADASYTEPFVTSVLMIAPGQTTDVLVTMDQAPTRYYIAARGYVTTQGVAFDNTTTTAVLEYDCGCSTDFGPAIRPAFPALPAFNDTGAATAFAAGIKSPRKVEIPSPVDENLFFTVGLGLFNCKPGQQCGGPNNTRFTASMNNVSFVFPKATSLLHAHYYDIPDVFTTDFPAYPPVQFDYTAQNVSRSLWQPIPATKLYKLRYNSVVQIVLQDTSIVTPENHPIHLHGYDFYILAEGFGNFDAKKDAEKFNLENPPQRNTVAVPVNGWAVIRFRADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLQTLEAPPVDLPMC* >Brasy1G412500.1.p pacid=40053154 transcript=Brasy1G412500.1 locus=Brasy1G412500 ID=Brasy1G412500.1.v1.1 annot-version=v1.1 MSKKIVIKADLIGQKCMSEILSTVAKLEGIKSMDIDQDKCTLTVVGTVDPVRVARELRKACFAAAIVSVEDDKPKEKKTPCQEACEKACKDRCEKNACCKDCEKACKDKCEKACKDASCCDDKRPCYNDGYPCSTPAGFYSGYGYGVRGPPAGYGYECYEERSPGGQCTIQ* >Brasy1G269600.1.p pacid=40053155 transcript=Brasy1G269600.1 locus=Brasy1G269600 ID=Brasy1G269600.1.v1.1 annot-version=v1.1 MPPPLPPLAACARSLAELLVALSAARALPKGQQLHGFLLKGGHLPAIASSHALLSHHLLTFYARCALPELCLRAFLDLPAPPPAAAWSSLISSFAQNGLPAAAFDAFRRMLAAGVPATDRSIPSAAKAVAAAADTVRPPLAPHALHGLASKTPFAEDVFVGSAVLDMYAKCGNLLDARRLFDEMPERNVVSWSALIGAYADAGMHPAALWIFRSALEEAVEVNDFTVSCIVRVCATATLFDLGAQVHARSIKTALDASPFVGSSLVSLYSKCGLVDRAYRIFSAAPERNLGIWNAVLNASAQHGHTATAFERFMEMQNAGFRPNYITFLCLLTACSHAGLVDEGKRYFSLMKEYNIEPQAEHYAAMVDLLGRVGRITEALELIESMPMEPPESVWGALLMACRMFNDADTAAIAAERLFQTGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSDEIYDVLEKVGEKMEGAGYVADTSAVVKDVARDEKRETLGYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYLSKCTGRVVILRDNRRFHRFEDGLCSCGDFW* >Brasy1G471200.1.p pacid=40053156 transcript=Brasy1G471200.1 locus=Brasy1G471200 ID=Brasy1G471200.1.v1.1 annot-version=v1.1 MATTQSKATLPASVINGSSRLPPKKEEQELRRAYSEMASNLEKLIIIPGTITSLKLANMD* >Brasy1G255600.1.p pacid=40053157 transcript=Brasy1G255600.1 locus=Brasy1G255600 ID=Brasy1G255600.1.v1.1 annot-version=v1.1 MKPKAAGGGGDKGRGVDPSLPRFKCQECHRALVVVGVESFADRLPAHANSGMHASSVQGSIMGASRMDSSYVVLSKQNKSPGPGIPPRPPSAAARHVEPNQSTRAIEGSYIMLPPAAASIYNTSASEGGGAHLPPPNLNSTSPSPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEVEDVNTDIKSYDACLQRLEQESYNILSDADFQKEKQKIEEEEKKLQAAIEEAEKQYSEVTSEMKDLETKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFPKFQYRIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAISLDQENNVPSDKSLKLPYKIDNDKVGSHTIFLSFNKLESWTKALKYMLCNLKWVLYWFIGNTSFAPPSASLYLAQSPNKTS* >Brasy1G141000.1.p pacid=40053158 transcript=Brasy1G141000.1 locus=Brasy1G141000 ID=Brasy1G141000.1.v1.1 annot-version=v1.1 MAMRALALTPSQSSSFGLHQSMPSFKPSSASPRSVRAYAKSDEEEEKVPKQSLFGSITEALDFSQVRSEKDAELLYEARDSIKEEGKMTREQYAALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYVHVACAENSKPTNFFAKLFGG* >Brasy1G132200.1.p pacid=40053159 transcript=Brasy1G132200.1 locus=Brasy1G132200 ID=Brasy1G132200.1.v1.1 annot-version=v1.1 MSSEEPRKVLTTPRSGLPWRRSSPSRRPHPLSQVTPSSHQINYTRPASYLNSAFTFAPVAKQERARTVGVRDRASKVKRRAHACHGARRARPPPVPGLAGAARAGAGAGKEPVPLRDAQPRQRCHRRRPGRRRLRLRLLPPGWPRRRQQCGRRSTGPCHPVPADVH* >Brasy1G314300.1.p pacid=40053160 transcript=Brasy1G314300.1 locus=Brasy1G314300 ID=Brasy1G314300.1.v1.1 annot-version=v1.1 MATAGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLKEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARAVARFWGAYVDEKLLSSWKGIFATKDEEEKAEAVKQTLAAADALEGAFRECSGEKLEWFGGDGVGYVDIVLGGLVPSVNVLELAVGIKVVDPDRTPLLAAWKDRFCALDAAKAAMPPLDRLLENAKKYLAEISFKTPASK* >Brasy1G377400.1.p pacid=40053161 transcript=Brasy1G377400.1 locus=Brasy1G377400 ID=Brasy1G377400.1.v1.1 annot-version=v1.1 MSAIADAMRGQESEDSLEALRVLDIILRQHSAGQGCLLVRQSFFRGDFGSVQLGGGIVGCRGFHSSFRPTQSGLSLNIDVSTTMLVKPGPVIDFLLFNQNINHTSGIDWDKAKRALNKLRIKTTHRNAEFTIVGLTENISNGTYLKFPLNRRDGSGTDEVTVYDYFMNHWSMKLTDSAHLRCLIVGKSKRPTYLPLEVCHLVPLQRYKKSLSTQQRSKLVEGSRQKPQDRMLSLSDRLRGNNYDSEPMLRECGISIAREFTQVEARVLQAPKLNARDDRELYPSNGRWNFNKDRLYRAIDVNRWAAVVFSARCNVRDLVRRLIQCGEMKGIKNCDIYGPWKRRCLADHGIVTQCFVPPANIKDQYLTNVLLKINAKMICFFSFANQLGGLNSLLHSEITHAIPHVSNVPTIIFGMDVSHGSPGSNVPSVAAVVSSFEWPLISRYRASVCTQSPRQEMIETLFKPVGDDDSGLINDSLNDFRSKTQVQRPEQIIIFRDGVSDSQFNDVLDKELAPIIQACELYGSKYFEGKWFPKFTVIVAQKNHHTRFFLPNERKRDDVINVSPGTVVDKGICNPRKYDFYMCAHAGMIGTTRPTHSHVLYDDIGFSPDELQELVHSRSYVYVH* >Brasy1G049000.1.p pacid=40053162 transcript=Brasy1G049000.1 locus=Brasy1G049000 ID=Brasy1G049000.1.v1.1 annot-version=v1.1 MRTEFYSQVLFRMGMRKPYSSTKRASQADHTCAPCKHETSAVSCCLWVQRQWFRDISAVQFTTKRLLADGVASL* >Brasy1G188000.1.p pacid=40053163 transcript=Brasy1G188000.1 locus=Brasy1G188000 ID=Brasy1G188000.1.v1.1 annot-version=v1.1 MLAARTRAASSATSALSWPRTPWSRSAAISSAGRASTSGSTSTRTSPSAPSARPASSPCMYGRGKAGVQIPGRPTGQWHRHSTAPPPDHHHDHYPNPNPWFVGGGGTMAGGRWGNYTFSGAIGGLFPLLNFQAHGFPQAYGPAAELPYGYGGHSFHGWHGHGFPRHDHEPQGQQIDVYLKVLLVLLGVLVIASLIAF* >Brasy1G329800.1.p pacid=40053164 transcript=Brasy1G329800.1 locus=Brasy1G329800 ID=Brasy1G329800.1.v1.1 annot-version=v1.1 MTLPVAAATAFFFFLAVVVAVSTVQAATVEHTFVVRQMHMQHLCKDTLVTVVNGQFPGPAVEATEGDTVVVHVINQSPYGITIHWHGVKQRLTCWADGAGMITQCPIQPNTTFTYRFTVAGQEGTLWWHAHVASLRATLHGILIIRPKSGSYPFQKPDMDVPIVIGEWWQKDLTEVEKGYLNSNDNDPAAAAINGNLGDLYNCSGVVEKSYVLDVEPGKTYMLRLVNAALFSEYYYKVAGHRFTVVGVDANYVKPYDTDVLAIAPGETMDVLMVADAPPCRYNMVALSIQAPAPDPQIQTFVSRGLVRYKNVAVNGTRVCSEQALTPEMPDRHDTATTFFFHGNLTGRLPPAHRSLLRQVRDRVDERLFITLGQGSICKGENQEGSCKRGGSNESMLVAYMNNVSFHLPEKTPSLLEARWQYRQNKTINVTVEELPGRPARTFNFTDPALIPLIPGGKGEELEPTRKATTVRRFAHNATVEVVFQSTAALQSDSNPMHVHGHDFFVLAQGKGNYDAARDVGGYNLVDPPMKNTVQVPRLGWAAIRFVADNPGMWFMHCHFEYHIATGMATVFQVDDGPTLDTTLPPPPLDLPKCSQIKE* >Brasy1G204100.1.p pacid=40053165 transcript=Brasy1G204100.1 locus=Brasy1G204100 ID=Brasy1G204100.1.v1.1 annot-version=v1.1 MMPGMPAANGGAIVPFSGEPHHAASAPPVRPIRHGVAPPIFRVYVSWSSGNLLQVACLRQPSPEDGGGSGSEGVAGRVVEVNLGVGNGSAEVEEEVDEAEMRRIEYGSVPAFALLQSRKNAFAGTAAMSHMQAVPEHAEWWQYVLEYSKTIGYLLGNPDSLPAPMIEDPRAILKVKEKPTSLKAAWELLEIFYVDKQLHSWLPERLVDWLADYDSLLTKTDGTVYYKLSNFQKKLINLQIVENDPDYWNGLSAALSVGWLDVVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRMRPDLPTGKLGQCCKTRPDFIKAWEKWRGQVSKLECSAFWIQCGHQKTRDGLKNLLHIMMGNIKDVTAATSHWLELFTSHFLYIRPFMVGFEGMHHLAQKCIQLKPSFDNNGLTGLLTGILSENPEVVLAECTKKFGPWMVTHAMELLAADNDYADIMLHEERPNFGGMSIEELHRLVYAQVLCSHSLTWPIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLELCRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIAQQLFERIGESVAADNFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQALEGRTAEAARQTVEFLIQLMRNPSTPQRFWLPLLHDSVMLLNCKPRPLLNVAETTLLLNKLQELSMAKLRPDYCSNQLPSHALSSVRLALASNLARAILEEP* >Brasy1G571500.1.p pacid=40053166 transcript=Brasy1G571500.1 locus=Brasy1G571500 ID=Brasy1G571500.1.v1.1 annot-version=v1.1 MRPIAVLPLDVLLWEILIRLPAAAVLRCRAVCRSWRRLASDPTFLLAHHRRQPSLPVFVLRGSSSSSSNPANLDRGQPLLGFDDHDDNGHRGRFTLHASCDDLLLVSNCRYFSLCNPATRQHAPVPGLNAADCDYIEALYLHRPSGEYRVLYWFDDIIENNDDSVCYILRVPRARKPRCVVRPPAYLGMVGCVNPRRPVMIRGCLHWDPNSYALAGVVAVFDTVAESFKLMRLPVAAAGCCTRLHDMEGMLGLSCFDHNGTVAEVWVLEDYEREVWSLKYKINFSSESMCSLAKRQLVLSHVGDMLLYSNSGSHMVHYEGKFLEKFQWESWASTLTGHLFKESLVNHAFFPKPCSAPTVKHRCLFTRL* >Brasy1G173000.1.p pacid=40053167 transcript=Brasy1G173000.1 locus=Brasy1G173000 ID=Brasy1G173000.1.v1.1 annot-version=v1.1 MGRGDGYDDAAGALWRLVREAGGCLVLDGALGTELEAHGADLQDELWSASCLVSAPHLIRKVHLDYLEAGANIITTASYQATLQGFQSRGLSREQSEALLRRSVQIAQEARAIFVEGRSKGPYAGRENDGSRERRPVLVAASVGSYGAYLADGSEYTGDYGRSVTKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDIRIPAWFSFTSKDGANAASGDPITECAAVADSCSRVASVGINCTAPGLIHGLVLSIRKVTSKPIVVYPNTGETYVAETKEWVVYFVRVLQDSAGASGTTDFVSCVGKWREAGASVVGGCCRTSPATVRAIARALREAADVFPAVAVL* >Brasy1G173000.2.p pacid=40053168 transcript=Brasy1G173000.2 locus=Brasy1G173000 ID=Brasy1G173000.2.v1.1 annot-version=v1.1 MGRGDGYDDAAGALWRLVREAGGCLVLDGALGTELEAHGADLQDELWSASCLVSAPHLIRKVHLDYLEAGANIITTASYQATLQGFQSRGLSREQSEALLRRSVQIAQEARAIFVEGRSKGPYAGRENDGSRERRPVLVAASVGSYGAYLADGSEYTGDYGRSVTKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDIRIPAWFSFTSKDGANAASGDPITECAAVADSCSRVASVGINCTAPGLIHGLVLSIRKVTSKPIVVYPNTGETYVAETKEWVVDSAGASGTTDFVSCVGKWREAGASVVGGCCRTSPATVRAIARALREAADVFPAVAVL* >Brasy1G173000.3.p pacid=40053169 transcript=Brasy1G173000.3 locus=Brasy1G173000 ID=Brasy1G173000.3.v1.1 annot-version=v1.1 MGRGDGYDDAAGALWRLVREAGGCLVLDGALGTELEAHGADLQDELWSASCLVSAPHLIRKVHLDYLEAGANIITTASYQATLQGFQSRGLSREQSEALLRRSVQIAQEARAIFVEGRSKGPYAGRENDGSRERRPVLVAASVGSYGAYLADGSEYTGDYGRSVTKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDIRIPAWFSFTSKDGANAASGDPITECAAVADSCSRVASVGINCTAPGLIHGLVLSIRKVTSKPIVVYPNTGETYVAETKEWVVVSS* >Brasy1G455600.1.p pacid=40053170 transcript=Brasy1G455600.1 locus=Brasy1G455600 ID=Brasy1G455600.1.v1.1 annot-version=v1.1 EWQLRILVLGSLVIQYFLLVASVARKFPVRSCFRPVIWIAYLSSDAIAIYALATLFNRHKKPHDDSNSNSILEVLWAPVLLIHLGGQDGITAYNIEDNELWTRHIFTGVSQVTVAIYVFWKSWPAGGGGDKRLLQAAILLFILGTLKCFEKPWALNRASINSLVNSDSGEPVQRSINTQKIKIDPLEDFLDKATSPAGANGYLLTPATPVDFTPYKLFVDLASPSPDDRIRMLHEFSALSDNHAYSVYFHFAAIALFHHSHREAYSEDDVKVTYALLSCTTVLDLYSISLKNITRDTASPRPTAVKLEHDDAVSQYNLIGLLVRNREYSWMMSILGVLRCDGFLHQHWRMKSSSSFSITKIVLEYVKSGWEHHIRDVSSYRKFNDNRGQSTLEREGCYQELAWSLEGAFDENVLLWHLATDFCYYDTGVPRSHHGSRCTQDSCPHVYACPAWCERSDHYERAVRCREISNYMMYLLFLNPEMLMAGTRRNLFTTAYDELRGIVEGDKSPVKEREPRQRIGLVIRPQQQGGIIIDAWTIARVLSSLPEEKMWTVIEGVWVEMLCFSAARCRGYLHAKGLATGVEYLTYVWLLLYYMGMETLAAKLQRADHHRNGGEHGATNPSSSRVVSTGATGQEQAAGPSISSSGATDEEHGTTATISGETL* >Brasy1G239700.1.p pacid=40053171 transcript=Brasy1G239700.1 locus=Brasy1G239700 ID=Brasy1G239700.1.v1.1 annot-version=v1.1 MEEEKAAAYYDELNRKGEGARRFKQGLGFSSGDPQPASFSSKTTNTSSSSFLSGFVRAGANPTKPPPPREAPSRQRRRSPSPSRPRHGSRSPSRSRRRRSRSRSRSRSRERRRRSRSRERGDRRASHHRSRSRSPSRRSGRTSNTRDRHGDRRRDDGGSGGRESSKAHGGKVDYSRHIDGYDKMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDADAEAANDDASLVKNIGKSFRLSAVESKHENLVRDAHDEAMFGVPMSSIVDTEASEDELKTNDEAKKTEDVETQPASSLVSDKVLAMQKVSWRERIKKLRPSSDT* >Brasy1G239700.2.p pacid=40053172 transcript=Brasy1G239700.2 locus=Brasy1G239700 ID=Brasy1G239700.2.v1.1 annot-version=v1.1 MEEEKAAAYYDELNRKGEGARRFKQGLGFSSGDPQPASFSSKTTNTSSSSFLSGFVRAGANPTKPPPPREAPSRQRRRSPSPSRPRHGSRSPSRSRRRRSRSRSRSRSRERRRRSRSRERGDRRASHHRSRSRSPSRRSGRTSNTRDRHGDRRRDDGGSGGRESSKAHGGKVDYSRHIDGYDKMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDADAEAANDDASLVKNIGKSFRLSAVESKHENLVRDAHDEAMFGVPMSSIVDTEASEDELKTNDEAKKTEDVETQPASSLVSDKVLAMQKVSWRERIKKLRPSSDT* >Brasy1G455200.1.p pacid=40053173 transcript=Brasy1G455200.1 locus=Brasy1G455200 ID=Brasy1G455200.1.v1.1 annot-version=v1.1 MAEAAVATALSKLGELAMSEAKLLLQVGDDMVLLRDRLEWLQAFIRDADQRRRAGTDGLTRVWVRQTRDVAFLAEDALDEFFYEVDIQTPGYRGWKIWRKYFWTQIIIRPSLSTRMRKIKSRLEKISEHQKEYKIEHTPVVTWTSSTTETSARWKEDYKTVGFGKDVETLEKMLLRKDRPKKMFISILGESGVGKSTLAKDILKGEQVKREFAAFLFDMPADYNTESLLEDVYKRAKQEIIMEGDAVCEEGDIADKLRRLLEKKRYLMIIGGISSKTMLNCLMASLPDDDNGSRVMLILDAKNEGLAWYADSMNNKQQAGGTKGAVHLLGRLDEERSGQLFRSRVVRETQNEYMSIYERSIMFCWRFFSREEEQPNQEGGYLRKYQKIIYEITGGYPLAIVVLAGQLRFKEMPGQWEAVLQQLRTAGTEEAQGINVLYKEMEWQMSSPTLEANHLSTTRTTIERVFWASFEDLPNDLKLCFLYFAAFPKNSAQIASEIVWMWMSEGFIKPHKGKTVEEVGHNYLKELVLRCLVQIVRRDDAGDIASVRVHGSLHGFLHSEARESGFIEAHDMHNAFVPPSARRLSFMSFQGGPFKKKKKVSKADIPHCSPAVSSLSCVRSYAWSANKRTGTAN* >Brasy1G245500.1.p pacid=40053174 transcript=Brasy1G245500.1 locus=Brasy1G245500 ID=Brasy1G245500.1.v1.1 annot-version=v1.1 MLPLLLLTLLLAGDPGGASAADAPPGLPIGVNYGANADNLPTPTTVAAFLATKTTINRVKLFDYNPTFISAFANTPISVAITLPNSLLPSLADKSTGLATATAWIRTNLSPYVPATNVTLLLAGNEILLSPDTNLILALLPAMRRLSQALKSENLTSIRVSTPHYLGILAPSDGIPSNATFRAGYNTKLFPAMLQFHRETKSPFMVNPYPYFSYNPQTLNYALFRPNAGIYDPATKLNYTSMFEAQMDAIYTAMVKLGFGDVEVAVGEAGWPTQAEAGQVGVGVKEARDFNEGMLRVCSSGKGTPLMPNRTFETYLFSLFDENQKPGPVAERHFGLFNPDFTPVYDLGLLTDGKSVAPNPSPNPSPNPSPKPSPSGGGKWCVAKNSANGSDLQNNINWGCGYVDCKPIQTGGACFDPNNVQAHASYVMNAYYQANGHTDSACDFKGTGTVTSSDPSYGDCKYVS* >Brasy1G363300.1.p pacid=40053175 transcript=Brasy1G363300.1 locus=Brasy1G363300 ID=Brasy1G363300.1.v1.1 annot-version=v1.1 MGAAEVNHEEASPLLAPSADEKLPPAPTEATKGCADGVPVVMGEPVSGPGAPRESWDSGILSCLGRNDEFCSSDLEVCLLGSVAPCVLYGSNVERLAAVPGTFANSCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEGSFEAFTRQCGCCRGLAEDEERREHLEVVCDLATHYMCHPCALCQEGRELRRRVPHPGFNNGRSVLVMMPPMEQNMGRGM* >Brasy1G098700.1.p pacid=40053176 transcript=Brasy1G098700.1 locus=Brasy1G098700 ID=Brasy1G098700.1.v1.1 annot-version=v1.1 MWSGAAPAAARTASPRLPAPSQSSSRRAVRPGLSSVVRAGGGGGLMDFVGGDLVKPDLGRWLEDVEKHKALAIYPPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPESTLTKIHPVCPPSLGRQPIARWYFPPRWTTGSACFTRTPKASSSGSSKPRFSPRLSCSSWPCSRTSAPKSGSSRNVATGENLYGSR* >Brasy1G076000.1.p pacid=40053177 transcript=Brasy1G076000.1 locus=Brasy1G076000 ID=Brasy1G076000.1.v1.1 annot-version=v1.1 MAGSRKCALLVVTMILALLVASAAAGLKVCNVDRESVVKSCRPYCAVGSTEEKPSEPCCAAVRGANFKCLCRYKDLLSADIDGDRAVQIPSQCGIPGAPTSCA* >Brasy1G435400.1.p pacid=40053178 transcript=Brasy1G435400.1 locus=Brasy1G435400 ID=Brasy1G435400.1.v1.1 annot-version=v1.1 MAASLWLQLPSPPPPLHSPLFLSSSHPSSASPLLPKKHAPAARGNLICSSSSPSVVTKQEGDSPAEAIPAPAAPVGYKDDPNFRGCKGCGREETERGCNGEGRIMGGIAAVPLFGWWPIKAYRPCPGFVASGGRYRRYGQSMDDVIAGKGRKTASNKKNKSEK* >Brasy1G151300.1.p pacid=40053179 transcript=Brasy1G151300.1 locus=Brasy1G151300 ID=Brasy1G151300.1.v1.1 annot-version=v1.1 MDEVVELYEQTHCWSAVADGSNPGTCCMEIHCYNSSIRATRSKEAGSLCLQSLLVIRIFGGSLLDGCFLWSMLQNMYFMVVVICFLKLDFRGVKNFKLECFFCILKTRVADDLSEDGGSCSCGSIHQLQVREPLLLIPRSHEQGYFRLGSVLRPQDLQLNHLLPLLVQAL* >Brasy1G347500.1.p pacid=40053180 transcript=Brasy1G347500.1 locus=Brasy1G347500 ID=Brasy1G347500.1.v1.1 annot-version=v1.1 MVAAALLVAATLLALLADSVDGGHPPRGSGRGGPPPRGIQSPEALVADPVAGGCAAPTGRRSHDRGPTPRRSRRRRSGGCVGAAEEDGQSAVDEELVPLWPSSATQCFLFVSSLCNQGTPSYASGSGLNLGLQFSCSSILLFRSKIWQCLLL* >Brasy1G465200.1.p pacid=40053181 transcript=Brasy1G465200.1 locus=Brasy1G465200 ID=Brasy1G465200.1.v1.1 annot-version=v1.1 MPLVRFEVRNEVGLGDPGLYGGGGGAGKRGGGEAEPKVLLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSTDLPRFMMDSYEECRDPPRLYLLDKFDNSGAGACLKRYSDPSYFKKSWDMMRADKTGNLQKERKSHKIKRKGSRLKEPYHGQATSRHRNGELQRALTAVQLTSSRQCASPSTDGRSFSEHRSTSDARSNPDNISRSSSFSSKPRLSFAEQASDTKPFVVPHENDHDKLSNINLCKLDDASSPILLDGTRADYPADGSKQGYLPDEMVSRSPSVEWDEKAAIVMTTSSVYCDDVVMDRAGNAETKHISPMPQEVDHRETETLEQQETLLQKAKLLLLSSGLNHHDEVPSETDNYMDALNSLESEAETDVEFQTKNHGKPVPSFNGRAPQMKPADNIVSQLPDSSVAEFPDTCRNSNISHTCNRTADFPSLSSADAPDTSQHAVSGFTDIHPNEWSSVVTITENNADVALRDLREISKPVLRANTTTPSNQRSPGAIEIPESKAEDAPRGSPDMSEPVLSTYAVNPPIKVSVVNQIPESNAEDASGDSTDKGTSCLVPEPTISFIPTCETPCAKISPDDTTADASVISEMKPHDYPGENHEEFGGCGVAEVSNSPTVSLNESPENGCATEHLATNAPTSSVGVPSVKLWTNAGLFGLEPSKPPVFSGQECPREHTLSGYEEHQRYHSTNDTELHCSKHTESVIVDAPNGNASITSSFVGKLVGICPGSASQNNSEANQSAMRTPDTVYSQTDRPSDCSTSFEHSQHKNMNGKQTSISELLESEDNAGNGSETYSLSGMAGRNDMHVVSASSFSSIAQRFLANTLQRRTSPKYNDLPMSSGRVNTDANGNDEAAINSSLAPKETAYESSQFEKKTANGVDGLSKSPIFSNCHYSEKSSPPLEHMKISFHPMSAFEMSKLNLDFSDGNLHENVDDLMLPTFQLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQDDGIGLEDHELYNDSNQIGSSTTPISSYTGFEQMTLSGEKSTISLADIGDHGLATLEPHPAGELPNFDTLMSTNNHQNGDAPIQHNPVNLLPDEDKMPPPPPLPPMQWRTMRQTASLEAERGATAENMLKDASSLPPLHSPVQQEHLPPIALPDPEGHTKEVSHQKVDTVKEMSSLPNIFEIKSSLLQQIRDKADQQKLNGHERPKAVVSDVNGLDERGDLLQQIRSKTFNLRRTNASKTNTTSTAQSNVVAILEKANAIRQAVASDEGGDDDNWSDV* >Brasy1G465200.2.p pacid=40053182 transcript=Brasy1G465200.2 locus=Brasy1G465200 ID=Brasy1G465200.2.v1.1 annot-version=v1.1 MPLVRFEVRNEVGLGDPGLYGGGGGAGKRGGGEAEPKVLLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSTDLPRFMMDSYEECRDPPRLYLLDKFDNSGAGACLKRYSDPSYFKKSWDMMRADKTGNLQKERKSHKIKRKGSRLKEPYHGQATSRHRNGELQRALTAVQLTSRQCASPSTDGRSFSEHRSTSDARSNPDNISRSSSFSSKPRLSFAEQASDTKPFVVPHENDHDKLSNINLCKLDDASSPILLDGTRADYPADGSKQGYLPDEMVSRSPSVEWDEKAAIVMTTSSVYCDDVVMDRAGNAETKHISPMPQEVDHRETETLEQQETLLQKAKLLLLSSGLNHHDEVPSETDNYMDALNSLESEAETDVEFQTKNHGKPVPSFNGRAPQMKPADNIVSQLPDSSVAEFPDTCRNSNISHTCNRTADFPSLSSADAPDTSQHAVSGFTDIHPNEWSSVVTITENNADVALRDLREISKPVLRANTTTPSNQRSPGAIEIPESKAEDAPRGSPDMSEPVLSTYAVNPPIKVSVVNQIPESNAEDASGDSTDKGTSCLVPEPTISFIPTCETPCAKISPDDTTADASVISEMKPHDYPGENHEEFGGCGVAEVSNSPTVSLNESPENGCATEHLATNAPTSSVGVPSVKLWTNAGLFGLEPSKPPVFSGQECPREHTLSGYEEHQRYHSTNDTELHCSKHTESVIVDAPNGNASITSSFVGKLVGICPGSASQNNSEANQSAMRTPDTVYSQTDRPSDCSTSFEHSQHKNMNGKQTSISELLESEDNAGNGSETYSLSGMAGRNDMHVVSASSFSSIAQRFLANTLQRRTSPKYNDLPMSSGRVNTDANGNDEAAINSSLAPKETAYESSQFEKKTANGVDGLSKSPIFSNCHYSEKSSPPLEHMKISFHPMSAFEMSKLNLDFSDGNLHENVDDLMLPTFQLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQDDGIGLEDHELYNDSNQIGSSTTPISSYTGFEQMTLSGEKSTISLADIGDHGLATLEPHPAGELPNFDTLMSTNNHQNGDAPIQHNPVNLLPDEDKMPPPPPLPPMQWRTMRQTASLEAERGATAENMLKDASSLPPLHSPVQQEHLPPIALPDPEGHTKEVSHQKVDTVKEMSSLPNIFEIKSSLLQQIRDKADQQKLNGHERPKAVVSDVNGLDERGDLLQQIRSKTFNLRRTNASKTNTTSTAQSNVVAILEKANAIRQAVASDEGGDDDNWSDV* >Brasy1G465200.3.p pacid=40053183 transcript=Brasy1G465200.3 locus=Brasy1G465200 ID=Brasy1G465200.3.v1.1 annot-version=v1.1 MPLVRFEVRNEVGLGDPGLYGGGGGAGKRGGGEAEPKVLLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSTDLPRFMMDSYEECRDPPRLYLLDKFDNSGAGACLKRYSDPSYFKKSWDMMRADKTGNLQKERKSHKIKRKGSRLKEPYHGQATSRHRNGELQRALTAVQLTSSRQCASPSTDGRSFSEHRSTSDARSNPDNISRSSSFSSKPRLSFAEQASDTKPFVVPHENDHDKLSNINLCKLDDASSPILLDGTRADYPADGSKQGYLPDEMVSRSPSVEWDEKAAIVMTTSSVYCDDVVMDRAGNAETKHISPMPQEVDHRETETLEQQETLLQKAKLLLLSSGLNHHDEVPSETDNYMDALNSLESEAETDVEFQTKNHGKPVPSFNGRAPQMKPADNIVSQLPDSSVAEFPDTCRNSNISHTCNRTADFPSLSSADAPDTSQHAVSGFTDIHPNEWSSVVTITENNADVALRDLREISKPVLRANTTTPSNQRSPGAIEIPESKAEDAPRGSPDMSEPVLSTYAVNPPIKVSVVNQIPESNAEDASGDSTDKGTSCLVPEPTISFIPTCETPCAKISPDDTTADASVISEMKPHDYPGENHEEFGGCGVAEVSNSPTVSLNESPENGCATEHLATNAPTSSVGVPSVKLWTNAGLFGLEPSKPPVFSGQECPREHTLSGYEEHQRYHSTNDTELHCSKHTESVIVDAPNGNASITSSFVGKLVGICPGSASQNNSEANQSAMRTPDTVYSQTDRPSDCSTSFEHSQHKNMNGKQTSISELLESEDNAGNGSETYSLSGMAGRNDMHVVSASSFSSIAQRFLANTLQRRTSPKYNDLPMSSGRVNTDANGNDEAAINSSLAPKETAYESSQFEKKTANGVDGLSKSPIFSNCHYSEKSSPPLEHMKISFHPMSAFEMSKLNLDFSDGNLHENVDDLMLPTFQLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQDDGIGLEDHELYNDSNQIGSSTTPISSYTGFEQMTLSGEKSTISLADIGDHGLATLEPHPAGELPNFDTLMSTNNHQNGDAPIQHNPVNLLPDEDKMPPPPPLPPMQWRTMRQTASLEAERGATAENMLKDASSLPPLHSPVQQEHLPPIALPDPEGHTKEVSHQKVDTVKEMSSLPNIFEIKSSLLQQIRDKTFNLRRTNASKTNTTSTAQSNVVAILEKANAIRQAVASDEGGDDDNWSDV* >Brasy1G465200.4.p pacid=40053184 transcript=Brasy1G465200.4 locus=Brasy1G465200 ID=Brasy1G465200.4.v1.1 annot-version=v1.1 MPLVRFEVRNEVGLGDPGLYGGGGGAGKRGGGEAEPKVLLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSTDLPRFMMDSYEECRDPPRLYLLDKFDNSGAGACLKRYSDPSYFKKSWDMMRADKTGNLQKERKSHKIKRKGSRLKEPYHGQATSRHRNGELQRALTAVQLTSRQCASPSTDGRSFSEHRSTSDARSNPDNISRSSSFSSKPRLSFAEQASDTKPFVVPHENDHDKLSNINLCKLDDASSPILLDGTRADYPADGSKQGYLPDEMVSRSPSVEWDEKAAIVMTTSSVYCDDVVMDRAGNAETKHISPMPQEVDHRETETLEQQETLLQKAKLLLLSSGLNHHDEVPSETDNYMDALNSLESEAETDVEFQTKNHGKPVPSFNGRAPQMKPADNIVSQLPDSSVAEFPDTCRNSNISHTCNRTADFPSLSSADAPDTSQHAVSGFTDIHPNEWSSVVTITENNADVALRDLREISKPVLRANTTTPSNQRSPGAIEIPESKAEDAPRGSPDMSEPVLSTYAVNPPIKVSVVNQIPESNAEDASGDSTDKGTSCLVPEPTISFIPTCETPCAKISPDDTTADASVISEMKPHDYPGENHEEFGGCGVAEVSNSPTVSLNESPENGCATEHLATNAPTSSVGVPSVKLWTNAGLFGLEPSKPPVFSGQECPREHTLSGYEEHQRYHSTNDTELHCSKHTESVIVDAPNGNASITSSFVGKLVGICPGSASQNNSEANQSAMRTPDTVYSQTDRPSDCSTSFEHSQHKNMNGKQTSISELLESEDNAGNGSETYSLSGMAGRNDMHVVSASSFSSIAQRFLANTLQRRTSPKYNDLPMSSGRVNTDANGNDEAAINSSLAPKETAYESSQFEKKTANGVDGLSKSPIFSNCHYSEKSSPPLEHMKISFHPMSAFEMSKLNLDFSDGNLHENVDDLMLPTFQLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQDDGIGLEDHELYNDSNQIGSSTTPISSYTGFEQMTLSGEKSTISLADIGDHGLATLEPHPAGELPNFDTLMSTNNHQNGDAPIQHNPVNLLPDEDKMPPPPPLPPMQWRTMRQTASLEAERGATAENMLKDASSLPPLHSPVQQEHLPPIALPDPEGHTKEVSHQKVDTVKEMSSLPNIFEIKSSLLQQIRDKTFNLRRTNASKTNTTSTAQSNVVAILEKANAIRQAVASDEGGDDDNWSDV* >Brasy1G522600.1.p pacid=40053185 transcript=Brasy1G522600.1 locus=Brasy1G522600 ID=Brasy1G522600.1.v1.1 annot-version=v1.1 MKSSTLTAILVLQAVLVMGILAEVNAVGYWPKCCDNCRTFSGVMVCDDAMAKCHPKCLACRVVQEKPVKTFRCADQFTEDQTCGRKPCKHH* >Brasy1G333600.1.p pacid=40053186 transcript=Brasy1G333600.1 locus=Brasy1G333600 ID=Brasy1G333600.1.v1.1 annot-version=v1.1 MAAPSLLGRLLVCSLLLLLLIAGAAGQRAAAASQRRRQGILVMPVDLMQPDVVVAKDGSGDCDTVSAAVARAPPPPPDNGTAAAAMRRFVIHVKAGSYDEQVIVTSHDVLLVGDGAGITVITGHRCHATGDDTESSATLTIQLGHRFMARDLTVENSAGGRRDGQAVALRTESSESVYYRCEFRGYQDTLYAVRYSQFYRECNISGTVDFVFGDATAVFQNSFLLARRPADAGQPVIITAQGRQDRITSGFVFQNCTVSERAGENLKEVKTYLGRPWKSRSTVVFMLCAIDELVHPDGYFTWRNGDNESSRIFYLPKPRPRGADATRRVQWKGFRVLKNKSAAIKFTPGVFLNNAVHWLPETGVPHYLGLTD* >Brasy1G153600.1.p pacid=40053187 transcript=Brasy1G153600.1 locus=Brasy1G153600 ID=Brasy1G153600.1.v1.1 annot-version=v1.1 MEPSLTSGLSMILLFLLPLLCSLCLYWDAKKQTRADGLKAYPIIGTLPHFVRNQHRLIEWTAGVLARCPTYTMSFNFRGLGLTAGVMTANPANVEYVAKTNFQNYPKGEFVVSVIGDFLGHGIFNSDGDHWLWQRKAASYEFSKRSLRNFVVSTVRFELVERLLPLLSRAERDGRTLDVQDVLERFTFDNVCCVVFDEDPACLTEEGLGANGRAEFMLALTEAQNIVMARFMAPVKWAWRVKKLLNLEPERRMRQALATIHGYVDRIVRERGERGAAGLARKDDFLSRFASSGEHSDESLRDVVTNFIVAGRDTTSSALSWFFWMLSGRPDVEDRILQEIRASSTTTGAGDAAAAFGYEELHGMQYLHAAITESMRLYPPVAMNTHCSMRDDVLPDGTFVGKGWQVTYNAYAMARLEEIWGDDCDEFRPERWLDEEGVFRPASPFKYAVFHAGPRTCIGKEMAYIQMKSVIAAVLERFSFRFVGGDTRPGLVFSLTLRMEGGLPMQVKKREALNG* >Brasy1G076400.1.p pacid=40053188 transcript=Brasy1G076400.1 locus=Brasy1G076400 ID=Brasy1G076400.1.v1.1 annot-version=v1.1 MGGGATPVRWPRVLAPVHLAGAIRRQKNPLEAARLYDDAPRWYPRSSYRHSDAVHASLLAAASASPVLLPSLLRRILRSSPSADALLAASIPHLPPEDAVTIFRSSLPSSPAPSWSLSFSALLRRLLSRGLLPEAARLLADFQGRPEVSLSSEDISLLISEMCRVRRPDLALQVLDEMPDQCLAPDKGAYRAIVPALCDAGMLEEATHVVYSMLWRVSQRGCDVDVVVYRALLVALCAAGRGEQAEIVLDKIIRKGLRTPGSRRSLRVPMLARLSIDDAREAIDRALVVRGGRTVASFESMVLDLYDEGCLNEADNLFKDMGKKGFKPTICMYEAKIIALCREQRVDDAIKVLEEELAKSGLVPTVTTYNLLMKGLCDIMQSMKALGFLKRMDKQLGCVARKETFSILVKGLCSESQFVEAAKVMERMVKGHHRPDRTEFSNVIEGLCYLGRTYDALLWLEEMIDHGETPDVRVWSSLVSSALGLGESVVVAAM* >Brasy1G468400.1.p pacid=40053189 transcript=Brasy1G468400.1 locus=Brasy1G468400 ID=Brasy1G468400.1.v1.1 annot-version=v1.1 MDEERAIFKRKMLELIEKGARLSPGSWATRSPPQDLSPEEAEIRERFLDLVRTGIERKRHATKVTPDPPSNPAQHGDVAVDAIWGQDPLCVTRRSESGDQVPAVRSPEIVTGTNQITTIDPVGEWCLISDTADMMEQESERRNSFRDWEIFNQMGNEFQRLRGLTELWRIKEFEDADRRLRRNSRGKVYKDRGKGHRPHNNFTQLQELAMAGIIEGQGSATAESVADGQRRGKGQEMEESSKRRVEELIAAVEPRPDPARLNFRLEVDEPEVEIDFEDLEQRMGGRYIMLGMYLTTEVFTTADLFRALRRIWQLRGERAQGKKQKEDEICKVKYERLPNFCFLCGLVGHLSNDCLLPEEDKLIRYSITQKASPFNHFEHRSCKLKMAEETDKTNIQGKTAGKVTDKTAETLVSQAVNKNAGRNSWRRHMKMGAKDRRGTRGDRSFLGVPPLSKCLQEGGSVLRELKEEEAKQMAKSFNKAHLNILGKRAEIEDTMMAEASQEKNAEHMGRCKRTKATAGGGTTEVEKEGTSEATSTGAAGKLTGPQEAPRVGNPRTVRDLLHLSHKNNNKDKVRRIRNRLGLRGFAGSSSLGNSGGLALFWDEHVIVDVKEINERYIDAYVKVSVNEPEWRLTCVYGEPRTENRQRMWDAMTDLKTRSSLPWLVVGDFNEVLWQHEHCSMTRRAESQINSFRDTVELCGLVDLGFSGFPFTFDNKQQGRKNVKICLRKLKLNTSLPPALIIARLLLVCSKKLGNISWVKSATMKLPGKGHLNLRI* >Brasy1G405800.1.p pacid=40053190 transcript=Brasy1G405800.1 locus=Brasy1G405800 ID=Brasy1G405800.1.v1.1 annot-version=v1.1 MLAIILLLQASGGAVARHYHGAKRPDRCGGGGHDANDDSVLGILRHRERHRCRPSPARHGGTPAVMTANGFQRGQDGGGPAACDGKFHSDHDLVVALSTRWYAGGRRCHGAGIRITSKRSGRSVVARVVDECDSRRGCKDNIVDTSAAVWKALGLDVSIGEAPVTWSDA* >Brasy1G173200.1.p pacid=40053191 transcript=Brasy1G173200.1 locus=Brasy1G173200 ID=Brasy1G173200.1.v1.1 annot-version=v1.1 MLARMRLTMSAKLPNSFSLRGLLGQRRNTFDLYGKSQAWFSTVSFSDVGEKNDMGGNADDDFAGSKREVEFRGVHRAIICGNVGQVPVQKILRNGHTVTVFTVGTGGMFDQRRVGAENLPMPAQWHRIAVHNEQLGTYAVQKLVKNAAVYVEGDIETRVYNDRINNQLKNIPEICVRRDGKIHLVQSGDSDVSKSLEELREGLF* >Brasy1G350700.1.p pacid=40053192 transcript=Brasy1G350700.1 locus=Brasy1G350700 ID=Brasy1G350700.1.v1.1 annot-version=v1.1 MANLFLKQAKQYAATRPAYPPELFEFIASKTARHDLAWDVGTGSGQAIAPLAKLYKEVVGTDTSAQQLSYAPPLPNVRYVHTPADLPLAGIHAAVAPPSSVDVITVAQAFHWLDLPRFYADARSVLRAPHGVLAAWCYTEPRVDAGGAVDAAFWRLYEASQPHWAPNRKMVDDEYRSVEFPFDPVEGEAHTGPFEFSTERRMDLDGYLTYISSWSAYQTAKDKGVELLDEATVREFAAAWGGERGEVKTVRYPVFLRIGKVRPESDA* >Brasy1G191900.1.p pacid=40053193 transcript=Brasy1G191900.1 locus=Brasy1G191900 ID=Brasy1G191900.1.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.2.p pacid=40053194 transcript=Brasy1G191900.2 locus=Brasy1G191900 ID=Brasy1G191900.2.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.3.p pacid=40053195 transcript=Brasy1G191900.3 locus=Brasy1G191900 ID=Brasy1G191900.3.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.4.p pacid=40053196 transcript=Brasy1G191900.4 locus=Brasy1G191900 ID=Brasy1G191900.4.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.5.p pacid=40053197 transcript=Brasy1G191900.5 locus=Brasy1G191900 ID=Brasy1G191900.5.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.6.p pacid=40053198 transcript=Brasy1G191900.6 locus=Brasy1G191900 ID=Brasy1G191900.6.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.7.p pacid=40053199 transcript=Brasy1G191900.7 locus=Brasy1G191900 ID=Brasy1G191900.7.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G191900.8.p pacid=40053200 transcript=Brasy1G191900.8 locus=Brasy1G191900 ID=Brasy1G191900.8.v1.1 annot-version=v1.1 MDSHHRSPEYSSLLLAGPRVGPLKQAHARLVVTGHSRSLPLITKLANLAVAAGAAPYAHLVATSHPASDSFLFSSLARAAAHQGFPLAALAFYRCLLSAALPFSSFAFTAVAKACADLSALRTGMTVHAHSILLGFGSDRFVLTALVVLYSKCGQLAVARKLFDAIRDKNVVAWNAMISGYEQNGLAEQGIEVYKEMKVARTVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARQWFDVLQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRCEGPPPNDVTFVAVLSACAHAGLVTEGRDAFACMKRVYGLAPRAEHYCSVVDMYGRAGLLEEAMQFIRDSIPGEPGPEVWTAILGACKMHKNFSLGVEVAERLISLEPENPSYHVLLSNIYALSGKMYHVEKVRNTMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQKTREIYQYLEELVHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSAGSTTPLRVIKNLRICSDCHLAIKFMSAVENREIIVRDKHRFHHFKDGKCSCLEYW* >Brasy1G440300.1.p pacid=40053201 transcript=Brasy1G440300.1 locus=Brasy1G440300 ID=Brasy1G440300.1.v1.1 annot-version=v1.1 MTALKLPKNFIASFDKIRRRFLWGIDEEAAAGGKCKISWAKSDRDLFAAAARVTIGDGSTASFWHSNWLGGRPLRHAFPLLFARSRRKLRSVAEAIIDHRWIRDLRHDVTAPFLHEFLLAWHELAAVSFQPGVPNSIRWIFTADGSYSARSAYKLQFAGRILSSMPQATAPHLFIECSFSSRLWCSVALWPNCRSFAIAIRESTSIISFHDRLMSVCGAEHRKGLSSLFILVCHYIWRERNSRVFHDKAMALCQLSCFIKDEAQEWAFAGAKALRKLLWEPP* >Brasy1G035200.1.p pacid=40053202 transcript=Brasy1G035200.1 locus=Brasy1G035200 ID=Brasy1G035200.1.v1.1 annot-version=v1.1 MAEIVSSAIVGEAVSLVFSAQPTEKERTNSDQETAGDGLERLEMARIKMEAALETSGKWQITDVSLLHWRKKLKRAARDCDDAARRCRRIADATKAFVSSFVGRNNNNNDHCSASSNVAAAVRRFERFADGAGEFMRFVQLGGTLFFDPLIGHIFAGNSLIHHMAGAWAGGHVVLRVCKSQSAREQFSPRLHVASLREYRHNRNCSKVFTTPHFKSMADVVIKEITHLPTQDFAYVPSEVANANMDHWKPRISKRYGGVPDVGRKFRPTGGTPDVGRKFRPTGGYEPQGNVHFCSVRDNDGAGGSSDSENKLRLSSIFPEPVYQVLLQRYISLSEYNNLVPTSITATSTGYNDMYAHVENFPPLKLGILFLPHDSWEMDLKSASEADYAIEAIDGEKQQHLTHVNVHPNQLDEMLLPKATDYLYHNAEATTYQICWRSNHGSAHLCLEKTKMVRAPKSKQDMNSDKVLCQMPHDQPISKEPCKQVVRDFLKLWVVRSSERLRTSISAWLGEDKANG* >Brasy1G222900.1.p pacid=40053203 transcript=Brasy1G222900.1 locus=Brasy1G222900 ID=Brasy1G222900.1.v1.1 annot-version=v1.1 MAPVLNIVIGSHVWVSDKDVAWIDGEVFKIDGQNAHVRTTKGNTVTANVSDVHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDVHTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKSGKISGAAIRTYLLERSRVCQTNSPERNYHCFYFLCSAPPEDIKKYKLGDPSSFHYLNQSSCIRVDGIDDAEEYLATRNAMDTVGITEQEQEAIFRVVAAVLHLGNISFAKGREVDSSVLKDDKSRFHLNTAGELLMCDCEKLENALIKREINTPEGVITTTVGPNSATVSRDGFAKQIYSRLFDWLVNRINASIGQDPSSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFAKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPASEENTKSSKSSSIATRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENANVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEILKEKNDEKVTCQKVLDKMGLQGYQIGRTKIFLRAGQMAELDARRTEVRNSAARGVQGQFRTHVAREQFLILRSASVSLQSFVRAKLACKLHGFLRQQAAALKIQKNIRCYFAWRTYSQLCLSAITLQTGLRTMAARNEFNFRNQSKASIHIQSRWRRHRDNLSYLKLKRAALTYQCAWRRRVARRELRQLKMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRTDLEKSKVAEVSKLQAALHEMEKRVEEVAAAKENESARKAVEEALAQEREKISSLTSEIEGLKVLLAAEREENDATKKAHANTQERNEELNRKVEDADETNKQLNHIVKRLEETVKLGEALLLEERQQKEQASAALAESHLRDQAFGLKIEDYEKQIVLLQETVQRFEYSMANLESSLTIEKQQHEACVVELAEAQGKIEELLIEVGDTDEKSTLLQTTVQRLEERLTEKDALSTTERQESEAIKNLLNEVQGKNEELLKKLEDTEKSFTHYKDSTQRLEENVAAVEISLKAERQHNDAIMKQLADAQVEVAELQRNLEDADRRNSLLQDSLQRLGEEATTREALLEAEKQENEVTKKTLTEALDQIEELVKEVECAKDSMHQLHDSIQRLEQSASAREEVLLTEHQEKDATSRALAEAEVRIEGLLDEISSANINIGLLQKTVKRLEEGATTTDALYLAERQAHDQTKKVLSEAQEVNQELLMRVEVADKNIEQLLDNVERLEKDATVRESLLLKTKESYDDTKTELLEAQEKNQQLVSKVEDSDKKIGLLEVSVKRLEESTAVIDSQLAIERQENSKTRRELSEAHRKIEVLLNEAQDNHATLAELDDTIRRLEENVGAKETLLLTEREHNASSLKLLAEAQLKIAELTKNIDDAHRKSDSLQSTVERLEEDGTAKDVLLLTEKQAHEATRKTLSEAQERNEELLMKIHDNDKNILQLQFTIQRLEENTATKENLLLREREQNDATTKSQIESQERSEQLLQKFVDLDTKIDLLQDTIERLGENSTTKDALLLSEREEKDAIKKALAEAGDRNEELLMKIQDTNEKIEHLQNTILKLEEDIAAKDVSLEAASQENESIRKSLTEAQQRNEELLRKISDNEYRIHLLQDTVQKIQVDAISRLSSFVMEKQDSDVANRALTEAHERNEDLLKRNEDLLMRNDDLLKKIGESGKIITHLQESLQRLEGKAANLEAENHVLRQQVTATPPSTAKSSSSRSKITRIHRSPENGHILNGDLRQTEMKPSTGTSEATPSLGHAPDLSNQKDIEPGEKLQRMLDQKYQSPQPQRPQDDQQWLLTCISQYLGFSGSKPVATVLIYKCLLHWRSFEAMKTGVFDSILHAINSAIEAQTDVRTLAYWLSNLSALTVLLQRSFKTTRTALSTPQRRRFSSERIFHTSQTPNAGLAYLSGQSVVGGTGLAQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSNLAKGHTNGLGHQNQLAHWLSIVKVLATYLDVLKANHVPSILVHKLFVQIFSLIDVQLFNRLLLRRECCSFSNAEYVKAGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLREIRSDVCQALSIQQLERIVSMYLDDVNGTNTVSAEFASSLKAAAREEANTATTFSILLDDDSSIPFSLDDITKTMPVMEMADDDLLPFVHENPSFAFLLQRLE* >Brasy1G142200.1.p pacid=40053204 transcript=Brasy1G142200.1 locus=Brasy1G142200 ID=Brasy1G142200.1.v1.1 annot-version=v1.1 MAAPADFAQSKQAMSYALCKHLNRDPSDISSTIIKESDIASLFSHIVNPSQDEVKKWVEFSSNFVQSEGEQHVLLGNLNQHLSQMSVLLAGGFKPSVADIVVFATVHVFICHLSDSELQKYPHILRWMDYIQNVVDFGTTVQKINVTKSVFNPPSHPKKAEKGDVDPSSKKVLSGQKITDKSDGTADSKKAAVENKVPGDKANPTSAKNNKPSGDKKKAQEKTAGKATEAAPQKNAEKDSECSVSILNIQVGIIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKFFNPDDLVNRHVVLITNVKPGKLRDVMSAGLVLCASNKDHTIVEPLIPPEGAKLGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFRGIPFVTSAGPCRSSVPNADVK* >Brasy1G408900.1.p pacid=40053205 transcript=Brasy1G408900.1 locus=Brasy1G408900 ID=Brasy1G408900.1.v1.1 annot-version=v1.1 MLQGLVLRDDEEDEVVLEENLEELEADARWLALARVHTLRSFSHASFFGYMRASWRCAKDFMCLADWERVMEDGPWLFRDCPVIMAQYDGWSDVDSVELIHYPAWVRVMDLKERMRTGSIAMQLARKAGSVIRLDELSVKGRGEGVRVRVMLDATKPLSRAAPVKLSKGKTYFRLVYEKMPAFCGVCGLVGHVTKEHGDGIHEPSAIQYKESLIAPEFRRKDWVPGDEWDNDLRDNASSPVKKMAERTSSLTSKRRLELPDVPLGRVPGTMPMLTDYAHFEKEGVDGGGDGKTKSLDHPSSSRDSKRQKASSDGANNTNDLALSAGSQEEPRRA* >Brasy1G057600.1.p pacid=40053206 transcript=Brasy1G057600.1 locus=Brasy1G057600 ID=Brasy1G057600.1.v1.1 annot-version=v1.1 MAAIVFVFFFFSLLLLLSPAALGFTRTDFPRDFVFGAATSSYQYEGAVAEDGRNPGIWDTFTHAGRMSDKSTGDVASDGYHRYKDDVKLMADTNLEAYRFSISWSRLIPDGRGAVNAKGLEYYNNLIDELLKYGIQVHVMLYHLDFPQVLDDEYGGWLSPRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVGVIGSYDTGIFAPGHCSDPFGVAECTPGDSTVEPYVAAHNMILAHASATRLYRKKYQATQKGVVGINVYSFWTYPLTNSTVDLQATKRYQDFTFGWVLGPLVFGDYPQVMKKNVGSRLPSFTKSQSEAIKGAVDFIGINHYFSVYVNDRPLDEGPRDYEADMSVYQRGSRTDPPSGQFNPEAFPNDPDGLQFVLQYLTEAYGGLPIYVHENGDASGNDILDDTDRIEYLKSYLGSALAAVRNGANLKGYFVWSLLDMFEFLGGYNSGYGLYRVDFGDKALPRQARLSARWYSDFLKKKKGSGSVHVQNELENTGSREKLVASK* >Brasy1G200700.1.p pacid=40053207 transcript=Brasy1G200700.1 locus=Brasy1G200700 ID=Brasy1G200700.1.v1.1 annot-version=v1.1 MPSSTIRSISITVSDDDGAAPPRRARAGRRKAARSLGERAVRLVARWWPILLLLPAVALLLFEASRLRASSSPAPPVSSLGRLDPTTRLVHGVREPCLKLLSPKRIENLIFHEGPGIDAVVKRIIYKTDDDDYDSYHSEANSTYLLQHAEATRFNLFTGFQTVPEREESFKVNETVNMHCGFYSDNGGFKISDDDIRYMRTCRVVVSTCAFGGGDDLYQPIGMVDSSIGKVCYVAFWDEVTLSTQEAEGKGTGDNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSVWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRRDGMPDEKRLHGFKALSEASIIVRELTPLTNHFMCDWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFPVCTRRDLVNSLGHTRKVKPLTQMNTDSSAT* >Brasy1G415400.1.p pacid=40053208 transcript=Brasy1G415400.1 locus=Brasy1G415400 ID=Brasy1G415400.1.v1.1 annot-version=v1.1 MGQQRRWLILACIPRVVPDGEASPPGTDFSFQFKPPPRASCLTVPRRMAPDPKDIENHPYVAVSDHGRFLLYATMGNDDLVPGEPRFVDRFHSEPLGVVNQGYRPQAYFLCDLQTLAATRLPDPDPDPDRPILHPGNVGLVSYIPLQPTIKPDDPVVSVAVELRPTTGQDHAALIWYASWTNSWYVWHVNYPPPAHRKWGGQGVITPADAPDSPRLTWVDLSCGLLTCHNFFDKNKGPEPPLRFIPLPDGCERAVGTVDLDKTRCVGMSAGSLRYVQIHWRGADPFVSMWTLLDWDARSWRRDSAISFSVIWADSGYRAMTLPEVVPDGSPAGAMELLPDEVPAVAFIDPDHGHAVYFLLRSRLFGVDVRTGKFLRWQHFKMDHPPSRYHSSRFVRLWNLSQEIFSNASTCRWLPQRTSPFLAPS* >Brasy1G071700.1.p pacid=40053209 transcript=Brasy1G071700.1 locus=Brasy1G071700 ID=Brasy1G071700.1.v1.1 annot-version=v1.1 MAGNEWINGYLEAILDSGGAAAGGGAGAGGGDPRSSVAGASASPRGPHMNFSPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGIQRMSARQKEQEQVRREATEDLAEDLSEGEKGGTVGELASYGTPKKKFQRNFSDLTVWSDENKEKKLYIVLISVHGLVRGENMELGSDSDTGGQVKYVVELARALSLMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLCSGSTDAEGGESAGAYIVRIPCGPRDKYIKKEALWPYLQEFVDGALAHILNMSRALGEQVGRGKPVLPYVIHGHYADAGDVASLLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARTRRGVSCHGRFMPRMVVIPPGMDFSNVVAEDVDGDGDGKDDMLDGASPRSLPPIWAEVMRFLTNPHKPMILALSRPDAKKNITTLVRAFGECRPLRELANLTLIMGNRDDIDEMPAGNANVLTTVLKLVDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDKNAIADALLKLVADKNLWQECRKNGLRNIHLYSWPEHCRTYLARVAGCRIRNPRWLTDTPADTGADEEDALEDSLIDFQDLSLRLSIDGERGSSLNEPASSDPQDQVQKIMNKIKQSSSHAHPSRIPDGSGGAGEGDAKSHSELAPGGVNKYPLLRRRRRLFIVAVDCYGDDGRATKKMLQVIQEVFKAVRSDSQMSKISGFALSTAMPLSETLQLLQLGKVPPTDFDALICGSGSEVYYPGTAQCVDAQGRLRPDQDYLLHINHRWSHDGARQTIGKLMAHDGSSDAVEPDVESCNAHCVSFFVRDPKKVKTIDELRERLRMRGLRCHLMYCRNSTRLQVVPLMASRSQALRYLFVRWGLPVGNMFLIVGEHGDSDREEMLSGLHKTVIVQGVTDKGSEQLLRSSGSYHKEDVVPAESPLTASTRGEPKADEIMRALKEVTKTSSGM* >Brasy1G111200.1.p pacid=40053210 transcript=Brasy1G111200.1 locus=Brasy1G111200 ID=Brasy1G111200.1.v1.1 annot-version=v1.1 MADDGGLVLAAVLTGGLFVVLLVLLAVVLVRRWWRGREAAASSRDFVLFGVCFPEDRPQQRSRSYRRRASRGGEAAGDDDEEPGESELARWKKMFGGPARCLSTIEEGTEKGTPAATTPAFCSPPPSPDRRDGRTTLQAV* >Brasy1G457400.1.p pacid=40053211 transcript=Brasy1G457400.1 locus=Brasy1G457400 ID=Brasy1G457400.1.v1.1 annot-version=v1.1 MDEQEFRRMLDLFPVVRSRDYCKAESESSSKGTTQQARSLEIEGAKKEPSAAEHLFLRKLKLAAEKKVGVTKAELFCKTFEEAHEKLVYKELNLDAAQRFLDAYKS* >Brasy1G373200.1.p pacid=40053212 transcript=Brasy1G373200.1 locus=Brasy1G373200 ID=Brasy1G373200.1.v1.1 annot-version=v1.1 MDHNVETTTFEDLDNRPLKKAKCSETSVLDDLLSSPSISASSLVSECSETKSTLSPVSDLINEEKTSDDDDKQTVSADDDKQPDVPQHTNDGTYDYLPQDYTLTELDQCAHLVIEDSSEKEILVKIDQVYVKQCDLMCLLDGAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGKIGIHEATFMTKIVGNYLKHDMIHLPININHSHWYLACVNVEKSKIQVLDSLCWEHNRVDLINTLQGLQYHLDILKTQKNLSNHNWKDLDVTKWTIIEQLHNPIQEDSSSCGLFMLKFMEYWTGHTLTHPITQENIINFRYKLAAILLCWKTNTAQSTEVIEESDYSEGDPDDVMMLEGLDDENQPKPLNSLSIEKRYQSLISVVSNMSVHELEGGLCNYIKSINSAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFWMITDFGRHPNYRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSHREFILFVLAKDTRTVYILDPTPIDPIYQRNPLAKYVPRLLWIAEHLPKAMSKACPGSTWNENIFLWRQQIINNIPIHNRELSGYLITLFMSTWDDGKVNLPFLKDGYELRKRILGKLLTFKKNECEVNMPAGVLDIINCIRNIQSNMNVKI* >Brasy1G196400.1.p pacid=40053213 transcript=Brasy1G196400.1 locus=Brasy1G196400 ID=Brasy1G196400.1.v1.1 annot-version=v1.1 MAVRTGVVAVLLCLVLIVGTGLVATPAEARGVQADHMYAAPNTNVAGAGGGNGITRGRWNHVRRSLQAGGAGGDAAHKREVPGGPDPQHHN* >Brasy1G480000.1.p pacid=40053214 transcript=Brasy1G480000.1 locus=Brasy1G480000 ID=Brasy1G480000.1.v1.1 annot-version=v1.1 MAMVVPAINIGRLVFLVGTGFASSIALRNGERLSDFLREVQEILQRDRGGGGGGGGGGAADNAQLKAIEGLAREIKELMSISPTIIYQNEDGSRVMTALMAPAAAAGAMGYAYMWWKGITFSSIMYVTKQNMANAVSSMTKHLEQVQNSLAAAKRHLTQRIQKVDDKLDKQKEISEQIRDEVTGARLKLKDIGSEMENLKKLAFNLDGKLDTIQDKQDFQLAGVSYLLQFIEPHEAMLPEGSIQFISQDCGRLPLFLQEGPKGPVVTRSGKKGELPGLGFGLRLLALEQSANSALSMPVNPAC* >Brasy1G568900.1.p pacid=40053215 transcript=Brasy1G568900.1 locus=Brasy1G568900 ID=Brasy1G568900.1.v1.1 annot-version=v1.1 MRAIAGAVHSSTPCSLAKAIRAIAVFTELNATNLPSSDAATYLRTVAEAANDLHIFRRGLRRPQGDVAGGAHGDGGAEASERKQGASATAGEKKSKSGKRKGSREDEEMKDQNLDQDKEIAAADRGLHSPASEKRKKKQERKEIHVKEEDVSGDVNQEVNRKALVDGSQGLVPEGGDDEKRARKERKKEKRVKVEDESGDVNAEESRKALDDGLHGSVSEGGVESERKAKKKKKHMKQEQEEVMDVKEAEQKIVHGDLAENVVASQEKKRKKRKHAEEEEEKKEIVMNGDLGSEKKRKKKRERDGDDGNEKEFVEHTIKKQRQ* >Brasy1G515900.1.p pacid=40053216 transcript=Brasy1G515900.1 locus=Brasy1G515900 ID=Brasy1G515900.1.v1.1 annot-version=v1.1 MCSKGFILSSKGKGQVMCCYQFSESLDGHTSFLPATMAASAKRGGSLFKIRGSHCPSFGQCKIHYLSIISTLRKSGSTCCLAHI* >Brasy1G321800.1.p pacid=40053217 transcript=Brasy1G321800.1 locus=Brasy1G321800 ID=Brasy1G321800.1.v1.1 annot-version=v1.1 MFDSKFSLSLQAERTRMPGLALNPERRRNHLARKRIWVLVPGGMNKEALESRITLMSGRGE* >Brasy1G321800.2.p pacid=40053218 transcript=Brasy1G321800.2 locus=Brasy1G321800 ID=Brasy1G321800.2.v1.1 annot-version=v1.1 MAERTRMPGLALNPERRRNHLARKRIWVLVPGGMNKEALESRITLMSGRGE* >Brasy1G012300.1.p pacid=40053219 transcript=Brasy1G012300.1 locus=Brasy1G012300 ID=Brasy1G012300.1.v1.1 annot-version=v1.1 MRRLVCCLVVAAMVVLASAQAQKPKMAVAAKKGSKPPAGGKFETVTMGKFSRRKYEITCTDDGGPGCVVSCPDSCPNKCITFCGYCMTFCMCDLFPGMSCGDPRFTGGDGNTFYFHGKMEKDFCIVSDKDLHINAHFIGNHNPEMKRDFTWVQALGVTFRAKDGVAPHRLYVGARRAVEWDEDEDHIQIALDGEPVEVEAAKKARWVSGAVPALSVTRTDTVNTVTVELDGVFSISANAVPITDEDSRVHRYGKTGKDSLVHLDLGFKFHALTDHVDGVLGQTYRPNYVTKVNVTAKMPIMGGAPKYLSAGLFSTDCAVSQFHRGAAGAVVETFAS* >Brasy1G451000.1.p pacid=40053220 transcript=Brasy1G451000.1 locus=Brasy1G451000 ID=Brasy1G451000.1.v1.1 annot-version=v1.1 MAALRAAGRKQLSASTLSGPTRPTKATGSHVFRIGDYSQVRDAVATGTAVHSSTFAVGGHDWQIRCYPNGQDKENQDWISVYLRRHATAWEWANYMNPANATAKFEMSILDQDGKLVHRGGGSKDQRCVFSSSTGMGWGYRKFMKHADLHGEKHLKGDSLTLLCDVTVVDLGHEFDGAAVPVPEPAAVAPPPPPFELRGDTAELRTDDMDADVCRAVLRYKLEKLKLICEEALCKNINIGSVAANLALAERHGCPLLKDACVRLLSAPGNLEALKGECASALLEIIVKKIARQQQ* >Brasy1G187300.1.p pacid=40053221 transcript=Brasy1G187300.1 locus=Brasy1G187300 ID=Brasy1G187300.1.v1.1 annot-version=v1.1 MMVSKGRTKMRNRERKSSILNFDAGCGSRLSFIVWGLLGFALIVCLFFISHQVETRHGRIYSGHLPATRELEEIEEENFHLPPPHKVNPRAAKRRGPRKASKIIDEYLDGTSAIHGMFFPSQSTAMNPQRGGNDSMSFYPGRVWLDTDGNTIQAHGGGILYDHNTAKYYWYGENKDGPTYQIHPEGAQRVDIIGVSCYSSEDLWSWTHEGIVLPGEPANITHDLHKSKVLERPKVIYNDRTKQYVMWMHIDDTNYTRASVGVAVSNSPTGTFTYLYSFRPHGFDSRDMTIFKDDDGKAYLFYSSRVNSELHVSPLTEDYLNITSAMRRILVRRFREAPAVFKFQGTYYMITSRCSGWAPNRALAHATHSIMGPWETLGNPCVGGNQFLRVTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLSIGGLADEPVDYSFGFPLWPKVSIYWHRKWRLPEGWRKR* >Brasy1G187300.2.p pacid=40053222 transcript=Brasy1G187300.2 locus=Brasy1G187300 ID=Brasy1G187300.2.v1.1 annot-version=v1.1 MAVSILATCLPPENWKRLRKRIFTCHLLTRVWLDTDGNTIQAHGGGILYDHNTAKYYWYGENKDGPTYQIHPEGAQRVDIIGVSCYSSEDLWSWTHEGIVLPGEPANITHDLHKSKVLERPKVIYNDRTKQYVMWMHIDDTNYTRASVGVAVSNSPTGTFTYLYSFRPHGFDSRDMTIFKDDDGKAYLFYSSRVNSELHVSPLTEDYLNITSAMRRILVRRFREAPAVFKFQGTYYMITSRCSGWAPNRALAHATHSIMGPWETLGNPCVGGNQFLRVTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLSIGGLADEPVDYSFGFPLWPKVSIYWHRKWRLPEGWRKR* >Brasy1G511100.1.p pacid=40053223 transcript=Brasy1G511100.1 locus=Brasy1G511100 ID=Brasy1G511100.1.v1.1 annot-version=v1.1 MQRVLTASSVQRWWYCLTNGDRSPARKVAVAFGACVVWNLWKERNRRVFRKKEVSALMLKEIIKGEMELFCFVRNL* >Brasy1G261000.1.p pacid=40053224 transcript=Brasy1G261000.1 locus=Brasy1G261000 ID=Brasy1G261000.1.v1.1 annot-version=v1.1 MAAPQPDMELQQEHRHPLLSNQADGTNNTSPVQKAFKRTYQSTEHLSKLLPTGTVLAFQLLAPIFAKHGHCSNSNRMMTGGLVVLCALSCVVLSFTDSFRDEQGKVRYGFATFKGLWVIDGGASLDPNAAVEYKIQFLDFVHATVSAMIFVAIALFDQNVASCFYPIPSEDTKQVLTTLPIAIGVIGSMLFVTFPTTRHGIGFPVSPQ* >Brasy1G493500.1.p pacid=40053225 transcript=Brasy1G493500.1 locus=Brasy1G493500 ID=Brasy1G493500.1.v1.1 annot-version=v1.1 MASAEAAADSSGPRFAPDDPTLLAPWKALIDGATLYYWNPETNVTQYDKPAAAAAAPPLPAAAPAPVPGAFGQPGMQLGGQAGQQQQPQQTAQQPPFQYQAQQAQQQQPQQTAQQPPFQYQAPQAQQVPYQHHQQQEHMGNQQQMSQQPPAPQYPNAHPHQMPYQHGPYMQPQQQGQQYSYQAGQHPQMPQTPYNQGQQPPIPQAANQGQQPTIPPGAYTQGQRPPMPQSAYSHGPRPPMPQAAYNQGQQPQMPQGAYNQGQQPQMLQAAYDQGQQLQGARIPQSQVQHTKQSPGFHQPAQASQLLPTSQPQSLQMSPRQGQPQHGFQFTPQQGKQPHQGHIGPHMSQLSHGQQSSTVKLDAGGHEGMQSGFALPISLQRVQGPLSNQQLPTNHQRPEAHNQLSIHGVHGVGGPAYPTKHHLGGSSPGETNKMSFLSSPAQAHQGGVETNYRQQLPSSHAGPNHITPSPGQPPIGFNRSSSEDQFEKNEPHSSGRFDGINALQQQPKLAALPPSQIRLQDMRNGAPYPQPDNFGGYNMAPPNSVQNPHNHVPFPIGALTRPPPGTFSPPDFPSVASVDAYREHHEVTAVGENVPPPFMTFEATGFPPEILREVHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFVHLRRCQNNPMLGPTVLVLAPTRELASQIQDEALKFGRSSRVSCTCLYGGAPKPPQLRELERGADIVVATPGRLNDILEMKKISLHQISLLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVQINIGSIDELVANKSITQYVEMVPPMDKQRRLEEILRAQERGSKIIIFCSTKKMCDQLARGIGRSFGAASIHGDKSQGERDHILNQFRTGRVPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAFTFFSEQDWKYAGDLVKVLDGTSQPVPPELQQMAARGASGASRHQAGGMSRWDGPGGSSRFESAVNGPGGYGGFREGLGGFGGRDGPGGFVDRDGPGMFGDRDGPGRFGGRDGPDGFGGRDGPGGFGGRMGPGGFGGREGPVGFGGREGPGPSGFSGRGGRGSDGFGRRGGASPGRFGGHGGRSDSPGFGGRGRGDSSGFGGRGKGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDDRRGFGDKGRGRSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSRSRSRDQGAGLERERRPRARSGFDVLPPATEAGPVLIGPVPISEPVPAVAALRPGQPLVEALDMSPMLPGGLVQESGPFMGGNDANISSARADQVSHGTDLAIPPSFSAPENFPGLTGQQDAP* >Brasy1G493500.2.p pacid=40053226 transcript=Brasy1G493500.2 locus=Brasy1G493500 ID=Brasy1G493500.2.v1.1 annot-version=v1.1 MASAEAAADSSGPRFAPDDPTLLAPWKALIDGATLYYWNPETNVTQYDKPAAAAAAPPLPAAAPAPVPGAFGQPGMQLGGQAGQQQQPQQTAQQPPFQYQAQQAQQQQPQQTAQQPPFQYQAPQAQQVPYQHHQQQEHMGNQQQMSQQPPAPQYPNAHPHQMPYQHGPYMQPQQQGQQYSYQAGQHPQMPQTPYNQGQQPPIPQAANQGQQPTIPPGAYTQGQRPPMPQSAYSHGPRPPMPQAAYNQGQQPQMPQGAYNQGQQPQMLQAAYDQGQQLQGARIPQSQVQHTKQSPGFHQPAQASQLLPTSQPQSLQMSPRQGQPQHGFQFTPQQGKQPHQGHIGPHMSQLSHGQQSSTVKLDAGGHEGMQSGFALPISLQRVQGPLSNQQLPTNHQRPEAHNQLSIHGVHGVGGPAYPTKHHLGGSSPGETNKMSFLSSPAQAHQGGVETNYRQQLPSSHAGPNHITPSPGQPPIGFNRSSSEDQFEKNEPHSSGRFDGINALQQQPKLAALPPSQIRLDMRNGAPYPQPDNFGGYNMAPPNSVQNPHNHVPFPIGALTRPPPGTFSPPDFPSVASVDAYREHHEVTAVGENVPPPFMTFEATGFPPEILREVHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFVHLRRCQNNPMLGPTVLVLAPTRELASQIQDEALKFGRSSRVSCTCLYGGAPKPPQLRELERGADIVVATPGRLNDILEMKKISLHQISLLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLRDPVQINIGSIDELVANKSITQYVEMVPPMDKQRRLEEILRAQERGSKIIIFCSTKKMCDQLARGIGRSFGAASIHGDKSQGERDHILNQFRTGRVPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAFTFFSEQDWKYAGDLVKVLDGTSQPVPPELQQMAARGASGASRHQAGGMSRWDGPGGSSRFESAVNGPGGYGGFREGLGGFGGRDGPGGFVDRDGPGMFGDRDGPGRFGGRDGPDGFGGRDGPGGFGGRMGPGGFGGREGPVGFGGREGPGPSGFSGRGGRGSDGFGRRGGASPGRFGGHGGRSDSPGFGGRGRGDSSGFGGRGKGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDDRRGFGDKGRGRSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSRSRSRDQGAGLERERRPRARSGFDVLPPATEAGPVLIGPVPISEPVPAVAALRPGQPLVEALDMSPMLPGGLVQESGPFMGGNDANISSARADQVSHGTDLAIPPSFSAPENFPGLTGQQDAP* >Brasy1G294700.1.p pacid=40053227 transcript=Brasy1G294700.1 locus=Brasy1G294700 ID=Brasy1G294700.1.v1.1 annot-version=v1.1 MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGETYLGIQIFRFYFKCTRCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVVDKEKRKREAEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKHSTHQKEEKTVAELDEEDEELIKSITFRNSKDYVKRIEDDDDDDEDEDSFVPGQSSVTSKINGSSESVLHPTDVLTKTNGPEGGSKEENKSWASKMPKFIVKPKPAATVPNKKQKTEAAAAQDNGKAPVAEEKSEAKTNVLQSLCQYDSDESDD* >Brasy1G560000.1.p pacid=40053228 transcript=Brasy1G560000.1 locus=Brasy1G560000 ID=Brasy1G560000.1.v1.1 annot-version=v1.1 MRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKNKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQMWIALGHLSAVVDAGMYENKLTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G085700.1.p pacid=40053229 transcript=Brasy1G085700.1 locus=Brasy1G085700 ID=Brasy1G085700.1.v1.1 annot-version=v1.1 MATVNNWLGFSLSPQELPSSAANAGDVSGADVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISSYSDQHHKAARSNNMNINGAAAARYASSGASGYHLYQHHPTSANSLQFADSVMVASSAAAGVHNEHGIMASSAANGTAGTNGGIGLSMIKSWLRSQPAPERVEGLSLSMNMPLLQQGGGERLASDTSLSTSAAQQGSRKENNGSSSAGSGAVVSAGTTSAGAVVVESPSAGRKTADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVDNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSTAALPVGGTKRLRDAAAAADQHHQQQHAGGVVSYGVPQLGGVVDTALAYGAPYYHQQPHASTAAAAWPTIAFQAAPPQAASGYGHMLYHPYGQQPLMRGWCKQEQEQGQVQQQEPDHAVIAAAHSLQDLHHLNLGAGAGAHDFFSQHAAHAMHQQQQHGGLGSVDNNGAASLEHSTGSNSVVYNGAAAGDTNNSYMLPPMSAAAAAGFGLRDQQDDGGKMAYENFLLGAAADGYGGSGRMAATWTPVSVSAAQPVAATSSGSDMAGAVCHGGAQLFSVWNDDS* >Brasy1G029700.1.p pacid=40053230 transcript=Brasy1G029700.1 locus=Brasy1G029700 ID=Brasy1G029700.1.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHEFRNGEVRFKPSSLLFLNSALVVLHSRPAETVRQPGPSPQCSGGRVTSNRTDAGLKR* >Brasy1G029700.5.p pacid=40053231 transcript=Brasy1G029700.5 locus=Brasy1G029700 ID=Brasy1G029700.5.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHEFRNGEVRFKPSSLLFLNSALVVLHSRPAETVRQPGPSPQCSGGRVTSNRTDAGLKR* >Brasy1G029700.6.p pacid=40053232 transcript=Brasy1G029700.6 locus=Brasy1G029700 ID=Brasy1G029700.6.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHEFRNGEVRFKPSSLLFLNSALVVLHSRPAETVSSQQGSQRMMIWIFIPYFNWMRL* >Brasy1G029700.3.p pacid=40053233 transcript=Brasy1G029700.3 locus=Brasy1G029700 ID=Brasy1G029700.3.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHEFRNGEVRFKPSSLLFLNSALVVLHSRPAETVSSQQGSQRMMIWIFIPYFNWMRL* >Brasy1G029700.4.p pacid=40053234 transcript=Brasy1G029700.4 locus=Brasy1G029700 ID=Brasy1G029700.4.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHGTEKYDSSLRLCYF* >Brasy1G029700.2.p pacid=40053235 transcript=Brasy1G029700.2 locus=Brasy1G029700 ID=Brasy1G029700.2.v1.1 annot-version=v1.1 MPPPHAAPQAMATVTVPPHPSLWYKIHLAPPLLTSPASFSFFPVCSAGRFRNPQPVRATSLHHRTAPSPFPKRPSPRINYFRFADSNRMLWCCWCGSGVDVRQEGCRNRGEPLRRGGLRLRLRGRSRESVRPPGGRGSCTASTHALVVALWVARLLNLLIGHVDDQHVHSKGVKG* >Brasy1G459300.1.p pacid=40053236 transcript=Brasy1G459300.1 locus=Brasy1G459300 ID=Brasy1G459300.1.v1.1 annot-version=v1.1 MPASRLPLKRCTAAGPREGEPPAAEGMPPAKRRRERVVPSRFKDAAVSTPPPKKACKAAVAAEDGDGEVYEVEVRAASPKVVSFGAVRTAVWTGEGQPAQTEEELYRACRNISRSSTSGCLSGSVVTSVSNAGGGGGLEKRPVVGSAVTSVSNAGGNGGIEKRPVVSSVVTSVSNAGGNGGPETRAVVVECKLKRENGVRREDFYWPEDFVLGDVVWARAGKKCPAWPALVIDPLLHAPEVVLNSCIPGAICVMFFGHSAGGHGRDYGWVKQGMLFPFVEYMDRFQGQPLYKLKPSKFRAAIEEAFLAERGFFELQIDEGCSLEKSANDQSVADGINEVTGSNNEQECQSDSQVVGKSAACCESCGNRLPSKMSKKKKQEVEQLLCRHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECEIWVHVECDRMCSDLEDLENTEYFCPDCKSKRKRVLRAEHTNMPNSSEFPDKTSKEKLPESIPVCCFGMEALYIPEKHMILCQCKSCKERMMSLNEWERHTGSRKKNWKMSIKLKSTGEPLIGMLDDIPGGNFKSSTPSIKKEELLTLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARVVQDFTNWVCRACEVPQQKRQCCLCPVKGGALKPTEIDQLWVHITCAWYQPKVSFPFEETMEPATGILNIPSEYFKRTCVICKQMHGACTQCYKCSTFYHTMCASRAGYCMELQYTERNGNQVTKMVSYCAFHSTPNPDNVLIVKTPEGVFSTKLLHQNNEKQSPARLDKENHQVFPAKTSDCPAVRCLPYEMLKNKKEQGEAIPHHITGPQHHSQDLIEGLNSYMDQNGDQTFSTFKERLHYLQKTENKRVSCGRSGVHGWGLFATRKIQEGQMVIEYRGDQVRQSVADLREARYHRENKDCYLFKISEDVVIDATERGNIARLINHSCMPNCYARIVSVGDDKSQIILIAKRDVSAGEELTYDYKFDRDESEDRKVPCLCNAPNCRGYMN* >Brasy1G016400.1.p pacid=40053237 transcript=Brasy1G016400.1 locus=Brasy1G016400 ID=Brasy1G016400.1.v1.1 annot-version=v1.1 MLLCDLCDRGRHTFCLRPILAAVPLRYWLCPDCAPPSKPVIGFPMKQKKIVEFFRIQKGAQDVEAPKCAHPQDARRRRKRSLVMQKKRRKILPFVPTEKRVQRLKQMASAATALTSSKMEFSNELTYVPSMAPRSSNQAGLEEGGMQVLSKEDKETIELCRAMQKSGQCPPLLVVFDSHEGFTVQADADIKDMTFIAEYTGDVDYFENRVNDDCDSIMTLLWTTDPSKRLVICPDKRGNIARFINGINNHTPDGKKKLNIKCVRYNIDGESHVLLVACRDIACGERLYYDYNGHEYAYPTHDFI* >Brasy1G206000.1.p pacid=40053238 transcript=Brasy1G206000.1 locus=Brasy1G206000 ID=Brasy1G206000.1.v1.1 annot-version=v1.1 MLHSGLSKSQPLPLLAAASSPRRALLAGLLRAGYFSKFVTSSPTHLPTTTAATRASTRAVSTPGGHSRFGLGSRASFSTAPDGSDSVSGGRALPWLAATSVDSSAPTARTSAGRSSSWESSADKFFSKGNQIEQIEASEDRVSYREGAIRVEENETIDNPKWGRIKDKFRRNVARDGGSRGRGERFRGERFDKPDVRRWNKQEDWGRKTWKEAGESTVPKMVGEGVYGVGPVLAALMAGRREFYALYTQEGMDLSRSNKKKKDKKGVEKVLLMAETIGLKVIDASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDGGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVSTGEPTILVLGSEGTGLRPLVERSCTHLVKIAGNVEGFVVEEDTDATDADIGEQGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGKNARPLVPAVF* >Brasy1G103200.1.p pacid=40053239 transcript=Brasy1G103200.1 locus=Brasy1G103200 ID=Brasy1G103200.1.v1.1 annot-version=v1.1 MAAAAAVITVVLMGTLMARSPSAAAGRVWVEEEEGGQNGTARREVTYDGRALILDGTRRMLFSGDIHYPRSTPEMWPRLAENARNGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIREVQAQGLYVSLRIGPFVESEWKYGALPFWLRGVPNITFRSNNEPFKIENEYKLVEAAFHSSGPPYVQWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPLYGHDPRFRSAADLAFAVALFIARKNGSFVNYYMYHGGTNLGRFASSYVTTSYYDGAPLDEYGLIWQSTWSHLGELHAAVKQSEEPLLSGAYTNYSFGQQQEGHVFETESNCVAFLINAQHGLRTAQVVQTLNGVDSWKIFKEPIPLAINNATHTGNRLFEHLSTTKDETDYLWYLAGYDYRSNGHSQVVLHVESQAHLLHAYINNDYVGTVHGSHDGPRSIILKTPITLREGQNSISLLSVMVGSPDSGAYMERRTFGVRKVSIQQGHRKSHSLNNELWKHQVGLSGEMNKIYTLEGSSRTQWTDIDKSMLLPLIWYKTTFDAPWGSHPVTLNLSSMGKGEVWINGESIGRYWVSYKTPNGQPSQSLYHIPQYFLRPGDNTLVLIEEMGGDPLQITVNTMSVTRVYSSVNELSTPSLLSRKKRPAVRLWCQQGKRITDIEFASYGNPVEDCRGSGRSCLGSCHAETTKFVVKDACLGRRKCAIPVRPAKFGGDPCPGIEKSLSVVASCG* >Brasy1G103200.2.p pacid=40053240 transcript=Brasy1G103200.2 locus=Brasy1G103200 ID=Brasy1G103200.2.v1.1 annot-version=v1.1 MAAAAAVITVVLMGTLMARSPSAAAGRVWVEEEEGGQNGTARREVTYDGRALILDGTRRMLFSGDIHYPRSTPEMWPRLAENARNGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIREVQAQGLYVSLRIGPFVESEWKYGALPFWLRGVPNITFRSNNEPFKMHMQNFVAKIVNMMKDAKLYYPQGGPIIISQIENEYKLVEAAFHSSGPPYVQWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPLYGHDPRFRSAADLAFAVALFIARKNGSFVNYYMYHGGTNLGRFASSYVTTSYYDGAPLDEYGLIWQSTWSHLGELHAAVKQSEEPLLSGAYTNYSFGQQQEGHVFETESNCVAFLVNFDKHKTSNIQFGGASFQLAPKSISILSHCRRVIFETAKINAQHGLRTAQVVQTLNGVDSWKIFKEPIPLAINNATHTGNRLFEHLSTTKDETDYLWYLAGYDYRSNGHSQVVLHVESQAHLLHAYINNDYVGTVHGSHDGPRSIILKTPITLREGQNSISLLSVMVGSPACLGRRKCAIPVRPAKFGGDPCPGIEKSLSVVASCG* >Brasy1G450700.1.p pacid=40053241 transcript=Brasy1G450700.1 locus=Brasy1G450700 ID=Brasy1G450700.1.v1.1 annot-version=v1.1 MDGSGIMASAATGAMGSLLAKLASLLESNYHQMQRGTRREVAFLRDELGSMNALLERLADAESTAPLDPQTREWRGQVREMSYDIEDCVDDYTDHLRRCRRDPPGSGSGGVLGLVLGFVQTVREMVSRRGIAEQIQELKARVVEAGHRRKRYKIDDAAASSGSSSSVVPVDRRLPALYADLGGLVGVNGPRDELVRLVGDGEERRMKVVSVVGAGGLGKTTVANQVYRNIGDRFDCKCFVSLSQNPDIGMVLRTMLSQIKKDECEVSGSGDKEQLINELRDFLQDKSYIVVIDDIWTSQAWKIIKCALPENSRGSRIIVTTRIGTVAKSCSSPDYDLVYELKTLSDDDSKMLFFRRIFGSEDKCPHNLKEVSTEIVSKCGGLPLAIITMASLLTTKSVGTEEWMKVRDSIGSGLEKNSDVEEMNMILSLSYNDLPSHLRTCLLYMSMFPEDHEINRNYLVRRWIAEGFIKVSGCRNLEEEGECYFNELINRSLVQPVDFQYDGRVYACRVHDMILDLIISKAVEDNFVTIVSDRRHILCSQSKVHRLSFDNPSVENLTAHSMSVAHVRSLNIFRYSEQMPPLSNFRALRVLDLDGNENLESCYLEDIGKLFQLRYLRIRASNITLPRQLGELQLLVILDLLNCSHISELPASIVELLHLKWLIVNRVTLPKGIGNMQALEFLSLTVVDYTTPVTVLKELGSLKKLRTLGLDWRISSSHKDKIEYADNFVSSLVKLGSSNLQYLTLISPWSLDFLLESWSSPPHRLQELAIKGWSLSKIPVWMASLANLTYLDIEVQVRQETVHLLGAFPALQFLKLYSNAADPKERCLVVSNNGFRCLKKFNFVHWINLLFLEGAMSVLETLEFQIIVHELQTASPFGPPDLGIRHLSALKNVVVNIFCECARVEGVEALEAAIQVAASMLPNNPTLTLRRFREPEMLTDDAG* >Brasy1G195700.1.p pacid=40053242 transcript=Brasy1G195700.1 locus=Brasy1G195700 ID=Brasy1G195700.1.v1.1 annot-version=v1.1 MHRQCSLKLNTRHVLFEVLLKLEEDKNQLSRGLDPWSNPTGTTSTLHYLLQEKERAQAQEQLQIYHHQQQQAAAGFSSYLQQHQQHRRQQAATKGGSGDGSRSSGESTPVAVDAAQLVAAATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIEELPDRPEEGVPPPAEPAHEQTSTEQQVTSTSSYGFGNNNHGPGGMSTSSFLHSLGGGAQDQVSDNVKSLFPSSTNANEEYGRGSPPDLLSRTTSSQPSPQELCLTLQSNNNNIFSHDGHHGVNVMSSAGGVPGWPDHVQRMPPWHHHASENNGAGEHGGRAGDGGGYMFGMPPPRQGALDQSGQLFSSHGEPLQSSGGDGWAASARAWLDPLAAIGSQPTALSGPHLGLSHLVAGGGGFMGFLAPAAQRLHLQGDEEQGTEVMRRD* >Brasy1G567200.1.p pacid=40053243 transcript=Brasy1G567200.1 locus=Brasy1G567200 ID=Brasy1G567200.1.v1.1 annot-version=v1.1 MASARARQARKTWCSHCGASLSAAPGARSVRCALCHAETRVERRPQHHGGLHQAMGFIKGLFNNAFGSASFATSSESMRAGDAYGLPASYPRPRDSKKRALLVGISYACTKYELKGSVNDVKCMRYLLRDKFNFPTDCILTLTQDEKDPYRVPTKDNLRLAMRWLVEGCTSGDSLVFHFSGHGVQKLDNNGDEMDGYDEALCPQDFEDRGVILDDEINETIVRPLGSGVKLHAIIDTCHSGTILDLPYLCRMSRTGYWQWESQARQQETPKGTNGGIAISFSGCGDSQNSADTTSFSGSASTGAMTYSFIKAVLSEPGPTYGRLLSAMRTTIRDNGGECGIPGPIGSFFRRVITFSCAQEPQLCASETFDIYRKPFLL* >Brasy1G573700.1.p pacid=40053244 transcript=Brasy1G573700.1 locus=Brasy1G573700 ID=Brasy1G573700.1.v1.1 annot-version=v1.1 MPHSCGAHCQFCTPVSSNFVTPNLKELERLNCLSSFELFSCMPNIRSGGSDIKLVSWRYPHFPRVDVSFVCKD* >Brasy1G424100.1.p pacid=40053245 transcript=Brasy1G424100.1 locus=Brasy1G424100 ID=Brasy1G424100.1.v1.1 annot-version=v1.1 MKSGRFTMDEQQRPDWLELPSDLVDLIAQKSRDAVTGLAAFRSVCRTWRAAAGPAPRLLLIPPRRSQEALLVFPLSRGWCLVLDARDTSCHLSHLATGATAALPKLTAVFRADRRGGIITHDQGRYYLHHTDKESGRGSRSIHRWGPGEKIRIGCPGFWIWGFSTYLAFTEYMRFAVHVPGPAADQGGMLIMMYHALLGPTGMVFCRPGDAAWTKLEKPRPEVGAFGFIDFAYHAGKVFVLESDGILTVFDATTLDVLRLVQPPPPPALVLLPTFLYELEYQVHLVALPSKLVLVRVTVKSSRPVAFDLFELAAGGLCWRKVADAGDYELFLDGYHASFRENAHGISGTRIYSAHSQHCASPTAAYFYSTTGAPIYYIHDEQWLSTASAYCYSVQDNKLECVYMSPPRPSPDQVNNNYPDYYRAQEDNHGISTTKPSWFVPA* >Brasy1G184000.1.p pacid=40053246 transcript=Brasy1G184000.1 locus=Brasy1G184000 ID=Brasy1G184000.1.v1.1 annot-version=v1.1 MARNIGACELLDALKLLIMVMESAKMMSKETGGGKEEWQFGGALQEPGVDE* >Brasy1G156600.1.p pacid=40053247 transcript=Brasy1G156600.1 locus=Brasy1G156600 ID=Brasy1G156600.1.v1.1 annot-version=v1.1 MNIVSDVVIDRSLILFRLYSAKNPCIVGGLTSGNIFVCNNGSES* >Brasy1G156600.2.p pacid=40053248 transcript=Brasy1G156600.2 locus=Brasy1G156600 ID=Brasy1G156600.2.v1.1 annot-version=v1.1 MNIVSDVVIDRSLILFRLYSAKNPCIVGGLTSGNIFVCNNGSES* >Brasy1G014700.1.p pacid=40053249 transcript=Brasy1G014700.1 locus=Brasy1G014700 ID=Brasy1G014700.1.v1.1 annot-version=v1.1 MEMQWERGRRQWRWRWWGRGEWPEAVGQREEGEAGGGVGKGGGGREVGRREAAADVGRRRGGRGGGGEGGGGEGAGVGEGREEVGRREEAAPAGRRVGEEGGRGGGGEEEGRGPGWGRGGRRWGGGRRRRRREGGSGRREDAAGAGRREEVAGARRGRGGRGRGGGARRGGGGGEACGGEAGGDEAGASGGEAGARKGRIRAWSRRIRPGAGARGPRRRRVGGGRAREREGRGSGAHERESREAGGGGLRPGLMFYADGAVGERGDLVPVSVRVGEDAAVREDDPGQLARRRAVVAHGRVPGAADGAAARAVGGAGEHADDVADDGEREIGPTRTTARGHRLLDRVSCVPGLEEAKFNL* >Brasy1G392300.1.p pacid=40053250 transcript=Brasy1G392300.1 locus=Brasy1G392300 ID=Brasy1G392300.1.v1.1 annot-version=v1.1 MLTPEAELKRGTSSGKGWRRRGGEEGSTTVGRREGEPAGGEEGERAGRWRGGRESRPAGGEEGGDWGGGGERREGIGAAAVRGGRGEGAAAAVRGEKRGAARGGERREGRRRR* >Brasy1G453600.1.p pacid=40053251 transcript=Brasy1G453600.1 locus=Brasy1G453600 ID=Brasy1G453600.1.v1.1 annot-version=v1.1 MVDRLNSKGRGQRWESARHHYCWLLCVVGGCGCLSPPQVTLLFSSPRTRPTSLPPPFPLPASREIRDGEPSSDKSSQRKAEVGHGGDELIPARSRRLHWIPPRRPPFPPTWAPPRPMGSSRRLKP* >Brasy1G520000.1.p pacid=40053252 transcript=Brasy1G520000.1 locus=Brasy1G520000 ID=Brasy1G520000.1.v1.1 annot-version=v1.1 MAAAGVCSGGGRQRRRSQGGRGQRGGGTAGARGGAGAAGRREAAEGGGAGAAHGGGGGGQQRHAPSRGGRSRSGVARSRGGRRAVPTHHLLQHAADAPGRLCRRCSRWPPRRFSLGLRAAAPPSAAPTRSCTRRRTTSARNSAGARSSRLWEGRRGSGSSGRGSGRPVGARFGWISAGCGGSTSNRLE* >Brasy1G155900.1.p pacid=40053253 transcript=Brasy1G155900.1 locus=Brasy1G155900 ID=Brasy1G155900.1.v1.1 annot-version=v1.1 MARLVFVCLVLLTFAMAMAGARPPTNGPAVAPVGAGPGNCDSDLQDLITNCQDYVKFPADPKITPSAACCAVIQRVNIPCLCNKVTPSVELVICMDKVVFVAAYCKRPFKSGSNCGSFHVPLPIA* >Brasy1G132100.1.p pacid=40053254 transcript=Brasy1G132100.1 locus=Brasy1G132100 ID=Brasy1G132100.1.v1.1 annot-version=v1.1 MGASGSGKSTLIDALANRISRGSLKGSVTLNGEPLTGNVLKSMSAYVMQDDLLFPMLTVTETLSFAADFRLPRSLSPAKKRARVQALVDQLGLRAAANTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGAPSALPPYFAEFGFPVPDNENRAEFALDLIREFESSPTGTKPLVDFHRTWKLMHAGESRPWEQPTMSLKEAISASISRGKLVSGAADVAHASSMHAYANPFWVEMKVLTRRSAINTRRMPELFLIRLGAVVVTGGILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNAVVSFPPLVILSLAFALTTFFAVGLAGGASGFAFYALAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPAYWLWFHYLSLVKYPFEGVLQNEFGRGGECFVRGAQIFDNSPLGALPEAVKARVLASISAALGVAIGPETCVANGRSVLVQAAVTQLGKWECLLVTAAWGFLFRLLFYFSLVLGSKNKRR* >Brasy1G544200.1.p pacid=40053255 transcript=Brasy1G544200.1 locus=Brasy1G544200 ID=Brasy1G544200.1.v1.1 annot-version=v1.1 MGTQQQKKEEGEGEEGSSVKRRKVAAAADVTMDLELLDCPVCFHPLRPPVFQCTVGHAICSSCHDKVLEKCHFCALPTVYNRCYMVEHVVESIKVSCSNGNHGCTARITYYQKEDHEKGCPYAPCFCPETGCSFSGPTAMLLDHFSAKHKWQSPKVVYSKAIRIRIHMGSTVLVGEDGHLFLVNMILEPLGGVISVCNVQPHITGSKFKCKLTSSCSEPSYSQAMEFQTRSTNLYDGLPKDCFLFLVPKVLLRGTGASTTTMLGVTLTPQ* >Brasy1G467300.1.p pacid=40053256 transcript=Brasy1G467300.1 locus=Brasy1G467300 ID=Brasy1G467300.1.v1.1 annot-version=v1.1 MALVSLLMFVFVVVAGLTIQARRIYSLDYPPGPSANLSSVWTSTIQSQRQQGSFYTVDPLIIRHVPDNPGLIFAAGFYCANPCSNSYFFGVYVAVLSNQSGWPSRSLDPIVVVWSANRDHRVQLDSTLNFTTGGDLVLRDGENGVVWSTNTSDQSVAGMIITELGNLVLFDQNDRSVWQSFDHPTDTLLLSQPLWEGMTLTPKPPVSNWARRNHLYFTVLSDGLYAYAGSTQAQPYYTFGTPVGKNTYLTLLDWGLAMVNASSTTLTTQILFSLPPALLLRCISFESDGHLRLYQWKKDLKGRYELVRQNFLQPEYCGYPSACGEYGICSKGQCFCPMVPDATYFRQIDYWTPNLGCVLETPISCQSMQDHRLIAFPNVSYFYYNRSTEVSHRDEESCKHSCLTICSCKAAIFRSYGPNHASGSCSLVTRVFTLQDQSGDKNYSYTTYLKIQETHPPHTAKHMVLLGSLLGGSIALFILIVFALEIIRIRGLQEKDGDVEFASIPGMPTRFTFENLKVATREFRNKLGEGGFGSVYLGRIGEETVAIKRLDRASQGKKEFLAEIQIIGIIHHINLVKLIGFCAEKSHRLLVYKYMSRGSLEKWIYSKYNNAPLDWCTRRRIVTDISKGLSYLHEDCRHIVAHLDIKPQNILLDDSFNAKVSDFGLSKLIDRDTSQVMTRMRGTPGYMAPEWLTSQITEKVDVYSFGIVVMEIISGRKNLDYSQPEGSIQLITLLQEKAKNGQLEDMIDKNSDNMNLHKEEVIEVMNLAMWCLQSDSNRRPSMSVALKVLEGERGVETNLEYNFFDLSHAISDPVCQLNASAPPEASTLSAPR* >Brasy1G310600.1.p pacid=40053257 transcript=Brasy1G310600.1 locus=Brasy1G310600 ID=Brasy1G310600.1.v1.1 annot-version=v1.1 MEGYDREFWQFSDQLRLQTASFSGLSLGDSIWSPADNARAARNAADPAALFSPSPMDMDGQINAKINTNNGLQDGPGLIGSGKLAFGNTTTNKADRYNNVSLPQAADAKPYGNLTGYGGAKNNNNMNMNSNFALNKMMGGNYNSINSSSNFNSGGNGEVKSYFNKSIGRPANNNNNHYGGGKKGGALDGKKKHAKNEGGNNNSNNNHGAASEKRFKTLPASEALPRNEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGANIDPAAWEDKKCPGESRFPAQVKVATRKICNPLEEDAFRPILHHYDGPKFRLELSITEALSLLDVFADKEDDA* >Brasy1G493600.1.p pacid=40053258 transcript=Brasy1G493600.1 locus=Brasy1G493600 ID=Brasy1G493600.1.v1.1 annot-version=v1.1 MKILSWNCRGLKKPTAISSLLGLQGQVRADVIFLSESHLNNDKADAIRRKLGFDSMLVDSSDGRARGLYMTPNYIDVVFLCDNGGDWRLTGFYGEPGWDDRHLSASMPWLVLGDFNEILYQHEKEGGNPRPVKMLDAFGECLADCGLDDLGFLGDRFTRRRGEIRERLDRAVANADWMSLFPCAAVFNEGHYKSDHRPIVVNTDHFDETLIKRRSGGRKFEARWLAEETVEEVARLAWARAIASGDAPDLAARTSAVHADLHSWDRETLKGPKKRIDGLNKEVQLLIENLAEQEEMFWCQRGRANWLLHGDRNTSFFHNSATARKKRNHIKRLLDDTGVWREGTGDLNNLVTNYFSSLFQCGVSDPAPGPRILRRKSRRHYLI* >Brasy1G413900.1.p pacid=40053259 transcript=Brasy1G413900.1 locus=Brasy1G413900 ID=Brasy1G413900.1.v1.1 annot-version=v1.1 MIQRAPLIGAMHLFLQTPLLCRLLIETPVLLPLCSHEYDTIAKKFTPSPLFPHTRRFQNIFSVPLRADNPPPNGCLPFRCSVAFFRDLNMHTTLIALYITVCSALFIISKMLISFLCYKKWARKKRIIETSLTGGKMVIFRSAAMQSLSPKSFLRMIMGLSSKDIIGSGGYGTVYMLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDAILHAAKDHQMEEEKKRAAVRLDWPVRYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDETLPSFPAEEVKFVFTVAEKCLESDPRDRPTMAQVAKMLEQAKLA* >Brasy1G413900.2.p pacid=40053260 transcript=Brasy1G413900.2 locus=Brasy1G413900 ID=Brasy1G413900.2.v1.1 annot-version=v1.1 MIQRAPLIGAMHLFLQTPLLCRLLIETPVLLPLCSHEYDTIAKKFTPSPLFPHTRRFQNIFSVPLRADNPPPNGCLPFRCSVAFFRDLNMHTTLIALYITVCSALFIISKMLISFLCYKKWARKKRIIETSLTGGKMVIFRSAAMQSLSPKSFLRMIMGLSSKDIIGSGGYGTVYMLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDAILHAAKDHQMEEEKKRAAVRLDWPVRYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTW* >Brasy1G224100.1.p pacid=40053261 transcript=Brasy1G224100.1 locus=Brasy1G224100 ID=Brasy1G224100.1.v1.1 annot-version=v1.1 MAAAAAFRSKRKLLPYLHRFLHSTTTTSPNRFLRHASPVPRAADHSPFLRLPAARVSTLPTGLRVVTQACPPATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTARRPTAHALEVEIEDMGARLNAYTSREQTTFFADVQGRDVPAALDVLSDILQHPRFPQQAIQRERGVILREMEEVQGMMEEVIFDHLHAAAFRDHPLGDTILGPKENIESISKKDLQQYISTHYTCPRMVISAAGAVNHDEVVDQVRELFTGFSTDPTTADQLVEANPAIFTGSEVRVENEEMPLAHLAIAFKGSSWTDPSSIPLMVIQSILGSWNRSIGVGNCSGSALARGISNGGLAESLMAFNTNYRDTGLFGIYTTARPDALYDLSRLIMEEFRRLASSVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRIMPFLELFARIDAVDCAAVTETAKEFIVDKDIALAAVGPLSNLPELSWFRSHTCSHDEFTARIFSNS* >Brasy1G224100.2.p pacid=40053262 transcript=Brasy1G224100.2 locus=Brasy1G224100 ID=Brasy1G224100.2.v1.1 annot-version=v1.1 MAAAAAFRSKRKLLPYLHRFLHSTTTTSPNRFLRHASPVPRAADHSPFLRLPAARVSTLPTGLRVVTQACPPATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTARRPTAHALEVEIEDMGARLNAYTSREQTTFFADVQGRDVPAALDVLSDILQHPRFPQQAIQRERGVILREMEEVQGMMEEVIFDHLHAAAFRDHPLGDTILGPKENIESISKKDLQQYISTHYTCPRMVISAAGAVNHDEVVDQVRELFTGFSTDPTTADQLVEANPAIFTGSEVRVENEEMPLAHLAIAFKGSSWTDPSSIPLMVIQSILGSWNRSIGVGNCSGSALARGISNGGLAESLMAFNTNYRDTGLFGIYTTARPDALYDLSRLIMEEFRRLASSVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRIMPFLELFARIDAVDCAAVTETAKEFIVDKDIALAAVGPLSNLPELSWFRSHTCSHDEFTARIFSNS* >Brasy1G507300.1.p pacid=40053263 transcript=Brasy1G507300.1 locus=Brasy1G507300 ID=Brasy1G507300.1.v1.1 annot-version=v1.1 MKTLTGRPHLPALSLLAFVTVSLPAKERCSSLTKKKGTAFRVRRCTLAPCPPTPTSRLHRVHTGSADTPAPQGVAGPREDMANSTTSRASASPPPSPIKSLCPGHLGSGRVVSLSTCTKVGTSALRLAARLLKRMKDDN* >Brasy1G013300.1.p pacid=40053264 transcript=Brasy1G013300.1 locus=Brasy1G013300 ID=Brasy1G013300.1.v1.1 annot-version=v1.1 MTQSNPNEQNVELNRTGLYWGLLLIFVLAVLFSNYFFN* >Brasy1G487800.1.p pacid=40053265 transcript=Brasy1G487800.1 locus=Brasy1G487800 ID=Brasy1G487800.1.v1.1 annot-version=v1.1 MSGFDEQHHGGGQAQPLRPGDVYPPTAANPEARRQRDVFLAASARHDQQQQQPQRPDDGGLRVTETEDNHTGRRIVTATAGGQVMAQFTVPVPGAGAAADDSAVTIGEALRAAADTRAGDAPVDMADAAAVQAAEARATGLGQNVPGGVAAAAQKAAQENLEREGGREKKVPLKDVVGGDLVGRGPALAADKVATREDAAKVAAAAERNAVKKGGGSGAAGGQGKGVADAVAAAAEMNQARMR* >Brasy1G133900.1.p pacid=40053266 transcript=Brasy1G133900.1 locus=Brasy1G133900 ID=Brasy1G133900.1.v1.1 annot-version=v1.1 MGVFDRLPPMDHLRSEKMCFVQLIFPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLNFFSDQINKAGVKSSVRPALQPEIDLEELEAKLAEHEHELLEMNTNSGTLQQTYNELLEFKLVLSKAGGILASSHNHAAPADRELDEHIYDNEGDEGNDYLLEQGIHQGTSESGVRFVSGIILKSKALAFERMLFRATRGNMFFNQASAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILRICASFGASCYPVPEEMVKQRQIFREVSARLADLEVTLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQIGIIFHEMDTTDSPPTYFRTDKFTNAFQEIVDAYGVARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGALFLILREKKLSSQKLDSFTEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYACRENSCSDAHTAGLLKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVSQMNLGILLSYFDAKYHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSKSDLYHVMIYMFLDPAGDLGENQLFWGQRELQILLLLLAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSLVVKLVGLTVFAFATAFILLMMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADEED* >Brasy1G463100.1.p pacid=40053267 transcript=Brasy1G463100.1 locus=Brasy1G463100 ID=Brasy1G463100.1.v1.1 annot-version=v1.1 MAIRRQRRPFPFAPLLISLLAAAAYGRLISDGSPASTASSSILIASPVSSSDVIRLNTSSPATAEAEQCEQSYGFLPCTTTVFGNLFLVLTYGFLMFKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGTKETAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDVGPNNVAIDSTDTKGFSLTGAGISTDVQTSYAARIMAISVIPFVIAQFPKMLKTHHGERLAILLALIASFSLVLAYCLYQVFQPWIQKRKLAYAKHKHVISGILKHAQMQALGRLLNDDGTPNENVIRKLFYKIDMDESHNLSRSELHALIIGINFEEIDFDKNDAVDKIMDDFDTSGNDTVEEAEFIAGMKKWLNEAKRNVPASGAFSNKFVNDYHARTREEHDQLVDRSDEAVESVENPGWCIAKAVGFLLLGGVICAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILLVCVIMGLFTSFRTNFPLWTCLVAYLLYPLSLAVVYVLDYVFGWS* >Brasy1G443600.1.p pacid=40053268 transcript=Brasy1G443600.1 locus=Brasy1G443600 ID=Brasy1G443600.1.v1.1 annot-version=v1.1 MPRGKVNKDQPRHRLQKELAAATERPVHRHTQGSSVERSNLRHATGAHSNTHENRCHRILTKAHLATTSETLPRPASGRQPTPASHRTPDQPHGANFASHRIKHTSDTPTNTCISPATKTTSTAKASVFRADDRKDPTQAHGSGPSTLDSSTRAGHRQQQAVARCHQGRRQPANRGPPSPHPRQHQLAANIRAAPRSRVEGQRAPAAPKSWARRAGDLRWKVGEEEEVARRPAAAHRQEAPPLSNAAADRKGRGRGKGPAAEQRRDEGAGEVPPPSPAGRRPPATAARRARREAAPRAWAVGVAP* >Brasy1G147200.1.p pacid=40053269 transcript=Brasy1G147200.1 locus=Brasy1G147200 ID=Brasy1G147200.1.v1.1 annot-version=v1.1 MPTKLAREIRSSNKSVWSGRRTESWKGPSSITTDKKKIGSPPTKIVLGLGAWALGAAVAAWPVWPCHRVSLVSGATGPKVGHPSSLPEPGPSERAPMFPLRGLAGACRRRGLARFAAGVPREAVDAVVVGAGAVGLAVARALAMAGREVLVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRFDYSVNPSRCSRFYPVIRKYFPNLKDGFLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR* >Brasy1G147200.3.p pacid=40053270 transcript=Brasy1G147200.3 locus=Brasy1G147200 ID=Brasy1G147200.3.v1.1 annot-version=v1.1 MPTKLAREIRSSNKSVWSGRRTESWKGPSSITTDKKKIGSPPTKIVLGLGAWALGAAVAAWPVWPCHRVSLVSGATGPKVGHPSSLPEPGPSERAPMFPLRGLAGACRRRGLARFAAGVPREAVDAVVVGAGAVGLAVARALAMAGREVLVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRFDYSVNPSRCSRFYPVIRKYFPNLKDGFLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR* >Brasy1G147200.5.p pacid=40053271 transcript=Brasy1G147200.5 locus=Brasy1G147200 ID=Brasy1G147200.5.v1.1 annot-version=v1.1 MPTKLAREIRSSNKSVWSGRRTESWKGPSSITTDKKKIGSPPTKIVLGLGAWALGAAVAAWPVWPCHRVSLVSGATGPKVGHPSSLPEPGPSERAPMFPLRGLAGACRRRGLARFAAGVPREAVDAVVVGAGAVGLAVARALAMAGREVLVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRGGKLDCPCTDI* >Brasy1G147200.2.p pacid=40053272 transcript=Brasy1G147200.2 locus=Brasy1G147200 ID=Brasy1G147200.2.v1.1 annot-version=v1.1 MPTKLAREIRSSNKSVWSGRRTESWKGPSSITTDKKKIGSPPTKIVLGLGAWALGAAVAAWPVWPCHRVSLVSGATGPKVGHPSSLPEPGPSERAPMFPLRGLAGACRRRGLARFAAGVPREAVDAVVVGAGAVGLAVARALAMAGREVLVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRGGKLDCPCTDI* >Brasy1G147200.6.p pacid=40053273 transcript=Brasy1G147200.6 locus=Brasy1G147200 ID=Brasy1G147200.6.v1.1 annot-version=v1.1 MPTKLAREIRSSNKSVWSGRRTESWKGPSSITTDKKKIGSPPTKIVLGLGAWALGAAVAAWPVWPCHRVSLVSGATGPKVGHPSSLPEPGPSERAPMFPLRGLAGACRRRGLARFAAGVPREAVDAVVVGAGAVGLAVARALAMAGREVLVVEAASSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRGGKLDCPCTDI* >Brasy1G147200.4.p pacid=40053274 transcript=Brasy1G147200.4 locus=Brasy1G147200 ID=Brasy1G147200.4.v1.1 annot-version=v1.1 MLAYGSLMKAYFLMGMEKKAMECYKEVLGAESEVRNREGEVGGVTGERKGERRGYLQKGRPCWHLTGGPEAPRRQRHAPEQASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRFDYSVNPSRCSRFYPVIRKYFPNLKDGFLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR* >Brasy1G147200.7.p pacid=40053275 transcript=Brasy1G147200.7 locus=Brasy1G147200 ID=Brasy1G147200.7.v1.1 annot-version=v1.1 MLAYGSLMKAYFLMGMEKKAMECYKEVLGAESEVRNREGEVGGVTGERKGERRGYLQKGRPCWHLTGGPEAPRRQRHAPEQASLCVRGREMLYKYCAEREIPHKRLGKLIVATGAAETGKLDMLLTTAKENGVDDLQMMEGSQAMEMEPELCCLKALLSPSTGIIDSHSFMLSLLADSENLGTTISYNTAVISGHVGEGLELHISESKELDNSSAGSPVSPQIVLLPKLLINSAGLSAVPLATRFHGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWLDGGMDDMSCFLNRGGKLDCPCTDI* >Brasy1G435500.1.p pacid=40053276 transcript=Brasy1G435500.1 locus=Brasy1G435500 ID=Brasy1G435500.1.v1.1 annot-version=v1.1 MAAPPSASRVLAVGPVPFKDFIVADDGETIPAAPPREYGDAVSALPSSGTTLGLRLYQGAWVSEPRVPGVISAQRHFAPRPGDVVLASPPKCGTTWLKALAFAVMARGTYPPGSPEHPLRRLNPHDCVPFMDELFSAGQQAQLDALPSPRLINTHMHHSLLPASLAAAGNPDYCKIVYICREPKDMVVSAWHFLRQIRPLTFGELFEGACEGKTPNGPVWDHVLGYWRASKAAPEKVLFLRYEEVLADPVGHVRELARFLGRPFSAAEDEAAVGEEVAELCSFEALRGLGARSTGSCDTTLHKFSHESYFRKGVAGDWANHMTPEMAARFDAIVQDVASLSTDAPSAAARSIRSLRRGAIISRRCALPGFAYIS* >Brasy1G194500.1.p pacid=40053277 transcript=Brasy1G194500.1 locus=Brasy1G194500 ID=Brasy1G194500.1.v1.1 annot-version=v1.1 MSADELRLDLEELRRLESLATRPRVLYILSNEIRSVDAKLAARTATAPAPAPQAVAAAAPAGVNYVTLGTFSWDQDNEKITVYVFLEDVDQEKVETTFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKVVVKPKKIVITLCKASKGSWLDLHYKEDKFKPSTAKDKDPMAGIMDLMKGMYEEGDDNMRRTIAKAWTDARSGKTADSARVLP* >Brasy1G194500.2.p pacid=40053278 transcript=Brasy1G194500.2 locus=Brasy1G194500 ID=Brasy1G194500.2.v1.1 annot-version=v1.1 MSADELRLDLEELRRLESLATRPRVLYILSNEIRSVDAKLAARTATAPAPAPQAVAAAAPAGVNYVTLGTFSWDQDNEKITVYVFLEDVDQEKVETTFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKVVVKPKKIVITLCKASKGSWLDLHYKEDKFKPSTAKDKDPMAGIMDLMKGMYEEGDDNMRRTIAKAWTDARSGKTADSARVLP* >Brasy1G195500.1.p pacid=40053279 transcript=Brasy1G195500.1 locus=Brasy1G195500 ID=Brasy1G195500.1.v1.1 annot-version=v1.1 MPGPIQPMLLVRRSLSSTFASEHHYMYTRLPAFSPASRRKEAKNLPTRWWKSFCTMATCGFYIFPDFFTLKPVVALLVFDSCAPFWN* >Brasy1G573600.1.p pacid=40053280 transcript=Brasy1G573600.1 locus=Brasy1G573600 ID=Brasy1G573600.1.v1.1 annot-version=v1.1 MTSPCSERSSSYSLNATCVVWALENWLLGICLPGVWLFGVCLLGVCLLGICLLGVGLLGIFLLGICLLGICLLLPGSVGGLSNDGICWLRYYAAHWICKIADFVRSRQEPAVTLWDFKDLTEYTQRSPPSIRLMRTKLCSTRSSRSRRQGYTDAVGGQTTKGNGGYSRKSAAIVCQPGLDLNSLGETPGHRHTEGRPVCRSSTTVTKTPEAVVWLIASSAAQHMTGNVNQLTDYKPAPDDLVVQVPNMGPMRAHGTGSVRTATMSLHNVLHVPGLDKNLVSTSQLAKLGYTITLGPSGCRVTKDEIVVGEAHFTHEYLFQIDFIRVPA* >Brasy1G543600.1.p pacid=40053281 transcript=Brasy1G543600.1 locus=Brasy1G543600 ID=Brasy1G543600.1.v1.1 annot-version=v1.1 MAAAAAGALHDELLLDIFSRLPDTVDLFRCAATCGRWFRLILQSHRSSSFILGAFYQIAAVVSASPAPGNKPSCPPRFVRIHAPSTTLPACGSFLPNSDGLFSHAMPLASRRGLLLSRVVMPTPLDRRKLHLAVSHPLLAGRARLLPPPPVDPRDLTGYALLPIADVDDPGAFRVLITAAVVSDSGDHHHRRAYSYSSATGLWSAPTECPITGDLAMSGPRAGTVDSHGTAHWLYRDTASFYALAVAGDATQAALTKLPIIRHAVQRGHFQFQLNQPLFPCLAGAGDLALVHNRRPYPDAAGAGGERGKLDLWIKKGGDQGGSWARRSEELAKYKAAPLESLKVLGFAESAGKLLVRSVGMLSWLDVESGKTELASSWRYPRDVCKSGSCQGYGSCSECTYNCRVIYEVHWASFLRQISAWS* >Brasy1G421200.1.p pacid=40053282 transcript=Brasy1G421200.1 locus=Brasy1G421200 ID=Brasy1G421200.1.v1.1 annot-version=v1.1 MAACGGSRQFTSSAWAPKQYILAAVAATLAVSAVAIVTSIVLSPARVVFSVTVAGASAAVPVPSGGVLLNFTLDAANPSRRAGVEYGSVTARLRLHSAGHRAAGLAQTEVRQPMPLFQPPACSRSFPVSAFFEKAFVALNFGGKGAGPRPAGEAVVVRAPPMSVLVAAQVRFKVGLAYSRPYDVEVICQPVYFFAAAAATTVACVA* >Brasy1G359000.1.p pacid=40053283 transcript=Brasy1G359000.1 locus=Brasy1G359000 ID=Brasy1G359000.1.v1.1 annot-version=v1.1 MDPQQMVLHGSYTEFAPVATSECMISGKLEAISRCCSFTGFVTLLDRVSGVYVLEASLWKV* >Brasy1G350600.1.p pacid=40053284 transcript=Brasy1G350600.1 locus=Brasy1G350600 ID=Brasy1G350600.1.v1.1 annot-version=v1.1 MNSVLLYTRRLVFFVGKQAISWAVDFASRLVSILPIKSSFLSSDCSLAGSSAFSPFCRRSAQEDGAWASARRPWRGWGAAPAFLLCGCSSDGADEQDAPAHRDRFRFEMATAWARLFPDLQALAGDLLSGGDFWARRRGKSARTRSSSEAMNFGREFCVTASGCGETTTAKARLFPSALFGGEVCSPPSSEFFVYFACLYSRC* >Brasy1G047400.1.p pacid=40053285 transcript=Brasy1G047400.1 locus=Brasy1G047400 ID=Brasy1G047400.1.v1.1 annot-version=v1.1 MTAVSSLTGFGAPIACSGVDGPIATSACFVAEIARWPNCRGLATALRETPSSILLFRDRVVQDKPIGHRDGVGSLFILVCFSIWRERTSRVFNDKSMHLRQLSVFIRDETQDWVFAGVKALRKLL* >Brasy1G432600.1.p pacid=40053286 transcript=Brasy1G432600.1 locus=Brasy1G432600 ID=Brasy1G432600.1.v1.1 annot-version=v1.1 MVQGEDAVPVYESGAEVLEKLQEKWKSAAAPYPAMYSSVVGGIVLDPAMMSIPIDDHMVHRGHGVFDTAMLLDGHLYELDAHLDRFLRSAAKARVGTPFPRDALRSVLVQMTAASGCRKGSIRYWLSSGPGDFLLSSSGCPTPAFYAVVIASDYSQCRDGVRAVTTGVPMKPPLFATMKNVNYLPNVLSIMDAEERGAFASVWVDEQGYVAEGPMVNVAFVTPDAELVLPAFDKILSGCTAKRLLALAPRLVEAGLLKGVSTANVTAEEAKRSDEMAFVGSGLPVLPIVEWDGQPIGDGKVGKLMLALSDLLWEDMKSGPDRVAVPYT* >Brasy1G576100.1.p pacid=40053287 transcript=Brasy1G576100.1 locus=Brasy1G576100 ID=Brasy1G576100.1.v1.1 annot-version=v1.1 MQFPPTLIHKVPNQQNTQISTFLHKSSRRSIMAGAGGDDLKLLGAWASPFVTRVKLALAFKGLSFEDVEEDLSNKSELLLASNPVHKKVPVLIHNGKPICESLVILQYIDEAFAGTGPSLLPAEPHDCAVARFWAAYIDDKLVAPWVQSMRGKTEEDKAEGIKQTFAAAETLEGALRECSKGQGFFGGHSVGLVDVSLGSLLSWLKATEVMSGAKIFDPVKTPLLAAWMERFGELEASKAALPDVDRVVEFAKQRAQAAAAAAASEN* >Brasy1G401600.1.p pacid=40053288 transcript=Brasy1G401600.1 locus=Brasy1G401600 ID=Brasy1G401600.1.v1.1 annot-version=v1.1 MKDQGSAGVSPGPPEGEKKAINSELWHACAGPLVAMPPVGSLVVYFPQGHSEQVAASMNKEVDVIPNYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVSKYDRDAMLASELGLKQNKQPMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFAMQPPAQELMAKDLHDISWKFRHIFRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRSTRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSQLFPGLSLVQWMAMQQNPQMLPASAPAVQSPYLTSSALAMQDGMGTGNEDPTRRLNIQGQNIGLPNFQVGSKMDHSVMAQHQQQPHQLSQQQQVQPSQQSSMALQQQQAQLLQQNAIHLQQQQEHLQRQQSQSQQQQEHLQRQQSLPQQQLKTAESMEQYKLREQQPQGGQAVSQAQLLSQIFQPSSSQLQQLGLPKSPTQRPGFPGLQTAGSLQQPALTQTPQVQQSAEYQQALLQSQQQQLQQLSQPEMQLQLLQKIQQQNMLSQLNPQHQSQLIQQFSQKNQEFLQQQILQHQLGGSDVLGQFKQSQQTPSNHMTGSLTPQQLVRSQSALAESEDPSSSTAPSAGRISPMNSLSKTHHSSRNLTDMTTSPHIDNLLQEIQSKSDNRNKNDRQGSKETIPVPNRYPVSDQLDASSATSFCLDESPREGFSFPPVCLDSNAQVDPRDNFLIAENVDSLMPDALLSRGMASGKGICDLPSGQRDHREVENELSSAAFSSQSFGVADMSFKPGCSGDMAVNDGGIPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRNYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGGIPSQTQACSASDDANAWRGS* >Brasy1G401600.2.p pacid=40053289 transcript=Brasy1G401600.2 locus=Brasy1G401600 ID=Brasy1G401600.2.v1.1 annot-version=v1.1 MQPPAQELMAKDLHDISWKFRHIFRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRSTRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSQLFPGLSLVQWMAMQQNPQMLPASAPAVQSPYLTSSALAMQDGMGTGNEDPTRRLNIQGQNIGLPNFQVGSKMDHSVMAQHQQQPHQLSQQQQVQPSQQSSMALQQQQAQLLQQNAIHLQQQQEHLQRQQSQSQQQQEHLQRQQSLPQQQLKTAESMEQYKLREQQPQGGQAVSQAQLLSQIFQPSSSQLQQLGLPKSPTQRPGFPGLQTAGSLQQPALTQTPQVQQSAEYQQALLQSQQQQLQQLSQPEMQLQLLQKIQQQNMLSQLNPQHQSQLIQQFSQKNQEFLQQQILQHQLGGSDVLGQFKQSQQTPSNHMTGSLTPQQLVRSQSALAESEDPSSSTAPSAGRISPMNSLSKTHHSSRNLTDMTTSPHIDNLLQEIQSKSDNRNKNDRQGSKETIPVPNRYPVSDQLDASSATSFCLDESPREGFSFPPVCLDSNAQVDPRDNFLIAENVDSLMPDALLSRGMASGKGICDLPSGQRDHREVENELSSAAFSSQSFGVADMSFKPGCSGDMAVNDGGIPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRNYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGGIPSQTQACSASDDANAWRGS* >Brasy1G139300.1.p pacid=40053290 transcript=Brasy1G139300.1 locus=Brasy1G139300 ID=Brasy1G139300.1.v1.1 annot-version=v1.1 MGNSLRCCLTCMLPCGSLDVVRIVHLSGRVDEFGCPITGAAVLTAHPNHTLATAWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATVPAANRRKKSRPPCSKSKQSSKRTTTASTRASSTAEQDNYLRELLLSEKAASAGAHRRRRSGCRVGVWRPELESIVEETSD* >Brasy1G399700.1.p pacid=40053291 transcript=Brasy1G399700.1 locus=Brasy1G399700 ID=Brasy1G399700.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYMIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNQLSPADWPDTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G231700.1.p pacid=40053292 transcript=Brasy1G231700.1 locus=Brasy1G231700 ID=Brasy1G231700.1.v1.1 annot-version=v1.1 MALSAVHNSSLGDATPSISAIAEGQSAAYRLFETIERKPNIDSNDTSGIVLENIKGDVELKDVYFRYPARPGQLILDGLSLQSGSGKSTVISLVERFYDPQDGEVMIDGINIKNLRLDWIRGKIGLVSQEPLLMTSIKDNIIYGKEDATLEEIKRAAELANAANFIDKLPNGYNTLVGQRGTLLSGGQKQRIAIARAIIKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHYELVKDTNGAYSQLIRLQETRGDKRHKIQDSGVPNSLSKSTSLSIRRSMSKDTFGNSNRYSFNNPLGLSVELHEDAKALKKAPIRRLFYLNKPEVPFLLLGSIAAAVHGLIFPLFSIIMSGVIKSFYEPPDKMRKDSSFWALISVVLGIASLISIPAEYFLFGIAGGKLIERVRTLSFQNIVRQEVAWFDNPSNSSGALGTRLSVDALNVRRLVGDNLAIIVQSIATLITSFAIAFSADWRLALVITCVIPLVGVQGYAQLKFLKGFSEEAKEMYEDASQVATDAVGSIRTVASFSAEKRVVTTYNKKCEALRKQGIRSGIIGGLGFGFSFLVSYLTYALCFYVGAQFVRQGKITSADVFKVLLALVLAATGISHSNRKSKVDSSSCEGLTLQNITGNIDFSNTIALVGESGSGKSTIISLLERFYDPDSGRISLDGVEIKSIRISWLRDQMGLVGQEPVLFNDTIRANITYGKHGEVTEEEIMAVAKAANAHEFISSLPQGYDTFVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESEHIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALMRIKDGVYASLVEVRSNSE* >Brasy1G442800.1.p pacid=40053293 transcript=Brasy1G442800.1 locus=Brasy1G442800 ID=Brasy1G442800.1.v1.1 annot-version=v1.1 MPRLFTDRVGERVLGVRCSYRYEVYSFLDGPVTVRLAAPAGGSKAPAPAPESTVDGGERKYTVTGMVVVILLPTIGALLVINLLVWLCIWRRTRRPQTRADANGQSTEPKNIESLESMLMDLSTLQAATGGFAENNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSTQGVGELANELALVAKLQHKNLVRLVGVCFEQEERLLVYEFVPNRSLDQILFDTEKSEQLDWGKRHKIIHGIARGLQYLHEDAQLKVVHRDLKASNVLLDTNMNPKISDFGLAKLFSPDQTQGVTSRVVGTYGYMAPEYAMRGNYSVKSDVFSFGVMVLEIVTGRRNNGCASGQSGDLLALVWERWADGSVSELVDPAGMGDGFSRTDALRCVHIGLLCAQGDPAGRPAMSSVVMMLGSGTVSLQAPPKPVSYARSGGGASSGASTGSV* >Brasy1G442800.2.p pacid=40053294 transcript=Brasy1G442800.2 locus=Brasy1G442800 ID=Brasy1G442800.2.v1.1 annot-version=v1.1 MPRLFTDRVGERVLGVRCSYRYEVYSFLDGPVTVRLAAPAGGSKAPAPAPESTVDGGERKYTVTGMVVVILLPTIGALLVINLLVWLCIWRRTRRPQTRDANGQSTEPKNIESLESMLMDLSTLQAATGGFAENNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSTQGVGELANELALVAKLQHKNLVRLVGVCFEQEERLLVYEFVPNRSLDQILFDTEKSEQLDWGKRHKIIHGIARGLQYLHEDAQLKVVHRDLKASNVLLDTNMNPKISDFGLAKLFSPDQTQGVTSRVVGTYGYMAPEYAMRGNYSVKSDVFSFGVMVLEIVTGRRNNGCASGQSGDLLALVWERWADGSVSELVDPAGMGDGFSRTDALRCVHIGLLCAQGDPAGRPAMSSVVMMLGSGTVSLQAPPKPVSYARSGGGASSGASTGSV* >Brasy1G425300.1.p pacid=40053295 transcript=Brasy1G425300.1 locus=Brasy1G425300 ID=Brasy1G425300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G363900.1.p pacid=40053296 transcript=Brasy1G363900.1 locus=Brasy1G363900 ID=Brasy1G363900.1.v1.1 annot-version=v1.1 MPSSYAAVASSSRKRVPVAAATATTRRPAPAPAPSPSNPSHVSDSDPSSCSSSTGDETETDLAASDPATATVISTYLSVAGDGADLAKVRLFLTTAARRRSPPCLICLDPIRPSDPVWSCSVSCFALLHLPCIQSWAHQSASAAPSPTWGCPKCRVAYPKSQTPTSYHCFCSKTVDPPADPWILPHSCGEVCDRPLNSNADSGCEHTCLLRCHPGPCPPCPTVVPNARCFCGAHREPRRCARQRYSCASKCNKSLSCGLHRCPVDCHDGPCPLCAVRGNHKCECGETTVERLCSERVFQCKRECGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKTDYPRLECDVDAATCGSTCEKVLGCGRHKCPERCHRGPCDKVCRLVIKKSCRCGGLKKELPCHQDLTCERKCQRLRDCGRHACKRRCCVGDCPPCAEICDRRLRCGNHKCQSPCHRGACSPCPLMKTVSCFCGKTYFEVPCGTEKNQKPPKCSKKCNIDRLCRHKFDCRPHKCHYGACPPCKLICAEELSCGHKCKERCHGSIPPPNPEYTLKPMKKKMEKHIECTPGTPCPPCQEVVLVPCLGQHIGQERAIPCSKRRQFPCQNLCGNPLPCGNHYCTKACHVLEIPLGQPKADTILSLRRENILAEPCEECDLPCQRVRDSPCTHPCPLPCHENDCPPCKVLVKRSCHCGAMTHSFECVHYNNLNAKEQLKVRSCGGPCHRKLPNCPHLCSEICHPDQCPSVDQCMKKVNVRCACNTLKKEWVCQDVLKEYRRSGRDPKEVPKGQFGVGLLPCGEDCAKKVKVSSTELHLRKVQEVKSPAMEVANVPKRKKKRERGGQEPAPRSMLQKIKDFLSGPLLKFLLLVIAIAGLVVLVVVMVKFIYQISDWMNEMEEQRARQRNLRAPRL* >Brasy1G363900.2.p pacid=40053297 transcript=Brasy1G363900.2 locus=Brasy1G363900 ID=Brasy1G363900.2.v1.1 annot-version=v1.1 MPSSYAAVASSSRKRVPVAAATATTRRPAPAPAPSPSNPSHVSDSDPSSCSSSTGDETETDLAASDPATATVISTYLSVAGDGADLAKVRLFLTTAARRRSPPCLICLDPIRPSDPVWSCSVSCFALLHLPCIQSWAHQSASAAPSPTWGCPKCRVAYPKSQTPTSYHCFCSKTVDPPADPWILPHSCGEVCDRPLNSNADSGCEHTCLLRCHPGPCPPCPTVVPNARCFCGAHREPRRCARQRYSCASKCNKSLSCGLHRCPVDCHDGPCPLCAVRGNHKCECGETTVERLCSERVFQCKRECGGMLDCGKHRCERGCHGGKCGECPLRGRRTCPCGKTDYPRLECDVDAATCGSTCEKVLGCGRHKCPERCHRGPCDKVCRLVIKKSCRCGGLKKELPCHQDLTCERKCQRLRDCGRHACKRRCCVGDCPPCAEICDRRLRCGNHKCQSPCHRGACSPCPLMKTVSCFCGKTYFEPHKCHYGACPPCKLICAEELSCGHKCKERCHGSIPPPNPEYTLKPMKKKMEKHIECTPGTPCPPCQEVVLVPCLGQHIGQERAIPCSKRRQFPCQNLCGNPLPCGNHYCTKACHVLEIPLGQPKADTILSLRRENILAEPCEECDLPCQRVRDSPCTHPCPLPCHENDCPPCKVLVKRSCHCGAMTHSFECVHYNNLNAKEQLKVRSCGGPCHRKLPNCPHLCSEICHPDQCPSVDQCMKKVNVRCACNTLKKEWVCQDVLKEYRRSGRDPKEVPKGQFGVGLLPCGEDCAKKVKVSSTELHLRKVQEVKSPAMEVANVPKRKKKRERGGQEPAPRSMLQKIKDFLSGPLLKFLLLVIAIAGLVVLVVVMVKFIYQISDWMNEMEEQRARQRNLRAPRL* >Brasy1G207600.1.p pacid=40053298 transcript=Brasy1G207600.1 locus=Brasy1G207600 ID=Brasy1G207600.1.v1.1 annot-version=v1.1 MASHIILPKEEEEEGLGVAVEADHDSPEPPRYQAEPVPKALPFSATCVRISRDSYPNLRALRNASAVSLRDDDAAFVKLEEGDYGYVLDDVQHLTDYLPELPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFEPEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDVFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTRKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMCATLASRDVDCCLIPESPFYLEGEGGLFEYIERRLKENNHMVIVVAEGAGQDLIAKSIPAADQLDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSSTVGIVHGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLTQKDIDEAREADRLANSPPVPAGTSEHPEHTKNSPPVPASMSANGEK* >Brasy1G125300.1.p pacid=40053299 transcript=Brasy1G125300.1 locus=Brasy1G125300 ID=Brasy1G125300.1.v1.1 annot-version=v1.1 MATRFVLNTGAKMPAVGLGTWQSDPGVIGEAVYAAVKAGYRHIDCARAYNNEKEVGLALKKLFEEGIVKREDLFITSKLWCGHHAPEDVPEAIGDSLNDLQLEYLDLYLIHWPFRVKKGTSINNPENYIPPDIPATWGAMEKLHDAGKARAIGVSNFSSKKLGDLLSVARVPPAVNQVECHPGWQQSKLHAFCQSTGVHLSAYSPLGSPGSTWMNGNVLKEPVVLSVAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVNDWSIPDDLLAKFSEIKQVRLLRGNFIANPDSVYKTVEELWDGEI* >Brasy1G173600.1.p pacid=40053300 transcript=Brasy1G173600.1 locus=Brasy1G173600 ID=Brasy1G173600.1.v1.1 annot-version=v1.1 MPWLAVPFTDSEGRKSLDERFQVRGIPHLVILDAKTGKVCTEDGVEFVSEYGIDAYPFTPERINELKEQEKAAKDNQTIHSVLSTPTRDYLISNKGDKVPISDLEGKYVGLCFVVSGYGPVEEFTTVLAKIYGKLKEVGKKFEVVAVSMDSDEASFNESFQNMPWLAIPQGDKMCQRLVSYFELKDLPTLVLIGPDGKTLNSNIADIIEENGVESWEGFPFNAEKLEILAEKARAKAESQTLQSLLVTGDLDFVIGKDGAQVPVSQLVGKTVLLYFSAQWCGPCRAFLPTLVDVYNKIKEKNSDFEIVFISSDRNQSSFDDFFSGMPWLALPLEDERKAYLKKTFKIRGIPSLVAIGPSGKTVNANAKAPLAVHGADAFPFTEEKIQELEKNIDEMAKGWPEKLKHELHEEHELVLTRHRRPFGCDGCDEMGNS* >Brasy1G561200.1.p pacid=40053301 transcript=Brasy1G561200.1 locus=Brasy1G561200 ID=Brasy1G561200.1.v1.1 annot-version=v1.1 MAASSSSQPPETKQRPRNHRHLNVQVPSDSVATTGCFTGCFLRSPTSSTSTSTERPASPSLIRSPSAWIRAHGFVSTRRRSGDLFQYDARSYARNFDESADNDEEGSRLAEVDALKFSSRLPASPPAPSPFAQCADGPGKEPVREKGPD* >Brasy1G094100.1.p pacid=40053302 transcript=Brasy1G094100.1 locus=Brasy1G094100 ID=Brasy1G094100.1.v1.1 annot-version=v1.1 MDDFSFKDANNLSSLLLREETLVEKKRRWLASLGSELDAFHRPKKPKFLKDVYLAESDVRADEVSTEGVRLHVEKGFGLLSNCYNHHVVQDGLELFKFKTQKDGSLSPESLEILHCTIEKLSNGALDSVAKLVTHGGTSCRKARLTLQKIVKDHLPKYLAKLDSENSKISLSEILTNPCSYQSSSINIATPVSPMLLSSMKQALSRLDGNSEQAVVAMNRKLREKQCFPKFSIVENGDADKGYRARNKSFIVDLVWKRCRSMISQLHGGKNLPNRVAKALAVMNLDRKLTLRSMDISQTEFFPFPRGTVLLQNDILNALWSLPELHSDNLKLLRPILNQSSKVQMVSFRVAVRRYLTECLFQCDDGNLPVEAQRAISFIDRMSPKHQQVILTEERKEVEVEAVLDLSSCLRSLARGATEECPSDDEVRLEVGGCSKDNDFVLTDSNYFNIRSQQQMDEVYSNFMINTAGAGQYDGSEAACSTKDPKSIKDDPKMAGCADDHLSAACDDTAIVADKLIGKILNNMLVEDKGVDELTRNYLARGSFSQNPQVVEAKNQKDIVLYAIQSVLPNLPESSLDKVRRIIDGADK* >Brasy1G012000.1.p pacid=40053303 transcript=Brasy1G012000.1 locus=Brasy1G012000 ID=Brasy1G012000.1.v1.1 annot-version=v1.1 MISSFLIRALLCRDSFFRSKKRADPEDEEGGGAEYTDLEGGEAPAAAISGGGGEAPVAAGTDEEEELRRRRGLVMTFLLVIMFLLFIGIFDLAEALTAFPPEAAAEGVGLMAAGGAAMTAAGVALLAGFVLWCCCCGGKDAI* >Brasy1G103600.1.p pacid=40053304 transcript=Brasy1G103600.1 locus=Brasy1G103600 ID=Brasy1G103600.1.v1.1 annot-version=v1.1 MDLSRPESSDLSLGLHPHAHTSAHARVHARAAPLRLFNDSDDVKTEGSFGGGDGDEGDDEGGDQHFSLLGHSLCVKRPRRALHGGGGGGGGGGESSSCSSSSSTLRPVKRQAVGERSADLEARRGAVRAWGNQPLAEADPDVHALMERERERQVRGIELIASENFVCRAVLDALGSHLTNKYSEGHPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQNGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKNLRKRTGSFSQGDDNDYDFEDKINFAVFPSLQGGPHNNHIAALAITLNQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCELCHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEEDFEVIADFLIRATQIAGNVLKEHGKKEFLRGLENNKDIIELGNQVESFASQFAMPGFYV* >Brasy1G321900.1.p pacid=40053305 transcript=Brasy1G321900.1 locus=Brasy1G321900 ID=Brasy1G321900.1.v1.1 annot-version=v1.1 MRRKYVWMAMQHAAPPSTPMAPPLIFNQLDCKARIRIRVAQQQPHTKPDSFVAGLFMYKHGFCSKFTLFGALVNQ* >Brasy1G057000.1.p pacid=40053306 transcript=Brasy1G057000.1 locus=Brasy1G057000 ID=Brasy1G057000.1.v1.1 annot-version=v1.1 MASHQHMSPYETQVASKTTRFETTGGPVPPRPCFLLLRVQCSARFSSEFHFTGGGVERRDERCRGPAPAAAEEDGVVLFFDVETSLRSEAACHAALRGDLARRLPQLAEFCREAELWDGFVPPGLVDGILTAVSSSASGEAIPSTGSSSGGSCRCDVWMRVDVTRVYSEAKALALACAAADGRDDAVGGGEEQCCLICMEELLLAADGDGDAVTDLPGCSHAFHWGCILEWFHAAPTCPSCRRDITHYLPPPHTFGQC* >Brasy1G041000.1.p pacid=40053307 transcript=Brasy1G041000.1 locus=Brasy1G041000 ID=Brasy1G041000.1.v1.1 annot-version=v1.1 MLNLQLGIRHAVGRQSAPNSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALLELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRAYENTLITKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYAIHRRFDLKGSSLGRMTDKPLDQIDETTTLKDLDLNFVLRLGGSWFQDFCRQVDRDCELLEQERIMDYSLLVGLHFKDRCKDISNTDNGTPTTATEDSEQDRKAPAKLGICMHSRVENIVKNPESESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFRAFAEDVQ* >Brasy1G365800.1.p pacid=40053308 transcript=Brasy1G365800.1 locus=Brasy1G365800 ID=Brasy1G365800.1.v1.1 annot-version=v1.1 MPSKKEKEVDGPFPLWSFFLDDWRPGLAQLNQATVARGPAAAPLETRALHPLPVQRSPQSSQVAHTPTPSHPHSRSAFLPSTVSIRSTVAATSKQSKSPPLVLAPPPPRVLVCAQRESVLIPP* >Brasy1G082400.1.p pacid=40053309 transcript=Brasy1G082400.1 locus=Brasy1G082400 ID=Brasy1G082400.1.v1.1 annot-version=v1.1 MAAAAMMGVAARRLHHAAAAAAGQPPRLKKLALHPPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSIAGEKVIFGRRHVGIMSAESIGNYGVRILFDDLHKTGIFTWDFLYHLGSNKFSLMRNYIKTLRRHGLTRDPQRRK* >Brasy1G082400.2.p pacid=40053310 transcript=Brasy1G082400.2 locus=Brasy1G082400 ID=Brasy1G082400.2.v1.1 annot-version=v1.1 MAAAAMMGVAARRLHHAAAAAAGQPPRLKKLALHPPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSIAGEKVIFGRRHVGIMSAESIGNYGVRILFDDLHKTGIFTWDFLYHLGSNKFSLMRNYIKTLRRHGLTRDPQRRK* >Brasy1G150400.1.p pacid=40053311 transcript=Brasy1G150400.1 locus=Brasy1G150400 ID=Brasy1G150400.1.v1.1 annot-version=v1.1 MGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFVFLVTSALLSYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRLTFCYWVVAAVPFYLATWEHYFTNTLILPVINGPTEGLMLIYVSHFFTFLTGAEWWSQDFRKSLPLVNLVPLPFIPEIPLYVIVLILMILFAVIPTVGSNVGNVQKVVDARKGSMELALAMLLPFIALLAGVAVWCYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCISLVFLPFAIANALTAKINDGTPLADELLVLLLYCAYTVGLYMYLAVSVCHEIKDALGIYCFRITRKEA* >Brasy1G150400.2.p pacid=40053312 transcript=Brasy1G150400.2 locus=Brasy1G150400 ID=Brasy1G150400.2.v1.1 annot-version=v1.1 MGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFVFLVTSALLSYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRLTFCYWVVAAVPFYLATWEHYFTNTLILPVINGPTEGLMLIYVSHFFTFLTGAEWWSQDFRKSLPLVNLVPLPFIPEIPLYVIVLILMILFAVIPTVGSNVGNVQKVVDARKGSMELALAMLLPFIALLAGVAVWCYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCISLVFLPFAIANALTAKINDGTPLADELLVLLLYCAYTG* >Brasy1G128800.1.p pacid=40053313 transcript=Brasy1G128800.1 locus=Brasy1G128800 ID=Brasy1G128800.1.v1.1 annot-version=v1.1 MGRGVPPDSRPPLGALVLLVLAAVLPSPAAGVNVTAVLAAFPNFSDFLRLLTSGPVAGELAGRSSLTLLAVPNANLPRSPSAFAAASGADLADVLRYHVLLEYLSPSDLARLPASGKVVTTLFQTTGRAPSDLGAVNLTSSAAVGNHSSTVVVRSPAPSPGSNATVLGAVTREPYNISVLAVGGLIVPSGFDIAASGSESRPSPAVNITRVLADARGFNVAASMLEASGVADDFEADERGAGITVFVPTDDAFAAGLPDAARRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATECTEAGRFTLNITRSNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPKEMFGQGNPASAAAAAPPAAMAPDESDDGPTPPTKLSSPPASAAARGANWSCIGFVYLHLHLLLLLLPLV* >Brasy1G529600.1.p pacid=40053314 transcript=Brasy1G529600.1 locus=Brasy1G529600 ID=Brasy1G529600.1.v1.1 annot-version=v1.1 MSIASWSSDQFIHLGGVLCGSLEGGTKEGIAMGDQFAYFDPVFLSLKD* >Brasy1G360100.1.p pacid=40053315 transcript=Brasy1G360100.1 locus=Brasy1G360100 ID=Brasy1G360100.1.v1.1 annot-version=v1.1 MAAGNTKLSMKLLVDSKAQRVLYAEAGKDVVDFLFSLLTLPVGTVVNLLTADSMVGCVGNLYSSVDKLDSTYICRATAKKDLLTPAGGCHGGTLLQLPDASLFCFAAEAGQFFRCGYHKDSECSNNFTKVRGTPCPRCKQVMQTQANLVEPAAGSVPVAAPAGFVQGIVTYTVMDDLKVAPMSSISGITLLNTFGVTDIGSLQEKTVELGYAEGLEILKASLQSKTVLTDVFLGKKRKA* >Brasy1G034900.1.p pacid=40053316 transcript=Brasy1G034900.1 locus=Brasy1G034900 ID=Brasy1G034900.1.v1.1 annot-version=v1.1 MAEIVSSAASAIVEEAVSLVFSGITTTTRKDEDKSDQAAAEDGLERLEMARIKMEAALETSDKWQITDLSLLHWRKKLKSAAQDCDNAVRRSKQLSQDEEEREQLIAHATKAFVSSFLTRSNNNDHCSAGRSIAASVRKFERLADGAGEFMRFVQLSGIRRQHLFFDPLVRHIFAGKSLLYQVLDSRSRHRLYIQPMDYEERGLEAMLSFVYEDCMVPENSFHFGFMIRFSESTDIIGTAVKCLQLVTPHFKSTADVIIKEITRLPTQDFSCVSPKVANANMDNWNPRLWEDVRKTLNGWFRPDPLCCQGYEHKGNVLPSCGGTDGTGSSSDSGRKLRLSSIFPEPVYQVFLQRHISLSEYNNLVPVSSTTTSTGYIDTSALVKNFPPLKLGILFLPHDSWEMDLKSASEADYAIEAIDGEKQQHLTHINVHPGQLDEMLLPKATDYLYHNAEATTYEIRWRSNHGSAHMCLEKPKMVRTCKSTKHMNNNKLLRHMERGQRIRKAPCKQITRDYLKLWFVRSSKRLRTSISAWLSEDKAS* >Brasy1G556700.1.p pacid=40053317 transcript=Brasy1G556700.1 locus=Brasy1G556700 ID=Brasy1G556700.1.v1.1 annot-version=v1.1 MTFAKKVARSHGIPLVLDWAIRNGSCPPAPLGGDKESVPYGACISTHSNCVNASSGVFGYFCNCSQGYAGNPYVPNGCTNINECERKDLIPCSTGSTCHDEIGDYKCICHFGRRGDGKRPNGCEAILSGAAIAVIGTISAMALLAVLLIFLHMKREKRKLRDHFNKNGGQLLKSIKIEIFTEEKLKHITKNYYSSIGEGAFGKVYKGTTEDGTHVAVKRSIAVNKDRQKDFANEITIQSQISHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLPLETRMNIAINSADALAYMHSQASQKILHGDVKSGNILLDDGFMPKVSDFGTSRLMSIDKDHTNWIIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYAKNNSLPINYIKASIDGTTKEMYDADIIVSGAEEDVKCLEEVGLVAVQCLKDDVNDRPTMSEVVEKLKMCKRRWFQSRGQDSEVCT* >Brasy1G556700.2.p pacid=40053318 transcript=Brasy1G556700.2 locus=Brasy1G556700 ID=Brasy1G556700.2.v1.1 annot-version=v1.1 MTFAKKVARSHGIPLVLDWAIRNGSCPPAPLGGDKESVPYGACISTHSNCVNASSGVFGYFCNCSQGYAGNPYVPNGCTNINECERKDLIPCSTGSTCHDEIGDYKCICHFGRRGDGKRPNGCEAILSGAAIAVIGTISAMALLAVLLIFLHMKREKRKLRDHFNKNGGQLLKSIKIEIFTEEKLKHITKNYYSSIGEGAFGKVYKGTTEDGTHVAVKRSIAVNKDRQKDFANEITIQSQISHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLPLETRMNIAINSADALAYMHSQASQKILHGDVKSGNILLDDGFMPKVSDFGTSRLMSIDKDHTNWIIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYAKNNSLPINYIKASIDGTTKEMYDADIIVSGAEEDVKCLEEVGLVAVQCLKDDVNDRPTMSEVVEKLKMCKRRWFQSRGQDSEVCT* >Brasy1G009600.1.p pacid=40053319 transcript=Brasy1G009600.1 locus=Brasy1G009600 ID=Brasy1G009600.1.v1.1 annot-version=v1.1 MAELSRLPDDILVRVKDDAAALFSCVMVCKRSWPTPPSSAAAGHRSRRRTTTMRAPPLPASSLRYGCDAGGGPKSRASSRRRALKSFIPGASAGLFSRAVPLAWRHGLLLVRLGPHYGGGDMAGGVRPAHRRVPRAPASQLRPAIRGADQGRLRHPHRRRLRTPLAVLQSANRRRDGRGDSCRVVGLRILGRPWRSGLEHAASREL* >Brasy1G225000.1.p pacid=40053320 transcript=Brasy1G225000.1 locus=Brasy1G225000 ID=Brasy1G225000.1.v1.1 annot-version=v1.1 MGHHSCCNKQKVRRGLWSPEEDEKLVKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQEEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHAGGIPSPADLYYNILDGAAGQAGGIAAAGCPSLSGLDHNAAQGVTQSPPSGWANFTSQLFLPGADHLQYAVDGDFIRLCRSADAYPDQNGGAAAGLLAQEAAGASANDDGDRSGTLPVFVEPKGAFSAVPGAMGPVVDFMDAILGSSSTSAASASSVDSFSANTGMQLHWIP* >Brasy1G225000.2.p pacid=40053321 transcript=Brasy1G225000.2 locus=Brasy1G225000 ID=Brasy1G225000.2.v1.1 annot-version=v1.1 MAMAAGAQSQDKLLRMCAGLQRCGKSCRLRWINYLRPDLKRGSFSQEEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHAGGIPSPADLYYNILDGAAGQAGGIAAAGCPSLSGLDHNAAQGVTQSPPSGWANFTSQLFLPGADHLQYAVDGDFIRLCRSADAYPDQNGGAAAGLLAQEAAGASANDDGDRSGTLPVFVEPKGAFSAVPGAMGPVVDFMDAILGSSSTSAASASSVDSFSANTGMQLHWIP* >Brasy1G425200.1.p pacid=40053322 transcript=Brasy1G425200.1 locus=Brasy1G425200 ID=Brasy1G425200.1.v1.1 annot-version=v1.1 MASSCGSWSREAAVLLLVVFLLSAPVQAARPALLAAGGENHATGAGGQAAPILFPRLPPAAFGAGIRRTAGNNAAAERRVLGSVPSPGVGH* >Brasy1G333300.1.p pacid=40053323 transcript=Brasy1G333300.1 locus=Brasy1G333300 ID=Brasy1G333300.1.v1.1 annot-version=v1.1 MEDKNHDVDFALICAKFLYFCCMYDLPSWFVILVGQ* >Brasy1G147500.1.p pacid=40053324 transcript=Brasy1G147500.1 locus=Brasy1G147500 ID=Brasy1G147500.1.v1.1 annot-version=v1.1 MGNCCPGSGDAEPTPSASADPSSTRRSGASIKLAAGASPTSAPAQNKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAASLLRTIVDIVHTCHSLGVIHRDLKPENFLLSSKEEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRNYGSEADIWSVGVILYILLCGVPPFWAESEHGTFNSILRGQVDFTSDPWPRISPGAKDLVRKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMSRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLGKQGTKLTEAEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFVAMMKKGNPEANPKKRREIVL* >Brasy1G063100.1.p pacid=40053325 transcript=Brasy1G063100.1 locus=Brasy1G063100 ID=Brasy1G063100.1.v1.1 annot-version=v1.1 MESSSMIFVCSLFSISHPITQELAALVSDGAKASIIESPPCFSTSFVQIESWRGAESVPITESFSLSMPPYASRMWNLGKLALYSTRSVKSCVAISFLHRRNYHAVNNL* >Brasy1G333000.1.p pacid=40053326 transcript=Brasy1G333000.1 locus=Brasy1G333000 ID=Brasy1G333000.1.v1.1 annot-version=v1.1 MGGLEAQAAPEVVDVSAAGTLVWVRRPNGSWWPGQVLSRADVPDGCPAPPRSPATPIMLLGRRDGPAFVDWCNLERSKRVKPFRCGDLDLDDCIRKAQQQQAARRRGSTSTNRRRVCNTANGARYARKEDAVLQALQIERATNNRQGAKSSRKPSSLDAAATPPPLPKRKRRTPNDSEDDVPQGFRRMRDLTEIGSDAAVFPDSTCCLPASASQMKRSRQSHHDSGKRKHPTVDQDQPCGMLRKKDRSRPLSELCNGDMWNGFKPNAQRADHDQQLMRMGTCSGSSSASSSLDTLADKSSSHPTALFKTDQAKGITRLPNDDLPRGDELGSIFKADRLHVDQPGALMKDPSWECNKQDPDSSKADLSSQRDGRNHKKTISSVDQEGSNRAKSVLEREHCKSRVVKYKAPSNEGVLLEERLDRSTVDKIAAPDDDKDLAILPNDLDRVDAVLQQCSESKHKHEEPSETTSNNSYCENVSVSSVAFELPPQHTDPAVASCHAVKATKTLQLNSALYDVELSVQGSGSSSSNNKGRHVPLVSLMSRSSRRPVVGYPVSVEVLDVVYCPLASSIDDDHPSTSSANGLVKEQETAVPQCAMPSSHKGRAKARSRRKTSEDDMDKSWRPHNKNPVSSPRKMRRLSSFATSQRGGEDRKTLVGKFCGTAVACIPLRVVFSRINEALSYSAK* >Brasy1G091200.1.p pacid=40053327 transcript=Brasy1G091200.1 locus=Brasy1G091200 ID=Brasy1G091200.1.v1.1 annot-version=v1.1 MKISELSPEYRQPPPHGGFLTYLSKVVADVESFDTSDSSPEKLAADFRRVLTNLGSVSSSLTYACRIQIWKLANRLWNACVDRANCAALSRAPFARAAEAEIRQAAPELLLLAGAPDEIPLAVAKTANYFRCAGQEWLNLGRLDLASACFEKATPLVSAAATEEERDVLLDLNLARARAASDAGDQSLAVALLSRSKPLASASPVGIESLAEGYLSIANAILTTKPSDPGVEASSLLTEALNLCEKVASPSGASPKPPNVEGLKGRCLRLFALERIQANDYEGVLRSIRISRSSMGLGGEHPSMVFMALQAWLGSGNVPEAERELERLMASAEAPENLCVSAAEVYLASAGPEAARKVLVALAARCRAGGAAAAVRVVTKVVDGGISSTGRARAISELVSDERVVALFDGPANTRERGAMHTLLWNCGTEHFHAKNYDTSADLIERSMLYLPRGEESRHQRARCLRVLTLCHVALQHLDRAQEFINEADKVEHNVHCVFTKIKIHLQKNDEDEAIKQMKTLVGCADFNPHFLVLTTHEAIACKSVRVAVASLTFLLCLYSTGKPMPMAEVAVLRNLIELLHREHGTEADILKYLRRAKLRMSDLGVEVFFGNGAVGVRELNWFAGNSWNMGLRMGHVQKYDLSTEFFELAAEFFGASSNIEGDGNQFTVCQALIMSVTAMLHAEEQNNSPLTDSDIKKGVEMLSRAGKLLPLIWPSVPVASDQVETNNFLFLHTFNSYKLLDRMDTSAHPQQLQLVKNFASSKACTPDHLLKLGIAASKGTPPNLLVAEFSLKASIASALASHSPNYRVISGALRKLACLPDLTDLNGSKSDAAYDVYRQAYQIVVGLRDGEYPFEEGKWLAMTAWNKSYLAARLNQASVAIKWMKMGLDLSRHLESMKKYIAGLEEYLENFQKKFDSEPDDCILLEKKHDESSQQDGAPSTSMSCSMSQPVLVV* >Brasy1G548500.1.p pacid=40053328 transcript=Brasy1G548500.1 locus=Brasy1G548500 ID=Brasy1G548500.1.v1.1 annot-version=v1.1 MEANGHHKPRREFIGRECNGVKPPNNFGEVDPWTAWAYRPRTISLLLMGTCFLIWASGALNPEGSFSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRLYVPDHPKSRFNNVYEILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCVIFMTIELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPVKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPTWLTVFWTTVATFLMMFLLVWTWKLYRTMIRKSDMAG* >Brasy1G548500.2.p pacid=40053329 transcript=Brasy1G548500.2 locus=Brasy1G548500 ID=Brasy1G548500.2.v1.1 annot-version=v1.1 MEANGHHKPRREFIGRECNGVKPPNNFGEVDPWTAWAYRPRTISLLLMGTCFLIWASGALNPEGSFSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRLYVPDHPKSRFNNVYEILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCVIFMTIELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPVKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPTWLTVFWTTVATFLMMFLLVWTWKLYRTMIRKRL* >Brasy1G184400.1.p pacid=40053330 transcript=Brasy1G184400.1 locus=Brasy1G184400 ID=Brasy1G184400.1.v1.1 annot-version=v1.1 MEENHARKVSSMLYLDSPAGVGMSYSLNKSDYTTGDMKTAADAHIFLLKSYAGIYIPTLADEIVKGTQKAMKPIINLKGYLIVLLCHGTFWGKVNDLCQEKIDRVRWEHKDLNKYNILAPCYHHPEIQEEVFMNSSLPSSFRKLGETERPFPVRKRMAGRSWPLRVAVSNRHVPMWPGLGGRSLPCTSDELATIWLDDENVRAAIHAKPVSRKFTHNIGANFLFLIGSWELYTARIDYTTEVVSMVKYHKKFTDMGYRVLIYSGDHDLCIPFTGTEAWVRSLGYRVVDSWRPWYFGEQVAGCTQGYDHNLTFLTIKGAGHTVPEYKPKKTLAFYTHWLSGERIYRMSF* >Brasy1G332800.1.p pacid=40053331 transcript=Brasy1G332800.1 locus=Brasy1G332800 ID=Brasy1G332800.1.v1.1 annot-version=v1.1 MIRRTAAFPNASPSSSHGGGGGGPFSKFQAVPETISTGDDGAGFRLGCIIGTGAFGEIHHGTNVKTKEEVAIKLEHVKARFPLLLFEAQIYRKLQGHTGLPKVRWFGIEGDYSVLVMDLLGPNLGDLFHSCDRQLSLKTVLMLADQMIKLVEHVHSKSYLHRDIKPENFLMGLAKTSHLVHLIDFGCAKKYRDTSTRNWQHIPSRNDLNLVGTPRYASINNHLGIEQSRRDDLESIGYVLLYLLRGSLPWQDLEAGKQRQTHEAIKDMKVATSPEDLCGTHPTEFASYLNYCRSLGFEDEPDYLYLRGIFSDLFILKGFQYDYIYDWVILRHLR* >Brasy1G407900.1.p pacid=40053332 transcript=Brasy1G407900.1 locus=Brasy1G407900 ID=Brasy1G407900.1.v1.1 annot-version=v1.1 MKLQQVAAAVTSLLLALAASASAVTFDASNTASSTAGGRRFNQGVGLDYSKQVLSDASAFIWTTFNQRSTADRKPVDAVTLVVEDIDGVAFASNNGIHLSSKYVGGYSGADVKAEVTGVLYHEATHVWQWNGQGKANGGLIEGIADYVRLKAGYAPAHWRKAGGGDRWDQGYDVTARFLDYCDSLKPGFVAELNGKMRGGYTDDFFAQILGKSVQQLWKDYKAKYGG* >Brasy1G495700.1.p pacid=40053333 transcript=Brasy1G495700.1 locus=Brasy1G495700 ID=Brasy1G495700.1.v1.1 annot-version=v1.1 MAPSASKRRKAAPPPSTPPPPPEASSDSGSDSDLHLDDHSDPEDSFFSARSAADDDDDDQDFSASDDEEEEEESHHDDNDDEDEEEKDDDDGEMGELEQEYRTLQANQQNILQTLKQHKDEDASRGLAVKNQKVLWDKSLEMRFLLQKAYSTSNKLPKDPTKSMFCSHDQEIEQAYVDLLDSSKQTLGCMLELQEALLERNQAAKGLNGVIPDSNGENDEWLEVQKLQTRITPFRNTEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVAAYMRDPSRMINRMYLRKSDVGVFGEGAADPGTTEEGKNVDGDPELIDDSEFYHQLLKEFLESCDTGGSETAFYALRKKQHKKRKLVDRRASKSRKIRYTVHEKIANFMAPVPMSVPPMATKLFENLFGTGSQRSTAV* >Brasy1G158500.1.p pacid=40053334 transcript=Brasy1G158500.1 locus=Brasy1G158500 ID=Brasy1G158500.1.v1.1 annot-version=v1.1 MRRFLPLCALLLVLICLASLMDGTEGKVRAGGRAGGAGRSGSPRSLSGGTWAACVGSSLLAAAAMLL* >Brasy1G115900.1.p pacid=40053335 transcript=Brasy1G115900.1 locus=Brasy1G115900 ID=Brasy1G115900.1.v1.1 annot-version=v1.1 MSKLQSEALREAITSITTNSRDKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDAQHVEEAGKMGLDCMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGHCGMDEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKMHRLF* >Brasy1G272100.1.p pacid=40053336 transcript=Brasy1G272100.1 locus=Brasy1G272100 ID=Brasy1G272100.1.v1.1 annot-version=v1.1 MVKFTADDFRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTAESLRAYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLTSFAKMYASKFGVDESKMMERLWGENFFDPTTKKWTSKNTGSPTCKRGFVQFCYDPIKQIINTCMNDQKDKLWPMLQKLGVTMKTDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYATAIRNCDPDGPLMLYVSKMIPASDRGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDTMSSDPLEAGSQAAQLVMDIRKRKGLKEQMTPLSDFEDKL* >Brasy1G403700.1.p pacid=40053337 transcript=Brasy1G403700.1 locus=Brasy1G403700 ID=Brasy1G403700.1.v1.1 annot-version=v1.1 MSTPGAAYYASLARKQFFCYQCNRTVLIAASAAAAGELSCPECGGDFLEEVTVPAPTILPFPFAFPPMMPTATAPSPPSRSSSSSSAAAPSSDLSNFLTSILDLQEGRRARSRSGSGAASAAGTATPENEPESFDPVTFFQNYIHSLMEGGANIQVLLDDASVSLAPGLGGRTGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDSMVAAAEGAECAVCKEDFSPGEGAKQMPCKHIYHDYCIVPWLELHNSCPICRFELPTDDPDYEGNKTSNPQPAVGIASAAASGSSSAAEEGREETGETARVVERRFNVSLPWPFGGLGGQTPQQDGNNGDAGSSSQDGGGSNKN* >Brasy1G500800.1.p pacid=40053338 transcript=Brasy1G500800.1 locus=Brasy1G500800 ID=Brasy1G500800.1.v1.1 annot-version=v1.1 MQNLQPQLKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPIGWHDTIAYLVLPVLLVASQFVSMEIMKPPQSTDPSQKNTQLILKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKMGGAKPIVNEGGSGIITAGRAKRSSAQSGQGGERFKQLKEEESRRKATKALAAGDTKASVETSEDEETDDEITEEGGAVEETYASGNDKKLPTYSGKKGKRSKRKRIVQ* >Brasy1G036900.1.p pacid=40053339 transcript=Brasy1G036900.1 locus=Brasy1G036900 ID=Brasy1G036900.1.v1.1 annot-version=v1.1 MATQERTRLLTAARSSSQRVSTESLVACSVWNIKIIKCLPPPTRLPRIKEPVDSLGSATAAARDDLPLRRRHNEEGGREAIMAEEEDLAPAAAGDLRPLRAPGARLGATAECRPPPLPPPPPLSPLLTASTPSAAAPPADRLMELASAMEAWAVGGGPLRPWPHYPMSSAPCSDRSAFAVLLPQSSQTSANLLCATSARSGISLVR* >Brasy1G525100.1.p pacid=40053340 transcript=Brasy1G525100.1 locus=Brasy1G525100 ID=Brasy1G525100.1.v1.1 annot-version=v1.1 MKIPSFESTGSGASGSGYGSRSFNLRNLSKLILPPLGGLQAGYSQSPAGSYKRVVSPLDSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIMLTFFVAYIDSRTQLLVRDRKRITFRYLSTFFILDVASTIPFQGLAYLATGEVKEGVAYSVLGIFRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPEREKTWIGAVIPNFRQSSLWIRYISSIYWSITTMTTVGYGDLHAQNNVEMIFNIFYMLFNLGLTSYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVCRNHLPPRLQQQILAYMCLKFRAESLNQQQLMDQLPNSICKSICEHLFLPVVKDVYLFQKVSKETLLLLVTKTKPEYIPPKEDVIVLGEAADDVYIVVSGEVEIIRFDGVGGREEEVVGTLGPKDIFGEVSALSDRPQSFTFRTRTLSQLLRLKQATLKEAMHSKPEDSVVIIKNFLNHQMEAHDMKVEDLLGENTGELDGGSIPCNLLTVAATGNSSFLEDLLKAGKDPDVGDSKGRTALHIAASNGYDDCVLVLLRHACNVNIKDAQGNTALWHAIAARHHKVFGVLYNAARATCPHAGGDLLCLAARRGDVGTLAELLRHGLDVDAAGHDGATALRVALSPSSQGGRAAADVARFLVINGASVDKARVHEDGATTVPLEELRELEKSREVVHPITIYDSPAADVVARVVGGGSNSSGDGRHGRFSSTRSTDSGHWPRVSVYRGHPFVRNHSSEAGKLINLPGTMAELKTVIEKKFKVDAEKALVVNDEGAEIDSVDVIRDNDRLFIVTQEHMRILASLDSVAAAS* >Brasy1G525100.2.p pacid=40053341 transcript=Brasy1G525100.2 locus=Brasy1G525100 ID=Brasy1G525100.2.v1.1 annot-version=v1.1 MKIPSFESTGSGASGSGYGSRSFNLRNLSKLILPPLGGLQAGYSQSPAGSYKRVVSPLDSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIMLTFFVAYIDSRTQLLVRDRKRITFRYLSTFFILDVASTIPFQGLAYLATGEVKEGVAYSVLGIFRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPEREKTWIGAVIPNFRQSSLWIRYISSIYWSITTMTTVGYGDLHAQNNVEMIFNIFYMLFNLGLTSYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVCRNHLPPRLQQQILAYMCLKFRAESLNQQQLMDQLPNSICKSICEHLFLPVVKDVYLFQKVSKETLLLLVTKTKPEYIPPKEDVIVLGEAADDVYIVVSGEVEIIRFDGVGGREEEVVGTLGPKDIFGEVSALSDRPQSFTFRTRTLSQLLRLKQATLKEAMHSKPEDSVVIIKNFLNHQMEAHDMKVEDLLGENTGELDGGSIPCNLLTVAATGNSSFLEDLLKAGKDPDVGDSKGRTALHIAASNGYDDCVLVLLRHACNVNIKDAQGNTALWHAIAARHHKVFGVLYNAARATCPHAGGDLLCLAARRGDVGTLAELLRHGLDVDAAGHDGATALRVALSPSSQGGRAAADVARFLVINGASVDKARVHEDGATTVPLEELRELEKSREVVHPITIYDSPAADVVARVVGGGSNSSGDGRHGRFSSTRSTDSGHWPRVSVYRGHPFVRNHSSEAGKLINLPGTMAELKTVIEKKFKVDAEKALVVNDEGAEIDSVDVIRDNDRLFIVTQEHMRILASLDSVAAAS* >Brasy1G525100.3.p pacid=40053342 transcript=Brasy1G525100.3 locus=Brasy1G525100 ID=Brasy1G525100.3.v1.1 annot-version=v1.1 MKIPSFESTGSGASGSGYGSRSFNLRNLSKLILPPLGGLQAGYSQSPAGSYKRVVSPLDSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIMLTFFVAYIDSRTQLLVRDRKRITFRYLSTFFILDVASTIPFQGLAYLATGEVKEGVAYSVLGIFRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLIAVTLFLVHCAGCLYYLIADRYPEREKTWIGAVIPNFRQSSLWIRYISSIYWSITTMTTVGYGDLHAQNNVEMIFNIFYMLFNLGLTSYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVCRNHLPPRLQQQILAYMCLKFRAESLNQQQLMDQLPNSICKSICEHLFLPVVKDVYLFQKVSKETLLLLVTKTKPEYIPPKEDVIVLGEAADDVYIVVSGEVEIIRFDGVGGREEEVVGTLGPKDIFGEHQMEAHDMKVEDLLGENTGELDGGSIPCNLLTVAATGNSSFLEDLLKAGKDPDVGDSKGRTALHIAASNGYDDCVLVLLRHACNVNIKDAQGNTALWHAIAARHHKVFGVLYNAARATCPHAGGDLLCLAARRGDVGTLAELLRHGLDVDAAGHDGATALRVALSPSSQGGRAAADVARFLVINGASVDKARVHEDGATTVPLEELRELEKSREVVHPITIYDSPAADVVARVVGGGSNSSGDGRHGRFSSTRSTDSGHWPRVSVYRGHPFVRNHSSEAGKLINLPGTMAELKTVIEKKFKVDAEKALVVNDEGAEIDSVDVIRDNDRLFIVTQEHMRILASLDSVAAAS* >Brasy1G508900.1.p pacid=40053343 transcript=Brasy1G508900.1 locus=Brasy1G508900 ID=Brasy1G508900.1.v1.1 annot-version=v1.1 MSARKELSSTLRNLKFMQRAAVAQKIEDKTEVDVDVEVEAEVLTPAANGGFGSSARVARKCVVVMEGNPHPGAVKGRMSFQNFNPSIDKLNEEASGHQTQSASPSNSQEDSANPNRTDDVSASRFRGFNIDSSESISLNELKRKEPELEMETPQSQKLPKTTGQNVDGESSSQSNGHGSRKSNKRGKLDWNLLRRRKSK* >Brasy1G370700.1.p pacid=40053344 transcript=Brasy1G370700.1 locus=Brasy1G370700 ID=Brasy1G370700.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEAVQDE* >Brasy1G405400.1.p pacid=40053345 transcript=Brasy1G405400.1 locus=Brasy1G405400 ID=Brasy1G405400.1.v1.1 annot-version=v1.1 MAADNERRPDWLKLPYDLLEPIAQRSRDALTGLTVFRSVCRTWRAAAGQAPRLLLPASQDDSGAPPRAGSEHALVFPLSHGWSVIVDARDASCRLSHLATRTTVTLPKINSYRRHGGGGGSGNGSSDEITHVRYEHYTDEQSARGLYCDWTDPEHKIRICRRGRYTVFFRSYLKFSDSFRFALHLPPGAPPGSTDGLIIMMCHRWLLGDRIVSWQPKQHAAAWTEMDNADFAYSGGKMYCLESDYGGTNVFDATTQEFLHRIGVPQPQPQPQPQPQPQPQLTSPVSSYVDFPRRNLDGFHYLNLVALPSKLILVRTSGTKSSELKGVDVFQVGSRSEDGEIGWRKVTCDNIGGNYDLFIDGHHATFRDNGSTGTGTRVYCVLGRFEVPTTATYCYDMKDGKLECIYRSPANEFSTKPGWFLP* >Brasy1G383100.1.p pacid=40053346 transcript=Brasy1G383100.1 locus=Brasy1G383100 ID=Brasy1G383100.1.v1.1 annot-version=v1.1 AAGEGRNFIVSPLSLHAALALVAAGSNGETQRELLGFLLGSSSPTLAALHGAAEIKLVGRLGGLPQTSFACGVWVDRGRALRSEFAEVAGAIYAAVAESVDFGSQSEQARQRVNAFVKHTTKGLIDNLLSLGTVNSSTVVVLANALYFKGTWAQPFDPSATFAAPFHLLDGTTVQAPFMTTSMFEQHIEDFSGFKALKLPYKNENNHHHHQAHALFYMLLILPNDDVGLSLSDLYDKAASTPGFIKKHTPRGQARVRRFMVPKFKFAFKFEASEEMQNLGVNRAFSGGDFSNMVTGGDGLFISGVYHKATVEVDELGTVAAAATAVCMQQCGSARPPMDFVADRPFLFAIVEERTDVVLFLGHVVNPLTDN* >Brasy1G110700.1.p pacid=40053347 transcript=Brasy1G110700.1 locus=Brasy1G110700 ID=Brasy1G110700.1.v1.1 annot-version=v1.1 MTKRGRTRDGGTEMEREAKKKRNLSPSPSGEDPPLCEPDDQSALQQARPVMSGIGDCCVLGIYLFVLRFSIEDQDDSVRETTSARVDEMTKAGGAVEEEEEEEEEEELSSFTPKSPISRPYIPDELNAPTAYPETHNAFNEANAKYEAKLRRRYNLFTFSDEGKLAPSCLFCDEDLLPIRESAKKAALHAAKSIIRLSSSVDGKPLANCCGLWIKWEDKSKTGIVLTTAHLIRTNHPTEKHWEGRDEYNHKANVIVHLLDDTTAQGHYLYHQEHYDLAFFKVRVDEPIQVPSFSSSVHCGQDVFLLGRDDHMNLRITHGRVEYLNPGSYERHHYMYLFHQKDDHLSHQRNDGYLPRRKIDDYLCDDDGGSVIDLDGKVVGLVNKHLEKPFVPSSILVKCLDLWCQFGCIPRLHLGMRFTSIRLLDPIHVEKMWRKYKIEDGLVVLEVSKESHAEKVGICLGDIIERFNGECISTTVELENMLLGRCMDHFDQGNHLNAQIDVSASASMLPRLPTFSTRDLPLRRAPTSDGRLRELSINTSRGMYELRPIERKAEGNDDGPTTQFERRGCCIQRYRRST* >Brasy1G110700.2.p pacid=40053348 transcript=Brasy1G110700.2 locus=Brasy1G110700 ID=Brasy1G110700.2.v1.1 annot-version=v1.1 MTKRGRTRDGGTEMEREAKKKRNLSPSPSGEDPPLCEPDDQSALQQARPVMSGIGEDQDDSVRETTSARVDEMTKAGGAVEEEEEEEEEEELSSFTPKSPISRPYIPDELNAPTAYPETHNAFNEANAKYEAKLRRRYNLFTFSDEGKLAPSCLFCDEDLLPIRESAKKAALHAAKSIIRLSSSVDGKPLANCCGLWIKWEDKSKTGIVLTTAHLIRTNHPTEKHWEGRDEYNHKANVIVHLLDDTTAQGHYLYHQEHYDLAFFKVRVDEPIQVPSFSSSVHCGQDVFLLGRDDHMNLRITHGRVEYLNPGSYERHHYMYLFHQKDDHLSHQRNDGYLPRRKIDDYLCDDDGGSVIDLDGKVVGLVNKHLEKPFVPSSILVKCLDLWCQFGCIPRLHLGMRFTSIRLLDPIHVEKMWRKYKIEDGLVVLEVSKESHAEKVGICLGDIIERFNGECISTTVELENMLLGRCMDHFDQGNHLNAQIDVSIQVFHTEERLRRTIHLAVDVSDGGEIVRGRSYPITATERASASVQSSQNVADDSWEARYCAWEARHCA* >Brasy1G411500.1.p pacid=40053349 transcript=Brasy1G411500.1 locus=Brasy1G411500 ID=Brasy1G411500.1.v1.1 annot-version=v1.1 MLFLFPSASSPHYHLLAWLYIPVRTGQGQRAHRPGRELGGSSLALRPRLHHPPWALRPRLPHPSWARAAALLASAAERRSDELGRPSRPLATIKRPWHEDDIPSSSRHGRTRPSSSPRRRRSDDDDENDPELQHVLRVSELLDTCETRAREADRRQKAAARRASQVEAFAIECSLEAAEAREEWEYETALLNSERTKALEERGRQAVRDYWAAVDAMHADDDDDDGSDSTTDDDDDDAACVVLFVSN* >Brasy1G411400.1.p pacid=40053350 transcript=Brasy1G411400.1 locus=Brasy1G411400 ID=Brasy1G411400.1.v1.1 annot-version=v1.1 MGSLTAEEAVDILVSATQELQVADLSQTCRRERFCIDCSHAFCPHCCWTHHSPSSHLVIRVDADTDAASNGGRGRLVFPTHYSDGQRMYPRGYQDIIVSKDYATRLPRDAFCLRCRAAFSAASCPDHHRRRHGPDLPDAVLRIEERGGRHCVRCTGSEWWFPYVEMILDDPLEEDGDGEHQLLPVLTAKPGTCMQCGYQRLRFEPHEHGNAAFLCSTDCIRRHKTELAGRRLRRDARRAARQCEIRQSFAGRIGGSTFFPH* >Brasy1G307800.1.p pacid=40053351 transcript=Brasy1G307800.1 locus=Brasy1G307800 ID=Brasy1G307800.1.v1.1 annot-version=v1.1 MASLGLPGVSSYHDLCCYGAGIVGNIFAFVLFISPLPTFRRIVRNGSTEQFSAMPYLYSLLNCLVCMWYALPFVSYGVVLVATVNTIGAAFQLAYTAIFIAFADGKKRLKVSVLLAGVFCVFGLIVYASMALFDHKPRQTFVGYLSVVSLICMFASPLSIIKLVIKTKSVEYMPFYLSLAMSLMSASFFAYGVLLHDFFIYIPNGIGTVLGVIQLLLYAYFRKGSKEEARRPLLVTHT* >Brasy1G061200.1.p pacid=40053352 transcript=Brasy1G061200.1 locus=Brasy1G061200 ID=Brasy1G061200.1.v1.1 annot-version=v1.1 MGGFCCCLRTEDFEEYVHPNNPVYRQCISLRHFFHNIFGGHTATFQRLDSRPSNPAQGASPLASTNPITNITDSSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESPALRRNGSSSGVEHLAAQKKWSNTELEGEYKVRRSESTKSLSAKAYNSGYAVATTEDEDVCPTCLEEYTPENPKIITKCSHHFHLSCIYEWMERSDTCPMCGKEMEFCESP* >Brasy1G042500.1.p pacid=40053353 transcript=Brasy1G042500.1 locus=Brasy1G042500 ID=Brasy1G042500.1.v1.1 annot-version=v1.1 MAGFPLPHMLYDGRWFTRPGFPLPHEMMSATSQLGSPSPSSAMAPRAPPAQRQQQQRGIRRRSRAPAVPFSWPYAPPVDAADSDDDEEEEDEGLFGAVAASERAIEELRKTTAGEAREQGCSVCMEDYFEEGERIRAMPCGHSFHQRCIFEWLELSCVCPLCRFAMPTHQEDDHALAS* >Brasy1G030700.1.p pacid=40053354 transcript=Brasy1G030700.1 locus=Brasy1G030700 ID=Brasy1G030700.1.v1.1 annot-version=v1.1 MKHKGVSPFRKAKNSNGGGGGDGDGFFVQIFPDPAGAAATTFGVGSDVVDGRMGYVTFDRRSYRDEEEDSKANDLDGSVDGSCGSSDVISDGFAELLATTTIRKEEIDEEGEGCLDQEEAEDSGPCKSDISVELGARYESNISKGRGGEASVESSMDDISSAFGGLNFEDVGSDPSDAATSQKNKVIISSRRRTPTEEKRIRIFNPKAPNFLPVRADPDAEKVDLRHQTADDRKSAEEWMVDYALRKAVKKLARARKRKVEMLVQAFETVLPLPGEKNSLQHDDDKKSFTQAKTSQACN* >Brasy1G405900.1.p pacid=40053355 transcript=Brasy1G405900.1 locus=Brasy1G405900 ID=Brasy1G405900.1.v1.1 annot-version=v1.1 MGNAKLAVVGALVILLQLSCVAVARHHGGGKKQPDPCGPDSAPAGTQGHHKERPPHCPKPGAGGGGGTPGVMTVNGFQKGQDGGGAAACDGKFHSNKDMLVALSTRWYAGGKRCHHTIRITSKHNGRTVEAKVVDECDSRHGCKDNIVDTSEAVWEALGLDSNIGEVPVTWSDA* >Brasy1G123500.1.p pacid=40053356 transcript=Brasy1G123500.1 locus=Brasy1G123500 ID=Brasy1G123500.1.v1.1 annot-version=v1.1 MANDGAQSAIAAAVEPDVERGRVGGGGGGGRRRAEESSDEEEGSQCFSDAEDRSWHSRQNSAALEDYTSTCAPVPSGAGAGEEEGEVTAGAGRKSCVSECSLDDVDLEAGPAEITKASPDKDEQNCRICHLGLESAAAESGSGIVLGCSCKDDLSCAHKQCAETWFKIRGNKICEICGSTACNVVGFGDAEFIEQWNESSNSASAQAPASETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG* >Brasy1G123500.2.p pacid=40053357 transcript=Brasy1G123500.2 locus=Brasy1G123500 ID=Brasy1G123500.2.v1.1 annot-version=v1.1 MANDGAQSAIAAAVEPDVERGRVGGGGGGGRRRAEESSDEEEGSQCFSDAEDRSWHSRQNSAALEDYTSTCAPVPSGAGAGEEEGEVTAGAGRKSCVSECSLDDVDLEAGPAEITKASPDKDEQNCRICHLGLESAAAESGSGIVLGCSCKDDLSCAHKQCAETWFKIRGNKFQTKLASVLPLPNTYCALTVV* >Brasy1G165600.1.p pacid=40053358 transcript=Brasy1G165600.1 locus=Brasy1G165600 ID=Brasy1G165600.1.v1.1 annot-version=v1.1 MKLGVDFVTGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTVTYSDFTFSFINDAREVTYSFHVHKESIISRLGLNSTGNYGLLQRSTWVETAGTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPRSPAAWALRDGRDGCVRATPLDCRPNRTGAGDDGFVAVRHAKVPDTARSVVDRGMSLEECREACLGNCSCTAYASANVVGGDRRGTGSGCVMWNSGLADLRVYPDFGQDLFVRLAAADLGLTSKSTKGSTIIIIAVATSISALAFLLALAGFLVCARKKKRARKTGSSKWSGSSRSNARRYEGSSHGEDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGYSISGQERILVYEYMENKSLDYFLFEKSNSILLDWQLRYRIVEGIARGLLYLHQDSRYRIIHRDMKASNVLLDKEMTPKISDFGLARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRKNRGVYSYSNHLNLLGHAWSLWNECKGIELADETMNGSFNSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLSATDPDTLPTPRQPGFAARRILMETDTTSSKPDCSIFDSSTVTILEGR* >Brasy1G165600.2.p pacid=40053359 transcript=Brasy1G165600.2 locus=Brasy1G165600 ID=Brasy1G165600.2.v1.1 annot-version=v1.1 MKLGVDFVTGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTVTYSDFTFSFINDAREVTYSFHVHKESIISRLGLNSTGNYGLLQRSTWVETAGTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPRSPAAWALRDGRDGCVRATPLDCRPNRTGAGDDGFVAVRHAKVPDTARSVVDRGMSLEECREACLGNCSCTAYASANVVGGDRRGTGSGCVMWNSGLADLRVYPDFGQDLFVRLAAADLGLTSKSTKGSTIIIIAVATSISALAFLLALAGFLVCARKKKRARKTGSSKWSGSSRSNARRYEGSSHGEDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGYSISGQERILVYEYMENKSLDYFLFEGIARGLLYLHQDSRYRIIHRDMKASNVLLDKEMTPKISDFGLARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRKNRGVYSYSNHLNLLGHAWSLWNECKGIELADETMNGSFNSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLSATDPDTLPTPRQPGFAARRILMETDTTSSKPDCSIFDSSTVTILEGR* >Brasy1G165600.3.p pacid=40053360 transcript=Brasy1G165600.3 locus=Brasy1G165600 ID=Brasy1G165600.3.v1.1 annot-version=v1.1 MKLGVDFVTGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGGEKVWRSGPWDGVQFTGVPDTVTYSDFTFSFINDAREVTYSFHVHKESIISRLGLNSTGNYGLLQRSTWVETAGTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLRGFTPRSPAAWALRDGRDGCVRATPLDCRPNRTGAGDDGFVAVRHAKVPDTARSVVDRGMSLEECREACLGNCSCTAYASANVVGGDRRGTGSGCVMWNSGLADLRVYPDFGQDLFVRLAAADLGLTSKSTKGSTIIIIAVATSISALAFLLALAGFLVCARKKKRARKTGSSKWSGSSRSNARRYEGSSHGEDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGYSISGQERILVYEYMENKSLDYFLFEKSNSILLDWQLRYRIVEGIARGLLYLHQDSRYRIIHRDMKASNVLLDKEMTPKISDFGLARMFGSEETEINTRKVVGT* >Brasy1G209400.1.p pacid=40053361 transcript=Brasy1G209400.1 locus=Brasy1G209400 ID=Brasy1G209400.1.v1.1 annot-version=v1.1 MSLHVGLIEPSSPNGEHGGAHGRQWVRRDVGVEAVGVLHHGLRGEAPVRRRRLWHRRVAIEVLQHLPHRGPRRGRRVGAHEAQPEHRRGLLGVVGAFEPRVRRLRHVARVPALQHPVRQQHPAAIVVVAVAVVDGPAAAGHLQDEGAEGEHVRGRRHPPRARQLRRQVPHGAHHARRLRVGAAVVELGQPEVPEPRAHVGVQEHVAGLDVPVQNNLLPVLVEVEQPGRHASNGGDPLRPGQQQPVAAGAVQPAVQAAVGHVVVDQQELALAAAVAEQRHDVAVPEPADAGHLGHELLHPLLCAVRDDLDGDLRPGRRREHALVHPPEPAHAQQVLGVVEPPRGVEQVLVREPVRPEFPFPILPHLRVPEEPPPHQERYGSGHREREDRSDHRDDHLAQPGPSVLADAAGPGR* >Brasy1G057800.1.p pacid=40053362 transcript=Brasy1G057800.1 locus=Brasy1G057800 ID=Brasy1G057800.1.v1.1 annot-version=v1.1 MQLASRARIAAPMRGGGAEEEEGDLPEDLVLEILARVPGVADLFRCAAACRRWGALVSDRSFLRRRWPPGARHRSSLLGFFFNREDPAPATMAAVEESFVRAPRSVLRPGSPLLPTFVPGAGILGRGAVVPLASHGGLLLVRLVDGTAAAEQEEGEEPAAEALDHLAVCDLNSGACHVLPPLHCGWFFDYFSTSAYAVLPGSTTADGSSAASSSFKVLVIGINEDKRQYYLRTCSSSSSSSSGCWSAPSKLFDPMEHGIFGPIKQRDAAVVVSLDQRGVRHYTAHWLLWDLAHFHALAVDAATGEASLHRLPVPPRDLPFHLYDMPRLAAAPDGATLSALCLLRNEGRLRVEAWTRRRRGEDGGELGWHHDRVVELQSRSKEMDGALCVCFGERSGTLLARDRERCLYVADLESRGGAILEEVPAELRVSGGCKTAVAVEIDWPALFACRLGGK* >Brasy1G079000.1.p pacid=40053363 transcript=Brasy1G079000.1 locus=Brasy1G079000 ID=Brasy1G079000.1.v1.1 annot-version=v1.1 MTMIKFWGVEVKPGQTVSCDGGHDHIIHVSQAALVETKKGSGNVAVSTKIDDQKVIIGTLSAENHPQILCDLKFQKKIELSHNSKTASVFVCGYQTFMPDEFEYPLTLELQVYIIFNEVEAVNNQVINDVGGIREVTAPEKDGKKRTDGNGSDDDSDDDLLDLLSSGDDEMTDDDSSSEEDDTSSEEDDASSEEDDASSEESSSDEEDEETLKEPEISKKRVAATALKAPASDKKAKIATPSGQKTASDDKKATYVATPHPAKQAKTPANSKPKKSPKSTGGTVGCKSCSKTFGSDAALKSHEKAKHG* >Brasy1G293800.1.p pacid=40053364 transcript=Brasy1G293800.1 locus=Brasy1G293800 ID=Brasy1G293800.1.v1.1 annot-version=v1.1 MARKKVTLKRIPNDAARRATFRNRRKGLVKKASELATLCNIKACVIVYGEGEVKPEVWPSVAEAVPILHRYKAMPDIGPCKKIVTQEGFLRQRMDKLREQIHKARRENHELHTASLVHKAMLGRLPGLKGLTFVEVTNVGWMVQMNLKILGDRIADLQAQARLQAHAQSAPSLTYEPQVPQQKTWFEIVGEPPIHNGFTDGNDASSSTGSNKMASTSTSSGGFSRKWHADPGASSSSFCPM* >Brasy1G415600.1.p pacid=40053365 transcript=Brasy1G415600.1 locus=Brasy1G415600 ID=Brasy1G415600.1.v1.1 annot-version=v1.1 MQPFSRHAGFFASLKQVEDRLAAEQPQHQPDPPPALFSDTMTASPLFLGTSTATATDRGSGPALEFLTLSKDEDRAQEPQEDSNNDDDGDDDSGEEITRLMSLLGLSPPPRGGAGDDDASGSGSGGCDCSGGDGFMAKVVGVVGPKCDREKSRVDGWIRHYCPGDGGGCREPARLAHLLLAKASWTWDGEGPADRAAIAFPSSVKEFLARDAPLTKEGEQSYAE* >Brasy1G280900.1.p pacid=40053366 transcript=Brasy1G280900.1 locus=Brasy1G280900 ID=Brasy1G280900.1.v1.1 annot-version=v1.1 MSQSQTNWEADKMLDVYIYDYFVKRNLQETAKAFQTEGNVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDIAASYIQTQSVKAREHQHQQQQQQQSQQQQQQQQSQQQQQLQSQQQQQQQQLQSQQQQQQQQQQQHQQQQHHQQQQQQHQQQQQQHQQQQQHQQQQQQQQQQQLQQQQQQQQQQQSQHQQQQQQQIQMQQMVLQRAAQQQRRDSSHLLNGTSSGLSGNDPLMGQNPATATANALAAKMYEERLKLPSQRDSLDEASMKLQQRYGENVGQALDPNHVLLKTVASGHSSGQILHGSAGGLSGALQVQARSPQLPMQEQSTKTEINPVLTPRTAGPEGSFIGVQGSNQGGSNLTLKGWPLTGLDQLRPGILQQKSFMQSPQQQFQQLQFLNPQQQQLFMQAQQNMVSPTTSDVDTRRLRMLLNSRNMVLGQEGQTNSGGDIIPNIGSPSQSGGSRTDIDMLIKKKIAHLQQIQQQGHSQQQHQLQQPTVSSQQSQSSNQLLQQQEKPGVGCMPIDEVIPNSFVVADQTSKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQMQHNGGLSKPLLMFGSDGTGSLTSPANPLGDVDRLLEDDSLDENVDSFLSPEDMDPRETMGRCMDASKGSGFSEVAKARASTSKVICCHFSSDGKLLATGGHDKKAVLWFTDALKPKSTLEEHSMLITDVRFSPSMTRLATSSFDKTVRVWDADNPDYSLRTFTGHSASVKSLDFHANKEDIICSCDSDGEVRCWSIINGSCVTCVRAFNGGATQLRFQPRQGKYIAAASEKMISILDAETLKVRRSSLQGHIKNIQSICWDAAGDYLASVSEDSVKVWSFTSGNDGECVHELNCSGNKFCSCVFHPNYPSLLVIGCYESLELWDIREKSTVTISNAHDGLIAALTASNASGLVASVSHDKLVKLWK* >Brasy1G566300.1.p pacid=40053367 transcript=Brasy1G566300.1 locus=Brasy1G566300 ID=Brasy1G566300.1.v1.1 annot-version=v1.1 MAAGNWSPANARSRCLPPRPPFTPLPVTAVAPLLSLCGIESTSCLCHRRGPTGAAAEVDLSVLPALAVRRRGAAVREISTAAAVLPSVKARLPPPRCCRPRKIDRRRAPPAYGRRSALRRPSPPTIE* >Brasy1G318500.1.p pacid=40053368 transcript=Brasy1G318500.1 locus=Brasy1G318500 ID=Brasy1G318500.1.v1.1 annot-version=v1.1 MHLVNDLHGNHVIHKCLTNFGAGILCVRDYALLINVSQKGELP* >Brasy1G071800.1.p pacid=40053369 transcript=Brasy1G071800.1 locus=Brasy1G071800 ID=Brasy1G071800.1.v1.1 annot-version=v1.1 MAGARNNGELRVTLLGLALLGLLLLSHSAAPVEATDGYAAGARKKSFSMNYAGGRSLKSFSMNSADSERKGGSRGSGAGGV* >Brasy1G493300.1.p pacid=40053370 transcript=Brasy1G493300.1 locus=Brasy1G493300 ID=Brasy1G493300.1.v1.1 annot-version=v1.1 MAASTAASRLSPPRPRAASPPSTPPRPPPRRSRFAPLRAAKLEAVLSVGAHVIPHPRKAETGGEDAFFVDSDTGGVFAIADGVSGWAEKNVNPALFSRELMAKSSTFLKDEEVRHDPQILLMKAHAATSSVGSATVIIAMLEKNGTLKIASVGDCGLKIIRKGQVMFSTCPQEHYFDCPYQISSEAVGQTYQDALVCTVNVVEGDMIVSGSDGFFDNIFDQEILDVISESPGIDEAAKALAELARKHSVDVNFDSPYSMEARSRGFDVPWWKKLLGTKLIGGKMDDITVIVAQVKTVVIPDDDEVSGVEQEQRNNELDAAATVVSAE* >Brasy1G493300.2.p pacid=40053371 transcript=Brasy1G493300.2 locus=Brasy1G493300 ID=Brasy1G493300.2.v1.1 annot-version=v1.1 MAFQDGQKRMSIQLCFLENLWQRALLFSRTRRSSCSLQVRHDPQILLMKAHAATSSVGSATVIIAMLEKNGTLKIASVGDCGLKIIRKGQVMFSTCPQEHYFDCPYQISSEAVGQTYQDALVCTVNVVEGDMIVSGSDGFFDNIFDQEILDVISESPGIDEAAKALAELARKHSVDVNFDSPYSMEARSRGFDVPWWKKLLGTKLIGGKMDDITVIVAQVKTVVIPDDDEVSGVEQEQRNNELDAAATVVSAE* >Brasy1G075800.1.p pacid=40053372 transcript=Brasy1G075800.1 locus=Brasy1G075800 ID=Brasy1G075800.1.v1.1 annot-version=v1.1 MPRVERRYCQISGRTEEYLQHLYTVPVCSSKAVQAEDFIQSHGMLNEGAIICANHIQCAKSERENYSVKEHACLGVNSKLILGERTCFKELAVLGMVMVMKDKEKHTAIQVRLIISEHARGMFPESRGGWALGKQLWTLHFG* >Brasy1G290200.1.p pacid=40053373 transcript=Brasy1G290200.1 locus=Brasy1G290200 ID=Brasy1G290200.1.v1.1 annot-version=v1.1 MAAAKMAVVLLLAVAWLFAAAGAAKDQAQCGQCYSSCVRKCEKDDDDDDSIRAINRTRPAVSETHGAVLGHLTGDGDTPATVGSADGPLAAARKTVTPYDDSYDKDDDDKDDKDDDDDDDDDDDDDDDDDDDDDDDYKCKTTCVVNCYQDLPPVCYKMCVMETCLAVPPYKRAGCYSSCAHKCYHHHHGGHKHKHKPKPKPSPKPPMPTPPKPTPAPPKKPLKPKPKPKPKPPSHKPAPPQPKPKPKPQPKPKPKCPCAPKPAPPKPKPPCAPKPAPPKPKPPCPPMKNVSASANTTNN* >Brasy1G063900.1.p pacid=40053374 transcript=Brasy1G063900.1 locus=Brasy1G063900 ID=Brasy1G063900.1.v1.1 annot-version=v1.1 MDEGVSRKAAPHPSTDWGPIIVSVVLFVLLSPGLLFQLPARTRVVELGNMATSAIAILVHAVIFFCILTLVVVAIGVHVYAA* >Brasy1G337600.1.p pacid=40053375 transcript=Brasy1G337600.1 locus=Brasy1G337600 ID=Brasy1G337600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVDGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G456900.1.p pacid=40053376 transcript=Brasy1G456900.1 locus=Brasy1G456900 ID=Brasy1G456900.1.v1.1 annot-version=v1.1 MPLLETIQKKAMHRFLATLRTCCSSPLPAHLLLLFLASLLLRSIPPNVPSSCSSRPCCLQPLPSSYFREMAFLPFQCGGGR* >Brasy1G355500.1.p pacid=40053377 transcript=Brasy1G355500.1 locus=Brasy1G355500 ID=Brasy1G355500.1.v1.1 annot-version=v1.1 MDLKPFSKSFLAFYCFVRRWLGSPPGQYNHWQSSYNISTYPKIIERAPQDDEHLRNKTLILDVEGGLLRSSSTFPYFMLVAIEAGSFLRGLILLCLYPLLCCLTQEVESKIMVMVCFLGLREENLTRVARATLPKYFLEDVGTEGLEVVRRVKRVAGVCRLIPRVMVEAFLKEYAGLEVVVGREVKMIKGHYVGLLEKESEGKNGQAKLDGEDMIWFGSSSSYDHHELFSRCKEVYLVTPEEKRKWSPLPRDQYPRPLIFHDGRLAFSPTPQATLAMFMWLPLSLPLTVLRTVIFLNLPYPISVAIGSVIGVTTRVINSPLSTGQVGCEPHDQPSAPGHLYVCNHRTLLDPIYISAMLNKQVSAVTYSVSRVSELLSPIRTVKLTRNRDEDRRRMEQALQQGDLVVCPEGTTCREPYLLRFSPLFVELVDEVYPVALVNWSSMFHGTSTGKSKYLDHFYYFMNPRPAYDVQFMDKMPTRMMIEGKRCESYEVANLMQGEIGNVLGFQSTKLTRRDKYLMLAGNEGLADETQ* >Brasy1G119700.1.p pacid=40053378 transcript=Brasy1G119700.1 locus=Brasy1G119700 ID=Brasy1G119700.1.v1.1 annot-version=v1.1 MAMAAMGGGGGVLQWQLEPYAVAATLAALASAYMLWFWALSRRLSGPRMWPLVGSLPSVVANRTRVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRQAMARWANRSIKDRLWRILDDHCAAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFAAAFDEATGATMQRFLFPSFLWRVKKALGIGSEQSLRKSLAVVDQFMTETIAARKATPSDDLLSRFMKKRDSNGRAFPEDVLQWIALNFLLAGRDTSSVALSWFFWTIMQRRDVERKVLLEIASVLRETRGEHDGGTGKWTEEPLGFDELERLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSVELVPGHKVQQKISLTLFMKNGLRVNVKPRDLASYVAPPEEAPHELGSVVIPTTTAAAA* >Brasy1G078000.1.p pacid=40053379 transcript=Brasy1G078000.1 locus=Brasy1G078000 ID=Brasy1G078000.1.v1.1 annot-version=v1.1 MPPPAALLLTAASGSAGLSLRLAPRLPHLRGPRRTPPPRLRPARIRAAAAVGGEFGGLGRQRVDAGEFVERLRNVLPGGSWWRLEDGEEGGSGGAEASGATAASALRRMWALVAADRWVVFIGFASLVCAALSEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGIANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLILSWPLGLCTMLTCGTLSTIMLVHGRYQKKAAKFAQEFTASANNVAQEAITLARTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVVAVLIGGIYIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFLLMDLLPSRQLASKGLRLEKLEGRIQYADVAFSYPSRPSVPILRRLNLTLHPNEVVAIVGLSGSGKSTIVNLLLQLYEPTNGQILIDGVPLSELDTRWFRERIGFVGQEPKLFRMDVSSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSADKAGRTVIIIAHRLSTIQTADRIIVMENGSIVEDGKHSELIKQDGLYSRLARRQDDTLP* >Brasy1G078000.2.p pacid=40053380 transcript=Brasy1G078000.2 locus=Brasy1G078000 ID=Brasy1G078000.2.v1.1 annot-version=v1.1 MPPPAALLLTAASGSAGLSLRLAPRLPHLRGPRRTPPPRLRPARIRAAAAVGGEFGGLGRQRVDAGEFVERLRNVLPGGSWWRLEDGEEGGSGGAEASGATAASALRRMWALVAADRWVVFIGFASLVCAALSEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGIANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLILSWPLGLCTMLTCGTLSTIMLVHGRYQKKAAKFAQEFTASANNVAQEAITLARTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVVAVLIGGIYIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFLLMDLLPSRQLASKGLRLEKLEGRIQYADVAFSYPSRPSVPILRRLNLTLHPNEVVAIILIDGVPLSELDTRWFRERIGFVGQEPKLFRMDVSSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSADKAGRTVIIIAHRLSTIQTADRIIVMENGSIVEDGKHSELIKQDGLYSRLARRQDDTLP* >Brasy1G078000.3.p pacid=40053381 transcript=Brasy1G078000.3 locus=Brasy1G078000 ID=Brasy1G078000.3.v1.1 annot-version=v1.1 MFDFGSVQVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLILSWPLGLCTMLTCGTLSTIMLVHGRYQKKAAKFAQEFTASANNVAQEAITLARTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVVAVLIGGIYIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFLLMDLLPSRQLASKGLRLEKLEGRIQYADVAFSYPSRPSVPILRRLNLTLHPNEVVAIVGLSGSGKSTIVNLLLQLYEPTNGQILIDGVPLSELDTRWFRERIGFVGQEPKLFRMDVSSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSADKAGRTVIIIAHRLSTIQTADRIIVMENGSIVEDGKHSELIKQDGLYSRLARRQDDTLP* >Brasy1G078000.4.p pacid=40053382 transcript=Brasy1G078000.4 locus=Brasy1G078000 ID=Brasy1G078000.4.v1.1 annot-version=v1.1 MFDFGSVQVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLILSWPLGLCTMLTCGTLSTIMLVHGRYQKKAAKFAQEFTASANNVAQEAITLARTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVVAVLIGGIYIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFLLMDLLPSRQLASKGLRLEKLEGRIQYADVAFSYPSRPSVPILRRLNLTLHPNEVVAIILIDGVPLSELDTRWFRERIGFVGQEPKLFRMDVSSNIRYGCPREVSHEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSADKAGRTVIIIAHRLSTIQTADRIIVMENGSIVEDGKHSELIKQDGLYSRLARRQDDTLP* >Brasy1G213800.1.p pacid=40053383 transcript=Brasy1G213800.1 locus=Brasy1G213800 ID=Brasy1G213800.1.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWSCFLFKNNRTLSKDGVAYFQRSTHYIFISTAEVPQKTKVISMAALELWQLLPMCLLLNME* >Brasy1G213800.8.p pacid=40053384 transcript=Brasy1G213800.8 locus=Brasy1G213800 ID=Brasy1G213800.8.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWLNNDVVNVLNISAGAILAVLMQRLLSYGNCFPCVYF* >Brasy1G213800.7.p pacid=40053385 transcript=Brasy1G213800.7 locus=Brasy1G213800 ID=Brasy1G213800.7.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWLNNDVVNVLNISAGAILAVLMQRLLVSWRS* >Brasy1G213800.4.p pacid=40053386 transcript=Brasy1G213800.4 locus=Brasy1G213800 ID=Brasy1G213800.4.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWLNNDVVNVLNISAGAILAVLMQRLLVTGNG* >Brasy1G213800.11.p pacid=40053387 transcript=Brasy1G213800.11 locus=Brasy1G213800 ID=Brasy1G213800.11.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWLNNDVVNVLNISAGAILAVLMQRLL* >Brasy1G213800.2.p pacid=40053388 transcript=Brasy1G213800.2 locus=Brasy1G213800 ID=Brasy1G213800.2.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWLNNDVVNVLNISAGAILAVLMQRLL* >Brasy1G213800.13.p pacid=40053389 transcript=Brasy1G213800.13 locus=Brasy1G213800 ID=Brasy1G213800.13.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWVSFYFQMGKY* >Brasy1G213800.5.p pacid=40053390 transcript=Brasy1G213800.5 locus=Brasy1G213800 ID=Brasy1G213800.5.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWVTGNG* >Brasy1G213800.6.p pacid=40053391 transcript=Brasy1G213800.6 locus=Brasy1G213800 ID=Brasy1G213800.6.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEWVTGNG* >Brasy1G213800.3.p pacid=40053392 transcript=Brasy1G213800.3 locus=Brasy1G213800 ID=Brasy1G213800.3.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEW* >Brasy1G213800.12.p pacid=40053393 transcript=Brasy1G213800.12 locus=Brasy1G213800 ID=Brasy1G213800.12.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNVPQGLVCVLASQIANFGESLIGASLQDKEGFEW* >Brasy1G213800.9.p pacid=40053394 transcript=Brasy1G213800.9 locus=Brasy1G213800 ID=Brasy1G213800.9.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASMFLAGVGYILGQVNNLFNCDLHEML* >Brasy1G213800.10.p pacid=40053395 transcript=Brasy1G213800.10 locus=Brasy1G213800 ID=Brasy1G213800.10.v1.1 annot-version=v1.1 MACTVLPLLAPISFPLRPLPSRSSYLLAPGCLPLVRRAPRVSRHLHLTPPRALPDIAAASAGLRDALADAVLAYPPTWSSAAATNLAVFVAGSPLLLSGLSASGFAAAYLLGTLTWRAFGAQGFLLVAAYFVLGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCLCALLSIYNIGGGALAELWILGFVASFCTKLSDTVSSEIGKAYGRTTVGTW* >Brasy1G440600.1.p pacid=40053396 transcript=Brasy1G440600.1 locus=Brasy1G440600 ID=Brasy1G440600.1.v1.1 annot-version=v1.1 MARALARRTVPPFLRLRSTVGDDSYWMGRLDHKDWLAPNEVLKIFANIRDASLITSVFKKACARRDYKPSEALYSLMIDRLACARRFGDVEELLVRARVEKFRFSDEFFYRLIKMYGNVANHPEKAMETLYAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYENAPWLGVTLDTCCFNILIKGLCQFGKFDEAISLLHEMPKQECLPNVVTYSTLMHFLCQHGRIDKAFELFERMRKEEIDADTVVYNILISGLCREGKVTMAFDMFKSMSSEGCYPNSGTYQVLLDGLLTSRNFTEAKDLVRMMSAEGLRPSFSSYKLLIDGLCSVNCLEDGHLIFKQMVDQGFVPRMGTWTKLLTSMSLTYTGDFLDNLIHLNRELVLLVEPVDQPA* >Brasy1G440600.2.p pacid=40053397 transcript=Brasy1G440600.2 locus=Brasy1G440600 ID=Brasy1G440600.2.v1.1 annot-version=v1.1 MARALARRTVPPFLRLRSTVGDDSYWMGRLDHKDWLAPNEVLKIFANIRDASLITSVFKKACARRDYKPSEALYSLMIDRLACARRFGDVEELLVRARVEKFRFSDEFFYRLIKMYGNVANHPEKAMETLYAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYENAPWLGVTLDTCCFNILIKGLCQFGKFDEAISLLHEMPKQECLPNVVTYSTLMHFLCQHGRIDKAFELFERMRKEEIDADTVVYNILISGLCREGKVTMAFDMFKSMSSEGCYPNSGTYQVLLDGLLTSRNFTEAKDLVRMMSAEGLRPSFSSYKLLIDGLCSVNCLEDGHLIFKQMVDQGFVPRMGTWTKLLTSMSLTYTGDFLDNLIHLNRELVLLVEPVDQPA* >Brasy1G130100.1.p pacid=40053398 transcript=Brasy1G130100.1 locus=Brasy1G130100 ID=Brasy1G130100.1.v1.1 annot-version=v1.1 MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKDGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPATPPPPQRVPLDPWAKSRASQQWAGPKISGSSKTEGL* >Brasy1G359700.1.p pacid=40053399 transcript=Brasy1G359700.1 locus=Brasy1G359700 ID=Brasy1G359700.1.v1.1 annot-version=v1.1 MAAGNTSSAALSMKLLVDTKAQRVLFAEASKDVVDFLFSILTLPLAKAVKLVGKDSMVGCVGSLYASVDELDDTYYLQPGASAKDALLSPAVLSNASASSLLLDYLPDPPSFPGKTTLYRCNSNCSSGPPPRPGAFGTLPAGTFGFGSSGYGVASFKSCRGYVTDTAGTACPSCGTQMTTALQFVAGDDGANNDQAGFVRGIVTYTVMDDLKVAPMSSISGITLLVRSFGVTDLSALQEKTVHIGYNEGLEILKASLQSKTVLTDVFLANKPPCSGSNA* >Brasy1G358000.1.p pacid=40053400 transcript=Brasy1G358000.1 locus=Brasy1G358000 ID=Brasy1G358000.1.v1.1 annot-version=v1.1 MAESKPEQEVSSPWPDLLPELLGLVLSRMPSHADRVRLGAVCRPWRSSSTNQPLLPWLALRDGTFLSFPDGEVYRLPVPADVYHRVSAGGIIFLVHADGKCSLMTNPFTGETAPQHMDPDVLWFQMTILTPRHLVAHFNIAKVVVSDHIVAIKGGRGAKPRICARGPPQTCTPPVVELELYADDIEFFQGMLYVVGTKDVYSPTVEFVHHHHRELHVFEFTRDPPVLCIPGTTIAHSTGHYQLYYYLVVSGDRLLLVEREIELSVVSSKPIRTRRLEVFEATGLHNGAGNGRWTKVDNLMGHALFVSQDCSRSLPVANDQYGAQQDCVYFLSEHSLNDNYFKGRKPEDDFLDSGVYNIRDQTLAPLPTEMAPMKTAVVSHSGKGSLSWLFLPQN* >Brasy1G471100.1.p pacid=40053401 transcript=Brasy1G471100.1 locus=Brasy1G471100 ID=Brasy1G471100.1.v1.1 annot-version=v1.1 MEKDNVVKVAVEKDGVKKTVAGPEEESVTAPEVKRTVGVDAAYLNSVKDRLKKLKNACCESHWNKISSSFRAAREKASMGSEIHKLTIEPEEVKDETPEATEAAKTAPGAVESH* >Brasy1G165100.1.p pacid=40053402 transcript=Brasy1G165100.1 locus=Brasy1G165100 ID=Brasy1G165100.1.v1.1 annot-version=v1.1 MSYLLPHLHSGWAVDQAILAEEERLVMIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY* >Brasy1G452200.1.p pacid=40053403 transcript=Brasy1G452200.1 locus=Brasy1G452200 ID=Brasy1G452200.1.v1.1 annot-version=v1.1 MASSTAILKAMAAAVLAVLVVLTSFSGRPAMAAEATTMPTACKDCAAYCGSQCSGINTDSAPSCSGICRPAPDCAQKQKCMSDGLAQCTRGCRGACYPGCGSACDGYCNAYCSDSCRNHDYQSGCTRSCSPSPQQCHDRIVQQCNAGCTGYCNSHCVKA* >Brasy1G064700.1.p pacid=40053404 transcript=Brasy1G064700.1 locus=Brasy1G064700 ID=Brasy1G064700.1.v1.1 annot-version=v1.1 MVSLMEWCVDAPPHYLKMPWVTTEFPRYISINGSAVHKQLIGTDVLDFEMCDLLVRRLTQLDTRMEPTSRRLRWRHLLESDFSVCAIAENDLTSFLSIQQQFIGNEITYNMSCTRMFAVPSFIEESWSAYMFDMKEEVIHILDPLGLHLESATVKELHGHSANLIQDKLFDCFNKYYEIWNPQKKQWPHVYPVLTNDKFNKNQSGLCMLHCVRNYNGDELEQPLTLSGYSRLQHTFLHDLLTMENNKSRLPLPIMKIIDPPNWRQV* >Brasy1G370800.1.p pacid=40053405 transcript=Brasy1G370800.1 locus=Brasy1G370800 ID=Brasy1G370800.1.v1.1 annot-version=v1.1 MMLFSGRRRGLQTAVPCLFVMAAVLSAGAVQGYKNYTVGEGKGWYDGGAVDYQAWADGYNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYENSGPEDTVDWSAAPEFSKDAVTAAVPLLKEGDTYFFSGNYDGEQCLGGQRFAIAVARGQGLPPDLRPPSAEASGPAPGPEAQGIADAVPAFDFSHPKNVSTPSLDKDASDDETSGDSSGSSLTLAGLGPCLVMVLTVLFGAQV* >Brasy1G367800.1.p pacid=40053406 transcript=Brasy1G367800.1 locus=Brasy1G367800 ID=Brasy1G367800.1.v1.1 annot-version=v1.1 MAFHEPASACDIRFVLSVAVFLAAAAAASGLGVSVEARYGGGAAGQYCSSTVEAIVSEELYSSLFLHKDDAACPSRGFYTYASFIRATRKFPKFGATGDLCTRKREIAAFFAQISHETTGGWPSAPDGPYSWGLCYKEEIKPQSSYCDASNRQWPCYPGKSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEQVANCSDTAFQTALWFWMTPRQPKPSCHQVMVGQYCPSRADAAANRTAGFGLVTNIVNGALECNITDDARVNNRIGFYRRYSRVLGIDVGPNVDCAHQLPYN* >Brasy1G422800.1.p pacid=40053407 transcript=Brasy1G422800.1 locus=Brasy1G422800 ID=Brasy1G422800.1.v1.1 annot-version=v1.1 MARVLRTSVVSPSPSGAALRERSLPLTYMDAMWLHTSPVERVFFFDHDDARSDAILSNLEVSLSEALCSFFPLAGRLRLVPGAPKQNRHELHYRPGDGVTFTVAECLRGLDELAADQPRELAMVAPLVQPLPEGGAVLALQATVLRRGLALGVAVHHAACDGACSAHFLHTCAAAARQAGGPAPELLAEPPVIGRDFVHDRDDLYDAFAAPRPYSDDARRILKSDADDKLLATFTLSAEHLQCIKDTVAREAACHYQCRGVSSRCTSIVAAYGFIWQCYHRAKQHGSGSSPERVYIVFPTDHRARLDPPVPANYLGNFVGLCFGSASKADLAAPGAAGLLAACSAVGAAVDDAVRRGPAGFWDGCLERVVEAFGAGDGDMPLTVAGSPRFRVYGVDFGFGTPAKVDVVSVARTGAMSVAEARGGSGGGEEVGISLPPDAMRRFQSCFADATLQLNLTGNASP* >Brasy1G320200.1.p pacid=40053408 transcript=Brasy1G320200.1 locus=Brasy1G320200 ID=Brasy1G320200.1.v1.1 annot-version=v1.1 MLFPGRLFALAQIHPSTRGQVCGRRMGREGAACSSKPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQDEEDLIVSLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQLGIDPATHKPLNDVDDPAAALADNSCNKQQQLIPDQDDDGSHCFNGSDDVDLLLAAAPHSPVCSFDPLSVTTVPATMHSSGFRSDNGSLCEYGNNSAYTTGGDSSSNSNSAWSNVVEPLPLPHMDIFIRDSEPYNHPFDPARFISSWNHQKPHQQQHPADQDVGGGSASFPIRSLSRDVLPESCFQLARGALEDEFDFL* >Brasy1G320200.2.p pacid=40053409 transcript=Brasy1G320200.2 locus=Brasy1G320200 ID=Brasy1G320200.2.v1.1 annot-version=v1.1 MGREGAACSSKPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQDEEDLIVSLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQLGIDPATHKPLNDVDDPAAALADNSCNKQQQLIPDQDDDGSHCFNGSDDVDLLLAAAPHSPVCSFDPLSVTTVPATMHSSGFRSDNGSLCEYGNNSAYTTGGDSSSNSNSAWSNVVEPLPLPHMDIFIRDSEPYNHPFDPARFISSWNHQKPHQQQHPADQDVGGGSASFPIRSLSRDVLPESCFQLARGALEDEFDFL* >Brasy1G345300.1.p pacid=40053410 transcript=Brasy1G345300.1 locus=Brasy1G345300 ID=Brasy1G345300.1.v1.1 annot-version=v1.1 MVGERCRSGGGDSSKQLAKVCEGPERTARDRASLLDLIHGFYKAALVRLPLQEMPELAPRLLKAGLCFGFMYPVSNIIVNTVSYRHPPTGKEAVGGARKRKRRERSAKAWRKAALSRIVTDISNVSSSGPDPTLLPTMSIAVRSLQSLAAFLVCNFRYLFTAMALEYLLKEVAAEKPRVIGARIMRSILLDQIHGFYIVALARLPREGLQQHHHRSLLKAGHCYGPMDPVSNIILNAIWYDKVFPVEAEFEMDAILSSSLIRIACRSMCGLVAFLRSHFDTLSDLDALWYLRLANANLEHAMKILQQQGHTLSGDHRKAYKAAAKAGWHPSPDAHVEFALSTKLPTKLSLLLQADITLSSGDVEHLSQFLSVEPCASIGSLKPVLSLSKRASKMLSGMKKELEDEGYSIIAKVKAALKKYAQLRAEPAYELHAICGVNADVVHTGTFKMHYGWLRKSPVLYQYCHVNFLASPEGRCVYCEIRGAKIVHPAFKNYHGQDADFEKIVLGEHVMGWTSDNIVRDGIEGNNFVGILEEEDCIYFDRLRDAKYAKHLNEEAKDGACPRLCC* >Brasy1G049200.1.p pacid=40053411 transcript=Brasy1G049200.1 locus=Brasy1G049200 ID=Brasy1G049200.1.v1.1 annot-version=v1.1 MRGSTSSISKPGFMCLNSWQVMKQMIFGPDDDQSGLEEIGREIAAKCGGVPLIANSLGRVLSELRTVKAWEYIRDTKIDLGSRDQKDTLERLILSYYYMKQEFKMCFTYLAAFPKGFVINGNHLIQQWIALGYIHAKDDGERCVKYLLGMSFLQISRSSSVSPSPAHPKGPQELTTHDLVHDLASTITASEFVVLDANEPEPSAWSKARYCRHAQLINYQNQSKVFRYLPAKVRSLHFRDSRKQQLPRMAFSRSKHLRVLDLNGHSVRGQSIPSNLDHGVCSVEGQSTPSNIMLPCSIHQSKLLRYFDATALPITSLPKSFHTLQYMQTLILSKCSLETLPDKICSLHKLCYLDLSGNSSLSKLPVSLGKLSKLSFLNLLGCYKLQELPASICELISLRHLDMSECRAIQKLPDDFCSLPKLTFLSLFGCSKLTKLPDNVRLVSLEYLNLSNCHELQNLPQDFGNLRKLGFLNLSDCYKVATLPESFCQLIHLKDLDLSDCHELGELPDYFGNLSELTSLNLTSCCKLQLLPESFCKLLKLRRLNLSYCMRLTKLPSSFGDLKLQSLDISSTHLLDLPDSMSSMTSLTQLEVTSAQPHVFDKAQDIIHHLNLPGETIHNVHEIEHKGCSSIVELAQVTCHELHVYELQNVRHPEDADRAKLRDKLDLIVLCLRWEQQGKEDRSVLEKLIPPRTLEQFVLSGYMSKDFPNWMSQISSYLPSLTYLYLSDLGTCDTLLPIGRMPNLRRLIMVNIPNIRKIGKEFYGEGGICTKLRSITLRSMENLVEWWTTQSGEENKEFLIPNLHEVELGDCPKLKFLPYPPRCMLWSLKNCDEVLPQGGFGKLLSSTLPFEMVIRNCNFSPDKWDRLRHLPTIEILLVESYAGLRALPEAIRCFTSLRKLLLRSLKDLELLPEWLGHLTFLEQVSIVDCPGLIFLPESMKNLVALRKLWLKECQGLKILPEWIGQLVSLQDFNICGCPNLTSLPESMKNLTALRELQLWNCNGLDVLPEGLGHLTSLQDFRICCPNLTSLPESMKNLTALRVLWLEDCKGLDVLPGWLGQLISLQEFNIIRCPNLTSLPSSIQNLSALKKLYIWRCPTLVDRCRGEDADMISHIQKVRLHR* >Brasy1G561700.1.p pacid=40053412 transcript=Brasy1G561700.1 locus=Brasy1G561700 ID=Brasy1G561700.1.v1.1 annot-version=v1.1 MAMIIGSQSRRRRSPRVSMGESPAKLRSAALTSWPELLAPFDLSRLRSTLSSSPLTPRRLARLLALPLSPATSLLLLQWYAASHPDLSSLPLRPLLAAADADPERALFLLESLPSSRVPPLRESLLIPLFRSLPPGRALHLLDKLPHRFAVSPSFRSYNTVLAAFARADCHTDVLSLYRRMLHMDRVPPTTFTFSIAARALCRLGRADEALTMLRSMARHGCVPDTVLYQTVIHALCAQGGVNEAATLLDEMFLMGCSADVNTFNDIVHGLCTLGRLREAARLVDRMMIRGCIPNAMTYGFLLKGLCRASQVEEAQTMLGRVPELNVVLFNTVIGRCLLDGKLKEATELYEIMGSKGCPPDAHTYSILIHGLCKLGRLGSAMKLLREMEDKGFAPSIVTYTILLHSFCRNGMWDNIRAMLEVMSDKGLSMNLEGYNGMICAVCKDGRMDDAMRFMEEMKSRGYKPDICTYNTIIYHLCNNNQLEEAEYLFENLLHEGVVANAITYNTLIHALLRNGSWQDAISLANDMVLHGCSPDIVSYNGLIKALCRDGNVDRSIMLLSEMAEKGIKPNNVSYNLLISELCKTRRVRDALELSKEMLNQELTPDIVTYNTLINGLCKMGWMHAALNLLEKLHGEDVHADIITYNILISWHCKARLLDDASMLLNRAVTSGITPNERTWGIMVQNFVRTST* >Brasy1G561700.2.p pacid=40053413 transcript=Brasy1G561700.2 locus=Brasy1G561700 ID=Brasy1G561700.2.v1.1 annot-version=v1.1 MAMIIGSQSRRRRSPRVSMGESPAKLRSAALTSWPELLAPFDLSRLRSTLSSSPLTPRRLARLLALPLSPATSLLLLQWYAASHPDLSSLPLRPLLAAADADPERALFLLESLPSSRVPPLRESLLIPLFRSLPPGRALHLLDKLPHRFAVSPSFRSYNTVLAAFARADCHTDVLSLYRRMLHMDRVPPTTFTFSIAARALCRLGRADEALTMLRSMARHGCVPDTVLYQTVIHALCAQGGVNEAATLLDEMFLMGCSADVNTFNDIVHGLCTLGRLREAARLVDRMMIRGCIPNAMTYGFLLKGLCRASQVEEAQTMLGRVPELNVVLFNTVIGRCLLDGKLKEATELYEIMGSKGCPPDAHTYSILIHGLCKLGRLGSAMKLLREMEDKGFAPSIVTYTILLHSFCRNGMWDNIRAMLEVMSDKGLSMNLEGYNGMICAVCKDGRMDDAMRFMEEMKSRGYKPDICTYNTIIYHLCNNNQLEEAEYLFENLLHEGVVANAITYNTLIHALLRNGSWQDAISLANDMVLHGCSPDIVSYNGLIKALCRDGNVDRSIMLLSEMAEKGIKPNNVSYNLLISELCKTRRVRDALELSKEMLNQELTPDIVTYNTLINGLCKMGWMHAALNLLEKLHGEDVHADIITYNILISWHCKARLLDDASMLLNRAVTSGITPNERTWGIMVQNFVRTST* >Brasy1G561700.3.p pacid=40053414 transcript=Brasy1G561700.3 locus=Brasy1G561700 ID=Brasy1G561700.3.v1.1 annot-version=v1.1 MAMIIGSQSRRRRSPRVSMGESPAKLRSAALTSWPELLAPFDLSRLRSTLSSSPLTPRRLARLLALPLSPATSLLLLQWYAASHPDLSSLPLRPLLAAADADPERALFLLESLPSSRVPPLRESLLIPLFRSLPPGRALHLLDKLPHRFAVSPSFRSYNTVLAAFARADCHTDVLSLYRRMLHMDRVPPTTFTFSIAARALCRLGRADEALTMLRSMARHGCVPDTVLYQTVIHALCAQGGVNEAATLLDEMFLMGCSADVNTFNDIVHGLCTLGRLREAARLVDRMMIRGCIPNAMTYGFLLKGLCRASQVEEAQTMLGRVPELNVVLFNTVIGRCLLDGKLKEATELYEIMGSKGCPPDAHTYSILIHGLCKLGRLGSAMKLLREMEDKGFAPSIVTYTILLHSFCRNGMWDNIRAMLEVMSDKGLSMNLEGYNGMICAVCKDGRMDDAMRFMEEMKSRGYKPDICTYNTIIYHLCNNNQLEEAEYLFENLLHEGVVANAITYNTLIHALLRNGSWQDAISLANDMVLHGCSPDIVSYNGLIKALCRDGNVDRSIMLLSEMAEKGIKPNNVSYNLLISELCKTRRVRDALELSKEMLNQELTPDIVTYNTLINGLCKMGWMHAALNLLEKLHGEDVHADIITYNILISWHCKARLLDDASMLLNRAVTSGITPNERTWGIMVQNFVRTST* >Brasy1G454000.1.p pacid=40053415 transcript=Brasy1G454000.1 locus=Brasy1G454000 ID=Brasy1G454000.1.v1.1 annot-version=v1.1 MLLLKSTRASLPPHLLACAASISPAAGRLYKPAHASPAQSITRIAYSSPRPPTAHRSTKPNQNLLRSSSPTSSRVPIIYQSAARSRTIACSGRFDLESRMDMAHERDSSSEEEVMAGDLRRGPWTVEEDILLENYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGSITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAEASQPAAADAADTPLTWQADGIYDESPELPVAAVDACCWPADHQYGAAASGAGHQLLNNSAVPEPSSTTTGSSSSPSTDDSSGAGPAQPSCWPATVDEWFTASSAAAAMRDTDQQLIIQQQAGEAWTSEPVLPGNAGFPELGVADFEMGSFDVDSIWSGMDDLWYTQPQFV* >Brasy1G433400.1.p pacid=40053416 transcript=Brasy1G433400.1 locus=Brasy1G433400 ID=Brasy1G433400.1.v1.1 annot-version=v1.1 MANARTGAYVDDYLEYSSNLAGDLQRILSTMGELDERADGIMAQTKEQIKDLLGVPSPDDEAASEKMKRDIESSQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGHFAEDLKQEGKIPPDEPHILPPMPAATKKFRERERERGMDFDLMPPPGSNKKTVTSMDAAQMIDPNEPTYCICHEVSYGDMIACDNENCEGGEWFQFHYSCVGLTPETGLKGKWFCPTCRNLQ* >Brasy1G092800.1.p pacid=40053417 transcript=Brasy1G092800.1 locus=Brasy1G092800 ID=Brasy1G092800.1.v1.1 annot-version=v1.1 MKLDVNALRYLSKDDFRVLTACEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDCKKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGEVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGERGFPVPTAVECNRHCVIMSLVPGYPLVQIKELQNPDVVFDTILGLVIRLAEHGLIHCDFNEFNIMIDDDETVTMIDFPQMVSVSHRNAEMYFDRDIGCIYKFFNKRFRLTQKGEQDGSETDEDDSSRPSFQSVQKSAGSLDKELAASGFTRKEQAEIEKFIDENAEEAISSSDDDDSTSERKTEGGDAEPVKINSLKITDQDVTGVPDFIAADSNEPETSSEEHKTSTSHSDENKLTDPTADITGDAKVSAGSEGNDDESSEGPDEEDDDVLTKKLNKQRKKALAAALGRRRPLSSRNAYKDKGKGTMNSKIQKQACQW* >Brasy1G092800.2.p pacid=40053418 transcript=Brasy1G092800.2 locus=Brasy1G092800 ID=Brasy1G092800.2.v1.1 annot-version=v1.1 MIVKNMMGIGSLILGMTSSPSKLWSIAEYLLRLVVRLVSERNQALGERGFPVPTAVECNRHCVIMSLVPGYPLVQIKELQNPDVVFDTILGLVIRLAEHGLIHCDFNEFNIMIDDDETVTMIDFPQMVSVSHRNAEMYFDRDIGCIYKFFNKRFRLTQKGEQDGSETDEDDSSRPSFQSVQKSAGSLDKELAASGFTRKEQAEIEKFIDENAEEAISSSDDDDSTSERKTEGGDAEPVKINSLKITDQDVTGVPDFIAADSNEPETSSEEHKTSTSHSDENKLTDPTADITGDAKVSAGSEGNDDESSEGPDEEDDDVLTKKLNKQRKKALAAALGRRRPLSSRNAYKDKGKGTMNSKIQKQACQW* >Brasy1G087400.1.p pacid=40053419 transcript=Brasy1G087400.1 locus=Brasy1G087400 ID=Brasy1G087400.1.v1.1 annot-version=v1.1 MWRSRARTLLLLRASIPSSPPQPNPLRTLTRAPPPRLFSRFLSSSPEALPVPDASPSSSSAGAVSASADPAEDGEDNLAALWEEDAGDADDIFVSSASSDTAGSVADDEEVARVRAVVESTPEDQIPSAMADMVVDFTESLLSAVLLSAENCSGKKLLLLFQSAGKNNPDAKSVANLEIVASKLADSDEIDKMDAYLLWDFVKEKGSVPGSVSTQLLNRVIAIFWKLEKSKAALEVLDKFSEFGCTPDGDSYYLVIQAAGKKSMVGAAWGVCEKILGSGFFPDGEKTGEIVTFFCKGKKVTDAHSVYLKAKEKKVKIPTSALDFLIGALARNDETISVALELLEEYQGKSLKHAGKSFAAVIHGLCRMNNVKDAKKLLMRMVNLGPAPGSAVFNFVITALSKEGEMEDAKGLIRVMESRGLRPDIYTYSVIMSGYTKGGMISEAHSLLHEAKKIHPKLSRVTYHILIRGYCKMEEFEKALECLKEMKEDGLQPNMDEYNKLIQSLCLKAMDWRTAEKLLEEMESSGLCLKGITRSLVAAVKELEMEEMSKDSQEA* >Brasy1G156700.1.p pacid=40053420 transcript=Brasy1G156700.1 locus=Brasy1G156700 ID=Brasy1G156700.1.v1.1 annot-version=v1.1 MASYFCFRKPMRPIPSFTIPQYQIPRYQISCQDMLCLVLRPEGEVVVIEGIGGSGKTWAAKAAFETSKNSNRFEDYIWVSLSRSCSLRRCIEKIATCLSIEIGEELLSSRITVMIKEHLARRKFLLVLDNAYFVEENILSHLGIPHPREQGFGSKVIVTTRTARALSVMEPATVILPQPLTYEASHDLLREKLGKDIDLELIDNCFGMPLSIILLAGALCDAPTHEEFRKLISAAHVAQGPKMSVFTTMTRLVNFGYRQLPSDTARHCFLYCLLFPDDEAISVKDLIFFWKLDSMIQEAQDFHEADCVGKEIIHVLVKHGLIHFEGNDHIRMHDVIRETVSQLGRDNGYVEQPERYFDNEVRFEYLAKLGGRISLMNTIKEELRFECIAKLGRRISLMNTIKEELYPSPECFSTLTLLLRGNRHMRTISEEFFSRLGMLRVLDLSFTGIAILPRSISYLFYLRLLPLVGCGHLEKIQHIGSLEMLEVLNASGCGSLKRVECGSFDRMRLLKILDLSRTSIEYLPSLAASMELHQLLLQDCQYLESEQTTETNAKFCVTNFIKFPYGVSKSGAVRNLQLGASKDLVDWMAMLWLPSGLTFELSDRFGMMVSQDVNQNSKTYIHASHTNFVQSLDKDSPLWLNCLRKFHIVMSPLKYDQTLDNILGTVRTKFSSVDTHSGDFDRFLEINCVSMPNGIEGILSHAELISLKGVTATDQVLNLNTGRLTAARELWIENCHQLENLFLLEEVHGSHKLGKLQNIWISNMDNLGYFCLEMKDLTSFSYLKHLLLDCCPKLNFLFPSSLRMPNLCSLHIRFCDSLERVFDESVVAEYALPGLQSLQLWELPELRCICGGVLPSLKDLKVRGCAKLKKIPIGVTENNPFFTKVIGETQWWNNLVWDDEDIKRWMLFRNWGPLVPHFATEG* >Brasy1G156700.2.p pacid=40053421 transcript=Brasy1G156700.2 locus=Brasy1G156700 ID=Brasy1G156700.2.v1.1 annot-version=v1.1 MASYFCFRKPMRPIPSFTIPQYQIPRYQISCQDMLCLVLRPEGEVVVIEGIGGSGKTWAAKAAFETSKNSNRFEDYIWVSLSRSCSLRRCIEKIATCLSIEIGEELLSSRITVMIKEHLARRKFLLVLDNAYFVEENILSHLGIPHPREQGFGSKVIVTTRTARALSVMEPATVILPQPLTYEASHDLLREKLGKDIDLELIDNCFGMPLSIILLAGALCDAPTHEEFRKLISAAHVAQGPKMSVFTTMTRLVNFGYRQLPSDTARHCFLYCLLFPDDEAISVKDLIFFWKLDSMIQEAQDFHEADCVGKEIIHVLVKHGLIHFEGNDHIRMHDVIRETVSQLGRDNGYVEQPERYFDNEVRFEYLAKLGGRISLMNTIKEELRFECIAKLGRRISLMNTIKEELYPSPECFSTLTLLLRGNRHMRTISEEFFSRLGMLRVLDLSFTGIAILPRSISYLFYLRLLPLVGCGHLEKIQHIGSLEMLEVLNASGCGSLKRVECGSFDRMRLLKILDLSRTSIEYLPSLAASMELHQLLLQDCQYLESEQTTETNAKFCVTNFIKFPYGVSKSGAVRNLQLGASKDLVDWMAMLWLPSGLTFELSDRFGMMVSQDVNQNSKTYIHASHTNFVQSLDKDSPLWLNCLRKFHIVMSPLKYDQTLDNILGTVRTKFSSVDTHSGDFDRFLEINCVSMPNGIEGILSHAELISLKGVTATDQVLNLNTGRLTAARELWIENCHQLENLFLLEEVHGSHKLGKLQNIWISNMDNLGYFCLEMKDLTSFSYLKHLLLDCCPKLNFLFPSSLRMPNLCSLHIRFCDSLERVFDESVVAEYALPGLQSLQLWELPELRCICGGVLPSLKDLKVRGCAKLKKIPIGVTENNPFFTKVIGETQWWNNLVWDDEDIKRWMLFRNWGPLVPHFATEG* >Brasy1G245400.1.p pacid=40053422 transcript=Brasy1G245400.1 locus=Brasy1G245400 ID=Brasy1G245400.1.v1.1 annot-version=v1.1 MKPSAGPGPGAGTGPGAVEVRVEQPPRPPRPERPQIRPHPGRLRARPYYRRWTAWLVPAASVACAAAFLVTMFVNDCPRRGVGDCSASFLGRFAFQPLRENPLLGPSSATLLKMGALDVSKIVHGRQGWRLITCIWLHAGVVHLLINVLCLLFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVVFIRPQFAWINQKRAAPGQETAPVKRKHKTYQYILWLAAVVLLIVGFTVAIVLLFRGYNANDHCSWCHYLSCVPTKKWKCNSSPQTCTVMQQPDTLDLTCDGTGTHHSYSIAGATQDQISQLCNSLCS* >Brasy1G005900.1.p pacid=40053423 transcript=Brasy1G005900.1 locus=Brasy1G005900 ID=Brasy1G005900.1.v1.1 annot-version=v1.1 MMLVAKEFGISPPAAAAAPRRRMARVAPCGGSSPVGELWLRTRGGGAAAEGVGSHGSHESEMDLAMLVSDFLENGGGGGAGAGDSRGSSDSENGLSDLAHLADKISMYKQGGDEKENELLSVVHSLLFSIHESELQAFIRGQCTGSCIRHLLVKLLRYSGYDAAVCLSKWQGFDKIPGGDHEYIDVIMNCGTTGPERLIIDIDFRSHFEIARAVDSYGTLLDSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLSYLQAKWHSKYERKYFHSEQNFQGTVPDHALCVGHLKRLKSSLQSELDTGRLLMMPIKTDTMRRAKFERRRRSLLSF* >Brasy1G005900.2.p pacid=40053424 transcript=Brasy1G005900.2 locus=Brasy1G005900 ID=Brasy1G005900.2.v1.1 annot-version=v1.1 MDLAMLVSDFLENGGGGGAGAGDSRGSSDSENGLSDLAHLADKISMYKQGGDEKENELLSVVHSLLFSIHESELQAFIRGQCTGSCIRHLLVKLLRYSGYDAAVCLSKWQGFDKIPGGDHEYIDVIMNCGTTGPERLIIDIDFRSHFEIARAVDSYGTLLDSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLSYLQAKWHSKYERKYFHSEQNFQGTVPDHALCVGHLKRLKSSLQSELDTGRLLMMPIKTDTMRRAKFERRRRSLLSF* >Brasy1G049400.1.p pacid=40053425 transcript=Brasy1G049400.1 locus=Brasy1G049400 ID=Brasy1G049400.1.v1.1 annot-version=v1.1 MARCRGQRLRNKCFVGWLWTFDHASTDVQAFIYGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVRTAFC* >Brasy1G049400.2.p pacid=40053426 transcript=Brasy1G049400.2 locus=Brasy1G049400 ID=Brasy1G049400.2.v1.1 annot-version=v1.1 MARCRGQRLRNKCFVGWLWTFDHASTDVQAFIYGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVRTAFC* >Brasy1G049400.3.p pacid=40053427 transcript=Brasy1G049400.3 locus=Brasy1G049400 ID=Brasy1G049400.3.v1.1 annot-version=v1.1 MARCRGQRLRNKCFVGWLWTFDHASTDVQAFIYGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVRTAFC* >Brasy1G423600.1.p pacid=40053428 transcript=Brasy1G423600.1 locus=Brasy1G423600 ID=Brasy1G423600.1.v1.1 annot-version=v1.1 MSWQAYVDEHLMCEIEGNHLTAAAILGQDGSVWSQSDNFPQFKPEQITAIMKDFDEPGTLAPTGLFFGSEKYMVIQGEPGVVIRGKKGPGGITIKKTGQALLVGIYDEPMTPGQCNMIVERLGDYLVEQGM* >Brasy1G477500.1.p pacid=40053429 transcript=Brasy1G477500.1 locus=Brasy1G477500 ID=Brasy1G477500.1.v1.1 annot-version=v1.1 MVGSMDVPAKGGFSFDLCRRNDMLEKKGLKVPGFRKTGTTIVGLVFADGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKTHLFSYQGHVSAALVLGGVDCTGPHLHTVYPHGSVDTLPFATMGSGSLAAMSVFESKYKEGLTRDEGIQLVCGAIRAGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYASSKGFSFVQGHTEVLSTKITPLKPKAEVTVGDAMEE* >Brasy1G104700.1.p pacid=40053430 transcript=Brasy1G104700.1 locus=Brasy1G104700 ID=Brasy1G104700.1.v1.1 annot-version=v1.1 MAVGGDDDMERDFAARLRLAPSPSSASASASPTAAATGGGSGIAFRAPQEQFTVDEFELGRIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIIRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTRDTPIKVLPNSTTERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLFQMLSGSSPFKDASEWLIFQRITARDLRFPQYFSDEARDLVDKLLDMDPIKRPGAGPDGYASLKKHPFFRGIDWKNIRKTRAPKLAVEENANEDDDTQDSDWLSHMGSAPVNQHVPVGNNGATSSSEVRSHVSKLASIDSFDSRWQEFLEPGESVVLISKLKKINKLSNKKVQLILTNKPQLICVDPAKMETKGNIIWSDDPSELNVQVTNSSHFRICTPKKVFIFEDAKQRAWQWKNAIEDLQHCQKN* >Brasy1G104700.2.p pacid=40053431 transcript=Brasy1G104700.2 locus=Brasy1G104700 ID=Brasy1G104700.2.v1.1 annot-version=v1.1 MAVGGDDDMERDFAARLRLAPSPSSASASASPTAAATGGGSGIAFRAPQEQFTVDEFELGRIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIIRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTRDTPIKVLPNSTTERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLFQMLSGSSPFKDASEWLIFQRITARDLRFPQYFSDEARDLVDKLLDMDPIKRPGAGPDGYASLKKHPFFRGIDWKNIRKTRAPKLAVEENANEDDDTQDSDWLSHMGSAPVNQHVPVGNNGATSSSEERNEK* >Brasy1G267500.1.p pacid=40053432 transcript=Brasy1G267500.1 locus=Brasy1G267500 ID=Brasy1G267500.1.v1.1 annot-version=v1.1 MLRHTAQVMKQTTTPAHRPSWCTGTKKNHTTGTAARSLPRRGVRRARAELMAVRPCRFPARNRLAPPPLLKSQIPASFLPRQSTPC* >Brasy1G550700.1.p pacid=40053433 transcript=Brasy1G550700.1 locus=Brasy1G550700 ID=Brasy1G550700.1.v1.1 annot-version=v1.1 MSRDDIRAILSPADISETCRTRSAGRAIRIGVVFNPMSCGGERTWKEIRFNRCKQTNNTNSSREYLLSSSPLATPARRHQRGHHHRHHQPGLIMTFIHLFLVCKLRKRRLQEINEEEEFGELQGTPTRFTFQQLEVATQQFTDKIGEGGFGSVFKGKFGEERIAVKRLDQAGQGRREFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPNGSLDRWIYCRHDNNAPPLDWSTRCKIISHIAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIISGRKNLDTYRSEESIHLITLLEEKVNSDRLVDLIDNKSSEMQAGKQDVIQKMKLAMWCLQIDCKRRPKMSEVVKVLEGTMNTDSNIDYNFVVTNQEKFPIAGNVNGSSPPLASDVSGPR* >Brasy1G509300.1.p pacid=40053434 transcript=Brasy1G509300.1 locus=Brasy1G509300 ID=Brasy1G509300.1.v1.1 annot-version=v1.1 MSSSSAHRPPRETDFDRIPGSTPIVIDNGASTFRIGWAGEKEPRVAFRNIVQRPRHRSSAGETVTVVGDTDPGLMKFFDCTRTSIRSPFDDDVVYQFEYMEYILDYAFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSVAFGIDDAFSYKYNQKLGNCGEDGLAISCEFGTCHVVPFLKGQPVLGACCRTNVGGSHITDFLRQLLSLKYPYHAASITWEKAEELKKEHCYIAPDYMSELQIFKNNKEEAEKKTRYWQLPWVPLPVDEPPSEEELARKAAQKERAGQRLRDFAATKRSQKIQLLEKELLDLEELMEQLDEAEEPEATSILASAGYLSRQEIKSAILKKIQSIRKAKGESNGNEEKADVSEADKYPLVSVPDETLTPEQLKEKKKQILLKTTTEGRLRAKQRRAEEEALREKQEEERRTENPELYFEELRARYSDLSEKIEQRKRQKVNGNHNSSGAVGRGERLNAAQKERMRLLTTAAFDRGKGEDTFGMRDEDWLVYKKMSKDTDQDDDENDYDESELARIASKLQEVDPTFVNKHEAAEPTPDPHKVRPLTAEDFRIAIGIERFRCPEVLFQPGMIGVDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGTSLFPGMIPRLESGIRQFRPYLAPLKLIKAADPILDAWRGAAAFAASSKFGTQTFTLEDYREHGENLFHRYNIVYTL* >Brasy1G121800.1.p pacid=40053435 transcript=Brasy1G121800.1 locus=Brasy1G121800 ID=Brasy1G121800.1.v1.1 annot-version=v1.1 MECDEIMPVVELEPVHGVDTSGEIKEVEANVARDVKAIKDHIDLESSQPKTKPPPKDLKEIAGSFWEAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRPRRLRGEAGSEHALGRRSCDTDPRFSLDAGRMSIDDAGFSWDEPRASWDGYLFGAGGGIGLGRAPPPLSRLPPILSVMEDAPAAVVERSDGQIPVEDDADLEPPGGTFQTRDYYLDSSSRRRRSLERSSSVRRPSFEVAEPKPIPAAAAAIGNESPVAIGGSEFYHFHHAEDLLDRGFSSNSLVEDISASLEAALSGPSSCKKPPRWRKAWSLWGFIHRRAAGRRTGGGGGGPSDIADRSFSEPWPDLRVRGGNPKMQRCNSNLSARSSFSSNSNSGGLGSSRRSYVDVNGNVKLRRAEEHAHALERNRSARHSPPGRVDNGMLRFYLTPMRSGGGAGGVVRRGGGVLPGKAGRQLTSQSFARSVLRLY* >Brasy1G180000.1.p pacid=40053436 transcript=Brasy1G180000.1 locus=Brasy1G180000 ID=Brasy1G180000.1.v1.1 annot-version=v1.1 MALNKGPHNPIHVQDASGNDVVPPHGSASSEDRLPPTITPSSQEPQWLVPLLEQLNGSWAEDLANVVKRLNEMHASRMAEFTSQVIAALNSSSGVETNVQVPGAATTSNTTMSAGCSQGNNVPAAFATGAKVLAHYDKELYEATL* >Brasy1G371500.1.p pacid=40053437 transcript=Brasy1G371500.1 locus=Brasy1G371500 ID=Brasy1G371500.1.v1.1 annot-version=v1.1 MRVDDVSLVGEVDAEERKLLQVGDGVGLLVIVVVVAVERKLLQVGDGVGLLVIVVVVAVEWKLVEERGTLGAGEDARRGFWLRYGGEANWALGRQQYWRGREGGREGEGCAAVPEIRVRTRQTAREGGAEFGKGGVGRRARGARSEVGVGHRGEWAGGGDGGEQRGVVSLKREHGFDVRRRRLDRVHQRCCSK* >Brasy1G030200.1.p pacid=40053438 transcript=Brasy1G030200.1 locus=Brasy1G030200 ID=Brasy1G030200.1.v1.1 annot-version=v1.1 MMHQHQHQHQPPPPPPPQHPPPQAGGGGEFYRGPLQPPMRQLSAASSTNLPPEYAAHPGPPQQHHHHQQQPPQQQQQQQPFDAYGDNFGAKRMRKPVQRRTVDYTSSLVRYAQARMWQRDARDRFTLQPTAAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINCVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHGESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGGIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLSSGGNDHATRFWCRNRPGDLTRDRYNGGQLQGYGDQHPGFTGRGFQMPESPAPGPFGSTIPGIGVAIHLDGSDHGEQRSSIPGLPPGPPPLPPGPHPSLLPSVQHQHYQQMPQQQHSQFRPPPPNMPQLQPPGHMLPHQQGSRPPLPQLPSMPGSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGLNQGHPGSVPPSNMSQMGGFPNGMGNIQGPSGASGMQNFPMGGMYNRPQVQGQMTPQGQMSSIPGLSSYQPGMGNVVLPPPPPQHPPPRGATPQ* >Brasy1G030200.2.p pacid=40053439 transcript=Brasy1G030200.2 locus=Brasy1G030200 ID=Brasy1G030200.2.v1.1 annot-version=v1.1 MILDLLPDGGANFVSNYRLPSSISWKSLKLPVCTVIMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINCVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHGESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGGIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLSSGGNDHATRFWCRNRPGDLTRDRYNGGQLQGYGDQHPGFTGRGFQMPESPAPGPFGSTIPGIGVAIHLDGSDHGEQRSSIPGLPPGPPPLPPGPHPSLLPSVQHQHYQQMPQQQHSQFRPPPPNMPQLQPPGHMLPHQQGSRPPLPQLPSMPGSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGLNQGHPGSVPPSNMSQMGGFPNGMGNIQGPSGASGMQNFPMGGMYNRPQVQGQMTPQGQMSSIPGLSSYQPGMGNVVLPPPPPQHPPPRGATPQ* >Brasy1G030200.3.p pacid=40053440 transcript=Brasy1G030200.3 locus=Brasy1G030200 ID=Brasy1G030200.3.v1.1 annot-version=v1.1 MILDLLPDGGANFVSNYRLPSSISWKSLKLPMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINCVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHGESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGGIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLSSGGNDHATRFWCRNRPGDLTRDRYNGGQLQGYGDQHPGFTGRGFQMPESPAPGPFGSTIPGIGVAIHLDGSDHGEQRSSIPGLPPGPPPLPPGPHPSLLPSVQHQHYQQMPQQQHSQFRPPPPNMPQLQPPGHMLPHQQGSRPPLPQLPSMPGSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGLNQGHPGSVPPSNMSQMGGFPNGMGNIQGPSGASGMQNFPMGGMYNRPQVQGQMTPQGQMSSIPGLSSYQPGMGNVVLPPPPPQHPPPRGATPQ* >Brasy1G230500.1.p pacid=40053441 transcript=Brasy1G230500.1 locus=Brasy1G230500 ID=Brasy1G230500.1.v1.1 annot-version=v1.1 MDLEIVGRHALLFDDDAAAEVVNSGGSLVPWSAAGATDLLLDRHDVRHLLDRVPPRPNRSYSAALLSVRSPDGVSEAELDRERFLDLPADDEGGDDTRGSSPSGNEIDTGHGDYNAVPFSYGGPAGSDDPNNLTSYYRPSFPVPESLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.7.p pacid=40053442 transcript=Brasy1G230500.7 locus=Brasy1G230500 ID=Brasy1G230500.7.v1.1 annot-version=v1.1 MSVSDGWSRDEVPTSDAHCFLTIYLDVRNRQPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.4.p pacid=40053443 transcript=Brasy1G230500.4 locus=Brasy1G230500 ID=Brasy1G230500.4.v1.1 annot-version=v1.1 MSVSDGWSRDEVPTSDAHCFLTIYLDVRNRQPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.2.p pacid=40053444 transcript=Brasy1G230500.2 locus=Brasy1G230500 ID=Brasy1G230500.2.v1.1 annot-version=v1.1 MSVSDGWSRDEVPTSDAHCFLTIYLDVRNRQPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.9.p pacid=40053445 transcript=Brasy1G230500.9 locus=Brasy1G230500 ID=Brasy1G230500.9.v1.1 annot-version=v1.1 MPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.5.p pacid=40053446 transcript=Brasy1G230500.5 locus=Brasy1G230500 ID=Brasy1G230500.5.v1.1 annot-version=v1.1 MPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.8.p pacid=40053447 transcript=Brasy1G230500.8 locus=Brasy1G230500 ID=Brasy1G230500.8.v1.1 annot-version=v1.1 MPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.6.p pacid=40053448 transcript=Brasy1G230500.6 locus=Brasy1G230500 ID=Brasy1G230500.6.v1.1 annot-version=v1.1 MPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G230500.3.p pacid=40053449 transcript=Brasy1G230500.3 locus=Brasy1G230500 ID=Brasy1G230500.3.v1.1 annot-version=v1.1 MPDHHLHSYFRYIVDHPQLLKDGSDAGTSKGNKTVTNEAEHAISSSGALSLLGAVYESGDEDESVLPASSKSMDPGNDVVLHEQSQDSAFNNEEVRKGQAITEEAATAVKDKPIFTKKNPTITGNSIVAAHRGNVKDAIKMLATPAKSENSKSGVSDTKEVILEPPSVLRRTMEKIVEFILRNGKEFEEKLIEQDRTTGRFPFLLSSNPYHSYYLKTLQENQESNTRGGSLERKDTRSSLERKDRTSLERKDRRSSLERKDRSSSDRRDSGHGREISRGKRRESASRDLSSSDRSSAEPSEKQLFDKQGKAKLQMLIGGVKKEPPRKVTADEAAAIVMAATRGLAPSNPQPSTVKFMADNWHTSTQDPGAVSKSASSSEAGISVRSSGQLNKEGIGIIDDDWIANTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTAIIKTGGNNTDLVATSDPTNESAKASPTDLDLPRPDPQPLAKEREGGSVPFKREGSNLTMQEKDSDDEQNRARKYRKHHPESDEEKDDLDEESYKHTRKRHRSEHSRRYSKDVHKHKYRQHSVDREDKYHRQSHSSSEDECERSSSKSRRQHRDDHKYAEDDEYRSSRRHRRNHRSSSKRKHKDNQDHIEETQGGAEASQNTSDHKYGSEKPPSDSAQSSQAATEVPNELKAKIRAMLLETL* >Brasy1G166600.1.p pacid=40053450 transcript=Brasy1G166600.1 locus=Brasy1G166600 ID=Brasy1G166600.1.v1.1 annot-version=v1.1 VGTLSYACQDNRVLQINDGTGKKKLVVLGTGWAGASFLRNIDTSLYDVHVVSPRNYFMFTPLLPSVTCGTVEARSIVEPIRNIVRKKGGAFRFWEAECYKIDPASKKIHCRSGDGTNVDGNGEFAVDYDYLVVTVGARPNTFNTPGVVENCHFLKVSST* >Brasy1G151800.1.p pacid=40053451 transcript=Brasy1G151800.1 locus=Brasy1G151800 ID=Brasy1G151800.1.v1.1 annot-version=v1.1 MSESKGSDDATEYDSNEETQLSSSSKSATSRTSAKTLYWIIKKFNDVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNCAIVVDAIRALPFGPMDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGMPGNKISLKEAEKIVLMELTPESTRLQKDSFKMAYVIILMGHMLSPSTKYDHVNIDFLGALRCTDEIGEYNWCAYVIKGVIDAARRVQDDIAMKKVVSNIAGCHIFLQV* >Brasy1G392900.1.p pacid=40053452 transcript=Brasy1G392900.1 locus=Brasy1G392900 ID=Brasy1G392900.1.v1.1 annot-version=v1.1 MASLQIDLKTATLRGSNVRHQRSVAASVCPRRGEARTPPARAAAPIAARARCLRARGASTRSPDTRLAPRRLKKMRLPSHATRPVRSTLPRVPDALLHVDLLHLVAEELDKDRPREDETGTQRELGWVAGNAAARGRGETETEGEVGQRRGRRTRDCPWGRGSWGENGRWWISPAVGGAASLSARRNAALEERRGMEEGGRRQQTAANALLHLFYAEIGARSPPDWLAN* >Brasy1G253600.1.p pacid=40053453 transcript=Brasy1G253600.1 locus=Brasy1G253600 ID=Brasy1G253600.1.v1.1 annot-version=v1.1 MATSYEPRNILITGAAGFIGSHMANRLVRSYPHYNVLVLDKMDYCSSLKNLDPSRGSSNFKFVEGDVASSGLVHRLLVDNSIDTVMHFAAQTHVDSSFGDGSLEFTKNNVHCTHVLLEACKQVNIKRFIHVSTDEVYGESEADAVAGKHEDSRLLPTNPYSASKAGAEMPVMAYGTSYGLPVITTRGNNVYGPNQFPEKLIPKFVLLAMRSLPLPVYGNGMNARSYLYCDDVADAFDVVLHKGEAGQVYNIGTAKERKAIEVAMDICEILGADAGKAVSFVEDRPFHDQRYFVDSQKLKKLGWSERTPWEEGLMKTVAWYAGNHGYWADVGGALRGHHRDWMSPSSSMESPEEDEAKECSS* >Brasy1G341800.1.p pacid=40053454 transcript=Brasy1G341800.1 locus=Brasy1G341800 ID=Brasy1G341800.1.v1.1 annot-version=v1.1 MSAKLRVDELRAELQRRGLDDSGTKPALVRRLDAAIHKEEKETACAAAAANASNVDGVDGVVLDGKGGDQNERKRKRRGEEENDTSWDAAKLEGMSYRELQALAKAHKLNANGSKKDVIQRLLSTPVEAADHDAQDKKELAEGVDGKVEKEMKKGKMAADVTLDAAQLAGMGYRELQALAKARGLAANGIKKDVIERLLSTPTNSAAVADGGVQDIKKVAQGVVRKVEEEVKREKMVIATKKGAAVLDEHIPDHIKMTHHVLQVGDEIYDATMNQTNVGNNNNKFYIIQALESDAGGSFMVYNRWGRVGVRGQQKLHGPFSTQDQAIYEFEGKFEDKTSNAWSNRKNFKCYAKKYTWLEMDYGKVDKETTQIQKKGSVSDQIKETKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREYVIDTPQKLKAKLEMVEALGEIEIATKLLEDGSSDQDDPLYARYKQLRCDFTPLEVHSEEYSMIKTYLTNTHGKTHSGYSIDVLQMFKVSRHGETERFQKFANAGNRMLLWHGSRLTNWTGIFSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCFASDTSRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQMAPNIAESKIIDDGVVVPLGKPKEEPSKRGSLLYNEYIVYNVDQIRMRYVLHVSFNFKRY* >Brasy1G341800.2.p pacid=40053455 transcript=Brasy1G341800.2 locus=Brasy1G341800 ID=Brasy1G341800.2.v1.1 annot-version=v1.1 MSAKLRVDELRAELQRRGLDDSGTKPALVRRLDAAIHKEEKETACAAAAANASNVDGVDGVVLDGKGGDQNERKRKRRGEEENDTSWDAAKLEGMSYRELQALAKAHKLNANGSKKDVIQRLLSTPVEAADHDAQDKKELAEGVDGKVEKEMKKGKMAADVTLDAAQLAGMGYRELQALAKARGLAANGIKKDVIERLLSTPTNSAAVADGGVQDIKKVAQGVVRKVEEEVKREKMVIATKKGAAVLDEHIPDHIKMTHHVLQVGDEIYDATMNQTNVGNNNNKFYIIQALESDAGGSFMVYNRWGRVGVRGQQKLHGPFSTQDQAIYEFEGKFEDKTSNAWSNRKNFKCYAKKYTWLEMDYGKVDKETTQIQKKGSVSDQIKETKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREYVIDTPQKLKAKLEMVEALGEIEIATKLLEDGSSDQIKTYLTNTHGKTHSGYSIDVLQMFKVSRHGETERFQKFANAGNRMLLWHGSRLTNWTGIFSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCFASDTSRSGVLLLCEVALGEMNELLNADYDANNLPKGKLSTKGVGQMAPNIAESKIIDDGVVVPLGKPKEEPSKRGSLLYNEYIVYNVDQIRMRYVLHVSFNFKRY* >Brasy1G341800.3.p pacid=40053456 transcript=Brasy1G341800.3 locus=Brasy1G341800 ID=Brasy1G341800.3.v1.1 annot-version=v1.1 MSAKLRVDELRAELQRRGLDDSGTKPALVRRLDAAIHKEEKETACAAAAANASNVDGVDGVVLDGKGGDQNERKRKRRGEEENDTSWDAAKLEGMSYRELQALAKAHKLNANGSKKDVIQRLLSTPVEAADHDAQDKKELAEGVDGKVEKEMKKGKMAADVTLDAAQLAGMGYRELQALAKARGLAANGIKKDVIERLLSTPTNSAAVADGGVQDIKKVAQGVVRKVEEEVKREKMVIATKKGAAVLDEHIPDHIKMTHHVLQVGDEIYDATMNQTNVGNNNNKFYIIQALESDAGGSFMVYNRWGRVGVRGQQKLHGPFSTQDQAIYEFEGKFEDKTSNAWSNRKNFKCYAKKYTWLEMDYGKVDKETTQIQKKGSVSDQIKETKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREYVIDTPQKLKAKLEMVEALGEIEIATKLLEDGSSDQCRMIRCTLDTSNFVVTLHLWKFIQKNTLW* >Brasy1G341800.4.p pacid=40053457 transcript=Brasy1G341800.4 locus=Brasy1G341800 ID=Brasy1G341800.4.v1.1 annot-version=v1.1 MSAKLRVDELRAELQRRGLDDSGTKPALVRRLDAAIHKEEKETACAAAAANASNVDGVDGVVLDGKGGDQNERKRKRRGEEENDTSWDAAKLEGMSYRELQALAKAHKLNANGSKKDVIQRLLSTPVEAADHDAQDKKELAEGVDGKVEKEMKKGKMAADVTLDAAQLAGMGYRELQALAKARGLAANGIKKDVIERLLSTPTNSAAVADGGVQDIKKVAQGVVRKVEEEVKREKMVIATKKGAAVLDEHIPDHIKMTHHVLQVGDEIYDATMNQTNVGNNNNKFYIIQALESDAGGSFMVYNRWGRVGVRGQQKLHGPFSTQDQAIYEFEGKFEDKTSNAWSNRKNFKCYAKKYTWLEMDYGKVDKETTQIQKKGSVSDQIKETKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGLKPLVRLRLRLSFWRMVPVTSVG* >Brasy1G474800.1.p pacid=40053458 transcript=Brasy1G474800.1 locus=Brasy1G474800 ID=Brasy1G474800.1.v1.1 annot-version=v1.1 MEAPPTSHVTTAFSDCDSASMELEDDAAVDAADVEALRRLSENLASAFRSPDRFAFLADARIVAAPGAPELRVHRCVLSARSPFLRAFFARRAAAGAEGGDRVELRELLGDEVEVGHEALVLVLEYLYSGRVREPPKSAFFCVDEDGCAHVGCRPAVSFMGQVLFAASVFQVAELANLFQRHLLDVLDKVEVDNLPLILSVASLCSKSCMKLLERCLEIVVQSNLDMITLEKTVPQDVMKQIIDSRLNLGLVSPEDKGFPNKHVRRIHRALDSDDVELVRMLLKEGQTNLDDAFALHYAVEHCDSKITTELLDIALADVNHRNPRGYTVLHIAARRRDPKIVVSLLTKGARPSDVTADGRKAVQISKRLTKHGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGDCLRGKLLYLENRVALARILFPIEARVAMDIAQVDGTLEFTLGSSANQLPEIPRATVDLNETPFKMKDEHLARMRALSKTVELGKRFFPRCSKVLDTIMDDETEATELASLGRDNTSTERKRRFHDLQDVLQKAFSEDKEEHARSALSSCSSSATSIGGVRPRR* >Brasy1G356500.1.p pacid=40053459 transcript=Brasy1G356500.1 locus=Brasy1G356500 ID=Brasy1G356500.1.v1.1 annot-version=v1.1 MAMAARAVLLSRLSPLPAAASRFVLLRPLAAAATLLPAAAASTLPAAAARGAAVRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDASNPEITRDEIIDSYIKTLAQIVGGEEEAKKKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFVNGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENQQNFQNRDAPPGGFNSPPGPPPPPGSFNSPPPPPGGFNSPPPPPGQGFNSPPPPPGQGFNSPPPPPGQGFNSPPPPPPPHTAGGPPHYQPHMQNPQAAYTPGGPPRMPNPQAGYTQGSQPGYAPGGAQNYQQGGAPGYQGGPSGYQGNQGGPPGYQGSGNQGGPPGYQGSGNQGGPPGYQGGPGPAHPGNNPGYQGGNTPPHESHGGRFYGNAPDRYNQQ* >Brasy1G032700.1.p pacid=40053460 transcript=Brasy1G032700.1 locus=Brasy1G032700 ID=Brasy1G032700.1.v1.1 annot-version=v1.1 MAPSVPKKGKVHLPENEEVAAVLREKHLSMTNQLGELTEHQNRTLSTAYRNVCSAKDPIRTLDDLRRIKGVGEWVIHIMKDSFPESSLDLSSPKSNTSGEKGKKRKRTKPYVPQKNSAAYAIVITLYREMIRGKAVMLKKELIDAAEASGLSQFAIGANNYKAKPGSSQKDFYTGWSCMKTLLSNEVVHKWSNPAKYKLTDKGKETARDCLTRSGLDDPAGPPKTSSDSDSDEPYKGNNPLIASEHSTQRSGLPKLKALSPSSLNANKERATNFGKPASSCSNSPFSSRGVSGQQLDSLLAMPPRRSDENFLEAYEVVLILDDREKLGPHDKRKVAANISSQFHLSVETKHLPVGDCIWIARHRGFGTEYVLDFILERKNVEDLIGSIRNNRYRDQKLRLKKCGLRKLIYLVEGDPNTVDASESIKTACFTTEILDGFKVQRTIGYSDTERRFGYLTRSIIDYYSTNFSTGADASRLCLTYEEFVKRCSDNERVTLSDIFACQLMQVPQVTEEAALAVINLYPTLHSLAQAYSMLDGNTRAQEEMLKNKSSMVNAGASRNIFQLVWA* >Brasy1G198100.1.p pacid=40053461 transcript=Brasy1G198100.1 locus=Brasy1G198100 ID=Brasy1G198100.1.v1.1 annot-version=v1.1 MAAALLLRGLRSSASRARPTFPSPGSTPPPFTSSLLHRLYSSAAASAATSPAFALGGVTDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVPWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLKFTKCPPESAKNMSPLLDSIVQHVRPPKADLEAPFQMLVSMMERDFYLGRILTGRVTSGVIRVGDKVHGLRGTDEGVQKIEDGKVVKVMKKKGTNMVTVEAAGAGDIISMAGLSAPAIGHTVANPEVLTALPTVELDPPTISMTFGVNDSPLAGRDGTHVTGAKIGNRLMGEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKIERGERLEPIEEVTVEVDEEHVGFVLETLTHRKGEVLDMGPVPGAIGRTRVFMTCPSRGLVGVKGIFNSFTRGTGFMHRAFQSYAKYRGQLGTVRKGVLVSVGKGSITSHALMSLEARGTLFVSPGMETYEGMIVGEHSRDSDLEINPVRTKELTNIRAPGKDENIRLSPPRLMSLEEAIGYVAADELIEVTPKVIRLRKKYLDANKRKMMKNKIVD* >Brasy1G321200.1.p pacid=40053462 transcript=Brasy1G321200.1 locus=Brasy1G321200 ID=Brasy1G321200.1.v1.1 annot-version=v1.1 MEYAAAGSGGYYYYQPSHPHSQPQPQTLRRRPRPAARWVKQWIPQDLASPGAKCALFKWVREDVYRNLKENPNGPEPEAQVRKPEPATEILFLCSYENCGKTFVDVAALRKHAHVHGERQYICPEPGCGKKFVDSSKLKRHNLTHTGQKDFICPHPGCGKAFSLDFNLRAHLKTHALENYHVCPFPACGKRFTSDYKLKCHVKAHEKTGSPVAVQHTPPAEKPQNTIKPSTQAAPKPSPPVPATFSADRPYVCPYEGCGKAYIHGYKLNLHFKTQHPEHSQEESGRLAAPPGENAANQYSYAEVGEHHAPNPKRSKTNPAHKAVPPSKPYNVKISSRMSADTSGAKNQWPGKGMYDDDSEETEEDHGGNNKNNVEEGWRYGNQNADDEETEEDES* >Brasy1G045100.1.p pacid=40053463 transcript=Brasy1G045100.1 locus=Brasy1G045100 ID=Brasy1G045100.1.v1.1 annot-version=v1.1 MFLRRLSTSAAAALRRRRRGANDDGVLAAVRAEIAHELSSSSSPPSVHSQDEIPGFATVSDAPRAQDLLLRRRHRDGGAAEEVLVSALLAPLRFDGDEPLPRDALMKVFVSKPGVTPLLRFDCHAAAAGDGDAAAGYDITAFSYHEFPGDDGERKYEGPDFGGSSRAGEPLASSWSTTLDSMFSQEIQIRVLRLVAGFLPASSLFRPCCILVRTRA* >Brasy1G045100.2.p pacid=40053464 transcript=Brasy1G045100.2 locus=Brasy1G045100 ID=Brasy1G045100.2.v1.1 annot-version=v1.1 MFLRRLSTSAAAALRRRRRGANDDGVLAAVRAEIAHELSSSSSPPSVHSQDEIPGFATVSDAPRAQDLLLRRRHRDGGAAEEVLVSALLAPLRFDGDEPLPRDALMKVFVSKPGVTPLLRFDCHAAAAGDGDAAAGYDITAFSYHEFPGDDGERKYEGPDFGL* >Brasy1G221700.1.p pacid=40053465 transcript=Brasy1G221700.1 locus=Brasy1G221700 ID=Brasy1G221700.1.v1.1 annot-version=v1.1 MEPAADKAAASAAASDDAIQEESPGPVQNGKPGSETAPEVEVQLFRRGRGPVAVFRSPLGGWTQDQLEVGDILEKHGLKCVFAFDPASSARGVAIRFNSRNGRSLLPYAPDSTIFLDGEPKDSLLKPITKVMITVAAMIVVAAVLLKEVKMPEWVKTSKLGNLNFPPWVLACMVIVFMRLRKRTKYVMKKIGLSS* >Brasy1G221700.2.p pacid=40053466 transcript=Brasy1G221700.2 locus=Brasy1G221700 ID=Brasy1G221700.2.v1.1 annot-version=v1.1 MEPAADKAAASAAASDDAIQEESPGPVQNGKPGSETAPEVEVQLFRRGRGPVAVFRSPLGGWTQDQLEVGDILEKHGLKCVFAFDPASSARGVAIRFNSRNGRSLLPYAPDSTIFLDGEPKDSLLKPITKVMITVAAMIVVAAVLLKEVKMPEWVKTSKLGNLNFPPWVLACMVIVFMRLRKRTKYVMKKIGLSS* >Brasy1G456600.1.p pacid=40053467 transcript=Brasy1G456600.1 locus=Brasy1G456600 ID=Brasy1G456600.1.v1.1 annot-version=v1.1 MKSSLPDEEEMAAMVPAVERQSSPTRTTTTKSWWKLGSGVGVIIGSLVFLALLFGTKWIHLDAAYYYSFLPATGSSSSFSRRGRAPHRAHSPLVPIPFTCGNNNDTSSSPASLCRRRRAPSPSPSSSSPQEAAARRWRFDPSVAPPPWCPEYFRHIHTDLDPWRSTGITRDTLERATPHAEFRLTVVSGRLYVRNYRPSYQTRDVFTQWGVLQLLARFPGRVPDVDIMFSAGDVAQVLAANYNTTHPPPPLFRYCKEEKLEAAIVFPDWSFWGWPELSIRPWAPLMEDLVRQNKALPWRNRQPYAFWKGNPEVSDVRRDLFKCNNDSAAGKEWNARLFWQDWNAANRNGFRDSNLAKQCDYRYKIYVQGHAWSVSEKYILACDSPMLAVGTTYVDFFSRGLVAGQHYWPIDPARKCPAVRFAVDWGNEHAGQAMRMGEEGSRFAREEMSMDYVYEYMFHVVTEYAALLRYRPAVPEKAVEVCVESLACGRRGREKEFLMESREEYEARHEPCTLPPPFTDEEATEMAARDQAVRTKLVKMEGLAAKGE* >Brasy1G387300.1.p pacid=40053468 transcript=Brasy1G387300.1 locus=Brasy1G387300 ID=Brasy1G387300.1.v1.1 annot-version=v1.1 MHDTHFLEHDDTDDDRAVGKKFDAGFSGTTKQFKNTFGVTYWKIYLQIVDMHQETTICNSREMCLHMVHQESTRGFIIEDIRTIKEGFDLSSRAHPLRSGLNLNSWRGCRFPFHQSSYHCILYCFLVVLRRCSTISAKPFSLKTCLLSPSIKDQKMSCWTRFVSDWDHKAKNDKALVQELVGVRKSSISPTYPSAMISDRAWISGYFN* >Brasy1G270500.1.p pacid=40053469 transcript=Brasy1G270500.1 locus=Brasy1G270500 ID=Brasy1G270500.1.v1.1 annot-version=v1.1 MARYGPARMRACGPWGEGGGGGGGDVGDALEREMSRDGSHYSLSSGILPSLGARSNRRVKLRRFIISPYDRRYRLWETFLIVLVVYSAWVSPFEFGFIRIPTGGLAATDNVVNAFFAVDIILTFFVAYLNRLTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEIARKMLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLIADRYPHPKDTWIGNTMPDFHYQGLWIRYVTSIYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPTRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSIAQYLFLTLVQKVYLFDGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVEFVELQNGVEQVVGVAKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRMNRTTFLSIVQSNVGDGTIIMNNLIQLLKDQKDNSVMVGVLKEIENMLARGRLDLPITLCFAVTRGDDLLLQQLLKRGLDPNESDNDGRTALHIAASKGNEQCIRLLLDYGADPNARDPEGKVPLWEALYAKHDAVVQLLLEGGADLSLGDTGLYACIAVEENNTQLLEEILRHDGDVNRPAKDGNTPLHRAVCDGNVQMVELLLEHGADIDKQDSNGWTPRALADQQGHEEIQLLFKQRKAPRKYTSNGRVTPMLIGRFSSDPSMPNVIREDSELPRKVLTQKLLKRKVSFQNSLFGVISSTHPHRDTDHILSRGLAATGSPNYHQARQNSLIRVTISCPEKGNTAGKLVILPQSMKGLLELGAKKFDFTPTKVLTTEGAEIDEVELIRDGDHIVLASDDWVSDVAQIRCKNT* >Brasy1G270500.4.p pacid=40053470 transcript=Brasy1G270500.4 locus=Brasy1G270500 ID=Brasy1G270500.4.v1.1 annot-version=v1.1 MLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLIADRYPHPKDTWIGNTMPDFHYQGLWIRYVTSIYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPTRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSIAQYLFLTLVQKVYLFDGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVEFVELQNGVEQVVGVAKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRMNRTTFLSIVQSNVGDGTIIMNNLIQLLKDQKDNSVMVGVLKEIENMLARGRLDLPITLCFAVTRGDDLLLQQLLKRGLDPNESDNDGRTALHIAASKGNEQCIRLLLDYGADPNARDPEGKVPLWEALYAKHDAVVQLLLEGGADLSLGDTGLYACIAVEENNTQLLEEILRHDGDVNRPAKDGNTPLHRAVCDGNVQMVELLLEHGADIDKQDSNGWTPRALADQQGHEEIQLLFKQRKAPRKYTSNGRVTPMLIGRFSSDPSMPNVIREDSELPRKVLTQKLLKRKVSFQNSLFGVISSTHPHRDTDHILSRGLAATGSPNYHQARQNSLIRVTISCPEKGNTAGKLVILPQSMKGLLELGAKKFDFTPTKVLTTEGAEIDEVELIRDGDHIVLASDDWVSDVAQIRCKNT* >Brasy1G270500.2.p pacid=40053471 transcript=Brasy1G270500.2 locus=Brasy1G270500 ID=Brasy1G270500.2.v1.1 annot-version=v1.1 MARYGPARMRACGPWGEGGGGGGGDVGDALEREMSRDGSHYSLSSGILPSLGARSNRRVKLRRFIISPYDRRYRLWETFLIVLVVYSAWVSPFEFGFIRIPTGGLAATDNVVNAFFAVDIILTFFVAYLNRLTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEIARKMLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLIADRYPHPKDTWIGNTMPDFHYQGLWIRYVTSIYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPTRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSIAQYLFLTLVQKVYLFDGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVEFVELQNGVEQVVGVAKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRMNRTTFLSIVQSNVGDGTIIMNNLIQLLKDQKDNSVMVGVLKEIENMLARGRLDLPITLCFAVTRGDDLLLQQLLKRGLDPNESDNDGRTALIRKERFRYGRLCMQSMMQLCSCYSRVVQIYHWAIQDYMLALQLKKTTHSYSRKYSVMTAM* >Brasy1G270500.3.p pacid=40053472 transcript=Brasy1G270500.3 locus=Brasy1G270500 ID=Brasy1G270500.3.v1.1 annot-version=v1.1 MARYGPARMRACGPWGEGGGGGGGDVGDALEREMSRDGSHYSLSSGILPSLGARSNRRVKLRRFIISPYDRRYRLWETFLIVLVVYSAWVSPFEFGFIRIPTGGLAATDNVVNAFFAVDIILTFFVAYLNRLTYLLEDDPKRIAWRYTTSWFVLDVASTIPSEIARKMLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLIADRYPHPKDTWIGNTMPDFHYQGLWIRYVTSIYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPTRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSIAQYLFLTLVQKVYLFDGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVEFVELQNGVEQVVGVAKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRMNRTTFLSIVQSNVGDGTIIMNNLIQLLKDQKDNSVMVGVLKEIENMLARGRLDLPITLCFAVTRGDDLLLQQLLKRGLDPNESDNDGRTALVTYSCFQRK* >Brasy1G270500.5.p pacid=40053473 transcript=Brasy1G270500.5 locus=Brasy1G270500 ID=Brasy1G270500.5.v1.1 annot-version=v1.1 MLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLIADRYPHPKDTWIGNTMPDFHYQGLWIRYVTSIYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPTRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSIAQYLFLTLVQKVYLFDGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVEFVELQNGVEQVVGVAKSGDVVGEIGVLCYRPQLFTVRTRSLCQLLRMNRTTFLSIVQSNVGDGTIIMNNLIQLLKDQKDNSVMVGVLKEIENMLARGRLDLPITLCFAVTRGDDLLLQQLLKRGLDPNESDNDGRTALIRKERFRYGRLCMQSMMQLCSCYSRVVQIYHWAIQDYMLALQLKKTTHSYSRKYSVMTAM* >Brasy1G420300.1.p pacid=40053474 transcript=Brasy1G420300.1 locus=Brasy1G420300 ID=Brasy1G420300.1.v1.1 annot-version=v1.1 MLKALNPTPIRLRPACRAPSPGCARAARRRAAGGTRSRAIPQQPPVRRPSGDRCVPWRGAGPAGPAAAPASPVAAPAPGAGAGAGARGELEAFLEVVPARMRRDLALHPEVRELVEVVMDLGRRPLARFPSGDWVISDQPVTADDLRQAVSKVGNFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMVSKTECRVHHKLETTVDAILAGKPPKFEARVMDSKATEPGSSFVTPEIEYEMEPFPSYQEHLVTRTITSEDQFVDDFGSTRQTKSKSVPSDNNLDGHSGYTNKTKGKTYAAERPPVRVYTYQVSESDILQVASVMGFDDELEITDDIGAANVILASRSEMKQNPWIRNVAKYHKLPIFVVKTNTMAQIVKAVKMIVGRDKLNAPSRKQPKVLEGEIEIDDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDPNSRLQILPVKITKKSSSQGTQGQTSTNKSASDLIVNENGGGFSFSRLPFLPK* >Brasy1G169500.1.p pacid=40053475 transcript=Brasy1G169500.1 locus=Brasy1G169500 ID=Brasy1G169500.1.v1.1 annot-version=v1.1 MERQNDGSEGGGKIKIGVCVMEKKVSCSPMEQILERLHAFGEFEIIIFGDKVILEDPVESWPLCDCLIAFYSAGYPLEKAEKYAVLRRPFLVNELDPQYLFHDRSKVYEHLKLFGVPVPTYAVVRREHPNQELNYFAEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSYAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIAWNVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPANLPWKINEPVQSSDAAGGRERRTVGIPAQSEELRCVIAVIRHGDRTPKQKVKLKVTQEKLLELMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVCIPKSSGQGEEEFPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTAEINEAKAQLHDIIISSKVANGNEPVEFPWMVDGAGVSTNAAQLLSDLAQLTKEITAQVKLLSDDENEEVATDGDSPNHPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKRRFDTTQIPDLYDSCKYDLLHNSHLNLKGLNDLFKISQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREITTVAAESSTCHDPTIISSTKRKERSYYDGVRKEDFEISSTDEKSIDIESHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICKNALDHLFRTKELDYMSYIVLRMFENTEMPLEDPKRFRIEMTFSRGADISCLESAHEKDPFLLDDHTIQLMEPEKLQEVGSYLTLDKFDTMIRPFAMPAEDFPPATPSQCLAVRFCEDIELQGARLQHSQAC* >Brasy1G169500.2.p pacid=40053476 transcript=Brasy1G169500.2 locus=Brasy1G169500 ID=Brasy1G169500.2.v1.1 annot-version=v1.1 MFFLLQIVVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIAWNVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPANLPWKINEPVQSSDAAGGRERRTVGIPAQSEELRCVIAVIRHGDRTPKQKVKLKVTQEKLLELMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVCIPKSSGQGEEEFPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTAEINEAKAQLHDIIISSKVANGNEPVEFPWMVDGAGVSTNAAQLLSDLAQLTKEITAQVKLLSDDENEEVATDGDSPNHPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKRRFDTTQIPDLYDSCKYDLLHNSHLNLKGLNDLFKISQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREITTVAAESSTCHDPTIISSTKRKERSYYDGVRKEDFEISSTDEKSIDIESHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICKNALDHLFRTKELDYMSYIVLRMFENTEMPLEDPKRFRIEMTFSRGADISCLESAHEKDPFLLDDHTIQLMEPEKLQEVGSYLTLDKFDTMIRPFAMPAEDFPPATPSQCLAVRFCEDIELQGARLQHSQAC* >Brasy1G169500.3.p pacid=40053477 transcript=Brasy1G169500.3 locus=Brasy1G169500 ID=Brasy1G169500.3.v1.1 annot-version=v1.1 MRNSDGKEVRYPVLLTPTEKQIAWNVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPANLPWKINEPVQSSDAAGGRERRTVGIPAQSEELRCVIAVIRHGDRTPKQKVKLKVTQEKLLELMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVCIPKSSGQGEEEFPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTAEINEAKAQLHDIIISSKVANGNEPVEFPWMVDGAGVSTNAAQLLSDLAQLTKEITAQVKLLSDDENEEVATDGDSPNHPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKRRFDTTQIPDLYDSCKYDLLHNSHLNLKGLNDLFKISQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREITTVAAESSTCHDPTIISSTKRKERSYYDGVRKEDFEISSTDEKSIDIESHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICKNALDHLFRTKELDYMSYIVLRMFENTEMPLEDPKRFRIEMTFSRGADISCLESAHEKDPFLLDDHTIQLMEPEKLQEVGSYLTLDKFDTMIRPFAMPAEDFPPATPSQCLAVRFCEDIELQGARLQHSQAC* >Brasy1G169500.4.p pacid=40053478 transcript=Brasy1G169500.4 locus=Brasy1G169500 ID=Brasy1G169500.4.v1.1 annot-version=v1.1 MNFRSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVCIPKSSGQGEEEFPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTAEINEAKAQLHDIIISSKVANGNEPVEFPWMVDGAGVSTNAAQLLSDLAQLTKEITAQVKLLSDDENEEVATDGDSPNHPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKRRFDTTQIPDLYDSCKYDLLHNSHLNLKGLNDLFKISQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREITTVAAESSTCHDPTIISSTKRKERSYYDGVRKEDFEISSTDEKSIDIESHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICKNALDHLFRTKELDYMSYIVLRMFENTEMPLEDPKRFRIEMTFSRGADISCLESAHEKDPFLLDDHTIQLMEPEKLQEVGSYLTLDKFDTMIRPFAMPAEDFPPATPSQCLAVRFCEDIELQGARLQHSQAC* >Brasy1G208500.1.p pacid=40053479 transcript=Brasy1G208500.1 locus=Brasy1G208500 ID=Brasy1G208500.1.v1.1 annot-version=v1.1 MEEDFSYYVIYAWVENPDEIAKEVTLDIPEPPEHGLPSPNAMPEGFIDTFEDELDSVDPSPPAFLSHNVIIHLDSLLALPPPRGGSTRRGRRNDAMEAYHFIWARGRFDGSAEIVEEAAPSVFMRLGCSGAQGCQRDVLARLPSAGATLEPEVVAPLLVAPQAAELPVPQDDLPVVEACSFPNAAPPRPQAALAVEPSLQPLPSLPAFGDTAAAPLLSDLTLLPSLVVDAYPSLPSLPAPEVAPSADVAALPVGAATPAISPSLAEFLLRVSEAVMPGLLKLACTPQVKAKKATKCSPKPVRRLAGKCQSTPENIMSKAKRLICKKLGVVFEEAASDDAAMLARYAASFEKPLSEAQITALTALAQRGAGKGKKVVV* >Brasy1G241400.1.p pacid=40053480 transcript=Brasy1G241400.1 locus=Brasy1G241400 ID=Brasy1G241400.1.v1.1 annot-version=v1.1 MAPDHGSKPDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGGLKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYAGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTVDCFVQTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVRKPGN* >Brasy1G241400.2.p pacid=40053481 transcript=Brasy1G241400.2 locus=Brasy1G241400 ID=Brasy1G241400.2.v1.1 annot-version=v1.1 MAPDHGSKPDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGGLKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYAGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTVDCFVQTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVRKPGN* >Brasy1G241400.3.p pacid=40053482 transcript=Brasy1G241400.3 locus=Brasy1G241400 ID=Brasy1G241400.3.v1.1 annot-version=v1.1 MAPDHGSKPDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGGLKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYAGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVNLVTQRRRQPHY* >Brasy1G241400.5.p pacid=40053483 transcript=Brasy1G241400.5 locus=Brasy1G241400 ID=Brasy1G241400.5.v1.1 annot-version=v1.1 MAPDHGSKPDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGGLKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYAGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVNLVTQRRRQPHY* >Brasy1G241400.4.p pacid=40053484 transcript=Brasy1G241400.4 locus=Brasy1G241400 ID=Brasy1G241400.4.v1.1 annot-version=v1.1 MAPDHGSKPDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGGLKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYAGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVNLVTQRRRQPHY* >Brasy1G564400.1.p pacid=40053485 transcript=Brasy1G564400.1 locus=Brasy1G564400 ID=Brasy1G564400.1.v1.1 annot-version=v1.1 MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPHFIQLFERNRILAQSAVTMRAAGMEETAAAVERQLEAELSATSLEDSLCLARAFSHYLNLMGIAETYHRVRKSRSEVHLSKSCDDIFDKLIQGGAPPEELYKTVCKQGVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNERPDLSQEDRDTLIEDLAREITALWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPQYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDSLSFELSIKRCSEKLASLAKEILLKEPASEDKKASTWTQTGPQNSVKHQHNLPLPAQLPSGADLPSCTECNDCEAQIRMVKLPWNPKRQGIQIPTEKSDESPLHSPSRHSVSGSSQIGRTPSGGQLRKMMFAESKIGRSSFRKLLEPSLSDRPGITPYRVVLGNVKEKMAKTRRRLELLLEDLPCDYDPAEYCETADQLLEPLILCYESLQSCGSSILADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDAVTSYLDLGVYSEWDEEKKLEFLTRELKGKRPLVPPYIQVAADVQEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLAIDWYREHIIKNHNGHLEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIEVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMRPPHPPRDPSWRNVMEEISRVSCAHYRRTVYEDPDFITYFQEATPQAELGFLNIGSRPAKRKSSTPLGIENLRAIPWVFAWTQTRMVLPAWLGVGAGLQDARDRGLTQELKDMYNEWPFFQSTVDLIEMVVAKADPHMAKHYDDALVAAPERRALGEELRRMLARTESCVLAVSGHSKLSANNRSLRRLIESRLPYLNPINMLQVEVLRRLRRDDDNRKLRDALLITINGIAAGMRNTG* >Brasy1G398200.1.p pacid=40053486 transcript=Brasy1G398200.1 locus=Brasy1G398200 ID=Brasy1G398200.1.v1.1 annot-version=v1.1 MAGRSQRRMICHKKRKTRAKTMKKIVKKESTPAPQGSYDEFCPYNYMHGVFGLRTCRERCQPGMHPQLLASASGSHHHLRRVVRVTNVPLSVIRGKSELRGLFRQFGPLARSNLTTLSLDDSVGFGWVAFESREDAEEAIDKLNAHLVGDRKIRVDWLYPHT* >Brasy1G258700.1.p pacid=40053487 transcript=Brasy1G258700.1 locus=Brasy1G258700 ID=Brasy1G258700.1.v1.1 annot-version=v1.1 MSSADRAKNLPLPTKDAPCCYAGLKRPHALTLAVYDPAAAEQRGNAASLSANAGALVPFLAPPPVIIEAVPLSAAAAPSKAAGEPAEPWWLRKHLFPRLRLRFDLPVHFIGEKPVTATDLDSRQSRFRLPIPGVMRNLCPILSDEELEAASIPREEAPAPRPPPTEEELQGRRKRMGKKHGGLPVLVVNLNAGIQELQLTRWESSGVIVIKGEGYRKYITQCSFKAEDEDVVEIWAFRDRNFHYFGVDLCQETPLYVLLTKKERQPAA* >Brasy1G444200.1.p pacid=40053488 transcript=Brasy1G444200.1 locus=Brasy1G444200 ID=Brasy1G444200.1.v1.1 annot-version=v1.1 MPRGKVNKDQPRHRLQKELAAASPQPKGLCTVIPRAPAWNAQTCYMPRAHTATPMKIARRCRAQRQAANQRLPPIGRRTNRTELTLLATASSTPATALPTHASRRPPKQQAQPKLVPFVLMTARTQLKHMDLDHRPWTAARPEHRRITKQRASAPHRRAGHRHQQAVARCHQGRQQPANRGPPSPHPRHHQLAANIREAPRSRVEGQRAPAAPKSWARRARDLRWKVGEEEEVARRPAATHRQEAPPPSNAAADRKGRGRGRGPAAEQRRDEGAGRPATAARRARREAAPRAWAVGVAP* >Brasy1G072800.1.p pacid=40053489 transcript=Brasy1G072800.1 locus=Brasy1G072800 ID=Brasy1G072800.1.v1.1 annot-version=v1.1 MGVWREGAGWCFCSGGGGGRSERVKAAIFSAKAAALAAVVGTGGHGTGLLIHRNLLLTTHGNLPSAAAAEDADALLGHARLPARLVPHRFFITSSILDLTIVGVEPTENESTLQGQQPHYLKTCCKPSLDHGSAVYLLGHTGKNELVIGEGKVVIGTDNLIKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLAPSSPTARSSSASSSSSHSSKKDQPMQFGIPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFNRRHVFKPADDENDDASVSSSQVTSKPKYQQVSGSPANARISHDANPLVDLRTNNEQGISTPEIYESPRGSSCQGQKDPAPIQLLDINFLPTAPKTIFLPLPLKQMLSDENNAETSKPRNQSRENCFPSGFIWHRNCEADSRDPPVAPLQEDCSSEGQSSSSPAEQLGYRNQDQFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVNYSRWSSPRKPSMQNGTLRKQHTLIPVRKTHSQSTSLPQRSHDYLSPTVSSAMKKRNSTEQQQPPKPRRIIVQSSPKWMF* >Brasy1G185900.1.p pacid=40053490 transcript=Brasy1G185900.1 locus=Brasy1G185900 ID=Brasy1G185900.1.v1.1 annot-version=v1.1 MASSSSASEAGGSGAKIVAADETLLASGNADGSQHQVHDTDTKSRVEDVWKKMNSGLPAKMPKPAMNKLNTAAKEKKSKPTNNWMTVLGLSTSKASIQDQVTKNGQQQTQHETSEDAKTLAANALAAVRDAAAAASGRGKVEITEVRDFAGKDIEIKKLVDADSKEAIEKAKAAGASPSAVDNILEQIRKKQKLSVLDKTKKDWGEFKVENKGVEEELGAYKKSSNQYLDRQSFLQRADYREFERERDARLLMMAKRKSENMQDDDV* >Brasy1G145100.1.p pacid=40053491 transcript=Brasy1G145100.1 locus=Brasy1G145100 ID=Brasy1G145100.1.v1.1 annot-version=v1.1 MGSRKMASQPGRGGGDAGTSQRGQMQNLARQGSLYSLTLDEVQNHLGEPLQSMNLDELLRTIFPDETDPDGATTSKYEPSSGLLRQGSITMPSELSKKTVDEVWKGIQDAPRKNVQEGGRRRRERQTTLGEMTLEDFLVKAGVVAEGYLKDLNDVGNGGFFGRGATAAGATELTSGAQWLDPYQQQIAVSAIESHQHMQQIMPGAYMHSPLQLVPAQPLNVVPAAPAILESAYSDGHNTSPMVSPTSDSQTHGRKRGIPGDVPNKFVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELDMILCSVALPEPKYQLRRTCSAAF* >Brasy1G174800.1.p pacid=40053492 transcript=Brasy1G174800.1 locus=Brasy1G174800 ID=Brasy1G174800.1.v1.1 annot-version=v1.1 MEAYKIWVRKNRDLVRSLESLANGVTWILPERFANSEIAPEAVYALLGIVSSVNQHIIETPTDVLISKEQSIPWALVVSILKDVEAVVEVAAQHFVGDDHKWGFLAVTEAVKACVRLAAFRESGYRMLLQGGEVENEEEDHVLADNHGVKSNGVPVIYPMNGHSQNGNGIASNGLDGKVGFVSKSLEGRAVAALSKFGQNAKMMSDPMWLSKLQPTPVPPVMEVEKPTLATIWSSRGVPGRLFMLGEAVHIFRPLVYVLLIRKFGIRSWSPWLVSLAVELASLGIHSHATDLNHRAGKVHQLSSAEREELKRRKMMWALYVMRDPFFSNYTKRHLEKAEKVLNPVPLIGFITGKLVELLEGAQSRYTYTSGS* >Brasy1G513500.1.p pacid=40053493 transcript=Brasy1G513500.1 locus=Brasy1G513500 ID=Brasy1G513500.1.v1.1 annot-version=v1.1 MATRARFVHNRRRRRHGDEESSSDDEQQQVESSSSDDDEEEEEEEEGPGQVVADEDEEEEPAPVESPSPAAGRKGPITISLKKVCKVCKKTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKIPMVKPHFLVPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKVDAANDGVLYTASSDGTISCTDLDTGIGSPLLNLNPDGWNGPNTWRMIYGMDLNTEKSLLLVADSFGFIYLLDRRSKTRIGQPILIHKKGSKVTGLHCNPAQPDILLSSGNDHYARIWDTRKLEPNSSLASLAHGRVVNSGYFSPRSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVIGRYISENYNGAALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILATGSSRSIFIWKPKTDIGHTEERTSQKVKEYVYGSGSQKKENGKHVNSSDDDSDGGCDGKKKKAKKTRFTHTAKGKEKGKSKV* >Brasy1G530700.1.p pacid=40053494 transcript=Brasy1G530700.1 locus=Brasy1G530700 ID=Brasy1G530700.1.v1.1 annot-version=v1.1 MHLFHVPAAAIMCSAMLLLTLLSSTRTTASRLINTSSCDPATCGNLRIAYPFWLGGTHPPECGYRAFPGHLRQHQQHSKGFPEELPLDSSFTVTNLQLSEDGACDIELHVNASADLGLAPFGISAANKELFFLYGCTHLQYLPPSRAPVACANDGNGSSPKNTFAWLAGNYKPDDVWKPVQGGNCTVSMAPVLGYEGATGADYQRLMKGGFLLQYAAGGCDACMESGGMCRTNTTYDVLECHCSGGVSELNICGS* >Brasy1G349000.1.p pacid=40053495 transcript=Brasy1G349000.1 locus=Brasy1G349000 ID=Brasy1G349000.1.v1.1 annot-version=v1.1 MEVTRPGSPRPWRAEERAEGRPRSGRRGGSRSSWTGGRRSRWRGGRRGGRRSRRKGGQRSRPEEHAAAKDLEAPSVSRPVPPVLFIFFLAILILAGTLTAVVESKYYY* >Brasy1G296100.1.p pacid=40053496 transcript=Brasy1G296100.1 locus=Brasy1G296100 ID=Brasy1G296100.1.v1.1 annot-version=v1.1 MEPQLLPVEPPASLLSTAAAVLPAPRIPHRSSPLRRRGLRRRPPLRMPPSSQPSAAPSVRRRHPRQPRSAPASSFSAASRGFRLRANLLMSKSGCCQGAAIDALAEAKFWKPIWLKSREGGSAHQNFELTRCHANFGSHFSAPRLPPLLPCPGHREEV* >Brasy1G115600.1.p pacid=40053497 transcript=Brasy1G115600.1 locus=Brasy1G115600 ID=Brasy1G115600.1.v1.1 annot-version=v1.1 MASRLLPGVLLLSLASLAAGACAGGGGIAIYWGQNGNEGTLAQACATGNYKFVNVAFLFTFGKGQTPLLNLAGHCDPASTGSCAFVGADVKACQRMGIKVMLSIGGGVGSYGLSSRDDARQVAAYLWNTYLGGKALPSTPRPLGDAVLDGVDFDIESGGSAHWDDLARLLKAYSRAVVLTAAPQCPFPDASLGTALGTGLFDYVWVQFYNNPPCQYTKAGGVGNLARAWEKWAAIPARQVFLGLPAAPDAAGSGFVEAGDLVSQVLPVVKKSKKYGGIMLWSRFYDGQTGFSDKVKSSV* >Brasy1G077100.1.p pacid=40053498 transcript=Brasy1G077100.1 locus=Brasy1G077100 ID=Brasy1G077100.1.v1.1 annot-version=v1.1 MSSRTQVSWNAMVVVHVNAGDFASARRVFDEMPTRDSSSWSVLIVGYCKRGSMQSARELFDKMPGKNLVTWTAMINGYAQCGRPKESIALFRELEAAGIEPDAATMVGVISSASQIGSTALAGWVGTYVDRKRIERNEKVLTALVDMHAKCGNVEQALNCFREIEQPDAYPYTALISGLATHGHSTLALQVFERMRAQAVRPDPITFVGVLTACSHAGLVDMGLDYWEAMVQDYGMDRRADHYACVVDMLGRAGRLVEAFEMVQTMPMGPHPGALGALLSACKTHDNVEIAEIVANKLFELEPRNTGNYILLSNIYAGKEQWEEAERIRSLMRTKLPFKQPGSTWVEDRQRERGKMSV* >Brasy1G221100.1.p pacid=40053499 transcript=Brasy1G221100.1 locus=Brasy1G221100 ID=Brasy1G221100.1.v1.1 annot-version=v1.1 MKLVSWNCQGLASPAATRAILDVQKQWNPNVFFLSETHLNEAKAKKLMKKLVGMEQMAIYESNGASGGLLLFWKRSVVLQEGGNPRRSGMMEDFRNCLAECGLDDMGFQGDPFTWRRGRIRERLDRAVCNADWAGIFVGTVVVHSDHIKSDHRPLVLKTEDGEGPRLTRVPGAKVFEARWLKEETVEDIVHTAWERAALAPNFAARSESVHADLHAWDRSVIKAPQKRIKELKKELEEVRLGPISDESIFKQKELQVHIENLMEKEEIYWFQRGRRSFGPG* >Brasy1G256300.1.p pacid=40053500 transcript=Brasy1G256300.1 locus=Brasy1G256300 ID=Brasy1G256300.1.v1.1 annot-version=v1.1 MSTPQPAAILDMPTADDSNNGAAAAAARQRQRRRRLLLCANYAALLGGSVASSLLSRYYFAHGGHDRWVATLVQSVGFPILLLPVYACRSSSPEGQPRPFSWFSRRLLMACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAVVIVRHPFTFSNLNAVVLLTLSSVLLALRSSDSAEQRSRADYFVGFAVTLGAAGLFAAYLPVMELLYRRAVSGGSFRMVVEVQVVMQAAATALAVAGMVAAGGWREERARWDRSAAAYWALVAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTLNVVGGVVVFRDPFGAEKAVATVLCVWGFSSYLYGEYSTQQQRQIRTQLLQERDGGKVAAANNGSAAAGEADKDKSVVVTSGGLGVGGGGGGGGAAAVETV* >Brasy1G326800.1.p pacid=40053501 transcript=Brasy1G326800.1 locus=Brasy1G326800 ID=Brasy1G326800.1.v1.1 annot-version=v1.1 MVLWLLLGIFLGSGCWPWSRPCSRTAQAGAAVPLMARACSSSPPLHDRSNLLASGDHLLWGFLDLLNGRKRWMLRERKVLGLAIWGRGVSNVLSSPI* >Brasy1G413600.1.p pacid=40053502 transcript=Brasy1G413600.1 locus=Brasy1G413600 ID=Brasy1G413600.1.v1.1 annot-version=v1.1 MGKAAAAAAVLLMALASVALFVVAADATPSAAGFRRSRFLADFQFQPPTYFDCVKKPPSVCLEPGSPGKTCCKGPGPVGSCTDVASSLLHCGSCNRACKVGEVCCGGKCVDLLSDKKNCGGCSNQCSKKCQYGLCDYAG* >Brasy1G069900.1.p pacid=40053503 transcript=Brasy1G069900.1 locus=Brasy1G069900 ID=Brasy1G069900.1.v1.1 annot-version=v1.1 MDDAAGNCSNEQGSRGPAPGINAAAGDEDGARAFIIQVKEELVRCVLAGMSKEQMFRELRERGLHPAVVFAVYKELRDQNEGFFREHYVKMDLTKQAERLKRLLHHYRTVRDAGAAGATTALGTAAHAMSIPEGVGGLTGAPEHRTVPIGLNGGACLVSSSSGDSRFAAYVQTTRTPALNGQLLLPREQTVFPNGGVHGTVPSSAACATSNRAMAVTYPGPSSNGEQAEPLQQRWAAGASVVRTRDSYWEPPSSVESPPENRDHQNQGAPRHGEQADEPLLEWMPSRQPKIPIECLSEYRNHPVNVSSRLGEQAVSLHQRRPGDTN* >Brasy1G069900.2.p pacid=40053504 transcript=Brasy1G069900.2 locus=Brasy1G069900 ID=Brasy1G069900.2.v1.1 annot-version=v1.1 MSKEQMFRELRERGLHPAVVFAVYKELRDQNEGFFREHYVKMDLTKQAERLKRLLHHYRTVRDAGAAGATTALGTAAHAMSIPEGVGGLTGAPEHRTVPIGLNGGACLVSSSSGDSRFAAYVQTTRTPALNGQLLLPREQTVFPNGGVHGTVPSSAACATSNRAMAVTYPGPSSNGEQAEPLQQRWAAGASVVRTRDSYWEPPSSVESPPENRDHQNQGAPRHGEQADEPLLEWMPSRQPKIPIECLSEYRNHPVNVSSRLGEQAVSLHQRRPGDTN* >Brasy1G333800.1.p pacid=40053505 transcript=Brasy1G333800.1 locus=Brasy1G333800 ID=Brasy1G333800.1.v1.1 annot-version=v1.1 MVAITHPVSPPLLARRLLRRHPSTQTVSFAGIRPLIIPTEISGHFRRRCQVSKSSEPPTPVSDPGTPDDDESLRVAFVCGGAGGHVYAAFALADELRASLPSSRSLFLGSPAPSLEAASAASSSYPFAPIPPCLPRALLAAALHLRRFRPHFLVATGGPPALPTCIAALLLGLPFVIQDQDAGPAPATRLLAPLARRIFLAFNAPVRLLPKRKCAVYGNPVRMSIRDCQVPKAAALARFFPGAGLVGEEGTEVLLVLGGTVGSPQINVAVLNMYYEMLATKKNRYIIWQTGPEDFCEMESLVRGHRRLFLTPFLHEMDITYAATDVVVSRAGSVSCTEILVTGKPAILIPLPTIVDDHQTKNAYIMADVMGAKVITEDELDSSSLTCTIDEVFGNEKLMAEMSQKALYAARPNASADIIRHICSLIGPTNPT* >Brasy1G145800.1.p pacid=40053506 transcript=Brasy1G145800.1 locus=Brasy1G145800 ID=Brasy1G145800.1.v1.1 annot-version=v1.1 MALETKQIVLCVKKVLRSSIRTSYRCVSEHPVLFSLGVLLYLLYRSSPGFFAFLLSSSPVIICTTLLLGILLSYGDMRLPEVNEDTEIIPEISASKGGDSSSDVHFEANQRISVPSFGENTENFKERETKQTVSVRERSTEHTGLDEGIPLLGRVDEPDEKFEHRDIPRTLTPFPSMVNFRHEVGVGEDLHFKQERKYRDSFLITDKADTHTSLFEGFNEKNESFGMFSSSENVNEHAEMEENQAASQVRDISEEKSADGVAGSSKLTYAVSVRQNKKLDDLKIDTNKAVEDNILDSSLGSPWARVGSQDGSSGFDSDQSESSSPDASMTDIAPVLDEIDPLLSADFTRPDSIPKDYSDTDSHVSSQDHQTDDDSNDEDGIDDAKDSSEENKKDGGKEAAFIWTADDEKNLMDLGYSEMERNRRLEILMVRRRSRKNIIFEMESNLIDTNINDSGKSVHDLSRFHAQVPHISVTRRNPFDLPYGPEEAAIPGSAPSILHARKNPFDFLEHSSDSGVSVHDNLSPGESPPTSLRDIFRRHESFNFGTTDATQQERRLSRLKPYFVPETVEQGASNFQRQFSDKSDSKLSSVTESDMASSVADQEDHKDLDEKDVRREDGSPVLLRQDSDLSDAGSECSDGINSVDVELDHSDIDEREIALQHFVFERSQEREEYLASTKGKGHDEDYILESAGDSMMPLHPVTDLLSWENADGESYLGANSCMEPNTSVEFSEWLSSPRPAEEHESRSRDLGLCATNIADGNRDIDSMSYSNNEIPFDNLIHGSTELLSDFEKETLPAISMDLHPIPEEMVVENFNIMQEKHEPAIFTDSATALTDLCVIEEHFDVAAEVSPSPAVVSLCLPETTDSIQSPSVEPEDILSTFFSTASEPDRVGMVDMKEEITSGYLFDSDGDADKIYPEPSEDDGIDESFLSELDVAGDFRVEPMRLDQQVSDLSSHSEKPAAGIAEDSLINTRASGDITTTDASALDSSELSPLADYLSHSDPEFSWSLGTYHDDPEQTVYNPRRRILETMNTELNLACDETQVTEVPSVNTSSEAYLSIGASEQEAGKTEPVAANTDAEMAVDPKSLEDIKTAIKQASGGGVDEPNLDFETSHVSGVDIDTEPIEGSGELHVIDAKSANDICAAFEEHTGVGVNSCLEQNEDKVGCGETAECARYNDLPEGTHVEILDSAGDNREPELMKTADNISCIEAKTVDDIHAVFKELSDGHEKSTVEAIESKDAHERREESEE* >Brasy1G406600.1.p pacid=40053507 transcript=Brasy1G406600.1 locus=Brasy1G406600 ID=Brasy1G406600.1.v1.1 annot-version=v1.1 MSAPHASASASASELELARAQCRALHGSLAASPSLPRHPALRSLLRLVAGELRFITSSTNHPDPSIGRPLSSNLPHLAALHLLLTHPAVRSPSRLSPLPGVDFACAFRSRPAWVLLSARNPTGLRWVPGNGLHSRVAAVLDAARSAPPAIRPEKLVLAFARGVGADIVCGLAEGFGAVEIDLLVEFVGESEVDGWVSVSFHSNEEMRSFRAFEIDVLDGGGEVLSPPPTPEVQVEEEESVEGLEGSFGDFLGMMRMDSMDLLNLDTTALVAIVSGISNGGVGKLMGAPEAETRARFKCNYKFVIDQAQSELQSPIFVELGKAVDGKKCIICETVNSEFKEIVSMCGGPEEKTRANQLLKQLIIVPDSPSQRMMDLPTTRKLAMKNKVVFGTGDRWRAPTLTANMGFVRAVSQAGMPLLTVEHRPRALIGL* >Brasy1G568000.1.p pacid=40053508 transcript=Brasy1G568000.1 locus=Brasy1G568000 ID=Brasy1G568000.1.v1.1 annot-version=v1.1 MHHLIWSYAVAPFHHNEPCTWVSKYVVLASKLYPSLCRTSCQEITFPWLFINSAKKNPFFLAISFRQSRKCLTAKAVRPHGACSNTVMKATGTTRLARTTGVLLLIPETFKSGTHTE* >Brasy1G516000.1.p pacid=40053509 transcript=Brasy1G516000.1 locus=Brasy1G516000 ID=Brasy1G516000.1.v1.1 annot-version=v1.1 MAASSALRLILGSSSASRRQILSGMGYQFTLLSADIDEKEIRKEKPEELVVALAHAKADAILEKMQNSGLMKEIVDSPETALLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKSGTRRGGWDKSEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKDSLQEP* >Brasy1G015800.1.p pacid=40053510 transcript=Brasy1G015800.1 locus=Brasy1G015800 ID=Brasy1G015800.1.v1.1 annot-version=v1.1 MVTVVERVIRHPVHDDGSDVWSDEDDNEGEGEEEANDEDEGGDDDEALNKEGGDDDALDGEGEDDDDRIVEAIEYKDDGDYLLSTLWYFVESHGKLLMVRRQLQWPACGLNCTLQVDVFEANIDTGAWVPVEGGFDGHAIFISRLFCKSVPAYGEEMKEDMILFIDTSEVVNNQSYTITTSRTAFDIYSSTWLFPPKLVV* >Brasy1G261300.1.p pacid=40053511 transcript=Brasy1G261300.1 locus=Brasy1G261300 ID=Brasy1G261300.1.v1.1 annot-version=v1.1 MRPCASASSLGQHTLGTGSSMSVEDHARPFLVSPDGTFSCGFLEAGDNAYYFSVWFTATENRTAVWTANLGDPVNGRLSSISFGHDGKLALADANGTTVWDSKTGGGNGKQLTVSLLDTGNLVIADAGRATAAWVWQSFDWPTDTLLPTQPLSKDKKLVAGYYTLYYDNDNVLRLLYDGPDIASIYWPDRDRGVFGNGRTNYNSSRVGVLDDTGVFLSSDNLRVQASDQGVPGVRRRLTIEQDGNVRIYSLNNNGTTGWTVTWAAVQQPCSVHGLCGKNAVCQYQPSFRCSCLPGHVMADPRDWRKGCEPAFNVTAANCSEAAPERFTFVEVAHTDLYGYDLGYNESVTFGYCRNICLRMCSCAAFSYRLDGSGKCYPKGVLFNGYTSNVFPGTIYLKVPRNTSSLIDASAAAAVPPPSTGLACKSNGSFAPRYPQRTYVMPSNGPNWSYFFAFAAALGVVELLFVAAAWWFLSGMESVPSSLQAGYRMVMACQFRRFSYRELRSATGNFKEELGRGGSGVVYRGVLDRNDTTAVVAVKRLTNNVTQSDEEFWAEVTVLGRINHINLVRIWGFCSERKHRLLVYEHVENESLDRHLFGGMGGRTLAWGERYRVALGAARGLAYLHHECLEWVIHCDVKPENILLTRDLDAKIADFGLAKLSGRDSAGVHLAQMRGTAGYMAPEWALGLPVDAKVDVYSYGIVLLEIVMGSRVSDQRTADGGEPMELWQIAQALRQVVASGDVTSLVDGRLQGQFNPRQAMEMVKISLSCMEERSSNRPAMDDISKALTACDDEDEHPAYLS* >Brasy1G048900.1.p pacid=40053512 transcript=Brasy1G048900.1 locus=Brasy1G048900 ID=Brasy1G048900.1.v1.1 annot-version=v1.1 MQTLILSKCSLETLPEKICSLHKLCYLDLSGNSSLSKLPVSFGKLSKLSFLNLLGCYKLQELPASICELICLRHLDMSECRAIQKLPDEFCSLPKLKFLSLFGFSKLTKLPHNVRLESLEYLNISNCHELQNLPQDFGNLRKLGFLNLSDCNKLATLPKSFCQLIHLKDLDLSDCHELGELPYCFGSLCELESLNLTSCCKLQLLPESFCELFKLRRLTLSYCMRLTKLPSSLGDLKLQFLDISSTNLLDLPDSVSSMTSLTQLEVTSAQAAVFDKAQEIIDHLNLPGSIVHNVHEIEHKGCSSIVELAQVTCRELHVYELQNVRHPEDADRAKLRDKLDLIVLCLHWEQQGKEDRSVLEKLIPPQTLEQFMLHGYMSKDFPNWMSQISSYLPSLTYLRLYDLGTCDTLPPIGRMPNLRSLWMVNIPNIRKIGKEFYGEGGICTKLRSISLRSMENLVEWWTTQSGEENKDFLIPNLHEVELVDCPKLKFLPYPPRCMFWTLENSDEVLPQGGFGKLLSSTLPFEMVITNCNFSSDKWDRLQHLPTIEILQVEFCTGLRALPEAIRCFTSLRNLILRSLKDLELLPEWLGHLSSLEDVSIIDCPGLTFLPESMKNLTALRELWLKECQGLKILPEWIGQLVSLQEFHISGCPNLTFLPESMKNLTALREFWLKECQGLKILPEWIGQLVSLQEFHISGCPNLTSLPESMKNLTALRVL* >Brasy1G328000.1.p pacid=40053513 transcript=Brasy1G328000.1 locus=Brasy1G328000 ID=Brasy1G328000.1.v1.1 annot-version=v1.1 MAASLSQLNDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLSKTTYHRNHGVDRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRIVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSVLLTTTNNHIYVLDAYGGDKQKCGFSLEPSPNITTEAAFTPDGQYVISGSGDGTLHAWNINTINEVARWNSHIGPITALKWAPRRAMFATASTALTFWIPNESNSN* >Brasy1G328000.2.p pacid=40053514 transcript=Brasy1G328000.2 locus=Brasy1G328000 ID=Brasy1G328000.2.v1.1 annot-version=v1.1 MAASLSQLNDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLSKTTYHRNHGVDRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRIVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSVLLTTTNNHIYVLDAYGGDKKCGFSLEPSPNITTEAAFTPDGQYVISGSGDGTLHAWNINTINEVARWNSHIGPITALKWAPRRAMFATASTALTFWIPNESNSN* >Brasy1G099400.1.p pacid=40053515 transcript=Brasy1G099400.1 locus=Brasy1G099400 ID=Brasy1G099400.1.v1.1 annot-version=v1.1 MPIEMPPGLPFAVDTWGLPSRRRRHRFLTHAHRDHLVGAGADPGGGGGGGIVCATRLTMSLALRHFPRLEQGEFVEIEVGKTLVVDDPAGAFSVTAYDANHCPGAVMFLFEGEFGSILHTGDCRLTPDCVQNLPLKYIAKKGRENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILVEVSRTFGSKIYVDMRWHLDCLKALSLTAPEIITDDPSCRFQIVGFHQLYDSASKKLEGARASLQPEPLFIRPSTQWYACARNQKPSLTEAEQDDFGIWHICFSIHSSRDELEQALQLLQPQWVISTTPPCFAMELSYVKKRCFKTRLTADDPLWKIFRDPLQKSVSSPSSALPSGMQTDEDPSTFADDDHPTSDIEEFSDLDVCTLELKFVPSPPVQEPEITLFGRARFGTQAIDIMEEELRHQYIADEETRLCAPTDLVHVNIEGVATYSGVNLIAEQPPASQEDPTEAGDEVPSSQCNAAPMLPEAFEVQPLPTIQLNVSVPDQPEKSETIIDRKSISDIESSSLHVVSSAETTNCQMDLPCVIGSSKSFNPSLKRLYRSRNIPVPRPLPSLVGLLESSKRVKMRLGSDYSSLNSRHSLP* >Brasy1G319800.1.p pacid=40053516 transcript=Brasy1G319800.1 locus=Brasy1G319800 ID=Brasy1G319800.1.v1.1 annot-version=v1.1 MGNIAPYAVSLLIRFIYGVMQILTKVAFNQGTSTSVLVFYRHVVATILLVPIAFAVERKTAPRLSYRVCVKLFVHALYGLSASLNISSIGLNYASATSASAVLNLLPVLTFFLALMLGMESLTLKRFYGIVKVSGIVLCAVGVTVLALYQGPELKSFIHHPLFHHISRVDAHPSRNWILGILLQSLATAMFALWTVLQSDGAFRGPLLEEYPSMLLNTTIQVVFATVQSFFMALVMERDFSRWKLRLDVGLVAIIYCGIIVSAFVNYLQIWVIDKSGPVFLSMTVPLTLVITIILSLLIGEAVTLGSVISGALMVGGLYNVLWGKRIEQAATSKQGGGGENVAWFDLEEQEGAAPVLATQDSIKTVPDLKELKL* >Brasy1G222700.1.p pacid=40053517 transcript=Brasy1G222700.1 locus=Brasy1G222700 ID=Brasy1G222700.1.v1.1 annot-version=v1.1 MSSRRHPPPGADRAAEAGDAALARDIVTLHQALSLDPSRRRRSSLPLPSPSVDQARHKPKLKPSSSRKLLPSASSSSLVSTSSSSSSSSSSSSFWKKSLTAISHLGRRRLDCAFTLRVHSVDGLPAALDGSPISVHFRRMSVCASTRPVAPALGAVAFEEALTQRSPVYFSRGAKTAVKYEPRAFTVTVAASALELGKHEVDLTRLLPLSIDDLEDGGDSGFGKWSTSFRLSGVARGARLNVTFSCALVGLGGEQQKGGEVAGLRRGSMARQVSVQKPTPVPARSRDVRVLHEILPSVRPVKTLPFDGDAGVDATKGEVATVDNEEDGSPESKHCTSVEVKKAEEDSSIVGDAGLDATKGEPNHSTSVDVKKGEEGSVHPEGDCDAAEFSVVEQGVEEVTLEDPAQFKPVGTDDVPDRVENCRGEANKEPALVCDNVVKEEIAEVKLEDELSDVDLVREDVGNKQDAPVKATLLPTAAFEKDDQFTADTELGDLECIFNKFSIIEPDEFESPILDDKLSRRLSCMGAEDSYNSTNRKSRSRSVDASSDFVASEFLDMLGIDHSPFGQTSDSDPESPRERLWKQFEKEALASGDCILGLNFEDAVGEPNCEDDVEDFDLSTIIREAELELRNGALPIDTAVRARSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDFPPEQLLDLPPLADGLGPFIQTEDGGFLRSMSPVLFKNAKNNCSLVMQASSPIVLPAEMGSEITEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQLAWEASPALESSERYDLLENHVVDALAGGISNAAMGKKNKGRGSDLLSSMGRKNVSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAHPIGEFSSLQGKSVENTLSLGLEGTAGLQLLDVKQSSDDVDGLMGLSITLDEWMRLDSGVVDEEEQFSDRTSKILAAHHAKSMDLLAESQSADKKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLSLIQVERVFIPPKPKIYTNISEKGNSEQDDEEPKTEQILDALVNEETVEEESVPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLGAGMGKGNKHPLMKSKAIAKPTKEAAGQQGDTLWSISSRVHGAGTRWGELAGSKRNPNILLQKEKRFR* >Brasy1G222700.2.p pacid=40053518 transcript=Brasy1G222700.2 locus=Brasy1G222700 ID=Brasy1G222700.2.v1.1 annot-version=v1.1 MSSRRHPPPGADRAAEAGDAALARDIVTLHQALSLDPSRRRRSSLPLPSPSVDQARHKPKLKPSSSRKLLPSASSSSLVSTSSSSSSSSSSSSFWKKSLTAISHLGRRRLDCAFTLRVHSVDGLPAALDGSPISVHFRRMSVCASTRPVAPALGAVAFEEALTQRSPVYFSRGAKTAVKYEPRAFTVTVAASALELGKHEVDLTRLLPLSIDDLEDGGDSGFGKWSTSFRLSGVARGARLNVTFSCALVGLGGEQQKGGEVAGLRRGSMARQVSVQKPTPVPARSRDVRVLHEILPSVRPVKTLPFDGDAGVDATKGEVATVDNEEDGSPESKHCTSVEVKKAEEDSSIVGDAGLDATKGEPNHSTSVDVKKGEEGSVHPEGDCDAAEFSVVEQGVEEVTLEDPAQFKPVGTDDVPDRVENCRGEANKEPALVCDNVVKEEIAEVKLEDELSDVDLVREDVGNKQDAPVKATLLPTAAFEKDDQFTADTELGDLECIFNKFSIIEPDEFESPILDDKLSRRLSCMGAEDSYNSTNRKSRSRSVDASSDFVASEFLDMLGIDHSPFGQTSDSDPESPRERLWKQFEKEALASGDCILGLNFEDAVGEPNCEDDVEDFDLSTIIREAELELRNGALPIDTAVRARSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDFPPEQLLDLPPLADGLGPFIQTEDGGFLRSMSPVLFKNAKNNCSLVMQASSPIVLPAEMGSEITEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQLAWEASPALESSERYDLLENHVVDALAGGISNAAMGKKNKGRGSDLLSSMGRKNVSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAHPIGEFSSLQGKSVENTLSLGLEGTAGLQLLDVKQSSDDVDGLMGLSITLDEWMRLDSGVVDEEEQFSDRTSKILAAHHAKSMDLLAESQSADKKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLSLIQVERVFIPPKPKIYTNISEKGNSEQDDEEPKTEQILDALVNEETVEEESVPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLGAGMGKGNKHPLMKSKAIAKPTKEAAGQQGDTLWSISSRVHGAGTRWGELAGSKRNPNILLQKEKRFR* >Brasy1G214800.1.p pacid=40053519 transcript=Brasy1G214800.1 locus=Brasy1G214800 ID=Brasy1G214800.1.v1.1 annot-version=v1.1 MSRLRALWQASVNATKRAVVWNSEDLFPPSERYIFKFNSKDEVKKWHLYSDSEYGGLSSASLEITDSVSGGDTSSTGVFSGNLSLDMSEESPWRIRRSGFCGMRSKKFDGFIDLDSYDTIAMKLRGDGRCYISTIYTENWVNSPGQEEDNSWQAFVYLPQDSWQILKIPLERYLPTWKGNVIEAKLEMNPARVVGMSLSLNAEGGVPGARTGPGDFRLEVDWIKAMRTL* >Brasy1G234500.1.p pacid=40053520 transcript=Brasy1G234500.1 locus=Brasy1G234500 ID=Brasy1G234500.1.v1.1 annot-version=v1.1 MGYCFPVGAHRRHRRWQKALMQLEQCLHAMRQWTKCSEVRTDATCMEEAHFCLNTTTAGPQQHAAG* >Brasy1G001500.1.p pacid=40053521 transcript=Brasy1G001500.1 locus=Brasy1G001500 ID=Brasy1G001500.1.v1.1 annot-version=v1.1 MASTCKDKLAYFRIKELKDVLAHLNLPKHGKKQELVDRILALLSADQGQWHLGRGHKNVSSKEVVAGVVDDIYRKMQVHGPPDLLSQTQVGLDFNHRKPRMEQEHSCQSDTNSRCLCGQAFVLGNVVKCDDCQVQQHMACALIPEKPTEGVVPEVPAHFYCQLCRLSRADPFWVTTGNPLLPVRLMFSDIANDGRNVSQSVDKTFLLSRAEMQTIQGAEYDIQVWCMLLNDKVQFRMHWPQYAELQVNGIQVRVVPRPISQLLGNNGRDDGPVITTLCQEGQNKIFLSSVDTRQFCFGIRMARRRTVDQVLKLVPKEADGESFEDSLARVCRCLRGGNTTDDADSDSDLEVVADFFPVSLRCPNSGSRIRTAGRFKPCAHMGSFDLQTFVELNQRSRKWQCPTCLKNYSVESMIIDRYFNRITSLVQNCSEDVTEIDVKPDGSWRVKGDVEDIELPQWHLPDGSLCNVKQDSNLVAGDVNQLKEGGSYDGSESSKIGSKGNLDLNGFWEVSKADDIKPSMAVNQIKNTEYHVPNIVPMSSSHTGVYRDGDYLSVSECSTQFGLSLINGHELDSFSHKFGQMYNTDDRPQKQLKDADVIVLSDSDEENALVVSPPAAYDMDTANIDGFGFSVTAGIVAENCQEAGEVGRLGLFSDNSDIFDINPWPMHSCTQPEQGFHFFGTDIGAANTLVDSRNSSDLALNSDTSMVHDLSNCHARTKSLVDNLLPFDNEDSSLRIFLPSQPSTSVPAREERNECDNMSNGVQHDGWISLSLGAGGGCNEQSETAHTLNPQPQIPLKERSDAAGSLLSLNDDRYNKDNSNTRAGNLFSHALERRSVKPRLRLSIDSDSE* >Brasy1G001500.2.p pacid=40053522 transcript=Brasy1G001500.2 locus=Brasy1G001500 ID=Brasy1G001500.2.v1.1 annot-version=v1.1 MASTCKDKLAYFRIKELKDVLAHLNLPKHGKKQELVDRILALLSADQGQWHLGRGHKNVSSKEVVAGVVDDIYRKMQVHGPPDLLSQTQVGLDFNHRKPRMEQEHSCQSDTNSRCLCGQAFVLGNVVKCDDCQVQQHMACALIPEKPTEGVVPEVPAHFYCQLCRLSRADPFWVTTGNPLLPVRLMFSDIANDGRNVSQSVDKTFLLSRAEMQTIQGAEYDIQVWCMLLNDKVQFRMHWPQYAELQVNGIQVRVVPRPISQLLGNNGRDDGPVITTLCQEGQNKIFLSSVDTRQFCFGIRMARRRTVDQVLKLVPKEADGESFEDSLARVCRCLRGGNTTDDADSDSDLEVVADFFPVSLRCPNSGSRIRTAGRFKPCAHMGSFDLQTFVELNQRSRKWQCPTCLKNYSVESMIIDRYFNRITSLVQNCSEDVTEIDVKPDGSWRVKGDVEDIELPQWHLPDGSLCNVKQDSNLVAGDVNQLKEGGSYDGSESSKIGSKGNLDLNGFWEVSKADDIKPSMAVNQIKNTEYHVPNIVPMSSSHTGVYRDGDYLSVSECSTQFGLSLINGHELDSFSHKFGQMYNTDDRPQKQLKDADVIVLSDSDEENALVVSPPAAYDMDTANIDGFGFSVTAGIVAENCQEAGEVGRLGLFSDNSDIFDINPWPMHSCTQPEQGFHFFGTDIGAANTLVDSRNSSDLALNSDTSMVHDLSNCHARTKSLVDNLLPFDNEDSSLRIFLPSQPSTSVPAREERNECDNMSNGVQHDGWISLSLGAGGGCNEQSETAHTLNPQPQIPLKERSDAGSLLSLNDDRYNKDNSNTRAGNLFSHALERRSVKPRLRLSIDSDSE* >Brasy1G105400.1.p pacid=40053523 transcript=Brasy1G105400.1 locus=Brasy1G105400 ID=Brasy1G105400.1.v1.1 annot-version=v1.1 MEMTMEEDSFEDDIVFCPTCRLIVYPTDDRNKFFLLSCRACNHEVQLDYEFTWEKHKVNKKSILPPVRKQNAGTCCLNAVLTSLDARLNFNRALMNKGLAELLSLDHLLEQYSEEEERKTGLKYDGSKGYKLRETADIIRKKGVLHEHDYASKNRQGKSWCRAKYYPQKNASFTEICNKILKGNVLFTSIPVTKQWLTLKAGDMYIAKRDVVRTDYDDEKPEESWHAIVLVGFGQKGGVEYFRFQNSYGTRFCENGIGIVQAREICDIERFEPYYVNDN* >Brasy1G294200.1.p pacid=40053524 transcript=Brasy1G294200.1 locus=Brasy1G294200 ID=Brasy1G294200.1.v1.1 annot-version=v1.1 MSSGEDERGTASKHHHRAKEKDRDHSSSRRHRDKDRSSSRHHRDDRDGERDRDRDRHHRDKERDREERKAREREERQREKEEKREREREREREREEEREKEEREREKEKEKVRARRREERDREKERSKKREAVDEENEDLDRKRRRRSSHHHHRDVEMEVAPLTREEEEDAEEAERRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKKRLEQQLQQQEDGASGVAMAVEADEGGNSGKKWTLDGEESDEEDAKKSDEEDYMKLEENHADNSVTDVNLPNGGNAATSGADMEEDDIDPLDAFMNSMVLPEVAKLENTTAFVGSAPAASSDDKNDKGVKDTTSNVDKKRPIKAMGRIMQGDDSDSDYDDADNDEAGAEDEDDAEFIKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDITRMSAEDVTSYRKLLELKVRGKDVPKPIKTWIQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIYSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTTPVEIQMGGRSVVNKDIKQLVEVRPESERFFRLLELLGEWYAKGKILVFVQSQDKCDALLKQLFQHGYPCLSLHGGKDQNDRESTLADFKSNVCNVLIATSVASRGLDVKDLELVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFVSEEDERYAPDLVKALELSEQAVPEDLKALADRFMSKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQLREYGYEEDKSDSDSDEDGVVRKGAADVAAQAIASAQLAAAALRAANIANQPAMTTGSLLPLPVAPNQQNNDATQRAIDAAKNLQQNLARIQANAVPEHYEAELEINDFPQNARWKITHKETLVPIQEWTGAAITTRGTFIPPGRIVGANERKLYLFIEGPNESSVKNAKADLKRVLEDCANQALNLPGSAQTGKYSIV* >Brasy1G294200.3.p pacid=40053525 transcript=Brasy1G294200.3 locus=Brasy1G294200 ID=Brasy1G294200.3.v1.1 annot-version=v1.1 MSSGEDERGTASKHHHRAKEKDRDHSSSRRHRDKDRSSSRHHRDDRDGERDRDRDRHHRDKERDREERKAREREERQREKEEKREREREREREREEEREKEEREREKEKEKVRARRREERDREKERSKKREAVDEENEDLDRKRRRRSSHHHHRDVEMEVAPLTREEEEDAEEAERRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKKRLEQQLQQQEDGASGVAMAVEADEGGNSGKKWTLDGEESDEEDAKKSDEEDYMKLEENHADNSVTDVNLPNGGNAATSGADMEEDDIDPLDAFMNSMVLPEVAKLENTTAFVGSAPAASSDDKNDKGVKDTTSNVDKKRPIKAMGRIMQGDDSDSDYDDADNDEAGAEDEDDAEFIKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDITRMSAEDVTSYRKLLELKVRGKDVPKPIKTWIQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIYSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTTPVEIQMGGRSVVNKDIKQLVEVRPESERFFRLLELLGEWYAKGKILVFVQSQDKCDALLKQLFQHGYPCLSLHGGKDQNDRESTLADFKSNVCNVLIATSVASRGLDVKDLELVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFVSEEDERYAPDLVKALELSEQAVPEDLKALADRFMSKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQLREYGYEEDKSDSDSDEDGVVRKGAADVAAQAIASAQLAAAALRAANIANQPAMTTGSLLPLPVAPNQQNNDATQRAIDAAKNLQQNLARIQANAVPEHYEAELEINDFPQNARWKITHKETLVPIQEWTGAAITTRGTFIPPGRIVGANERKLYLFIEGPNESSVKNAKADLKRVLEDCANQALNLPGSAQTGKYSIV* >Brasy1G294200.2.p pacid=40053526 transcript=Brasy1G294200.2 locus=Brasy1G294200 ID=Brasy1G294200.2.v1.1 annot-version=v1.1 MSSGEDERGTASKHHHRAKEKDRDHSSSRRHRDKDRSSSRHHRDDRDGERDRDRDRHHRDKERDREERKAREREEREKEKEKVRARRREERDREKERSKKREAVDEENEDLDRKRRRRSSHHHHRDVEMEVAPLTREEEEDAEEAERRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKKRLEQQLQQQEDGASGVAMAVEADEGGNSGKKWTLDGEESDEEDAKKSDEEDYMKLEENHADNSVTDVNLPNGGNAATSGADMEEDDIDPLDAFMNSMVLPEVAKLENTTAFVGSAPAASSDDKNDKGVKDTTSNVDKKRPIKAMGRIMQGDDSDSDYDDADNDEAGAEDEDDAEFIKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDITRMSAEDVTSYRKLLELKVRGKDVPKPIKTWIQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIYSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTTPVEIQMGGRSVVNKDIKQLVEVRPESERFFRLLELLGEWYAKGKILVFVQSQDKCDALLKQLFQHGYPCLSLHGGKDQNDRESTLADFKSNVCNVLIATSVASRGLDVKDLELVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFVSEEDERYAPDLVKALELSEQAVPEDLKALADRFMSKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQLREYGYEEDKSDSDSDEDGVVRKGAADVAAQAIASAQLAAAALRAANIANQPAMTTGSLLPLPVAPNQQNNDATQRAIDAAKNLQQNLARIQANAVPEHYEAELEINDFPQNARWKITHKETLVPIQEWTGAAITTRGTFIPPGRIVGANERKLYLFIEGPNESSVKNAKADLKRVLEDCANQALNLPGSAQTGKYSIV* >Brasy1G004500.1.p pacid=40053527 transcript=Brasy1G004500.1 locus=Brasy1G004500 ID=Brasy1G004500.1.v1.1 annot-version=v1.1 MLIWGGKVLACSTIPLRNLLNRSANFSSCSKLFVGGLSYDTNEIALKDAFSQHGTVTEVKVICHPVTGRSKGYGFVKFSSESEAAAALEKMSNELLDGRNIRVQYANSG* >Brasy1G435100.1.p pacid=40053528 transcript=Brasy1G435100.1 locus=Brasy1G435100 ID=Brasy1G435100.1.v1.1 annot-version=v1.1 MDFESTHEYCSLSHGDGSNGVRNTADAISRMELEIQFGSEKLLNLEMLVMEVAHRANGIELSMLDPESLSNESVEKAFEFDILYGILDSEVRELEKLVGFIQIDIGNVGKKFNGEELEGRLKGKLHAATASLKQMQELIAAIRREFTNFDKAIDPSHHHAGTSEGGAYENGHVLSHTTMQAEGQRNVLQMLERSIASELDLEKKLCDSGAIVKELEMKLHNVEHESDFLEESAEAISERMFAAENASELFLGISKDLIDRINTIQSHQTASGRREAGLKSKLKQSLMQSNASKGSPKMMKDDSENNATWEAVQSRRLSTSEFFTLQDKVQNLEAWLRESCSQLEWETISTEANEKEQNMSLSEIGTFENIIGDIKDAIFKAESRTQNAEARCAELTHTNVQLNGELNSLKTQGSDRAGLLQKKLMESESQLEHARASIEAIGEQQGMLKSSMSDMQHMIEDLKEKYLKAENRAESAESKCILLTDTNLELCEELSFLRGRVESLENSLCQANQLKLSTAKDIGIKTKAITDLVAKLSFERERLHLQIVTLTKKNRMLAKKCKENVNKGTSLSKDITANEGELRPSKAMEDVLLASSSLQTKVKTTAETLGENDSGITAPLEDESGSLETVRSIKPTLLNWKYIFTAFLVLLTAALVHQLLQSAV* >Brasy1G435100.2.p pacid=40053529 transcript=Brasy1G435100.2 locus=Brasy1G435100 ID=Brasy1G435100.2.v1.1 annot-version=v1.1 MDFESTHEYCSLSHGDGSNGVRNTADAISRMELEIQFGSEKLLNLEMLVMEVAHRANGIELSMLDPESLSNESVEKAFEFDILYGILDSEVRELEKLVGFIQIDIGNVGKKFNGEELEGRLKGKLHAATASLKQMQELIAAIRREFTNFDKAIDPSHHHAGTSEGGAYENGHVLSHTTMQAEGQRNVLQMLERSIASELDLEKKLCDSGAIVKELEMKLHNVEHESDFLEESAEAISERMFAAENASELFLGISKDLIDRINTIQSHQTASGRREAGLKSKLKQSLMQSNASKGSPKMMKDDSENNATWEAVQSRRLSTSEFFTLQDKVQNLEAWLRESCSQLEWETISTEANEKEQNMSLSEIGTFENIIGDIKDAIFKAESRTQNAEARCAELTHTNVQLNGELNSLKTQGSDRAGLLQKKLMESESQLEHARASIEAIGEQQGMLKSSMSDMQHMIEDLKEKYLKAENRAESAESKCILLTDTNLELCEELSFLRGRVESLENSLCQANQLKLSTAKDIGIKTKAITDLVAKLSFERERLHLQIVTLTKKNRMLAKKCKENVNKGTSLSKDITANEGELRPSKAMEDVLLASSSLQTKVKTTAETLGENDSGITAPLEDESGSLETVRSIKPTLLNWKYIFTAFLVLLTAALVHQLLQSAV* >Brasy1G489100.1.p pacid=40053530 transcript=Brasy1G489100.1 locus=Brasy1G489100 ID=Brasy1G489100.1.v1.1 annot-version=v1.1 MWIHGFPRPEQHNLEKPTPCQRMNINLVLPSSLLAAILVFFLLVSSPYRLEARALQPGALLAARLYGGGRRLLQRSAPPPPAPIPGPPVGSFKPYTQPPPPPPPPAL* >Brasy1G564500.1.p pacid=40053531 transcript=Brasy1G564500.1 locus=Brasy1G564500 ID=Brasy1G564500.1.v1.1 annot-version=v1.1 MASSPSPAPGTRALAATAAAPTGTPSPATTTPASPAPVKLPNATPADPPASPPAAAAVPPQQPTSPPPPTPTPPPATVPPPPVVVASPPPTPSATLPPPSPPTAVPPPPTTAAPPKPSPLLPPAAASPPPSQSNLPPPNPPDSSTTPPVAQSPPPPHRRSPRPPTTEPQAPPPSASVITPPTSPSPVSGDPIMPNSTSPSPPTPSAPGSAPSDTADKPTIPPTFGISNPAPNKPWSPSVQDSSPSPSGDGVSYGAKVGIGVVVAILVLSLVGAAFWYKKKRRRMTGYHAGFVMPSPSPSSSPQVLLGHSEKTKTNHTAGSHDFKDAMSEYSMGNCRFFTYEELYKITNGFSAQNLLGEGGFGSVYKGCLAEGRLVAIKKLKDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDQRLLVYDFVSNDTLDYHLHGRGVPVLEWSARVKISAGSARGIAYLHEDCHPRIIHRDIKSSNILVDNNFEAQVADFGLARLAMDFATHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASNPLGDESLVEWARPLLTEALETGNVGELLDPRLDKNFNEVEMFRMIEAAAACIRHSASRRPRMSQVVRALDNLADVDLTNGVQPGKSEMFNAPDTAEIRLFQRMAFGSQDFTTDFTQSSWNSHQSRDVDASALRRSQS* >Brasy1G564500.2.p pacid=40053532 transcript=Brasy1G564500.2 locus=Brasy1G564500 ID=Brasy1G564500.2.v1.1 annot-version=v1.1 MASSPSPAPGTRALAATAAAPTGTPSPATTTPASPAPVKLPNATPADPPASPPAAAAVPPQQPTSPPPPTPTPPPATVPPPPVVVASPPPTPSATLPPPSPPTAVPPPPTTAAPPKPSPLLPPAAASPPPSQSNLPPPNPPDSSTTPPVAQSPPPPHRRSPRPPTTEPQAPPPSASVITPPTSPSPVSGDPIMPNSTSPSPPTPSAPGSAPSDTADKPTIPPTFGISNPAPNKPWSPSVQDSSPSPSGDGVSYGAKVGIGVVVAILVLSLVGAAFWYKKKRRRMTGYHAGFVMPSPSPSSSPQVLLGHSEKTKTNHTAGSHDFKDAMSEYSMGNCRFFTYEELYKITNGFSAQNLLGEGGFGSVYKGCLAEGRLVAIKKLKDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDQRLLVYDFVSNDTLDYHLHGRGVPVLEWSARVKISAGSARGIAYLHEDCHPRIIHRDIKSSNILVDNNFEAQVADFGLARLAMDFATHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASNPLGDESLVEWARPLLTEALETGNVGELLDPRLDKNFNEVEMFRMIEAAAACIRHSASRRPRMSQARVRCSTLLTPQRSGCFSGWRLAAKISQPISPNPAGTVIRVEMLMPLP* >Brasy1G017100.1.p pacid=40053533 transcript=Brasy1G017100.1 locus=Brasy1G017100 ID=Brasy1G017100.1.v1.1 annot-version=v1.1 MGRHSSSSKAEAAAGDHRKEEKHHKHMHGAARPARRRSLREARSRSTRRRKTRSTPGRTGSRRRSPPWVAAGSAGFAIHEHHKKKDAKKQAHRH* >Brasy1G061700.1.p pacid=40053534 transcript=Brasy1G061700.1 locus=Brasy1G061700 ID=Brasy1G061700.1.v1.1 annot-version=v1.1 MSSHESDRSERGLQDPPLLRSPAREDPSRKGSRREAGSGGPVAAAARSAGRQWEGGADHVVVWSPPPSRHHRRNLVCAWRTILHRLRPLHFTAFFSSVPPPHPQATLFPHLLLLPHAALALPNLILLLLSPRASISLFHLAPRPSRLQPSPSSRPPRTLPFPFTRRCRHPLPTLPGAIVSCYYMEIFCRRRNLADLSNASEIRRCRDLSARGRRRLKGKSSGGRIWQPRRRHGPSRSPAARLCRSRWRREPAAVAPPPGIHPSFFISVPPLTPAAISSSCPLRNPPPCSCAVVAPSPNVAAMRHHIMLIPGGLLSSQELADPSNASEIRRWGRRRPKLRSLGDQIWRSCHHHGSSRRPVGGRPDHVSVGSPQPSRHPRKEELVVASPRHVSMPLKGTPVFLATPANIGKTVEDWGVCSVFKKATPSQCRGQARAVVSPVCVLGDRGRASRGFSNPCFFS* >Brasy1G255800.1.p pacid=40053535 transcript=Brasy1G255800.1 locus=Brasy1G255800 ID=Brasy1G255800.1.v1.1 annot-version=v1.1 MARASGVGALLVVVLAAATAVSFAPAVKAQTSSCDDALPAELAGNYSGMACRPVWNNFVLRYAQDGDNVLRVVLSAMYSSGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFALNGKSPSMVAADRGFLVSNGRDHTVLVKQAKIYLAFQLRFPSPLKQQQVLFAFGSAIPVNDRLAEHQDKTSINFDFTTGSSSGSSFPSGLKKTHGALNLFAWGVLLPIGAIVARYCRGWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYGKIQADHPAHRGLGIFVLVLGILQILAFFLRPNKDSKYRKYWNWYHHWAGRLALFFAAINIVVGIKVGGAGNSWKIGYGFNLAILLITIITLEVLVWTRWRNNSTPTTTY* >Brasy1G136600.1.p pacid=40053536 transcript=Brasy1G136600.1 locus=Brasy1G136600 ID=Brasy1G136600.1.v1.1 annot-version=v1.1 MAVTADSTAEQAPNPVPHHPSEESRKAPNQPDHDEANEGDCDEEEDDAEELDEPAAEAADREVVQAVFRRLSSEPVSIRVHDVIIMGNSKTRDTLIEAEVADLLRSASTVQDLVRASSLASGRLRSLQVFDSVTITLDAGPPELPGTTNVVIEVLEAASPIAADVGCFSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQSSEVAVGVSLPRFKSVPTPLTARASFLSQDWVKFSSYKERLLGLSFGLLSTRHHDLSYNLTWQTLTDPSQMASKSIRRQLGHNLLSVLTYTHKIDQRDSQLRPTKGYAFVSRSHIGGLWDMKGLKFFRQEFDVRAAVPFGFYNAALNIGVAAGVILPLGKGFMELPSPVTNRFFLGGHSSPVCGLGALSSLLGFKTRGVGPSEPRRFVPSESGMDDSATSPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIYGHAFLSAGNLAKLSESEFKNFSFPEFRRTFRSSAGVGIVLPTKLLRVEINYCYILKKFEHDRGKTGIQFSFSSPL* >Brasy1G136600.3.p pacid=40053537 transcript=Brasy1G136600.3 locus=Brasy1G136600 ID=Brasy1G136600.3.v1.1 annot-version=v1.1 MAVTADSTAEQAPNPVPHHPSEESRKAPNQPDHDEANEGDCDEEEDDAEELDEPAAEAADREVVQAVFRRLSSEPDLVRASSLASGRLRSLQVFDSVTITLDAGPPELPGTTNVVIEVLEAASPIAADVGCFSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQSSEVAVGVSLPRFKSVPTPLTARASFLSQDWVKFSSYKERLLGLSFGLLSTRHHDLSYNLTWQTLTDPSQMASKSIRRQLGHNLLSVLTYTHKIDQRDSQLRPTKGYAFVSRSHIGGLWDMKGLKFFRQEFDVRAAVPFGFYNAALNIGVAAGVILPLGKGFMELPSPVTNRFFLGGHSSPVCGLGALSSLLGFKTRGVGPSEPRRFVPSESGMDDSATSPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIYGHAFLSAGNLAKLSESEFKNFSFPEFRRTFRSSAGVGIVLPTKLLRVEINYCYILKKFEHDRGKTGIQFSFSSPL* >Brasy1G136600.2.p pacid=40053538 transcript=Brasy1G136600.2 locus=Brasy1G136600 ID=Brasy1G136600.2.v1.1 annot-version=v1.1 MAVTADSTAEQAPNPVPHHPSEESRKAPNQPDHDEANEGDCDEEEDDAEELDEPAAEAADREVVQAVFRRLSSEPVSIRVHDVIIMGNSKTRDTLIEAEVADLLRSASTVQDLVRASSLASGRLRSLQVFDSVTITLDAGPPELPGTTNVVIEVLEAASPIAADVGCFSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQSSEVAVGVSLPRFKSVPTPLTARASFLSQDWVKFSSYKERLLGLSFGLLSTRHHDLSYNLTWQTLTDPSQMASKSIRRQLGHNLLSVLTYTHKIDQRDSQLRPTKGYAFVSRSHIGGLWDMKGLKFFRQEFDVRAAVPFGFYNAALNIGVAAGVILPLGKGFMELPSPVTNRFFLGGHSSPVCGLGALSSLLGFKTRGVGPSEPRRFVPSESAVQRCWNIWSCISFCWKSCQVV* >Brasy1G347900.1.p pacid=40053539 transcript=Brasy1G347900.1 locus=Brasy1G347900 ID=Brasy1G347900.1.v1.1 annot-version=v1.1 MEVEYHEEFVRNSSGVQLFTCGWLPAAASPKALVFLCHGYGMECSGFMRACGVRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVEDCERFFKSICDLEEYRSKSRFLYGESMGGAVTLLLHRNDPTFWDGAVLVAPMCKISEKVKPHPLVIAALTQVEDVIPKWKIVPTKDVIDAAFKDPAKREQIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSQVKLPFLVLHGEADTVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVEAVFSDIVAWLSDRSRSWTPEDRLVKVMAAPDKLVGDGENSGEHVESRPRRRRRRGFLCGLTGRTHRHSEICDVKI* >Brasy1G062300.1.p pacid=40053540 transcript=Brasy1G062300.1 locus=Brasy1G062300 ID=Brasy1G062300.1.v1.1 annot-version=v1.1 MAAAAAGNGNKGGAARKEKENKQRQQSLVSRTLERCRTGLGAASQRNTAAVAPAGCFSVYVGPERERFVVRADRASHPRFRRLLDDAEREYGYSAHGPLALPSCAVEDFLDALWHMDHDGEIDGDEIDGGDEVLKSPMCGGGLQRAKSGYRALSTARSSPASFFFFSPKRASSPAARGRRSSGS* >Brasy1G389400.1.p pacid=40053541 transcript=Brasy1G389400.1 locus=Brasy1G389400 ID=Brasy1G389400.1.v1.1 annot-version=v1.1 MRDQNQLEQQRAQAAGSSSSSTSSSLFLLRNPLAAASRTMRRGIIRGFCHGVASTSTQHHLQLAHGGSIHEEDDHQQRHHADAASSSFLTVPSSCGGGAETESSEAPQPQLDLEEAKAATAAAQARKERRCGHHVVPRRMSCVDRGSADRVLRDALSQYPRFSLDGRDAAACRASFSSYRDAAGGMGLGGYEMGCRLERPMRMPDTVAGESVVWCETGVVAKLMGLDSVPVPVRARPRAAVGIGAGRRKAHGPPSPAKSTTGVGGVRKEIRPRRMMGTGSGKEELEKERLFIALHGYGYGYDVAEAGACHAGGQRRTAVGPNVSRFGRDGHRWEFRFPS* >Brasy1G091700.1.p pacid=40053542 transcript=Brasy1G091700.1 locus=Brasy1G091700 ID=Brasy1G091700.1.v1.1 annot-version=v1.1 MALLHLQEHCSLLQISNSHLPNLLKPPRNPRRNQVSPPNATKIPSSSATLAPNAAPPNWRGDLLLLLLPPVAAASWPLPALAAEADGGKVSLESIVVAIDEFNNRNPFFVAGVVFVWLVVIPLTQEYFQKYKSVGALDAFRKLRDTPEAQLLDIRRGKSVQFMPSPNLRLIEKNAVQVEFDEEDEKGFVGKVLARFPDPANTVVCVLDNFDGNSMKVAELLVNNGFKEAYAIKGGLRGPEGWQEIQENYLPPSVHVFPRKKKSKNSTHTDVSTEGTDDRQEGNGELLTSPGSTLVNTSNGTKDGHEEPNGSTSAAKHAKKRPLSPYPNYHDLKPPSSPTPSKPGR* >Brasy1G023000.1.p pacid=40053543 transcript=Brasy1G023000.1 locus=Brasy1G023000 ID=Brasy1G023000.1.v1.1 annot-version=v1.1 MAAPSHALLPFLSSTASPHAAPYAGRRRRAGLLRCTAAAGQAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRESLSVVDELLTYWNLADTDRVLDDLEEALLVSDFGPKISFRIVDTLRDEIRDGKLKSGPEIKASLKRCILELLTSKGSNTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEIWAERTGSEIVIDNDKKAQAPSVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGITGFILTKLDGTARGGCVVSVVDELGIPVKFVGIGEGVEDLQPFDAEAFVEAIFP* >Brasy1G368400.1.p pacid=40053544 transcript=Brasy1G368400.1 locus=Brasy1G368400 ID=Brasy1G368400.1.v1.1 annot-version=v1.1 MVSPLVRPLPLVVLTPAVLRPVLLTPVPSADEATCSQRFVLAHILRGSADPHPLPFRDGPASHSSSGREPQSTRPPATAPDPTGAAALQQAAHIHLSVPAPQSRLYSALVRK* >Brasy1G370600.1.p pacid=40053545 transcript=Brasy1G370600.1 locus=Brasy1G370600 ID=Brasy1G370600.1.v1.1 annot-version=v1.1 MHAERRLSPMSGRGQGLMIAGLARRCRPPRPSQRTPAPPLPSALLAAAAAALAAAAAAHLQRAAGILLRRRRYPSRSSQRPPPASSAPPAAFGAARRRGPRSGGPRRPPRGLPAPSSQCPSPPSLLP* >Brasy1G427100.1.p pacid=40053546 transcript=Brasy1G427100.1 locus=Brasy1G427100 ID=Brasy1G427100.1.v1.1 annot-version=v1.1 MRPSIVLFGDSITEESFGEGGWGAYLANHYSRSADVILRGYSGYNTRWAARVLARAVTGIPGASVRAVTVLFGANDASLPGRASAFQHVPLGEYRDNLRAICALLASRWPAAAVILVTPPPVDERGRLRFFPCGGDGSGVLPERTNEATGEYARACVGVAVECGLRAVDIWSRMQMFPGWETSFLRDGLHLTPRGNRLLFEEVVWALGDANLSLEALPADLPLCSDIDPDNAARCFEEEEEE* >Brasy1G398000.1.p pacid=40053547 transcript=Brasy1G398000.1 locus=Brasy1G398000 ID=Brasy1G398000.1.v1.1 annot-version=v1.1 MKKRKAEGSLKLDSRRVDRLGGLPDDVLGLILGFLPTPLAVRASQLSRRWRRLTARINRRVGVSFPDLCTDALSRYPTSAVPSISLELDRHGYIGSPWYREAIERAAGSVRVTVPRGVFRMELPRFTRAEALRLTLSHRVDLELPADPVLFGRLTELVLATVRLPAGTPPLHDFLSSCCPRLRRLRLCSVRSGAGAAGDAVRVLVLRSDALEVLDLENVDGLTRLDVAAPNLRSLSLRSCFRFPITSSSEVSVSAPRVESICWYRCYPERLVFRSALTRVCRLSGLKLATLGRSDQLDYPYTLQLLQACSLTTEYLELDLLLPDETTLLNWLGSDQSSCEDLMSHAPPLPRVTALSLTVRWGLGCNVAPCLASLLSRVPNVTTLYVNSAPYCLTVLQSAVPYRWGGVQKSLLESLREVSVHGLRGTDGEECRLVEVLLGAMPPSIERISLAFRDGTAASAVDLMAAGLRANFPMEAGRWTKTPCSTGLGWTKHQYTAKTTK* >Brasy1G525700.1.p pacid=40053548 transcript=Brasy1G525700.1 locus=Brasy1G525700 ID=Brasy1G525700.1.v1.1 annot-version=v1.1 MASSSSSSASFPSAAARRKARSPPKHRHDGTSPLPLGMDWSPPPKRWEGRSTVWPHNPQTGWSYCVTIPSWIAQAPEAGVTPDNFLKSVVFYRIHVGIQSPEGVSSSHGVLRRFSDFLKLCSDLKRAFPRKDIPPAPPKHAFLRINSSRLLLEERRSTLEEWMQKLLSDIDLSRSTPVAAFLELEAAARSYFQDWNQRPSEAGTSVKSSTDSSHDTDEPASGALSEPNRINPALARNASATGATGNGVLGESILGAPNHRKDSIVFLEHDGRNGSIETYKGVISEDDHDSNPGHARKDSAESLGSDLSSLRGSELSTPGVSSSLWDGPVDLPSGVDGHSQTEHLTGLDMQLLYDVDAQFILPTDQRQKLTRLLITMQRRIGTAKTDMEDLIARLHQEVAVKEYLSTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKIEQNEKTRVESEKTTASGEKEELVEELETRRKEVESLKQHLGEVEAKSKSDMKVLVKEVKSLRNSQKEMKKVLNQYLEEKTELERIINREKQRSTRVKSCREKILHECRLLRERLQECSAKFLAEEQDNFTVDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDGKSSITMGSEDANITDEETTKMLSDLLIDNAQLRMRLNSVIRNAVNTTVKPEKEDTDGVVPRKTVLNWLLDR* >Brasy1G525700.2.p pacid=40053549 transcript=Brasy1G525700.2 locus=Brasy1G525700 ID=Brasy1G525700.2.v1.1 annot-version=v1.1 MEGRSTVWPHNPQTGWSYCVTIPSWIAQAPEAGVTPDNFLKSVVFYRIHVGIQSPEGVSSSHGVLRRFSDFLKLCSDLKRAFPRKDIPPAPPKHAFLRINSSRLLLEERRSTLEEWMQKLLSDIDLSRSTPVAAFLELEAAARSYFQDWNQRPSEAGTSVKSSTDSSHDTDEPASGALSEPNRINPALARNASATGATGNGVLGESILGAPNHRKDSIVFLEHDGRNGSIETYKGVISEDDHDSNPGHARKDSAESLGSDLSSLRGSELSTPGVSSSLWDGPVDLPSGVDGHSQTEHLTGLDMQLLYDVDAQFILPTDQRQKLTRLLITMQRRIGTAKTDMEDLIARLHQEVAVKEYLSTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKIEQNEKTRVESEKTTASGEKEELVEELETRRKEVESLKQHLGEVEAKSKSDMKVLVKEVKSLRNSQKEMKKVLNQYLEEKTELERIINREKQRSTRVKSCREKILHECRLLRERLQECSAKFLAEEQDNFTVDPSSLPDALDLLATSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDGKSSITMGSEDANITDEETTKMLSDLLIDNAQLRMRLNSVIRNAVNTTVKPEKEDTDGVVPRKTVLNWLLDR* >Brasy1G155700.1.p pacid=40053550 transcript=Brasy1G155700.1 locus=Brasy1G155700 ID=Brasy1G155700.1.v1.1 annot-version=v1.1 MDCSNMLSDMSFTDLLPSVHGGPWCSTDGSAICTPPSVVNPAEYAICENDAEADDEMGEASDEEDELEVSDDDSTKDTSSESDLSSDSEEENEYFLPEDVCQEPVHVNCFATDPEDPFRNIKRCCLGRKRAAIHPQLHSISSTGVFESKYSGHLHLRSQKLRAYFHIVLLSGCSSLWSLSNSLSGKRKASGPHV* >Brasy1G155700.2.p pacid=40053551 transcript=Brasy1G155700.2 locus=Brasy1G155700 ID=Brasy1G155700.2.v1.1 annot-version=v1.1 MDCSNMLSDMSFTDLLPSVHGGPWCSTDGSAICTPPSVVNPAEYAICENDAEADDEMGEASDEEDELEVSDDDSTKDTSSESDLSSDSEEENEYFLPEDVCQEPVHVNCFATDPEDPFRNIKRCCLGRKRAAIHPQLHSISSTGVFESKYSGHLHLRSQKWKKKGQRTTRLTSIQR* >Brasy1G481300.1.p pacid=40053552 transcript=Brasy1G481300.1 locus=Brasy1G481300 ID=Brasy1G481300.1.v1.1 annot-version=v1.1 MVARARARCLRGYLGPLVRCQHGPFSPSLLFPVPPLHFLSFKTGGSAPISPARPWSPASGHPCGSDTHRRSSSAARRAPLSLALLRHRRSCAGRRRGSSVSTPMRAPAAPTRVTALPPPPVAHPRRSSWPCAWFTGAEQQGWGAASVPRYGNFGELAPCDTVSSNVPRRRRARTLPAELVHRLEVPLVVLKLPVPAPPAAVALPLRRAWRRRRRQRDRGAEEGDDQREGARGRARK* >Brasy1G493400.1.p pacid=40053553 transcript=Brasy1G493400.1 locus=Brasy1G493400 ID=Brasy1G493400.1.v1.1 annot-version=v1.1 MASTSPATAAARKRALTEQRFSELTPALSPEVVKALRRGGFRRCTPVQAATIPLLLSHKDVAVDAATGSGKTLAFVVPVVEMLRRLPSPPKPHQVLGIIISPTRELSSQIYNVAQPFFATLKGVSSILLVGGLDIKAELQKVEEEGANILVGTPGKLFDIMERLDTLEYKNLEILILDEADRLLDMGFQKQITSIISKLPKLRRTGLFSATQTEAVEELAKAGLRNPVRVQVKTEVKPSSKDAAQQDLGLSKTPLGLRLEYMICEASKKSSQLVDFLVRNTGKKIMVYFATCACVDYWAVVLPMLNLLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPTEDTYVEFLKLRGVPLMERECSTDIVDIVPQIRAAALEDRNIMEKGLRAFVSFVRSYKEHHCSYIFRWKGLEIGKLAMEYGLLQIPSMPEVKHNSLSLKGFIPVGNVNFTQIKYKDKTREKQRQKALKRKAEELAQEPQVEEKRKPREKPPKRKQTGKQRQTVQTKEDMDELTQEYRLLKKLKRGVIDEDEYEKLTGFGDSDGGGSSEDDAAGNERGKERRSKEQKKLKQRGGRTRGPRKFEGNSKMKSKRR* >Brasy1G539500.1.p pacid=40053554 transcript=Brasy1G539500.1 locus=Brasy1G539500 ID=Brasy1G539500.1.v1.1 annot-version=v1.1 MGGRVATVLLMLSLVAGCLSAADVDTIRLPSQDGAVKAGEEERPWKCCTRALCTKSFPPFCKCLDMVEKCSGACKNCRAEREQESDPEGFICDDWFHGDPGPDCDTNDKAVADEVTITAVAVAEEERPWKCCNDTLCNRSAPPTCRCLDSVDHCADTCKECRTATSDPSRHVCRDRFHGWPGPKCITSNDHE* >Brasy1G103100.1.p pacid=40053555 transcript=Brasy1G103100.1 locus=Brasy1G103100 ID=Brasy1G103100.1.v1.1 annot-version=v1.1 MAAAAVRFLPLLLLLPLLLVVVGAAAADSGVTYDGRSLIISGRRRLLISTSIHYPRSVPAMWPKLVAEAKDGGADCIETYVFWNGHETAPGEYYFEDRFDLVQFARVVKDAGLYLMLRIGPFVAAEWNFGGVPVWLHYMPGAVFRTNNEPFKSHMKSFTTKIVDMMKRERFFASQGGHIILAQIENEYGDSEQAYGADGKAYAMWAASMALAQNTGVPWIMCQQYDAPEHVINTCNSFYCDQFKTNSPTKPKIWTENWPGWFQTFGESNPHRPPEDVAFSVARFFQKGGSVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLMRLPKWAHLRDLHKSIKLCEHSLLHGNLTSLSLGTKQEADVYTDHSGGCVAFLANIDPENDTVVTFKSRQYDLPAWSVSILPDCKNAVFNTAKVQSQTLMVDMVPETLQSTKPDRWSIFREKTGIWDKNDFIRNGFVDHINTTKDSTDYLWHTTSFNVDRSYPTNGNREVLSIDSKGHAVHAFLNNELIGSAYGNGSKSSFNVHMPIKLKPGKNEIALLSMTVGLQNAGPHYEWVGAGLTSVNISGMKNGSIDLSSNNWAYKIGLEGEHYGLFKPDQGNNQRWSPQSEPPKGQPLTWYKVNVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRYWPRTSSSDDRCTPSCNYRGPFDPSKCRTGCGKPTQRWYHVPRSWFHPSGNTLVVFEEQGGDPTKITFSRRVESKVCSFVSENYPSIDLESWDKSISDDGKDTAKVQLSCPKGKTVSSVKFASFGDPSGTCRSYQQGRCHHPSSLSVVEKACLNINSCTVSLSDEGFGKDLCPGVTKTLAIEADCS* >Brasy1G529100.1.p pacid=40053556 transcript=Brasy1G529100.1 locus=Brasy1G529100 ID=Brasy1G529100.1.v1.1 annot-version=v1.1 MIVTLMNLLRACWRPSSNRHARTGSDATGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPCGTFIGVYDGHGGPETACYCNDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSIVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRAVLGKHVKATGEVLAVQLSAEHNVSIEPVRKELQSMHPEDRHVVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFSKPILSSEPSIYVQPIQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRSGSARRLIKAALLEAAKKREMRYSDLKKIDRGVRRHFHDDITVIIVFLDSSLVSRAGTYRGPTVSLRGAGVSLRSNTLAPYGSQM* >Brasy1G411900.1.p pacid=40053557 transcript=Brasy1G411900.1 locus=Brasy1G411900 ID=Brasy1G411900.1.v1.1 annot-version=v1.1 MLRQMRWMTDREGRWELDVESPATMEGTARPVPGDPLPLGLSRGPRVTRTKQLDFFHRFMASPLVPAFSPYRSGLSLDHAHLFHLAENWSFTILEQLHVKKLVEVVKEKLSNRQEGVPWTNDLKRQLHDVMSLGVGTELLITPDTTLLLELYNIRKGERGKAIIHHKLPQQNLKLEVSWPGLFVDKKGVYWDVPLSLSADFASVGSSSGLSYRLLVQQNSGEPKCFGGDETNDVPLALLPGLCAKAAFSIKKSIDVWRKKEDKLKMVQPYDVFLSDPHVSFTRIVGAVASGSLGDCSKRISVQDERSNAFRVFNDRNKFAAFADLFASVTFTAQHGNFQRLFLDLTRVSARLDISSGSLFLRGASRLAQDFFFSRRPDLETFCDVCPNAIVSLQQQIVGPFSFRVESSVAIDPRSQDRLVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFEA* >Brasy1G298000.1.p pacid=40053558 transcript=Brasy1G298000.1 locus=Brasy1G298000 ID=Brasy1G298000.1.v1.1 annot-version=v1.1 MAPKNTVIFLLGLLLSCAAMTGAARILEEEATAPPKEEEHTPHLTLPELPPLPKVEFPPFPEVHLPAKPELPKVELPPLPEVHLPPKPELPPKPEMPTMPGLAHREEWWKSLHRNGDGSFRMNLRQNLGIGLRQVVDFAVGLDNPTISLVVPDSTALVDHGIGTVPHGGRIITD* >Brasy1G316900.1.p pacid=40053559 transcript=Brasy1G316900.1 locus=Brasy1G316900 ID=Brasy1G316900.1.v1.1 annot-version=v1.1 MATASSFAAMFISSAIAACFAEVCTIPLDTAKVRLQLQKKTTAGSAVTGGMLGTMKSIAREEGVASLWKGIIPGLHRQCLYGGLRIGLYEPVKALFVFVGDAALLNKILAALTTGIIAIVIANPTDLVKVRLQADGKATAVKRHYSGALNAYATIIRQEGIGALWTGLGPNMARNALINAAELASYDQFKQMFLNLPGFSDNVYTHLLAGLGAGIFAVCIGSPVDVVKSRMMGDSTYRSTIDCFVKTLKNDGLAAFYKGFIANFCRVGSWNVIMFLTLEQVRRFFLQG* >Brasy1G316900.2.p pacid=40053560 transcript=Brasy1G316900.2 locus=Brasy1G316900 ID=Brasy1G316900.2.v1.1 annot-version=v1.1 MATASSFAAMFISSAIAACFAEVCTIPLDTAKVRLQLQKKTTAGSAVTGGMLGTMKSIAREEGVASLWKGIIPGLHRQCLYGGLRIGLYEPVKALFVFVGDAALLNKILAALTTGIIAIVIANPTDLVKVRLQADGKATAVKRHYSGALNAYATIIRQEGIGALWTGLGPNMARNALINAAELASYDQFKQMFLNLPGFSDNVYTHLLAGLGAGIFAVCIGSPVDVVKSRMMGDSTYRSTIDCFVKTLKNDGLAAFYKGFIANFCRVGSWNVIMFLTLEQRRHV* >Brasy1G316900.3.p pacid=40053561 transcript=Brasy1G316900.3 locus=Brasy1G316900 ID=Brasy1G316900.3.v1.1 annot-version=v1.1 MLGTMKSIAREEGVASLWKGIIPGLHRQCLYGGLRIGLYEPVKALFVFVGDAALLNKILAALTTGIIAIVIANPTDLVKVRLQADGKATAVKRHYSGALNAYATIIRQEGIGALWTGLGPNMARNALINAAELASYDQFKQMFLNLPGFSDNVYTHLLAGLGAGIFAVCIGSPVDVVKSRMMGDSTYRSTIDCFVKTLKNDGLAAFYKGFIANFCRVGSWNVIMFLTLEQVRRFFLQG* >Brasy1G240600.1.p pacid=40053562 transcript=Brasy1G240600.1 locus=Brasy1G240600 ID=Brasy1G240600.1.v1.1 annot-version=v1.1 MRCELWCALACKEAVSRTLNVKSEPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKVVDLVPGHREHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVDVPQDTPRCSERLAHHQKKVARSVEIVAQESLVCALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAG* >Brasy1G188100.1.p pacid=40053563 transcript=Brasy1G188100.1 locus=Brasy1G188100 ID=Brasy1G188100.1.v1.1 annot-version=v1.1 MVAPDAPGSTVDGRKTLPDECKALWRVAGPVILTEVFQFMIAFVTAAFVGHVGEVELAAVSIVNGVVEGLAFGLLLGMGSALETLCGQAVGAGQLHTIGIYLQRSWIICLATAVALLPVYVFTGPILRLLRQSPAISAVSGRYARWCVPQLFAYAVNFPMQKFYQAQSRVWAMTAISGAALGAHALLCWLVVARLRRGVLGAAVAGNVSWWLINAAQFVHIFGGSFPKAWTGFSRKAFARLGGFVRLSLASAVMLCMNYHIWALMVTLGFNAAVSVRVANELGANHPKAAKFSVVVATTTSAAIGMVFTLIALVARKQLPRLFTDDDLLVKETAKLGYLLAAAVFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLAAVFGFKLKLKATGIWAGMLIGTILQTIILFVILFRTKWQKEAMLAEERVQAWGGEVELPTIQETR* >Brasy1G316500.1.p pacid=40053564 transcript=Brasy1G316500.1 locus=Brasy1G316500 ID=Brasy1G316500.1.v1.1 annot-version=v1.1 MSKANNLQPFRPPQFTPVVHPQKPQFIQSVEFPLTGQGMLGANTGVSKQMPHFPQSGEHLPCSGQAQPASQVVPMPYQPARPKSSAPLLSQQQPVFPGGYLPTRGVHIPSLSYICQPYSVPPPGVQARDTALDRSLPLVKSQMQHGHQPVSSSATLPSFGNAKVNSSEPSSSDWQDHTSTDGMKYYLNKKTRQSTWEKPVELMTPLELTQLAIAKVKSAETSFLDWQENTSTKGKKYYYNKKTRQSSWEKPVELMTPLERAHASTEWIGFSTPEGRKYYFNRVTKESRWTIPDKLKIARELAEKASNQLPDRERRTTVGSPVCADSSYVPLNQSSSIVRIIASSTNNDASNSVYPGASISHNMDKDSSFLESDIQIGAPSTSTVAPVTTNTEVPSVESNSGTSRTNNKNSFLTSTADTQDGASAEDLQGACLTISHVSRLVSVSVGW* >Brasy1G011700.1.p pacid=40053565 transcript=Brasy1G011700.1 locus=Brasy1G011700 ID=Brasy1G011700.1.v1.1 annot-version=v1.1 MILNSTTTLICAHRHRLLGTPNQIPTQNPNPPTRIPVPGSGGSMSSSPGSLGRVDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETAHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRKEAEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENDLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVHLMIENPARANGHIFNVGNPDNEVTVRELAEMMTEVYANVSGEPPLDEPLIDVSAKQFYGEGYDDSDKRIPDMTLINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQATASS* >Brasy1G319200.1.p pacid=40053566 transcript=Brasy1G319200.1 locus=Brasy1G319200 ID=Brasy1G319200.1.v1.1 annot-version=v1.1 MDQVLNKVGSYWFSKKASKEIDSIGDDLNSVSSSIGGGAKWMVNKIKGKLQKALPDLLKEYDMPTGLFPRDTTNYEFNQETKKLTVYIPSACDVGYKDSSVVRFFTCVTGYLEKGKLSDIEGMKTKVLVWTKVTSIKTEGSKVHFTAGMKKTRSRDAYEVIRDGIIIDKF* >Brasy1G513900.1.p pacid=40053567 transcript=Brasy1G513900.1 locus=Brasy1G513900 ID=Brasy1G513900.1.v1.1 annot-version=v1.1 MDDMDSTESGMDMDEAPPDSSSSSPAPASRPCPASSSSSSSRFKGVVPQPNGRWGAQIYEKHARVWLGTFPDESSAARAYDVAALRFRGRDAVTNYHQQSSSSSPSPSSSSSSSPAATASELAFLAEHSKAEIVDMLRKHTYADELRQGLLRGHGAGARVHTPAWAREVLFEKAVTPSDVGKLNRLVVPKQHAEKHFPEPEKTTTGNKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLAAGDTIVFSCASAAYGNGDQRQLFIDYRKMARTSNKDDDAAEESRSVVKLFGVDIAGGGGDRSAERYTEIRSWLIDRFIFTSKILH* >Brasy1G513900.2.p pacid=40053568 transcript=Brasy1G513900.2 locus=Brasy1G513900 ID=Brasy1G513900.2.v1.1 annot-version=v1.1 MDDMDSTESGMDMDEAPPDSSSSSPAPASRPCPASSSSSSSRFKGVVPQPNGRWGAQIYEKHARVWLGTFPDESSAARAYDVAALRFRGRDAVTNYHQQSSSSSPSPSSSSSSSPAATASELAFLAEHSKAEIVDMLRKHTYADELRQGLLRGHGAGARVHTPAWAREVLFEKAVTPSDVGKLNRLVVPKQHAEKHFPEPEKTTTGNKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLAAGDTIVFSCASAAYGNGDQRQLFIDYRKMARTSNKDDDAAEESRSVVKLFGVDIAGGGGDRSAERRYAAG* >Brasy1G391200.1.p pacid=40053569 transcript=Brasy1G391200.1 locus=Brasy1G391200 ID=Brasy1G391200.1.v1.1 annot-version=v1.1 MGFLSCLFRCPEDGVAAAAEEEECSRVAHQDASVEFSESSESCPLKTESMDMEGIQRNGAHDEALIFTMRELADATNNFSPDFLLGRGGFGCVYKAYMNGGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLLGYCVDGDQRLLVYEYMPLGSLEDHLHDLSPNQQPLDWTTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYASTGQLTIKSDIYSFGVVFLELITGRRALDSNRPREEQDLVSWARPLFKEQKKFPKMADPLLQGHFPRRGLYQAMAIAAMCLQEKARNRPLIKEVAAALSYLSSQTYDRHDAASRRHLVGPSAPRAQDDLVNQDLAMPSQHGAETSMHDRSNHFVPEGKEHCWSGSNRTSRGRVVPNGVDRERALADANVWAEAWRRHEKENKIR* >Brasy1G357300.1.p pacid=40053570 transcript=Brasy1G357300.1 locus=Brasy1G357300 ID=Brasy1G357300.1.v1.1 annot-version=v1.1 MAATLLPTLSPPVSRAAAFLLLRAPPKPLRTHHHLFRSLLSSASSPSTPATLRSLAPMASAATPDRRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLVKYGGVAPKMAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFVVSGGVASNQYVRTRLNYIAEKNGLQLISPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYDLRPRWPLGEEYSEGRSVSRSMKTARIHPSLTSMIQGSLHK* >Brasy1G357300.4.p pacid=40053571 transcript=Brasy1G357300.4 locus=Brasy1G357300 ID=Brasy1G357300.4.v1.1 annot-version=v1.1 MAATLLPTLSPPVSRAAAFLLLRAPPKPLRTHHHLFRSLLSSASSPSTPATLRSLAPMASAATPDRRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLVKYGGVAPKMAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFVVSGGVASNQYVRTRLNYIAEKNGLQLISPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYDLRPRWPLGEEYSEGRSVSRSMKTARIHPSLTSMIQGSLHK* >Brasy1G357300.3.p pacid=40053572 transcript=Brasy1G357300.3 locus=Brasy1G357300 ID=Brasy1G357300.3.v1.1 annot-version=v1.1 MAATLLPTLSPPVSRAAAFLLLRAPPKPLRTHHHLFRSLLSSASSPSTPATLRSLAPMASAATPDRRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLVKYGGVAPKMAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFVVSGGVASNQYVRTRLNYIAEKNGLQLISPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYDLRPRWPLGEEYSEGRSVSRSMKTARIHPSLTSMIQGSLHK* >Brasy1G357300.2.p pacid=40053573 transcript=Brasy1G357300.2 locus=Brasy1G357300 ID=Brasy1G357300.2.v1.1 annot-version=v1.1 MAATLLPTLSPPVSRAAAFLLLRAPPKPLRTHHHLFRSLLSSASSPSTPATLRSLAPMASAATPDRRDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLVKYGGVAPKMAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFEVLHRINMLGLA* >Brasy1G357300.5.p pacid=40053574 transcript=Brasy1G357300.5 locus=Brasy1G357300 ID=Brasy1G357300.5.v1.1 annot-version=v1.1 MAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFVVSGGVASNQYVRTRLNYIAEKNGLQLISPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYDLRPRWPLGEEYSEGRSVSRSMKTARIHPSLTSMIQGSLHK* >Brasy1G357300.6.p pacid=40053575 transcript=Brasy1G357300.6 locus=Brasy1G357300 ID=Brasy1G357300.6.v1.1 annot-version=v1.1 MAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFVVSGGVASNQYVRTRLNYIAEKNGLQLISPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYDLRPRWPLGEEYSEGRSVSRSMKTARIHPSLTSMIQGSLHK* >Brasy1G357300.7.p pacid=40053576 transcript=Brasy1G357300.7 locus=Brasy1G357300 ID=Brasy1G357300.7.v1.1 annot-version=v1.1 MAEEAHALAIDQVVQKALDDAHVSESDLSAVAVTVGPGLSLCLRVGVHKARTIAKAFGLPIVGVHHMEAHALVSRLVNKGLDFPFLALLISGGHNLLVLAHNLGDYVQLGTTVDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESRYLCTDGIPISSATAEDRQLRADIAASFQRVAVLHLEERCQRAVEWALKMKPSVKNFEVLHRINMLGLA* >Brasy1G564300.1.p pacid=40053577 transcript=Brasy1G564300.1 locus=Brasy1G564300 ID=Brasy1G564300.1.v1.1 annot-version=v1.1 MEDYLKAGNADSGRGFLLPHPHGAEGASSLGLALRAFAPAGGWVQPLLSDLGDYARLKISELPPVSPPRASSSILHKARLMSPTSPLDACTLSPKPSPLKRSKTARLSSPGCVL* >Brasy1G192700.1.p pacid=40053578 transcript=Brasy1G192700.1 locus=Brasy1G192700 ID=Brasy1G192700.1.v1.1 annot-version=v1.1 MLEGKATVEDTDMPANMQLQATSAASKALDRFDALDCRSIAAHIKKEFDAAHGPGWQCVVGCSFGCYFTHNKGSFIYFSLESLRFLVFKGAAEEQQPRA* >Brasy1G420400.1.p pacid=40053579 transcript=Brasy1G420400.1 locus=Brasy1G420400 ID=Brasy1G420400.1.v1.1 annot-version=v1.1 MAGGGGGGEGSVAAAGGGKVACAAWIRRREDRATRVFAAYGRASSPPALEVLGFDSERCALSEEPLARAVLGENPDDAPRSIAVHPTGDELVCATAKGCRLFKMIFEEFTVRLIPREAPPLASIGPQKCLVFSTDGAKIALGGEDGHLRIFHWPSMNMLLDEPKAHKSFRDMDISLDSEFLVSTSTDGTARIWKIDEGVPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGTKVVTVVWNISDWARIGYKRLLGKSISTLSVSMDGKFLALGSHDGDFCAVDVKKMEVSHWSKKVHLGSPISSIEFCPTERIVISTSPQWGSELTKLNVPADWKEWQVWLILLALFLGSAVLFYVFYQHSGSFWNSPVGRHQPTKPWSVLKEAPPSPENQNLW* >Brasy1G473200.1.p pacid=40053580 transcript=Brasy1G473200.1 locus=Brasy1G473200 ID=Brasy1G473200.1.v1.1 annot-version=v1.1 MESTVDEHVTQWRGSSAAEACRRWGGRRRISGFGVLRVERERRLAARRHGRMADLAGQVAVARVERGGGIVAGIGEDRRRRSLVRARSRMGKRRGRDLPFVVGKGGRGLPFVAGEGGGACLLWPGRAGDGFGKAWCKDDEE* >Brasy1G357200.1.p pacid=40053581 transcript=Brasy1G357200.1 locus=Brasy1G357200 ID=Brasy1G357200.1.v1.1 annot-version=v1.1 MNIRDDKEVEAVVVNGSVMDIGHIIVTAVGGRNGQPKQTFSYMAERVVGHGSFGVVFQAKCLETGERIAIKKVLQDARYKNRELQTMQVLDHPNVVCLKHYFYSTTEKDEQYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALSYIHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTREEIKRMNPNYTEFKFPQIKAHPWHKIFNKRMPAEAVDLVSRLLQYSPNLRSTALEVLVHPFFDELRDPNTRLPNGRPLPPLFNFKPRELKGAQMEFLVKLVPQHAKKQCAFLGL* >Brasy1G357200.2.p pacid=40053582 transcript=Brasy1G357200.2 locus=Brasy1G357200 ID=Brasy1G357200.2.v1.1 annot-version=v1.1 MNIRDDKEVEAVVVNGSVMDIGHIIVTAVGGRNGQPKQTFSYMAERVVGHGSFGVVFQAKCLETGERIAIKKVLQDARYKNRELQTMQVLDHPNVVCLKHYFYSTTEKDEQYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALSYIHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTREEIKRMNPNYTEFKFPQIKAHPWHKIFNKRMPAEAVDLVSRLLQYSPNLRSTALEVLVHPFFDELRDPNTRLPNGRPLPPLFNFKPRELKGAQMEFLVKLVPQHAKKQCAFLGL* >Brasy1G357200.3.p pacid=40053583 transcript=Brasy1G357200.3 locus=Brasy1G357200 ID=Brasy1G357200.3.v1.1 annot-version=v1.1 MNIRDDKEVEAVVVNGSVMDIGHIIVTAVGGRNGQPKQTFSYMAERVVGHGSFGVVFQAKCLETGERIAIKKVLQDARYKNRELQTMQVLDHPNVVCLKHYFYSTTEKDEQYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALSYIHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTREEIKRMNPNYTEFKFPQIKAHPWHKIFNKRMPAEAVDLVSRLLQYSPNLRSTALEVLVHPFFDELRDPNTRLPNGRPLPPLFNFKPRELKGAQMEFLVKLVPQHAKKQCAFLGL* >Brasy1G157500.1.p pacid=40053584 transcript=Brasy1G157500.1 locus=Brasy1G157500 ID=Brasy1G157500.1.v1.1 annot-version=v1.1 MVGSGAAGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKVFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPTEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPSPSSFPLKQPPPPQARAPPQLFNWPAGQPQLPHGAASPLFPPGPAAFHPSARSPMPHFPGGGAKDESHMYHHLPPSSHSHHANEHHQQMGEAPEGDLKWPNGLSFFTALTGRADDAKLLFEGGGDGKQQQQQDAAAQTGHGGAENVEEYLSLESNSNKARRMESAQAQSTKFKRSFTLPARMSPSTTSTSPSVSASTGPAPPPQQQQQQGMDQYRAEGGVYSDLMETFLE* >Brasy1G574000.1.p pacid=40053585 transcript=Brasy1G574000.1 locus=Brasy1G574000 ID=Brasy1G574000.1.v1.1 annot-version=v1.1 MYVALSAVQWVVGKALSPVVDGVLEKWGASKNLGPNIEALKMELLLVQATLETASRKEIGGQAMKKLLQKLRDSAHSAEDLLDELDYFRIHDKLHGTYDAADQHGKGCISDIVLNAGHTAKAVGKLACLPKCLPAASPADPGQEDAREQASCCAWPRVKHRARGNSSSAPDSNQANEEVRGCMPKLGKFFPCSSSPDVVVDDETPKLGFNRVDVSERMKHIVEELQPVRREVTTILQSCGPITVRAIAQSRPITTSQSIEPKLYGRDHIVKRIIHDITKGKYCGKDLSVLPIVGPGGIGKTTLIQHIYIDQEVQNHFPVRIWVCVSLSFDLNKLLEEIKRCIPRVEGEKEGTTEELIEQRLKSRRFLLVLDDIWECSNQDDWKRLLLPLKRSQGNGSQIVVTTRFPAIAQMVKSKTSDLSIELEGLEIEEFQKLFFSFVFDDEKSRTDNNFLLETGYKIIDKLKGSPLAAKTVGRLLKADLNLRHWRRVLESKEWERQTGSNDIMPALKLSYDYLPFHQQQCFSYSALFPEDHKYNSTKLINFWMGLSILQPGDRNQTLEDIGLNNLNNLVSHGFFKEEETDGHLHYVMHDLLHDLALKVASNECLSLHHSDMGSVEIQQSIRHLSIIIDDVGGDNADEKFKTVLKNLKARLNVGHLQTLMLFGKVDESFASIFRDLFKEAKALRVLHLPNMHCPVEPISRNFSALVHLRYLCLGIEYGWEMDLPVAISILYHLKVLDLESCCEYLHFPKDICNLAKLFHFYTPTDELHSDISNVGKLELLQELKSFRVHKESEGFELKQLERLTELRELGIYNLEKIHTKEEASEANLREKNYLERLTLDWDSERANADPDVEAVVLESLQPHRYLQKLSVRGHRGLSCPKWLSDELEVKDLQSLHLSGVSWEDFPSLGKMCDLREVKLECIATMKEFVVEQSFCRLTRLTLVGLEIFEKWVPSQDAHHMFPGLQVLIIRDCPKLLVLPFSNHIVCPPDQDRKMDWFPKLQKLEVKNCPEFLLVAHIPWTETLHEVNMSGVKLLEQFYYSKSSSDGVSLSINGKDDLHSIDQVLASDILTEVTKLTIKKAHVWT* >Brasy1G533500.1.p pacid=40053586 transcript=Brasy1G533500.1 locus=Brasy1G533500 ID=Brasy1G533500.1.v1.1 annot-version=v1.1 MALTKNTALCLTVSLLMMSTALLPCHAAGRTIAPSPSYRVVPAQEPYKQMCFKFANCAKDPDAAEVPCGLDCQFFGYDVHKGYCVPDHGGICCCLGSTS* >Brasy1G447600.1.p pacid=40053587 transcript=Brasy1G447600.1 locus=Brasy1G447600 ID=Brasy1G447600.1.v1.1 annot-version=v1.1 MAPRLLVVLPVVLIGWAFQAILRPPPPKLCGSAGGPPVTSPRIKLRDGRYLAYREDGVQRDKAKYKIITVHAFDSTKDFPSPVSKELVDDLGIYRVAFDRAGYGESDPNPGRNVKSEALDIEELADKLDLGEKFYVLGVSMGGYSVWGCLQYIPHRQEQKLQPYCRLAGAALVVPVINYWWPSFPAEVSRQAFKKLIVPEQRTLRIAHNAPYLLYLWMTQKWFPSSAASVMHHPEIFSKHDVEVIQKMMAMPRIIEQGIYESIHRDLLVAFGNWEFDPMNITNPFPTNEGSVHIWQGYEDKLVLVELQRYISKKLPWIQYHEVPEGGHMFMLVDGWTDKIIKALLVGEEASAL* >Brasy1G367900.1.p pacid=40053588 transcript=Brasy1G367900.1 locus=Brasy1G367900 ID=Brasy1G367900.1.v1.1 annot-version=v1.1 MSNSTEKCVSIVLAIILPPLGVLLKFGCQTEFWLCLLLTLFGYLPGIIYAVYVLTK* >Brasy1G561500.1.p pacid=40053589 transcript=Brasy1G561500.1 locus=Brasy1G561500 ID=Brasy1G561500.1.v1.1 annot-version=v1.1 MHWHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELNGCGNAAPMAQMITPSLTCFLSGWVF* >Brasy1G353100.1.p pacid=40053590 transcript=Brasy1G353100.1 locus=Brasy1G353100 ID=Brasy1G353100.1.v1.1 annot-version=v1.1 MAFTRVELRCPKTLDPCPSWTLGDVLTEFDAFEATRLAVPPMPLKQPPKWADGGSGREKAFVMRVEEEGDTEDEDDDSDEDARALVAHGARFSCNDIESSDSEESGDELGGRVTPYHLMEKRSLEKSILLELEREHHLKIQEEVRSKLSALEVCHQNEIQRTISALARLQKYAESRKEIDRRLDVHFQRKIAEILDKHLSMVQRDHEQKSQIVERRIRDDAAVEEAKRREQAMKEDKIKQERTRQEAKARQKAAAKLAAEAQKAAYEAAQKEAAETEAAKLRAAAVSQNSVAHATTVNKEEIKSELPGIKVFADSSALEAESRSHALHDQVPSNIYLSKEFSKYDRQIGKSISKLMPTTDSVKARASELVKALDGHDCPRPIACRLFADKIISIVKSRNPKDKTFGNLAFACGYVMLLVTNQVPEAMDYLLAEFHKVCVYTVPKHMHALNAQARNRDYYRLIGYQEENGQLESTEKYLTNVAAYVKLYAAMIQTEIKGVHHPHGLAEGWKWLAMFLNTLPATTATACALHAFLKMAGFALHKKYGSQFMKVLDVISRCFLPVLKEQGSKVQPEAVNNLQNYLNDKIYLEEPEGQYLAQQLLSKVFM* >Brasy1G329000.1.p pacid=40053591 transcript=Brasy1G329000.1 locus=Brasy1G329000 ID=Brasy1G329000.1.v1.1 annot-version=v1.1 MAASGEGASLPSPAGGEDGRRRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPFPASEADISRFHSEEYVSFLASATGNPTILDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAGEGKHYAVNVPLSDGIDDSTFRDLFQCIMKRVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNVPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHVEPRSVENLNTAKDLENIKNMILDHLSTLEHVPSTQFHDRPSDPEAPEEKEEDMDKRPSQRSRLWSGGAYDSDTEDPDMKTEADDLIGNSIVKDESNDDL* >Brasy1G324800.1.p pacid=40053592 transcript=Brasy1G324800.1 locus=Brasy1G324800 ID=Brasy1G324800.1.v1.1 annot-version=v1.1 MNASKKSADAAGKSSTTSLDAGFAVIRGEGWHYFMQDLSIVVGRSTKSKGEVHLDLTTKVRGAKKNICPHHARLFYDFQKHQFSLEVLGRNGCTVQGVLYLPGKDPIKLKSQDLIQIGDIKFYFLLPSCSISDSFSAWHAETLSQSLSSSSVSPPYPSSIKFREYTFIIAPPKDDTQHTQDLHSKSCGHGWVNGDHGITVGTETQGTSMGQNKGSSDELDMYCSPINVEPLGEHAKKVVQEADKDTDNNEQLCVTDEKDLISYVITLVADNCKQAGEWMPMEELHAKLIEHFSKICPCWMVQKYLAPEDGSSTGMLGKPWKNLLELLLKHPEHFDTSTIRRGTTTSEFVSLASMRV* >Brasy1G443200.1.p pacid=40053593 transcript=Brasy1G443200.1 locus=Brasy1G443200 ID=Brasy1G443200.1.v1.1 annot-version=v1.1 MAAALAVTDELVLPLRAVEDLAAAAEVSLEEVVVITSGRGKLPFDDASVGAVLSVVKNVESFGDQLVAEINRVLQAGGILLVQSITPSSNQKLNNHIEHKLLMGGFVEVRASAASSQDSEQSVTVSGLVSHCIKAAVQASRKLLMGGFVEAQASATSSQDTVQSVTVKAKKPSWTMGSSFSLKKATKAIPNMQIEDDTELIDENVLLTEDFKKPKLPVGSQQTLYVWFAILGFSFSSSKMLLDFRSR* >Brasy1G041100.1.p pacid=40053594 transcript=Brasy1G041100.1 locus=Brasy1G041100 ID=Brasy1G041100.1.v1.1 annot-version=v1.1 MNRRFLNLVIKNSADCLYSLRRIDPYKHFFYGSTRAALEAADEAANKKETFPAMQTMQLPPPCANFTAATAGGNMAMFALLSPRGTSEGRMVYADSEGEAGLYDADKNSHNILGRLNEPKGMDPVCLSVVHPGDPGQSIMYVLDSCPQESHPGSGIDRCFEVLESVPTAAVALCSDGKSTWWRWRLLPPPPFVLEDGYISTTITSYATKVDGNGYSTIYISAGCGIGTYSFETARLDSSHRQGWRRTEKWSRVGEWQLPVIGSAHYVPDFNQWLGFLDSTPHHLCAVNLSAMDHERPPTVQQVWQDVSPPEGEEWLVANINLVNLGGGKFLIAKTFEEGPYSHQFTLLTGIEMIMAGDKSLKMVKHKCARFDLHKKSIHWVL* >Brasy1G348500.1.p pacid=40053595 transcript=Brasy1G348500.1 locus=Brasy1G348500 ID=Brasy1G348500.1.v1.1 annot-version=v1.1 MAWSRLASRSSRRPFPVLPRPTTLSPPPHPLVAPATPSPPLRRLLLPLSSPRFAAAAASSSSVRLLDDDWRRAVGGRSRWLASDASAAPVPAGEAAELVDVPLAQTGEGIAECELLRWFVSEGDQVDEFQQLCEVQSDKATIEITSRFKGTVHQVHFSPGDIVKVGETLLKMIVGDSQVVSHDSMASSPDVSLGMDSASPVGEGNAPHGTLSTPAVRHLVKQYGLNINDIQGTGKDGRVLKEDVLNYAANKNLCQDKPSALKENVGQVELLEGGKSLLDAQCYEDKRVPLRGYQRSMVKSMSLAAKVPHFHYLDEINCDALIKLKASFQKENKDHNIKHTFLPFLIKSLSMALNKYPLLNSSFIEETNEVVFKGSHNIGVAMATAHGLVVPYIKKVQSLSILEITKELSRLHEMALHNKLSTEDIAGGTITLSNIGAIGGKFGSPVLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR* >Brasy1G348500.2.p pacid=40053596 transcript=Brasy1G348500.2 locus=Brasy1G348500 ID=Brasy1G348500.2.v1.1 annot-version=v1.1 MAWSRLASRSSRRPFPVLPRPTTLSPPPHPLVAPATPSPPLRRLLLPLSSPRFAAAAASSSSVRLLDDDWRRAVGGRSRWLASDASAAPVPAGEAAELVDVPLAQTGEGIAECELLRWFVSEGDQVDEFQQLCEVQSDKATIEITSRFKGTVHQVHFSPGDIVKVGETLLKMIVGDSQVVSHDSMASSPDVSLGMDSASPVGEGNAPHGTLSTPAVRHLVKQYGLNINDIQGTGKDGRVLKEDVLNYAANKNLCQDKPSALKENVGQVELLEGGKSLLDAQCYEDKRVPLRGYQRSMVKSMSLAAKVPHFHYLDEINCDALIKLKASFQKENKDHNIKHTFLPFLIKSLSMALNKYPLLNSSFIEETNEVVFKGSHNIGVAMATAHGLVVPYIKKVQSLSILEKCYGAYKYGCTTSALLI* >Brasy1G024700.1.p pacid=40053597 transcript=Brasy1G024700.1 locus=Brasy1G024700 ID=Brasy1G024700.1.v1.1 annot-version=v1.1 MSKHVPPPSSDSQQQRRGQLTASRPPPSPVNPGKDERGGARLLLATDKYIPESGGGWWCSPSASPLRSSTFFFGSQASTHARAQPPLRHPPPANPTPRSSTYTAVPTPCSAKAKTNLEAKAKRKSTNADGHGERERERSGMDRAAMPAQEELPLPSPEAIQFAQPDSRFRTLNPGPIPIPAAPSSRSLVESVSDQRVNLDGISSYQSENGSLSTVSSTVSSEESEKAAYELLAHTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYELETAQKQQTKIKDCGSFTGNNLGKLANQRMLETSASDQTGCCGNHGICSHEVLQDEVPGPNPRSSHKMVGRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLRWESRPQTVLFITKPNSNPVRVLCAEMVRWLKEHKNINVFVEPWVSKDLLTEDSSHNLVQTWDNDEERKVLHKKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSMGSLGFMTRFPSQQYRDCLENVLNGPFSITLRNRLQCRVIRDAAKDELETEEPILALNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGHAWASFDGKDRKQLAPGDALICSISPWPVPTACLVDSTTDFLHSIHEGLHWNLRKTQSLDGPRD* >Brasy1G024700.2.p pacid=40053598 transcript=Brasy1G024700.2 locus=Brasy1G024700 ID=Brasy1G024700.2.v1.1 annot-version=v1.1 MSKHVPPPSSDSQQQRRGQLTASRPPPSPVNPGKDERGGARLLLATDKYIPESGGGWWCSPSASPLRSSTFFFGSQASTHARAQPPLRHPPPANPTPRSSTYTAVPTPCSAKAKTNLEAKAKRKSTNADGHGERERERSGMDRAAMPAQEVSDQRVNLDGISSYQSENGSLSTVSSTVSSEESEKAAYELLAHTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYELETAQKQQTKIKDCGSFTGNNLGKLANQRMLETSASDQTGCCGNHGICSHEVLQDEVPGPNPRSSHKMVGRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLRWESRPQTVLFITKPNSNPVRVLCAEMVRWLKEHKNINVFVEPWVSKDLLTEDSSHNLVQTWDNDEERKVLHKKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSMGSLGFMTRFPSQQYRDCLENVLNGPFSITLRNRLQCRVIRDAAKDELETEEPILALNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGHAWASFDGKDRKQLAPGDALICSISPWPVPTACLVDSTTDFLHSIHEGLHWNLRKTQSLDGPRD* >Brasy1G287200.1.p pacid=40053599 transcript=Brasy1G287200.1 locus=Brasy1G287200 ID=Brasy1G287200.1.v1.1 annot-version=v1.1 MVRIQLLQPAGASDARDGPGEDETGHVHREDERVAVQENEAISMSSRNRTGPPTPFQDISNDQDLAAR* >Brasy1G035100.1.p pacid=40053600 transcript=Brasy1G035100.1 locus=Brasy1G035100 ID=Brasy1G035100.1.v1.1 annot-version=v1.1 MARQSVAASVLAAMLLVVGVFASIPTGVQSIGVCYGVHGDRLPSPAEVVQLYRSNGITSMRLYEPDVNTLLALNGSGIGVVMDVADKNVPRLASSPSVAADWVKLNIRRYYPGVAFRYIAVGNEITGSGTQNIVPAMKNLNAALSSAGLGGAIKVSTAVRMDVLAASSPPSAGTFRDAYMTQVARLLDSTGAPLLANVYPYFAYTGTKGIDLNYALFQPGSTVVHDNGHGYTNLFDAMVDALYVALAKVNILSTVQVVISETGWPSAGSAGATVANARTYNQNLIDHVRGGGGRPGGRVRPSRLTCSPCSTRT* >Brasy1G491100.1.p pacid=40053601 transcript=Brasy1G491100.1 locus=Brasy1G491100 ID=Brasy1G491100.1.v1.1 annot-version=v1.1 MVGPQYDLVGNPLGAVRSTFERAAAAAAAESGGRDPVAAFRAKDWGAIEVFRSFLFDQGGIDKVPVLDASNLGLIKPNTLVRFRGMVQDMLGSEFYAGAFKDGSTWRTNKFTDFSPFTMPHPCDSHLWERHLLHCVPVPGQNSWTMESSPGPDLRQMSNCSTSEQRDKRKRGGDDDAMDVSENGNGEGSSFSKKPKEDGVLGSSSSPEMPVSEDRVREMNGDDHHIPGSFFSCLVKVYDMPESQVKLNDVAEFIGVYTFDPELAAPVDNSDDIMFDLIEDVTAQLPPSKVPRLHCLISRKLSCHDFLSKQPAVEPSPSLLKGIRQSLLSHLTLVLGKDDLAAHCLLLHLLSRFRTKVDVVTVGRLSLNFTGFNRESASIFGNQLNTLVQRLVPYSQAIPLSIDYLNTATLQPRKDNKSGRLVTGVLQLPQGTHLTFDETLLQSGSLVSKGVENTVLLKNLMESQMVEYDFEYYKLEMATDVQLLTLSEAKSNILPSDLVVPFRPSSVSAVNASLEELESWRWYLATVRSLPQLSEPETYQAIQDDMVNVMRDDRSLGCSELSRWLTMAQITASSFGEKSLSMEHWQMVKELERLRKERMQ* >Brasy1G407600.1.p pacid=40053602 transcript=Brasy1G407600.1 locus=Brasy1G407600 ID=Brasy1G407600.1.v1.1 annot-version=v1.1 MATARVVGTMALLVLVALSMSNVASSLRPSLGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNSYHSDKEMVVALSTGWFKNMARCGHRIKITANGNSVYAKVVDECDSVYGCDDDHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSEE* >Brasy1G399800.1.p pacid=40053603 transcript=Brasy1G399800.1 locus=Brasy1G399800 ID=Brasy1G399800.1.v1.1 annot-version=v1.1 MQHKKDDTNAGVADEPSPPDCTPVWQDTPSQSVKGTEEVTQAWNAGEQLERYEKDHAARVARYAEEVRQYYRKFPKRKRDAPNVPADHTKNAPVSAASDDDFEAPAPPIVKNKVRFAVAKRKISSVLSQESPARYCEKVSGSKRRRTVDQSYVPDGEDDAADAGGEIAAAKKEIRGGAGCSRRGAGNVGKKWKSGIIKKAKTGNDDDCSDDSPKGRFQQTIRCSLGEVIEAAKLLKEPHRERVRAAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGSETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGARQLRNAQEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSASIESPPEDYSEETHEWSIGDGDGVDSAQVDAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHRDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGALAADVDKQSSDIPDGDGGVGCTPDFPPSINLSTQDTYKYP* >Brasy1G468000.1.p pacid=40053604 transcript=Brasy1G468000.1 locus=Brasy1G468000 ID=Brasy1G468000.1.v1.1 annot-version=v1.1 MSPALPILCGETPLWLDRRPWGTEAAEKTHRSLRSCSRRGGRARRRRGAAGVVAAAARVADSAAAQGVACAAPFLLPLTSSSSTHLPSPHEQQQQANNSSSPRRAAASRAPFLPREEQQQQRPLSSSRRAAAAAPPFSLAKSSSAPSPSPQWRSPPSSSPRTAAANGALSPSPPSTGPPNRATTPSLVRFPRSGALPSSDGSGAANLATAPLGFPRGGAPTLLRLLRQWQGGEYGSAPPLVRISANGWKKIACDGRRRRVDGDLSTAARATSAGTVGAGSFWVVAGASDVYV* >Brasy1G048400.1.p pacid=40053605 transcript=Brasy1G048400.1 locus=Brasy1G048400 ID=Brasy1G048400.1.v1.1 annot-version=v1.1 MAGVLGEAFDSTTVVVMLSGASRAGELGLGMALHAAAVKRRLDTDMNLWNALVDMYAKCGSFCDSEAVFWSMPCWDTASWNSVIGGSTFNGLSEVSACYFREMIRLAVQADEVTLSSVISASSRAEGLFSFGESVHGCIVKLGYEDTAPCSVANSLITFYSEFGFPEDAEKVFMRIFKKNHVSWNVMIKGLMENEKAGEALAVFREMLSECQPDFATLVTVILSCGDQGLLCEGKAIHGYITRKCLIHEESSLGNSLLGLYMKCDDSYTANLLFRTMPIRDLISWNTMLSGYSRDDSVREEAQAMFKELLSEGFSCTLTTILAVIPSCSCPEDLSFGKVVHSFILKYGFASGVSVVNALMHMYICCGDSLVAFTLLGSIMPVSDVISWNTVIVGCVQNGLHRDALEAFHFMHSYLSVNPDSITLVSILSACGTLNLQSLGKSIHCMALKHLLAFNLRVKNALLTMYFRFADTESAELIFDSLGDRNLCSWNCMISGFAQNNDGWRALQFYKKMENVVPNEMCTVNIICACTQLGDVRHGKSIHGHVVKSDLQNNVFVSASLVDMYSKCGRFDIAVRVFESSTEKSIACWNSMISAFGFHGHGLRSIELFCSMIQSGMKATRSTFIALLSACSHSGLTDEGWKYYHLMSEKFGITPTPEHHVCIVDMLGRAGQLQEAHKFVESLPKSKEAHGVWGALLSACSNKSELKMGESIARHLLCLEPKNSGYYVTISNLYAYQDIWGGAVQVRDILQDKGLMKPRGHSIVG* >Brasy1G163300.1.p pacid=40053606 transcript=Brasy1G163300.1 locus=Brasy1G163300 ID=Brasy1G163300.1.v1.1 annot-version=v1.1 MEAPPPPAAHVLVFPWPLQGHINCMLDLAAVLLAASVRVSFLHTDHNLRLQPLPQQQGLHLHSIPDGLPEDHPRSVRHLKELSESMLTTGRAAYRALLLSLIGSSAAADCSPVTCVIADGIMPFAVDVAEELGVPALAFRTASACSYLAYLSVPRLLELKEFPFPSDDPVRAVPGMEAFLRRRDLPRGVCRSGSPDGVFDPMLLTIADGIARAGNARALVLNTAASMEGAALARIAPHMRDLFAVGPLHASNGIGIADATGIDIGNGDGSNDDGCVAWLDAQGDRSVVYVSMGSLAVITHEQFTEFLCGLVAAGHAFLWVLRPDMVLQTTTTTSSVSVADAVMAAAAAGGCKAHVVEWAPQRAVLRHRAVGCFLTHGGWNSTLEAAAEGLPMVCWPFFADQQINSRFVGAVWGTGLDIKDVCDRAVVERVVREAMESAEIRARAQAMAHQLGLDVAPGGSSSSERDRLVAFIRDLSALHRV* >Brasy1G230200.1.p pacid=40053607 transcript=Brasy1G230200.1 locus=Brasy1G230200 ID=Brasy1G230200.1.v1.1 annot-version=v1.1 MAIAVSGHWTRLRTLGCGASGAVVSLAADAASGELFAVKSVQGAADAALLSREQGILSGLCSPHIVRCMGGVRGGDPDGSYHLFLEFAPGGSLADEVATNGGFLEEHAIRAYAADVLRGLAYIHGESLVHGDVKARNVVIGADGRAKIADFGCARTTLDSTRPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDNVLAAVHRIGYTDAVPEVPMWMSAEAKNFLAMCFARNARDRCTAAQLLEHPFVAVQAGEAKAARWVSPKSTLDAAFWESETDGEEEGDEISSQSSSERIKSLSCPVSALPDWESDEDWIDVLGDQHDEVCGSPATKEAADVACRTPRKVSGSATVPAEDMVVVGSFSSGELEAEDQPFDDGILAVDPAIDRSRRRAGPAAVVVEERRGPCRLKLRPPPLHAI* >Brasy1G467900.1.p pacid=40053608 transcript=Brasy1G467900.1 locus=Brasy1G467900 ID=Brasy1G467900.1.v1.1 annot-version=v1.1 MAFSATRRLSAAAAPAAKLSSLFPPRRTPKPRLPTKESGGEPWRRKPTSRPRQPWGEDAVALLRRLHEGRYLPGPDLSEAPHVLSPDIVKAAAERFGHDHQVVAKWLSGSDLKKLALFGCPSVERRTVFASKRSRSFFNIPEDKICSSCKIRSSCQFINQEVPRHHKVILSDTMRILTLFVLDACPPQLAITAELKASVCKLLKDTINLSSIFDKPIV* >Brasy1G467900.2.p pacid=40053609 transcript=Brasy1G467900.2 locus=Brasy1G467900 ID=Brasy1G467900.2.v1.1 annot-version=v1.1 MAFSATRRLSAAAAPAAKLSSLFPPRRTPKPRLPTKESGGEPWRRKPTSRPRQPWGEDAVALLRRLHEGRYLPGPDLSEAPHVLSPDIVKAAAERFGHDHQVVAKWLSGSDLKKLALFGCPSVERRTVFASKRSRSFFNIPEDKICSSCKIRSSCQFINQEVPRHHKKKNKGHHISNSL* >Brasy1G364100.1.p pacid=40053610 transcript=Brasy1G364100.1 locus=Brasy1G364100 ID=Brasy1G364100.1.v1.1 annot-version=v1.1 MTAASRPQSNGEPLVDGEPFAKFFGCWISEQSRDLAALRDAAAADASSSSTDLRRLVDRVLGHYEHYYRAKSAAAAADVRAMFAPSWISTTESLYLWCGGWRPIAALHLLYSKSGAQLEAQLPAFLDGTGSLRVDDLGGLSADQLRAADQLQRRTIGREREIEEAAAAAQESLATGKMVELAAGAMEAAGLEREMEAKAEGMRRVLEMADGLRLETMRAVVALLRPPQAVHFLLAAAELHLAVHHLGCRKDAHGGPSGG* >Brasy1G561600.1.p pacid=40053611 transcript=Brasy1G561600.1 locus=Brasy1G561600 ID=Brasy1G561600.1.v1.1 annot-version=v1.1 MGPSSTSQREHKGEASSSGRVPKPKEKERPRSFDEKTRAACWRKAAVLAGRHPERWRQDAAGNIVCRRFWSCHGCLCYEYDHIVPFSKGGESTVENCQILQTRVNRSKSDKAWVEKAEMQGASCDIKFTDKELDVIEMAVYGDVIRPGKQCRCKTVAEMIGQVKSKEHVAACDLPYNDAS* >Brasy1G010900.1.p pacid=40053612 transcript=Brasy1G010900.1 locus=Brasy1G010900 ID=Brasy1G010900.1.v1.1 annot-version=v1.1 MGILLVMYASLSVLVLGSPGKLTALASGVVTSHSREEINWAHPSLDGCPKSCGDLRFDYPFGIGSGCSRDLDFSLTCVNITQPPRLFLRDGITEVIDNIYVGSNGGDYYTHKSISTIFWHTIPMKSGVHVYEFSFKSPGRSFSRGLGDTILNITGCDLDVYWVNNNTGRTTWVCTIVCPHQGIITEKMARLNCSGVGCCSFPVTSFLVPEAFQLKFVHSHSKSSTGTRSNLTSLLWNRVNISEGGAIMWWNILDQPNCVSTVRNKTKYACISNHSLCRDGSVTSSEGYNCICNAGYAGNPFIPDGCSRDKGYNPNPSRANCTRWCGNISVQYPFGLEEGCFAREEFHLNCTNMTASAMLMLGSHSQVIDMNVDQGTIKYTGGAGQQGLSFYNIFSGRALFVGYGYFYSMQWVAANLSCIEAEHNTSGYACVSINGKCVAVNARIGYGQMRYIGYRCKCSDGFQGNPYAQNGCQDIDECRQPNMCKGICHNIDGSFYCTECPRKTKYDLTKMKCATMKQHVLLSGIIIGLSSGFSILALSLSAVFLIRIWKRNIQRQLRKNYFRKNQGLLLETLISSGETANDETKIFSLEELEKATNNFDTTRIIGSGGHGMVYKGILSDQRVVAIKKSKIIEQGEISQFINEVAILSQINHRNIVSLFGCCLETDCLKFLMLNQAMVSLYISWNDYLRIAVETAGALSYLHSAASISIFHRDVKSSNILLDGNYTAKVSDFGASRLVPINQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRMKPIFTTESGTIQNLSNYFLEEFSRRGIKGIVNSQVLEEATEEEINIVAGLAEVCLRLHGEERPTMKQIEIELQILRTKRVNSCQVYARSEDQMQLMFLTPRTSVACQPSATELGDRFNLQSRERQVCSQE* >Brasy1G489800.1.p pacid=40053613 transcript=Brasy1G489800.1 locus=Brasy1G489800 ID=Brasy1G489800.1.v1.1 annot-version=v1.1 MVVAARRRPVWRWAMKAVASAVVWTAVVQLASIAGLWRPRVLADCGGGAAGLAALAGEDRVAARFSPPALVPRRVYRSNGYLLATCNGGLNQMRAGVCTLAICDMVTIARHLNLTLVLPELDKRSFWADPSDFGDIFDVKHFINSLRDELIIVKELPLKLQLKTKRRLYSMPPVSWSNETYYLKQVLPLARKHKVIHFNKTDARLANNGLPVHLQMLRCRVNFQALRFTPQIEALGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSEKETEELTRMRYAYPWWKEKEIDSEFKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGDRRLATLKAAYPKLVRKERLLSPDELRPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDLFKGGALSWDEFSAAVMEAHQNRMGQPTDRRTIPGRPKEEDYFYANPQECLGSQGGLRDVS* >Brasy1G489800.3.p pacid=40053614 transcript=Brasy1G489800.3 locus=Brasy1G489800 ID=Brasy1G489800.3.v1.1 annot-version=v1.1 MVVAARRRPVWRWAMKAVASAVVWTAVVQLASIAGLWRPRVLADCGGGAAGLAALAGEDRVAARFSPPALVPRRVYRSNGYLLATCNGGLNQMRAGICDMVTIARHLNLTLVLPELDKRSFWADPSDFGDIFDVKHFINSLRDELIIVKELPLKLQLKTKRRLYSMPPVSWSNETYYLKQVLPLARKHKVIHFNKTDARLANNGLPVHLQMLRCRVNFQALRFTPQIEALGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSEKETEELTRMRYAYPWWKEKEIDSEFKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGDRRLATLKAAYPKLVRKERLLSPDELRPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDLFKGGALSWDEFSAAVMEAHQNRMGQPTDRRTIPGRPKEEDYFYANPQECLGSQGGLRDVS* >Brasy1G489800.2.p pacid=40053615 transcript=Brasy1G489800.2 locus=Brasy1G489800 ID=Brasy1G489800.2.v1.1 annot-version=v1.1 MVVAARRRPVWRWAMKAVASAVVWTAVVQLASIAGLWRPRVLADCGGGAAGLAALAGEDRVAARFSPPALVPRRVYRSNGYLLATCNGGLNQMRAGICDMVTIARHLNLTLVLPELDKRSFWADPSDFGDIFDVKHFINSLRDELIIVKELPLKLQLKTKRRLYSMPPVSWSNETYYLKQVLPLARKHKVIHFNKTDARLANNGLPVHLQMLRCRVNFQALRFTPQIEALGRKLISTLQRSGQFVVLHLRYEMDMLSFSGCTHGCSEKETEELTRMRYAYPWWKEKEIDSEFKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGDRRLATLKAAYPKLVYRLSQDHLIGQGQTC* >Brasy1G049100.1.p pacid=40053616 transcript=Brasy1G049100.1 locus=Brasy1G049100 ID=Brasy1G049100.1.v1.1 annot-version=v1.1 MAEVGGMIASAVGEQIITKLSELVKDEIALLWGFRDDVEAMEEKMKDLEALMHDADDRLRRGEKDGEAVGRWLMKFKDVAYDVEDVLDELDANELIKKTQSKLKLFFSWNNQLLQRITIAHNMKSVRGKISKIEVEAGSLMLNLVRREARAQGSRSEETFAAISDQGIKT* >Brasy1G471500.1.p pacid=40053617 transcript=Brasy1G471500.1 locus=Brasy1G471500 ID=Brasy1G471500.1.v1.1 annot-version=v1.1 MPPWRKAAPRASPSLDPTGSQVSLGARPVGEKSKAETTMPSRRSGARSTGSLPTATESPPTTKNETLPPPPQPHSRTGRSRPKSGCRVAATPPQPRPTPPPRPPRRRRGALTPSPLTSASCALRSGREAPDPAPSRPAPPVRTDEAKPAVAPGLHRIPPPPPPTEDRDAPAIAGEPRPRRTSPRAAHGQIRPHPARTTAALGHPHPPAPDPAAASAYRSPQRQGTPPPTLPGPPPRPSLAQPPESATHHRRPPRTLWGVGPAATAAEEAGGSGGEGGIRRRS* >Brasy1G431000.1.p pacid=40053618 transcript=Brasy1G431000.1 locus=Brasy1G431000 ID=Brasy1G431000.1.v1.1 annot-version=v1.1 MPAPLGTISAGGGAAAGVAGLLRLRRGHAVASPLPAAAAAAAVHDGGQLVWGRQLRPALLLPAGLFPSQASKRFPLRPPAASAEPAGEAKSPGLLEKYPAITTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLISWAVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQTVPLSLWLSLAPVVLGVSMASLTELSFNWKGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLVCIPPALIIEGPQLMQHGLNDAIAKVGLTKFVSDLFLVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNTITTQTGIGTCVAIAGVAIYSYIKAKIEEEKRAKSA* >Brasy1G146100.1.p pacid=40053619 transcript=Brasy1G146100.1 locus=Brasy1G146100 ID=Brasy1G146100.1.v1.1 annot-version=v1.1 MAGKQFPSLAHTRPASSRCLFAVGALLLFSAVYFLLLSPSQPLPIASPISNPSITTSFVASLDQFLDSPYHPAVSSPPPADLDAAIRKEEERRLYGDPGGLWPAAHAPLRVYVYEMPRKFTYDLLRLFRDSYRDTTNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVIRVQQQEEADIFYVPFFTTISYFLLEKQKCKALYREALKWVTDQPAWQRSEGRDHIIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDYKCASETQSKRSMLLFFRGRLKRNAGGKVRSKLVTELKDAEDVVIEEGTAGAEGKVAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPLIISDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRGIDAKRVREMQSNLVKYSRHFIYSSPAQPLGPEDLTWRMVAGKLVNVKLHIERSRRVVRESRSLCTCECRVGNTTMML* >Brasy1G314200.1.p pacid=40053620 transcript=Brasy1G314200.1 locus=Brasy1G314200 ID=Brasy1G314200.1.v1.1 annot-version=v1.1 MPFSSLPWSSSPVLVCWNRRPLFRSSLTDASLGEPVAETMEAISVGRSLGSSSSNNPAGRSDLGGLSALPIPSVCCRGGEWTELLGEWRSLLRSIFLTSFLFFFSLICHQIPFWLPMRIHFLSIRQLWFSLLVYMREKRIFLKLAHAISVDIWCLLSLLAPARFSDLFFCVGTREISAREGRMKLACSFSTSFGGPLCGLRACIFISFLSRHASDSTSKPPPIQGALSPRWHEDHLITWLQRVSSVSEVVGRSAAR* >Brasy1G475200.1.p pacid=40053621 transcript=Brasy1G475200.1 locus=Brasy1G475200 ID=Brasy1G475200.1.v1.1 annot-version=v1.1 MGGSGKWVKSLVGLKKPDREVDCKNKLQVPSANGGGKGRKWKLWRSSSGDHGSLWRGSRGGSHRSPASEASDDASSVAAAAAEMFTAALATVARAPAKDFMAVRQEWATIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIDARRTKLDILREAEEGWCDSQGTLEAVRVKLQKRQEGAIKRERAIAYVYSQQLEGVPKCDQQKKSNGRSNQSGLLLKHQHCDKNNGSWSWLERWMAARPWENRLMEEHNLTNASSPDLVPSKICEDTFGVLGDFSEPNSVKVRNNNVSKRVSAKPPGATHHPRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTLVTPEKTNGSVRARPNYMSLTESIKAKQKPCGAQRTTVSKPLEDRKALSVDMKVAQA* >Brasy1G475200.2.p pacid=40053622 transcript=Brasy1G475200.2 locus=Brasy1G475200 ID=Brasy1G475200.2.v1.1 annot-version=v1.1 MGGSGKWVKSLVGLKKPDREVDCKNKLQVPSANGGGKGRKWKLWRSSSGDHGSLWRGSRGGSHRSPASEASDDASSVAAAAAEMFTAALATVARAPAKDFMAVRQEWATIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIDARRTKLDILREAEEGWCDSQGTLEAVRVKLQKRQEGAIKRERAIAYVYSQQLEGVPKCDKKSNGRSNQSGLLLKHQHCDKNNGSWSWLERWMAARPWENRLMEEHNLTNASSPDLVPSKICEDTFGVLGDFSEPNSVKVRNNNVSKRVSAKPPGATHHPRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTLVTPEKTNGSVRARPNYMSLTESIKAKQKPCGAQRTTVSKPLEDRKALSVDMKVAQA* >Brasy1G440500.1.p pacid=40053623 transcript=Brasy1G440500.1 locus=Brasy1G440500 ID=Brasy1G440500.1.v1.1 annot-version=v1.1 MAASRTLAALFAVAVLVLCSSAPRVVLATDPTQLQDFCVADMRSPVLVNGFVCKDAMMVSANDFFLPGLNVPAPLNAQGSAVKAVTVLELPGLNTLGISLVRIDYKPGGQNAPHIHPRGTEVLTVIKGQLLVGFVTTNAPLKNKLFQKQLVEGDVFVFPQGLIHFQLNNGPVAAVAFAGLSSQNFGVTTIADAVFGANPPITVDILAKAFMIDAEQVEWIQTKFATPPAAGGGGGGMAGGGGYVGGGNMTGGGEGGGYPGMRKKRSP* >Brasy1G287500.1.p pacid=40053624 transcript=Brasy1G287500.1 locus=Brasy1G287500 ID=Brasy1G287500.1.v1.1 annot-version=v1.1 TPPSRRRPPPLPLPLDLHPRRLLLLLASSRGFAKVPAAAMAARLAQLRTKAAQAAEFASKHGGAYYKEAMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDGVKQIWKNRKEIKVEDLGIATLFGVELYAWFCVGEIAGRGFTLTGYKV* >Brasy1G079300.1.p pacid=40053625 transcript=Brasy1G079300.1 locus=Brasy1G079300 ID=Brasy1G079300.1.v1.1 annot-version=v1.1 MPPGSRFISTKTIERVSTIQPDDNNGARRGGARFGSTKTIERVSTLLPEDGRSPPLPPQHYSPTTTQPHFPQGQQQHHQQPHYSPPTGQHQNQQHGKSPLILPPRRGKRRPAEYIFTFCCIFFWLLVIGIGGAVLAIYLIYHPQPPKLRVNDATLNAGYIDELRTRTGHQTLTLNADVSVLASFYNPNTKIDIVLRYMQLDLYFEGNLVGTQAVWPAPMRQAPKGFVLRSVHIDVSEVRLSRGDALSWKNATLKGGPVALQLAGRLRTEMDFGKWLPFRYWIYPRCSLWFDPPPSGALLRARC* >Brasy1G570200.1.p pacid=40053626 transcript=Brasy1G570200.1 locus=Brasy1G570200 ID=Brasy1G570200.1.v1.1 annot-version=v1.1 MPPYLKQCFLYHSLLPKSNYRFGVLHVVGMWISEGFIHGNKNDLEELGRNYFKELISRNLIEQDEFDFELRYCSMHDVVRSFGQYMARHEALIAHNGEIDVLGKFSSQKILRLSIEANQLQSGELEWKSLKEQHSLRTLISTIQIKMKPGDSLVTFSSLRTLYIVSTDVALFESLHQLIHLRYLNLVNTGISALPGNIGKIKLLQYLDIERCGRLVNLPDSIVELGQLRYLNLPDSLGMIPRGFCGLTNMRILGGFLAVMDGDWCSLDELGPLSQLRFLRLAKLENVSSGANAKLHEKKHLIYLKFYCTRRRGVSEEKQQRIEKVFNELCPPPSVEVLYIEWYFGQQLPNWMISTPTMPLNNLKFLTMNNLPCCTQLPNGLCQLPCLQFLQVHDAPCIRHVGRELLCPSQLAASPFPRFPRLNRMVLKGMVEWEDWEWEEKVQAMARLEELLLENCKLGRVPPGLASNARALRKLSIQQVKQISCLEKFPSVLELTVDWCPDLERISNLPKVQKLTISHCTKLKVLKDVPELRRLVLEGVEHLRYLENIPFVVELTVVGSSDLERISDLPKLQKLTITRCSKLKVLKDVPALQRLVLEDGQMETLPEYVKDLTPRHLEVNCSLTLLTSIATGESGPEFAKFRHVDHVKAKEISVWGRSTDYLYVLYTREPYSLDTNANPSFLSRGTLPIFEDAQRLESALKMPRRAFDYVCSLVKEKSLQHMNKYIFSDGRLFCLQDRVAIALIVLNSADPPATIGSSIGVKDSTLLQVTESFVYAMGWPRWPGTNEMEKIKSKFDRMYGLPNCCGVVHTTRIPFVSQNSDHEKNEDLLMQVVVDSDMRFTDVEFEWSDSKNQLSTLQNSDLFMECEDGDRLNGSKLKVLSDGSEEVGEYIIGDAGYPLLPWLLIPYEKENALSESEMEFNKRHSQATDVALKALARWKETWKWLHGGAWRPDSQFELEDSVKVCCMLHNIVINMEEEGAGMPSDEEENDSDQEERQLADENAVRARDILSQHLLTSMSSQSGVDQEEQQEIVASSSSGDKVEEEIKRC* >Brasy1G570200.3.p pacid=40053627 transcript=Brasy1G570200.3 locus=Brasy1G570200 ID=Brasy1G570200.3.v1.1 annot-version=v1.1 MDGDWCSLDELGPLSQLRFLRLAKLENVSSGANAKLHEKKHLIYLKFYCTRRRGVSEEKQQRIEKVFNELCPPPSVEVLYIEWYFGQQLPNWMISTPTMPLNNLKFLTMNNLPCCTQLPNGLCQLPCLQFLQVHDAPCIRHVGRELLCPSQLAASPFPRFPRLNRMVLKGMVEWEDWEWEEKVQAMARLEELLLENCKLGRVPPGLASNARALRKLSIQQVKQISCLEKFPSVLELTVDWCPDLERISNLPKVQKLTISHCTKLKVLKDVPELRRLVLEGVEHLRYLENIPFVVELTVVGSSDLERISDLPKLQKLTITRCSKLKVLKDVPALQRLVLEDGQMETLPEYVKDLTPRHLEVNCSLTLLTSIATGESGPEFAKFRHVDHVKAKEISVWGRSTDYLYVLYTREPYSLDTNANPSFLSRGTLPIFEDAQRLESALKMPRRAFDYVCSLVKEKSLQHMNKYIFSDGRLFCLQDRVAIALIVLNSADPPATIGSSIGVKDSTLLQVTESFVYAMGWPRWPGTNEMEKIKSKFDRMYGLPNCCGVVHTTRIPFVSQNSDHEKNEDLLMQVVVDSDMRFTDVEFEWSDSKNQLSTLQNSDLFMECEDGDRLNGSKLKVLSDGSEEVGEYIIGDAGYPLLPWLLIPYEKENALSESEMEFNKRHSQATDVALKALARWKETWKWLHGGAWRPDSQFELEDSVKVCCMLHNIVINMEEEGAGMPSDEEENDSDQEERQLADENAVRARDILSQHLLTSMSSQSGVDQEEQQEIVASSSSGDKVEEEIKRC* >Brasy1G570200.2.p pacid=40053628 transcript=Brasy1G570200.2 locus=Brasy1G570200 ID=Brasy1G570200.2.v1.1 annot-version=v1.1 MDGDWCSLDELGPLSQLRFLRLAKLENVSSGANAKLHEKKHLIYLKFYCTRRRGVSEEKQQRIEKVFNELCPPPSVEVLYIEWYFGQQLPNWMISTPTMPLNNLKFLTMNNLPCCTQLPNGLCQLPCLQFLQVHDAPCIRHVGRELLCPSQLAASPFPRFPRLNRMVLKGMVEWEDWEWEEKVQAMARLEELLLENCKLGRVPPGLASNARALRKLSIQQVKQISCLEKFPSVLELTVDWCPDLERISNLPKVQKLTISHCTKLKVLKDVPELRRLVLEGVEHLRYLENIPFVVELTVVGSSDLERISDLPKLQKLTITRCSKLKVLKDVPALQRLVLEDGQMETLPEYVKDLTPRHLEVNCSLTLLTSIATGESGPEFAKFRHVDHVKAKEISVWGRSTDYLYVLYTREPYSLDTNANPSFLSRGTLPIFEDAQRLESALKMPRRAFDYVCSLVKEKSLQHMNKYIFSDGRLFCLQDRVAIALIVLNSADPPATIGSSIGVKDSTLLQVTESFVYAMGWPRWPGTNEMEKIKSKFDRMYGLPNCCGVVHTTRIPFVSQNSDHEKNEDLLMQVVVDSDMRFTDVEFEWSDSKNQLSTLQNSDLFMECEDGDRLNGSKLKVLSDGSEEVGEYIIGDAGYPLLPWLLIPYEKENALSESEMEFNKRHSQATDVALKALARWKETWKWLHGGAWRPDSQFELEDSVKVCCMLHNIVINMEEEGAGMPSDEEENDSDQEERQLADENAVRARDILSQHLLTSMSSQSGVDQEEQQEIVASSSSGDKVEEEIKRC* >Brasy1G570200.4.p pacid=40053629 transcript=Brasy1G570200.4 locus=Brasy1G570200 ID=Brasy1G570200.4.v1.1 annot-version=v1.1 MDGDWCSLDELGPLSQLRFLRLAKLENVSSGANAKLHEKKHLIYLKFYCTRRRGVSEEKQQRIEKVFNELCPPPSVEVLYIEWYFGQQLPNWMISTPTMPLNNLKFLTMNNLPCCTQLPNGLCQLPCLQFLQVHDAPCIRHVGRELLCPSQLAASPFPRFPRLNRMVLKGMVEWEDWEWEEKVQAMARLEELLLENCKLGRVPPGLASNARALRKLSIQQVKQISCLEKFPSVLELTVDWCPDLERISNLPKVQKLTISHCTKLKVLKDVPELRRLVLEGVEHLRYLENIPFVVELTVVGSSDLERISDLPKLQKLTITRCSKLKVLKDVPALQRLVLEDGQMETLPEYVKDLTPRHLEVNCSLTLLTSIATGESGPEFAKFRHVDHVKAKEISVWGRSTDYLYVLYTREPYSLDTNANPSFLSRGTLPIFEDAQRLESALKMPRRAFDYVCSLVKEKSLQHMNKYIFSDGRLFCLQDRVAIALIVLNSADPPATIGSSIGVKDSTLLQVTESFVYAMGWPRWPGTNEMEKIKSKFDRMYGLPNCCGVVHTTRIPFVSQNSDHEKNEDLLMQVVVDSDMRFTDVEFEWSDSKNQLSTLQNSDLFMECEDGDRLNGSKLKVLSDGSEEVGEYIIGDAGYPLLPWLLIPYEKENALSESEMEFNKRHSQATDVALKALARWKETWKWLHGGAWRPDSQFELEDSVKVCCMLHNIVINMEEEGAGMPSDEEENDSDQEERQLADENAVRARDILSQHLLTSMSSQSGVDQEEQQEIVASSSSGDKVEEEIKRC* >Brasy1G503900.1.p pacid=40053630 transcript=Brasy1G503900.1 locus=Brasy1G503900 ID=Brasy1G503900.1.v1.1 annot-version=v1.1 MVTAVAAAAVLESPSRRPRHRLANGGEFELRHWRPARRAKGPSSGMKGRWAPPEIEIPAGADGGGGYTSLRDIISSPEYAAAAKQQAAANSPGAGAADMIRHPLVKHAAYAYLQLTPSARAGEEDRVWRRRRRRGPLCRLVAGCLGFLGALFAAR* >Brasy1G376100.1.p pacid=40053631 transcript=Brasy1G376100.1 locus=Brasy1G376100 ID=Brasy1G376100.1.v1.1 annot-version=v1.1 MSRRAGGGPPTVSVWTLADPDSKVWTLEHEATFADIWADDSYKATGLSRKIPTLATIHPDNPAVVYFFLEEHLFGVDVRARKIVECEIYGLVAPPSLCIASRFVRPWVLPRALSSAGHWSNGLTPAESANARPYQPSPGDYHMVGESRQTFIG* >Brasy1G404100.1.p pacid=40053632 transcript=Brasy1G404100.1 locus=Brasy1G404100 ID=Brasy1G404100.1.v1.1 annot-version=v1.1 MLLTRTHEYGIVQMTCYSSFFWQVNDDYIRSLNILSKKLRFSEVDPMINASKALKDIKQELERLRKKALSKVSDYIIEIFSAMRKPGTNIQILQQNLLQKYRYLVIFLKEHGLETYNGVCAAYVDTMNKVLSAYFHVYVEALEGLKLDIGVSSDVIGYDTNMVDLITRGREHLRSHRFMFSLGERANILKHIDQPGLVPRISEANSLKYPYEVIFRSLQKLLMDTASSEYLFVEAFFGEESLFYRVFEGPFAVIDEHLNLTLPNCHDAVCLMLMICITRKHQLVMSNRQLPCLETYLDKALLYLWPRFKTVFDMYLQSLYQCDVKMLSVDGTRPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLVRFAEKFATPKLQHLFLLNNYDMAISILKEAGEDAKKLQRYFEEKLECNLISFVDELLMEHFGDLIKFVKIHISEDLISYTEPPNIADVEPVVKNFAVKWKNALELMHNEVVTCCSNLLSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL* >Brasy1G404100.4.p pacid=40053633 transcript=Brasy1G404100.4 locus=Brasy1G404100 ID=Brasy1G404100.4.v1.1 annot-version=v1.1 MLYKIAELSPKLSLVVAHQGPFAVIDEHLNLTLPNCHDAVCLMLMICITRKHQLVMSNRQLPCLETYLDKALLYLWPRFKTVFDMYLQSLYQCDVKMLSVDGTRPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLVRFAEKFATPKLQHLFLLNNYDMAISILKEAGEDAKKLQRYFEEKLECNLISFVDELLMEHFGDLIKFVKIHISEDLISYTEPPNIADVEPVVKNFAVKWKNALELMHNEVVTCCSNLLSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL* >Brasy1G404100.5.p pacid=40053634 transcript=Brasy1G404100.5 locus=Brasy1G404100 ID=Brasy1G404100.5.v1.1 annot-version=v1.1 MLYKIAELSPKLSLVVAHQGPFAVIDEHLNLTLPNCHDAVCLMLMICITRKHQALLYLWPRFKTVFDMYLQSLYQCDVKMLSVDGTRPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLVRFAEKFATPKLQHLFLLNNYDMAISILKEAGEDAKKLQRYFEEKLECNLISFVDELLMEHFGDLIKFVKIHISEDLISYTEPPNIADVEPVVKNFAVKWKNALELMHNEVVTCCSNLLSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL* >Brasy1G404100.2.p pacid=40053635 transcript=Brasy1G404100.2 locus=Brasy1G404100 ID=Brasy1G404100.2.v1.1 annot-version=v1.1 MLMICITRKHQLVMSNRQLPCLETYLDKALLYLWPRFKTVFDMYLQSLYQCDVKMLSVDGTRPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLVRFAEKFATPKLQHLFLLNNYDMAISILKEAGEDAKKLQRYFEEKLECNLISFVDELLMEHFGDLIKFVKIHISEDLISYTEPPNIADVEPVVKNFAVKWKNALELMHNEVVTCCSNLLSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL* >Brasy1G404100.3.p pacid=40053636 transcript=Brasy1G404100.3 locus=Brasy1G404100 ID=Brasy1G404100.3.v1.1 annot-version=v1.1 MLMICITRKHQALLYLWPRFKTVFDMYLQSLYQCDVKMLSVDGTRPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLVRFAEKFATPKLQHLFLLNNYDMAISILKEAGEDAKKLQRYFEEKLECNLISFVDELLMEHFGDLIKFVKIHISEDLISYTEPPNIADVEPVVKNFAVKWKNALELMHNEVVTCCSNLLSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL* >Brasy1G470000.1.p pacid=40053637 transcript=Brasy1G470000.1 locus=Brasy1G470000 ID=Brasy1G470000.1.v1.1 annot-version=v1.1 MGCVCGRPAATDDGRCRQTPPSAGKLSAAGVAVVRREDEARKQARARDEALERRRAAAMAMAACQVRSPVPRAVEAEQVAAGWPPWLVSVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKQKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKLTEPQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVTDTFKDFPPPARALADVLLSVDPAERQTASSALQSEFFATKPYACNPSSLPRYPPSKEYDAKRREEESRRQRIAGGKQHPERQTRESKAVPAPDANAELASSLQKRQAQANTKSRSEMFNPCKEDSASGFPIEPPGSTHVIESSEGSKHVYPARTFHSGPLVNHNHALKSGPSKNGGLHVPCVADPPNFPVVVSVRSNVRPDDSNGTVVTQAEAFAHGRRLSESINGHFGSSGKYDQVFNPKDEKSGRVDGAIGYGSKGNKIHHSGPLTSCPAGNVDEMLKENDRQIQEVFRRTRVEKSRVRRTHGHAGEGHHQFGLRDFGAVPVFPSSRSSYRATPQ* >Brasy1G132700.1.p pacid=40053638 transcript=Brasy1G132700.1 locus=Brasy1G132700 ID=Brasy1G132700.1.v1.1 annot-version=v1.1 MASPGGGYSDRPPALDGITVEGGSGGRPPLPRPPGFARGLLKQPSRLASGVRQFASKVSMKVPEGVAGIGMGLRPGVGGRNMTRMQSSAQMGLRGLRFLDKTSGGKEGWKAVERRFEEMNKAGRIPKESFGKCIGMGDSKEFAGELFVTLSRRRHIEPEEGINKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEAKLKRTTSSLARTMIPSRYRSPLKRHVSRTADFVHENWKRIWLVTLWLAVNLALFVYKFEQYKRRSSFQVMGYCVCLAKGAAETLKLNMALILLPVCRNTLTTLRSTALSRVIPFDDNINFHKVLAGAIAVATTVHTLAHVTCDFPRLVSCPSDKFMATLGPNFGFRQPTYPDLLASAPGVTGILMIIIMSFSFTLAMHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFMFLTRVWYKKTTWMYLIVPVLFYACERIIRKIRENNYRVSILKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRSLFGKCCEAQVTSKKATLSRLETTVVADSQTEDTRFPKVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNTGPGRAYFYWVTREQGSFDWFKGVMNDVADSDHTNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF* >Brasy1G132700.2.p pacid=40053639 transcript=Brasy1G132700.2 locus=Brasy1G132700 ID=Brasy1G132700.2.v1.1 annot-version=v1.1 MGDSKEFAGELFVTLSRRRHIEPEEGINKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEAKLKRTTSSLARTMIPSRYRSPLKRHVSRTADFVHENWKRIWLVTLWLAVNLALFVYKFEQYKRRSSFQVMGYCVCLAKGAAETLKLNMALILLPVCRNTLTTLRSTALSRVIPFDDNINFHKVLAGAIAVATTVHTLAHVTCDFPRLVSCPSDKFMATLGPNFGFRQPTYPDLLASAPGVTGILMIIIMSFSFTLAMHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFMFLTRVWYKKTTWMYLIVPVLFYACERIIRKIRENNYRVSILKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRSLFGKCCEAQVTSKKATLSRLETTVVADSQTEDTRFPKVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNTGPGRAYFYWVTREQGSFDWFKGVMNDVADSDHTNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF* >Brasy1G132700.3.p pacid=40053640 transcript=Brasy1G132700.3 locus=Brasy1G132700 ID=Brasy1G132700.3.v1.1 annot-version=v1.1 MTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEAKLKRTTSSLARTMIPSRYRSPLKRHVSRTADFVHENWKRIWLVTLWLAVNLALFVYKFEQYKRRSSFQVMGYCVCLAKGAAETLKLNMALILLPVCRNTLTTLRSTALSRVIPFDDNINFHKVLAGAIAVATTVHTLAHVTCDFPRLVSCPSDKFMATLGPNFGFRQPTYPDLLASAPGVTGILMIIIMSFSFTLAMHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFMFLTRVWYKKTTWMYLIVPVLFYACERIIRKIRENNYRVSILKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRSLFGKCCEAQVTSKKATLSRLETTVVADSQTEDTRFPKVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNTGPGRAYFYWVTREQGSFDWFKGVMNDVADSDHTNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF* >Brasy1G174700.1.p pacid=40053641 transcript=Brasy1G174700.1 locus=Brasy1G174700 ID=Brasy1G174700.1.v1.1 annot-version=v1.1 MKKLRLAAGVQRLGLHAAGDGDGDSESDSASTPASSLPPEKLGEEESGGVDTALRQQPGHLLQPSFAAARPAARRLACPSSASAPFSSVVVAAPLAPPRHLHRLRRLPPWQATPNPNPRAHSQPMARCAARRRCSVSSGRPPTLADPRRPSVRPSA* >Brasy1G238200.1.p pacid=40053642 transcript=Brasy1G238200.1 locus=Brasy1G238200 ID=Brasy1G238200.1.v1.1 annot-version=v1.1 MAHLLLLLVLYIGVHVSASHGSPLLITNYDESMCLESFNCGGVDIRYPFYLSNTTQVTPNYTTYSCGYTDLKIFCQGDGETKTPILPLGQANYTIKNIFHHNHTIILADTEAITGGRCPAVRQNVTFDQEWLNYTDSFDSLKFFFGCAPLPDAEDHQINCKGFNAPPGSGNGVSFVFSSEEDNLSRENQLAEHCNQIVSVPVHEDIPMRSRPFSLPLDYGAVIKGGFELAWKQGTHHPCHVCEQSYGRCAYGESNEYLGCLCSGGKIGVPCGNSRTGSTASASITGSSKIFQ* >Brasy1G562600.1.p pacid=40053643 transcript=Brasy1G562600.1 locus=Brasy1G562600 ID=Brasy1G562600.1.v1.1 annot-version=v1.1 MPSLRPALLLAAAAVWLWWSAAGALADDDGRTGTGTPFPFLAPPTSPFPFCPPRPASTAPFPWAPAPPRAAQFPQDPGFLAAAAACRGTMAMAVAWVPAVALCSAFLVLLQ* >Brasy1G403200.1.p pacid=40053644 transcript=Brasy1G403200.1 locus=Brasy1G403200 ID=Brasy1G403200.1.v1.1 annot-version=v1.1 MDLPLILFVLVIIPLLIPLGPILSTALATHNQISPATTTASRDHHHHRSPTNTATAHFHPVPSATPSMHQNYLETEESKSLLAVDPFTAEAQASSGEEAMAALGAAATGDARPGLIVPPQASPPPPMLVAAPDLISRAQPQPQEESETNAASTTTTLLPLPNQQTSVATESPPPPIQGGFAREVSSAGEQGLQQLSRVLTSLGYNEMASAAPLLANSPPLARWPGAITVFAAPDVFLQASCPTCSRRHLLLQHIAMGYYPYSELAAAPTMKIPSASVGFCLKIVSERGPFGIHYARIYADGVDVSHPELYNDGRYVVHGLHGFLRPLTHSCFDGSHHHHHHLTARSAATSTATAASVVRIMIREAIARLRDGGYGFMALAMRVKFAELERFANLTVFALDDQAIFVGGGHDYVSAVRFHIVPEHRLTRADLLRLRPGTILPTLAGEGQNLVVTHVAGSASDDVRINYIPIKEPDVVINSRIAVHGVYVPFPRLHLANLAATVAVASAIQMNGTCGVGGPFSDCASSAMTSPKIPAAHGYGEGQ* >Brasy1G293200.1.p pacid=40053645 transcript=Brasy1G293200.1 locus=Brasy1G293200 ID=Brasy1G293200.1.v1.1 annot-version=v1.1 MAALRSCLFPPAFPAASSTRRQPLTSTSQAAILPMGRLEHRRLPNLKTAHAPRPRLAAVVRAQAHQNGDSRAPRLNHGSSQLPAAGEYFTEEMSRVTGHYLDIISTDAGGFLYAEAADMSARVCLSANDALALASKVMDSARLGLGQLGQPNEISAETIYGAVRPYAAIFSRAAEDSYKRKVSRKTVASFLGALRSLAAVSHILLEGALEGLAHTLPREAVAEYGFNSDVEGLQSQFEQQMDCLEDGIRGANDVETCRLVVPAIRDGVELAGSFVKLMLHRRGRALDKARMITRGMT* >Brasy1G428600.1.p pacid=40053646 transcript=Brasy1G428600.1 locus=Brasy1G428600 ID=Brasy1G428600.1.v1.1 annot-version=v1.1 MASTSSAAAMASTAAAAMPARIRRPRFPDGEHVRLRSRVLGTYLHADTDGAAISLSLRRASMNVAWRVHIYQDGQGNGYLMLQGAAYGLYLGSTRGIPAEHGSHGIHFYQSPYDEPEFEDIMWQVLVSGDDVLLRHVDGGFLCADGKYPGWNDRPTVDDPDGRRSMMMRWVVERIPARHNIPGLPYPQVEEPGNYVRRVWNVWMNPNDNHIEAPWRLIRFVPAGPHGLYPTDKWAEVQFRGNSIFLLRDWMVTELSEAEINYRRGYARRNPALWSDWTSIVMCVRAGRYARLSPMLVDLPVEGETLFVVVIRTGTPGEAQLRYPDIDAE* >Brasy1G218100.1.p pacid=40053647 transcript=Brasy1G218100.1 locus=Brasy1G218100 ID=Brasy1G218100.1.v1.1 annot-version=v1.1 MTAGQPLRADPQQRRHSTPALHPSVVPAYPPPESDSEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLSFHLANKLCSSTLLSTLLYDLFLGSLASHPTVRAAAVADLLAVRSRDPACAGFSHCLLNYKGFLAVQAHRVAHVLWAQNRRALALALQSRVAEVFAVDIHPAAAIGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVLIGAGAKIGAGSVVLIDVPPRSTAVGNPARLIGGRKGDDMPGESMDHTSFIQQWSDYTI* >Brasy1G551000.1.p pacid=40053648 transcript=Brasy1G551000.1 locus=Brasy1G551000 ID=Brasy1G551000.1.v1.1 annot-version=v1.1 MAVMGISLTLHNLPPLLLLLLLFIFLLPVVAFDFIDTVTLNITNRCSYTVWPAALPAAACMRLDPGKTWTLDVPYDTTRGRVWARTGCSFDSKGIEGVIIQQQVLLYDRK* >Brasy1G365400.1.p pacid=40053649 transcript=Brasy1G365400.1 locus=Brasy1G365400 ID=Brasy1G365400.1.v1.1 annot-version=v1.1 MDHIQPRDGPHSEHLPLGQKQFVHGSDAPLRLGPSGHMSMTSRSNDIPSSSHPIQFPGHRLGNRETSHVSFVPFSTGRPSGHVPHSSQPKPAPTHPLRSEECFAEVSSHVALLDSRRVAMKRKAHPVYGMNAADYFMGSSSSSNTRFSHSVQQNPTALSEPSHPQMPLSIGPSNWNGQSLVNQEGPQRNVRARHNLATASTSTTINNNLPTFGSTGSAFTSTSAGRNQAPFSLPTRTLPSGASGVTGKVSSSRPYHPAMHSSSSSVAAAPTVQGSSGGAIFANAGYAAASSSSRAISHAAVIPSYHPATSSSMRINQPSPIGTAASSTHARHVSMGHANSGRNQRARSSMYGINPSMVEAQRFMMEQLALYQSRQPPPVDPHRDLRLNIDEMSYEDLLALEESIGNVNTGLEDEKISSCVKKVVCCCSDEMQDDDDDDGSCVVCLEVYKDKDLLGVLKCKHDFHADCIEKWLQEKNACPVCKLAAA* >Brasy1G504200.1.p pacid=40053650 transcript=Brasy1G504200.1 locus=Brasy1G504200 ID=Brasy1G504200.1.v1.1 annot-version=v1.1 MPVAQYPPLYSTVICLPKQKLARVPQLPRMTNRSTAAVARSSATDASSLLWPGETKPPDRVPPLAGGSEPPWPKPQTPRPPDAPRWISGELHRHSSLAGGTEPPRVPAAAVPSS* >Brasy1G462200.1.p pacid=40053651 transcript=Brasy1G462200.1 locus=Brasy1G462200 ID=Brasy1G462200.1.v1.1 annot-version=v1.1 MSGNNNPEAGRTTPAAAVEPYARHHSFSERVQPTTVPILFAITVLVFVFIRLLLYVFVPRSGGGILRSINSFGRIGSRRGLDASALSALPVTIYRKEFGVSAGGRPDSDCAVCLSELADGDKVRELPNCGHVFHVECVDAWLRSRTTCPLCRAEVGSGPKSSATESPPQPALLGAGGTSIMTLVNVGAWSIGIFLFVRLQL* >Brasy1G454500.1.p pacid=40053652 transcript=Brasy1G454500.1 locus=Brasy1G454500 ID=Brasy1G454500.1.v1.1 annot-version=v1.1 MSSPSPPAAPGPASPPGNRTAPPPTPAASAPPPANSTSPSPPAPPAATPPTSVPQPATPSAPPPSSSGTPATPSVPPPATPGTTPPAAPSPPSTTPSPPSDRFTPPAPVSPQSPSNNGMTTPVVVGIAVGGLIALLLASLLCFCLLKKKRRHHPHHPPPPPPHHLHYYGHPPPPPPPPPVFKGEMMKPVNPPRSSSRRRYRSLLRSLSHWQVTSLSLAIVICVLDKCGCGF* >Brasy1G334200.1.p pacid=40053653 transcript=Brasy1G334200.1 locus=Brasy1G334200 ID=Brasy1G334200.1.v1.1 annot-version=v1.1 MPAPIAHARPPTTPCSFANRLRRRQPFPRPACRSKGSSRRCRRGARDRRRAASARWCTRMTRATGCFCCGRLVGV* >Brasy1G171000.1.p pacid=40053654 transcript=Brasy1G171000.1 locus=Brasy1G171000 ID=Brasy1G171000.1.v1.1 annot-version=v1.1 MMELDPRLYENVSVSDTDVRDVVLSYLMHNCFKETAESFLSSTGQKLPVDYTVDVDKRKAILNFVLEGDSLKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVVKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVSDSLNRAILAHANLPAYSSLERVIQQSTVVRQYLQQEVGKDSYPPFSLKAFLNK* >Brasy1G208600.1.p pacid=40053655 transcript=Brasy1G208600.1 locus=Brasy1G208600 ID=Brasy1G208600.1.v1.1 annot-version=v1.1 MRPAATAVAAATAAALRAAFLSPPAVASRLLAPRRVLLLPLHRLSSSSSPPSPSAAATDSQPPPLPAFMDAQFESFRTQLDGSSALRDRIRAVVSEVESASRAATAALLLVHQPVPLADVLGKVKAQVEVIKGHYSQLAEILRECPGQYYRYHGDWRSETQAVVSMLAFTHWLETGGLLTHAEAQEKLGLSCGEFGLDVEDYLTGLCFMSNDFPRYTVNRVTGGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVFYDVKIRGLVPGDSKQEVAQS* >Brasy1G203500.1.p pacid=40053656 transcript=Brasy1G203500.1 locus=Brasy1G203500 ID=Brasy1G203500.1.v1.1 annot-version=v1.1 MAAAVGDALLPDSILVAILSFLPIDEAARSTALASRWRHLFPSTLFDFRAPKRNVVKVVDSILATHPTALVRSFRAGRLRFRADQGSAPLDGWLKDLANRGLEELVLQFEERREAIPESILACASLKHLRVISGAFPDVTEAAAYPLARLTKIELSGVKISDESVESLLSQCTALEHLEMNGVLNSHGNFDKLFIEDAPNLERVLGNFMHLRKVHIEIKHAPKLEFLGYLGMLHTIEIGGTKLLSIKEEVESEDKEIGPSTKFTEERNYVKRMPSMKTLAVSVSYMTERYHTTKAFIGRFMELLKLFPCLETLYIKESWSGVDDDEVPGSWDVLRSVPCINNHLEKVVLEVYRGHDWQMEMAKFFHGRSRFLKTMEFHCMDDTGRADYGKPPSEGWVRNQQELLCFDSRASRDARFLFFKHQLPCNHHYKCHDEDYKRDYYSNLL* >Brasy1G218300.1.p pacid=40053657 transcript=Brasy1G218300.1 locus=Brasy1G218300 ID=Brasy1G218300.1.v1.1 annot-version=v1.1 MSVLCFWALPLYFQTINFRYLWEHQEGCAWWMILWRFYNLYFPDSFICGHPITEIKIMTHSARPLSISRRILNSLTPWERPTANKKCILHIRH* >Brasy1G177200.1.p pacid=40053658 transcript=Brasy1G177200.1 locus=Brasy1G177200 ID=Brasy1G177200.1.v1.1 annot-version=v1.1 MQPAPAAVGGGFSWTLAAGLAFITFNSVMAVYRSMNDTATVVFVATSYLDLVLLFCCLWLHERAAPGSAWRNRLKASVWLLTTLLTFYFAYMVMGTAGLTFPVALLVWVIAAATGIGASSAFFQFHQSTRMTKLCYCDWRSLIDLDRSPVRTKNNSLVQYSVIRLTKKGGKSLTKKANIYVLKEYHSWRGQWSCKDYIDFTI* >Brasy1G177200.2.p pacid=40053659 transcript=Brasy1G177200.2 locus=Brasy1G177200 ID=Brasy1G177200.2.v1.1 annot-version=v1.1 MQPAPAAVGGGFSWTLAAGLAFITFNSVMAVYRSMNDTATVVFVATSYLDLVLLFCCLWLHERAAPGSAWRNRLKASVWLLTTLLTFYFAYMVMGTAGLTFPVALLVWVIAAATGIGASSAFFQFHQSTSVNQAQDDETMLLRLA* >Brasy1G417900.1.p pacid=40053660 transcript=Brasy1G417900.1 locus=Brasy1G417900 ID=Brasy1G417900.1.v1.1 annot-version=v1.1 MGSGDDEGEHTRFPCLATVRSLAASVVTVLAIAVVVMVILAVQRPEDIILSIPRGYIQAEPLWYDTVYASGGKGGRSAGGDDDVVEPGPGIGNDHPVPDGLPGRADMPEADDSSYYSYYKPAKGPVTLQVSWTAYNPSGRGKVDCNVTTIRVLLVTEDVAMEMGRAEDISPEKPLRFSVPQKASHTEMQYLTVGNKDVLQYLVDQYGGKSSFMVKVQVNVTIASQVQLPSSSSSSSAGLKSAIRYDCGPVAVVHGAPDDTTYAGVTCTAPGQDGRLR* >Brasy1G272700.1.p pacid=40053661 transcript=Brasy1G272700.1 locus=Brasy1G272700 ID=Brasy1G272700.1.v1.1 annot-version=v1.1 MADWPGGLLPLPTTPRPAQRPPRSGQASTDYSYSLHQRAQSSPHAPAKPNAQLLAVLALAVTLAALLALAGVTLTAGFAALVVLSPLLLLTCPLWAPLALVAIVAGGASLFACSLAVAALGAGTWAYRYFAGRHPVGAPRRVDYARGRFAEAGSSYVATGYYGPGYSRSMKDVAPGA* >Brasy1G123100.1.p pacid=40053662 transcript=Brasy1G123100.1 locus=Brasy1G123100 ID=Brasy1G123100.1.v1.1 annot-version=v1.1 MAQLLWFAFGLLLAASSVADAATANYTFTVASMRVNQLCNSTDIIAVNGQLPGPTIEVNDGDDVVVNVTNGSPYNLTIHWHGMLQLLTPWADGPSMVTQCPIQPNSSYAYRFNVTGQEGTLWWHAHSSFLRATVYGALIVRPRNGSAYPFPAPDQEVPLVLGEWWSQNVVDVEKDALMSGQLPSRSDAFTVNGLTGQLYQCANETFTVVVEPNTTVLLRVINAALNTHLFFKVAGHNFTVVAVDACYTANYTTDTLVLAPGNTVDALIFTGPKPGSYYMAVQPHDTISPATMATSDDDSTATAILRYNGTSPTATPAMPTMPTSSDTSTANAFYFGLRGVKALTAVPTKVDVNMTIELGLGQLPCDSAQTSCNGSAFAAAMNGVSFRLPTRVSLLEAQFNGKPAGVYTADFPDGPPGSGMAMVEGTKVRSLPYNSTVEIVLQNPTAVPAENHPIHLHGFNFFVLAQGLGTFTPGNASAYNLVDPVSRNTIAVPAGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVQNGTPGSILPPPPADLPMC* >Brasy1G464800.1.p pacid=40053663 transcript=Brasy1G464800.1 locus=Brasy1G464800 ID=Brasy1G464800.1.v1.1 annot-version=v1.1 MSTQAKEKCPLCLETMDLTDKELKPCKCGYEICLWCWHRIMEMDQKDECGGRCPGCRSIYNKDRILGTSISNQILKEICADKSNLQKEQTKSQKQKSARVQSRAAEEPIDPNIVRVIQRKLVYIIGIPSELASDRVLRQKCFLGQYGKIENIIIDNIGANQQIPDSGRVYVTFSREEEAVLCIEAVNGYILNGKPLKATFGVTRYCHVWLSNKVCQKPNCSYVHQKASAEDICTKDDVGVLCARLQHLLGMNMKGPQQRSGSTLPSPVGCNSRTAIWDGNSKEKICGNAGVVPPGAGNNNPGTLLAAAPRDLSVSSGKPSSIVNASLHQQNNHECILNNQQKRSASKSQELPPLGSKDCLDERLASHDDKFQASVHLGNGISDSEQLAFGVNGTVDTSWRKPHYANIVSQGPSGPGRRFTVLTRELTSADTRYKAAGQVGSWLSNSKKPTVPTDERNDRTTIPRSQRPEEPSHRLTNKLSSATVKSHAGTEENNACSDNRDNPVQAKLMQSTSSASSTVLQSLGGSPTVLSNLSTSDDVSQTSVGPDKLSNSHRKLAAKSQSQILYQPKAAASNKNVDSTSDCHSILNSNAVSAEGKHQAPAQGGGHSLDTGKSTVPGSQISSQHSDNIRLPRSVSILSSAAMLAKDIKGRKRQVCPPGFEEIHRSYDSGKYVSTPDALVQDSCSMTDQQGIISWVSECLEDDGDTKQSNSMSISSTLSSTDTIWRPTQLPGSGFGTSNQSLLSPYRGGMLQYMGEVQNPMRCCCTFPAISGRPTNKPEYWNGSANSYMAPGGYDALCQNTSGSANSYMTPGGYNGSADSGLNSAQVDLSYPMYTLF* >Brasy1G294900.1.p pacid=40053664 transcript=Brasy1G294900.1 locus=Brasy1G294900 ID=Brasy1G294900.1.v1.1 annot-version=v1.1 MGGAIRAHRQQSNTRSHLENTPPPPFTAVKDVAFEDVAVSDRLRAILDDLGVVSPVCVYEKGMWASDRSVEQNRLLISYKGNSRRSRKRKKLSDKDLKAVHFATMFSEKERPLVHPPEDGNQDGKNKRRSEQPEEEDGERDEGRSEQQEEEDEEEEEEERKKKKKKDVCLDVRAYDRNGEPYHVKCRFLGCNNAYRIIGMDWRVFLDKNGLVVPENEKPKRKKPSPEPNYGGPPKRKNKSGSASPSPEPDGDADRPNHVRIELWAFRSPKLELGCTDQPGGALGLILLHYPEGVAQPQRVDHIGRAAAGAMELPQGSRQNRAAMANVDEMVMADAGGALGEAGALLGDLTPDFWGAVVGLMLLKQGHWGDSDKGSKEDGGGN* >Brasy1G036800.1.p pacid=40053665 transcript=Brasy1G036800.1 locus=Brasy1G036800 ID=Brasy1G036800.1.v1.1 annot-version=v1.1 MSLLSLSPLSSPCLLPSFSKTTRRHCLLKAAVTLFHFPNAQPSLFSFPSALSPLSNQRLPWCSAEEDQSQGREASDAAVHVQKGRPSRLRQGTKDCAETFHRSTTAATNCPLLDLLQFIELSSMKMRMPTTTTRRILM* >Brasy1G147600.1.p pacid=40053666 transcript=Brasy1G147600.1 locus=Brasy1G147600 ID=Brasy1G147600.1.v1.1 annot-version=v1.1 MESRRGGGPAAAAAEDARGPMPGFGAPQHTIPTDVNIMQTSRVTDFGALAQSAGFRIEDLANLSANTLFNLKPNNHTFITDPLQFGNYGKSISPTDLATTAAAAITTVDPQALLQQKGVQSNIVALRTRNSENWGESSMADTSPRTDTSTDPDIDVDERNQVFEQGQLAAPTASDSSDKSRDQLDHKSLRRLAQNREAARKSRLRKKAYIQNLETSRLKLTQLEQELQRARQQGIFISSSGDQSHSTSGNGALAFDMEYARWLEEHNKHINELRAGVNAHAGDDDLRSIVDSIMAQYDEFFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTELQLTGICNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSSNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE* >Brasy1G147600.2.p pacid=40053667 transcript=Brasy1G147600.2 locus=Brasy1G147600 ID=Brasy1G147600.2.v1.1 annot-version=v1.1 MVAAGGWRVGEEEGRRQRRRKMREGRCRASGLLSTPYTLFNLKPNNHTFITDPLQFGNYGKSISPTDLATTAAAAITTVDPQALLQQKGVQSNIVALRTRNSENWGESSMADTSPRTDTSTDPDIDVDERNQVFEQGQLAAPTASDSSDKSRDQLDHKSLRRLAQNREAARKSRLRKKAYIQNLETSRLKLTQLEQELQRARQQGIFISSSGDQSHSTSGNGALAFDMEYARWLEEHNKHINELRAGVNAHAGDDDLRSIVDSIMAQYDEFFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTELQLTGICNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSSNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE* >Brasy1G147600.3.p pacid=40053668 transcript=Brasy1G147600.3 locus=Brasy1G147600 ID=Brasy1G147600.3.v1.1 annot-version=v1.1 MQTSRVTDFGALAQSAGFRIEDLANLSANTLFNLKPNNHTFITDPLQFGNYGKSISPTDLATTAAAAITTVDPQALLQQKGVQSNIVALRTRNSENWGESSMADTSPRTDTSTDPDIDVDERNQVFEQGQLAAPTASDSSDKSRDQLDHKSLRRLAQNREAARKSRLRKKAYIQNLETSRLKLTQLEQELQRARQQGIFISSSGDQSHSTSGNGALAFDMEYARWLEEHNKHINELRAGVNAHAGDDDLRSIVDSIMAQYDEFFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTELQLTGICNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSSNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE* >Brasy1G364300.1.p pacid=40053669 transcript=Brasy1G364300.1 locus=Brasy1G364300 ID=Brasy1G364300.1.v1.1 annot-version=v1.1 MTAASRPQSNGEPLVDGEPFAKFFGCWISEQSRDLAALRDASAADASSSSTDLRRLVDRVLGHYEHYYRAKSAAAAADVRAMFAPSWISTTESLYLWCGGWRPTAALHLLYSKAGAQLEAQLPAFLDGTGSLRGDDLGCLSADQLRAADQLQRRTIGREREIEEAAAAAQESLATGKMVELAAGAMEAARLEREMEAKAEGMRRVLEMADGLRLDTMRAVVALLRPPQAVHFLLAAAELHLAVHDLGRRKDDHAHAPPS* >Brasy1G160200.1.p pacid=40053670 transcript=Brasy1G160200.1 locus=Brasy1G160200 ID=Brasy1G160200.1.v1.1 annot-version=v1.1 MEVDRAPTAAAPRESSSAAMAVDAAGGVEKPRFDALMPSEMSGGRPQYRKVQVPSHRFAPLKKAWLEIYTPVYEHMKVDIRMNLKAKRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY* >Brasy1G440900.1.p pacid=40053671 transcript=Brasy1G440900.1 locus=Brasy1G440900 ID=Brasy1G440900.1.v1.1 annot-version=v1.1 MAGQRRRRPAVAALLLLAMAASLLLPEHSCKGRELSENPGHRAPATTSGAVAGGGGVDEVKTPVGLPVTLPPLVPAVGGGPVIPVLPPVVPGIPPARGSANKSATNNSP* >Brasy1G002200.1.p pacid=40053672 transcript=Brasy1G002200.1 locus=Brasy1G002200 ID=Brasy1G002200.1.v1.1 annot-version=v1.1 MALRAAAARLASSSLRLRRHHLLLPAAFLSPAAASPTAFLHSHATSFGFKQVREDEKSKLVGNVFSSVASSYDVMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVVERIKSVSHRAMQGTLTDIEEDTHIYVCDINPNMLDVGKKRAAERGYSEEHCLSWVEGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKKGGRFLCLELSHVDVPIFKDIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGVKL* >Brasy1G510500.1.p pacid=40053673 transcript=Brasy1G510500.1 locus=Brasy1G510500 ID=Brasy1G510500.1.v1.1 annot-version=v1.1 MGRRRRGLHRRPAVFFYSGRASAVARARVAAWVPAASRSTDGRPAAADALSHSVWALPIGRGLVLVGFVRPPWLRWPPRLCGGRGMTPRWRGVSPSSTAVLRLGSWLPCG* >Brasy1G066600.1.p pacid=40053674 transcript=Brasy1G066600.1 locus=Brasy1G066600 ID=Brasy1G066600.1.v1.1 annot-version=v1.1 MSPSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDVLDSSAPAPSAAAANRASAPFADPASTSSGAAAANFLAFARTLASLLALNPFARLSTADLAVPTPSWSLAFLGPPGAASYSWPPTPTQARLRVQENVRRYARNYAALSIVVFACCLYRMPMALLGMLASLAVWECVRYCRERWGLATRAPGVGQALLHCAQIATAILLYVCNLQFTLVYAIGLSYTVMMLHASLRKLTPSSLSDPVNKDRRAQPRRS* >Brasy1G288700.1.p pacid=40053675 transcript=Brasy1G288700.1 locus=Brasy1G288700 ID=Brasy1G288700.1.v1.1 annot-version=v1.1 MAKPSQSRHLLLLLPVAALLAAALLLPLASSDAAGPNPTAYDELRLRGFPRGLLPANVRGYTLDAGSGDFAVDLTSSCRIVLPAGSYLANFNDRLTGHLDDRRISGLSGIRVKAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKAAS* >Brasy1G449700.1.p pacid=40053676 transcript=Brasy1G449700.1 locus=Brasy1G449700 ID=Brasy1G449700.1.v1.1 annot-version=v1.1 MDLEKDAAEAHAPEMAPVAQARKICYSKKAKTDVFCLTCQMFGLSAILGSVECQNDTRGCNVPYIGILFMFVWYYNSISVIS* >Brasy1G140200.1.p pacid=40053677 transcript=Brasy1G140200.1 locus=Brasy1G140200 ID=Brasy1G140200.1.v1.1 annot-version=v1.1 MPPPNAGEQPAPAPRPQSPLRGMMPQSPLRIKQGGKFYERLLTKESSAANPSFRYYWAEPGSVPFVWETQPGTPRDDASRMHPGGALPAITPPPSYLLDRHCAEVKAAAASAGSRDRAKAKTSSGGNKQAKGGKKRYRFKRVKIGGFVADMFRRLAVGKAWWRPAVLSPVQVSSSSRWLVATDKQAEHQQKQKQQRQHRGQDPAVLCSGSARQQLSSWMMPFRATGKRNRDYDD* >Brasy1G109300.1.p pacid=40053678 transcript=Brasy1G109300.1 locus=Brasy1G109300 ID=Brasy1G109300.1.v1.1 annot-version=v1.1 MDHAADAHRTDLMTITRHVLNEQSKYPESRGDLTILLSHIVLGCKFVASAVNKAGLAKLTGLAGETNVQGEEQKKLDVLSNEVFVNALVSSGRTCVLVSEEDEEATFVAPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKNQDTVTLEEVLQPGKDMIAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFIMTHPDIKIPPKGKIYSVNEGNAKNWDTPTAKYVEKCKFPTDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPSGKLRVMYEVFPMSFLMEQAGGQSFTGKERALDLIPTKIHERSPIFLGSSDDVEEIKALYAEQAKAASA* >Brasy1G286200.1.p pacid=40053679 transcript=Brasy1G286200.1 locus=Brasy1G286200 ID=Brasy1G286200.1.v1.1 annot-version=v1.1 MPTWPALLQKSPPASFSSSPSSPFLPCLRRAAPFSSHSGEPKPCLRPLLKTRTPPLPHRGRTDPQPILLLVARTQATRPPRPDLVPHVHPRLSTTRRRCASTPRAHRRPHLRLPCPGTAPRQSSSAAAARSSSRASYSAYRSKTTPPCALPTRRWASFTSAWISRSPKPSSSPRPASRRRSRSAGGRRARRWGAGAQARIARVGGLSEEKDEQLRGLIEEKDCGDFAGLVGSAADVGGFRRARRR* >Brasy1G100900.1.p pacid=40053680 transcript=Brasy1G100900.1 locus=Brasy1G100900 ID=Brasy1G100900.1.v1.1 annot-version=v1.1 MDVEKRVEATEVDDDGRTRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVGCAYITYYCAVLLSDCYRSPDPVHGKRNYTYMDAVRSCLGRRDVIVCGIAQYAILCGAMVGYTITTATGIMSVVKSNCRHYNGHDAKCSTTGTMYLVLFGLVEVVLSQLPSLEKVTFISIVAAVMSFTYSFVALFLSAAKFAANHKAYGTILGSKIGGPGGVSATTRTFNFLQALGNIAFAYTYAMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTIFYVSLGCIGYAAFGNAAPGNVLTGFDEPFWLVDLANVAVVIHLVGAYQVYAQPVFACYEKWLGAKYPESAFFHREYKLPLGLRFTASKLLLRTLFVTFTTVVSLMLPFFNAVLGLLGAAAFFPLTVYFPVSMYIKQSKVPRGSRKWLALQALNVGSLLVSLLAAVGSVADIVQRLGHVTLFKTEL* >Brasy1G437100.1.p pacid=40053681 transcript=Brasy1G437100.1 locus=Brasy1G437100 ID=Brasy1G437100.1.v1.1 annot-version=v1.1 MPQVPSPLRLLLPLSQTLAPAPLLYLSRRLFFSYPVSTTRSPLPRAAGLRPLAYRVQASRHNLRRASSTLRKEPEGMATGGDAGVAFNKTRAEGKDGRKDRSMELKNRRANPISTTCYVQILGTGMDTQDTAPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFFTRVCSETAGGLPGLVLTLAGMGDEGMSVNIWGPSDLDFLAGAMKSFIPNRAMLHTHSFGVERNASSESSDATVIIDDDVVRISAMFVKPRYHDEAGSLTDINSKPGDTAIVYSCELAEIKGKFDPAKAAALGLKPGPKYRELQLGNSVRSDQFDKTVHPSDVLGPSTPGPIVLLVDCPTQYHLRELLSLQSLSRFYEDSSCQTENAKKVNCIIHLGPSSVTNTVDYQHWMKVFGTTQHIMAGHENKNMEIPILKGSARISSRLNFVCPQLFPSSGFWPVEPASDVDLEKNKSTSFQTSESVMAANLLKFHLRPYAQLGLDRASIPSLCTYEDIVGELISEIPEIKEVPEQISKLWKSNVDQKRTLQPVGKHKLMVEEPWINQNSCLPDVLDEQRNSAKFQDGSSLRESGWRKRPKGNAETPYCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFARGGMLLDCGEGTLGQLKRRFGVSGADEAVKCLRCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPKQLLRFLNAYSTLEDLDMQFLDCRQTLKPSVDDLLSNNATVPAVSQLENTMFAPGSRMENYNKKPASPTSPRDTTALANLKGILHESGLEILYSVPVVHCPQAFGVVLRAKEKASSAGKAIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEDDMRKTCIAFDLMSVNLADLPMLPNVLPHLKLLFRDEMIVEESDEVQEAVV* >Brasy1G143100.1.p pacid=40053682 transcript=Brasy1G143100.1 locus=Brasy1G143100 ID=Brasy1G143100.1.v1.1 annot-version=v1.1 MGKPKPSSAAPLPASAPKTVHSALLTYTSMLSLLSLCPPFVILLWYTMVHADGSVVRTYEHLRDHGLLEGLKAIWPMPTMAAWKIIFGFALFEAVLQLLLPGKRFEGPISPSGNVPVYKANGLQAYAVTLVTYLSLWWFGLFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNAIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLAVSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKIVASYQTTTGETKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLLDRAKRDDDRCSSKYGKYWKMYCNKVPYRVVPGIY* >Brasy1G556600.1.p pacid=40053683 transcript=Brasy1G556600.1 locus=Brasy1G556600 ID=Brasy1G556600.1.v1.1 annot-version=v1.1 MQEWPLLQNQGQVSIDLAADDGEQLENPAGGCWRWHGWQRRRRRHRAGRARGAAVVCHARRPVLQAPLEPTNAGEDELHGRRPTESPLPSLASLSKPTFTTEISSSLHTARSASAAPWATKHKELRVKEKNMVAPLDVRPATTSLRGVAG* >Brasy1G555700.1.p pacid=40053684 transcript=Brasy1G555700.1 locus=Brasy1G555700 ID=Brasy1G555700.1.v1.1 annot-version=v1.1 MACVCPRTSPHRLSPPAGARADMSRGPIVRVIPAPIPARGISVLGAVPRVEAVGPVVAISPCRSVESLTRGNYGVTLRGRDGVVSPGESLRIPSPTQSPSPPRSHLGFTVDSLFAHFWQRPSVPPSRVGAPTGAWRGTIGGDRRSFAQVAAAPPCARRLTMAAARGGYGQRREGFGAGRGRGKGRGCGLVWNRDQGRGAQIRGGQDHGQGQGQIRGQDHQIHGQGQGNARWDEAAQTAAGESSGTNQWVNPEGTTTSTAETEPVGRIEEAAVGDQPLPTRLVPSPRKHPREDSPMAALPKGACSKCGILGHAVRDCPRNFFEDYSAPMCGFQAKGHGFFFIPPIPSEKTVKDKNSSVVITVVEGTATARQVEDAFNPVFAGTWRCSARPIGPGRFVMRFPNAKKVEEFSFFKGFVLKHTSAKVDVDPWIPSVGAKGEMDQAWVKVSNIPGDMRSEAVVAFVGSLVGVTIKLGCVDAYSIPASGEGYLGTLLYDFFFECQSVIVSNKKNTEKAVVRSDARDSEEVHTPKRHRANDPPPKSATARTMGGNPYGGGKKAADDALFAADEEDEEDSGNAYNDLLIDTMC* >Brasy1G410500.1.p pacid=40053685 transcript=Brasy1G410500.1 locus=Brasy1G410500 ID=Brasy1G410500.1.v1.1 annot-version=v1.1 MAREPSPEIDDELFNEVYGKAYSGPVATATNSVTPKVNDEKKPLAHDKSDEEDDPRDPNAVPTDFTSREARVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKNVGCKIRMDEKFLFVSGKDRLVLAKGVDAVHKLIQESKGKHSSSSPKRDRSRSPVRNSADFRPRHPDSRWSHSPRNASHSQSKGPHNERPLVGRLRDDMPKYPKGSPQAYANNGAKDRPVRSKSPCEPSYLDDRLRSHGGNNQYAAAHMPNNCSTERHGTDSRLDLKFELPSYPQTLEELEIEFKREAMELARARDQEEDEENCKHRETLREMRENHMKRVSATRSMQARKWSEFLERSFRRQQQAQQTSYTQTGYPDFDQRTAHFPTTAPAIDSKNAYPYPTDNYSAAPRRHASYDEFQHERHGDLGRTYGRY* >Brasy1G089100.1.p pacid=40053686 transcript=Brasy1G089100.1 locus=Brasy1G089100 ID=Brasy1G089100.1.v1.1 annot-version=v1.1 MSGPASLSSLQIVSDNILRPPFLPALPFYESKSRKRRETCTAFMASAATFPKLRLAPRFRTYSQFQMTDPVHIHTKTGDACKCPTHAHGLLISTGRSLRHTFLPVSAGRSGRGSSVAQDEEKTGLSLDNFKTTVVSRDDEKINLRIDLPGKATQKVFDEALTSLARDAPPVPGFRRSKGGKTSNIPSSILLAMLGKSRVTKFILQEILSVTVGDFVKKENLKVNPEIATTQSEGDLESSFTPGSSFRFNVILQLEKESDSDEASEEVQVDSDEASGEVQADSDVATEEDPSS* >Brasy1G189900.1.p pacid=40053687 transcript=Brasy1G189900.1 locus=Brasy1G189900 ID=Brasy1G189900.1.v1.1 annot-version=v1.1 MHGVRFNMSFPPSRSSLPLVSLSLLLFPEFRSPAVDACVAGLMRKLCPNHDRDDSLDTVLEVPIPDEMLANAPGSDRRRGANMRAWLKTQAFDRATAEGPAAAAANAELQLFLNVVGSPLVPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQSVQSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAEISHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVINGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISEYRCIDGINIAHGGKTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVYGLSSDHFLPPSDLKKEGDDQHAG* >Brasy1G224200.1.p pacid=40053688 transcript=Brasy1G224200.1 locus=Brasy1G224200 ID=Brasy1G224200.1.v1.1 annot-version=v1.1 MVVANGNVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRKEKADNILENAEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDASH* >Brasy1G478000.1.p pacid=40053689 transcript=Brasy1G478000.1 locus=Brasy1G478000 ID=Brasy1G478000.1.v1.1 annot-version=v1.1 MMEFARDVVLPAALSELLSRLFSLALDNLSWLRSGRAEAHRRRLGRLLASIGSAVEEAERRAGTYQRPPPLPNSRRSPTACTAAASSSKSKPRVPTTRAVVESESETGRCLRRCSVMREFVTLALSPLPQEGYWYYFKALAFNSAERTPPSTRSGWRPWARKEMARQLGGAFLGALLRSKFDARFWGRLTEAMVSCSGRRPVHVNFLLELLSSSCGTLQSCGSCNSPPKLTLRQALCNDAKGAGVAGGHSGSEDGFTTLPSISAGRPCTWITAEKHVRRCFLQLLEGRPPDVLLSFTCKQKEPYRVNARSTKS* >Brasy1G078300.1.p pacid=40053690 transcript=Brasy1G078300.1 locus=Brasy1G078300 ID=Brasy1G078300.1.v1.1 annot-version=v1.1 MAHFQEVDYCSEEVRAVGNPGGGMREHLVKETFVQEATGGHHGHGHGHHGRGSGHFEVRESKLEEDVNTRTGEFHERKENFVVRADD* >Brasy1G057300.1.p pacid=40053691 transcript=Brasy1G057300.1 locus=Brasy1G057300 ID=Brasy1G057300.1.v1.1 annot-version=v1.1 MAAMATLSSLLLLLLSAAHGAAPPAPAGYTRSDFPRGFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKAPDKSVGDVSADGYHKYKDDVKLMADTNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNDLIDELVKHGIQIHVMLLQLDYPQVLDDEYGGWLSTRIVSDPLTYALEDFTAFADVCFREFGDRVSYWTTIDEPNVAAIGSYDTAQIAPGRCSDPFGSTKCTVGDSTVEPYVAAHNMILAHASATRFYRGKYQALQKGVVGINIYTFWTYPLTNSTADIEATKRCRDFMFNWILEPLVFGDYPQVMKKIVGSRLPSFTKVQSEAVKGSIDFIGINHYYTLYVNDRPLQKGVRDFALDMSSAYRGSKTDPPVGKYAPTAIPNDPEGLQLMMLYLKETYGDIPIYVQESVLSSGHGSGNDTIDDTDRVEYLKTYIASTLDAIKDGANVKGYFVWSFPDVFEVLSGYKSRYGLYRVDFDNEALPRQARLSARWYSGFLRKNNGTRVQSVLGNAGPHAEQ* >Brasy1G057300.3.p pacid=40053692 transcript=Brasy1G057300.3 locus=Brasy1G057300 ID=Brasy1G057300.3.v1.1 annot-version=v1.1 MAAMATLSSLLLLLLSAAHGAAPPAPAGYTRSDFPRGFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKAPDKSVGDVSADGYHKYKDDVKLMADTNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNDLIDELVKHGIQIHVMLLQLDYPQVLDDEYGGWLSTRIVSDPLTYALEDFTAFADVCFREFGDRVSYWTTIDEPNVAAIGSYDTAQIAPGRCSDPFGSTKCTVGDSTVEPYVAAHNMILAHASATRFYRGKYQALQKGVVGINIYTFWTYPLTNSTADIEATKRCRDFMFNWILEPLVFGDYPQVMKKIVGSRLPSFTKVQSEAVKGSIDFIGINHYYTLYVNDRPLQKGVRDFALDMSSAYRGSKTDPPVGKYAPTAIPNDPEGLQLMMLYLKETYGDIPIYVQESGHGSGNDTIDDTDRVEYLKTYIASTLDAIKDGANVKGYFVWSFPDVFEVLSGYKSRYGLYRVDFDNEALPRQARLSARWYSGFLRKNNGTRVQSVLGNAGPHAEQ* >Brasy1G057300.4.p pacid=40053693 transcript=Brasy1G057300.4 locus=Brasy1G057300 ID=Brasy1G057300.4.v1.1 annot-version=v1.1 MAAMATLSSLLLLLLSAAHGAAPPAPAGYTRSDFPRGFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKAPDKSVGDVSADGYHKYKDDVKLMADTNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNDLIDELVKHGIQIHVMLLQLDYPQVLDDEYGGWLSTRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVAAIGSYDTAQIAPGRCSDPFGSTKCTVGDSTVEPYVAAHNMILAHASATRFYRGKYQALQKGVVGINIYTFWTYPLTNSTADIEATKRCRDFMFNWILEPLVFGDYPQVMKKIVGSRLPSFTKVQSEAVKGSIDFIGINHYYTLYVNDRPLQKGVRDFALDMSSAYRGSKTDPPVGKYAPTAIPNDPEGLQLMMLYLKETYGDIPIYVQESVLSSGHGSGNDTIDDTDRVEYLKTYIASTLDAIKDGANVKGYFVWSFPDVFEVLSGYKSRYGLYRVDFDNEALPRQARLSARWYSGFLRKNNGTRVQSVLGNAGPHAEQ* >Brasy1G057300.2.p pacid=40053694 transcript=Brasy1G057300.2 locus=Brasy1G057300 ID=Brasy1G057300.2.v1.1 annot-version=v1.1 MAAMATLSSLLLLLLSAAHGAAPPAPAGYTRSDFPRGFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKAPDKSVGDVSADGYHKYKDDVKLMADTNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNDLIDELVKHGIQIHVMLLQLDYPQVLDDEYGGWLSTRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVAAIGSYDTAQIAPGRCSDPFGSTKCTVGDSTVEPYVAAHNMILAHASATRFYRGKYQALQKGVVGINIYTFWTYPLTNSTADIEATKRCRDFMFNWILEPLVFGDYPQVMKKIVGSRLPSFTKVQSEAVKGSIDFIGINHYYTLYVNDRPLQKGVRDFALDMSSAYRGSKTDPPVGKYAPTAIPNDPEGLQLMMLYLKETYGDIPIYVQESGHGSGNDTIDDTDRVEYLKTYIASTLDAIKDGANVKGYFVWSFPDVFEVLSGYKSRYGLYRVDFDNEALPRQARLSARWYSGFLRKNNGTRVQSVLGNAGPHAEQ* >Brasy1G450500.1.p pacid=40053695 transcript=Brasy1G450500.1 locus=Brasy1G450500 ID=Brasy1G450500.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFNLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASSKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEETSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKRQVSAKATICLVDQATPAQDRIKGEGNKTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKRYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy1G105200.1.p pacid=40053696 transcript=Brasy1G105200.1 locus=Brasy1G105200 ID=Brasy1G105200.1.v1.1 annot-version=v1.1 MAGRSNRSRRRRRAKAEAEVLIAADSPCKASPSSGSSGTSSDRVVQRKIPLSKLRDSSPKEEEEDPRRILRRSLLGQIRGYYLDAISRLPTADLTTTLARGLLIAGHCYGPLHPVHNILLNAVWHSSAFPLRSGDRIDVPVICNRTITCLAQRSLDGLVASLRYHCAGLSHDDALWHLNLSRADLRAAVASARGAAPSLFRPAESDVKAAFQVAAKTARHPKPAAFALFASSVIPSVEPDILCLSTMLLPSPLPVELPHPPLQDRCRKAFEIITRKRKLFIIWYEQWVQIADAALRKYAQQTGSHYQRHIIYGSGTLKDEFNLDRSFHISFMAWPKDCSSAREAPVFFFAEAVQGPNPDFREEDITLCCTVQPSPSEVDSCHSCLTKKFRIDHPDDSENFGGGQYYKMDGSGSDLDCPVILDVDYRCFDPERDIDIVEYLDQDFTRYISSSPCHRHQKNARDENILGYCSGIM* >Brasy1G386000.1.p pacid=40053697 transcript=Brasy1G386000.1 locus=Brasy1G386000 ID=Brasy1G386000.1.v1.1 annot-version=v1.1 MLVAVVMDLGCLLLANFPSGYLLLSRRPTSVDELQHACHCGGSFSHVGSNGSVSPIVLQADILLQIDKWKWHSKSLGSSWWKKH* >Brasy1G386000.2.p pacid=40053698 transcript=Brasy1G386000.2 locus=Brasy1G386000 ID=Brasy1G386000.2.v1.1 annot-version=v1.1 MDSGSFSHVGSNGSVSPIVLQADILLQIDKWKWHSKSLGSSWWKKH* >Brasy1G515700.1.p pacid=40053699 transcript=Brasy1G515700.1 locus=Brasy1G515700 ID=Brasy1G515700.1.v1.1 annot-version=v1.1 MAQEEVHEAGLALGLSLGGGGDSSRRRRREASSSQQYPLEPSLTLSMPDDATTATASGGGVSSMSAVKRERAEEEEEEEAAEMVSSTALQAAFAGAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQRELHELRALKFAPPPSTPPPSAAAPPPFYMQLPAATLTICPSCERLGGTTAANATNAGAGAKAVDSDVRPKGTHHFFNPFTHSAAC* >Brasy1G515700.2.p pacid=40053700 transcript=Brasy1G515700.2 locus=Brasy1G515700 ID=Brasy1G515700.2.v1.1 annot-version=v1.1 MAQEEVHEAGLALGLSLGGGGDSSRRRRREASSSQQYPLEPSLTLSMPDDATTATASGGGVSSMSAVKRERAEEEEEEEAAEMVSSTALQAAFAGAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQRELHELRALKFAPPPSTPPPSAAAPPPFYMQLPAATLTICPSCERLGGTTAANATNAGAGAKAVDSDVRPKGTHHFFNPFTHSAAC* >Brasy1G515700.3.p pacid=40053701 transcript=Brasy1G515700.3 locus=Brasy1G515700 ID=Brasy1G515700.3.v1.1 annot-version=v1.1 MAQEEEEEEAAEMVSSTALQAAFAGAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQRELHELRALKFAPPPSTPPPSAAAPPPFYMQLPAATLTICPSCERLGGTTAANATNAGAGAKAVDSDVRPKGTHHFFNPFTHSAAC* >Brasy1G515700.4.p pacid=40053702 transcript=Brasy1G515700.4 locus=Brasy1G515700 ID=Brasy1G515700.4.v1.1 annot-version=v1.1 MAQEEEEEEAAEMVSSTALQAAFAGAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQRELHELRALKFAPPPSTPPPSAAAPPPFYMQLPAATLTICPSCERLGGTTAANATNAGAGAKAVDSDVRPKGTHHFFNPFTHSAAC* >Brasy1G225400.1.p pacid=40053703 transcript=Brasy1G225400.1 locus=Brasy1G225400 ID=Brasy1G225400.1.v1.1 annot-version=v1.1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKCATSIEALCRGYPTEFTSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRVGGHVAGPSGLTPPALLQNDSQSGAVDGRISGWSAMDRRRAPPPIASVGTLTKQKAPVGNDAPISKDPAISGSNFLGRSSGSSRRAAVSSSRDVVASDTYEPSRSRTTDASPGAFRRTSGPQRSPPVDSAEPKRSSSARHSSNTKNYESALKGIEGLNFEGDERV* >Brasy1G217400.1.p pacid=40053704 transcript=Brasy1G217400.1 locus=Brasy1G217400 ID=Brasy1G217400.1.v1.1 annot-version=v1.1 MEVVVSAVLGDVISRSISFFMDRYRQLQTRGTEESVQQLRSVLLRVQATVEEAERRDISNQAMLQQLDTLRHGMYRGYYVLDAFTCRGHGDGDPAAEDEATNHPFALSRFNPTKRLHLFTQSPMNMVFDGKGLNELRKALYRLEMIVTDMAEFVVFLKSYPPISRQPCSSYLFSDMHMFGRHTEYERIVSFLLQVAPPGTGNCSVLPIVGPVRVGKTTLVEHVCYDERVRNYFSSIVSFSRDDLEGAKADILQDNGVVKYRNGASHGRLLIILELTGDLENEIWRRSSSSLKHLAHGSKVIVTSRSEKIMPYWYFFKMIALRSAGHKDCPELSSIAREIAAELNCSFPNANIIGALLRANPDVCIYLFGEHPVDLMRKDRPIYIWRMTGGSEVLIAHRFYEVCSPQQEVPKLTALDVVGRRAIPRGKFEYLAWKSQIPPYNSYQVTCSIMTSRCTMVKNKKRSRLQTI* >Brasy1G482800.1.p pacid=40053705 transcript=Brasy1G482800.1 locus=Brasy1G482800 ID=Brasy1G482800.1.v1.1 annot-version=v1.1 MAAVNVLALAALLALSSSSSPQQRAHGAVLVHGDAALAALAAAGKLHTDANATAAASTDFGNITSALPAAVLFPSSPADIAALLRAGPGTTVSFRGRGHSVLGQALAPGGVVVDMPSLGLGLGSGARINVSADGKYVDAGGEQQWIDVLRASLRQGVAPRSWTDYLRLTAFRHGPQISNVVELDVITGNGETVTCSKAQNADLFDAALGGLGQFGVIVRARIALEPAPARARWVRLVYTDFATFSADQERIISGVSAAFGAVSYLEGAAYVNHNLASGLKNAGGFFSDDDVARIVALAALRNAASVYAIELTLNYVNATTVDQEVEAVVGELRHEKGFAFARDVAYEAFLDRVHEEETALEKLGLWRVPHPWLNVQVPRSRIADFDRAVFKGILQGTDIAGPLVIYPLNKSKWEEGMSAVTPAEEVFYAVSLLFSSVANDLKKLQAQNQKILQFCDMAGIGYKEYLAHYTTRGDWARHFGDKWDRFVQRKDKYDPRKRLSPGQDIFN* >Brasy1G094800.1.p pacid=40053706 transcript=Brasy1G094800.1 locus=Brasy1G094800 ID=Brasy1G094800.1.v1.1 annot-version=v1.1 MRGLGAAAAAARRHTHLPSSYAAAFSSFSGIGGGAGRGRGRGLPPSGPARVPGSPNPDDDDGDGADLFAAAASAGRGRGGAVAPPSPAIPSFPSFSGAGRGRGSPLPPPPPPGEDAPKQPTFTERFDASKQPTFTERFDAAPPRSDPEPPTPIASSSERPRSPPTAGAGRGVPRVTQPVDRAPEENRFIRRREEKKAPSSTSAPSGQPKLAPEDAVKRALELLGGGGGGGARGRGGGRRGRGRGRDGGRRPAPGDDRYMVYLGDNADGEKLQTRLGEDKMKILDQAFDEAAEEALPDPMENAYLDAVHTNNMIEFEPEYHVNFGNPDIEEKPPMSLEEMLQKVKPFIIAYEGIKNQEEWEEAVKDVMERAPHMKELIDMYSGPDVVAAKQQEEELQRVANTLPESIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFVREVSEQYK* >Brasy1G094800.2.p pacid=40053707 transcript=Brasy1G094800.2 locus=Brasy1G094800 ID=Brasy1G094800.2.v1.1 annot-version=v1.1 MRGLGAAAAAARRHTHLPSSYAAAFSSFSGIGGGAGRGRGRGLPPSGPARVPGSPNPDDDDGDGADLFAAAASAGRGRGGAVAPPSPAIPSFPSFSGAGRGRGSPLPPPPPPGEDAPKQPTFTERFDASKQPTFTERFDAAPPRSDPEPPTPIASSSERPRSPPTAGAGRGVPRVTQPVDRAPEENRFIRRREEKKAPSSTSAPSGQPKLAPEDAVKRALELLGGGGGGGARGRGGGRRGRGRGRDGGRRPAPGDDRYMVYLGDNADGEKLQTRLGEDKMKILDQAFDEAAEEALPDPMENAYLDAVHTNNMIEFEPEYHVNFGNPDIEEKPPMSLEEMLQKVKPFIIAYEGIKNQEEWEEAVKDVMERAPHMKELIDMYSGPDVVAAKQQEEELQRVANTLPESIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFVREVSEQYK* >Brasy1G251300.1.p pacid=40053708 transcript=Brasy1G251300.1 locus=Brasy1G251300 ID=Brasy1G251300.1.v1.1 annot-version=v1.1 MADDISGILSVVEEVIRACWLEEEEVVYKKKKKRGGVGVRFRFSFFFFFLFLSFLFFLFSFFFLFYPFGKEDETMDETMDGDEGEKDFPAAMKVGEEKEIGKQGLKKKKLIKDRPETGDEVQVHYLSVKDICKDGGIFKKVLVEGEKWENPKDLDQVLVKYEVRLEDGTVVSKSDGAEFAVKDGHFCPALSKAVKTMKKGEEVLLTVKPQYGFGEQGKPASGVEAAVPPNATLRIDLELVSWKTVTLIGDDKKILKTVLKEGEGYDRPNDGAVVRVRLIGKLEDGTVFTKKGDEPFEFKTDEEQVIQGLDITVVTMEKGEVALARIPPEHAFGSTETKLDLAVVPANSTVYYVVELVSFEKEKESWDLESNTEKIEAAAKKKDEGNVWFKMGKHAKASERYDKAAKYIEYDSSFSVDEKKQSKALKISIKLNNAACKLRLKEYKEAEKLCTQVLELESTNVKALYRRAEAYTELVDLELAEMDIKKALEIVPDNREVKMAYKALKDKVREYNKKDAKLYGNMFAKCESAEKQEAQPMPMAQGRRQKKNKKRATT* >Brasy1G178700.1.p pacid=40053709 transcript=Brasy1G178700.1 locus=Brasy1G178700 ID=Brasy1G178700.1.v1.1 annot-version=v1.1 MAAPRIDHFIHIQEPTTSPDSPAATVRRFHVDGGGSGFSWLSVAGMLAFLTFNSFMAVYRSKHDAATVVFVVTSYLDLVLLFCCLWLHDRATPGSAWRDRLKASVWTLTTLLTFSFAYMVVGTAAGLTLPVALLVWIIAAATGIGAFSAFFDRQLGRVNQPLDGLMLPPV* >Brasy1G573900.1.p pacid=40053710 transcript=Brasy1G573900.1 locus=Brasy1G573900 ID=Brasy1G573900.1.v1.1 annot-version=v1.1 MQRKAESAGGGIDEHAVVNAAEDGARCVPPNPECRRGKDWQLGHHQLRVVSVEEINTKRKQICREKRCCLQTEVNVNFLRRRLCAFILHGQGWWWSMKAADRGEDRPCNSGFKLSAAGCHVLFLPFYRA* >Brasy1G398900.1.p pacid=40053711 transcript=Brasy1G398900.1 locus=Brasy1G398900 ID=Brasy1G398900.1.v1.1 annot-version=v1.1 METMDLPPDRSPCCPCFSVETSPPASRAARRLCFASPSHVRGHIPPLSAEASAGGRTPRSPPHVGAPGCTTHGESAPHERPRARAEAVERVPPWRDEAEVLEEYFGQLWAIPSSPSPKARVSKPPRHPSLVWIRRDLVAAKRFRPEDCFPVRPTDHPLPRPTQFQFARDFWSPEHSRGTYAAVLKRAVMAGSGASRGRGGAGRGEGRPQQQGQRRQQAGARAAGNQLAPPRAQQQAPPRQQGPPAQAQGQQHAAAPNPAPLVAPPPPAVAVPQPMDPRYRDLICFNCGLPGHYVNNCVRPRICFMCGRAGHHMDNCPEWGNQLPAAQVVRAWASSTLLSIKPKLVNGLTWKTVRQLADKQFLVRFPPQKKVADLDAIPSINLREGIDKVSVKISVWDGDVPAIRELPEIWVQIRGIPPRCISWLLIAQVAKVFGLLIDVDWNEIFASFYAVVRIKLAVKHLNKIPAERCFVIQKKMYLLKFSTEDGPSKMITDKPADDGDDDDASADNDKSKDQNNKKGTDRSGGGDGYEDEDYDDEDFDGLDDPVINSNNKTPAASNGKNSGAKTVANYSPLPVCAFRSANSFQILAVDMDEEPAETVLTNEISDLQPPFDIPLPVNLGGFNLDMDRDKPATLCKITQMDTLPASPLKPSRKWGPVQPTRMSQRIPQDGKSIMARALEIKEAKVMGKGTVALDPPTPSILEIALLKRKPRRAGTCSAPTGVQVGGDPKTSPEMKKRKAFSTLTLNACQGLMAISLHDVEA* >Brasy1G545200.1.p pacid=40053712 transcript=Brasy1G545200.1 locus=Brasy1G545200 ID=Brasy1G545200.1.v1.1 annot-version=v1.1 MEEADATSDVETEEECSCPEPGCAFEGGPMAVLEHLEDAHARPIDDVKYGQPWNFMLPLSRPWHVATGLGEGDGSAFFVTQKAAAPGGGMSTGAVSLICVRDAARTGPRPLYRCKMTLESLSGGKKKNRVTTQPAVCDRMHLEVPQEMMLARETLAVSIQIEQFLPAAAADTHGDNASGSVPRPKRTRKLPARLKS* >Brasy1G364000.1.p pacid=40053713 transcript=Brasy1G364000.1 locus=Brasy1G364000 ID=Brasy1G364000.1.v1.1 annot-version=v1.1 MLRALLCLNFTPPASRLVITKPQLSSPRSVSHLSSLIELHAYTTRMRRSLVALLVVSAAITASAQGPTAAPTPAAPAPAAGTTTTTTNITGVLAKAGQFNTFIRLLRSTGVAAQIDNQLNSSQTGGLTVFAPTDNAFTSLASGTLNSLSDSQKNSLVQFHVLSTAVPMSQFDTVSNPLRTQAGSSSPGEYPLNVTATGQQVNISTGVVNATVDNTLFTGDQLVVYQVNQVLLPMAIAGKKADAPAPAPLGPAKKTPVAADAPGGADADTDSTASPAAPATGGGVVAVAMALASCVLCWGL* >Brasy1G175000.1.p pacid=40053714 transcript=Brasy1G175000.1 locus=Brasy1G175000 ID=Brasy1G175000.1.v1.1 annot-version=v1.1 MAAATALALSSGHQMPAVGLGVWRMDSPAIRGLIHSALRAGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATKHTGVGTTASALGDDGVLDIDTTITLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLEENFAVFDFEISSEDMEKIKALDRNYRTNQPAKFWGIDLYA* >Brasy1G229200.1.p pacid=40053715 transcript=Brasy1G229200.1 locus=Brasy1G229200 ID=Brasy1G229200.1.v1.1 annot-version=v1.1 MASSLVHCSDKLPFMNVETILHMKEGLDETSYAQNSSLQKRGMDTLKSLITNSATDVYLSQLPERFTVADLGCSSGPNALCLAEDIIRSIGKVCGRGGSTQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKSAARSDEWGRPMVFLSGVPGSFYGRLFPRQSVHFVCSCSSLHWLSQVPPGLLDQQTNTPINKGKMYISDTSPLAVQLAYFRQFQRDFGLFLKSRAAEVFPGGRMVLAMLGRQSESRADRRTTFLWELLSESFAALVSKGLVAQDKVDAYNVPFYAPSPREVEEEVRREGSFGVDHVQAQEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDAVDALFHEYTRLVTESMEREEVRSVQIGVLLTRL* >Brasy1G510100.1.p pacid=40053716 transcript=Brasy1G510100.1 locus=Brasy1G510100 ID=Brasy1G510100.1.v1.1 annot-version=v1.1 MSRRSVNPGRRMSDGGLPSVGGLLHSKSRSPRVLTIALLVLGVIVLIAYFNSGPGVTVNSREAFTRSEASCTSEVIQALPYLKKAYGSDMQKVLHVGPDSCTVVSNLLKEGKIEAWGVEPYDLEDADSSCKSLVRKGFVRMSDIKFPLPYRPDSFNLVVVSDALDYLTPRYLNKTLPDLARVSTDGLVIFTGNPGQQKAKISELPKFGRPAKLRSSSWWTRYFIQTGLTENEGPLKKFEQAASKNNYKPDCQIFHLST* >Brasy1G232800.1.p pacid=40053717 transcript=Brasy1G232800.1 locus=Brasy1G232800 ID=Brasy1G232800.1.v1.1 annot-version=v1.1 MHSVCVLFRRLPKLPVPFVCTQQTKPCAISHGRPSRSHRRRQRSRRRLGFGGRRQRSTSGRRRRGRSGTSREVLDKRRLQHGRAQPGVQPMAEIHGAARRGSSLLLAPAPSLEEDGEDQPVAPVPLEEEERKMERGARVAWVDLAEETEGRGRFFLSMKGYRFDRLP* >Brasy1G543900.1.p pacid=40053718 transcript=Brasy1G543900.1 locus=Brasy1G543900 ID=Brasy1G543900.1.v1.1 annot-version=v1.1 MSFGGRRRASRWGLPVARSDALGKLGPSFGIGAGCGVGVGVGIIGGSGIGAGFPGLQIGFGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFLNSKNLPYDQEFDALFDEVMESTRKLIKATSKEIDKWRRM* >Brasy1G262600.1.p pacid=40053719 transcript=Brasy1G262600.1 locus=Brasy1G262600 ID=Brasy1G262600.1.v1.1 annot-version=v1.1 MDSSTGIRFVLLALLVVSLGGNGMRACRAREAPLPLPLPSAAPATTAGSGSRRAPERHGLSPDFYAKTCPAVDQIVANVTAARFRDHPAAGPAVLRLFHHDCFVEGCDASILIAPTAANASDAAARAPKVERDMEENKNLPQYGFDTVEMAKAAVESKCPGVVTCADVLALAARDFVQLAGGPYYAVKKGRKDSKVSLAGKVRGSLPRANSTVDDLLRVFASKGLGLNDLVALSGAHTVGFAHCAHFLGRLYDFRGTRRPDPLMDARLVKALRMACPSTGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALFLDPRTRPVVQSLGADRARFFQAFVASMDRMGSIRVKKGRKGEVRRICSQHL* >Brasy1G479000.1.p pacid=40053720 transcript=Brasy1G479000.1 locus=Brasy1G479000 ID=Brasy1G479000.1.v1.1 annot-version=v1.1 MPLSHPLPRPTPSSHHASLPGELFIFPSKSHLYASDRVRSHQPLSYLTFRCLDVVHGIPADMVDGARALWFLIDVDALDVVGVGLLDPCFLADCDSTLIRQDGAV* >Brasy1G343800.1.p pacid=40053721 transcript=Brasy1G343800.1 locus=Brasy1G343800 ID=Brasy1G343800.1.v1.1 annot-version=v1.1 MIQGHVDRTCLYILNEIAATITACKVYVHKERSEKTENRHAFGFSIDMSLAPAAALSYYIYI* >Brasy1G045700.1.p pacid=40053722 transcript=Brasy1G045700.1 locus=Brasy1G045700 ID=Brasy1G045700.1.v1.1 annot-version=v1.1 MARGLSFVVLLLVVVASTTTPFVAEVAAVDTNGPSPWIHIHRRPELAAPRVRLRLITSRPRVITLAAVRILVLLLAFPIPFPGIRVLLCSVRPLPKAEIVGTAGLLPLRRRLRLRSGGRGGGSPTPLR* >Brasy1G444700.1.p pacid=40053723 transcript=Brasy1G444700.1 locus=Brasy1G444700 ID=Brasy1G444700.1.v1.1 annot-version=v1.1 ILNSFRNSYTNAFADLEYDELVNIAILHLNRKRKREENKFRLSERLFLCYFDEKKDSTSPPNQYAHMNFYAQRDTKAKRLRQLVFAEFIKARIDNDNSGAWTLLSCKTLTKNNHGISFFCTFSL* >Brasy1G322600.1.p pacid=40053724 transcript=Brasy1G322600.1 locus=Brasy1G322600 ID=Brasy1G322600.1.v1.1 annot-version=v1.1 MMVGPSGTISPQKPTRFCHSSRTPPSLCLVPSVLHAWVTPAAQIHHHGWGISLPIHAATAGGLLIAPRAPPCRSTSASPRHGRGRIRLSSARPAPDLAAPRPAHRPGMAEEQFLAAAVDAAKNARGPCVYPILLVF* >Brasy1G006300.1.p pacid=40053725 transcript=Brasy1G006300.1 locus=Brasy1G006300 ID=Brasy1G006300.1.v1.1 annot-version=v1.1 MFGLQASSAAASWVVGRMGTDAHLYDDPEDASIPALLDSRFDADRVDALKRLLALIAQGVDVAHLFPQVVKNVAAQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLFDLLPEESTTLEEIVDVLFSDNSPGVVGAAAVAFKSVCPNCLALISKHFRRLCETLPDIEEWYQITLIEILLRYVIARHGLVKDSVMFASNLSLVAQGGMGGVTVDTMSYTQPTSVGGISGTRPNIMLLRHYIEEHPGCFDREDDKFSSPSVTTSTNDDVAILLKCTSPLLWSQNSGVVLAAASVHWIMAPVEEVKRIVGPILFTLRSSPDAAYVVLGNILVFAKTAPLLFAPYYEDFFICSSDPYQTRALKLEILTTIASESSIPAIFEEFEDYIKDPNRRFVADTVAAIALCAQKLPSISSTCLEGLLSLVFYESSITNSVHLDGEDIVLVQAILSIKAIVKIDAASHEKVIIRLVRRLDKIKQPAARSLIIWIFGEYSSVGNLIPKIVPPVLKYLAWSFAAEVLETKLQILNASAKVIIHSAEEHLEEFKRIMAYITELAACDLNYDVRDRARFISNLLPCGKTCLNENDSTCQSHSEDIRKELADHIFGGKIPPTSHSDSNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHHTIKLTRDTANSSESNNSSAESESSYESGSVYDSESEGGGLSDKDATGSSQYPNDDGHSLHHRQDNQEAPLVHMYDDNVDQGQTGRNVEDNLSSLISTDLTELMSKSALESWLDEAPALPAVQESMQKSIARVSFTNRSFERKPKLHTLLDPSNTSGLSVIYAFSAEISPISRLLVCIDLFVENNTTDQLTDIIIKSEEASVSKDEMDQTSEGSASIPTLAPVEEIRSLAPQQTVKMILQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGVFEYARRCTFEDHLQKHGCTEESTDHTDKNLLVAQSLASKVLSNANVHLVSMDMPVTFSIDDASGLCWRFSSEILSTSNPCLITIVAEGRTSGPLDLTVKVNSEDSVFGLNLLNRVVTIIE* >Brasy1G314900.1.p pacid=40053726 transcript=Brasy1G314900.1 locus=Brasy1G314900 ID=Brasy1G314900.1.v1.1 annot-version=v1.1 MNSAMDEINLLRQAQRPHHHLTDIDLEIDPCEDPSFSGGALVGVSSPHDPVVPADDHKSFLISCSQSQPGTVNGQLQPTPPQGEEHEGMLRLQGHTKKKKKVVKKWREEWADTYRWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKEKVQTPEIEMPMPMPIYVKALSKTAASILESVLKKDPHEAEFIQSIQEVVHSIEPVLVKSSQYVQILERLLEPERCFIFRVPWLDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTFKNALSLYKLGGAAGGSDFDPKGKSESEVMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGFLFGQYRRLSGYFQGNFTGPKMVWSGSSIRTEATGYGLVFFARVVLADMNKELKGLRCAISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDGDGFDYVKYSVIRNIKAQQKSLKEYLKTFPRAKYINDAKPWGEQCDVAFPCASQNEIDQGEALAIVSSGCRVLIECSNMPCTAQAVDILRKAKVHVAPAKATAAGGVAVGELELSPEFSSMQWSLEDFENKIQDAVKQTYERSLKAALDYGIMKENPESLVHGANISAFLNIAQAMTDQGCV* >Brasy1G314900.2.p pacid=40053727 transcript=Brasy1G314900.2 locus=Brasy1G314900 ID=Brasy1G314900.2.v1.1 annot-version=v1.1 MNSAMDEINLLRQAQRPHHHLTDIDLEIDPCEDPSFSGGALVGVSSPHDPVVPADDHKSFLISCSQSQPGTVNGQLQPTPPQGEEHEGMLRLQGHTKKKKKVVKKWREEWADTYRWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKEKVQTPEIEMPMPMPIYVKALSKTAASILESVLKKDPHEAEFIQSIQEVVHSIEPVLVKSSQYVQILERLLEPERCFIFRVPWLDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTFKNALSLYKLGGAAGGSDFDPKGKSESEVMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGFLFGQYRRLSGYFQGNFTGPKMVWSGSSIRTEATGYGLVFFARVVLADMNKELKGLRCAISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDGDGFDYVKYSVIRNIKAQQKSLKEYLKTFPRAKYINDAKPWGEQCDVAFPCASQNEIDQGEALAIVSSGCRVLIECSNMPCTAQAVDILRKAKVHVAPAKATAAGSGRRT* >Brasy1G112000.1.p pacid=40053728 transcript=Brasy1G112000.1 locus=Brasy1G112000 ID=Brasy1G112000.1.v1.1 annot-version=v1.1 MDRARHKSSPSSERFLGSFLPPSAAGDQPGSATFELDEDDLFASGAPSPERPQPPRRPLLISSIRAANPSPTIPRLRRPPGGILEALPERFGPLSPPLSSSSTSSSPASPVMALPRMIPSIPRPAPAPTMHMPQSAPVNVPAARLRRPPVVDEFSVEADEDDDEEMLPPHEMVARSRARESPMTTFSVLEGAGRTLKGRDLRQSDPIVWGCGVCSHGESSLEAVSSLDGTGGQGTENVLVFA* >Brasy1G021800.1.p pacid=40053729 transcript=Brasy1G021800.1 locus=Brasy1G021800 ID=Brasy1G021800.1.v1.1 annot-version=v1.1 MAAAALRSVANKLCGRPPLRLQPYFTAAVKEEQGWLLPSRLRRFSSSESPPNLINKKQLPCGGATNNAAEHTESLGLRVEEKKRELLHLLRQMEHPHAAAMEENKKLLDLLRGTPQSSSWFVPDNMFKITVFTTIVTAAVFYVETKYIMPVFGARKRSTSENRNKH* >Brasy1G413300.1.p pacid=40053730 transcript=Brasy1G413300.1 locus=Brasy1G413300 ID=Brasy1G413300.1.v1.1 annot-version=v1.1 MDCVDEGAAYIRLAASLIGGIQISPIFPLDLRRKLSAMASAEAGGDKYRSFMHGEGEKNTVWRLGAPPNYDMVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKLRPEDQKSVHYQGYSASTNGMKPLTRKELSAMGGYNAFLATTLPPEHRIYDPEKETLESSMSTFLTAFPRGFAIEVLEVYSGPPKVAFKFRHWGHMEGPFKEHPPHGQRVEFFGVCIFHVDEEMKVEKAEFFYERGNFLASFLSNPAAAASASGCPVIGGN* >Brasy1G413300.3.p pacid=40053731 transcript=Brasy1G413300.3 locus=Brasy1G413300 ID=Brasy1G413300.3.v1.1 annot-version=v1.1 MASAEAGGDKYRSFMHGEGEKNTVWRLGAPPNYDMVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKLRPEDQKSVHYQGYSASTNGMKPLTRKELSAMGGYNAFLATTLPPEHRIYDPEKETLESSMSTFLTAFPRGFAIEVLEVYSGPPKVAFKFRHWGHMEGPFKEHPPHGQRVEFFGVCIFHVDEEMKVEKAEFFYERGNFLASFLSNPAAAASASGCPVIGGN* >Brasy1G413300.2.p pacid=40053732 transcript=Brasy1G413300.2 locus=Brasy1G413300 ID=Brasy1G413300.2.v1.1 annot-version=v1.1 MASAEAGGDKYRSFMHGEGEKNTVWRLGAPPNYDMVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKLRPEDQKSVHYQGYSASTNGMKPLTRKELSAMGGYNAFLATTLPPEHRIYDPEKETLESSMSTFLTAFPRGFAIEVLEVYSGPPKVAFKFRHWGHMEGPFKEHPPHGQRVEFFGVCIFHVDEEMKVEKAEFFYERGNFLASFLSNPAAAASASGCPVIGGN* >Brasy1G398300.1.p pacid=40053733 transcript=Brasy1G398300.1 locus=Brasy1G398300 ID=Brasy1G398300.1.v1.1 annot-version=v1.1 MINFWKDPSAESCCICGEEDAEEKHGELACPYDYLVSPAGYVPCRARFAAWKEDREAPSGHRLYLRRCVRVTNLPERCPDPARVAALFARFGPLRMWHVAMVSPEVCRGFACVVFQRREDAEKAIDGLNCHDFDGRSLRVDWFYPSA* >Brasy1G358500.1.p pacid=40053734 transcript=Brasy1G358500.1 locus=Brasy1G358500 ID=Brasy1G358500.1.v1.1 annot-version=v1.1 MVKLLADLLHHTPPSAWPPALASPPLRNRISPSHVSSLLLLPATLSRPGLSRRFLLLLPPSIVSPLCLSLLALSFLSSSSPPSSSSSPHAASLLLSLASSSPSASTSFSSLSHASSLSAFPPGATAAAATLLASSYLRLRRARDAAAVLHLSLSAGITPNQHTASQILFSLVKIRQFALARHLFDGMLQSGVRLDEYARNLDGAKGLVARMQDEGDKVSAVPYNVLIYGLCKNQRVREAVDVENSMLERGVTADEVTYRTLVYGFCRTEELDMALEMTGDMARLGFVPSEANCSFMLDGLRKKGRVEEAFRLACQLGELRMVPNIFAYNALLDNMCKNGMFSEVDRLVNEMSDKGLEPNEVTYAILIHSLCKRGMMDDALCMFDRMREKGVRMTVYPYNSLINCYCKQDDLDMAMGFLSEMVEIGLTPNAASYSPVIAGLCRKGDLSGAVELHRKMAETGVAWNIYTCTALINGFCKAKKMDEASRLFNKMIGSNLEPNEVTFNAMIEGYCLVGDIRKAFQLYDQMMCRGLTPDNYTYSLTALLHGFCREGRLTEAYHVWNEMAMRGVKLDLVSFTIIVYAALKQHDSEKSCVLFREMKEKDVRPDNVFHTCMINMYSKEGNMVQALNCWDEMIADGHLPNAVTYTVLVNNLCKSWHLSSAELLCKEMLASHFLPNSYTFNCFLDYFATEGNLEMAKDLYFAML* >Brasy1G574500.1.p pacid=40053735 transcript=Brasy1G574500.1 locus=Brasy1G574500 ID=Brasy1G574500.1.v1.1 annot-version=v1.1 MEAGSDTIALPYSYAPLPAGDAEVAAVGHKRWRPLWAVLLFISAAVVLVVWPAGQPTGVHLVDPVAEDATSSSALHSGSNPFPWSDSMLRWQRTGFHFQPKKNYMSDPDGPFYYKGWYHLFYQYNPHGAKWGDITWGHAVTRDLLHWHHLPIAIVPDQWYDINGVWSGSATLLPDGRLAMLYTGSTNASIQVQCLVVPTDSSDPLLTNWTKYEGNPVLYPPPSIGIKDFRDLTTAWYYSFDMTWRLFIGSKDADHAGIAMTYKTKDFINYELLPGLVHRVPATGMWECVDIYPVDVNGTHAIDMTDAVGSNKEVVHVMKASMDDERHDYYALGRYDAVANMWTTTDPDLDVGIGLRYDWGKFYASRTFYDPAKRRRVLWAWVGETDSERADIAKGWASLQSIPRTLILDTKTHTNLLHWPVEEVDMLRTNCTDLSGITIDQGSVLLLNIHRANQLDILAEFHLDPLDVAAASEGDAGYNCSSSDGAGGRGALGPFGLLVLADVRHRMEQTAVYFYVAKGLDGHLITHFCQDETQSSRANDVVKRVIGTVVPVLDGETFSVRVLVDHSIVESFAQGGRSAATSRVYPTEAIYANAGVYIFNNATSVRVTAKNLVIHEMDSSYNQAYFA* >Brasy1G208300.1.p pacid=40053736 transcript=Brasy1G208300.1 locus=Brasy1G208300 ID=Brasy1G208300.1.v1.1 annot-version=v1.1 METAASSGTGNFPGDNGEIWTPMYSGTLDMGSSSIHPPTQMEVEFNSAYEDDASTEIQRLLEGPQDERSLDECLEGWSDQPQNMQQQTLQCICDRLENSEWATTEAPANITCVLATHSGPLSASTYMREEILAPDIECEIYPPTKDDYMTTRACRDSKIDLLDCLPKRDVRRARRGLRKRRTISWLSMKQPLLLFSHFGNPPFPWNMPSASKGKKRGARKNNDHWTTEEVIKLVEGISKYGVGRWTELKKEKFPSSVRTAVHLKDKWRNLLKACEGRAQNRRLLRLDIALWSLYGNGGICYTNDA* >Brasy1G026700.1.p pacid=40053737 transcript=Brasy1G026700.1 locus=Brasy1G026700 ID=Brasy1G026700.1.v1.1 annot-version=v1.1 MAAQYLPFFHLGAAAMDEDCCEKPAAASSIDGSKNATASTTTTASDDRAVSISAVPVRRASPDPDTPTSSGGKAAAGKGKEEEEKGGGVFEFPLGDIDDMGAEQSAMQRQIMEMYVKSMQQFTESLGRMKLPMELDGGGGGRGEEEKLPAPEVEAKKEGARVFYGSRAFF* >Brasy1G160500.1.p pacid=40053738 transcript=Brasy1G160500.1 locus=Brasy1G160500 ID=Brasy1G160500.1.v1.1 annot-version=v1.1 MFGARRWVESMARQSARMRALFHVNDNHSGNNGNRAVCSKSKKTLMKLADNMVVSYARSIASLPEGAWTTLCGSGTDQDIKVAHKRNDDGSNTSVVSVSASFHLPIPLRVTFDLLRNNVLRPKWDVLASGGSVREEVPVCKGIGAADSVSVVHVKPATGDQGNLMILQNSWYDVSGSFIVYAPVDSMLTNRIMGPGDVAEGELPLFPTGLALFPVGGTALQGRAPLGDDGETLVTVGFQILVTPAQTDLFSRTVQTALALMDDNIATIKGTLIKSHPIFYRSSGSAAPILN* >Brasy1G129500.1.p pacid=40053739 transcript=Brasy1G129500.1 locus=Brasy1G129500 ID=Brasy1G129500.1.v1.1 annot-version=v1.1 MMWVGSLPVGRTCSSTASAQAIAGQVHAAAPRRGQIPNGRPEDVQIWGAALATEAFLAVVVTAGRAAVEACGVGSGDRAGGPGGGGAAGATRWGRPDAKRLIGRRFSDPSVQSDMELWPFKVIPGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMKEIGEAYLCTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGNTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTASHPSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFSNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGLKNKITITNDKGRLSKEEIEKMVQEAERYKAEDEELKKKAYNMRNTIKDDKIAAKLSAGDKKKIEDSIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGMGMGGATAMDEDAPSGGSGAGPKIEEVD* >Brasy1G511400.1.p pacid=40053740 transcript=Brasy1G511400.1 locus=Brasy1G511400 ID=Brasy1G511400.1.v1.1 annot-version=v1.1 MHLGQVAPLGGEQAAAELPGDWVSLGHSTQWLLYSAYARGRGVAVEPSPATPSLPAASTRRGDREATSHSQARASLPSLTASTPLVPLASRGRGVAVEPSPATPSLPAASTRRGDREATSHSQARASLPSLTASTPLVPLASRGRDVAVEPPPATPSLPAANTRRGDREATSHSQAR* >Brasy1G160600.1.p pacid=40053741 transcript=Brasy1G160600.1 locus=Brasy1G160600 ID=Brasy1G160600.1.v1.1 annot-version=v1.1 MVPIQTSHHERGMGSPVVSQEMVASVQRYFPGQGGLFLSDGVPNPGRASTPGWDLNEDVSGPPSPTNLPAGAISPFACVLGTRLISSSISPSSVLEHPPPTPTPGLMVHDMIKSRLGAVIHQESGLVVAPPEE* >Brasy1G081500.1.p pacid=40053742 transcript=Brasy1G081500.1 locus=Brasy1G081500 ID=Brasy1G081500.1.v1.1 annot-version=v1.1 MKHVLERQASILSSTNAGQVGLRMRACGLFPSRICLDLTPPRLLLLISERNAPSINTHLPSRPSKWTPELRCTTWL* >Brasy1G242900.1.p pacid=40053743 transcript=Brasy1G242900.1 locus=Brasy1G242900 ID=Brasy1G242900.1.v1.1 annot-version=v1.1 MPRRRKKPMPEPEKASSKVPSVYLVVGHGVTSPAYSVIKVNPFAKAGAGNTPTPIPPHLARLEAKHCMSFVPVRSIHGPWIVGVGGNRAPDYAPEIIVFDTKTKAVIQGPKPLSTKLYPVLVPLGDKIYALARTPAVIGDVNFVPWFEVLDLSQAQVEDGRLVGCEWKDLPRPPFFPWDLDPREYISPPEFTIKSHVAVSGSYILVSITEEMCMDKSSITEEQTGTHMFDVVKKQWTKLDNKDLPFIGGAIPHGPLLFLGLSRATRRITAYKITVCSSPPSLSILEFPISTGCDDAKGEEDFLSTRRFVSLSKPADNPGFCSFRCCSDDPPSALIPELMWKDHIRELVTMTTCTTESQDCCLKSTRSLVVSNQWKQVYLVSDPLRRLTSPCLENIISF* >Brasy1G496300.1.p pacid=40053744 transcript=Brasy1G496300.1 locus=Brasy1G496300 ID=Brasy1G496300.1.v1.1 annot-version=v1.1 MRLPQFKPNIAGAGAGAATPLDARMVKTGFDPATYRLNLLLHSLISSGRLAQARALFGQMPHRNNAFSLNRMLSGYSRSGQLSAAHHLFLSSPPHLRDAVTWTVMIGAFAAAPGARASDAVSLFRDMLREGVAPDRVTVATVLNLPPASGGTTIIIASLHPFALKLGLLHSNVVVCNTLLDAYCKHGLLAAARRVFQEMPHRDSVTYNAMMMGCSKEGSHADALDLFAAMRRAGLGATRFTFSTVLTVATGVGDLCLGRQVHGLVARATSSNVFVNNSLLDFYSKCDCLDEMKKLFDEMTERDNVSYNVMIAGYAWNRCASMVLRLFREMQVLGFDRQALPYTSLLSVAGSVPHIGIGKQIHAQLVLLGLSSEDLVGNALIDMYSKCGMLDAAKTNFISKNDKTGVSWTAMITGCVQNGQQEEALQLFCDMRRADLSPDRATFSSTIKASSNLAMIGLGRQLHSYLIRSGHMSSVFSGSALLDMYAKCGCLDEAIQTFDEMPDRNSISWNAVISAYAHYGQAKNAIKMFEGMLRYGFKPDSVTFLSVLSACSHNGLAEECMKYFELMEHEYGISPWKEHYSCVIDTLGRVGRFDKVQKMLGEMPFEDDPIIWSSILHSCRTHGNQDLARVAAEKLFSMGSTDATPYVILSNIFAKAGKWEDAAGVKKIMRDRGLRKETGYSWVEVKHKIYSFSSNDQTNPMIAEIKDKLERLYKEMDKQGYKPDTSCTLQQVDDDIKLESLKYHSERLAIAFALINTPPGTPIRVMKNLSACVDCHSAIKMMSKIVKRDIIVRDSSRFHHFKDGFCSCGDYW* >Brasy1G102900.1.p pacid=40053745 transcript=Brasy1G102900.1 locus=Brasy1G102900 ID=Brasy1G102900.1.v1.1 annot-version=v1.1 MKGAVDDVFLKAMFARKESSSSSSSSSCLAKAVMLLVIFAVGVVSGLFTATGPSRCAQCHSSRIVFPSTADAEPDADADAVPGFAEFAAPTRLMHDMTDAELFWRATMVPAAGAYPFKREPKVAFMFLAGRGVLPLAPLWERFFRGHEGRFSVYVHAPPGVAINVSRDSPLYRREIPSQATSWGSVSLMDAEKRLLANALLDFSNERFVLLSESCIPVQPFPAVHDYLVGSRHSFVEVYYVPSKQCRGRYNRRMAPDITLRQWRKGSQWFELSRDVATAVLADAKYYPLFRKHCRPSCYPDEHYIPTMVNMLHGHRNSNRTVTFVDWSKGGPHPAKYSAGDVTVELIQRIRRRTGRPCLYNSRPTSMCFLFARKFTPDTLGPLLNMSSAVMGF* >Brasy1G416100.1.p pacid=40053746 transcript=Brasy1G416100.1 locus=Brasy1G416100 ID=Brasy1G416100.1.v1.1 annot-version=v1.1 MACNLLARHRALEVVRRDDGDGEHVDGRRQQTTNATADDFPHHGLGRPHRREGRRQDRSAERPHDVEDAVGERRGEQERREEQQRPDWRRVPEVERDPEREREDRRQGHERGREARRPQHEDDGVGREVVQDPERAATIDLAQAGGQRRRAVAGARSDGGGYGGDLDQPLDGVADGGDGDFPVVPEQEAEVEGQAVAQRVPGGGVEAPGGEGRPLHVRGEGLEEEDRGVTGGG* >Brasy1G440000.1.p pacid=40053747 transcript=Brasy1G440000.1 locus=Brasy1G440000 ID=Brasy1G440000.1.v1.1 annot-version=v1.1 MKGLLRCVSTGACRVAPGAAASPSSSSSSPGAPGGNNNNSSSSKVPAGHVPVEVGAEGEETERFLVPAELLGRAPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLGALAAGPGGDGRGGLPVAYYAVVV* >Brasy1G358700.1.p pacid=40053748 transcript=Brasy1G358700.1 locus=Brasy1G358700 ID=Brasy1G358700.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPAEEEPTAEKAAEKAPAGKKPKAEKRLPAGKTTAKEGGGSEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy1G517700.1.p pacid=40053749 transcript=Brasy1G517700.1 locus=Brasy1G517700 ID=Brasy1G517700.1.v1.1 annot-version=v1.1 MIIRSSLAGLVLLPRGHLWLLRQLRLVINLALRVAAAAIGGGGMGIVVFPRPATADGVVVRGGLARRFIGGRLVIVLRRHVHVAVAVAVAGAVVGVAPAPASSAAVAWRPAGEVLVVPPDAEAHSSSWLVLDCLLVVLKLTV* >Brasy1G095700.1.p pacid=40053750 transcript=Brasy1G095700.1 locus=Brasy1G095700 ID=Brasy1G095700.1.v1.1 annot-version=v1.1 MIGGAYAGRDDHQCWPMPVMICTLYQPYDDGPWVNDDLGGLDVFIIYSLCI* >Brasy1G210100.1.p pacid=40053751 transcript=Brasy1G210100.1 locus=Brasy1G210100 ID=Brasy1G210100.1.v1.1 annot-version=v1.1 MATAAGGARRALAGLRSASPSTLSRAFPRTAMAQSPELAASSLPRALRRRLAISRVPVAALGGVQGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATTL* >Brasy1G313700.1.p pacid=40053752 transcript=Brasy1G313700.1 locus=Brasy1G313700 ID=Brasy1G313700.1.v1.1 annot-version=v1.1 MSSSSGFSNTGCRSDGDSFSSSPIAYREKPLQYSPQIDCWCKEKASRWISWSDSSPGRRYFNCTRVRVGGCNFFQWFDPEVPQFLKQHFIDLRDMVHRMKREKAALSQELQAYKAWNQELLHKTSCNEELLKKKDVQIHMALQEDAEKSAKHKKLERERDL* >Brasy1G077400.1.p pacid=40053753 transcript=Brasy1G077400.1 locus=Brasy1G077400 ID=Brasy1G077400.1.v1.1 annot-version=v1.1 MAAAGEEPEISAAGERSRVPFARGGPVFVPFMVGPLSTIPEFMTSTLREVQSLRDELGDPGDEFEDELSVDELRVLSEEEIVERALREAMEEERDSGTLSQPGDQRFEGGISANSTPGNGISTSRASVGRQSSGSAIEDMAIELPEPQGSNVKTRGGKKKTRAKKGKNVLPSSDSTAERETAGSPVEAIVPFESQGSKGKNGTSTSNSSIESETLVPPREDMSVVPHDPEGTDGQTKCRKGKKRGRHFDREVRAHILQGRYLTKAEKMAEIKVKQEEDKYAARLHSFSGDSVTSKGPKVLAEKTDVAKSLSYMNALCKNKASRSEEHRPIVYPEVILCVEIYEKRHSSVKSQEFLVLGSQFLTDLKDNLYCLTNKLMEVNKQHDRSGYFLIEDTFYNDTRHCSAVDYSKPILDWLNNSSDEVAEKWDAITSGVLKKHQKNLLRGLNISNVPEFKSAKMQRTRFSDLQFQLGAGYLYCHQGNCKHMFVIRDMRLIHPEDTQNQAEYPLLTFQLQRRFQKCSVCQIYVATKMTVDDKWAPNNPCYFCKQCYYLLHYKEDDSLLYHHTVYDYPQD* >Brasy1G411100.1.p pacid=40053754 transcript=Brasy1G411100.1 locus=Brasy1G411100 ID=Brasy1G411100.1.v1.1 annot-version=v1.1 MSAKMVSALLVAVLAVLAAATEARNLKTTESAASNKDAVLQPTTFPPFDRLPGSASPAFGGMPGAGSSIPGFSLPGSGGGATPGFGSIGSMPFLGGSSLPGIGGGSSLPGMGGVGGMPGSPAAGAVVERADKP* >Brasy1G325200.1.p pacid=40053755 transcript=Brasy1G325200.1 locus=Brasy1G325200 ID=Brasy1G325200.1.v1.1 annot-version=v1.1 MALLLVCLKRTPGSMARKGADRVLLLLNPTPRRGSFQRPSRLWRKAWMTSSYRCDALPCWACDLRADAWIRLCCPFILSVTRCHCGPISWSCVGPVCASLL* >Brasy1G284300.1.p pacid=40053756 transcript=Brasy1G284300.1 locus=Brasy1G284300 ID=Brasy1G284300.1.v1.1 annot-version=v1.1 MQSELIRAAMMALTAWPWTSLLLLATLLFFLHSRTRQGGGSTKKKNKGLPPGPPGLLFLARFLALRRSIFHLGPLLRDLHARHGPVISVRLFRRTLVFVADRGVAHRVLVQGGAAFADRPPLAEPGRLFTSGARDVSTSPYGAYWRLVRRNLAAEALHPSRVALFAPARRRARDALLSDLSRNDDGTAVTARPAFRRAMFELLVQMSLGAALSPAALEEVQELQLSILRSITSFPIFSFFPTVTKRLFRARWEGYVAVRRRQDEIFLPLIHARRSRRELADDVSGPPCYADSLLALRVAEEEGGPPLTDSEVVSLCSEFLNAGTDTTVTALEWIMAELVSHPDMQAKVYEEVKAKPEELTDGDLEAMPYLKAVVLEGLRLHPPGHFLLPHAVQGHGAEIGGHAVPKGAEVNFLVADFGRDEDAWTAAREFRPERFMEGGEGHGVDLTGSREIRMMPFGAGRRMCPGYTLGIHHVEYLIGSLVRELEWLPAAGAEVDMAEELDFTTVMKHPLRARIIPRT* >Brasy1G131100.1.p pacid=40053757 transcript=Brasy1G131100.1 locus=Brasy1G131100 ID=Brasy1G131100.1.v1.1 annot-version=v1.1 MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGMSAGFVGQAAAREASGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNKYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICTVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEVGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVGVLYLDAKSSARLLQEQQERYIT* >Brasy1G209300.1.p pacid=40053758 transcript=Brasy1G209300.1 locus=Brasy1G209300 ID=Brasy1G209300.1.v1.1 annot-version=v1.1 MLPKKLLLLLAQLLLAGGVEFAYEGFAGAAAAGKLSLDGMATVMPDGLLLLTNDTNMSKAHAFHPDLVTLRPALPTAPGTAVPAATMSSFSTTFVFAIASDLVGLSTSGFAFLVVPGDARAALASAMPAQYLGLFNASSNGDPRNRVFAVELDTVRNPEFGDMDDNHVGLDINSLNSSVAAAAGYYDDDDASGVFRNLSLASREPMQVWVDYDGATTEIAVAMAPAGSPRPKRPLLSTRIDLSTVIAADTAYVGFSSGSSIVLCRHYVLGWSFSTDGGAAPPLDHAQLPKLPRIAGSPKPPRSRAALAIALPIITTAAVLAAVAVGVLAHRRRLRYAELREDWEDEFGPHRFAFKDLHDATGGFKDKRLLGAGGFGSVYMGALPGSRTQVAVKRVSHESRQGVKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGDGDGGSKPAALGWAQRLRIIKGVASGLLYMHEDWEKVVVHRDIKASNVLLDAGMNGRLGDFGLARLYDHGADPHTTRVVGTMGYLAPELMRTGKATTLSDVFAFGAFLLEVACGRRPIEEGDEEDVYGDVERFVLADWVLEHWRNGAIAGAVDAELCAAGVHDYDAVEADLVLRLGLTCMHPSPAARPSMRQVMQYLDGSATLPELPPTYVTFNMLAAMETHQGVLRSLSIWRAASSVATISGIGLDSGR* >Brasy1G271000.1.p pacid=40053759 transcript=Brasy1G271000.1 locus=Brasy1G271000 ID=Brasy1G271000.1.v1.1 annot-version=v1.1 RYGDEEIPGSTHSSSSPHEESQLKGETSRKKTYLGPMTRSLAKQIQQEVNALLAAPNDNINENFILPNSSVLLVLRFTTRSHTCCLDHQTTHEQLQNSSPTLLHHCT* >Brasy1G074300.1.p pacid=40053760 transcript=Brasy1G074300.1 locus=Brasy1G074300 ID=Brasy1G074300.1.v1.1 annot-version=v1.1 MAGAANGGAKAGCCGAAKGPGYATPLEAMEKGPREGLLYVTCVYNGTGIDKPDYLATVDVDPNSATYSQVIHRLPATHIGDELHHSGWNACSSCHGDASTSRRFLILPSLLSGRVYVVDTAKDPRAPALHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYVTEDDTEQQYAVPQVKGHRLRGGPQMIQLSLDGKRVYVTNSLFSRWDEQFYGPDLLKKGSHMLQIDVDTEKGGLTVNPNFFVDFGTEPEGPSLAHEMRYPGGDCTSDIWI* >Brasy1G384100.1.p pacid=40053761 transcript=Brasy1G384100.1 locus=Brasy1G384100 ID=Brasy1G384100.1.v1.1 annot-version=v1.1 MASIVLLLSELLGGAGSTGVLAANCYAGGQSLREFRPVAAAVVAKDERPPAGGERDAPEDKRKEDQEESFEDLAAMSRIAVDVMWP* >Brasy1G275300.1.p pacid=40053762 transcript=Brasy1G275300.1 locus=Brasy1G275300 ID=Brasy1G275300.1.v1.1 annot-version=v1.1 MYVKTELKRFRIVKEVGTIVMSVRIFACYQLMQVCQAEYFRQLLKPVT* >Brasy1G253800.1.p pacid=40053763 transcript=Brasy1G253800.1 locus=Brasy1G253800 ID=Brasy1G253800.1.v1.1 annot-version=v1.1 MASLSPAGRGVSAPDRKMKALLDMATQSGNVTPEKRSPSVHGVGGTWGGSSHRNGRTPRRYYGACGQPLAYGMDLKKMQDKLDGASPNVPSDAWRRKEKKTTASTVFNPAHIRDASVGCSKRMKKVIKSVGLRCLRKIQKTGQVDRAFSMYLLSRFNTESMKLELDGAVEIEMTEDLIRRMGGFKKGTLKIEPVKLKDRATIRATVHVLLDTGAAPTSGITVQMLRKILGDITETDLKTRKGRTKAKVAYTMLAFSSFLAPRKSSGLVAPEILNLVRDPESIADHDYAEYILRVLVESAETVRRALVHPPNKLDVDGCLLFLQVVPVH* >Brasy1G403800.1.p pacid=40053764 transcript=Brasy1G403800.1 locus=Brasy1G403800 ID=Brasy1G403800.1.v1.1 annot-version=v1.1 MDEDQSFLDMLSFGVSQQLPCSPLGKQEVPATQESSAAVKAKCTKGKNWSSDEDKVLIQAWAHTSLDAVIGTDQQSSSYWGRISEYYNMHKNSSWSERNPNAINCRWNTIREQTNKFCGCCQPLEKVIN* >Brasy1G519900.1.p pacid=40053765 transcript=Brasy1G519900.1 locus=Brasy1G519900 ID=Brasy1G519900.1.v1.1 annot-version=v1.1 MIVSYGSLAPKRYMHSEVMKITSSRNNQLGQGGYGVVFKGRLHDGRLVAVKFLHDCKGNGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALVYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLNQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVHSRIFGVVSTKSDVYSYGMMLLEMVGGRKNVKSIVQKSSEKYFPHWIYDHLAQDDGLQACEVSSEIEEIARKMTIIGLWCIQVLTVYRPTITKVLEMFERSLDDLDMPPKQNFSELLEDSDHNMNVQSASSTRSEETSLVDSKIIQ* >Brasy1G141200.1.p pacid=40053766 transcript=Brasy1G141200.1 locus=Brasy1G141200 ID=Brasy1G141200.1.v1.1 annot-version=v1.1 MVDATPIRRQQFAHEAATSCRPHCSFKPTQPSPAWMIKLPRIHAPSPPGTRGSMTATSKAPRRPRIRSRAPPPTPIRTARGVRSAAADELVLAEFLEASQRVPNLTLPRKKRFNFPAPPPAPEIPVKALISGDAVAARTAAAESGAFRVGGAIEAGEVLDAMDAAQAVFGAPEQAKRELGRWFRGRDRVVGEEFYLSWPVSSDVDRLLQAALPGSTYQVFREKMEVVASKMEDLARCVVRVLSDNVRDQKDSALPREAPSVLCLTLYNCNKSRPCWGEFGSTDRPKSHALSVHLSGRDQEICLRNHVGSTFFSLPAGSMLVTVGKQIQEWSNGEFKSAVGEILFELTDEPSPFISLELLYSPGDLPLCEVGRHARCIDRPKTVSFRDQILFALVALILFYLFWC* >Brasy1G038400.1.p pacid=40053767 transcript=Brasy1G038400.1 locus=Brasy1G038400 ID=Brasy1G038400.1.v1.1 annot-version=v1.1 MGPQVRSLIDFTKDINNNVFLTSSSQVLRVLDLEGCTISDIGHIRNLLHLRYLGLQGTHVKELPMEMGKLRFLQTLDLRKTGVKELPSSIVLLSHLMCLYVHKDIKLPSRMDKLTSLEVLDGPLIGKYDGNFNLDIVKELSYLTKLRVLDCTCGIMDDSLDKTLVESLSRLHKLECLNMHGHDGPFHLMLEGCVPPPELRVSYLSLLRTVPAWIDPSSLPLISSLTLSVKEVQKEDIRLLLMLPAIHCLNLMGDPMSLASEDVIVEMYVTTADSFPCATECCLYVAAVPHAFPRRPVPRLKILHFVFPAIWTARGNFDFGLDHLPSLETVVVCIQISGAACNYEKTTETMAALRAAAADHPKHPAISVNPF* >Brasy1G462100.1.p pacid=40053768 transcript=Brasy1G462100.1 locus=Brasy1G462100 ID=Brasy1G462100.1.v1.1 annot-version=v1.1 MPTHKISCREGLLHVRMSQVNHPVTFKELCQLFRQSGAGETLGLFERMVDGMYGVEAYVQCNSRWEAARVRDALDGQAIYAGCCFLTVDLVPPIYTTITTPDDDELARAYFYDDTPYEEWAAALAVAERWCEVAASSNALLTTTESHGVESLALDFCITSEVLAMEQTFERKGVHEDDSATTGAPCEDIAPVGESHTGANTDQVGATQLLILVLVEAPSTPMMPSLLVHESETLMLTRCSTKSYNGNTRVAMPASELDMYFSTVVLRSSSNFSLMSPVAAVLGCANEEKVDVPQLFVLSDSTMVTKTHNIGNLVVDKNSMQMVLWQWHPPDRATLSGMMPSLLLPPHVSQIQSRLSHKESACCLQVGKLQEFMMALFTLKPWPSFVLYSDGCPFMFPLSSTQALPVDGSEHFPWVIAWLPRDSLSCSSMVSDQICNAIVVPMLRHGNFVQLVSYLAASMCVPVNKYLTMKCKDADAIVQEKEECYDACIIKLVAILDTELMQWQNPWLFNQTLVLFSHAACLGMLSITEFYSIELNVWNHPMSVVQSNFLKNSTTESFNVSVESRSAILSCLVAEFLAG* >Brasy1G433700.1.p pacid=40053769 transcript=Brasy1G433700.1 locus=Brasy1G433700 ID=Brasy1G433700.1.v1.1 annot-version=v1.1 MEAVEMSPSSASSHHLDAASTSEDMPSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSHRSTVVTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKSDEVNETELRVSSVEQRVRICQQMLDQEGRSQQSLLIRTPKYHRRYILPGPDLVESAIHPVSEPPRYNRQYTSRKMRKSQSSISTPVSRQTTMRSVRSQSPAVRETHHRSRSMSPSRKARAKSPSPSPQVVNSNPKETRAGSPIPSVNPLARSATVARRPPLDPKHLRQTSMQLHSDLDHHHQKEREKSSSKGRGFLKSLLTRRRWRNDESLYNYLDEY* >Brasy1G360500.1.p pacid=40053770 transcript=Brasy1G360500.1 locus=Brasy1G360500 ID=Brasy1G360500.1.v1.1 annot-version=v1.1 MATTDELSMKLLINTESQKVCFAEAGTDVVEFLAGLLSLPLCTMATLLAKEHNMLGSIGSLLGSVEKLGTNYSSKPRHLSPAVSPAALSHLQKLLGAQQKQVTITNGDTTSGSFYGCQGKPTVSNNNTTYAFGTGTSYNYVPAQGGASCWHVSTVYGVACPSCRSPMTKVMALVQTGGNDHTSSKTNTMYTIGDDLSVTPASGGVLSGISMLSRCHVKDLSVLQEKTVKIGKEEALEMLVAALKSKTVLTDVFLLPKKKARCKREAEEEAIHV* >Brasy1G038800.1.p pacid=40053771 transcript=Brasy1G038800.1 locus=Brasy1G038800 ID=Brasy1G038800.1.v1.1 annot-version=v1.1 MEIAMGALGPLLPKLGDLLVGEFNLEKRVRKGIESLVTELTLMHAALRKVAKVPPEQLDEGVKIWAGNVKELSYQMEDIVDAFMVRVEDDYANPKNRVKKLLKKTIKLFKKGKELRRISDALEEAVGQAKQLAEMRQRYEQETSDNGAGNSIDPRVTALYTDVTELVGIEGVRDELINMLTQGDDWLKTPLKTVSIVGFGGLGKTTLAKAAYDKIKVQFDCGAFVSVSQNPNMEKVFKDILFELDKNRYANIYNAAWGQKQLIDELIEFLNDKRYLIAIDDIWDNKAWKLIKCAFSQNSLGSRLITTTRNVDVSEACSSSNGGIYRMKPLSDDVSRRLFHKRVFCHEKGCPHEFVQVSEDILKKCGGVPLAIITIASLLASNHRIKTKDQWYALLNSIGRGLTEDCSVGEMKKILLLSYYDLPSYLKPCLLYLSIFPEDYKIERCKLIWRWIAEGFVHSEKNATSLCELGECYFNELINRSLTQPVGIDDDERAEACGVHDMVFDLICSLSSEENFVTILDGAERKATNSQIKVRRLSIQKSNIDMPTISMPHVRSVFFANDVGDDQVRPISSFQVLRVLDLEGCTISSIGYLRNLLHLRYLGLKYTDVKELPKEIGKLRFLQILDLRKTGIKELPSSIVRLRHLVCLYVHRHIKLPSGMDNLTSLEVLCGLMVGQLSPGVFNLDMVKELGQLTKLRVLRIECRGLNESLDKALVESMRSLHKLECLDISAGGGRVDLMREGWAPPPQLRRLTFQGPTCSFLTLPPWINSSSLPVISYLVILVNKVRPGTFVSSGCCRLFVLFTW* >Brasy1G019900.1.p pacid=40053772 transcript=Brasy1G019900.1 locus=Brasy1G019900 ID=Brasy1G019900.1.v1.1 annot-version=v1.1 MDSTLLQSRMLHTIPFRNHMWCHGGRGVNLFIAMMVTLKPSHCLQMSLVSGFPVQYFLFLTESTIFSY* >Brasy1G162800.1.p pacid=40053773 transcript=Brasy1G162800.1 locus=Brasy1G162800 ID=Brasy1G162800.1.v1.1 annot-version=v1.1 MSNVKMKAYSGPSLENGHIEFPSLYLRRLDSGDKKNQHVMIDGFGSTDLGLTSIVNCGIYDGAGDGAKLVARAKGMRMNADHSWCNFVIIVFELDGFEGSTLAVMGATMEKAGSIEEKGEWAIVGGTGEFAMARGLITRKGRQVVDGGEILELSLEAYCRTTKVAIPLAAVAPASAPAPALRAHEEFKRQVQGRTERGLGGLLGVIVHESGVFWEGARTH* >Brasy1G162800.2.p pacid=40053774 transcript=Brasy1G162800.2 locus=Brasy1G162800 ID=Brasy1G162800.2.v1.1 annot-version=v1.1 MSNVKMKAYSGPSLENGHIEFPSLYLRRLDSGDKKNQHVMIDGFGSTDLGLTSIVNCGIYDGAGDGAKLVARAKGMRMNADHSWCNFVIIVFELDGFEGSTLAVMGATMEKAGSIEEKGEWAIVGGTGEFAMARGLITRKGRQVVDGGEILELSLEAYCRTTKGVALLFEEKKVNNVFGSLHAGCNSPGGCGTCIRPGTCVARARRVQKTGAGPY* >Brasy1G538300.1.p pacid=40053775 transcript=Brasy1G538300.1 locus=Brasy1G538300 ID=Brasy1G538300.1.v1.1 annot-version=v1.1 MRNATGGSCSSSSSRVGLQEVFGSQAPSHGQLTTSPGRPPMGEQQVMRRVPAAGDLLHALVSLNVLLLAVFLIVFLLAKLLALLHRPGTASNNTTRGHHGCVDAGIGAGEGEEDERREAEALFWFDEAVLEDSALLGLDDQGKDHRHHLYSGGATAAAHCVQVEAESCGTAFTPAAESQSTVRISILPRKEGQEDDDATTGLQEQRDAAVDGDEAPMAAPVQQNLPAAAAVTTVPEDASVQEKEGSRVGGDDPVPDSGGHGEETEEAEEDQGKGAGGGGGYSSTDVKRFVNRGAMADTRKLVPELGGAATRARREKEEEEDYGGDDSCRFGASTLTSESTSKSSVEWQMMGSAAGFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSQRITHKLSMAAAPAMAKPGIGGGGDPAPIGLRDPYPELERAYVAQICLTWEALNWNYTTFRRHNNSSTTMVEEARCCPARVAQEFQQFQVLLHRFIENEPYDSSFNGRRRRRPEVYARMKNSSPKLLLVPEFREEEDEKDDLISAAQFLHILEESIRTFMEFLRADKRSHYQMFRDMVRRRTSATEQTVIVMALKKSNSKKKSRLKDLTRPRRCLRRTKVREEEEMSVLLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRVDLREGTLHRDPAPLFFPAH* >Brasy1G012500.1.p pacid=40053776 transcript=Brasy1G012500.1 locus=Brasy1G012500 ID=Brasy1G012500.1.v1.1 annot-version=v1.1 MAAARAAIMVAAVLLACAAYEVSAQPGRPGRLPGNAQVFRPKKFGQRDQAFNCNSTNGRQETCFCDKRCPNECIMECPGCKSFCLCDFYPGVVCGDPRFTGADGNNFYFHGKKDQDFCIVSDADLHVNAHFIGKSNPTMSRDFTWIQALGIRFADHRLYMGAQKTVKWDDDVDRLELTFDGAPIEIPAKDGATWESAAVPGLTATRTAAVNGVTVRLAGVFDIMAKVVPITKEDSRVHNYGVTEDDTLAHFDIGFKFHDLSDDVHGVLGQTYRPDYVNKLSVTASMPVMGGSPSYVSSDIFATDCTVARFGRNAGIAMVTGRAN* >Brasy1G062100.1.p pacid=40053777 transcript=Brasy1G062100.1 locus=Brasy1G062100 ID=Brasy1G062100.1.v1.1 annot-version=v1.1 MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRQRRRRPSPPLAPGSANAMPWRCSVWRWRWRLPRCAGLQVALRHDLSARIRQIRKRLDEISANRAAYHIEHAPSPAWAASSATTLAAWDDLEECTVGFGKYSDMLREQLLDAAAAVPGRALVSIVGESSIGKTTLARKVYQSPEVRNHFEIRTWTVLPPNSRPANVLRDIHTQASSQLRRSASSQGQTQAAAEDSNGCCDRPASGKEKDISNALFRNLTGRRYLVVVDGSISVTDWNSLRASLPDEGNGSRVLLVTDSAGLEVVGYAAGGPTPYEPIELTRLGPENTYEVFRRRVFGHGGGDCPGRHKSRYYQDVFRITRGLPLSVVVLAGVLRSKELPAEWDQVMSQLLPSSKNSNSTGNGARRIMSLAFDDLPHHLKSCFLYLAAMPESGAVDAQRLVRLWVAEGFVRPRRGSTMEEVAQGYLKELISRCMVQLVRKDEFGLVQQVSVHDRLHAFAQDEAQDACFVETHDSTADVLAPATVRRLAVQSLHDFGVGGCNALPKLRTIVCDFGAAKPASACMISSADLGFLHASKFLRVIDIHGLDLRKLPSEIGSMIHIRYLGLQCGQLEKLPSTISKLVNLQSLILKGRNGVGVLGVTAAFWTIPTLRHVVAPFALPSTLGAALHSLQTLHGVQPHGWDTRRGGGAFNPLGRATNLRSLDLSGLTASHAGALTAALESLDLLVHLVLHGESLPRGVFSIPSLRRLQSLRLVGPIEERSAGDEDEEEDVEVVRYIRPNLTRLSMWGTMVGQSFVDMLGELPSLAELTLMWGAYDGARMEFRDRGFRSLQKLKLGLPELEDWAVTAGAMAALARLTLLRCAELRVLPEALAGMKELEELVLYSMPKMVGRIREEGGEDHHKIKHVPVIQTIW* >Brasy1G209200.1.p pacid=40053778 transcript=Brasy1G209200.1 locus=Brasy1G209200 ID=Brasy1G209200.1.v1.1 annot-version=v1.1 MQVFGVQLSSATSRWRESCPPFGGQRLLCRVKCCQVPHSTRNLAHLQKEDKYSCADRSTSYLHVQTLRNFPIDKLCGEVVLVRLDSILLLNPLGSCNLSLKRTLSTIKYLHKAGGKVLLVTSWDPVLQSVNPVLKSTESFADYMSSLLQVKVIPMNGVPCLTSFKKEGLVQNDIILFENLLNFRGENANCNDFSQKLASGVGIFVNDSFSLSHKIRASTVGITRFCYASLAGFHFEEELMQLLKTTDTTRRPYIAIIGGSNFLRKTPAVHLLASQCDGLFFVGKLAFQIMNGLGIPVPSCLIERNAAKEALQLIQIAHNRNIPIYYPTDLQCLNNSNPEKLEIFNSDALLSGLISLGWTPVDIGPSTLEKISSLMPSYKKILWVGPTSYDLTKEFSVGEAQLGQILDKASQNSCDVILVGSAACKAVKGISDSSSQYSAFENGSTVWEFLKGRILPGIAALDKSYPYQIPWTDVFSDPERPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKLVTRCLQDLASAEKRNLYFVSTNATSTFRSIISSYPGELTIVTIQCPNPDFNKEQNRWRMVRRMLVEAVADLLQINGKIFLQSDVESVLLGMKEQFISHGKGRLVVDRYDGGHGRMENPFGVASDWEGHVLARGDPMYRTMLRKV* >Brasy1G209200.2.p pacid=40053779 transcript=Brasy1G209200.2 locus=Brasy1G209200 ID=Brasy1G209200.2.v1.1 annot-version=v1.1 MQVFGVQLSSATSRWRESCPPFGGQRLLCRVKCCQVPHSTRNLAHLQKEDKYSCADRSTSYLHVQTLRNFPIDKLCGEVVLVRLDSILLLNPLGSCNLSLKRTLSTIKYLHKAGGKVLLVTSWDPVLQSVNPVLKSTESFADYMSSLLQVKVIPMNGVPCLTSFKKEGLVQNDIILFENLLNFRGENANCNDFSQKLASGVGIFVNDSFSLSHKIRASTVGITRFCYASLAGFHFEEELMQLLKTTDTTRRPYIAIIGGSNFLRKTPAVHLLASQCDGLFFVGKLAFQIMNGLGIPVPSCLIERNAAKEALQLIQIAHNRNIPIYYPTDLQCLNNSNPEKLEIFNSDALLSGLISLGWTPVDIGPSTLEKISSLMPSYKKILWVGPTSYDLTKEFSVGEAQLGQILDKASQNSCDVILVGSAACKAVKGISDSSSQYSAFENGSTVWEFLKGRILPGIAALDKSYPYQIPWTDVFSDPERPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKLVTRCLQDLASAEKRNLYFVSTNATSTFRSIISSYPGELTIVTIQCPNPDFNKEQNRWRMVRRMLVEAVADLLQINGKIFLQSDVESVLLGMKEQFISHGKGRLVVDRYDGGHGRMENPFGVASDWEGHVLARGDPMYRTMLRKV* >Brasy1G209200.3.p pacid=40053780 transcript=Brasy1G209200.3 locus=Brasy1G209200 ID=Brasy1G209200.3.v1.1 annot-version=v1.1 MQVFGVQLSSATSRWRESCPPFGGQRLLCRVKCCQVPHSTRNLAHLQKEDKYSCADRSTSYLHVQTLRNFPIDKLCGEVVLVRLDSILLLNPLGSCNLSLKRTLSTIKYLHKAGGKVLLVTSWDPVLQSVNPVLKSTESFADYMSSLLQVKVIPMNGVPCLTSFKKEGLVQNDIILFENLLNFRGENANCNDFSQKLASGVGIFVNDSFSLSHKIRASTVGITRFCYASLAGFHFEEELMQLLKTTDTTRRPYIAIIGGSNFLRKTPAVHLLASQCDGLFFVGKLAFQIMNGLGIPVPSCLIERNAAKEALQLIQIAHNRNIPIYYPTDLQCLNNSNPEKLEIFNSDALLSGLISLGWTPVDIGPSTLEKISSLMPSYKKILWVGPTSYDLTKEFSVGEAQLGQILDKASQNSCDVILVGSAACKAVKGISDSSSQYSAFENGSTVWEFLKGRILPGIAALDKSYPYQIPWTDVFSDPERPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKIFCIDKCNFDISLNYFKLSWRVDYCHNTVPKS* >Brasy1G209200.4.p pacid=40053781 transcript=Brasy1G209200.4 locus=Brasy1G209200 ID=Brasy1G209200.4.v1.1 annot-version=v1.1 MQVFGVQLSSATSRWRESCPPFGGQRLLCRVKCCQVPHSTRNLAHLQKEDKYSCADRSTSYLHVQTLRNFPIDKLCGEVVLVRLDSILLLNPLGSCNLSLKRTLSTIKYLHKAGGKVLLVTSWDPVLQSVNPVLKSTESFADYMSSLLQVKVIPMNGVPCLTSFKKEGLVQNDIILFENLLNFRGENANCNDFSQKLASGVGIFVNDSFSLSHKIRASTVGITRFCYASLAGFHFEEELMQLLKTTDTTRRPYIAIIGGSNFLRKTPAVHLLASQCDGLFFVGKLAFQIMNGLGIPVPSCLIERNAAKEALQLIQIAHNRNIPIYYPTDLQCLNNSNPEKLEIFNSDALLSGLISLGWTPVDIGPSTLEKISSLMPSYKKILWVGPTSYDLTKEFSVGEAQLGQILDKASQNSCDVILVGSAACKAVKGISDSSSQYSAFENGSTVWEFLKGRILPGIAALDKSYPYQIPWTDVFSDPERPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKIFCIDKCNFDISLNYFKLSWRVDYCHNTVPKS* >Brasy1G340800.1.p pacid=40053782 transcript=Brasy1G340800.1 locus=Brasy1G340800 ID=Brasy1G340800.1.v1.1 annot-version=v1.1 MGVVGSSVAGAPRALIFVLSCTLASLQVHHFDLFGCYQQVVFHSELCYLVHYHLALQFIIVILLAVFSKFFSIFLNLIIIYPIIICLFNFFLNSDRLFYVICQIACLL* >Brasy1G160400.1.p pacid=40053783 transcript=Brasy1G160400.1 locus=Brasy1G160400 ID=Brasy1G160400.1.v1.1 annot-version=v1.1 MRPDLAACNAVLDGCCRRLGSITDAERVLEIMSAVGVSPDVESFGCLAFLYAWRDVPSRVDELDKLLEALGFSKKIFFKNLVSGYLKCCSFESVSSIILRTLKERRAGDGNAFDDECYTEVAQCFVDNGRIRELAQLIIQAQEIELTQQLLLVDDSVGLGIVNACVGLGLLNKAHSILDEMTAQGASVGLGIYSPILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFTLFKDMREARVPNLRTSYLTIMTGLTENNRPELMASFLDSVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLIFEPNNQTYLSLVNGYLSAEKYFNVLILWTEVRRKGANFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFGGFFDMAMQVIEKAQELKIFGGFFDMAMQVIEKAQELKIFVDKWRHKKAFMETHKKLKVQSRESATLGKWKL* >Brasy1G016300.1.p pacid=40053784 transcript=Brasy1G016300.1 locus=Brasy1G016300 ID=Brasy1G016300.1.v1.1 annot-version=v1.1 MADAAAGGGHRWTEEVDDLVDAGDVDGAISLLESVVSNLSTAASSQPAGAELRLATALGDLAGLHASRGSTLQADALRSRAIALRLRAEQAPQALGDHGKVEKSSSEECATGSKDSEVSANFDEKNEDEDDDWEALADRGAHDETPVRPLEQEARVTSSSSSEKSSTPSSSGPKRRGRGSFLYDKSVLYSDQCGSERDLDDKGSDPRGGSKGHVDEQQEGKTAAKQYGIRHVLVLYDFPPSTRTTDLEKIFEKFGDHGVAIRWVNDSTALAVFRTPSSAKEAQACVPPRYKVRLLKEDDDLLAKIDGRDLEPPTPRPKTSARTAQRLIAHGMGLKQFTKFGAGELKEQEEARRSRIAARQAARDDAWGSD* >Brasy1G328700.1.p pacid=40053785 transcript=Brasy1G328700.1 locus=Brasy1G328700 ID=Brasy1G328700.1.v1.1 annot-version=v1.1 MQKRKMGLTLLLQTRVAGRQLGRKELSGRPEEEAGLQCSSAEEARGCDLANGQGLRRMSEEGGADDGGEQRYYSHEWINEVLGHVSPNDDGEVTRMRKKCFQKFFQDKDDLETMKKEFADGTLFMNAFQNPDKPTSARAEALVFTHNLRLLSRHSQAYQSEPSRMQDVWGDGIESFTGVGMLEGVDLSLDEPELEEIMLFYRSR* >Brasy1G033600.1.p pacid=40053786 transcript=Brasy1G033600.1 locus=Brasy1G033600 ID=Brasy1G033600.1.v1.1 annot-version=v1.1 MILSGSGEQGGLADSMLRLSAALLLVGVLITSVPAGVESIGACNGVIGSDLPPAQDVVQLYKSNGITSMRIYSPQPQLLDALRGSGIAVILGITNADVPLLASNAAYAASWVATNVKPYYPAVNISCISAGNEITGDPGFKSSILPALKSLHFALAGALGARAAGGIKVSTAIRFDALADTFPPSKGAFKDAETMVPLAGFLASTGAPLLADVYPYFAYRDNPKDITLNYATFQPGSAPVRDDGSGLVYTALFDAMVDALYSALEKAGAPAVRVVVSESGWPSAGGFGASVENARAYNQGLIDHVTKGTPKRPGAPVEAFVFSMFNENLKPGDETERHFGLFYPSKAPVYPIDFRGSQPAAAKQHHAPLKKGANHTNY* >Brasy1G457100.1.p pacid=40053787 transcript=Brasy1G457100.1 locus=Brasy1G457100 ID=Brasy1G457100.1.v1.1 annot-version=v1.1 MVVWPGPRAPMEFWPEFLATSGGHEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPASPGITAAAARPPSAAKLLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVYAILSRSLDTSDPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLDAHRRPPGPLDMARDILRREGLRGVYRGLAVTALRDAPSHGVYFWTYERAREALHPGCRTGQAEQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQPASAHPRYRGVADCFRKSVREEGLPVLWRGLGTAVARAFVVNGAIFAAYELALRFLVSNNGQRLVMEEN* >Brasy1G234000.1.p pacid=40053788 transcript=Brasy1G234000.1 locus=Brasy1G234000 ID=Brasy1G234000.1.v1.1 annot-version=v1.1 MDLSEKNKRSRSLVKTNHTAGTKSFARWAEDIIQLEDLIDQQPELAQNDLGRIAWEGDALHQVLGEEKAGQVHGMGLLPVPKQVYGRLPRRFKNMNISTQDTSSYEGEADGREEIARLWEHIQKQDLVIEQLRNQQGSHENNETARENHHENFADNSQTHVANFVRKRVCNTPDQLGSLTRERETTLNKDTLVDNHDMYTDNDEEFPAEKYLEHGKDNGLAHQHGSSSIPLSTNGFLQEKNKKKQNFQDTTARTRRQQETQSRTQCHVPSKRKNSSSMEDGTKIILKTHVYPNRRRVAYATFITNSPTHDVAGVQLGSEFTHVSLDEAIDAKEKLVRERFNCKTIGDAYAAGLTIAWPSAFIEKMNFKG* >Brasy1G129200.1.p pacid=40053789 transcript=Brasy1G129200.1 locus=Brasy1G129200 ID=Brasy1G129200.1.v1.1 annot-version=v1.1 MDIPQKHGADARNRSNNNQQAPIRLSLSPPGRVPVSPPMSPNSEAALLANARWTPTQEQRELLEGLYRQGLHTPTAEQIQEIAARLRQHGPVEGKNVFYWFQNHKARLRQKEKQQRLAYFDREFRRPMPIPVLHRLPTPPATAPVPLLPAACNISEVNMYRQPSFYPQTPQAAANATAAHFLQTQAPLLYPGFGNAPAQRLSMYQQPSQNSGGGPQQLRDMRFPPASGAANAGSGSGTRETLQLFPLQPTCWQMREKKKKKKKNSSSTGSGSPSPMTPSGSGSGSASSSFSWEPESPKVPFYDFFGLQSGGR* >Brasy1G470600.1.p pacid=40053790 transcript=Brasy1G470600.1 locus=Brasy1G470600 ID=Brasy1G470600.1.v1.1 annot-version=v1.1 MAASEQSSDSNSSTTTTTSSSYSASSCSPRSTADADADAAIGIQNKQNGENKRKRARVEASASASASSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDAAALCVKGARAVLNFPDLAHALPRPASLAPRDAAAARAALMHPERAADGAIDDDDAPLAGGGRSPEPDTKEGTQPMSRGMSEERAEADAEAQELVFDELAPLWVEDVVDFAPSDHCWTAAYDALAGLDPVGFQPLLWEY* >Brasy1G072100.1.p pacid=40053791 transcript=Brasy1G072100.1 locus=Brasy1G072100 ID=Brasy1G072100.1.v1.1 annot-version=v1.1 MSAAGGEEDKKPAGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMTAIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGFLLPPNA* >Brasy1G351800.1.p pacid=40053792 transcript=Brasy1G351800.1 locus=Brasy1G351800 ID=Brasy1G351800.1.v1.1 annot-version=v1.1 MSAAQNTHHEVENEESKGSSPDEEQRRGRTNWTKKENERLINSWIKNSVDSIEGNGKKSDRYWKQVVEEYNKNSPSNERRTSAQCKNHWSKSTPLVSLFHACYIKTKNVYASGQSEEGLMEKTRAMYLNAAKVKRPFALEYWWRVVKEEPKWRNLYMEENLGGKRHKLDATGAYTSSSTQDSEDPDPIREPRPQGTKAAKEARKMKAKAKGKGKDIPDFMPFHISNEDGRKAVALEKWAEATTAKAVADKEMAEAKKEMAKAKKEKTKVDKFNTYMELMKVDTSGLNDAQLQRHEKMVESLCKELD* >Brasy1G075500.1.p pacid=40053793 transcript=Brasy1G075500.1 locus=Brasy1G075500 ID=Brasy1G075500.1.v1.1 annot-version=v1.1 MPLGHGTAAALGRHGWRLGALGKRKEWQETTESTNILGSRGGRCRAGAESRGGGAELVYGEQGREGGRAGEGGGGSEQGRGAAVS* >Brasy1G106700.1.p pacid=40053794 transcript=Brasy1G106700.1 locus=Brasy1G106700 ID=Brasy1G106700.1.v1.1 annot-version=v1.1 MAESDGGEADPGAGPRAGGDGASRPDPPARPQRPQLTKSRTISGSTAAAAAASTDERGRGGRDSILARRSTTAPLPPAAKLPPRRLTVAVDDPSHAAPNGGVLDRDWCYPSFLGPHASRPRPPRQQQTPVSADRRNPNNPAPPRRVAISQREEEKCLASVVKQSTLLGERRPLSRPPPRSRRFDLPPYLLPLLVVTAISSSLAFWQWMKVLGLQEKIRLCGGDNAVGSEGTAETPWILGDPGSNFAYSENWNLAPFAFVVPIFLFKYIDQLRRKKANSTRMRNTEEEVPLEKRIAYKVDVFFSGHPYAKLLALLLATVVLIASGGIALYAVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLGFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKLASRAGKILMNPDDGYILREGDEVLVIAEDDDTYAPAPLPEVHKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGMDICGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVQSDSRSLATLLLIRDVQSKRLPSKELKSPLRHSGFSHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFLDIMVRARERDEIVIGYRLANTDEAIINPEQKSEIRKWSLDDVFVVISKGD* >Brasy1G106700.2.p pacid=40053795 transcript=Brasy1G106700.2 locus=Brasy1G106700 ID=Brasy1G106700.2.v1.1 annot-version=v1.1 MAESDGGEADPGAGPRAGGDGASRPDPPARPQRPQLTKSRTISGSTAAAAAASTDERGRGGRDSILARRSTTAPLPPAAKLPPRRLTVAVDDPSHAAPNGGVLDRDWCYPSFLGPHASRPRPPRQQQTPVSADRRNPNNPAPPRRVAISQREEEKCLASVVKQSTLLGERRPLSRPPPRSRRFDLPPYLLPEKIRLCGGDNAVGSEGTAETPWILGDPGSNFAYSENWNLAPFAFVVPIFLFKYIDQLRRKKANSTRMRNTEEEVPLEKRIAYKVDVFFSGHPYAKLLALLLATVVLIASGGIALYAVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLGFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKLASRAGKILMNPDDGYILREGDEVLVIAEDDDTYAPAPLPEVHKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGMDICGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVQSDSRSLATLLLIRDVQSKRLPSKELKSPLRHSGFSHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFLDIMVRARERDEIVIGYRLANTDEAIINPEQKSEIRKWSLDDVFVVISKGD* >Brasy1G074900.1.p pacid=40053796 transcript=Brasy1G074900.1 locus=Brasy1G074900 ID=Brasy1G074900.1.v1.1 annot-version=v1.1 MHWRRPRRWADLPPDLVREISRHLHDAGDFQFLPWLLAPDKAGADSLKLRCVFSKATFRAPPPAHRGRNLVAAADGTAVRYFSDCPTVLPGLHDPLTGAVTRRLPLFPKEKLHWLWEENLSGIVYGDGAVLLYNDPHEDDDERFKVALLRPGDDADDGGRWTVVERTFEDAYYGECRAAYHGGRILLTVEASLWHVVSVSPDDGATATSARDDVLVPRSWLPDENEDYDYQYSYVLESRGQLLWVSLQLLADCCRLLLARALKVSVHALEQGEDGAPQWVRKEGYGGRSLLADRVLFLGWPNSFAVDASRLGVDGGCAYFVFEDEDGPPHQRFGVFRYDLVDDEAVLLEWLPKGWDDVMCTWLVPRPSIAPIQEICKRSQGGQHQRQPQTPPTGNITRIPKQYEPCFRVIVRNLPLKVNNTRLQHFFNNYGKVSHAEVIYYRKTKTSQGIGLVPMATMHAKQEDALASLNGLILDGCRLEVSLIEKGGRRRRQRQRRKSSPTAA* >Brasy1G164400.1.p pacid=40053797 transcript=Brasy1G164400.1 locus=Brasy1G164400 ID=Brasy1G164400.1.v1.1 annot-version=v1.1 MKTTQTLLLATFAVLLVVASAGIENCASSIAYSGGCDSNTCATVCANIFAGMAAGCPGCTSQGDCLPDNNGVPNRCRCTVCTPEGHHGATEPRQH* >Brasy1G016000.1.p pacid=40053798 transcript=Brasy1G016000.1 locus=Brasy1G016000 ID=Brasy1G016000.1.v1.1 annot-version=v1.1 MQYYVDNGDIWYHHHHQQQLAAFPFCSSPPPAAPPGLADLADYCSSDLILSPLSWEEEQETTTSAAYHLPPPPMFPAADDLPPLPPTADDDVLLLELEPFLEALGNELADNGAPPMETTTQQQVTTTTMQPLQINERHDNDDSLSIVVFTGGYDDETAAAEKKQQQRCAFARPPAVEKKKKKRGDGPAAGGRRLQHVGLEELRKYFYLPMTEAAQELKVGTTALKRRCRELGVARWPHRKMKSLRSLISNLQEMGNGMSSLPPLEAVQEELEVCCAMMEQNPAMELTESTKKLRQACFKENYKRRRVDAATTAVNNMLDQYGQCCSSYYPLQLQSSSAAATTTTALGLVTGVVLN* >Brasy1G242500.1.p pacid=40053799 transcript=Brasy1G242500.1 locus=Brasy1G242500 ID=Brasy1G242500.1.v1.1 annot-version=v1.1 MGGARGRKQRGGSRGRSRRDARGRTRGAAAREGQGEGGAGEKQGKKPCRRGRGRRCLAAAAVKLGGGGGAGQYPSLPPQGQILFLSRLIPFTVLANFSNFLRFSQRIPPIHPGERQNDASRRPGEQGLVFIPWAPA* >Brasy1G106600.1.p pacid=40053800 transcript=Brasy1G106600.1 locus=Brasy1G106600 ID=Brasy1G106600.1.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.21.p pacid=40053801 transcript=Brasy1G106600.21 locus=Brasy1G106600 ID=Brasy1G106600.21.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.18.p pacid=40053802 transcript=Brasy1G106600.18 locus=Brasy1G106600 ID=Brasy1G106600.18.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.5.p pacid=40053803 transcript=Brasy1G106600.5 locus=Brasy1G106600 ID=Brasy1G106600.5.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.12.p pacid=40053804 transcript=Brasy1G106600.12 locus=Brasy1G106600 ID=Brasy1G106600.12.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.3.p pacid=40053805 transcript=Brasy1G106600.3 locus=Brasy1G106600 ID=Brasy1G106600.3.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.13.p pacid=40053806 transcript=Brasy1G106600.13 locus=Brasy1G106600 ID=Brasy1G106600.13.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDFHAYFNLR* >Brasy1G106600.9.p pacid=40053807 transcript=Brasy1G106600.9 locus=Brasy1G106600 ID=Brasy1G106600.9.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.15.p pacid=40053808 transcript=Brasy1G106600.15 locus=Brasy1G106600 ID=Brasy1G106600.15.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.16.p pacid=40053809 transcript=Brasy1G106600.16 locus=Brasy1G106600 ID=Brasy1G106600.16.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.2.p pacid=40053810 transcript=Brasy1G106600.2 locus=Brasy1G106600 ID=Brasy1G106600.2.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.20.p pacid=40053811 transcript=Brasy1G106600.20 locus=Brasy1G106600 ID=Brasy1G106600.20.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.10.p pacid=40053812 transcript=Brasy1G106600.10 locus=Brasy1G106600 ID=Brasy1G106600.10.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.11.p pacid=40053813 transcript=Brasy1G106600.11 locus=Brasy1G106600 ID=Brasy1G106600.11.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.8.p pacid=40053814 transcript=Brasy1G106600.8 locus=Brasy1G106600 ID=Brasy1G106600.8.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.6.p pacid=40053815 transcript=Brasy1G106600.6 locus=Brasy1G106600 ID=Brasy1G106600.6.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.4.p pacid=40053816 transcript=Brasy1G106600.4 locus=Brasy1G106600 ID=Brasy1G106600.4.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.19.p pacid=40053817 transcript=Brasy1G106600.19 locus=Brasy1G106600 ID=Brasy1G106600.19.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.7.p pacid=40053818 transcript=Brasy1G106600.7 locus=Brasy1G106600 ID=Brasy1G106600.7.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.17.p pacid=40053819 transcript=Brasy1G106600.17 locus=Brasy1G106600 ID=Brasy1G106600.17.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQTFVTHRIKLQDLVQESGISSEQESEVLSIHTSEWVRPSLNIKDKFHIRDSGVVVIQ* >Brasy1G106600.14.p pacid=40053820 transcript=Brasy1G106600.14 locus=Brasy1G106600 ID=Brasy1G106600.14.v1.1 annot-version=v1.1 MACRRRDGGGIPLPLPRRAAPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKEVMYIDLDCRFDVLRLAQILRNRIVEGCGSAHPTNEQFVKDGTEDILFTDCMKRFFYVRCYSSSELIAVLKTVYYQSEARSGALGVGIYFLILDSIGAFYWIDRGSQSTRDSKGKTQSLQSITESVVQEIRKLLQLQPVLVLVSKAHIYGEGITTSNDFQRGTSKFLTEDSAVLRYSKREEEINTSCREYMPSVWQVCSIFSSSKVS* >Brasy1G517800.1.p pacid=40053821 transcript=Brasy1G517800.1 locus=Brasy1G517800 ID=Brasy1G517800.1.v1.1 annot-version=v1.1 MQESRVGSHTIQTHGARLAIKHTHDWAVLILLAAAVLALHYTPPFTRFVGKDMVTDSMYPVKQSTVPAWAVPIISVLCPVVIFISLYVARRDVYDLHHATLGVLFAVLLTGALTDAIKNAVGRPRPDFFWRCFPDGRQLYDQVTGGVICHGEKSFLKDGHRSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRKGHVAKLCIVILPLLLASLVGISRIDDYRHHWEDVFVGSLIGYIMAMLCYLHFFPPPHHHQGWGPYAYFDMLEELEAGNSNNAQHQQSAGGHHIGVTGQHHNGASRNYLESGST* >Brasy1G241100.1.p pacid=40053822 transcript=Brasy1G241100.1 locus=Brasy1G241100 ID=Brasy1G241100.1.v1.1 annot-version=v1.1 MAQQIALASSVLSLRRRRYLCRPSCRCAAVGTCGTAGLLITYPARRRHVRTDTPIRPYAILADAPPGLFASPNVVIASAHV* >Brasy1G026500.1.p pacid=40053823 transcript=Brasy1G026500.1 locus=Brasy1G026500 ID=Brasy1G026500.1.v1.1 annot-version=v1.1 MEPALDSVPPSADRLEPEPFALVPQPQPAPAPVAEQKARARGRPPGSRNKPKPPVIVTRESAAAMRPVVLELAPGCDVAGAVAAFARRRGLGVSVLCGRGAVSAIALRLASAPAAAGNPDNVVRLQGRLEVLTMSGTVLPSSSSSAPAAAPFVVTFAGENGRVIGGTLAGEMTAAAEGVVVVAATFKDPETHRLPAAPEADTKVEEEGDDGRVGLGRFQDERQSLLLPPLQQEQQQQPATAPAAAAVMWHGGYGGLPGQVGHYPQHAAEQMYLSGGLSDDPGTPNHPSWTHYL* >Brasy1G121000.1.p pacid=40053824 transcript=Brasy1G121000.1 locus=Brasy1G121000 ID=Brasy1G121000.1.v1.1 annot-version=v1.1 MPRTTMDTPPLPVGHSGGSATAPSTGQNELRAQARTCIRAIDLGQQPRPIRLSLVDPICCSGGGVGCDDISDSPAATYSVICVSGFCTENSHALPAPSTHARDVWCTWWSQQEDGTRLAVIFLEL* >Brasy1G480100.1.p pacid=40053825 transcript=Brasy1G480100.1 locus=Brasy1G480100 ID=Brasy1G480100.1.v1.1 annot-version=v1.1 MGKLKPVSATATPRGQKRRRDRPKRPVLDGSLPDSSSAGIIAAEPARREKQSNGSSQGRDQSDEDVLTDDCSVSSEDEADDMIRNKTDAFDEMESSCSFQRHVSRILTNDEVKALAKQKSKFKWEMPAEDIPKSKWVGTGERIQGAYDDLVDVKGKLRDHWEKTLSDNFNSRLRFFSLCNSYRDIMHCNKKPFYLKGSKLDSSTMDAYLMHALNHIHRTRDVVIKNDAKLRNDANTDILDDNSYRDQGFTRPKVLFLLPLKSMARHLVKRLIQLSPLTQKDNAMGHFKKEFGESDDEDEPENPSKPADFNLLFAGDVEDHFLFGIKYTKKSIKLYSNFYASDIIVASPLALKLKIDGGEDIKKKGSAKEDSDFLSSIEIVVVDHADVISMQNWSHLHAVLEQLNHLPSKEHVASVMRIRPWYLDEQARYYRQTIILSSYLSPEMNALFNGICLNYEGKVKLVTEFTGVLPKIQLELRQVYERFDASSIGESEDARFDYFCTKVYPKIQESDEGGVLLFVSSYFEYIRISNFLKSQEASFCRIGEATSQQDISRSRLWFFEGQKKILLYSERSHFFHRYKIRGAHHLVIYSLPGRKDFYPELVNMLGESANPKCNVLFSRLDLLKLERIVGTSSARRLISSDKSMFVFC* >Brasy1G480100.2.p pacid=40053826 transcript=Brasy1G480100.2 locus=Brasy1G480100 ID=Brasy1G480100.2.v1.1 annot-version=v1.1 MGKLKPVSATATPRGQKRRRDRPKRPVLDGSLPDSSSAAEPARREKQSNGSSQGRDQSDEDVLTDDCSVSSEDEADDMIRNKTDAFDEMESSCSFQRHVSRILTNDEVKALAKQKSKFKWEMPAEDIPKSKWVGTGERIQGAYDDLVDVKGKLRDHWEKTLSDNFNSRLRFFSLCNSYRDIMHCNKKPFYLKGSKLDSSTMDAYLMHALNHIHRTRDVVIKNDAKLRNDANTDILDDNSYRDQGFTRPKVLFLLPLKSMARHLVKRLIQLSPLTQKDNAMGHFKKEFGESDDEDEPENPSKPADFNLLFAGDVEDHFLFGIKYTKKSIKLYSNFYASDIIVASPLALKLKIDGGEDIKKKGSAKEDSDFLSSIEIVVVDHADVISMQNWSHLHAVLEQLNHLPSKEHVASVMRIRPWYLDEQARYYRQTIILSSYLSPEMNALFNGICLNYEGKVKLVTEFTGVLPKIQLELRQVYERFDASSIGESEDARFDYFCTKVYPKIQESDEGGVLLFVSSYFEYIRISNFLKSQEASFCRIGEATSQQDISRSRLWFFEGQKKILLYSERSHFFHRYKIRGAHHLVIYSLPGRKDFYPELVNMLGESANPKCNVLFSRLDLLKLERIVGTSSARRLISSDKSMFVFC* >Brasy1G245900.1.p pacid=40053827 transcript=Brasy1G245900.1 locus=Brasy1G245900 ID=Brasy1G245900.1.v1.1 annot-version=v1.1 MEDDIIDKFSYQYPDHPKLLQAEQPFAQILSAAASTSFNAEESAPDVLATALLPRKVQDPEFFSHGIGIVEPSSTVFPIESSTNMNKISSMAFFKGMEEAKMFLPMDNVMVDSRGCKNKFDMDGDMEPGMGRSSKQIAVLVHTDSEEEVELKKRLDRLILNGYDRYPSEMQEVLITLDKENKVAQKSSRIRGRRGATQTVVTDLETLLIRCAEAVARHDRRSANEVLERIKRYSSLTGDARQRLAHYLAQGLEARLAGTGSQFYRSLIGTRTSTMELVKAYHLYNTTFCFFKVAFFFSNKTIYKAVAGRKKLHIVHYGSNIGLQWPDLIQWLAEREGGPPEVRMTSINRPEPGFRPSEQIEETGHRLRNYASKFGVSIKFRAIIAHPEAVRAEDIRIDPDEVLVVNSLCQFKTLMDESLSFHRVSPRDMVLNTIRKMKPSVFVHAVNNGSYSAALFMTRFRHALYNFTSFFDVMETTIPRNNDKRLQVERDLFARSVINIVACEGADRVERPQNYKEWQARHHRAGLRQLPLDPDIVLMLKNKVKNEYHKHFMINEDHRWLLQGWKGRVLYALSTWVADDASSSNMA* >Brasy1G332900.1.p pacid=40053828 transcript=Brasy1G332900.1 locus=Brasy1G332900 ID=Brasy1G332900.1.v1.1 annot-version=v1.1 MRRGGGGGPAGKEDKVMGPLFPRLHVNDTLKGGPRAPPRNKMALYEQFSVPSQRFTPHRASSSALSSASPGQIGGSDRPLFPSFCVPSNEPARSSEHINTNSNGRDGNATKVESGRHSTQLKSKDTYAAGSTAECSSQRRENSVKNSSGKKLTNDDDFTVPSVFCSGVPPHSTQEVVRIQEKSTAFPSTSPYKSGPTMSKSSAKCSNTDKRYLEGTNVSDMRSRDSPSIKDKAPLKTMTNLGVEERSSSFQISKEKAGKADDKISSHRDKLSDLNVFDKQHARTEGHQARRTNENAAESQNAPKAGNGPSSTNVERNGASNLLEKGLRVTGEKRKRSAGHHNVQKDDSSDSSVESLPGLEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGSALVTSKNKLVAGNVEKQPPSAKNKDDAQLTLQQVEYSKDNTEGNQASPSQDDVVEVQHNNQAASNGADTSNPPTIPAAPDNKQSNWCTPPQNQWLVPVMSLSEGLVYKPYTGPCPPAGSFLAPFYASCAPLSLPSTAGDFMNSPYGIHMPHQPQHMGLGGPPPMPPMYFPPFSMPVMNPVVSASAVEQVSRIAPARPNAHVEHYSRNSCNMRNEAMSAGIWRFHASRDSELQASSAASSPFDRQQGEARGPAAPPPIPTSSAGNGQPQPSTGSKENPAGVIRVVPHTARTASESAARIFRSIQMERQQNDP* >Brasy1G230300.1.p pacid=40053829 transcript=Brasy1G230300.1 locus=Brasy1G230300 ID=Brasy1G230300.1.v1.1 annot-version=v1.1 MLNLACHKAWGVRCRHARQLEGCVCDCVCVCVCVVGMGTRGSCCQLTSGRASLAWRFWLHVTCFFSHSSPVGHQWAMAHASYCYSSFPPVRESPGFVFVVSKYNTRSVLACFQKTGNEEHEIYAAAPVKIGRVNGRIALLLLVSCAIGSTAVKAKFLWHLQWLVIRLAMEEFRSPCMMIL* >Brasy1G367200.1.p pacid=40053830 transcript=Brasy1G367200.1 locus=Brasy1G367200 ID=Brasy1G367200.1.v1.1 annot-version=v1.1 MELRPKQQERRRRHEEDEEEAEMERGHLSLRTGGGRRHDEEVAGWRRRGEIKEVDFFLASGDDGAARSRKDGAGHGALHSGRDDVHTGLDLLTTTTGAAAAGAATAAGGEDPAALVAWTGKKNHEMCCALCWLTRTCLCGAQTEAAATVQAELRRVVEENLRLRGMLEELTRSYGALYHQLLQVTHQQQQQHPHRHTDLAMNNRSPLTQTHLTAAPNTSATQQFLEPRPRAPIATAMGTAQQDDAAVEYDSGTASSPSLSGAGNGGKRRTVQDDAAAPGTRESSEQASSEQPPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTVLITTYEGNHNHQLPPAATTMANTTSAAAAMLLSGPATSRDGPGPIPLLGHPTASLFHPAHHQYSFPYASSMATLSASAPFPTITLDLTQPPAGHPLPPAASPAAMPLPPQLAMYLQQQRAASTTMLPPGLTVGPRLQPQSVMDTVTAAIAADPNFSTALAAAISSVMAREAPHQDQPSGSVSAAGDGTGAAASAAPTPATDGSARAAASGGGGSPRLATQSCTTSTT* >Brasy1G367200.2.p pacid=40053831 transcript=Brasy1G367200.2 locus=Brasy1G367200 ID=Brasy1G367200.2.v1.1 annot-version=v1.1 MELRPKQQERRRRHEEDEEEAEMERGHLSLRTGGGRRHDEEVAGWRRRGEIKEVDFFLASGDDGAARSRKDGAGHGALHSGRDDVHTGLDLLTTTTGAAAAGAATAAGGEDPAALVAWTGKKNHETEAAATVQAELRRVVEENLRLRGMLEELTRSYGALYHQLLQVTHQQQQQHPHRHTDLAMNNRSPLTQTHLTAAPNTSATQQFLEPRPRAPIATAMGTAQQDDAAVEYDSGTASSPSLSGAGNGGKRRTVQDDAAAPGTRESSEQASSEQPPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTVLITTYEGNHNHQLPPAATTMANTTSAAAAMLLSGPATSRDGPGPIPLLGHPTASLFHPAHHQYSFPYASSMATLSASAPFPTITLDLTQPPAGHPLPPAASPAAMPLPPQLAMYLQQQRAASTTMLPPGLTVGPRLQPQSVMDTVTAAIAADPNFSTALAAAISSVMAREAPHQDQPSGSVSAAGDGTGAAASAAPTPATDGSARAAASGGGGSPRLATQSCTTSTT* >Brasy1G295800.1.p pacid=40053832 transcript=Brasy1G295800.1 locus=Brasy1G295800 ID=Brasy1G295800.1.v1.1 annot-version=v1.1 METEGNSLPSPSCPDGRKRRVCYYYDPLIANVDYGAGHSMVPRRVALTHALVDSYGLLHGHGMKRLRTRPATERELASILHKDYLALLRDLTPRNYHGQRRQDAVHRNIIGLAHHDDQSEAGDGRQQQQDSDDNPVIAGLWDYCTRYAGGSLAAARALGSRESDIAVNWSGGMHHACRDGASGFCYANDIVLAVRELLRAFPRVLYVDIDVHHGDAVEDQFAEDSRVMAVSFHQHGRRAAGGDGAFFPGTGAAGDVGKGGGEYCTLNVPLKYGMDDAGYREMFRPIVGEVMRVFQPEAVVLQCGADSLAGDRLGEFNLSVKGHASCVAYLRSFNKPLLLLGGGGYTINHVASCWCYETAVAIGTEIPDEIPHHGYEHYYKDQDYKLHYAATRPVKNASNTKEHMANIRKEAMENLEKLKSLQLEAAPSVQFKDRTAGSINFSNLYDCRKRDREYLEEDDPIKRLYRLCGKADLGDFFTQVGREHIKR* >Brasy1G459200.1.p pacid=40053833 transcript=Brasy1G459200.1 locus=Brasy1G459200 ID=Brasy1G459200.1.v1.1 annot-version=v1.1 MDLPDEMLAEVLRRLPPRYLAVCRGVCRNWRIVVDADGLLLASAHLLPRPMRGIFIHYVGKDRHSFFSRPAAPSSPGIDVALRFLSDGWRLCSRILDHSNGLLLCQNKAPLYVCNPATRRYAPVPSPPVQAFFRSRVYIVFDPTVTLHYNVLYVPDVPNKPKRKGMSACIENLPPSLRADYEHDVNRIGSMEWPPRSYAVQVFSSRTNQWEEKRFIRVGDSAVTVSDVWSEPSAPTSHNTLRRDTVYWREALYVHCRGGFIVRYGCCTKHRNYPKCQREKNGKSWILDHVQKVSNDIGDHGWDSGDDSLVDVDENKGARDDNWGHKYGYYGIDLLGYHPCKEIAFLGNRFNGFVYYLDITKLEYLGSLYPPNLHCYGGVAPTIESFIYTPCITKLEYLGSLYPPNLHCYGGVAPTIESFIYTPCMDDQLFDRSDNNHI* >Brasy1G398100.1.p pacid=40053834 transcript=Brasy1G398100.1 locus=Brasy1G398100 ID=Brasy1G398100.1.v1.1 annot-version=v1.1 MTKAHLATTSETQPGPASGRQPTPTSHRTPDQPHGANFASHRIKHTSDTPTNTCISPATKTTSTAKASVFPARPEHRRITKQRASAPHRRAGHRQQQAVARCHQGRRPPANRGPPSPHPRHHQLAANIRAAPRSRVEGQRAPAAPKSWARRAGDLRWKVGEEEEVARRPAAAHRQEAPPLSNAAADRKGRGRGRGPAAEQRRDEGAGEVPPPSPAGDGSEEGKEGGGA* >Brasy1G189700.1.p pacid=40053835 transcript=Brasy1G189700.1 locus=Brasy1G189700 ID=Brasy1G189700.1.v1.1 annot-version=v1.1 MAPVKLYGPTLSWNVTRCVAALEEAGVEYDLVPINFGTGEHKSPEHVARNPFGQVPALQDGDLYVWESRAICKYACRKNKPELLKEGNLEESAMVDVWLEVEAHQYTSALSPILFECLIHPMLGGATDQKVIDENLVKLKKVLEVYEARLTKCKYLAGDFLSLADLNHVSATLCLAATPYASLFEAYPHVKAWWSDMLAKPSVQKVAALMKPSS* >Brasy1G561900.1.p pacid=40053836 transcript=Brasy1G561900.1 locus=Brasy1G561900 ID=Brasy1G561900.1.v1.1 annot-version=v1.1 MASYKLLVILVFSVHLATTIVSVSGAGADTTYQADCPYPCLPAPPTPPAPAAADCPPPPSTVYPPPSPSGTAPPAPGSYWSYPPPAGSGYIPGFYQPPSGGGGGGSGGGGGYGGPAPPPPNPILPWYPWYYRSPPSSSSAPAAAASATIALLVAGVTAQLVWPVTSY* >Brasy1G148600.1.p pacid=40053837 transcript=Brasy1G148600.1 locus=Brasy1G148600 ID=Brasy1G148600.1.v1.1 annot-version=v1.1 MRVPQMTRVIHDSGEGMQKEALYMVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDSLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKSRAAIAKVEKALQEHDSASSSREKEEIEELRKEIREARRIKMLHQPSKVMDMEFELQGLRMLISEKTQLCNQLKKELAMIKRLEQDSSKLFELEGSDTLGSQFRIIPRVDGAPDITSCLAQWYRVISGGSRELISGATRLTYAPEPSDVGQLLQAEIILKADKVTVQTDGPVNPAAGLERYVESLMRRTDIEFNVVVTQMNGNDYSSNSVHVFHIGKLRIKLRKGRSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKSLSYTLAFETDRDRNAAIILARKFASNCNVALTGPGDQVHGGG* >Brasy1G148600.3.p pacid=40053838 transcript=Brasy1G148600.3 locus=Brasy1G148600 ID=Brasy1G148600.3.v1.1 annot-version=v1.1 MRVPQMTRVIHDSGEGMQKEALYMVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDSLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKSRAAIAKVEKALQEHDSASSSREKEEIEELRKEIREARRIKMLHQPSKVMDMEFELQGLRMLISEKTQLCNQLKKELAMIKRLEQDSSKLFELEGSDTLGSQFRIIPRVDGAPDITSCLAQWYRVISGGSRELISGATRLTYAPEPSDVGQLLQAEIILKADKVTVQTDGPVNPAAGLERYVESLMRRTDIEFNVVVTQMNGNDYSSNSVHVFHIGKLRIKLRKGRSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKSLSYTLAFETDRDRNAAIILARKFASNCNVALTGPGDQVHGGG* >Brasy1G148600.2.p pacid=40053839 transcript=Brasy1G148600.2 locus=Brasy1G148600 ID=Brasy1G148600.2.v1.1 annot-version=v1.1 MTRVIHDSGEGMQKEALYMVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGATKLSEEAKRREAASLDRQVLLKKLRDVLDSLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKSRAAIAKVEKALQEHDSASSSREKEEIEELRKEIREARRIKMLHQPSKVMDMEFELQGLRMLISEKTQLCNQLKKELAMIKRLEQDSSKLFELEGSDTLGSQFRIIPRVDGAPDITSCLAQWYRVISGGSRELISGATRLTYAPEPSDVGQLLQAEIILKADKVTVQTDGPVNPAAGLERYVESLMRRTDIEFNVVVTQMNGNDYSSNSVHVFHIGKLRIKLRKGRSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKSLSYTLAFETDRDRNAAIILARKFASNCNVALTGPGDQVHGGG* >Brasy1G095000.1.p pacid=40053840 transcript=Brasy1G095000.1 locus=Brasy1G095000 ID=Brasy1G095000.1.v1.1 annot-version=v1.1 MDGSNAAGTSNGGSGDGGPAAAGRRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAARDVVEIIDRYDDACVPVNMTDNKLGYIQNETIPKECTRTLTVTKDMKQPIFVYYQLDNFYQNHRRYVKSRNDAQLRDYKKANQTTSCEPERTTADGKPIVPCGLIAWSLFNDTYIFNRGNENLTVDKKDISWKSDREHKFAKNVYPSNFQNGGLIGGAKLNSSIPLSDQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVKLDNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYVIKPRKLGDHNYLSWNRHPAGR* >Brasy1G095000.2.p pacid=40053841 transcript=Brasy1G095000.2 locus=Brasy1G095000 ID=Brasy1G095000.2.v1.1 annot-version=v1.1 MTDNKLGYIQNETIPKECTRTLTVTKDMKQPIFVYYQLDNFYQNHRRYVKSRNDAQLRDYKKANQTTSCEPERTTADGKPIVPCGLIAWSLFNDTYIFNRGNENLTVDKKDISWKSDREHKFAKNVYPSNFQNGGLIGGAKLNSSIPLSDQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVKLDNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYVIKPRKLGDHNYLSWNRHPAGR* >Brasy1G394200.1.p pacid=40053842 transcript=Brasy1G394200.1 locus=Brasy1G394200 ID=Brasy1G394200.1.v1.1 annot-version=v1.1 MAVIAEVEPEVAPRRRPPLPVEALRDRIVEKVKENRVTLIVGDTGCGKSSMVPQFLLEENLEPIMCTQPRRFAVVAIAQMVAEFRKCQVGEEVGYHIGHSNVSNLNSTRSKIVFKTAGVVLEQMRDKGIAALKYKVIILDEIHERSVESDLVLACVKQFMTKKNDIRLVLMSATADITRYKEYFRDIGRGERVEVIAIPSSPRTHIFQRKVLYLEQIADILEMNSESLSTKYCSGVDADADAGLNPDVYQLIHKLLLHIHQNDPDIEKSILVFLPTYYALEQQWIRLLFSPDFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDSIRKTDAAGLVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYKSFDDHEHPAILRLSLREQVLMVCCAESRAMNDPNVLLQKVLDPPNSDVIQDALDSLVQIQALVKPTSPRGRYEPTFYGCLLNSLPLSFDASVLTLKFGEVGALHEGILLSIMLDIQPLPILQPFGHQALCKMFRDNYFDESGKLQVGKKEATLIGNICAFQFWQRMFKDMYRLEYLKNVVNTQEATASHPSISKPEEVWCAFHNLVPGALNNISEIYDDVMSTLHRFRPSFLGKINPPMYLQPSEFHHACLHHEVLELEDMNSLLLEAENPHLDSQMKCAATPYVSPTDFGAALTVVILQTLIKEMKTQLAEDKKVSCREQVPGYVKQAFESEMCVFFVRGSCTRGDTCPFSHSSRARKPVCKFFLTLQGCRNGNSCSFSHDSGSLKSSSMTSGICSQEDRATSVCCKKLLPAGGDGYILVMNDKNLQFSYKLCHYYDPSKIVACTPGLHSVEPDLVTNGILILQNVADPSHLIIGGEHKLPVPWAKLQRVLWFADFDSDESINEKVLLQKFFENIAIKTLSETLSNLQVILIMNNTKFVHLQVDRLARECFFFLSESFMFDEATLGWFTDTPSYPNGMQVSAPVAYLFNMHPPTSIQFGDYPAELRKGLRKC* >Brasy1G256000.1.p pacid=40053843 transcript=Brasy1G256000.1 locus=Brasy1G256000 ID=Brasy1G256000.1.v1.1 annot-version=v1.1 MEVTRPGSPRPWRAEERAEGRPRSGRRGGSRSSWTGGRRSRWRGGRRGGRRSRRKGGQRSRPEEHAAAKDLEAPSVSRSSCYFQLCLEDDRSYVNFSMR* >Brasy1G256000.2.p pacid=40053844 transcript=Brasy1G256000.2 locus=Brasy1G256000 ID=Brasy1G256000.2.v1.1 annot-version=v1.1 MEVTRPGSPRPWRAEERAEGRPRSGRRGGSRSSWTGGRRSRWRGGRRGGRRSRRKGGQRSRPEEHAAAKDLEAPSVSRPVPPVLFIFFLAILIWQAH* >Brasy1G238800.1.p pacid=40053845 transcript=Brasy1G238800.1 locus=Brasy1G238800 ID=Brasy1G238800.1.v1.1 annot-version=v1.1 MKTKLNSLSTFKLATFLPPSLHNFVHLQADGSAGGILTAWNSTRFSKTQEEFGDRSVSIHLECQSSDLAFWATNVYGPNVDGDREQFFQDLINLQSSVTGPWMIAGDFNSIRSSQDRNSGRASFAETELFNDLIRELQVQELPLLDRNFTWSNMQTNPILTRIDRIFFNADWDIALPNSTLYSLPRCTSDHFPLKIEATTQIPIFRYENN* >Brasy1G436600.1.p pacid=40053846 transcript=Brasy1G436600.1 locus=Brasy1G436600 ID=Brasy1G436600.1.v1.1 annot-version=v1.1 MTSVWKTKVLPGLNKIFDKDGKKAAAAEFLKSFNKEEISKEIEDKKTELEPKVVETIEASPPEIKGLIKDKKTAKIKKNSVAVTKFLDDLAKIEFPGAKLVSDAVAKSGTTPVSPAIVFILDKVAPFVPEPKEEPKAEPEPAAAAEETTSRDVVVEEEKKEEAPAEAAAPSEVVEEKKEEEKPSEAEAAAEPAAEPEKK* >Brasy1G436600.2.p pacid=40053847 transcript=Brasy1G436600.2 locus=Brasy1G436600 ID=Brasy1G436600.2.v1.1 annot-version=v1.1 MTSVWKTKVLPGLNKIFDKDGKKAAAAEFLKSFNKEEISKEIEDKKTELEPKVVETIEASPPEIKGLIKDKKTAKIKKNSVAVTKFLDDLAKIEFPGAKLVSDAVAKSGTTPVSPAIVFILDKVAPFVPEPKEEPKAEPEPAAAAEETTSRDVVVEEEKKEEAPAEAAAPSEVVEEKKEEEKPSEAEAAAEPAAEPEKK* >Brasy1G380000.1.p pacid=40053848 transcript=Brasy1G380000.1 locus=Brasy1G380000 ID=Brasy1G380000.1.v1.1 annot-version=v1.1 MAVARLIWGKMRAATISVSRAEERCEDGGAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPRAGRGRPTLGRGCRRGLGVGWRRRALRQGSGAGRRRRELGEIGAAGWCRAVIGRPAALCGLRLGRRCFGEERRRCSIFFY* >Brasy1G034500.1.p pacid=40053849 transcript=Brasy1G034500.1 locus=Brasy1G034500 ID=Brasy1G034500.1.v1.1 annot-version=v1.1 MAEIVSSAIVGEAVSLVFSGIATTAAKDEDKSDQEAAEDGLQRLEMARIKMEAALEMSGRWQITDVSLLHWRKKLKHAAEDCDDATRRCRRLSKEEDEKEQLVSQSSFPRRIAHATKAFVSSFVGRNNNNDHCSASGSIAASVRRFERLANGAGEFMRFVELGGTPHRPHLFFDPLVGHIFAGKMLFHRVSHPAGLRSFGIWPMEFKDRGLEVMMSFIYQDHKMPKNSFCLFFMLRLSDSTDIIGTASTADVAIKEIIQLPSQDFSCVPWEVMNAQKEHWNPGLWNHIHITLTRWFRPDPLCCQGYEHKDSPSCGIVNGIRNSCGSRNKFPEPVCQVFLQRHISLSEYNNLQPVSSITTSISYDDATASLENSNLGILILPHDSLEDDPKSAGEAGSMIEVINGVKQQNLTHVNVHPDQLDEMLMPKAIDYLYHNDDATTYQICWRSNHGSAHLCVEKSSTIVEARRSTRQRRNVGKVFHQMQQGRQIRKTEWKHVARDFLKLWVVRSSERLRSSILAWLKQSGQN* >Brasy1G123600.1.p pacid=40053850 transcript=Brasy1G123600.1 locus=Brasy1G123600 ID=Brasy1G123600.1.v1.1 annot-version=v1.1 MPIYIAREATKLWRKVSAETALELQLLFEKWRLLLAGIVFQYVHGLAARGVHYLHRPGPLLQDMGFMALPELGQDKGYLSETVFTSIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSRRLIKLFAWLMAVIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKNLPEMPDRTSGSSLLPVSAKDKDDRTNEELHKPEKDSKMKDEFHKLLNGNTVDSTDRRQRLQMNGKHGEDINHTLSDATPNGT* >Brasy1G375500.1.p pacid=40053851 transcript=Brasy1G375500.1 locus=Brasy1G375500 ID=Brasy1G375500.1.v1.1 annot-version=v1.1 MGMALGDYFLGPGLDALMQQLAENDAGRQGTPPAKKEAVEALPTVEVVGAGAGDDDGGGAATCAVCLDDYAHGECARELPCRHRFHSKCILPWLQMHSSCPVCRFQLPADDDHKTSCNSNGSGSNSSYVTFVSGDVSDNGSANETGDGGGAEAEDAGNDEAAERDGGVELEGAEGNVSRLPASIQWLNSLFTQQGQGPGPSPPSTSAAGSSSRQFEED* >Brasy1G053200.1.p pacid=40053852 transcript=Brasy1G053200.1 locus=Brasy1G053200 ID=Brasy1G053200.1.v1.1 annot-version=v1.1 MEVEPSAEHEASSPSPSTRAFRVLPVCGHGVPRNTAMSSAGPAALPAHPLPARPQLIRPRAPPAHPSAPPLLRSRGRTRASRRPLPWHRGEGAEVDGDRSRSFRSGPAPATT* >Brasy1G384600.1.p pacid=40053853 transcript=Brasy1G384600.1 locus=Brasy1G384600 ID=Brasy1G384600.1.v1.1 annot-version=v1.1 MVPLRVCHRLVHLRGLPSSSLRLPFPTAMAAPQPGSKTLRPGISSPSHAGPVRKRPRMMATDAAAPMASEECSAMKAEFARHAEYLNTLNDKRERLVKASRDITMNSKKVIFQVHRISRSNKEEVLSKAELDLAAVVNQHIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLGEINDSLLELSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKNICTFVRDIYRELTLLVPLMDDNNEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPMLGSSAEPDYAFFGAGDYDQ* >Brasy1G232900.1.p pacid=40053854 transcript=Brasy1G232900.1 locus=Brasy1G232900 ID=Brasy1G232900.1.v1.1 annot-version=v1.1 MSALPSWRRAYGALKDTTTVGLANLNSDFKDLDVAIVKATNHVECPPKDRHLRKIVAAASISRPRADVAYCIHALARRLTKTRNWIVALKTLVVIHRLLRDGDPIFREELLNFTQRVQILQLSNFKDDSSPIAWDYSSWVRTYGLFLEERLQCFRVLKYDAEAERLSKQGQEAEKGHSRTRELDSQDLLEQLPALQQLLYRLTGCRPEGAASSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGILSDFYESCRGLELARNFQFPTLREPPQTFLSTMEDYVKEAPRMVPVNEPLEFPERLLLTYKPEESEEIPEPVPAQEEIPQMEEPAPVPSSTEVASPPPDTRVADTGDLLGLSDPNPSVSTIEANNALALAIIPTGVNTSTSTTTTIQDIGFDPSGWELALVTSSSNTNPLPVDSNLGGGFDKLILDSLYDEGTYRQMQQQQPYGSAPAPHNPFMASDPFAVSNQVAPPPSVQIQMAEQPQQMTMMIEANPFGPPLQPQHTGMAPTANPFMDAGFGPFPTASGNGMQANPFGTSQLL* >Brasy1G232900.2.p pacid=40053855 transcript=Brasy1G232900.2 locus=Brasy1G232900 ID=Brasy1G232900.2.v1.1 annot-version=v1.1 MSALPSWRRAYGALKDTTTVGLANLNSDFKDLDVAIVKATNHVECPPKDRHLRKIVAAASISRPRADVAYCIHALARRLTKTRNWIVALKTLVVIHRLLRDGDPIFREELLNFTQRVQILQLSNFKDDSSPIAWDYSSWVRTYGLFLEERLQCFRVLKYDAEAERLSKQGQEAEKGHSRTRELDSQDLLEQLPALQQLLYRLTGCRPEGAASSNYLVQYALALVLKESFKIYCAINDGIINLVDKAGILSDFYESCRGLELARNFQFPTLREPPQTFLSTMEDYVKEAPRMVPVNEPLEFPERLLLTYKPEESEEIPEPVPAQEEIPQMEEPAPVPSSTEVASPPPDTRVADTGDLLGLSDPNPSVSTIEANNALALAIIPTGVNTSTSTTTTIQDIGFDPSGWELALVTSSSNTNPLPVDSNLGGGFDKLILDSLYDEGTYRQMQQQQPYGSAPAPHNPFMASDPFAVSNQVAPPPSVQIQMAEQPQQMTMMIEANPFGPPLQPQHTGMAPTANPFMDAGFGPFPTASGNGMQANPFGTSQLL* >Brasy1G372400.1.p pacid=40053856 transcript=Brasy1G372400.1 locus=Brasy1G372400 ID=Brasy1G372400.1.v1.1 annot-version=v1.1 MVNRAHFVILVLAYRLLVSLSAEAQQSKESYVVYMGGGGGRDAEAARAAHMQMLSSMAPMSGEEERASTLTHSYHHAFEGFAAELTEEEAAALAAHEGVVSVFRDRTLQLHTTRSWDFLDAQSGLRPDRLAARASADVIIGVIDSGVWPESPSFNDAGMGPVPARWRGVCMEGPDFNKTNCNKKLIGARYYGDKPAGASKSPSAAATDDSPRDTDGHGTHCASTAAGAAVSGADYYGLGRAGPARGGAPGSRVAAYKACILGGCSGSALLKSIDDAVSDGVDVISISVGLSSAFPSDFLSDPIAIGAFHAHRRGVLVVCSAGNDGPVPYTVVNAAPWIVTVAASTIDRVFQSSIVLGNGNVVKGVGINFSNQSLGGERYPLVFGAQAVGRYTPVAEASNCYPGSLDPEKVRGKIVVCVGSTGTMMASRRVKKVVAEGSGASGLVLIDDAKMDEPYDAGSFAFSQVGSHVGAQILDYINSTKNPTAVILPTEDVDEFKPAPTVASFSARGPGGLTESILKPDLMAPGVSILAAWVPPTNPAVVPAGKKPSAFAFLSGTSMACPHVAGAGAFLKSAHPGWTTSMIRSALMTTATTRDNLGRPVASSTGGAATGHDMGAGEISPLRALSPGLVFDTTDKDYLDFLCYMGYDDKAVRIVSGEARFACPRGGASPSPGRIATGFNYPSISVPRLLAGNNKPVAVSRTAMNVGPPNATYAAAVEAPPGLSVTVSPDRLVFSDRWATAAYVVSFGSKAGASKGYAYGAVTWSDGAHSVRTPFAVNVA* >Brasy1G372400.2.p pacid=40053857 transcript=Brasy1G372400.2 locus=Brasy1G372400 ID=Brasy1G372400.2.v1.1 annot-version=v1.1 MVNRAHFVILVLAYRLLSYVVYMGGGGGRDAEAARAAHMQMLSSMAPMSGEEERASTLTHSYHHAFEGFAAELTEEEAAALAAHEGVVSVFRDRTLQLHTTRSWDFLDAQSGLRPDRLAARASADVIIGVIDSGVWPESPSFNDAGMGPVPARWRGVCMEGPDFNKTNCNKKLIGARYYGDKPAGASKSPSAAATDDSPRDTDGHGTHCASTAAGAAVSGADYYGLGRAGPARGGAPGSRVAAYKACILGGCSGSALLKSIDDAVSDGVDVISISVGLSSAFPSDFLSDPIAIGAFHAHRRGVLVVCSAGNDGPVPYTVVNAAPWIVTVAASTIDRVFQSSIVLGNGNVVKGVGINFSNQSLGGERYPLVFGAQAVGRYTPVAEASNCYPGSLDPEKVRGKIVVCVGSTGTMMASRRVKKVVAEGSGASGLVLIDDAKMDEPYDAGSFAFSQVGSHVGAQILDYINSTKNPTAVILPTEDVDEFKPAPTVASFSARGPGGLTESILKPDLMAPGVSILAAWVPPTNPAVVPAGKKPSAFAFLSGTSMACPHVAGAGAFLKSAHPGWTTSMIRSALMTTATTRDNLGRPVASSTGGAATGHDMGAGEISPLRALSPGLVFDTTDKDYLDFLCYMGYDDKAVRIVSGEARFACPRGGASPSPGRIATGFNYPSISVPRLLAGNNKPVAVSRTAMNVGPPNATYAAAVEAPPGLSVTVSPDRLVFSDRWATAAYVVSFGSKAGASKGYAYGAVTWSDGAHSVRTPFAVNVA* >Brasy1G372400.3.p pacid=40053858 transcript=Brasy1G372400.3 locus=Brasy1G372400 ID=Brasy1G372400.3.v1.1 annot-version=v1.1 MSVVGCAIRSVQSYVVYMGGGGGRDAEAARAAHMQMLSSMAPMSGEEERASTLTHSYHHAFEGFAAELTEEEAAALAAHEGVVSVFRDRTLQLHTTRSWDFLDAQSGLRPDRLAARASADVIIGVIDSGVWPESPSFNDAGMGPVPARWRGVCMEGPDFNKTNCNKKLIGARYYGDKPAGASKSPSAAATDDSPRDTDGHGTHCASTAAGAAVSGADYYGLGRAGPARGGAPGSRVAAYKACILGGCSGSALLKSIDDAVSDGVDVISISVGLSSAFPSDFLSDPIAIGAFHAHRRGVLVVCSAGNDGPVPYTVVNAAPWIVTVAASTIDRVFQSSIVLGNGNVVKGVGINFSNQSLGGERYPLVFGAQAVGRYTPVAEASNCYPGSLDPEKVRGKIVVCVGSTGTMMASRRVKKVVAEGSGASGLVLIDDAKMDEPYDAGSFAFSQVGSHVGAQILDYINSTKNPTAVILPTEDVDEFKPAPTVASFSARGPGGLTESILKPDLMAPGVSILAAWVPPTNPAVVPAGKKPSAFAFLSGTSMACPHVAGAGAFLKSAHPGWTTSMIRSALMTTATTRDNLGRPVASSTGGAATGHDMGAGEISPLRALSPGLVFDTTDKDYLDFLCYMGYDDKAVRIVSGEARFACPRGGASPSPGRIATGFNYPSISVPRLLAGNNKPVAVSRTAMNVGPPNATYAAAVEAPPGLSVTVSPDRLVFSDRWATAAYVVSFGSKAGASKGYAYGAVTWSDGAHSVRTPFAVNVA* >Brasy1G372400.4.p pacid=40053859 transcript=Brasy1G372400.4 locus=Brasy1G372400 ID=Brasy1G372400.4.v1.1 annot-version=v1.1 MGPVPARWRGVCMEGPDFNKTNCNKKLIGARYYGDKPAGASKSPSAAATDDSPRDTDGHGTHCASTAAGAAVSGADYYGLGRAGPARGGAPGSRVAAYKACILGGCSGSALLKSIDDAVSDGVDVISISVGLSSAFPSDFLSDPIAIGAFHAHRRGVLVVCSAGNDGPVPYTVVNAAPWIVTVAASTIDRVFQSSIVLGNGNVVKGVGINFSNQSLGGERYPLVFGAQAVGRYTPVAEASNCYPGSLDPEKVRGKIVVCVGSTGTMMASRRVKKVVAEGSGASGLVLIDDAKMDEPYDAGSFAFSQVGSHVGAQILDYINSTKNPTAVILPTEDVDEFKPAPTVASFSARGPGGLTESILKPDLMAPGVSILAAWVPPTNPAVVPAGKKPSAFAFLSGTSMACPHVAGAGAFLKSAHPGWTTSMIRSALMTTATTRDNLGRPVASSTGGAATGHDMGAGEISPLRALSPGLVFDTTDKDYLDFLCYMGYDDKAVRIVSGEARFACPRGGASPSPGRIATGFNYPSISVPRLLAGNNKPVAVSRTAMNVGPPNATYAAAVEAPPGLSVTVSPDRLVFSDRWATAAYVVSFGSKAGASKGYAYGAVTWSDGAHSVRTPFAVNVA* >Brasy1G420200.1.p pacid=40053860 transcript=Brasy1G420200.1 locus=Brasy1G420200 ID=Brasy1G420200.1.v1.1 annot-version=v1.1 MAEKEVGRIFVGGLSWDTTERTLERAFSDFGKVIETQVVTERDTGRSRGFGFVTFSEPRAADAAIREMHNGELDGRTISVNKAQPRMNTDDGGYGYGGGGYSSGARGGYRGAGDAVPAASDDCFKCGRPGHWARECPYADGGRPGRYSPPSRYGTATGGRGDRFGGPDRFANRYVDDRYDGGRYADDRYSGGRDRYPPAADRFSGDRYAGADRYASGSFARERSYERDGGRPGGSYYRDEPRATGGYGRGGPRVANGDRYGSGGPARFGGSYRDRPAPYDRPSRGAARSYDDRY* >Brasy1G033800.1.p pacid=40053861 transcript=Brasy1G033800.1 locus=Brasy1G033800 ID=Brasy1G033800.1.v1.1 annot-version=v1.1 MAAAGSFSDLPAEALDEIARRVGPRDNFACSAVCKPWRRALNTARTRRLKQPANRPLHVCVEPRYLSWQWESWANKVCIRPEGHGRPQDFIAVAMDDGDEVGGAAAASARPACSMSLLDPLTGRRFPLPPVTSSLGRRSKKLRKDLAQTGQDMFRRAAVAPGRRLGTFAVTLIHGGGHGRSFLAPGAKSWTALRAPAWAPESCSYLDAAFHKGVFYTVSTDAALTAWAPDGSSSGLRARLAVASPRAGQRVWAVLVEPAAAREEDATSRGDVRILMNDNGGLCVPKRQRVPVATDQRWSCSDVMYTSTCDSSYDCLFLGYGCWFLPYVAPVFPWN* >Brasy1G033200.1.p pacid=40053862 transcript=Brasy1G033200.1 locus=Brasy1G033200 ID=Brasy1G033200.1.v1.1 annot-version=v1.1 MAVSRSGSAGAVDADQARLHQLGYKQELKRGLSLMSNFAFSFSIIAVMAGVTTTYNTGLRYGGPASMTLGWLVVAFFNGCVALSMAEICSAYPTSGGLYYWSAKLAGNDWAPLASWVTGWFNIVGQWAATTTTDFSLAQLIQVMVLLGTGGANGGGYTASKYVVLAIHGFVLVLHGLINSLPIRCLSWFGHLGAFWNTAGALVLVVLIPAVATERASPEFIFTRFNADNGMGVHGNAYILAVGLLTSQYSLLGYDASAHMIEETKKADWSGPMGIVSSVALSTAFGWIFMVALTSIVTDDIQYLLDTSNDAGGYAVAQALYNAFRRRYGSGAGGIACVGVVAVGIFLAGVACIASNSRMGYAFSRDGAMPMSRVWHRVTKREVPLNVVWLSVAVAFAMALTSLGSQVAFQAMVSIATLGQYIAYALPIFFRVTTARKSFVPGPFCLGRYGVFVGWAAVLWVALLTVLFSLPVAYPVARDNFNYTPVAVGGVLLLSVGAWVLHARFWFRGPVTNVDL* >Brasy1G479500.1.p pacid=40053863 transcript=Brasy1G479500.1 locus=Brasy1G479500 ID=Brasy1G479500.1.v1.1 annot-version=v1.1 MDVAVERPLPSPPPVKEEKKAESMERARGSAPPIVFESFPTSTAQRDPITNKAQQEEGDNTKLEAQQEEGDNTKLEATKAEMGSVREENERLKTMLSQIVRDYQSLHTHFLHAVKPKSSQPAAAAIGNLLQLPLPAAPAPTAADDLVSLSLGRSRPNAGAGRIKAAPHHERSASSSSGTDTDRDDRLSLGLSSRRSNDDEEKATLLNLSSGSSSADADADAVPRDKLQMDAAACPPAAAMAKARKSPSAGDGGGADDEVLQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPSCPVRKQVQRCADDMSILITTYEGAHTHPLPPAAAAMASTTSAAASMLLAGPSTSASAAHLLGPFGAHQGGLLGPAAASISTVASCPTVTLDLTAPPHSSLMHQQHHPSPSPYAAAYESSKAMLPAWSSGAGYLQAAYGGGSYYGKNSNSISSMSMLPAAAMQQFGLGMARPALEQMYQPSTYLQRTTSVGAGPAPAVTDTIAKAITADPSFQSVLAAAITSYMGRGAGAAAQQHK* >Brasy1G465900.1.p pacid=40053864 transcript=Brasy1G465900.1 locus=Brasy1G465900 ID=Brasy1G465900.1.v1.1 annot-version=v1.1 MAAAGEASSTVLRFFSFIGAGVICTKAINTYLDYERKQEASAVAEAAGSAEAAASTAVVVAADAPPAHTVAAAKP* >Brasy1G375100.1.p pacid=40053865 transcript=Brasy1G375100.1 locus=Brasy1G375100 ID=Brasy1G375100.1.v1.1 annot-version=v1.1 MSGGAGTGNSPRRVIKPQPRFRPSRAETIEERSRLLSKMDAFYREACARLAMEATPATLARFLNAGVCVGLLDPVSNIMANTLLTSDIGPDLMDRDKVLDDTKLGEMAKRSVDGLVAFLLYFFPYVAGWDARRYLLVADADLLVAARLIVADRGMTGFSVTSLASVPAFQAALRLAAQVSKHPLPQRLLDVWMSLSIPDLGKAWDLASSRPPNNNIAHMPYQATRALRMALLDTFRVFYLRALARLPRAELRSRCHRSMLKAGYYYGPFDPVSNIIVNTIWYDVMFAGPQTPVLDMIGPSSLIRLESRSFYGLASFLQTRYHNLSEHEVVQCLVANCAHLSLADPNFDAATEGVDHLPFADLNIGRQQCRTSTPGLYDAVRKVEKQQPCASAMESYEAASTAAWHPDPEAHASFLSSAKEMLKGPALLLLQNGDQLTSENVQFIASLLPSGQKPTPEQITKKNPYPVLAGKRRSEAQQRRISTKVKAALHNHLLRDGEPMYELHIICGANEDVGSAEFCDDQDVDGYDDDACRAPCKFRYSHVNFLVTLKDSPADRYPLLFFAEFDDEEEGEPLCCLVDVPTPFAVLLRILCLSAIFLCSLSCRMTKHVRCLYCEADGTKIVHPASGKFHGREGVFEEAICKDRSDNIICKNEYAVQRVYAVDEDFMYLDMRCIS* >Brasy1G068900.1.p pacid=40053866 transcript=Brasy1G068900.1 locus=Brasy1G068900 ID=Brasy1G068900.1.v1.1 annot-version=v1.1 MPMIETTTATGADVPVPATAETLPGHGRTVCVTGAGGFIASWLVKRLLQKGYTVRGTVRNPVDPKNDHLRALDGAADRLVLLRADLLDPESLVAAFQGCEGVFHAASPVTDDPEKMIEPAIRGTRYVLSAAADLGIKRVVFTSTIGTVYMNPYRDADKPVDDTCWSDLDYCKKTANWYCYAKTVAEQAAWELARQRGVALLVVNPVLVLGPLLQPTVNASAEHVMKYLTGSAKTYANAAQAYVHVRDVAEAHVRVYERPTARGRYICAEGTTLHRGELCRVLAKLFPEYPVPTKCKDEVNPPVKGYRFTNQRLKDLGMEFVPVLQSIYETVRSLQEKGLLPVLPTNNNNNNAADNLLLS* >Brasy1G564800.1.p pacid=40053867 transcript=Brasy1G564800.1 locus=Brasy1G564800 ID=Brasy1G564800.1.v1.1 annot-version=v1.1 MEELGRRRCWKLTGAGPEPDLAVAFGRSRVRQRREAGSGGGANPSPTIGGREGEGNENEGESMRGIWGRSGRFGTGRDRWSAAAAPMAEPPAPTTAAAAPAVKREEEDAVGNGSGRAFRGVQKRPWGKFAAEIRDSTRNGVRVWLGTFDSPEAAAMAYNQAAFAMRGGAAVLNFPAEQVRRSLEGVAMDDGNGHGPVLALKRRHSMRRRPAAAASGRKAAMSKGAGRSQSRPEGVMELEDQSRTGPKTDRVKPD* >Brasy1G274900.1.p pacid=40053868 transcript=Brasy1G274900.1 locus=Brasy1G274900 ID=Brasy1G274900.1.v1.1 annot-version=v1.1 MFPESLLSLAPASAPWGFLVCGLLGFVFLWQTARLLEQLWWQPRRLERALRAQGLRGTSYRFLTGDVNDFARLNREAWSKPMPLGSHDIGARILPFLYKTVEEHGKPCISWFGPLPKVTITDPALVREVMSNKFGHIEKVKFPALTKLLAEGVGSYEGEKWVTHRRILNPAFHLEKLKLMMPAFSACCEELVSRWTESLGSDGSWEVDVCSELQSLTGDVISHTAFGSSYREGRRIFQLQNEQIGRFMAAVHKFFIPGYMSFPTKNNRRMQKINNEIESILRGLVGKRLRAMQEGESTKDDLLGLLLESSMADTDANGKSSLAMSIEEVVEECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLTLFGKQKPEYEGLNRLKIVTMILYEVLRLYPPASALTRRTYKEIEIGGIRYPAGVVFEMPVLFIHHDPEIWGSDVHQFRPDRFAEGVSKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYAHAPHTVMMLRPMHGAQIKLRGISS* >Brasy1G274900.2.p pacid=40053869 transcript=Brasy1G274900.2 locus=Brasy1G274900 ID=Brasy1G274900.2.v1.1 annot-version=v1.1 MPLGSHDIGARILPFLYKTVEEHGKPCISWFGPLPKVTITDPALVREVMSNKFGHIEKVKFPALTKLLAEGVGSYEGEKWVTHRRILNPAFHLEKLKLMMPAFSACCEELVSRWTESLGSDGSWEVDVCSELQSLTGDVISHTAFGSSYREGRRIFQLQNEQIGRFMAAVHKFFIPGYMSFPTKNNRRMQKINNEIESILRGLVGKRLRAMQEGESTKDDLLGLLLESSMADTDANGKSSLAMSIEEVVEECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLTLFGKQKPEYEGLNRLKIVTMILYEVLRLYPPASALTRRTYKEIEIGGIRYPAGVVFEMPVLFIHHDPEIWGSDVHQFRPDRFAEGVSKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYAHAPHTVMMLRPMHGAQIKLRGISS* >Brasy1G050200.1.p pacid=40053870 transcript=Brasy1G050200.1 locus=Brasy1G050200 ID=Brasy1G050200.1.v1.1 annot-version=v1.1 MRAPVVLGIARLFEMDGMLGMSRVTEEVTIVDIWVMKDYEREIWAFRCRVELPVAEIRVQFGKYECRWNWNVVVMPHGELFVLVESGDWLLQVDMASKLVGSFHRKRLGLTEFQLKQTLVQHAFFRTLEGYVVNALPFI* >Brasy1G023500.1.p pacid=40053871 transcript=Brasy1G023500.1 locus=Brasy1G023500 ID=Brasy1G023500.1.v1.1 annot-version=v1.1 MAERSMALLPDDLLRKIGESFLETSDLDYYINFRAATPHWRHATCKPTADDPRFMPRNWTMLEREDDDDGFLTFVNLSTGRFLRTRLPCLRDYFFVGAATGSGLLVVGERAPPYQTRVINPFTGTMVEFTAPIPLPRVDAVAVTISPMRVFLSNNGGFVQWADQNSESFRELEFRPRWTRTCRHMTTLFAGELYVVTGGRGNIFSSSIVEAAGAEEAEEDEVVDQQQTIMMNTAVLGPTLAEGRSYNYHYLVESEGELLLVRGQHDTVTTGETGFAGGHGERSVWSARGRG* >Brasy1G382400.1.p pacid=40053872 transcript=Brasy1G382400.1 locus=Brasy1G382400 ID=Brasy1G382400.1.v1.1 annot-version=v1.1 MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK* >Brasy1G186900.1.p pacid=40053873 transcript=Brasy1G186900.1 locus=Brasy1G186900 ID=Brasy1G186900.1.v1.1 annot-version=v1.1 MTSGGLMNGGGGSSISAAKISLRLQYYVLLAGVGAVLVVACLKYMPAAAVAAVGGYGTAFAGAGQVAVAGRAAGDACSDDRTAARSPVVIFNFGDSNSDTGGMAAAKGLNINLPEGRTYFRRPTGRLSDGRLVIDFICESLNTPHLSPYLKALGSDFRNGVNFAIGGSTATPGGSPFSLDVQLHQFLYFRTRSFELLHKGERAPIDHEGFRNAIYAIDIGHNDLSAYLHLPYDQVLAKIPSIIAPIKYSIETLYAHGARKFWIHGTGALGCLPQKLSIPRDDDGDLDANGCLATYNAVAKAFNEKLAESCGLLRNRMADATVVFTDLFAIKYDLVANHTRYGIEKPLMACCGNGGPPYNYNHFKMCMSGEMQLCDMDARFINWDGVHLTEVANSIIASKLLTGDYSKPRIRIASLVNSTKLPHHDG* >Brasy1G353900.1.p pacid=40053874 transcript=Brasy1G353900.1 locus=Brasy1G353900 ID=Brasy1G353900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAQAQAQALAAAQVVAKAAVAAGVNIDAAGLVTDLNKQTQEKSTAPRCSQQEFFAAAVL* >Brasy1G212900.1.p pacid=40053875 transcript=Brasy1G212900.1 locus=Brasy1G212900 ID=Brasy1G212900.1.v1.1 annot-version=v1.1 MDGEWSEGAVSSGTVSGGGEQKARGDGVSVDSSGPAAPSTSGKRRSLQKRVVTVPLADVSAPRPKGVGEGNTPTDEWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSQADPAMVLVTYSYEHNHSTAVANRAQQNRQAQKPKDQPGHPAKSDDAHQGAAANVVTESPRPPATAVEVHDEFKWLYDVVSVTSSSSDVEAADDLLLYGPMFFGKAAVDTLLPDEFGFGGGDAAGGERSEEDDAMFAGLGELPECAMVFRRHAGDGLAILGGVKVEQPAEGTAMT* >Brasy1G226600.1.p pacid=40053876 transcript=Brasy1G226600.1 locus=Brasy1G226600 ID=Brasy1G226600.1.v1.1 annot-version=v1.1 MHKSKGKLSGVLHKGFKPDRCKISLKMAMARIKLLRNKKEVQVRQMRREVAQLLDGNQDQTARIRVEHVIREEKFMQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASVRCSDVTELADVKKNFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDIQTKTKTLSSIAAEHNIKWEPKAFEEKSQRQNEDLMYGSTYSGGNSAMSGPSASSMPTPQPVAHPYSSVQSDTSRMPTGATYASPEVPANRNPYGTANCNASAQENIRDPDVSVSPSSQHGTSATTYSSAEIPGSNNFSHGNTGNASVSRPYSQYGRAVPDPRSRTEEINQPRERKSSVSGSNWNVEFKDATSAAQAAAESAEMASIAARAAAQLASRGNFSVEQNTYESSAYMHDTTPRKQQADRLMKNDNRSFSEQSSGINDPRIISSNARKDEERAETNHVSSQNTSTPYSSSEFHSYAPESRTDMYGMPTEPSRAHSFKPPCFDDSSEKVSNIGRQENHPFDLHVKSSPDAEFDGHRTKDMESRQASFDQQNINNYYSSFSASHVDSSTVWDNQNDKSGADSSAVVFDQYDYDVEEENLLDPFSSKHTEELPTVQDHKDFSSADWSQQTRSESPVDHSTSTLFSRTETEPSYDLGANKEDIPLPPSYDNIPPSFDSDGVCSDEEITTGMHVDSLRSHSRGSDYSENKMFNRSSGKLVPDVNDSIEDYESRSRKQYQNPPGSNVFHKEQQSDGSPRSDYLGAQGNLGFVPSKDYDLSDEEAEPHKLKGTSSEITGANENQPLSFRVQSSATSDGNDEGDLGLNYGRLTPGLRNKLRQPPQYKNSGVNTLPKQSSLQKVPASIKESVHSQENGASSEQTSDTPKSSRTTKSSFGANYSSEHYNRKHTVMKPEESTSPVARNNFYSGDTGKLSDRSGTTSNPSTTKSSIRANSSQELHHERPSIGARRESGSRNGTYFDSDDSEEELERRQAGQTKLPREQIQSRRTREVTSDTKRDGRVRTGVQYADETVSTPKETKKTIEAFSNSSTEQRRKAPAYSRVSVQQSSPKPVRVELPMARGKWQEDEPSGSSSPENEKNTETSRGTPKGSTPTSAPAHVHPKLPTDYDSFAAHFMSLRTNRR* >Brasy1G226600.2.p pacid=40053877 transcript=Brasy1G226600.2 locus=Brasy1G226600 ID=Brasy1G226600.2.v1.1 annot-version=v1.1 MHKSKGKLSGVLHKGFKPDSKISLKMAMARIKLLRNKKEVQVRQMRREVAQLLDGNQDQTARIRVEHVIREEKFMQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASVRCSDVTELADVKKNFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDIQTKTKTLSSIAAEHNIKWEPKAFEEKSQRQNEDLMYGSTYSGGNSAMSGPSASSMPTPQPVAHPYSSVQSDTSRMPTGATYASPEVPANRNPYGTANCNASAQENIRDPDVSVSPSSQHGTSATTYSSAEIPGSNNFSHGNTGNASVSRPYSQYGRAVPDPRSRTEEINQPRERKSSVSGSNWNVEFKDATSAAQAAAESAEMASIAARAAAQLASRGNFSVEQNTYESSAYMHDTTPRKQQADRLMKNDNRSFSEQSSGINDPRIISSNARKDEERAETNHVSSQNTSTPYSSSEFHSYAPESRTDMYGMPTEPSRAHSFKPPCFDDSSEKVSNIGRQENHPFDLHVKSSPDAEFDGHRTKDMESRQASFDQQNINNYYSSFSASHVDSSTVWDNQNDKSGADSSAVVFDQYDYDVEEENLLDPFSSKHTEELPTVQDHKDFSSADWSQQTRSESPVDHSTSTLFSRTETEPSYDLGANKEDIPLPPSYDNIPPSFDSDGVCSDEEITTGMHVDSLRSHSRGSDYSENKMFNRSSGKLVPDVNDSIEDYESRSRKQYQNPPGSNVFHKEQQSDGSPRSDYLGAQGNLGFVPSKDYDLSDEEAEPHKLKGTSSEITGANENQPLSFRVQSSATSDGNDEGDLGLNYGRLTPGLRNKLRQPPQYKNSGVNTLPKQSSLQKVPASIKESVHSQENGASSEQTSDTPKSSRTTKSSFGANYSSEHYNRKHTVMKPEESTSPVARNNFYSGDTGKLSDRSGTTSNPSTTKSSIRANSSQELHHERPSIGARRESGSRNGTYFDSDDSEEELERRQAGQTKLPREQIQSRRTREVTSDTKRDGRVRTGVQYADETVSTPKETKKTIEAFSNSSTEQRRKAPAYSRVSVQQSSPKPVRVELPMARGKWQEDEPSGSSSPENEKNTETSRGTPKGSTPTSAPAHVHPKLPTDYDSFAAHFMSLRTNRR* >Brasy1G226600.3.p pacid=40053878 transcript=Brasy1G226600.3 locus=Brasy1G226600 ID=Brasy1G226600.3.v1.1 annot-version=v1.1 MQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASVRCSDVTELADVKKNFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDIQTKTKTLSSIAAEHNIKWEPKAFEEKSQRQNEDLMYGSTYSGGNSAMSGPSASSMPTPQPVAHPYSSVQSDTSRMPTGATYASPEVPANRNPYGTANCNASAQENIRDPDVSVSPSSQHGTSATTYSSAEIPGSNNFSHGNTGNASVSRPYSQYGRAVPDPRSRTEEINQPRERKSSVSGSNWNVEFKDATSAAQAAAESAEMASIAARAAAQLASRGNFSVEQNTYESSAYMHDTTPRKQQADRLMKNDNRSFSEQSSGINDPRIISSNARKDEERAETNHVSSQNTSTPYSSSEFHSYAPESRTDMYGMPTEPSRAHSFKPPCFDDSSEKVSNIGRQENHPFDLHVKSSPDAEFDGHRTKDMESRQASFDQQNINNYYSSFSASHVDSSTVWDNQNDKSGADSSAVVFDQYDYDVEEENLLDPFSSKHTEELPTVQDHKDFSSADWSQQTRSESPVDHSTSTLFSRTETEPSYDLGANKEDIPLPPSYDNIPPSFDSDGVCSDEEITTGMHVDSLRSHSRGSDYSENKMFNRSSGKLVPDVNDSIEDYESRSRKQYQNPPGSNVFHKEQQSDGSPRSDYLGAQGNLGFVPSKDYDLSDEEAEPHKLKGTSSEITGANENQPLSFRVQSSATSDGNDEGDLGLNYGRLTPGLRNKLRQPPQYKNSGVNTLPKQSSLQKVPASIKESVHSQENGASSEQTSDTPKSSRTTKSSFGANYSSEHYNRKHTVMKPEESTSPVARNNFYSGDTGKLSDRSGTTSNPSTTKSSIRANSSQELHHERPSIGARRESGSRNGTYFDSDDSEEELERRQAGQTKLPREQIQSRRTREVTSDTKRDGRVRTGVQYADETVSTPKETKKTIEAFSNSSTEQRRKAPAYSRVSVQQSSPKPVRVELPMARGKWQEDEPSGSSSPENEKNTETSRGTPKGSTPTSAPAHVHPKLPTDYDSFAAHFMSLRTNRR* >Brasy1G194600.1.p pacid=40053879 transcript=Brasy1G194600.1 locus=Brasy1G194600 ID=Brasy1G194600.1.v1.1 annot-version=v1.1 MDFKGFWESRFGGKKEAEQNGHANGEANGSVKKRTADLAIYEQFEQQAKQPEMRAAAIRDGNADVIQKPLLPSFESAEMRNLAETLLRDIIRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSMTSNLEVPYDLLVEKTEGYSGSDIRLVCKEAAMQPLRRIMSVLEASDELVPEEELPEVGPLRPDDVELALRNTRPSAHLQAHRYEKFNQDYGSQILR* >Brasy1G192800.1.p pacid=40053880 transcript=Brasy1G192800.1 locus=Brasy1G192800 ID=Brasy1G192800.1.v1.1 annot-version=v1.1 MAEVKPEEISHPAMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTVVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIVQDSSLAGIPDDHERFLQSMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNIQIREIPILERFSLFICIALVWAYAQILTSGGAYKHSSEVTQNNCRTDRANLISSAPWIKIPYPLQWGAPTFSAGQSFGMVSAVLISLIESTASYSAAARLASATPPPAHILSRGIGWQGIGILLSGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINAIFSSPPTVGMIVAVFLDNTLEMKDAGKDRGMPWWVQFRSFKGDTRNEEFYSLPFNLNRFFPPS* >Brasy1G456100.1.p pacid=40053881 transcript=Brasy1G456100.1 locus=Brasy1G456100 ID=Brasy1G456100.1.v1.1 annot-version=v1.1 MESFSFLKFLRGGGVVCTERAAVAATTIAASAACEDGGEGAGGVDDDAAFFDLEFAVPGDESAASDAEEERVEFNFSVAGDAASGGEVVAVDPVVVPDDDPSTGDGKEEEAEAAAETAQQQQQPPAASFLRPATTKFRVLLLKLRKPKAPPAPSAEGNGCPAPKTAANRFLIKFRPVDDAPFVSLFTRDNSSRTSDAGSARPVSQAPATTTSDASAAAAITAEERRFAKEVMLKYLNKIKPLYDKVSRRYGERLRFAGEGEETDAEPEPEPSPSPSPSPSPAAAPRAAEPQAQQQPVVVVACGVRAPRASVPAGLKQACKRLGKSRSASSAVAAAPTTTATPATPSQQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSMTDPQPRVDLTAADNKDGGDGVSIKRAVRPSEKLMIQEEQAER* >Brasy1G139500.1.p pacid=40053882 transcript=Brasy1G139500.1 locus=Brasy1G139500 ID=Brasy1G139500.1.v1.1 annot-version=v1.1 MAVVELKVGMHCERCIKSIKKAIKTIDDMESYQLEKETNKVTVTGNITPEEVVKALQKIGKTVTYWGED* >Brasy1G401900.1.p pacid=40053883 transcript=Brasy1G401900.1 locus=Brasy1G401900 ID=Brasy1G401900.1.v1.1 annot-version=v1.1 MQSLLLPTAAAAVAPAAPRGRRPGRISVRASATAAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGVGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGLPLGSEAMGKIDKARAGVLVGTGMGGLQVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMVAGGTEAAIIPIGVGGFVACRALSQRNDDPQTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRGVPIVAEYLGGAVNCDAYHMTDPRADGLGVSSCIRQSLEDAGVAPEEVNYINAHATSTLAGDLAEMNAIKQVFKDPSGIKVNATKSMIGHCLGAAGGLEAIATIKAINTGLVHPSINQFNREPAVEFDTVPNVKAEHEVNVGISNSFGFGGHNSVVVFAPFKP* >Brasy1G509800.1.p pacid=40053884 transcript=Brasy1G509800.1 locus=Brasy1G509800 ID=Brasy1G509800.1.v1.1 annot-version=v1.1 MKVTPTIEQFVLVLVACNAAHVVCSSALYGNETDRLSLLEFKDAISMDPQQALLSWNDSTHFCDWEGVSCSRVIKSITPPRRVITSLNLTDRGLVGHISPSLGNLTFLQSLVLTENALSGEIPLSLGHMRRLRTLLLNNNTLQGRIPSFANCSKLEVLQISVNNLTGTIPASLANITTLTAVSCASNHIKGSIPGEFASLSSLQYLYAGINQLAGRFPQAILNLSTLIGLDIALNGFSGEVPPSLCTSLPNLQILVLCANSFLGHIPISLSNASNLNDIDLSVNNFTGLVPATIGKLKKLSWLNLQQNQLQAHGREDWEFLDSLGNCTELRKINYQEIFRIPTIIEIDLSFNNLDGQLPTEVGNAKQLASLVLSSNKLSGDIPNTLANCESLENIDLASNIFSGRVPTSLGNIRSLRVLNFSNNSLTGSIPPSLGNLQFLEILDLSLNHLNGEVPTKGIFKNATAVRIDGNQGLCGGTLELHMPACSVMPSKSTRHKGSLVLKVVVPIASMVGRYSFVYRGKLVQDENEVAVKVFNLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSNENESSSDLNLITMAQRISIVVDVADTLEYLHHRNQGTMVHCDLKPSNILLDDNMTAHVGDFGLARFKVDPTTSSFGNSNSSSAAIKGTIGYAAPEYAAGGQVSTAADVYSFGVLLLEMFIRTRPTDDMFKDGLSIVKFTEINFPDRVLEIADPQLLQELKEQDLGCLLSMLNIGLCCTKPSPGERINMQEVAAKLHGVRDAYLRGN* >Brasy1G136000.1.p pacid=40053885 transcript=Brasy1G136000.1 locus=Brasy1G136000 ID=Brasy1G136000.1.v1.1 annot-version=v1.1 MAASSSSSSSTGAAVASAAGTTAGGSIFPGPPPPTPSNHKPAPSNAAGGTEAAALSAFLNRMLLSSPAPTLHPRASSRLKSRPPAPPVVFLESPDAGPLRASADVGYFHLSGHGIPSDLPSSALAELSRIDGAALRASSLRTLGFSEEDQEADGGDDEDEDEPAMVFDVDEERAMDAHAHALPAAAAEYARRMRDVGMKVVALLSGCPDAGFGEEPFAEGRRKARCLMWVSKVGNGTGESAAAPPAAGKAKAYPYVVGLHWQWGQEAETPSSWVMDDSGEWTAVGARGGALLVTIGDIAQVWSNGKLKKVRGMARPTSAPSIDGHAGEPVAGSLSVTVLITLPLDSVISPLVLLPGDAGEEGRNEGEEEMEGADVDGDGWSFHSFLLEDYAWRVYHERVQFKDPLARYRI* >Brasy1G240700.1.p pacid=40053886 transcript=Brasy1G240700.1 locus=Brasy1G240700 ID=Brasy1G240700.1.v1.1 annot-version=v1.1 MGNFASCTLARIPGAAKGARVVLPDGGVRLVRPPATAAELMLEAPGHFLADARALQAGRRIAALSADEDLELGAVYAAFPMKRLGSQAAPADVARLAAVFAREAAAHARRPAASAKVSAIVVVAQPEADAAQATEARAPRLDEMAVEDEAAAAEIGELKQRISGGRLSRRRPTLETIHEESYAAVATC* >Brasy1G573400.1.p pacid=40053887 transcript=Brasy1G573400.1 locus=Brasy1G573400 ID=Brasy1G573400.1.v1.1 annot-version=v1.1 MTPRGAAVHNPTTPISESTPAPSPPPYKSSAGSGTEAPADPVGPSDDIPGNPMGARGMLMPRAAVVHNTPMAPDHVRVQVDSVLPVYAKELVPFPPEEDAQTLGLCLGTYIQWPRRLVTLVTRPSPSPSSQQGPSPPASARRPTTPSPLGLNPNMPACLEDASSPGGYDYEPTVDCSDDNAPPNAPPPNPSPPHRTESQRSKPAIPRPTSGTEIYGRRAGVCVRQAGPRDLQATRDCRRVFEMQAQQEGARHQQENHRLQE* >Brasy1G055700.1.p pacid=40053888 transcript=Brasy1G055700.1 locus=Brasy1G055700 ID=Brasy1G055700.1.v1.1 annot-version=v1.1 MSAAAAQPHPPPPVLAEGSAPAAPLSTPPPSAAPRPYELAVAAAELRPVDCNLAALCDHIQAEGFGAGSFSDIVVEAMGATYRLHRFILSRSTYFMNMLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDVLWVPNEEKRFELALFALLAKITVSDVEVSGNENLDLMSSSPTVDPSIGKGKSPMNETGEEQLMESKLHKLKLHDNMRSNTAHNIIDVPNIIIPQDSIPHSVPQNAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLLDPMRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV* >Brasy1G055700.2.p pacid=40053889 transcript=Brasy1G055700.2 locus=Brasy1G055700 ID=Brasy1G055700.2.v1.1 annot-version=v1.1 MLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDVLWVPNEEKRFELALFALLAKITVSDVEVSGNENLDLMSSSPTVDPSIGKGKSPMNETGEEQLMESKLHKLKLHDNMRSNTAHNIIDVPNIIIPQDSIPHSVPQNAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLLDPMRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV* >Brasy1G055700.3.p pacid=40053890 transcript=Brasy1G055700.3 locus=Brasy1G055700 ID=Brasy1G055700.3.v1.1 annot-version=v1.1 MLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDVLWVPNEEKRFELALFALLAKITVSDVEVSGNENLDLMSSSPTVDPSIGKGKSPMNETGEEQLMESKLHKLKLHDNMRSNTAHNIIDVPNIIIPQDSIPHSVPQNAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLLDPMRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV* >Brasy1G055700.6.p pacid=40053891 transcript=Brasy1G055700.6 locus=Brasy1G055700 ID=Brasy1G055700.6.v1.1 annot-version=v1.1 MSAAAAQPHPPPPVLAEGSAPAAPLSTPPPSAAPRPYELAVAAAELRPVDCNLAALCDHIQAEGFGAGSFSDIVVEAMGATYRLHRFILSRSTYFMNMLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDVLWVPNEEKRFELALFALLAKITVSDVEVSGNENLDLMSSSPTVDPSIGKGKSPMNETGEEQLMESKLHKLKLHDNMRSNTAHNIIDVPNIIIPQDSIPHSVPQNAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKGFFSTDAKLSYY* >Brasy1G055700.5.p pacid=40053892 transcript=Brasy1G055700.5 locus=Brasy1G055700 ID=Brasy1G055700.5.v1.1 annot-version=v1.1 MSAAAAQPHPPPPVLAEGSAPAAPLSTPPPSAAPRPYELAVAAAELRPVDCNLAALCDHIQAEGFGAGSFSDIVVEAMGATYRLHRFILSRSTYFMNMLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELRENAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLLDPMRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV* >Brasy1G055700.7.p pacid=40053893 transcript=Brasy1G055700.7 locus=Brasy1G055700 ID=Brasy1G055700.7.v1.1 annot-version=v1.1 MSAAAAQPHPPPPVLAEGSAPAAPLSTPPPSAAPRPYELAVAAAELRPVDCNLAALCDHIQAEGFGAGSFSDIVVEAMGATYRLHRFILSRSTYFMNMLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELRENAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKGFFSTDAKLSYY* >Brasy1G055700.4.p pacid=40053894 transcript=Brasy1G055700.4 locus=Brasy1G055700 ID=Brasy1G055700.4.v1.1 annot-version=v1.1 MLHGPWREAGAPTVVLHIDDANVDSEAISIALAYLYGQAPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGRHGERVRNACWGYLCQSATLELRENAEASKRMENDISTGGPSAESTSYQFNENIWLSSEQTKNYYSRTSSSNGLVPAEWGRPTAPIWGGRVVGRRQVRCINGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAINDGLWLQMLLCHRVQAIVDDTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRSSASGTAGSIYLADAQGDGNGMFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESSASHHQAESIFETGMQTGYSSAASVSAPGGSSLQMQESKEHEHGSNWESADNTTISLDMRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLLDPMRKAHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV* >Brasy1G068200.1.p pacid=40053895 transcript=Brasy1G068200.1 locus=Brasy1G068200 ID=Brasy1G068200.1.v1.1 annot-version=v1.1 MATPTETAAAASSALMVKDVAPHDFNKKYAAHLKRSGKMELPEWVDIVKTARFKELPPNDPDWYYIRAASIARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGIIDVDPKGGRIITSQGRRDLDQVAGTVAPEV* >Brasy1G557800.1.p pacid=40053896 transcript=Brasy1G557800.1 locus=Brasy1G557800 ID=Brasy1G557800.1.v1.1 annot-version=v1.1 MGKLLAILLCIFLLEGWMVQSVTYDHTASIECLSNPMKPLYNGGIIHNSEFDSGLTGYSPSGNKFAEARNNLQPSRSVFLKVQMQANTHYSLSAWLKVSAGPVGVKAIINAPGGAYVAGGAIVASPGCWVMLKGGMTAYSSGPATIIFQSSRMYVQADGVVDISVDSVSLQPFSFEEWRSHSRSSAAKARRSSVKVAVTGAGSPLANATLGIELLGQPRFPFGNAMTQEILRIPAYEQWFASRFTVATFENEMKWYSTEPAPDHEDYLAQRHGIKVRGHNVFWDDPNTQMGWVGALRTTDQLRSAMEKRLHSVVSRYAGKVIGWDVVNENLHGNFYEARLGPGASGQMYQDVSRLDPAAPLFMNEYNTVESPLDMGALSCKYVAKMEQIRSYPGNAGIKLAVGLESHFSTPNMPYMRATLDTLAQLRIPIWLTEVDVAPGPKQAEYLEDVLREGYGHPDVDGIVMWAAWHAKGCYVMCLTDNGFRNLPVGNVVDKLLAEWKTHPKAATTDENGVVELDLVHGEYRFTVAHPSLASPVAVAAGQRLTVDASAAVLEHKVDIKV* >Brasy1G198500.1.p pacid=40053897 transcript=Brasy1G198500.1 locus=Brasy1G198500 ID=Brasy1G198500.1.v1.1 annot-version=v1.1 MVAFRFHQYQVVGRGLPTPTEEKPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAFNEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFSICKRDNTKQFHKSDIKFPLVYQKVRPPTRKLKTTFKASRPNLFM* >Brasy1G131300.1.p pacid=40053898 transcript=Brasy1G131300.1 locus=Brasy1G131300 ID=Brasy1G131300.1.v1.1 annot-version=v1.1 MKQLHHKSPTHAPSPAHAPASKTSKPARPGPRTWVGYLLREQRLLFVLLGALIATSFFLLRPYIFSLSPSNVTDRSPIFSFAARSSASRVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVAVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAEVVKQTIDPMSTIEFKPNTADDPHMRKPDITKAKQLLGWEPKVSLMEGLPLMVTDFRQRILDE* >Brasy1G282800.1.p pacid=40053899 transcript=Brasy1G282800.1 locus=Brasy1G282800 ID=Brasy1G282800.1.v1.1 annot-version=v1.1 MPVSTRSQASAIRGGDGGARPSAARVPASAPGHDGPARRGHLANPHHGLKEKMRALTLFYEQHKQHLASSEGGAAVRRSRRIQHAIVQEDKDENGRDAGEEEEAAKRHGDAFAPVPEAAVLRENMAPPEARAPSSRNDNVVVFSRPAEHKEKENVAHPVNAMSCPVKKLVPALPPPSVRKLSLGGGMGGKLKAVGEIAAGNADATGSRILVFVRLRPMSRKEKEAGSKSCVKILNKKDVDLTDLASENDYLRLKRGRGHHHFCFDASFPDSTTQAEVYSTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFSKVRQRSNDGSHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGIVAAGLTQYRAYSTDEVMKLLQQGNHNRTTEPTRMNETSSRSHAILQVVVEYRYLDGANVVSRAGKLSLIDLAGSERALATDQRTQRSLEGANINRSLLALSSCINALVEGKRHIPYRNSKLTQLLKDSLGGVCNTVMIANISPSNLSFGDTQNTLHWADRAKEIKTKAMTAGNEEVYKAPNSDTEQSKLVLELQKENSELRQQVAKQQQKLLTLQAQSLAPNTSPQQSVAPSPHISTPCSTQRKAKRSILDGSCFSTPNHKKAGDNAQVRDLQRKVKSLEAEIEKMKKEHFLQLKQKDEFIRGLINRKNSNDPDAATTQRRVITRTSLRKAQKDTAAAGELKSPSHRFTSPIPTAKKRTFWDFGGESPSVLAVNGRKTRSHVAAETPPRAPSMLLQPGFTRQRA* >Brasy1G093700.1.p pacid=40053900 transcript=Brasy1G093700.1 locus=Brasy1G093700 ID=Brasy1G093700.1.v1.1 annot-version=v1.1 MTSGRQRGETLAAAARASSSSPPSRRCCWPPLPQWRRAPRSEGSWGFSVVAALSGGWACGGRGGGLSGLATAPLPARSSLAEAAAGPGAAGVVARCSGGGCRWGRRWPGAVAGSDARGRHGPGGPRTGRALAAPYRTFLLGGKYR* >Brasy1G461200.1.p pacid=40053901 transcript=Brasy1G461200.1 locus=Brasy1G461200 ID=Brasy1G461200.1.v1.1 annot-version=v1.1 MQQLALVALVLAGLLLACQEADGARPATGAGFISYSSLFNGNSANTTSAFVRPSAEAHPYTRGCSKIHRCREMAILRAPFECGNRLTRGKRHHNEPHALVSSPLGKSGLGSTTNHGRPFPLPSSLPSPSLEVVASEACNRW* >Brasy1G239500.1.p pacid=40053902 transcript=Brasy1G239500.1 locus=Brasy1G239500 ID=Brasy1G239500.1.v1.1 annot-version=v1.1 MSSLNSLFNRSSPFGTKCKTCLNLIISRIKLLRNRREMQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPIELREAISSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAAALELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAHEYNLDWDSSNTEAEFNKKYEDLLDGSGSSVRQGQTPIIESSPVASVSRDKPSILPVEDTRKLQTPGSPSSPTVSSRPYAAPRINVGTQEHQPPVAEIPSTSRGSSDVLEKARAAIAAASRASAAARAAADLVKVKVASQ* >Brasy1G493800.1.p pacid=40053903 transcript=Brasy1G493800.1 locus=Brasy1G493800 ID=Brasy1G493800.1.v1.1 annot-version=v1.1 MKNARSPDARGKKKSESLKHVQSPTPPHLAADPALALLITAPPLLWPSPTAAAEAVPLSPPPPLSFPPPRRLPATRPAPPFSTRRTARVGSPPLPASLTAAPRPSSSLTGGNESGSGGREPYCGRGGGRGGGRGGSERRSLESVCAPRGDGSAAAR* >Brasy1G394000.1.p pacid=40053904 transcript=Brasy1G394000.1 locus=Brasy1G394000 ID=Brasy1G394000.1.v1.1 annot-version=v1.1 MTISRIGNLASTFPCHHHPPSFPSLLTQPCRDSTSECMTPRSLTTTVMAVIHQPGTDSPRTIHLPPPPPFLPPHLWFSIDLPPFQCYVRAVQECKEISLECENHCVDQPQASMSLNVCTVQHQGLC* >Brasy1G227200.1.p pacid=40053905 transcript=Brasy1G227200.1 locus=Brasy1G227200 ID=Brasy1G227200.1.v1.1 annot-version=v1.1 MASRQDQASYRAGEAKARTEEKTGHVMGAAQEKAREARDTASDAAGRAMGRGGDAKEKAYEAKDRASDATGRAMDKGRDAAEATKEKGLEAKDKTAETAQQTGSYIGQTAEAAKQKAAGAAQYAMDTVVAGKDKTGSVLVQAGETVKSAAVGAKDAVMNTIGMGGDNNGGTNVPGKDTSTYKPGRGY* >Brasy1G109200.1.p pacid=40053906 transcript=Brasy1G109200.1 locus=Brasy1G109200 ID=Brasy1G109200.1.v1.1 annot-version=v1.1 MPTKLPISHSKCFNQVLSVPKYKSTNKAWFESKPIKSPAELSCYACAFIKYRKGLQTLQDKELLKEHAATLQAIAIQAREKLPRGV* >Brasy1G362500.1.p pacid=40053907 transcript=Brasy1G362500.1 locus=Brasy1G362500 ID=Brasy1G362500.1.v1.1 annot-version=v1.1 MAAALPWKELFHKRIQGADVDIDFVRHSLTRSLCTLCFAISADDQGRSLEAAEALLASASGALARALVAMGVVELLSIRHLGSADEEEQLLRSRMRTARARAGAAYGAVLKSSCHLGAAYRFLRELHIADVVEAERLGAFRAARAALFEAIEIGEDVQAPLVHRVYLPRAAPPTLVARSVPGTLLHVVLAGNGAAQAMDGLRRYIGHCRRQWASGDRSRFTDPEDHANDDSTRRNPFSIGCP* >Brasy1G458000.1.p pacid=40053908 transcript=Brasy1G458000.1 locus=Brasy1G458000 ID=Brasy1G458000.1.v1.1 annot-version=v1.1 MAALLVILVLTLLTAMAQVAYCGGGHDYGTALSKSILFLEAQRSGTLPGSQRIAWRANSGLLDGKANGVDLTGGYYDAGDNVKFGLPMAFTVTMMSWSIVEYGEQMAAAGQLEHAVEAVKWGTDYFMKAHPEANVLYGEVGDGDSDHSCWQRPEDMTTSRQAYRLDTQHPGSELAGETAAAMAAASLVFRRSNPGYASQLLQHSKQLFDFADKYRGRYDTSIPVAKGYYGSVSGYGDELLWASAWLYQATNDEHYLAYLANNGDALGGTGWSTNQFGWDIKYPGVQVLASKILLQGKAGAAAHASALQRYQQKADLFACSCLGKASSNNVQRTPGGMMYFQKWNNLQFVTAASFLLAAYSDHLGQDKRAVQCPSGSTAHPAELFAFATAQVDYILGSNPRATSYMVGYGSTYPREAHHRGASIVSFKSDPSFVGCNEGYSSWYGRKGSNPNLLDGAIVGGPDEYDNFADERNNYQQTEPTTYNNAPLMGVLARLAAGRSSRFDRSVSDEIATAGIKTDNQTSLPSPSPAADEHASPIAIEQNATASWTEKGRTYSRYVVTVTNGYPDKTVQELHIGIAKLYGPVWGLRETRYGYVLPGPGPGPALLPAGGSAVFVYVHAAPPADVWVTGYKLV* >Brasy1G527600.1.p pacid=40053909 transcript=Brasy1G527600.1 locus=Brasy1G527600 ID=Brasy1G527600.1.v1.1 annot-version=v1.1 LAPVTKLWNEWEIHCLILTSLALQVLLFFAAGMRRRSPSRFLSTVLWLAYLSADSVAIFVLGHLAVRANGPQHELILFWAPFLLVHLGGQDTITALSVQDNELWMRHLLGVVSQVAVAGYVVSKSSWPDRRLLAATVLVFLSGSFKYADDALQLLDDTFQMMFGKRDETSSFLNNEDAMEIMSVDAPLNQVESILLADDLPSILEQFQSTGACLGGAYEFVAGKLVECYESFYTKRPLLNFCEIGSIIIFPDLNPSSAADWYDWENVLWLVATIFQYFSTPIALLLFILAEKGRQVHSRADVTVSYILLVGAIILDVSSAYMSILSPAWCRKQWSEKLAQYSMIKRYTMEDTRGMPSVGRRIGKHLAAWGVELLDPSLTHTQVTEDLKGFVLNKLILFGTSRQYKFAYFHGQLALQKWRRNGPGTAALYYSINDVKDFPTSVLIWHIATDICYYSGNSSKATADSDKSKKQMSRELSNYILYLVFKRGVMLTSNSQLVHNKVHDELKKILAGQQPQMVNLGEKEAVLKVFEGTKDGQPPSTVNGKQEQPVDTDNETSSHMKKLLNSTAEAIYSPVLPHASKVAQELMDIDEEADRWDLITAVWLEMLYYTAPRCGAVFHYQHLSTGGEFITHVLLLMRNLGPFLPGPDNPAP* >Brasy1G308400.1.p pacid=40053910 transcript=Brasy1G308400.1 locus=Brasy1G308400 ID=Brasy1G308400.1.v1.1 annot-version=v1.1 MATDRRFKIFAAADGFGEQLKDAVVAHLRAHPSVADVVDLGVDKYYSAAAAVARNVASSPSSDPALEARGVVVCGTGAGVAIFANKYPGVYATQCSSPADAVNTRSINACNVLALSGMATSPDTAKVIADAWLATPFRAPCPASGDAPWPEDIQRFLDVAPGEMASIPEGSAPLPDSACAICCLRKGMEFEPVDIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKETYDLVDGDFLFTPAGDVHRVKYLTDTEFFIRWDGHWDIFLDEDLEAARSAIDAELGPADSDK* >Brasy1G409000.1.p pacid=40053911 transcript=Brasy1G409000.1 locus=Brasy1G409000 ID=Brasy1G409000.1.v1.1 annot-version=v1.1 MPQVAAPLRLLLPLSQTLAAPAPLLHLSRRLLSSCSPVSFGRAASLRALAYRRRRHPEPRRGSSTLGKATAKEEMDKEMAFNRKRAEGKDGAKRGSMELKTRRLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRLCSETAGGLPGLVLTLAGIGDEGMSVSSHGPSDLDFLAGAMRSFIPNRAVLHTHSFGTDQNASSPQSKDSIIILDDEVVRISAMFVKPRYHNGTGSSNDSDMKPGDTAIVYACELPEIKGKFDPSKAAALGLRPGPKYRELQLGNSVQSDQFDEMVHPSDVLGPSIPGPTVLLVDCPTQYHMPELFSLQPLSCFYEDSPEQSGKKVNCIIHLGPSSVTRSVDYQNWMKFFGTTQHIMAGHEIKNMEIPILKGSARISSRLNFVCPQLFPSSGFWSVQPPNDVMENDKNASLEACGSVSAQNLLKFHLRPYAQLGLDRASIPNLFTYKDIVQELVSEIPEVSEVPEQVRKFWQNNVNDKNTLPPTGNRMVEEPWINQKSDKLDDGTPFQEIVWRKHPRDNQETPCCPSKYRNVSSIYINLFARGGILLDCGEGTLGQLRRRFGVNGADEAVKGLRCIWISHIHADHHTGLARILALRSKLLKGIPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRHTLKSSVEAFLSENDSESAIPQLENTMFAPGSRMENYNRKPASPRDTTALANFKEVLQESGLEILYSVPVLHCPQAFGVVFRAMEKTNSAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLADLPVVPKVLPHLKVLFKDELVVDEADEIQEAALY* >Brasy1G368600.1.p pacid=40053912 transcript=Brasy1G368600.1 locus=Brasy1G368600 ID=Brasy1G368600.1.v1.1 annot-version=v1.1 MAVISRRAVVQEDILVFKSLVEERAAEIVRQLNSSHWTSTCVVTITKFNSFFHRREDAHAALCYLTQCGKVQYLVARKEEPVEGVKFSLVAAQVPAASKLDCDILHLIWAEEKLQQQLDVLDRRWEISRRRALISFKAGDKQAACRYVRQSKLFSESRNRCTPLLERVEEVISLIASAESTKQVYEAIQIGIKAMNDHSVSIEDVNIHLKEVDDLVAAQREIDAALESVPPHSLAGEEDIEEEFRNLEAELQDKIPHVHVEEPEPVSHANADSPDKAVESLSNCLSNVKLGAI* >Brasy1G436500.1.p pacid=40053913 transcript=Brasy1G436500.1 locus=Brasy1G436500 ID=Brasy1G436500.1.v1.1 annot-version=v1.1 MNSRSYNKLSAVNDKMDLNTRGNTVFNSSLERNQSGVSANPSTASKPAAYSQIPMDFSTRGNAAFNGTLDRNQSGVSANPSAASKLTAHSQIPSDKKSRQKKKADPADKTFPSNATNHVTNPTSTSEISGTSATPTKAASRNMNSKFEKPSDSVVTGRQGSVDSLRSNSFDSSSSVHSKRHTGGDCRWEAVQLASSRDSPLTLVHFRLLKRLGYGDIGSVYLVELRGTDTFFAMKVMDKESLISRNKMIRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQLHKHFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGPNGIEKGLADTEGLSNGCIQPSAFFPRMLSKKNRKTKSDFSLSGLQTLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNGNRATLCNVVEQPLRFPENPPVSNVARDLIRGLLVKDPQKRIASKRGATEIKQHPFFEGVNWALVRSAHPPSVPDAVDFRQYGVKDKKAGEGALGTIPSSLSATRSNSAGHFEYF* >Brasy1G264100.1.p pacid=40053914 transcript=Brasy1G264100.1 locus=Brasy1G264100 ID=Brasy1G264100.1.v1.1 annot-version=v1.1 MDGGEMQQASSTGIDAPACAFATIRSHGASVARSHAYDWLALLLLVAVEVLLNAIEPFHRFVGAGMMTDLRYPLKSNTVPVWAVPVIAVIGPMIIFTVIYIRRRNVYDLHHAILGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVYDNITTGVICHGEASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVILPLLVAAMIAVSRVDDYWHHWQDVFTGGILGIVVASFCYLQFFPPPSDEKGLWPHAHLRYVTEREEASRTRCANELTSNSTNVDAPTAVIPWEGRTGANTSETLDAMEAGRQ* >Brasy1G102300.1.p pacid=40053915 transcript=Brasy1G102300.1 locus=Brasy1G102300 ID=Brasy1G102300.1.v1.1 annot-version=v1.1 MMFKSLFFLDRRGKMRRMHGVPSDLIWRWTAGVAQTSWSGRGQGRCAKAFAHRLFLY* >Brasy1G182200.1.p pacid=40053916 transcript=Brasy1G182200.1 locus=Brasy1G182200 ID=Brasy1G182200.1.v1.1 annot-version=v1.1 MSEDRLPIAAAQPSHNTVVAATQVANGHPADRQPTTTGLPDGANSKSDTFKKERGADNATIARSEPDLRISPELGRRGEEQGPKQRCLQGGERRPQVSPSLAPTEAGARLSPRPAPAPHPSSPHLQTAAATHTHEGVTPNRQDRQAQRRAESTTRPPTARHRRGRRSRAQPDRILPDPARTAKPRPQAPRGAASSHARYTPAAPAAPTPKPRPPTRRPPRPDHRPRIRRPRARIRRERGRQRLPRPRPFRPAPALNRACPAADGSTPAPLGRQHAPPRAGPAQPNPRRPAQRPCRNRRRHARRGRNRPAATFPGRARLRRHPSGGGDAREGEGGGLGPAAPRVPPCRQRKATRGFRR* >Brasy1G122400.1.p pacid=40053917 transcript=Brasy1G122400.1 locus=Brasy1G122400 ID=Brasy1G122400.1.v1.1 annot-version=v1.1 MSAADKVKPAATPGSADPSAIAGNISYHAQYSPHFSPFAFGPEQAFFSTAESVRDHLLQRWNDTYVHFHKTDPKQTYYLSMEYLQGRALSNAVGSLGITGAYAEALKKFGYELEAIAGQERDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHIAKEGQEEIAEDWLDKFSPWEIVRHDVVYPVRFFGHVEISPDGTRKWAGGEVLSALAYDVPIPGYKTKNAISLRLWDAKASAQDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDIIFRFKERKPDRASGKWSEFPSKVAVQMNDTHPTLAIPELMRLLMDEEGLGWDEAWDVTNKTVAYTNHTVLPEALEKWSQTVMRKLLPRHMEIIEEIDKRFREMVISTRKDMEGKIESMRVLDNSNPEKPVVRMANLCVVSSHTVNGVAELHSNILKDELFADYVSIWPKKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEEKQKVTPRTVMVGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCLIIGTLDGANVEIREEVGQDNFFLFGAKADQIAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYTPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQARVDEAYKDKKRWIKMSILNTAGSGKFSSDRTIDQYAKEIWGITASPVP* >Brasy1G178900.1.p pacid=40053918 transcript=Brasy1G178900.1 locus=Brasy1G178900 ID=Brasy1G178900.1.v1.1 annot-version=v1.1 MNTREHTSRINTQTNQQINTQTNGDRGDRRWRGPALLVSMAAATLGLGDRQRRRRTPYRRADREQLEREAAAARAPVQQVAGGGGRRCKCSWRCRVPVHEVAGRGGRRCRCAGRGGRRCRRSSVEEASRGRFMERGELMGDSWRGKESSWEDKDEKGRWGGRGLLGVRMRDKTNEK* >Brasy1G379100.1.p pacid=40053919 transcript=Brasy1G379100.1 locus=Brasy1G379100 ID=Brasy1G379100.1.v1.1 annot-version=v1.1 MHVHSSKKSFFVGRHFGRDVQLQLIYVAPWAAASELIKDYSGVKIFITLQHTPVMHLGSCSEYGIFAC* >Brasy1G107100.1.p pacid=40053920 transcript=Brasy1G107100.1 locus=Brasy1G107100 ID=Brasy1G107100.1.v1.1 annot-version=v1.1 MASKQMEEIQRKLALLAYPRAKAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSIDEQLAKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREYLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYSAMAAGSLSNSNEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGETL* >Brasy1G107100.2.p pacid=40053921 transcript=Brasy1G107100.2 locus=Brasy1G107100 ID=Brasy1G107100.2.v1.1 annot-version=v1.1 MASKQMEEIQRKLALLAYPRAKAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWRPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREYLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYSAMAAGSLSNSNEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGETL* >Brasy1G144000.1.p pacid=40053922 transcript=Brasy1G144000.1 locus=Brasy1G144000 ID=Brasy1G144000.1.v1.1 annot-version=v1.1 MGGPLVDSSAKGVGRSLCLWHDTCEKAGFEHSSCACSEVEKFEAGIAFAQDDSVVEEIGASLAEVMHVYDDNNDEGTDSSEDYDENEDSPLSLESDSTDDLVDIDTELIRSSAFPIGHAPESSIGKSEDGNSSTNGTPLLVSAMKGSRAKRGIVTELRVSWAPDVYDPPVTSDCHTVKVHQRSSRKSHYKYRPPNGGSSRRSSSGDKKDKKHSHHSSSSSSRRDKKPSYRSTKSSSSRIDTVDPQHGKVYDSSISSRTGDPQHCEVYGSTISSRIDPNAPQYHKLSPWLPADSTSPEEAMPIPVLKTMEQIKRSSSCCKEPPLSMLSRQFVAAKYKGMFSLWSQNQLAS* >Brasy1G252400.1.p pacid=40053923 transcript=Brasy1G252400.1 locus=Brasy1G252400 ID=Brasy1G252400.1.v1.1 annot-version=v1.1 MAAEKGHAMAAPRPPGPAGARRPPRSSCSRSGLEASRSGFVRRLHVRPFVPRRPRIQLQQGRRRRRQDLALNPLLLPCRRRRWRDSERSRPRRRTWWWPEG* >Brasy1G512500.1.p pacid=40053924 transcript=Brasy1G512500.1 locus=Brasy1G512500 ID=Brasy1G512500.1.v1.1 annot-version=v1.1 MVVDGVVAAEAFNPVLGAMLRAGDVTGAAKLFSFMQLKGCAPSAATYNVLLHGLLLCGRAKAAMGVMRRMEREEVVPGVMTYGAVVDGLVRCGRLEDAWKVTEEMGSKGLVPNEFVFSPVITGFCKLGEVDRASRVWDTMVAAGIKPNVVLYSAMIDGLARCGKMTEAELLFREMIEAKCVPNIMTYSSMVRGYFQIGDSSQALSFWEDMLRIGCTPNAVTYSVLINGLCNVGRSKDAMMVWKHMLGRGCVPDTIAYTSMTKGFCVSGMVDAGLRLFYDMIARGDTHPDVICYNVLLDGLLRAKDLPRAMDLLNQMLDQACDPDTVTCNTFLREIEVGQKGQEFLEGLAVRLCTRKRNKAAGEVLMVMLAKYIVPEAAILEMVVRGVCRRKRVWRVIDKCWDEIWRF* >Brasy1G304200.1.p pacid=40053925 transcript=Brasy1G304200.1 locus=Brasy1G304200 ID=Brasy1G304200.1.v1.1 annot-version=v1.1 MASHPPNPTDGDVPAGNNSELPSLPFHVVTKPGQLPVEFLEPSAAHKLVIGFDCEGVDLCRNGALCIMQIAFPDAIYLVDAIEGGKELVEACKPALESEYVIKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGKKRAYDVYISFVSLLADPRYCGMPYPEKEEVRTLLRQDPNFWKNRPLSEMMIRAATDDVRFLLIIHEKMMEKLSKVSLWRLAVRSELYCRCFCINDNQYADWPPLPTVPDDFEAEVHVPEVDILSLLDVPPGKMGRVIGRKGSSIMAVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEF* >Brasy1G095200.1.p pacid=40053926 transcript=Brasy1G095200.1 locus=Brasy1G095200 ID=Brasy1G095200.1.v1.1 annot-version=v1.1 MQKGAYLASGRREDQQPTARHTAQQNQPRTKRHQPARYHHLDLKQRIQIRSASNKKGQINSKYNPLTKHTQSATTETIISVPKIAKASTTPPPKRKYGATKPQTTSREDERTSDANQQEEEKERPTRQPTARPAPPPKAAPSAAAAAGRNNSEANGAPVTRDSRYDPSYLARGSPVQRPDRRRRPGLHLQLRLRRRRIPIPSRRLPRAPALAAPRPPAATQGLWCVANPTVESEEVQAAMDYDCDAAAPGGPCFLPDTLMPHASYAFNSYWQRAKVAGGTCDFASAAMLVTRDPSES* >Brasy1G379200.1.p pacid=40053927 transcript=Brasy1G379200.1 locus=Brasy1G379200 ID=Brasy1G379200.1.v1.1 annot-version=v1.1 MTQKQTMFKGQTKKKSIPPSRHGKAPHIRKGKRAVKPTKCTKDMDADKELTKFINHANEIKAANIASKQGGDLSIVKSDADTSNSKQ* >Brasy1G290500.1.p pacid=40053928 transcript=Brasy1G290500.1 locus=Brasy1G290500 ID=Brasy1G290500.1.v1.1 annot-version=v1.1 MAVAGRAGANWSAALVVAAVAPLLLLAGVARADCFAYCFNNCIANDKSMTDYCNYACDKTCDGDAQPLALGADMGCQLSCAKTSCSRLGPDCKAVEACFGQCYDGCRTTKTLPRPLRAGAGPIFPSSEPDPDEAAPEKKKHRPFHEKQDAVQPASEPDPDDGHRPASGLNRYFHEKQDAVQPASEPDPDDTLPPSGSGIGALTPLSEIHAAPPAPV* >Brasy1G101600.1.p pacid=40053929 transcript=Brasy1G101600.1 locus=Brasy1G101600 ID=Brasy1G101600.1.v1.1 annot-version=v1.1 MLLLAPPHATPHWIPGGNQDTRRRELGLLGDSAPAPARPLRSRAARCWKGDASSCSQYLLGALVASCSFQFCRQLTQKN* >Brasy1G101600.2.p pacid=40053930 transcript=Brasy1G101600.2 locus=Brasy1G101600 ID=Brasy1G101600.2.v1.1 annot-version=v1.1 MLLLAPPHATPHWIPGGNQDTRRRELGLLGDSAPAPARPLRSRAARCWKGDASSCSQYLLGALVASCSFQFCRQLTQKN* >Brasy1G190200.1.p pacid=40053931 transcript=Brasy1G190200.1 locus=Brasy1G190200 ID=Brasy1G190200.1.v1.1 annot-version=v1.1 MRPSICHIPFLLLLLLHVVIARPFFPLPSKTSNEVKKPIQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDVSSHKEFANRRRTYEVEWSNVTGWFVVDFTLDELKTLKVKQRYSFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPIFINKHVKWADGKKFEDKFVDTLLKYGYKGQYMSESWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDFIVRTQDTNQSYWEITSDDYLAYISNYVVGLGPWKDTVVPTANNYLMAPTDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYAEYDFWINTVGVDGLFTDFAGSVHQYQELISPHPKNATANGLLVKIAQMISAYEGH* >Brasy1G431800.1.p pacid=40053932 transcript=Brasy1G431800.1 locus=Brasy1G431800 ID=Brasy1G431800.1.v1.1 annot-version=v1.1 MHSQDWRPQPWVKAKANLNKLMGGIIRHVVGGSTRVEWYTGSRLQSRSASSSQGDIDKLGMPVTPRRPCRSASPNSSPSSPASELVGSGEWQVPEGYVLSRNHM* >Brasy1G524500.1.p pacid=40053933 transcript=Brasy1G524500.1 locus=Brasy1G524500 ID=Brasy1G524500.1.v1.1 annot-version=v1.1 MGNPPELYYEVLNIAKDTASPQEIRAAYRGLVRQWHPDKHPPSSKTEAEARFKAITQAYEALLDQQEDRAVLRARKADQDGRSSCAAARAENAGGGGLPPAMAPRAPCKISAPTSTRKAPAAAAAREHSGQTVYSSTDVGLGGRRAFAEFSSYVVRKAPPLERRMECTLEELCAGCKKEVRYTRDVVTKNGLIAKKEVTQIIRVRPGWKKGTKVTFEGMGNERPGCLPGDAVFTVSIRNHKAFKRQGDDLVLKAEVPLVSALTGGWSFSFRLMSGEKVSWSFRDEVICPGYEKVVKGEGMPVAGGRRGARGDLRVKFDVVFPKNLSDERRTGLAEILRGCA* >Brasy1G524500.2.p pacid=40053934 transcript=Brasy1G524500.2 locus=Brasy1G524500 ID=Brasy1G524500.2.v1.1 annot-version=v1.1 MGNPPELYYEVLNIAKDTASPQEIRAAYRGLVRQWHPDKHPPSSKTEAEARFKAITQAYEALLDQQEDRAVLRARKADQDGRSSCAAARAENAGGGGLPPAMAPRAPCKISAPTSTRKAPAAAAAREHSGQTVYSSTDVGLGGRRAFAEFSSYVVRKAPPLERRMECTLEELCAGCKKEVRYTRDVVTKNGLIAKKEVTQIIRVRPGWKKGTKVTFEGMGNERPGCLPGDAVFTVSIRNHKAFKRQGDDLVLKAEVPLVSALTGGWSFSFRLMSGEKVSWSFRDEVICPGYEKVVKGEGMPVAGGRRGARGDLRVKFDVVFPKNLSDERRTGLAEILRGCA* >Brasy1G009700.1.p pacid=40053935 transcript=Brasy1G009700.1 locus=Brasy1G009700 ID=Brasy1G009700.1.v1.1 annot-version=v1.1 MIKTSEMKSNQAMKIKIKCCKYRQVPFVLIVISQMKRTKKTEESVGNSVSKTINASFEVTANLTAEW* >Brasy1G423800.1.p pacid=40053936 transcript=Brasy1G423800.1 locus=Brasy1G423800 ID=Brasy1G423800.1.v1.1 annot-version=v1.1 MAGPSCSGLSCSSTRSETVFVPLSSDDAHWPALQSATAPRPRATASDAPRSTDSQRYATAASSSSSSTTTGLEGIESTPDPTLLSSSVGHAAHARFSWTAPRMTSSSSSSSTFASSTCRTTTTGLEGSSPAFGTSADLASALVPPTASTDDFAESGLSSCSSTSSVIALCIDDLHTAAPRSTASSSQQYSFSSSMTLMGLEGSSSSAFGTSTGLTLALVPATASSGEFAESSSAGASRGRRGVSSGWTPTRYLQAINAEAGAGYPLPSQEELQWALTTALNPTPPPPPVGADMVVAQRPPLYPPLLGNIFQHAPQGFHAYAPSFAMIQYVHWVPFVLQNGVPLLLYGGFHAPGLPADAFQHAYGAANMAGQYQAQPWRQTGGGRCWDCQLTPPTMQVYACLCRPCLARLFRSFPYFHTWIP* >Brasy1G495200.1.p pacid=40053937 transcript=Brasy1G495200.1 locus=Brasy1G495200 ID=Brasy1G495200.1.v1.1 annot-version=v1.1 MAAACRSLQQEEKGELQPYDGCGDPLAVCSQCRGGPVVLLPRQASSAPPPEMSSSSGSGSGSGRSATEARALKIHSEAERRRRERINAHLAALKRMIPDAKQMDKATLLARVVDQVKDLKRRASEATSIQLTPAQTDEVSVECGTGDDDDDNGHSSLYMKASVSCDDRPGLIAGLIGALHGLRLRPVRAEVTSLGGRVQHVFTLCNEEGNADCAGLRSLKEAVRQALAKVASPELLCGSNNSSVPFQSKRQRIVMSI* >Brasy1G495200.2.p pacid=40053938 transcript=Brasy1G495200.2 locus=Brasy1G495200 ID=Brasy1G495200.2.v1.1 annot-version=v1.1 MAAACRSLQQEEKGELQPYDGCGDPLAVCSQCRGGPVVLLPRQASSAPPPEMSSSSGSGSGSGRSATEARALKIHSEAERRRRERINAHLAALKRMIPDAKQMDKATLLARVVDQVKDLKRRASEATSIQLTPAQTDEVSVECGTGDDDDDNGHSSLYMKASVSCDDRPGLIAGLIGALHGLRLRPVRAEVTSLGGRVQHVFTLCNEEGNADCAGLRSLKEAVRQALAKVASPELLCGSNNSSVPFQSKRQRIVMSI* >Brasy1G061100.1.p pacid=40053939 transcript=Brasy1G061100.1 locus=Brasy1G061100 ID=Brasy1G061100.1.v1.1 annot-version=v1.1 MAGTVSKLAAPRPAAALPSPSSSLRSTPLAFAPSARRFRVSISGRARSPVIAMASGKEGNGAATKRTTLHDLYELQGQSPWYDNLCRPVTDLLPYIANGVRGVTSNPTIFQKAISSSNAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYLKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYADTLYVDPLIGPDTVSTMPDQALEAFIDHGTVSRTIDANVSEAEGIYSALGKLGINWDEVGTQLELEGVDSFKKSFDSLLTSLQEKGNALKPATL* >Brasy1G211200.1.p pacid=40053940 transcript=Brasy1G211200.1 locus=Brasy1G211200 ID=Brasy1G211200.1.v1.1 annot-version=v1.1 MATVGPSYNAARADEKKEPTRPLAHPSPAVHPATNDDEDIEVQNQNAAAAESASATTPAGSNKRSSWRSAQYLRKRRRLVLCCCGCCVTTVVAAGIVALVLALTVFKVKDPVFTMNRVTLEDVNGDFLGGGGGTERPVSVNATLNADVSIENPNVASFSFGRSETDFYYDGETVGVAHAPDGEVGAGQTLRMNVTLDALADRISPHVNFTDLIFGQDYDLTSYTEISGEVNVLGIYKRDLYIKVNCSITLEVSVFNSVQSKTTDCVADVR* >Brasy1G211200.2.p pacid=40053941 transcript=Brasy1G211200.2 locus=Brasy1G211200 ID=Brasy1G211200.2.v1.1 annot-version=v1.1 MATVGPSYNAARADEKKEPTRPLAHPSPAVHPATNDDEDIEVQNQNAAAAESASATTPAGSNKRSSWRSAQYLRKRRRLVLCCCGCCVTTVVAAGIVALVLALTVFKVKDPVFTMNRVTLEDVNGDFLGGGGGTERPVSVNATLNADVSIENPNVASFSFGRSETDFYYDGETVGVAHAPDGEVGAGQTLRMNVTLDALADRISPHVNFTDLIFGQDYDLTSYTEISGEVNVLGIYKRDLYIKVNCSITLEV* >Brasy1G544600.1.p pacid=40053942 transcript=Brasy1G544600.1 locus=Brasy1G544600 ID=Brasy1G544600.1.v1.1 annot-version=v1.1 MEVKSKGISKKADDWKEGEGIVQKQNVNMAMEVKSNGISKAADDLKEGEDIVKKQNVTMAMEVFDCPVCSTPLRPPIFQCSKGIFMCSPCHDMLPESERSASQRCYGMERVVNNIFIPCKHGCTTKITYYQKDCHERSCRKGPFICPVSGCGFSAPTAVLLDHLTTLHGLPSKSIEYFVPFEHQVQPGSLVLCGKYGRLFLLHVASPESLGHAVSLVCVQPETLGVTIGCSVCFSCFKGHYQVSSLNIDSLSMPTQRFCIVPKVPGGDTDVLLKITIDLVYDEDYELEEEDDDDDDYKEDDDYDSDEEEDDDGSDEVRMTELDDHKW* >Brasy1G092700.1.p pacid=40053943 transcript=Brasy1G092700.1 locus=Brasy1G092700 ID=Brasy1G092700.1.v1.1 annot-version=v1.1 METRAARRGGAAAHHHYNQKRMRAAAPAEQMQKQRQPRGQKTQQQAPSGSGYFTVQLVMVFLWVAASLAFLPLVLPPLPPPPLSLLLLPVCLLAVLAALAFVPLDAHNNVVGSSSCS* >Brasy1G402400.1.p pacid=40053944 transcript=Brasy1G402400.1 locus=Brasy1G402400 ID=Brasy1G402400.1.v1.1 annot-version=v1.1 MFSRISQLGARLLRETRAGGNLTSNSSSYYQGQLCRHSAPAKSILFSTATSSHEGSEVKEKISVTFVNKDGSEQTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVKHYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGVRLALPAATRNFAVDGFVPKPH* >Brasy1G562800.1.p pacid=40053945 transcript=Brasy1G562800.1 locus=Brasy1G562800 ID=Brasy1G562800.1.v1.1 annot-version=v1.1 MSRFVDPLVVGRVIGEVVDLFVPSVAMAVAYGPKDISNGCHVKPSMAAAQPLVRISGRRNDLYTLVMTDPDAPSPSDPTMREYLHWIVVNIPGGTDATKGDTVVPYMGPAPPVGIHRYVLVLFEQKTRAVDGMTAAPGDRAYFSTRAFAAAHDLGLPVAVVYFNSQKEPAHNRRR* >Brasy1G269700.1.p pacid=40053946 transcript=Brasy1G269700.1 locus=Brasy1G269700 ID=Brasy1G269700.1.v1.1 annot-version=v1.1 MGGSSCSISMCILLVGVVLLLSARVGSCSCYKRIFALGDSITDTGNFAFSSVPENPIKHLPFGMTYFHQPTGRISDGRVIIDFIAQALGLPLVPPSLPEQHSSQFPIGANFAAFGATALPKDYLKGKWGIDGVTHRRFQTNVRRLLSESLIVLGEIGGNEYNFFFLKHDRPRETAYQIMPEVVGIISSTAQELIGMGAKTILIPGNFPIGCVPVYLDILGKFAKPADYDQFGCLRWFNDFSQRHNQALSNEINRLRAQHPGVKLIYADYYGAAMEVVKNPARYGIHDPLVACCGGKDRHHTGQDCSQSAVMWGEPANFASWDGMHMTEKAYNGIADGVLHGPFANPPLLNSC* >Brasy1G430500.1.p pacid=40053947 transcript=Brasy1G430500.1 locus=Brasy1G430500 ID=Brasy1G430500.1.v1.1 annot-version=v1.1 MEEGFVFRGWQLPPGFRFQPTDQEIIVCYLKKKVTSAASAATSIIADVDIYKFDPWELPGKAMFGEGEWFFFSPRERKYPNGARPNRTAGSGYWKATGTDKPILASGGARCLGVKKALVFYQGRSPRGAKTDWVMHEFRLLHPDDGAAHSNNHPRDSMRLDDWVLCRVRKKGAAAVPVAPDAGGLGSGAPAPSHAGAAPVQVPDAPYGAGSFGDDWTDCQILQYLIAGGGGGSGQADGAGAMGESGKLASVLETIKRNLSFEAVEDAHHDQQLPTSSASLSMFEID* >Brasy1G454200.1.p pacid=40053948 transcript=Brasy1G454200.1 locus=Brasy1G454200 ID=Brasy1G454200.1.v1.1 annot-version=v1.1 MDVSSLVAAVSPILLLLALLIPILFFTKRKPPPSGSDVRRLPPSPWGLPLLGHLPLLGPLPHRKLKSLAEAHGPVMLLRLGRVPTVVASSAAAAHEAMKTRDLAFASRARVRMAERLLYGRDLVFAPYGEYWRQARRVSVLHLLSPRRVLSFRAVREQEAAALLARVRRLSLAPAAPGGAMNLSDALISYANAVIKRAAFGDDAGYGIEGDEGGEKLREVFAEFEGLLGTATVGEFVPWLAWADAYLTPGLDARVARTFEVLDGLLEKVIAGHRERRRRLGGAGRIEAGDDEDDRRDFVDVLLDVSETGEEAGGVRFDTIGIKAIILDMFAAATDTTYTTLEWAMAELINHPSKMHKLQDEIRQAAAAANGAGRVTEAHLGKLRYLRAVLKETLRLHAPVPLLVPRETLRDTELLGYRVPAGTRVMINAWAIGRDPTAWERAEEFLPERFLDCPAEYAVVGQNDDFRFVPFGAGRRGCPGVGFAVPSMELALASLLYNFDWELPRQEHDQGGGGLSKVDMSELNGLSVRLKTTLRLVATPWSPP* >Brasy1G326000.1.p pacid=40053949 transcript=Brasy1G326000.1 locus=Brasy1G326000 ID=Brasy1G326000.1.v1.1 annot-version=v1.1 MTTVPGPLIWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKFSGLANKKTVAIQPSAGDDKAVVLSTTKTKKQNAPAKLQHKNVMRKEFRKMAKAVKNQVCDNYYRPDLTKQALARLSSVHRSLRVAKSGTKKKNRQPTKL* >Brasy1G242800.1.p pacid=40053950 transcript=Brasy1G242800.1 locus=Brasy1G242800 ID=Brasy1G242800.1.v1.1 annot-version=v1.1 MQKTVFPCLQSPPRLLPWPPAPSSTSRRPSPSSTRGRRPPPPPHVARGCLHSSSRGRRFPPPPPVAAGPISSAADAGPLLTRSRAAAPAAKSAAALANAPAVPASSSVSATPAYGARPRRPNAKSAPPIAKSPAARRAAVRRAPTSRRLSRARARASMLAAKSAHLPALPLISSSNAGGGGEQRAGRAWGFTRVREPPPRYSQRVPAKGGTSSWRRKGSRQRLGMLRHSPLFEPHCGVEVALLGCAGWSIFSRRWRTGGARRRQLQRRGSFAGWISARVG* >Brasy1G028700.1.p pacid=40053951 transcript=Brasy1G028700.1 locus=Brasy1G028700 ID=Brasy1G028700.1.v1.1 annot-version=v1.1 MGGQEEEVKVVETCIVRPSEETPQRRLWLSPLDLVLVNRGHTPTVYFYRAEPGSGGNFFDVARMKAAMAKALVAFYPLAGRLSVDGNGRPEIDCAGQGAFFVVAHSDLTVDDFVDSQPSPELRRLFVPRVIDESPSIMCAIQVTFLKSGGVAFGTALHHVATDAIGAFHFFQTWAALSRDGGDGALLEERPCHDRTLLRARSPPVVNPDVFSAFCPKLNLSKPSGSIVSEIFVITKDQVAALKRACGRVSTFCAMSAHVWRCMCIARRLSPEATTRLTFPANVRRSLKPPLPVGYFGNGIIWLGTASKVRDITSTELASVAGQVRGAVRRMDDELVHSAIDYFETTEMDNKPAPGSMPENELRVISWLGMPAYDVDFRWGKPMVMLRAVSERAGFVYLMDGAQGDGSVRMVVCAEDAFLKDFSRLLYANLQNM* >Brasy1G033700.1.p pacid=40053952 transcript=Brasy1G033700.1 locus=Brasy1G033700 ID=Brasy1G033700.1.v1.1 annot-version=v1.1 MNTTFLQSGWRAFVDANQIEENYCLMFRYRGTSRFEVTIFDSNGLCNLTEEQLTKLHALVGKIKPEIPVLVVLMKKSNVNRNFTLTIRKDYAREYFPPKNTTIILQLPGKSKHWNCKFYIRPSGVSNAGPCNLSMVNFVRDNHVRKGDIFLLQPITKVKGRIFTVTVHPLHKASIDHSPDGSTDIGLNHGSASTKMASTARVKEEPTIDESTKMASTARVKEEPTIDEHGSSDNSEEASEPRFLPLDTSGLTQAHKKKMMEKIEAIGSDLPIYFKVINKSAASVYKRTPALVFSSQYISRYLAEKYASVSGKKNSISLVLLREGKSGAWSCQLRRTCDRTRIDTGWSLFARDNHLKEGDLCLFEVLTNEEQLKMVVYIIRRGKC* >Brasy1G263400.1.p pacid=40053953 transcript=Brasy1G263400.1 locus=Brasy1G263400 ID=Brasy1G263400.1.v1.1 annot-version=v1.1 MIKPGRPGLSSPVSGQSVLTRFPGQSNSNPFPRFPPVRERSGHAPTSPPAMSSSVSVAAEWDLLSDRFYRRITIYSPLPWSSPATTTASSSGGGTGGVGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPLASSPWTPHLPRLHSLAFSSSLNLLALLSDGSLLRFRLPDLNPMPTSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVTTDIEVDDPHPRELADPCVGEDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVVAVDEDGVQVLGEALEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRVIFEYECDSALPPDQIAWCGLDSVLLYWSEVLLMVGPNGDPVQYNYDEPIMLIPECDGVRILSNSSMEFLHRVPDSSTLIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQHTLLRAATYGLAFCSRFPHGRFQEMCKTLRVLNAVRDPEIGMPLTIQQYKLLTATVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKIAASAAIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLVDHESQSSKQIPLLLSIDEQDKALQKSIESGDTDLVYLVLFHIWQKVAVEKNAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELEKIPIASKGSPLHGPQVRLVEQAHRLFAETKEHLFESKSAEEHAKLLRVQHQLEVSTKQAIFVGSSVSDTIKTCIAMGNERAAVKVKSEFKVPDKRWYWLKSCALATVGNWDALETFSKERKPPGGFKPFVEACIDAGKKTEALKYVPKLTDPGERSEAYARLKMDKEAAEAASQAKDGDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY* >Brasy1G263400.2.p pacid=40053954 transcript=Brasy1G263400.2 locus=Brasy1G263400 ID=Brasy1G263400.2.v1.1 annot-version=v1.1 MIKPGRPGLSSPVSGQSVLTRFPGQSNSNPFPRFPPVRERSGHAPTSPPAMSSSVSVAAEWDLLSDRFYRRITIYSPLPWSSPATTTASSSGGGTGGVGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPLASSPWTPHLPRLHSLAFSSSLNLLALLSDGSLLRFRLPDLNPMPTSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVTTDIEVDDPHPRELADPCVGEDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVVAVDEDGVQVLGEALEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRVIFEYECDSALPPDQIAWCGLDSVLLYWSEVLLMVGPNGDPVQYNYDEPIMLIPECDGVRILSNSSMEFLHRVPDSSTLIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQHTLLRAATYGLAFCSRFPHGRFQEMCKTLRVLNAVRDPEIGMPLTIQQYKLLTATVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKIAASAAIPDTVLLEGLLDKIPLLLSIDEQDKALQKSIESGDTDLVYLVLFHIWQKVAVEKNAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELEKIPIASKGSPLHGPQVRLVEQAHRLFAETKEHLFESKSAEEHAKLLRVQHQLEVSTKQAIFVGSSVSDTIKTCIAMGNERAAVKVKSEFKVPDKRWYWLKSCALATVGNWDALETFSKERKPPGGFKPFVEACIDAGKKTEALKYVPKLTDPGERSEAYARLKMDKEAAEAASQAKDGDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY* >Brasy1G130700.1.p pacid=40053955 transcript=Brasy1G130700.1 locus=Brasy1G130700 ID=Brasy1G130700.1.v1.1 annot-version=v1.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY* >Brasy1G330700.1.p pacid=40053956 transcript=Brasy1G330700.1 locus=Brasy1G330700 ID=Brasy1G330700.1.v1.1 annot-version=v1.1 MGVAAIPNRGRLPSILLARRPRFTDSRNDTTATAESKDGYTMGVSFWMAEPPQLSLLSIHCTKPHDLENTARSNFSSLPHVVGADGPFVLLRAIFRGRGFHEYFLYKAGAGDVPPSLERIPSPYELGDANYNLSDVRELGILGHRGDHYLLASLHDSASSDDYQLRIYSSETKSWSTRTLHNPCPGVDRVIPDKVITLGQGGLLGWVDLLHGLLVCDLLLLLEDQDPPVPGAVSFIPLPEPLPGNRHKLKYPIISQKVREHPFMRDLCSASWFRDLACIDGVLKFVEMENPPPENKDNIIYDSDLIMSLKLKAVDRNSMKQLSSFRDAWRAVTWSRKVSSSNFWRQTCAAHVADIKGQELTFRDLYSAFPILSPGDGDDTLYLKSMVEPSHKDGSVAAVDIGNKALKAIGHYYLPDDFYYNRGYDPEHPFFASTLSRHLDLTPGNQVSACRKKTEAKRSANHPIVSSIHVDSCEQMAKIPRLLEMSGKTKCARNSPVSILENNQISQVRPVENNLPQKHKLDAAPVHQISQVRPVENNLPQKHKLDAAPVHSLWSHQNILAPQPWFNNCNVDTYHGYSQLSAPNSFAYGAHTGYGNYQQQSLQLPPTLELPVGASWLHPHSQQLSAPNSFAYGAHTGYGNYQQQWPQLPPTLELPVGASWQHPPPPGSGAASSNTL* >Brasy1G386900.1.p pacid=40053957 transcript=Brasy1G386900.1 locus=Brasy1G386900 ID=Brasy1G386900.1.v1.1 annot-version=v1.1 MNSTDTDNACPAATVNGDMLKMFIGQRVWTVLKVQHSEGGVLVGQSTDGHQLSIRGAPEVPETHYMHVIGIADNNQSIHAEVCKDLGENFDATSFNGLCKLAVNGKVKDLKMFL* >Brasy1G562100.1.p pacid=40053958 transcript=Brasy1G562100.1 locus=Brasy1G562100 ID=Brasy1G562100.1.v1.1 annot-version=v1.1 MTDGLGEVFLELCLHSEPRLLPPSTPTRRWPRRRPPPQRPPGRPSRCGGGKRSSPPRAPPERGGGEKRRELRLPSAASTGDPDGLSLRLPSEDFRRVAVEDHPSYVGLKAGRTLASPLRAHARGELPRSPGRLPWPTVADLVGLRLVAIAGEEC* >Brasy1G331200.1.p pacid=40053959 transcript=Brasy1G331200.1 locus=Brasy1G331200 ID=Brasy1G331200.1.v1.1 annot-version=v1.1 MVVCANYRTVSHLLVILRRQRCRLPLAALRDSSSSVAHAAAARRLSASRSRRCRPRAPPPTATLARPTPTPPVPPGTRQLLDANRSSSSSVATICAAAQSSSSSTSARRGVPEIAWPSSGAGWWPLAASSSPSRDLRLISTKETPICGYVT* >Brasy1G570600.1.p pacid=40053960 transcript=Brasy1G570600.1 locus=Brasy1G570600 ID=Brasy1G570600.1.v1.1 annot-version=v1.1 MERKEEEEAPLEPDPGGSSASEKPKKAEEEAASAFQRSTSSSSAPPSFQFQSILPNPDYGGHQEFMMNYEGVASSCQRLMDLMPASAEKVVSVMQCANGAMDLLRNQRGRFTAADVVSAGPAMEEEKMDAVKIEEHCISGANGAMDLLKNLRGQFPAADVVSAGPAMEEEKMDAVKIEEHCILGANRAMDLLKNPRALVTAADVVSAGPAMKEEKMDAVKIEELHGSPPHPLPHSPRCSGDNPHLVRAELPLPQSTAELAEQPQAGAGGSGGGVQGPPRQRIHARRGHATDDHSITERLHRERVAKRRKTLQELVPDANKVVLKLDLHDDEKKQKAMEVASTLHGIDSISVDMKVEEMTVIGSVDPADVLSKLRELFPLAEIVPPMPENTAKLPEISLEAPVCALVDVMYKLPAKLDGLLRHSHMLPKGAEDEIPLIKQDLEVMVAVLHDYSNSGAENCAMMIKCLMKEVHELSYDIEDCIDQYENAVDSRSGPVFRIPRCLITRRKSKTSRVPKKLKQRLWMTNKIREFSMRSQEALQRYSLFNPDAISTTSPSSSSTDSRKKICTASTRYDASFGPWHPTPYGESPVHPVGIDAPVSKLKVWLAKDGEQNVKVVTNGQIDLSHHGNQYIYKGGLGLGPKVYMDLKAILIYTYILNTPPQTQGESETLSLRI* >Brasy1G509900.1.p pacid=40053961 transcript=Brasy1G509900.1 locus=Brasy1G509900 ID=Brasy1G509900.1.v1.1 annot-version=v1.1 MKVTPTIEQLLLVVLVAWCCNAAHVVVCSSVLYGNETERLSLLEFKDAISLDPQQAFLSWNDSTHFCNWEGVSCSRRRVITSLNLTDRGLVGHISPSLGNLTFLQSLVLTENALSGEIPLSLGHLRRLRTLRLNHNTLQGRIPSFANSSKLEVLDVSFNSLVGQFPGDDHLPPGLQELHISVNNLSGTIPASLANITALTMVSCASNHIKGSIPGEFASLSSLRYLYASVNQLSGRFPHAILNLSTLIGFDIGHNGLSGEVPPSLCTSLPNLQILVLCDNSFLGHIPISLSNASNLNDIDLPANNFTGLVPVTIGKLTKLSWLNLQQNQLQAHGREDWEFLDSLGNCTELRVLSLSWNRLSGHVPSSLGNLSDQLQHLYMAQNQLSGDFPSGVANLRNLIGVSLGVNHFTGVVPEWIGTLKTLQEIGLDTNFFTGVIPSSFSNLSRLVGLDLSSNQFIGDIPPSFGNFPMLQSLYISNNRLCGRVPMEIFRIPTIFEIDLSFNNLDGQLPTEVGNAKQLVSLVLSSNKLSGDIPNTLANCESLEGIQLASNIFSGRVPISLGNIRSLKVLNISNNNLTGSIPPSLGNLQFLEILDLSLNHLNGAVPTKGIFKNATAVRIEGNQGLCGGTLELHMPACSVMPSKSTRHKGSLVLKVVVPIASMVLVAVVIFVLLLWRGKQKSKSMSLPSFATKFPKVSFNDLARATQGFSMSNLIGRGRYSSVYRGKLVQDENEVAVKVFNLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSNGNDFKALVYELMPRGDLHKLLYSTQENENSSDLNLITMAQRISIVVDVADALEYLHHRNQGTMVHCDLKPSNILLDDNMTAHVGDFGLARFKVDPTTSSFGNSNSSSAAIKGTIGYAAPGNGHFLYLLCNCYSLRPILVVEILHVSRRLLDIDTSIFGQI* >Brasy1G210400.1.p pacid=40053962 transcript=Brasy1G210400.1 locus=Brasy1G210400 ID=Brasy1G210400.1.v1.1 annot-version=v1.1 MPAQLSDSNLQQRKFKKPPLAQNDRSMATSIVADLGHGRYIVEFDEDSIHTTLTASGDVVDEWLSLIYRIHRRRLDRLVVGLDVEWRPSLARGVAPGPVALLQICVGRRCLVFQILRCADYVPDSLFYFLADARFAFVGVGGDVDKLRAHHGLEVQNWVDLRYLAAERLGMPALKRAGLQDVAWEVMGVWPDKPHHVRVSAWDALRLTREQLQYACADAFVSFEVGRRLYDGDY* >Brasy1G428100.1.p pacid=40053963 transcript=Brasy1G428100.1 locus=Brasy1G428100 ID=Brasy1G428100.1.v1.1 annot-version=v1.1 MLQILQSWDGESLVIFKQDDILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVHVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLTANLVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G188600.1.p pacid=40053964 transcript=Brasy1G188600.1 locus=Brasy1G188600 ID=Brasy1G188600.1.v1.1 annot-version=v1.1 MASTRDLAIASVSAAAGAVAAAAALRFLSSYTATNAKPQNPAPPPPCAEPLAVNGSATGRPPARDPFKATKREGFISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSVSADPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDHVYVASHKLLSMAGVKVRRHQPQMTQIPIKFQEPRSHSGERTAYL* >Brasy1G188600.2.p pacid=40053965 transcript=Brasy1G188600.2 locus=Brasy1G188600 ID=Brasy1G188600.2.v1.1 annot-version=v1.1 MASTRDLAIASVSAAAGAVAAAAALRFLSSYTATNAKPQNPAPPPPCAEPLAVNGSATGRPPARDPFKATKREGFISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSVSADPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDHVYVASHKLLSMAGVKVRRHQPQMTQIPIKFQEPRSHSGK* >Brasy1G546700.1.p pacid=40053966 transcript=Brasy1G546700.1 locus=Brasy1G546700 ID=Brasy1G546700.1.v1.1 annot-version=v1.1 MAAAPASAAGPTTRLAYFDDMWAVRSQAAVLSLRQEEGGRRAVVLDATIFHPQGGGQPADTGVISAAGAGAGARFLVEDVRMKDGVVFHYGRFEDAAGDGCRPELKEGQRVTLEIDAERRNLNSRLHSAGHLLDFCMSNAGLHLEPGKGYHFPDGPFVEYKGVVPPDQLQVKKQELEKVANELISKGEKVLVSVFPYEEAAKLCGGALPNYIPEDSTPRIVKFGDHPGCPCGGTHVADIADIGNLKITNIRVKKGITKVSYSISP* >Brasy1G342600.1.p pacid=40053967 transcript=Brasy1G342600.1 locus=Brasy1G342600 ID=Brasy1G342600.1.v1.1 annot-version=v1.1 MEEGSIESGSFSRSSSFGGFEEWLASMRKRSGKLSSSSRTPQQKPGILAIESTISSDGLEVGDSDSDVIDSDPCDQLPKTSLWDRLGRVSMMDIESSNFSWSSLSSLHHTKHSNTSTEPTEDDTSRSFEVTVNSGGVVFIALFRTSEKDGLPPKEAAAVIKIASSRMATQSERFGYELGKWLGVRTPQGRVIHSSSCEWQQIKDAVENARHAAIAIGDELQEMICSEMQEALELSRCLFLMNYVHGFPLLEIRTPFNSREFAEKTAEALGRILILDLVLRNEDRLKCRPLGWRGNYANLLVTDKEAYANLDSLDDAHDSAIIRYKPEIIRSPQKQKQRRSVSISGSTGSDISDLVLGDSYDPSDPEISSFRIVAIDSVVPRRPPAGKRAKDQESYPRLVELTLNNWDYSSNLLFEVSCGKLGVPGPEEFDMSSDCSYHSPLSESDMVAIVNSFREGFRSALRDLQRFHIFLLTLYQKLDALLKIFFNLMYKGLNESDKADAGPSDSPSCLVEAHTDSNDAEVPRHMRRPSRTLSLSRDSFDLSSPACRESFMTKNFKANGDASRGLRLTMKLREFNKYTKVDSELSKEIEQWNDMLRTDVVKLCQDNSFNTGFFEGIDNSVAVDAYELKVRLEHLLDRISLISDAASTERPSQITDYMYIGGALAARSTYTLQHLGITHVLCLCANEIGQSESQKHCLFDYRNFSINDDENADISDVFQDASDFIDFVEHLHGKVLVHCFEGKSRSATVVLAYLMLRKNRTLLEAWNMLKKAHRRAHPNDGFAKVLLDLDKKLHGRNSMEWQHKRPSMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDSAMTLEIQKAIEAMKTG* >Brasy1G342600.2.p pacid=40053968 transcript=Brasy1G342600.2 locus=Brasy1G342600 ID=Brasy1G342600.2.v1.1 annot-version=v1.1 MRKRSGKLSSSSRTPQQKPGILAIESTISSDGLEVGDSDSDVIDSDPCDQLPKTSLWDRLGRVSMMDIESSNFSWSSLSSLHHTKHSNTSTEPTEDDTSRSFEVTVNSGGVVFIALFRTSEKDGLPPKEAAAVIKIASSRMATQSERFGYELGKWLGVRTPQGRVIHSSSCEWQQIKDAVENARHAAIAIGDELQEMICSEMQEALELSRCLFLMNYVHGFPLLEIRTPFNSREFAEKTAEALGRILILDLVLRNEDRLKCRPLGWRGNYANLLVTDKEAYANLDSLDDAHDSAIIRYKPEIIRSPQKQKQRRSVSISGSTGSDISDLVLGDSYDPSDPEISSFRIVAIDSVVPRRPPAGKRAKDQESYPRLVELTLNNWDYSSNLLFEVSCGKLGVPGPEEFDMSSDCSYHSPLSESDMVAIVNSFREGFRSALRDLQRFHIFLLTLYQKLDALLKIFFNLMYKGLNESDKADAGPSDSPSCLVEAHTDSNDAEVPRHMRRPSRTLSLSRDSFDLSSPACRESFMTKNFKANGDASRGLRLTMKLREFNKYTKVDSELSKEIEQWNDMLRTDVVKLCQDNSFNTGFFEGIDNSVAVDAYELKVRLEHLLDRISLISDAASTERPSQITDYMYIGGALAARSTYTLQHLGITHVLCLCANEIGQSESQKHCLFDYRNFSINDDENADISDVFQDASDFIDFVEHLHGKVLVHCFEGKSRSATVVLAYLMLRKNRTLLEAWNMLKKAHRRAHPNDGFAKVLLDLDKKLHGRNSMEWQHKRPSMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDSAMTLEIQKAIEAMKTG* >Brasy1G263000.1.p pacid=40053969 transcript=Brasy1G263000.1 locus=Brasy1G263000 ID=Brasy1G263000.1.v1.1 annot-version=v1.1 MVASRRSKAPQRQNAFALDAQPSDQELLSSFLRDRVSSDKNSSCGFIHEADVYSAHPADLTRRHGAALASNGEEAWYFFSAVRAKGGGRRARTVDSGEGCWHSEAGSKPVVSAHLRGGGGGLLGHRQSFSFVTKEDGVRVRSGWLMVELGLHGDGQDEVTLCKVYFSPRAAAKNQRKKPALSSSSSSSATRKRKAAETTTPAPAGQRRRHGTPEAAAAASSGSEMVVDDDPAAAAAPPGEPEKASTADDEPGGVVGGLKRFLVALRQETGTIVEEDYDDRPPENNVNAQDPSLPSSFTELLLNGPDDEDEWPMVVDPIPNAVVRRDWAQEPVIMFLTFDEMYALPQVREFFAWEKELAEDMRNSVKLGST* >Brasy1G040600.1.p pacid=40053970 transcript=Brasy1G040600.1 locus=Brasy1G040600 ID=Brasy1G040600.1.v1.1 annot-version=v1.1 MYPRRYLAFLYCNATAFVASLVVVAVLLVQRNQRIRRFTLRVAMTLGFVGLIGAFAAAGGYGRTQISAYVVAAVLSFPFIKLLVFLYHLVKNLIHEALRRYLQFGRLEHRIHEEQKSRASERADEDAYQILRKSQKVLLLLGILSASITYQAGLNPPGGLWQDNAADGRPHNLAGDPVLHITYPRRYQVFFYCNATAFVASLVIVLLLLSNIFSTQRIKYCALQATMILDLFGLIGAYAAGSCRQLYTSVYVSVLVVSAFLYVGFHVFMIKVFPARAASSEAPREKMAPCVPGLSKPAYQVHAEGDEAMERKLEKRRKLQMLLATLAATITYQAGMSPPGGLWQENESGHVVGDLVVKDNYLRRYELFFYCNAMPFVASLVLLLNKRLSASGIRSHALQVCLIIGLMGAFAAGTYRKISMVDVLFLFLAVIVCVSLQIALFVSGSAMNE* >Brasy1G563800.1.p pacid=40053971 transcript=Brasy1G563800.1 locus=Brasy1G563800 ID=Brasy1G563800.1.v1.1 annot-version=v1.1 MSGCCVHADDKHIHKPEWSTCCLSARNRISLRNNLMSHFSQTLRDGKAAAPGPAGPADDSAELTGAIVFTVVLMTILGATLCVVGLERLIRGRFTLFSIVRALLRSTFVLFLPLLSYMFSHSKEHKHELLFLLLWMLLIELIRKKVQAMVQSVDGSFSRASGRFRLMDHSDEATRLVWIGYLMYSNISWKTHRDKLMAKFFIILWSLALAKLGQRVLNKWMVQDSLAAAGNTHLIAGYMQHIVENDVPSPTAGNIANCKYVVMGEEKLMLKKKDRRKKDGPWRSEPKVWLTTLDCGYGVGRFPHGQDEQKHVHLLIDHSKIKDLVTVERIWEKVQGFEICWFSTKWLRRLCLSFSLFKLMRRRFEHYPMVEVGSEMARGLMLHGLLAIEGKSESETETEDNAHAVFQVLQLELDFLDNYYQAGSPVVMSAPWLFIVNFLFSILFVLIYVLAILVIVINYQYGKHADRANENFKTLVIYFIIAILLVVTILAIEITEFLTLYLLSNWNLVHLLCLYVAPRNCIWNWLLKPIIGCFILGRFLMVSALRLIFKLLCRPVDRNMMKIRQISILQVCEPVHKMFAWTSQVTLPTKAKVDIVRSLKGINLDTCNVSLPQMEGLDYSHGKTATDILLQCHLATELLVLDMKHGKRQPKSNDQAVATTLSRYCMYLVARTPELLPDDEIWVSDRYDDMKSCLRRASRGWRRCCSCGCSWQALLDMDEGAAERLQDPAAREGVKLFQHRRREHAGADKAWEELARFWVGLVLYLAPSNDVEGHAKALATSGGDLITCLWAFCTHAGISRPRPANAAIAGTDAHQQV* >Brasy1G365300.1.p pacid=40053972 transcript=Brasy1G365300.1 locus=Brasy1G365300 ID=Brasy1G365300.1.v1.1 annot-version=v1.1 MTAASRPQSNGAQTTALVDGEPFAKLFGCWISEQSRDLAALRAAAADADRHPNADADLRRLVDRVLGHYEHYYRAKSAAVAADVRAMFAPSWISTTESLYLWCGGWRPTAALHLLYSKSGAQLEAQLPAFLDGTGSLRGDDLGGLSADQLQRRTIGREREIEEAAAAAQESLATGKMVELAAGAMEAAGLEREMEAKAEGMRRVLEMADGLRLDTMRAVVALLRPPQAVHFLLAAAELHLAVHHLGCRKDAHAHAPE* >Brasy1G347800.1.p pacid=40053973 transcript=Brasy1G347800.1 locus=Brasy1G347800 ID=Brasy1G347800.1.v1.1 annot-version=v1.1 MASELTYRGGPAAGSASDGGEYSPKPSKPLSWLTRAARYATTEHRPLFALVGMLFAAALFTFSSSSSSAGHTSPSGPAAVSFKHLANIAHPSLHESVGGKMPLGLRRRGLRVLVTGGAGFVGSHLVDRLMERGDSVIVVDNFFTGRKGNVAHHLGNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDSSASIEYRPNTADDPHKRKPDITRAKELLGWEPKVPLHEGLPLMVTDFRKRIFGDQEESTTTAGGMS* >Brasy1G314600.1.p pacid=40053974 transcript=Brasy1G314600.1 locus=Brasy1G314600 ID=Brasy1G314600.1.v1.1 annot-version=v1.1 MRAQANSSTQGHRRWSWPCLLQGRRKRARSDAAFAMDDRRGREASTMDAGREREALELVDRGRAAAADAGGRDHRWRRNTREEEENSHREELAAVTEWRI* >Brasy1G423900.1.p pacid=40053975 transcript=Brasy1G423900.1 locus=Brasy1G423900 ID=Brasy1G423900.1.v1.1 annot-version=v1.1 RLPSRAAVAQDRRLEARRDRSSGVKMSRSDYLSIRFHFGGEFVNDGQTMQYVGGGRGYVYILRSKLGLAEINRHLKLHHDIVDGQLLHWLMPGNLSSGLGVLWQDESCKVMSGHIAHDGFVDNVEEPTEQLQTIEPIYINDDGYGVEGMMCQILCVE* >Brasy1G530900.1.p pacid=40053976 transcript=Brasy1G530900.1 locus=Brasy1G530900 ID=Brasy1G530900.1.v1.1 annot-version=v1.1 MALSPSCWFFWSLLLPLVLVVTAAEELGKGCSSSAKICGNLNISDPFWLADGETGRPCGSSDFEVICFNTTTPLLGSSRSSSSFRIINISYEERSLRTVDRNQLEVLRRSRCHVPRRDTSIKLAPSFSIDPVVNMDLIFYNCTEGAAADQDGALVEMRCGNKSNAFVRAGGRYDGTGDGEHAIDGCAATVVPVLGEPAGEVNASNYVELVRGGFVVTWQLPLTPAGGQESKRGSHQERREACD* >Brasy1G254100.1.p pacid=40053977 transcript=Brasy1G254100.1 locus=Brasy1G254100 ID=Brasy1G254100.1.v1.1 annot-version=v1.1 MGRAPCCDKASVKRGPWAPEEDELLRSYVRSHGAVGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDMVICSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMGMGMHHQQQQHYQQHHRGATATPPPPPAPQNQCAPSSMMHPSPASASSAVTTASAGDAYSSFMYSDTPAAPPVLPAHYHNAEFSPPVQPTQAAAANSWASGMMAFDDIFLPELIGGGGDQFPLQGDLFAGYLGPLLQDNYRAPSSSSQLQLQELSACYFPNAQAEMWAAADHAKPPPAGLCPSLT* >Brasy1G334100.1.p pacid=40053978 transcript=Brasy1G334100.1 locus=Brasy1G334100 ID=Brasy1G334100.1.v1.1 annot-version=v1.1 MKPSSCLVMKMFAAPDGSPCRREVHAPMLFLWHAHISRTRTISLVSFLDHLASFCFGASYLDTWYDEFKPASIDKNQAGSMQKTKDNRVTVEFHNNQPGKPKVAFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRIPGESASTTLAPTTTGMVESHSPPLEKVGKSLAMNKPAIHSVPVEVERIDIGEPENPGPKNNNRSSTYQAVTADPFSSSPYPNDPEENLDILGDDENGSPNSISPQGTSVRGFDINFTNQLDMDDEIADVDVNDEADEGLNAAEALRAQVNAEGQQEQGTSSSSGSSSSSSGSGSGSGSSSSDSDASDGDSASSGGDVDI* >Brasy1G334100.2.p pacid=40053979 transcript=Brasy1G334100.2 locus=Brasy1G334100 ID=Brasy1G334100.2.v1.1 annot-version=v1.1 MTSSNSGEPSTAPQLNRWYDLRLGSSLRDPSSTARFCTLRYEFKPASIDKNQAGSMQKTKDNRVTVEFHNNQPGKPKVAFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRIPGESASTTLAPTTTGMVESHSPPLEKVGKSLAMNKPAIHSVPVEVERIDIGEPENPGPKNNNRSSTYQAVTADPFSSSPYPNDPEENLDILGDDENGSPNSISPQGTSVRGFDINFTNQLDMDDEIADVDVNDEADEGLNAAEALRAQVNAEGQQEQGTSSSSGSSSSSSGSGSGSGSSSSDSDASDGDSASSGGDVDI* >Brasy1G559000.1.p pacid=40053980 transcript=Brasy1G559000.1 locus=Brasy1G559000 ID=Brasy1G559000.1.v1.1 annot-version=v1.1 MGTKNVSVAGEYRRGGDKSDRSSKIGAWVVMDRVAGGARSRTGQVVRATPAGRCKWGGLVRSGLNGPNARPAGRPVCSRVRCALFGPWGRKPRRRRRSRGRCRRRPSRTSSEGGLTSGPPSTSHPRSWRGSGAGSAAAATANGGGEGGTGGAVAPWPSQVASPLLKCGSPPPPVIICLDSNSE* >Brasy1G544500.1.p pacid=40053981 transcript=Brasy1G544500.1 locus=Brasy1G544500 ID=Brasy1G544500.1.v1.1 annot-version=v1.1 MDGHDVLDCAICSHPLRPPVFQCTVGHNICSSCHDKIPGKCCCHSTVYNRFYALDRVVESIKVACSNGNHGCTARIPYCQKEDHEKSCPHAPCFCPDPGCGFSGTTSTVALVDHFCGQHKWLYFNFKYNDMIETNIEPGSTILMGEDGNLFLVNMELKSHGTIIISVCCIQPHDTGSKFKCRLGMMFFAEQCMYKEFYTRSTNLYNGLPNDCDAFYVPNNLLQGDGATTRLVVTLIKQE* >Brasy1G522200.1.p pacid=40053982 transcript=Brasy1G522200.1 locus=Brasy1G522200 ID=Brasy1G522200.1.v1.1 annot-version=v1.1 MDMGKLPTPVLAVQATRFEGGMALGVKAHHAVADGRSLWTFVEAWATACRGETPVATVAPSLKRSLVKQSDGEALARSVLRKWAPNLPLATAPPSIVDDRTRFTRRTSTLDARDIQRLKQQILHLGESHGTPLPRAPSSFAAAIALAWTCFARCKPFAMDEDVFLFFLADARRRLDPPVDAGYIGVCLTGCLVRLPARELHGERALSAAIQDEVRKMAEDPLAGWDFMRLADKVPMDRLMNVSGSSGFRAYEVADFGWGKPRRTEPVRLNHDGQVALMGARDGSGVQVSVALLQPAHMDAFKSHFLKLLDRLICIYLLAAASRRKILQFERERETDACCVFMIRADRDVLLVTSHWANRLPFDCSLASKYVRSIKAPRTSAGRIGSSHARSRAKAGAQRQASLWRNSLSAASFLLCRPPMSPVRIIDESYVNVLPPEPIKLTASG* >Brasy1G270600.1.p pacid=40053983 transcript=Brasy1G270600.1 locus=Brasy1G270600 ID=Brasy1G270600.1.v1.1 annot-version=v1.1 MGAGGKGWLETARRGVRTAWFMVVMVASLLVASAPVVVAAGDVAVALWLEVRLGCLSCRSLRDHFERYGFRSSLVDIPLVSVVRSLVITCVYLVCDTSGLSHGPYLGTTTFCSSASLLILLLKACVYSPAQDIGPELSPSLADHKLNMKKLWGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHRIDPESILAYKNAFPGCYKVPRSPTPHSGKLYSRSESETKRKTVVHDDRNIPISFLADSESMFIACQGITVHYKMSDPSSCVSPPPEPFPEINHDLVSSSISPRRQRHESPPSASSSTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDGIPLLSLDNGSLPLCLKPVGFDLEAGERGKFAVVLVHGFGGGVFSWRHVSNLLARQVGCMVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELESQVDLLISFCSDMGLRSVVLVGHDDGGLLALRTAEKLRAAGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDILNLYKAPLFVEGWDEALHEVGRLSFSTVLPPKRAGELLKSVEDLPVLVVAGSEDVLVSLKSAQIMASKLVNSRLVTISECGHLPHEECAKALLSALSPFISRLVSSDDSLQRL* >Brasy1G540800.1.p pacid=40053984 transcript=Brasy1G540800.1 locus=Brasy1G540800 ID=Brasy1G540800.1.v1.1 annot-version=v1.1 MGVELKELPTQEKQPPYIFLAENQSIPTETLGPRTHPSSIGIIDRRQPSPINHPPQPHASTARRESEPGPKTTRTSGDTTTDQTRKTPRYYRGGTSAARSGAGRARGTENRERQGGGRGWVGRVVLTSGRSGGGEDRGPRDRAAGGGGADACPRVGGWGR* >Brasy1G180400.1.p pacid=40053985 transcript=Brasy1G180400.1 locus=Brasy1G180400 ID=Brasy1G180400.1.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHCFFPNLRGSAWVQLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLAAVFASDLHSRAFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFSRVMPDLNSMPDQKQ* >Brasy1G180400.8.p pacid=40053986 transcript=Brasy1G180400.8 locus=Brasy1G180400 ID=Brasy1G180400.8.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHCFFPNLRGSAWVQLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLAAVFASDLHSRAFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFSRVMPDLNSMPDQKQ* >Brasy1G180400.2.p pacid=40053987 transcript=Brasy1G180400.2 locus=Brasy1G180400 ID=Brasy1G180400.2.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLAAVFASDLHSRAFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFSRVMPDLNSMPDQKQ* >Brasy1G180400.3.p pacid=40053988 transcript=Brasy1G180400.3 locus=Brasy1G180400 ID=Brasy1G180400.3.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLAAVFASDLHSRAFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFSRVMPDLNSMPDQKQ* >Brasy1G180400.4.p pacid=40053989 transcript=Brasy1G180400.4 locus=Brasy1G180400 ID=Brasy1G180400.4.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHCFFPNLRGSAWVQLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLALFLP* >Brasy1G180400.5.p pacid=40053990 transcript=Brasy1G180400.5 locus=Brasy1G180400 ID=Brasy1G180400.5.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHCFFPNLRGSAWVQLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLALFLP* >Brasy1G180400.6.p pacid=40053991 transcript=Brasy1G180400.6 locus=Brasy1G180400 ID=Brasy1G180400.6.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLALFLP* >Brasy1G180400.7.p pacid=40053992 transcript=Brasy1G180400.7 locus=Brasy1G180400 ID=Brasy1G180400.7.v1.1 annot-version=v1.1 MTSAHSKLYSDDVSLVVVIVDTNPFLWAGAALPFADFFAHLIHFVNSLLLLNHLNQVVVIAAGVSSCAYVFDSGNAAASGAADVAATFAKASRKVEEFVKQDARDTAGNGTVAGGGAASLFSGALSLALCYIQRIFRSGTRHPQPRGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELSGLFQYLALFLP* >Brasy1G578700.1.p pacid=40053993 transcript=Brasy1G578700.1 locus=Brasy1G578700 ID=Brasy1G578700.1.v1.1 annot-version=v1.1 MVLIRSLSPATGAPPRASPAATIVVGSFSLPLLRVIPRPIVPGPVGGILGAAPPGVAPPRADVGRIPPRSTTVLPTRGARVDISSHPPSSPSQPTSQRALGFSLESLLSHFWRRPSSPSVRVGDPAGVWRGVRSGDRRSFAQVVVDSSGPRKSNMVAARGGYGQRREGFGAGRGRGANRGRGRGLVWERNTGEAQGQAPRRGDDARRSPTPEAEEAAGTTLETTSTGTQEVAANRPPPRSPGTNKHEEQPRDGQSHEILAGNCAKCGILGHRVRECSVRFLEDYTAPMCGFQSPNQGFFFIPPIPSEKSTKDKNSSVIITVVEGTATARQIEAVFNAVFAGTWRCSARPIGPGKFTMRFPNAKKVEEYSAFKGFTLRNTSAKIDIDPWSPSVGAKGEIQQAWVKVSNIPTDMRSEAVLAYVGSLVGVTKEIDKAYMFKPEYVKLKIACPDVYSIPPTAEGYIGDYLYDFYYELDSVVVGPRKGKDKTVIVSNEDGQHTPKRHKPNGPPPKSAPSQLHGSTSYQGGKSNNADSIAEEEEEESEEDCSDLLIDTIAEEAEAHFMQNVMPVPVMSFMQAGGMPHVPLNMSISAWLQRDQILFGGMSRGSPNDAKEMMQCMFPSLDLVNAPPYHCDRIGFDDSMTGLAHVEKFSFSEDEGPFSQESQNTCDTVQMPVATKSKKVTVPLRFSSRLQQKGQAPIIQRAEALLQKKNLEGTTTSNSFSALDDFAIISRAQALGALIPDDDFDCVNVLRELETARASLKTHIFVSALDSEQPAPLVSSHQPIEVDAECLHSELQDDDFVLVQSRKVKKSKARLSSVGVIGPSRVGSLVSGTSTQPGSVRITRQRGGHRKKS* >Brasy1G083500.1.p pacid=40053994 transcript=Brasy1G083500.1 locus=Brasy1G083500 ID=Brasy1G083500.1.v1.1 annot-version=v1.1 MPSVGVKIYSVFFKLLLRHRLQSLAAGEGGADAFGVSCRPDEATAPANPAFSAADGVASKDLHIDPNSALSVRVFLPTPPPHAHLLNPRRASDPATGAAAAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVTGSNSSASNDAFCRRVAKACDAIVVAVGYRLAPESRYPAAFDDGVRVLKWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIADFVARKVVEDGKLFNPVKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLLLSEKEFSLDHPAANPLAPGRGGPPLKCMPPTLTIIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY* >Brasy1G531600.1.p pacid=40053995 transcript=Brasy1G531600.1 locus=Brasy1G531600 ID=Brasy1G531600.1.v1.1 annot-version=v1.1 MHLLRVPAPAASGAAAVLCSPATLLLLLLTLALSTTTGAINTTTPSSFSPSSCDPATCGGLRISYPFWLSGTHPPECGYQAFQVTCDPNTNNTGKATAALKNSLWTYQILSISYPESSFTVTNLQLSEDGACDIELHVNASADLGLAPFGISADNTELFFLYGCTHPQQLPPSRAPVACATGNDGGSNNSNGSSLAPSNNTFAWLAGGYKPEYDAWRPVQGGNCTVSRVPVLGYEGATGADYQRLMKGGFLLQYAAGDCDACVDSGGFCRINTTYDILECHCSGGVSELIVCGNSNCTGF* >Brasy1G111700.1.p pacid=40053996 transcript=Brasy1G111700.1 locus=Brasy1G111700 ID=Brasy1G111700.1.v1.1 annot-version=v1.1 MEGAPRASTRRRRLVERGSDRLAFITGQARDLPSDPYPDSPLSSTASPQLSEGFVSTEKFSKFQKSEPSDLLPEIQPTVEPRQPKPRHQTHHSEGDLRRVFNTSSAVHEIQPVNEPLLRSRDDGTLGKKTSYDGAASVQPRREMEARPRTVPPNQSNQSDDSAWSLETLKELVDFSPHEISQAISATEYSRFLASIAIAFLVVLSNWGLDVGGIITRVLVCTRPLLFLIITHITIVMTFLMENKDPNVRGRPAGSSNFGSIDSLLMLEIGFLLQKALLALLMDCSVCTVIMICFLGF* >Brasy1G320100.1.p pacid=40053997 transcript=Brasy1G320100.1 locus=Brasy1G320100 ID=Brasy1G320100.1.v1.1 annot-version=v1.1 MRRKLALLLAAADGAALPLSQTKQIHARLLLASPFIPDDLRLFLLRSYAGRGDFASAHCLLDETPRPVSPLLYNAVIRAHARRLDLPAALALFARMRRSATPPDAHTFACVLRACADCSRPDAAKIIHGVASSSGLCSHPIVGSALVSAYSKFLLVDSARRVFDGLLGPDLVLWNSMLSGYGYREMWVEGLQLFSAMRRAGEEPDGYSVVSLVSSFRNPEALDFGQAVHGVCIKRGYDSGNHVRSALVSMYFRCGCMESGRTLFGNLLDADLVTWSSLITGQFQAGKYEESFNLFRQMCYSGRRPDSILIASLLSACASTATVSCSREIHGYAIRLGADTDVKVSSSLVDAYAKCGFAELGYLVFRQMPNKNSVVYNMVISNLGSHGFALKAIEVHDEMIHDKHRPDGATFSALLAACCHAGLLDEGWKLFRRMQDEFHIVVEMEHYVYMVRLLATFNQLKEAYDLIQTMPLAPDCGVWGALLWGCCLHRNSSLGRVVAEKLFEIYPDKAAYGIMLSNLYASQDMWWDAEEVSLPGRSLAPAAEQWQPMQCAPASLIPSCNSYLYVTPQGRSLSEIASDFKGNASLIQPIKRLSGSEDLLMPVPCVCEAINATVNALFHDTGYEVIQNDTSDDINSNKFSGLAWNITAGPNKGDTITVHLLCGCSSTAPEGVLSYTVQPEDTLSNIATLFRSGSREILSLNPAVRNPDFIKPGWVLFIPMGVAASSNKKIGGLPIIIAVSISAAVSLLCMSIIILRLKRRSPLPSVEAPKNKMEKVPSNTSIAILESRYFPSKRIDEIDPFQTERPVIFSLKEVGDATVNFDEKRKIGEGGYGMVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGEDHLYLVYEYVRNGSLSEHIHDPLLKGHQPLSWTARTQIAMDAARGIEYIHDHTKACYVHRDIKTSNILLDDGLRAKVADFGLVKLVERSDEDDCLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLHALVRDNKEANKTKSLISIMRRAFKSEYLESSLEKIIDPSLKDNYPIEEVCKLANISMWCLNEDPLDRPEIREIMPALSQIHMTSIEWEASLGGDTEVFSGVFNGGEWFLVCIL* >Brasy1G320100.2.p pacid=40053998 transcript=Brasy1G320100.2 locus=Brasy1G320100 ID=Brasy1G320100.2.v1.1 annot-version=v1.1 MRRKLALLLAAADGAALPLSQTKQIHARLLLASPFIPDDLRLFLLRSYAGRGDFASAHCLLDETPRPVSPLLYNAVIRAHARRLDLPAALALFARMRRSATPPDAHTFACVLRACADCSRPDAAKIIHGVASSSGLCSHPIVGSALVSAYSKFLLVDSARRVFDGLLGPDLVLWNSMLSGYGYREMWVEGLQLFSAMRRAGEEPDGYSVVSLVSSFRNPEALDFGQAVHGVCIKRGYDSGNHVRSALVSMYFRCGCMESGRTLFGNLLDADLVTWSSLITGQFQAGKYEESFNLFRQMCYSGRRPDSILIASLLSACASTATVSCSREIHGYAIRLGADTDVKVSSSLVDAYAKCGFAELGYLVFRQMPNKNSVVYNMVISNLGSHGFALKAIEVHDEMIHDKHRPDGATFSALLAACCHAGLLDEGWKLFRRMQDEFHIVVEMEHYVYMDMWWDAEEVSLPGRSLAPAAEQWQPMQCAPASLIPSCNSYLYVTPQGRSLSEIASDFKGNASLIQPIKRLSGSEDLLMPVPCVCEAINATVNALFHDTGYEVIQNDTSDDINSNKFSGLAWNITAGPNKGDTITVHLLCGCSSTAPEGVLSYTVQPEDTLSNIATLFRSGSREILSLNPAVRNPDFIKPGWVLFIPMGVAASSNKKIGGLPIIIAVSISAAVSLLCMSIIILRLKRRSPLPSVEAPKNKMEKVPSNTSIAILESRYFPSKRIDEIDPFQTERPVIFSLKEVGDATVNFDEKRKIGEGGYGMVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGEDHLYLVYEYVRNGSLSEHIHDPLLKGHQPLSWTARTQIAMDAARGIEYIHDHTKACYVHRDIKTSNILLDDGLRAKVADFGLVKLVERSDEDDCLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLHALVRDNKEANKTKSLISIMRRAFKSEYLESSLEKIIDPSLKDNYPIEEVCKLANISMWCLNEDPLDRPEIREIMPALSQIHMTSIEWEASLGGDTEVFSGVFNGGEWFLVCIL* >Brasy1G141600.1.p pacid=40053999 transcript=Brasy1G141600.1 locus=Brasy1G141600 ID=Brasy1G141600.1.v1.1 annot-version=v1.1 MAPRTSATLVLAMVLAATVAAPPATVRAAISCSAVYSTLMPCLQFVQGGGAPSRGCCSGIQSLLAEANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVALPYKISPDVNCDRIH* >Brasy1G321000.1.p pacid=40054000 transcript=Brasy1G321000.1 locus=Brasy1G321000 ID=Brasy1G321000.1.v1.1 annot-version=v1.1 MSKLLVIAILLLPLINHGINLVTAWDDKDFFRYCPPFQCSQHGPEIRFPVFLESSNTSLSSGCGSGRSSIRLACSGQDTILAHPVFGPYNVSAIDYTRSSMKIAPLVDPCLLLQQKLIVSTSSSSPQVDVANDEPLPFPHFDIWSSSATPVCCSREFTPGADVSIAGPVSCLSNASHFLYLVRSYEDMSVLPLDCRVVPVFSDGRIIRIPMDDGPAPYVNYAQSFKESAERILSFAETTVYWLDGSDCRQCELHGRRCAFSSQTNEAFCMPDPHGSRIKVIAATSSVAAFVVLVLTVVTVFYLSFKKKYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGELPNRVPVAVKMLENSTGEGEVFINEVATIGLIHHANIVRLVGFCSEGMRRALIYEFMPNESLEKYIFSRDSDSFQHLLAPDKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGGISYKSDVYSFGMLVLEMVSGRRNSDPSIEIQNEVYLPEWIYEKVINGQELVLTSETTQEEKEKMRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLNNLQMPPKPFVSSGNQPVP* >Brasy1G478800.1.p pacid=40054001 transcript=Brasy1G478800.1 locus=Brasy1G478800 ID=Brasy1G478800.1.v1.1 annot-version=v1.1 MVQFGKLDRRKGGCSSYWEGVEVAARSGNSSGQHEKGRAGGTWGGGEDGVNVRRATSSRVREGVGARPRVRRRGGQPWLRARPGDMKYGAGVEERKEGRAWRGRRAGGRGGEEGGRAGVVEGKEGGRAGVVERRRGGRGGEEGGAGGRGEEEGGRAARWWLARHGVEAAIEFGGEEGGAGGRGEEEGGRAAWWRGRRGGRGGEEGGVGGRGEEEGGRAARWWLARHGVEAAIEFGGEEGGAGGRGEEEGGRAARWRRGRRAGGAVVASSAWG* >Brasy1G272800.1.p pacid=40054002 transcript=Brasy1G272800.1 locus=Brasy1G272800 ID=Brasy1G272800.1.v1.1 annot-version=v1.1 MERSGSDKRAPRKPKPPPRRSNSTGPEPPTTTNKPSSAGPPRPPGVPPPPGAPPRPPGGPAPPPPSPSIGKGRAPGGGGGGDRMRRAPEIVEFYQSLTRRGEARQTASRGPKAPGGSTAPRSDLIGEITKNSPHLLAVQADVETQGDFVRTLVTEVRDATFASIEDVVAFVVWLDEELSFLVDERAVLKHFDWPEKRADALRDAAAKHQGLVQLEKQISSFVDEK* >Brasy1G242000.1.p pacid=40054003 transcript=Brasy1G242000.1 locus=Brasy1G242000 ID=Brasy1G242000.1.v1.1 annot-version=v1.1 MPTPEKKRKRKRRFATAPRLIRVRPAGGKKKTPSTEAAQPPAVYIVLEHSGDYKPFYSVIEAAAGAAARPIQDVSSGMSFAAVESTRRGPRIVGVGLNSATVFDPNKSKEIAAPRLVYPKLSPVLIAHGSKLYALSRVPSVVMGVDFMPWFFVLDLDGSYATTGTVRGTRWLRLQPPPVFPCRLNPLEYRDPLEHRVAAYAVVGTHILLSVSVQPEQQDKGTCAFDVDAKEWQMVDDKNLPFVGEAVPLSDRRFLACAKAKGGAPTVYYMEMEVVFSPGRSSSCTILELAVVSEGIVPGQHLCSMGKNGGFSSFDVSDDPETDDDAKRRRRDKARIVHRIYSQVLDAETKSVVIALQQEHVYKLRDINPYDHLDRPTRVVAAVTM* >Brasy1G141400.1.p pacid=40054004 transcript=Brasy1G141400.1 locus=Brasy1G141400 ID=Brasy1G141400.1.v1.1 annot-version=v1.1 MASSNALLLLLSAAFCFLARRAAGDYGSWQSAHATFYGGDDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELKCDNAGPSYCRPGSITITATNLCPPNYALPNDDGGWCNPPRAHFDMAEPAYLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSDLDGQSLSFRVTLSDGRTLTSDGVAPAGWQFGQTFEGGQF* >Brasy1G430700.1.p pacid=40054005 transcript=Brasy1G430700.1 locus=Brasy1G430700 ID=Brasy1G430700.1.v1.1 annot-version=v1.1 MASASPSWVILGSVPRVAATDSDLPPGADLSLALPAPPRVALLTIPRRIFPGSTTTDNFPDVVAADPSGLLLLHADQGRATAPVVIDTPRRQEFSWRPFVPGYFVLDAKTASAFPLPKPELIMHPGHLTLVAYPRSHGCHYIIAELQPFYGRQKAALMRFSSKVGEWVRTEVGFPLPTRILAPNGVVSYSGRLWWVDLSWCLLACDPFVDEPGLSVVPLPPGKALQYREAWGFLDKYRFVGVSGGKLRFVDMYKNRNSAGAAQISVWTLADPDSADTEWTLEYEVTFAEIFDDASYKATGLSRKTPVLALVHPTNCDVVYFFQDEHLLGVDVRARKVVECEMYDLVAPPSKHLATRFVHAWQLPRALCSDLC* >Brasy1G334700.1.p pacid=40054006 transcript=Brasy1G334700.1 locus=Brasy1G334700 ID=Brasy1G334700.1.v1.1 annot-version=v1.1 MDVAGGAGAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAQTLRLRSFFSDPALFPPDQVARASSAPAGADPQNLLEEKIAAEEKLALTDYDLRLAKEDLSCLKIELQKRQESSPDNTNGSPSDAFTHEEFNQQDKREVKVSALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTLIEEVPDQDLDVWTNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENEALRKDSKRLCAEKDSLMKIRESANNQVATLRKSLETAHMDIKDKEKSFQDLKQSLDVHRKELNDCRAEITSLKMHIEGTQSSKEMSVRDTDGLTSQFIANSMGEAAALINAHQNLKGTESGTTKLASAASLTGDTREDNKNTESSIEGSPGSEIPVSWSTAEHRGYETSGEDESGTNTSLEDISVNGNLHGDGNSQGNSDSISVYISEDKVHTEKVESPSKKKTSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIERHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNMDKYYKVEELLFQLVCDTSRVVVDVALRELVPAAVRWGGKLDQILRVLLSHILASVQRCPPISGVEGTIESHLRVLGEQERWNIEVLLRMLAELLPFVHQKAIQTCPSIDAPTSSTAENFVSESSIKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDTLRTAITKYLLAVSGHYGKDYLEHIMLPVFLVATGDVDSGDFTYFPLSIQSKVRGLRPKTSIAEKLAIICVLPLLLSGILGSPSSRQQLEEYLRKLLIQNTKDGSFSMRHTTEIIDAVRFLCIFEQHHGVIFNILWEMVVSSDTNLKINAAALLKALVPYVGVKVASTHVLPALITLGSDQNLAVKYASIDALGTVAQHFKNDMVVDKIHIQMDAFLEDGSHEATVSVIRALAVAVPHSTDKLREYLLTKIIKLTSVSPAGNDIERRRERANVFCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGALESIGKAMGAHLGIASSVSSFFGESGLLGKKEGAEQLDPAPPQPSLQTQQENTRFGRMMRGGFGDMLRGKAKGSEEPS* >Brasy1G334700.2.p pacid=40054007 transcript=Brasy1G334700.2 locus=Brasy1G334700 ID=Brasy1G334700.2.v1.1 annot-version=v1.1 MDVAGGAGAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAQTLRLRSFFSDPALFPPDQVARASSAPAGADPQNLLEEKIAAEEKLALTDYDLRLAKEDLSCLKIELQKRQESSPDNTNGSPSDAFTHEEFNQQDKREVKVSALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTLIEEVPDQDLDVWTNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENEALRKDSKRLCAEKDSLMKIRESANNQVATLRKSLETAHMDIKDKEKSFQDLKQSLDVHRKELNDCRAEITSLKMHIEGTQSSKEMSVRDTDGLTSQFIANSMGEAAALINAHQNLKGTESGTTKLASAASLTGDTREDNKNTESSIEGSPGSEIPVSWSTAEHRGYETSGEDESGTNTSLEDISVNGNLHGDGNSQGNSDSISVYISEDKVHTEKVESPSKKKTSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIERHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNMDKYYKVEELLFQLVCDTSRVVVDVALRELVPAAVRWGGKLDQILRVLLSHILASVQRCPPISGVEGTIESHLRVLGEQERWNIEVLLRMLAELLPFVHQKAIQTCPSIDAPTSSTAENFVSESSIKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDTLRTAITKYLLAVSGHYGKDYLEHIMLPVFLVATGDVDSGDFTYFPLSIQSKVRLRPKTSIAEKLAIICVLPLLLSGILGSPSSRQQLEEYLRKLLIQNTKDGSFSMRHTTEIIDAVRFLCIFEQHHGVIFNILWEMVVSSDTNLKINAAALLKALVPYVGVKVASTHVLPALITLGSDQNLAVKYASIDALGTVAQHFKNDMVVDKIHIQMDAFLEDGSHEATVSVIRALAVAVPHSTDKLREYLLTKIIKLTSVSPAGNDIERRRERANVFCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGALESIGKAMGAHLGIASSVSSFFGESGLLGKKEGAEQLDPAPPQPSLQTQQENTRFGRMMRGGFGDMLRGKAKGSEEPS* >Brasy1G334700.3.p pacid=40054008 transcript=Brasy1G334700.3 locus=Brasy1G334700 ID=Brasy1G334700.3.v1.1 annot-version=v1.1 MDVAGGAGAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAQTLRLRSFFSDPALFPPDQVARASSAPAGADPQNLLEEKIAAEEKLALTDYDLRLAKEDLSCLKIELQKRQESSPDNTNGSPSDAFTHEEFNQQDKREVKVSALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTLIEEEKISILRENEALRKDSKRLCAEKDSLMKIRESANNQVATLRKSLETAHMDIKDKEKSFQDLKQSLDVHRKELNDCRAEITSLKMHIEGTQSSKEMSVRDTDGLTSQFIANSMGEAAALINAHQNLKGTESGTTKLASAASLTGDTREDNKNTESSIEGSPGSEIPVSWSTAEHRGYETSGEDESGTNTSLEDISVNGNLHGDGNSQGNSDSISVYISEDKVHTEKVESPSKKKTSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIERHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNMDKYYKVEELLFQLVCDTSRVVVDVALRELVPAAVRWGGKLDQILRVLLSHILASVQRCPPISGVEGTIESHLRVLGEQERWNIEVLLRMLAELLPFVHQKAIQTCPSIDAPTSSTAENFVSESSIKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDTLRTAITKYLLAVSGHYGKDYLEHIMLPVFLVATGDVDSGDFTYFPLSIQSKVRGLRPKTSIAEKLAIICVLPLLLSGILGSPSSRQQLEEYLRKLLIQNTKDGSFSMRHTTEIIDAVRFLCIFEQHHGVIFNILWEMVVSSDTNLKINAAALLKALVPYVGVKVASTHVLPALITLGSDQNLAVKYASIDALGTVAQHFKNDMVVDKIHIQMDAFLEDGSHEATVSVIRALAVAVPHSTDKLREYLLTKIIKLTSVSPAGNDIERRRERANVFCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGALESIGKAMGAHLGIASSVSSFFGESGLLGKKEGAEQLDPAPPQPSLQTQQENTRFGRMMRGGFGDMLRGKAKGSEEPS* >Brasy1G224300.1.p pacid=40054009 transcript=Brasy1G224300.1 locus=Brasy1G224300 ID=Brasy1G224300.1.v1.1 annot-version=v1.1 MDASQGVLLSSALVGAGANGTTPSWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGTCRFSRATLFSRTQFPAAADVSVAANLYATAQTSLPAGLMVYSLSREAWSKESNWIGYVAVSTDAAAAATGQRVIYVALRGTIRNLEWVDVLKPDLVAPDAILPESDPARGHARVMKGWHVIYTSSDERSPFSKYSARDQLLAAVRELVAKYKGQSASLSIVCTGHSLGASLATLCAFDMVVNGVSKVGDAHIPVSAIVFGSPQVGNPEFKKRFDELPNLRALHVRNKPDLIPLYPSNLLGYANVGDVLSVDSKKSPHLKPDTTNVGDYHNLQGILHTVAGWNGKDGEFKLQVNRSVALVNKSSAFLRDENLVPESWWVEKNKGMVLGVTGEWQLEQPDEENLPVPPVVTGKVIDDDVAADTSNNEEPKIPVVEAGKKGRGSKFLPACFRGPAVN* >Brasy1G335700.1.p pacid=40054010 transcript=Brasy1G335700.1 locus=Brasy1G335700 ID=Brasy1G335700.1.v1.1 annot-version=v1.1 MMGTAVELGRRQGDSRFYDAARARRGHHHGLPKSRCITAAAAVSREKAPEEPSPSPAAASPVGVAGNLERFVAAVTLSVPAHYPSKRGSVDGEWEKPYFVLGDLWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIELYGNPAALRVSSNTRHMMDDSDMDCNDSSSDSSCDYEHVRVKHLRQEGFSSDDGESGDTNDCLLFQYLEFDSPFCREPLTEKISSLSARFPGLRTLGSCDLSPRSWMSIAWYPIYRIPTGSTLKDLDACFLTFHRLSNCPQGDRMRGPDSAPSIPLPVFGMASYKFSNSVWSSTHGDWQLASCLRQAAADWLRESHASHPDYQFFVSRDAYHR* >Brasy1G579600.1.p pacid=40054011 transcript=Brasy1G579600.1 locus=Brasy1G579600 ID=Brasy1G579600.1.v1.1 annot-version=v1.1 MPKAQNASWSLEAPLLVKGQPIRGLRFLDTGGALHDSSTAHACLAIRTLWAFSLTSDFPSVFSPVWSFDKADSLLRLSAIRPMAPSDHSPVTQKSVAVDGGHQPVQWAPERSWGDQARWSPKKAMQRRPTPPSTAGQSRLLVSTRRCCRWPCCRARLLTRQPRCLRCVGCDFLMTTKASAPPLVEDEALLQHLVRTTKITTKHLLYPSSVLQI* >Brasy1G285200.1.p pacid=40054012 transcript=Brasy1G285200.1 locus=Brasy1G285200 ID=Brasy1G285200.1.v1.1 annot-version=v1.1 MFSCSNTLGECPCPPLWTPSCPWRPNLPPSPSPSPSPQDSSVRRRRYADAQLLALPSHGPSRLLGLLGGDVAFLGLGRPSNRVAPTALTLRGCRRRPGGTRGIGLGRGSRRPWWRQQRWHRGPDTGVGELSPDPPLRSSCAVKEQPPLDVMFRFCSSSSGGTGKRFRKMGCTAKLYAESRARVGSLRVSNQAASPATVQARGSKPVASLPAKRSSGELERPPQLPTASAASGYPPPHAGKTHGWTCSCSSRTLWWRAATP* >Brasy1G332200.1.p pacid=40054013 transcript=Brasy1G332200.1 locus=Brasy1G332200 ID=Brasy1G332200.1.v1.1 annot-version=v1.1 MQHFSAKRVKLSEIEQKKEELYDVLSKAEYLSFRNSRLMNNLSVQIMRRPWDPQWIGTRIGKRMIDVLEISGIITLAMVLCGYWLKWHEKRPIKDGEEALGVKKQQDCKRVEDNDLFDGEAEMAHTD* >Brasy1G332200.2.p pacid=40054014 transcript=Brasy1G332200.2 locus=Brasy1G332200 ID=Brasy1G332200.2.v1.1 annot-version=v1.1 MNNLSVQIMRRPWDPQWIGTRIGKRMIDVLEISGIITLAMVLCGYWLKWHEKRPIKDGEEALGVKKQQDCKRVEDNDLFDGEAEMAHTD* >Brasy1G273500.1.p pacid=40054015 transcript=Brasy1G273500.1 locus=Brasy1G273500 ID=Brasy1G273500.1.v1.1 annot-version=v1.1 MALELFPDSVPWSFLLYGLPGSVLLWQAGVLLDRLWWRPRRLERTLRAQGVGGTRYRFLVGDLNDFGRLNDEAWSRPLPLRCHDIVPRVTPFLCNNVRDNGKPCFSWFGPVANVAITDPELVKDMLSNKFGHFEKPRFPALTKLLGNGLTTHEGEKWVKHRRILNPAFHLEKLKLMLPAFSASCEELVSRWKEFHGSDGSCEVDVWPELQNLTGDVISRTAFGSSYVEGRRIFQLQSEQAKLFIGAIQRIVIPGYLCLPTKNNRKMRRNNKEVESILRGLIGKRIRAMEQGESREDDLLGLLLESNLRDTDENGQSSKGMTIEDVIEECKQFYLAGMETTSVLLTWTMIVLSMHPEWQNLAREEVLGLFGRNKPEYEGLSRLKTVTMILQEVLRLYPPATVFSRKTYKDMDIGGVRYPAGVFIEVPVLFINHDPDIWGSDVNEFKPERFSQGISMASKHPGAFLSFGWGPRVCIGQNFALLEAKMALSMILQHFEFELASSYTHAPHTVMTLQPMHGAQIKLRTI* >Brasy1G204600.1.p pacid=40054016 transcript=Brasy1G204600.1 locus=Brasy1G204600 ID=Brasy1G204600.1.v1.1 annot-version=v1.1 MATASFVTYHQKHRELPALEFRAPPPSPVTGVLTGSSSGSPEHGEFPDVHEDDDVGRFLRRSARVPVLRLPERAIPRKKKKAAAWAPPVIDMRLLASGGPAAEALRSAAVAFGCFQVVGHGASACLVSASAAARRAGAPASEKEAGGEEHEDVEELWWSPDFAVSSRNRAEDLFAQLERAAAKIMDALQPDSVGAAAADSLAARADRDGSSLLCIRTHHRKQHDGGSGSGPMTMSQEDVLRMLVRSSRCPRGLALHLSPGASTFHVFAARRGCSGFRPLEGAVVVTVGDRLQAWSSGLYKSVAGKPAYGNDDLRGDGRHDVYSVEFLHSGASTGTACRGRLDADAGKIIPLNLQMIVAACLLLVYHFLLARLYAIW* >Brasy1G051100.1.p pacid=40054017 transcript=Brasy1G051100.1 locus=Brasy1G051100 ID=Brasy1G051100.1.v1.1 annot-version=v1.1 MAMAPPAAFARAISSLPGPLLPPPMLSTLLLRHRLAAPTRSPLRFAAAAMSSSSSSSAHSGPRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARKMDLPIFLSIGYSTCHWCHVMEVESFENEEVAKILNDWFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSAFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRRVKEAWETKRDALEQAGNVVIEQLRDALSAKATSQDVPNDLAVVYVDQCVEKLTSSYDPKFGGFGSAPKFPRPVEDCILLYKYRKLMEARQESEGQKIMKMVTHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDECYSSVARDILDYLRRDMIGEEGEIFSAEDADSAEYEGAPRKKEGSFYVWTSKEIEDTLGENAELFKNHYYVKSSGNCDLSGMSDPHNEFSGKNVLIERKPGSLVASKSGKSVDEYSQILGDCRQKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGSLGTRFYFPVTGCHPIEYLQVAEKAATFIKEKLYDASSKRLHHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKTEWLLWAVQLQVIQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSMAAINLIRLSIIFDAAKSEGYKRNVEHLLAVFETRLRELGIALPLMCCAADMLSVPSRKQVVLVGDKGSAEFQDMVAATFSSYDPNRTVIQIDPRNTEEMGFWESINANIAQMARSSPPEKLVVAHVCQDFKCSPPVTSPGALRELLNKTVATAGSSV* >Brasy1G081900.1.p pacid=40054018 transcript=Brasy1G081900.1 locus=Brasy1G081900 ID=Brasy1G081900.1.v1.1 annot-version=v1.1 MLEMVEVKKEQDESMAESQEDKEEEEEAGAKMVGRQEEITSQKAEEKKKKRKMAADPHRKRACVDCTKRCARVHGRSASPDPENARRPAATPTLPSFFKVMMGYFSETMVIPPPFSKTIKDLTGSNVYLEDAFGLRWRVSLCSDDGLLSFGHGWKNFVLDHTIGAGEFLVFRQIARTVFAVQIFARSACERLYFCEKNQRQSRKRKKAREKTGKEMVKLSQDNRDRRGIKKQRSSTQQDDLGTVALLDAETETAADCAILRVEGETETEDRGGNNFPTSPALATPLATMDINDEITDDIFLTADVYEFETDFCDPEAFSVEQGLEAHGQDSGFSCGAEMSSRNCDSSVGVCLENREMADVPVTSTVAGNDPVLDIGINASHGNELSVFRGEKSSPEIDAEMPSSERAIDTSKSAGDNLLLAPDGYQIQAEQKEGFVSECSSGKQDNLQLQDGPGQIAEEVMRGNPKRRVRPRLRQKHPMQSGNSNNPEDLKSGRTKTNNRKFCVAVPPTDQTWLELPCRLPAVVPRAKKQGRKVLVVEDPRARRWPVLYQCTPRFSGFIAGWVDVCRENGLREGDACELELRGNSELSLRVRAPGSSSQ* >Brasy1G081900.3.p pacid=40054019 transcript=Brasy1G081900.3 locus=Brasy1G081900 ID=Brasy1G081900.3.v1.1 annot-version=v1.1 MLEMVEVKKEQDESMAESQEDKEEEEEAGAKMVGRQEEITSQKAEEKKKKRKMAADPHRKRACVDCTKRCARVHGRSASPDPENARRPAATPTLPSFFKVMMGYFSETMVIPPPFSKTIKDLTGSNVYLEDAFGLRWRVSLCSDDGLLSFGHGWKNFVLDHTIGAGEFLVFRQIARTVFAVQIFARSACERLYFCEKNQRQSRKRKKAREKTGKEMVKLSQDNRDRRGIKKQRSSTQQDDLGTVALLDAETETAADCAILRVEGETETEDRGGNNFPTSPALATPLATMDINDEITDDIFLTADVYEFETDFCDPEAFSVEQGLEAHGQDSGFSCGAEMSSRNCDSSVGVCLENREMADVPVTSTVAGNDPVLDIGINASHGNELSVFRGEKSSPEIDAEMPSSERAIDTSKSAGDNLLLAPDGYQIQAEQKEGFVSECSSGKQDNLQLQDGPGQIAEEVMRGNPKRRVRPRLRQKHPMQSGNSNNPEDLKSGRTKTNNRKFCVAVPPTDQTWLELPCRLPAVVPRAKKQGRKVLVVEDPRARRWPVLYQCTPRFSGFIAGWVDVCRENGLREGDACELELRGNSELSLRVRAPGSSSQ* >Brasy1G081900.2.p pacid=40054020 transcript=Brasy1G081900.2 locus=Brasy1G081900 ID=Brasy1G081900.2.v1.1 annot-version=v1.1 MLEMVEVKKEQDESMAESQEDKEEEEEAGAKMVGRQEEITSQKAEEKKKKRKMAADPHRKRACVDCTKRCARVHGRSASPDPENARRPAATPTLPSFFKVMMGYFSETMVIPPPFSKTIKDLTGSNVYLEDAFGLRWRVSLCSDDGLLSFGHGWKNFVLDHTIGAGEFLVFRQIARTVFAVQIFARSACERLYFCEKNQRQSRKRKKAREKTGKEMVKLSQDNRDRRGIKKQRSSTQQDDLGTVALLDAETETAADCAILRVEGETETEDRGGNNFPTSPALATPLATMDINDEITDDIFLTADVYEFETDFCDPEAFSVEQGLEAHGQDSGFSCGAEMSSRNCDSSVGVCLENREMADVPVTSTVAGNDPVLDIGINASHGNELSVFRGEKSSPEIDAEMPSSERAIDTSKSAGDNLLLAPDGYQIQAEQKEGFVSECSSGKQDNLQLQDGPGQIAEEVMRGNPKRRVRPRLRQKHPMQSGNSNNPEDLKSGRTKTNNRKFCVAVPPTDQTWLVSGAPLPAAGRCPESEEAGEEGAGGGGPTRQALARAVPVHAALQRVHRRLGRRLQGERPA* >Brasy1G504300.1.p pacid=40054021 transcript=Brasy1G504300.1 locus=Brasy1G504300 ID=Brasy1G504300.1.v1.1 annot-version=v1.1 MTITQVSQVFYAFSIYFNLYMYISLGVISHVLAVPLCMIWQLTPTSLFSCVLSLWIHGTVTLLSATSSLDSIPALTTPLGLFSAAQCVLLGAMVFHVCCFTQGFPRVTATSLASSPCSTAPSLQAGDCQLVELVLKYWASHKSCWKELRVEDSMDDPIDIVNTKRMNTKGCLANERRTSLRGGIAADWCRSERFN* >Brasy1G046800.1.p pacid=40054022 transcript=Brasy1G046800.1 locus=Brasy1G046800 ID=Brasy1G046800.1.v1.1 annot-version=v1.1 RNERPAPGRRPIPPFSGPWPVAPNTPHAVSLRRPPSATPPPTAVHAVPNSRWPHAVAHALTGDASGDLPEARRGRAGTELPRARCHPSASPPPLTRTVKFLVRTNMAEIGTLHLVHAGVNRLLLLLFRSPTKVLPMPLFRLGIYLTSENYI* >Brasy1G022700.1.p pacid=40054023 transcript=Brasy1G022700.1 locus=Brasy1G022700 ID=Brasy1G022700.1.v1.1 annot-version=v1.1 MGDTRLTKLFVGGLAWETTKEGVRGYFEQFGEIAEAAVIADRSTGRSKGYGFVTFCEAEAATRACLDPYPVIDGRRANCNLAYLGLHKPKQPLQGPYAAVTHVNGGGNNNTRAMKAAIAGGGGTSFFPDHGIQQGIPAAAAAAYSVYGYSPYFSDYSYQPLLTYYQAYGGLAGGAPPSYQVFNGAGAATAMAADPTGPIYPYFQQYGPAAGYNMPPMVYQPQYVAAAAGAVVPNMHPAGQAALPAEGVHSVSGTAMAPMTAPALPQLLPAQSTPQYQYMLVPSMPVAPVTAPDQLKKPLSSA* >Brasy1G022700.2.p pacid=40054024 transcript=Brasy1G022700.2 locus=Brasy1G022700 ID=Brasy1G022700.2.v1.1 annot-version=v1.1 MGDTRLTKLFVGGLAWETTKEGVRGYFEQFGEIAEAAVIADRSTGRSKGYGFVTFCEAEAATRACLDPYPVIDGRRANCNLAYLGLHKPKQPLQGPYAAVTHVNGGGNNNTRAMKAAIAGGGGTSFFPDHGIQQGIPAAAAAAYSVYGYSPYFSDYSYQPLLTYYQAYGGLAGGAPPSYQVFNGAGAATAMAADPTGPIYPYFQQYGPAAGYNMPPMVYQPQYVAAAAGAVVPNMHPAGQAALPAEGTAMAPMTAPALPQLLPAQSTPQYQYMLVPSMPVAPVTAPDQLKKPLSSA* >Brasy1G022700.3.p pacid=40054025 transcript=Brasy1G022700.3 locus=Brasy1G022700 ID=Brasy1G022700.3.v1.1 annot-version=v1.1 MGDTRLTKLFVGGLAWETTKEGVRGYFEQFGEIAEAAVIADRSTGRSKGYGFVTFCEAEAATRACLDPYPVIDGRRANCNLAYLGLHKPKQPLQGPYAVTHVNGGGNNNTRAMKAAIAGGGGTSFFPDHGIQQGIPAAAAAAYSVYGYSPYFSDYSYQPLLTYYQAYGGLAGGAPPSYQVFNGAGAATAMAADPTGPIYPYFQQYGPAAGYNMPPMVYQPQYVAAAAGAVVPNMHPAGQAALPAEGTAMAPMTAPALPQLLPAQSTPQYQYMLVPSMPVAPVTAPDQLKKPLSSA* >Brasy1G022700.4.p pacid=40054026 transcript=Brasy1G022700.4 locus=Brasy1G022700 ID=Brasy1G022700.4.v1.1 annot-version=v1.1 MGDTRLTKLFVGGLAWETTKEGVRGYFEQFGEIAEAAVIADRSTGRSKGYGFAEAATRACLDPYPVIDGRRANCNLAYLGLHKPKQPLQGPYAAVTHVNGGGNNNTRAMKAAIAGGGGTSFFPDHGIQQGIPAAAAAAYSVYGYSPYFSDYSYQPLLTYYQAYGGLAGGAPPSYQVFNGAGAATAMAADPTGPIYPYFQQYGPAAGYNMPPMVYQPQYVAAAAGAVVPNMHPAGQAALPAEGTAMAPMTAPALPQLLPAQSTPQYQYMLVPSMPVAPVTAPDQLKKPLSSA* >Brasy1G022700.5.p pacid=40054027 transcript=Brasy1G022700.5 locus=Brasy1G022700 ID=Brasy1G022700.5.v1.1 annot-version=v1.1 MGDTRLTKLFVGGLAWETTKEGVRGYFEQFGEIAEAAVIADRSTGRSKGYGFVTFCEAEAATRACLDPYPVIDGRRANCNLAYLGLHKPKQPLQGPYAAVTHVNGGGNNNTRAMKAAIAGGGGTSFFPDHGIQQGIPAAAAAAYSVYGYSPYFSDYSYQPLLTYYQAYGGLAGGAPPSYQVFNGAGAATAMAADPTGPIYPYFQQYGPAAGYNMPPMVYQPQYVAAAAGAVVPNMHPAGQAALPAEGVHSVSG* >Brasy1G124100.1.p pacid=40054028 transcript=Brasy1G124100.1 locus=Brasy1G124100 ID=Brasy1G124100.1.v1.1 annot-version=v1.1 MGSGEEERGGAVSEAFTTDSADGSSSSSDAASTDHWPLIVAEMAPKKATTACCAVVSDNEVGKQHKQKRRAASTDMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAVTNLCATIFGQLWRLEPLPPEKKAMWRREMSWLLSVSDHIVELVPTWQSFPDGARLEIMTSRPRSDLYINLPALRKLDHMLLEILDSFRDQEFWYVDQGICAPDCDGSASFRAAFHRGDDKWWLPVPRVPPGGLRDKTRKQLQHKRDCANQILKAAMAINSTALAEMEVPESYIDSLPKNGRATLGDMMYRYITSDQFSPECLLDCLDLSTEYQALEVANRVEASVYVWRRRVPAKPASGLGRTASARSSWGMVKDMMMDTEKRELLAERAEGLLICLKQRFPALTQTSLDMSKIQYNKDVGKSILECYSRVLESLASNIVARIDDLLNIDELNRHAEYLPPGDADRKIACSKAVVPPYQQVPASGTPFVTAYATPSFSPAQLSSPSKKERTSLTAGRRSHGNRVAAARKALTDHVGPEVKGMIIVNRSAMIDVSTTTEL* >Brasy1G124100.2.p pacid=40054029 transcript=Brasy1G124100.2 locus=Brasy1G124100 ID=Brasy1G124100.2.v1.1 annot-version=v1.1 MEMMKERFAKLLLGEDMSGSGKGVCTALAISNAVTNLCATIFGQLWRLEPLPPEKKAMWRREMSWLLSVSDHIVELVPTWQSFPDGARLEIMTSRPRSDLYINLPALRKLDHMLLEILDSFRDQEFWYVDQGICAPDCDGSASFRAAFHRGDDKWWLPVPRVPPGGLRDKTRKQLQHKRDCANQILKAAMAINSTALAEMEVPESYIDSLPKNGRATLGDMMYRYITSDQFSPECLLDCLDLSTEYQALEVANRVEASVYVWRRRVPAKPASGLGRTASARSSWGMVKDMMMDTEKRELLAERAEGLLICLKQRFPALTQTSLDMSKIQYNKDVGKSILECYSRVLESLASNIVARIDDLLNIDELNRHAEYLPPGDADRKIACSKAVVPPYQQVPASGTPFVTAYATPSFSPAQLSSPSKKERTSLTAGRRSHGNRVAAARKALTDHVGPEVKGMIIVNRSAMIDVSTTTEL* >Brasy1G076800.1.p pacid=40054030 transcript=Brasy1G076800.1 locus=Brasy1G076800 ID=Brasy1G076800.1.v1.1 annot-version=v1.1 MAAAGADAGAAVPEVSESGVGGRRRRPRGWKCMPFIIGTETFEKVGSIGAAANLTVYLVTRFNIGQLEATNITNIFYGTLNFSPLLGAFISDAYLGRFRTLAYGSFASLLGMLGLTLSASLPALKPSSCIQTTQLGEHCNSPSTLQLSVLYLSLGFLIIGSGAIRPCSLPFGVDQFDMTDEKSRKGLNSYYNWYYGTTSAALVFSMTIIIYIQTKISWPIGFGIPTFFMLMAIIILFMGTRLYVHVPPEGSIFTGIAHVLVASFKKRRLKIPCPGDINQQELLLYNPPTRGNRIFRLPLTSQFRFLNKGTIVREGDINNDGSARNPWELCSIQQIEEVKCLIRIVPICISGIMCFVALAQQYTYIILQILTMDCHLGPHFEIPAASVITISFIALTVFMPIYDRILVPMARRFTGVESGITLLQRQGIGLVISPISMVVAGLVECKRRNSALSNGGKSPLSVFWLAPQLVLMGIAEAFNAVGQIEFYNKQFPEHMLTLAGSLFFVTLAGANYLSTALASITGKVTSRDGHTSWLTDNINLGKLDYYFYFIALMGVLNLFYFLICSHYYQYKSMSLYAEESIEEADTEIDINTDVRNK* >Brasy1G244200.1.p pacid=40054031 transcript=Brasy1G244200.1 locus=Brasy1G244200 ID=Brasy1G244200.1.v1.1 annot-version=v1.1 MKEDIVDKFLNQYPNHAALQQAQHPFDQILSASGTTTTSYAQQSSTPNMLGSASVLPINNIQNPAFFLNGMGAGEPNKSMDVISSMAFFRGMEEANRFLPTDSTMVDGDRTSKQMVVQVLPETEEEATAGKMLDQLMLGGYDTCPTEARRKRRSPTVEQDDGVGKENISRKAPSGRRSMRLVVVADLETLLIRCAEAVATNNRRSACELLGRIKWHSSPRGDATQRLAHYFAEGLEARMAGRGGHLYRSLMAKHAPSVELLKAYKLFMSACCFLKVSFMFSNKTIYKAIAGRKKLHIVHYGSNDGFQWAALLRCLAGRKGGPPEVRITGITSLRPGFRPAEQIDDIGRRLIECAKQFGVPFNYRAIEAKSEDVRVEDLKINPDEVLIVNSLLNFRSLMDESVVIDKLNPRDMVLNTIRKMKPAMFIHAIVNASYNTTFFVTRFRQALHHFAAHFDIMETTVSRDNDKRLLVERDIFARSAMNTIACEGTDRVERPQNYREWQARNRRAGLRQLPLDPDIVQTLKDNVKRQHHKHFVVDEDHQWLLQGWKGRVLYALSTWVADDASSSEVT* >Brasy1G255200.1.p pacid=40054032 transcript=Brasy1G255200.1 locus=Brasy1G255200 ID=Brasy1G255200.1.v1.1 annot-version=v1.1 MELSSPSSLNSPVRGGGLYQHDMDNEESGSENNAKCTVKFISDSSDEGDRRINELERPDDSDDQNEKFFNDVTNMVLKGLKRKRLRKSMRKESRKRSKLGMQLGGVGESGDEFAPKAYSRCNVHYLHEVIKSFSNCERKCALIRGAGFGDILEFDGCSVPRRFAQFLADHTDVKSEEVCFGQQRIKLSAESVALTVGTPSGGLIVQTTGEDGKICFLSTIGMTELPTIKFFGNKVMKEDLPDDMFIRFVLVVFLSTFLCPTSSTFPSTKYLGALVDVDKIKEMDWSKLTHDWMINCIKKYQKQRAKLARASCTLGGCIYSLAVRCLDHLDFGEKVMPTTLPRILVWKGDMIIEFSKLDMQSNGKYGLLPVKEENKTCYNTDHKTESREKLLIELKEFLHCSMGQSCPTELKENIASIFDLYLGTQHEQCWEKSKGLLTDVLTSMTAVCDFTSKGKQQHHDEHAGILSGDTEIIGASEQGSHSCNDASEHTQLHESEGQYSVEASGQDMNEESKGQPNMGSRDTEKEDAKVRT* >Brasy1G247800.1.p pacid=40054033 transcript=Brasy1G247800.1 locus=Brasy1G247800 ID=Brasy1G247800.1.v1.1 annot-version=v1.1 MAAILWKNMSAMCLAALMVMATVLSPCHADQDHVDRQHDPCLKTLLGCNLATCSKVCNYVEGAHCTDIGLCSCCPSAAKGKNADKKAVAN* >Brasy1G015700.1.p pacid=40054034 transcript=Brasy1G015700.1 locus=Brasy1G015700 ID=Brasy1G015700.1.v1.1 annot-version=v1.1 MDVNVQSKKSKTRKKKQRVRWASPSDQVKATRDWPRKLWSGHSHPHKARSTFHHESVVSVSSQQAFTRRRRHPIRSDPIRSSSPQPPPLQTGGEDGARREALPCRGVPPPAPAVDDGTIFFQSPRCGTKYKGYFRIEVITRHIEVHRQEDVKRMEAQRKKAQKQAKLLSQNKGGKRSRR* >Brasy1G015700.3.p pacid=40054035 transcript=Brasy1G015700.3 locus=Brasy1G015700 ID=Brasy1G015700.3.v1.1 annot-version=v1.1 MDVNVQSKKSKTRKKKQRVRWASPSDQVKATRDWPRKLWSGHSHPHKARSTFHHESVVSVSSQQAFTRRRRHPIRSDPIRSSSPQPPPLQTGGEDGARREALPCRGVPPPAPAVDDGTIFFQSPRCGTKYKGYFRIEVITRHIEVHRQEDVKRMEAQRKKAQKQAKLLSQNKGGKRSRR* >Brasy1G015700.2.p pacid=40054036 transcript=Brasy1G015700.2 locus=Brasy1G015700 ID=Brasy1G015700.2.v1.1 annot-version=v1.1 MDVNVQSKKSKTRKKKQRVRWASPSDQVKATRDWPRKLWSGHSHPHKARSTFHHESVVSVSSQQAFTRRRRHPIRSDPIRSSSPQPPPLQTGGEDGARREALPCRGVPPPAPAVDDGTIFFQSPRCGTKYKGYFRIEVITRHIEVHRQEDVKRMEAQRKKAQKQAKLLSQNKGGKRSRR* >Brasy1G415100.1.p pacid=40054037 transcript=Brasy1G415100.1 locus=Brasy1G415100 ID=Brasy1G415100.1.v1.1 annot-version=v1.1 MGMATTAAAAATTTMPAPDNNNNNKQLLRHATPCSRPAPCSALSSQNDHRTRPKPKAKPPVVIAHECCPSAMRAHVLEVPAGRDVLSCVAAFARRGRRGAMVLGAAGRVVDAVLTSSDPAAALVLRGTAEILGLAGCFFPSASSTAASAGVAVFLSGPRGGVLGGGVAAGGLVAAGPVVVMVATFAAAAFDRLPLVKGDEAAKAEGCNDAPHGLDGYHRRCFGGGLPLQQQQQQCGWAPVCRKLGAKS* >Brasy1G054100.1.p pacid=40054038 transcript=Brasy1G054100.1 locus=Brasy1G054100 ID=Brasy1G054100.1.v1.1 annot-version=v1.1 MGALSSSSSSPPMDRLKDLCLSFALFHLVVRRYFGYTCPESKLDKSRDLVLDGLLATEQGYGRAFQVIEAELAFLYDFFFTKYAYIMYGREIRSCILSLAVTFVSIAVGAWAISSLESYTQHSVLDNHLVETSRRDVVVTKISFAVLSIFQLLQIWSYCVSDWAKVSFVFKYATHPSWQGNAHIEKLLLLFGRPHRWLPYWRNTIGQYSVLDHPLNFSWWDRLKQRFHLMNRKAGKSVEVTMEVKRAIAHSIKRSIIHGRLSHGASALMRHGMSDQLHWACQIGDEFTVTHSILVWHVATRYYEISEEDRRTDETFDVNDNPNQVVATSLSRYCAYLVAFAPTLLPGSPVEAECTLDELVKEAKETLGNLVSPREKHEKLIGLYNLAEPRQTMSSVWLLRQGVMLGAKLENRTTRWDLLADFWAEMLVYIAPSDNVSGHIELLAQGGEFVTHIWALLMHAGILERPVVAAASSTAIP* >Brasy1G188900.1.p pacid=40054039 transcript=Brasy1G188900.1 locus=Brasy1G188900 ID=Brasy1G188900.1.v1.1 annot-version=v1.1 MAPATADTAVATAGGVAGRAKATALGVAACERDAAKLELIEEMTKGFDAVQEEVLAAILARNNGAEYLARHGMEGRTDRDAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPISEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYQVYTSPTAAILCTDSFQSMYAQMLCGLLVRTEVLRVGAVFASGLLRAIRFLQLHWKDLARDIESGTLSARVAEPSIRDAVAGVLKPDPELAAFVAAECGKEDWAGIITRMWPNTRYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPEAAPVSKDDCPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERAARLLAPYDATIVEYTSEADAATIPGHYVVYWELMARGAAWPEAAVFERCCLEMEEALNAVYRQGRNGDAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKYGPHKK* >Brasy1G031400.1.p pacid=40054040 transcript=Brasy1G031400.1 locus=Brasy1G031400 ID=Brasy1G031400.1.v1.1 annot-version=v1.1 MALTKEAERLDVPFQFNPVITPRLESLDVESLRVKTGEALAISCSLQLHCLLATDEDCSPNSSSAAGEKGSATAERRSSPESSGLLSPSTTRVESFLGALWGLSPKVMVVAEQEASHNTAGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKDIVACDGAARRERHERLERWAARMEAAGFAAVPLSYYALLQARRAAQGLGCDGFKVREEKGTFFLCWQDRALFSVSAWRGRRFD* >Brasy1G517500.1.p pacid=40054041 transcript=Brasy1G517500.1 locus=Brasy1G517500 ID=Brasy1G517500.1.v1.1 annot-version=v1.1 MCFEFELTACFGGGRIREDFGRDDDSSSAPSKPTPPRGRRGRQRQRYGQYHHQEEEADRKSYHDGHAGAYLPQDTAASKQQQMYPAWNSKVGDHNAGAGYNYTTRVRELAAAAAPPSPGHYRGQQHPMDFHHYSTSTYPTSTTTTNLQRYG* >Brasy1G065900.1.p pacid=40054042 transcript=Brasy1G065900.1 locus=Brasy1G065900 ID=Brasy1G065900.1.v1.1 annot-version=v1.1 MMAAPSSRAVAIGGSLLLLLVFALSSTVIYLTSSGPAASPSSILLNLRPFAAKCPPAPPLRVFMYDLPARFHVAMMTAAANNGSGGEGFPAWPPSAGGIRRQHSVEYWMMASLQGGGGEGKFGAREAVRVADPEAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASVLIVADFGRYTQELASLRKDVVAPYVHVVDSFINDDPPDPFEARPTLLFFRGRTVRKAEGKIRAKLAKILKDKDGVRFEDSLATGEGINTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCIPVIVSSRIELPFEDEIDYSEFSLFFSVEEALKPDYLLDQLRQMPKEKWVEMWSKLKNVSSHYEFQYPTRKGDAVNMIWRQDEAMLVIPCAPGVHLKHPLGLNPRRFTDKR* >Brasy1G065900.2.p pacid=40054043 transcript=Brasy1G065900.2 locus=Brasy1G065900 ID=Brasy1G065900.2.v1.1 annot-version=v1.1 MMAAPSSRAVAIGGSLLLLLVFALSSTVIYLTSSGPAASPSSILLNLRPFAAKCPPAPPLRVFMYDLPARFHVAMMTAAANNGSGGEGFPAWPPSAGGIRRQHSVEYWMMASLQGGGGEGKFGAREAVRVADPEAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASVLIVADFGRYTQELASLRKDVVAPYVHVVDSFINDDPPDPFEARPTLLFFRGRTVRKAEGKIRAKLAKILKDKDGVRFEDSLATGEGINTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCIPVIVSSRIELPFEDEIDYSEFSLFFSVEEALKPDYLLDQLRQMPKEKWVEMWSKLKNVSSHYEFQYPTRKGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWW* >Brasy1G512000.1.p pacid=40054044 transcript=Brasy1G512000.1 locus=Brasy1G512000 ID=Brasy1G512000.1.v1.1 annot-version=v1.1 MRNRRAAARRRLPFGFAPFPSPSQRNSFSLPRHIDPFPSRASEEGARPLASRSDAAPSPVLSGASPPSCGVPSSARRIFCLAVPALPHISMSLPFSTLARGWPPLARRPSQALGSAVQAGHVIGKAGVPRPEATLQRRRLNQIDFPFFTCYD* >Brasy1G107900.1.p pacid=40054045 transcript=Brasy1G107900.1 locus=Brasy1G107900 ID=Brasy1G107900.1.v1.1 annot-version=v1.1 MCFELADPRGPHGGSPAKGGGDNSGASSSAAMAMASPEELMSGYYQAQEMSTMVSALSRVVASDDPWAAEASSWAAPAPARGGAGRAMHGAGRGGFYGQEQGSFFPGAPSQEFAGSDQSSDTQSATMEGHQQQQQPNTEAPRRRYRGVRQRPWGKWAAEIRDPHKAQRVWLGTFDTAEAAARAYDEAALRFRGSRAKLNFPEDARLHPAATTAAAVNPPAQAPVAAAASISSAAAMMYPGAAQTADAYLRYQMLLQRSGVAVNNQGALVPLYGGGMASSYGGGATSGGLGSYYSFPTSSVSVATVPSSSSASSASGGQYSSSHDSHHHYHQHQQQQQQQQSEAAAEWNWESALDYPGTTASWSDSPPPPHNQ* >Brasy1G390500.1.p pacid=40054046 transcript=Brasy1G390500.1 locus=Brasy1G390500 ID=Brasy1G390500.1.v1.1 annot-version=v1.1 MAAGAAEEEDRLSGLPDDVLYSILARLPFKHAVRTSALSWRWPRLWLHALAASPVLDFTDPDFVRGHSRAQFVATVNRCLSARGAAPLRALRVSFSLFGAFERDVVGWISAALGSGATEVDVDLRAQGRRRSGGLDAEDDGGTFRRTAVELPGDLLCAQTSLSRLSLSRFSLRHVPPGAAGLAGLTSLSLSHVDVTDNALQDAVSGCRLLEFLSLRSCDLLSNVRIAGGRLRGLEVVRCQVRQLQVAAPALESFAFHGDLMYLREDDSKPLDFIGREGNNMTTTPSEATPELRDAYLSHVDFSGDDEVFDGFAYTEFLNKVAHAKNLTICSVALLHMEEERFFSMPTIDTPNLQELQVLMGSLGDDDDTRFPDFFELAAPPLLERLFIQLPADCDGDSEEEDTTDMVPGHEISLGRLTFIKVANFRGTRRELRLLRLLVGMAPALEQLVLVTPDPEAEQGETTLRHHDQDQQQLLQIVQEQLSEVRKAWRDQAHVVVCRARDDGSRRPAHSKYYHHDRE* >Brasy1G164900.1.p pacid=40054047 transcript=Brasy1G164900.1 locus=Brasy1G164900 ID=Brasy1G164900.1.v1.1 annot-version=v1.1 MLQTAERLCLKMDQVLAGVAETIKNFAVIYLVDITEVPDFNTMYELHDPSTVIFFFRNKHIVIDLGTEII* >Brasy1G222200.1.p pacid=40054048 transcript=Brasy1G222200.1 locus=Brasy1G222200 ID=Brasy1G222200.1.v1.1 annot-version=v1.1 MPATKPTAEQTRTYGRATPPLPSPSWKTSQQRRESTGSSPLRMLLRLPHARPAPSTARWRPPGLERARPRARPAPQLRRGGHAAASSADGGGPPLHYDPLADLLGPDVDPNPSRNTAHVGGKGKLRSWVGPNGQYYRELPCPNCRGRGYTPCKECGIDRSSLDCPICNGKGIKMCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.2.p pacid=40054049 transcript=Brasy1G222200.2 locus=Brasy1G222200 ID=Brasy1G222200.2.v1.1 annot-version=v1.1 MPATKPTAEQTRTYGRATPPLPSPSWKTSQQRRESTGSSPLRMLLRLPHARPAPSTARWRPPGLERARPRARPAPQLRRGGHAAASSADGGGPPLHYDPLADLLGPDVDPNPSRNTAHVGGKGKLRSWVGPNGQYYRELPCPNCRGRGYTPCKECGIDRSSLDCPICNGKGIKMCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.3.p pacid=40054050 transcript=Brasy1G222200.3 locus=Brasy1G222200 ID=Brasy1G222200.3.v1.1 annot-version=v1.1 MPATKPTAEQTRTYGRATPPLPSPSWKTSQQRRESTGSSPLRMLLRLPHARPAPSTARWRPPGLERARPRARPAPQLRRGGHAAASSADGGGPPLHYDPLADLLGPDVDPNPSRNTAHVGGKGKLRSWVGPNGQYYRELPCPNCRGRGYTPCKECGIDRSSLDCPICNGKGIKMCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSGIYSCSFCLEKGHNRRTCPKRNASLG* >Brasy1G222200.11.p pacid=40054051 transcript=Brasy1G222200.11 locus=Brasy1G222200 ID=Brasy1G222200.11.v1.1 annot-version=v1.1 MLNSAKKSHVLNRFGLPWNTAHVGGKGKLRSWVGPNGQYYRELPCPNCRGRGYTPCKECGIDRSSLDCPICNGKGIKMCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.12.p pacid=40054052 transcript=Brasy1G222200.12 locus=Brasy1G222200 ID=Brasy1G222200.12.v1.1 annot-version=v1.1 MLNSAKKSHVLNRFGLPWNTAHVGGKGKLRSWVGPNGQYYRELPCPNCRGRGYTPCKECGIDRSSLDCPICNGKGIKMCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.7.p pacid=40054053 transcript=Brasy1G222200.7 locus=Brasy1G222200 ID=Brasy1G222200.7.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.8.p pacid=40054054 transcript=Brasy1G222200.8 locus=Brasy1G222200 ID=Brasy1G222200.8.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.13.p pacid=40054055 transcript=Brasy1G222200.13 locus=Brasy1G222200 ID=Brasy1G222200.13.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.4.p pacid=40054056 transcript=Brasy1G222200.4 locus=Brasy1G222200 ID=Brasy1G222200.4.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.14.p pacid=40054057 transcript=Brasy1G222200.14 locus=Brasy1G222200 ID=Brasy1G222200.14.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.5.p pacid=40054058 transcript=Brasy1G222200.5 locus=Brasy1G222200 ID=Brasy1G222200.5.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.9.p pacid=40054059 transcript=Brasy1G222200.9 locus=Brasy1G222200 ID=Brasy1G222200.9.v1.1 annot-version=v1.1 MCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.10.p pacid=40054060 transcript=Brasy1G222200.10 locus=Brasy1G222200 ID=Brasy1G222200.10.v1.1 annot-version=v1.1 MCMQCGGECVIWQESIDEQLWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSVILFSRRHKSFALHFVEYEEIVQSLDKGQYHFMSPNAQFPILPH* >Brasy1G222200.6.p pacid=40054061 transcript=Brasy1G222200.6 locus=Brasy1G222200 ID=Brasy1G222200.6.v1.1 annot-version=v1.1 MARALRCACNAVENVSYGKNLLMNNYGKKFGLGEVSPCICSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARNHASEKQRAFFRDPENRLKRSIAMKGVKFYCSKCGQEGHRSFYCPIVRKIPGSVQFRCRLCGGKGHNSRTCGKPESENEHQRQPRHCSQCGEKGHNRRNCPRSTGVEVGASDSMMKKVNRRNSGIYSCSFCLEKGHNRRTCPKRNASLG* >Brasy1G000100.1.p pacid=40054062 transcript=Brasy1G000100.1 locus=Brasy1G000100 ID=Brasy1G000100.1.v1.1 annot-version=v1.1 MQEFNVCLMELQFPPNSAPMETLHKEAVMKTASHEDKVKDGSTKEEIDPWNPPCPPRAPAPPVPDLIFQAKLAMEWMAQKKAILATSRATNIITPDRTPQWVNDALFEILPRLTPILEKDSYRRFLSLFERNGHGMGFGFVITPETFDQIILQNALQCAKVALKGQAPELSGFRANPNYMTQYGYFPLHRAAEMFSVEMIELLLRHGASANVSTAGPIVIEGLLPLHVAVENTCLHKYLEDSLYHDQKHQDCSLADVNYVYKLIHLLCLPEMKIFMDTVRFLAEHTDNLLDHLWNYIKDGKLAQTAVLLLAAQEQIRTGTSHKSKVNSKLDGFAIITGRIAHNIALQSEACENIMDPNQLRKININNVTLSLVDLISRAGEALDSYIRAHPKVPYVMQVPHSEAFEHVSSILKEHGFCRTGGRIDIGNLCPYKNVLSNEDLRTLEMVAAKSSTEVGCHVTKEKGKIQGPIKKIPRGWELKYARRSFFPYWRSVLEPQSSAKMSFVKPMLTVEQINHDRGLSKSTSTASTFGRVVVGRVPQPIANQPRRLFGTAALTLLKVLKNA* >Brasy1G176200.1.p pacid=40054063 transcript=Brasy1G176200.1 locus=Brasy1G176200 ID=Brasy1G176200.1.v1.1 annot-version=v1.1 MSTSGKTSWPELYAQPVTPAVMTIHGERPDLIVVVLPVGTAATPPGFQSNRVCVFFDMRDKLGRVAATPVVG* >Brasy1G387400.1.p pacid=40054064 transcript=Brasy1G387400.1 locus=Brasy1G387400 ID=Brasy1G387400.1.v1.1 annot-version=v1.1 MSCWTRVVYDYGTELVHLQTRDHKAKNDKALVQELVGVRKSSISPTYPSAMISNRAWISGYFN* >Brasy1G249600.1.p pacid=40054065 transcript=Brasy1G249600.1 locus=Brasy1G249600 ID=Brasy1G249600.1.v1.1 annot-version=v1.1 MNKSNLFRLPLGFGRKRIHSSLLHSFDRAEIGGGRPIGMPAQAPSGSGGGGAAWRHLLLLLTALPLALAFLAFALQWRGGGVEDPVARWPPHAFPGMKASHSAAADPRLPSSACDEVLVGSSSPAFPYFRGWSFRFDAAHQPKVCVQTSTSAGLEQILPWLFYHKVVGVAHFLLFVEGKAAKPGVAGVLESIPGVKVVYRTKELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMAWDAGMDWIMHLDTDELLYPGGAAEYSIRRLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFITYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKQDVKRCFMLDFDRQAFIIASTASEEEMLRWYNERVVWNDRQLNLKLLRKGVLTRIYAPMAIVQGLRESGVFTKVIAAGQSAVNDKVPLKKHDAQNKTDSKPTNISRKFIKANSRKILNIIESANRDSDASAVPPLSPPSLDDEHEFHVSWPGTDRSMDG* >Brasy1G246300.1.p pacid=40054066 transcript=Brasy1G246300.1 locus=Brasy1G246300 ID=Brasy1G246300.1.v1.1 annot-version=v1.1 MAAAVPISLLPTAVGHPPARRTPFCAVSYKRRRIAVRRVSCAADDQEEVDDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGQGYDPPKWFGEEEFPEE* >Brasy1G475100.1.p pacid=40054067 transcript=Brasy1G475100.1 locus=Brasy1G475100 ID=Brasy1G475100.1.v1.1 annot-version=v1.1 MAAASARPGEKPSSFAVACSLLSRYVRQNGAAAAELSLGFNRGEAEAQRAAAAKSSLPGAEGEEPGTKKETMELFPQSAGLGGVQDAATPDAVAREQEKRELSIFYGGKVLVFNDFPADKAKNLIQLASKGNPVVQNNPVPAPVTDNKKVETVVPAPASSLPGAQTDAHKPVHPNAADLPIARKTSLHRFLEKRKDRLHAKAPYASSPSDATTVKKDVKEPESQPWLGLGQNAAAKPEL* >Brasy1G081700.1.p pacid=40054068 transcript=Brasy1G081700.1 locus=Brasy1G081700 ID=Brasy1G081700.1.v1.1 annot-version=v1.1 MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYISGVILFEETLYQSTKAGKPFVDVLKENNVLPGIKVDKGTIEIAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAHVTEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWFLSFSFGRALQQSTLKAWAGKEENIEKARQAFLVRCKSNSEATLGTYKGDATLAEGASESLHVKDYKY* >Brasy1G352600.1.p pacid=40054069 transcript=Brasy1G352600.1 locus=Brasy1G352600 ID=Brasy1G352600.1.v1.1 annot-version=v1.1 MGQKGGGRGGGARARRKTSEGREDYRALGSEMARTEGRRGAGVRRKKKKSSSQFHPLSPSAGATDLLRFLRRSRPCRPPPGTTSFRRRSDAAAGGLRACLGHGGAWIDSGGGGISRPTDHAEESSDGRPSTAVALAPCSDRSVCAVEEEEERGAAVVVARGGGREPWVPWMVAASTWEKTRRGRRRVAAGGGEGRRGGDGRRRGGYRQRRAVRRDEGGGAP* >Brasy1G521300.1.p pacid=40054070 transcript=Brasy1G521300.1 locus=Brasy1G521300 ID=Brasy1G521300.1.v1.1 annot-version=v1.1 MVAISMYRGNLHRGAADAARHWPVPPPTLSASRFRRLLRSRSLAVSRLEGAPKLDSGSSSPNSRLDEGAPEPAGQAEEVNGGQQQDERQEEDPQEEQEEGHDVQQQQQQEQAEGEGQDEQQQQGEHGGEEEEEQEEGAVEDVEMDDAGEVVAGGDDAGGNGDPEEGQGESEGFDPNAEVPNLEVSYRDGVEEKKRDLSDKLDTLNKKKHDLVQMLKQVLNAEEEIRTRSMQAPLWAAMPQPSENAADGSSVPRQAPRMTVDVNFSEFAGESDAGSNQGTPGRPLHHVHSISPSTASFARSPFSSLHHNSGHTPRSPATFSTASPSRFAASGHQGHPYSHPSASLPGSNFVASSPSPAASGGSSSVFRDYRPPNST* >Brasy1G291600.1.p pacid=40054071 transcript=Brasy1G291600.1 locus=Brasy1G291600 ID=Brasy1G291600.1.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.2.p pacid=40054072 transcript=Brasy1G291600.2 locus=Brasy1G291600 ID=Brasy1G291600.2.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.3.p pacid=40054073 transcript=Brasy1G291600.3 locus=Brasy1G291600 ID=Brasy1G291600.3.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.4.p pacid=40054074 transcript=Brasy1G291600.4 locus=Brasy1G291600 ID=Brasy1G291600.4.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.5.p pacid=40054075 transcript=Brasy1G291600.5 locus=Brasy1G291600 ID=Brasy1G291600.5.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.6.p pacid=40054076 transcript=Brasy1G291600.6 locus=Brasy1G291600 ID=Brasy1G291600.6.v1.1 annot-version=v1.1 MPVYSIRGVDVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGGAGSQPSASQQSGPSGTQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.7.p pacid=40054077 transcript=Brasy1G291600.7 locus=Brasy1G291600 ID=Brasy1G291600.7.v1.1 annot-version=v1.1 MCIHDEVSKLRGKAQNNGCHYLCKKRLCRHNNIVTEYMRNNSELGSEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGIPWDNAILIFDEAHNLESICADAASFDLLPHNLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.8.p pacid=40054078 transcript=Brasy1G291600.8 locus=Brasy1G291600 ID=Brasy1G291600.8.v1.1 annot-version=v1.1 MALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.10.p pacid=40054079 transcript=Brasy1G291600.10 locus=Brasy1G291600 ID=Brasy1G291600.10.v1.1 annot-version=v1.1 MALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKATVSRLETIRDMLDIIFRGGRQDHAKYYRFHVNESRQASGDSLQVFGKATRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G291600.9.p pacid=40054080 transcript=Brasy1G291600.9 locus=Brasy1G291600 ID=Brasy1G291600.9.v1.1 annot-version=v1.1 MALEKKVSELVIESKELGYTKPGSYIHEFLSELNITSETSKKLIETIDSASLLLEEGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHSLNSSYRMRETIKYKQELGLVIVNFARIVPDGLLVFFPSYSMMDKCIDFWKNRNHEHSVDENTIWQRMCKHKQPVIEPRQSSNFPDAIEDYAAKLRDFSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKRDYLDKQATPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTKFFRDKVSLDSSKPKEMDCDDSISPLADKYSPQDILSYSAATAANEHRGTTVSLNVTTRSNYMKFAQITPANRATLSSMKQGCSSSSQVASSSDQLSQDSQVDMTMHGHLTEHTLKSLRLKKRAKIAGISNDAVGLHDVSSKLQHNVDLPRYQGERSTPLSKSNIDEACETNEVIGERSRGQPTSGPAFLKQAREKLGTAEYGEFVELMKALKSKSMHMKDSLEAIAKLFSSPGRLPLLEGFRVFVSKNHLPLYEQLVRRYTVANTN* >Brasy1G347200.1.p pacid=40054081 transcript=Brasy1G347200.1 locus=Brasy1G347200 ID=Brasy1G347200.1.v1.1 annot-version=v1.1 MAPAPSPALDVDGEGGQNTVKSDVHGELATPSVMPVGGGPVPGEFRPDGPAVAVSEDGIDSSDDPAPVIDVEGEGKGKGEADGGNAVPKSATEHCEGEGDGGNNLGDVVLKSATEHCEGEGALLAPCSEPAGADPVGGASSSFDPPPGASSEHVTDERGGDTTECSSSFADSLLDTDDEAANEVNSPFSAHDDEGQSSLLPRRKKVSAEWKNDVRPMLWRFQWLELRMKELSSQVSKYDRQLALIEQEKELQQAINKTNGSRIESGKSCKGHENISMVRRNRKRHEDTADTSSYLKKHQILSYFFDKDKQNKGAETDGLIIEDDSHGPVGDGTKGRADTASLHAPKEYDEVSEQFMLHKVLMKIGDIQSQVHHLQEHLSKARTKQVKLASLLAYAQVNGTEKRQRTQKRSFSYKNDRSVKPQKKKNLNILLEQEDRPALAVIPTSSERATDCLIEDSHGNGEEKAGERSQSHKKAITADLLLGVDSSLPNGHICNENTDDILIDNRGAKGYEAFENVEHSQEKLSALTENVAKTAPSKADNTSAQVGTEKISVPVVKKQLILENQQPLKHVYSGKKRGRQPKTEGTGSAAASKEQSEQASKAPAAKQCKTGNSSLAAKKLKTGNSSPASKNLNTGNSSSATQNLETGNSSPFVKKQKTGNSSSNAKKQAAGYPSAASTDASGNTPTNMRIEKAVLVEVNSRRSQRIRKPKVY* >Brasy1G210000.1.p pacid=40054082 transcript=Brasy1G210000.1 locus=Brasy1G210000 ID=Brasy1G210000.1.v1.1 annot-version=v1.1 MSGGPVPIGGQPAVFRGSPPAAAELFVSSSSSRVTPRPPTVMQTDDSDASGGGEGAAEKFKGLTDDELEKKIHRVRNFKPRIVDGGEKFRKFLCQLEKELDRRRAAGPRKVDTGRRQVVKPSSRDDPYAFNNDDELNGGGVAGKYHYSAPPIKRSGQVAGLELGHFLPEKQDLKRARNPVNSELKNRTVGRKIADNGRLNMDGRKLCLNTCTNNQQKNDSLDAKSMSRKLEDATFGSSTKRSDCSQNYTSEFERLSNCIERKEQKEIVFLDDEGTESVESVEVEMDNKRDELPIYHPSRTDLEIDDLRYSEIKCLEPEEYINSPVINYYIQYLKNSSPRDDLCIFTTFFYSKLEEAQFSTDSQFSRFRRWWGTVDIFKTPYIILPVHGQSHWSLVIICMPAKETESGPIILHLDSLGLHSSEAVFQVIGSCLKKEWSYLKKDSSYDIPFSARIWRSLSKNIDKQIVEVPRQQNEYDCGLFTLYYIRKFIQEAPKRLTRQNLRLRMFGREWFDPKEASGLRERIRALVIDAFQSILPDDGNRGSEASDHSDDHSERHTSASHAIVVLDSSDEE* >Brasy1G210000.2.p pacid=40054083 transcript=Brasy1G210000.2 locus=Brasy1G210000 ID=Brasy1G210000.2.v1.1 annot-version=v1.1 MSGGPVPIGGQPAVFRGSPPAAAELFVSSSSSRVTPRPPTVMQTDDSDASGGGEGAAEKFKGLTDDELEKKIHRVRNFKPRIVDGGEKFRKFLCQLEKELDRRRAAGPRKVDTGRRQVVKPSSRDDPYAFNNDDELNGGGVAGKYHYSAPPIKRSGQVAGLELGHFLPEKQDLKRARNPVNSELKNRTVGRKIADNGRLNMDGRKLCLNTCTNNQQKNDSLDAKSMSRKLEDATFGSSTKRSDCSQNYTSEFERLSNCIERKEQKEIVFLDDEGTESVESVEVEMDNKRDELPIYHPSRTDLEIDDLRYSEIKCLEPEEYINSPVINYYIQYLKNSSPRDDLCIFTTFFYSKLEEAQFSTFSRFRRWWGTVDIFKTPYIILPVHGQSHWSLVIICMPAKETESGPIILHLDSLGLHSSEAVFQVIGSCLKKEWSYLKKDSSYDIPFSARIWRSLSKNIDKQIVEVPRQQNEYDCGLFTLYYIRKFIQEAPKRLTRQNLRLRMFGREWFDPKEASGLRERIRALVIDAFQSILPDDGNRGSEASDHSDDHSERHTSASHAIVVLDSSDEE* >Brasy1G439500.1.p pacid=40054084 transcript=Brasy1G439500.1 locus=Brasy1G439500 ID=Brasy1G439500.1.v1.1 annot-version=v1.1 MVLPHVHSALRHYDSNNPGAEFDPVEPLMCERVGFRDEIWFHVNFLARPRKKNLSGSKTDDPPDTTVQHFFAELHYNRLDRVIVEACIILEKPLDRFAKKCAFCNGSYGILHPSSGEFVCGNNKAHKKEFYRTMYLFQRQFDHLPLVSQLLVFPFQIK* >Brasy1G232400.1.p pacid=40054085 transcript=Brasy1G232400.1 locus=Brasy1G232400 ID=Brasy1G232400.1.v1.1 annot-version=v1.1 MDVVRTQQRSVAARSTESSNCSDLPAPPDLRCGCGNAACKKKARTPRNPGRDFFTCGSKEWTCKTWIWVDLVMDYADAMVEYCTRPVREKWMRHIADLSLKLDRKKEELEGFRFELNLLAPLDEETDPNRLVPGKDAVRGAVHR* >Brasy1G054700.1.p pacid=40054086 transcript=Brasy1G054700.1 locus=Brasy1G054700 ID=Brasy1G054700.1.v1.1 annot-version=v1.1 MSGVWVFRNGVVKLVENPSSASAAPGGGVVRRKALLHTPTGEVVSSYASLERKLLGLGWERYYAGGGSGHGDGGGMLRFHKRSSVDLISVPKDFAHFSSVHMYDVVIKNREAFRVIDV* >Brasy1G151900.1.p pacid=40054087 transcript=Brasy1G151900.1 locus=Brasy1G151900 ID=Brasy1G151900.1.v1.1 annot-version=v1.1 MKVHYLDNLLLGALQPPKNIFPRCKAFPTDVLNKLILADTKPGGGFGLKQFDARGTFRQTYAPTPLPGKTPAITTRATTPPAMPHAPANSSTSVPMSAATLPRFLREKYPALSNSALATCFKKYNANMTRAMHERYAAEKNSTLEQNLWLADQVFGFVSSSQPSPKNEQHAPSQSEGTTHLVLNIYPFVMYGLTFPRSQLGDSKDCGAESTKRCPTEINDPTMKKAKSNASDTTRSSFEHMDFDPPTFDLGIDTKQTLTERNVTSLPASNDGGLRTPAPTTLTPCSPAATKMVNETVMADLIFRTEYLDCPESRVLFGQCSVSPPDRRRTKVGQFAQSPWSDGYIHPKPDTDLMVALMDWCTDAGPQYMNITWLTVELPRFITLKGSHVRDQLVHSHMLDFEMCDLLVRRLTQLDTNMMYSCKMRWRHMLESDFSQLMSRLHCLSDNNSLVRVFITTFPSFVNEYWSAYMFDMKEEIIHVLDPFLQQDCTGKMKDLHVHTSGLLHDKLFDCLNSFFENWNPRKKDWPLVFPVLTDDTFDKNQSGLCMLHCVRNYNGDELEQPLKLKGYTRMKHTFLFELLSIEKNKTRLPVPVLKIIGEPKEF* >Brasy1G552300.1.p pacid=40054088 transcript=Brasy1G552300.1 locus=Brasy1G552300 ID=Brasy1G552300.1.v1.1 annot-version=v1.1 MARAAAASSSSSLDRRRGVKLLALVCCLSLACSSMAAQVLHPEGDREPLVPPSPQSGKAFGPGDVPPPPSETKTATSTVPHRKTLQCSNN* >Brasy1G021100.1.p pacid=40054089 transcript=Brasy1G021100.1 locus=Brasy1G021100 ID=Brasy1G021100.1.v1.1 annot-version=v1.1 MEDGRRTWKRNKGPRKKIQKACFRKGARWGRGRSKWAAKMGRPVYELGLQASQSQSHLIRPRCKCATSRGCLRTSRPAMEALVSSSPRMALFSRTATAANRASSSSLVVRRSPAARVKAAAAAAEPAGEAADGAAAAPAPKKILKKKPVYSMKKGQIVRVEKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRVFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERM* >Brasy1G457500.1.p pacid=40054090 transcript=Brasy1G457500.1 locus=Brasy1G457500 ID=Brasy1G457500.1.v1.1 annot-version=v1.1 MAAMKRLIPSFNRVLVEKVLQPKKSAGGILLPETSKQLNSGKVVVVGPGDRDKEGKLIPVGFKEGDHVLLPEYGGLEVKLGAEKEYLLFRENDILGILHE* >Brasy1G528700.1.p pacid=40054091 transcript=Brasy1G528700.1 locus=Brasy1G528700 ID=Brasy1G528700.1.v1.1 annot-version=v1.1 MICIDNSEWMRNGDYASSRFQEQADAVNLICGAKTQSARPVVLMAASFANAVYERLPLEDDDLLTAQRANGRWKRVSEPLPRLLPNLLRNMQMPPEAAGYEWTPGAAGGRPLPL* >Brasy1G292500.1.p pacid=40054092 transcript=Brasy1G292500.1 locus=Brasy1G292500 ID=Brasy1G292500.1.v1.1 annot-version=v1.1 MLFWRTPPARHRHLAPDFLPRRSSRLAGQASGLNSEQRAQRVLLRKLGIVKEDEVPSTEAIGAYRRLFEVPLEEDMVAAIADLFGWTVATLRGPPPPHSAMLGGHLVEA* >Brasy1G377100.1.p pacid=40054093 transcript=Brasy1G377100.1 locus=Brasy1G377100 ID=Brasy1G377100.1.v1.1 annot-version=v1.1 MAMNGPSYRLLSWFLCFVACILMESAVSVHAWCPLHPNLRREAEFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINGTCTKVGSIEQPERKHGRASISSQEKDTDPVLPIRRRISLARMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.3.p pacid=40054094 transcript=Brasy1G377100.3 locus=Brasy1G377100 ID=Brasy1G377100.3.v1.1 annot-version=v1.1 MGRNKHAFQLDVLHQRYLHKGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.7.p pacid=40054095 transcript=Brasy1G377100.7 locus=Brasy1G377100 ID=Brasy1G377100.7.v1.1 annot-version=v1.1 MSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.2.p pacid=40054096 transcript=Brasy1G377100.2 locus=Brasy1G377100 ID=Brasy1G377100.2.v1.1 annot-version=v1.1 MSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.4.p pacid=40054097 transcript=Brasy1G377100.4 locus=Brasy1G377100 ID=Brasy1G377100.4.v1.1 annot-version=v1.1 MSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.5.p pacid=40054098 transcript=Brasy1G377100.5 locus=Brasy1G377100 ID=Brasy1G377100.5.v1.1 annot-version=v1.1 MSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.8.p pacid=40054099 transcript=Brasy1G377100.8 locus=Brasy1G377100 ID=Brasy1G377100.8.v1.1 annot-version=v1.1 MTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.9.p pacid=40054100 transcript=Brasy1G377100.9 locus=Brasy1G377100 ID=Brasy1G377100.9.v1.1 annot-version=v1.1 MTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGSLHIRERNVDELLWINCTAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G377100.6.p pacid=40054101 transcript=Brasy1G377100.6 locus=Brasy1G377100 ID=Brasy1G377100.6.v1.1 annot-version=v1.1 MTFTSEQHFTNLGEKTQSQATHIKNGIVSHNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWNEQTTKNVSLSSSVGCVLHGLLGSNSVSLFLITKDGILVERRLHRRKWKWDKHGAPKSQRLSSITEIQQDESNDATSMFFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPDHAKVARNVRGLQVQVGRMVFQLDDGRLGELHLPGMGGDHFVPSQQNSIRRKVSNKYEWSILDTPETEGWNADYCTEEHGPTNCITGAMNVAADTEPNNLSNAPPRRRKAEKKQHYLYVHSHDSDETESYNILSRSIYLSFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHTTAMKAMRKGRQVASGAPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLARSPGTAMRPSPLSLTGSIFMLSEHGGLVEYHFSPQDGWEWIEHGTPHRDVTLVVAPGPCFDGTQLFVVGSDGHVYRRHLDKRTWGWTSHGHPSEPSTTAPDMISGREQSCAHAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMTSYWTAVAT* >Brasy1G483100.1.p pacid=40054102 transcript=Brasy1G483100.1 locus=Brasy1G483100 ID=Brasy1G483100.1.v1.1 annot-version=v1.1 MDGVDSRRFQRQASCSCAPSMSRGYVRGGFDLDDDDYFPGGHFDSKAAGRAPAPASRGSCGTRLRGLWRKIVREKKRILLCSTGCVPVGGPSSSSAAAREPYDAYSYAQNFDDGAAWVEEPENLSRSFSARFAVPSRVLQRVAM* >Brasy1G022400.1.p pacid=40054103 transcript=Brasy1G022400.1 locus=Brasy1G022400 ID=Brasy1G022400.1.v1.1 annot-version=v1.1 MQGGVGASRIRPQQGRRSPVPAASRLGEAGSGEVVVVHFSSRETRWWRLEPEEEARPAALSSGARPQRRDCVVPWRRPRGPAVPNPMAAAAARGAGRSGGMRTTGWERCDKRKEKNYIFGSSGGDTADVISVKLGRRTRDART* >Brasy1G447100.1.p pacid=40054104 transcript=Brasy1G447100.1 locus=Brasy1G447100 ID=Brasy1G447100.1.v1.1 annot-version=v1.1 MAVSPLAAVLLPPLLAFLASLLYLLCSAKKKLSPPHDGRQSRRLPPSPGRGLPFIGHLHLLGSLPHQPLRTLAAAHGPVMLLRLGRAQAVVVSSAAAAEEVMRARDLAFASRPLSPTAERVFFYGRDVAFAPYGEYWRQARRICVVHLLGPRRTLSFRRVREEEAAELVHRVRTASSVSASGVVGMGQPLIAYANTVISRSAFGDESARGLYGDGDDKGRELRKVFDDVEDLLGTTPLGEAVPWLAWVDALKGMEEKVRRTVEALDILLEKVIHDHRRRRQAGLHTGDDGHDHKGFVDVLLDVNETDKDAGIRLDTVEIKAIILDMFAAGTGTTSSTMEWAMAALVTHPDSMRKAQDEIRSTVGAAGRVTEDHLDKLRYLKAVIKETLRLHPPMMLREPPKDTEILGYHVPARTRIIINAWAIGRDPAAWERAEEFVPERFLGSGSNVNYEEPGFEMIPFGAGRRGCPGVGFAGVTMEMALASLLYHFDWAPPGGNPLDMRELPGLSVRLKSGLSLVAKPRFP* >Brasy1G186100.1.p pacid=40054105 transcript=Brasy1G186100.1 locus=Brasy1G186100 ID=Brasy1G186100.1.v1.1 annot-version=v1.1 MALAILARRRAAEAVLRRPHAPGAAALSAWRSYAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEARTSFAHHGVKVSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLIDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALCLSGIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTTGDGVKLTLEPAAGGEQSILEADIVLVSAGRTPYTAGLGLDALGVETDKGGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKASGIAYRVGKFPLLANSRAKAIDDAEGLVKVVADKETDKILGVHIMAQNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI* >Brasy1G456300.1.p pacid=40054106 transcript=Brasy1G456300.1 locus=Brasy1G456300 ID=Brasy1G456300.1.v1.1 annot-version=v1.1 MSQLVNKAKGFVAEKVERVQKPEADLSDLSVRHVGRDGATLSGRLDVRNPYIHSIPICEISYSLKSAGREVASGTVPDPGSLAGGGATTSLDVPVKLPYDFLVSLVKDAGRDWDLDYEMRVGLTVDLPVVGSFTLPLTKAGELKLPTLSDIF* >Brasy1G297300.1.p pacid=40054107 transcript=Brasy1G297300.1 locus=Brasy1G297300 ID=Brasy1G297300.1.v1.1 annot-version=v1.1 MDTAMPSLVILLVSLLLLSHGAYAARPLEEETAGPPALAPRDLPKPKPDLPGAPGLPKPDVLAKPELPPLPTPDVLPKPELPLLRTPNVQVQPKPELPPLPKPDAQPKPEKPELPPLPKCKELPKPVLPPLPTGDVPPKPALPTGELPPKPELPPLRTGELPPKPELPKVELPAKPEKPAVPEVHRPEPAGPKP* >Brasy1G568200.1.p pacid=40054108 transcript=Brasy1G568200.1 locus=Brasy1G568200 ID=Brasy1G568200.1.v1.1 annot-version=v1.1 MALRASLPGELAGGGASFLDSGRGAFRHRKVDFTFQRRDRRAVSLRRTCCSMQQGPPPAWPGRAVVEPGRKSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLLNELKEALADCEEMPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLSEGSLRRIILTASGGAFRDWPVERLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRIYCSEVTWPRLNLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDAHRNELVTSPSLEEIIHYDQWARKFSASLQASTGRSPVLA* >Brasy1G421100.1.p pacid=40054109 transcript=Brasy1G421100.1 locus=Brasy1G421100 ID=Brasy1G421100.1.v1.1 annot-version=v1.1 MGSQKAEDIKNLLLKIIIPLAFPLAGSFICTLIADRANRHRSNLDSSDSPIQLDQSSSYGSNSAHGEEEGGEEIESPNRASRRLVQAEIPCSTGRLLSGGRARQASLTGDIMVAQTTESSSEAAASNQKSPDDPAIAAEDVESLKHAVSALEERATGMESRFHDYCDMKEQESTYQKMQIMCLGMKLELLESQNQRLEAAAAEIHAAAEEFAAMRAKLDALQNKFSKLTRRSRQEFEAIGGKMMALDAQDAEMALRCQGFEQLMVEMKQLVLQLQKEKGTNNENVEVMVERSMQKLSSSKDLMGGLEALRDRWAADMEELIYLGWITAWLQHDLLVGDDGEGGSGGKGTVVIGDDDEADPAGETKQKKGEKMVAAAGPSNEVELCKAPSDASSRGAAGEEESCMGLAGCRTGIGRPRLLHKLRGWARSGKGASKSRAGARAGSKGLPSIEM* >Brasy1G573200.1.p pacid=40054110 transcript=Brasy1G573200.1 locus=Brasy1G573200 ID=Brasy1G573200.1.v1.1 annot-version=v1.1 MKGSKILVMARREDAVRAMGADEPIAISDLDEEQYFRMFMHYAPDGTCIPDEEFIPVGRKIAKKLHRSPIAAVTVSGQLRGNPNISFWKITAELDVLNGTMGALWWSYMQLDVDIRRCFEYCSIIPRRFKLKRDELVRLWVAQGFVKTSCATEATEDVAEIYVQELVSCSFLQPAGTSADTDYFTIYDLPHDLADKVTGNDCYRIENAGSWKGDVPQDVRHLFVHYYDGELITEKILELENLHTLIIYVVGKDTPVEKDITESIFNRLRKLRVLAIALSQDHDALFHEPRIFSVPESIRQLKHLRYLALRTISSCTVILPNAPTKLYHLQVLDFGQCQKMEFFSADLLNLQHVLSDLKLCNIGRLISLQTIPDFTVRNKQGYEVKQLRDLNKLRGTLCINCLENVKSKQEALEANLAAKEQLTFLSLFWTVGDTRCRPEVEAEVLEGLCPPMWLEKLAICYYNGLRYPNWMVSRQNGCPKDLQVLTFWGLKQLGPAPELDAFIHLHSLNLLDCSWDALPVNIEHLSISHRSRN* >Brasy1G207200.1.p pacid=40054111 transcript=Brasy1G207200.1 locus=Brasy1G207200 ID=Brasy1G207200.1.v1.1 annot-version=v1.1 MSRSDGGAAVLPVHLEDDEELFETSSSISSDSDDEAQFSDGEEIEAQEDKFALQAASQTLQPVRRLNSDSICDLSAIKAELPIKKGLSKYYDGKSQSFDCMSEVRCLQDLRKKESPYKKFKSCKSYVDLDGNQGTCHVPGPNSKTVAKKPSGSSCANLMARNSTANMLYRPPPIPVNKSGYHQ* >Brasy1G074400.1.p pacid=40054112 transcript=Brasy1G074400.1 locus=Brasy1G074400 ID=Brasy1G074400.1.v1.1 annot-version=v1.1 MNNSHGQPLPPGVGTWQPPPSNQPSQFQPSPRPHAYPTPYDTRPNGGNNQNAANVFYATQPFHPSPHPHAYPAPYDTRPNNGSNQDTSSLFYATQPNTPLLPAQSTPNAPTDPGNGQAHQTAHEVANHNGSAANIESAVQEAVLHEQDIETQQIIQNQRQTNATSEPAEYGEDILSSRRDPNALKEHLLKMTVDHRAEMANKRGKSLHHDNGNVEIGNGYGVPGGGAYHAGRFSSAQMNKPEDGANDLPEFLKQRLKARGILKDKAANDNSTAKQKADSQEGLNISAQELPPGWVEAKDPSTGASYFYNQTTGVTQWDRPGGAVNTVQHQAAPSLPENWEEALDKSTGQKYYYNTKTQATQWEPPTSVQPGVVPHASSDVAVQPTAQNADLWNPHMQRCSGCGGWGIGLVQPWGYCNHCTRVQNLPFQRYPSYSNNIVQSSSTNAPKSQGNVAAKDRSSTKPPSGKANKKDNRKRNHAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTASGPLFQQRPYPSPGAVLRKNAEVASNGKKRGGMAAISKRGDGSDGLGEAD* >Brasy1G074400.3.p pacid=40054113 transcript=Brasy1G074400.3 locus=Brasy1G074400 ID=Brasy1G074400.3.v1.1 annot-version=v1.1 MNNSHGQPLPPGVGTWQPPPSNQPSQFQPSPRPHAYPTPYDTRPNGGNNQNAANVFYATQPFHPSPHPHAYPAPYDTRPNNGSNQDTSSLFYATQPNTPLLPAQSTPNAPTDPGNGQAHQTAHEVANHNGSAANIESAVQEAVLHEQDIETQQIIQNQRQTNATSEPAEYGEDILSSRRDPNALKEHLLKMTVDHRAEMANKRGKSLHHDNGNVEIGNGYGVPGGGAYHAGRFSSAQMNKPEDGANDLPEFLKQRLKARGILKDKAANDNSTAKQKADSQEGLNISAQELPPGWVEAKDPSTGASYFYNQTTGVTQWDRPGGAVNTVQHQAAPSLPENWEEALDKSTGQKYYYNTKTQATQWEPPTSVQPGVVPHASSDVAVQPTAQNADLWNPHMQRCSGCGGWGIGLVQPWGYCNHCTRVQNLPFQRYPSYSNNIVQSSSTNAPKSQGNVAAKDRSSTKPPSGKANKKDNRKRNHAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTASGPLFQQRPYPSPGAVLRKNAEVASNGKKRGGMAAISKRGDGSDGLGEAD* >Brasy1G074400.2.p pacid=40054114 transcript=Brasy1G074400.2 locus=Brasy1G074400 ID=Brasy1G074400.2.v1.1 annot-version=v1.1 MNNSHGQPLPPGVGTWQPPPSNQPSQFQPSPRPHAYPTPYDTRPNGGNNQNAANVFYATQPFHPSPHPHAYPAPYDTRPNNGSNQDTSSLFYATQPNTPLLPAQSTPNAPTDPGNGQAHQTAHEVANHNGSAANIESAVQEAVLHEQDIETQQIIQNQRQTNATSEPAEYGEDILSSRRDPNALKEHLLKMTVDHRAEMANKRGKSLHHDNGNVEIGNGYGVPGGGAYHAGRFSSAQMNKPEDGANDLPEFLKQRLKARGILKDKAANDNSTAKQKADSQEGLNISAQELPPGWVEAKDPSTGASYFYNQTTGVTQWDRPGGAVNTVQHQAAPSLPENWEEALDKSTGQKYYYNTKTQATQWEPPTSVQPGVVPHASSDVAVQPTAQNADLWNPHMQRCSGCGGWGIGLVQPWGYCNHCTRVQNLPFQRYPSYSNNIVQSSSTNAPKSQGNVAAKDRSSTKPPSGKANKKDNRKRNHAEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTASGPLFQQRPYPSPGAVLRKNAEVASNGKKRGGMAAISKRGDGSDGLGEAD* >Brasy1G335800.1.p pacid=40054115 transcript=Brasy1G335800.1 locus=Brasy1G335800 ID=Brasy1G335800.1.v1.1 annot-version=v1.1 MRRDASGAAASSASGLEPQRQQGEGKHVAEARRTLLEKTADRVEELYHLRDTFFPRDPTEKPAALRACADAALVVLESLPAEQRKSPQQRAVYEFLMGKILDVFPDYHKEAEEHLSKAVKLNPSLIDAWLCLGNCIWKKGDLESAKNCFLSALSKGSDKRILCQLSMLERSMAQGSDGQALLVDESINHAKEAVLLDIKDGNSWYNLGNAYLTSFFVSGAWDHAKLHHSVKAYQNAEKDETMKLNPDLYYNCATAEKYLENYESALRGFEAAALKDPGLSADSEVQKIISILDKLDNATKRQLRPTILASSLSSLSEVNLKLSHKKVTINILSEGLNKTVAVLGKVVLLIRHDNVAPLYYLSCDLDQSYFILSVYGLRSEAIKEGDRLALLQPYYRIMDISWKGQRYKFKSIRVDFPEQIHINEKAPAAHHVVRASIHAHNKS* >Brasy1G527200.1.p pacid=40054116 transcript=Brasy1G527200.1 locus=Brasy1G527200 ID=Brasy1G527200.1.v1.1 annot-version=v1.1 MEAKHIYLHSDLGIHTTITCIFIYWFSRARPHTFHVVAFFIHLTEMRALRNVEDVGGENLMGKASLAPVTKLWNEWEIHCLILASLALQVLLFFTAGMRRCSTSRVLSTILWLAYLSADSVAIFILGHLAAHASGSQHELVLFWAPFLLVHLGGQDTITALSVQDNELWTRHLLGVVSQVAVAGYVVSKLSWPDRRLLAATVLVFLAGSFKYAGRTYCLYSANPANLRVESQRILSNTLIGLKSAAEHVGAPPRQSYKGPLQDSALILLGVKFDLMLGKGNSMSSLLNNEDATEIMSVDAPLNGVENILFADDLRSMLEQFQSTDTCLYGPYEYVGGKLVECYESFYTKRPLLEFCKIGIIMFCTESMSFDFANWYEWESVLWLVATLFQYLSIPIALVLFIQAEKGHRVHSIADVTVSYILLVGAIILDVSSASMSIFSVVRSSTSPDNMIKSAILRVGNYIQPVWSRKQWSEKLAQYSMVKRYTMEDTRGMSSVWQQVGKRLTAWGVELLDPSVTHTQVTEDLKGFVLNNLLHFGISRQYKFANFRGQLALQKWKRNVPGTALYYSINGVKDFPTSILIWHIATDICYYSGNSSTANTDPDRLKKDQMSRELSNYILYLVFKCGVMRTSNSQLVHDKVHGELKKILAGQQPQMVNLGEKEAVLKVFKGTKDGQPPSTVNEKQEQPVDTDNETSSNLKKLLNSTAEAIYSPVLPHASMVAKELMGIDEEADRWDLIAAVWLEMLYYTAPRCGGAFHYQHLSTGGEFITHVLLLMRSLGPFLPGPDSSAP* >Brasy1G492700.1.p pacid=40054117 transcript=Brasy1G492700.1 locus=Brasy1G492700 ID=Brasy1G492700.1.v1.1 annot-version=v1.1 MTKPSRRRLKRHHPPPINHPPTPLLLAHSPTAPPFISSLAPIRSSSDLIPCPRASSRRFFFTSRWIPPHPRRRRRRLRGRRPTGATAASASCAPLLSGTPRPGWCAPAATGGSSRRWTRRRLAAGRPPSSAAVPRRTPRSAEGPSSGPAATTAAAPAPPGTARPRTTPSSCSAARPPWTRATPTRRRPRWRAP* >Brasy1G083800.1.p pacid=40054118 transcript=Brasy1G083800.1 locus=Brasy1G083800 ID=Brasy1G083800.1.v1.1 annot-version=v1.1 MCCQPLLLQHPMEHLRALDYFRAAAFAILLVWILAEFEKRRKKRQEAAGHGHGAVVSAQRRGAALLPAHIVAFCNASMTLLHIGFSVLGVWKKQVVSPGLVFESASWLLATLFLLYCKHEGAGVVSNWPVVLVSWWFFSFLSELLITSLHLFHLFNSATVINFTSLPFCTIICLVVAAMRLSKANRKELNQPLLEGEDTDDSSRNRFSNSGWWSRLTFQWLNPVLEKGHKVRLELEHIPSVPQSETAEQSYAFLQETLHTQKPEPMQLRKAIICAVWTPLVGNAVFAGLNTVSSYMGPFLITYLVELLSDKNTDKGHGRGYVLAFLLFASKTLESITQRQWYFGARRIGFQVRAALMVSIYKKSLSLKNSSTVTGKVVNFLDVDVEKVSDFFWYIHGIWLLPFQIFLALAILYSSLGAMASLSAVLVTVLVMVSNTPLTKSQHNLNMKIMDARDSRIKAMAEAMKSMRILKLHAWETAYLDKLLKLRDVERGWLRRYLYTCSAICFLFWASPTLVSVITFGVCILVDIPLSAGTVLSALATFRVLQDPIYNLPELVSVVTQTKVSLDRIEEFIKEDQQGKPSCYGNITGKDLPMAGEMEIEPGEYSWEADNSSKKTKITLKIERKVSIRKGLKVAVCGPVGSGKSSLLYSIMGEIPRISGAETMVAGSRAYVPQSAWIQTGTIQDNVLFGKAMDKRLYEEVLQGCALDRDMELWANGDMTVVGERGVNLSGGQKQRIQLARALYSNSDVYFLDDPFSAVDAHTSAHLFKECLLRLMSSKTVMYVTHQLEFLRDSDLVLVMKGGRIVQSGSYDDLIADKDGELLKQMAAHNQSLSQVNPAKTNGLTKSKRHKKKQVELTEIESAHHVVGKECEEERESGRVRWDVYRKFVTSAYGGALIPVVLACHVFFQGLQICSNYWIAWAAERPYQVSKQKMIGLFVLFSAGSSAFILGRAVFLSTIAVETAQQLFLAMIKNIFRAPMSFFDSTPSSRILNRASTDQATVDTDIPYRLAGLVFAMIQLLSIIFIMSQIAWPIFVLFLIIIAISAWYQGYYISSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFLAKSFALIDDYSRVTFHNSATVEWLSIRINFLFNLVFFVMLVILVLLPRDTIDPSLAGLAATYGLNLNVLQAWVIWNLCHVENKMICVERILQYSNIPSESPLEVTNCRPTETWPWCGTIQIEALQIQYKPDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRILIDGVDISLLGLHDLRCKLSIIPQEPTLFQGTVRANLDPLQQYLDTEIWEVLRKCRLEEIVREDNRLLDAPVAEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETDNCTVITIAHRIPTVIDSDLVLVLGEGNILEFDSPENLLRDESSAFSKLVMEFVGRSEGRHQPVLT* >Brasy1G083800.2.p pacid=40054119 transcript=Brasy1G083800.2 locus=Brasy1G083800 ID=Brasy1G083800.2.v1.1 annot-version=v1.1 MCCQPLLLHPMEHLRALDYFRAAAFAILLVWILAEFEKRRKKRQEAAGHGHGAVVSAQRRGAALLPAHIVAFCNASMTLLHIGFSVLGVWKKQVVSPGLVFESASWLLATLFLLYCKHEGAGVVSNWPVVLVSWWFFSFLSELLITSLHLFHLFNSATVINFTSLPFCTIICLVVAAMRLSKANRKELNQPLLEGEDTDDSSRNRFSNSGWWSRLTFQWLNPVLEKGHKVRLELEHIPSVPQSETAEQSYAFLQETLHTQKPEPMQLRKAIICAVWTPLVGNAVFAGLNTVSSYMGPFLITYLVELLSDKNTDKGHGRGYVLAFLLFASKTLESITQRQWYFGARRIGFQVRAALMVSIYKKSLSLKNSSTVTGKVVNFLDVDVEKVSDFFWYIHGIWLLPFQIFLALAILYSSLGAMASLSAVLVTVLVMVSNTPLTKSQHNLNMKIMDARDSRIKAMAEAMKSMRILKLHAWETAYLDKLLKLRDVERGWLRRYLYTCSAICFLFWASPTLVSVITFGVCILVDIPLSAGTVLSALATFRVLQDPIYNLPELVSVVTQTKVSLDRIEEFIKEDQQGKPSCYGNITGKDLPMAGEMEIEPGEYSWEADNSSKKTKITLKIERKVSIRKGLKVAVCGPVGSGKSSLLYSIMGEIPRISGAETMVAGSRAYVPQSAWIQTGTIQDNVLFGKAMDKRLYEEVLQGCALDRDMELWANGDMTVVGERGVNLSGGQKQRIQLARALYSNSDVYFLDDPFSAVDAHTSAHLFKECLLRLMSSKTVMYVTHQLEFLRDSDLVLVMKGGRIVQSGSYDDLIADKDGELLKQMAAHNQSLSQVNPAKTNGLTKSKRHKKKQVELTEIESAHHVVGKECEEERESGRVRWDVYRKFVTSAYGGALIPVVLACHVFFQGLQICSNYWIAWAAERPYQVSKQKMIGLFVLFSAGSSAFILGRAVFLSTIAVETAQQLFLAMIKNIFRAPMSFFDSTPSSRILNRASTDQATVDTDIPYRLAGLVFAMIQLLSIIFIMSQIAWPIFVLFLIIIAISAWYQGYYISSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFLAKSFALIDDYSRVTFHNSATVEWLSIRINFLFNLVFFVMLVILVLLPRDTIDPSLAGLAATYGLNLNVLQAWVIWNLCHVENKMICVERILQYSNIPSESPLEVTNCRPTETWPWCGTIQIEALQIQYKPDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRILIDGVDISLLGLHDLRCKLSIIPQEPTLFQGTVRANLDPLQQYLDTEIWEVLRKCRLEEIVREDNRLLDAPVAEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETDNCTVITIAHRIPTVIDSDLVLVLGEGNILEFDSPENLLRDESSAFSKLVMEFVGRSEGRHQPVLT* >Brasy1G491400.1.p pacid=40054120 transcript=Brasy1G491400.1 locus=Brasy1G491400 ID=Brasy1G491400.1.v1.1 annot-version=v1.1 MANKNKPILITDDKVDEKYNAFKKFDIVDDHSDHYYSKPALRHVAVVEKPSKLWASAIQQEWKSLEKDLPDTIFARAYEERIDLLRAVIMGPAGTPYHDGLFFFDIYFPAQYPITPPLVNYRSGGLHLNPNLYVCGKVCLSLLNTWSGSEDEQWDPDNSTMLQVLVSIQALVLNAKPYFNEPGYAGTANTPHGEKQSLSYNEDTFLLSCRTMLYSLRNPPKNFEDFVAGHFRRYGRNVLVACKAYLDGAQVGCLVGNGIQDVDEGDKSCSLKFKTSLKRLFEELLMAFTVKGADCGKFIAEKKKSGAASSSRAIADTTL* >Brasy1G290300.1.p pacid=40054121 transcript=Brasy1G290300.1 locus=Brasy1G290300 ID=Brasy1G290300.1.v1.1 annot-version=v1.1 MSLWRSHPVFFWVAVQERCLIFWGRWQVLLLIFWPALPICKVWGDILMCIPEGDGVPLDGVDPEDEIGMQFSPACACFLQEPGWQPAHHCIKEGLHGVWRRKTTKRTSL* >Brasy1G056100.1.p pacid=40054122 transcript=Brasy1G056100.1 locus=Brasy1G056100 ID=Brasy1G056100.1.v1.1 annot-version=v1.1 MPLPTMTHSSSFLLPTPPTDAAAAADAVSYAVIVLNQRLPRFASLLWTRAQLRVCADGGANRVFDGMPELLPGEDPDEVRARYKPDAIKGDMDSIRPEVKEFYSNLGAKIVDESHDQDTTDLHKCISFITRNPPGPDKANLCILVLGALGGRFDHEMGNINVLYRFSNIRIILLSDESLIFLLPKTHSHEIHVDQSIEGPHCGLIPMGTPSSSSTTTGLRWNLDNTSMSYGGLVSTSNIVDDEKVTVTSDSDLIWTISLRNHT* >Brasy1G209700.1.p pacid=40054123 transcript=Brasy1G209700.1 locus=Brasy1G209700 ID=Brasy1G209700.1.v1.1 annot-version=v1.1 MGEEKKEKASGGKADGGDKKKDAGGVAVAQDIVLKVDLHCSGCASKVRRAIKNAPGVEKVKTDTAANKVVVTGAADATELKERIEARAKKPVQIVSAGAGPPPKKEKEKDKEKKAEGGEKKPEKEKGKADKEKGGGGGGEKKADKPKEDKKPKEPKEETVTLKIRLHCDGCIDRIKRRVYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLRDKLSRPVEVVAAGKKDGDKKEGADGDKKKDKGAGDGEKKDGGEDKKNKSAAASASLAPMPMGDASMYQMPPQFGYMPYQHPGSGYYGAAPPPPNPAFFPNAGAHYPPPYPAYPAHAPQMFSDENPNACSVM* >Brasy1G189100.1.p pacid=40054124 transcript=Brasy1G189100.1 locus=Brasy1G189100 ID=Brasy1G189100.1.v1.1 annot-version=v1.1 MAEAAWNQRSPATCRPVRCIVKLGGAAITNKGELESIDEGNLRSACAQLRQAMSDHAAQEKVMGMDWSRRPGDPADPVVDAEGFADMAGLGLDSNFIVVHGAGSFGHFQASRSGVHRGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTQQRNLASANASQIIQSLHAGFVPVLHGDAVFDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPTDPDAVLLREIEVDDNGGWSVVKPALQDKEKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVDTEHSLRALKGDVYTCSDDWLGTVIRSAK* >Brasy1G261400.1.p pacid=40054125 transcript=Brasy1G261400.1 locus=Brasy1G261400 ID=Brasy1G261400.1.v1.1 annot-version=v1.1 MRKGLPGRVKTRRRRGRNWWAAITWTQRSRSRTTGLMSIRVFFHGSKPPPTGFDPKRVALFYDAHHIVTSVPKIG* >Brasy1G310300.1.p pacid=40054126 transcript=Brasy1G310300.1 locus=Brasy1G310300 ID=Brasy1G310300.1.v1.1 annot-version=v1.1 MTHASFSSFLSYFCIFLLFHGSMAQVFGQGSTWQSSRQGGSRECSFDRLQAIEPLTQVRSQAGLTEYFDEQNEQFRCAGVFVIRRVIEPKGLLLPRYHNTPGLVYILQGSGFVGLAFPGCPETFQEQFQQFGQTQSTFGQSQCQSQSQKLGDVHQRVHQFTQGDVVALPAGVAHWIYNGGDAPVVIVYVFDVNNNANQLEPRQKEFLLAGNYNGVLQSGQNIFSGFNAQLLSQAFGINEQTSRIIQNQNDGRGEIIRVDNGLQFLKPDVTQQQQEEQPSVPTQHQRGQSSRNGLEENFCSLEPRQNIEDPSRADTYNPRAGSITRLNSQNFPILNLVQMSATRVNLQKNAILSPFWNINAHSVVYVIQGHASVQVVNNQGRNVFNGLLRRGQLLIIPQNYVVLKKAESEGYQYIAFKTNANSMVSHIAGKNSILRALPVDVIANAYRISREEAQNLKNNRGEETGVLTPNFSQSTCQSYPTRDVESLRPMRHGSE* >Brasy1G510900.1.p pacid=40054127 transcript=Brasy1G510900.1 locus=Brasy1G510900 ID=Brasy1G510900.1.v1.1 annot-version=v1.1 MSPVSTVSVPRSSLTAGGAKPPSPRSGAFPERVLLDQTGRISVDRNETTAEAETREGQAVAVSFWLLDAPEVSSFSVHCPGLQEKDYNHNPPFIICAEGPFILFCVVLDVPDWSSFHLFLYTASSEESSLLLLPEPADRVVEDFEYQKFAILPCCGDHQHNYAVAFLDWEWKSHDKAYPQYYANVFSSETWSWTRRKALLDLPESEKALFYDTHDITKQIAVGTNSVVWVDLVGGIILLSNLFDQQPAAKYIPFPSSRVCFADKDDDGLASNIAVEYFRDVTCCDGLIKFVEMELDDYPDCRRNGSAWKATTWDMKVSWNGWRKRCTLLDEDTKQLKLKNLIFLVPTLSMQDDDLLYIMAKVDYKDDTAWVIAVDMKHASVEVLAPVSTEKSYSSPMYCPCAFPKYLNDMTPGTPEDYEKPGYTNDYKLLDFPQAKLLRHNKKPAGHAKQKSHERQQERFDNPSSSHGNKQELALDGTWSSRCQQLAVVLLELDHRWVYFVCWLVLPMLYIIFGLVIRFIAKLI* >Brasy1G120100.1.p pacid=40054128 transcript=Brasy1G120100.1 locus=Brasy1G120100 ID=Brasy1G120100.1.v1.1 annot-version=v1.1 MAKSCRKACGCHMVGHTAMARQAPKRLSTGPREAKDEFIRLCSSGRLKDALHHPFGGVLWSDASLFVHIFRACRAIPLLRQLHAFASTSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVFRCCAGLLDVVSGRQVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVNKVVPVITSLVHMYSRCGCLGDSERVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMVNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIESNPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVSRFHHFRDGRCSCGDYW* >Brasy1G120100.2.p pacid=40054129 transcript=Brasy1G120100.2 locus=Brasy1G120100 ID=Brasy1G120100.2.v1.1 annot-version=v1.1 MAKSCRKACGCHMVGHTAMARQAPKRLSTGPREAKDEFIRLCSSGRLKDALHHPFGGVLWSDASLFVHIFRACRAIPLLRQLHAFASTSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVFRCCAGLLDVVSGRQVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVNKVVPVITSLVHMYSRCGCLGDSERVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMVNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIESNPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVSRFHHFRDGRCSCGDYW* >Brasy1G120100.3.p pacid=40054130 transcript=Brasy1G120100.3 locus=Brasy1G120100 ID=Brasy1G120100.3.v1.1 annot-version=v1.1 MAKSCRKACGCHMVGHTAMARQAPKRLSTGPREAKDEFIRLCSSGRLKDALHHPFGGVLWSDASLFVHIFRACRAIPLLRQLHAFASTSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVFRCCAGLLDVVSGRQVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVNKVVPVITSLVHMYSRCGCLGDSERVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMVNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIESNPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVSRFHHFRDGRCSCGDYW* >Brasy1G120100.4.p pacid=40054131 transcript=Brasy1G120100.4 locus=Brasy1G120100 ID=Brasy1G120100.4.v1.1 annot-version=v1.1 MAKSCRKACGCHMVGHTAMARQAPKRLSTGPREAKDEFIRLCSSGRLKDALHHPFGGVLWSDASLFVHIFRACRAIPLLRQLHAFASTSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVFRCCAGLLDVVSGRQVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVNKVVPVITSLVHMYSRCGCLGDSERVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMVNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIESNPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVSRFHHFRDGRCSCGDYW* >Brasy1G191300.1.p pacid=40054132 transcript=Brasy1G191300.1 locus=Brasy1G191300 ID=Brasy1G191300.1.v1.1 annot-version=v1.1 MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK* >Brasy1G519300.1.p pacid=40054133 transcript=Brasy1G519300.1 locus=Brasy1G519300 ID=Brasy1G519300.1.v1.1 annot-version=v1.1 MGSRAQLLDASCAPAACGSLAIRYPFWLRGTHPPECGYPTFGVTCDDPTCATPPSLNESYLRVLDIRYADHSVVAFHANLVDGADNNACLPTRFNMSATFALSLLTVSGTNWELIFCVNFYTWSVNTGGRYEPGSRVQATRPVASTGYSVVPVLPGSELRSWDDYTRIVRRRILLEWTVSGDCVGCNASRCDCWYEAGLDAFRCFCPDGRLNPAACGKPLP* >Brasy1G566200.1.p pacid=40054134 transcript=Brasy1G566200.1 locus=Brasy1G566200 ID=Brasy1G566200.1.v1.1 annot-version=v1.1 MFIGIFGYVAASNKSYSGLDQLLCIVGCNKSYSGLDQLFCSVGRIANSLSHHQLMQTKLAFREKCSCVDHTIAFLWFHSLSIICATRPNFFPAILICSRNLDDDLMSKMRPRASATRYYIVHRWKGGRSSKLEGSDHIPIYYTEWNT* >Brasy1G153100.1.p pacid=40054135 transcript=Brasy1G153100.1 locus=Brasy1G153100 ID=Brasy1G153100.1.v1.1 annot-version=v1.1 MAAAGDGPRRCHVVAVPFPGRGHVNAMMNLCHLLAARGAAATFVVTEEWLGLLSSSSAPPPPPGVRLRAIPNVIPSEHGRAADHAGFLDAVFTVMEAPFERLLGQLLEEENEGPPVSALVADTYLPWVVGVGNRRGVPVCSLFPMSATFFSAYHHFECLPPCLADKHDPASGATTEKSDQRLEQYISGLASSSVTLSDLKPLIHNERTIKHVIAAVSRIRNAHCLLFTTMYELEASVIDSLVSALPCPVFPIGPCVPYLTLEDQHSKPNLTLKDQHLKPNGEVTSSGDCFTWLDSQPVNSVLYVSLGSFLSVSASQLDEIALGLAASEVRFLWTLREQSPRVRELVGDTTRGMILPWCNQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPIDSRLIVEEWKVGMELRDWTDKDHLIVSEEVTRAVKRLMASDVADMEEIRRRALGLKEVSGRAVEKGGSSYRNLSSFMEMLCSKTDP* >Brasy1G108300.1.p pacid=40054136 transcript=Brasy1G108300.1 locus=Brasy1G108300 ID=Brasy1G108300.1.v1.1 annot-version=v1.1 MRGMGSNSETVVGEGSGDRRRRGGREAVGASSSFAEGTREFVLSSMDERFSGSVDADGFPSLRLEGFGHSKSTTATSGCFKGQEHVFVRSYSDRLLKCDLTLDMLSENEKMKIVEKLVKIQKDGTLEVDVTRSALVASELSAIDAFGSVPRDVEEVTSGLSKSVPKLKIAMLVVGTRGDVQPFIALAKRLQEFGHHVRLASHVNFRTFVKSAGVDFYPLGGDPRIMAQYMTKNKGFLMAGPTEISVQRKQVKEIIFSLLPACTEPDLDTGTPFRAQAIIANPPAIGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARTPQSATYRLSYLILDLVIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGSLVDVVGYCFLNLGTKYQPPLELSQWLQQGSKPIYIGFGSMPLDDEKKVTTTILEALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCTAVVHHGGAGTTAAGLIAGCPTTVVPFFGDQFFWGDIIHARGVGPAPIRVTELTTEALSNAIRFMLDPEVKSRSMELAIAIGNEDGVAAAVDSFHRHLPSELPLAPPTPPVEEERLDLFQLFSRCLEKCCVPFNL* >Brasy1G108300.2.p pacid=40054137 transcript=Brasy1G108300.2 locus=Brasy1G108300 ID=Brasy1G108300.2.v1.1 annot-version=v1.1 MRGMGSNSETVVGEGSGDRRRRGGREAVGASSSFAEGTREFVLSSMDERFSGSVDADGFPSLRLEGFGHSKSTTATSGCFKGQEHVFVRSYSDRLLKCDLTLDMLSENEKMKIVEKLVKIQKDGTLEVDVTRSALVASELSAIDAFGSVPRDVEEVTSGLSKSVPKLKIAMLVVGTRGDVQPFIALAKRLQEFGHHVRLASHVNFRTFVKSAGVDFYPLGGDPRIMAQYMTKNKGFLMAGPTEISVQRKQVKEIIFSLLPACTEPDLDTGTPFRAQAIIANPPAIGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARTPQSATYRLSYLILDLVIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGSLVDVVGYCFLNLGTKYQPPLELSQWLQQGSKPIYIGFGSMPLDDEKKVTTTILEALRETGQRGIISRGWGDLGSCASWWSRYHSSRPDSWVSNHRSALFWRSVLLGRHNSCTWCGSCTYSCNRAYY* >Brasy1G445900.1.p pacid=40054138 transcript=Brasy1G445900.1 locus=Brasy1G445900 ID=Brasy1G445900.1.v1.1 annot-version=v1.1 MAGGPTPTYSAVVAHAAAFLAELIADPLLRRHLLSAAAAADGGGGQQHSAATLQALSLISDALDMSSSGAAGSPSPSSLRAAERLLQSLPAATPLSCLLLALASAARRAGGRASAASAVLDLFVLDPALARHELAPAAFEALFAPRLLPVMRHFATRRASAVASAAGQDEGENGSDETVALSAMRVLSLISGAQAQEMRGLEREYEMVLDANCKAYALYLKKILEAGDVARSSPLSPPELVFTVGHGGDQSAGDEDASADSDDEGAVSSQSGVRNNPMWAEAEGDLYPRQGSGRLQGRRELMRPPSLYPQRVAPHLIVPQQRQQKQTPRIGRGSPASRLRAELSPATPSSDDSTEDSSSELLYAGKQEKHAASPLSKPRRAPPRGDGYGDGRARLSPESSSSPMGGGDADQARQQQQAASTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRQRLLGGALPKTNYVLKRLIAGWRDQAASSSPPQPATPRPSRLVTRMESVQGPAQDPAPASPVKINSPSPDATGSQASAPSPTSVIVQASVESAVGELRAAVSCLCTSEDLAESEKSVLKIDQLWRRESAMGAGAAEQKQHAFFSVLAKPAVINGFVEILFNSVSAQVLQVAVFLLAELASRDDGVVQTLTRVDADVDCLVALFKKGLLEAVVLIYLLSPSVEQLVEMDMADALVSAVRRGDEDPLDMCVKPKAASVILLSQILSEEAAGDRDSSQPVPRSALVSERFVRSTVMVLEAEQVEVRVAAMRILLRCVAEDGHCRGSIVEKLSLGAVLDAFHVVGDADKFDIVRFLSELVKLKRRSAAERVLRAIKEGGSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAVDSLVQCLRNSDFPRSQLLAAETIMNLPGKFSSSGRPLARSSLLKLARVKERYRQPQSQSQSQELSVVRGTDGGVGVGGEDEVVVAGEDKGASEWERKTAYALVGHEFGLVFEALSECLESKSAELFGASLVCAAWLAHMLPVLPDTGAVGAARACLLRQLVIVLRSAKHGSDRALAMVALRSFMNDRDGMQDIATYIKDVLKTLRELKKSSGLAFDMLKLLSDGQESSIDMWNHKELNHADCSSNGEVTSIVYFKSYIFSGHSDGTLKVWEGSENILRLVHESQEHTKAISSLSLLHSEEKLYSGSLDRTIRVWQFRDGLRCVEVHDTRDPVQGLAVAGAMACFVPQGGGVKALSWSGGGSKVLNPSKSVRSMALVHGKLFCGCSDGSIQEIDLASGTLGVIQTGNKRILGKANPVYSMQVHDGLLYAGSTPLDGASVKIWNSSNYSLVGSIPSPAEARSLVVSADLVYLGSRNGAVEIWSRDKLIKIGTLQAGGTGCRVQCMAVDADGDVLVVGTSDGRIQAWGLT* >Brasy1G464000.1.p pacid=40054139 transcript=Brasy1G464000.1 locus=Brasy1G464000 ID=Brasy1G464000.1.v1.1 annot-version=v1.1 MENVSNIFHNHLLPSLGARANQPIKLRKFIISPYDSRYRTWETFLLVLVVYSAWNYPFELAFLRHLSWKLFLVENIVNSFFAVDIVLTFFLAYLDRKSYLLVDNPKQIAARYLSSWFIFDVCSTIPYQPFGLLFNKHGDGLTYRILNMLRLWRLRRLSALFARLEKDIRLNYYWIRCTKLISVTLFAAHCSGCFIYLIADRYPDPTRTWIGAAIPNYRSESLWVRYITAIYWSITTLTTTGYGDLHAENPREMSFSICFMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTVHAASQFAARNQLPAQIRDEMLAHICLRYKTEGLKQKETLDSLPRAIRSSIACHLFLPVLEKIYLFHGVSFTCMLQLVNAMEAEYYPPRETVILQNETPTDVYLLVSGAVEERIMIDGTEKVQEILSGADIFGEIGVLCNIPQPSTFRTSRVSQLLRLNTTVLRNIIQENKHDKEIIINNLYQKMNTDQRLSTEIVDICQGMLNQHSGKYNRGSAFNQVNENNESKARETITLCCGGKHHNEHKESDKHGDICKTVTKGFFSRNIYWPDKVEGMENHIFTNCVTDKGKANAHQQILNGNYTTRDIEAHDRFKNTWHDTKTRQSRIPDEMFTCSVAGELQEITDIHTEGGIFSHDDDGSVVSERKRVIIHMHPQQNQSSGVPCAKVINLPGSLGQLFSIARQKFAGYYPTKLLSQDLAEIDDITVIRDGDHLFLMEI* >Brasy1G464000.3.p pacid=40054140 transcript=Brasy1G464000.3 locus=Brasy1G464000 ID=Brasy1G464000.3.v1.1 annot-version=v1.1 MENVSNIFHNHLLPSLGARANQPIKLRKFIISPYDSRYRTWETFLLVLVVYSAWNYPFELAFLRHLSWKLFLVENIVNSFFAVDIVLTFFLAYLDRKSYLLVDNPKQIAARYLSSWFIFDVCSTIPYQPFGLLFNKHGDGLTYRILNMLRLWRLRRLSALFARLEKDIRLNYYWIRCTKLISVTLFAAHCSGCFIYLIADRYPDPTRTWIGAAIPNYRSESLWVRYITAIYWSITTLTTTGYGDLHAENPREMSFSICFMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTVHAASQFAARNQLPAQIRDEMLAHICLRYKTEGLKQKETLDSLPRAIRSSIACHLFLPVLEKIYLFHGVSFTCMLQLVNAMEAEYYPPRETVILQNETPTDVYLLVSGAVEERIMIDGTEKVQEILSGADIFGEIGVLCNIPQPSTFRTSRVSQLLRLNTTVLRNIIQENKHDKEIIINNLYQKMNTDQRLSTEIVDICQGMLNQHSGKYNRGSAFNQVNENNESKARETITLCCGGKHHNEHKESDKHGDICKTVTKGFFSRNIYWPDKVEGMENHIFTNCVTDKGKANAHQQILNGNYTTRDIEAHDRFKNTWHDTKTRQSRIPDEMFTCSVAGELQEITDIHTEGGIFSHDDDGSVVSERKRVIIHMHPQQNQSSGVPCAKVINLPGSLGQLFSIARQKFAGYYPTKLLSQDLAEIDDITVIRDGDHLFLMEI* >Brasy1G464000.2.p pacid=40054141 transcript=Brasy1G464000.2 locus=Brasy1G464000 ID=Brasy1G464000.2.v1.1 annot-version=v1.1 MENVSNIFHNHLLPSLGARANQPIKLRKFIISPYDSRYRTWETFLLVLVVYSAWNYPFELAFLRHLSWKLFLVENIVNSFFAVDIVLTFFLAYLDRKSYLLVDNPKQIAARYLSSWFIFDVCSTIPYQPFGLLFNKHGDGLTYRILNMLRLWRLRRLSALFARLEKDIRLNYYWIRCTKLISVTLFAAHCSGCFIYLIADRYPDPTRTWIGAAIPNYRSESLWVRYITAIYWSITTLTTTGYGDLHAENPREMSFSICFMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTVHAASQFAARNQLPAQIRDEMLAHICLRYKTEGLKQKETLDSLPRAIRSSIACHLFLPVLEKIYLFHGVSFTCMLQLVNAMEAEYYPPRETVILQNETPTDVYLLVSGAVEERIMIDGTEKVQEILSGADIFGEIGVLCNIPQPSTFRTSRVSQLLRLNTTVLRNIIQENKHDKEIIINNLYQKMNTDQRLSTEIVDICQGMLNQHSGKYNRGSAFNQVNENNESKARETITLCCGGKHHNEHKESDKHGDICKTVTKGFFSRNIYWPDKVEGMENHIFTNCVTDKGKANAHQQILNGNYTTRDIEAHDRFKNTWHDTKTRQSRIPDEMFTCSVAGELQEITDIHTEGGIFSHDDDGSVVSERKRVIIHMHPQQNQSSGVPCAKVINLPGSLGQLFSIAQVCRLLSYETVKSRSC* >Brasy1G523100.1.p pacid=40054142 transcript=Brasy1G523100.1 locus=Brasy1G523100 ID=Brasy1G523100.1.v1.1 annot-version=v1.1 MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKLCVHAIAEVELYKFAPWDLPEKSCLRSKDLEWFFFCPRDKKYPKGSRTNRATPNGYWKTSGKDRTIELNSRTVGLKKTLIFHEGKAPKGNRTDWVMYEYRMEDDNLVSAGFSKDEYVLCKIFKKSGLGPRIGEQYGAPFDEDDWENLSFETSAFGLVSSEVEDPQAETSVIATATVTQEPPHQLVRFSGDVNICSNEVNTASVSLSDLGGPHLEASVLATAAVFEEQPIHSQQSAQCSGHVNISSSEFNNAPPEDGIFWDELSKFLDNSPDCDIPFGEESGLPPMSELEAQAFEINTADLYNELAGLAGSGGLPNVDFGATDVGFSLNNFQHTSSEQAIGDDYLELDDLLGPDATLSYDLPTPNNEFLQYPLNQSSHGGHYHDGATSSSAFAASGSIPPMPSFFGDVPAVPNNLVNPKYSDSSHSTLKDSFF* >Brasy1G074800.1.p pacid=40054143 transcript=Brasy1G074800.1 locus=Brasy1G074800 ID=Brasy1G074800.1.v1.1 annot-version=v1.1 MNCGQPDQLPPPATAPSCFLNLNWDQSMDAPAAPHLDPALGSMVSSPAASNSTATEGLALHGISPHYGGTPPKLDLSMMGQQFHHHYPPPQAGGGLPTLENLMPMGSLDQFLADPGFAERAARLSGFGGPGPAQFGLPDDGPIGALKELELGNARDESSVSDPASEMALKGASDGNARKRKAGAKGKGKDSSMSTSAKDLLAKEDSAAKRCKSMEESNGAEENCAKGKAAQSSSENGGGKKQGKDAGASKLPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMQQSCGPLQSSHFPLEASGAPLPYMSQPHQGSNPLDCGMADGMDDQGSMHQLDPAFCRPMGSHHHFLNGVSDAASQVGAFWQDLQSVVQMDMGQRQEIATSSNSYDGSLQTVHMKMEL* >Brasy1G175200.1.p pacid=40054144 transcript=Brasy1G175200.1 locus=Brasy1G175200 ID=Brasy1G175200.1.v1.1 annot-version=v1.1 MAVVEVEEQKGAWPEVVGLNSVEAGRKIHADRPDVTLEYHLLGDNVTPSYNAQRVRIFLNPATAKVAQTPVIG* >Brasy1G191500.1.p pacid=40054145 transcript=Brasy1G191500.1 locus=Brasy1G191500 ID=Brasy1G191500.1.v1.1 annot-version=v1.1 MNLCLQMYFDDSSSEEEEEWDEDEEMMVLMMLEEEQNKRKKHGSSVVRQQRIHRKRQEGHRKLMANYFDPTPVYPASHFRCVEEHVSSARTLQEGPGSTATSSASPRAVDTIEVSPPPERPVSTIWARLVCDWGTTFYIRADPKGCFYTYPPVGGPFQNLEEADNAIDRHLDDRWRQAAKRYVKRDAPLEALHWPDGSIRKCTKSHVVEKTQNGMCQLVQALVEKYNVDENLLGDLAYELKNVLQYQSIYENNRWYYH* >Brasy1G191500.2.p pacid=40054146 transcript=Brasy1G191500.2 locus=Brasy1G191500 ID=Brasy1G191500.2.v1.1 annot-version=v1.1 MNLCLQMYFDDSSSEEEEEWDEDEEMMVLMMLEEEQNKRKKHGSSVVRQQRIHRKRQEGHRKLMANYFDPTPVYPASHFRCVEEHVSSARTLQEGPGSTATSSASPRAVDTIEVSPPPERPVSTIWARLVCDWGTTFYIRADPKGCFYTYPPVGGPFQNLEEADNAIDRHLDDRWRQAAKRYVKRDAPLEALHWPDGSIRKCTKSHVVEKTQNGMCQLVQALVEKYNVDENLLGDLAYELKNVLQYQSIYENNRWYYH* >Brasy1G191500.3.p pacid=40054147 transcript=Brasy1G191500.3 locus=Brasy1G191500 ID=Brasy1G191500.3.v1.1 annot-version=v1.1 MNLCLQMYFDDSSSEEEEEWDEDEEMMVLMMLEEEQNKRKKHGSSVVRQQRIHRKRQEGHRKLMANYFDPTPVYPASHFRCVEEHVSSARTLQEGPGSTATSSASPRAVDTIEVSPPPERPVSTIWARLVCDWGTTFYIRADPKGCFYTYPPVGGPFQNLEEADNAIDRHLDDRWRQAAKRYVKRDAPLEALHWPDGSIRKCTKSHVVEKTQNGMCQLVQALVEKYNVDENLLGDLAYELKNVLQYQSIYENNRWYYH* >Brasy1G202100.1.p pacid=40054148 transcript=Brasy1G202100.1 locus=Brasy1G202100 ID=Brasy1G202100.1.v1.1 annot-version=v1.1 MAAAAVALASPLRRLLHFPCPRHAIPPPLHFFARGRCHVALAVAAAASARGNLDRHVTEEVMSILDMAETASQRRDVFHTSFLTPPVIKEAMLAIEKLAGIKAVAQGGYPQAERCRISVGHPDYMKSNPDVVAALSISGNFRLEPCSHGDFLGAILGAGITREKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGISCTQIPLLALEYEPPKTKSFKTVESSLRVDAVASAGFKISRTKLGSLISSGDVRVNWSPVSKSGATLKAGDVVSVSGMGRLKIGEIVTTKKGKYVVQLIQYL* >Brasy1G202100.2.p pacid=40054149 transcript=Brasy1G202100.2 locus=Brasy1G202100 ID=Brasy1G202100.2.v1.1 annot-version=v1.1 MAAAAVALASPLRRLLHFPCPRHAIPPPLHFFARGRCHVALAVAAAASARGNLDRHVTEEVMSILDMAETASQRRDVFHTSFLTPPVIKEAMLAIEKLAGIKAVAQGGYPQAERCRISVGHPDYMKSNPDVVAALSISGNFRLEPCSHGDFLGAILGAGITREKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGISCTQIPLLALEYEPPKTKSFKTVESSLRVDAVASAGFKISRTKLGSLISSGDVRVNWSPVSKSGATLKAGDVVSVSGMGRLKDRSPSLNCNSC* >Brasy1G345400.1.p pacid=40054150 transcript=Brasy1G345400.1 locus=Brasy1G345400 ID=Brasy1G345400.1.v1.1 annot-version=v1.1 MTVCTGKMQQFDLKLPTQSIEIFEATVATIGNGDNTFFWADPWINGLRVKDIAPGIFALRPRNSSTMTVRQGLTNGNWIRGINPNLSGQSITELLALWDELAHIAFTDGEDEFRWKLTNSGHFSAKSAYLALFEGRIEFEGHDLVWNSMAPAKCKIFIWLACRRRCWTADRLQRRGMDHPPACPLCNQASESINHLLLGCVVAREVWFNFCMHWEKPQWLPASDATLQDWWTGISVSSGDRKELATSITLICWTIWKHRNKIVFDNARSNARDIISACRSEAVHRKRANLMLGRGFGLDNLVGIEIPWPTSTCTSSR* >Brasy1G477000.1.p pacid=40054151 transcript=Brasy1G477000.1 locus=Brasy1G477000 ID=Brasy1G477000.1.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQNMQQQQQQKIDHRRSAGLEELIRGCTSACSKGETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G477000.10.p pacid=40054152 transcript=Brasy1G477000.10 locus=Brasy1G477000 ID=Brasy1G477000.10.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQNMQQQQQQKIDHRRSAGLEELIRGCTSACSKGETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G477000.4.p pacid=40054153 transcript=Brasy1G477000.4 locus=Brasy1G477000 ID=Brasy1G477000.4.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQQQQKIDHRRSAGLEELIRGCTSACSKGETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G477000.9.p pacid=40054154 transcript=Brasy1G477000.9 locus=Brasy1G477000 ID=Brasy1G477000.9.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQQQQKIDHRRSAGLEELIRGCTSACSKGETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G477000.5.p pacid=40054155 transcript=Brasy1G477000.5 locus=Brasy1G477000 ID=Brasy1G477000.5.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQNMQQQQQQKIDHRRSAGLEELIRGCTSACSKGLCQKCTSSSFK* >Brasy1G477000.6.p pacid=40054156 transcript=Brasy1G477000.6 locus=Brasy1G477000 ID=Brasy1G477000.6.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQNMQQQQQQKIDHRRSAGLEELIRGCTSACSKGLCQKCTSSSFK* >Brasy1G477000.2.p pacid=40054157 transcript=Brasy1G477000.2 locus=Brasy1G477000 ID=Brasy1G477000.2.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQQQQKIDHRRSAGLEELIRGCTSACSKGLCQKCTSSSFK* >Brasy1G477000.3.p pacid=40054158 transcript=Brasy1G477000.3 locus=Brasy1G477000 ID=Brasy1G477000.3.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQQQQKIDHRRSAGLEELIRGCTSACSKGLCQKCTSSSFK* >Brasy1G477000.11.p pacid=40054159 transcript=Brasy1G477000.11 locus=Brasy1G477000 ID=Brasy1G477000.11.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQVCWLGRTDKGLHVCMLKRRDFNFSVPRDRMALPILAS* >Brasy1G477000.12.p pacid=40054160 transcript=Brasy1G477000.12 locus=Brasy1G477000 ID=Brasy1G477000.12.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQVCWLGRTDKGLHVCMLKRTLSKMYKLLFQVRCHIFLT* >Brasy1G477000.13.p pacid=40054161 transcript=Brasy1G477000.13 locus=Brasy1G477000 ID=Brasy1G477000.13.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQVCWLGRTDKGLHVCMLKRRDFNFSVPRDRMALPILAS* >Brasy1G477000.14.p pacid=40054162 transcript=Brasy1G477000.14 locus=Brasy1G477000 ID=Brasy1G477000.14.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQVCWLGRTDKGLHVCMLKRTLSKMYKLLFQVRCHIFLT* >Brasy1G477000.7.p pacid=40054163 transcript=Brasy1G477000.7 locus=Brasy1G477000 ID=Brasy1G477000.7.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPKDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G477000.8.p pacid=40054164 transcript=Brasy1G477000.8 locus=Brasy1G477000 ID=Brasy1G477000.8.v1.1 annot-version=v1.1 MSSRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMAGGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWTTATGSGAAAAAAATHQVHQLQEQRRRDSTGSGGSSSSRDHEAAVSYPAGAAGYAVAAAVEMQHLKHAAAAGDHFSFAPFRKSFEEVGGIGDQVPSDQLGRSEPHHAGQEQLPHRPVLATTAVPATAFLISRPTNPVSATVPPPIQHTSVVLDYDQQYHVPAIFLHDKFQETSISQSQETEWPYPYWPPDNQDHHG* >Brasy1G402100.1.p pacid=40054165 transcript=Brasy1G402100.1 locus=Brasy1G402100 ID=Brasy1G402100.1.v1.1 annot-version=v1.1 MAFAASTACCKPSPLLAPRASSLRAQALLCRPSSTATTPRGLRAAPAFTVVQAPAPRRRAASTGIVCGKVTKGSVPPNFTLKDQDGKTVSLSKYKGKPVVLYFYPADETPGCTKQIIRWREKTFTAFGSFGASSPLASPVPSKRHGASTAVQALLSSPNHTPQQHRLTIPSQEGIEAAAAASKRQPTREPSTEEEIIINAGGRTCELPTWALIGGITAGVALALALSVDAGPAMALGPEGPLVEEFWDNMRRYGLYALTVSTGFAWALVQPIVELLRNPITAVLIIVVIAGGGVLVSQVINAMAGNSDFIYMYEQ* >Brasy1G192400.1.p pacid=40054166 transcript=Brasy1G192400.1 locus=Brasy1G192400 ID=Brasy1G192400.1.v1.1 annot-version=v1.1 MPSANVRAAQPSADRRLSTLVRHLLPSSARTTAAAAATATSADSSASLRAFPTMASSSVFAGVPQGPEDPILGVTVAFNKDPSPVKVNLGVGAYRTEQGKPLVLNVVKRAELQMINNPSLGKEYLPITGLADFNKLSAKLIFGADSPAIQENRVVTVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTARSYRYYDPATRGLDFQGLLEDLSSAPEGAIVLLHACAHNPTGVDPTLDQWEEIRQLMRSKALLPFFDSAYQGFASGNLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVKVESQLKLVIRPMYSNPPLHGATIVATILKNRDMFEEWTIELKGMADRIISMRQQLFNALNIRGTPGDWTHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSSRTVDHLANAIDAAVTKVK* >Brasy1G496600.1.p pacid=40054167 transcript=Brasy1G496600.1 locus=Brasy1G496600 ID=Brasy1G496600.1.v1.1 annot-version=v1.1 MFLLPVASTSGSCCFPDAATIISSSSPSSRSFQGNKLELRGASTSCRQLSLLQPSTNRLGAVRAVTGGNGDGSTELAPPGYSYSLKTKDIRCVVLDIEGTTTPISFVTDVLFPYARKNVREHLMETYHTPQTQADIALLRAQVDEDLLLADQLPGAAVPLPPPDGAVDQIINALVANVEAMIDADRKVAALKQLQGRIWRRGFDSGEIKGVVYEDVPPALAEWHGATGGLIKTYIYSSGSREAQRLIFGNTTPYGDLRKYLSAFFDTNVGGKRDSGSYYEIWQSLGVDRPSQILFLTDVYQEATAAKDAGLEVLISIRPGNAPLPKNHGFQTITSFAQIFT* >Brasy1G496600.2.p pacid=40054168 transcript=Brasy1G496600.2 locus=Brasy1G496600 ID=Brasy1G496600.2.v1.1 annot-version=v1.1 MFLLPVASTSGSCCFPDAATIISSSSPSSRGNKLELRGASTSCRQLSLLQPSTNRLGAVRAVTGGNGDGSTELAPPGYSYSLKTKDIRCVVLDIEGTTTPISFVTDVLFPYARKNVREHLMETYHTPQTQADIALLRAQVDEDLLLADQLPGAAVPLPPPDGAVDQIINALVANVEAMIDADRKVAALKQLQGRIWRRGFDSGEIKGVVYEDVPPALAEWHGATGGLIKTYIYSSGSREAQRLIFGNTTPYGDLRKYLSAFFDTNVGGKRDSGSYYEIWQSLGVDRPSQILFLTDVYQEATAAKDAGLEVLISIRPGNAPLPKNHGFQTITSFAQIFT* >Brasy1G140000.1.p pacid=40054169 transcript=Brasy1G140000.1 locus=Brasy1G140000 ID=Brasy1G140000.1.v1.1 annot-version=v1.1 MSSPSSAHWLSLVGCIWLQTINGPNSDFPVYSSQLKELKNISQVQLNFLAFASDAGKLFGWFSGVAALHVPLWFVAFVGAAFGLVGYGVQYLFLDSSGLKFWHLFLLTALAGNGICWINTVCYLLCIKNFASRSRVAVSLATSYLGLSAKVYTSLAETMPWLANSKAKTYLLLNAVVPMLVTLAVAPSLRVFDLKSGSSTDTAFLVMFAITLATGACAVVGSIGSTSSGLSSGEHMVSLSVLLAIPMLIPAALKIRESLNEIWEAKRESRIHDLGTDEAVVVIEVLEVETKEEEIVVAEEKAPQEEVGGLQLLKKPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLGQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLHPSSFFLYASTAIIGTCTGAITSVAVSATSELFGTKHFGVNHNILVSNIPVGSLCFGYFAAFLYQREAGARGSQTCKGASCYQETFTIWGITCVLGTLLCVVLYLRSRSSSFAGRLPVRLQCLAARLASFVRGGNNTTKAPEVSSQEV* >Brasy1G359300.1.p pacid=40054170 transcript=Brasy1G359300.1 locus=Brasy1G359300 ID=Brasy1G359300.1.v1.1 annot-version=v1.1 MCQRGISALPAARVRRADGVARRGRACFAAQRVGGAAWRGSGPQVARHVAAQRHDAAQVRAARQGGTTRLTRGRTGGVIDSLVRAQLHHRRLRLFGNPSAVVLRLRGSISAGGHPVFSEVKRAPALPASGHGRLEEPSPPTSAEARTSSIRV >Brasy1G458800.1.p pacid=40054171 transcript=Brasy1G458800.1 locus=Brasy1G458800 ID=Brasy1G458800.1.v1.1 annot-version=v1.1 MKQDMLLLLQNRIQYHINLINLGSLEWEKKGEITSHGNAETQMIGIPVHFPCYSEHHNHGMFERSGSPIPPPIDREDQPFLPPATTRATCRYSSPSVLLLSLFLFDLGRAAAASRGVEGGGQQGMRRRRGRPDPGGGGQQAGGSGQIRAAAANRGVEGGGQQGMRRRRGAASTGVEGGAG* >Brasy1G309200.1.p pacid=40054172 transcript=Brasy1G309200.1 locus=Brasy1G309200 ID=Brasy1G309200.1.v1.1 annot-version=v1.1 MRSGAAAEVIDLCSDDDEESVPVASTSALARRSAPFYPHDVSPCELPDVKPLLYPLHPPGCGALVPVKDEEPVPVPLAAEPSEPSRALLPPPRLCRQFWKSGEYTVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGSPSLLIQDDGGGMDPEALRCCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQKNWAPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYTQLLRHNQKLFSSNLAILLKWSPFASEAELLKQFDDIGDHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAEKKVKASKREKAEMQNYVANRLRYSLRAYASILYLRVPDNFRIILRGRDVDSHNVVNDLMFRECVLYKPQIAGLTELSIITTIGFVKGAPDTDVQGFNVYHKNRLITPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLENRLKEMTYEYWGLHCHRLGYDNKSLPKASRALCRAKRTDAGNYLTSAPEQLLSADVSTSSSAQNNIGLKRNFDALGAITDINNDQIKHREVIQRRRFNEHKTLKLENDKLRHECLLYEESEKQLAMKEQKLRYQIAEERKKYEELLEELKSLDVKLEI* >Brasy1G309200.2.p pacid=40054173 transcript=Brasy1G309200.2 locus=Brasy1G309200 ID=Brasy1G309200.2.v1.1 annot-version=v1.1 MRSGAAAEVIDLCSDDDEESVPVASTSALARRSAPFYPHDVSPCELPDVKPLLYPLHPPGCGALVPVKDEEPVPVPLAAEPSEPSRALLPPPRLCRQFWKSGEYTVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGSPSLLIQDDGGGMDPEALRCCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQKNWAPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYTQLLRHNQKLFSSNLAILLKWSPFASEAELLKQFDDIGDHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAEKKVKASKREKAEMQNYVANRLRYSLRAYASILYLRVPDNFRIILRGRDVDSHNVVNDLMFRECVLYKPQIAGLTELSIITTIGFVKGAPDTDVQGFNVYHKNRLITPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLENRLKEMTYEYWGLHCHRLGYDNKSLPKASRALCRAKRTDAGNYLTSAPEQLLSADVSTSSSAQNRLKRNFDALGAITDINNDQIKHREVIQRRRFNEHKTLKLENDKLRHECLLYEESEKQLAMKEQKLRYQIAEERKKYEELLEELKSLDVKLEI* >Brasy1G487300.1.p pacid=40054174 transcript=Brasy1G487300.1 locus=Brasy1G487300 ID=Brasy1G487300.1.v1.1 annot-version=v1.1 MSGGGGRHAAAAVPRLLLLCCAVFAACIRGAQAVDAQGASLLAWKRTLTGPGASSALGDWNPSAASPCRWTGISCNANGEVMSLTLQSTNLLGPVPSDLSAMAATLTTVILSGANLSGPIPANLGPSLPSLAALDLSNNALTGTIPATLCRPGSKLETLVINSNRLEGPIPDAIGNLTSLRDLVIFDNQLDGAIPASIGQMSSLEVLRAGGNKNLQGALPAEIGNCSKLTMLGLAETSVSGPLPPTLGGLENLETLAIYTALLSGPIPPELGQCASLQNIYLYENSLSGSIPPQLGKLGKLKSLLLWQNNLVGVIPPELGNCTALNVVDLSMNGITGHIPATLGNLAGLQELQLSVNKVSGPIPPELGSCGNLTDLELDNNALTGAIPAAIGKLSSLRMLYLWANQLSGTIPTEIGGLVALESLDLSQNALTGAIPGSVFSRLPKLSKLLLIDNALSGEIPPEIGDCASLVRFRASGNHLAGKIPPQIGKLARLSFLDLGANRLSGAVPAEIAGCRNLTFVDLHGNAITGVLPQGIFKSMPSLQYLDLSYNGITGKIPPEIGTLGSLTKLVLGGNRLSGPIPTEIGSCARLQLLDLGGNSLSGGIPGSIGGIAGLEIGLNLSCNQLTGAMPKELAGLARLGVLDVSHNELSGELLLLSGLQNLVALNVSFNNFSGRAPETAFFARLPTSDVEGNPALCLSRCPGDADAAGERARYAARVATAVLLAALVLLLVAAAVLVLHRRRRRRSVLGGEEDGKDGEMAPPWDVTLYQKLEISVGDVACSLTPANVIGQGWSGSVYRASIPSSSSNGGNVSTVIAVKKFRSSRDEAAAAVAEAFACEVGVLPRVRHRNIVRLLGWATNSRRARLLFYDYLPNGTLGGLLHGSGGNGAAVAVVEWEVRLSIAVGVAEGLAYLHHDCVPPILHRDVKADNILLGDRYEACLADFGLARPAADDAASSSPPPFAGSYGYIAPEYGCMGKITTKSDVYSFGVVLLEAITGRRPAGEAAFGEGRSVVQWVRDHLHRKRDPAEVVDPRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGLRHDDGAGGAEARKTSGSWAEQPRRAVEWAKPTPLPLPAHSSSSVYSTTGSV* >Brasy1G075100.1.p pacid=40054175 transcript=Brasy1G075100.1 locus=Brasy1G075100 ID=Brasy1G075100.1.v1.1 annot-version=v1.1 MVRLSGSSAAHALLLLLPLLVVLLATCAASASAARAEPSGHLWGDGGRGRKVGARTEVADVEGNREVQELGRYSVDEHNRRREDDGRLEFGRVVSAQRQVVSGLKYYLRVAAAELPQHQQQEDNSNGGEGGERVFDAVVVVKPWLDSRALLKFAPAHAE* >Brasy1G059600.1.p pacid=40054176 transcript=Brasy1G059600.1 locus=Brasy1G059600 ID=Brasy1G059600.1.v1.1 annot-version=v1.1 MDRLRAGSPVYGRQRSGSSTGSTSPGGVSPSHHRSSSTSSAASLPGAAGGGGAAAGGISNVRRTQNVAARAAAARLAQVMASQSAAAAAGDDDDEDDYAADHPPPPPIRFGSGARSAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRTAVASRPTTTVVPPIKTNSTLRTPSPIPAVSVEPPVDRSRQKRFDTGSLNSRDSATPQRQSSTLQDELDMLQEENDGVLEKLRRAEERCEEAEARAKELEKQVAALGDGVSLEARLLSRKEAALKQREAALKAARESSDGRNGGTIKQELESAKEEVASAMDQLKEAESETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAISKHEHWSSLAPLPLEVVLSAGQKAKEEPRKQVEDDAQRRNKLVRDMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDYKSPGEPKYLEAFDLSPEEAEDVSFKHAWLIYFWRRAKSHGIEEDIADERLQFWIGRNAHAPNSHDAIDVERGLTELRKLGIEQQLWEGTRADIDEAASAMENGE* >Brasy1G297900.1.p pacid=40054177 transcript=Brasy1G297900.1 locus=Brasy1G297900 ID=Brasy1G297900.1.v1.1 annot-version=v1.1 MRRLFIVELLSLGRVKAAWGARQEQVEKLVRALGAQAGEGEKKKPVALDEHIFRLADGIIGTVAFGNVYGTEMLAHKGKGKERRFQQVLDEAMDMMGSFSAEDFFPNAAGRLVDRLTGLVGRRERIFRELDAFYEAVIRQHLDPARPKPDNGGDLVDVLLSLRNEPRGTLSFTMDHVKALLMNTFVGGIDTSSVTILWAMSELIRKPRVLKKVQEEIRAVVGGSNGDRVQPDDVPKLSYLKMVVKETLRLHPPVTLLLPRETTRHVKISGFDVPAKTRVFVNAWAIGKDAASWGEDAEEFDPDRFEPAGGAHQVDFNGAHFELLPFGAGRRMCPGLAMGVTNVEFTLANLLCCFDWALPEVTKAAELSMEEAGRLTVHRKTPLLLVPTPYVPPPAPLRA* >Brasy1G229600.1.p pacid=40054178 transcript=Brasy1G229600.1 locus=Brasy1G229600 ID=Brasy1G229600.1.v1.1 annot-version=v1.1 MDSLSLYDISCFAAGSAGNIFAFALFLSPVPTFKRILKAKSTEQFDGLPYLLSLLNCFICLWYALPWVSDGRLLVATVNGTGAVFQLAYISLFFIYADSRKTRLRIIGLLALLVCAFAVVSYASLAFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRTECVEFMPFYLSLSTLLMSASFAVYGLLLRDFFIYLPNGLGVVLGATQLVLYAYYSRKWRCKDSSAALLA* >Brasy1G003400.1.p pacid=40054179 transcript=Brasy1G003400.1 locus=Brasy1G003400 ID=Brasy1G003400.1.v1.1 annot-version=v1.1 MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADVGAAAGADSASAAQHPDDADAGGLDGDDDDPDPPPAAVPFKRLFACADRLDWALMAAGALAAAAHGVALVVYLHLFGRAIHSLHGRHSHRLFDDIKQHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLIAHGRANGGEIVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRILSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLDKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFVAEKAVQEALDVLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLKCEEAAKLPKRTPIRNYKEPSTFQIERDSSASHSFQESSSPVMSKSPSLQKTHGFLAFRNSDANHNSRESPNIQSPPSEQMAEGRLPMVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRTLDMFDHFHVDESKKDQTKAPSFWKLAELSLTEYFYAILGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIVTVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILTKSFVHGMGIGFAFGFSQFLLFACNALLLWYTAVAVKDGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLKVSGGQTIAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNVRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPIVLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRTAMMKHVDNIVVLNGGKIVEQGTHDSLVQMNGLYIKLMQPHFTKGFRQRRLI* >Brasy1G234400.1.p pacid=40054180 transcript=Brasy1G234400.1 locus=Brasy1G234400 ID=Brasy1G234400.1.v1.1 annot-version=v1.1 MACTCISSAAMLSEASLRSAGNSSLWQPGTSRLRPFAFLASAGVFEAFPGAEVPAAEGWREGRLGRGGASAVSSLETTGSAGEDGAAPARARGRPPPGRAGGVPGWSSTTPVTRRERRRQRRGCGKGGGRRSRGGGGGGSGGGGGRRRGPRIERERARGREKDGRRKQETDLWARRTRGVPILSSYLGKIRRVSVQAWFGV* >Brasy1G373800.1.p pacid=40054181 transcript=Brasy1G373800.1 locus=Brasy1G373800 ID=Brasy1G373800.1.v1.1 annot-version=v1.1 MNAGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGAVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGAGYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKVLVGSVLCLVGVLTYVFHHHDGDEHSLHAHVHRKLVSP* >Brasy1G207800.1.p pacid=40054182 transcript=Brasy1G207800.1 locus=Brasy1G207800 ID=Brasy1G207800.1.v1.1 annot-version=v1.1 MMNMSHDDYVSLCLLALASAQSAVGGGGQAAETRQMQWLQTPKTAAPACELRFRCSVCGKAFPSHQALGGHKASHRKRTAPLPLHVISASSSVEETTTASSNTASGAGGKHRCSVCHRSFATGQALGGHKRCHYWDGLSVSLSPTATAATGSGSGSCVRDFDLNLVPAPEMAAAHASVRRWGEEEEVQSPLPMKKRRLLASPSFKLNLTTC* >Brasy1G342100.1.p pacid=40054183 transcript=Brasy1G342100.1 locus=Brasy1G342100 ID=Brasy1G342100.1.v1.1 annot-version=v1.1 MRRKLPGEAPPSAGGGSLPSEADLAQLSTAISAGEDLGPFVRRAFACGRPEPLLSSLRAVARDREAEIEELCRAHFHDFIRAVDDLRSLLADADVLKGSLSASHSALLSSAAPLLASLESFLAARALAGNLSSALASSRRCVRLLALAARANDHLQAGNHSLYLALRAVDAIDRDLASGPEPLPLPALRRMLLSLIPAVRVHAEREISREFADWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEESITLDDDGAGDLDDFAAATASSDVADGAAAASFDLTQLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFAQIAGFFIVEDRVFRTGGGLTSRGDVDALWEAAVGKMISVLEDNFSRMQTANHLLLITDYAALLAATMRRYSYPVGMLLDVLAKHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVALFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDVSIQKLVDSGSGMSVSQAMQVAANMSVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLCKSRDAAEALLLRLLCSKIDEFMLQSDGVSWMADDPPAGGNEYANEVTIYLETLTSTAQQILPLAVLRRVLVAVLAHISERIVGLFLNDSVKRFSAGAVVGIDTDLKMFEAFAESMSNLFGESGKDSAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYRKVAVISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS* >Brasy1G409400.1.p pacid=40054184 transcript=Brasy1G409400.1 locus=Brasy1G409400 ID=Brasy1G409400.1.v1.1 annot-version=v1.1 MDRVNGASVVVDDCAACAGHLALRRRSPSCFPRRPLLSPAPCFVSGGLLCVFSNYGGAGNWSSIESKINPEKSSMQLS* >Brasy1G207000.1.p pacid=40054185 transcript=Brasy1G207000.1 locus=Brasy1G207000 ID=Brasy1G207000.1.v1.1 annot-version=v1.1 MSWQTYVDEHLMCDIEGHHLASAAILGHDGTVWAQSADFPSFKPEEMTNIMKDFDEPGTLAPTGLLLGDAKYMVIQGEPGAVIRGKKGSGGITLKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGM* >Brasy1G326500.1.p pacid=40054186 transcript=Brasy1G326500.1 locus=Brasy1G326500 ID=Brasy1G326500.1.v1.1 annot-version=v1.1 MAPKLKPTLAAILVITLLITAASGETSTAASNDRDTSSKVIKMQRNSRNVLTEVLDYDYGGANSKHDPRGRRPGNGH* >Brasy1G326500.2.p pacid=40054187 transcript=Brasy1G326500.2 locus=Brasy1G326500 ID=Brasy1G326500.2.v1.1 annot-version=v1.1 MAPKLKPTLAAILVITLLITAASGETSTAASNDRDTSSKVIKRNSRNVLTEVLDYDYGGANSKHDPRGRRPGNGH* >Brasy1G446200.1.p pacid=40054188 transcript=Brasy1G446200.1 locus=Brasy1G446200 ID=Brasy1G446200.1.v1.1 annot-version=v1.1 MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALRKRIVHKNPKVQLLALTLLETTIKNCGDIFQMHVAERDVLHEMVKMVKKKSDPRVKEKILVLIDTWQEALGGPRARYPQYYAAYHELVRAGAQFPKRTERPAPLFNGQSQAANSMRSPDQRDEAESSAGNDFPALNMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTASDEELLSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSANQDSKKEQALVDIDDPTSQDSKTEPNQSTSDQSPFEQLALPAPPMSNGSATHPPKPDSGIDLLSWDDTPSTAENSLALVPVTDPLVDSTSNQNALAIVDIFSQTSTATSSAKPLDPFGLNSSPALQGSQPYNTPTQHPLQSQQPPQQAALYPNGGAVNPGTSYDHASQFNHTNSGWNGQVANHATPPPQQMNYDDQSGSLPPPPWEAQSVPSNEMSNGQLGGMQSLPTSQPGSVQPLQPQNNHMGGLQTQPMYNNQPGAMLPRTMQSSQTIGAQMQPGYGNQFGHQPQHSMPMPGMQFAGMQHSPMPGVQQPAMMYGQQMPGTQFGGMPHQQMYGGQMAAAAYGYMQQPGAQYYNQGRPYGYPGTNDLSQNMYGLSMQDSSHMGMNSSYLTTPSSSSMGQPIRPSRPEDKLFGDLLSIAKTKQNRAS* >Brasy1G203800.1.p pacid=40054189 transcript=Brasy1G203800.1 locus=Brasy1G203800 ID=Brasy1G203800.1.v1.1 annot-version=v1.1 MGVERPKERRVNDATVIPSTVSASPFDLTILRDRLRDVEQNFRAVTGESAVLRTKARAAADRETFLIGELTAMSTQLASLQMNPHLEYERVNSRLNNLETSNHSSFWYERDRGYALALLQDRVSQVGGFADSCRQALELVYTSLFPLRQPPAGLEALMKKFRGGAAMTDFARALRINGAQTALAYVARNHPEVDMEHVDELWDPPGEGIDLRPQLAVALEPARRIISLRDQAQQRHLDAKIGHSISRSDEIVYLRDRVRDAERQLMAARGEIAVLKLKERAAAVREDFLLGELVGISSELRDLLPDSHEESGHLNTLHVVPFIDEEEISMEYYYDAVEWSARKLVNIVEMQ* >Brasy1G002500.1.p pacid=40054190 transcript=Brasy1G002500.1 locus=Brasy1G002500 ID=Brasy1G002500.1.v1.1 annot-version=v1.1 MASLLLKGVHKRLGLSNLSCSSADATNVVVSGTGSSSRIIDRHSPRLRDPHRCSTSKPPPPFSRPLSSSKEENSSSSSPRKQHGDGSNNKKKKKKQQTTTAAGKRLASPATSSRFLLNSSRLHSDDDVSLDQLQQVALPPPPPSFIDVFPGAGTVVGKVLAPMQFLAESSSADAGSSSSASASGSSSSEITPAAASAAGRDEKLERGGEAVMARSCSTTAAAGQQVVVVLKVSLHCKGCAGKVKKHIAKMEGVSSFQIDIATKKVTVVGDVTPLGVLNSVSKVKAAHFWPSSNSSSSPPRASASF* >Brasy1G002500.2.p pacid=40054191 transcript=Brasy1G002500.2 locus=Brasy1G002500 ID=Brasy1G002500.2.v1.1 annot-version=v1.1 MASLLLKGVHKRLGLSNLSCSSADATNVVVSGTGSSSRIIDRHSPRLRDPHRCSTSKPPPPFSRPLSSSKEENSSSSSPRKQHGDGSNNKKKKKKQQTTTAAGKRLASPATSSRFLLNSSRLHSDDDVSLDQLQQVALPPPPPSFIDVFPGAGTVVGKVLAPMQFLAESSSADAGSSSSASASGSSSSEITPAAASAAGRDEKLERGGEAVMARSCSTTAAAGQQVVVVLKVSLHCKGCAGKVKKHIAKMEGVSSFQIDIATKKVTVVGDVTPLGVLNSVSKVKAAHFWPSSNSSSSPPRASASF* >Brasy1G335900.1.p pacid=40054192 transcript=Brasy1G335900.1 locus=Brasy1G335900 ID=Brasy1G335900.1.v1.1 annot-version=v1.1 MTTLPAPGPPCSSSPSSVLLTPNTYCWKPAPRATFRASAAAAARRTLSSGWDLSGLSAARPAARKARLEELDTSNMLLRQRIIFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGIYDAMKFCKSDISTVCFGLAASMGAFLLASGTKGKRFCMPNARIMIHQPSGGAGGKATEMGLQIREMLYEKIKINKIMSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSIIDEGKLGLVAPMAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIRNGNGRVSGNDGKLKQASAT* >Brasy1G557200.1.p pacid=40054193 transcript=Brasy1G557200.1 locus=Brasy1G557200 ID=Brasy1G557200.1.v1.1 annot-version=v1.1 MSAFGEKSEVTSRAVSLVMIKVGDAARGIVASFEAAIQKEPSKATVAAGGAVHPLTRYVMNYLAFLADYETALTRIFSSNQQGGSAEQFFPFGSDTLSFSVGGGGSGGSTSSSSSSSSDLPSSSSTLSLASNPIGWLVFILLRKLDAKAGSYKEAALSYLFLANNTHYVAKKAGPGTRLEGVLGEEWAEAQRAKARGYVDVYVRAAWGSKVIRGGEEAVMEAVAMQERWVAADEEMGNALRAAARAAVVPAYRLLYRRQGAAARLTPGDVNAMIGGLFGGHAHAHAVASDESVAAGRRRTSQQQEVLIRKQKLKNKQQAAGAGHY* >Brasy1G398700.1.p pacid=40054194 transcript=Brasy1G398700.1 locus=Brasy1G398700 ID=Brasy1G398700.1.v1.1 annot-version=v1.1 MKKNCSIAGLVCVVVVGLAATVFSSAAAAGQMVNAVYVFGDSLVDVGNNDYLPAPAPRARSPYGFDLPGRPTGRFTNGYNLADIISQRLGFEKSPLAYKSMLLHEKFLLVTCNIGANYASGGSGILDTTGNGTLTMSTQIKYFKRAVDKMVCLPSKEAMLSQSLFLLSAGGNDFSAFTGSINEAPAYIADLVSTYIRHIQSLYNLGARMVGILDVAPIGCTPGQRADMPDGGCNEVANSLARWFNSLFRIELAGTAAAAMPELRYSVASNYNILTDMMANPLVAGIREVRTACCGAGKFMAEKMCGAEGTRVCADNHGEYMFWDMLHGTQATCERGALAVFYGAAPRYADPVNFSTLVTMKKKKGSLLADE* >Brasy1G157700.1.p pacid=40054195 transcript=Brasy1G157700.1 locus=Brasy1G157700 ID=Brasy1G157700.1.v1.1 annot-version=v1.1 MDPMLRGVASLGGGGGAMRGEGEEAHYRGVRKRPWGRYAAEIRDPWKKARVWLGTFDTPVEAALAYDRAARTLRGAKAKTNFPDHQLHAPHRRLAPLQQHQRTVPFGGIDLNFPSPWHFVYFSPLAAPLPQAASTPAVPAPPSTTLQLGMGSRHGSLPFDLNEPPSLLFGS* >Brasy1G034200.1.p pacid=40054196 transcript=Brasy1G034200.1 locus=Brasy1G034200 ID=Brasy1G034200.1.v1.1 annot-version=v1.1 MAASGQRASALAVALLVAGILASLPTEVRSIGVCYGVNGDNLPSPADVVGLYKSKNIATMRIYAPDEATLKALSGTGIELTMDVGGSLAALASDPAAATAWVAANVKPFVPGVKIKYIAAGNEVEGDATASIVPAMTNLNAALAVAGVSGVKVSTAVKTSVLAVSSPPSAGVFKDAYMAEVVRLLASTGAPLLANVYPYFAYAGSQGSIDLNFALFQPGSTSVQDNGLTYANLFDAMVDAMYSAMEKCGGPTVPIVVSESGWPSAGGGPETTVDNARTYNQNLVSHVGNGTPKRPGTPLETYIFAMFNENLKGGAETEKHFGLFNGGPDKAPAYPMTF* >Brasy1G354900.1.p pacid=40054197 transcript=Brasy1G354900.1 locus=Brasy1G354900 ID=Brasy1G354900.1.v1.1 annot-version=v1.1 MDPRVPVLLLLLFLSLAAFSTASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFVVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFTISGKIVGAVGGKSCSKDGGPSGVKVELLSDSDELVASALTSSTGGYSFVNIIPGRYKLRASHPDYDIEMRGSSEVDLRFGNVVADDVFFVSGYNIHGSVVAQGNPILGVHLYLYSNDVKEVPCSQGLGDAPREGALCHAVSGADGKFTFRSIPCGSYELLPYYKGENTVFDVSPSSLPVSVEHSHMTIPQKFQVTGFSVGGRVIDGYGAGVEGANVIIDGQSRAVTDNLGYYRLDQVTSKKYTIVAEKNHYKFNVLENFMILPNVASIDDIKSVQYDVCGVVQTVTPNSKAMVTLTHGPENVKPQRKMVSKDGRFCFEVPTGEYRLSALPVDSEGSSSLMFSPGYIDVNVKSPLLDVEFSQSQVNVHGKVLCKEQCNQNILLSLVRLASGVEQEKKTTSLEQDNVNFVFTKVFPGKYRLEVKHSSSEASENDDWCWDQNTFDIDVGNDDLVDIVFVQKGYWIDLVSTHDTAAYIHQPDSSRLDFQPDTSKFDLLIKKGPQRICIETPGQHELHLVNSCISFGSSSTMFNTQNPMPVHISAKKYLVRGEIHVDISSPQEEIDLLEDIVVDAFKSDGGSIKKFSTIPVLGKSHQNGITAFEYSTWTELGDDFIFVPRDSSTGRKKILFYPSEQQFSVSSDGCQDAVPSITAKTGLYLEGSVAPATSDVDIKIVAAGNSKYAPLKKGDVAAETKTNSDGSFFAGPLYDDIGYEVEASKSGYHLKQTGPYSFACQRLGQILARVYGEKDTEMLPSVLLSLSGEGGYRNNSVSGSSGTFSFGNLFPGSFYLRPLLKEYKFIPSTVAIDLNSGESREVEFRATRVAYSAMGSVTVLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSTYSIRVVAKDNLRSAAVERASPEHVSIDVGQEDISGIDFVVFERPEVTILSGHVEGDDIDMLQPHLSVEIRSVVDPSRIVSVVPVPLSYYFELRNLPKGKHLVQLRSGLPSHTHIFESELVEVDLEKQPQIHVGPLKYKTEERHLKQELTPAPVFPLIAGVSIIALVISMPRLKDLYQSAVGRTSLSSGITPSRKEPRKTILRKRA* >Brasy1G217600.1.p pacid=40054198 transcript=Brasy1G217600.1 locus=Brasy1G217600 ID=Brasy1G217600.1.v1.1 annot-version=v1.1 MEPLLSAIVGDLVTRALSMMVKRYVQFKGAEEEKLERLKGLLLRIDAAIEEAEGRYITNQAMLQQLQMLRQGMYRGYHMLDTFRYRSQRAEADGEPSLSSTGRSLALSRFSPSTSRCSFFSVRDTASMERVLDAGSSDSLDKMLGSLERMMGGMREFVTFLPSYPRISRQPYSAYLFLDQAMFGRQMEMETIINFLLRSQAGSDGDLGVLPVIGAARVGKSTLVEHVCLDDRVRGHFSSIVFFTGDDLDASLRGSGVVKHQSLAPAPGRGSLAVVELAGDMEEETWRRLYTSAANHMSPGSKILLTSRSEKIASFGTERALTLNFLPQEAYWYFFKTIAFGSTNPAERPDLAALGMDIAVYMNRSFMAANTVAGLLRANLDARFWVKVLRCLRDFASRHLSLFGEHPTDLLRKDQPVYIWTMAKTKNVVVMRDIYQQRSPQSSEVPRITAQDVLSGSVTSQGRFQAVAWRSRIPPCFTYLVSFVVSPTDKHAVIGKKRSRSRRDRI* >Brasy1G297200.1.p pacid=40054199 transcript=Brasy1G297200.1 locus=Brasy1G297200 ID=Brasy1G297200.1.v1.1 annot-version=v1.1 MGCKIVFVMALLLLSCSSMSSAARHLEEKAPKEEHPPHPIVPELPKPEVPPHPTVPELPKPELPPHPIVPELPKPEMPHPVVPEAPKEHQVPHSVVPEMPKEHEVPHPVAVVPELPKPELPPHLAVPELPKAELTPHQAVPKVPHSVVPEVPKEHGVPHPVVPELPKPELPPHLTVPELPKPELPHPALPEAPHLVVPEVPKEHEVPHLAVPELPKPEMPHPAMSEVPKEPHVPHLVVPELPKPEEPKHELPPLPKAELPPKPEFHIPEPEAKP* >Brasy1G008500.1.p pacid=40054200 transcript=Brasy1G008500.1 locus=Brasy1G008500 ID=Brasy1G008500.1.v1.1 annot-version=v1.1 MGEVSSLPDDMLRDILARCRGDAAALLRYAMVCKRWRRLVADPSFLRRCWPPPEPEKKGEDEARSSSSPFAGFFAMVRLHRRRGAKEPCFIPAPGNSVPVACRHGLLLVRLAVTHGGRGGLRLAVCDLLTGACHVLPPLNPARELEVLTLDGYAILTGADCRSPPSTSTAFFKVVVVAFVAGGWADPHKVGLHTFSSPASGGGVAGWSASRVESFDCYGGDGGILGPICHGDAVVLRGTAHWLFADRYGSCYHTIELDAETGRLSSTKLPPFPESGHVAPPCLGVAADGALSLLTMRIRPGSCPLLQIWTRQTGDEAAPQRWLCGKTVELRVAEGEEEYYTGAVNTYYMMGEKCGKVLVTAARNHVYAGDLGTGKMEKVVEWLGGRLFNRDVAVPLEMDWPALLALSWSIV* >Brasy1G548300.1.p pacid=40054201 transcript=Brasy1G548300.1 locus=Brasy1G548300 ID=Brasy1G548300.1.v1.1 annot-version=v1.1 MMGGDQGGKMMKNLRGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRRSSPGHNTPATPAVAEKTHQLPIVKEEEAFKPKPELVQEKQPPPVDEEQETHPETEEAPGAGSSTAVPVSTPDESAPAKKPACDIQGPWASDVCAIDAGVRIQGSARTILIPPPIESGGVNPNPQSWQIIAYSRKHQAGMVPVTVRELATAAEAPACDVTSEVPAMVFAMGGLTGNYWHDFSDVMIPLYLQAAKFRGEVQLVVTNLQPWYAGKYRQILGKLSKHQIIDMDNDKQVRCYPRGAVVGIRMHKEFSIDPAKEPTGHSMPEFTAFLREVFSLPRAKPTPAAAIVSGEKKPRMMIISRRHPRALVNVAAVKALAERVGFEVVIGDPPFNVDVGAFAAEVNAADVLLGVHGAGLTNSLFLPTGAVFIQIVPYGKMEHIAETDFGIPAFDMGLHYTAYSAGVEESSLVETLGRGHVAVADPEAVHRSGWDKVAEYYLGRQDVKLDLARFEPVLLKAMATLRE* >Brasy1G360200.1.p pacid=40054202 transcript=Brasy1G360200.1 locus=Brasy1G360200 ID=Brasy1G360200.1.v1.1 annot-version=v1.1 MASAEKSTLSMKLLVNTKTQRVLYAEAGKDVVDLLFSLLTLPVGTVVKLLTANSMVGSVGNLYSSVEKLDDTYICRNDGKGVLLTPAGGCVTGKLLQLPEAPAPVVPDELFRCSNINYQDCRRYVTKASGTACKYCGSAMTTPASLLGPKWVPQAAAAAGAGAGFVQKIVTYTVMDDLKVAPMSSISGITLLNTCGITDIGSLQEKTVQLGYAEGLELLKASFQSKTVLTDVFLGKNRRA* >Brasy1G156900.1.p pacid=40054203 transcript=Brasy1G156900.1 locus=Brasy1G156900 ID=Brasy1G156900.1.v1.1 annot-version=v1.1 MAVVVQFLVRKFVDSLEEEAAMELPFSAHFYDMRAELEKAVVSSTNADELQECLYELNDLLSQCRIMLTNRPNTRSCFFSPSEAWLSNKVKKRMVAVKRRVLQCVQNNPSEDAAGLQEDSITTGFSRWTTSWPEQSRMHGFDQQLTELESKAFGDSSPGKLTGVGIVGMGGIGKTALAQLMFNSPQARGRFFPRVWVCLSRTACVGKDVRREVLQSILMALGLEEEGILAIDGGGDSLGDLELAVHEHLKGKRYIIVFDDVWNIDGWYADVVGCQNTVPRGDQWSDRLAYGLPKERGGVVVVTSRLEQVAEMMVGKSSIYRVQQLADRESSWAIFMDALSKERRPIDLTAVNSMKEEILETCGGLPSTAKAMADIFVKSLSIQTPTSSQELRFSGNVR* >Brasy1G423500.1.p pacid=40054204 transcript=Brasy1G423500.1 locus=Brasy1G423500 ID=Brasy1G423500.1.v1.1 annot-version=v1.1 MAETESAGRGRPGAAARRKAKEAAVGAVARALFYPTLLYNVVRSKFQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLVPSSMYQSRGIDHLVIPTRDYMFAPSLVDINEAVEFIHRNTSLGRITYIHCKAGRGRSTTVVLCYLVKYKNMTPTAAFEYVRSKRARVLLTRSQWRVVKEFSNKSADHPAVVSHPAAASPSGSAVLLAEADLEDSDLTQDIIKDANLSSHKPPHQDQ* >Brasy1G012900.1.p pacid=40054205 transcript=Brasy1G012900.1 locus=Brasy1G012900 ID=Brasy1G012900.1.v1.1 annot-version=v1.1 MAAEWVLMATGRTPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEIALSGGRFQSCLREIRKRARDVEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGLACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNDDGSDSIAVQLADEAHWDDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRILKMEEAIKKRDFESFARLTCTDSNQFHAVCMDTSPPIFYMNDTSHRIISLVEKWNHSEGTPQVAYTFDAGPNAVLIARNRKTATLLLQRLLYCFPPQDNDLDSYMVGDKSILSDAGLQSIADVEALPTPPEMKTPNQKFKGDVSYFICSRPGAGPKVLVDESQALIDSATGLAKGV* >Brasy1G472200.1.p pacid=40054206 transcript=Brasy1G472200.1 locus=Brasy1G472200 ID=Brasy1G472200.1.v1.1 annot-version=v1.1 MAATATATAMATATAPAAAPVVTALCSSLPQRLRFCPKPLLSTSRRLVLPVPKSSSWDGAASDQEDAESEAEAVSGEDAEEDEDGDKKPLAEPVSSSEFQFAAPPEGYVEPAPFDELPPESPDDVTAAYEALYGPAFSGVSLMGNNVFEVKVVDPVDMDRDQRPNDDFTERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVTEAITKAGMNGRRNLVTVPLTKYSTFPHRASADYGAAKVMLRPACPGSGVTAGGAVRIVLEMAGVENALGKQLRSKNPLNNARATVKATQMMRQFSDVAAERGLPMEELWK* >Brasy1G551300.1.p pacid=40054207 transcript=Brasy1G551300.1 locus=Brasy1G551300 ID=Brasy1G551300.1.v1.1 annot-version=v1.1 MELSCSREADTILLHPILGFSGTLTVIALEESWTRCPLQKISTTNLSTGVYIPYALETATLICCSREFIPKNKDSILQGLGDSIVGPISCLSNTSQFVYLMASGESMSLLPLDCTVVSNGIPMPYDYHSDSAASFPKFPERAKRIITSAEATLSWLIPNITTICQECEQKGHPCGFSSRRRQAFCKHNGSRVKVIAATSSVATCVVLLLTAATALYLSLKSKTDEEVRLKIEIFLEAYGTSKPTRYTFSEVKKITRRFKDKLGNGGFGSVYRGQLASGVPVAVKMLENSKGNGEDFINEVATIGRIHHANVVRLLGFCSEGTRRALIYQFMPNGSLEKYIFAHESDIFRELLGPNKMLEIASGIAQGIEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCAREHSIVTLTAARGTMGYIAPELYSRNFGRISNKSDVYSFGMLVLEMVSGRRNSDAWIENQNEVYMPEWIYEKIITEQELESTREMKQEEKEIVRKLAIVALWCIQWNPKNRPSMPKVSNMLTGSFLSLTMPPKPFVSSSGYVMPRI* >Brasy1G334900.1.p pacid=40054208 transcript=Brasy1G334900.1 locus=Brasy1G334900 ID=Brasy1G334900.1.v1.1 annot-version=v1.1 MKRNKPRPRERTRNQTPRRRQRQDDGGRRPTMQGEHARASAAEKRPGRCCARRRRRRSEWRYRLRRPAWVKSHELSCR* >Brasy1G037700.1.p pacid=40054209 transcript=Brasy1G037700.1 locus=Brasy1G037700 ID=Brasy1G037700.1.v1.1 annot-version=v1.1 MFICCPSGISRDTTGHTTTELILHNLKSYGHGSLGTYVPITMLVSSGESGEAYVWGVLAWAVSFSYLTASRLMATCTAHQLMCDQHPLTHSLRQRLLRMHACAGLGGEGGRRAIAGAAPAGPGLGMSETRRGPRPHVAFLTFSLSLGLSVRGPSCLLLTCQLLGRASTVGGRGRLLCACILPGIMCFWAELQEHGWEDQMGVPADLL* >Brasy1G267100.1.p pacid=40054210 transcript=Brasy1G267100.1 locus=Brasy1G267100 ID=Brasy1G267100.1.v1.1 annot-version=v1.1 MEGGSSSSSSDKYAERCALAAELARVLDTVRQLEAHMGRGGPADVGGERCRALVSSMRCSVDRSIHIAMSCCVVPGAPESPPSADSGGGLDDRAADDSRCRAANAAGQSKKRKTLPRWNTQVRVNSVQDVVPLEDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCSASKQVQRTDGDPLLFDVVYHGNHTCAQATATHCSTVQSPRLAENWQPQPAGQGQGQEKSPMISVAVGFNGTEGGAPQGLLEPAPFSFPSKPEPAAGPDAASNDFPEWTECQVSGAKNVPDVELASSTANSPIGDMEFMLQLAEADFLDNSRYF* >Brasy1G103900.1.p pacid=40054211 transcript=Brasy1G103900.1 locus=Brasy1G103900 ID=Brasy1G103900.1.v1.1 annot-version=v1.1 MAEEPQPQAVDAAPAPEVVATEKAPAEVEKKAEETAATDAAEAETEETAAVADDGGAIEATGSFKEESNLVADLPDPEKKALDEFKQLIVAALAAGEFNLPPPPPPPKAKSEAAAEETKTEEPAKEEAKTEEPAKEELKADEPAKEEPKADEPAKEEPTAEAAAEAAADEPKVEVVAEAAAEEPAKVEPEAEEVKPAEPKTEEEAVAATEEGTKTAEAIEETVAAASEPAAAPEAEAAAPEPVLIWGVPLVGDDERTDAVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIASLLDADLAFPELEKVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGILSQLDFAPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASQAKSPETLFRYIAPEQVPLQFGGLFKEDDPEFTTSDSVTELTIKASSKETIEIPVTENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGESGKIALTINNPASKKKKLLYRSKVKSTSESV* >Brasy1G191200.1.p pacid=40054212 transcript=Brasy1G191200.1 locus=Brasy1G191200 ID=Brasy1G191200.1.v1.1 annot-version=v1.1 MDVISNEARVGAFAIGPSTAAGRALALRVLLCGSLARLRHRLALALRAAMPLVAAWLHPRENTRGILLAVCAVALLLRGRGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLERETPRRATDADLYDEELVRNKLRELIHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDELPLEEKLAFMHETRHAFGRSALLLSGGASFGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCAIVATRSWPELESFFEEWHSLKFFDQMGGIFPVFKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDITGRVLVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGVEERADAATRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRFNQVLELGFPLGGIAKLFAQHWEGDVTIVMPATLAQYSKIIQNPSYAELQKAARQGRRCTWEKLSAIRANCAIELALDECVALLNHMRRLKRSAERAAASQGYGATVRLCPSRRIPSWNLIARENSTGSLDDEILPSPNRINHQVVGGIAGPSNRNDHLQRSIHDSSDSESESTDLNSWTRSGGPLMRTASANKFISFVQNLEIDTEFRALSPRESGSDTVSPNNNNFSPGDTIDREPVDNCTMRVNPHRSSGNSGCGPHDTTVPRSPFGLTTSIMVPEGDLLQPEKIGNGILFNVVRRDTLLASTTGVDPHGSSREADVETVPTECLYGASDDDDMELNVDDEAAPDPGVYMSSRNIAEKLDLSGSTGCQTVTSATRSEAPSLFNICVETPPATPTTKISRHGEPCSEAGLEIVKTECPDGSSTPGNDKADSGSC* >Brasy1G284100.1.p pacid=40054213 transcript=Brasy1G284100.1 locus=Brasy1G284100 ID=Brasy1G284100.1.v1.1 annot-version=v1.1 MARKCSHCGNYGHNSRTCTSSSSGGGAGGQREIMLCEGGGSGLRLFGVQVHVAAGASMRKSYSMDCLQLAAAPSSLVSPSSSSSSSVLLSIDKGLERASNGYLSDGPHGRLVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTSRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENGMRASEQPSVLINNGDDGASTSSLPRYRPSSDMAAIDLNSTEEDDDMADVSLSSVPLIPAAALTMEQLPHGHSHGGHQHCSRLDLDLGMSLSTPSIGT* >Brasy1G186300.1.p pacid=40054214 transcript=Brasy1G186300.1 locus=Brasy1G186300 ID=Brasy1G186300.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKYLAIDLRLLAQKYNENMMVCRKCYARLPLNSINCRKRKCGHSSEVRMKKRFISKFGKDKD* >Brasy1G372800.1.p pacid=40054215 transcript=Brasy1G372800.1 locus=Brasy1G372800 ID=Brasy1G372800.1.v1.1 annot-version=v1.1 MANRYDNTSSWFPFPAPATSLIWPQSQAASCRFSSSPAAFENDALASALLRASMMPPPPSSPTPSSSTTTTSSASELSSVHHHDAPGPSAANNKVQARRGKGRGGVSKRKPKPRASRRAPTTYISAAPADFRRMVQEVTGLAVPAGAACPAASAAWPQDQLAFVLPTLDTSASFMLDRAPLLPPLQRWGEDKAKASSDGAATAMAATAREETVPEDYASLSLMRELEMMVGAPAAASSSSFPTLESWGMI* >Brasy1G159300.1.p pacid=40054216 transcript=Brasy1G159300.1 locus=Brasy1G159300 ID=Brasy1G159300.1.v1.1 annot-version=v1.1 MAKSKLTIVSLLLVAASLGAVLLWSAGANVGDGWGASSFTPSTLPFSPLDVLPILPRRVAMAALRALRGASDIFPVFVGAALPGPGPAGGARAGWKGACFYENEAWLVFHNDSGSEYGGGTLHLKTTKAHSWTCMDLYVFATPYRVTWDYYFLGREHTLEIKEWESKAEYEYVKHNGVSIFLMPSGTIGTLRALWDVFPLFTNTGWGENSNLAFLKKHMGATFDERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLRQDLRAKFNETAAWNYAKKMNGKPYGYHNMIFSWIDTISHNYPPPLDAHVVASVMTVWNKLQPDYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTIPEQDKWVYTDGQSASCVAYVLMMYKEAGLFEPISSSVEVTEFTIKDAYVLNFYEDNTTRLPEWCNKDDSVKLPFCQIKGRYRMELPGYNSMKPYPHMNERCPSLPPDYLRTQNC* >Brasy1G159300.2.p pacid=40054217 transcript=Brasy1G159300.2 locus=Brasy1G159300 ID=Brasy1G159300.2.v1.1 annot-version=v1.1 MRASRFKLLVLPLLLLVALLLAASPGHLLEGQLRAPILPADFLPLLPWSVARPLLRRLTLQSPADLLPAFVGAARVGDDARAAKWKGACFYENRAWMEFRNGTNGGLGGGTVHLETTKAHSWTCMDLYVFATPYRVTWDYYFLGREHTLEIKEWESKAEYEYVKHNGVSIFLMPSGTIGTLRALWDVFPLFTNTGWGENSNLAFLKKHMGATFDERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLRQDLRAKFNETAAWNYAKKMNGKPYGYHNMIFSWIDTISHNYPPPLDAHVVASVMTVWNKLQPDYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTIPEQDKWVYTDGQSASCVAYVLMMYKEAGLFEPISSSVEVTEFTIKDAYVLNFYEDNTTRLPEWCNKDDSVKLPFCQIKGRYRMELPGYNSMKPYPHMNERCPSLPPDYLRTQNC* >Brasy1G159300.3.p pacid=40054218 transcript=Brasy1G159300.3 locus=Brasy1G159300 ID=Brasy1G159300.3.v1.1 annot-version=v1.1 MDLYVFATPYRVTWDYYFLGREHTLEIKEWESKAEYEYVKHNGVSIFLMPSGTIGTLRALWDVFPLFTNTGWGENSNLAFLKKHMGATFDERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTKDDSNPQIALLPLRQDLRAKFNETAAWNYAKKMNGKPYGYHNMIFSWIDTISHNYPPPLDAHVVASVMTVWNKLQPDYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTIPEQDKWVYTDGQSASCVAYVLMMYKEAGLFEPISSSVEVTEFTIKDAYVLNFYEDNTTRLPEWCNKDDSVKLPFCQIKGRYRMELPGYNSMKPYPHMNERCPSLPPDYLRTQNC* >Brasy1G252100.1.p pacid=40054219 transcript=Brasy1G252100.1 locus=Brasy1G252100 ID=Brasy1G252100.1.v1.1 annot-version=v1.1 MDKKDHKERPVPLLHNLPDLVSLLHQWACVVSSSSFGGGIALNSKFIQMFLPLHSITINPSNLLQILFVALSLHSV >Brasy1G518400.1.p pacid=40054220 transcript=Brasy1G518400.1 locus=Brasy1G518400 ID=Brasy1G518400.1.v1.1 annot-version=v1.1 MDTSVSSSSPLRFSQNSTKPRSTVNPGPRLVPAGGGGRQLPAASRLRAISPSPSPSAPTPMETFGFEALKETFSVDVSAAEARPLDVPLAAPFTIASSRLEAVSNVAVRVELSSGAVGWGEAPVLPSVTAEDQPAALAAVARACAKLAGAPGAPLGAVLEDVAAALPGHAFASARAGVEMAVIDAVANSIRIPLWRLFGGASNSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIAAMEKYRVAVAADESCRSLLDAQKIIHGNLSHVINIKLAKLGVLGALEVIDAARKAGIALMIGGMVETRIAMGFAGHLAAGLGCFSFIDLDTPLLLSEDPVYGGYEASGPLYKFTNARGHGGFLHLDNNNGSK* >Brasy1G004300.1.p pacid=40054221 transcript=Brasy1G004300.1 locus=Brasy1G004300 ID=Brasy1G004300.1.v1.1 annot-version=v1.1 MAALDLSKLVKEKKFWVASFLVAWAAALQGHMMWLQRQDAFKDKFGDPNKVLTETNQPPPPPPKNEQVTADAEFR* >Brasy1G312700.1.p pacid=40054222 transcript=Brasy1G312700.1 locus=Brasy1G312700 ID=Brasy1G312700.1.v1.1 annot-version=v1.1 MVSHIRPLSLTAAAAPLCAPPAPIATGRSVAGRRWRSVRASAGGGGWLSGLLGGKGGGGGGGAPTAMTVTPGTVKAGDPVLHEPAQYVAPEDVPSEKVQSVIDQMIAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIDAQDRRPFDLLVIINPKLKKTSKRTALFYEGCLSVDGYRAVVERHLDVEVSGLDRSGRPVKVEASGWQARILQHECDHLEGTLYVDKMVPRTFRVVDNLDLPLATGCPPLGAR* >Brasy1G362600.1.p pacid=40054223 transcript=Brasy1G362600.1 locus=Brasy1G362600 ID=Brasy1G362600.1.v1.1 annot-version=v1.1 MYQPTEQWRSVFQGEVLRALDDCLDARRHITDANRDQAAVLGPDADADDATKLRSAAENIAKASRCLSASMAHLGAAEFLALRGCGRIPTEPVASAQLLHDGDDVRLALAKLQSARLRECGGRVQGVAFMLGAPFPGPHARASVEAEICAVARELWYAHVRTVSMTALVILATKPVARIQRPAARSPSSFRSIDLSAAAAA* >Brasy1G353600.1.p pacid=40054224 transcript=Brasy1G353600.1 locus=Brasy1G353600 ID=Brasy1G353600.1.v1.1 annot-version=v1.1 MSAAVEEQMVVKAIREECPWESLPKRLQSTLHTKDEWHRRIVDYCIRKRLQWNTCFARRVYREGEYYEEMMRYLRKNLALYPYHLADYICRVLRISPFRYYCDILFETMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKDLLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKIIDDLYKRGLVYFDVPVYTDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDADSLLKDEGGPAFPSSILSDDEEGSNASISSEKSGQQSLSIDTNGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAITLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRWSLECLKSGGVSTSENSDKTDDANTSTSSLHDNVTAHLVNVNIEDTTEGHDEVPHDNRSTGDLDNKDGNLSSMSVDLSEDGESLVRVKAENGSTDTNVLMIQRKYTVDVLRCESLASLAPATLERLFLRDYDIIVSIVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPLSAVFMKGQRFRLLPEPLAGCEKALLWSWDDSVVGGLGGNFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSTKDLDGAGNLVTVDIPLPLKNDDNSIASVVAQANLPKEQVFNLTSVLKDLSDKFELSTLGYLRLLRLNRLVESDKFHPEDTSYQWVPLSLEFGIPLFNPNLCERICEQVVASHILQKADLTEHNDVMHNVRRRLRELCSEYQATGPVAKLFNKRGSSRDLPRTLINSISSRWNLTNDPASPTNRGAPSEHERLKLAGRQRCRTEVVGFDGSTVRSYALSPEHDESTSKPISGDQSSTHEGKSDAEDADSKDVVLPGVNLVFDGVELHPFDIGACLQARQPLWLIAEASVASSTFL* >Brasy1G353600.2.p pacid=40054225 transcript=Brasy1G353600.2 locus=Brasy1G353600 ID=Brasy1G353600.2.v1.1 annot-version=v1.1 MSAAVEEQMVVKAIREECPWESLPKRLQSTLHTKDEWHRRIVDYCIRKRLQWNTCFARRVYREGEYYEEMMRYLRKNLALYPYHLADYICRVLRISPFRYYCDILFETMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKDLLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKIIDDLYKRGLVYFDVPVYTDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDADSLLKDEGGPAFPSSILSDDEEGSNASISSEKSGQQSLSIDTNGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAITLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRWSLECLKSGGVSTSENSDKTDDANTSTSSLHDNVTAHLVNVNIEDTTEGHDEVPHDNRSTGDLDNKDGNLSSMSVDLSEDGESLVRVKAENGSTDTNVLMIQRKYTVDVLRCESLASLAPATLERLFLRDYDIIVSIVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPLSAVFMKGQRFRLLPEPLAGCEKALLWSWDDSVVGGLGGNFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSTKDLDGAGNLVTVDIPLPLKNDDNSIASVVAQANLPKEQVFNLTSVLKDLSDKFELSTLGYLRLLRLNRLVESDKFHPEDTSYQWVPLSLEFGIPLFNPNLCERICEQVVASHILQKADLTEHNDVMHNVRRRLRELCSEYQATGPVAKLFNKRGSSRDLPRTLINSISSRWNLTNDPASPTNRGAPSEHERLKLAGRQRCRTEVVGFDGSTVRSYALSPEHDESTSKPISGDQSSTHEGKSDAEDADSKDVVLPGVNLVFDGVELHPFDIGACLQARQPLWLIAEASVASSTFL* >Brasy1G165900.1.p pacid=40054226 transcript=Brasy1G165900.1 locus=Brasy1G165900 ID=Brasy1G165900.1.v1.1 annot-version=v1.1 MGRRALSLQLIPILIIVATTFFVSPAVATDAIDQTTSITGNQTLVSAGGIFELGFFSPPGGRTYLGIWYASIPGRTVVWVANRQDPLVSTPGVLRLSPDGRLLILDRQNATVWSSPAPARNLTTLATAQLRDDGNFLLSSDGSGSPESVAWQSFDYPTDTLLPGMKLGVDLRRRLARNLTSWSSPTDPSPGPYTFKIVLGGLPEFILFKGPAKIYASGPYNGAGLTGVPDLRSPDFNFRVVSSPDETYYSYSIADPGSTLLSRFVMDGAAGQVQRFVWTNGAWSSFWYYPTDPCDSYGKCGPFGYCDIGQSPLCSCLPGFQPKSPQQWSLRDNAGGCARTTNLSCGPGDGFWPVSRMKLPEATNATMYAGLTLDQCRQACLANCSCRAYSAANMSGGVSRGCVVWTVDLLDMRQYPSVVQDVYIRLARSEVDALNAAAANSRRHHPNRSLVIAIVTTVSGVLLLGAVVVCCYLWRKKAGKKRQFENTPSSQGDVLPFRVRKHPALSSPQDQRLDGNRMSTENDLDLPLFDLEVLMAATDNFSEDSKIGQGGFGPVYMAKLEDGQEVAVKRLSRRSVQGVGEFTNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEFMHNNSLDTFIFDEGKRKLLEWKIRFEIILGIARGLLYLHEDSRVRIIHRDLKASNVLLDRNMIPKISDFGIARMFGGDQTTAYTIKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVLMLEIITGKRNRGFYDHELDLNLLGYAWMCWKEGRGVDLLDESMGGRPDYSAVLRCIQVALLCVEVHPRNRPLMSSVVMMLSSENATLPEPNEPGVNIGKNSSDTDSSQTHTATNFTGTAIDAR* >Brasy1G210800.1.p pacid=40054227 transcript=Brasy1G210800.1 locus=Brasy1G210800 ID=Brasy1G210800.1.v1.1 annot-version=v1.1 MAEHKESESVVQSMMDKVSDKLHGSGDSSSSSSDSDDEKKGSSSAAAMKSKIYRLFGREKPVHSVLGGGKPADLVLWRNKTTSGVVLAGATSIWLLFEVMEYHLLTLVCHCLILCLATLFLWSNACTFINKSPPNIPEVKIPEDLTVNIALSLRYEINRGFSTLRNIGHGRDLKKFLIVIASLWVLSVLGSCCNFLTLSYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFEEKCLSKIPKGHLKNKKN* >Brasy1G446700.1.p pacid=40054228 transcript=Brasy1G446700.1 locus=Brasy1G446700 ID=Brasy1G446700.1.v1.1 annot-version=v1.1 MPWVPLTDADQSPAALLWFVVKRESRFHACIKGESSREARARALLPQVSIPTATRYSFRRAAVLIRGEEKNRRRRRRRRRRMKHTTSDSDVTSLATTSPSRSPKRGSGGAAYYVVSPSRDSRESSSTQATPVYNSPLESPSHQSSLGPHSRASSASRFSGVLRSPSAGSGSGAGRKPATGRKRPRRAHGKGWHEVDVIDEGDGEYDDLDDDQELSRRCLAAIWFSVLVVAFALACLVVWGVARRHKPSVLVKSLMVENFYAGEGVDRTGVPTKLVTVNCSLKIDVENPSTMFGIHVSSTSIQLFFSQIPIANGQLEKFYQPKSSRHAAAVTLHGEKTPLYGAGATFGLTGDGGAVPLTLDLAVRTRGYVIGNLVRVTHAKRARCPVVVRGGDQPIRFARSACSYT* >Brasy1G045900.1.p pacid=40054229 transcript=Brasy1G045900.1 locus=Brasy1G045900 ID=Brasy1G045900.1.v1.1 annot-version=v1.1 MDPADEIFQLCRFDLSPLEAVSYYLPRLLSGETLHGAERLIHRADIYGQLEPEDLAAAFPPAPKAERTGDRFFFTLCKRQKGSRTRSARSAGAGTWTIQTTNDVVDHAGVKKGEVKHLSFKKGIKKDKESTGWVMEEYHCLLPEAVVEDGEMVLCKIHLSPSAQKARRGSAAGSQEQEDQPAPMPAASDDGPPCAKRARVDAQDHEAAVEAAADYMDGRFTCTMDELLGGATDEDQEEEAGEAEQQIVDFDFDLPVLDEDALSEIMLSLDEAPMSLPALDGDLVSLSAVEAEQRIVDLPIAGDEDFDFVLPTAEELNAMMSNLHDWPLSFAPDGDLLRFPGLPAVL* >Brasy1G410900.1.p pacid=40054230 transcript=Brasy1G410900.1 locus=Brasy1G410900 ID=Brasy1G410900.1.v1.1 annot-version=v1.1 MPLGKYYCDYCDKEFQDTAAARRRHLQGAQHHRARALWYDSVRRQESIGGASPFLQHDAAILGKGVCNHFVRTGTCKFGDSCRYFHPKPHAVNPASAPSGPVPGAMVQQSNFLGTRPNIVGYQAAEINSFSGNILARHASWGNLPPSLQPPPDGGHPSLPFIDWG* >Brasy1G410900.2.p pacid=40054231 transcript=Brasy1G410900.2 locus=Brasy1G410900 ID=Brasy1G410900.2.v1.1 annot-version=v1.1 MPLGKYYCDYCDKEFQDTAAARRRHLQGAQHHRARALWYDSVRRQESIGGASPFLQHDAAILGKGVCNHFVRTGTCKFGDSCRYFHPKPHAVNPASAPSGNILARHASWGNLPPSLQPPPDGGHPSLPFIDWG* >Brasy1G320600.1.p pacid=40054232 transcript=Brasy1G320600.1 locus=Brasy1G320600 ID=Brasy1G320600.1.v1.1 annot-version=v1.1 MRKGGATMMLMVAVALVFSGVSSASAGGQPQLDPHFYSRSCPQAQQIVASIVGKAHYQDPRMAASLLRLHFHDCFVKGCDASILLDSSASVASEKRSVPNKDSARGFEVVDEIKAALETACPRTVSCADVLALAARDSTVMTGGPGWVVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQGLDNVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGVPDLTLDASAAAVLRQRCPRSGGDQNLFFLDHVTPFKFDNQYYKNLLANKGLLSSDQVLLTGSPATAGLVRLYAANQDIFFQHFAQSMVKMGNVSPLTGASGEVRTNCRRVNHN* >Brasy1G524600.1.p pacid=40054233 transcript=Brasy1G524600.1 locus=Brasy1G524600 ID=Brasy1G524600.1.v1.1 annot-version=v1.1 MNKRLLAWFPIPHGWWSKIIKIGRQNEKHKDLTVAVYTIWNIWKERNRRIFQKESISKEGVVVLINTDISLFREARGE* >Brasy1G213500.1.p pacid=40054234 transcript=Brasy1G213500.1 locus=Brasy1G213500 ID=Brasy1G213500.1.v1.1 annot-version=v1.1 MARARSAALLVLTLLLVCAAAAAPARKSKGKVGGSGRAEAEAGCRDLATRDDCVASGGGSICRWCRSEALDDMCFGAAEAWGLPDQIFSCDSPAAAAHTNR* >Brasy1G549300.1.p pacid=40054235 transcript=Brasy1G549300.1 locus=Brasy1G549300 ID=Brasy1G549300.1.v1.1 annot-version=v1.1 MRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGNVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRDLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPGGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLQLEMAGGRRNADPNAANSSQAYFPSWMYDRLIEQEVCEISAPVADMHELEKKLCIVGLWCIQMRSHDRPTMGEVI* >Brasy1G292300.1.p pacid=40054236 transcript=Brasy1G292300.1 locus=Brasy1G292300 ID=Brasy1G292300.1.v1.1 annot-version=v1.1 MASKGPRSKLDHETRARRKALEAPREPRKPKAHWDHVLGEMVWLAKEFESERKWKLSMAKKIAQRANMGIVDQATKDEKKQKEGEHRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTQENGPLRTNLPSQEEVAEPSQMNQPSQEEVAEPMQTNQPSHEEVAEENTNAPMPDDLDKMETDDDYNSSNEEPEDDEHTIDEDEAHITEAERNEELAALQAEADLPLDDILKLYTKTKAQAVSVSRESSPASKDTFSNSDLKNLIKDPSNQANGCNHESGDTSSDDSSEEVDDSYSYTEFVKKNHGKSNGSIPSVSEQEDKDYVATDEGKDDEATLSEEEELAKEDSSDPPDEIKLLQKESEIPLEELLARYQMDGYADDITTELENSPTHSNEEVNTDMSVDGRSADILKLNNDTLENHEITDMLETEHVSGDALQLEIVSEPSVQGCSVKEDELIDAKVMADEETGDSVIDDAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMILDKGPFSQADLSDMNLVFTQNEFNMTSWEVDEIAAACSPGITSRGSGAEFSCSNMDGQRSIGTNVFEEIQKALQEERMKEAKERAASIAWWNRIRCQKRPIYGTNIREVLTIRHPTCDVLEKKSNPLCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAATPICWCKKRKSPVLLEPAYRELCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQHGSYNTEFFKKLDPMEFFSGHAPLNVKDQQKDRSMPSVSSNETGLALSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEAAGRLEDDELVNEEEAKRDEHSSEDNKHQCSDVDKDKNVALPINQLDEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAVNYQVNVVEEEWELERIEKLKEDLEAEIDEDQEPLSYETWDVDFATTAYRQHVEALAKKQLLEEQEKQAREAAKELEETNDIMSSHRKTSKKNKRKVGKFKSLKRGRLSSESDAMLDETSVDTMSIDDNAPSPELMSDESPHHCSNKRKKMTSGNEEVSSSSRNLKKFKKAPKSNCISESSSRKHLLEGKRLKLKDKVNDSDPKLMSIKIDGRISTPCVPVKRVMVIKPERLKRKGLIWPRDCVSDSWTTEEDVVLCGTVHEYGPVWELASEFLHSIPGGAFYRGRYRHPVHCCERFRELICKHVLSATDKSNSEKEKAPSGTGKAILKVSEDQTQMLLNVISEIPNNELLLQKHFMAILSSVWRSKCGREPCRVTTTCSSAPHQPVRFSENWSMTNYRPTSNLIKTALADAQAQCPRAVIPRNQESRRNYLELVLDFRTDQHVHDTDFPSAVNVSILEPEPVKRAVVLVDQSLLSGLSHRNDDYRFRIPSKACFDGEGSHWASSAFQIYDAVQHKAGPKYVGKHKAASESGRPPKSKIQRTTEPQEVPTARNDYLRAPDQLLTSAAEFHITQSLTDFGINDSEFTYFEDLPLKTDTEFSPCQYDFASLPDIEELDPLSDFTDIG* >Brasy1G431300.1.p pacid=40054237 transcript=Brasy1G431300.1 locus=Brasy1G431300 ID=Brasy1G431300.1.v1.1 annot-version=v1.1 MDSITLKERDEEVALFTRKEDQRELIYERRKKGECFNCGKSGHFARDCWSKKHSGKEVVTMVDVVVEEKEWDAQKGLSMMEDEVYFVEDLTEGEHPSTQGVALEESREEENDDEEEWDAEAGFSTEEDLEESGEEKNNDEEEWDAEGGFLMDDLEESGEEEKDEEQECEIENDFSTEVRNLEPMDELQVFGYDSDEDLEDHEDKPKEQELIYYLDEKPHVEARYNENGGEALVMKDDPLAKIQEENEYKCVDHPTLEEPHRRQLEAKKHEVWRTKPPDPRYVNASLSQVSSYMEVTKGQVWRRTTKNTELQECNEVKKNSMHQEKKKVRNGRAQKIQGHR* >Brasy1G201100.1.p pacid=40054238 transcript=Brasy1G201100.1 locus=Brasy1G201100 ID=Brasy1G201100.1.v1.1 annot-version=v1.1 MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVKLDEARARDLPISGGVLCSVPPDVEVEVYRGSPERSRPVSPGAAPGEPFVCSFQKMAEHFNRKAGLLETVPLGSFNSLFSFTGAWKNDAAATKSLAVDGCSVPLNRVKITSDQLILHESVKLAIPHSWDPSTLASFVENYGTHIITSVMVGGKDEVYIKQHSSSQLSEVEFKNYVREIGNERFSNGESKSDASPINYTEKDMTVIFRRRGGCDLVQSSADWIRTVSSAPDVIGMTFLPIVSLVDDMPGKKHIARAIDLYLTYKPPIEELQYFLDFQVPLVWAPVPPGIAGQNRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLRLCLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSLMHRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY* >Brasy1G201100.2.p pacid=40054239 transcript=Brasy1G201100.2 locus=Brasy1G201100 ID=Brasy1G201100.2.v1.1 annot-version=v1.1 MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVKLDEARARDLPISGGVLCSVPPDVEVEVYRGSPERSRPVSPGAAPGEPFVCSFQKMAEHFNRKAGLLETVPLGSFNSLFSFTGAWKNDAAATKSLAVDGCSVPLNRVKITSDQLILHESVKLAIPHSWDPSTLASFVENYGTHIITSVMVGGKDEVYIKQHSSSQLSEVEFKNYVREIGNERFSNGESKSDASPINYTEKDMTVIFRRRGGCDLVQSSADWIRTVSSAPDVIGMTFLPIVSLVDDMPGKKHIARAIDLYLTYKPPIEELQYFLDFQVPLVWAPVPPGIAGQNRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLRLCLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSLMHRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY* >Brasy1G274100.1.p pacid=40054240 transcript=Brasy1G274100.1 locus=Brasy1G274100 ID=Brasy1G274100.1.v1.1 annot-version=v1.1 MLPTPPLAALSKAAAARRAAAAGSSVAAQHLLLSSISSPILESATPSPFISRRHIRLMPQGDRVPRAPLPPHGRCFAVAAAGTAGVEGEVPALLVVSFYRFADLPDHADLRRPLRELCEELRISGGIILAPEGINGSICGTPEAVEKVLNFIQTDSRLKGLRMIQSPVTPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVTFGDPDVMPTKIVGKYVKPKDWNSLISDPDTVVIDVRNMYEIRIGKFKKAVDPCTKSFRDFASWVDNQFKLAEPDSQQSVHGDSGTDLSAAGLNSSKPKELPRVAMYCTGGIRCEKASCFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPEWEYAVSCPYCFATKSEDEKERARARQRQFETWGVIGGPDKGRSPQRPEANHVVEEAKQLPNSIQPETAG* >Brasy1G447900.1.p pacid=40054241 transcript=Brasy1G447900.1 locus=Brasy1G447900 ID=Brasy1G447900.1.v1.1 annot-version=v1.1 MHTMQLIYKSFRQICCPERQLVTFARDEDKWLLYEAKDDVKVYSSWEELRNCGRLEVCPGVLFLEVIK* >Brasy1G274000.1.p pacid=40054242 transcript=Brasy1G274000.1 locus=Brasy1G274000 ID=Brasy1G274000.1.v1.1 annot-version=v1.1 MSMAMEQPTPYELLMGELIKGKDLAARLQGLLGHSPEAGLIVDQILHAFSRAIDAAKPGSIGVGSEVQSEVTCAGKRKAAAAAAARRGTSRRRQSSGFSKVVKNVEDGQSWRKYGQKDIQNSEHPKYVPALPFPSIIYDSKIPNVTVLFVSPRRSYFRCTHKYDQKCAALRQVQRCDQDPESFLVTYIGQHTCQDPHDMAAPPRFIHEAGANAEEGLHAGSHLICFAPNAGPASTTTSVTTNQTGIGMDGAAPGSASGMPILKLEGGDQEEVRSCLTPGSSAVHSTAAAAGAGPDQGDVSSAPQFYEDGAGLGEFFGLEDILDLY* >Brasy1G274000.2.p pacid=40054243 transcript=Brasy1G274000.2 locus=Brasy1G274000 ID=Brasy1G274000.2.v1.1 annot-version=v1.1 MSMAMEQPTPYELLMGELIKGKDLAARLQGLLGHSPEAGLIVDQILHAFSRAIDAAKPGSIGVGSEVQSEVTCAGKRKAAAAAAARRGTSRRRQSSGFSKVVKNVEDGQSWRKYGQKDIQNSEHPKSYFRCTHKYDQKCAALRQVQRCDQDPESFLVTYIGQHTCQDPHDMAAPPRFIHEAGANAEEGLHAGSHLICFAPNAGPASTTTSVTTNQTGIGMDGAAPGSASGMPILKLEGGDQEEVRSCLTPGSSAVHSTAAAAGAGPDQGDVSSAPQFYEDGAGLGEFFGLEDILDLY* >Brasy1G260600.1.p pacid=40054244 transcript=Brasy1G260600.1 locus=Brasy1G260600 ID=Brasy1G260600.1.v1.1 annot-version=v1.1 MRLGSLRSQRRSPPLTATSAASCYPSWRWPWNGTIAGPQDRPQAARRWPS* >Brasy1G287100.1.p pacid=40054245 transcript=Brasy1G287100.1 locus=Brasy1G287100 ID=Brasy1G287100.1.v1.1 annot-version=v1.1 MAAGILVDFPSMGSACFFPSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLNTYTDPPLEAVWFFSALNFHDAPDDLRPILHLLSAFASSSPSAAKPLALLAPIVSELFHSSKPRREIEALVEAVLSYISMCSSRSAGGEVNADTERLLPGFGELVKVWSVRRSRDRCPFQVLFPLVGEEARRELMKEGCSVTYLAGVVVAEAFLLRLCLKVQGAAGAPRSDLQKELRIWAVSSISVFQNKQFFGVLLNMLVNPPLPVYSLLSAEDEILVRDVLYDALVLVDYSFLNHGVEVDQADSSLLPIFVSKLVITLDAINDARSKGDQGRAMSFINAFSTSNIPSYLVRWAARQAGSNQLGKPIASTPQAFLKWLVDLEDKGLKVFAANCSRIRERLMYDEVKNGYQSRMIHSDADLFFIDKQSGRDGMDTKGGENEEAVEMETAGNAFMTAAQSMKVMANGIRKRKDCGNEDATAVKFVKYRAEDSSVKDYFLPATNGMSSGSEVENPQSDDEMED* >Brasy1G478400.1.p pacid=40054246 transcript=Brasy1G478400.1 locus=Brasy1G478400 ID=Brasy1G478400.1.v1.1 annot-version=v1.1 MAAAYVLALAALLALASSSFSPQRAHAEVLVHDAALAALAAAGRLRTDAAATAAASTDFGNITSALPAAVLFPSSPADIAVLLRAAPATVSFRGRGHSVMGQALAPGGVVVDMPSLGLGLGSGARINVSTDGKYVDAGGEQQWIDVLRASLRQGVAPRSWTDYLRLTVGGTLSNAGISGQAFSHGPQISNVLELDVITGNGETVTCSKAQNADLFDAALGGLGQFGVIVRARIALEPAPARARWVRLVYTDFSTFSADQERIISGVSPFGAVGYLEGAAYVNHSLASGLKNAGGFFSDADVSRIVALAALRNASSVYAIELTLNYVNATTVDQEVEAVVGELRHEKGFAFARDVAYEAFLDRVHEEETALEKLGLWRVPHPWLNVQVPRSRIADFDRAVFKGILQGTDIAGPLVIYPLNKSKWEEGMSAVTPAEEVFYAVSLLFSSVANDLKKLQAQNQKILQFCDMAGIGYKEYLAHYTTRGDWARHFGDKWDRFVQRKDKYDPKKLLSPGQDIFN* >Brasy1G348100.1.p pacid=40054247 transcript=Brasy1G348100.1 locus=Brasy1G348100 ID=Brasy1G348100.1.v1.1 annot-version=v1.1 MERVASRCVSLLAQRGYSVSAAMAKGAGRSAEERTAVAVKRTMAARKDVATAAAAEEKTAWVPDPVTGCYRPSGGAKEVDAAELRSELC* >Brasy1G348100.2.p pacid=40054248 transcript=Brasy1G348100.2 locus=Brasy1G348100 ID=Brasy1G348100.2.v1.1 annot-version=v1.1 MERVASRCVSLLAQRGYSVSAAMAKGAGRSAEERTAVAVKRTMAARKDVATAAAAEEKTAWVPDPVTGCYRPSGGAKEVDAAELRSELC* >Brasy1G222400.1.p pacid=40054249 transcript=Brasy1G222400.1 locus=Brasy1G222400 ID=Brasy1G222400.1.v1.1 annot-version=v1.1 MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHNKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAIEWCSSKGNIPYYETSAKEDYNVDDAFLSVAKLALEHERDQDIYFQTVADPVPETEQRGGCAC* >Brasy1G063200.1.p pacid=40054250 transcript=Brasy1G063200.1 locus=Brasy1G063200 ID=Brasy1G063200.1.v1.1 annot-version=v1.1 MASFTLVGAFKGLSLSSSSPFLRGDRAALPGRVGGGMGLPARGLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQLAKPGAIIIRQRGTKVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDRKKVSVYPYEKQPENPNSYRARKRENFRLQREKKKARAEGTYEPQLVLASTDGSVEANADC* >Brasy1G394300.1.p pacid=40054251 transcript=Brasy1G394300.1 locus=Brasy1G394300 ID=Brasy1G394300.1.v1.1 annot-version=v1.1 MAMSMAAGGEPVEPQSLKKLSLKSLKRSLDLFAPTHSLLFSPNAESKRIRVNCKVNAEYGAVKNLATEQGRAQGKGAVAPSTTLALPGTQDTKDACREGTSNAIIPAPVMLPKAPDSAVPGKNTTVLSIPGSSDRFSTSALMERLPSRWPKPAWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHISALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTILNAMAVNEDGVLATAGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDNSGSRLVTCEADKTIKMWREDLTATPETHPINFKPPKDIRRY* >Brasy1G438100.1.p pacid=40054252 transcript=Brasy1G438100.1 locus=Brasy1G438100 ID=Brasy1G438100.1.v1.1 annot-version=v1.1 MVITGARRVAVLLLVVCAAARPACAGDGLLQNGNFECAPDGSQMNGTRVTNPHAIPNWESTGCVEYIQSGTTQDNGMVLAVPEGAHAVRLGVDSSVRQQLSVANGAHYSVTFSAARTCAQSEKLRLSVVPCSPDHAASALPIQTIYSTSGWDSYSWAFVAAQDGAVTLVIHHADDGVDDPACGPIIDAVAIKTLTIPNPPCQEGGSNMLRNGGFEEGPYVIPGSAACGVLVPPMDEDAVSPLPGWMIMSYSKAVKYIDADHFAVPSGTRAVELVAGVEAALVQEVDTVPGADCRMEFSVGDAGDGCAAACDDASGSGIGMRVTAAAGEGSTSVAHCSKGDGGGWERGVLEFKAVESRTRVVLFSAGYHTRSDGSGTLCGPVVDDVSLVCAASSAPPPARRRLLRR* >Brasy1G438100.2.p pacid=40054253 transcript=Brasy1G438100.2 locus=Brasy1G438100 ID=Brasy1G438100.2.v1.1 annot-version=v1.1 MLSRRLTCSKIRVSDTTEVQSCLLQNGNFECAPDGSQMNGTRVTNPHAIPNWESTGCVEYIQSGTTQDNGMVLAVPEGAHAVRLGVDSSVRQQLSVANGAHYSVTFSAARTCAQSEKLRLSVVPCSPDHAASALPIQTIYSTSGWDSYSWAFVAAQDGAVTLVIHHADDGVDDPACGPIIDAVAIKTLTIPNPPCQEGGSNMLRNGGFEEGPYVIPGSAACGVLVPPMDEDAVSPLPGWMIMSYSKAVKYIDADHFAVPSGTRAVELVAGVEAALVQEVDTVPGADCRMEFSVGDAGDGCAAACDDASGSGIGMRVTAAAGEGSTSVAHCSKGDGGGWERGVLEFKAVESRTRVVLFSAGYHTRSDGSGTLCGPVVDDVSLVCAASSAPPPARRRLLRR* >Brasy1G072600.1.p pacid=40054254 transcript=Brasy1G072600.1 locus=Brasy1G072600 ID=Brasy1G072600.1.v1.1 annot-version=v1.1 MDEAGRAPAPAAAVTVTASSSAANASDPSPPPPPAPAANAATDTDAPSPDPDALYDEGMWQQMTMSGGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGMVQLNTLGYPLRLNEKECAYYLKTGQCKYGNTCKFNHPELFNAVASSRGSPIYPSVHTSASAGPHSYAGTISNWTYPRGSFIPSPRWQNPSNYAPMIVQQGLVQVPSWNSYPGQMLPVSSSESRLQSPGAQQNYGNYRQGEASSGNQGMLSPYRPSSFPVPQYALQRENVFPERPDQPECIYYIKTGDCKFGAVCKFHHPRVRSLPPPDCVLSPMGLPLRPGEELCKFYSRYGICKFGINCKFDHPMASPMGVYAYGFSASASTNAPMARRLLESPSGSAYTP* >Brasy1G454900.1.p pacid=40054255 transcript=Brasy1G454900.1 locus=Brasy1G454900 ID=Brasy1G454900.1.v1.1 annot-version=v1.1 MAGLACLRRLRLCYVHITGDELGFLLSNSFAMEELVLNGCSKITSLKIPCMLHKLNYLQVFGCKALKVVENKAPNLCSVCIDGALVQFPPGDLFQVKDLVVSLDIYKSNLVHYARTRLPSSMLNLETLKLSSAGEMFNTPIILTKFLKLKNLDISLNDGENRGFSPAYDYCSLVSFLDGCPVLETFKLRVSQTRVKHELISEDSDLRHLPGHCHGNIKNVKIVGFCSAKSMVELTCHILENATSLENLTLDPVNDNGCEDAVRSCVHKPFGCQPVLGRHMIKQAHRGLWAIERYVVGKVPSTVKLNVKKPCSHCHTVE* >Brasy1G193900.1.p pacid=40054256 transcript=Brasy1G193900.1 locus=Brasy1G193900 ID=Brasy1G193900.1.v1.1 annot-version=v1.1 MTIVEMCVHMCCAGCEKKIRKAVEKLEGVDGVEIDMEMQKVTVNGDVEQKKVLKAVRRTGKRAVLWPSTPYNIPGAGAAHLLLAQPAAGGAHTYASGPTSSYNYYKHGYDDSRLYGANSSLVGGTRATDYFSDENTGGCSVM* >Brasy1G534300.1.p pacid=40054257 transcript=Brasy1G534300.1 locus=Brasy1G534300 ID=Brasy1G534300.1.v1.1 annot-version=v1.1 MLPALPLLLLLLPPLLTVRHANAGSLDTDVAALSAFRLAADRSNALATWTNLSSSTSNPCDGTTTPPWRGVTCAGGRVTRLVLEGLSLSGSGALPALARLDGLRVLSLKENALSGPIPDFSPLVGLKLLFLSRNALSGPVPPSLGKLYRLLRLDLSSNNLSGAVPPELNRLDRLLTLRLDSNRLSGPVDTIALPRLQDFNVSGNLFSGRIPAAMAGFPAEVFAGNAGLCGAPLAPCKEEVASSCPPGAAAAMAATKPAAEGGGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSDRRHREGEKIVYSSSPYGAAGVVAAAAAAAPERGKMVFLDDLAGIGRRFELEELLRASAEMLGKGGSGTAYKAVLDDGSVVAVKRLRDNPTPGAASSSSAPGASKKEFEHHMAVLGRLRHPNVVPLNAYYYARDEKLLVYEYMPNGSLFSLLHGNRGGPGRTPLDWAARLRIASGAARGLAFIHHGSRRGRSSGTAGGKLAAHGNIKSTNVLLDRAGEARLADCGLAQLGGCSAAAAMAGYRAPEAPAASASSKPWATQKGDVYALGVVLLELLTGRCPAMAGEGEEALPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPEQRPKAAYVVKMVDEIRACREEPSSGRELSSSSSMDESSAVSDSPAVSEGGGPAVSQ* >Brasy1G262100.1.p pacid=40054258 transcript=Brasy1G262100.1 locus=Brasy1G262100 ID=Brasy1G262100.1.v1.1 annot-version=v1.1 MYQAIPYGSARPWLRPAPAPAAAEAAAAAVVVKMETTASSTEARDQDTRDVKRAVSESPVVVVGRRACCLSHVVKQLLQGLGVNPAVHEVADEAALAGLVPPGPGGAEEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL* >Brasy1G296000.1.p pacid=40054259 transcript=Brasy1G296000.1 locus=Brasy1G296000 ID=Brasy1G296000.1.v1.1 annot-version=v1.1 MGCRHSKVKDEEAVRRCRDRKKLMKQLVSRRVDLAAAHITYLQALRNTGATLRQFAEVESALSQQSPESLSVPASPTPTPPPPPGPRPPAAYSMTSSMPPHSVTSSMPPSPVAAPFLPFSPIRIRKREKRDGELHGDDSTDDDDDTDSCSTPLPPPPPPGMEWEHIDPITMRPLNFPSPFTGGNDKDVASQVTMDDDWVETNTESDGCEGESVSGNFDGIVRRAELHPAKSRALGDENSSVVSWVTKDLDSSAVAWRSEKSLVEIAKEIDEYFLKAAASGSDVVILLDSASGRLDLSEFEAKKGAGKNSKSAKVFSTLSWSWSFKSVQANRESSDGACGYGYHGKTLDKLYDEEQRLYKLVKDEEFARLQYRKYNSLLQRLESGEHDKLHAEKVRDNIELLQTQIISLEEAASSTCLTISKIRDEELYPQVIELCAGLVHMWRNMHECHQVQNHIAQQASLLGNQPGSEPTTDSHCYATSQLEMEVSSWHSSFCNLITLQREYVTILNQWIRLTDCLPDNDGFMRSSSGIRSLCGELQHALEKIPEKEPAEAIKTFLSVIHSIVKQQAEERQLKKKSDNIESKFHTQLEKHSENAMQTSGQPTNARNHSVSKDDPKLEAFRKRVEEEKARYRNSLRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEGISRCSEAVASHSGAASPAVSSCDHPL* >Brasy1G378500.1.p pacid=40054260 transcript=Brasy1G378500.1 locus=Brasy1G378500 ID=Brasy1G378500.1.v1.1 annot-version=v1.1 MRGLAPGLPSVVSCNTLAKGYCLGGRLTGVLDLLSWMLGAALQPNKRTYSIVIDGACGMGYPELGFGFLVSMLRGGLFPSVPTYSCLLAGLCTRGGNLKQALVLYGRMVKMGVRGNIVSYSGFLNALCRAEMVTQAEVLLGDMLVAGCSPNVVTCTALVKGFCTIGRVRHARIVIKHMVNLGIVPNVVTYTTLMSGLCKERRMGEAVGLLGEMQQQGVKPNEFTYSTLLNGLCKEGRLDDAVNLFGQMEKENCANRMFAYNVLISGFCKMGNLAQALKYFHDMGQKGFLPDIVTYNTLMDGYCKLDQIETAKGLLADMEKQGLQPNIVSYNILISFLARLGDAEQAAILAEEMSKKGIELDHIGHCILSQGMQRKV* >Brasy1G162900.1.p pacid=40054261 transcript=Brasy1G162900.1 locus=Brasy1G162900 ID=Brasy1G162900.1.v1.1 annot-version=v1.1 MSMPKEESGKVPLLQPPPVGEEEEELQVQGLGRRILEENRKLWIVAGPSIFTHFSSFGLTVISQAFIGHIGATELAAYALVSTVLMRFGTGILLGMASALGTLCGQSYGGKQYHMLGIYLQRSWIILLAAAILLLPIYIFTQPLLVALGQDPGISAVAGVISLWYIPVMFANVFTFTLQMYLQAQSKNIIITYLAVLNLGIHLFLSWLMTVKYNLGIAGAMGSMVIASWIPVVGQLGFVFFGGCPLTWAGFSSAAFSDLGAIVKLSLSSGLMLCLELWYNTVLVLLAGYMKNAEIALDALSICLNINGLEMMISVGFMGAAGVRVANELGAGSARRAKFAIINVVTTSSSIGLVLFVLFLVLRGQLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNIASYYLIGIPLGAILGYVVGFHLKGIWIGMLLGTLVQTIILLVITLRTDWRKQVKISQERLKRWYIDENGRSQGSDGSI* >Brasy1G053500.1.p pacid=40054262 transcript=Brasy1G053500.1 locus=Brasy1G053500 ID=Brasy1G053500.1.v1.1 annot-version=v1.1 MAQRALELTLISGKDLKDVNLLSKMEVYAVVSLSGDPRSRQRVAADRAGGRNPTWNATLRFTVPANAAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPEGPVPVKFVAYQVRKIGSGKPQGVLNFSYKLGEVTQAAAYAGGAGQAAYAPPQSGYPQAAPYPPQSGYPAAAKADAYPPPSAYPPAGKADAYPPQSAYPPAAKAGGEAAAYPPPSGYPPAGKAGESSTAYPPPSGYPPAGKPAKAGEPVTAYPAAAGSSTGAPYGAPPPQYGYGYPAQQPAGYGYPPPQGGYGGYGYPPQQPQYGGYQQQAVKPPKKSGMGMGLGAGLLGGALGGLLIGDMISDSSSGYDGGFDDGGGFDF* >Brasy1G346500.1.p pacid=40054263 transcript=Brasy1G346500.1 locus=Brasy1G346500 ID=Brasy1G346500.1.v1.1 annot-version=v1.1 MDSSFEAPLLLTRGNNHREEENDEARGGKRGWWKAATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLASGLETICGQAYGAEQYHKLSLYTYRSIIVLLTVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQFQSLIFPMVLSSMTTLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSISISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVASVALSIILCSAVLVSTSLLALRHFIGIAFSNEEEVINYVTRMVPILSVTVITDSFQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPAALFLGFTMQLRGMGFWIGTIAGGATQVTLLSVITAKTNWSKMINAEKGSLPDARLLEGLTRLRREYLRKGFQHKQHSN* >Brasy1G346500.2.p pacid=40054264 transcript=Brasy1G346500.2 locus=Brasy1G346500 ID=Brasy1G346500.2.v1.1 annot-version=v1.1 MDSSFEAPLLLTRGNNHREEENDEARGGKRGWWKAATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLASGLETICGQAYGAEQYHKLSLYTYRSIIVLLTVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQFQSLIFPMVLSSMTTLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSISISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVASVALSIILCSAVLVSTSLLALRHFIGIAFSNEEEVINYVTRMVPILSVTVITDSFQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPAALFLGFTMQLRGMGFWIGTIAGGATQVTLLSVITAKTNWSKMADKAQERVFEERLPTQAAL* >Brasy1G346500.3.p pacid=40054265 transcript=Brasy1G346500.3 locus=Brasy1G346500 ID=Brasy1G346500.3.v1.1 annot-version=v1.1 MDSSFEAPLLLTRGNNHREEENDEARGGKRGWWKAATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLASGLETICGQAYGAEQYHKLSLYTYRSIIVLLTVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQFQSLIFPMVLSSMTTLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSISISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVASVALSIILCSAVLVSTSLLALRHFIGIAFSNEEEVINYVTRMVPILSVTVITDSFQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPAALFLGFTMQLRGMGFWIGTIAGGATQVTLLSVITAKTNWSKMLMLPVYNYII* >Brasy1G346500.4.p pacid=40054266 transcript=Brasy1G346500.4 locus=Brasy1G346500 ID=Brasy1G346500.4.v1.1 annot-version=v1.1 MDSSFEAPLLLTRGNNHREEENDEARGGKRGWWKAATEEAGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLASGLETICGQAYGAEQYHKLSLYTYRSIIVLLTVSVPIAIVWVFIPTVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQFQSLIFPMVLSSMTTLVVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSISISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARMVASVALSIILCSAVLVSTSLLALRHFIGIAFSNEEEVINYVTRMVPILSVTVITDSFQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPAALFLGFTMQLRGMGFWIGTIAGGATQVTLLSVITAKTNWSKMLMLPVYNYII* >Brasy1G044700.1.p pacid=40054267 transcript=Brasy1G044700.1 locus=Brasy1G044700 ID=Brasy1G044700.1.v1.1 annot-version=v1.1 MLEEALQGVDLVVHTAGPFQREAECTVLQAAISTKTAYIDVCDDMDYSWRAKAFHEEAKAQGVPAITTAGIYPGVSNVMAAELVNAARSENEEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKRDVYLLNLPEVKSAHKFLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKDKVRELVELVDPLVRAIDGIAGERVSMRVDLECSNGRSTIGLFSHRKLSVSVGYSVAAFVLAVLEGSTQPGVWFPEEPEGIAIESRKLLLERASQGTTNFVMNKPSWMVETDPKEVILGIYV* >Brasy1G062900.1.p pacid=40054268 transcript=Brasy1G062900.1 locus=Brasy1G062900 ID=Brasy1G062900.1.v1.1 annot-version=v1.1 MSPSLLLPFQGQLPSQSTYKTLSPPFFPPHSVYSSLNLRSLSTHFISCVQELVCSIAVQKWIPFSIEKIRAMRKYRRSRRQRQQQLPNLTLYMAATCVLCLLLTSPAWFPSLCSLLSSFFLTTLPDLATAFLLSPKCLFVVGNLIVAFLINESRQAPPRSQPSSLLDVNGIHEDILEEHMKRSVASVTAATTVVFPDHSTLVGGVWEGEKEERGEEELEKRVEDFIARVKRQRKLEAKSFFDTDR* >Brasy1G078200.1.p pacid=40054269 transcript=Brasy1G078200.1 locus=Brasy1G078200 ID=Brasy1G078200.1.v1.1 annot-version=v1.1 MNPYADNRYADPSSYRDRRSDLAAAPILAPPVPVAVNPYAAYTPMPMPAPAGGDFSRFGQGGGRGYGGGMSYGGRGRGGFGGGPGGFRGGGRGGSNGRDGLDSLSLPKPDFRDLIPFEKSFYVECPAVQAMSEMEVAQYRQLRDITVEGREVPKPIRYFQEANFPDYCMQAILKSGFVEPTPIQSQGWPMALKGRDVIGIAETGSGKTLSYILPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVESLARQFLQNPYKVMIGTAELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKECDKVTRQLRMDGWPALSIHGDKAQSERDYVLSEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTIEDYVHRIGRTGRAGATGMAFTFFTHSNSKYSRNLVKILREAGQVVNPALEAMSKSANSMGGGSNFRSRGRGGFGNRSGSNSIPVRRRF* >Brasy1G078200.2.p pacid=40054270 transcript=Brasy1G078200.2 locus=Brasy1G078200 ID=Brasy1G078200.2.v1.1 annot-version=v1.1 MNPYADNRYADPSSYRDRRSDLAAAPILAPPVPVAVNPYAAYTPMPMPAPAGGDFSRFGQGGGRGYGGGMSYGGRGRGGFGGGPGGFRGGGRGGSNGRDGLDSLSLPKPDFRDLIPFEKSFYVECPAVQAMSEMEVAQYRQLRDITVEGREVPKPIRYFQEANFPDYCMQAILKSGFVEPTPIQSQGWPMALKGRDVIGIAETGSGKTLSYILPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVESLARQFLQNPYKVMIGTAELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKECDKVTRQLRMDGWPALSIHGDKAQSERDYVLSEFKSGKSPIMAATDVAARGLGMVTLFEY* >Brasy1G580000.1.p pacid=40054271 transcript=Brasy1G580000.1 locus=Brasy1G580000 ID=Brasy1G580000.1.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRASHRPGRLRCQIIVPPSLATGGTSISGLFFQASNVHTHVFPSILAYPSICPDQHYTQA* >Brasy1G580000.2.p pacid=40054272 transcript=Brasy1G580000.2 locus=Brasy1G580000 ID=Brasy1G580000.2.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRYILEDRKMDGGIVKIFNLLIYNKMNIQFVDGGSTGKEMDEEE* >Brasy1G580000.4.p pacid=40054273 transcript=Brasy1G580000.4 locus=Brasy1G580000 ID=Brasy1G580000.4.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRPIIGVEKGRRSLRPWSLRMAISCRALILA* >Brasy1G580000.5.p pacid=40054274 transcript=Brasy1G580000.5 locus=Brasy1G580000 ID=Brasy1G580000.5.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRYPFSSCRPPFFASNLAAVSQKLTRNTAK* >Brasy1G580000.3.p pacid=40054275 transcript=Brasy1G580000.3 locus=Brasy1G580000 ID=Brasy1G580000.3.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRCAGLFLWRCRPTVHGWMAN* >Brasy1G580000.6.p pacid=40054276 transcript=Brasy1G580000.6 locus=Brasy1G580000 ID=Brasy1G580000.6.v1.1 annot-version=v1.1 MGLRGPKVVDLGRDGLLVAGDGPWLVPMNERHTFGRSSLPPNGASFSPPPTAPAAAPPPAVFAAHRQLYIEYQQPALFPIPAPALLRKRGCRMPVAAVIASIAGRWRRQPRG* >Brasy1G499500.1.p pacid=40054277 transcript=Brasy1G499500.1 locus=Brasy1G499500 ID=Brasy1G499500.1.v1.1 annot-version=v1.1 MDATSHRSDRVKVGLDRPIDRCVGPTRPDGDKSINHGGVPSPPRPRPPWPPRRRRRRRPPPRPRLRPPGPLRRASPFLWPPPADPYPPAYGGGRDDDYGRHAPPPSYGRDDDGGYGGRAPPPSYGHGGRDDDGGYGGRAPPPSYGRDDDGGYGGRAPAPAYGNVVHVSHESGEEDRPHYGGGSGYGHETRPHQGGGGGGGAPPVRQQTFRIVCKAGEAGFSLAARDGKVCLVRTDRDDDAQHWIKDMKYSTRVKDEEGYPAIALVNKATGEALKHSLGQSHPVRLASYNPEYMDESVLWTESRDVGEGYRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIRFP* >Brasy1G273200.1.p pacid=40054278 transcript=Brasy1G273200.1 locus=Brasy1G273200 ID=Brasy1G273200.1.v1.1 annot-version=v1.1 MATLGLSLIPPWSFLCALGVGAALWCAWRLLARAWLGPRRVARALRSQGLRGTTYRFPSGDMKEYVRLLAAARSDPMPLSSHDITARVLPFDHGVIKQHARILFKSHTAQWPSPPTQASLEPSLPRPAQRTIHDTGAPVPASGTQPRAPSPRLPSPKHGAGHFRPGDRRPAHSSGAIYDPAAGDQQQSTASAPARRPATSRTAAATSTATRQVLSSVLGKIAVTWFGPEPRVVVNDPKLFREILANKHGQFGKQNSILWIERLLANGLTTHQGDKWVNHRRIINHAFHLEKLKRMLPAFAACSSELVRRWVDSVGSGNVQEIDVWPEFENLTGDVISRAAFGSSFGEGRRIFQLQSEQAQNAVKMASVMYIPGYRFLPTKLNARMKANAREVEVLLKGVITKREKAMKDGLANNDDLLGVMMDSNIKESQEAGSSRPTMTTEDIIGELKLFYFAGMETTAVLLTWAMVVLSMHPEWQDRAREEVLLVFGKNPPDSEGINRLKVVTMILHEVLRLYPPILQLSREVYKETELGGVRYPPGVTFALPIVCIHHDPDVWGEDVDEFKPERFAEGIAGASKDSPAFFPFGWGPRICVGQNFALLEAKMGLSMILQNFWFELSPSYKHAPCPVSTLQPQHGAQIKLRKL* >Brasy1G273200.3.p pacid=40054279 transcript=Brasy1G273200.3 locus=Brasy1G273200 ID=Brasy1G273200.3.v1.1 annot-version=v1.1 MATLGLSLIPPWSFLCALGVGAALWCAWRLLARAWLGPRRVARALRSQGLRGTTYRFPSGDMKEYVRLLAAARSDPMPLSSHDITARVLPFDHGVIKQHARILFKSHTAQWPSPPTQASLEPSLPRPAQRTIHDTGAPVPASGTQPRAPSPRLPSPKHGAGHFRPGDRRPAHSSGAIYDPAAGDQQQSTASAPARRPATSRTAAATSTATRQGKIAVTWFGPEPRVVVNDPKLFREILANKHGQFGKQNSILWIERLLANGLTTHQGDKWVNHRRIINHAFHLEKLKRMLPAFAACSSELVRRWVDSVGSGNVQEIDVWPEFENLTGDVISRAAFGSSFGEGRRIFQLQSEQAQNAVKMASVMYIPGYRFLPTKLNARMKANAREVEVLLKGVITKREKAMKDGLANNDDLLGVMMDSNIKESQEAGSSRPTMTTEDIIGELKLFYFAGMETTAVLLTWAMVVLSMHPEWQDRAREEVLLVFGKNPPDSEGINRLKVVTMILHEVLRLYPPILQLSREVYKETELGGVRYPPGVTFALPIVCIHHDPDVWGEDVDEFKPERFAEGIAGASKDSPAFFPFGWGPRICVGQNFALLEAKMGLSMILQNFWFELSPSYKHAPCPVSTLQPQHGAQIKLRKL* >Brasy1G273200.2.p pacid=40054280 transcript=Brasy1G273200.2 locus=Brasy1G273200 ID=Brasy1G273200.2.v1.1 annot-version=v1.1 MATLGLSLIPPWSFLCALGVGAALWCAWRLLARAWLGPRRVARALRSQGLRGTTYRFPSGDMKEYVRLLAAARSDPMPLSSHDITARVLPFDHGVIKQHGKIAVTWFGPEPRVVVNDPKLFREILANKHGQFGKQNSILWIERLLANGLTTHQGDKWVNHRRIINHAFHLEKLKRMLPAFAACSSELVRRWVDSVGSGNVQEIDVWPEFENLTGDVISRAAFGSSFGEGRRIFQLQSEQAQNAVKMASVMYIPGYRFLPTKLNARMKANAREVEVLLKGVITKREKAMKDGLANNDDLLGVMMDSNIKESQEAGSSRPTMTTEDIIGELKLFYFAGMETTAVLLTWAMVVLSMHPEWQDRAREEVLLVFGKNPPDSEGINRLKVVTMILHEVLRLYPPILQLSREVYKETELGGVRYPPGVTFALPIVCIHHDPDVWGEDVDEFKPERFAEGIAGASKDSPAFFPFGWGPRICVGQNFALLEAKMGLSMILQNFWFELSPSYKHAPCPVSTLQPQHGAQIKLRKL* >Brasy1G174500.1.p pacid=40054281 transcript=Brasy1G174500.1 locus=Brasy1G174500 ID=Brasy1G174500.1.v1.1 annot-version=v1.1 MLHPDLEVEHEEVVVLQLTRFRCGSLVVGFTAHHAVADGRGTSSFLVAWGRATRGLDMGLPPLYHHEGLFVPRPSPRVEFDHRNREYYLPPSPFAVASIVDKESIVVHKAHFTKDFIAHLRATASHGRSRPFSRFETILAHIWRTITRARALAPNETSTIRLSVDGRDRLGVPARYANNLVLWAFPHTTVSELLTKPLMHAAQLIHDEVGRVADAGYFQSFIDFACSGDIKEEGLTPSAALNLRDVLCPDLEVHSWLTFPFYDLDFGTGSPAYVMPSYFPVEGLIFLMPSYIGDGSVDAFVPVFQNNLEAFKQCCYSISRDGDSS* >Brasy1G216900.1.p pacid=40054282 transcript=Brasy1G216900.1 locus=Brasy1G216900 ID=Brasy1G216900.1.v1.1 annot-version=v1.1 MNTNKEKQRTEEEEAQNRIRAEEPAKDLASGRKRILEVGQTNGARGWRPWIWARALDLGRRTAPGGEEQEPQTRGGPCHRRPAHEPALSNRLHRRRGGEATGGGAPLRSGTRRRIQGRGRRIWPGPPRRRRDQAAREERGGWAAGAESPETLPPSPFFSSMCVHRLTIDMATHFLFELGPIRH* >Brasy1G362300.1.p pacid=40054283 transcript=Brasy1G362300.1 locus=Brasy1G362300 ID=Brasy1G362300.1.v1.1 annot-version=v1.1 MAERAAWKGRVRKRYARTPYSASSTRAPSSGTRSPAAELLRGVSTRLTLAASYMQAAELLALRGGSPHPRRPLPSLADLHPDAQDADVRAALLRSKRPRHGFHAVTEPQRAAAFVALDNARHSLHLCVMEINIALLALPP* >Brasy1G085800.1.p pacid=40054284 transcript=Brasy1G085800.1 locus=Brasy1G085800 ID=Brasy1G085800.1.v1.1 annot-version=v1.1 MKMGVGGQPKGHLWLVLAMLIAISAMLSEAARSLSPPSLSLSPTYAPVIKVVGKVYCYRCFNEVHPEESHGKEHLQGAMIKVTCQADDQALVRFGYTESNGKYSVGITGLPLNSAYGADSCKVELHAAPGGSDCNEPMELNLSGVNVYSKSKEEVVLQANQVMAFGSKKPFAGCSKPHILPPMHPDNSPPFPYQYPSPPSNYKFPPLPYQYSPPPSNKFPPPSHQHPSPPMNFYSSPPPHQQSTPPNNYQAPQPSSNYPSPPQGYKYPTPTHKYLPPPYYYNSPPPQYQYSPPPNNHVAPLMPYQNSPPPYYNYKSPLLPSSPAPLYHYNSPPPYHYSPPPFNYGSSPPPYQYSPLLPPRHLQPNLPNANSPPASTSPQPLRNSYQSPPPPNQLS* >Brasy1G427800.1.p pacid=40054285 transcript=Brasy1G427800.1 locus=Brasy1G427800 ID=Brasy1G427800.1.v1.1 annot-version=v1.1 MAPTKPAATPAAKIAVQNSDGDAADIPLHLTEKILCGISPLESARFLTVCKSWASTIFSRLEKPIPHLFALQASAPRAGEQPRRRGAIFTLPIHGSKEELNPVMPAKLPIMPSHAYAKHMRLSGALPSGCVSFAFPHFDAAQGGRSPGKSNRVALANPVTGAAQSVEVYGAARLFGLQPKVRAAAGAEAFFVSELRSDGERTISLQWRPEGEQEWGPRIKLPIPSVPQQFSNCTGAIDLVAYADGVFYAMEFLGFTFVVDTRAPPPMRMVRLNARSILEQYTAISCTVFLRSCHMLESEGEVLFVGPVLDTPTKPCMFVDNNNAAIGGFKVFRLDVEGERWVKVERLAGDRALFVSEQSSFSVRASETPGCRSNCIYFVTDLGNKHCNSTGCTWGVYSMEEEKVLFQSSVGSPAQSSMTNCGNKRKIQDA* >Brasy1G235500.1.p pacid=40054286 transcript=Brasy1G235500.1 locus=Brasy1G235500 ID=Brasy1G235500.1.v1.1 annot-version=v1.1 MNLKNAKPRNFSPSPSPVFFLLATSRPASANKNAAAVLTQPSQQIQEPSYKRFSSPIRLVTQAAAEFSLSRRHWSQIPPRKQGRALSLLLFLLCPLALCSPSALQKRQKVPVPAAVLDSCGGHRSSSLFLTRGRGVGQYWAAAGRPSAAGDGRSMGTVKAWVADNYAEAMASMQNSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAGLIALPVLLGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESDAKKFVHGIVDGTWGTIKGSCTVVRDFADMCFHSYPVYLKEFRQCSHDRQPHSIRLLDVPSCIAVGLLGLAVDIPLYTVIALVKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLMAVVSSIFVGLYGAVVVYQEKSFRRGISYVVTMVAEFDEYTNDWLYLREGTVLPKPSYRKRKLPDSAEFSVRATVSVKGGEHPAASGEAPAMLVPALVPARSVREAIQEVKMVQIWENIMKSCELRGRDLLNLNVITTVDLTEWLRTKDGNNDTIDLGLPSYALLCTVLQSIKAGSGGLLLGNGVEVDQQNRPQDLLLDWFFHPVLVLKDQIQVLKMREEEVRFLEKSTLFVGNFASANAWDNGAEIPKDPVRTAQIQAISRRMVGIVRSMSKFPTYRRRYRHVVKLLVAYSVEREGSFGSSGSAQSISFEITRLDV* >Brasy1G235500.2.p pacid=40054287 transcript=Brasy1G235500.2 locus=Brasy1G235500 ID=Brasy1G235500.2.v1.1 annot-version=v1.1 MVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAGLIALPVLLGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESDAKKFVHGIVDGTWGTIKGSCTVVRDFADMCFHSYPVYLKEFRQCSHDRQPHSIRLLDVPSCIAVGLLGLAVDIPLYTVIALVKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLMAVVSSIFVGLYGAVVVYQEKSFRRGISYVVTMVAEFDEYTNDWLYLREGTVLPKPSYRKRKLPDSAEFSVRATVSVKGGEHPAASGEAPAMLVPALVPARSVREAIQEVKMVQIWENIMKSCELRGRDLLNLNVITTVDLTEWLRTKDGNNDTIDLGLPSYALLCTVLQSIKAGSGGLLLGNGVEVDQQNRPQDLLLDWFFHPVLVLKDQIQVLKMREEEVRFLEKSTLFVGNFASANAWDNGAEIPKDPVRTAQIQAISRRMVGIVRSMSKFPTYRRRYRHVVKLLVAYSVEREGSFGSSGSAQSISFEITRLDV* >Brasy1G563700.1.p pacid=40054288 transcript=Brasy1G563700.1 locus=Brasy1G563700 ID=Brasy1G563700.1.v1.1 annot-version=v1.1 MAHPVLNTSKPTLLPPPATPQLHQPPPPPPPPKLGRRAAAIAIAAAPALLAVSPSAWAQEAAAAPSSCVAELPVTARAFLDVSIGGAPAGRITIGLFGDAAPTGAARFLSLATGVGYRRKEFVKVVPGYVQHAGVVSYPAIPPALAARLAAEMAAAAARCESTMNAAGAVSIVVRDPTLPPPKPKLVARGGRLEIQEEQVGVPPNGTEFVIATKDCPELDAAALVVGRVVAGMDVVEKIAAVPAVRDNAGSPYFQVAKLIGDKRAVVAERGFNRPYSKILVTNCGILDDPEQQISN* >Brasy1G090000.1.p pacid=40054289 transcript=Brasy1G090000.1 locus=Brasy1G090000 ID=Brasy1G090000.1.v1.1 annot-version=v1.1 MASLESGSSQFLFNSDVIDNTFDIYECNSLAGTEVPDYRQYSQSRRSSVPDPPPLPGTNFGVSRTCRNTKACISVPEEIQDSWNKLFLEGYQADVRVSADDGSNILSHSCILGIRSPVLRTMLEDARVQHGFRHILISGVPSEAVHVFIRFLYSSSFEQDEMKKYALHVLVLSHVFSVPSLKVVCINQLERFFLAPENVVDMLQLARLCDAPRLSVICTRMITEDFRTISLSEGLKVMRQVNPSLEQELLEFLVEVDTRRQERAKRMEEKKVYLQLHEAMEALVHICRDGCRTIGPRDQNLKGTQAACKFPACKGIEFLVRHFSACKMRVPGGCANCKRMWQLLELHSCMCSTPDKCRVPLCRHFKEKMKQLSRKEEIKWNLLVCKVLESQGTISSIPQRRKFSSLKPSS* >Brasy1G199600.1.p pacid=40054290 transcript=Brasy1G199600.1 locus=Brasy1G199600 ID=Brasy1G199600.1.v1.1 annot-version=v1.1 MATATTVFPSRLLLLIRLVAAISFALPSAGAAQLDPKQLLALRSLGLAAHRAGDPCNDDAAGAPVAASCDSGKPFRRLTSLALANCSDTTSVSPAALEALAPSLLSLAFTDCPAAPPRLLPPQKLATGLRAFSCTSSLARLPAIWLSRLASLTELTIADTPLATGSSSSELAVLISHMSNLTRLTLSHANLSGSLPHHWHCANLTRLDLSGNRFAGGIPDAVTLLGGITDLNLSSNALNGSIPARIGDLISLAAIDLSNNSLSGAIPDTLSTLPELEILNLGSNRLNGSIPRFLSGMKGLKEINLEGNDLDGVVPFGAGFLSGLRVFRAAGNSKLCYNASVLSAEAAVGVAPCDKYGFPVTPPPATAQSEKSTDDDDGGGESDGSADHDSRGGPSAAVLGLAIGLSCLAFAVILVVCLCKVCR* >Brasy1G243000.1.p pacid=40054291 transcript=Brasy1G243000.1 locus=Brasy1G243000 ID=Brasy1G243000.1.v1.1 annot-version=v1.1 MEVEIGRDGGGRPRGIRWRSSQLHMPLLSAFSSTPPDSGEGGGGSAAPCRGMGAQSKQACYLCHFHESLDSPYSSVLAGHEICDCGAPDPIEFAQSAVARGGMEDAPVAAGHPLDLRLRRASYS* >Brasy1G301200.1.p pacid=40054292 transcript=Brasy1G301200.1 locus=Brasy1G301200 ID=Brasy1G301200.1.v1.1 annot-version=v1.1 MSSVYVLEPPTKGKVVVQTTAGPIDIELWAKEAPKATRNFVQLCLEGYYDGTLFHRVIKSFLIQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLLACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVHPQKILSVEVLWNPFDDIVPRQLKKIQPAAKTDAEGKPKKKAVKQLNVLSFGDEVEEEENEAGSFVKDKIKSIHDVLDDPRFLKVEPQVEQLSKEQEEKKNETVLSIREALIWKKADSREVEHDSENDLSPDDENEEDFDNRMRSWILKKRRELGDIRHSETSKTDKSRRKDKELPARRSDDDDDDQDNELSKSRKLSLKKKGIGSEASTERMSRGDANLQLLNPAEQEKHLKKQRKRSLQGREEETLAKLQKFKASFLKNTPADTESKSEDGEDYKGWHTNRLAFEPESSKDGMTRRDDPNDYVVVDPLLEKGKEKFNKMQAKLKRRDREWAGRSLT* >Brasy1G387500.1.p pacid=40054293 transcript=Brasy1G387500.1 locus=Brasy1G387500 ID=Brasy1G387500.1.v1.1 annot-version=v1.1 MEVPPRPPFPSGPPLFLSSLSPGRFGFGRRRRLSVLVPAREGLFAKKPTPRPHPRPRRPPKSNPALAGHLLRIPPPKHLILGGEFQRPVGAEALAAQHLRLEHRHGFLHLNSRARRSLRSTPCPCARRRPPAALPRSAPPLVPPSCSTSSSSWRINRAGGSRGYTDFSYYCLIVCTPSTATGSTVTSSSKMTGLSSSV* >Brasy1G441700.1.p pacid=40054294 transcript=Brasy1G441700.1 locus=Brasy1G441700 ID=Brasy1G441700.1.v1.1 annot-version=v1.1 MLVTGPHLRSHRAASPLLASHFRVHSYQRELPERPRPSRLRPLRCFSGSPPPEAAAGVVGAMAAAVGEMVWVPILEKGVFRFDTSVDARAAAGPSLSFADPRQREVPREERDIPVVVPACKVSGNVQKVVIKLPSGTSFYGTGEASGPFERTGKRIFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGMALGVLADTTCRCEIDLRQECTIKFCAPSAYPVITFGPFNSPAEVMTSLSLAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDGNRFPDPKSMVDDLHSTGCKSIWMLDPGIKKEKGYFVFESGSENDVWIRKADGSPFIGEVWPGDCVFPDFTCGRTRTWWASLVRDFIANGVDGIWNDMNEPAVFKTTTKTMPESNIHRGDANIGGVQNHSYYHNVYGMLMARSTYEGMAMSNTDKRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSIPMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGVGSLFPFSRGHSETGSVDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHKKGAPVAAPLFFADPQDPELRKIETSFLLGPLLVCASTLPNKGAHECAHKLPKGIWSPFDFEDSHPDLPVMYLQGGAILPVGLPIKHVGEGSLEDDLSLIVSLDENGRAEGVLFEDAGDGYDFTQGRYLLTYYVAEVCSAVVSVKVRKTEGSWMRPKRNLNISILLGGGAMISSRGVDGEELHLTMPSESEVSSLVATSELELKKRLEMIRPIPDIDEPSGQEGAELSKIPIDLKSGDWLLKVVPSIGGRIISMTHLPTDSQWLHSRIEINGYEEYSGTEYRSAGCTEVYKVIRRYLEQSGEEESVCLEGDIGGGLLLQRHISIMQDNPKIVQIDSTILARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAISGSEQEIFPDSGEVTLEGDLLPNGEWMLVDKCAGLSLVNRFDPSMVRKCSVHWGTGDMNMELWSEERPVSKDTPLRICHQYELRQTC* >Brasy1G229700.1.p pacid=40054295 transcript=Brasy1G229700.1 locus=Brasy1G229700 ID=Brasy1G229700.1.v1.1 annot-version=v1.1 MPKGAKKRAKLKKKQQGDHPAGSEGGANGNNGSNNSSHGDAASDGNHLPIPLNIPPVDASEDSMESSEEMVTPRAEADEEEKKGAASEVPVERTDEVVQDDGTGEAGEEVMVDALPPEAGQREQEGKVDVRAKAHVVVQEPEVQDVVVSEAPEVLEPEVKSEEVVVRETAKVHPVHEPENEGDEVVVRQTATADVVQEPEAKGEVSRSREAASVQTTEVARGPAVAVAAAGHRAKWWNCCGVFDALAGLER* >Brasy1G234200.1.p pacid=40054296 transcript=Brasy1G234200.1 locus=Brasy1G234200 ID=Brasy1G234200.1.v1.1 annot-version=v1.1 MPLSKPSRGERDAPRTSKPTGQQHLYLIFDDWELGYSIRKLNLSNAGAEQRRLPPPFIRLEATRGCPEFFAAVGTKILATHPRVDFGDALVPGGILPIVDVRSRGVNFAPGELYPQHPIYIPVGDEELFALDMDTFKMLSMKPLWPPRLEYEYRHQISEWSWRNLPMPTFKRMDVTSYAVDSDGSTILASTAAATFAFDPLRHDWKKRVEWSLPFSGRANFVHGLDVFVGLPKDEDAFGHLCFCRWSGDDKHVWFSKENLSSKYPAEGHVGTTLVYLGESRFCIVECVSNGDDKAVQKWVEEWEPLDQTEKWEDCPLSSRCRLTTFSLSSDMNGDLTAAKTAVQCYKVVGHESSQMLLGLHIYAVIF* >Brasy1G234200.2.p pacid=40054297 transcript=Brasy1G234200.2 locus=Brasy1G234200 ID=Brasy1G234200.2.v1.1 annot-version=v1.1 MPLSKPSRGERDAPRTSKPTGQQHLYLIFDDWELGYSIRKLNLSNAGAEQRRLPPPFIRLEATRGCPEFFAAVGTKILATHPRVDFGDALVPGGILPIVDVRSRGVNFAPGELYPQHPIYIPVGDEELFALDMDTFKMLSMKPLWPPRLEYEYRHQISEWSWRNLPMPTFKRMDVTSYAVDSDGSTILASTAAATFAFDPLRHDWKKRVEWSLPFSGRANFVHGLDVFVGLPKDEDAFGHLCFCRWSGDDKHVWFSKENLSSKYPAEGHVGTTLVYLGESRFCIVECVSNGDDKAVQKWVEEWEPLDQTEKWEDCPLSSRCRLTTFSLSSDMNGDLTAAKTAVQCYKVPVEASYNVNPVAFWL* >Brasy1G234200.3.p pacid=40054298 transcript=Brasy1G234200.3 locus=Brasy1G234200 ID=Brasy1G234200.3.v1.1 annot-version=v1.1 MPLSKPSRGERDAPRTSKPTGQQHLYLIFDDWELGYSIRKLNLSNAGAEQRRLPPPFIRLEATRGCPEFFAAVGTKILATHPRVDFGDALVPGGILPIVDVRSRGVNFAPGELYPQHPIYIPVGDEELFALDMDTFKMLSMKPLWPPRLEYEYRHQISEWSWRNLPMPTFKRMDVTSYAVDSDGSTILASTAAATFAFDPLRHDWKKRVEWSLPFSGRANFVHGLDVFVGLPKDEDAFGHLCFCRWSGDDKHVWFSKENLSSKYPAEGHVGTTLVYLGESRFCIVECVSNGDDKAVQKWVEEWEPLDQTEKWEDCPLSSRCRLTTFSLSSDMNGDLTAAKTAVQCYKVPVEASYNVNPVAFWL* >Brasy1G057400.1.p pacid=40054299 transcript=Brasy1G057400.1 locus=Brasy1G057400 ID=Brasy1G057400.1.v1.1 annot-version=v1.1 MAAAAAVSDDVLLEIFARVRDPVDLLRCAGACRRWLRLIAADGPSFLLLRRAAGLTPPPEEKNNGAPSSSNFILGAFYQNAVLVSALASMDKQRKNRLVYPPQFARLVRSPRLSEAFFPDDDDDSGGVFSYAKPLASRRGLLLARVMPTPLDRRELHLAVCHPLIGHRSSTRLVPPPPHLALDPDLFGADLTGYALLPTDHRDHQQPPEFRVLITAVHAGDREDDKPAHHYAYCYSSVTSTWRRSAPIDCPAMASMRAGSSTTTSSSGGGGLTMSGPRAGVVDAHGTAHWLYRDETSFYTLDVAIKTAGGVPWASLTKLPIPAEQGDPRARPRLQPPFPCVSREGKLSLARLKANGRVLELWTKRDDSRGWARSGQLIREEGGREARGAAAAILGFAESGGALLVQNGGEHGGLCTLGLESKEMAPVGGHGGFGWWPLCVCDWFRCRGHDSCEQCAYNGRVLYEMDWPSYLGHLCHSENSSTAASSVARGVEAGRWTLWLMMLAGLI* >Brasy1G350900.1.p pacid=40054300 transcript=Brasy1G350900.1 locus=Brasy1G350900 ID=Brasy1G350900.1.v1.1 annot-version=v1.1 MANLFLKQAKQYAATRPAYPPELFEFIASKTARHDLAWDVGTGSGQAIAPLAKLYKEVVGTDTSAQQLSYAPPLPNVRYVHTPADLPLAGIHAAVAPPSSVDVITVAQAFHWLDLPRFYADARSVLRAPHGVLAAWCYTEPRVDAGGAVDAAFWRLYEASQPHWAPNRKMVDDEYRSVEFPFDPVEGEAHTGPFEFSTERRMDLDGYLTYISSWSAYQTAKDKGVELLDEATVREFAAAWGGERGEVKTVRYPVFLRIGKVRPESDA* >Brasy1G021400.1.p pacid=40054301 transcript=Brasy1G021400.1 locus=Brasy1G021400 ID=Brasy1G021400.1.v1.1 annot-version=v1.1 MAKPRRGRSGSRSSSGSSSRSASSGSGSSRSRSRSRSLSSSSSASRSRSPPAAKRSSPGARKGRSPSPPPKKGSPSRKGRSASPPPKKASPPRKASPAPESVVLHVDHLSRNVNEAHLKEIFGSFGEVVNVELSMDRIVNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLTPRQKASSPIKPPPPPPKRDAPQNDKGAASTEKDAQLRPRESASPRRKPPSPPRKRSPPNRRIESPRRPSDPSPRRRADSPIRRRPDPSPVRRGDRRPGSPIRRRSPSPPRRHRSPMRRGRGSPSPRRRSPGPLRRSPGPLRRSPGPPRRRSPPPRRLRSPPRRPPPPPPRRHSRSPPPRRPLHSRSRSISPRRGRGPPLRRGRSDSSYSGSPSPPRKGPRRVSRSRSPRRPPRGRSISSNSGSSSSSPRRR* >Brasy1G021400.2.p pacid=40054302 transcript=Brasy1G021400.2 locus=Brasy1G021400 ID=Brasy1G021400.2.v1.1 annot-version=v1.1 MAKPRRGRSGSRSSSGSSSRSASSGSGSSRSRSRSRSLSSSSSASRSRSPPAAKRSSPGARKGRSPSPPPKKGSPSRKGRSASPPPKKASPPRKASPAPESVVLHVDHLSRNVNEAHLKEIFGSFGEVVNVELSMDRIVNLPRGYGYIEFKKRADAEKALLYMDGGQIDGNVVKLRFTLTPRQKASSPIKPPPPPPKRDAPQNDKGAASTEKDAQLRPRESSPRRKPPSPPRKRSPPNRRIESPRRPSDPSPRRRADSPIRRRPDPSPVRRGDRRPGSPIRRRSPSPPRRHRSPMRRGRGSPSPRRRSPGPLRRSPGPLRRSPGPPRRRSPPPRRLRSPPRRPPPPPPRRHSRSPPPRRPLHSRSRSISPRRGRGPPLRRGRSDSSYSGSPSPPRKGPRRVSRSRSPRRPPRGRSISSNSGSSSSSPRRR* >Brasy1G563200.1.p pacid=40054303 transcript=Brasy1G563200.1 locus=Brasy1G563200 ID=Brasy1G563200.1.v1.1 annot-version=v1.1 MEVQRVVVVVEEAPASRAALQWAARNFIRGGDSIALLHVCPPARSRRRRRRLRLGAFQLALAFKDLCNGIAEAKVEIVVREGELGETVVATVGQLAATTLVVGLHDKSFLYSAPSQHDRVSSLGCRVLAVRQHATDRSGFLDGAELTQIETIRLHIPPPKIPFPIFTLPLGVLWRRSSSKRRK* >Brasy1G548700.1.p pacid=40054304 transcript=Brasy1G548700.1 locus=Brasy1G548700 ID=Brasy1G548700.1.v1.1 annot-version=v1.1 MAMVTVKLSSPATSLLTGGRARRSAPTRRATLVRAAAGSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKTFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPTALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAENNVLFEGILLKPSMVTPGAEHKEKASPEAIAKNTLTMLRRRVPPAVPGIMFLSGGQSELEATMNLNAMNLSPNPWHVSFSYARALQNSVLKTWQGRPENIEAAQQALLVRAKANSLAQLGSYTGEGESDEAKKGMFQKGYTY* >Brasy1G271800.1.p pacid=40054305 transcript=Brasy1G271800.1 locus=Brasy1G271800 ID=Brasy1G271800.1.v1.1 annot-version=v1.1 MAPSATCPPHSMASVSRALRPRSRLATACTAAPRLGCGLRVACSVPAYGGATEKTEHGLAIAPTLAATVGPVVRSRQILCKAEANISSNMPESVATGASQYEKIVELLTTLFPLWVILGTVIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFVAQYFIKPLLGYAIALTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIIGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVADVLKTQGAQLILPVALLHAVAFALGYWLSKFSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPADDKDDFKE* >Brasy1G521000.1.p pacid=40054306 transcript=Brasy1G521000.1 locus=Brasy1G521000 ID=Brasy1G521000.1.v1.1 annot-version=v1.1 MRFLAKPRPPKPLLLLAVFLQSMGYVDVKMRWKKDASFDAIPVLTHARDVRPLVSLARLLSPSPTPVSAVSKLRRSLETSDRRVASFLRRFPAAFVESVGPEHNHPWFRLSGSAARLLREERDIFAARRADITSRLRRLLLMCPTRRIPLSIAQGMLWHFGLPEDYFKRPDFDIGQDGFRILTSGNVICREDENDGKELALIDDGKDQEMPLTVLQMDAIRRFGSAEQVPIPLFQSKGLRLKRKIEDWLEGFQKLPYVSPYEDFSNIHRGSDVSEKRVVGVLHELFSMFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHIFYLLLKEKSCFVVLKEAYMAGGNTAIEEHPMLEVRSKYAGLMEESREIIRRRRSGKPVEYPDDHVESEDSEGANSASLFIER* >Brasy1G376600.1.p pacid=40054307 transcript=Brasy1G376600.1 locus=Brasy1G376600 ID=Brasy1G376600.1.v1.1 annot-version=v1.1 MSTFKVKLPLMEELEPLKQTLRKVDRRVPDALRATFRLGYRALPPEDDDAVASFVNYAPPPPPFARAPTEESLHDSYLHRGTPKAGQALRMDWAACSLPLHGEDAHFGAGNVVGVADGVGGYRKHGVDAGAFSRGLMARAYAEALVATKLLPGSTHGEIRPRALLELAYECTVASGAKGASTAVILSLDGHGRRLRWANIGDSGFAQRRFNRQFQLTGDPRRGESVAQADTGETPVREGDVVVLGTDGLFDNVFDAEQERVVRMGSALGFWPKDMADVVAGAAYEMSRSGKKDAPYAVESRKHQRGGQSCSSRGGKEDDVTVVVAFIVPAYPSFNPIADFPQLVRSVLDPAGARSCGPRRHKMEDAAAAKWTGFTKGNKSGIKQTIRKMEVEDEVYSLKRLFYLAQTYRS* >Brasy1G265300.1.p pacid=40054308 transcript=Brasy1G265300.1 locus=Brasy1G265300 ID=Brasy1G265300.1.v1.1 annot-version=v1.1 MSLKSIVRELKEMRDGIGSMSRRAGSSDGRVGHGHSRGGSRHSWPSLWPEPQSQPQRQGQEQQQQQGQWANLPPELLLDVIQRVEASEAVWPSRRQVVACAAVCRSWREVTKDVVKTLEECGRITFPISLKQPGPRDHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRAARTSFVISLISDDFSHSSSTYVGKLKPNFLGTKFTIIDSQPPCDAAVLANNRPSKRHSKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDDQVSTLSTSKGKEPNVEFLSTSLSADLSGQICTSEAPLLLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGVPAAEQEKVILQFGKIGKDIFTMDYRYPVSAFQAFAICLTSFDTKPACE* >Brasy1G520100.1.p pacid=40054309 transcript=Brasy1G520100.1 locus=Brasy1G520100 ID=Brasy1G520100.1.v1.1 annot-version=v1.1 MHVHVPAGAGDGRGRRNGEGLRAAAEERVLARVGGHRRQGRVPGLRSEWRAVPVPQQVRVVRLSLPGRRAARVDMPCLHTCSTPPLVRRKKMHLFHVPAAAVMCSAMLLLTLLSSTRTTASSLINTSSCDPATCGGLRITYPFWLSGTHLPECGYRNFQVTCDNINNTVKAFLKNSLWTYQILSISYPESSFTVTNLQLSEDGACDIELHVNASADLGLAPFGISADNTELFFLYGCTHPQQLPPSRAPVACATGNDGGSNNSNGSSLAPSNNTFAWLAGGYKPEYDAWRAVQGGNCTVSMVPVLGYEGATGADYQRLMKGGFLLQYAAGDCDACVESGGFCRINTTYDILECHCSGGVSELIVCGN* >Brasy1G500100.1.p pacid=40054310 transcript=Brasy1G500100.1 locus=Brasy1G500100 ID=Brasy1G500100.1.v1.1 annot-version=v1.1 MGILSLITGKLGASGFGSASTAEQVTHGVDATRLTVIVTGGSSGIGLETSRVFALRGAHVIIAARNTEAASEARKRITEANPTARVDVLKLDLSSLKSVKAFAEQFNSMNLPLNILINNAGVMFCPFQLSEDEVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIQFDELNDKKIYNDKLAYGQSKLANILHAKELSRQLKEEGANITVNCVHPGLIMTNLMRHSFALMKAIRVVTYMLWKNVPQGAATTCYVGLSPQLAGVSGKYFADCNEEKTSKMARSDALAKQLWEFSEELIKSAK* >Brasy1G280700.1.p pacid=40054311 transcript=Brasy1G280700.1 locus=Brasy1G280700 ID=Brasy1G280700.1.v1.1 annot-version=v1.1 PETLSHLLLGCVVARQVWECALLEWNCAGWRPAADTDIRSWWAGISGPRGAIKSYRTAITLVMWSIWCHRNDVVFNGASPSVRKLLLNIRDEASRWENAGLLGSGPSFAAAL >Brasy1G197400.1.p pacid=40054312 transcript=Brasy1G197400.1 locus=Brasy1G197400 ID=Brasy1G197400.1.v1.1 annot-version=v1.1 MDANRDSSLGTVTDEELLQAQAELWNHVFAYTKSMSLRCAVELGIPDAVHRRRSSATVPDDLIADLSLPPSRAPYLRRLMRLLAHAGFFHVASATGVAGTPEPDAAYGLTLLSRLLVAAPGAGQGQGLSSFALAMLHPIVVSPSMSLASWFRAADGAGAARVPFAAAHGGRELWAVAKEEPEFGAAFNDAMACDGRFVMDLIVRGELGAGDLFRGLTSLVDVGGGSGGAAKAIAAAFPHVRCSVLELPHVVSSVPPGDGGVEFVAGDMFEHVPKADAVLLKWILHGWGDEECVRILRRCREAIPTREAGGRVIVMDLVMGSCPADARATETQLLWDVMMMGVVGSPERDEREWSKIFDDAGFSGYKILHLLGIRPVIEVYP* >Brasy1G475400.1.p pacid=40054313 transcript=Brasy1G475400.1 locus=Brasy1G475400 ID=Brasy1G475400.1.v1.1 annot-version=v1.1 MVVVRKPSLPPAGRRGVRVGPTRLEGLWAGRAPAVAAVKVKWPGAGGALSQMLTGRRGGRGVTAVEAVGGDGSVRWDADADANRFRVDVEPGSAPARGGGGGRPERGVFFSILYGFQEQGRGKDLVRLEEIGTAMISLEECCWEMQLQQNQQKGGCAPQQQLVVVPIRVRKDGWASDAILYVNVELVDLNTPAEIDRSVSFREKPRTNMAPTMREDRKSLGVPTYHEVLDLKQLLDLADKQGKVAVYRNKRNSDSSSVSSMSSSSSTMSLSSASTSTSGGASPEPGSTSKRRFLPWRRRSRESLSQELNMKCTDDDPTTGSWETREFTSRDAETKLRTPVFFASIDQRNDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRKLCDDEAHMEQFPNRHFDLETVLAARTRPIAVQHDRAYVGFFQPESFASLSGAMSFDDIWREISGGHREPGHADVYIVSWNDHFFVLKAESDCYYVVDTLGERLFEGCDKAYMLRFDGSSELQSVPSSPSEPEEVIATGKECCGEFIKRFLAAIPLREELQIEQMGCVDAGAPHRRLQIEFHFTTLQEDEGRR* >Brasy1G300100.1.p pacid=40054314 transcript=Brasy1G300100.1 locus=Brasy1G300100 ID=Brasy1G300100.1.v1.1 annot-version=v1.1 MDGDRRRKFAAITGPAARAQDSPSRRLIAWLQLLFKAFVQRYSWLARWDAAGRPAFLLLLAFLAQRNLRRSYLSWSKARLQLRAAAAVTLQAAFRAMAARRELELRRRARAAVCIQAQWRAHRALWSYLAMKRASLTCQCAWRQSMARRQLGKLRQAYLERERLAELCRLHEMVDVLQQAVEDAEVRVIAEREAVLKAIAEAPPVIKEKVVLVEDTEKVNSSKAEVERLKGLLGAEMQATFDVKKALSKAELRNEKLARLLGVQEIKNKTLQDSLKRMEEKASDLDAENKMLRQAVASIPAIKSPSSEIHKEPDLQASPENGKIANGAVKPMIVDREEDFHHDNADEPPSSNDADAEKQQQELLIKCISEDLGFSTGRPIAAYLIYRCLVHWRSFEEDRTTVFDRLIQKISAAIEARDSDETLAYWLSNSCTLLLLLQRTLKNNGAAALARQRRRSSSLKSPRENQAPGHPERSVPDGRLVGGLADICQVEAKYPALAFKQQLMALLEKVYGVIRHNLKKELSPLLGMCIQAPRTFVVSPRGSGSQGADMAQQASMAHWQSIIKILTNYLNVLKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCHWLTEEYAGSSWDELKHIRQAVALLILEEKHSKSLKEITDEFCPALSMQQLYRISTMYCDDKYGTLGIPSEAVASMRAKMIEGSTSPSVQDDINSFLLDDDFSIPFSADDIARLMVHVDIADMDLPPLMQEKNGSPFEP* >Brasy1G570000.1.p pacid=40054315 transcript=Brasy1G570000.1 locus=Brasy1G570000 ID=Brasy1G570000.1.v1.1 annot-version=v1.1 MRTGAEVAVLCITKSGEVINYQAFTNSKGIYSVAETMPESDRWESCLARPISSFHHHCTRRGDAHSGVKFTYNKLSGNSHNVKTFLYKPVNVPLYCS* >Brasy1G570000.2.p pacid=40054316 transcript=Brasy1G570000.2 locus=Brasy1G570000 ID=Brasy1G570000.2.v1.1 annot-version=v1.1 MRTGAEVAVLCITKSGEVINYQAFTNSKGIYSVAETMPESDRWESCLARPISSFHHHCTRRGDAHSGVKFTYNKLSGNSHNVKTFLYKPVNVPLYCS* >Brasy1G497600.1.p pacid=40054317 transcript=Brasy1G497600.1 locus=Brasy1G497600 ID=Brasy1G497600.1.v1.1 annot-version=v1.1 MPPKQSQPKLCFLLPHSAGWFSSPSLTRSLQCSTPSPPSPATMGLPPPLPSLLLLLLLLLVAGSSTHNCANAAAAPSSSPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPFTTSSSLPPICVSSDADLVVPSDPGHSFEDVLDQVFGFRPPAPNPRNQSQSPPPNPTMSGFVRSALSVDGARLPSAVMRGFTPRLLPSFSALAAGFAVFDRWFSSLPGPTQPNRLFLYSATSHGAVAHDKLRLLAGYPQRTIFDSLADESLPFAVYFKSIPTTLFFRRLRTVRAAAGSFHFYDDTFRSHARRGKLPALSVIEPRYFDVPSAGAPADDDHPAHDVAQGQRLVKDVYEALRAGPQWNSTLLIVTYDEHGGFYDHVATPVAGVPSPDAVRGPIPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRPPNGPTATSEYEHSSIPATIKKIFNLRSDFLTKRDEWAGTFEHIFTELKEPRTDCPETLPEVPFERTRPAKEHGLLSDFQRELVELAGFLNGDYMLASFAQEAQKNMNVKQADAYVRRAITSFLQASKQARRLGANESAIVTMRSSLTSMGVWPLYNVAYRERDYPAI* >Brasy1G325000.1.p pacid=40054318 transcript=Brasy1G325000.1 locus=Brasy1G325000 ID=Brasy1G325000.1.v1.1 annot-version=v1.1 MIDSVKRDLDLEIDDVNWDAKYRYQHARYSCARELRNVKEENETLKDSIARLTQGWRGTLTELGILHDLSYATCCSAFDAYTEATAAVMDGVLGNTIVLEEQAERALTEATDAFRWTAGGLD* >Brasy1G096200.1.p pacid=40054319 transcript=Brasy1G096200.1 locus=Brasy1G096200 ID=Brasy1G096200.1.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G096200.6.p pacid=40054320 transcript=Brasy1G096200.6 locus=Brasy1G096200 ID=Brasy1G096200.6.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G096200.5.p pacid=40054321 transcript=Brasy1G096200.5 locus=Brasy1G096200 ID=Brasy1G096200.5.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G096200.3.p pacid=40054322 transcript=Brasy1G096200.3 locus=Brasy1G096200 ID=Brasy1G096200.3.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G096200.2.p pacid=40054323 transcript=Brasy1G096200.2 locus=Brasy1G096200 ID=Brasy1G096200.2.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G096200.4.p pacid=40054324 transcript=Brasy1G096200.4 locus=Brasy1G096200 ID=Brasy1G096200.4.v1.1 annot-version=v1.1 MATEVNQTYFAWSQGETTELGVPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRHEEFGKFNGLVAQKKAYFEEYYKRIRELKAAQQQIQQTELTLEYSGDGSDSSQTGEDMTAAELETPTGSGTIVYDYMEQAKHETTSEQGMQFYHDHEDEDFHTEFASSNIVSSDGTLQQTDQGTREDVHGDNSDSANIGNVSSGHDSIGAAYENARAPKRITEKTPRLRYASKIIPKSIKTVSGSPLDHASVSKRPGLVKLTMSMDQKTKIDNVRSPNVASQKIAGTARPRKLTAKEALGVTGVRRPSSAAGLRSSTGELHPITRAIVKQPADVFTPRRPSTAERRPVTGVHAHKQANVTTPSRPSTSERHPVKRENAAKHADIAPTRRPSTGERRSITRDSVLKMDPRTPSKTRTIVAHPKASTTTVSTLKKAAPPSATKSTNLEPKSDIRGSKGPSTFNSHLIRPKRMDLQVAGKHKSSSVDLRPRTKLSSSVGETAIETFGRLKKKEGIQATVQSRASASKKTAPSQNGNAKARVTNPPPPPPPPRRPSRTMNKPNASNSSVGGRKAKASAPQWH* >Brasy1G509500.1.p pacid=40054325 transcript=Brasy1G509500.1 locus=Brasy1G509500 ID=Brasy1G509500.1.v1.1 annot-version=v1.1 MNELRKLKRGISTKRGGDHLVLQHSPIPLDEWEEQQRYWPSPLAPLVSPSPRAPLVSPSLRTLQVGPSPRAPPVSPTGTPRTPGGSQKKPVLGKVKSKAKKWMHLLHHKKKPAHEEMMMWTPRIGPSLDDNYGTGPSLQDAEEHLGTPSTALHPPSFAELADEPEVYVEASSRQNTPAPSPNARQEQPYFKVSSRFESEMKEANEMLMESKQLRVKTSKQKTVTFAPILECGSENENKGWSNQEMSEVATNIFRNALATIYQAALQMISRIQDTMVSYNIDRRHMVEKLISVNRYLMLKLEPGEDDKVLAEAITEAVLNLLDAWSENVERPLMQRAKEISSWFQQEGREELPPIPLSTHPSAYEDAEEFYSLEN* >Brasy1G022600.1.p pacid=40054326 transcript=Brasy1G022600.1 locus=Brasy1G022600 ID=Brasy1G022600.1.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQYHTMRNVLFMANTEFLKLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEISTHAPGVALSVETEGHTHGYCCTEAGSFWVADYVADLIKTKC* >Brasy1G022600.2.p pacid=40054327 transcript=Brasy1G022600.2 locus=Brasy1G022600 ID=Brasy1G022600.2.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEISTHAPGVALSVETEGHTHGYCCTEAGSFWVADYVADLIKTKC* >Brasy1G022600.3.p pacid=40054328 transcript=Brasy1G022600.3 locus=Brasy1G022600 ID=Brasy1G022600.3.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEISTHAPGVALSVETEGHTHGYCCTEAGSFWVADYVADLIKTKC* >Brasy1G022600.4.p pacid=40054329 transcript=Brasy1G022600.4 locus=Brasy1G022600 ID=Brasy1G022600.4.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQYHTMRNVLFMANTEFLKLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEG* >Brasy1G022600.5.p pacid=40054330 transcript=Brasy1G022600.5 locus=Brasy1G022600 ID=Brasy1G022600.5.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQYHTMRNVLFMANTEFLKLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEG* >Brasy1G022600.6.p pacid=40054331 transcript=Brasy1G022600.6 locus=Brasy1G022600 ID=Brasy1G022600.6.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEG* >Brasy1G022600.7.p pacid=40054332 transcript=Brasy1G022600.7 locus=Brasy1G022600 ID=Brasy1G022600.7.v1.1 annot-version=v1.1 MPRARLLTPPFPTRTQATARVCMVSSFATELLEIRSREPKPSRHVLVIPGNPGIVGFYKDFVEELYENLGGQASITAIGHISHGQKDYEHGRLFSLHDQIDHKVDFIEQELVHTEQSIILVGHSIGAYIGLEIFKRLQKKVKSFVGLYPFLTLNKNSVQQSAIGYIARSSLLSKGVSLFASFIGSLQASVRRSIVRTFLGSSWSAAAVDAGCSHLLQLHEEPDWCFIRAEQDQIAFLFGDDDHWGPLAHLEEG* >Brasy1G499700.1.p pacid=40054333 transcript=Brasy1G499700.1 locus=Brasy1G499700 ID=Brasy1G499700.1.v1.1 annot-version=v1.1 MAMDSELEIPGECEYLGPPDLIPPNRETPRHTLYLSNLDDQRFLRFSIKYLYVFPASGAVPVPALKAALAAALVEYYPLAGRLRPSAEDEGKLVVECNAEGALFAEAALPGIAAADFRGARPPHKSWRKLLYRVDSNGFADVPPLVVQVTHLGCGGMVLCTAINHCLCDGIGTAHFLHAWARAAAQVISPVSGIAIVHDRRALRPGHPPRVAFTHPEYNSGNGDAAGLAHLLGGQPLSPVSLTFTASHLAHLKNQLLTSPPSSPAPALKRCTSFEALAAAVWRAWVRALDPPSPSSLPVKLLFSVNVRKRLTPELPAGFYGNGFVLGCAESTAAQLTASDSMAATVRLVQGAKDRVDDAYVRSMVDLLEADRGRKPDLAASLVVSAWTRLGLEELDFGGGAPLHMGPLTSEIYCVFVPVAGDPRGVSVLVSVPLAAADRFRHYCCHPCGVEDGGGAMADMLEKDEKQQQQACLGEGIKMDC* >Brasy1G309100.1.p pacid=40054334 transcript=Brasy1G309100.1 locus=Brasy1G309100 ID=Brasy1G309100.1.v1.1 annot-version=v1.1 MPTQHRLRPGVGVAVAALLLALCGAAAGDPRTAVAGQSCAPGSAVSGPTLADNFVPAMDDLNSNVSANGFGTSAVGSSSGGPNAVFGLGQCLRDLSRVDCKLCFAEVRSLLPKCYPRAGGRLFLDGCFGRYANYSFFGEALGAADDAAVCGSGGNYTANPRGFAEAVRTALANVTEAAPRGGGHAVGSADAGGATAFALAQCWESLNATACGQCLRAAAAAAAGCAPATEGRALYTGCYLRYSTRLFWNANVTAGSGSSGNNGVVWILLGSFLGAFIIVLVIALLAWKRRNLRRKEQSKSFIDMYGDGISVRIAESSLNFKYEELRKATNYFDPANKLGQGSNGAVYKAVLPDGKEVAVKRLFLNTREWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLELFLFDASRSRKLTWDLRVDIIQGTAEGLSYLHEESQTRIIHRDIKASNILLDDKYKPKITDFGLARAFAEDITHLTTGVAGTLGYMAPEYVVHGHLTEKADVFSYGVLVLEIVTGKRCSGSIGSHGSQALLTKVWNHYKDNTVEMIVDGSIYEDTIREEVLHTIQIGLLCTQANPSDRPTMTKVVELLRSHKHDIEIVLSDPPFLNIEGVEDIKQGEQWRLLSTHSAPSISGSSRSYLSGR* >Brasy1G461100.1.p pacid=40054335 transcript=Brasy1G461100.1 locus=Brasy1G461100 ID=Brasy1G461100.1.v1.1 annot-version=v1.1 MRRQFFICLALIVAGLCPPAAAQKYAALFSFGDSLSDAGNLCADGIPSYLATARPPYGMTYFGHPTGRVSDGRVAVDFIAQELGLPMPPPSKAHNASFRRGANFAITGATSLDPSFFEAHGLGGTVWNSGSLHTQLRWFDDLKPSICSSPKDCRDLFRRSLFIVGEFGGNDYASSLAAFRPLQEVHTFVPHIVNSIGKGIEKLIADGAVELVVPGVLPNGCFPLYLAIFRRHQPEMYGPRTGCIKDLNTLSWVHNAMLRRKIAELRKKHAGAGVRIMYADHYTPVLQFILHAEKWGFLKQTPRACCGAPGVGEHNFNLTHKCGDPGGYACDDPSNHWSWDGVHLTEAAHGHIAKGWLYGPFADPPILQTR* >Brasy1G100800.1.p pacid=40054336 transcript=Brasy1G100800.1 locus=Brasy1G100800 ID=Brasy1G100800.1.v1.1 annot-version=v1.1 MTNSGSAGVVRNMSGNGIVDGGNWLGFSLSPRAAAPMAAGSAFDIGGQQQHSGLYYPPPTVTSSPASYYFGGAGNVAAAANGGYNYYSGVSSMPLKSDGSLCIMEALRRSEQEHHAGAMVSASASPKLENFLGTGPAMALSLDSSNYYYAGRGHALDHGAGAGTHQLQYAIIPGAGHDVYYDAHAHAAMVDEQAAMAAAGWMAREEEGGYDHGNGAEDGALVPVDIGNQVHPLALSISSGSQASCVTPQAPAYATAGAGEEFLAVGAAVASKKRGAGQQNKQAVQHRKSIDTFGQRTSKFRGVTRHRWTGRYEAHLWDNTCTKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPATHINFPVEDYQEELEEMKKMTRQEFVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFEITRYDVEKIMQSSTLLPGEEARRKTKNAAAVAESGGALVAHDDAADWRTATPCEAFYALQDIVSVDDDAGARAQGGGARVSNASSLATSLSNSREQSPDGGGAGLAMLFAGHAAPRPGSAHLPSWVVSTPAPAPARTGVSVAHMPVPMFAAWADA* >Brasy1G100800.2.p pacid=40054337 transcript=Brasy1G100800.2 locus=Brasy1G100800 ID=Brasy1G100800.2.v1.1 annot-version=v1.1 MTNSGSAGVVRNMSGNGIVDGGNWLGFSLSPRAAAPMAAGSAFDIGGQQQHSGLYYPPPTVTSSPASYYFGGAGNVAAAANGGYNYYSGVSSMPLKSDGSLCIMEALRRSEQEHHGAMVSASASPKLENFLGTGPAMALSLDSSNYYYAGRGHALDHGAGAGTHQLQYAIIPGAGHDVYYDAHAHAAMVDEQAAMAAAGWMAREEEGGYDHGNGAEDGALVPVDIGNQVHPLALSISSGSQASCVTPQAPAYATAGAGEEFLAVGAAVASKKRGAGQQNKQAVQHRKSIDTFGQRTSKFRGVTRHRWTGRYEAHLWDNTCTKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPATHINFPVEDYQEELEEMKKMTRQEFVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFEITRYDVEKIMQSSTLLPGEEARRKTKNAAAVAESGGALVAHDDAADWRTATPCEAFYALQDIVSVDDDAGARAQGGGARVSNASSLATSLSNSREQSPDGGGAGLAMLFAGHAAPRPGSAHLPSWVVSTPAPAPARTGVSVAHMPVPMFAAWADA* >Brasy1G178100.1.p pacid=40054338 transcript=Brasy1G178100.1 locus=Brasy1G178100 ID=Brasy1G178100.1.v1.1 annot-version=v1.1 MAFAGISVIADGELSPYTKSPVDTGATNSGYHLLLVQGYTRAATKEENTSSWSGGFPWRIDSYIQSRPFVVGGHRWALIYYPNNVDEGTISIFLTSDDEEEELGDDDDLPVVRARFRFSFIDQPELRAPFTRRCRIYDFIVDSINLEYHGEYRFMRRDVLERSRHLKDDSFVIRCDVLVVDDDEDYDTAEEDDTQEVQLPDMLQSHLRNLLTKEGTDVTFEVGSKKFAAHRCVLAARSTVFKLKLSGTKDAVLKIDDIEPKVFRALLTFIYTDTLSGMEDEEEDEWLRLLEAADIYDLHKLRLICEEMLAGLSMAFAGVSVIDDGKLCASTASPVDAGSDDSGYHLLVVQGYTRTTKDTPTGEYVESRPFKVGDVRFLLRCYPNGRNEDAKEFISVTLDFGEEDLGVHLLPVSVQCALSLIDQTDQQVSSEIKRGDETHIPRHECLYEDVVRRDVLERSRHLKNDSFTMRCDVVVTSFTFMMEKRRAGTIPSMPRPDMPGHFSDLLLTKKGSDITFEVRGKMIAAHRCVLAARSSVFMEQFFGAGVMNEGATTASVVVKIDGIKETVFRGLLTYVYTDALPYIRSDKMEEDEGEKQERNGKGQDEAGEEEGETDEEEREGETDEEEREEKTDEEERVKDVMWFLHMLEAADRYDLQGLKMSCEEALARYICVSTVADIIVEAEQRRCQWLKEVCLEFIKSHTSLPSVFTPEVLEMVIRTSSPSGLKELISKFAS* >Brasy1G149600.1.p pacid=40054339 transcript=Brasy1G149600.1 locus=Brasy1G149600 ID=Brasy1G149600.1.v1.1 annot-version=v1.1 MDSASEVAVLADGCAKILVPMLCFLGRSSPRSPAVDMAVVVLALVLPMAYIAGHILVQFAGVVLAYIHLAAVPAPAAVSSVDLVRFAVLFAVLLFTLVSAWLLLIAAPFVAFFFLPQLR* >Brasy1G485700.1.p pacid=40054340 transcript=Brasy1G485700.1 locus=Brasy1G485700 ID=Brasy1G485700.1.v1.1 annot-version=v1.1 MIRNQVDMGGRNIGVAVDFSSCSKAALRWASTNLTRNGDQLVLIHVNNSYQNEQGAVHLWEQSGSPLVPLLEFSDPHVTKKYGLSPDKETLEILAQVANQRGVEVVAKIFYGDPTKKLCEAVDLVPLSCLVIGSRGLSTLKRALMGSVSTYVVNHATCPVTVVKENA* >Brasy1G279000.1.p pacid=40054341 transcript=Brasy1G279000.1 locus=Brasy1G279000 ID=Brasy1G279000.1.v1.1 annot-version=v1.1 MALPGQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFYTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNVHFVESPALAPPLVAIDLALQQQHEAELAAAAAQPLPDDDDDLIE* >Brasy1G398800.1.p pacid=40054342 transcript=Brasy1G398800.1 locus=Brasy1G398800 ID=Brasy1G398800.1.v1.1 annot-version=v1.1 MGDGDASSVDDSYEPDPYDADYSSSDNYIAANISGASSLHLMGGGNMRSDG* >Brasy1G014600.1.p pacid=40054343 transcript=Brasy1G014600.1 locus=Brasy1G014600 ID=Brasy1G014600.1.v1.1 annot-version=v1.1 MPTRTPNSPPLLLHLPRHVNLGRAAAAGAVAVAAEKEEEGPMKWPSRRVAGALRYPPWPRPPSEAGCPGALYLQVFFFVLVQFSTCVFSCVRRTC* >Brasy1G201800.1.p pacid=40054344 transcript=Brasy1G201800.1 locus=Brasy1G201800 ID=Brasy1G201800.1.v1.1 annot-version=v1.1 MDKTSASGVVQDNDKIGNITASAPANGKRGRKRSQVVASKTYPLRSAHSSARVLRSTSKNKNRIPDEPATPLRSAHRSARVLRSISKNKSKTPNEPVNILGSRNSSTRVLRSTSKKTAKTPIEPVNDNTTFQPAVRKRKRGRPSNAASPKNDHIKIRQRVRYILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKSEILRCKLRIREAFQNMDSLLSEGKLEESLFDSEGEISSEDIFCAICGSKHVTLRNDIILCDGACDRGFHQKCLNPPLLAQDIPPGDEGWLCPACDCKLDCIDALNELQGSTLSIHDSWEKVFPESALLANGSNQIGSSDLPSDDSEDNDYNPALAEGQMVDENKSSAEDGDEGSDSDDSDFMTSSDASELPMKKRSESKNKKTVDDLGLPSEDSEDDDFDPAGPVSSEDQKAKTNSEESDFTSDSDDFCAKIAKSCGQDEVSPPSSSDHADGIEISAVDNQLGQEDNSRTIMETELDQDVVLPVSSRRQVERLDYKRLYDEAYGKEASDSSDDEEWSGDSTPSNGNLDDSGTDSLAGSLQLAKIHSGRPQAGHRNNEHTPQTGRYSVSIGQCSEVLYSNGSSSTSHKKHFGPIINQKLKVHLEKDPYPSRPTKESLAQELGLTFNQVSRWFSSARHYSRIAAGKKEKHPENYTAENDRTAADIQQREPNGKVLEKQSVDRIDNVSEGRVAAQNNIDEGKKGDTPFKQDISCEQTVVVLPTVVNQNCTTNSRKVGSPNSVPGGNQHSGTSRNAEDTPLNQNTGCKQTVVVSPVDNQNCTASTSNVGSPKSVPGGNKRRDASRNIGSPRVGSAGNKIPGLEHVDEARRKAILRELRKMKAGR* >Brasy1G231400.1.p pacid=40054345 transcript=Brasy1G231400.1 locus=Brasy1G231400 ID=Brasy1G231400.1.v1.1 annot-version=v1.1 MSSMEETLLPLVHCDQKPPSSMQGRSKSCDVPNRCTTSFHPNSNSKANFCTLDHPPSTLDNSNIVSTLALHRVRSSPSVFTSIKEAPGADELDEQGHAAQYTPSVTRQAIASVILYISIGVLVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFIDIMLSGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMINAEKKRSSGRMKVLLALAVVAGSISVCTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYNFSTTAGRLSATVCLLVSTLAVGKAFLFLTDLRMNRRNRRTTKWILQKKMDNQPLAADLDNDAAVSKSDFLIYKLKEMGKIDEKDITIISDQFDQLGLGKCGNVGLAEIIAQL* >Brasy1G231400.2.p pacid=40054346 transcript=Brasy1G231400.2 locus=Brasy1G231400 ID=Brasy1G231400.2.v1.1 annot-version=v1.1 MSSMEETLLPLVHCDQKPPSSMQGRSKSCDVPNRCTTSFHPNSNSKANFCTLDHPPSTLDNSNIVSTLALHRVRSSPSVFTSIKEAPGADELDEQGHAAQYTPSVTRQAIASVILYISIGVLVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMINAEKKRSSGRMKVLLALAVVAGSISVCTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYNFSTTAGRLSATVCLLVSTLAVGKAFLFLTDLRMNRRNRRTTKWILQKKMDNQPLAADLDNDAAVSSKSDFLIYKLKEMGKIDEKDITIISDQFDQLGLGKCGNVGLAEIIAQL* >Brasy1G231400.3.p pacid=40054347 transcript=Brasy1G231400.3 locus=Brasy1G231400 ID=Brasy1G231400.3.v1.1 annot-version=v1.1 MSSMEETLLPLVHCDQKPPSSMQGRSKSCDVPNRCTTSFHPNSNSKANFCTLDHPPSTLDNSNIVSTLALHRVRSSPSVFTSIKEAPGADELDEQGHAAQYTPSVTRQAIASVILYISIGVLVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGGLLTNVLDKQRTVLLSTMDDNKLNKVFDTYMINAEKKRSSGRMKVLLALAVVAGSISVCTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYNFSTTAGRLSATVCLLVSTLAVGKAFLFLTDLRMNRRNRRTTKWILQKKMDNQPLAADLDNDAAVSKSDFLIYKLKEMGKIDEKDITIISDQFDQLGLGKCGNVGLAEIIAQL* >Brasy1G143900.1.p pacid=40054348 transcript=Brasy1G143900.1 locus=Brasy1G143900 ID=Brasy1G143900.1.v1.1 annot-version=v1.1 MPAVLAAFPSLRAARAIASAESPASFPCSHRLKLSSSHRFSSSSIGSSSNCL* >Brasy1G182400.1.p pacid=40054349 transcript=Brasy1G182400.1 locus=Brasy1G182400 ID=Brasy1G182400.1.v1.1 annot-version=v1.1 MEGNFAQRHPRLVSALLVCIVLLLSLALTALLTTVSCTPPEFSATVASYDGLGRSSAGGAAPTFRLALRVKNNDVWRHCLKPRGAVVEYEGVPIARADDLSFFCVPARSVVEVPVVATGEGRGLPDQVYEGVEGRRHRQERVPLAVRLTLDYQRKDWPMLLQCTAMLDGRPDLPSRCLLFIMVERGLHEDGEPSG* >Brasy1G100100.1.p pacid=40054350 transcript=Brasy1G100100.1 locus=Brasy1G100100 ID=Brasy1G100100.1.v1.1 annot-version=v1.1 MPPPPLHLLLALLLLFHLPFSLYSSHSHSHRHRRTPAPSPATPPTASYAAPLPVLLACNATRFKPVCVSTLSAANATATPDLLAATLSALRSRIPPAVSTARSILSSSSNVNLSSAATNCLTLLSISSHRLSPSPAPSLISASAALLHLYDCWSAYKYVNTSRTISDAMAYLNDAIAVSSNYISMLAAQGRYGDDTSHWAPPQTERDGYWPEAATEAEADADALGVPRGTPADATVCRSGCDYETVAAAVAAAPDNGGERFVVHVKEGVYKESVSVPWEKANLVLVGDGMGKTVITGDRNADTPGVSTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDSVELLGHQDTLYAHAMRHFYTRCRVSGTVDFVFGNSAALLHDTLLLVLPRQLHPEKGETDAVTAQGRTDPAQPTGIVLSRCVLNGSEEYVAMYRQKPDAHRVYLGRPWKEYSRTVFLGCTLAEIVQPQGWMPWSGDFALDTLYYGEYDSAGPGGGGDRSRSRVKWSSQVPKEHVGVYSVASFIQGDKWIPKVQ* >Brasy1G357100.1.p pacid=40054351 transcript=Brasy1G357100.1 locus=Brasy1G357100 ID=Brasy1G357100.1.v1.1 annot-version=v1.1 MEVTARTAITLLLAAVVALSFLGDAGVQGVRGYRHHHHARHTRHNTARPPSSSHAPGPASPKRAPSPAHHGSSPPAPPARAPSGPSPMPGAPAPAPGDGEADNVYDVIKDFGAAGDGVTDDTDAIKTAWDTACQDAGEGVVVAAAGHSFLVHSTVFTGPCQGSVTLQIDGTIVAPSEPTEWPANSKRNWLVFYKADGVSLRGAGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVALRFFMSNNVTVQGLKVQNSPEFHFRFDSCRGVHVNGLSISSPALSPNTDGIHVENTQDVLIANTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKQGTRACVSNITVRNAVIRHSDNGVRIKTWQGGSGSVSGVTFENVRMDAVRNPIIIDQYYCLSKSCENATTAVFVNGVTYSGIRGTYDVRGPPIHFGCSDAVPCTNITLSGVELLPATGDTVDSPFCWNVYGNATTPTVPPVACLMDGVPRNVDDSSSLKCY* >Brasy1G038300.1.p pacid=40054352 transcript=Brasy1G038300.1 locus=Brasy1G038300 ID=Brasy1G038300.1.v1.1 annot-version=v1.1 MDEMVGSAIVGEAVSRIFSGITASKDQDKTDEAAGGSLERLEMACIKMEAVLETSNKWQITDRPLLQWRKKLKRAAQDCEDATRRCSNDHCSDNIAAVRRFERFADGATEFMQFVQLGGTPRQNLFFDPLIRHIFASKSLGYMVSHPGGQYHFFGIQPMCFEERGMEAMLTFIYEDCKVPKNSFHLGLMMRLSESTNIIGTTVRCLRMVTPHFRSTADIVIKEIVQLPTQDFSCAPAEVVDFNMEHWNHVHSTLTRRFRTDPLCCKGYEQYHGVSSCRDGGASNSIRGDYKLSLESIFPEPVSQVFLQHHSSLPEYSNLQGSTTGYDMSSLENFPPLKLGIMFMPHDSPEEPDSLGESSAIEVIDGDKQDFTHVNVHPDQLDEMLVPKAIDYFYQKSEATTYQICWRSNHGSAHLYVGKTGLTRMARGPPRDKVGTRVVRRFVRRSRTCC* >Brasy1G005800.1.p pacid=40054353 transcript=Brasy1G005800.1 locus=Brasy1G005800 ID=Brasy1G005800.1.v1.1 annot-version=v1.1 MSCCGGNCSCGSGCKCGSGCNGCNMYPEAEVQTTGPLVVATAAHKASSGGMEMAAENGGCGCNTCKCGTSCGCSCCSC* >Brasy1G273000.1.p pacid=40054354 transcript=Brasy1G273000.1 locus=Brasy1G273000 ID=Brasy1G273000.1.v1.1 annot-version=v1.1 MTALRMNKMLSINCLGTQELHTFCKTTEILEDSTSQEIAVDKAVVGSTLICHQDVCSTAEVSGGNIGAAEVTLLQDESDTAVTDLLPMSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.6.p pacid=40054355 transcript=Brasy1G273000.6 locus=Brasy1G273000 ID=Brasy1G273000.6.v1.1 annot-version=v1.1 MTALRMNKMLSINCLGTQELHTFCKTTEILEDSTSQEIAVDKAVVGSTLICHQDVCSTAEVSGGNIGAAEVTLLQDESDTAVTDLLPMSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.5.p pacid=40054356 transcript=Brasy1G273000.5 locus=Brasy1G273000 ID=Brasy1G273000.5.v1.1 annot-version=v1.1 MTALRMNKMLSINCLGTQELHTFCKTTEILEDSTSQEIAVDKAVVGSTLICHQDVCSTAEVSGGNIGAAEVTLLQDESDTAVTDLLPMSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.2.p pacid=40054357 transcript=Brasy1G273000.2 locus=Brasy1G273000 ID=Brasy1G273000.2.v1.1 annot-version=v1.1 MSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.7.p pacid=40054358 transcript=Brasy1G273000.7 locus=Brasy1G273000 ID=Brasy1G273000.7.v1.1 annot-version=v1.1 MSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.3.p pacid=40054359 transcript=Brasy1G273000.3 locus=Brasy1G273000 ID=Brasy1G273000.3.v1.1 annot-version=v1.1 MSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.8.p pacid=40054360 transcript=Brasy1G273000.8 locus=Brasy1G273000 ID=Brasy1G273000.8.v1.1 annot-version=v1.1 MSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G273000.4.p pacid=40054361 transcript=Brasy1G273000.4 locus=Brasy1G273000 ID=Brasy1G273000.4.v1.1 annot-version=v1.1 MSYLSCEPRSMVPVSVPSSSGLETILSDVNYNVTAMDESTEFLHLILSGNDEGYNTTSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVAPSSHDFMNIVDLVERPVALLSLNETAESNNATNKTPADHSTMDPDETSLYLQMKPSDSETEITSASQDVIGTEYVDPKLLSRHLPDLMDVDSPNRLQKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFTIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVDVEDVRPIISKTFHSTPQQD* >Brasy1G182900.1.p pacid=40054362 transcript=Brasy1G182900.1 locus=Brasy1G182900 ID=Brasy1G182900.1.v1.1 annot-version=v1.1 MHLYLVKSVKYVRHSEIVPGNICPCTTESAAEWLLRPFQVSLDLNLEANFVLCFKIYLWHFFSRASI* >Brasy1G043100.1.p pacid=40054363 transcript=Brasy1G043100.1 locus=Brasy1G043100 ID=Brasy1G043100.1.v1.1 annot-version=v1.1 MDPSKKNPGRRPPVKSSSNSRSDSPSTATKPPRAQGAGAGHSVPARAQRPSKYVDNQEREQDANNIEGGDDADEDSENDEMSDHSRGQDNKEEDDVVEITETQMSTTKVAAGKRKKKSGVAAVAKKKTRVKRAECWKYFKLVKAVSKKKPDEVVEKAKCLGCFSLLCYQGGTTSLNRHRDSCYQIQNKKARALRQGTIAFDPEKPGASLIVNHET* >Brasy1G477100.1.p pacid=40054364 transcript=Brasy1G477100.1 locus=Brasy1G477100 ID=Brasy1G477100.1.v1.1 annot-version=v1.1 MSWQAYVDEHLLCDIDGQRLTAAAILGHDGSVWAQSETFPQVKPEEVTAVMNDFNEPGSLAPTGLYLAGTKYMVIQGEPGAVIRGKKGPGGVTIKKTTLAIIIGIYEEPMTPGQCNMVVERLGDYLLEQGF* >Brasy1G077500.1.p pacid=40054365 transcript=Brasy1G077500.1 locus=Brasy1G077500 ID=Brasy1G077500.1.v1.1 annot-version=v1.1 MASPVTDLQKRRQAFAARKVRVIERAQAMAAESNAPTAVVVSGGGGQLGPPLGYWPSKEAATAAAKQFRSFPDQARTKSTHGHASHLAALRDARRAQLAAAQEGGGGGISKERALEGMPDAAILKLLSRVDANREATGGKIRALQQPQHDEGVAENFVAGEAWVRQLLEDLKEKPWRADAPYNAEIEYINVCGFVMERDAYDFIRFELGMPLPDLYPDESTGYDKGMRLWSWDDSSFPESAPPQPYPK* >Brasy1G514200.1.p pacid=40054366 transcript=Brasy1G514200.1 locus=Brasy1G514200 ID=Brasy1G514200.1.v1.1 annot-version=v1.1 MQLLLFLLLLLLSAAARAFDDDPFLSGAQANHSYNIDCGGTSNFTSAFGRSWLSDRYFSAGGNAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFTVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPSSDGPSGATDVCFYSLSTDAPVVASIEVAPVHPLAYDGASTGAGLVLVNYGRLTCGNSLFGPGFTNDSDAFSRVWQAGTDFRNNDLTYDAITAGGRKIFGSNQPPNYFPTKMYRSAVTTGGDDTNEIEYLMPVDTRLSYMVWLHFAEIDAGVRAPGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTNSIMSVKLVPVVGRPILCGLENYAMVPLEMRTVPNQAAAMKALKDSLKIPARMGWNGDPCAPKRWDAWEGVTCHRGNKGLVITQLDLASQGLKGFITDEIRHLTDLVSLNLSSNSLTGSLPQGLGQPSLATLNMLIIDMFLTKHYDVYSSLTCPAQVAFSRRIMKLGRYQESISRDLSSNQFTGSIPGTIGSSKLQTVLLNSNQLDGQVPEVLYSVGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGLLLLVILIVVYIVCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSTDGFYTNGSTH* >Brasy1G514200.2.p pacid=40054367 transcript=Brasy1G514200.2 locus=Brasy1G514200 ID=Brasy1G514200.2.v1.1 annot-version=v1.1 MQLLLFLLLLLLSAAARAFDDDPFLSGAQANHSYNIDCGGTSNFTSAFGRSWLSDRYFSAGGNAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFTVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPSSDGPSGATDVCFYSLSTDAPVVASIEVAPVHPLAYDGASTGAGLVLVNYGRLTCGNSLFGPGFTNDSDAFSRVWQAGTDFRNNDLTYDAITAGGRKIFGSNQPPNYFPTKMYRSAVTTGGDDTNEIEYLMPVDTRLSYMVWLHFAEIDAGVRAPGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTNSIMSVKLVPVVGRPILCGLENYAMVPLEMRTVPNQAAAMKALKDSLKIPARMGWNGDPCAPKRWDAWEGVTCHRGNKGLVITQLDLASQGLKGFITDEIRHLTDLVSLNLSSNSLTGSLPQGLGQPSLATLDLSSNQFTGSIPGTIGSSKLQTVLLNSNQLDGQVPEVLYSVGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGLLLLVILIVVYIVCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSTDGFYTNGSTH* >Brasy1G514200.3.p pacid=40054368 transcript=Brasy1G514200.3 locus=Brasy1G514200 ID=Brasy1G514200.3.v1.1 annot-version=v1.1 MVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFTVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPSSDGPSGATDVCFYSLSTDAPVVASIEVAPVHPLAYDGASTGAGLVLVNYGRLTCGNSLFGPGFTNDSDAFSRVWQAGTDFRNNDLTYDAITAGGRKIFGSNQPPNYFPTKMYRSAVTTGGDDTNEIEYLMPVDTRLSYMVWLHFAEIDAGVRAPGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTNSIMSVKLVPVVGRPILCGLENYAMVPLEMRTVPNQAAAMKALKDSLKIPARMGWNGDPCAPKRWDAWEGVTCHRGNKGLVITQLDLASQGLKGFITDEIRHLTDLVSLNLSSNSLTGSLPQGLGQPSLATLNMLIIDMFLTKHYDVYSSLTCPAQVAFSRRIMKLGRYQESISRDLSSNQFTGSIPGTIGSSKLQTVLLNSNQLDGQVPEVLYSVGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGLLLLVILIVVYIVCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSTDGFYTNGSTH* >Brasy1G514200.4.p pacid=40054369 transcript=Brasy1G514200.4 locus=Brasy1G514200 ID=Brasy1G514200.4.v1.1 annot-version=v1.1 MVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFTVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPSSDGPSGATDVCFYSLSTDAPVVASIEVAPVHPLAYDGASTGAGLVLVNYGRLTCGNSLFGPGFTNDSDAFSRVWQAGTDFRNNDLTYDAITAGGRKIFGSNQPPNYFPTKMYRSAVTTGGDDTNEIEYLMPVDTRLSYMVWLHFAEIDAGVRAPGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTNSIMSVKLVPVVGRPILCGLENYAMVPLEMRTVPNQAAAMKALKDSLKIPARMGWNGDPCAPKRWDAWEGVTCHRGNKGLVITQLDLASQGLKGFITDEIRHLTDLVSLNLSSNSLTGSLPQGLGQPSLATLDLSSNQFTGSIPGTIGSSKLQTVLLNSNQLDGQVPEVLYSVGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGLLLLVILIVVYIVCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSTDGFYTNGSTH* >Brasy1G325500.1.p pacid=40054370 transcript=Brasy1G325500.1 locus=Brasy1G325500 ID=Brasy1G325500.1.v1.1 annot-version=v1.1 MATTAAISLGSPGQGLSWDSWVDGGRGAAAAAAAGRGDRGATPDPHIRDLTHYPWRASALNSTARRRLASPPPPPSPASRARRRLARSLLPPRALMRPPPPPRALTRAPPTRARRRASRPHAHAFQSPAPPPSRPASTANSSPAAADALAPRICHQLLSPEADSSSPAAGTREREVPSGVEALPSR* >Brasy1G261700.1.p pacid=40054371 transcript=Brasy1G261700.1 locus=Brasy1G261700 ID=Brasy1G261700.1.v1.1 annot-version=v1.1 MPPSTPKRRRGATASPRGRKKQKRLDAICDVAPAPPRGGGGDDGDDSDGEAVRRSTRVRRAPTMLDTSPAPSPRPKRSRRGGGVVGSSGSSRRGSKGRARRDADARMVDEDEDEEDDGENVVWRSRLRDRVKGKAGAGRRVRTLWFEDEDGEEEEAKTVVVDVREGAKEEEEGQETGGLPSREVGEREINLTIDLNVEHESVEGVTIVEEQEEGNGGVEKEEGEAIGTATDLDEGNMEELVEEDGLQGEEKTEQLVPEGYNNDELACDENTYAAGSGNLGGNDQLDVDIAEVRNLPDEQQMELDDCGPGEQVEEVWRDEQMDDAPNVVLPEDALIERVGKSLVSDAKRVVVEVKEGRRCGLCGGGTDGKPPRVALHDTADSENEAYEGALPSEEPNYDILDGFSNDPGWLGRLLGPINDRFGISRVWVHLNCAVWSPEVYFAGLGRLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRTEACIFDHRKFLITCNDHRHLFQPQGDKYAELLRKIKIKKMKADIRKLSQDACRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYRGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGGRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPSRSRQQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTLEDRSAILSLHTKSWPSPISGAFLSAVASQTIGYAGADLQAICTQAALNALKRTCPLQDILRSAEKGTEQGRLPLPSIDVEERDWLSALAAAPPPCSQREAGIAVNDLVSSPLDSYLLPCLLKPLLHLLISLYLDERIWLPSSLLKASACIKQVVFSSMEKNNVPHTFWSSYLPSLIQQKDFANRIGAILSSYGLTAAQLGNHGSVVPSHNEQHEKFDDRRLNSTGSPIKGGLAHKLSGFRALVAGAPRSGQRHLIRCILHGFMGHIVIHKLDLATMAQEGNGDILNGLTQILLKGLNIGRCIIYMPRIDLWAVNKAHEQETGDHALNMGTSKLASSPVESMAKCSEIWNALVDQMGSLLASASISVLATSELKFQDLPSRVKHFFSTHVVDQCLASSEHTIPRFSVNVDSYISWDEVLDSCAMRLSHDLIQQHVQLLHDRAHNNHDEQKKVFAPMESSALGECKSSFCIDKQSSCPTNLATCSSQLQPPTSDVKDTEENAEKLDFLESVSRKPSSRIVKGNESLAIIAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHSSCSPDKSLSEGNNILKGKEKALCVRGLVAVGLSAYRGVYVSVMEFCAEVRKVLELLVGQIQIKILEKKSRYRYFHILSQVAYLDDMVNSWAYTFQRLHPNNMTIASGTMITSLGKTCARECGSTGCNTESNLLVAPAGSTEVQDTSAKHSRDHPVGPTCGSVMQDSPAQQGPGQLECNLDNDHLTSISSMDTMEHDLSHSASHDVRKGPLAPPDTVINDRGSSGVNNNRMSGVTNGEEKCIPDIQISENLIKSVEKSNNVQRAENSGVFSASIENVEVSRNMVSSEAHGNGNEQNTTFLLKDVESGQQQDGMTDSSVPKSSCLYKCCSACFHAIYKLSHDVLSNSVRPNLHCLTIDDMHDTLSSWSLNLLATVRKWYSSQDVAGCKELFGKRHYLDIISEHCVCQTDASFVSRDCMCHLESNGEAGIINKKSHSLCGQSLSFFFKDGVLMPPDLTAGTTLHCSFRRLCVCSIPGTISMLFSSQVDAII* >Brasy1G266300.1.p pacid=40054372 transcript=Brasy1G266300.1 locus=Brasy1G266300 ID=Brasy1G266300.1.v1.1 annot-version=v1.1 MGRAFVYVVLGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEDPARLPGFHTCVGANDELLMTKWYKEQGIELVLGTKVISADVRRKTLLTATGETISYKTLIIATGARALKLEEFGISGSDATNICYLRSLDDADKLVNAMSSCSGGNAVVIGGGYIGMECAAALVTNKIKVTMVFPENHCMARLFTQKIAEYYESYYTSKGVTFTKGTVLTSFEKEDPTGKVTAVVLKDGKHLPADMVVVGIGIRANTSLFEGQLLMEKGGIKVNGQMQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVTAILEPSKTRDVDYLPFFYSRVFTLSWQFYGDNVGEVVHYGDFASSSPRFGAYWISKGRITGAFLEGGSREEYEALSVVVRRKAKVSNMSELEKQGLSFAIQESKKDLPDSGITLGEKPTFVWYATAGVVAAVSISAFGYWYGRKRRRW* >Brasy1G142300.1.p pacid=40054373 transcript=Brasy1G142300.1 locus=Brasy1G142300 ID=Brasy1G142300.1.v1.1 annot-version=v1.1 MAASLLHAASSPLASTNPPARAPFRPLASSPFLRLVRSSPDRRSRLDAPPLRALSAGTRLAAGGDTLRARRVVAAFAGEEPLSSELGDDKEKEKSEIEPEEAQEVWREMLKQFKTEAIRMQALTTQAYDVYSKRAREVLLEAAEKLRIQADKAQKDLTVIAAEVGEEGQEYLKLAAQNSPDSIKDITETIKALGKLNGLSEYHDYHVGIPFGTFLTIGGFLNFMLTGSVAAIRFGFVLGFALLALGISSLRSQRAGGRQPRLLLKGQAAIASVIFLRELSIFFQYGWFPNIFVVLLSGTVAAFYIHRIVTGGHKAPSTESGSEN* >Brasy1G071600.1.p pacid=40054374 transcript=Brasy1G071600.1 locus=Brasy1G071600 ID=Brasy1G071600.1.v1.1 annot-version=v1.1 MSSLGFARIIPKRRRTKQVPSELVNRQLLDLNLLPAAEGTGNGSSPSINEHVSHSRASRTVVAETSQLLVPPAAAESNIRMNSFPIDVEVIDDDDDVVIYSSRSLLPRVRQQSTRTVPVTVIIDDDSETTAGPAGEALDEHVNTLLSLGTNPRHYHSGASSENLVINIVDTPETNRVLPKVMQAVPEPVKEVPKEPKFTCPVCMNELVDPSSTICGHIFCQKCIKLSIQTQKKCPTCRKKLTVNNYHRVYLPTTDH* >Brasy1G081200.1.p pacid=40054375 transcript=Brasy1G081200.1 locus=Brasy1G081200 ID=Brasy1G081200.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQVGRNRGSPARLYKLNQGLSPAQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKVSQATEAISVKEGTQKIEAAKLGGQGVEKVQTHQGTVKELPARGEKRAGTCSFYFKRQVSAKATICLVDQATPAQDRIKGEGNKCATDTAAAAQVHVRGSSVPVHPIEKSRSRVVFNGLDFEAPSFDLGFDRTPAPPITPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKSDDIIKYNNFFVTVSELASSMAPTCRLSNSVVEIGIEYIMQKQPSSVKKCVMPLRIGESNHYWLFNLNIRDRRYEIFDSIRSFSNQKLNEAAKRIATCIIALWGTHYSKSRVKVDQFKWLDVGGPKQDNTYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy1G334300.1.p pacid=40054376 transcript=Brasy1G334300.1 locus=Brasy1G334300 ID=Brasy1G334300.1.v1.1 annot-version=v1.1 MDQHHPPQYGDPYRALVPSPQPDHLHALQYQQPQPQPQQVTPLPPQQHHSSLASHFHLLHLVTRLGDAIGTGTRDQTFDALVEELTSQFARCQQLLNSISGTLSSKSITVEGQQQSLEETRQLLDQRKDLITKYRSSVEDLLKGDTTR* >Brasy1G452500.1.p pacid=40054377 transcript=Brasy1G452500.1 locus=Brasy1G452500 ID=Brasy1G452500.1.v1.1 annot-version=v1.1 MGRTKKLKVTTIFGYAGVGKTTVARTLFHQYGRKFQCWAFVRVSRDPDMMRLLTNMLSQIKAPLPQAPSDVQGLLASLTKHLQGKRYLIIIDDIWDTPTWDIISHALPGGDYCRIITTTQVEGVALACCGYIYEITPLNDDQLEKSEGDCTTNPRSEGMKEALKLVYNNLPPHLQTCLLYLNMYPEGYMIRKDELVKQWVAEGFIRSVQGQDMEDTAGGYFDDLLSRGMIQSVDTDHNDKVLSCTLHHMVLDLIRQKSMEEDFVTIVNYFQRTLGLPDKVRRLSIQFGGAKGANNIPENIRKYQVRSLIFSGYFKCVPSIVDYGLLQVLILHIWADQDRIVDLSSIGELYRLRFLKIECNITVKLPDKIGGLQHLGTLQVDARLSDVPSDIVHLEKLRHLRLPSEASLAVKPAENMVAYMKYLGLILEKLSNLKSVVLASQVNTSSMRISCDSFSNVSPVTVNLERLELWPRICIFPSLPQWFNTLDKLCILKIAVRELSNIDIDILRGLVALTAVSLYIQTAPGLIVFGKAGFSALKYFKVKCSEPWLKFEAGGMPNLHKVKLVFNAKEVQQHGAAPICIEHLPGLKEISAKIGGSVAALSISVSNDPRNPKIIEQRLDWNLCADKDRSMRAMQEQGGEIIEEKGEILEENIFDVPQTQDKYQGGDGSSQPDTHSRISTFMESSSRPPPRRPNRRRELLSMWARDSSPELVSSSPPTSSSSRGPIIRSTIQRVRISPRDRRGTEGPRPPNSLRTSSSPTSKSKKPQDLSGRA* >Brasy1G229400.1.p pacid=40054378 transcript=Brasy1G229400.1 locus=Brasy1G229400 ID=Brasy1G229400.1.v1.1 annot-version=v1.1 MELLQSFSAILFTVAALAAGLFATYFYAPSWRVRRVPGPVPYPLIGHLPLLAKHGPGVFNVLRDRYGPIFRFHMGRQPLVMVADPELCREVGIKKFKSIPNRSVPSPIRSSPIHHKGLFFTRDSRWQSMRNVIISVYQPSYLASLVPAIQPYVERAGRLLSHGKEITFSDLSLKLFSDTIGQIAFGVDFGLTKDAATSPPTPNRPADGAETVDAATDFIRKHFYATTSLKMDLSGSLSIVLGMLMPLLQEPVRQVLLRVPGSADRRMEETNMAMSGLLDGIVAERAAMSDRGKKNFLSVMLNASESTEAMRKLLTQDYVSALTYEHLLAGSVTMSFTLSSLVYLVAMYPEVEEKLLREIDAFGPKDVVPDADELQSKFTYLEQVLKETMRFYTVSPLIAREASEDVEIGGYLLPKGTWVWLAPGVLAKDPKQFPDPDVFRPERFDPENEECKRRHPYAFIPFGVGPRACIGQKFAFQQLKLVAIHLYRHYVFRHSPSMEFPLQFQYSILVNFKHGVKLHVIERRKT* >Brasy1G148000.1.p pacid=40054379 transcript=Brasy1G148000.1 locus=Brasy1G148000 ID=Brasy1G148000.1.v1.1 annot-version=v1.1 MLRYTSTPQHTPPMSPLRGNGPLTPRTPIGGALFPDNNHLPPPSPRPPITLTAPPSSKKKRRRGASGSLRAIRAVRALFRSLPILASPACRLSFPHHSSLGLPRPSSRARAAHDGHVSGASRTTGTLFGHRRARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECDKKPPGAPGAGIGRTRLLDEPLWTAYVNGRKIGYAVRREPTEDDLAVMQLLRTVSVGAGVLPSGVMGGGCAGGQGQADAGDLAYMRARFDRVVGSRDSESLYMLNPDGNNGPELSIFFIRI* >Brasy1G016800.1.p pacid=40054380 transcript=Brasy1G016800.1 locus=Brasy1G016800 ID=Brasy1G016800.1.v1.1 annot-version=v1.1 MLTKGVSSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICENFVRAGSDAVIRSTVRHDVLLPKPLESNLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDYIHPSLVESLAVSNVDFVACGEFHTCAVTTAGELYTWGDGTHNTGLLGHGTDISHWIPKRISGALEGQQVAYVSCGTWHTALITSTGQLFTFGDGTFGVLGHGNRESIPCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRVKPTCVASLIDYDFHRIACGHSLTVGLTTSGQVWSMGNTVYGQLGNPRSDGKLPCLVEDIMGENVVQVACGSYHVAVLTVRNEVFTWGKGANGRLGHGDIEDRKIPTLVEVLRDRGVRHIACGANFTAAICQRKWMSGAEQSQCASCRQPFGFTRKRHNCHNCGHVHCNACTSRKVLRAALAPNPAKPYRVCDSCFLKLNNAIDSSTVNKRKEAVPRHSGESNTDAKFVKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRNPQMNSLLQLREIALSGGFDLNRPVQKTVRTPAVRSVNTSRAVSPFSRKPSPPRSTTPTHGLSIAKSATDNLTKKNEMLNQEVERLRGQVDNLKHRCELQDLELQKSAKKVQEAMMLVAEESGKSKAAKEVIKSLTAQLKDMAERLPPDPGAYDGNDAKQSQFPNGIESHGSIYSSMNGIHQPRNESIHALNMPSLNNGRALHPNRISGQHKSPGNTISENNEVSAHRHRVSSPHDAEHSNRRGHDSGDELFTASRRAGDSASMDTMSLQSGEDGYKSRGTISLSGNQVQAEWIEQYEPGVYITLMTLIDGTRDLKRVRFSRRRFSEHEAENWWNENHEKVYERYDVRSSERASSAASTRSAR* >Brasy1G373100.1.p pacid=40054381 transcript=Brasy1G373100.1 locus=Brasy1G373100 ID=Brasy1G373100.1.v1.1 annot-version=v1.1 MGADHYETLGVSRNASKADIKNAFFRQAHRYHPDHHPSASAAARAEATTRFRQAKDAYDVLSDDRRRAAYDHDLRYRNQTRGGHGGSGAGASSSSSSSSNGHAHGNNTRHGHAGGSRARPPPPPNGGGSKARSIRLIVW* >Brasy1G536300.1.p pacid=40054382 transcript=Brasy1G536300.1 locus=Brasy1G536300 ID=Brasy1G536300.1.v1.1 annot-version=v1.1 MSFAPRTAPVLTVARHGRRCPSRRTPPPPTARLTVAVCPSRRAPSPSPSRSSLAIAVAVLAAAVAALRAARRRPPRAPPPPTARLTVAVCPSRAPRAAPGPRSGHRCPSRRALAPTFSCATAALLQCPVTALRAARRRSARCGCHHPPRAVAVCRRPLRSAPATSSHRPSPPSSSVPPPLSRSRPVLEPPCEFSDM* >Brasy1G478500.1.p pacid=40054383 transcript=Brasy1G478500.1 locus=Brasy1G478500 ID=Brasy1G478500.1.v1.1 annot-version=v1.1 MPISSSLSARLGPGGAAPSAAPPPARWSPYARPSESRRGGGGGGCIKVCRPPLRLEPATARLLGTAQKAAASRSSCGKEATASDVAAPTLRRRGDEKSNPKPAEANEEAPVDESRGTGGFAFLCTLAGHTEAISGIAMPIGSDKLYSGSADGSVRVWDSNSGKCVDLIKMGGKIGCMITHDTWVFVGIPKSVEAWNTRTGMKLSLRGPSGLVCSMTIKDEMLFAGTADGRIMAWKFPAEESDSEPVSILIGHERHVISLSASATRLYSGSLDKTIKVWELKTLQCIETLSEHKSAVTSVLCWDQKLLSCSLDKTIKVWAATESGNHRVIYTHAEEHGLRTLFGMHRVGSTPVLFCSLHNSNRIRLFDLPSFGEMGELSSQKEVKAIELAAVSGGLLFTGDGAGELKVWRAALHWRWRRRAQGVEVPRWEAGSPPLRR* >Brasy1G478500.3.p pacid=40054384 transcript=Brasy1G478500.3 locus=Brasy1G478500 ID=Brasy1G478500.3.v1.1 annot-version=v1.1 MPISSSLSARLGPGGAAPSAAPPPARWSPYARPSESRRGGGGGGCIKVCRPPLRLEPATARLLGTAQKAAASRSSCGKEATASDVAAPTLRRRGDEKSNPKPAEANEEAPVDESRGTGGFAFLCTLAGHTEAISGIAMPIGSDKLYSGSADGSVRVWDSNSGKCVDLIKMGGKIGCMITHDTWVFVGIPKSVEAWNTRTGMKLSLRGPSGLVCSMTIKDEMLFAGTADGRIMAWKFPAEESDSEPVSILIGHERHVISLSASATRLYSGSLDKTIKVWELKTLQCIETLSEHKSAVTSVLCWDQKLLSCSLDKTIKVWAATESGNHRVIYTHAEEHFLVLPSHERTTVIVLISSVQPFRFRKIIVLGDCVLSSACTV* >Brasy1G478500.4.p pacid=40054385 transcript=Brasy1G478500.4 locus=Brasy1G478500 ID=Brasy1G478500.4.v1.1 annot-version=v1.1 MPISSSLSARLGPGGAAPSAAPPPARWSPYARPSESRRGGGGGGCIKVCRPPLRLEPATARLLGTAQKAAASRSSCGKEATASDVAAPTLRRRGDEKSNPKPAEANEEAPVDESRGTGGFAFLCTLAGHTEAISGIAMPIGSDKLYSGSADGSVRVWDSNSGKCVDLIKMGGKIGCMITHDTWVFVGIPKSVEAWNTRTGMKLSLRGPSGLVCSMTIKDEMLFAGTADGRIMAWKFPAEESDSEPVSILIGHERHVISLSASATRLYSGSLDKTIKVWELKTLQCIETLSEHKSAVTSVLCWDQKLLSCSLDKTIKVWAATESGNHRVIYTHAEEHVSSALFLYYV* >Brasy1G478500.2.p pacid=40054386 transcript=Brasy1G478500.2 locus=Brasy1G478500 ID=Brasy1G478500.2.v1.1 annot-version=v1.1 MGGKIGCMITHDTWVFVGIPKSVEAWNTRTGMKLSLRGPSGLVCSMTIKDEMLFAGTADGRIMAWKFPAEESDSEPVSILIGHERHVISLSASATRLYSGSLDKTIKVWELKTLQCIETLSEHKSAVTSVLCWDQKLLSCSLDKTIKVWAATESGNHRVIYTHAEEHGLRTLFGMHRVGSTPVLFCSLHNSNRIRLFDLPSFGEMGELSSQKEVKAIELAAVSGGLLFTGDGAGELKVWRAALHWRWRRRAQGVEVPRWEAGSPPLRR* >Brasy1G377500.1.p pacid=40054387 transcript=Brasy1G377500.1 locus=Brasy1G377500 ID=Brasy1G377500.1.v1.1 annot-version=v1.1 MFRGNIVSYSGFLNALCRAEMVTQAEVLLGDMLVAGCSPNVVTCTALVKGLCTVGRVHHAKIVIKNMVNLGIVPNVVTYTTLMSGLCKGRTGEAVELLGEMQQQGVKSNEFTYSTLLNGLCKEGRLDDAVNLFGQMEKENCSNRMFAYNVLISGFCKMGNLWQALKYFYDMGQKGFLPDIVTYNTLMDGYCKLDQIETAKGLLADMEKQGLEPNTVSYNILVSCLARLGDA* >Brasy1G179200.1.p pacid=40054388 transcript=Brasy1G179200.1 locus=Brasy1G179200 ID=Brasy1G179200.1.v1.1 annot-version=v1.1 MASQPPTQPQQQELDADNLLPSSPASSSASDADADHRRAVDDLLLLLSSSDSDSDDEPGRSSSSPSTILKPLARILAPPPQQLPKPSPPPSPSPSASDSPRRSTSASPPSATLSSLVTRTFSNSAASSSRPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRTASAPVEKILDEGSGSEASEGLSSTGNLEAEVAAEKVSSEVVARVTEETVGGSKVEELEDGKHGEVGIEVNSEPVESVGAGDVDSVAAEIVDEHEQIGGENLAETVQVGSQVGFVDEESGDEQIRDENPMESDEIVDEVGSVSEENSDDEQEVQVSDNALEEEVEPEDIIDKVIEERMEISRKAEKNTEKKLKVSMKPLEWAEELEKRQASFGQHWEEGAAAQPMRLEGIGKGQPAIGYMQIEVDNPITRAMASPSFRQDHGSPQVLAVHRSYIAMGMSSGAVIIVPSKYSSHQADDTDAKMLFFWNQGEKTQSPVTAMCFNPQGDLLLVGYGDGHMTIWDVQKATAAKVIHGEHTGAVVHVCFIRQSKAITGDSKGLVLLHTFSIIPVINRLTVKGSQRLFDGNTGIVLSACPLLVDESLNSSNSSTQGNITSSSSGGLGSMMGGVVGVDSGWKFFNEGSSPVEDGVTVMFIMHQHALVVRLRTNIDHVDHIETFSRPEGAREGSIAYAAWKYTASSSDSPSVDEERVSWLALAWDRQVQVAKFVKSKMIRHKEWKLDSSAVGVAWLNDQMLAVLNLRGQLCLFSKDGSELRRTIFILDGFVFDDSILYHTHFLNRFGNPERHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYEGHTQGVVDLPRTVDSIREAIMPYLVELLLSYIGYVFEYISIALSNHTGKGGAADDLVDTDRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFAAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQSATRKDATSTCYRMLVYLKYCFQGLAFPPGHGIIPRAHLHSVRKELLQFMLEESKMLTSEVFKGFNSSCGKCPNICYLLWMDTEATLEVLKCAFAQESFEPTSGILDASVPTDEGDTNTGSPESQNILLQSVVDTIIDIVGLESEATHSIFAGTAESENSEFWPSVNDLGYIIEFVSFFVCHKRANTSQRVLRHIVKYLTSSNTQSYDDKKLLTQKEEEVLQLFNVVPQTDWNSDYMLHLCLDAHFHQACGLIYAARDQNLAALDSYMKDIVEPFHAFIFINKKLLQLAGDEVLSFRSTVISRFPELVNLSRECAFVLVIDHFHNEIQQMLAELHSDHRSLFLFLKTAIEVHLSGKLDCSKLTARNDKIVEHQYFSGELEDYLQRLSNLPKLFDRNPVSLTDELVELYLELLCQYEPRSALRFLETYDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALVLAGLDEKISQFISSVENTFSRISPKSMSEIEQPDVVLKMSEAHPVLDTLRASIGLCQRNSQRLDPEESQLLWFQLLDSFSEPLKKLYGGKVVSGKGGRSEGGETTNGYPMGNGQMRISAYEKCLNALRRVFSQFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFVCCVCNCSLSKEGAISAVRVFSCGHATHLHCESEQSKSSNRDSKDGCPICLSTSNTQARNKSSIISENGLARYSVVENEVSHGIHHVHETDHVERSRGLQHMSRFEILNNLQKAQKTFHIETVPPLRLSPPAIYHEKIQKRVSFVGESSRHSVRTEKPQKIWQMKEPKLKKSGNWLPTKSSIFSSDKNQVR* >Brasy1G179200.2.p pacid=40054389 transcript=Brasy1G179200.2 locus=Brasy1G179200 ID=Brasy1G179200.2.v1.1 annot-version=v1.1 MASQPPTQPQQQELDADNLLPSSPASSSASDADADHRRAVDDLLLLLSSSDSDSDDEPGRSSSSPSTILKPLARILAPPPQQLPKPSPPPSPSPSASDSPRRSTSASPPSATLSSLVTRTFSNSAASSSRPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRTASAPVEKILDEGSGSEASEGLSSTGNLEAEVAAEKVSSEVVARVTEETVGGSKVEELEDGKHGEVGIEVNSEPVESVGAGDVDSVAAEIVDEHEQIGGENLAETVQVGSQVGFVDEESGDEQIRDENPMESDEIVDEVGSVSEENSDDEQEVQVSDNALEEEVEPEDIIDKVIEERMEISRKAEKNTEKKLKVSMKPLEWAEELEKRQASFGQHWEEGAAAQPMRLEGIGKGQPAIGYMQIEVDNPITRAMASPSFRQDHGSPQVLAVHRSYIAMGMSSGAVIIVPSKYSSHQADDTDAKMLFFWNQGEKTQSPVTAMCFNPQGDLLLVGYGDGHMTIWDVQKATAAKVIHGEHTGAVVHVCFIRQSKAITGDSKGLVLLHTFSIIPVINRLTVKGSQRLFDGNTGIVLSACPLLVDESLNSSNSSTQGNITSSSSGGLGSMMGGVVGVDSGWKFFNEGSSPVEDGVTVMFIMHQHALVVRLRTNIDHVDHIETFSRPEGAREGSIAYAAWKYTASSSDSPSVDEERVSWLALAWDRQVQVAKFVKSKMIRHKEWKLDSSAVGVAWLNDQMLAVLNLRGQLCLFSKDGSELRRTIFILDGFVFDDSILYHTHFLNRFGNPERHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYEGHTQGVVDLPRTVDSIREAIMPYLVELLLSYIGYVFEYISIALSNHTGKGGAADDLVDTDRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFAAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQSATRKDATSTCYRMLVYLKYCFQGLAFPPGHGIIPRAHLHSVRKELLQFMLEESKMLTSEVFKGFNSSCGKCPNICYLLWMDTEATLEVLKCAFAQESFEPTSGILDASVPTDEGDTNTGSPESQNILLQSVVDTIIDIVGLESEATHSIFAGTAESENSEFWPSVNDLGYIIEFVSFFVCHKRANTSQRVLRHIVKYLTSSNTQSYDDKKLLTQKEEEVLQLFNVVPQTDWNSDYMLHLCLDAHFHQACGLIYAARDQNLAALDSYMKDIVEPFHAFIFINKKLLQLAGDEVLSFRSTVISRFPELVNLSRECAFVLVIDHFHNEIQQMLAELHSDHRSLFLFLKTAIEVHLSGKLDCSKLTARNDKIVEHQYFSGELEDYLQRLSNLPKLFDRNPVSLTDELVELYLELLCQYEPRSALRFLETYDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALVLAGLDEKISQFISSVENTFSRISPKSMSEIEQPDVVLKMSEAHPVLDTLRASIGLCQRNSQRLDPEESQLLWFQLLDSFSEPLKKLYGGKVVSGKGGRSEGGETTNGYPMGNGQMRISAYEKCLNALRRVFSQFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFVCCVCNCSLSKEGAISAVRVFSCGHATHLHCESEQSKSSNRDSKDGCPICLSTSNTQARNKSSIISENGLARYSVVENEVSHGIHHVHETDHVERSRGLQHMSRFEILNNLQKAQKTFHIETVPPLRLSPPAIYHEKIQKRVSFVGESSRHSVRTEKPQKIWQMKEPKLKKSGNWLPTKSSIFSSDKNQVR* >Brasy1G192100.1.p pacid=40054390 transcript=Brasy1G192100.1 locus=Brasy1G192100 ID=Brasy1G192100.1.v1.1 annot-version=v1.1 MFPVQVRIIRSIKNSPAGTLRTFSVPDSYSVRVGCYVRTIFHEKQLASHCEVVCVQKFNLLNTHINPHTTKFKPFVRFTVCFRCWLLPTGLQN* >Brasy1G355200.1.p pacid=40054391 transcript=Brasy1G355200.1 locus=Brasy1G355200 ID=Brasy1G355200.1.v1.1 annot-version=v1.1 MDREDTAAMASSLPTSSCQGFGGAPYRMHGGFWYPAHQTARPLARPAGHLRRPPHRRASSSPPSPSPAPRGSRRSSSPSSHATGDDGQQHPLLRSSPHDLVPFLHYIYEKHRSVSSAVLPLLEAMPPPRVLACNPKPGLHQPCPTTRTTGETAKSGRCSTLRADGRASGRPRSHAPTTAGSRSHTTATANAGDPIRHRRRTHAGPTQRRRAQPIAGSSIACPGSGHHRAGGPDTAADLTRRPPILRR* >Brasy1G529200.1.p pacid=40054392 transcript=Brasy1G529200.1 locus=Brasy1G529200 ID=Brasy1G529200.1.v1.1 annot-version=v1.1 MDPSGAFSRSSSNVSLSSLVRSGSGSRGGNYGGSRRMFSRVLRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWRSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSQFRDAPDLFEIEGTNGMPRASIDKLPEVRITEEYNRNAVGDLSGCSVCLQDFQIGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL* >Brasy1G380600.1.p pacid=40054393 transcript=Brasy1G380600.1 locus=Brasy1G380600 ID=Brasy1G380600.1.v1.1 annot-version=v1.1 MGRQQQSVCGGAGWWWRAVAWWGAVVLGHLVSHGRAGLLDTNPGLAYNFYRTSCPNAESIVQRVTWAQVAANQALPGRLLRLHFHDCFVKGCDASILLDTAGSEKTAGPNLSVGGYEVIDAVKAQLEQACPGVVSCADVVALAARDAVSYQFRASLWQVETGRRDGPVSSAGNTGSLPSPSAGFGGLVQSFAAKGLDVGDLVALSGAHTIGKASCSSVTPRLYNGNATTVDPLLDSAYAKRLITSCPNPNLTPSSPPPAATVDLDAATPFKFDGTYYSNLLNKQGVLASDAALTQNAAAAAMVANLTNSINFYAAFAMSMKKMGRVDVLTLKNGQGKIRTQCRVP* >Brasy1G121200.1.p pacid=40054394 transcript=Brasy1G121200.1 locus=Brasy1G121200 ID=Brasy1G121200.1.v1.1 annot-version=v1.1 MPGYFKVCAVAVAEPPDHVPPFRGIQAQAKSSDTSHSSGAPSTWSDLVVPARTESLEAGPRMWLICGPLLIVR* >Brasy1G249300.1.p pacid=40054395 transcript=Brasy1G249300.1 locus=Brasy1G249300 ID=Brasy1G249300.1.v1.1 annot-version=v1.1 MAPDQAAALSAAAASLPYLASRRPHPRRPCCSSFPPRRPPPIYLTCTALPCTPPSPWTAADDTRGHLFRCLPQPRCSNVEAVGDVAAAAPDDYTENMPSSSGYANGRMGSGALSHQDRQIGMADRTNHKMIKISDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAEPNPEMKHSLLRLCRKLKEIDEDVQRHNELFEVVKSTPSEKIGAVIAKRRKDFTVEFFNHLYYVAESYQDDPDKQKELAQLGNDCVDALQAHDDMSGSLEALNAAEFKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGENVDTLYTSPEVLHTWASAIVDAYYSSREGTLLGQARDLMNPKIIKRVEEIVKTIKDKYL* >Brasy1G581700.1.p pacid=40054396 transcript=Brasy1G581700.1 locus=Brasy1G581700 ID=Brasy1G581700.1.v1.1 annot-version=v1.1 MTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVTALSPDIDSAKTRRYSALFKTMIVLPGTMTGLIMGAAPPLEAIQLQIVVTSILSTYLCWPPFFTKAFQLDDKVFAD* >Brasy1G203300.1.p pacid=40054397 transcript=Brasy1G203300.1 locus=Brasy1G203300 ID=Brasy1G203300.1.v1.1 annot-version=v1.1 MARRFSGLAAAVRTADVPLPRMPSTTRRSPTTARPPTRSPGSAPSSSARPCSISIPSPYETLNIVEGKRQYLYNEHGRRYLDAFAGIATVCCGHSHPDVVDAMIAQARRLQHPTVLYLNHVITDFAEALASKMPGDLQVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAATTMGATAQKNWKFNVIQSGVHHAVNPDPYRGAFGSDAEMYARDVKEIIEFGTTGNVAGFISEAIQGVGGIVEVSPGYMPLAYDLVRKAGGLCIADEVQAGFARVGSHFRGFETHGVIPDIVTMAKVRRSNGTQHICHLPFVLFFPDDDDHLWQLRRRVSAMASLWAPAVVTTPEIAQAMTRRSYFNTFGGNPFCTAGGLAVLKVLEKERLQENAFVVGSYLKDRLRDLQEKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDRFLR* >Brasy1G411000.1.p pacid=40054398 transcript=Brasy1G411000.1 locus=Brasy1G411000 ID=Brasy1G411000.1.v1.1 annot-version=v1.1 MASVGIVPSGQKNSSSTSMGVEKLPDQMNDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYTYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAMEALVHPFFDELRDPNTRLPNGRFLPPLFNIKPNELKGTPVDIVAKLIPEHARKQCSHAGL* >Brasy1G384900.1.p pacid=40054399 transcript=Brasy1G384900.1 locus=Brasy1G384900 ID=Brasy1G384900.1.v1.1 annot-version=v1.1 MKSTAGDAMDAEASSAGQSSAEVASMLRRFLAVQQRRAEAYSKLHRGFSEYMTNGGECAYQQLCGNVTGEFNDCSKQILEMVALLSEPKFCHGDLANLLKDVQAHERDKLQLTAQIQVLKKAGRPSERLVNHEHCRSSGMAHVCANVKEITEAAGTEDAEADAEYDAALKEAIQGIQKAVTSINEHMEEVRYEIDALEAETIGSRLAEVEETFPGTLLIK* >Brasy1G239600.1.p pacid=40054400 transcript=Brasy1G239600.1 locus=Brasy1G239600 ID=Brasy1G239600.1.v1.1 annot-version=v1.1 MPSCWSSVLAVLGGGRAATTGEEEDAEPTLRRRLLAEKAAAVSEAEALENLEEEVKALERALAAAEAEKDAAEARKREAEARADEAEAELRPVEEERQGRVEALLRMMEKNQAADARIGELEEQIKIITSMTGTKWDTPGYQKIMQTFT* >Brasy1G110000.1.p pacid=40054401 transcript=Brasy1G110000.1 locus=Brasy1G110000 ID=Brasy1G110000.1.v1.1 annot-version=v1.1 MAERFDLQSRHGKSRVRVSRVWRRPAAAGGHLFVEWSVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEASVSIVERPWERVVVDGKPHSHGFKLGAEKHSTEVTVKKSGSLLINSGILGYSLLKTTQSGFEGFVRDRYTLLPETRERIVATEVTAWWRYPFEHISQLPSKPFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLTSFPDISSVQLRMPNLHFLPVNLSGKENPGLVKFADDVYMPTDEPHGTIEATLSRANSKL* >Brasy1G084100.1.p pacid=40054402 transcript=Brasy1G084100.1 locus=Brasy1G084100 ID=Brasy1G084100.1.v1.1 annot-version=v1.1 MGDGGGGDGAAASSSSSSPDAAAGFSYLAVFHNFPLVAALLGFAIAQTIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALSVAIGFHDGFGSALFATATIFASVVMYDASGIRLHAGKQAAVLNQIVCELPSEHPLAETRPLRELLGHTPTQVVAGALLGCMIATAGQIIIAVTSVV* >Brasy1G340200.1.p pacid=40054403 transcript=Brasy1G340200.1 locus=Brasy1G340200 ID=Brasy1G340200.1.v1.1 annot-version=v1.1 MSIAALRSFPAVYGVKPSTRLQAPRGRPRHARLIRASSSVAVNGEVGLGKRSEKGQEEDAVKDKEQLGLEPMYDDGFGGVTVKDYFAAARELSKDDGGPPRWFCPVESGRPAVQDAPLLLFLPGTDGVGMGLILHHKSLGKAFEVRCLHIPVNDRTPFEGLLQIVEQSIKYEHELSPNKPIYIVGDSFGGCLALAVAARNPQIDLVLILVNPATSFPKTSLQPILPLLEAMPSNLHVTVPYLLSFVMADPIKMAMVSIENNLSPPETLQKLSDSLTSLLPLLSELADIIPRDALLWKLKLIKAGAAYTNSRLHAVQAEVLLLASGKDNLLPSAEEADRLFKTLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANIYRRGRQRDFVTDYLPPTLNEFKKTFDEDHKLFHLALSPVMMSTLMNGKIVRGLAGIPDQGPVLFVGYHALMGIELSPLYEEFLREKNTVVRGMAHPMLFGSNFETSRQESSRFDTVSMYGGLPVTPINMYRLFERNQYVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTVIPFGFVGEDDVLEMVLDYNDQKNIPFVREWIESINKETLRVRDSVKGEEGNQDVYIPALVPKVPGRFYYLFGKPIEMKGMNNVLRDRENANEVYLHIKTEVENAMSYLKRKREEDPYRSIAQRTLYQASWGVSAQVPTFEP* >Brasy1G340200.2.p pacid=40054404 transcript=Brasy1G340200.2 locus=Brasy1G340200 ID=Brasy1G340200.2.v1.1 annot-version=v1.1 MVLGWGSFCTTSLWARLLQIVEQSIKYEHELSPNKPIYIVGDSFGGCLALAVAARNPQIDLVLILVNPATSFPKTSLQPILPLLEAMPSNLHVTVPYLLSFVMADPIKMAMVSIENNLSPPETLQKLSDSLTSLLPLLSELADIIPRDALLWKLKLIKAGAAYTNSRLHAVQAEVLLLASGKDNLLPSAEEADRLFKTLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGANIYRRGRQRDFVTDYLPPTLNEFKKTFDEDHKLFHLALSPVMMSTLMNGKIVRGLAGIPDQGPVLFVGYHALMGIELSPLYEEFLREKNTVVRGMAHPMLFGSNFETSRQESSRFDTVSMYGGLPVTPINMYRLFERNQYVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTVIPFGFVGEDDVLEMVLDYNDQKNIPFVREWIESINKETLRVRDSVKGEEGNQDVYIPALVPKVPGRFYYLFGKPIEMKGMNNVLRDRENANEVYLHIKTEVENAMSYLKRKREEDPYRSIAQRTLYQASWGVSAQVPTFEP* >Brasy1G251500.1.p pacid=40054405 transcript=Brasy1G251500.1 locus=Brasy1G251500 ID=Brasy1G251500.1.v1.1 annot-version=v1.1 MNSKKKKKTFLVLTLLIALLATTTIAQQQQLSKCEKFFEQQCIPATVLPGPIFGECEENQIKCCKQLAQLQGDQLQCDVIRELVKSMIDLQLEMGKRPWKAQQVFQLLEAMCEDQALPHCMLLSSN* >Brasy1G440100.1.p pacid=40054406 transcript=Brasy1G440100.1 locus=Brasy1G440100 ID=Brasy1G440100.1.v1.1 annot-version=v1.1 MVERRPRRKPLVLASTQALLDSLPGDRRGPPPPPPELVRLRAGVLRFPAGSSGGEFGELASFVALPASALRRLAVVTGTPVLVKNTDNNVGRIVKALVLNQPSLDDSHKEQADPVASTSSSDHVMGFLPIRSFPMNGFAPLDEDVAYVSPILAFNLGVHVSCLKLIIQKGEKPFKVCSNVEEDGAADSGGRDISLHVELLPCPQVPKHALHLRVSVMRIPECGVLASLKINSALGGSDYQDMIDQALNEHFKFDRLLARGDVFCIRNNWNCGVSSCLACNKDNTRQQSSNMIYFKVTGMEPSDEPILRVNCNQTALVLGGSASAPIPPRSFFAASDDSIPLHGEIVEQLASIIAPALCPSNVLPRIKFSTFLYGPSGCGKRMAVRHVANHLGMHVVECCCHDLMTSSESGAPAALVAAFKEAQKYSPCIILLRHFDAIGNTSSNEGPQSEQSGIAANVESVIKQYTGQRWAVTDSPTAKDVTGNSYLVEPECVNSLQVILVATADSAEGMQQSIRRCFRHEINMKTINEEQRKNLISETLSGVSAVADESINDKFVKDIAVQTSGFMPRDILALIADAGVSFAHKVAVKKSSSEISKVEDIVATSSSTTQVEENHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLPKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTEASYRERILKAQTRKYKLHENVSLLSIAQRCPPNFTGADIYALCADAWFHAAKRSVKTLEADPSTNTDASAEEVIVEIDDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR* >Brasy1G440100.2.p pacid=40054407 transcript=Brasy1G440100.2 locus=Brasy1G440100 ID=Brasy1G440100.2.v1.1 annot-version=v1.1 MVERRPRRKPLVLASTQALLDSLPGDRRGPPPPPPELVRLRAGVLRFPAGSSGGEFGELASFVALPASALRRLAVVTGTPVLVKNTDNNVGRIVKALVLNQPSLDDSHKEQADPVASTSSSDHVMGFLPIRSFPMNGFAPLDEDVAYVSPILAFNLGVHVSCLKLIIQKGEKPFKVCSNVEEDGAADSGGRDISLHVELLPCPQVPKHALHLRVSVMRIPECGVLASLKINSALGGSDYQDMIDQALNEHFKFDRLLARGDVFCIRNNWNCGVSSCLACNKDNTRQQSSNMIYFKVTGMEPSDEPILRVNCNQTALVLGGSASAPIPPRSFFAASDDSIPLHGEIVEQLASIIAPALCPSNVLPRIKFSTFLYGPSGCGKRMAVRHVANHLGMHVVECCCHDLMTSSESGAPAALVAAFKEAQKYSPCIILLRHFDAIGNTSSNEGPQSEQSGIAANVESVIKQYTGQRWAVTDSPTAKDVTGNSYLVEPECVNSLQVILVATADSAEGMQQSIRRCFRHEINMKTINEEQRKNLISETLSGVSAVADESINDKFVKDIAVQTSGFMPRDILALIADAGVSFAHKVAVKKSSSEISKVEDIVATSSSTTQVEENHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLPKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTEASYRERILKAQTRKYKLHENVSLLSIAQRCPPNFTGADIYALCADAWFHAAKRSVKTLEADPSTNTDASAEEVIVEIDDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR* >Brasy1G440100.3.p pacid=40054408 transcript=Brasy1G440100.3 locus=Brasy1G440100 ID=Brasy1G440100.3.v1.1 annot-version=v1.1 MVERRPRRKPLVLASTQALLDSLPGDRRGPPPPPPELVRLRAGVLRFPAGSSGGEFGELASFVALPASALRRLAVVTGTPVLVKNTDNNVGRIVKALVLNQPSLDDSHKEQADPVASTSSSDHVMGFLPIRSFPMNGFAPLDEDVAYVSPILAFNLGVHVSCLKLIIQKGEKPFKVCSNVEEDGAADSGGRDISLHVELLPCPQVPKHALHLRVSVMRIPECGVLASLKINSALGGSDYQDMIDQALNEHFKFDRLLARGDVFCIRNNWNCGVSSCLACNKDNTRQQSSNMIYFKVTGMEPSDEPILRVNCNQTALVLGGSASAPIPPRSFFAASDDSIPLHGEIVEQLASIIAPALCPSNVLPRIKFSTFLYGPSGCGKRMAVRHVANHLGMHVVECCCHDLMTSSESGAPAALVAAFKEAQKYSPCIILLRHFDAIGNTSSNEGPQSEQSGIAANVESVIKQYTGQRWAVTDSPTAKDVTGNSYLVEPECVNSLQVILVATADSAEGMQQSIRRCFRHEINMKTINEEQRKNLISETLSGVSAVADESINDKFVKDIAVQTSGFMPRDILALIADAGVSFAHKVAVKKSSSEISKVEDIVATSSSTTQVEENHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTEASYRERILKAQTRKYKLHENVSLLSIAQRCPPNFTGADIYALCADAWFHAAKRSVKTLEADPSTNTDASAEEVIVEIDDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR* >Brasy1G440100.4.p pacid=40054409 transcript=Brasy1G440100.4 locus=Brasy1G440100 ID=Brasy1G440100.4.v1.1 annot-version=v1.1 MVERRPRRKPLVLASTQALLDSLPGDRRGPPPPPPELVRLRAGVLRFPAGSSGGEFGELASFVALPASALRRLAVVTGTPVLVKNTDNNVGRIVKALVLNQPSLDDSHKEQADPVASTSSSDHVMGFLPIRSFPMNGFAPLDEDVAYVSPILAFNLGVHVSCLKLIIQKGEKPFKVCSNVEEDGAADSGGRDISLHVELLPCPQVPKHALHLRVSVMRIPECGVLASLKINSALGGSDYQDMIDQALNEHFKFDRLLARGDVFCIRNNWNCGVSSCLACNKDNTRQQSSNMIYFKVTGMEPSDEPILRVNCNQTALVLGGSASAPIPPRSFFAASDDSIPLHGEIVEQLASIIAPALCPSNVLPRIKFSTFLYGPSGCGKRMAVRHVANHLGMHVVECCCHDLMTSSESGAPAALVAAFKEAQKYSPCIILLRHFDAIGNTSSNEGPQSEQSGIAANVESVIKQYTGQRWAVTDSPTAKDVTGNSYLVEPECVNSLQVILVATADSAEGMQQSIRRCFRHEINMKTINEEQRKNLISETLSGVSAVADESINDKFVKDIAVQTSGFMPRDILALIADAGVSFAHKVAVKKSSSEISKVEDIVATSSSTTQVEENHFCKEDILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTEASYRERILKAQTRKYKLHENVSLLSIAQRCPPNFTGADIYALCADAWFHAAKRSVKTLEADPSTNTDASAEEVIVEIDDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR* >Brasy1G309600.1.p pacid=40054410 transcript=Brasy1G309600.1 locus=Brasy1G309600 ID=Brasy1G309600.1.v1.1 annot-version=v1.1 MAAGGDNEELKLLGFWASPFVHRAQVALHLKGLTSCEYVEEDLREKSDLLLASNPVHRKVPVLLHGGKPVFESMLIVQYLDEAFPAAGPSFLPADPHARAAARFWAAYADDHFFSAWIKAFVGTTEEERAAANEGAAAALLKMEGALGECSGGKAFFGGDSPGYVTSRWGGSWRGSARTIGWPASTSWTTPGPRCWRRGQSASPPSTPPGRPSRTSNGSPISPRTISCRCSSICMANLTSET* >Brasy1G042800.1.p pacid=40054411 transcript=Brasy1G042800.1 locus=Brasy1G042800 ID=Brasy1G042800.1.v1.1 annot-version=v1.1 MDRTAGELRQGAAAFAARHGGSEEEHQTLAAALLGKAAWVDGLRGEAAAALGAARGMQEDYMRRIAREDEDVVDQDDARAIEEASRGMEALMADGSPFVNSPEKAAAAAAISEAVAEGMAEEMAELARRIRAGAEAFGARPGEDRSLVSMLLSKAEAAERHGVQAHAMAAIFRRFRLSLPL* >Brasy1G142700.1.p pacid=40054412 transcript=Brasy1G142700.1 locus=Brasy1G142700 ID=Brasy1G142700.1.v1.1 annot-version=v1.1 MALGKDAVEQLRQELEGSYNLNASLLELLSRPLDWRGQKVAVEMIQELSQVLKVSLFMLNPGDSSTAGELRTACPRASTHGGIGKHTPAKDKRIRTSHSCSPHKEQRTAGNERGDYILALQGWFPMEKIWAKEHSEQNICKVKLANDFRNPRLSY* >Brasy1G015900.1.p pacid=40054413 transcript=Brasy1G015900.1 locus=Brasy1G015900 ID=Brasy1G015900.1.v1.1 annot-version=v1.1 MISGVGMEPSAVDLARFRAVCRSWHQAVRDHAPPPRRLPWVVFPDGEIFNTTDRDWHHITPLPENAVCIGSTDSWLALDCTDPDKRHTYLLHNPFTARTMPLPELGAVIGTVPESFQIRKVLLRSTPDDLVVFMTDRLSCPIILIRPGKGMWLPKPQQTTFSRIIDVAFLGDILYGITQDEDLVSLHVAFDDDGAPMVTGVERIIRHPVHDDDSDEWSDEDDNDGEGEEEANDEDGGGDNDEALDGEGEDYNDRIVEAIEYKDDGDDFLTTLWDEDRKVRERRRKAERERASLASRNKGRGGKAKGKKKH* >Brasy1G546300.1.p pacid=40054414 transcript=Brasy1G546300.1 locus=Brasy1G546300 ID=Brasy1G546300.1.v1.1 annot-version=v1.1 MDGAGKLGYRVSPADSRIGTHLSASPLFVYIIRSGPSQVAFLSSAQPNPSSTCPLPSPRSSPPKPEAATAAGARRSYRSAAGDLRSIRLIWG* >Brasy1G131700.1.p pacid=40054415 transcript=Brasy1G131700.1 locus=Brasy1G131700 ID=Brasy1G131700.1.v1.1 annot-version=v1.1 MSLEILEEGGESGSGRMAKVMSMSGSGKRGRYVRQVTGRHNDTDLHVAARAGDAAALRYALEDAAVVAATGEGGEELEAVRRAVAAEANEAGETPLVAAAERGHLEVVVELLGHLDAEGIATKNRSGYDALHVAAREGHHAVVQEMLFRDRMVAKTFGPANTTPLISAATRGHAEVVKLLLEQDDFGLVEMAKDNGKNALHFAARQGHTEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVIVLLRLPDTHVNALNRDHKTAYDIAEGLPQCEESSEIKDILSHHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAVVVQATSFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMSGVLGTMTYYVVKSKRIRKIRKREKMSRRSGSSSWIDNTEISETELNQVYAL* >Brasy1G131700.2.p pacid=40054416 transcript=Brasy1G131700.2 locus=Brasy1G131700 ID=Brasy1G131700.2.v1.1 annot-version=v1.1 MSLEILEEGGESGSGRMAKVMSMSGSGKRGRYVRQVTGRHNDTDLHVAARAGDAAALRYALEDAAVVAATGEGGEELEAVRRAVAAEANEAGETPLVAAAERGHLEVVVELLGHLDAEGIATKNRSGYDALHVAAREGHHAVVQEMLFRDRMVAKTFGPANTTPLISAATRGHAEVVKLLLEQDDFGLVEMAKDNGKNALHFAARQGHTEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVIVLLRLPDTHVNALNRDHKTAYDIAEGLPQCEESSEIKDILSHHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGYLLQDILHLQRHSSVHITGSGRRSDNSCEGRNQV* >Brasy1G177800.1.p pacid=40054417 transcript=Brasy1G177800.1 locus=Brasy1G177800 ID=Brasy1G177800.1.v1.1 annot-version=v1.1 MAAATLSAASFLGTAPYRHRPLFRALAASGSGSKKKPSNRRAPAGSASVFEHQRTEPGFNPGGDGEWPTAEEARQRQATESAFIVAWLGLGGVILFQGLALAASGFLPAEWDSFLVKFLYPSFTPTVLLFIGGMTGYGVFKYFEGEKSKS* >Brasy1G278400.1.p pacid=40054418 transcript=Brasy1G278400.1 locus=Brasy1G278400 ID=Brasy1G278400.1.v1.1 annot-version=v1.1 MRPPRLRGSSSPALPWRSLSGQWRSLCTTAAAGTSSPSTPPQPAEPLSSHFSNPARGTAFVKDLTSTLRALLASSPSHPRVLRLLKSAVFDARLAPEALVDVVLSAADGPDATGSPVAAAHVSVLSRLLSSLSRSDLPSAATDTYAHMVARGVVPDIKSRTDLLIRTARGSSVKDALALFMEMRGRGYRVDAWMFDALMSACLKEGMHEDAVRLFDEMPGAEIDPDQRVYALAITALCKLGDAGRALRVLREMKEVGFDTCDFTYRTMVDVLVKAGRMEEALRVKDEMRDAGKKMDVIVVTTLMRGYCLRQEVGNALNLFKETLKDGIVPTNVMYGVLIRGCDQVGMTQKAYELCREMTGQGLLPSPFELNLVLKGLLNDRRWKDAVCLFEEMADSGLPDVFTYNNLIHWHCQDHKLREALNLFDRMKKAGVKPSINTYNSLLMGYCKKGCMDEAVKLYSEMPVEGFIPNVVTYITLMRGYIYKKDFDKAYALLDEMKQNGVSCNDYTYNVLINGICMVDRVCEVDGMLKSFMSEGFVPTTMTYNSIINGFVKAGMMGSAFTVYQQMREKGLPPNIITYTIFIDGYCRTGCCDMALKMLNDVRRKGLQPDIVAYNALINGFCQEGNMSRALQLLVILLKDGLAPNAAVYNSLITGYKNLNMMKEVSKFYESMIKGGIVADTSTYTTLIDGFSKDGNVAFALELYSEMMAKGYTPDAFTFTALTHGLCRSGDIDGAKKLLEEMRRLDVRPNVFIYNMLINGYLRDCKLQEAFQLHDEMLDMGIQPDDTTYDILVSKKFLEGDNCADALNPI* >Brasy1G278400.3.p pacid=40054419 transcript=Brasy1G278400.3 locus=Brasy1G278400 ID=Brasy1G278400.3.v1.1 annot-version=v1.1 MRPPRLRGSSSPALPWRSLSGQWRSLCTTAAAGTSSPSTPPQPAEPLSSHFSNPARGTAFVKDLTSTLRALLASSPSHPRVLRLLKSAVFDARLAPEALVDVVLSAADGPDATGSPVAAAHVSVLSRLLSSLSRSDLPSAATDTYAHMVARGVVPDIKSRTDLLIRTARGSSVKDALALFMEMRGRGYRVDAWMFDALMSACLKEGMHEDAVRLFDEMPGAEIDPDQRVYALAITALCKLGDAGRALRVLREMKEVGFDTCDFTYRTMVDVLVKAGRMEEALRVKDEMRDAGKKMDVIVVTTLMRGYCLRQEVGNALNLFKETLKDGIVPTNVMYGVLIRGCDQVGMTQKAYELCREMTGQGLLPSPFELNLVLKGLLNDRRWKDAVCLFEEMADSGLPDVFTYNNLIHWHCQDHKLREALNLFDRMKKAGVKPSINTYNSLLMGYCKKGCMDEAVKLYSEMPVEGFIPNVVTYITLMRGYIYKKDFDKAYALLDEMKQNGVSCNDYTYNVLINGICMVDRVCEVDGMLKSFMSEGFVPTTMTYNSIINGFVKAGMMGSAFTVYQQMREKGLPPNIITYTIFIDGYCRTGCCDMALKMLNDVRRKGLQPDIVAYNALINGFCQEGNMSRALQLLVILLKDGLAPNAAVYNSLITGYKNLNMMKEVSKFYESMIKGGIVADTSTYTTLIDGFSKDGNVAFALELYSEMMAKGYTPDAFTFTALTHGLCRSGDIDGAKKLLEEMRRLDVRPNVFIYNMLINGYLRDCKLQEAFQLHDEMLDMGIQPDDTTYDILVSKKFLEGDNCADALNPI* >Brasy1G278400.2.p pacid=40054420 transcript=Brasy1G278400.2 locus=Brasy1G278400 ID=Brasy1G278400.2.v1.1 annot-version=v1.1 MRPPRLRGSSSPALPWRSLSGQWRSLCTTAAAGTSSPSTPPQPAEPLSSHFSNPARGTAFVKDLTSTLRALLASSPSHPRVLRLLKSAVFDARLAPEALVDVVLSAADGPDATGSPVAAAHVSVLSRLLSSLSRSDLPSAATDTYAHMVARGVVPDIKSRTDLLIRTARGSSVKDALALFMEMRGRGYRVDAWMFDALMSACLKEGMHEDAVRLFDEMPGAEIDPDQRVYALAITALCKLGDAGRALRVLREMKEVGFDTCDFTYRTMVDVLVKAGRMEEALRVKDEMRDAGKKMDVIVVTTLMRGYCLRQEVGNALNLFKETLKDGIVPTNVMYGVLIRGCDQVGMTQKAYELCREMTGQGLLPSPFELNLVLKGLLNDRRWKDAVCLFEEMADSGLPDVFTYNNLIHWHCQDHKLREALNLFDRMKKAGVKPSINTYNSLLMGYCKKGCMDEAVKLYSEMPVEGFIPNVVTYITLMRGYIYKKDFDKAYALLDEMKQNGVSCNDYTYNVLINGICMVDRVCEVDGMLKSFMSEGFVPTTMTYNSIINGFVKAGMMGSAFTVYQQMREKGLPPNIITYTIFIDGYCRTGCCDMALKMLNDVRRKGLQPDIVAYNALINGFCQEGNMSRALQLLVILLKDGLAPNAAVYNSLITGYKNLNMMKEVSKFYESMIKGGIVADTSTYTTLIDGFSKDGNVAFALELYSEMMAKGYTPDAFTFTALTHGLCRSGDIDGAKKLLEEMRRLDVRPNVFIYNMLINGYLRDCKLQEAFQLHDEMLDMGIQPDDTTYDILVSKKFLEGDNCADALNPI* >Brasy1G115700.1.p pacid=40054421 transcript=Brasy1G115700.1 locus=Brasy1G115700 ID=Brasy1G115700.1.v1.1 annot-version=v1.1 MASRGLSPFQLIAFLLVGLLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPTFGKGQTPELNLASHCDPSSGGCRGQSKDISSCQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNYLGGSSSSRPLGDAVLDGIDFDIELGGVKFWNDLARDLKNLGKNGGKEVLLSAAPQCPFPDEWDGDAINTGLFDLVWVQFYNNEECQFSAGKGAFLDAWKKWESVPAGKIYLGLPAAKDAAGTGFVPAAELTSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSNAIKSQV* >Brasy1G338800.1.p pacid=40054422 transcript=Brasy1G338800.1 locus=Brasy1G338800 ID=Brasy1G338800.1.v1.1 annot-version=v1.1 MAKPVNYDDDEEVSSSGEEEEDRSDAGGSASDEGEEEDEAPAPEAEAEGDEEVDEDEIEAVTTGAGADEEDDGGAAAGGDEDEESQSTEDDESATGEDDETEDPTGNPAVCKRERARLKELQKLKKQKIQEILETQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSQSKEKKSRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLTQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFKGITGPHMVVAPKSTLGNWMKEIARFCPILRAVKFLGNPEERNHIREKLLQPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKSVNKDDLLQMVRFGAEKVFSSKDSTITDEDIDRIIAKGEEITAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKEENKPDFKKIVGDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYQMQANQKKDTIDGEDEEQLEPLSAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSISSEMEGKTEDEVQRYAKVFKLRYKELNDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKSAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRLAKNMTPTKRAALRASDGETTPLSSSKRRRQSLMDDYVGSGRRKRG* >Brasy1G139800.1.p pacid=40054423 transcript=Brasy1G139800.1 locus=Brasy1G139800 ID=Brasy1G139800.1.v1.1 annot-version=v1.1 MYPAGAVMAAAAAPARQAAARVEKATSHLLLGPDWAVNLEICDVINADVWQTKDAVKAVKKRLRNKDPKVQYYALLLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMQVRDKVLLLLDSWQEAFGGPGGKYPQYHWAYLEVKRTGVVFPRHPVDAPPILTPPATHSSQNYGSPGYGAASLNDRMSSDVDTLSLGDLNNIRNVTELLNDMVHALNPSDLKAVKDEIITDLVSKCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNVLSKYDAIASGTHLAVEAPVRETAESPQEDPSSKPSAPPIEQNGILNNEEDEFARLAQRKNKSVMSSDETSSSAEDLALVPIDMASSESASSVASNALVPLDPAPVSNTQTKEQDMIDLLSLTLCSPTDETCADSSAWSGNEFHQPTVTDGQHNSTGVPQYPSNNQPYSVNEGYTPQNSNYVAPWAQSRAYPCQPPVYATGYPVPPWATPSTVNSNPFQPAAYQEQVPLVASAVSAATHAAPPTSYTSPSASQPTQQFSYVGSPTGNGLTMPQTQMIGNQQPKDSSAAASKPYYILDNLFSDLIDLKSSGGGNMGSSNGGRSMIDGKK* >Brasy1G430200.1.p pacid=40054424 transcript=Brasy1G430200.1 locus=Brasy1G430200 ID=Brasy1G430200.1.v1.1 annot-version=v1.1 MQGDIVVSHQALLRLPELFPHESMTSSLMQHAGPRLRETGAERLREDARRPARARVLDAADTEPSAAPPVRCTCGRQHGKCSRPHHADQRQRVAVGPGEIVRGGTAARRRGAVGGKNN* >Brasy1G495100.1.p pacid=40054425 transcript=Brasy1G495100.1 locus=Brasy1G495100 ID=Brasy1G495100.1.v1.1 annot-version=v1.1 MDATIGSIPPPTDASTTAAASPLEATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEADGPENGVRLIGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCVVGGPNSNDYGSNRILHHTIGVPDFTQELRCFQNVTCYQAVVNNLEDAHEQIDTAISTAVKERKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVEAAAAFLDKAVKPVLVGGPKMRVAKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIFVQPDRVVIGHGPAFGCILMKDFLHALASRVKKNTAAHENYCRIFVPPGEPLSSKPGEPLRVNVLFKHVQKMLTSNSTVIPETGDSWFNCQKLKLPAGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVLAFIGDGSFQVTAQEVSTMIRWGHNTIIFLINNGGYTIEVEIHDGPYNIIKNWNYTGVVEAFHNGEGKCFTAKVRTEEELKKAIEASLGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ* >Brasy1G452800.1.p pacid=40054426 transcript=Brasy1G452800.1 locus=Brasy1G452800 ID=Brasy1G452800.1.v1.1 annot-version=v1.1 MDSPPSPAAAAALVSKVLDDDDLLREILLRVGLPTTLVRAALICKRWFHNAADRAFLRQFRQRHPPRLLGLYVNGLFFTDTPESAKVLPRFVPMLTQTQEPELAIVLRRMATLSLDAIDRIMIEQCRNDSVFISRFRNHEFTYEVHNPLFPDRGVVVLPAPTHAMTDDGHIPTFSCFLSTGGDGCLSYMWMAMEINVIVGRSTAKVHMLRDGVWVMLTKATTQLPHPLWRPKPLLINDRIYMAGGTCNDIVVLDLTSSSFFTIQLPEGVEWSSGYKYGMGFHSRDAVFSRPDDSGVYLIDLKELTFRIWLHKDDNWLLVDTICLREMCAAFEMSDVMVEDEHTTAVSITQVGDNAEFVFLRMGPHTLYLDIRRRVLLKVYDEMTDKDRYVGHIHPCMMIWPPAFPALKDDSTRFVFGPWVITALV* >Brasy1G431600.1.p pacid=40054427 transcript=Brasy1G431600.1 locus=Brasy1G431600 ID=Brasy1G431600.1.v1.1 annot-version=v1.1 MGSDDDDDWQCRPLGFLIGLPFAVLSLALSLAGALIWLLGSAVSCVCPCCVCCAAAANLAVELVQMPVKVVRWFIRLIPC* >Brasy1G040300.1.p pacid=40054428 transcript=Brasy1G040300.1 locus=Brasy1G040300 ID=Brasy1G040300.1.v1.1 annot-version=v1.1 MEIGGVIASAVGKQIASKLSELVKEEIDLLWGFRDDVEGIEEKIKDLEAVMHDADDRLRRGERDGEAVGRWLMKFKDVVYDVEDVLDELDANELIQKTQSKLKLFFSRNNQIIQRITMSHNMKRVREKICKIEIEAIGGMVGRDAEKEKIINLLLRSEASEDISIIPIVGLGGIGKSKLAESVLADKRVNVFNFQTWVHVSDQFDLHKIVSSIMKSINSSINVDSCTLQFLHDKLKTELATTRYLIVLDDIWEDENKLEELTRMLQYGCKGSRIIVTTRNQHVVANMSTGVLATQRIIRPVPESDQIKLGTLSTDNCWEVMNLEEIGREIAAKCGGVPLVANALGRVLFELRTVKAWKDIRDTKIDLGSRDQKDTLERLMLSYYYMRPEFKMCFTYLAAFLKGFVMDGDSLIQQWIALGYIHAKDDGERCIKYLLGMSFLQISWPSSVSPSPVHTKAPQELTTHDLVHDLASTITACEFVVLDANASEPSTSNKSHYCRHAQLINYQNQSKVFRNLTAKVRSLHLRNSGKQQLPQMAFSRSKHIRVLDLNGRSVEGQSTPSNLGHGGGSPEGQSTPSNIVLPSSIHQSKLLRYLDATALPIRSLPKSFHTLQYMQTLILSKCSLETLPDNICSLQRLCYLDLSCNSSLHKLPVSLGKLSKLSFLNLLGCYKLQELPESICKLTCLQHLDMSECRTIKNLPDEFCSLPKLIFLSLSGCSGLTKLPGNIRLESLEHVNQSNCHELENLPKDFGNLQKLGFLNLSDCYKVSMLPESFCHLIHLKDLDLSDCHELRELPDCFGNLSELESLNLTSCCKLHILPTSFCNLFKLKHLNLSYCMRLKKLPSSFDFPPYRRYTGRWRKFRPTGGIQHDGLKLQSLDISSTNLCDLPDNIGIMTSLAQLDVSSAQPKVTSECQVSRNAERAKLRDKSDLKVLCLGWGFREGEEGKSVLHRLVPPRTLVQFILYGYMSKDFPNWINSDEVLPQGGFGKLLSSTLPFEMVIVNHNFLSDKWDRLQHLPTLELLQVESCGGLRVLPEAIRCFTSLRNLYLLSLKDLELLPKWLGYLTSLEEILIRDCPEFGIINCPNLTSLPESMKNLTALRVLWLKECKGLDVLPEWLGQLISLQEFNIIDCPNLTSCRKAYGTLQP* >Brasy1G519800.1.p pacid=40054429 transcript=Brasy1G519800.1 locus=Brasy1G519800 ID=Brasy1G519800.1.v1.1 annot-version=v1.1 MSPTSLLLFLCASFSGIAAIAPDVQAEEGCSPKTCGNLNISAPFGLVNGSEENRCGQVGFQVQCTDDVPYLAYYGRAFGLPILGIFYGDFSLLVSDVHKLGDFNRSSDKGCHVPKADTAYKIAQPFSISPHNQNLVFYKCTKPPPADTVSRDGLVETVCRNNTFVRAGGRYNDG* >Brasy1G308600.1.p pacid=40054430 transcript=Brasy1G308600.1 locus=Brasy1G308600 ID=Brasy1G308600.1.v1.1 annot-version=v1.1 MASPGMVSSITTSSVGSAGAAVALTEERKRKRKESNRLSAQRSRARKQRQVDDLEAQVAALRARNCAMAAAANEAERLCAAVQAENALLSARALELSARLESLTDLIQCMDAVMNSNNNTNGNSYYY* >Brasy1G437600.1.p pacid=40054431 transcript=Brasy1G437600.1 locus=Brasy1G437600 ID=Brasy1G437600.1.v1.1 annot-version=v1.1 MKQFRWSRDVGKCGVLLPELILSVDPYSGAIYFPGPQLTRPTPTASPALPRPFRLLRTAPLSYEPPLPSYSRSKFQAALCCWCSAFACDSCRGETMFRLHRDY* >Brasy1G554000.1.p pacid=40054432 transcript=Brasy1G554000.1 locus=Brasy1G554000 ID=Brasy1G554000.1.v1.1 annot-version=v1.1 MRSAARHTLPLLVPTAYPSVSFFPCSQPRRFLLPLAHGLRRPCRGASSQPAAAGEYLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETILHQKDKLNWVYYAWISFVCPNYMQNFQNMQDRFLAFPAEQHPIVLQIGGSNLDNLAKATELASAYSYDEINLNCGCPSGKVAGHGCFGAQLMYDPEFVGDAMSAIAANCDVPVSVKCRIGVDDRDSYEELCEFVDKIVSKSPTRHFIIHARKALLSGLSPAENRTIPPLKYEYYYALLRDFPDVHFTLNGGIMTIGQVSASIRQGAHRAMVGRAAYNNPWNMLGHVDSEIYGIPTTCSSRRQILESYQIYGDSIIGQYGITRPNVRQLVKPLLHLFHSEPGNSLWKRKADSTLRHCKTLEQFLKETLDAIPDSVLDAPIFREASIEEGYFTHVDSLLPPRYTKLDNGICESPALVTASA* >Brasy1G554000.2.p pacid=40054433 transcript=Brasy1G554000.2 locus=Brasy1G554000 ID=Brasy1G554000.2.v1.1 annot-version=v1.1 MRSAARHTLPLLVPTAYPSVSFFPCSQPRRFLLPLAHGLRRPCRGASSQPAAAGEYLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETILHQKDKLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELASAYSYDEINLNCGCPSGKVAGHGCFGAQLMYDPEFVGDAMSAIAANCDVPVSVKCRIGVDDRDSYEELCEFVDKIVSKSPTRHFIIHARKALLSGLSPAENRTIPPLKYEYYYALLRDFPDVHFTLNGGIMTIGQVSASIRQGAHRAMVGRAAYNNPWNMLGHVDSEIYGIPTTCSSRRQILESYQIYGDSIIGQYGITRPNVRQLVKPLLHLFHSEPGNSLWKRKADSTLRHCKTLEQFLKETLDAIPDSVLDAPIFREASIEEGYFTHVDSLLPPRYTKLDNGICESPALVTASA* >Brasy1G011800.1.p pacid=40054434 transcript=Brasy1G011800.1 locus=Brasy1G011800 ID=Brasy1G011800.1.v1.1 annot-version=v1.1 MGNAGSAPEEEVAKKAEAEGPPSTVRFFPAAAQHKARQPPPIKLEEEEGVAQPPPAGADAEETMAPRNLWQVYALGAFIILRWGWAKWKESKDRDDDSPDDQSPGMPS* >Brasy1G542100.1.p pacid=40054435 transcript=Brasy1G542100.1 locus=Brasy1G542100 ID=Brasy1G542100.1.v1.1 annot-version=v1.1 MGSVTKLGPCGGDGGGGRDMDPNGVNRIVRVVVNHGWAVDSMSVLYERNGLQEWTDKWGGGGGQRAEIHLGKDEHFTGVSGHVGQYNGFHVVRSLAFVSNLRSFGPYGKEEGMAFTLPAGDGGKIVGFHGRS* >Brasy1G479600.1.p pacid=40054436 transcript=Brasy1G479600.1 locus=Brasy1G479600 ID=Brasy1G479600.1.v1.1 annot-version=v1.1 MSTPPPPPSGRTLVDLDGDVLAHCARHLGARDVASLAMACRPLHAAACCDAVWYRLYREQWPFQQVPRGALGLRELYIQRHTEVHQMKFDDPLSSIYYQNPIGSTPGHLMLDKNCVCFSQGPVAKILNVTLGSLDNELVETYRSHSARITCMRLFPLIDTPLFRSDTHTKEKALVTSSTDRTVRLCWKGYSRSYKGHSGPVTALSDKLLCDGEFKVLATGGEDCTIRLWSMSTKAKSHSLLSTLHGHEKTLSLLSVAWHKSSLLVTSSKDSKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAMKCHQSLCYIAAGSEVTAIDLRTMKKASVLALRNQRIISCEMLPSEWLICTGVKDKALLWDIRKSQELANTVAELHSDGPVTLLHLDPYKVVTGAPLDGQVHVWETQTGRLVNTLSCGEPGKSPGRTTVSAMAVDGCRIATTESSAEGILLHYRDFMSSSVPVALPGKEVSKFWGPQEYADGASEDED* >Brasy1G479600.2.p pacid=40054437 transcript=Brasy1G479600.2 locus=Brasy1G479600 ID=Brasy1G479600.2.v1.1 annot-version=v1.1 MSTPPPPPSGRTLVDLDGDVLAHCARHLGARDVASLAMACRPLHAAACCDAVWYRLYREQWPFQQVPRGALGLRELYIQRHTEVHQMKFDDPLSSIYYQNPIGSTPGHLMLDKNCVCFSQGPVAKILNVTLGSLDNELVETYRSHSARITCMRLFPLIDTPLFRSDTHTKEKALVTSSTDRTVRLCWKGYSRSYKGHSGPVTALSDKLLCDGEFKVLATGGEDCTIRLWSMSTKAKSHSLLSTLHGHEKTLSLLSVAWHKSSLLVTSSKDSKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAMKCHQSLCYIAAGSEVTAIDLRTMKKASVLALRNQRIISCEMLPSEWLICTGVKDKALLWDIRKSQELANTVAELHSDGPVTLLHLDPYKVVTGAPLDGQVHVWETQTGRLVNTLSCGEPGKSPGRTTVSAMAVDGCRIATTESSAEGILLHYRDFMSSSVPVALPGKEVSKFWGPQEYADGASEDED* >Brasy1G479600.3.p pacid=40054438 transcript=Brasy1G479600.3 locus=Brasy1G479600 ID=Brasy1G479600.3.v1.1 annot-version=v1.1 MSTPPPPPSGRTLVDLDGDVLAHCARHLGARDVASLAMACRPLHAAACCDAVWYRLYREQWPFQQVPRGALGLRELYIQRHTEVHQMKFDDPLSSIYYQNPIGSTPGHLMLDKNCVCFSQGPVAKILNVTLGSLDNELVETYRSHSARITCMRLFPLIDTPLFRSDTHTKEKALVTSSTDRTVRLCWKGYSRSYKGHSGPVTALSDKLLCDGEFKVLATGGEDCTIRLWSMSTKAKSHSLLSTLHGHEKTLSLLSVAWHKSSLLVTSSKDSKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAMKCHQSLCYIAAGSEVTAIDLRTMKKASVLALRNQRIISCEMLPSEWLICTGVKDKALLWDIRKSQELANTVAELHSDGPVTLLHLDPYKVVTGAPLDGQVHVWETQTGRLVNTLSCGEPGKSPGRTTVSAMAVDGCRIATTESSAEGILLHYRDFMSSSVPVALPGKEVSKFWGPQEYADGASEDED* >Brasy1G479600.4.p pacid=40054439 transcript=Brasy1G479600.4 locus=Brasy1G479600 ID=Brasy1G479600.4.v1.1 annot-version=v1.1 MSTPPPPPSGRTLVDLDGDVLAHCARHLGARDVASLAMACRPLHAAACCDAVWYRLYREQWPFQQVPRGALGLRELYIQRHTEVHQMKFDDPLSSIYYQNPIGSTPGHLMLDKNCVCFSQGPVAKILNVTLGSLDNELVETYRSHSARITCMRLFPLIDTPLFRSDTHTKEKALVTSSTDRTVRLCWKGYSRSYKGHSGPVTALSDKLLCDGEFKVLATGGEDCTIRLWSMSTKAKSHSLLSTLHGHEKTLSLLSVAWHKSSLLVTSSKDSKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAMKCHQSLCYIAAGSEVTAIDLRTMKKASVLALRNQRIISCEMLPSEWLICTGVKDKALLWDIRKSQELANTVAELHSDGPVTLLHLDPYKVVTGAPLDGQVHVWETQTGRLVNTLSCGEPGKSPGRTTVSAMAVDGCRIATTESSAEGILLHYRDFMSSSVPVALPGKEVSKFWGPQEYADGASEDED* >Brasy1G479600.5.p pacid=40054440 transcript=Brasy1G479600.5 locus=Brasy1G479600 ID=Brasy1G479600.5.v1.1 annot-version=v1.1 MSTPPPPPSGRTLVDLDGDVLAHCARHLGARDVASLAMACRPLHAAACCDAVWYRLYREQWPFQQVPRGALGLRELYIQRHTEVHQMKFDDPLSSIYYQNPIGSTPGHLMLDKNCVCFSQGPVAKILNVTLGSLDNELVETYRSHSARITCMRLFPLIDTPLFRSDTHTKEKALVTSSTDRTVRLCWKGYSRSYKGHSGPVTALSDKLLCDGEFKVLATGGEDCTIRLWSMSTKAKSHSLLSTLHGHEKTLSLLSVAWHKSSLLVTSSKDSKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAMKCHQSLCYIAAGSEVTAIDLRTMKKASVLALRNQRIISCEMLPSEWLICTGVKDKALLWDIRKSQELANTVAELHSDGPVTLLHLDPYKVVTGAPLDGQVHVWETQTGRLVNTLSCGEPGKSPGRTTVSAMAVDGCRIATTESSAEGILLHYRDFMSSSVPVALPGKEVSKFWGPQEYADGASEDED* >Brasy1G572100.1.p pacid=40054441 transcript=Brasy1G572100.1 locus=Brasy1G572100 ID=Brasy1G572100.1.v1.1 annot-version=v1.1 MGLSLGSVMGLGRRVGQLSFGRTISHSPFARIYKPQQTLLASPTSDLPSRRPPCLPQSRNKHLPRPNPRMHIYVKNSTGRTICLTVHESDTLHTVKAKIQEQHRLVFDGVRLDQDNLTLADYDIEHGSTLDLQEKM* >Brasy1G110600.1.p pacid=40054442 transcript=Brasy1G110600.1 locus=Brasy1G110600 ID=Brasy1G110600.1.v1.1 annot-version=v1.1 MAVNWAPIYDNARGSMFFVMLTPSERDVHVKELNDIADLARTMNKGKKRKDRLRETRVSTGFAVGMIVGDVGILTTAHAIEHLFRATRPITRGQINRLFTVRVLCGHYENAYREAATGDERDYTIGRVVGIDCANDLMLIAASAAELRRFGGGICQEPHPAMPTCGGLDLVDPPTHECLVVSWPSYKPDLMSIGRIGAVRTVNQISQPNRCEYAMNLLEVQVVTGQGSSGAPLLNSKGEVIGVLHGAHLQEWGDGGHSHSYFIRLDHVIEFLKRFQNEW* >Brasy1G484800.1.p pacid=40054443 transcript=Brasy1G484800.1 locus=Brasy1G484800 ID=Brasy1G484800.1.v1.1 annot-version=v1.1 MQRAAASLSSSARTADWAAAASSRHAGGASCSAGRRDAMAPPPLRILRGQQLLPLPLSLPPLLSGNRARRAVTASAAAAAAELPSAGDAAAATGGGIAGALELGAMIVAWYLLNIYFNIYNKLVLQALPFPYTMTAFQLGFGSLVIFFMWAARLHPAPKLSAAQMARIAPLAAGHMLGTVFTNMSLGKVAVSFTHTVKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTEVSFNWVGFWSAMASNLLNQTRNVLSKRLLGGQQEEESMDDINLFSVITVLSFLMSCPLMLLAEGVKFSPAYLQSTGLNLPELCVRAVLAGLCFHGYQKISYMILARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPVNALGTGAALGGVYLYSRLKKSKPKSI* >Brasy1G553900.1.p pacid=40054444 transcript=Brasy1G553900.1 locus=Brasy1G553900 ID=Brasy1G553900.1.v1.1 annot-version=v1.1 MEDSSCNSPSPPIFRNRYWILRHGRSVPNDRGLIVSSLENGRKPEFGLAPLGVEQARSAGESLRKELEEMGVPVDSVKIHYSPFSRTTETARVVAGVLGVPFEGPNCKAVVELRERYFGPSYELLSHEKYAEVWAVDEADPFMAPEGGESVADVASRFAAMLSSADMEFHGSAVLIVSHGDPLQIFQAVLSGTKKITSFLEVVSDLQKKSLIAPSVLSGHRKFALNTGELRRVV* >Brasy1G553900.2.p pacid=40054445 transcript=Brasy1G553900.2 locus=Brasy1G553900 ID=Brasy1G553900.2.v1.1 annot-version=v1.1 MGVPVDSVKIHYSPFSRTTETARVVAGVLGVPFEGPNCKAVVELRERYFGPSYELLSHEKYAEVWAVDEADPFMAPEGGESVADVASRFAAMLSSADMEFHGSAVLIVSHGDPLQIFQAVLSGTKKITSFLEVVSDLQKKSLIAPSVLSGHRKFALNTGELRRVV* >Brasy1G272900.1.p pacid=40054446 transcript=Brasy1G272900.1 locus=Brasy1G272900 ID=Brasy1G272900.1.v1.1 annot-version=v1.1 MQQDQRKKSSAEAEFFTEYGDASRYKIQEIVGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAGEALSHPYFKGLAKVEREPSCQPITKMEFEFERRRATKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSESGPVVPMDRKHTSLPRSTIVHSAPIHAKEQPRIGPSKDRPLTDESYKNPRDSEKYSGNVPRTSHHSQAPQRVPTARPGRVVGPVLPYENVGTSHPYDPRRVAMNSGYPPQQQIPQTYGYYQTTGKSTCSEPSQAERYTLHQQAYACANSSTAPDVALDMRAPPFHQSAGPKSGSSDRLTAETNLYTRSLNGIAATTSGVAASAHRKVSVVPYGMSQMY* >Brasy1G080000.1.p pacid=40054447 transcript=Brasy1G080000.1 locus=Brasy1G080000 ID=Brasy1G080000.1.v1.1 annot-version=v1.1 MRPSTTAPGGGGGGRRKAGAAAAAAASREWLVVPATGRARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPDFARFVRDLQARVLTSSSDQASEFTDMEGDSSAIASPLPALSSSKEYELDMSKKTPISSGENEMTHSSSVPTLAAAKDGSSKVLPFEFRALEVCLESACRSLEEETVTLEKEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLARQDISDASPRVEPRVEVGNPSQLEEDKLKEDRDEDYKSEADGSNGSFIGYKPDIEELEILLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPETPEEKAAANVMFWETTWGTVVGCAILYIVAMGWGKRSGLLQ* >Brasy1G361700.1.p pacid=40054448 transcript=Brasy1G361700.1 locus=Brasy1G361700 ID=Brasy1G361700.1.v1.1 annot-version=v1.1 MPLLLPAPRTTATAHHLSLPYLLSRKLSSPPEPTRSLPLPHDPSCAVPSMCQSTPPPPPWPGAALPVPADTAMGRSTSCASSRRQGRAHEESAAGLASSSGSSRRHLVPLEEKRTATASMNPASPSLDAARTGLLRPCRGWIWLAPTPLCIEQGAYNGWI* >Brasy1G438200.1.p pacid=40054449 transcript=Brasy1G438200.1 locus=Brasy1G438200 ID=Brasy1G438200.1.v1.1 annot-version=v1.1 MVINGAHRVALLLLVCAAARPAFAGDGLLQNGNFECGPDGSQKNGTRVTSPYAIPNWESTGVVEYIDSGTTQDNGMVLSVPEGAHAVRLGVDSSIRQQLTVTSGAYYSVTFSAARTCAQSEKLRLSVVPGHDDAPSELPIQTVYSTSGWDSYSWAFVATQDGAVTLVIHHADDGVDDPACGPILDAVAIKTLTIPNPPSQDGSGNNLLRNGGFEEGPYVIPGTACGVLVPPMDEDAVSPLPGWMVMSYSKAVKYIDSDHFAVPSGTRAVELVAGVEAALVQEVETAPGAECRMEFSVGDAGDGCAAACDDANGNGSGNGMRVTAAAGEESTSVGHCSRGDGKGGSGWERGVLKFKAVDSRTRVVLFSSGYHTRSDGSGTLCGPVVDDVSLVCAASSAPPPARRRLLRR* >Brasy1G351000.1.p pacid=40054450 transcript=Brasy1G351000.1 locus=Brasy1G351000 ID=Brasy1G351000.1.v1.1 annot-version=v1.1 MAVDTTPAAPSHPEGGDGGGEAVRPLLSGAPAEEEGEEDLDVRYAPYARRDAYGVMGRGPLSPAQVARLALAAAFLLPLRLVAGVFLVVAYYLVCRICTLFVDGLEEGRPRLQGWRREAVLRAGRGLSRAMLFVFGFYWIPMSDRSVPNAEDVHQDQSAELERPGAIVSNHVSYVDVLYHMSASSPSFVAKNSVSKLPLIGLISKCLGCIFVQRESKGSDSKGVSGAVTERVQEVSQDNNSPMVLLFPEGTTTNGDYLLPFKTGAFLARAPVQPVILRYPYKRFSPAWDSMDGARHVFLLLCQFANYIEVVHLPVYYPSEQEKDDPRLYANNVRKLLAIEGNLTLSNLGLAEKRVYHAALNGNSPRALHQKDD* >Brasy1G167600.1.p pacid=40054451 transcript=Brasy1G167600.1 locus=Brasy1G167600 ID=Brasy1G167600.1.v1.1 annot-version=v1.1 MAEVALTLAGLRLAVSPILKKLLADASTHLGVDMASELRELESTIMPQFELMIEAADKGNHRAKLDKWLQELKQALYNAEDLLDEHEYNLLERKAKSGTDSLPSLASSSSTISKPLHAVSNMFSNLSSKNRKLLRQLKELKSILAKAKEFRQLLCLPAGGKSAEGPVVQIAVVPQTTSLPPLKVIGRDKDRDDIINLLTKPVGIGANSPAYSGLAIVGAGGMGKSTLAQYVYNDKRVQEYFHVRMWIIESATMMECPRVDSLDTLQCQLRDILQKSEKFLLVLDDVWFDDLNSQVEWDQLLAPLVSQHMGSKVLVTSRRDTFPAALCCEKVFCLEIMEDTQFLALFKHHAFSGAEIRNPQLLERLEAMAQKIAKRLGQSPLAAKVVGSQLKGKMNMSAWKDALTLKIDNLTEPRTALLWSYQKLHPCLQKCFIYCSLFPKGHKYIITDLVHLWIAEGLVDSCDVNKRIEDIGRDCFSEMVSVSFFQPVYERFIGGRYIGTHYVVMHDLLHDLAQSLSKEDCFRLEDDKVTEIPCTVRRLSVRVESMKQHKQSICKLRHLRTVICIDPLIDDVRDVFNQILQNLKKLRVLHLSFYNSSKLPESIAELKHLRYLNLIDTWISEFPKSLCTLYHLQLLKFSERVKSLPEKLCNLSKLRYLEGHNYGLDHPSEHALPQTPNIGKLTSLQRLHNFSVQKQKGYELRQLRDMNELGGCLNVTDLENVTLKDETLESKLHQKTHLESLHLVWSCKDEINAEDSLHLEILEGLMPPPQLRGLTVKGYRSAKCPDWFLEDSYFENLETFELVNCTVLEGLPSNTELLGNCCSLSLQNVPNLKTLPCLPAGLKKLAINNCPLLIFASDDGLEQPGQRENIVRTDDLASQLAFIWEVDSGSEIREVLSSEHSSLKQLMTSMNADISDLRTIASAVGRANSEVMLKEDVIKSWICCHEMRIRFIYGRTIGVPLVPPSGLRQLNLSSCGITDGALAVCLDGLTQLRRLSLQEIMTLTALPSQEVFQHLTKLDDLFIKHCWCFRSLGGLRAAASLRRVTLISCPSLDLAHGADLMPPSLEKLSIYRCMVAANFFSSDLPHLIDLSMYGCRGSTSLSIGHLTSLESLSIGGLPDLCFLEGLSSLQLHSVHLIDVPKLSAKCISLFRVQKSLFVSSPVMLNHMLSAEGFTVPPFLSLERCKDASVSFEESANFTLVKCLRLTECEMRSLPGNLKCFSSLTKLDIYNCPNISSLPDLPSSLQHIRVQNCEHLNESCQAPDGESWPKIEHIRWKDFR* >Brasy1G335600.1.p pacid=40054452 transcript=Brasy1G335600.1 locus=Brasy1G335600 ID=Brasy1G335600.1.v1.1 annot-version=v1.1 MNVHMPYCMPVISLSPDLIYLRATLTANGSPHRDRSRVSRLSGSLSSTSVSPRLINWPPPPSPSRRPPSPDFPLDLGAVLCPAAWSSCAVSLPRARGFLRTPPVPAAPGVPVVGLRPPLATRPAPALL* >Brasy1G335200.1.p pacid=40054453 transcript=Brasy1G335200.1 locus=Brasy1G335200 ID=Brasy1G335200.1.v1.1 annot-version=v1.1 MIIITHSALKRLSRRHFCENPLCFLQRCSAPHSLISAQLSGLPPSPSHVPLSAALPLLSGRLRRAPLHLSLSSPPRSPAPSPHFSSALPSPPSRTKELAQTKRRHLVWSKNEGGGGAGAAVTAARGGVRAAASRSGGGDNGSGGGESASGGGESASGGGGATTEGEEGAAPQGGCKQKKKWSKGWQKEKVYDKLLSELPKYKQRSR* >Brasy1G314100.1.p pacid=40054454 transcript=Brasy1G314100.1 locus=Brasy1G314100 ID=Brasy1G314100.1.v1.1 annot-version=v1.1 MATTGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLTEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARAVARFWGAYTSTKSSWKGIFATKDEEEKAEAVKQTLAAADALEGAFRECSGEKSEWFGGDGVGYVDIVLGGLVPSVNVLELAVGIKVVDPDRTHY* >Brasy1G052600.1.p pacid=40054455 transcript=Brasy1G052600.1 locus=Brasy1G052600 ID=Brasy1G052600.1.v1.1 annot-version=v1.1 MRTPSLLLTVLCVATISLQSLAATDDASASDYLSGPKKVTNILFYLHNTHSGKDPSSVLVAQNANATAHAKGFLPFSYVYVFDDLLTEGPSTKSKVVGNAQGMYASTGKDGNTILETIDHEITDGPFKGSSFALFSRNPFMLPRRELPVIGGRGAFRMAQGFAYLRTVCVNCVNSGNPSKGDILGTQYNVTLFHY* >Brasy1G373500.1.p pacid=40054456 transcript=Brasy1G373500.1 locus=Brasy1G373500 ID=Brasy1G373500.1.v1.1 annot-version=v1.1 MYLFHTCHCSNLQSSCSSNPCSGHICIDCPTSQPRSCHKEETCSCNCIRWSIWNPQFSTKTCCDCRKEDHPEEEEKILKS >Brasy1G092600.1.p pacid=40054457 transcript=Brasy1G092600.1 locus=Brasy1G092600 ID=Brasy1G092600.1.v1.1 annot-version=v1.1 MGEQEDANRAACARAASRKPNAQQQGRGERWKDTDTVTRTNLPARPGRPAAARRAHDEPRHAPRAKAPQDGCRCVQHPSSGKSAIMVPVAYGGTDGTAAKGSYGPVIAMLAVLAVLAAAAVAVGRFCFGRRGFGRAGGGGGHDLEAWVERKCGTCVGAGMFSSAAAGELAREEDGGAAAEQPPQEEETERGEEGSSSGGAS* >Brasy1G039100.1.p pacid=40054458 transcript=Brasy1G039100.1 locus=Brasy1G039100 ID=Brasy1G039100.1.v1.1 annot-version=v1.1 MFLAKRRQICGEIAWFEQTSSSSKIQDYSSERVRQIWPPPGFQKRGLWDLLLTKYTACFMQTFRSYVDWILLVSLPFIPTKMVKTDQDSRALSLPFCRLVHLLSATT* >Brasy1G260400.1.p pacid=40054459 transcript=Brasy1G260400.1 locus=Brasy1G260400 ID=Brasy1G260400.1.v1.1 annot-version=v1.1 MGAAEASTEACVYDTLPGLTLDFFSEENLDAAGPQSSSCPAAAAEENAAATYAVFRNEITAAGDPLVDIPAADFFSLDVSAAVKAESASPSPAAALLAAAGTPSSSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQFSRKAAVQAVSDVVKHIWPHCKVEVFGSFRTGLYLPTSDIDVVIFESRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERVSGIPFDISFDIDGGPQAADFIKDAIRKMPALRPLCMILKVFLHQRELNEVYTGGVGSYALLTMLITHLQLIWGVKDMLGYRQSKEHNLGILLVKFFDFYGRKLNNWDVGISCNSARTFFLKSDKDFVNLDRPYLIAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDAKLITSLGPNRSILGAIVRPDSVLLDRKGWNTDGALADMLTEPWEPLTRQFDSENDAMYNWHVLDDDEPLPRNTQPVSEDTGSSPLQKRKSSKSNKKSRKKAKGGDASSSDNVENGFRNEREHSQGKGSTQSERSRHSAVSSKRRKGAREYDRFTNTLPQYTHISRW* >Brasy1G077900.1.p pacid=40054460 transcript=Brasy1G077900.1 locus=Brasy1G077900 ID=Brasy1G077900.1.v1.1 annot-version=v1.1 MPPSESLRFSSSGSGNGGVLRRRALLGGIALEISSGGGGRLAAREAAQEVGGRHAEAGCRRWRRRPPAVARRAPSRGGRRQAAAVVARWETAQGAVARLQRAVARWEAAVARRQGVGRGRPAEGSRARGDGSRPDLARGGGGRARRRPAGPIWPSRQRGGGRGRWGIDGRPRRIPSDLAQAGGTEEARGGGEEM* >Brasy1G375800.1.p pacid=40054461 transcript=Brasy1G375800.1 locus=Brasy1G375800 ID=Brasy1G375800.1.v1.1 annot-version=v1.1 MAAVPRAPVSSPTSRAALWPARSNPRTAVAPPSGALWWPRRQTCPVVSVAPGSSRSTPAALAVDPKVEALLDSVKWDIKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGTPDGPTCHTGAETCYYSSVYDALQGSKSNQERQVATTLYSLEDTISRRKEEVVAAGSDKPSWTKKLLLDNQLLCSKIREEAGELIQTLLENEDRSRTASEMADLLYHAMVLLSVKDVKMEEVLEVLRKRFSQSGVEEKASRKKS* >Brasy1G137400.1.p pacid=40054462 transcript=Brasy1G137400.1 locus=Brasy1G137400 ID=Brasy1G137400.1.v1.1 annot-version=v1.1 MAAAKPALIAANSTTNNNVATPPEQQLLSVAEEEVAIDFEPNSKCRVDLRLRSLHATLPVAFKVQTSSPLKFLVSPPRGSLAPLSSSSIRVVLRPQPHPPQCFPRSRADRFLVLSSLSAARLDGSGSGSAASAIRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPVLQPMVAAPVPDAGGGLPLHAAAARGDCGQVRRLGTEEGVEARDKEGRTALHVAAAAGEAEAVAELVEMGADAAAADARGRTPLDVAREKGYKEVVDILERWELVMTAARRGDLQSLEFLLSKRTGLRGSDQYGLTALHVAAIKGHCDVIALLAGSGCMDIKCEDVEGHRPLHLAVESGCAEAVDLLLDMGADVHAKTKRGTTPLQMAETMGYEDISHLLRSRGAEAAAAAQLCIASSSSSSISCA* >Brasy1G487600.1.p pacid=40054463 transcript=Brasy1G487600.1 locus=Brasy1G487600 ID=Brasy1G487600.1.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSEVLDVTELIGDHIQLTPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLSTSLSVGDGTCLHRFPVYTEPCFYSNRISPTRFPLDPFEPNPSGLMPTSALENPDESAPVARPR* >Brasy1G487600.2.p pacid=40054464 transcript=Brasy1G487600.2 locus=Brasy1G487600 ID=Brasy1G487600.2.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSEVLDVTELIGDHIQLTPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLSTSLSVGDGTCLHRFPVYTEPCFYSNRISPTRFPLDPFEPNPSGLMPTSALENPDESAPVARPR* >Brasy1G487600.3.p pacid=40054465 transcript=Brasy1G487600.3 locus=Brasy1G487600 ID=Brasy1G487600.3.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSECSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLSTSLSVGDGTCLHRFPVYTEPCFYSNRISPTRFPLDPFEPNPSGLMPTSALENPDESAPVARPR* >Brasy1G387800.1.p pacid=40054466 transcript=Brasy1G387800.1 locus=Brasy1G387800 ID=Brasy1G387800.1.v1.1 annot-version=v1.1 MEEFVVRWVASGFALWSTAFVAARALMPHRSYEFCNRAVSTAHAVTAVCMACLCVEDWSCPVCPLNAPSTPRQMRALAVTLSYMAYDAACCQLNGDVRLDNTLHHLVSIVGIGAGLAYQRCGTEMVACMVVTEISSPLLHLREMLKELGVKDTDLNLLVDILFAVTFSVARMVGGPYLTYVTLTADYPILIKAMAAGLQLVSAYWFLRILRMVRYKLGKKRPAAATSKLVDGKSS* >Brasy1G099100.1.p pacid=40054467 transcript=Brasy1G099100.1 locus=Brasy1G099100 ID=Brasy1G099100.1.v1.1 annot-version=v1.1 MVNANLVSGNLDNSFSALMVSGGGERGQAQNGGLGTILSGWKDLPMELLLRIISVAGDDRMVIVASGVCTGWRDALGWGVTSLSFSWCQDHMNDLVMSLAHKFTKLQVLSLRQIKPQLEDSAVEAVADYCHDLRELDLSRSFRLSDRSLYALAHGCIHLTRLNISGSSNFSDAALVYLTSQCRNLKCLNLCGCVRAASDRALQAIARNCGQLQSLNLGWCDNITDRGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRGNGVSWDAGRSSRSSKDDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCLERHSLIISGCLSLTSVHCACALHPHRAGRAILSNHAY* >Brasy1G099100.2.p pacid=40054468 transcript=Brasy1G099100.2 locus=Brasy1G099100 ID=Brasy1G099100.2.v1.1 annot-version=v1.1 MVNANLVSGNLDNSFSALMVSGGGERGQAQNGGLGTILSGWKDLPMELLLRIISVAGDDRMVIVASGVCTGWRDALGWGVTSLSFSWCQDHMNDLVMSLAHKFTKLQVLSLRQIKPQLEDSAVEAVADYCHDLRELDLSRSFRLSDRSLYALAHGCIHLTRLNISGSSNFSDAALVYLTSQCRNLKCLNLCGCVRAASDRALQAIARNCGQLQSLNLGWCDNITDRGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRGNGVSWDAGRSSRSSKDDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCLERHSLIISGCLSLTSVHCACALHPHRAGRAILSNHAY* >Brasy1G099100.3.p pacid=40054469 transcript=Brasy1G099100.3 locus=Brasy1G099100 ID=Brasy1G099100.3.v1.1 annot-version=v1.1 MVNANLVSGNLDNSFSALMVSGGGERGQAQNGGLGTILSGWKDLPMELLLRIISVAGDDRMVIVASGVCTGWRDALGWGVTSLSFSWCQDHMNDLVMSLAHKFTKLQVLSLRQIKPQLEDSAVEAVADYCHDLRELDLSRSFRLSDRSLYALAHGCIHLTRLNISGSSNFSDAALVYLTSQCRNLKCLNLCGCVRAASDRALQAIARNCGQLQSLNLGWCDNITDRGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRGNGVSWDAGRSSRSSKDDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCLERHSLIISGCLSLTSVHCACALHPHRAGRAILSNHAY* >Brasy1G466800.1.p pacid=40054470 transcript=Brasy1G466800.1 locus=Brasy1G466800 ID=Brasy1G466800.1.v1.1 annot-version=v1.1 MATGNLCTVEKRGRVHLITITGAGDHRLGPPLISALRSAVAAVRASPGAGALVIAAEGKYFSNGFDQAWARTVPRRLQISMSEAFRGLVADLLALPMPTVAAVTGHAAAAGCALALAHDAAVMRAARGFLYMSEVDAGIKIVDYFGELLRQKVPDAAARRDMVLRGDKMTAAEAVRRGVVDAAADGAVEDVVDAAVAAAEALAARGWDGGVVAEIRKAMWPAVWDKVKDYGAEAAAARPRL* >Brasy1G196800.1.p pacid=40054471 transcript=Brasy1G196800.1 locus=Brasy1G196800 ID=Brasy1G196800.1.v1.1 annot-version=v1.1 MATATAKRKPVFVKVNQLRPATTGHTLVVKVLSAEPVPQKPGASANPGLTGRTTRIAECLVGDETGRILCTARDDQGTIRTPILRIVIYSVGDMCMQFWVENWRLIKSRVLNVRYLDWHINARSMFLF* >Brasy1G396300.1.p pacid=40054472 transcript=Brasy1G396300.1 locus=Brasy1G396300 ID=Brasy1G396300.1.v1.1 annot-version=v1.1 MSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAAVACLSRKIMAAGTANRVGTSKVTPVMATGQGIEGAPRLQRSRAVSRDLVRDWNFDETVVGN* >Brasy1G465600.1.p pacid=40054473 transcript=Brasy1G465600.1 locus=Brasy1G465600 ID=Brasy1G465600.1.v1.1 annot-version=v1.1 MLPAAPPPTTLSGSQQSSSSRPAMASPTTCSCLLFLLALLLPLGAVPVPLKHRFPPLDLASSFNASEPPTTFFEVDRPIRPQRGSAGPCSALLLSGSFGYTYGRPPSTTAYAPPECLAAALAGGGSIALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLSAPGEIAVYLGNIVDGTYTGVYHANLTLHFYFHSAPPPPQQQQQHADLILPISRSLPLNDGQWFAIQDSTDVQSKRLAIPSNTYRAVLEVFISFHSNDEFWYTNPPNEYIEVNNIYSVPGNGAFREVVVKVDQDVVGAVWPFTVIYTGGVNPLLWRPITGVGSFDLPTYDIDITPFLGKLLDGKEHDFWFGVTNALDVWYIDANLHLWLDHKSSKTSASLVSYDVPALALNVDSVFRGLDGRFVTSASRNVSATGWVESSHGKIMTTFYQRFSYRNSNVYSKNGRVQVVNQNIDANSGVFATNGTVLLSEEVHQVFPLYVFSGTSDEVDDEYSQISVVKLGINEQRISGGIHGFSNSSLQNAQWGRGSMRVKKNLVIAGLGETHQVYKYIGTDGCYFRDVSSKNCTVIFDHSDDSCLKGYQHDWLGFSSM* >Brasy1G085100.1.p pacid=40054474 transcript=Brasy1G085100.1 locus=Brasy1G085100 ID=Brasy1G085100.1.v1.1 annot-version=v1.1 MNRLTPAHHGRAATMHPPQPHGLARYGSAPGAFLAALADSVVASQPPPQPQQQAVSRFFSGGGETSSGLASCESSCQTDGVGGRLQRAYGGSGEIVHHVPPPPPHPAPQSGLLRHSSSPAGLLSRLMADPHGNNGGMGNIGGYSHSHSHSQAGNVDAMAQHRRLSSQWSFSRQQQDMIMPQISEMGTALASMPADIGESIATGGNSSSDGAGGHAQSSSYGGGGGGGGLSRNFSMSSWDDTNSIMFSSPARSSKKAKVMADLDHADDGMVTSFSNIDSQFGVSSSSLEMPGMDDYLQLQQDSVACRVRAKRGCATHPRSIAERERRTRISKRLRKLQDLVPNMDKQTNTSDMLDIAVDYIKVLQDQIEKLKQDQGNCSCSGNQNC* >Brasy1G555800.1.p pacid=40054475 transcript=Brasy1G555800.1 locus=Brasy1G555800 ID=Brasy1G555800.1.v1.1 annot-version=v1.1 MFMYRLPKTVIERIDKRIDFFNTALLCKWWWRLDNKRGMWQDIVQAKYMRQCSVASATVKITDSPCWKDLMKVKQLYLAGRVCKLGSGTAIRFWEDTWWDDEPLYVKFPELYLFCDIKDASVSFFKHHLDSVTFSRWLHGSLAVQQWRRDTVLSDTPGSFLWRWDSKRHYSVKSSYNHLCKTIVGPNYTFIWKAGLPLKIKIFMWQMFMNSLLTKDNMIKRQWPGSPKCYFCHKDESLIHLFFDCTIARVVWGCVGHLLCTDLIPQNPWRYFIWIRRFVPGLTSYFIEGLGAVCWAIWKTRNAVCFEKKVLHHPTAVVFLLCSFMQYWAELQKDAKMKHGIKDGAAGLMHSTTQLRRRHQAAQRATEAALRLSSSSSTC* >Brasy1G509400.1.p pacid=40054476 transcript=Brasy1G509400.1 locus=Brasy1G509400 ID=Brasy1G509400.1.v1.1 annot-version=v1.1 MATAMETEVSMLSDDLVRLILMHVDVATLFRCAATCKLRRRLIAEPSFLRRYLWPPPLAGFFAQHIHAPSLIDGGRTSLLTFLPPRRLSSFFKDAAIDGLLLDRALPLASHGGLLVLRLGLPNERAVRLAVCDILAGACRVLPVLDCRGRTEFNCFEPGGCAIMPDDPANAANAFRVLVISPDKYGLQFYLHVFSFSGGGGSWSAPIKCFDMTRRRVWSTKHVNAVVCNGTAHWLLRRWPGKVDDFFHVLDVDPAADDRDGRPRVTLTKLVSPNKQEEGWRPEARLYDADRLITTTAARASSSSSSAAADGSKTTLSRCLSLCVYRHEGHKLEIWTPGDGAAAADVWVRTRVVELEQMVRRLGFPTCVHLGEKSGMLLTVHDRRGRRMYIADLETGAMEEEVTEHFAGFSRFVPMEIDWPAFFASRLGGRF* >Brasy1G544100.1.p pacid=40054477 transcript=Brasy1G544100.1 locus=Brasy1G544100 ID=Brasy1G544100.1.v1.1 annot-version=v1.1 MEVTGNGSNKDWDDGRQEGKEAAKKQNVTMGMEVLDCPVCSDPLRPPIFQCSMGHFVCSPCRDKLPDSKCQVCSEVVLQSSCYGMERVVESILVPCPYADHGCTERIIYYLKEEHKKVCPHAPCFCPNPGCGFAGPTAALLDHFTAEHKWQATAFEYNYPFVLVAKPGVHVLRGQDGNIFLLNVTLPMSPLHAVSLVCIQPNSSSELSNFRCYVDFSCWKEHHQHSSLEAIRNSSLSDGLPKDCFCFVPMASVKLSITIDNELMHNGEDEDEEDDEEDEDEDEECFMF* >Brasy1G544100.2.p pacid=40054478 transcript=Brasy1G544100.2 locus=Brasy1G544100 ID=Brasy1G544100.2.v1.1 annot-version=v1.1 MEVTGNGSNKDWDDGRQEGKEAAKKQNVTMGMEVLDCPVCSDPLRPPIFQCSMGHFVCSPCRDKLPDSKCQVCSEVVLQSSCYGMERVVESILVPCPYADHGCTERIIYYLKEEHKKVCPHAPCFCPNPGCGFAGPTAALLDHFTAEHKWQATAFEYNYPFVLVAKPGVHVLRGQDGNIFLLNVTLPMSPLHAVSLVCIQPNSSSELSNFRCYVDFSCWKEHHQHSSLEAIRNSSLSDGLPKDCFCFVPMASVKLSITIDNELMHNGEDEDEEDDEEDEDEDEECFMF* >Brasy1G047900.1.p pacid=40054479 transcript=Brasy1G047900.1 locus=Brasy1G047900 ID=Brasy1G047900.1.v1.1 annot-version=v1.1 MRFSRDQLVGSAFVAFGILLFVGFFYAAVVSKLLPPYENRLQSAIQNDRYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA* >Brasy1G007900.1.p pacid=40054480 transcript=Brasy1G007900.1 locus=Brasy1G007900 ID=Brasy1G007900.1.v1.1 annot-version=v1.1 MKKKSKAAAAAGGRQPQHAAQNGHVLPSKLARYLDPDASWDKDQLLDAVHWIRQAVGLACGLLWGAVPLVGAFWIALFFTISTVIVHLYYAYLLKIDEEDFGGHAALLQEGLFASFTLFLLSWILVYSLAHF* >Brasy1G441600.1.p pacid=40054481 transcript=Brasy1G441600.1 locus=Brasy1G441600 ID=Brasy1G441600.1.v1.1 annot-version=v1.1 MTSKKSNRSTVTSCTALHMEWDRISCPICMEQPHNAVLLICSSYKNGCRCYICNTSHRHSNCLDRFREMNGDSKVRDSHSTSSVLSNSNNRTAQPRAHYSMISRHLMSPSLRRHIDNANNQESAHSTLSVGEGSIILEECHDAMQISADLTCPLCRGSVSGWIPAGEVRKYLDEKLRTCSHDPCKFVGTYEQLREHARTAHMLAKPAHVDISRKRSWDRLEREQEFGDVISAIRSQNPGAIIVGDYVIETRDDMSPDEDSGEESGDDWWSPVRDPMESPDNMDGAPRPWPNRRLGSPSIWGDEQHVFHRFLPQPRLPLSDRRTSRTVWQGIRRSSTQSIMRRGFSNQHYRRSSSYHGYRRTILARPYAGDHRAGINRRQDVPAFGLRRRQRLRYTQGRHDTS* >Brasy1G441600.2.p pacid=40054482 transcript=Brasy1G441600.2 locus=Brasy1G441600 ID=Brasy1G441600.2.v1.1 annot-version=v1.1 MGIVRHLMSPSLRRHIDNANNQESAHSTLSVGEGSIILEECHDAMQISADLTCPLCRGSVSGWIPAGEVRKYLDEKLRTCSHDPCKFVGTYEQLREHARTAHMLAKPAHVDISRKRSWDRLEREQEFGDVISAIRSQNPGAIIVGDYVIETRDDMSPDEDSGEESGDDWWSPVRDPMESPDNMDGAPRPWPNRRLGSPSIWGDEQHVFHRFLPQPRLPLSDRRTSRTVWQGIRRSSTQSIMRRGFSNQHYRRSSSYHGYRRTILARPYAGDHRAGINRRQDVPAFGLRRRQRLRYTQGRHDTS* >Brasy1G441600.3.p pacid=40054483 transcript=Brasy1G441600.3 locus=Brasy1G441600 ID=Brasy1G441600.3.v1.1 annot-version=v1.1 MGIVRHLMSPSLRRHIDNANNQESAHSTLSVGEGSIILEECHDAMQISADLTCPLCRGSVSGWIPAGEVRKYLDEKLRTCSHDPCKFVGTYEQLREHARTAHMLAKPAHVDISRKRSWDRLEREQEFGDVISAIRSQNPGAIIVGDYVIETRDDMSPDEDSGEESGDDWWSPVRDPMESPDNMDGAPRPWPNRRLGSPSIWGDEQHVFHRFLPQPRLPLSDRRTSRTVWQGIRRSSTQSIMRRGFSNQHYRRSSSYHGYRRTILARPYAGDHRAGINRRQDVPAFGLRRRQRLRYTQGRHDTS* >Brasy1G247200.1.p pacid=40054484 transcript=Brasy1G247200.1 locus=Brasy1G247200 ID=Brasy1G247200.1.v1.1 annot-version=v1.1 MPLTPHPHTEESLRRWWTSRGDGRRGWTVDELRAINLQPEISSCSSGAGPRR* >Brasy1G078800.1.p pacid=40054485 transcript=Brasy1G078800.1 locus=Brasy1G078800 ID=Brasy1G078800.1.v1.1 annot-version=v1.1 MEVDGAPDLTDFMNDWFFGTVGAKHSGGGGGGGAYDLTGESGGGGSSSKKPAAASKEKRQQQQQGRSSGGGSSSSASKQTQEWLEEAKRMMVGSGSPGRMGSPSRQVPKFAGGNGTEPTPMLDRRDPMSRSARRHRQLSGIGDEILQRASIISSPPRSEPAAASAPPSPSPSLPPNPQSSRRKSRFHGNLDPDSSSASLRRTTSSASNSPTSAAQSRLLNHRRHASASSSPAADGFDDGVARLNAFLARQSDAVSSLDSGGRTGSRSTKIVLSDASKSVSSIVAAICYAWVLSSKADGQAAVPVVNMRRSRMVRCRQAAWLLYHVGVDASALLFADEVDMEGLIMDQRASLVVVGQDVLKSNREVGSVCTILANDHREENSGLFQSLDIKKLLLAGILLDTNNLSKMCSDRDSEAVQLLMFGTSEHKRHELFQQLMLDHNDHSFVEFLKNTYRKSSADGDGDSPPEQKHSNSGPSQDAKKSNSTNQKPARANGGKPSDEAPRGKNKFSLAKWFGFGPK* >Brasy1G567900.1.p pacid=40054486 transcript=Brasy1G567900.1 locus=Brasy1G567900 ID=Brasy1G567900.1.v1.1 annot-version=v1.1 MAQPPVPAAASEGAADDPRLLRSHPAHTQAGRSHSSAAMVSNPRISPSSL* >Brasy1G436700.1.p pacid=40054487 transcript=Brasy1G436700.1 locus=Brasy1G436700 ID=Brasy1G436700.1.v1.1 annot-version=v1.1 MAGYRGASSSSAAGAGGGAAAFATRVLLLLTLLPLALAAFAFVLQWRGGMRDPTGTAWPADTQRFPGMENSPLGSSSSGGGGSYFAVTSASGSSAAADCAEILGRSASSHGISLYRGWSFDSDAAITPKICITGSTSASLHQILPWLYYHKVIGVSHFILFVEGEAAKPAVTSVLESIRGVKIIFRTKELKEQQDRSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHMRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWYREHVVWTDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGIFTAAVTSAKANSKVKSSNSLENKSIHANVTAARSTTLNEGGSHNSQATARKVLEMVDIQEGAMPPMSPPGFLELVEIALS* >Brasy1G533900.1.p pacid=40054488 transcript=Brasy1G533900.1 locus=Brasy1G533900 ID=Brasy1G533900.1.v1.1 annot-version=v1.1 MDLRVCAVDRIARLRHLRKKTDKVRKRDVVLKFNGRSSTDAADTDLAKGASIRVLQVENSFPIGACISKSSIQNPSFVDFFAKHFDWAVLENELKWYYTEPAQGQVSYADADALIAFCDAHNKPVRGHCIFWAVENSVQQWVRALNPGQLRAAMESRLRSLVSRYGGRFPHYEVNNELLHGNFFANRLGDADVNAHMFRETAAIDSSPALFVNDYNVESGNDPNATPEKYVALVTDLQKRGAPVGGIGVQGHVTHPIGDIICGALDKLAAMELPVWITELDVSAADEAVRADDLETVMREVFAHPAVEGVMLWGFMQGHMWRSHGQLVNQDGSLSEAGRRFVGLRTEWTSHARGKLDGDGNFRFRGFHGRYVVELTTSGGAGQVRRAFDVSKGDQPLVLDMDL* >Brasy1G482900.1.p pacid=40054489 transcript=Brasy1G482900.1 locus=Brasy1G482900 ID=Brasy1G482900.1.v1.1 annot-version=v1.1 MVFVAGRHAVPGHIGQHERRKSTIRDSLGGNRPQERGALQKIFDLVNRYKAPGRIGRENILLMDRLSVQVEPRPNDVYWRSCQRRERIGRVLRPAGAIFLVGVVAPVGLQMSYEALFPKKKNFFQEWWDEIRRCSCSRR* >Brasy1G499300.1.p pacid=40054490 transcript=Brasy1G499300.1 locus=Brasy1G499300 ID=Brasy1G499300.1.v1.1 annot-version=v1.1 MGAGCWADQAQITRDNMGLAEITRAGLRTSRAGGRVQGGRQRNSMRSSQCGGPYLSLSSPRPPPSSARTHGEKRTLLWGGEARPREQTQGGRPAAMALAVFLLAASLLLPAFAATPALAQPASCPQGWQISPDRVKCFMHISSSLSWDGSEALCRNFSGHLATLSSVQDLNFAKSLCGASSGCWVGGRRYNTSSNTTSVVGWKWSDDSSFWNETVFAGEPSHANCNSTHCGLATSYDICTLVTNKHATLTGKKCGESHGLICMINHEDRCYHDHCHKEYFIVLIIVSGLILSTTLAVVVWLLVYRRSKKRRKPREASGTSATALVPPLWKVFTGEELRSITKNFSEGNRLPGNAKTGGTYSGIMPDGSKVAIKRLKRSSLQRKKDFYSEISRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWVTRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLELLDPLINDLPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR* >Brasy1G499300.2.p pacid=40054491 transcript=Brasy1G499300.2 locus=Brasy1G499300 ID=Brasy1G499300.2.v1.1 annot-version=v1.1 MGAGCWADQAQITRDNMGLAEITRAGLRTSRAGGRVQGGRQRNSMRSSQCGGPYLSLSSPRPPPSSARTHGEKRTLLWGGEARPREQTQGGRPAAMALAVFLLAASLLLPAFAATPALAQPASCPQGWQISPDRVKCFMHISSSLSWDGSEALCRNFSGHLATLSSVQDLNFAKSLCGASSGCWVGGRRYNTSSNTTSVVGWKWSDDSSFWNETVFAGEPSHANCNSTHCGLATSYDICTLVTNKHATLTGKKCGESHGLICMINHDRCYHDHCHKEYFIVLIIVSGLILSTTLAVVVWLLVYRRSKKRRKPREASGTSATALVPPLWKVFTGEELRSITKNFSEGNRLPGNAKTGGTYSGIMPDGSKVAIKRLKRSSLQRKKDFYSEISRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWVTRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLELLDPLINDLPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR* >Brasy1G257200.1.p pacid=40054492 transcript=Brasy1G257200.1 locus=Brasy1G257200 ID=Brasy1G257200.1.v1.1 annot-version=v1.1 MWGAEAPLIAEEEAERGSSSSISHLVGGSGRSSREYRRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTKFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRKDAQDACDQRDLYFLGQLPYFSKTESLIYEGLTLVILVLDIFYPLSYEGLNIFWKSNMNKLKVLLLFILACDILVFAFSPLPFRVAPYIRVAFLIMTIRELRMCAVTLAGIIGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKIIFKSYGATLYQMFVLFTTSNNPDVWVPAYKISRWYSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQLGQVDFLRKSILQKAFDLIDTNGEGYLNKEQCISLLNELNKYRSLPKTSREDFELIFTELDQSGDFKVTSEEFADLCNTIAIKFQKEPPPSYLEKFPSFYHAPLCERLKSFVRSRQFEYIIVFVLLMNLVAVIIETTLDIENSSSQEVWQEVEFFLGWIYVAEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLPFLSNGEWIRYLLLGRVLRLTRILLQVHRFRAFVATFLTLMSSLMPYLGIVFCVLCMYCSLGLQIFGGIVYAGNPTLEETDLFNNDYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYRQLTGSSWSLIYFVSFYLISILLLLNLIVAFVLEAFFAEMELEKAGEADIHDPTSGGRNKRRSMRVRSKGTMVDILLHHMLSNELDGSQNCD* >Brasy1G257200.3.p pacid=40054493 transcript=Brasy1G257200.3 locus=Brasy1G257200 ID=Brasy1G257200.3.v1.1 annot-version=v1.1 MWGAEAPLIAEEEAERGSSSSISHLVGGSGRSSREYRRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTKFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRKDAQDACDQRDLYFLGQLPYFSKTESLIYEGLTLVILVLDIFYPLSYEGLNIFWKSNMNKLKVLLLFILACDILVFAFSPLPFRVAPYIRVAFLIMTIRELRMCAVTLAGIIGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKIIFKSYGATLYQMFVLFTTSNNPDVWVPAYKISRWYSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQLGQVDFLRKSILQKAFDLIDTNGEGYLNKEQCISLLNELNKYRSLPKTSREDFELIFTELDQSGDFKVTSEEFADLCNTIAIKFQKEPPPSYLEKFPSFYHAPLCERLKSFVRSRQFEYIIVFVLLMNLVAVIIETTLDIENSSSQEVWQEVEFFLGWIYVAEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLPFLSNGEWIRYLLLGRVLRLTRILLQVHRFRAFVATFLTLMSSLMPYLGIVFCVLCMYCSLGLQIFGGIVYAGNPTLEETDLFNNDYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYRQLTGSSWSLIYFVSFYLISILLLLNLIVAFVLEAFFAEMELEKAGEADIHDPTSGGRNKRRSMRVRSKGTMVDILLHHMLSNELDGSQNCD* >Brasy1G257200.2.p pacid=40054494 transcript=Brasy1G257200.2 locus=Brasy1G257200 ID=Brasy1G257200.2.v1.1 annot-version=v1.1 MRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRKDAQDACDQRDLYFLGQLPYFSKTESLIYEGLTLVILVLDIFYPLSYEGLNIFWKSNMNKLKVLLLFILACDILVFAFSPLPFRVAPYIRVAFLIMTIRELRMCAVTLAGIIGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKIIFKSYGATLYQMFVLFTTSNNPDVWVPAYKISRWYSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQLGQVDFLRKSILQKAFDLIDTNGEGYLNKEQCISLLNELNKYRSLPKTSREDFELIFTELDQSGDFKVTSEEFADLCNTIAIKFQKEPPPSYLEKFPSFYHAPLCERLKSFVRSRQFEYIIVFVLLMNLVAVIIETTLDIENSSSQEVWQEVEFFLGWIYVAEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLPFLSNGEWIRYLLLGRVLRLTRILLQVHRFRAFVATFLTLMSSLMPYLGIVFCVLCMYCSLGLQIFGGIVYAGNPTLEETDLFNNDYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYRQLTGSSWSLIYFVSFYLISILLLLNLIVAFVLEAFFAEMELEKAGEADIHDPTSGGRNKRRSMRVRSKGTMVDILLHHMLSNELDGSQNCD* >Brasy1G124900.1.p pacid=40054495 transcript=Brasy1G124900.1 locus=Brasy1G124900 ID=Brasy1G124900.1.v1.1 annot-version=v1.1 MAAGAGERRDAKFGVYSSSPATASDSRAKGLTHPPAETTKNRAKSPRFSGSARRGVTPPRLRPQPSPKYLPRRRSGARLIPAVKPLRPLLPMFASTPTSLHLYHQAAFGVGAKRQTLTRCRPLSSSPGAAQPANANHLIQTLCANGRLARAAALLPGLPAPTQRTYESLLLAAARARDAVLAAAVHRRLEADPVFRSDPFLSTRLIEAYAALGALPAARQVFDETPVKNIFVWNALLKALALADHGDEALARLADMGRLGVPVDSYSYTHGLKACIAVSASHAPASARVHEVHAHAIRRGYALHIHVATTLIDCYAKLGIVSYAESVFAAMPERNVVSWSAMIGCYAKNERPADAIELFKDMMAFDADLVPNSITIVSVLNACAGVNALSHGKLLHAYILRRGFDSLVSVLNALMAMYMRCGCLEVGRHIFKWIGHRRDVVSWNSLISGYGMHGFGHEAVQVFEEMIHVGFSPSIITFISVLGACSHAGLVDEGKRLFESMVEHGVTPRAEHYACMVDLLGRAGQLDEAMELIRSMRIEPSPQVWGALLGACRIHGHVEYAEMACSHLFDLEPRNAGNYVLLADIYARAKLHNQVGVLKELLEEHALEKVTGCSWIEVKKKLHAFTSVDNKNPPAEQLQALIGEFVAQMKNQGYVPDTGIVLYDIEEEEKERILLGHSEKLAVAFGLINTGSGEVIRITKNLRLCEDCHSVTKFISQFTEREIVVKDVNRFHHFRDGICSCGDYW* >Brasy1G551100.1.p pacid=40054496 transcript=Brasy1G551100.1 locus=Brasy1G551100 ID=Brasy1G551100.1.v1.1 annot-version=v1.1 MLCYEPEKNKTKKQKEGFHSIEGISSLQTSEFREMQQQHRQQRSYSCSFFIHALLLLLSLILLAALLNISLLTGAMAMAMGGRGGGGAPPPPTSSGDPHP* >Brasy1G551100.2.p pacid=40054497 transcript=Brasy1G551100.2 locus=Brasy1G551100 ID=Brasy1G551100.2.v1.1 annot-version=v1.1 MLCYEPEKNKTKKQKEGFHSIEGISSLQTSEFREMQQQHRQQRSYSCSFFIHALLLLLSLILLAALLNISLLTGDWVINRQQVI* >Brasy1G074600.1.p pacid=40054498 transcript=Brasy1G074600.1 locus=Brasy1G074600 ID=Brasy1G074600.1.v1.1 annot-version=v1.1 MSWWGSRSHFSDTQQGQGQYTVSQHEGTAAAGTAKSRSPNKKQLPAGKKASADDADYKTSYGANHDDDNNNYNGGYSAGSGYGDNNNNNYSNGYGGMTPYYGGNGGGYNNSSYGGNNGGDGYNNNSSYGGGDGYNNNGPASWGAPVDHQGGRTPMYISTREVHFYGGPQNDGEHEGEQRRRGGGGGGSSGFFGPALGAVGNFVDRKFGLNDH* >Brasy1G074600.2.p pacid=40054499 transcript=Brasy1G074600.2 locus=Brasy1G074600 ID=Brasy1G074600.2.v1.1 annot-version=v1.1 MSWWGSRSHFSDTQQGQGQYTVSQHEGTAAAGTAKSRSPNKKQLPAGKKASADDADYKTSYGANHDDDNNNYNGGYSAGSGYGDNNNNNYSNGYGGMTPYYGGNGGGYNNSSYGGNNGGDGYNNNSSYGGGDGYNNNGPASWGAPVDHQGGRTPMYISTREVHFYGGPQNDGEHEGEQRRRGGGGGGSSGFFGPALGAVGNFVDRKFGLNDH* >Brasy1G394900.1.p pacid=40054500 transcript=Brasy1G394900.1 locus=Brasy1G394900 ID=Brasy1G394900.1.v1.1 annot-version=v1.1 MSSPAPLPKPACGTVCGSRRPRNSLQRRAPTKPALRQDLAPTPEPNTSTAQLLITVITPAPRTESRNLQIEKELHETKPKAATTPREQGGDAPPPPNPNSPFHPAARSLPPTNPPRNPVEPRERGADRSDPGGRMSFQDLEAGNGPRGAPRRNGRSAAGAGAVASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRAHITQLVKDTSDKLRQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLSAEREAAYAPFITQAGLPQSYNSTDMNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSVAATAQAKGQLSKAAKTQKSNSSLICLLMVIFGVVLLIVIIVLAA* >Brasy1G153900.1.p pacid=40054501 transcript=Brasy1G153900.1 locus=Brasy1G153900 ID=Brasy1G153900.1.v1.1 annot-version=v1.1 MWKYRVLGSAAALLLLSLAVSYTQTLKQSAGVMAEDAGPLAGGIHDSPVGRENDLGVLDLARFAVSEHNKQANGLLEFDKVVKVREQTVAGTMYYFTIQVNEGGAKKLYEAKVWEKVWENFKKLEEFKPAESGAST* >Brasy1G153900.2.p pacid=40054502 transcript=Brasy1G153900.2 locus=Brasy1G153900 ID=Brasy1G153900.2.v1.1 annot-version=v1.1 MWKYRVLGSAAALLLLSLAVSYTQTLKQSAGVMAEDAGPLAGGIHDSPVGRENDLGVLDLARFAVSEHNKQANGLLEFDKVVKVREQTVAGTMYYFTIQVNEGGAKKLYEAKVWEKVWENFKKLEEFKPAESGAST* >Brasy1G107700.1.p pacid=40054503 transcript=Brasy1G107700.1 locus=Brasy1G107700 ID=Brasy1G107700.1.v1.1 annot-version=v1.1 MAGALHARSSLLLALVISPAWLLICSTFLAPVAAAERASYIVHMDKSAMPPRHSSHRAWYSAVVASLADDSSTDGRGELFYTYDDALHGFAATLSASELRALSSVPGFVSAYPDRRADVGARHDTTHSTEFLGLSPLAGLWPAAKLGEGVIVGMIDTGVWPESASFDDAGMSPAPSKWRGTCEPGQAFTAAMCNRKLIGARYFNKGLVAANPGITLSMNSTRDSEGHGTHTSSTAAGSFVPCASFFGYGLGTARGVAPRAHVAMYKVIFDEGRYASDVLAGMDAAIADGVDVISISMGFDGVPLYEDPVAIAAFAAMERGILVSSSAGNAGPRPRSLHNGIPWLLTVAAGTVDRKMFSGTVTYGNTTHRTIAGVTTYPANAWVVDMKLVYNDAVSACSSAASLANVTTSIVVCGDTGSIDEQINNVNEARVAAAIFITEVSSFEDTMPLPAMFIRPQDAQGLLSYINSTAIPIATMSFQQTILGTRPAPMVTAYSSRGPSRSYAGVLKPDILAPGDIILASWAPVGPMGLIGQTSLRSEFYVASGTSMACPHASGVAALLRAAHPDWSPAMIKSAMMTTATTIDNTLRPIVDAGSIVSGNGSAAAASPLAMGSGHVSPNSAMDPGLVYDAEPRDFVALLCAANYTNAQIMAITRSSTAYNCSTSSNDVNYPSFIAIFGANATSGDARFSRTVTSVGAGAATYNASWVSPSNVAVTVTPATLEFSGPGQKATFQVEIKLTAPAAPGGEPAFGAIVWADASGKYRVRTPYVVL* >Brasy1G375400.1.p pacid=40054504 transcript=Brasy1G375400.1 locus=Brasy1G375400 ID=Brasy1G375400.1.v1.1 annot-version=v1.1 MVSNGELSQPEAGEYGAAVMRRRPKTKIVCTLGPASRSVEMIEKLLRAGMCVARFNFSHGSHEYHQETLDNLHAAMERTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITISTDYSINGDDSMISMSYKKLAIDLKPGSIILCADGTITLTVLHCDKQQGLVRCCCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILNWGVPNKIDMIALSFVRKGSDLVQVRKVLGEHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPVEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDYSAVFKSIMSSAPIPMSPLESLASSAVRTANSAKATLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTVEFDWTCSDEGPARQSLIVRGVIPMLSAGTAKAFDSEATEEALRFAMKNAKESGLCNAGDSIVALHRIGNASVIKLLTVN* >Brasy1G247900.1.p pacid=40054505 transcript=Brasy1G247900.1 locus=Brasy1G247900 ID=Brasy1G247900.1.v1.1 annot-version=v1.1 MGIQLSRKQEPSSTPTDGPAEVPRPPPVAPSSPSITPDNMDPQSKREPHMFKEIVSEETTTAEQVSREGIMLAGKTKKYWVDKNNNNCFMLFPRGLSITWSDDPSYWTWNGDAGTEVAELKNVCWLDINGQQELSHLTPGVTYEVVFEVMLKQGSSGWRVPVNLRLKSPGGTIIQERQENLQGKPMEQLLQLKAGDVKAHRGQSGELEVSLFEYGGHWKSGLVVKGIKITPKE* >Brasy1G389900.1.p pacid=40054506 transcript=Brasy1G389900.1 locus=Brasy1G389900 ID=Brasy1G389900.1.v1.1 annot-version=v1.1 MGSSSGDESPAACFDAPEKEKQVAVAELSWGKWVAEIRPPHKKIRRCRGTYETPEEVTATPATEGRSGKLPDEPRGKSSRLNFPDEAAALATEGGSGKLKLHNEIATQWSSSEGGSDTETLDELPEAPQIDWQSLLDDGHGIGFSAESSGISPLWSSSHPEKNAEATLSSIGSAQGSDFFEEITETALAPSCEVSLSEEASLVSTQVSDNPEGTAVIEKDSTCSFWAYHYPPIQNPERTALRPRWPRRRPRRTRSEVTLSSERSAQGSNYFEETTATEMLLGPSPVGSPRLNFPAEITTTLAPSSEGTLLPNWLADWPAPPLNIPDEITQLTLFPVETTRQKLVQSHDECLDFRHNSASCSDVVQAAGTMDAPPQGAASIIQTNATEDCPQLPLQQGGEEGEHHQPQLYPWLASPLQASSQYCAALGAMGSLLGKLHTALHGSSSLPKMVKESMQLLKDDLEEIGAYLEDLSEVEDPPLTAKCWMKEVRELTYDVEDYMDKSVLQPVVVRATASAKIKSGGIPVAKTRHVKITRLPKKVNRHQKIANTVSEFRVFVQEAIERHERYDLDCRSLRRRFVSGGPVLPMPYDEAADIVIDGRMSEFISSLANDGDQQLKVASLVGSECLGKTTLAKVFYKKFGGQYDCRAFVRVSQKPDMKRVFRDILWQVQRQQPPQDCKQLELTDSIRKHLQDKSYLLVIDDLWDASVWDIINDACPKGNNQRSRIITTTRNEDVALSCCCYLSEYIFEMKPLDDYHSRKLFFERVFGSESDCPQQFKEVSNEVVGICGGLPLAIISIASLLASQTVILMDLLMHIRDSLTTCLWANSTSEGMRQVLDLSYNNLPRYLKTCLLYFSMYPVGHTSYKDDLVKQWVAEGFINAAEGKDMEKVAASYFDELVQRRFLQPLCMNHNNEVLSCTVHDIIHDLIAHKSAEENFIVVTDYHRKNMALSDNVHRLSLHFADAKYAKIPANIGTSQVRSVTFSGLSKCMPSVAELTLVRVLNIELSGHHGDDRLDLTGISELFQLRYLKVASACDVCIELPNHMKGLQCLETLDMDAKVSAVPLDIIHLPHLLHLHLPFETSLQFDWIGSTGSVSPGSPGKLTNLRDIRLTCSVPPSDHLEKNMEALCSLLGGHDNLKTLAMVPIATCRNDFVRAGSALEVTTVSWDGLTPPLHLQRFEWLPCSCILSRVPKWIGELGSLCILKIAIETLSMDDVANLQGLPALTAVSLYVRARVAERVVFGKAGFSALKYFKIRCSVPWLKFEADTMPNLQILKLGFNAPTVDRQDTARISIERLSGLNEITVKIGGAGADSESTLMDAVSNHPSNPKIKVQLVDWVFYGEYASDKGRDECHG* >Brasy1G198800.1.p pacid=40054507 transcript=Brasy1G198800.1 locus=Brasy1G198800 ID=Brasy1G198800.1.v1.1 annot-version=v1.1 MTVGRCPLEIPDILLAPPRPRRSYSARSPRIPVFAPTTTFSNPPVRTPDRPLPITRSRAPPLPPRGEHERPGRFTWASMALDLALHVPAVAEEVKAEAAKEEEEAEVVVVQEGGGGCGGDAVVVAAADAEVEGHPYDFHVSGPRNLPTPNWREIIRSSWKDPNYKRMVMACFIQAVYLLEIDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAILEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYIGALEALKGAVERFGSTNVCVAGHSLGAGFALQVCKDLAKQGVFVDCHLFNPPSVSLAMSLRSMSEKASYLWQKVKASLPSKEESSHDSTKEEGSVKKRLRAEKKWVPHLYVNNSDYICCHYNAPSSSSTGINGAPDEEQQQRKATEIAGDMVAKLFVTSKGPQKFMEAHGLEQWWSDGMDLQLAVYDSKLIHRQLKSLYTAVPPPAKS* >Brasy1G212700.1.p pacid=40054508 transcript=Brasy1G212700.1 locus=Brasy1G212700 ID=Brasy1G212700.1.v1.1 annot-version=v1.1 MPTPDRAAAAAGGGHPRGHAHLTNCIHLRHHHAHGVGGGASLSSGRRRSPTSVSSAALMRDLLALQRSRSLRDPSTRRSVESAKVAADPDPDPDTDEDGAGLPAKSRRGATASGALKTLLDQLAENPHPKSARRPRRRFKRGTARRAGTTSKAPDRVAAAAAAALSANSSSQEAVCGNKYLFRDGEDLQRHVSQEDSRNVCGIPWNWSRLHHRGKSILDLAGRSLSCGLSDPKSAAGRESEAAAATASREQLSGAHSLFPVKSERLPSSISSDSHALPLLVEAATSCARNGIGGMAGSYSGELGIFSNQSSEMDFDFLSDARSGQNSRGSWRSRGGRHRSLTQKFAPRTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCTSCIAHNVGKSRSLMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSIISKVIDRSPCCVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLRWISTSESLDVDRDALRLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQQLVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYTFARERLRRKFFKHPTLSKDDMEKLRLALKTLSEAEKQLRVSNDKATWLTAALLQLAPDKQYILPSSSTSTSFNHGVLAGPFPDKDVARHSDIEHNGNMASTSYVERRPIEHTPNRHRLLPSVAKANGRSKHNKTENEMIWQAVLESIQSDILRKMMAKEGKLRSVSLGTAPTVQVIFSSRVNKSKAENFRGQIMQAFESVLHSAIILEIRYESKNDARAGHDPSMFTYPENDSSNMTLKRSFTKHSPLSSGGENLIRRLKKDTVMKGASSTRTRWMQSDPHILTEGEIIEVGPSHMHRHVDTDNGVLDIERRKDNVWEESLASPNTESMINQGRRNGNKQRRQNSIVKRKVSLAHVIGRAEACSQRGWSRRKAMSIAEKLEQENLRLEPRSRSILCWRTSKTRKKLSSFRVRTGRSRAVSRLILCGRCISTKSPR* >Brasy1G438300.1.p pacid=40054509 transcript=Brasy1G438300.1 locus=Brasy1G438300 ID=Brasy1G438300.1.v1.1 annot-version=v1.1 MEVEQTAELSSVEPTNLKSADKSLVHDDDKENIVNGNSKPKVMETHNYEDDGTGSDGFELVDVKENFDSAKMVEKERESGNVSPLTKGSPKEDESTAESAMLNQETKQLEELSKRIEELESEKHKLMMDMTEAENKQALQYSSLQEAQRSLSDKDKELADATQSLSELGSELEISKKRIQEIEAQLDSSADKLHKLEELKDERSLHAAQEAKRAAELDKMLEMAQLSMKDMENQISDLQEEIKGHQDKAIDHQQVEESLSTTISQFKMVQEALELSKSQVADLEQKLASQDTNISKLTEELSLHCSSEESLKEGSLKLETELAAVHEALQAKLLTLQEVEMKLEEQAKDKQTSEATLEKQQVQILHLQSGLDELNDEIETLKGALVDSNSKLSERDSMLRQAEEDHAKAQLLLSEALSHKEELKVNLKSISDQHGESKAVAEDANQKILELEAQIQDLHAAEETLKSQLEEAKASIELAEKKSSDLEQQLSESENKLVTSSEQVKLLEEHLHQEVASSAEKEKQLEEAVKSAEAYQEKLNELQSSLDSSTSKNQLLEQEVKDLTERFSEHQQQAHSVNERSLELESLLDTSKSDADGAHSRRQELEQELDTTHSKLKEVEAELAQYRSKVSHLSDDLEAYQTKAASLETVMEAASRKEKELMDSLGQITEEKMKLEELMADYEEKLQEHLKERNLFEERVQSQELKVLDLEESLAKLREEKESDENTIANLNLQLSNKNELYVQLESQLSQVGDDHSKTRSLLSDAQSHKEELELNLRSLNDLHTESKTAAESAVQRTAELETLVEELSAAEQSLKLQLAEFESKLESSEKRTTNLEQELKDATDKCNDSRVKVDELSGELEANKEKLTSLEASLVEANQKEADLSEKLTQANEEKKEFEELSKKATIAHLEAEKQVQILQSDLDSARSKMEEVEGDLRALGVRESSVLDKLKSAEEQLEHSGRALEHATSKKIDLESLYQSLLEDTEMKLQQSSDNLTQKETECQELSEKLRSAEEQVASHQAKAAAAIEEAESMKVELEAFENEIATHETTIEEIKSKVSESESKAEHALAESAILSGANQALKEELDAKLAMLHDLQEQFKSTHAEKEDVVSKLAEHGRTIEHLTEVHSRGLELQSSSESRNAEVEAQIREAHDTIVQKDLEVKDLNERLIALQSETESLMHVNEALKKEINAKLVMVDELQEKVAAMSSEKEEAAEKLAVHEKTLNHLTEEHSRGLELQSAAETRNAEIESQLREALETIAHKEAEVTEVKEKLVSLEAENGKLVGTNEALNGDVVAQVALFNELQERFNATHAEKEEAVEKLAVHEGTITHLTEVHTRNLELHSAAESKNEEIEVKLREALETVSQKEAEVKDLSKKLDALEIELGYYEEQATEAAANEENHKVKFDEAVHKQKSLEAQLAETQNKVELFYTEKENLLIANSTLNEELEVHQNKLHELQLALAAAVAEKQGSSEEIHSLRKTLDGMIQCKEELESQVSSALEDHEELKSKYQGTLEEKQLLNGRYETAKKELDEAIAKLEEQMNFEKSEKEMHISKLERQITVSELKYMEEIQTMQVETTEKGEALTTKMQEHAKLVHEKDELEQQLLEIRKELDGAYHTIANQEEQTSVREIKWDAYRKYSADQLEAEQQHAAELEVQVSALKQQLQEADIHYKNKVTEEREKLALVNTELNKLTQNLSKSAEMEMKVHDLEQKLQLAYSKSDEQVKDTVVSTKSREFSLDSSTLQNKQRGSSQAPDMASPNLKQQQMPEPSGIMAFKFILGVALLSVIIGVFLGKRY* >Brasy1G438300.2.p pacid=40054510 transcript=Brasy1G438300.2 locus=Brasy1G438300 ID=Brasy1G438300.2.v1.1 annot-version=v1.1 MEVEQTAELSSVEPTNLKSADKSLVHDDDKENIVNGNSKPKVMETHNYEDDGTGSDGFELVDVKENFDSAKMVEKERESGNVSPLTKGSPKEDESTAESAMLNQETKQLEELSKRIEELESEKHKLMMDMTEAENKQALQYSSLQEAQRSLSDKDKELADATQSLSELGSELEISKKRIQEIEAQLDSSADKLHKLEELKDERSLHAAQEAKRAAELDKMLEMAQLSMKDMENQISDLQEEIKGHQDKAIDHQQVEESLSTTISQFKMVQEALELSKSQVADLEQKLASQDTNISKLTEELSLHCSSEESLKEGSLKLETELAAVHEALQAKLLTLQEVEMKLEEQAKDKQTSEATLEKQQVQILHLQSGLDELNDEIETLKGALVDSNSKLSERDSMLRQAEEDHAKAQLLLSEALSHKEELKVNLKSISDQHGESKAVAEDANQKILELEAQIQDLHAAEETLKSQLEEAKASIELAEKKSSDLEQQLSESENKLVTSSEQVKLLEEHLHQEVASSAEKEKQLEEAVKSAEAYQEKLNELQSSLDSSTSKNQLLEQEVKDLTERFSEHQQQAHSVNERSLELESLLDTSKSDADGAHSRRQELEQELDTTHSKLKEVEAELAQYRSKVSHLSDDLEAYQTKAASLETVMEAASRKEKELMDSLGQITEEKMKLEELMADYEEKLQEHLKERNLFEERVQSQELKVLDLEESLAKLREEKESDENTIANLNLQLSNKNELYVQLESQLSQVGDDHSKTRSLLSDAQSHKEELELNLRSLNDLHTESKTAAESAVQRTAELETLVEELSAAEQSLKLQLAEFESKLESSEKRTTNLEQELKDATDKCNDSRVKVDELSGELEANKEKLTSLEASLVEANQKEADLSEKLTQANEEKKEFEELSKKATIAHLEAEKQVQILQSDLDSARSKMEEVEGDLRALGVRESSVLDKLKSAEEQLEHSGRALEHATSKKIDLESLYQSLLEDTEMKLQQSSDNLTQKETECQELSEKLRSAEEQVASHQAKAAAAIEEAESMKVELEAFENEIATHETTIEEIKSKVSESESKAEHALAESAILSGANQALKEELDAKLAMLHDLQEQFKSTHAEKEDVVSKLAEHGRTIEHLTEVHSRGLELQSSSESRNAEVEAQIREAHDTIVQKDLEVKDLNERLIALQSETESLMHVNEALKKEINAKLVMVDELQEKVAAMSSEKEEAAEKLAVHEKTLNHLTEEHSRGLELQSAAETRNAEIESQLREALETIAHKEAEVTEVKEKLVSLEAENGKLVGTNEALNGDVVAQVALFNELQERFNATHAEKEEAVEKLAVHEGTITHLTEVHTRNLELHSAAESKNEEIEVKLREALETVSQKEAEVKDLSKKLDALEIELGYYEEQATEAAANEENHKVKFDEAVHKQKSLEAQLAETQNKVELFYTEKENLLIANSTLNEELEVHQNKLHELQLALAAAVAEKQGSSEEIHSLRKTLDGMIQCKEELESQVSSALEDHEELKSKYQGTLEEKQLLNGRYETAKKELDEAIAKLEEQMNFEKSEKEMHISKLERQITVSELKYMEEIQTMQVETTEKGEALTTKMQEHAKLVHEKDELEQQLLEIRKELDGAYHTIANQEEQTSVREIKWDAYRKYSADQLEAEQQHAAELEVQVSALKQQLQEADIHYKNKVTEEREKLALVNTELNKLTQNLSKSAEMEMKVHDLEQKLQLAYSKSDEQVKDTVVSTKSREFSLDSSTLQNKQRGSSQAPDMASPNLKQQQMPEPSGIMAFKFILGVALLSVIIGVFLGKRY* >Brasy1G438300.3.p pacid=40054511 transcript=Brasy1G438300.3 locus=Brasy1G438300 ID=Brasy1G438300.3.v1.1 annot-version=v1.1 MEVEQTAELSSVEPTNLKSADKSLVHDDDKENIVNGNSKPKVMETHNYEDDGTGSDGFELVDVKENFDSAKMVEKERESGNVSPLTKGSPKEDESTAESAMLNQETKQLEELSKRIEELESEKHKLMMDMTEAENKQALQYSSLQEAQRSLSDKDKELADATQSLSELGSELEISKKRIQEIEAQLDSSADKLHKLEELKDERSLHAAQEAKRAAELDKMLEMAQLSMKDMENQISDLQEEIKGHQDKAIDHQQVEESLSTTISQFKMVQEALELSKSQVADLEQKLASQDTNISKLTEELSLHCSSEESLKEGSLKLETELAAVHEALQAKLLTLQEVEMKLEEQAKDKQTSEATLEKQQVQILHLQSGLDELNDEIETLKGALVDSNSKLSERDSMLRQAEEDHAKAQLLLSEALSHKEELKVNLKSISDQHGESKAVAEDANQKILELEAQIQDLHAAEETLKSQLEEAKASIELAEKKSSDLEQQLSESENKLVTSSEQVKLLEEHLHQEVASSAEKEKQLEEAVKSAEAYQEKLNELQSSLDSSTSKNQLLEQEVKDLTERFSEHQQQAHSVNERSLELESLLDTSKSDADGAHSRRQELEQELDTTHSKLKEVEAELAQYRSKVSHLSDDLEAYQTKAASLETVMEAASRKEKELMDSLGQITEEKMKLEELMADYEEKLQEHLKERNLFEERVQSQELKVLDLEESLAKLREEKESDENTIANLNLQLSNKNELYVQLESQLSQVGDDHSKTRSLLSDAQSHKEELELNLRSLNDLHTESKTAAESAVQRTAELETLVEELSAAEQSLKLQLAEFESKLESSEKRTTNLEQELKDATDKCNDSRVKVDELSGELEANKEKLTSLEASLVEANQKEADLSEKLTQANEEKKEFEELSKKATIAHLEAEKQVQILQSDLDSARSKMEEVEGDLRALGVRESSVLDKLKSAEEQLEHSGRALEHATSKKIDLESLYQSLLEDTEMKLQQSSDNLTQKETECQELSEKLRSAEEQVASHQAKAAAAIEEAESMKVELEAFENEIATHETTIEEIKSKVSESESKAEHALAESAILSGANQALKEELDAKLAMLHDLQEQFKSTHAEKEDVVSKLAEHGRTIEHLTEVHSRGLELQSSSESRNAEVEAQIREAHDTIVQKDLEVKDLNERLIALQSETESLMHVNEALKKEINAKLVMVDELQEKVAAMSSEKEEAAEKLAVHEKTLNHLTEEHSRGLELQSAAETRNAEIESQLREALETIAHKEAEVTEVKEKLVSLEAENGKLVGTNEALNGDVVAQVALFNELQERFNATHAEKEEAVEKLAVHEGTITHLTEVHTRNLELHSAAESKNEEIEVKLREALETVSQKEAEVKDLSKKLDALEIELGYYEEQATEAAANEENHKVKFDEAVHKQKSLEAQLAETQNKVELFYTEKENLLIANSTLNEELEVHQNKLHELQLALAAAVAEKQGSSEEIHSLRKTLDGMIQCKEELESQVSSALEDHEELKSKYQGTLEEKQLLNGRYETAKKELDEAIAKLEEQMNFEKSEKEMHISKLERQITVSELKYMEEIQTMQVETTEKGEALTTKMQEHAKLVHEKDELEQQLLEIRKELDGAYHTIANQEEQTSVREIKWDAYRKYSADQLEAEQQHAAELEVQVSALKQQLQEADIHYKNKVTEEREKLALVNTELNKLTQNLSKSAEMEMKVHDLEQKLQLAYSKSDEQVKDTVVSTKSREFSLDSSTLQNKQRGSSQAPDMASPNLKQQQMPEPSGIMAFKFILGVALLSVIIGVFLGKRY* >Brasy1G544400.1.p pacid=40054512 transcript=Brasy1G544400.1 locus=Brasy1G544400 ID=Brasy1G544400.1.v1.1 annot-version=v1.1 MASRAIKNEAECSASKKTEVAVAAAAPAGFTFTMDDHDILECPICSHPLRPPVFQCTVGHPICSSCHDKCHFCCLSTVYNRCYPVERLVESIKVACSNGNYGCTARINYYQKEDHEKSCPHVPCFCPETGCGFSGTTVALLDHFCGQHKWPSSNLKYNRKLSIHIKPGSTILAGEDGNLFLVNMLLNLRGAITISVCCIQPHDTGSKFECNLNMETCFVGQYVMKAFYTRSTNLHDGLPTDCDNFCVPSNLLRGATTMLDVTLIRPE* >Brasy1G137600.1.p pacid=40054513 transcript=Brasy1G137600.1 locus=Brasy1G137600 ID=Brasy1G137600.1.v1.1 annot-version=v1.1 MDSPGAPGPSKAGGSAPGGVAAPKPPQLSRYESQKRRDWNTFLQYLRNHRPPLTLARGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPNPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSHAKARGIPYEKKKRKRGTPAEGSSSSAGVGAVGGDDAGAGGSGGAAAAAGASSGSGAAQAPAESGSTAGGTTAPSIS* >Brasy1G137600.2.p pacid=40054514 transcript=Brasy1G137600.2 locus=Brasy1G137600 ID=Brasy1G137600.2.v1.1 annot-version=v1.1 MDSPGAPGPSKAGGSAPGGVAAPKPPQLSRYESQKRRDWNTFLQYLRNHRPPLTLARGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPNPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSHAKARGIPYEKKKRKRGTPAEGSSSSAGVGAVGGDDAGAGGSGGAAAAAGASSGSGAAQAPAESGSTAGGTTAPSIS* >Brasy1G283000.1.p pacid=40054515 transcript=Brasy1G283000.1 locus=Brasy1G283000 ID=Brasy1G283000.1.v1.1 annot-version=v1.1 MAQAARLNLRMQMEIKLLLSDPPPGVSLNLSEHESAMSSLSSIETRIEGTEGTVYSKGVFILKIQVPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDINALSWTEKYANPAAVDTSGWNSLDVSVVAQNKQREEIQNLESLPEASNKDCEGSRKKVRLLGKKFSLKSEGSEANATTHKQDAVAGLSPSTARSTVPTICLSDFPVKQNVTAENMSVSADNGFNSKKGYNANRKNLQLHGHGLSVTSEDPKQRSSGIVEDKLPNHLPVSISYTKDHVTQSSDDILAKSMTDKSSIGDTNVIQQKICTEAVLPNTHSVPNKECNQGRKKPSSLSKRLSLKSELSEMERTSDKGYKPPNCSHDERKPNELPLSAAVPVRQTMGLGFVDSQRSISRSHCPVKQNASSMKNVDSCSADSADECEIMGLGLVHSHKSVSQSNCYIKQNPLPVENVVSDSEDSADEREKVPSRSRLSFMRRRLAGKLRS* >Brasy1G354000.1.p pacid=40054516 transcript=Brasy1G354000.1 locus=Brasy1G354000 ID=Brasy1G354000.1.v1.1 annot-version=v1.1 MRTCRRRILFEIHGLCFMDPKLWIPNFLVLNLLGSCFTCLSSWFVLNTYVSLCSPDLPHSFCLASAAQLVSVNLHLRQQQLNTFFCRVHIFVLLVDPFDGVREDAI* >Brasy1G107000.1.p pacid=40054517 transcript=Brasy1G107000.1 locus=Brasy1G107000 ID=Brasy1G107000.1.v1.1 annot-version=v1.1 MPPRRAGAGGGDTTAFFAATLVLWAVSVGFEIGARGRRELAAVAAGFAFFQAANTTVRAAVSRDPLFVNTAVSLLHSSLTSASVVFVLLCRWRKKGLENMFEHEELVGSSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLMLLICFTLALYRNVTINYLILSLVCEMHSIFLHIRKVRRMAGFRDFNRKMVKLEWILNWTTFLTARVICHILITYKLITDAHKFDKGIELPLALFGMAGMNVLNISLGLDLFKAFARERNQQVHQD* >Brasy1G106500.1.p pacid=40054518 transcript=Brasy1G106500.1 locus=Brasy1G106500 ID=Brasy1G106500.1.v1.1 annot-version=v1.1 MATADFYKMSVAYNPSGIAVPPWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYNMSFGHKLLPFWGKARPALGQSFLIAQAVLPESTQFYKGGVNFETSWINPLYPMASMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTIGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANTDSSIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSKLLQKVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCGMFLPVTNSRGAFYGGVGGMQFVKQVVGALFIISWNVVVTSIVCLVVRLIVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNETTQRKKAPSGVTQDV* >Brasy1G130500.1.p pacid=40054519 transcript=Brasy1G130500.1 locus=Brasy1G130500 ID=Brasy1G130500.1.v1.1 annot-version=v1.1 MSKRGRGVWEMDTARVMMLLAQHHQHQQQQQQHRHQQQQAPLAMRGRVFECKTCSRQFPTFQALGGHRASHKRPRLLQQQQQQHALVGNDVDAAALCLGRQITLPRQQLPVPAKPRAHECPVCGLEFAVGQALGGHMRRHRAEADAPTTSCKAAAPETTTACCDADGGICLDLNLTPSENCAKCRSVAAGLGARQGVPKALAMLDCTL* >Brasy1G089000.1.p pacid=40054520 transcript=Brasy1G089000.1 locus=Brasy1G089000 ID=Brasy1G089000.1.v1.1 annot-version=v1.1 MASSWRSCSLFLAGKIAEIRCFLGWLAPSSLSFLSLNKSCVDVYPKPPAPKSHSLAHRWFFKSSLQVFWWQMQATFLFQLQQNPSSTSMRGPHCGFKDLLACKMKGQDASFLSRRRLPMVGANLSSPKRRCTQDIWRHGEALPPDMEEFVKEAVFSRWRWPAEDPQRFLQVSAEHNASRRVATERGKLDACLVYVSTRDQVVILFFFGS* >Brasy1G517900.1.p pacid=40054521 transcript=Brasy1G517900.1 locus=Brasy1G517900 ID=Brasy1G517900.1.v1.1 annot-version=v1.1 MDSVPPAAGVGGGVGVGVGAGGGPGPGYPESTDSSPRSRGGDSWDEPFPSSAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRNASLADVHARLSRSLLAGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRVAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDTSKSETWFVDALNSAISGSFDGIPRGISTDSASVNCLLGLEDDSSQHSRGGVANSGPAEDQRANQQKLAAAAAAAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRQPDSRAAPPVSMEDHFANMGISEQQGPPPPAMVYMQPPLQAPIPAMAMPAANIPPSEAPSRVFSDDDRSSDHSGGGRKPQQAKPEVPPATDPNNRAMYYNDRSPREELKRDMPVGTDAASYRAPVPPPEVAAAPAAAAQPPPGYVYAQMQPQQQLQQQPQQQLQQQPQQQLHQQQQQQQPPPPQPQQPHQPAPQQYVTAGNQHFIHNPATGTFIPIQSYYHQAVPQPAPQQQQSPAFDPNTGMYYIPMRPNAPQQYSIPAGAAAPMQPPPTLVDTAPKPTVPIPQQYMKPELQQQPGMYRTAGPAAPAPGAPNTAAPAYAGMGYHQVIQSHHHPAPQPAATMAGNYGYEYADPRAQVFYSQAAPPASLPPQYQPMGSPDAGQADMNQPRGS* >Brasy1G517900.2.p pacid=40054522 transcript=Brasy1G517900.2 locus=Brasy1G517900 ID=Brasy1G517900.2.v1.1 annot-version=v1.1 MDSVPPAAGVGGGVGVGVGAGGGPGPGYPESTDSSPRSRGGDSWDEPFPSSAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRNASLADVHARLSRSLLAGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRVAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDTSKSETWFVDALNSAISGSFDGIPRGISTDSASVNCLLGLEDDSSQHSRGGVANSGPAEDQRANQQKLAAAAAAAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRQPDSRAAPPVSMEDHFANMGISEQQGPPPPAMVYMQPPLQAPIPAMAMPAANIPPSEAPSRVFSDDDRSSDHSGGGRKPQQAKPEVPPATDPNNRAMYYNDRSPREELKRDMPVGTDAASYRAPVPPPEVAAAPAAAAQPPPGYVYAQMQPQQQLQQQPQQQLQQQPQQQLHQQQQQQQPPPPQPQQPHQPAPQQYVTAGNQHFIHNPATGTFIPIQSYYHQAVPQPAPQQQQSPAFDPNTGMYYIPMRPNAPQQYSIPAGAAAPMQPPPTLVDTAPKPTVPIPQQYMKPELQQQPGMYRTAGPAAPAPGAPNTAAPAYAGMGYHQVIQSHHHPAPQPAATMAGNYGYEYADPRAQVFYSQAAPPASLPPQYQPMGSPDAGQADMNQPRGS* >Brasy1G327600.1.p pacid=40054523 transcript=Brasy1G327600.1 locus=Brasy1G327600 ID=Brasy1G327600.1.v1.1 annot-version=v1.1 MALRARTPPLLLAALAVAFLLPSAAEARVLLTLDDFGAVGDGIANDTQAFVDAWSAACGTGDTTYLNVPAGKSYQIWPLTLSGPCRNDIKLLISGNIVAPENPDDWQEEEEEEEEEEGSSEVTQWLHFRGVSGLALSGGGIVDGRGQQWWARQSCESANCTAPQAQPQAAAAPMAVHFEDCEDVSVMGITVQNSPRQHLAFTRCYNVKANYLRVTAPEGSPGTVGVLLASSTNVHVMDDLFSVGGDCVSIVGNCTDVRLRAVSCGPGAGISIGDLGEDQSQHKIEKIKMDTLFISNTKNGVRVKTHEDGCGFARKVKFAQIVMRNVSNPIIIDQHYSYSNRGTPCGTPNASAVAVEKINYNDITGTSATELAVTFACSDAMPCRRLSMSGVNLTRADGGGNVSAYCHQAFGRNVGEVVPESCLGTEDFVQLQAPGAAAGEEEEEDEDDAMDW* >Brasy1G327600.2.p pacid=40054524 transcript=Brasy1G327600.2 locus=Brasy1G327600 ID=Brasy1G327600.2.v1.1 annot-version=v1.1 MALRARTPPLLLAALAVAFLLPSAAEARVLLTLDDFGAVGDGIANDTQAFVDAWSAACGTGDTTYLNVPAGKSYQIWPLTLSGPCRNDIKLLISGNIVAPENPDDWQEEEEEEEEEEGSSEVTQWLHFRGVSGLALSGGGIVDGRGQQWWARQSCESANCTAPQAQPQAAAAPMAVHFEDCEDVSVMGITVQNSPRQHLAFTRCYNVKANYLRVTAPEGSPGTVGVLLASSTNVHVMDDLFSVGGDCVSIVGNCTDVRLRAVSCGPGAGISIGDLGEDQSQHKIEKIKMDTLFISNTKNGVRVKTHEDGCGFARKNASAVAVEKINYNDITGTSATELAVTFACSDAMPCRRLSMSGVNLTRADGGGNVSAYCHQAFGRNVGEVVPESCLGTEDFVQLQAPGAAAGEEEEEDEDDAMDW* >Brasy1G327600.3.p pacid=40054525 transcript=Brasy1G327600.3 locus=Brasy1G327600 ID=Brasy1G327600.3.v1.1 annot-version=v1.1 MALRARTPPLLLAALAVAFLLPSAAEARVLLTLDDFGAVGDGIANDTQAFVDAWSAACGTGDTTYLNVPAGKSYQIWPLTLSGPCRNDIKLLISGNIVAPENPDDWQEEEEEEEEEEGSSEVTQWLHFRGVSGLALSGGGIVDGRGQQWWARQSCESANCTAPQAQPQAAAAPMAVHFEDCEDVSVMGITVQNSPRQHLAFTRCYNVKANYLRVTAPEGSPGTVGVLLASSTNVHVMDDLFSVGGDCVSIVGNCTDVRLRAVSCGPGAGISIGDLGEDQSQHKIEKIKMDTLFISNTKNGVRVKTHEDGCGFARKVKFAQIVMRNVSNPIIIDQHYSYSNRGTPCGTPVELHFALKFANHHMHFISLKL* >Brasy1G442000.1.p pacid=40054526 transcript=Brasy1G442000.1 locus=Brasy1G442000 ID=Brasy1G442000.1.v1.1 annot-version=v1.1 MMAIPSQEQHEAEHHHSPQPPNPTSLTSHPLCPLCLAIVASDMEELLAVARGGDLERLKDIMTVLSEEKGAVATWRDGKRRTLLHFAAGEGSSEVCSYLVNDIGFSFDAMDHEGETPIVKAAKEGHLETVEYLLNHGANLCVLSSIATEVMFEAAKIGNLGLMKLLLDKGINIDSQSYMGTPLACAASFGQKEAVQFLIEHNAKVESDLGSSKTSPLFSSIVAGSYPCFEILIQAGADAKLVPYPLHAAALSGNVEIVKFLLEAGLDPDSCDHDGIKPIQAAAKMNNHEVVELLFPYTTPVSGVLNWTIAGVLEQTFVQAVEKEVDLADVSKRKTIMERNQSVKMKVSLLSDEEVDQELCKMVPHTERQFFTGWTSTKKRLFYESTLVRLPKPKKTYRV* >Brasy1G381700.1.p pacid=40054527 transcript=Brasy1G381700.1 locus=Brasy1G381700 ID=Brasy1G381700.1.v1.1 annot-version=v1.1 MEVEMAPNDMVIPSFNLGGSKVAAKAIPAGRPILSAAPSLFSSSTGSWRQSPTPACYSRRPFPNRTSLFLLRTPTCPRPSPPRSQQHHPLPLPHADRRRAAHGSAADRHMTQPPRRPTEPASFLGATSIPSQRSDTATADNVPVFGRYPPPPPPPQKQHSQSSSHGGSGRHHRSRPARLMRSVRAAFRSFPILPAPSCRGLPSLPHLPSGSGIVRSHFQFHGSTRTTGTLYGHRRSRITIAFHNSPGTPPVLLLEMGVPTARFIQDVSAAGMVRVTLECDRQKQQQQYAGGGGGGEDAPRRQLLEEPSWAAEVNGESVGCASRREPTEADERVMRMLHATSMGAGVLPDDMADPSNGELTYMRANFDRVVGSKDAETYYMHNPEGSATGPELTIFFVRN* >Brasy1G020300.1.p pacid=40054528 transcript=Brasy1G020300.1 locus=Brasy1G020300 ID=Brasy1G020300.1.v1.1 annot-version=v1.1 MACATHLLAIFILIQLYVLAASASHAPGNSTASFFCHPDQAAALLQLKESFIFDYSTTTLLSWQPGTDCCHWEGVGCDDGVSGTGHVTFLDLGGCGLYSHGFHAALFNLISVRHLDLSMNDFGRSRIPAAGFERLSKLTHLNLSCSGLYGQVPIAIGKLTSLISLDLSSLHGVDPFQFNNMYDVLNAYNYLELREPKFETLFANLTNLRELYLDGVDISSGEAWCSNLGKAAPRLQVLSMVNCNLHGPIHCLSSLRSLTVINLKLNYWISGVVPEFLSDFQNLSVLQLSDNDFTGWFPQKIFQLKNIRLIDVSNNFKLSGHVQNFPNGTSLEILNLQYTSFSGIKLSSFSNILSLTELGIDGGSISMEPTDLLFNKLNSLQKLQLSFGLFSGELGPWISSLKNLTSLQLADYYSSSIMPPFIGNLTNLTSLEFTGCVFTGQIPPSIGNLSKLTSLRISGGGFSGAIPSSIGNLKKLRILEISYSGSLAAITRDIGQLSKLTVLVLRGCGISGTIPSTTIVNLTQLIYVDLADNSLRGDIPTSLFTSPAMLLLDLSSNQLSGPVEEFDTLNSHLSVVYLRENQISGQIPSSLFQLKSLVALDLSSNNLTGLVQPSSRWKLRKLGYLGLSNNRLSVLDEEDSKPTVPLLPKLFRLELVSCNMTRIPRFLMQVNHIQALDLSSNRIPGTIPKWIWETWDDSLMVLNLSHNIFTYMQLTSDDLPNSRLESLDLSFNTLEGQIPMPNLLTAYSSFSQVLDYSNNRFSSVLSNFTAYLSKTVYLKMSRNNINGHTPHSICDSSNLQILDLSYNNFSGVIPSCLIEDSHLGILNLRENNFEGTLPHNVSEHCKLQTINLHGNKLHGQLPRSLSNCADLEVLDVGNNQMVDTFPSWLGRLSHFSVLVVRSNRFYGSLAYPSRDSKLGEYFSELQIIDISSNNFSGTLDPRWFEKFTSMMTKFEETGDILDHLTIINPYYQDTIAITNKGQYMTFEKVLTTLTAIDFSNNSFHGDIAESTGRLVSLRILNMSHNAFTGRIPTKMGEMHQLESLDLSWNELSGEIPQELTNLTFLSTLKLCGNKLYGRIPQSGQFTTFENTSYEGNAGLCGPPLSKPCGDSSNPNEGQVSISEDHTDIVLFLFIGVGFGIGFTAGILMKWGKIGKWFRIV* >Brasy1G112800.1.p pacid=40054529 transcript=Brasy1G112800.1 locus=Brasy1G112800 ID=Brasy1G112800.1.v1.1 annot-version=v1.1 MATPGDGEDAPPPQQPASAGAGGSVIAKLEEQWRKTKEHAETYPYVWGSYILVYGGLGAYLTWRWRKLRRTEDRVRILQGRLRQLAAAEESQAASTPPTPPPPLQPPAGSGKPAAAP* >Brasy1G298300.1.p pacid=40054530 transcript=Brasy1G298300.1 locus=Brasy1G298300 ID=Brasy1G298300.1.v1.1 annot-version=v1.1 MPLQQFLLAKGISAERVLELEYVKAVAPRKQEQPLPHDDWVGAVDGSNPGFILTGCYDGLTRIWKDAAVCTQILEGHSGAVTSASFINKGVETDGNSHVVTGSKDRSLRLFKFDTSVTMDYPKRIGAYKILPGHTSSVQSIAADPSGDMICSGSWDTTIKLWAVEGSEEDGDAVSVKKRRTNSDASGPEESQLEGSASSTLLGHTQCVSSVAWPEQRTIYSASWDHSIRQWDAQTGKETWNMFAGKALNCLDIGGESSSLIAAGGSDPVLRVWDPRKPGTLAPSFQFSSHSSWISACKWHPSSWFHLISSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGNSVISGGADSKLCISSGIDIV* >Brasy1G424800.1.p pacid=40054531 transcript=Brasy1G424800.1 locus=Brasy1G424800 ID=Brasy1G424800.1.v1.1 annot-version=v1.1 MSTSLSSYFALSCCCLMAATLAAAAAGEPRYNAMFNLGDSTSDTGNLCPDGRLLLTGVLGIFARPPYGNTYFGKPTCRCSDGRVNVDFLAQALGLPFLTPSLAHGKDFRQGANMAIVGGTVRDYDTSAYTGYDSMKNQMEALQRLLPSICGTPQNCKDYLAKSLFVFQLGENDYSLQLINGATVDEASKNMPIIVNTITSGVESSNKSDYDENGCLRNYNILFNRHNALLRSSLSTLQKKHRRIRIMYADLASHFYHIVQDPRKFGFKTVLTSCCGKADSPNGFDLEALCGTDAASVCRDPWGHLTWDGMHPSDAANERVAKGWLNGPYCQPPILK* >Brasy1G424800.2.p pacid=40054532 transcript=Brasy1G424800.2 locus=Brasy1G424800 ID=Brasy1G424800.2.v1.1 annot-version=v1.1 MSTSLSSYFALSCCCLMAATLAAAAAGEPRYNAMFNLGDSTSDTGNLCPDGRLLLTGVLGIFARPPYGNTYFGKPTCRCSDGRVNVDFLAQALGLPFLTPSLAHGKDFRQGANMAIVGGTVRDYDTSAYTGYDSMKNQMEALQRLLPSICGTPQNCKDYLAKSLFVFQLGENDYSLQLINGATVDEASKNMPIIVNTITSGVEALRPSSQAVAERPIRQTDSIWRPCAERMPRAFAVTHGATSLGMVCTRATPPTNG* >Brasy1G389700.1.p pacid=40054533 transcript=Brasy1G389700.1 locus=Brasy1G389700 ID=Brasy1G389700.1.v1.1 annot-version=v1.1 MPPPPLPQALHRAFSLLSRLDSPRHLLQAHAYLLPRGGHRNARLLSALLHASLRLPLRPHALALLRLIHPSVSLNAAAKIPHLRGTLGPQLHSVIVRAGLASDAHASASLIQTYFSCDRVDSARNVFDQTTDKDIFCWNVMISGYVKSGNLACARKLFDVMPARNVVSWTTVIGAYAQMKQPVEAVEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHRFVVRRGLCWQIPLMNAIIDMYVKCGCVRKALEVFEGMDQKSVVTWTTVIAGFALHGLGLEAIEMFRRMEMENVAPNDVTFLAILSACSHVGLTDLGRWYFKIMVSQYRIKPRVEHYGCMVDLLGRAGYLMEAQDLVQDMPFKANGAIWGALLAAARTHGDAELGKQALLHLIELEPHNSGNYILLSNIYAEQERWDDVSKLRKQMKDRGLRNVPGASSIELDGMVHEFTSRDGSHPCLHKICKVLCEITTDMKSISFVALLPEVLHDTPIEEG* >Brasy1G565900.1.p pacid=40054534 transcript=Brasy1G565900.1 locus=Brasy1G565900 ID=Brasy1G565900.1.v1.1 annot-version=v1.1 MKSTNVASETDDKILKDELDSVLKETEGVVSVTKPFNPIRNIQEATPTNAAETARNRSIHGTFSDPCNVDDKLADSILKNSMCRELNFDSAESSHMDRNRKAMIEAAPSAPSFDLGIDELFDNIVLQNVAPVPSCLIEAKETEVVEPGTSEANETEVVVISSNEDEVHARFDADDEQLAAQAGNKVVVEMPVAVNAEQQVQRNPSIVEQRSKVQSITPVPVAHQKRVLRAAKAKRTPFTDLENDKQFVVPRATVALYNKLFKHGSNKGCKPKELNDLADCIRPTHELSNSVCEIALQILAKEMAPQKKLVFPLRISIMFPTLQVLSEDRRSKGAAHYFLINLNLKAERFEIMDSLRAEGDVKMMEAVQQLVSAVKFLWLQEYKDSKISILTYPVEYVPAPKQGNLHDCGIFMLKYIESWDGRRMSYFNQGNILNIRMLFMKKWLEWPENRIEWQKILLPS* >Brasy1G396100.1.p pacid=40054535 transcript=Brasy1G396100.1 locus=Brasy1G396100 ID=Brasy1G396100.1.v1.1 annot-version=v1.1 MESGMKRSSETSMKALSSMASEASRNTDPSQQGLRFNSTDQSYFARPGQSIPVFPPFFGPQASSFCLPDGSGAKVGGQFEPNPSLNNPISDWDPQAMLSNLSFLEQKIKQVKDVVQSMSNRGSQVVGGSCELAAKQQLVTADLTCIIIQLISTAGSMLPSMKSPLLSSNPAVRQLSNTLGSPMGFGSIANQRPSINKEQAIPDITKTSDYEELMNTINTTHDEKDDLIKCPNPCVGEGSEPIPMEDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCVICGKGFKRDANLRMHMRGHGDEYKTPAALAKPMKDSSSDHTPVTRYSCPFVGCKRNKEHRKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKATCMSDQPEGSEVMDDMVGSTGYNFPGSASDGIPNLDMKVADDVRGYFSPLSFDPCFGALDDFTRPGFDISENPFSFLPSGPGSCSFGQPSGDS* >Brasy1G506500.1.p pacid=40054536 transcript=Brasy1G506500.1 locus=Brasy1G506500 ID=Brasy1G506500.1.v1.1 annot-version=v1.1 MLMLVWSLLLPPCVAMEADTHIYHQLALGARWRRRAYLSSARAGVHDEIKLYICGIDIIGISAGMRESIRYRIKSKSERLRRFN* >Brasy1G480300.1.p pacid=40054537 transcript=Brasy1G480300.1 locus=Brasy1G480300 ID=Brasy1G480300.1.v1.1 annot-version=v1.1 DGQENSARWSPREAKAVAAHRDSMLLRFRLPTKDFIVLEEFFLYQAAPLTLTRLTPCGHPLINYDSRNNVGILCHEDSREVAVAHLTVSQKGCEAGDECPVTAEVCCRFLRQDNSWSTKCLAVPIIHGEGKGEDLLWWESDAVLPLGDSICWVDYLRGILICDVFSPNPKLRYVPLPVSPYDGSRDPEADDRGSLFEYRSVCVAKDGHVRFVDATTVDRFYDLTEILELPYIRPGFPVVDIKKPHIIYFMLKEKTISSPKTLLVPADMLTQRLGWPIAYALKSSSSDEEIGYRSSCNIWYNQPFLPSEFSRYLDLDMLDRR* >Brasy1G379000.1.p pacid=40054538 transcript=Brasy1G379000.1 locus=Brasy1G379000 ID=Brasy1G379000.1.v1.1 annot-version=v1.1 MASPDAEAPPPTEPERWRDLDILLSRPGNLVHATFQHSPRLRDTIGSFVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRVQDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYNQFQIIVLGLDSIEARSYINSVACGFLEYDSDDKPVQETVKPMVDGGTEGFKGHARVIMPGTTPCFECNIWLFPPQIKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKPFDADNAEHMQWIYSEALQRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEALKLVSGCSKSVSNYLTYNGLVGTHIKVTEFVRDMDCLVCGPGTLIELDTSSTLSEFIKMLEKHPKLLMSKASVTHEGNNLYMQSPEVLEQMTRQNLSIPMFELLKGASFATVHVSGMAESNGKKVSSLRKVRVLFKGVEEASKMDTTESS* >Brasy1G492200.1.p pacid=40054539 transcript=Brasy1G492200.1 locus=Brasy1G492200 ID=Brasy1G492200.1.v1.1 annot-version=v1.1 MVPLLSWHQLKLASYNTRMAQSSFVPDSWVWITSLPPFSQWHSNSMSLCICATPTASALSPPPSVSLSAVKAPPSHQPSYLTFSVSANYREPISLWTSKPLHLKTKTQQSLDEQDMVQLFVDIVNQVLRYGPENNNNKINKQSSFRFPGPAQRLLLQGSFNDAFNIAFLSLAFLVCIYEAPRDLRRGCLDTLRAQLTGSKCRGAAKTLVRMLGANLEEQWMQTMNLAVTNWIVELQRSSRHPLLIAAAAPPMFSYAVQASGLWKVQLYCPVIAMGMEDPAAAAAATQDERLLFSLTYQQLEGVVQLAYRTVRRESWVDVEVKVDNIRCDVESLVSETLMAERGYGSEEKHFPSRVMLQITPMQQTDILSVSLSRSSDNPTHEFGLEKGLEASFEAPNTFGLKASVAESLTLAMKPWKFEQSVHGNTATFSWFLHDGVNGREVYSSRPSKLSLLQPRAWFRDRYSNASRPFTKQGGVVFARDEYGDSVSWKVCGAALGKTMEWDVRGWVWITYWPNKQRTSHSETRWLQFRECLQLPLAN* >Brasy1G213400.1.p pacid=40054540 transcript=Brasy1G213400.1 locus=Brasy1G213400 ID=Brasy1G213400.1.v1.1 annot-version=v1.1 MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAPPQFPWPPVSHKRMPFEIDLPVVPFGAARGHGHGKDFFPTAAVASVIDIGGRLGQAGVEIGTSVGGAVQHAVRQLPVPFRNGQIRRRKLPPPPPSAAVGDGAVGLVVERAADRCPLEAAAAAAAAATGSAAASSASGVAGGDDLDEEEDGYGCEIGTLGNFKKAKGTVNMSATYNTRSHDVESSFVARGDLWRLEASRSGSTSGNDTSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRRWLMMSMMCLNPVACSFMDLQFPNGQLTYVAGEGITASGFVPLFGGLLQAHGKFPGETRMSFSCKNKRGARFTPMFQWPDKSISLGVTQALAWKRSGLMVRPSVQVSVCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQVGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI* >Brasy1G275600.1.p pacid=40054541 transcript=Brasy1G275600.1 locus=Brasy1G275600 ID=Brasy1G275600.1.v1.1 annot-version=v1.1 MASRPSLKPKPKGKGGKKGSSAAEDDQTTAAVAVRLAKEWSTWTMKTVKVAAHWGFIPLIIVVGMNSGDPKPSWTQLLSPV* >Brasy1G436300.1.p pacid=40054542 transcript=Brasy1G436300.1 locus=Brasy1G436300 ID=Brasy1G436300.1.v1.1 annot-version=v1.1 MAIDQSLKTKRGRPAGAGSPAAKSMSKMEQKMALVHQRLALLDSESDKDADVVPIVKLDEELATVVDQQPTITNCDNTNSEEEYVPLRIVRPGRGDSAQKSLPCLSQTHDGHNINTATNKRGRPASSQSAKSNKEQKKTLVKPKIALIDSVSTNSSASDKDDHLVPMVSDVNGENTYASVQGNCGSAMERAREVQVTLPAEHPSFIKNMLQSHVIQGFWLGLPCVFCNKYLPKHDTAIVLEDEDGHNYDTKYLGTKQGLSAGWRGFAIHHDIKVGDVVVFQLVSSTKFKVYILRANNFSTTDGALGLLCLDAGKEKMWKEGSSNLVQSKEDPKLSRVSSNVIHSDSSNLVSESIDGIQFSESDIDFDNVASFSNFNIIVDGLVIDCKFPDHLRKTYYELCCAQKSFLHKNLLKQINLTLAVGVIMETINIAEGIRACKAHASSREDFVVWKKTLESFELLGMNVAFLLKRMAHLLGLPDRSRDLAECEEYKEIKLEQAHAKEKIQDLESKMSNLKDTLKKMDVEMEEMESSAKRRDEMVQRLATAPW* >Brasy1G436300.2.p pacid=40054543 transcript=Brasy1G436300.2 locus=Brasy1G436300 ID=Brasy1G436300.2.v1.1 annot-version=v1.1 MAIDQSLKTKRGRPAGAGSPAAKSMSKMEQKMALVHQRLALLDSESDKDADVVPIVKLDEELATVVDQQPTITNCDNTNSEEEYVPLRIVRPGRGDSAQKSLPCLSQTHDGHNINTATNKRGRPASSQSAKSNKEQKKTLVKPKIALIDSVSTNSSASDKDDHLVPMVSDVNGENTYASVQGNCGSAMERAREVQVTLPAEHPSFIKNMLQSHVIQGFWLGLPCVFCNKYLPKHDTAIVLEDEDGHNYDTKYLGTKQGLSAGWRGFAIHHDIKVGDVVVFQLVSSTKFKVYILRANNFSTTDGALGLLCLDAGKEKMWKEGSSNLVQSKEDPKLSRVSSNVIHSDSSNLVSESIDGIQFSESDIDFDNVASFSNFNIIVDGLVIDCKFPDHLRKTYYELCCAQKSFLHKNLLKQINLTLAVGVIMETINIAEGIRACKAHASSREDFVVWKKTLESFELLGMNVAFLLKRMAHLLGLPDRSRDLAECEEYKEIKLEQAHAKEKIQDLESKMSNLKDTLKKMDVEMEEMESSAKRRDEMVQRLATAPW* >Brasy1G436300.3.p pacid=40054544 transcript=Brasy1G436300.3 locus=Brasy1G436300 ID=Brasy1G436300.3.v1.1 annot-version=v1.1 MSKMEQKMALVHQRLALLDSESDKDADVVPIVKLDEELATVVDQQPTITNCDNTNSEEEYVPLRIVRPGRGDSAQKSLPCLSQTHDGHNINTATNKRGRPASSQSAKSNKEQKKTLVKPKIALIDSVSTNSSASDKDDHLVPMVSDVNGENTYASVQGNCGSAMERAREVQVTLPAEHPSFIKNMLQSHVIQGFWLGLPCVFCNKYLPKHDTAIVLEDEDGHNYDTKYLGTKQGLSAGWRGFAIHHDIKVGDVVVFQLVSSTKFKVYILRANNFSTTDGALGLLCLDAGKEKMWKEGSSNLVQSKEDPKLSRVSSNVIHSDSSNLVSESIDGIQFSESDIDFDNVASFSNFNIIVDGLVIDCKFPDHLRKTYYELCCAQKSFLHKNLLKQINLTLAVGVIMETINIAEGIRACKAHASSREDFVVWKKTLESFELLGMNVAFLLKRMAHLLGLPDRSRDLAECEEYKEIKLEQAHAKEKIQDLESKMSNLKDTLKKMDVEMEEMESSAKRRDEMVQRLATAPW* >Brasy1G025200.1.p pacid=40054545 transcript=Brasy1G025200.1 locus=Brasy1G025200 ID=Brasy1G025200.1.v1.1 annot-version=v1.1 MDPSSSLSLLPRSPPRRLLLPASSTSISTAAWSAAASPSSSAAAVATGVKRDLQAAGGGARGGRIWRGTKAAGGRRRGAGEDRRRGACGLRPAPGARGSRRRGAGGDRRLGARRRRQVAGRGGSRGGGRRRRGGGQAAGGGSRGWGACGHRPAPGTQGSRWRGARGRRPAAGQAGVLPVGKKAGGRRKSCYWVGSDCFQSVSRGEGEDEEQKQHEHGDPDEERRRRRRQRRQDERVAEEHGHQCNQQQHRCRVHDLDRRLLDPVTN* >Brasy1G185500.1.p pacid=40054546 transcript=Brasy1G185500.1 locus=Brasy1G185500 ID=Brasy1G185500.1.v1.1 annot-version=v1.1 MSSLAMNSLLATLAVAVFALFPIAAVGAGLKVGFYSKTCPSAETLVQQAVAAAFKNNGGVAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDAIPNNPSLRGFEVIDAAKKAIEAKCPKIVSCADILAFAARDSIALAGNVTYKVPAGRRDGRISSDQNALNNLPSPLSTASELVGNFTLKNLTAEDMVVLSGAHTIGVSRCSSFTNRLYGFSNTSQVDPTMSSAYAFLLKNICPANSSQFFPNTTMDMDIITPAVLDNKYYVSLINNLGLFTSDQALLTNSTLKASVDEFVKSEKRWKSKFVKSMVKMGNIEVLTGTQGEIRLNCRVINKGSTGLEFNSGINSGEFTEIAAS* >Brasy1G095400.1.p pacid=40054547 transcript=Brasy1G095400.1 locus=Brasy1G095400 ID=Brasy1G095400.1.v1.1 annot-version=v1.1 MAPPSSSSCRRRFLLLLILSVLLLASTSISDGLELGGDSGGLYREILRDETVRRLKELGQISDGEGYLERTFLSPASLRATQVIISWMEDAGLTTWVDQMGNIHGRFEPANSSKEALLIGSHMDTVIDAGMYDGALGIICAISALKVLKVTGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSFEATAAALGQVKYSPESVGSYVEVHMEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVVTLESLCKEPHRFLTYDEECGCFTEESLAGLVCTVGELTTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRIVLQRCDDRLVDCAVDHKHSAAATPCDPELTSRLEIATRSALSTMIAPGGGCSAAERASPVLMSGAGHDAMAMARLTKVGMLFVRCRGGVSHSPEESVLDDDVWAAGLALLRFVDHQNVAAAAEEEL* >Brasy1G023900.1.p pacid=40054548 transcript=Brasy1G023900.1 locus=Brasy1G023900 ID=Brasy1G023900.1.v1.1 annot-version=v1.1 MALFRFPCLCSLFLCLLVAVACVGTGTAQQAPPTCQKTVKGVPGWCAKEFVVALFNGNKPSDQCCILLCCVREWTCGSVLRSFCLPPEKDQCPPPLPRSINKQ* >Brasy1G446100.1.p pacid=40054549 transcript=Brasy1G446100.1 locus=Brasy1G446100 ID=Brasy1G446100.1.v1.1 annot-version=v1.1 MVSSTSILLPLLLLATLHSTPTLCYVNPAAPVAQKDDTKTSAGRTYIVLVEPPRLADQYAHRQWHESFLPSPCADVYGNPCLLHSYTEAFSGFAARLTDVELDMVAKKPGFVRAFPERMLQPMTTHTPEFLGLRTGTGFWSNAGYGKGVIVGLLDTGIYAKHPSFNDHGVPPPPARWKGSCKAERCNNKLIGAVSFVGDDNSDDDEGHGTHTSSTAAGNFVAGASSHAVGVGTAAGIAPAAHIAMYKVCNSLGCTESSVLAGLDKAIKDGVDVLSISLGGGSSFRFDQDPIAMATFKAVSKGIIVVCSAGNNGPTSGSIANDAPWLLTVAAGSVDRSFDAAVHLGNGKSIDGQALNQVVKPSSEPYPLLYSEERRQCDYAGESSIVGKIVVCEFVLGQEFEIHGIISAGAAGVVLFNNKAIDYTTVLADYNSTVVQVTAANGVVLTNYARSTSSSKAALSYNNTVLGIRPAPVVASFSSRGPSRSGPGVLKPDILAPGLNILAAWPPRTDGGSGPFNVLSGTSMSTPHASGVAALIKSVHPGWSPAAIKSAIVTTADVVNSTGGSILDEQHKKASVFATGAGHVNPARAADPGLVYDIDANEYAGYLCWLIGDAGPATIVGNSSLPCKTLPKVSDLQLNYPTITVPLTSTSLIVNRTVTNVGPALSTYTAKIDAPKSLEVRVLPNTLAFSKAGEKKTFSVSVSGNNAKELFLEASLSWVSGKHVVRSPIVAVSGPAPTPPSPGRTSLP* >Brasy1G371900.1.p pacid=40054550 transcript=Brasy1G371900.1 locus=Brasy1G371900 ID=Brasy1G371900.1.v1.1 annot-version=v1.1 MRWFSASRTPLTNIVQYSRLRPRTTSSPTSASSSFPASPDRLHAAAQPPRPPPFVSSLRPPSPWILLPLSSVVTESPSQRWQSSRFRPVAMADSAFSRPTSGTVSRNHDAEKKPRCQSRRPAKEAAMVIPPGSPPPEGTWEPFFSDPI* >Brasy1G395800.1.p pacid=40054551 transcript=Brasy1G395800.1 locus=Brasy1G395800 ID=Brasy1G395800.1.v1.1 annot-version=v1.1 MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGQIKFKTFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDGLLSDDSLAKVPFLVLGNKIDIPIAASEEELRYYLGLSNFTTGKGNVNLSDSNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK* >Brasy1G205900.1.p pacid=40054552 transcript=Brasy1G205900.1 locus=Brasy1G205900 ID=Brasy1G205900.1.v1.1 annot-version=v1.1 MGTALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVGKWLEAISTGAAGSKSLELYGKRMLERDMAAGFYVRHFVKDLGICLSECQTMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLEKKGE* >Brasy1G470100.1.p pacid=40054553 transcript=Brasy1G470100.1 locus=Brasy1G470100 ID=Brasy1G470100.1.v1.1 annot-version=v1.1 MSDTCGNCDCADKNQCVKKGNSYGIVMVDTEKSHFEVQESAENDGKCKCGTSCTCTNCTCGH* >Brasy1G184600.1.p pacid=40054554 transcript=Brasy1G184600.1 locus=Brasy1G184600 ID=Brasy1G184600.1.v1.1 annot-version=v1.1 MTDNGKTKSGSAAYTINLENFSKRLKLFYDHWNKNKSDLWGSSDAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGVLKNAANEAVGADIILHVKGKNGDGIDLMDDILHAVCAQSKSDTPVVGHIAKEAPEGKLLETWAEKLSGEPVQLADVTNGFSELFAVKDATEVICVKKAAYLTSSVMKNFVVPNMEKVIDEERKVSHSSLMDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGSRYSNYCSNVARTFLIDATPTQSKAYETLLKAQEAALAACKPGNQMCAVYQAAVAVFQKNAPELLPNLTKSAGTGMGLEFRESGLNLNPKNDRLIKEGMVFNVCLGLNNVQAETNNEKTKQFSLLLADTALVSDKTVEILTNCSKAVKDVAYSFNEDEEDVPKPKRTKVEPNGLEAVPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGDGRGPARASNELVAYKNVNDVPYSRELVIQVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASTKTKQMRLNDVWIRPPFGGRGRKLTGTLEAHVNGFRYSTSRTDERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQPQFKGLDLEFDIPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFVDDGGWEFLNMEASDSETEETEQSDQGYEPSDAEPESESEEDDSDSASLVESDEDEEEDSEEDSEEEKGKTWDELEREATNADREHGAESDSEEERRRRKVKSFSKSRPSSDRSNLSSISKPRPPERGNGSSSKPRPQPERGSGSKSRPPPGSSKGGPSKKPKFK* >Brasy1G049600.1.p pacid=40054555 transcript=Brasy1G049600.1 locus=Brasy1G049600 ID=Brasy1G049600.1.v1.1 annot-version=v1.1 MARLLVLALLLVLATPRSEALPVVAPVTKDLKTSLYTIPFHDGATLVLDTAGPLVWTTCRPDHIPAALHCTSPTCKLAKAFPFPGCRSSSGSCPPNSHNKCTVYPYNPVTGACAAGDLSHTRFVANTTDGRNPLRQVSVKAIAACVSPKDDKKLLEKLPLGSAGVAGLAGTGLALPAQVAGSQRLAKKFLLCLSRGGVYGPGVAVFGAGGPLYLLRDQPEYTQSLQYTPLAVTKKGSPAYHVSVKSILLENAPVKLPKDALATGGAVLCTRTPYTLLRRDVYRPFAAAFEAALAKQIPWAKKARSPVKQLKLCYDATTLPNGLSGYLVPSVALAMEGGGSWTMTGSSSMVDVRPGTACLAFVEMEGVKEGDGSAPAVLVGGFQMDNFVLQFDLEKKRLGFLRLPVFTQCGHFNFTRSG* >Brasy1G494800.1.p pacid=40054556 transcript=Brasy1G494800.1 locus=Brasy1G494800 ID=Brasy1G494800.1.v1.1 annot-version=v1.1 MEPEYHAQFLLFFLSLLLVFLPHLILACCDLSLIQFHSLDSVRLACIGDHASLMPQSLFCNAYGAPFCPYLLDPINLISGDHDVQPGQTYCKYEDCRFGKEEKRNNKSRVNILRCKGNPRWYSLCPKKIIDGLYF* >Brasy1G088300.1.p pacid=40054557 transcript=Brasy1G088300.1 locus=Brasy1G088300 ID=Brasy1G088300.1.v1.1 annot-version=v1.1 MAKSHTHKAFLLCNYALLAAASSCIFLTLSLRLLPSPCGLLLVFLHALTAVFSAAGCSGSFTGPASWHTAHTAGAALTAIFQGAVALLAFTRTADFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDEEDDDINRTKNWAASSYHV* >Brasy1G145000.1.p pacid=40054558 transcript=Brasy1G145000.1 locus=Brasy1G145000 ID=Brasy1G145000.1.v1.1 annot-version=v1.1 MTGGSDGVQHSTGGDWSAISSSYGGGYCSCSRRCHGDHDSSSLTQPSSSSSSSSSLTCTPHGAAPGRALATWRASARADSSSTLRSISASSSTVCGSGATSSFEAALAAWSSPPSSSSFTVVPAIGATPRSTSSRQSRCSPRNQASQRTPAGTCPAGAPPARELRPPPHDFGEAGLRGDRHRLHRNPFRSQVPPVRQVHVRPWERTPWPGRHRRCVDLAGRSVGAARW* >Brasy1G217500.1.p pacid=40054559 transcript=Brasy1G217500.1 locus=Brasy1G217500 ID=Brasy1G217500.1.v1.1 annot-version=v1.1 MEVVFSAVLGDVVSRFISFFMDRYSQLQTRGTAESLQRLRRVLLRVQATIEEAERRHISNHAMLQQLDTLRHGMYRGYYVLDAFTCRGHGDRDSTTRHEVSNKPFALSRFNPAKRLHLSTQSPKNMVFDGKGLNELRKELDGLEMIVTDMAEFVVFLKSYPPVTHQPCSSYLFSDMYMFGRHTEYERIVNFLLQIAPPGTGNCSVLAIVGPVRVGKTTLVEHACYDERVRSYFSSIVSFSRDDLEGAKANTLQDSGVVKYRNGASHGRLLIILELIGDLENELWRRLCYSLSHIAHGSKVIVTSRSERIMRFGTTQALQLNDLPQEAYWYFFKMIALRNAGPMDRPELSSIAREIAAGLRGSFTNANIIGTLLRGNPDVRFWSKVLKCRKEFIAKHILMFGEHPVDLVRKDRPIYLWRMTGTSKVLIAHRYYEVCSPLQDVPKLTGGSRGGRPGPGRRALVGQGLADGVPVGQGLADGVWSARPWPRVHDVMRGRATPRGKFEYLAWKSQIPPYSSYLVSCSIMSSRHTLVKKKHSRLQTI* >Brasy1G439700.1.p pacid=40054560 transcript=Brasy1G439700.1 locus=Brasy1G439700 ID=Brasy1G439700.1.v1.1 annot-version=v1.1 MAGSEAVERAHELYRGGRHREALELYSAALAAARGHPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDTEHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLDAEEHKEDLPQKENKNEALITKSDQPSTKLIVEKKPPTEPPKVDVPPSLPAKPQGWETIEKPKGHSGLDYSKWDKVEDDDSSEDDEDDDDDDLPQYKFKVRTIGVRPVK* >Brasy1G206900.1.p pacid=40054561 transcript=Brasy1G206900.1 locus=Brasy1G206900 ID=Brasy1G206900.1.v1.1 annot-version=v1.1 MRFSLALACRQPIHPSEVPYTPFLVQNITIPSLTSSAENVANGTSRKIICRTNCSIFKEISTSRRSSGDGSQIALAICHTSFF* >Brasy1G201400.1.p pacid=40054562 transcript=Brasy1G201400.1 locus=Brasy1G201400 ID=Brasy1G201400.1.v1.1 annot-version=v1.1 MAMERVSSSWQLPPLLLLLVAASGARAAPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISKLLGFDDYIPAYAGANNDQLLSGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPAVYSSSRQYTPEQYADVLINQYSQQLTTLYNNGARKVALMGVGQVGCSPNELAQQSDNGVTCVDRINSAIEIFNQKLVDLVDQFNGQPGAHFTYINAYGIFQDILRAPGSHGLTETNKGCCGVGRNNGQVTCLPFQTPCANRDQYLFWDAFHPTEAANILVGRRAYSAALPSDVHPVDLRTLAQL* >Brasy1G093000.1.p pacid=40054563 transcript=Brasy1G093000.1 locus=Brasy1G093000 ID=Brasy1G093000.1.v1.1 annot-version=v1.1 MVQWIHGHGDGDPNHQSRQGHDVTRSNEAAEKKRALSFPASWFLSSDPLGRVEFSYSFTMGPDGGEDAAAVSGVGSAARMVLDESRRLWSIGTPIAIATLSMYAVSSVTTIFVGHLGNLPLAAASIALSVFSTFSLGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLLGAALLMVPFYVFAEPLLLAAGLQDAALAREAAAFALQILPGAFSFAVNFPTAKFLQAQSKVAVLAWIGIAGLGFHVAFSYLLVSLLGWGAPGAAAAYDVSLWVIALGQAAYIVGWCREDGWRGWSMAAFNEMWAFVKLSLESAVMLCLEIWYLGMITVLTGHLQDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVIVVIAESLLIGVLCMALVLIFRDYFAIIYTNDLELQHAVSKIAGLLGLTMVLNSVQPVVSGVAIGGGWQGLVAYINLGCYYVFGLPLGYLLGYKFNYGVGGIWAGMLCGVALQTIILLFIVWRTDWKAEAALASSRVRQWGGTGETKALLEEN* >Brasy1G425400.1.p pacid=40054564 transcript=Brasy1G425400.1 locus=Brasy1G425400 ID=Brasy1G425400.1.v1.1 annot-version=v1.1 MPEADADGPAEVVSPRSGYFRQRSMHAPAPDPDRKAFDVENPPGTTAGSAAAGGGGGLRPSESVAKLESLERAERAALAPAVVLKTAFYILVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAALSKIIMLFQAKGLDAAVDMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFTFRLESPSIKLMGIIVVISIGVLLTVAKETDFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKDPITLMSHVTPVMAIATMVLSLLLDPWSDFRKNAYFDNPWHVMRSFLLMLIGGSLAFFMVLTEYILVSATSAITVTIAGVVKESVTILVAVFYFHDEFTWLKGFGLITIMVGVSLFNWYKYEKFKKGQINEDEVNSPSFNGDAKYIILDDLEYQDEFQDEDT* >Brasy1G536100.1.p pacid=40054565 transcript=Brasy1G536100.1 locus=Brasy1G536100 ID=Brasy1G536100.1.v1.1 annot-version=v1.1 MLQVQNCVRLMASIRWALLLFLVQLRALATSSTHGSGNLTLWCHPNQAAALLQLKQSFFFAASTATILPSWQNGTDCCLWEGVRCDASFGLVTVLDLNGHGLYSHGLDPALFSLKSLRRLDLSMNSLGDYSYNIYWHQKFERLTKLTHLNLSNSGLYGQIPIGISKLVNLVSLDLSSHKVFPDDDDVTTVVDCFNDLWVPDFETLIGNLSNLRELYLDRIQIMSSSGEEWGKVLAKSVPQLQVLSLRGCGLNGHIHRSLASLYSLFSIDLGSNNVPAGPVPEFFTDFLNLSVLRLSDMNLEGWFPQRFFQLKNLRVLDLSSNPNLLGHLPKFSCASSLETLRLEGTNFSYVKPSYSSNVKLLRELTLGGKFLSVEFLSSFGVLGSLCQLKVALMDSQKNLGSILSWIGDLRNLTNLELCRCDFSRTVPSSIANLKALRSLRLFDCNLPRPILSEIGNLVELQNLEISGMDNCKLHGSLTSSIGNLTNLRSLYMKNCEACGSMPAAIGYLKKLRRLEIYNCEFAGVIPSAIGNLTNLKTMVISGRQFSGQIPSAIGQLKELTWLTIQDVRISGRIPSSIVNLTRLVELEVSYTYVSGEIPAFLFTLPALRFLGLRQNQLSGPIEEFDAAASCLVSVELSNNGFTGQFPESFFQLASLVSLRIDWNNFAGSMDLSSFWKLRKLTALDLSHNKLSVMVQEGNYSSSTSLSGLTELGLACCNITKFPSILTHLDIMFYLDLSCNKITGSTPKFIWERWNNSLFHLNLSNNLFTGMQLTSYVLPFNWRLEVLDLSSNRLQGQIPMPQLSAEYLDYSHNNFSSVLPNFTLYLSHTNYLGMFNNSINGHIPNSICNSSLLDVLDLSHNNFSGPIPSCLIDNARQSVLNLRKNHFEGTLPSNITTECTFRTIDLHGNKIKGQLPRALSNCNKLEVLDIGNNRMVDTFPFWLGELSNLYVLVLRSNRFYGPINDFVRDHQSREYFSSLQIFDLASNNFSGNLNSEWFGKLKSMMARLNSTGDIVRGTNLSGMRDFYQYSIEITYKGSDRTFERILTTLTTIDFSNNRLEGIIPESVGQLVSLHVLNMSHNAFTGKIPSQLGGMTNLESLDLSCNQLQGEIPQELTDLTFLGTLNLSGNQLVGKIPQSHQFSTFDSNSFEGNLDLCGLPLSNPCGVSPAPPSEAHVKKSSNVDVILFLFVGLGFGVGFAAAILMRWGRIGKWFVKSARALRT* >Brasy1G536100.2.p pacid=40054566 transcript=Brasy1G536100.2 locus=Brasy1G536100 ID=Brasy1G536100.2.v1.1 annot-version=v1.1 MLQVQNCVRLMASIRWALLLFLVQLRALATSSTHGSGNLTLWCHPNQAAALLQLKQSFFFAASTATILPSWQNGTDCCLWEGVRCDASFGLVTVLDLNGHGLYSHGLDPALFSLKSLRRLDLSMNSLGDYSYNIYWHQKFERLTKLTHLNLSNSGLYGQIPIGISKLVNLVSLDLSSHKVFPDDDDVTTVVDCFNDLWVPDFETLIGNLSNLRELYLDRIQIMSSSGEEWGKVLAKSVPQLQVLSLRGCGLNGHIHRSLASLYSLFSIDLGSNNVPAGPVPEFFTDFLNLSVLRLSDMNLEGWFPQRFFQLKNLRVLDLSSNPNLLGHLPKFSCASSLETLRLEGTNFSYVKPSYSSNVKLLRELTLGGKFLSVEFLSSFGVLGSLCQLKVALMDSQKNLGSILSWIGDLRNLTNLELCRCDFSRTVPSSIANLKALRSLRLFDCNLPRPILSEIGNLVELQNLEISGMDNCKLHGSLTSSIGNLTNLRSLYMKNCEACGSMPAAIGYLKKLRRLEIYNCEFAGVIPSAIGNLTNLKTMVISGRQFSGQIPSAIGQLKELTWLTIQDVRISGRIPSSIVNLTRLVELEVSYTYVSGEIPAFLFTLPALRFLGLRQNQLSGPIEEFDAAASCLVSVELSNNGFTGQFPESFFQLASLVSLRIDWNNFAGSMDLSSFWKLRKLTALDLSHNKLSVMVQEGNYSSSTSLSGLTELGLACCNITKFPSILTHLDIMFYLDLSCNKITGSTPKFIWERWNNSLFHLNLSNNLFTGMQLTSYVLPFNWRLEVLDLSSNRLQGQIPMPQLSAEYLDYSHNNFSSVLPNFTLYLSHTNYLGMFNNSINGHIPNSICNSSLLDVLDLSHNNFSGPIPSCLIDNARQSVLNLRKNHFEGTLPSNITTECTFRTIDLHGNKIKGQLPRALSNCNKLEVLDIGNNRMVDTFPFWLGELSNLYVLVLRSNRFYGPINDFVRDHQSREYFSSLQIFDLASNNFSGNLNSEWFGKLKSMMARLNSTGDIVRGTNLSGWKASFLNQWGNLFRYMY* >Brasy1G446400.1.p pacid=40054567 transcript=Brasy1G446400.1 locus=Brasy1G446400 ID=Brasy1G446400.1.v1.1 annot-version=v1.1 MTSDKGKASKKAGEASGLPSSSHEGKVSNEPQRQRSLNGRTTGPTRRSTKGNWTPEEDDILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEVIVDMVRKYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEIILIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKIDSYTSSGLLAQVSCLPLIEYPVHCNSSPAMTRQNSGESDSNAVRELDDSSACIQSSLAMVSSSQNANVALVCDLRVNEDPSKIEAQDSQSSMCQEACYPSMEGVASASSDVHYHVSSSNFDPDKNLQQEFGQSMNLQMDIDETPSTSLFADNQTICSTVSNERSLVPYDIASDMPISMLPSVSGAEQNLHFMSEADFGSPNCLKSELWQDVSLQSLLSGPDLVDADSFSTVNHLSAAYSSKGDTNVLSPPNPLHTSSMMETAYVQGPLMSVPQSLVCSNGLPDAPDDRSEPRDMPVSQSEAVIHRHDSFGDLEHPANPSSSDGRHGASAIIEGLPEYGDRQLPDAEEPATSIAKEPSLPQSETAPDENQVKGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMDVTTPLRLWGSPSQDESPGVLLKSAAKSFTRTPSILKKRPRDFLSPTPDKRIKKCGTEKDCGLLGTSSISAETCCMNATKDEAIVTESVFCTEPSASFRPLEKKLEFSDEKEENLGETSEQKKDGRNAGTNHPTDEQARVEQCSTTNMVNNNDDPPENLQPAGFLEHKGNDLPDHGSNAMDRKINTNPEVSSACKERACAKSKSTELIAEKSSPCINTDYGYVNILADTPGVKRGLESPSAWKSPWFTNMQYKGSYFVSPADITYDALGLMKRINVQSAAALADAREVLSSGNQRDNSKENKENIDVEKETGTCKSQAKIMAEARVLDFNECATPVRTAGNSVGSSLARSLSSPIPSSHHVKHFR* >Brasy1G341400.1.p pacid=40054568 transcript=Brasy1G341400.1 locus=Brasy1G341400 ID=Brasy1G341400.1.v1.1 annot-version=v1.1 MPGILSKSLTYHIQELKMLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQVGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.5.p pacid=40054569 transcript=Brasy1G341400.5 locus=Brasy1G341400 ID=Brasy1G341400.5.v1.1 annot-version=v1.1 MPGILSKSLTYHIQELKMLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.6.p pacid=40054570 transcript=Brasy1G341400.6 locus=Brasy1G341400 ID=Brasy1G341400.6.v1.1 annot-version=v1.1 MLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQVGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.7.p pacid=40054571 transcript=Brasy1G341400.7 locus=Brasy1G341400 ID=Brasy1G341400.7.v1.1 annot-version=v1.1 MLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.8.p pacid=40054572 transcript=Brasy1G341400.8 locus=Brasy1G341400 ID=Brasy1G341400.8.v1.1 annot-version=v1.1 MLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.2.p pacid=40054573 transcript=Brasy1G341400.2 locus=Brasy1G341400 ID=Brasy1G341400.2.v1.1 annot-version=v1.1 MLWRTIRSMDLMVHSSSFLLPKIQQPAKTPANNYTLVVLNQHLPRFMPRLWAHAKLRICADGGANRIFDEMPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.3.p pacid=40054574 transcript=Brasy1G341400.3 locus=Brasy1G341400 ID=Brasy1G341400.3.v1.1 annot-version=v1.1 MPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQVGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G341400.4.p pacid=40054575 transcript=Brasy1G341400.4 locus=Brasy1G341400 ID=Brasy1G341400.4.v1.1 annot-version=v1.1 MPQMTNDQDCESTRNRYIPEIIEGDMDSVRPEVKRFYSNQGSTISDKSHNQETTDLHKCISSIRHRTPSHEKSDLCVLVTGALGGRFDHEAANINVLYVFSDMRIVFLSDDCLIQLLPKTHHHEINIESSVEGPHCGLFPIGAPSTSTTTTGLKWNLSEAKMRFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT* >Brasy1G508400.1.p pacid=40054576 transcript=Brasy1G508400.1 locus=Brasy1G508400 ID=Brasy1G508400.1.v1.1 annot-version=v1.1 MSISKKNTSAVGLAALMAIAAVLISLQVIGTDAAADLSGVKVKCWLNDMRDCTTQGCIQLCSTPSRPVTQAYCLDSDTCCCPMP* >Brasy1G216000.1.p pacid=40054577 transcript=Brasy1G216000.1 locus=Brasy1G216000 ID=Brasy1G216000.1.v1.1 annot-version=v1.1 MPPVRGERTREGVPGGKGAAEGTRQRCTSRPASCSARPSQASAAARGQGTARGARAARGAGGGGTRPGRGQRHARAAARDYGVRLYWTRARARARGGAGARMATGGRRRAARGASLRRRAEEEDDLTGGPRLSERE* >Brasy1G410400.1.p pacid=40054578 transcript=Brasy1G410400.1 locus=Brasy1G410400 ID=Brasy1G410400.1.v1.1 annot-version=v1.1 MARLGRASCRSGRGLLEDAPRELLLNFLVVILALEFINEIDLITQTSALKADAPSISPSQDWRPVRSMVSQAKAGISIATHQHRHKKLYSSPVALSSVHSPISALSYSSSSGASELSFYSSDLPDLSVQHNRRSAADVPANVDAPPPDAASNTSAAPSGLVQPPVSPHNGCCASNMVQRRGSQDCHCVYPVRVELFLRNVSFNSNWSNKFLEELAAQLNLRVSQFEIVNFYVVGASGLNITMNIAPHTGNSFPADQVTAMNYSLSLHKGQINPVLVGDYSLLNLTWFRSLAPAPAPGFMISPKASPSTSSTLKSPSVDTSNGTGRHSSLITVICICIGALIGVLVIVLFICFCTFRKGKKKVPPVETPKQRTPDAVSAVESLPRPTSTRFLAYEELKEATNNFEASSVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSAEFQESIPTPPARPNIRQAMATYESDGTSSMFSSGPFSGLSPFETENISRTAIFSEDLHEGR* >Brasy1G410400.3.p pacid=40054579 transcript=Brasy1G410400.3 locus=Brasy1G410400 ID=Brasy1G410400.3.v1.1 annot-version=v1.1 MVSQAKAGISIATHQHRHKKLYSSPVALSSVHSPISALSYSSSSGASELSFYSSDLPDLSVQHNRRSAADVPANVDAPPPDAASNTSAAPSGLVQPPVSPHNGCCASNMVQRRGSQDCHCVYPVRVELFLRNVSFNSNWSNKFLEELAAQLNLRVSQFEIVNFYVVGASGLNITMNIAPHTGNSFPADQVTAMNYSLSLHKGQINPVLVGDYSLLNLTWFRSLAPAPAPGFMISPKASPSTSSTLKSPSVDTSNGTGRHSSLITVICICIGALIGVLVIVLFICFCTFRKGKKKVPPVETPKQRTPDAVSAVESLPRPTSTRFLAYEELKEATNNFEASSVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSAEFQESIPTPPARPNIRQAMATYESDGTSSMFSSGPFSGLSPFETENISRTAIFSEDLHEGR* >Brasy1G410400.2.p pacid=40054580 transcript=Brasy1G410400.2 locus=Brasy1G410400 ID=Brasy1G410400.2.v1.1 annot-version=v1.1 MARLGRASCRSGRGLLEDAPRELLLNFLVVILALEFINEIDLITQTSALKADAPSISPSQDWRPVRSMVSQAKAGISIATHQHRHKKLYSSPVALSSVHSPISALSYSSSSGASELSFYSSDLPDLSVQHNRRSAADVPANVDAPPPDAASNTSAAPSGLVQPPVSPHNGCCASNMVQRRGSQDCHCVYPVRVELFLRNVSFNSNWSNKFLEELAAQLNLRVSQFEIVNFYVVGASGLNITMNIAPHTGNSFPADQVTAMNYSLSLHKGQINPVLVGDYSLLNLTWFRSLAPAPAKQRTPDAVSAVESLPRPTSTRFLAYEELKEATNNFEASSVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSAEFQESIPTPPARPNIRQAMATYESDGTSSMFSSGPFSGLSPFETENISRTAIFSEDLHEGR* >Brasy1G410400.4.p pacid=40054581 transcript=Brasy1G410400.4 locus=Brasy1G410400 ID=Brasy1G410400.4.v1.1 annot-version=v1.1 MVSQAKAGISIATHQHRHKKLYSSPVALSSVHSPISALSYSSSSGASELSFYSSDLPDLSVQHNRRSAADVPANVDAPPPDAASNTSAAPSGLVQPPVSPHNGCCASNMVQRRGSQDCHCVYPVRVELFLRNVSFNSNWSNKFLEELAAQLNLRVSQFEIVNFYVVGASGLNITMNIAPHTGNSFPADQVTAMNYSLSLHKGQINPVLVGDYSLLNLTWFRSLAPAPAKQRTPDAVSAVESLPRPTSTRFLAYEELKEATNNFEASSVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADPRLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSAEFQESIPTPPARPNIRQAMATYESDGTSSMFSSGPFSGLSPFETENISRTAIFSEDLHEGR* >Brasy1G008300.1.p pacid=40054582 transcript=Brasy1G008300.1 locus=Brasy1G008300 ID=Brasy1G008300.1.v1.1 annot-version=v1.1 MPDPAEPYSEKGRAGGEEDEPSSGQARRRQVRRRRRLDGARIRRRGVQGDRIRRRSGFRSPDPKAEAAAAAPPPGAARCSFSTLVPLGCRCCCWCGGRERWGRDGLGWRKRRMGTGEREKRAEGGGGEGEGENRERGRRIGGEVFIPPCQMLGRPS* >Brasy1G220000.1.p pacid=40054583 transcript=Brasy1G220000.1 locus=Brasy1G220000 ID=Brasy1G220000.1.v1.1 annot-version=v1.1 MARTAASDDDDDGPPTYTMARGNRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEAVFAGLIQSLKNLRALLFQPRHGAWRCSDPSMYLTPFLDVVQSEEVPPAATGVALSSVLKILRIDVFDECSPGARDAIQAILTAVTNCRVERIADSGAEEAVLLRVLQVLAALLRARAAPLLADSAVCTAVNTCFQVVQHAASSRGSELLQRTARHCMHEILQAVFSRLPDIRDDAADDDAAVTSGAGFGSRCMVDVFNFLCSLLLNATDMVMTPEGHGAFTSEEDVQLFALVLLNSAVELGGEAIGKHPKLLRLIQDDLFYHLIHFATECSPLVLSMICSTVLNLYNFLRRFLKLQLEAFFMFVILRVGSGASGLQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPLLRNVYEEVGKLLCKAAYPLSNPMTTVQLQAFEGLVNMITTIADNVEVDKAPDQAAYNVEISEYRLFWLERWEAGEDHGHETWVDFVRKRKLKKKKVAIAANHYNRDEKKGVEFLKLCYLVPTPPEPKSMAYFLRYSPGLDKVKIGEYLGDPDEFNLKVLKEFTETFDFTGSILDTALRTYLETFRLPGESQKIQRVLEHFSERFFDQQTAGVFATKDAAFILCYSVIMLNTDLHNPQVKKKMSEDDFIRNNRAINSGKDLPREYLSELFHSIASNAITMFSQSVTSIEMTTSRWGDLVNRSRGVEPFTPCDFKHKLSREVFIAVSGPAVSTLAAIFDYTDDEETLNQCVEGLISVARIARYRLDDVLDELLCCLCKFTTLLNPYSTTEETLFTFSNELKPRMSTLALFTIANRFGESVRGAWKNIVDCLLKLKRLKLLPQSVIEADGSVPSNSDRLSHRPKSELGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDNGPESLLSVGSEFENNLKIIQQCRIGSIFTDSGKLPDESLQNLGRALIFAAGGKGQKFSTPIEEEETVGFCWDLILLVSSANLHRFSSLWPHMHDCFMAVSQLPLFSPCPFAEKAIVALFKIAVKLLPGQPNPDRLAEELVFKSINLMWKLDKEILDTCCEGISECIVKLIMDHAGSVQTPIGWKTLLHLLSVTGRHPETFDQSVATLIKLMSDGAHINRFNYAACIEAAFGFAALKISPLEISTKILELMAESVNWLIQWNKSGYSDPGSSNSASWAEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVADLSRGFAIAAAGDLDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGPGFRTFWLGVLRRMDTCMKSDLAAGGGTGVMQELVPRMLKRMILEMKDKEVLVQRDGDELWEITHIQIQWIAPAVKEELFPE* >Brasy1G297400.1.p pacid=40054584 transcript=Brasy1G297400.1 locus=Brasy1G297400 ID=Brasy1G297400.1.v1.1 annot-version=v1.1 MASKIAILAAFLVTLLLLSRDGTGVDAAGRHLEKKTAADPPVEAEYYYYPPAPRDLPRPDDLPAPGLPKPELPPLLPKPDFLPKPELPPLFPRPHLLPPVFPRPHLFPPLFPRPHQLPPLPPLPIGELPPKPEPELPPLPIGELPPKPELPPKTELPPKPELPPLPPIGEFPPKTALRPLRKVELPRAEAREAGRS* >Brasy1G440700.1.p pacid=40054585 transcript=Brasy1G440700.1 locus=Brasy1G440700 ID=Brasy1G440700.1.v1.1 annot-version=v1.1 MQAQHLRTSTLGDQLCADPSRDLFSSGFRHYDEGSSGESGFSTFALENCVDIYIAQIRQEIANSLQSCAKSGLFSPP* >Brasy1G202900.1.p pacid=40054586 transcript=Brasy1G202900.1 locus=Brasy1G202900 ID=Brasy1G202900.1.v1.1 annot-version=v1.1 MNPDKFTHKTNEALAAAHEMALDAGHAQLTPLHLAAALAADRSGILRQAIAHASGGNDSAAESFERVAAAALKRLPSQFPPPDTVPPSTSLVKAIRRAQSAQKSRGDSHLAVDQLLIGLLEDSQISDALKEAGVSAARVKAEVDKLRGGDNRRVDSASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVASRSALCAGTWRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVTDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLIEVRKELDDSRDKLHPLQMKYRKEKERIDEIRNLKQRREELQFTLQEAERRMDLARVADLRYGALQEIDVAIAKLEGETGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKERLVGLDDRLHQRVVGQHDAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMMGNSMKVARDMVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARFQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPVRRWIEKRIVTELSKMLIREEIDENSTVYIDAAPGKEELTYRVDKNGGLVNARTGQKSDILIQVPSGAIGNDAAHAVKKMKIMQDGGDVDDMEEE* >Brasy1G563000.1.p pacid=40054587 transcript=Brasy1G563000.1 locus=Brasy1G563000 ID=Brasy1G563000.1.v1.1 annot-version=v1.1 MASRHATTREPHLRTMYDDEPSMSLELFGYHGVVVDGDDDGDTATDLPQLTFVDNFKGGCGSADYYGWAYSASGGASGACSSSSSSVLSFEQAGGAGHQLAYNAGTGDDDCTLWMDGMADQHDTAKFGFMDPGMSDVSLEIQESSVKPPAKMAQKRACPGGETQAAAKKQCGGGKKNKAKAAPTKDPQSAVAKVRRERISERLKVLQDLVPNGTKVDMVTMLEKAITYVKFLQLQVKVLATDDFWPVQGGKAPELSQVKDALDAILSSQNQS* >Brasy1G499000.1.p pacid=40054588 transcript=Brasy1G499000.1 locus=Brasy1G499000 ID=Brasy1G499000.1.v1.1 annot-version=v1.1 MEEHPYLELTGPSRAVVSCTDPGRIEVVLKVKGATEPEDRVLSFLSLPLQSRGYCSYSTDTNKRSTLELAFRHIDSSVEATINVRLLGGSSWPEGFQGVFTAGTASIDDAEVVLLAFGDDKLPIVVDDDGMIKLSRRVVSVERRDGELKVSVVAFCGKEAHVATRDDIVFKSNCAGRSCGVLNVGTCKMQVTIAWSLFH* >Brasy1G340600.1.p pacid=40054589 transcript=Brasy1G340600.1 locus=Brasy1G340600 ID=Brasy1G340600.1.v1.1 annot-version=v1.1 MRLVGLTGGIASGKSTVSSHFKSAGIPVVDADILAREVVRKGTVGWKKVVEAFGNDILLKNGKIDRAGLRKIVFSDPVKLQLLNRLLAPHITCGIFWEIAKLWLKGFKVIILDIPLLFEAKMDQWTDPVIVVWVDPKTQIERLMSRDGCNEEEARNRIDAQLALDWKKSEACMVIDNSGSLDDTKEQLQEVLKQVSGDLTWKERLRSRDGLVSIVLCTTVGVLLAHKNLLG* >Brasy1G340600.2.p pacid=40054590 transcript=Brasy1G340600.2 locus=Brasy1G340600 ID=Brasy1G340600.2.v1.1 annot-version=v1.1 MRLVGLTGGIASGKSTVSSHFKSAGIPVVDADILAREVVRKGTVGWKKVVEAFGNDILLKNGKIDRAGLRKIVFSDPVKLQLLNRLLAPHITCGIFWEIAKLWLKGFKVIILDIPLLFEAKMDQWTDPVIVVWVDPKTQIERLMSRDGCNEEEARNRIDAQLALDWKKSEACMVIDNSGSLDDTKEQLQEVLKQVSGDLTWKERLRSRDGLVSIVLCTTVGVLLAHKNLLG* >Brasy1G318800.1.p pacid=40054591 transcript=Brasy1G318800.1 locus=Brasy1G318800 ID=Brasy1G318800.1.v1.1 annot-version=v1.1 MPPRRTTTPAGVVIAGTGKRQSGFSPGHHSSLQRGEETRDRRVPGPGGRSRTPPTQPQSHRGSRTPKITGRLHRRPPHRRDRATTPAARQTGTGPENPDLPTPPPDPLAPPRERPRLRRPQHRLCLAASSGGGEGREGVRRGTRRRPGWNRPSRPGSPSI* >Brasy1G248800.1.p pacid=40054592 transcript=Brasy1G248800.1 locus=Brasy1G248800 ID=Brasy1G248800.1.v1.1 annot-version=v1.1 MASNDDPPSQQGTGGGLGEQQQQSAAALAFDAPTPTPPQPQPLREDYVQNAVKFLSHPKVKGSPVVYRRSFLEKKGLTTDEIDEAFRRVPDPQPTTASPSTQTQSPAAPVQPYASSSVQPAAGPITLATAQPRFSWYRAFLAAGLLLGFGASAAVFIKKSLIPRLKSWIRKVVAEGDETTELKSKVDDETKEAVKASASAVSAIAKTNQELLASKDEEKKILATLTQALDSQAKVLKSLSESLSHNRDSINITREDRFSHYRPLEEPVPSATRNGSVNSPWRTPQQPNMYGVPNSDFGSGRPSFAPASSEPMPGSFSRSYVEQTVQRGDRSSGSKPWEMQQYSQTSLGYGSNSHLNEDGSYSEAQENYGPSYHQNGKAPDFQAEEPRPLAYSSGVEERPPPQRRWVPPQPPGVAMPEAAAAIRQPKSLAKQPSSETSEVAGEVQVNGAPSSSSVATELPANGGAASDAGRSEIEEQGEAI* >Brasy1G317700.1.p pacid=40054593 transcript=Brasy1G317700.1 locus=Brasy1G317700 ID=Brasy1G317700.1.v1.1 annot-version=v1.1 MAKSKDASPVSERRRTRDRCHRSLQSHPTRSDSLRLMEAADESSVFTRSDAAGVHVPDSRSAEEQAFRERHGDPMASSPDSPFTATAIRAAAHPVSISLPASPTRFVNDVARTDAEFPTRLPHAVSMAADYSAASRMPMPMPPRPRPPPMAMMMSAMPPAAFEQAEAEKMMFRSQPIPAAASNGGGDSNSQSQQLVHDDSSRGSVRMARTKARGSGSGSGRDTSYDSFKTWSGKLEKQITTHLRGVGVVRQQGEGGGEPEEDERGAAATSSSGRPAYSSSSMPRVQRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGMCLGMSSQAILWKNIAISASTRFLHITLRTNLVLWCVSVALMCFVSALYACKVAFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPEIVVESLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSVVGNFVGALLGAIMGLREGPIFFFAVGLAHYTVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGQFGYGSRIAYFIAMFLYASLAVRINMFRGFRFSLAWWAYTFPMTSAAIAAIRYSSEVKNAFTQTMCIALTVVATLTVTALFLTTLLHAAVHRDLFPNDIAIAITDRRPRASTIAKQLQRGHGAKAAAEACLVDLEAAAS* >Brasy1G366700.1.p pacid=40054594 transcript=Brasy1G366700.1 locus=Brasy1G366700 ID=Brasy1G366700.1.v1.1 annot-version=v1.1 MATVGGGKLCLQCNAALSPPELHGGDHDELPAGPGAWLRWQTPPLPSLPPPDLPLTSALCHLHASLPWAPATPPPCAADPAPSPLSPPSPELSPSQPPSSCTSEPAAFLLLLPSRPPSSSPPADATPPPCAMEGARSGRRRARRPRIRPPSGLPPQLLPPPVSLRSDPASLEPVSTGSGRLSLSLGYFFNSISLFLAFSHGRGDGEPAAAVVGDEEGGTAAPMHKM* >Brasy1G150600.1.p pacid=40054595 transcript=Brasy1G150600.1 locus=Brasy1G150600 ID=Brasy1G150600.1.v1.1 annot-version=v1.1 MAAEPNQKKVVVNFQSVANAPKLRQSKFKIGGNEKFAKVIEFLRRQIHQDTVFLYVNSAFSPNPDELINDLYSNFGIDGQLVVNYASSMAWG* >Brasy1G572200.1.p pacid=40054596 transcript=Brasy1G572200.1 locus=Brasy1G572200 ID=Brasy1G572200.1.v1.1 annot-version=v1.1 MPPLRGNIFIKTNEGYIVGLDLAATRLFILELPPGVLYRLHLSYAEDSGGMYLVNSHGFQLSVWLLGGGAIDSGGGGGGGSRWVLVDTFDVREGCDRLVDDNWVPKDGDRLEVIGIGDNAEYVFLNHVASGGIIYVHLRRRMVEKVFQVTRDVASWPYVIHVSPFIDGLAAHFPAINGAT* >Brasy1G095900.1.p pacid=40054597 transcript=Brasy1G095900.1 locus=Brasy1G095900 ID=Brasy1G095900.1.v1.1 annot-version=v1.1 MDTRALSLKAGGPFVAPRRSPPPTWAAPLAASEIDSPSLAVGPRRCRRWPKLAVSASGNKSKNSRDGNDEPKNRASSSGKGDASSPSGDDSNPISQNHGEPKSNDTMYVPSNLSYWRDVRASFVIPKSEQTVDVNTLPQTSSDGPVHCLPRKWAHSISAPESGCVLVATEELDGNGTFERTVILLLKLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRDQATPFSDCSLFFGGPVDMSIFLMRTNEGRPIKGFEEVAPGVCFGFRTDLQKAGHLMKNGAVNPEDLKFYVGYSAWDHDQLLTEIDAGYWVVTSCSSGLITDALTTDPSCLWSEILQLMGGQYSELSQKPKEDTSS* >Brasy1G095900.2.p pacid=40054598 transcript=Brasy1G095900.2 locus=Brasy1G095900 ID=Brasy1G095900.2.v1.1 annot-version=v1.1 MVELETCDVSIRGKGDASSPSGDDSNPISQNHGEPKSNDTMYVPSNLSYWRDVRASFVIPKSEQTVDVNTLPQTSSDGPVHCLPRKWAHSISAPESGCVLVATEELDGNGTFERTVILLLKLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRDQATPFSDCSLFFGGPVDMSIFLMRTNEGRPIKGFEEVAPGVCFGFRTDLQKAGHLMKNGAVNPEDLKFYVGYSAWDHDQLLTEIDAGYWVVTSCSSGLITDALTTDPSCLWSEILQLMGGQYSELSQKPKEDTSS* >Brasy1G556900.1.p pacid=40054599 transcript=Brasy1G556900.1 locus=Brasy1G556900 ID=Brasy1G556900.1.v1.1 annot-version=v1.1 MKIDFLEGRARPADSNQASKLTSKCGIHTRNKMHVATHWKDYKEPVLAHIIPNAIKSVAKKFEMDTKDEVAKDVCTKIFQSAVRQQHYRLKRDCFKGRTVEEALSKRPRHVPQENWEDLVNKWSDERNQEISAKNKQNREAVKRQPKTGSRCYIAHFHQLKKDKYNNEDPSPIDFFKDTHIDKKTGRMSKEAQIAYTAMKNKRKEAQSEGGHLVSDAHIVAEVLKEHTASSTFLSSMGLQSRPGSSKPSASALRIQELEERVQQQDMEAREANEMYQQELNKKVEAQEYALQEMQRKQQEELEAVKKSQQEREEAWAKKQAETDALLSFLLRKHGA* >Brasy1G193200.1.p pacid=40054600 transcript=Brasy1G193200.1 locus=Brasy1G193200 ID=Brasy1G193200.1.v1.1 annot-version=v1.1 MESDSDRDASLLSPAPNPSHQPFLRAAKSAAFKREERRKRKERKRQDRLALALAQWEPLGAPPPRPAAAASPSRTPAEDKPWPCDPPPPPDTAPSAAWGWGWGPPAETPPQQPPLPGPAATCPQAAAVRACRALFGEHAADDDDDEEEEEEEEEGDDGNNLTRFFEELLEKDVALRGFYEAERETGRFLCLVCEGVGARAGKRFGGCAALVQHARSVARRTKRRLAHRSFADAVGRLLGWGAGRTTPFPADSDDHGACDQSTGMEMA* >Brasy1G072200.1.p pacid=40054601 transcript=Brasy1G072200.1 locus=Brasy1G072200 ID=Brasy1G072200.1.v1.1 annot-version=v1.1 MDFGGGIDDQSEMWGWQSQEFDLQKDLLPAPSSSLWAEANPSVDDDWSMFDEQTPIKQCTDIDFQFCDIGDVIAKDFDEGKETLQAKRRRMLQFCPESVEMECPLADGLSENLQVNLDFSSDEVLLNCDGTDELPDEWLVDFSQDSEPGCLPEEEANSPTSTTAVVKANISALRDSLAQEQSIAIEKKPLQGRPTPLRAGKNIIRARKVKTSVAYPFELIKPCGFHGGVTLREINQKIHAPPPHKIRHKSDEGPASYQASAISGKPVVHQTKIHTEGRKGTITVTRTMG* >Brasy1G072200.2.p pacid=40054602 transcript=Brasy1G072200.2 locus=Brasy1G072200 ID=Brasy1G072200.2.v1.1 annot-version=v1.1 MDFGGGIDDQSEMWGWQSQEFDLQKDLLPAPSSSLWAEANPSVDDDWSMFDEQTPIKQCTDIDFQFCDIGDVIAKDFDEGKETLQAKRRRMLQFCPESVEMECPLADGLSENLQVNLDFSSDEVLLNCDGTDELPDEWLVDFSQDSEPGCLPEEEAPTSTTAVVKANISALRDSLAQEQSIAIEKKPLQGRPTPLRAGKNIIRARKVKTSVAYPFELIKPCGFHGGVTLREINQKIHAPPPHKIRHKSDEGPASYQASAISGKPVVHQTKIHTEGRKGTITVTRTMG* >Brasy1G072200.3.p pacid=40054603 transcript=Brasy1G072200.3 locus=Brasy1G072200 ID=Brasy1G072200.3.v1.1 annot-version=v1.1 MWGWQSQEFDLQKDLLPAPSSSLWAEANPSVDDDWSMFDEQTPIKQCTDIDFQFCDIGDVIAKDFDEGKETLQAKRRRMLQFCPESVEMECPLADGLSENLQVNLDFSSDEVLLNCDGTDELPDEWLVDFSQDSEPGCLPEEEANSPTSTTAVVKANISALRDSLAQEQSIAIEKKPLQGRPTPLRAGKNIIRARKVKTSVAYPFELIKPCGFHGGVTLREINQKIHAPPPHKIRHKSDEGPASYQASAISGKPVVHQTKIHTEGRKGTITVTRTMG* >Brasy1G339900.1.p pacid=40054604 transcript=Brasy1G339900.1 locus=Brasy1G339900 ID=Brasy1G339900.1.v1.1 annot-version=v1.1 MTEILKKALLFTAMATLSPPLVHPQVPSCRLGPRRWHASFERIRCQFRHAGRLQASYKGLEAMYDDGYGTVKDLDYYYQALGELVEHDSGPPRWFCPVDASLSVEDAPLMLYLPGVDGMGMGLCMHHKALGRIFELRCLHIPFHDRTPFEELVAMVEDVVRAEHSTSPNKPIYLLGNSFGGCLALAVAARNPRIDLILVLVNPATSFEKSDIKQLLSIFSPFSDHACIAITALLNYNIDNEVNIALSRMKSGKHPLEALGRLTNNVSSSLKHTNILDKLPEDTLRWKMELIKTAASYANYRLHFVTADVLLLASGADRLLPSKAEADRLQKILPKCKVFFFQNHGHSLLLEHGVHVSSIIKCADLYRHSRQYQRVLDFIPPSTTELNEVDKASSDLTFRTCPTMFSTMEDGTVVRGLGGVPADGPVLLVGNHMLLGIELISLAAEFLRQKKAVVRGIAHPLLFPKKERTSSEGHDFFDFLKLWGGVPMTYKHIYELLAAGEFVLMYPGGYREALHCKGEEHMIFWPDETGFVRMAAQLNATIVPFGVVGEDDLLNILCTFDDIRNAPFGKEIMRAYSSHLKLRDAAHEVIFPGVLLKIPGRFYYRFGKPIPTKGRQDVLTDRQAANDLYIHIRSEVESIISYLLEKRVEDKYRHIVPRLWYKATRGPNAEVPTFDP* >Brasy1G372500.1.p pacid=40054605 transcript=Brasy1G372500.1 locus=Brasy1G372500 ID=Brasy1G372500.1.v1.1 annot-version=v1.1 MEPLLQAYTARSRLPAAGDLAELSVIEGQIAWMVHIIAAIVKIRQNVGRIKDSQELLDAELAARVLQFINITDTGVHSQRYQELSKQRLDRAILIFMQNFRRSYVGDQSMNSAKLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSFFLELASGYMTGKLLLKLESTKFIIANHSPENFPFLDEYRCVRSRTNFYYILGSLVFMEDGPVKFWSFMKPLMQVAINLDASADAAFRTDIVKYAFTGLMRDLRGIAMATNSRRTYGLLFDCLYPSCMPLLLRAISLLADEPEVTTPLLKFISEFVLNKSQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTDIYASKYKGIWISLTVLSRVIKSILWIYGSSVQQQYHNPLCSQFGYKHICAYCQLT* >Brasy1G372500.2.p pacid=40054606 transcript=Brasy1G372500.2 locus=Brasy1G372500 ID=Brasy1G372500.2.v1.1 annot-version=v1.1 MEPLLQAYTARSRLPAAGDLAELSVIEGQIAWMVHIIAAIVKIRQNVGRIKDSQELLDAELAARVLQFINITDTGVHSQRYQELSKQRLDRAILIFMQNFRRSYVGDQSMNSAKQLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSFFLELASGYMTGKLLLKLESTKFIIANHSPENFPFLDEYRCVRSRTNFYYILGSLVFMEDGPVKFWSFMKPLMQVAINLDASADAAFRTDIVKYAFTGLMRDLRGIAMATNSRRTYGLLFDCLYPSCMPLLLRAISLLADEPEVTTPLLKFISEFVLNKSQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTDIYASKYKGIWISLTVLSRGEYINFVWNMLFLNG* >Brasy1G372500.3.p pacid=40054607 transcript=Brasy1G372500.3 locus=Brasy1G372500 ID=Brasy1G372500.3.v1.1 annot-version=v1.1 MEPLLQAYTARSRLPAAGDLAELSVIEGQIAWMVHIIAAIVKIRQNVGRIKDSQELLDAELAARVLQFINITDTGVHSQRYQELSKQRLDRAILIFMQNFRRSYVGDQSMNSAKLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSFFLELASGYMTGKLLLKLESTKFIIANHSPENFPFLDEYRCVRSRTNFYYILGSLVFMEDGPVKFWSFMKPLMQVAINLDASADAAFRTDIVKYAFTGLMRDLRGIAMATNSRRTYGLLFDCLYPSCMPLLLRAISLLADEPEVTTPLLKFISEFVLNKSQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTDIYASKYKGIWISLTVLSRGEYINFVWNMLFLNG* >Brasy1G131200.1.p pacid=40054608 transcript=Brasy1G131200.1 locus=Brasy1G131200 ID=Brasy1G131200.1.v1.1 annot-version=v1.1 MQPQNYAHILTGACIALTLLLTIANAATGRRPAAHGPPPASHGSGQTITLYTTGAAPPNAGGAPSSKHPIFTSHGPIGHSGSFLRALTRQGALRPGTVTVVDEQLHGKRESGLPLSGKLQGVLVAALDGNSSTSGSRMVAVKAVFAGDGAEDSIRFFGVLHDGLEESHVAVVGGTGRYGGAAGFAVVRTADVPETGGNVSLSRALSFSVHLK* >Brasy1G248900.1.p pacid=40054609 transcript=Brasy1G248900.1 locus=Brasy1G248900 ID=Brasy1G248900.1.v1.1 annot-version=v1.1 MAMRGVDFKWYDGFFLSMLATSVIIVSINWRRYRLCAHPLHIWIVVDYTTVFVFRLLMFLDNGLAAGMGLDLGWQQRYTRFCGRILVLSLLILLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLNRRLALQLRAQQGIPVSEYGVLLDMIRVPDWAFEAVGLELRGMGQDTAYHPGLYLTTAQREAVEALIQELPKFMLKAVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNGLRSSSEPDHASSGMGGSSEVTGTTTAVASRYVRAQQPPGQRCLVQLQGRLVVVGGDPESGLRQTGMPVSSLSGPEVVPSSSRVVVAADELLPDR* >Brasy1G107300.1.p pacid=40054610 transcript=Brasy1G107300.1 locus=Brasy1G107300 ID=Brasy1G107300.1.v1.1 annot-version=v1.1 MERRHHHHGPAPVPRRKVAPAASQEWLVVPAAAGAEERRGEFGRHRIMEMTGLPARDLRVLDPLLAYPSTILGRDRALVVNLEHVKAIVTAAEVLVRDPNNPRLQPFLLDLRARLALPDASSTNLETGGGDETQQGERSGPMPALGRSVSAKTQPFEFKVLEVCLEHTCKCMESETSALEKEAYPALDELTSKVSTRNLEHVRQIKSRLVELSGRVQKVRDDIEHLLDDDTDMSEMYLTRKLASQGFNESLGRLESNKHASADHDEEKEEEEPDDETESAHESSANVKPNIQELEMLLEAYFVQVDGTLNKLCHLRDYVDDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIQIELMDDGTTPETKRIKNMKFWETTLGTIAGCAAIYLMAIYAGKKSKYLQ* >Brasy1G314000.1.p pacid=40054611 transcript=Brasy1G314000.1 locus=Brasy1G314000 ID=Brasy1G314000.1.v1.1 annot-version=v1.1 MATTGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLKEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARALARFWGTYVDDKLLSSWKGMFATKDEEANAEAVRQTLAAADALEGEFRECSGEKLEWFGGDGVGYVDIVLGGLVPSMNVLELTVGIKVVDPDRTPLLAAWKDRFCALDAAKAAMPPLDRLLERSKKYLPEISLKTPASK* >Brasy1G314000.3.p pacid=40054612 transcript=Brasy1G314000.3 locus=Brasy1G314000 ID=Brasy1G314000.3.v1.1 annot-version=v1.1 MATTGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLKEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARALARFWGTYVDDKLLSSWKGMFATKDEEANAEAVRQTLAAADALEGEFRECSGEKLEWFGGDGVGYVDIVLGGLVPSMNVLELTVGIKVVDPDRTPLLAAWKDRFCALDAAKAAMPPLDRLLERSKKYLPEISLKTPASK* >Brasy1G314000.2.p pacid=40054613 transcript=Brasy1G314000.2 locus=Brasy1G314000 ID=Brasy1G314000.2.v1.1 annot-version=v1.1 MATTGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLKEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARALARFWGTYVDDKLLSSWKGMFATKDEEANAEAVRQTLAAADALEGEFRECSGEKLEWFGGDGVGYVDIVLGGLVPSMNVLELTVGIKVVDPDRTPLLAAWKDRFCALDAAKAAMPPLDRLLERSKKYLPEISLKTPASK* >Brasy1G314000.4.p pacid=40054614 transcript=Brasy1G314000.4 locus=Brasy1G314000 ID=Brasy1G314000.4.v1.1 annot-version=v1.1 MATTGSGDELTVLGAWASPFLVRVLFALQLKGLRYEYVEVDLKEKNELLLASNPVHKKIPVLLHAGKPVCESQLIVQYIDEAFPAAGPSFLPADPHARALARFWGTYVDDKLLSSWKGMFATKDEEANAEAVRQTLAAADALEGEFRECSGEKLEWFGGDGVGYVDIVLGGLVPSMNVLELTVGIKVVDPDRTPLLAAWKDRFCALDAAKAAMPPLDRLLERSKKYLPEISLKTPASK* >Brasy1G532300.1.p pacid=40054615 transcript=Brasy1G532300.1 locus=Brasy1G532300 ID=Brasy1G532300.1.v1.1 annot-version=v1.1 MSLVRRSSVFDPFSQDRWDPIDSIFRSVVPSAAAISPDSDAAAFVNARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERSREKEDKNDKWHRVERSSGRFVRRFRLPDNAKVEQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG* >Brasy1G203600.1.p pacid=40054616 transcript=Brasy1G203600.1 locus=Brasy1G203600 ID=Brasy1G203600.1.v1.1 annot-version=v1.1 MEISFEAWEGVQRHGQDIADCLAQGFTGLLQAPPQFPWPPVSHKRMPFEIDLPVVPFGAARGHGHGKDFFPTAAVASVIDIGGRLGQAGVEIGTSVGGAVQHAVRQLPVPFRNGQIRRRKLPPPPPSAAVGDGAVGLAVERAADRCPLEAAAAAAAAATGSAAASSASCVAGGDDLDEEEDGYGCEIGTLGNFKKAKSFMDLQFPTGQLTYVAGEGITASGFVPLFGGLLQAHGKFPGETRMSFSCKNKRGTRFTPMFQWPDKSVSLGVTQALAWKRSGLMVRPSVQVSVCPTFGGNDPGVRAEVIHSLKEELNVMCGLSCSRHPSAFTALSIGRSKWNGQVGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI* >Brasy1G203600.2.p pacid=40054617 transcript=Brasy1G203600.2 locus=Brasy1G203600 ID=Brasy1G203600.2.v1.1 annot-version=v1.1 MEISFEAWEGVQRHGQDIADCLAQGFTGLLQAPPQFPWPPVSHKRMPFEIDLPVVPFGAARGHGHGKDFFPTAAVASVIDIGGRLGQAGVEIGTSVGGAVQHAVRQLPVPFRNGQIRRRKLPPPPPSAAVGDGAVGLAVERAADRCPLEAAAAAAAAATGSAAASSASCVAGGDDLDEEEDGYGCEIGTLGNFKKAKSFMDLQFPTGQLTYVAGEGITASGFVPLFGGLLQAHGKFPGETRMSFSCKNKRGTRFTPMFQWPDKSVSLGVTQALAWKRSGLMVRPSVQVRGHSFIKGGTERNVWLILLKTSISFYCSFYWAI* >Brasy1G188800.1.p pacid=40054618 transcript=Brasy1G188800.1 locus=Brasy1G188800 ID=Brasy1G188800.1.v1.1 annot-version=v1.1 MAPLTPRLVVPIDVKKKPWEQKVQLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVADDNSADDVKFLDLTITHYLSGPFRVIDAEGVPASPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKQLTETGHESLKLCEVLHQRPLANLPTPENCLLGKVQEGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTSIFDQDIRPKRLGHGPKLRRVPDVLRCTYDGHLPVTEDQSGTRAP* >Brasy1G277100.1.p pacid=40054619 transcript=Brasy1G277100.1 locus=Brasy1G277100 ID=Brasy1G277100.1.v1.1 annot-version=v1.1 MVSSAAPFPSSLLSPTKPRTPTPPLFSLRPSRSRLHLRARSPETKRPVAGAAGALASSFMAGAQPQRRDGQNEGLEEAMKLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRRGSIPGVNSDQMKLQIKLGVPRPVQHLLDFERIKAVFPYGEITSIEVVEGGMICSSGTCIEAMGDKNDDCYIVNAAVYVGY* >Brasy1G507700.1.p pacid=40054620 transcript=Brasy1G507700.1 locus=Brasy1G507700 ID=Brasy1G507700.1.v1.1 annot-version=v1.1 MAAILESLLGSCAKKLQDIITNEAILILGVEEELKEVLRRKRKTKELAVNSWLGQLRDVIYDVDELLDVARCKGSKLLPDHTSSSSSKSATCKGLSVSSCFCNIGPHRDVAVRIRSLNKKIENISKDKIFLTFNNSTQPTGNGPTSKLIRSSNLLEPNLVGKEIRHSSRKLVNLVLAHKDHKSYKLAIVGTGGVGKTTLAQNIYNDQKIKGSFNIRAWVCVSQDYNEVTLLKEVLRNIGVHHEQGETIGELQRELAETIEGKSFFLVLDDVWRSNVWMDLLRTPLHSTAAGVILVTTRDDQIAMRIGVEDIHRVDLMSGDLGWELLWKSMNIDDEKEVQHLRNIGNEIVRKCGRLPLAIQVNASALACRDLTENEWQRFLGKYACSQTMLCDEIETALYCFLCCALYTEDSIIYLSKVTCLWIAEGFVEEQQGQLLEDMAQEYYYELIHRNLLQPDNTCFDQSMCKMHDLVRQLACHISREECFIGDLETLRGETMSKLRRVTAVTKKEILLLPSMDKVEVKDTLFKRFLLLRVLVLNYSLAQSIPDYIGKLIHLRLLDLDYTGISCLPESIGSLNNLQVLNLCNCHALHSLPSSMIQLSSLRKKLILILMMLIGTEINLVPKGIGKLNFLNEIVAFPVGGGSDNADVQDGWKLEELSSVLQMRYLHLVKLERATHGSSSTVLTDKKHLKGLSLLWSERGEGSYSEKDVSNFEDVLEQLRPPRNLETLWISRFFGRRYPTWFGGPICNELVAFPKLECLVLCDMPNWEEWYFLGEDAADDERGDDGAAEIGKEDAQSARLQLLPRLVKLQLAIASLKELTLVGANNLKAVEDFPLLSEVLLIRNCEGLERVSNLPLLSELHVRGCPNLSQVEGLGSLQRLVLGEDMHEVSSCWLPELQNQHRRLYDGEYLDVFVVSRKL* >Brasy1G196300.1.p pacid=40054621 transcript=Brasy1G196300.1 locus=Brasy1G196300 ID=Brasy1G196300.1.v1.1 annot-version=v1.1 MPRRDSPLSPSLFNSLIASRVRAGRVADAFSLFARMLAAGVAPTAFTFAPILSSPSVGARRAAQLHPHILKSGLLHSDPYSGTALLGFFARDRRFGEALRVFGEMPARNVVTWNCLISSFVQYGYVEDAVFWFRGLVRSGDGLSEGSLVAVLPAFGSPEQVHGFAMKIAMDSFSAVANSLLNSYCTCRAVCAAEKLFNWLMFRDVVSWNTMITAFARSNLPGRTFELFLMMQGQGVLPDETTFTSVLNACTNINGHEHGKYVHAKSIKHNLNTTVFVNTSLVDFYTKCVGSKDARKVLEEISDKSTACWNALISGRSDGDVPTLFVILRDMLRSGISPNEFTFSSLLRDPTLLDLWQIHSLVTRLGYGSNDYVSSAIISSYVSHGFVSDALAYGATLDPDSCNVSMNVLAGAYNRAHMYQETKELLLHQQSSDNISCSIFITACARNGDYAEAFEYFRQMRISGHHFDNYVTVSLLSICIKVNSLILGRLVHGLIIKTSSGCSDTYVHNMLLDMYAKCGRIEDCVRAFEEMENRNIISWTTVISGLALNGFSRKALAWFKAMEEAGLKPDKVAILAVLSACRHGGLVQEGMKIFKCMEDDYSTEAEMEHYICVVDMLCKCGHLKQAGSVIRGMPFRPSTIIWRTFLQGCNTYGMLDTQVCS* >Brasy1G348400.1.p pacid=40054622 transcript=Brasy1G348400.1 locus=Brasy1G348400 ID=Brasy1G348400.1.v1.1 annot-version=v1.1 MFKKFSSEDISGQNQVKASVQRRIRQSIADEYPALEPLLDDMLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSTGGVLDKEVEEETPVAIMAEGKQHALAIGFTKMSAKDISTINKGIGVDNMHYLNDGLWKMEHLE* >Brasy1G348400.2.p pacid=40054623 transcript=Brasy1G348400.2 locus=Brasy1G348400 ID=Brasy1G348400.2.v1.1 annot-version=v1.1 MFKKFSSEDISGQNQVKASVQRRIRQSIADEYPALEPLLDDMLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSTGGVLDKEVEEETPVAIMAEGKQHALAIGFTKMSAKDISTINKGIGVDNMHYLNDGLWKMEHLE* >Brasy1G169100.1.p pacid=40054624 transcript=Brasy1G169100.1 locus=Brasy1G169100 ID=Brasy1G169100.1.v1.1 annot-version=v1.1 MAATLKLRILAAAAAAAIVASSLVGVVTAADAPAAPPSTGGAAATGPVFAIGTLAAAAVGCLGNPASEISMASSSVFWLLPGYPFQVYECTESAFLLTLVFFYLRGEGRRHGGGFLLALLGNSAVALRRRFIFEASCWFIFVIVLRNQLQHQAEIIGQIKCKDNA* >Brasy1G307300.1.p pacid=40054625 transcript=Brasy1G307300.1 locus=Brasy1G307300 ID=Brasy1G307300.1.v1.1 annot-version=v1.1 MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILRHKMVDQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLAEADARKYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAEGAALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPTGAKSLIRRILDPKPDSRIRIEEIRNDEWFKKNYEPVSEVENEEVNLDDVNAAFLDHEEDTEHTFDDEAGPLTFNAFDLIILSQGLNLAALFDRRQDDGKLQNRFLSRKPANVILSSMEVVAQSMGFKTHIRNYKMRVEGLNANKTSHLTIMLQIFEVAPSIFMVELQRAAGDTSEYNKFLNNYCCKLDDIIWKFPVEKGKSRTSRLSKR* >Brasy1G411600.1.p pacid=40054626 transcript=Brasy1G411600.1 locus=Brasy1G411600 ID=Brasy1G411600.1.v1.1 annot-version=v1.1 MHEGSPEKPFSPPDAGYASKKRAGAARRWPEEDGGELPAAWCHTALSATAGLSRARNTFLDGTPTSSGLSRHRATASTSTSGDTALISFFCITSEGSASPCRCCIARLKRVLLIGDDGLSLRSHRVENLFLEEEEEEPSDALLYCMSCWDFREEGHAHGSSILIDLQRKNGRWSALIRGRDWPELVDRVETFQDAGPLKRMVVQPPACIRCPVCNDAAGQWLYCTFICMVKSGKAAVARYPWADELIGENFDVQCGFDRVCRSFPSVGYCSHCCGSERGSLRGVLKTIGGKVLVDHCMDKEEHRCVGLCTMCGDQVEEEDCTSFSRGHPCFKNDWRMLQRKLDTTDRRHQRDMFCLDCGRSFCSKLCVHHQQHRTLRVLRYDHRYFCLSVPRSSPLSAAFAAIKEMPAMDGDEERRLIPIRLLNSAVRCDACHLVLKGTGHSGCSMLCGLENLL* >Brasy1G225100.1.p pacid=40054627 transcript=Brasy1G225100.1 locus=Brasy1G225100 ID=Brasy1G225100.1.v1.1 annot-version=v1.1 MGTPSQGSAAVAAARVDLCALDLMPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFRSLGVLKSLNKLRKHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNNSDQPSSALRRANSPPTYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPENYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSHLQHDQFGGPRITGTFQGLKMIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPRENKKSGDGSN* >Brasy1G072400.1.p pacid=40054628 transcript=Brasy1G072400.1 locus=Brasy1G072400 ID=Brasy1G072400.1.v1.1 annot-version=v1.1 MSGKEQQQQRGRMVDRFIVIPFSSTCRSASSVDVAAQQQGSRHHANKQPQAQAHAQERKAGKQQGEQPLSLVARLLRGFKNLSQIFAVYDEEDEDEEEEQEMVIGLPTDVKHVAHIGWDGSTSTTTSVRSWNRAPPPPPSSSSAAAAAPPPEPVSVRQFEVAMAAQASAASGTSSGAGRHRHS* >Brasy1G239200.1.p pacid=40054629 transcript=Brasy1G239200.1 locus=Brasy1G239200 ID=Brasy1G239200.1.v1.1 annot-version=v1.1 MVLMADDDNHHHNQAAHRTAANPTSPPSDSPAAPAPAHRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPLTAPDTPSPDKETAARGSTTGAAGGGGSPQRPWNLRTRRSATAAPRKVRPDDAADAAAERAPARPAETKKRGFSIVLSKEEIVRDFALIRGTRPPRRPKKRPRTVQRQLDSLYPGLCLKGVTPDSYKIEER* >Brasy1G239200.2.p pacid=40054630 transcript=Brasy1G239200.2 locus=Brasy1G239200 ID=Brasy1G239200.2.v1.1 annot-version=v1.1 MVLMADDDNHHHNQAAHRTAANPTSPPSDSPAAPAPAHRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPLTAPDTPSPDKETAARGSTTGAAGGGGSPQRPWNLRTRRSATAAPRKVRPDDAADAAAERAPARPAETKKRGFSIVLSKEEIVRDFALIRGTRPPRRPKKRPRTVQRQLDSLYPGLCLKGVTPDSYKIEER* >Brasy1G567100.1.p pacid=40054631 transcript=Brasy1G567100.1 locus=Brasy1G567100 ID=Brasy1G567100.1.v1.1 annot-version=v1.1 MRRREELDKLSDSLVFGASVETNGYKMIKFSYNDLPRDYKTCLLYLAIFHKDEKIGRTRLIGWWVAEGLVTRQDWPSSVSQAERCFDVLADLWLVCPSDVDAGGKVKSITLHPLVYSFITKMARKEHILDTRLSRHLARHFSILSNIRFRPSDSIVDFLKQPSNASSQLKLIKVLDLEGCASLRHNQRWLRNMCTLLILLKYLSLRNTDVTELPKEINRLQQLEVLDIWRTPMNASAIKQLMLLNLKRLLAGQSACSNDTGGGDASILSSVEMPHKLRKMTDLEVLSHVQASKHHATELREIGQLWQLRVFGVVIYDWKAQLDNLLQGISDLNECLVSLSTEIKALPASEAVATPPDVDAISAHCKNTPKILESLSISGVTMYGRLLSFFAKGCQKLAKVTLHNTLLDQDDMESLAGLPNLRGLRLRHVKLHTESKLIIQTNGFQNLKYLLVEGGGITDIDFETGETPKLETIVWLIDEIKSLSGINNLPKLKKMVFSDGIRLPDQVKQAIEAHPNFIDDNGIWC* >Brasy1G455400.1.p pacid=40054632 transcript=Brasy1G455400.1 locus=Brasy1G455400 ID=Brasy1G455400.1.v1.1 annot-version=v1.1 MSRRSMVIAGTPGAQPKGKQEIHWQFVCLVAGFVMAVAVCCGIFVKILGVFFVRSEHMWFLGNFGLSLLQWINSSFVKFQLFKGLIYSETELQAVHFLLLFFFFGAIPLLDLAVLVKNIQINLMKCRVTRCTNSLTLPDN* >Brasy1G296900.1.p pacid=40054633 transcript=Brasy1G296900.1 locus=Brasy1G296900 ID=Brasy1G296900.1.v1.1 annot-version=v1.1 MEESRAIAPPRRSGRRQRPWRRARQSQCAFEPSRRCGGQDEDNARGGGPAPPKEKEAGQRPDNFERRLISPGNTLIYCGVL* >Brasy1G104100.1.p pacid=40054634 transcript=Brasy1G104100.1 locus=Brasy1G104100 ID=Brasy1G104100.1.v1.1 annot-version=v1.1 MHKILPRAASYLDAAAGQSLLSSHMPALRLAGPFLATRSIPHTGRLRQRPWLRCGGGGAVARRGLCSLEAARRGDAAEEGEERVPGGGGGRISPERRQRGRGDAAMGSSGGLLAIPGVGPRNQRKLVDKGFDGVAPLKQLYRDKFFGKSNEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKGTDTSKPRGSKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPNTCHKRMMIRKRSEESGVSLDYLQGLHEKHESWLLPSKGQGSGVLSVSQLPIHMEGSLHPEIRDRVFFLEGDHMHSSIQKVPALVLDCENDIDFNKDIEAKRQYARQVAEFFEFVKKKNEAPLETSDGDKSISQPIMLPSRRDLWVPGGSCLPKSALNSLEFRRAMSSFLPT* >Brasy1G154600.1.p pacid=40054635 transcript=Brasy1G154600.1 locus=Brasy1G154600 ID=Brasy1G154600.1.v1.1 annot-version=v1.1 MACSAHLLSQSLYPSHLSNPGACKLVRFQARHTAASPVVRSSSSARSRRSPSVRVAASAEEGRRQVELTYDAQAKFNQLADQIDKDVGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVVSLGDTIKFSLSPSKITDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDKFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGIASETDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDIPNMLPINLPMVLIKPPEACSTAEVYKRLRLDQTSQADPLTLLNEIKQNGISQDACVNDLEPPAFEVLRSLKKLKKRIIASNRGDYSAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDVFVSEACFLTREENEWYREPISSSATFSKEDSPAEAAPVVD* >Brasy1G406500.1.p pacid=40054636 transcript=Brasy1G406500.1 locus=Brasy1G406500 ID=Brasy1G406500.1.v1.1 annot-version=v1.1 MSEGKSIHVDLEKGLHQMPNDDDSSSPATTPESPESGTKGIVVSSLARNLLADRYKDRLAEQVFGDEDETDDEDDNNNIPSVDSQSNRLLEKYKNLLNLFNRMESSIRLLRLRKKMATFKNIATQVEVLTKSKFLYTHLAQMKHLFPEAIEINRILLHDEKSLCMYADMEITLRMGAVECSNPDESPSMAICEAFRSKLLSFLESHHKDINIPEATLPAPFDSSEKLCLEAPHNGLSIKPLLENSSENGFSNALHFPHSFKKLMSKKMIAEGTEKTQLLSDPVELTSLSTDDTGGSNKSSSKHVPVPVKTNISDTPNRHLISCYQESTPKQGTSDSPLMAETPAMQTPKRPLPTPLGKFDTSCRHGSEARSTSSARRSLIMFSESKLDESPSDHDIDTSKLDKDVATFVTEDEVPTGKCLFPEETCTSIDLLVENDREKTNQVPSMNSQEKLDSLRVTFDIVCGISTKSSLITKQELFHNILANNLEIGGTGEIEEHLHILEDLSPDWISKKLRGEEILYSIKQIPDQKSFRERLVEVI* >Brasy1G406500.2.p pacid=40054637 transcript=Brasy1G406500.2 locus=Brasy1G406500 ID=Brasy1G406500.2.v1.1 annot-version=v1.1 MSEGKSIHVDLEKGLHQMPNDDDSSSPATTPESPESGTKGIVVSSLARNLLADRYKDRLAEQVFGDEDETDDEDDNNNIPSVDSQSNRLLEKYKNLLNLFNRMESSIRLLRLRKKMATFKNIATQVEVLTKSKFLYTHLAQMKHLFPEAIEINRILLHDEKSLCMYADMEITLRMGAVECSNPDESPSMAICEAFRSKLLSFLESHHKDINIPEATLPAPFDSSEKLCLEAPHNGLSIKPLLENSSENGFSNALHFPHSFKKLMSKKMIAEGTEKTQLLSDPVELTSLSTDDTGGSNKSSSKHVPVPVKTNISDTPNRHLISCYQESTPKQGTSDSPLMAETPAMQTPKRPLPTPLGKFDTSCRHGSEARSTSSARRSLIMFSESKLDESPSDHDIDTSKLDKDVATFVTEDEVPTGKCLFPEETCTSIDLLVENDREKTNQVPSMNSQEKLDSLRVTFDIVCGISTKSSLITKQELFHNILANNLEIGGTGEIEEHLHILEDLSPDWISKKLRGEEILYRL* >Brasy1G000900.1.p pacid=40054638 transcript=Brasy1G000900.1 locus=Brasy1G000900 ID=Brasy1G000900.1.v1.1 annot-version=v1.1 MAVGRPDLASLVASPSLAAASAARRRQPLPVLPSCPRLLLRPGAAAAMCPRWRWGWMTRGSWIDDGGHRPRPARARSGYGSGARPPPAAALQQVKQEVMEEEALVGVKRRRFLFIFDSFFLLLED* >Brasy1G209500.1.p pacid=40054639 transcript=Brasy1G209500.1 locus=Brasy1G209500 ID=Brasy1G209500.1.v1.1 annot-version=v1.1 MGCSSSLPANNAGGLSNIDNENSATDSKNLKVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAVVVYDITSAESFCKAQYWVKELQKHGSQDMVMALVGNKADLHEKRSVSSQDAQEYAERNSMFFVETSAKTADNINQLFEEIAKRLPRPTPPS* >Brasy1G256700.1.p pacid=40054640 transcript=Brasy1G256700.1 locus=Brasy1G256700 ID=Brasy1G256700.1.v1.1 annot-version=v1.1 MAGRRLTQTLLLLTAVAALSAGASGKSARFELLRRAPASAQEMALHDRARAASFVAAARRRRHGRRGARETAAGSLPAASESAFAMPLTSAAYTGIGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRPAKAASASTNSSSSASSPRRAFRPEKSKTWAPIPCASDTCSKSLPFSLATCPTPGSPCAYDYRYKDGSAARGTVGTESATIALSSSSKNNEMKKAKLKGLVLGCTGSYSGPSFQASDGVLSLGYSNVSFASHAASRFGGRFSYCLVDHLSPRNATSYLTFGPNPALSGPCPAAAAAAGPGARQTPLVLDNRMRPFYDVSVKAISVDGELLKIPRDVWAVDGGGGVIVDSGTSLTVLAKPAYRAVVAVLGKKLARFPRVAMDPFEYCYNWTSPSPSGKDDLPKLAVHFAGSARLEPPSKSYVIDAAPGVKCIGVQEGPWPGISVIGNILQQEHLWEFDLKNRRLRFKRSRCTH* >Brasy1G352000.1.p pacid=40054641 transcript=Brasy1G352000.1 locus=Brasy1G352000 ID=Brasy1G352000.1.v1.1 annot-version=v1.1 MDRKKDCGKDRARRFSFSWADEVEREEQQEQQHNEQRQQQQQPPPRREEKKEPAKPDPFGAARPREVVLAEKGVDWRARDLELDSSRRSAACRTSRTRARGKRDVRAAAPVPTMTPRRPQADSTPAPLVHRKTDEPPPVSYRGRGARGGKRKSSGEVQAPQVPQVAADQGRRVLRELNIGSVSNSSRQSSKKSRNSTATQRIEVPEIATADGENRDSRSSRVPAKSDGSSEVSQKRMGKGRRRRKIRSNNSKKQQTLPF* >Brasy1G179700.1.p pacid=40054642 transcript=Brasy1G179700.1 locus=Brasy1G179700 ID=Brasy1G179700.1.v1.1 annot-version=v1.1 MPLALPNLLKKGREGLPSSAAGAPADGSGCVRGGDGGNGCVSQNSQGRTAKAGLTKAGRGGHGLPKNQIGTRGSDKVAQVPVGTVIHLGSTGLHRHFAE* >Brasy1G507600.1.p pacid=40054643 transcript=Brasy1G507600.1 locus=Brasy1G507600 ID=Brasy1G507600.1.v1.1 annot-version=v1.1 MSTATVFQVDAPAAAIDEADAITTMVVDIISEHQIEQQQPSSTTASSLKLACFCHLARSLFAVGSLTLVADASTMLYQPPKGPVFANHGAAYYGILAAVLAVVALEMARAYRLSRSGSSHGRRFLAFAKGLLCFTGLLLLLVLAVGGFALTAVKTP* >Brasy1G067200.1.p pacid=40054644 transcript=Brasy1G067200.1 locus=Brasy1G067200 ID=Brasy1G067200.1.v1.1 annot-version=v1.1 MSDMESVATLMESTSLKIQQLQRAFAELESQSAVSMNFKWKQLEDHFHGLEQSLKKKFDELNKQEKEFQETVAKSEQILEQQEAVVVGKELTSLERLQEKRDAALAVIFGKSKLSLTVPVINQMNKPLSNYSPTLAVKWSKHCPENNVHMQDGSASLKPRSELAILCEEMNVEGLHKFISDNRKNLTSIREEIPSALKRASHPYVLVLDSLEYFYYGDNLVLDGKKDGDLLGVRRTCLMLMESLVQLQADAVTGLLSEEQMCTPDVKERAKRIAFEWKSKLDSLDVDASNGNCLEAHAFLQLLATFGIFAEFNEDELCKLLPSVSRRRQTPELCRLLGLSQKMPGVIGVLVDSAKPIDAINLAYVFGLTEQFEPVQLLKAYLREVRKVSHAKNGKMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPRVTSFGDKNIYQATPERHPYPYERQFVYSAEAHHHPTMINAAPYTMTPAHTPYYGNGYPMQFQVPYIH* >Brasy1G503100.1.p pacid=40054645 transcript=Brasy1G503100.1 locus=Brasy1G503100 ID=Brasy1G503100.1.v1.1 annot-version=v1.1 MGQVLGLVQIDQSTVAIKESFGKFDAILEPGCHCLPWCFGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGATSKTSAVFIPHGPGAVKDIASQIRDGQLQAKMG* >Brasy1G503100.4.p pacid=40054646 transcript=Brasy1G503100.4 locus=Brasy1G503100 ID=Brasy1G503100.4.v1.1 annot-version=v1.1 MGQVLGLVQIDQSTVAIKESFGKFDAILEPGCHCLPWCFGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGATSKTSAVFIPHGPGAVKDIASQIRDGQLQAKMG* >Brasy1G503100.2.p pacid=40054647 transcript=Brasy1G503100.2 locus=Brasy1G503100 ID=Brasy1G503100.2.v1.1 annot-version=v1.1 MGQVLGLVQIDQSTVAIKESFGKFDAILEPGCHCLPWCFGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGATSKTSAVFIPHGPGAVKDIASQIRDGQLQAKMG* >Brasy1G503100.5.p pacid=40054648 transcript=Brasy1G503100.5 locus=Brasy1G503100 ID=Brasy1G503100.5.v1.1 annot-version=v1.1 MGQVLGLVQIDQSTVAIKESFGKFDAILEPGCHCLPWCFGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGATSKTSAVFIPHGPGAVKDIASQIRDGQLQAKMG* >Brasy1G503100.3.p pacid=40054649 transcript=Brasy1G503100.3 locus=Brasy1G503100 ID=Brasy1G503100.3.v1.1 annot-version=v1.1 MGQVLGLVQIDQSTVAIKESFGKFDAILEPGCHCLPWCFGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGATSKTSAVFIPHGPGAVKDIASQIRDGQLQAKMG* >Brasy1G225800.1.p pacid=40054650 transcript=Brasy1G225800.1 locus=Brasy1G225800 ID=Brasy1G225800.1.v1.1 annot-version=v1.1 MGAPKQKWTSEEEEALRRGVVKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKIRVPRIKGPSSSPSPSPLLLLPVPYNVAEASPAEDPEKSPQDDKTPSPKSYSAMILEALAELNEPTGSEITTIYHYIEQRHEVQPNFRRLLCAKLRRLIGAKKVEKIDKAYKLTDSYAKKALAPMKDSSLKKKDPAKPSKASKNLSPGVEAAGAAAMKLVDAEAKAHLAHDHMVEAARISRMAEDTESLLMLATELYERCSRGEMVTMLQVAALREDQTHQSHANIRSFVHG* >Brasy1G225800.2.p pacid=40054651 transcript=Brasy1G225800.2 locus=Brasy1G225800 ID=Brasy1G225800.2.v1.1 annot-version=v1.1 MGAPKQKWTSEEEEALRRGVVKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKIRVPRIKGPSSSPSPSPLLLLPVPYNVAEASPAEDPEKSPQDDKTPSPKYSAMILEALAELNEPTGSEITTIYHYIEQRHEVQPNFRRLLCAKLRRLIGAKKVEKIDKAYKLTDSYAKKALAPMKDSSLKKKDPAKPSKASKNLSPGVEAAGAAAMKLVDAEAKAHLAHDHMVEAARISRMAEDTESLLMLATELYERCSRGEMVTMLQVAALREDQTHQSHANIRSFVHG* >Brasy1G195800.1.p pacid=40054652 transcript=Brasy1G195800.1 locus=Brasy1G195800 ID=Brasy1G195800.1.v1.1 annot-version=v1.1 MTKFEKIIKQIRNTRYKCRRGAGSAPTGRREAAANCSKRERVREQERKQKDRGGGGGVPPGGPDPRRPGGGLPDPAAAGRPDPGRAAGSRPAVAARPGTRGRWPARGWRSTAGQANRPDPGRAAGSRPAVAARAGGGPRLGEAVAEVPARGWRSTAGQLNRRRWEREGERRSRVEGERRDRERK* >Brasy1G509600.1.p pacid=40054653 transcript=Brasy1G509600.1 locus=Brasy1G509600 ID=Brasy1G509600.1.v1.1 annot-version=v1.1 MPVRVVDTATPSSQPSSGQDTNAVQPTPPSCSLLTAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNHTFFTGKWEASPEDDVRHWSKFPSFTPLLSQVEIDGGKSVDLSNYPYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKQSGFTFSSYELQ* >Brasy1G002900.1.p pacid=40054654 transcript=Brasy1G002900.1 locus=Brasy1G002900 ID=Brasy1G002900.1.v1.1 annot-version=v1.1 MNSAGRKIGSFLRCRFLPGSATPAAAPPTAAKVRNQGKRGSCAHHAMLAGGMGDGEQEDHGTGCGQARGKISGWDYKPADLSFVDASSLISEGRALLGMFTTGKDLGRLGPGEIYRFRESELLPEEKASTHLVLFVGYGYRGRVYFEEARRFFTIDATPLM* >Brasy1G270400.1.p pacid=40054655 transcript=Brasy1G270400.1 locus=Brasy1G270400 ID=Brasy1G270400.1.v1.1 annot-version=v1.1 MDHNVETTTFEEFGVLDDLFPSPSISASSLNSECSISSKSDDQINGDLLPSPPSTTKSVSELTDEGKNSDDASEPIGSESAVHINADSFQSPPSTTKSTVSELTNEEKYSDDDGKPPDEPKHTNDVIYELANEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKEILVKIDQVYVKQCDLMCLLDSAKWLNDDVSTFTIKKNVISAYIYCIKEVHEQNKNDHKVYFENTFVAGLLKRDGKIGIHEATFMTKIVENYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEYKRVDLTNTLQGLQYHLDILKTQENLCNHNWKDLDVTKWTITEQLRNPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIDFRYKLAAILICWKTNTAQASTTIEESDYSEGDPNDVVMFECIDEDQSKTSNSLSIEKKYQSLITVLSNMSVHDLEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEELPMEHDCFNLVVRKIMFDDIQTAKKTKGLISKHYLDMRFWMITDFGRHPNFRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDSRTVYILDPAPIDLIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSAWTENIFLWHQQIINNIPIHNRALSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKVQHTVNVKT* >Brasy1G398400.1.p pacid=40054656 transcript=Brasy1G398400.1 locus=Brasy1G398400 ID=Brasy1G398400.1.v1.1 annot-version=v1.1 MPAPTGERPLVLLAQPLFPELAAALAGRFRFALAADADPAAAAEARVLLVPRLAPVTAQLLGGLPALQLVAPTTVGFDHVDLDACRRRGLSVTNAGAAFSVDSADYAVGLVLAALRRVAAADAFVRSGSWAAHDGDYPLTTKVSGKRVGIVGLGNIGALIARRLAAFGCAVSYHSRSPKPALPYKFFPAVLDLAADSDVLVLSSALTEETRHMVNREVMEALGKDGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVYEDEPAVPRELFAMDNVVLSGHKAVSTTESISGVVEIVAANLDAFFSGRPLASPVQL* >Brasy1G469200.1.p pacid=40054657 transcript=Brasy1G469200.1 locus=Brasy1G469200 ID=Brasy1G469200.1.v1.1 annot-version=v1.1 MGAQKKGARAHLLASFLLAALATQAFLVSARTAPTTDKASQDDVKKPDCVPSLDPHSFPGHGGTTIPIPSHGSSPSGSTTPSYGTPPSHSGSIPDPSHGSTTPSPSSPSHGHGGHGHGSSSSPSTGGGGYGSSPSAPSTGGGAYGGSPPSHDGGAYGGSSPAAPSTGGGAYGGSPPASGSTTTPSPTGHGTVVDPNSPGTCEYWRSHPMQIWSALGGSWPSSVGHFFGGGSGGGSGLGSGVSIQDALANTGTDSSSALLREATAALLNSMTRAGFPYTTSQVRDAFAAAAAGGSDGARTKQAEAFKKANEGSKA* >Brasy1G537600.1.p pacid=40054658 transcript=Brasy1G537600.1 locus=Brasy1G537600 ID=Brasy1G537600.1.v1.1 annot-version=v1.1 MLVTRFSPKLLPWSNLLLILFFWTLVGSNGAVAAPAKARPPRPQAAAGFGYKLVSLVELPNGGGLVGSLQLKQPTSTYGPDIPRLRLFVKHETQDRVRVQVTDAEKQRWEVPYDLLPREPAPPLTNLPGGTPFTAREYSGQSLSFTYGRDPFYFAVHRKSTGQTLFNTSHGGPLVFKDQYLELTTRLPKDAALYGLGENTQPGGIKLRPNDPYTIFTTDASAINLNTDLYGSHPVYVDLRNVGGHGVAHAVLLLNSNGMDVFYRGDSLTYKVIGGLLDFYFFAGPTPLAVVDQYTVMIGRPAPMPYWAFGFHQCRWGYQNLSVVEAVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHAQGMKYIVLIDPGIAVNSSYGVYQRGMERDIFIKLDGQPYLAQVWPGPVYFPDFINPNGASWWIDEVRRFHELVPVDGLWIDMNEVSNFCTGKCTIPTTHKCPVPNSKEPWLCCLDCKNLTNTRWDDPPYKINASGKSAPLGYNTIATSATHYNGILEYNAHSLYGFSQAIATHKGLQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTILNFGIFGMPMVGADICGFYPADPNLLEELCSRWIELGAFYPFSRDHANFASPRQELYVWGSVAKSARNALGMRYRMLPYLYTLNYHAHQTGAPVARPLFFAFPDFVPGYGVSTQFLLGDGVMVSPVLEQGATSVSAVFPPGTWYNLFDASKVVVSKPDNGDSAAVKLEAPLNEINVHVHEGTVLPLQRGGSISRDARATPFTLVIAFPFGAAEADAEGAVYVDDDERPAMALAEGEATYVRFHASVRGGKEVTVRSEVSMGSYSLQKGLVVEKLSVLGLEGSGRDLAVRVDGTDEADASAIAAASAHFVGEDAELQEEGEGGRKRSVMVEVGGLALPLGKSFTMTWNMHIQA* >Brasy1G481900.1.p pacid=40054659 transcript=Brasy1G481900.1 locus=Brasy1G481900 ID=Brasy1G481900.1.v1.1 annot-version=v1.1 MATVAAVDEPTEAMEGVEGEERAAEAAAETTEAMEQVEEEERVEVEAGDPAEEEEDAAEAMEQVEEEERAEAEEEEEVEVLSTVFPLSRVKKIIRVDREIRKVTAEASLLIAAATELFLGSLAAGAHAAAAQRGRRAVRAAHIRAAARAHRPTADFLLDCLPAAEEAPRARSGSDGGAAAAATAAPKPLPRGTRRIDGFFQKVT* >Brasy1G443900.1.p pacid=40054660 transcript=Brasy1G443900.1 locus=Brasy1G443900 ID=Brasy1G443900.1.v1.1 annot-version=v1.1 MDSPAGDVALRRELVVGTAVRSAAVAQAERDLVKTTVVAVVVGFRPTMTLAELQRAFAYQFNIEVEEVQVTVFGLGEYLVVFSDPLVRDKAIGIQGALVLGGVSFMLSPWTRFRRARAGKMLYKARVCLENVPKDAWQVETVKTLFDNSMIIEEQDLSTNSEEETACMTVWVWMEKISLLAKKGRLQLEEPRVIDFSDPPAGHEGLFSCSEKEWNFDWPGRWKYNWYLGYEDGTFPPPLPRPSVHSRITFPSRRDGEDDGASGNGGDSRGGRQGQRKSGWDQQPNGGHYESAATSREGHGYNGGRRWAAPPPVVGEKDDETMGHADGGPDHVQPQLPAPVLARLPMALGQSAVKVSKEAGCRLSSEEEEMCRASCGEKLLLLQTGHAAAGVSASEGGQRLDADNEGILLQQAGDSIKSLDDSIPAVLEESSVAGLWETGDVVNGLLDANVEEQMVGCVSLNGLLDASFDGGVLGCSNLGQKDGPADETETQARRDVEIGQDAEGMDVVVPASPLSSFLAHFAIPAPAAVLLTPLADEIPTKPQVSVARRSSGRLAAKATHGLTTMEKVRHVLLSKSGVECSTDPEDNLKKYRDIYSKPLPPSFIDAITSMMDKSAVKKGSDQGGQLQAAAAVA* >Brasy1G025300.1.p pacid=40054661 transcript=Brasy1G025300.1 locus=Brasy1G025300 ID=Brasy1G025300.1.v1.1 annot-version=v1.1 MKVGLKKYKETGSSSSIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKIIYSSGGGLPHGRLAIGDGAIKRSEVRAIAKQHNIRPANSLSYQDLLRSHQQMEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALLGDSDLVSSPSHHNCTPPTGSSHAGGRSSTPQSRGQNVTPVHSPNDVDGVA* >Brasy1G434600.1.p pacid=40054662 transcript=Brasy1G434600.1 locus=Brasy1G434600 ID=Brasy1G434600.1.v1.1 annot-version=v1.1 MGCASSKDVAVADVAYRPPATSVSLFDISAVEEPWLIAKQSADAVVESGDDESEEEEEDEEEEEEQDVKKPTTGVTLPILDKLDGYELAPASWSEVSKALEDIKPTLGSKPASDPKPPPKKKKKKKKQQQSATAEAPAQPPPPQTPAQPAPSQTPAEAGKKAYMPGAEDATKKPPPPELTGRRVVKENPFLLRDRESSKNADGTAAPRWRRRDPFEGFPERRPPGATGGGVVLYTTTLRGVRRTFEDCERARAAVDSCAEAAGMGALDERDVALHGEYLRELRELLAGVEEEEGGGAPVAVVPRLFVMGRYVGGAEACAELAESGKLREMLRWARARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVVGGGEGEGAGATVGGVVERCGKCNENGLMICPICH* >Brasy1G283800.1.p pacid=40054663 transcript=Brasy1G283800.1 locus=Brasy1G283800 ID=Brasy1G283800.1.v1.1 annot-version=v1.1 MQSHRLAPEDRVREGSRSARAHEPIQGQAVAAAARTTTTAPRARRSTSMSLPPESGTPPPPPSGGGGGRSRLRDEQLRQLRELFLRFDLDGDGSLTKLELVALLRSLGLRPAAGDEIHALVATMDADGNGTVEFDELTSSLAQLLLGPCRPSVAVDHEQLAEAFRAFDRDGNGYISAAELARSMAQMGHPICYAELTDMMREADTDGDGSISFEEFTAIMAKSAVDFLGLAAL* >Brasy1G336000.1.p pacid=40054664 transcript=Brasy1G336000.1 locus=Brasy1G336000 ID=Brasy1G336000.1.v1.1 annot-version=v1.1 MEAGIDPTASRPRPRRSLAALPSSTVHRTAVASPQSGDPPIPTMPCCRWPVETCRIAACNPILSFLHEVGQGKLSYKQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.8.p pacid=40054665 transcript=Brasy1G336000.8 locus=Brasy1G336000 ID=Brasy1G336000.8.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.2.p pacid=40054666 transcript=Brasy1G336000.2 locus=Brasy1G336000 ID=Brasy1G336000.2.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.5.p pacid=40054667 transcript=Brasy1G336000.5 locus=Brasy1G336000 ID=Brasy1G336000.5.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.9.p pacid=40054668 transcript=Brasy1G336000.9 locus=Brasy1G336000 ID=Brasy1G336000.9.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.3.p pacid=40054669 transcript=Brasy1G336000.3 locus=Brasy1G336000 ID=Brasy1G336000.3.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.15.p pacid=40054670 transcript=Brasy1G336000.15 locus=Brasy1G336000 ID=Brasy1G336000.15.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.6.p pacid=40054671 transcript=Brasy1G336000.6 locus=Brasy1G336000 ID=Brasy1G336000.6.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.10.p pacid=40054672 transcript=Brasy1G336000.10 locus=Brasy1G336000 ID=Brasy1G336000.10.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.7.p pacid=40054673 transcript=Brasy1G336000.7 locus=Brasy1G336000 ID=Brasy1G336000.7.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.18.p pacid=40054674 transcript=Brasy1G336000.18 locus=Brasy1G336000 ID=Brasy1G336000.18.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.13.p pacid=40054675 transcript=Brasy1G336000.13 locus=Brasy1G336000 ID=Brasy1G336000.13.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTCITRISGILSVVIIGINTYFFCTSFVSWLVDSDLPRFANAIISTLVFPFMAAYIAAVIYLAFRKVSINVALPSRSVSCEIEVEEVQRQDDKDDGLAVNCGV* >Brasy1G336000.11.p pacid=40054676 transcript=Brasy1G336000.11 locus=Brasy1G336000 ID=Brasy1G336000.11.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.12.p pacid=40054677 transcript=Brasy1G336000.12 locus=Brasy1G336000 ID=Brasy1G336000.12.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.14.p pacid=40054678 transcript=Brasy1G336000.14 locus=Brasy1G336000 ID=Brasy1G336000.14.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.4.p pacid=40054679 transcript=Brasy1G336000.4 locus=Brasy1G336000 ID=Brasy1G336000.4.v1.1 annot-version=v1.1 MEEGRSVRRDEEQQQHGMGTGRVAASFREIETEAAAATATATVASSSGQRADADAGLQLQGPMWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQVNFEPKARIRQASLLCVSRASQG* >Brasy1G336000.19.p pacid=40054680 transcript=Brasy1G336000.19 locus=Brasy1G336000 ID=Brasy1G336000.19.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRKCIIFLIAPCLTVVPTLVVCSIGGASRVRQLINIAAIILSFVLPFALVPLLKFSSCRTMIGPHKNSTYHPNLRNPVCGDHRH* >Brasy1G336000.17.p pacid=40054681 transcript=Brasy1G336000.17 locus=Brasy1G336000 ID=Brasy1G336000.17.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQVNFEPKARIRQASLLCVSRASQG* >Brasy1G336000.16.p pacid=40054682 transcript=Brasy1G336000.16 locus=Brasy1G336000 ID=Brasy1G336000.16.v1.1 annot-version=v1.1 MWKRFLAHVGPGFLVSMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIITGRHLAELCTSEYPKYVKYCLWFLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLICGASTVLLLGLQGYGARKMEFTIAVAMLFMASCFFIELSHVNPPIKEQLEGLFIPRLKGRYAVSDAVALFSVLVVPHNLFLHSSLVLSRKIPSCSKGVKDASTFFLVENALALFLALLVNVAVVSLSGTICANNLSLDDNNRCSSLSLNSAAVLFKNVLGKSRSTVYGLALLASGQSCAVVTTYSGQYIMQVNFEPKARIRQASLLCVSRASQG* >Brasy1G435200.1.p pacid=40054683 transcript=Brasy1G435200.1 locus=Brasy1G435200 ID=Brasy1G435200.1.v1.1 annot-version=v1.1 MASQRPVMLLLLLFIPPLLSPAARAAETPQYSMVHKESDFEVRLYRDTVWMSAPSDEISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPANFQASPPVPLPELNLRPDRWPSHCIAARSFPGYARDNNVVEEAKKLAMSLSRSPWANSTNYPSKNAYSVAQYSSPFRIIGRVNEVWFDVGCKSAGVETY* >Brasy1G252800.1.p pacid=40054684 transcript=Brasy1G252800.1 locus=Brasy1G252800 ID=Brasy1G252800.1.v1.1 annot-version=v1.1 MAGFRALAPKTKNLVVAGGLTGFVFGVYYYTMRAVGGTDELQVAIDKFEDLKKKDAAASAVTNSSTPGSS* >Brasy1G036000.1.p pacid=40054685 transcript=Brasy1G036000.1 locus=Brasy1G036000 ID=Brasy1G036000.1.v1.1 annot-version=v1.1 MHALSARGLASSFARVQSIGVCYGVIGNNLPSRSDVVSLYRSKGINSMRIYFADAQALSALRNSGIALILDIGNDNLAAIASSGSNAATWVNNNVKPYYPAVNIKYIAAGNEVLGGATGSIVPAMRNLNAALASAGLGDRIKVSTSIRFDAVADSFPPSKGVFKDAYMSDVARLLASTGGAAARQRPGTQVRDDGNGLVYTNLFDAMVDAVYAAMEKAGAGGVKVVVSESGWPSAGGFAANADNARRYNQGLIDHVGKGTPKKPGPLEAYIFAMFNENQKDGDAVERNFGLFNPDKSPAYAIRF* >Brasy1G354800.1.p pacid=40054686 transcript=Brasy1G354800.1 locus=Brasy1G354800 ID=Brasy1G354800.1.v1.1 annot-version=v1.1 MSPRGPRAAAGILLPRPVCPMPPAPHAPPAPRLLAGLAPLPPPSPSCPESIGQATPIRCMKVYYRLRAYGWFDSY* >Brasy1G406400.1.p pacid=40054687 transcript=Brasy1G406400.1 locus=Brasy1G406400 ID=Brasy1G406400.1.v1.1 annot-version=v1.1 MALFLLVAFPTSHAVSSIRSGLGVCRASGFLPGKSGNCEKSNDPDCCEDGKKYPQYHCSPRFEKGKDGGGPSECDKAYHSDKEMVVALSTGWFENMGRCGHRIKITANGKSVYAKVVDECDSVYGCDADHNYGGFLRYNLLSSNYEPPCDNNIVDALPAVWNALGLDQNIGMEGITWSDE* >Brasy1G260700.1.p pacid=40054688 transcript=Brasy1G260700.1 locus=Brasy1G260700 ID=Brasy1G260700.1.v1.1 annot-version=v1.1 MDSEHWISRLAAAKRFYAAQLGHIDDMAGMGMAEVEMDMDMEDDGEMDMEMEMQMQLDDARWPEVACPYCYEDYDLGSLCVHLEEDHSYEPHPAPCPICSEKITRDMLNHITRQHGYLFKNGNRLRRFVIPESRALSLLSRDLRDAHLQALLGGGHSHRSSNTTTTTTTNIYADPLLSSFGLGFATSDAEGPSKSPVPIPDDTSMLKEAPPQPWESSIDPSLTSEEREQKRKQATSRATFVQDLVVSTLFRDD* >Brasy1G276000.1.p pacid=40054689 transcript=Brasy1G276000.1 locus=Brasy1G276000 ID=Brasy1G276000.1.v1.1 annot-version=v1.1 MADAAPTVSKSPPALVPPAGPTPRGALPLSSIDKTAAVRVSVDFIQVFPPSTDGASAGDQVAAMRDGFARALVPYYPVAGRIAEPTPGDLVVDCTGEGVWFVEAAASCSLAEVNGLERPLLIPKGELIPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGELARGLPAPSVAPVWDRDAIPDPPKLPRGPPPSFTAFNFVTQVVEILPENIARIKDDFKAATGGETCSTFDAVTAVVFKCRALAVELPDAAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRSSKTIREAALTEVVGVMREAKEALTVRFTDWMGGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPTPKRGIRLMLRCVEEPHAAVFADEIAKYA* >Brasy1G034100.1.p pacid=40054690 transcript=Brasy1G034100.1 locus=Brasy1G034100 ID=Brasy1G034100.1.v1.1 annot-version=v1.1 MLAAGIFTSLLPTEVQSIGVCYGVNGDNLPSPADVVELYRSKGISAMRIYAPDEQTLRALDGAGIDLIMDVGNDNLAALASDPAAASSWVDTNVRAHYPGVRIKYIAAGNEVPDAGGDVGSIVPAMNNLNAALSAAGLSDAIKVSTAVKTGVLDANSSPPSNGVFKDAYMADVVKLLASTGAPLLANVYPYFAYTGAQGRIDLNYALFQPSSTTVQDTNGLTYTNLFDAMVDAMYTAMEKAGAPGVPIVVSESGWPSAGGGAETTVDNARTYNQNLVNHVGNGTPKRPGAPLETYVFAMFNENQKTGLETEKHFGLFNGPDKSPVYPMNF* >Brasy1G295000.1.p pacid=40054691 transcript=Brasy1G295000.1 locus=Brasy1G295000 ID=Brasy1G295000.1.v1.1 annot-version=v1.1 MAPGLYTEIGKKTRDLLYRDYCTHQKFTLTTCTPEGVAITAAGTRKNESIFGELQTQIKNKNLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLEFQYLHDFAGINANVGLNPNPMVNLSGVFGSKELSVGVDVSFDTATSNFTKYNAALSLTNPDLIASLHLNNHGDTLTASYYHLVKPHSSTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLVTISGEVDTKAIEKSTKVGLSLVLKH* >Brasy1G295000.2.p pacid=40054692 transcript=Brasy1G295000.2 locus=Brasy1G295000 ID=Brasy1G295000.2.v1.1 annot-version=v1.1 MAPGLYTEIGKKTRDLLYRDYCTHQKFTLTTCTPEGVAITAAGTRKNESIFGELQTQIKNKNLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLEFQYLHDFAGINANVGLNPNPMVNLSGVFGSKELSVGVDVSFDTATSNFTKYNAALSLTNPDLIASLHLNNHGDTLTASYYHLVKPHSSTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLVTISGEVDTKAIEKSTKVGLSLVLKH* >Brasy1G194700.1.p pacid=40054693 transcript=Brasy1G194700.1 locus=Brasy1G194700 ID=Brasy1G194700.1.v1.1 annot-version=v1.1 MVVLASPPAADHIPLLRSPDPGDYFSGMPVVDLCSPGAPRAVADACERFGFFKLVNHGVATDAMDRLESEAVRFFSQPQADKDRSGPAYPFGYGSKRIGLNGDMGWLEYLLLSVDGSASLSGAVPSGAAFRRALNEYIGAVRKVAVRVMEAMAEGLGIAAPDALSGMVTAAGSDQVFRVNHYPPCAALQGLGCSATGFGEHTDPQLVSVLRSNGTSGLQIALQDGQWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSLIYFAGPPLTQRIAPLPQLLGEGEQSLYEEFTWSEYKKAAYKSRLGDNRLAQFQK* >Brasy1G241000.1.p pacid=40054694 transcript=Brasy1G241000.1 locus=Brasy1G241000 ID=Brasy1G241000.1.v1.1 annot-version=v1.1 MGKRSVPRYPEEEDSGGCCGCLCWCCCFLFFIIAALAGTGAYLFYAYKPKPPSYSVNNMAVSQFEFSSSDLTLYTKLVASVRAENPNEMIGIKYAQGSRTVVSYRGTPLCSGHLPTFFQGHKNVTIMEIAMEGRHGFGSGLQGALEESEKSGNVPLDIFVSVPVELQLGTFNLRQIKVNVHCALVVDSLSPKKKPTIKSATYQGNVEF* >Brasy1G566000.1.p pacid=40054695 transcript=Brasy1G566000.1 locus=Brasy1G566000 ID=Brasy1G566000.1.v1.1 annot-version=v1.1 MRSPRNASKSGAKKMKKLRQKLRLQEKPENVMENEVEEDVEDSNNVEAKKQTLFNRASPMKIIKVCKLLDRKQRKKIMKAGFGSFLDIKCSKLFPELCKYLMDHFNPDTSALEFPEEERGSLPITIDTVYEVLGIPKGALPVIYQYDSVSVKYVLELLQVEDGRQPKISDVETRLTEMGTDEDPFLLLWMLYVVCFLAPTTGVRVSPKCYPSLVNVSQIKRLDWCRFTINILIQTAKAKNKNCFKACMPLLM* >Brasy1G493200.1.p pacid=40054696 transcript=Brasy1G493200.1 locus=Brasy1G493200 ID=Brasy1G493200.1.v1.1 annot-version=v1.1 MSRSLSMRSSSRRDLPPPQKTIERLENMVEGGNYYEAQQMYKSTSSRYITCQRYSEALDILQSGATVQLKHVQVTCGAELAVLFVDTLVKGQFPYNEETFGRIKKMYEAFPRIHMPHFLGDDYDDDGQKLSEAMSSAKVRAEGCSSFLKAALRWAAEFGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFAAMLVNFMGKCYPGEDDTAMARSVLMYLSQGNLRDANLLMDEMKELLKSADLEFPKTDLIQFVKYLLPTLERDAYPLFRTLRQKYKTSIDRDPVFEELLDEIAAIFYGVRQQNPLEGLFGEMFKI* >Brasy1G574400.1.p pacid=40054697 transcript=Brasy1G574400.1 locus=Brasy1G574400 ID=Brasy1G574400.1.v1.1 annot-version=v1.1 MAFSASPSLSSLPSSAAAAAAAAAISSSTRFPPSNAFHEPKPLQPSLRLFSPLPKSQSLSCSGPHVPCASTGDGSGAGNSGNDSGGNGGKDGVVRDDAGGEDDYEEADVKAAVFNFSSLSLGAMIRAFSMLRNRMLADPLFLFKVGTERLRFWILVQWVFKLRFWIECRCTRGGEDFWAEFELYAADILIGVVVDIALVGMLAPYVRFGKASTATGLLGRFSRMAGALPSSVFEAERPGCRFTVQQRIGTYFYKGELYGSVGFVCGIIGQGIANMIMTAKRSAKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKGAPPCCNGFHCWCSFCQ* >Brasy1G307100.1.p pacid=40054698 transcript=Brasy1G307100.1 locus=Brasy1G307100 ID=Brasy1G307100.1.v1.1 annot-version=v1.1 MATIQDIGVSAAINILSAIIFLLAFAFLRLQPINDRVYFPKWYLKGARQSPSHGGAFVRKFVNLDMRSYLKFLSWMPAALQMPEDELISHAGLDSAVYLRIYLIGLKIFVPITILAFVVLVPVNWTNDTLEGMKVEHSDIDKLSISNIPFGSKRFIAHLVMVYAFTFWTCYVLLREYEIVSTMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELAEHFFLVNHPDHYLTQQVVYNANKLAKMVKEKKKMQNWLDYYQLKYERNTTTRPTVKTGFLGCFGSKVDAIEHYTSEIERIEKEEAEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPIVHLTVRRLIIAVAFFFLNFFYVIPITFVQSLANIEGIEKAVPFLKPVIEMDAIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSASKYYIFLFFNVFLGSIIAGSALEQLQSYLHQSANQIPRTIGVAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIITALIISQLLFLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKTYLASAYIHPVFKGDDDDEKFSMADEVEADQVLVATKRQSRRNTPVPSRHNGSESPSLPEIVNDQRL* >Brasy1G307100.2.p pacid=40054699 transcript=Brasy1G307100.2 locus=Brasy1G307100 ID=Brasy1G307100.2.v1.1 annot-version=v1.1 MATIQDIGVSAAINILSAIIFLLAFAFLRLQPINDRVYFPKWYLKGARQSPSHGGAFVRKFVNLDMRSYLKFLSWMPAALQMPEDELISHAGLDSAVYLRIYLIGLKIFVPITILAFVVLVPVNWTNDTLEGMKVEHSDIDKLSISNIPFGSKRFIAHLVMVYAFTFWTCYVLLREYEIVSTMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELAEHFFLVNHPDHYLTQQVVYNANKLAKMVKEKKKMQNWLDYYQLKYERNTTTRPTVKTGFLGCFGSKVDAIEHYTSEIERIEKEEAEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPIVHLTVRRLIIAVAFFFLNFFYVIPITFVQSLANIEGIEKAVPFLKPVIEMDAIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSASKYYIFLFFNVFLGSIIAGSALEQLQSYLHQSANQIPRTIGVAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIITALIISQLLFLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKTYLASAYIHPVFKGDDDDEKFSMADEVEADQVLVATKRQSRRNTPVPSRHNGSESPSLPEIVNDQRL* >Brasy1G424500.1.p pacid=40054700 transcript=Brasy1G424500.1 locus=Brasy1G424500 ID=Brasy1G424500.1.v1.1 annot-version=v1.1 MLRDGVAPNVYTYNILVRALCACGHREEALGVVGDDMRGAGCAPNVVTYNTLVAAFCRAGEVDAAERLVGVMREGGVRPSLVTFNTVVSGLCKAGRMEDARKVFDEMVREGLAPDGVSYNTLVSGYCKAGCLHEALAVFAEMAQKGVVPDVVTFTSLIHAMCRAGNLERAVALVGQMRERGLRMNEFAFTALIDGFCRNGFLDDALLAMKEMRECRIQPSVVCYNVLINGYCKLGRMDEARELVHEMEAKGMKPDIVTYSTILSGYCKIGDTDSAFELNRKMLKKGVVPDAITYSSLIRGLCEERRLSDACELFEKMLQLGLQPDEFTYTTLIDGHCKEGNVQKALSLHDEMIKKGVLPDVVTYSVLIDGLSKSARTKDAQRLLFKLYYEDPVPENIKYEALMHCCRKAEFKSVVALLKGFSMKGLMNEADKVYQSMLDRHWKLDGSVYSVLIHGHCRGGNVMKALSFHKQMLRCGFSPNSTSTISLVRGLFEEGMTVEADNVIQELLNCCSLADAETSKALIDLNLKEGNVDAVVDVLRGMTRGGLLPSSR* >Brasy1G424500.2.p pacid=40054701 transcript=Brasy1G424500.2 locus=Brasy1G424500 ID=Brasy1G424500.2.v1.1 annot-version=v1.1 MLRDGVAPNVYTYNILVRALCACGHREEALGVVGDDMRGAGCAPNVVTYNTLVAAFCRAGEVDAAERLVGVMREGGVRPSLVTFNTVVSGLCKAGRMEDARKVFDEMVREGLAPDGVSYNTLVSGYCKAGCLHEALAVFAEMAQKGVVPDVVTFTSLIHAMCRAGNLERAVALVGQMRERGLRMNEFAFTALIDGFCRNGFLDDALLAMKEMRECRIQPSVVCYNVLINGYCKLGRMDEARELVHEMEAKGMKPDIVTYSTILSGYCKIGDTDSAFELNRKMLKKGVVPDAITYSSLIRGLCEERRLSDACELFEKMLQLGLQPDEFTYTTLIDGHCKEGNVQKALSLHDEMIKKGVLPDVVTYSVLIDGLSKSARTKDAQRLLFKLYYEDPVPENIKYEALMHCCRKAEFKSVVALLKGFSMKGLMNEADKVYQSMLDRHWKLDGSVYSVLIHGHCRGGNVMKALSFHKQMLRCGFSPNSTSTISLVRGLFEEGMTVEADNVIQELLNCCSLADAETSKALIDLNLKEGNVDAVVDVLRGMTRGGLLPSSR* >Brasy1G134900.1.p pacid=40054702 transcript=Brasy1G134900.1 locus=Brasy1G134900 ID=Brasy1G134900.1.v1.1 annot-version=v1.1 MTVSIECDAANNEARRHTWASSSSPRPVRGQGQVDGWSQQFTRYVLVLLALRTTGAVPLPVSLVVLAGAEDPPRPANLTEKVPIEAQAGARGPEASLGQCSGECGVI* >Brasy1G125800.1.p pacid=40054703 transcript=Brasy1G125800.1 locus=Brasy1G125800 ID=Brasy1G125800.1.v1.1 annot-version=v1.1 MHRMPMHRRQKGSAVGLKEQFMEVDLGVFFFSKYQTGGGAQCGASSSHSPRYDPIPSASARHRRRSLSPMKALGLHGTDLLCGPRILRAAVLAVACAAAFLVGYGWSGASPSLLFFSPASSSSSSSSRLSSRSPSVALSPNSNVSFDPSLIPTPAAPAAPPASSTPNASPPPSMPPPTPSPPPPIPPPPPPPSASLGIVGDDGAMRDDFDIGAAANDTDLATDEDARQEASDAGAGGGNRVRVGKFPVCPESMREYIPCLDNDEEIRRLPSTNRGERFERHCPAKDKALSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAQDKFKFPGGGTQFIHGANQYLDQISQMVPDIEFGSRTRVVLDVGCGVASFGAYLLSHDVLTLSVAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFEIIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTTRLCWELVKKEGYVAIWRKPLNNSCYMNRDPAVRPPLCDADDNPDDVWYVNLKVCISRLPENGDGSTPFPWPARLMEPPKRLQGVEMDAYSSKSELFKAETKFWDDIVEGYIRVFKWRKFKLRNVMDMRAGFGGFAAALINRKLDYWVMNVVPVTEPNTLPVIYDRGLLGVVHDWCEPFDTYPRTYDLLHAFGLFSKEQKRCNTSSILLEMDRILRPGGRAYIRDKKEIIHDIKEITNAMGWRGIIRDTSEGPYASRKILMCDKPMVR* >Brasy1G450600.1.p pacid=40054704 transcript=Brasy1G450600.1 locus=Brasy1G450600 ID=Brasy1G450600.1.v1.1 annot-version=v1.1 MGAFCSCLQADYSDHHGNQASGGMYRNCMCLRCFTQQLINAYTVLFRVGTVHAVSQAIEATPLDSSESSFDTYRSPPRPLPYDDPRFSPPLRDWFASRHDPSSHSPEESEPLRANYDEEMETMSSVDKPIKTNYDTKMKRCSSAYGDKLSRKESGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFNETT* >Brasy1G445600.1.p pacid=40054705 transcript=Brasy1G445600.1 locus=Brasy1G445600 ID=Brasy1G445600.1.v1.1 annot-version=v1.1 MDASVQQLPYLSSPDPVRRVVLGCCALLHPTPFLLPLNNSCFCEFLLRPEHHTLKISHCQPRNPLHVLWIRERPPFLSQIWHGSPLTSNPRGPICGFRVMPTLKTKSGGASSIPMGHQPDEGVVPPSTTHKPPQHLWRHGEDLVPLDPEDPVHELTASWRRRPAMTSCRLQQRSSRKHFIGRVADRASYIDTCLVYVSIRDHVVIFLFIWGPEQCSGCIFAKRSFDLSS* >Brasy1G262700.1.p pacid=40054706 transcript=Brasy1G262700.1 locus=Brasy1G262700 ID=Brasy1G262700.1.v1.1 annot-version=v1.1 MSALLRKYYPRKERDWSGTSSTRPHPIRPRRPPTPAVPRVSLPPRRRSRRSRHAIARIASPRILNIIPSQPPSRTTATPTPRPRPNPRDKNQALVSSFHSCATPPPPI* >Brasy1G547700.1.p pacid=40054707 transcript=Brasy1G547700.1 locus=Brasy1G547700 ID=Brasy1G547700.1.v1.1 annot-version=v1.1 MGSEAKPGPGKAAMKGWAQRYLNLSFVAGFLVVLLTYVVLSQPQRFAAISITTSPNAVAKMAPRVTAADHRKTATEAPGETGEEKKDQTNSEASADQHQKQQQPQRPEEEEEWRPRVEDSAATTTEEPQTKRDDRDAQPSEQTEEGVVCNKEGPFSDTCEVTGDVRINGSALSVTLVPSSRRQETTSRHQEWKIRPYSRRTMTDIREVTVTQLASGEETAQPPPPACTVTHEVPAIVFALGGLTGNYFHDFSDALVPLFVASRRYRGEVLFLVSNIQPWWLAKYGAVVRRLSEYDAVDLDRDNQTRCFRHVSVGLRITKEFDIAGNNPLSMPDFTAFLRETYSLPRNSPTKIRSPKNNTKPRLMLIHRSHYRKLLNVPEIVAAAESAGFAVSISDPRFDVRISDLARSVNSFDVLLGVHGAGLTNAAFLPPGGVVIQVVPYGKMEGLARTDFGEPVKDMGLEYLEYSVSAQESSLLEMLGPENLVIKDPEAVHRSGWDKVAEYYLGKQDVRLDVERFRPTLEQAMEYLKQR* >Brasy1G041900.1.p pacid=40054708 transcript=Brasy1G041900.1 locus=Brasy1G041900 ID=Brasy1G041900.1.v1.1 annot-version=v1.1 MNPMNHVVTLVHQDLKDIVAWLLNNWKYLMKPEDNVELLTRSSNSLLTTMDDVRRLLRKAWRDKRSPRSAVIDWLYRGRDDILCVQDTLRHFERYRAAAGARFILHCPCDGVVSSYNVNASAIWRKEQVCEDNDAGQEYAKNGMKGVSGDKSDDDDDGWGGSLMKLLDEVEKLQRFEVPRRFKPEASGPCAVVARAGHFRASLGWARARPVYPGPGRAGPIATYSIDHTGTEIPERRDHTGIEIPGIETLQLSALSKFRAVSRSDSDDSFPVMIRVKAPSFAYRSRAPIDLVLVLDVGSRAGDERLEQMKQGALFVAHNLEDDDRLSVVAVGADSSTRVLFPLSLLDEQMRATAKAKILELTPAAEPADIEDEVVTTDHLERLLWGIFSESDRRWRLRGIMLLWEGIASTPAPGLHGYGAPVCTFGVGSQHDPRKLYHLATQEGGTYSAAAATDLRSIAHAMALCVGGLASVAAQHMRIDISALGPGVRIARISCGSCGHYIDEHTSLSGWIDVPDISSSEEKNFIVYVSVPVVPEAGAGFFPVTTKLLSVKASYYRAATRSQVSLDPVEVSIERPRGGQSHSQASTMAAQVAAELLRTTIVDKVADIQQMMMQSTDPAAGTTVDISIELTKLRGSLKDTPEAKEAKDTWDGLSRDLDTMVDAGLPYMLSWLSSHQWQRAALLGSISSTASIDFNTTWMQDMLDTVHI* >Brasy1G490600.1.p pacid=40054709 transcript=Brasy1G490600.1 locus=Brasy1G490600 ID=Brasy1G490600.1.v1.1 annot-version=v1.1 MLQWMGGSRRKVYTSRKSIQSRQRQYFEQKKRQQQREGPQNQDDIDGAGNQAYGDQAPRSLDVISLNNLATPVRHRNGPENVDSTVPLPQMDCAILNPSPIEALKKITLVYNINPKETSSQPRLSSPIGHQDVAVSVNPHEDPVVCKISPSKNSGVKKRNLNVDLHSGEISLIDLVNYEGPNNKSTAQPAREPHVSFFVKGLGHVEMETPPQSPRSTKRVLPLPPKAMRYTQNKTRRSIPFDATKGLDSILNGISMMKERISSDKMGSLVDESNYERRKNSYSSHSFENYNGNLYPEDEDMFCEPQAQKGWQSKHGRIVDSLPAINSERLWKMESFNSDDHFGTPRAEQFDPVDYGFKERYSTEQRTSIGTSSRFQTSANPSGHDHFSDQLLLDDDNDMVHFDWERHPPIKKIPNSNSTFGPTAWSFDVVDDSDKRRSPLSEESCSSAAAMNDRTTKKPLLSVKCKENNMNKKDDFHTRLDKLDIPEMDAHLDGISLFWDQEYQQRTTDLRNLEATYCPDKAIEQQRTREPNCRLSLQEKFADWGCSTSHLKGSTGLHNPSNCTGMHEDKPFNSIPDVGGYQTVGSTERRPAPRVHPVSHRPDNANLYNDTRLQNPVSDTFGDNIEFSDPFCGKDLQSNIDACTFLGQRVDRKKEDDFDPFKNPNADIFHSAGSVGQSVDRKKEDNFDPFKNPNADIFHSAGSVGQSVVGQHTTCSQQSARDELRQGFNPGIDFQETRLSSFWDDGHVSNGTFRGDIELSDLLARENGEKNKDKIEKSEKPETAQLSADFRIKMSEGETCSDGSEVTNCPGVQKETSTAATQPPANLSCLQDSSRGMFQIHARVDCIRKEMIESPVVDFEAPLHVRNKIHDVGDHTKRNYIFQSPFIAEKVGIEKKVIAGVSPKNSDVQYEVMLERRVLQRLSVQKILVDTPIKDKLDKVTHFRRMEDGSHVLARSV* >Brasy1G425700.1.p pacid=40054710 transcript=Brasy1G425700.1 locus=Brasy1G425700 ID=Brasy1G425700.1.v1.1 annot-version=v1.1 MHALSRAPRPLLQLLPRLARAAAMGKSSSSSAAAGRDPRGNPTVGRLRELFQGGGDAADGWEKSWESGITPWDLGKPTPIIEHLVKSGSLPKGRALVPGCGMGYDVVALASPERFVVGLEISNIATEKAKKWSSSLPNADCFTFLAADFFEWRPSEPFDLIFDYTFFCALDPSLRLAWAETITRLLKPDGELITLIYLISDPEGGPPYNNTVADYEEVLEPLGFKAVLMEDNELAIKPRKGFEKLGRWRRCGPQSSL* >Brasy1G307500.1.p pacid=40054711 transcript=Brasy1G307500.1 locus=Brasy1G307500 ID=Brasy1G307500.1.v1.1 annot-version=v1.1 MGHPRAAPVAVVITATGGEAPVAVKFFRTRVTATGALAVSLPTPLPFSSAGSAGRSENGRGARPCYVYFVDALEAYGAMPSLSFPWSYQPAIPGLARRPPVDPIFLAALALAVKVADRGWSSDGGFFNKPEESLDLEHIETFPSFSPSLHGGDLGGRRRKFLDVPRSGSSPSITCRRFPLWMSDVNHSSCSRHLWPYFLVSWRLKQDFLDLAHVLLLYLRCYVYLLAPM* >Brasy1G118700.1.p pacid=40054712 transcript=Brasy1G118700.1 locus=Brasy1G118700 ID=Brasy1G118700.1.v1.1 annot-version=v1.1 MVPREHGDEAIVADGNGKEDEVGVMGVGAADGDEEQHGAGGGKFSVTSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGTVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVTHTGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAYGDELLSHANAFSLLPKTAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARANAAEKPPFFLPSWTGMFVLNAFIVVWVFVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVMAAAPSSHH* >Brasy1G118700.2.p pacid=40054713 transcript=Brasy1G118700.2 locus=Brasy1G118700 ID=Brasy1G118700.2.v1.1 annot-version=v1.1 MVPREHGDEAIVADGNGKEDEVGVMGVGAADGDEEQHGAGGGKFSVTSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGTVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVTHTGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAYGDELLSHANAFSLLPKTAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARANAAEKPPFFLPSWTGMFVLNAFIVVWVFVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVMAAAPSSHH* >Brasy1G543100.1.p pacid=40054714 transcript=Brasy1G543100.1 locus=Brasy1G543100 ID=Brasy1G543100.1.v1.1 annot-version=v1.1 MGLLELKRLISIQQDRRRRQIQAHDVYVPLLAKRGCSSRQKHDDSEGGKKRLKYSGLDLPEDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNVRINEDELGVLLSSCSALEQFGFGHNNEITCLKIPCMLQRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy1G543100.4.p pacid=40054715 transcript=Brasy1G543100.4 locus=Brasy1G543100 ID=Brasy1G543100.4.v1.1 annot-version=v1.1 MGLLELKRLISIQQDRRRRQIQAHDVYVPLLAKRGCSSRQKHDDSEGGKKRLKYSGLDLPEDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy1G543100.2.p pacid=40054716 transcript=Brasy1G543100.2 locus=Brasy1G543100 ID=Brasy1G543100.2.v1.1 annot-version=v1.1 MDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNVRINEDELGVLLSSCSALEQFGFGHNNEITCLKIPCMLQRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy1G543100.3.p pacid=40054717 transcript=Brasy1G543100.3 locus=Brasy1G543100 ID=Brasy1G543100.3.v1.1 annot-version=v1.1 MDIWCHIHSLVPMQDAARAACVSRTFRRCWRCYPNITFRNSIVALNRNVRGKEETASEYASKVSQVLTNHSGIGLKTINLLFFGHRSSDCCFIDSWLEVAITPAIEELSLVLSSNETTYKFPCSLLSDQCGNSIRYLHLGGCVFRPAVRLSCFSSLANLLLYNRLRYLRVVACNKLRAIESKAPNLSSLCFGKRIQLSLGESLQLKKVTMSYSCALDDARDTLPSTMPNLETLDIASHLETNAPVTRSPFLCLKYLYLKVTVDVYTYPHHINDYISLVPFLDASPSLETFVLNALEPMEHESIFRDRSHLRRMPGHRHEKLKSVSIIGFNSAKSLIELTVHIIESAGSLESLTLDTVGYAFRCSDNNIDKCNTMRGSTRNEARLALLGIQKYIQCKIPSTVKLDVLEPCGRCHSSLALDVKL* >Brasy1G257700.1.p pacid=40054718 transcript=Brasy1G257700.1 locus=Brasy1G257700 ID=Brasy1G257700.1.v1.1 annot-version=v1.1 MRGGIFSLTMAPLLRRLSAALLVAAAFVVDEASGQQLELGAPQPGLLMMPNRSPPTRAFQDAVRLPVDNAAGFAGWWNVVSENAGVSAMHLVVMRHGRAVMFDTTTTGPSLLQLPPGNCRPDPRSIPPGFLDCSAHAVEFDYNTGRLRPLKILTDTWCSSGAFDGEGMLVQTGGYFEGVKVVRHLSPHDNGDWREFPNTLADGRWYGTTQVLPDGRFIVIGGRRAFSYEFVPAPGQSNAAAIPLPLLRDTTDDVENNLYPFVHLLPDGTIFLFANDRSIVFNPENGQILRELPKLPGGARNYPASAMSVLLPLDLRRGERLNAEVMICGGAPKDAFKLGEVNKFPNALRDCGRINPSKPGARWSMDQMPVGRVMGDMLILPTGDLLLINGAAQGCSGWWFARQPVLTPLLYSTRKPRGARFRALAASDIPRMYHSSSAVLPDATVLVAGGNTNSAYNFSGVDFPTEVRVERFTPPYLAPELVAGRPEIDAASVPGNGMKYGAKFSFRFSTPGGQPPVVEGDVKVTMYAPPFTTHGYSMNQRLLVLQVTAFKAEGAKYKVTIHAPSKPALAPPGYYMVFVLAKGVPSKAAWVKIHH* >Brasy1G419900.1.p pacid=40054719 transcript=Brasy1G419900.1 locus=Brasy1G419900 ID=Brasy1G419900.1.v1.1 annot-version=v1.1 MSRQKMALERIPDDVAEGEAQPEVWPSVAEAVPILQRFKATPEIQQYKKKSVTREDFICRRVDKLKLQIQKSAPENNKRDTALLVHEAMGGQLQGGLQGLGIEKLTSVGWMVHMRLRGLRELIAKRQEQAALQLRSLPAPPPTKYQQEASRQDEGGLGALVFSDTAAGDDGVGANGGFSWQWYADLGGPSSSFPPM* >Brasy1G102400.1.p pacid=40054720 transcript=Brasy1G102400.1 locus=Brasy1G102400 ID=Brasy1G102400.1.v1.1 annot-version=v1.1 MPRPGGASATRPSPASCGSSCRRCSRQGRARTRCSTMVRLMRSRRTRTTTRTRRRRNRRKPCGGGGPGGARGGRGTPWP* >Brasy1G511900.1.p pacid=40054721 transcript=Brasy1G511900.1 locus=Brasy1G511900 ID=Brasy1G511900.1.v1.1 annot-version=v1.1 MTYPSEARYLKHPYNQSLASFRGHRVLCALIHCYLFPSHSSAHFVIVLVLFYQLIHVNGLFSPPLFCMLAPLISHLPGLYDELKISNSCCVVVLPH* >Brasy1G384000.1.p pacid=40054722 transcript=Brasy1G384000.1 locus=Brasy1G384000 ID=Brasy1G384000.1.v1.1 annot-version=v1.1 MGKKAIRYAVVDAFAAEPFKGNPAAVCLLEEEHAAAAADDRWMQAVAAEFNLSETAFLARDHSRAGAAAPRFHLRWFTPVTEVDLCGHATLASAHFLFSTVLAEHGVVEFMTRSGILAAKKVPAEESTGAPGEEQGKLFIELDFPRIDFVDCNSAHEMPSIPKTLNGAPIVSVHKSATDGDLIVELSSGEEVVNMLPNIDEIKKLACRGLLVTGPAPAGSGYDIFTRFFCPKFGINEDPVTGSVHCVLAPYWGRKLGKQKLKAFQASPRGGTLYLELDDENRRVRIQGEAVTVMSGTLLA* >Brasy1G021300.1.p pacid=40054723 transcript=Brasy1G021300.1 locus=Brasy1G021300 ID=Brasy1G021300.1.v1.1 annot-version=v1.1 MTRGISRKNKRLIWTAKIERTAVNHNHFLPSRPSSNLPASPHAETGSSEMPPRAPPPFPSLDAFYLHHLRSCASLPQAAAVHAHIARAHPSPSLFLRNTLLAAYCRLGAGDTHQARRLLDEMPRRNAVSFNLLIDAYSRAGHTDESLETFLHACRAAEVKADQFTYAAALAACSRAGRLKEGRAVHALAVLEGLAEGVFVSNSLVSMYARCGDMGEARRVFDVAEERDDVSWNSLVSGYARAGAHEEMLRVFALMRRCGMGLNSFALGSVIKCCSGGDDSVRGVPEAVHGCVVKAGLNTDLFLASAMVDMYAKRGALSEAVAMFKSVLDPNVVVFNAMIAGMCRDEAAVDKEVVREALSLYSELQSQGMEPTEFTFSSVIRACNLAGDIEFGKQIHGQVLKHCFQGDDFIGSALIDLYFNSACMEDGFRCFRSVPKQDVVTWTAMISGCVQNELFERALALFHELLGVGLKPDPFTISSVMNACASLAVVRTGEQIQCFATKSGFDRFTAMGNSCIHMYARSGDVEAAIRRFQEMESHDVVSWSAVISSHAQHGCARQALQFFNEMVGAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMKMEYGLCPTIKHCTCVVDLLGRAGRLAGAEAFIRDSIFHDEPVAWQSLLGSCRIHRDMERGQLVADRIMELQPASSASYVNLYNMYLDSGELSLASKIRDLMKERGVKKEPGLSWIELRSGIHSFVAGDKSHPESNAIYAKLAEMLSKIDKLTTTDTSCIESVDTTGREQNWMNCHSEKLAVALGIIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSECREIILRDVIRFHHFRDGSCSCGDYW* >Brasy1G391400.1.p pacid=40054724 transcript=Brasy1G391400.1 locus=Brasy1G391400 ID=Brasy1G391400.1.v1.1 annot-version=v1.1 MGFLSCLFRCPEDGVAAAAEEEECSRVAHQDASVEFSESSESCPLKTESMDMEGTQRNGAHDEALIFTMRELADATNNFSPDFLLGRGGFGCVYKAYMNGGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLLGYCVDGDQRLLVYEYMPLGSLEDHLHDLSPNQQPLDWTTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYASTGQLTIKSDIYSFGVVFLELITGRRALDSNRPREEQDLVSWVGFVNLRCS* >Brasy1G207400.1.p pacid=40054725 transcript=Brasy1G207400.1 locus=Brasy1G207400 ID=Brasy1G207400.1.v1.1 annot-version=v1.1 MKIRELGDGDSSLVAEEREEAWDGGEVAQLRAKRALVGAGARVLFYPTLLYNVLRNQFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPMSLYQAHGIDHLVIATRDYLFAPSPMDICQAVDFIHRNASQGGTTYVHCKAGRGRSTTVVLCYLIKYKNMTPEAALDHVRSIRPRVLLAPSQWQAVILFSTLTTGCFPVRITNPNCYLEGSQASIPDGEIDDYTMEFDYEDSGLPLCHVMLPRQSSPTGCVDAVFITEEDLEGYDTYIDTRKDVVSLEVAVSRKPIMRRLSCLLGSLKLTSNCEPTPSRFTGVRAC* >Brasy1G079700.1.p pacid=40054726 transcript=Brasy1G079700.1 locus=Brasy1G079700 ID=Brasy1G079700.1.v1.1 annot-version=v1.1 MLAFATSGDIPSASDALASLRRAADSPLPAEFYNIILHALARLRRHDDAIRFYGEMTNTHRVAPDAYTFNILINSSCRVEGVDAAMRWFEEMRRRSCAPNGVSFNTLMRGFFREGRYREGIKVAHEMLQLGVSLSVASMEILIGGLCRGGEALKAAEVFAEFMVDVVVPDGFDCLGLVESLCHIGRVDKAGEVVELVLERNRACCLSVPAGVTVLDCLLKAGKLDEVYRFMDRMVGQGIVPDTISCNCIFEALCEDGRSSDANRLRMLAKEKGFEADSVTYSMLVQGLGRQGRMNEGKAVLDEMLDLGFIPNIMSYNRLLDRLHTRRSLQ* >Brasy1G500300.1.p pacid=40054727 transcript=Brasy1G500300.1 locus=Brasy1G500300 ID=Brasy1G500300.1.v1.1 annot-version=v1.1 MRKQQRKEERAIWDRRFAEEHWYPNKHESDQEARPSLPPMRFTEDCGRSFYRTSALQIFSIKIASIRGGLRWPLDVFGAVVARDVMETKRRQEHPYLELTGPTRLVMTCIDLGNIEVVLKVKGATESEDRVLSFLSLPLQSREYCSYNGDHTSMRSTLELAFHHVDRAVEATIGVRLIGGSSWPEGFQCVLTAGIASVDDAEAVLLAFGDGKLPAVVADDGTIELSRRVVSVERIDGELKVSAVARCGKDEHAVDRRDDVIFTSKPGGRSNGVLNVGACKMQVTVGRLHSRLLAEWMASFALDAPGSDLLQLSLQTLKGSNYSPLLCTSCNVTF* >Brasy1G285100.1.p pacid=40054728 transcript=Brasy1G285100.1 locus=Brasy1G285100 ID=Brasy1G285100.1.v1.1 annot-version=v1.1 MAPLRASSCTSAGRRFDVAFLPVDPPAISRLYVRWPGGTKPEDGKGTDLVATHRDLVLFKLASVKKLDKYPYLLHTEDHFILKASFSDRAGPQLQLKRLPVCTKPLILPRYKEGEEGEKEIVMQRVFFPDTVGLVRSLGRNRKEEEFAVAQLAMVSQIPGSRMMEAEVCVLRSRVSAADGDDGTWEVHKIPIEHKEHEYLDLFHWSTEAAITLNKLMCWVSYYRGGILMYNVLEGSRKISYVQLPIMDRPSSSSQCQAFLEISRGVCVTRDPAKLGQSILRFIDVARTDDALFGPLKEGSGFTIRSYSLSFVHMKWIEDFTITSKELWANNSPRRLPRDIPMFPLVSMDEPNIVYFLLSKSSESKSVDVVTIDTSTKKVRSIVPYIVGEEGLSGPDGDMIREKSHLLRSFIPSKFLWYLKSGGASEISSQEDDGAGGIASSV* >Brasy1G285100.2.p pacid=40054729 transcript=Brasy1G285100.2 locus=Brasy1G285100 ID=Brasy1G285100.2.v1.1 annot-version=v1.1 MAPLRASSCTSAGRRFDVAFLPVDPPAISRLYVRWPGGTKPEDGKGTDLVATHRDLVLFKLASVKKLDKYPYLLHTEDHFILKASFSDRAGPQLQLKRLPVCTKPLILPRYKEGEEGEKEIVMQRVFFPDTVGLVRSLGRNRKEEEFAVAQLAMVSQIPGSRMMEAEVCVLRSRVSAADGDDGTWEVHKIPIEHKEHEYLDLFHWSTEAAITLNKLMCWVSYYRGGILMYNVLEGSRKISYVQLPIMDRPSSSSQCQAFLEISRGVCVTRDPAKLGQSILRFIDVARTDDALFGPLKEGSGFTIRSYSLSFVHMKWIEDFTITSKELWANNSPRRLPRDIPMFPLYQESAVHCSVHCRRGRPLWPRW* >Brasy1G159700.1.p pacid=40054730 transcript=Brasy1G159700.1 locus=Brasy1G159700 ID=Brasy1G159700.1.v1.1 annot-version=v1.1 MDLWVFREPQRDAQLLHSSGAAAAVWVLLVFGPRLVWCGLEPEMRANCLLFW* >Brasy1G284700.1.p pacid=40054731 transcript=Brasy1G284700.1 locus=Brasy1G284700 ID=Brasy1G284700.1.v1.1 annot-version=v1.1 MVAGRKGGGVEIFGLAFGDGWRCYMSTPLNCLSPTKAGTPPLPPFRPEASSFVRSFPSDEIVLMPFLVGIERLSLKFSSISPDSLSIPAGFACWKRTRPRGLLSRRNVQVIGCALEMFDHMPDRNVVTLDGRDRPRIHTY* >Brasy1G279100.1.p pacid=40054732 transcript=Brasy1G279100.1 locus=Brasy1G279100 ID=Brasy1G279100.1.v1.1 annot-version=v1.1 MRFAVLLLLVCAAARAAAVVTDGLLPNGNFEAGPPKTDLVNGTVVKGGNAIPNWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQKLAVARGSYYAITFSAARTCAQAERINISVSPESGVLPMQTIYGSNGWDSYAWAFKAKLGAVQLVLHNVGIEEDPACGPLVDAVAIRTLYPPTLSKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMVMSSKAVKYVDSAHFKVPEGARAVELVGGKESALVQEVRTVPGWTYRLTFAVGDAADGCAGSMVAEAYAARAVVKVPYESKGAGGSKRAVLDFAAVGNRTRVVFQSTFYHMKADGTLCGPVIDDAKLVGLKKKPAARRLML* >Brasy1G373600.1.p pacid=40054733 transcript=Brasy1G373600.1 locus=Brasy1G373600 ID=Brasy1G373600.1.v1.1 annot-version=v1.1 MDDHYKTLGLRRDASKEDVKAAFARLALLHHPDRHPQADAATRTEATRRFRQAYEAYHVLRDDHRRAEFDLLFPFSSDSSGHAHAHCGAESRPSPASGGNGYGGASSSSSSTSNWPYPTQKGTESWGAWARANWYPLLCCTLRVVLDGVLLYNSLKSSRQSIQEEEAEEKKKRNNEPSEEQQ* >Brasy1G373600.2.p pacid=40054734 transcript=Brasy1G373600.2 locus=Brasy1G373600 ID=Brasy1G373600.2.v1.1 annot-version=v1.1 MDDHYKTLGLRRDASKEDVKAAFARLALLHHPDRHPQADAATRTEATRRFRQAYEAYHVLRDDHRRAEFDLLFPFSSDSSGHAHAHCGAESRPSPASGGNGYGGASSSSSSTSNWPYPTQKGTESWGAWARANWYPLLC* >Brasy1G073900.1.p pacid=40054735 transcript=Brasy1G073900.1 locus=Brasy1G073900 ID=Brasy1G073900.1.v1.1 annot-version=v1.1 MADWSSLSHDLLRKISDSFLATQDLDYYMIFRFVCRQWRHATAKPTLDGTDPRFLRKRLPCLRGFFFRPPRRRRESAAARDPRAQPLHGRRGVLQGAHPRGQASYVFSWIDQSSDSFEQAQFRPREPDWCMDSHMALFGGEVHVNGESGCIVSSRARTVAVARVVAVAEQRRSARTVTMDTAIVSSSSQVHAYNIYQYYLVESLGKLLLVRARPRYFTDPAVYQVDTAIVPVKSVGGRAIFVSDFRCFSVDASKFPTVQAGTIYCAFPDCVVSYEYEGLTRSSEWLASVDPGTECLRCCCRPLTLPQFFASHCKEDVGQMSELEMMLCYGVVE* >Brasy1G220800.1.p pacid=40054736 transcript=Brasy1G220800.1 locus=Brasy1G220800 ID=Brasy1G220800.1.v1.1 annot-version=v1.1 MISCRLVPRAASSLLVPVHPVAPPRAYSTLLLPPTSPRCTCLLAALGHSPAPPRAFWSGGREGARPGPPPLATGPEQIFSASSVAASLGRRRLGLCRAHAQPSRSRRDLLF* >Brasy1G220800.2.p pacid=40054737 transcript=Brasy1G220800.2 locus=Brasy1G220800 ID=Brasy1G220800.2.v1.1 annot-version=v1.1 MISCRLVPRAASSLLVPVHPVAPPRAYSTLLLPPTSPRCTCLLAALGHSPAPPRAFWSGGREGARPGPPPLATGPEQIFSASSVAASLGRRRLGLCRAHAQPSRSRRDLLF* >Brasy1G096000.1.p pacid=40054738 transcript=Brasy1G096000.1 locus=Brasy1G096000 ID=Brasy1G096000.1.v1.1 annot-version=v1.1 MSRADQAARGAGNGKGEEEECRYKGVRRRRWGKWVSEIRVPGSRERLWLGSYATPQAAAVAHDAAVFFLRGPGATDVDAALNFPERAAAAYGMGTGAAAARLSPRSVQRVASGAGMAADAQLVEAREQRLVSGEISVDDMEILM* >Brasy1G332100.1.p pacid=40054739 transcript=Brasy1G332100.1 locus=Brasy1G332100 ID=Brasy1G332100.1.v1.1 annot-version=v1.1 MKKKYFAKNLQHFRTLDEAFEFYNAYAKHTGFGLKRSQRNTYRSYIRCTREGKYTTSVRDGERQRDRASKKIGCKAHMGLKVNGDGGCIIKSIHFEHNHQLTLSPSMLVFLHSHKRVNPTLQDYIKDLQLSNVKHVNIMSLLTRLSGGRDKAGMS* >Brasy1G448500.1.p pacid=40054740 transcript=Brasy1G448500.1 locus=Brasy1G448500 ID=Brasy1G448500.1.v1.1 annot-version=v1.1 MVKPAAGAGAGAALRKEAEAAVKLDRDGRHDEALARVDELAAGHAGSSVVLYAAGHVHYAQARRAKASGEKEVVAKHLTTAHAYLTEAKRLVPNCIDISVLLSRVLIEASRHGEAGAELRRAIGIHSPVDPAENNVDMYSGDACTRSTKDQRVENSRETARLSFQAIREWICDNDVALVVRKACEVELDANDRRGMAQALRTANDLAKRYPQSSRAQLFRSYMKLNFARSLDATIDRRPFLDHIKDDMPEIVDLSNGSLVLSLFRAKLCFVLGSYEDAYLECLVAFGTEEPVDPKLEDVPPGSVKGEESADRLSSIYSEFARLIGRLLWVAKGYWNSMTIEEQDSFLSVRLIEVHKYYHESYESDHWAAKTISDALSFVKKTKSWRFWICPYCVGKKLPDAGSLLRHMCSKHPAEKDLLPKLHLILDPKLSDDTCVDDDFSLDEMITVGQDSEDHYFFQFKNTDHIFEHLFLPASSVTEEESFAEIRGEKCKRGTEVLEKMKQRLKDLPTDKLSAEFDKAHREVQELWCDFLTISMLDYRTVILPLVETFLWNELIKDTSGDNAASKSIDNADIGTVFPDVIYDPGSNALVQNFYKLSDGNEDHKSGDDQETENMKPSSLDNTLVDDEKGEESGPLVEDRNSGTVPVVDKKSSDPTIDMDESRISKLAARIANVELGKKGSSGQSVGEMGSTSSHQPSFNVFNKNNSDKVLFSLRIIIQSLCNLKHFRDDFLTRALEWNPSSDNPCIADILYGIFFAWERYIPYPTVDLLTSVKTVLCRLADDSTIYEKVGENFATETLLIILNGLHMSETSLSFSFNSETEGQVVNPITCGDCICPTHNLFGINFDAQMSCRCGKSSDKYLYTTLFHILDAGSPQTTKMKSFADLRFRLDEQFCKDNSCKHCETVENVDLFLSNTPHFFTIGLNWVSGSTSQDTLSEVLACITYPLDAEFFCRSACSTTKYIVTSMICYADERYVCFARDEDNWLIYDSETIEAVDTWEHLLESFKDCKLLPEVLFFEVIK* >Brasy1G448500.2.p pacid=40054741 transcript=Brasy1G448500.2 locus=Brasy1G448500 ID=Brasy1G448500.2.v1.1 annot-version=v1.1 MVKPAAGAGAGAALRKEAEAAVKLDRDGRHDEALARVDELAAGHAGSSVVLYAAGHVHYAQARRAKASGEKEVVAKHLTTAHAYLTEAKRLVPNCIDISVLLSRVLIEASRHGEAGAELRRAIGIHSPVDPAENNVDMYSGDACTRSTKDQRVENSRETARLSFQAIREWICDNDVALVVRKACEVELDANDRRGMAQALRTANDLAKRYPQSSRAQLFRSYMKLNFARSLDATIDRRPFLDHIKDDMPEIVDLSNGSLVLSLFRAKLCFVLGSYEDAYLECLVAFGTEEPVDPKLEDVPPGSVKGEESADRLSSIYSEFARLIGRLLWVAKGYWNSMTIEEQDSFLSVRLIEVHKYYHESYESDHWAAKTISDALSFVKKTKSWRFWICPYCVGKKLPDAGSLLRHMCSKHPAEKDLLPKLHLILDPKLSDDTCVDDDFSLDEMITVGQDSEDHYFFQFKNTDHIFEHLFLPASSVTEEESFAEIRGEKCKRGTEVLEKMKQRLKDLPTDKLSAEFDKAHREVQELWCDFLTISMLDYRTVILPLVETFLWNELIKDTSGDNAASKSIDNADIGTVFPDVIYDPGSNALVQNFYKLSDGNEDHKSGDDQETENMKPSSLDNTLVDDEKGEESGPLVEDRNSGTVPVVDKKSSDPTIDMDESRISKLAARIANVELGSSGQSVGEMGSTSSHQPSFNVFNKNNSDKVLFSLRIIIQSLCNLKHFRDDFLTRALEWNPSSDNPCIADILYGIFFAWERYIPYPTVDLLTSVKTVLCRLADDSTIYEKVGENFATETLLIILNGLHMSETSLSFSFNSETEGQVVNPITCGDCICPTHNLFGINFDAQMSCRCGKSSDKYLYTTLFHILDAGSPQTTKMKSFADLRFRLDEQFCKDNSCKHCETVENVDLFLSNTPHFFTIGLNWVSGSTSQDTLSEVLACITYPLDAEFFCRSACSTTKYIVTSMICYADERYVCFARDEDNWLIYDSETIEAVDTWEHLLESFKDCKLLPEVLFFEVIK* >Brasy1G448500.3.p pacid=40054742 transcript=Brasy1G448500.3 locus=Brasy1G448500 ID=Brasy1G448500.3.v1.1 annot-version=v1.1 MVKPAAGAGAGAALRKEAEAAVKLDRDGRHDEALARVDELAAGHAGSSVVLYAAGHVHYAQARRAKASGEKEVVAKHLTTAHAYLTEAKRLVPNCIDISVLLSRVLIEASRHGEAGAELRRAIGIHSPVDPAENNVDMYSGDACTRSTKDQRVENSRETARLSFQAIREWICDNDVALVVRKACEVELDANDRRGMAQALRTANDLAKRYPQSSRAQLFRSYMKLNFARSLDATIDRRPFLDHIKDDMPEIVDLSNGSLVLSLFRAKLCFVLGSYEDAYLECLVAFGTEEPVDPKLEDVPPGSVKGEESADRLSSIYSEFARLIGRLLWVAKGYWNSMTIEEQDSFLSVRLIEVHKYYHESYESDHWAAKTISDALSFVKKTKSWRFWICPYCVGKKLPDAGSLLRHMCSKHPAEKDLLPKLHLILDPKLSDDTCVDDDFSLDEMITVGQDSEDHYFFQFKNTDHIFEHLFLPASSVTEEESFAEIRGEKCKRGTEVLEKMKQRLKDLPTDKLSAEFDKAHREVQELWCDFLTISMLDYRTVILPLVETFLWNELIKDTSGDNAASKSIDNADIGTVFPDVIYDPGSNALVQNFYKLSDGNEDHKSGDDQETENMKPSSLDNTLVDDEKGEESGPLVEDRNSGTVPVVDKKSSDPTIDMDESRISKLAARIANVELGKKGSSGQSVGEMGSTSSHQPSFNVFNKNNSDKVLFSLRIIIQSLCNLKHFRDDFLTRALEWNPSSDNPCIADILYGIFFAWERYIPYPTVDLLTSVKTVLCRLADDSTIYEKVGENFATETLLIILNGLHMSETSLSFSFNSETEGQVVNPITCGDCICPTHNLFGINFDAQMSCRCGKSSDKYLYTTLFHILDAGSPQTTKMKSFADLRFRLDEQFCKDNSCKHCETVENVDLFLSNTPHFFTIGLNWVSGSTSQDTLSEVLACITYPLDAEFFCRSACSTTKYIVTSMVLQKRVENYEQYWYWLIYIVGGVYNL* >Brasy1G448500.4.p pacid=40054743 transcript=Brasy1G448500.4 locus=Brasy1G448500 ID=Brasy1G448500.4.v1.1 annot-version=v1.1 MVKPAAGAGAGAALRKEAEAAVKLDRDGRHDEALARVDELAAGHAGSSVVLYAAGHVHYAQARRAKASGEKEVVAKHLTTAHAYLTEAKRLVPNCIDISVLLSRVLIEASRHGEAGAELRRAIGIHSPVDPAENNVDMYSGDACTRSTKDQRVENSRETARLSFQAIREWICDNDVALVVRKACEVELDANDRRGMAQALRTANDLAKRYPQSSRAQLFRSYMKLNFARSLDATIDRRPFLDHIKDDMPEIVDLSNGSLVLSLFRAKLCFVLGSYEDAYLECLVAFGTEEPVDPKLEDVPPGSVKGEESADRLSSIYSEFARLIGRLLWVAKGYWNSMTIEEQDSFLSVRLIEVHKYYHESYESDHWAAKTISDALSFVKKTKSWRFWICPYCVGKKLPDAGSLLRHMCSKHPAEKDLLPKLHLILDPKLSDDTCVDDDFSLDEMITVGQDSEDHYFFQFKNTDHIFEHLFLPASSVTEEESFAEIRGEKCKRGTEVLEKMKQRLKDLPTDKLSAEFDKAHREVQELWCDFLTISMLDYRTVILPLVETFLWNELIKDTSGDNAASKSIDNADIGTVFPDVIYDPGSNALVQNFYKLSDGNEDHKSGDDQETENMKPSSLDNTLVDDEKGEESGPLVEDRNSGTVPVVDKKSSDPTIDMDESRISKLAARIANVELGSSGQSVGEMGSTSSHQPSFNVFNKNNSDKVLFSLRIIIQSLCNLKHFRDDFLTRALEWNPSSDNPCIADILYGIFFAWERYIPYPTVDLLTSVKTVLCRLADDSTIYEKVGENFATETLLIILNGLHMSETSLSFSFNSETEGQVVNPITCGDCICPTHNLFGINFDAQMSCRCGKSSDKYLYTTLFHILDAGSPQTTKMKSFADLRFRLDEQFCKDNSCKHCETVENVDLFLSNTPHFFTIGLNWVSGSTSQDTLSEVLACITYPLDAEFFCRSACSTTKYIVTSMVLQKRVENYEQYWYWLIYIVGGVYNL* >Brasy1G037800.1.p pacid=40054744 transcript=Brasy1G037800.1 locus=Brasy1G037800 ID=Brasy1G037800.1.v1.1 annot-version=v1.1 MVKASVVCCFKLLLLLALGGVTMHVPDADVLAPLESLRLDGRLTFHDVSAVARDFGNRCSLLPTAVLHPGSVSDVATAVRHVFQLGERSPLTVAARGHAHSLMGQSQAAGGIVVKMESLGGRMRVVRSGGVSPYVDAPGGELWINVLHETLKHGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRQGPQVSNVNQLEIVTGRGDVVTCSPEENSDLFYGALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEMMISAEKTFDYIEGLVIINRTGILNNWRTSFKSQDPVQASQFQSDGRVLYCLEMTKNFNHGEADIMEQEVGVLLSRLRYIQSTLFHTDVTYLEFLDRVHSSELKLRAQGLWEVPHPWLNLLIPRSTIQKFAKEVFGKILKDSNNGPILLYPVNKSKWDNRTSVVIPDEEIFYLVGFLSSAPSLSGHGSVEHAVNLNNKIVDFCEKAGVGMKQYLAPYTTQQQWKAHFGARWETFERKKHMYDPLAILAPGQRIFPKASLPMSL* >Brasy1G515100.1.p pacid=40054745 transcript=Brasy1G515100.1 locus=Brasy1G515100 ID=Brasy1G515100.1.v1.1 annot-version=v1.1 MKKTYVERKRKRTNKRQRHKTDLPDELVFEILTRLPVKSLVRFKTVSKAWQATISDPFFVLLQFDATLEYCFQHASTDIHFYRWNLEDFDNSIRTNTTATLLCRKHFPDGEFRPVSLMAHCDGLVLLPTNTKAYVFNPAMGNVVALPESRRNMSMKETCLPVGFGLDASTGRYKVARSFYRRRRYKPLEIITMGMDVFTIGGGGGEDGSECSWRQTLADPPGPNLCNLAPNANVRIN* >Brasy1G329100.1.p pacid=40054746 transcript=Brasy1G329100.1 locus=Brasy1G329100 ID=Brasy1G329100.1.v1.1 annot-version=v1.1 MALVLTCAQAPLPTLWRKPPRPLIARITRANAPLFASVRGCQGGAARRRGWAQICRDSSLQEPPGVGPPEQEKESKDGLAAATVPQIGGGGGGRLSDWTTSVLLFGFWAGIMYYVFQLAPNQTPYRDTYFLQKLLSLKGDDGFRMNGVLVSLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCFGGAYALIPYFVLWKPPPPAIDEDEIGQWPLKFLESKLTAGVMFAVGLGLVIYAGKAGGDDWREFFQYFRESKFIHATCLDFSLLSTFSPFWVYNDMTARRWKNGSWVLPLALIPFLGPSLYLLLRPSLSSLLGATAASSDKPQK* >Brasy1G098500.1.p pacid=40054747 transcript=Brasy1G098500.1 locus=Brasy1G098500 ID=Brasy1G098500.1.v1.1 annot-version=v1.1 MAEDSFSLHFAGAGSWRDADPIPQRMPLHFSVPTHGRGTFLVSIDGDGNDTHISFPHIPKIHGGRLMATTTVGSGPLIFVDYADEFFTGDYPVPAGNFPTSRCLIAATCAALRRPYPRSALSDDEDEGGEHIAAELQPPAQQPASDWIKPEGSSSSKGLLKTPSCKVTSPTCPQAPTLSPGSPILAKLRSDWGTEFYIRVDREGLFHTYPDVGGPFQSTEQAENAIDRYLHGRRDPSMCEETAGVSEDEMVIRQCVFWPDGTRKRRTKSYVLQKVRDQMRELVHAVVDQYNEDHSLTGDYAYELKDILQHEHFRENNMGYRHLNFIAKTKVEYDDGGGQDDYVIDPVAAAAVAAVDGDDDFDDDFDLDCWVDKLFFVELKQTKQEGRNGEWIVSCFCMLGRLDNGPCYACLEDVKHPNKADAYTGGHVPPDVLGGLPAMWSDSDEDEDANERWIRRSYEDEERRPFVVPWYATPK* >Brasy1G406900.1.p pacid=40054748 transcript=Brasy1G406900.1 locus=Brasy1G406900 ID=Brasy1G406900.1.v1.1 annot-version=v1.1 MAPASIAATMALLVLLMLSTSHIASSFRPSLGVCHASGYLPGKAGHCEKSNDPDCCEDGKRYPQYRCSPPVTAATKAVLTLNSFEKGKDGGGPSECDNAYHSDKEMVVALSTGWFENMHRCGHRIKISANGKSVYAKVVDECDSVYGCDEDHNYEPPCDNNIVDASPAVWNALGLDQNVGMEGITWSNE* >Brasy1G028100.1.p pacid=40054749 transcript=Brasy1G028100.1 locus=Brasy1G028100 ID=Brasy1G028100.1.v1.1 annot-version=v1.1 MDAAALEALILDLHAIEAVKLGSFVLKSGITSPIYLDLRALVSHPRLLSSIASLLHALPSTRPYDILCGVPYTALPIASVLSVDRSVPMLLRRKEVKSHGTAKAIEGSFRAGDTVLIIEDLVTSGASVLETAKPLRAEGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVSEEKAGEVKQFLDANRKVTVPGSVVKPKVVRKGYAERAGLTKNPMGRKLFEVMEAKQSNLCVSADVGTAKELLELADKVGSEICMLKTHVDILSDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLANGDYTAAAVKSAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYTTPYSVINERGSDIIIVGRGIIKASDPYETAREYRIQGWQAYQSSLQ* >Brasy1G272600.1.p pacid=40054750 transcript=Brasy1G272600.1 locus=Brasy1G272600 ID=Brasy1G272600.1.v1.1 annot-version=v1.1 MFDAMAFLISSLAQRLKIRHRNKRIASSSNIRRPSLFSCGGGSGSGDTFSPFVKPKTSRKPKGPSGSVEHGHDDSRKADVDEPCVWRRTILLGQRCQPLEFNGAIHYDGEGQRLWHSRTPPRSPLPSPVRSLEFGYMDRA* >Brasy1G281400.1.p pacid=40054751 transcript=Brasy1G281400.1 locus=Brasy1G281400 ID=Brasy1G281400.1.v1.1 annot-version=v1.1 MHALCTSIFLCGNYNGQTFAPSPFRATCSEPRLPARQGRLLIRPSPSLAASCWCVPLAAAAGCCRPEPAPHAAPTAAAIPAIGHGSSAAARPVVGRCSTMAAPLPPPRAPPSGAATTRSRAAWAAASSPLRAATLLPCGWALPSPRCEPAAGRCSTAAPRCPGHRPELLRRALPRPQP* >Brasy1G120500.1.p pacid=40054752 transcript=Brasy1G120500.1 locus=Brasy1G120500 ID=Brasy1G120500.1.v1.1 annot-version=v1.1 MASQSPPAPLHPHQLQQQPLPPHQHPHPQYQAPPPSMPPPSGAPPKTMDLEVTVVSGKHLKNVNWRRGDLRAYAVAYLDPSRRTATRPDDAGGCKPAWNERIVLPLPPHLSPHDPSLLLSIDVFHSKPSDSPKPLVGSARSPLRELLFPANPNPSSDSASPLITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPNYSAPPQYGSEQYYRPSGYYSAPPPPSQYEYTTGPSAPVEYSRQYEQRARTEGGTGSGRYGVGTGLAVGAVAGAVGGLAIDEGVKYKEEKAAEGGGEGGTSWKG* >Brasy1G531000.1.p pacid=40054753 transcript=Brasy1G531000.1 locus=Brasy1G531000 ID=Brasy1G531000.1.v1.1 annot-version=v1.1 MARRLLLLAAIVALLASPVTAASYPWLVRGHSGNFAQNSTYQANIDSIASTLPKNASASANLFATAQVGVVPEMAFTDLTNACAYSKDATIYYDSCALHYSNIHFRASDNTEYSSTYRLRNDFNATLEPARFQRVVAALMNATVDCAAYNNSKRMYASGEADFDRELPKVYAWAQCTPDMAPARCRDCLGRIMEALPRQFTDAIGARVLGVRCSYRYETKPFWNGPVMVRLQGTSAASPGAPPAPAPTSVVPNVVTPGAAAAAAEDNTEYSSTYRLRNQYFNATLEPARFQRVVAALMNATVDYAAYNNSKRMYASGEADFDRELPKLGQGGYGVVFKGRLHDGRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALIYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHYSCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSFGMMLLEMVGGRKNVKSIVEKSSEKYFPDWIYDHFDQDDGLQACEVTSEIEEIARKMTIIGLWCIQVLPVYRPTITKVLEMFERSLDDLDMPPKQNFSELL* >Brasy1G368200.1.p pacid=40054754 transcript=Brasy1G368200.1 locus=Brasy1G368200 ID=Brasy1G368200.1.v1.1 annot-version=v1.1 MLVMSECKGRDRSPSSSMDSSTHPALSTASATCWPTTRPDLSTDLRLGLSLSTSSSSSSSLQAAESIPSTPSRNQVLFSWPPIKPFLRSALAASASRRRRQQTLFVKVYMEGLPIGRKLDLLLLDGYDSLLVKLCNMFKTPITYADVYQQQVPGVKAAHFLTYEDQDGDWMMVGDVPWDLFLTSVKKLRITRTDKC* >Brasy1G368200.2.p pacid=40054755 transcript=Brasy1G368200.2 locus=Brasy1G368200 ID=Brasy1G368200.2.v1.1 annot-version=v1.1 MLVMSECKGRDRSPSSSMDSSTHPALSTASATCWPTTRPDLSTDLRLGLSLSTSSSSSSSLQAAESIPSTPRNQVLFSWPPIKPFLRSALAASASRRRRQQTLFVKVYMEGLPIGRKLDLLLLDGYDSLLVKLCNMFKTPITYADVYQQQVPGVKAAHFLTYEDQDGDWMMVGDVPWDLFLTSVKKLRITRTDKC* >Brasy1G368200.3.p pacid=40054756 transcript=Brasy1G368200.3 locus=Brasy1G368200 ID=Brasy1G368200.3.v1.1 annot-version=v1.1 MLVMSECKGRDRSPSSSMDSSTHPALSTASATCWPTTRPDLSTDLRLGLSLSTSSSSSSSLQAAESIPSTPSRNQVLFSWPPIKPFLRSALAASASRRRRQQTLFVKVYMEGLPIGRKLDLLLLDGYDSLLVKLCNMFKTPITFQSCHDLHIWQCLWPSAL* >Brasy1G368200.4.p pacid=40054757 transcript=Brasy1G368200.4 locus=Brasy1G368200 ID=Brasy1G368200.4.v1.1 annot-version=v1.1 MLVMSECKGRDRSPSSSMDSSTHPALSTASATCWPTTRPDLSTDLRLGLSLSTSSSSSSSLQAAESIPSTPRNQVLFSWPPIKPFLRSALAASASRRRRQQTLFVKVYMEGLPIGRKLDLLLLDGYDSLLVKLCNMFKTPITFQSCHDLHIWQCLWPSAL* >Brasy1G458900.1.p pacid=40054758 transcript=Brasy1G458900.1 locus=Brasy1G458900 ID=Brasy1G458900.1.v1.1 annot-version=v1.1 MSERVKGTVKWFNVSKGFGFISPEDGGEDLFVHQSAIKSDGYRSLNEADTVEFSIESGDDGRTKAADVTAPGGGALPGGPRPSEGGGGVGGGGYGDRGGRSYGGGGGYGGGGYGGGGGYRGYGGGGGGGGGGRACYKCGEEGHISRDCSQGGGGGGGYGGGRGGGGGGGGGGCFSCGESGHFSRECPNKKY* >Brasy1G464600.1.p pacid=40054759 transcript=Brasy1G464600.1 locus=Brasy1G464600 ID=Brasy1G464600.1.v1.1 annot-version=v1.1 MAPDSALPAKVDGSAIAGLALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMISGSCSQHDAGKGDFQELDQIAATKPFAKLAVKATSIADIPRLVFQALAATVAGRPGGCYLDIPSDVLHQTLTGSEAASLIAAAAAADSTDSSPPKDKALGEGIVKAAELLRRAERPLVVVGKGAAYARAEEVIQKLVDTTGIPFLPTPMGKGVVPDVHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEDEIELRKPQVGLVGDAKRVIELLNREIKDQPFCLARSHPWVDAITKKTKDNVLKMEAQLVKDVVPFNFMTPMRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCVAAAVAEPGRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDELTGPYKDDPAPTSFVPAAAYHKMMEAFGGKGYLVETPEELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN* >Brasy1G580700.1.p pacid=40054760 transcript=Brasy1G580700.1 locus=Brasy1G580700 ID=Brasy1G580700.1.v1.1 annot-version=v1.1 MSSTLTARPAMGISKSGAPHRSTRCAPLDQSKYTDEIETPHPFPSRNGEKKRTTERFQSTSPPTPIPVLCLRPHPQLGYLRSPTPTEESPMAVASPRFRLGSRPWRPTAAAEAAMEMATTAAAEEAADDGDGDNGDVGGSGRRRGFQADVHAHEGNEEGIRQLLDANFRDSDGRTAMHISACEGHAEVFELLLDRGTGAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKNKVL* >Brasy1G580700.2.p pacid=40054761 transcript=Brasy1G580700.2 locus=Brasy1G580700 ID=Brasy1G580700.2.v1.1 annot-version=v1.1 MSSTLTARPAMGISKSGAPHRSTRCAPLDQSKYTDEIETPHPFPSRNGEKKRTTERFQSTSPPTPIPVLCLRPHPQLGYLRSPTPTEESPMAVASPRFRLGSRPWRPTAAAEAAMEMATTAAAEEAADDGDGDNGDVGGSGRRRGFQADVHAHEGNEEGIRQLLDANFRDSDGRTAMHISACEGHAEVFELLLDRGTGAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKNK* >Brasy1G580700.3.p pacid=40054762 transcript=Brasy1G580700.3 locus=Brasy1G580700 ID=Brasy1G580700.3.v1.1 annot-version=v1.1 MSSTLTARPAMGISKSGAPHRSTRCAPLDQSKYTDEIETPHPFPSRNGEKKRTTERFQSTSPPTPIPVLCLRPHPQLGYLRSPTPTEESPMAVASPRFRLGSRPWRPTAAAEAAMEMATTAAAEEAADDGDGDNGDVGGSGRRRGFQADVHAHEGNEEGIRQLLDANFRDSDGRTAMHISACEGHAEVFELLLDRGTGAVEDQWGSTES* >Brasy1G329500.1.p pacid=40054763 transcript=Brasy1G329500.1 locus=Brasy1G329500 ID=Brasy1G329500.1.v1.1 annot-version=v1.1 MTFWDDKAEPQLSDGEVVSRLKQPGCVCASVAMTKHGNCQNVCASPLFVKTFLTNICNGSKCLRAVKCILKMLFVEIVKMTLHHQAAGDHIQKSEPDSLSAQRHVILYRGLVLLL* >Brasy1G204400.1.p pacid=40054764 transcript=Brasy1G204400.1 locus=Brasy1G204400 ID=Brasy1G204400.1.v1.1 annot-version=v1.1 MASWLKVAEDLLEVVDRRAKSVATELSDEQPSSQPSGSSGQEGQAKRGKSSEKGTLKLSTVDASKKTAAQKERKNRQPPRERIKIEKIKLSPSGDSSNAVAIASASEPEVTSIDVKGANDEGTSDKAENTTVDLKNDKGVNAIDDAVEVQSLEKYPEDAGPVMDGVADPGHLESASGSSVPSVPDEKSEPSSSNQATEIAPAVNLEEKDTSVAVIQERNISEAPDIQGSGKLQESKKDNLSGSPEIIENQQEDKSDSVPVKDQDQLEEAQGLLKSAAKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVHEREKCSSYEAHIKQLQQELSVSKVQGSRVESNMVDALTAKNSEIESLAKSLDSWKKKAAASEEMIASLQEDMDGLKRNRELTETRIIQALREELATVERRAEEERISHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMEFEHKVAVLEVECASLHQELQEMEARNRRAQKKPSEEANQVLQIQAWQEEVERARQSQREAESKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKGALEFQLEKSLKQFHEVQVEAEKSRVSRRSASSWEEDTDINALEPLPLHHRHMATANQQLQKAAKFLDSGAVRATRFLWRHPVARVTLLFYLVFVHLFLMYLMQRLQDFAARESAASSIGELTNVNLP* >Brasy1G204400.2.p pacid=40054765 transcript=Brasy1G204400.2 locus=Brasy1G204400 ID=Brasy1G204400.2.v1.1 annot-version=v1.1 MASWLKVAEDLLEVVDRRAKSVATELSDEQPSSQPSGSSGQEGQAKRGKSSEKGTLKLSTVDASKKTAAQKERKNRQPPRERIKIEKIKLSPSGDSSNAVAIASASEPEVTSIDVKGANDEGTSDKAENTTVDLKNDKGVNAIDDAVEVQSLEKYPEDAGPVMDGVADPGHLESASGSSVPSVPDEKSEPSSSNQATEIAPAVNLEEKDTSVAVIQERNISEAPDIQGSGKLQESKKDNLSGSPEIIENQQEDKSDSVPVKDQDQLEEAQGLLKSAAKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVHEREKCSSYEAHIKQLQQELSVSKVQGSRVESNMVDALTAKNSEIESLAKSLDSWKKKAAASEEMIASLQEDMDGLKRNRELTETRIIQALREELATVERRAEEERISHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMEFEHKVAVLEVECASLHQELQEMEARNRRAQKKPSEEANQVLQIQAWQEEVERARQSQREAESKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLVEAEKSRVSRRSASSWEEDTDINALEPLPLHHRHMATANQQLQKAAKFLDSGAVRATRFLWRHPVARVTLLFYLVFVHLFLMYLMQRLQDFAARESAASSIGELTNVNLP* >Brasy1G204400.3.p pacid=40054766 transcript=Brasy1G204400.3 locus=Brasy1G204400 ID=Brasy1G204400.3.v1.1 annot-version=v1.1 MASWLKVAEDLLEVVDRRAKSVATELSDEQPSSQPSGSSGQEGQAKRGKSSEKGTLKLSTVDASKKTAAQKERKNRQPPRERIKIEKIKLSPSGDSSNAVAIASASEPEVTSIDVKGANDEGTSDKAENTTVDLKNDKGVNAIDDAVEVQSLEKYPEDAGPVMDGVADPGHLESASGSSVPSVPDEKSEPSSSNQATEIAPAVNLEEKDTSVAVIQERNISEAPDIQGSGKLQESKKDNLSGSPEIIENQQEDKSDSVPVKDQDQLEEAQGLLKSAAKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVHEREKCSSYEAHIKQLQQELSVSKVQGSRVESNMVDALTAKNSEIESLAKSLDSWKKKAAASEEMIASLQEDMDGLKRNRELTETRIIQALREELATVERRAEEERISHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMEFEHKVAVLEVECASLHQELQEMEARNRRAQKKPSEEANQVLQIQAWQEEVERARQSQREAESKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKGALEFQLEKSLKQFHEVQVEAEKSRVSRRSASSWEEDTDINALEPLPLHHRHMATANQQVFVHLFLMYLMQRLQDFAARESAASSIGELTNVNLP* >Brasy1G204400.4.p pacid=40054767 transcript=Brasy1G204400.4 locus=Brasy1G204400 ID=Brasy1G204400.4.v1.1 annot-version=v1.1 MASWLKVAEDLLEVVDRRAKSVATELSDEQPSSQPSGSSGQEGQAKRGKSSEKGTLKLSTVDASKKTAAQKERKNRQPPRERIKIEKIKLSPSGDSSNAVAIASASEPEVTSIDVKGANDEGTSDKAENTTVDLKNDKGVNAIDDAVEVQSLEKYPEDAGPVMDGVADPGHLESASGSSVPSVPDEKSEPSSSNQATEIAPAVNLEEKDTSVAVIQERNISEAPDIQGSGKLQESKKDNLSGSPEIIENQQEDKSDSVPVKDQDQLEEAQGLLKSAAKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVHEREKCSSYEAHIKQLQQELSVSKVQGSRVESNMVDALTAKNSEIESLAKSLDSWKKKAAASEEMIASLQEDMDGLKRNRELTETRIIQALREELATVERRAEEERISHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMEFEHKVAVLEVECASLHQELQEMEARNRRAQKKPSEEANQVLQIQAWQEEVERARQSQREAESKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLVEAEKSRVSRRSASSWEEDTDINALEPLPLHHRHMATANQQVFVHLFLMYLMQRLQDFAARESAASSIGELTNVNLP* >Brasy1G502100.1.p pacid=40054768 transcript=Brasy1G502100.1 locus=Brasy1G502100 ID=Brasy1G502100.1.v1.1 annot-version=v1.1 MSGAGFKDTFLSSFDDIDTYIGNVTSEIVKNVEQLQKLGLRKVLVNNLHPIGCTPLHTKSNNNTVCDLLENYGASLHNNNLQELMGKKNNAHILDLYTAFTNIVNHASGQESDQSKEFTSKLTPCCNRIHPTGYCGQCNASGEALYDLCQNPSKFFYWDEMHPTHAGWAAVMKALEQPLKEFLDREYIH* >Brasy1G306900.1.p pacid=40054769 transcript=Brasy1G306900.1 locus=Brasy1G306900 ID=Brasy1G306900.1.v1.1 annot-version=v1.1 MKSMPQLELEAGVDGGAAPRREETEGHWHGAKEPAPAAFMTQRVKKRLDRSKRQWGCAVNALFVTFIVIALPAMAILSGARSSASAVWVANALRLGSATTSHDTDRLLGGLLSADGFVDERSCHSRFQSARYRRNAGKRPSRYLVSKLRQHEALQRRCGPGTDAYSNALEQLGSGKKKMQKSYEAGDGECRYLVSISYSYQGLGNRILAATSAFLYAVLTGRVLLVDPSNHMGKLFCEPFPNTTWLLPTDSFPLKGYTNFSAETAESYGNMLKNNKMFKTDDAARMPPPAFVYVHLNHDASGGGLDKLFFCDEDQRALRDVQWLLMRTDNYIVPGLFLLTGFQEELGMLFPEPDTVFHHLGRYLLHPNNHVWALVMRYYDAYLATAQQRVGIQVRVFGADPESPELLKQITSCTRNENLLPELLTASEPETVTAVPEFARGESKAVLVTSLKSWYYEKLKSMYWEHGTVTGEAVGVHQPSHEEYQRFRAKSHDTRAWAEMYLLSLTDVLVTSGASTFGYVAQGLGGLTPWVMYKPPANGSVVADPPCGRGVSMEPCFHDPPAYDCKTKKWADTGKIVPHVQHCEDVSWGLKLVPRMA* >Brasy1G306900.2.p pacid=40054770 transcript=Brasy1G306900.2 locus=Brasy1G306900 ID=Brasy1G306900.2.v1.1 annot-version=v1.1 MKSMPQLELEAGVDGGAAPRREETEGHWHGAKEPAPAAFMTQRVKKRLDRSKRQWGCAVNALFVTFIVIALPAMAILSGARSSASAVWVANALRLATTSHDTDRLLGGLLSADGFVDERSCHSRFQSARYRRNAGKRPSRYLVSKLRQHEALQRRCGPGTDAYSNALEQLGSGKKKMQKSYEAGDGECRYLVSISYSYQGLGNRILAATSAFLYAVLTGRVLLVDPSNHMGKLFCEPFPNTTWLLPTDSFPLKGYTNFSAETAESYGNMLKNNKMFKTDDAARMPPPAFVYVHLNHDASGGGLDKLFFCDEDQRALRDVQWLLMRTDNYIVPGLFLLTGFQEELGMLFPEPDTVFHHLGRYLLHPNNHVWALVMRYYDAYLATAQQRVGIQVRVFGADPESPELLKQITSCTRNENLLPELLTASEPETVTAVPEFARGESKAVLVTSLKSWYYEKLKSMYWEHGTVTGEAVGVHQPSHEEYQRFRAKSHDTRAWAEMYLLSLTDVLVTSGASTFGYVAQGLGGLTPWVMYKPPANGSVVADPPCGRGVSMEPCFHDPPAYDCKTKKWADTGKIVPHVQHCEDVSWGLKLVPRMA* >Brasy1G414700.1.p pacid=40054771 transcript=Brasy1G414700.1 locus=Brasy1G414700 ID=Brasy1G414700.1.v1.1 annot-version=v1.1 MERAKPPASLPLAPSLRSSLSPSLLLLPSAAPSPSLLLLPSAAPSLPARLIICCMPPPDLASGRAFPLSSGQEGKQQPTMDVVEVSRSRRWPPHPKKGFSFIPHPKKGHYAFGISSGPLLQHLKYMLHALVCPSKFSRNLWLADVVISDQNPSFGGGLSRGRRDGAAAVGEEEAGSGDEVEQQHTDCYLIRIIFVGRISEWIDLDSEDEKLRLDSELKLKQEIAWASISPCRCYLT* >Brasy1G220900.1.p pacid=40054772 transcript=Brasy1G220900.1 locus=Brasy1G220900 ID=Brasy1G220900.1.v1.1 annot-version=v1.1 MAANSSSSYPPAARAAPPPRPLSPLGESETATHPGASEMRRKARKQKQLWPKTVLRKWLNIRSPESDFSADEGDTDSESEYEEMCGWERQQFDQERRLRGLGAQTIDSQTEGVPYGLNRRRKSETLRAQYIDVKELRVCVGTWNVAGKLPPKDLNIQEWLDMKEPADIYVLGFQEIVPLNAGNIFGAEDNRPVSAWEHIIRDTLNKISPDKPKYKSHSDPPSPSRFKPSDDALAMEDELNSESDSESGGEVHPLNEQDFVVGGVDGIHSNTHEHSTSAPDETILQGNNCSRLPSVKTFSRSHNISFKDHACNLEESINQKRLTKTLSHSERLGMVWPEQPLDMLAQCLPDRTQTFTSGKALKTYFSFKSVNGDSCAFPEDSVLHGLNIESVVAKAKRPYFVRIISKQMVGAFLSIWVRRSLRKHIQNVKVSTVGVGAMGYIGNKGSISVSMSVYQTHFCFICCHLTSGEKDGDEIKRNADVQEIHRRTMFNPVSRVSTPKTIYDHERIVWLGDLNYRINLPYEKTHEFISDQDWNGLFEKDQLRVELRKGHLFDGWTEGVISFPPTYKYKFNSKKYTSDEPKSGRRTPAWCDRILSYGTGMRLLSYEAIDMRLSDHRPVKAVYTVDIEVFSPKKLQRALTFTDAEVEDRLSSEDECISGIYTLGLS* >Brasy1G220900.2.p pacid=40054773 transcript=Brasy1G220900.2 locus=Brasy1G220900 ID=Brasy1G220900.2.v1.1 annot-version=v1.1 MAANSSSSYPPAARAAPPPRPLSPLGESETATHPGASEMRRKARKQKQLWPKTVLRKWLNIRSPESDFSADEGDTDSESEYEEMCGWERQQFDQERRLRGLGAQTIDSQTEGVPYGLNRRRKSETLRAQYIDVKELRVCVGTWNVAGKLPPKDLNIQEWLDMKEPADIYVLGFQEIVPLNAGNIFGAEDNRPVSAWEHIIRDTLNKISPDKPKYKSHSDPPSPSRFKPSDDALAMEDELNSESDSESGGEVHPLNEQDFVVGGVDGIHSNTHEHSTSAPDETILQGNNCSRLPSVKTFSRSHNISFKDHACNLEESINQKRLTKTLSHSERLGMVWPEQPLDMLAQCLPDRTQTFTSGKALKTYFSFKSVNGDSCAFPEDSVLHGLNIESVVAKAKRPYFVRIISKQMVGAFLSIWVRRSLRKHIQNVKVSTVGVGAMGYIGNKGSISVSMSVYQTHFCFICCHLTSGEKDGDEIKRNADVQEIHRRTMFNPVSRVSTPKTIYDHERIVWLGDLNYRINLPYEKTHEFISDQDWNGLFEKDQN* >Brasy1G555300.1.p pacid=40054774 transcript=Brasy1G555300.1 locus=Brasy1G555300 ID=Brasy1G555300.1.v1.1 annot-version=v1.1 MASRPPLRTVSTASSSYSTDSPTAAPPGGVPQSITALLNNPLPSAASSSYWLPWPPPAPLPDAPPPPSNPCEVSRADFAPYLATIADPFARFADIRLHASAELAASDDDSRGAPVVSSGLAACLREVPALFFKEDFALEEGATFESACPLGDDTLQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGDAQQVQELNATRGNLVALQEKLTIILYVSQALTALKLLVLAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVRAAVPDGKTVDAMILSNVKRRSSIPLNGTEHEVIIDEEESFILRDRLLPLIICLLRTEKVPAVLRIYRDTLITVMKASIKATVAELLPTLISRPIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLLQAAEVKRIVEWIMRNLEGNISADATNPVVQHGSSVDFPQENDYGVASRVSNTVTRSTTKLTVFQGKTTDMSSINSIKNVRADVLRESTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFIAATEKIGGRLGYNIRGILQQQSKQFVDYQHNVRMTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTDFPVNGMEMPSIDNNSKLSEEGVSTSPEPAYSDNGNGTSTTNHENSAESTSQTENSVVGHVRSISKTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVAQDYKIHRDEIHTKLIQIMRERLLANLRKLPQIMESWNGPDDNDLQPSLFAKAVTKEVTYLHRILSQILLEVDVQAIFRQVVQIFHSHITEAFSKLEVNTPQAKNRLCRDVQHILVCIRKLPAQNFSAETIRNYGLLDEFLAEKFGTKVDE* >Brasy1G250600.1.p pacid=40054775 transcript=Brasy1G250600.1 locus=Brasy1G250600 ID=Brasy1G250600.1.v1.1 annot-version=v1.1 MVWRRRTGRARLGASRLEAAGLEAAGAPTPPSSPPRSPAPPSSPSRASAHQSYTMRNPSAPHDTPPSTESVGDDEQVVPPVEHDDEVRVDQQPGEEMSPDQQADEVAEEEEDDYQYALNQSLITAAAEERARLTTIAALDASAADFRAMKISEQAAAVREEAELQRAYAESMLTHASAEEARAAYTAVQREDDEDAE* >Brasy1G356200.1.p pacid=40054776 transcript=Brasy1G356200.1 locus=Brasy1G356200 ID=Brasy1G356200.1.v1.1 annot-version=v1.1 MVFSKVEVNLRRLLEAAPRQQNQAKLVHYITTARELLEQLGTEITPEGISSVSKAKLSEYSEKIEALAAMLGAPVPENENPLEESREDESSYEREKVGSPISLSSGLRRRQTTQVEVGPSSYERKERDTGAPIKLDAEAQAHIEKHRKLQDDLTDEMVDLARQLKESSLLMNQSVQDTEKILDSTERAVEHSLASTGRATARASEVYSLASKTTCFQWLLIFMMTCMFVMVVLLIRIT* >Brasy1G488600.1.p pacid=40054777 transcript=Brasy1G488600.1 locus=Brasy1G488600 ID=Brasy1G488600.1.v1.1 annot-version=v1.1 MAPNLSKATADVVRGGAVAVDGLKPSPSRFTLKGGKDLAVDGHPALLDVPANIRLTPASTLVPSLATANAANGAFLGFDAPEPLSRHVVPIGRLVGTRFMSIFRFKVWWTTHWTGNRGRDLENETQMLLLDRAGPGRPYVLLLPILDGPFRASLEPENDDHVALCLESGSSAVKGAAFRSAVYLHAGDDPFSLVRDAARVVRAHLGTFRLLEEKTPPPVVDKFGWCTWDAFYLKVHPAGVWEGVRGLSEGGCPPGLVLIDDGWQSICHDDDDPAEGNEGMNRTAAGEQMPCRLMKFEENYKFREYEGAQGKGLGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAEVVKPRLSPGLQRTMEDLAVDKIVNNGVGLVDPKRVLELYEGLHSHLQASGIDGVKVDVIHLLEMLCEEHGGRVELARAYFCALTDSVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGSFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGSHDFALLRRLALPDGTVLRCAHHALPTRDRLFADPLHDGETVLKIWNLNVFSGVLGVFNCQGGGWSPEARRNKCFSHCSVPLAVNAGPADVEWGQSKGGLGVGAAEFAVYFVEAGIVRLLKPEETVELALEPFDYELLVVAPVSRVGDRDIGFAPIGLANMLNAGGAVQGLEAVDGMVEVAVKGAGQMAAYSSARPVMCKVDGVEAEFAYSSEDGLVTVDVPWSGSSSKLVRVEYLY* >Brasy1G137100.1.p pacid=40054778 transcript=Brasy1G137100.1 locus=Brasy1G137100 ID=Brasy1G137100.1.v1.1 annot-version=v1.1 MGGFCTELTTGDGRTGVHPPPPSVRASAHPRSRRLPGAAARAPRLPCTPPPLSPDLPLTARAAAVAARPHRCFSRPRALQAGTPVRAATPIHARASPPCPRGSPWGLGGAPQASIPARTGSTRVPAGLDGHRGKLPMAGGRRRRRNRGETRNRGGGRREAGEEEPAHRAEEEIWQQQREAEVARRAERKEIGGSRQEVEEWRQGKIRP* >Brasy1G419600.1.p pacid=40054779 transcript=Brasy1G419600.1 locus=Brasy1G419600 ID=Brasy1G419600.1.v1.1 annot-version=v1.1 MSPCHSRHAPPPPELFDELVLEVFLRIPPDRPESLVRASCVSKQWRRVLSSAAFRLRYVDFHRTPPMLGFFYKESPELELEELELEELELEELEVCPVRFVPTTAFRLPSNPRLGWSLIDAHHGRVLFYFMRYRAMVVWDPITTDCHHVPLPLFYSQNHFAVLCATAGCNHRSCGGGPFIVVLVGKDKGVAYAHVYSPEGYYVWGSAVASIEHPDSAADFSPGRSVLVENILYIPWGAKIMGYDTANQQLEVINTPSGYKGHGALMTVEDGMQGKLGLATVQESQLHLWFRTGGLNEAVDWKKDRVIDLETLLPIRGLTTNPNVVGSADGVAVIFISTDVGLFTIELKSNRVQKVSSRAVKNSVMPYTSFYTPGTEVDHAD* >Brasy1G198700.1.p pacid=40054780 transcript=Brasy1G198700.1 locus=Brasy1G198700 ID=Brasy1G198700.1.v1.1 annot-version=v1.1 MGRSPTAPAYRKRKRRRRRRRHTDGDGKGAALRDCFSNLPDDVLLAITSRLPTRHAVSLSALARRFRHLPTLFPRVDSVSISDPPFPIPMRNTPPVILRRLDVTPTRRLVPSAFLRFIKAAADNGVSELAVRLPRRARLPRDIFSISSLTVLSLDTCAVPRASAVACARLRTLKLYSLFISQETITALLSAATGLERLEIVFCTGLVGGCTVESSTVRSFLFRPALEQRDATLRTPGLRTITLYTRPRTQRVRLAPAPEVRKAYLNVSKTDILTFRMRPFLDAGTGLACLTLRGVAMTLLSAEYKDNLKLPITFQDLRILSVSLDFSCESEVLFLLKLLESCPSLQQLTVSDAEKHKEETSPSFADHKERLAKVSCLAESLAQFNFIGFKAGDYQKELLVFLLNRATKLKKVGVRFPKSEDDAVRWALSVRKAPIERKSTMFNLCHLELEYP* >Brasy1G198700.2.p pacid=40054781 transcript=Brasy1G198700.2 locus=Brasy1G198700 ID=Brasy1G198700.2.v1.1 annot-version=v1.1 MGRSPTAPAYRKRKRRRRRRRHTDGDGKGAALRDCFSNLPDDVLLAITSRLPTRHAVSLSALARRFRHLPTLFPRVDSVSISDPPFPIPMRNTPPVILRRLDVTPTRRLVPSAFLRFIKAAADNGVSELAVRLPRRARLPRDIFSISSLTVLSLDTCAVPRASAVACARLRTLKLYSLFISQETITALLSAATGLERLEIVFCTGLVGGCTVESSTVRSFLFRPALEQRDATLRTPGLRTITLYTRPRTQRVRLAPAPEVRKAYLNVSKTDILTFRMRPFLDAGTGLACLTLRGVAMTDAEKHKEETSPSFADHKERLAKVSCLAESLAQFNFIGFKAGDYQKELLVFLLNRATKLKKVGVRFPKSEDDAVRWALSVRKAPIERKSTMFNLCHLELEYP* >Brasy1G198700.3.p pacid=40054782 transcript=Brasy1G198700.3 locus=Brasy1G198700 ID=Brasy1G198700.3.v1.1 annot-version=v1.1 MGRSPTAPAYRKRKRRRRRRRHTDGDGKGAALRDCFSNLPDDVLLAITSRLPTRHAVSLSALARRFRHLPTLFPRVDSVSISDPPFPIPMRNTPPVILRRLDVTPTRRLVPSAFLRFIKAAADNGVSELAVRLPRRARLPRDIFSISSLTVLSLDTCAVPRASAVACARLRTLKLYSLFISQETITALLSAATGLERLEIVFCTGLVGGCTVESSTVRSFLFRPALEQRDATLRTPGLRTITLYTRPRTQRVRLAPAPEVRKAYLNVSKTDILTFRMRPFLDAGTGLACLTLRGVAMTKFFSC* >Brasy1G336200.1.p pacid=40054783 transcript=Brasy1G336200.1 locus=Brasy1G336200 ID=Brasy1G336200.1.v1.1 annot-version=v1.1 MASVALSVGSTTEVGVEDDANSVFVDTIGLVETDGDSYSTPKRTISLPFCGSTYEPECDKNLQPTIGMQFDTWAG* >Brasy1G449800.1.p pacid=40054784 transcript=Brasy1G449800.1 locus=Brasy1G449800 ID=Brasy1G449800.1.v1.1 annot-version=v1.1 MDHVWCMVYQKPSDFTPSSLPASLSPHFRLFLSLHVRSQGGHTISMAEKSFVLILTVDLDCRPCYKKIRKILCKLQDKERIRTISYDNGSKTIAVVGPFDPHRLSCKIRCMAGKVIKGVEIITPDSGGPPPQMEGPPQQQPPVNKGNGKKKHKEKPNETEPPPPPPPQPVEQPPPPMQHEHEQPPPPMQHGPPDSHIAAGMPAMVEEVNYPGERPALLEPPIEIPPLPAAPPPEMEQEKMRPRERLQPRPIKPAWGPPVDVGLGPPPSAMVEIPSWPAAPMAGVPCGCPCYQGYYEGCRCTGCGRVYGYAVTAVPAPAGCYGGGGYRPLFVEEDPSSACAVM* >Brasy1G449800.2.p pacid=40054785 transcript=Brasy1G449800.2 locus=Brasy1G449800 ID=Brasy1G449800.2.v1.1 annot-version=v1.1 MAEKSFVLILTVDLDCRPCYKKIRKILCKLQDKERIRTISYDNGSKTIAVVGPFDPHRLSCKIRCMAGKVIKGVEIITPDSGGPPPQMEGPPQQQPPVNKGNGKKKHKEKPNETEPPPPPPPQPVEQPPPPMQHEHEQPPPPMQHGPPDSHIAAGMPAMVEEVNYPGERPALLEPPIEIPPLPAAPPPEMEQEKMRPRERLQPRPIKPAWGPPVDVGLGPPPSAMVEIPSWPAAPMAGVPCGCPCYQGYYEGCRCTGCGRVYGYAVTAVPAPAGCYGGGGYRPLFVEEDPSSACAVM* >Brasy1G075200.1.p pacid=40054786 transcript=Brasy1G075200.1 locus=Brasy1G075200 ID=Brasy1G075200.1.v1.1 annot-version=v1.1 MAAYIRLDDAVRARLRGAAAGSTSTSSGSEHDASACLSGLVQAFLETENSAALEDPAPKGSGAAAHGHDESADDDGCSASAAAAAMRELLDPPAAEDPFRIRLAAAVSAALEAEGNHGHGAALRRAMVRRLRAAGYDAGVCKSRWEASGGGLTAGAHEYVDVVLSPSAGTTSTRYIVDAEFRAGMEVARATAEYAAVVAAVPSPAVVAREESLGRAVRVAAEAARRSLRAQGLHVPPWRKSRYMLAKWLGPYKRSPASTASAPTTAMLPAVPAAGMMMEGVKCRAVGFFPPSAPAARIK* >Brasy1G119900.1.p pacid=40054787 transcript=Brasy1G119900.1 locus=Brasy1G119900 ID=Brasy1G119900.1.v1.1 annot-version=v1.1 MRRKGSECTRISPEIAASGNPMISLQTSRSARSAQTSSSDRDRPRQARGGGCRLLRSARWSAASCYRRARVSVAMAFWSAPTKKGPSSAAASSSMECTPARSSSRRHSAPLVVADESHKSEAVEECIRFMNSSSRKYR* >Brasy1G098400.1.p pacid=40054788 transcript=Brasy1G098400.1 locus=Brasy1G098400 ID=Brasy1G098400.1.v1.1 annot-version=v1.1 MGSVHRRPTCRRCCPSCRRRRTSLATRAAARAPTSSLDAQALPSTAAAAQPIHRRPNRVHRPALCRSSTSRRHPPIRCPSAPTRFLITAARGLAASLLPHAQGTIPHPHPYPDAAICTIPLPFPPPPTPMLRFASLPTWIVKCFCTYGGSEGRIPQHRFRP* >Brasy1G535300.1.p pacid=40054789 transcript=Brasy1G535300.1 locus=Brasy1G535300 ID=Brasy1G535300.1.v1.1 annot-version=v1.1 MYRTPRKFSGSPSGNNTRNPLRNGSPFSIGTPRENYFGGMPRENSNYSPRASSNSSARANSNSLPRADQALSYFDVHPTNIELVKYYLKPRVMSCLGIHEVNVYMVAPKKLTSNFSPSKAMDGQEAWYFFSKALAPGALPSPRCRGRRRPPHPAHHHHRPPHAVPQRRFLPIPLTTAAGLPILGGRRRPPIGTSVWAVPHLSAAGPSCPAPAPPPPLLPSPYPAAAPATAKAAADPAPPAKPQRRRRPSNAQRHRHWGAPQRRCSPQSSSLA* >Brasy1G231000.1.p pacid=40054790 transcript=Brasy1G231000.1 locus=Brasy1G231000 ID=Brasy1G231000.1.v1.1 annot-version=v1.1 MEAAAKGRDGEEEAEHGKKVSFTGLFRYADGTDLLLMLAGTLGALANGVSQPVMIIIFGDLVDAFGGATTGNVLSRVNKSVLSFVYLGAGTAVVSFLQVACWTITGERQATRIRSLYLKSVLRQDISFFDTEMTTGKIVSRMSGDTVLVQDAIGEKVGKFLQLVASFLGGFAVAFVKGWLLSLVMLACIPPVVIAGGAVSKVLSKISSRGQTSYGDAGNVVEQTIGAIKTVVSFNGEKQAIATYNKLIHKAYKTAVEEGLANGFGLGSVFFIFFSSYGLAVWYGGKLILSRGYSGGQVITVLMAIMTGAMSLGNATPCLPAFARGQSAAYRLFTTIKRKPDIDPDDITGKQLEDIRGEVELKDVYFSYPARPEKLVFDGFSLHVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGMNIRSLRLDSIRGKIGLVSQEPLLFMTSIKDNITYGKENATIEEIKRAAELANAANFIEKLPNGYDTMVGQRGAQLSGGQKQRIAITRAIIKNPKILLLDEATSALDVGSERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVLDPDGAYSQLIRLQESHEEEEQKVDSRISDPMSKSTSLSLKRSISRNSSQNSSRHSFTLPFGLPGTVELTETNDSNGNNENKQDGDCEVPKKAPLGRLALLNKPEVPILLLGSIAAGVHGVLFPLFGVMISSAIKTFYEPPEKLKKDSSFWGLMCVVLGIVSIISIPVEMFLFGIAGGKLIERIRALSFRSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLGLTVQIFSTLIAGFIIAMVADWKLSFIILCVIPLVGLQGYAQMKFLEGFSQDAKMMHEDASQVATDAISSIRTVASFCSEKRITNIYDHKCETSMNQGVRTGIIGGIGFGFSFLMLYLTYALCFYIGAQFVRQGKSNFGDVFQVFLALVIATTGVSQTSAMATDSAKATDSAISIFALLDRNSEIDSSSNEGLTLDEVKGNIDFRHVSFKYPTRPDIQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIKSLNINWLRGQTGLVSQEPVLFDNTIRANIAYGKDGEVTEEELIAAAKASNAHEFISSLPQGYDTTVGERGIQLSGGQKQRVAIARAMLKDPKILLLDEATSALDAESERIVQDALDHVMIGRTTVVVAHRLSTIKSADIIAVLKDGAIVEKGRHETLMNIKDGMYASLVELRAAAA* >Brasy1G119300.1.p pacid=40054791 transcript=Brasy1G119300.1 locus=Brasy1G119300 ID=Brasy1G119300.1.v1.1 annot-version=v1.1 MATTKLSPVSPVRPDDKRRAPSAAAVLKVPDSSAAEAYEEYLRLPELSRLWKDACCPGWADESLVKPALQALEITFRFVSLALSDPRGYASRRELARRLESLAAREVELVAALCEGGERCAPPLAELSASEGVLPRERSASEVWQLPGSAAAVVCHASESSLLPRLAAWDKSETLAAKIKYAIESQMQGCAFSLGLGEPNLAGKPVLEYDRVVRPHELHALKPKAAPEPKTGYRNRENEALFTIHQILESWLCAASQLLARLNSRIEARDWEAAASDCWILERVWKLLADIEDLHLLMDPDDFLRLKSQLAIRAAPGADASCFWSRALLHVANGTRDIKKQVPSIIGVEVDPNGGPRVQEAAMRLYHGRRRGEGEDACKIELLQAFQAVEAAVRRFFFAYRQLVSAVMGTAEASGNRALFVPAEGTDPLSQMFLEPPYFPSLDAAKTFLADYWVQHMVANSASPGQS* >Brasy1G380800.1.p pacid=40054792 transcript=Brasy1G380800.1 locus=Brasy1G380800 ID=Brasy1G380800.1.v1.1 annot-version=v1.1 MRVAATRPVFSAPGAFLAALALLLVGSASLAIGAMASHVLGGKRESPAAANSVETDGLARFAVEEHNKRENALLEFVRVVEAKEQTVAGVLHHLTLEAIEAGRKKVYEAKVWVKPWLDFKELQEFRHTGDAASFTTSDLGAKKGEHEPGWRDVPVHDPVVKEAASHAVKSIQERSNSLFPYELLEIIHAKAEVVEDFAKFDILLKLKRGTKEEKIKAEVHKNLEGAFVLNQMKPEHDESSSQ* >Brasy1G085400.1.p pacid=40054793 transcript=Brasy1G085400.1 locus=Brasy1G085400 ID=Brasy1G085400.1.v1.1 annot-version=v1.1 MAAGVVGTVRWSTACSALLNAAAASTGAAVAAFALRRGCGALGPAVAAASASSAARLLASAVAGFAQGAAAAAIAAGAIGAHVDSERDLRQLSRLRYKRWLWWTRFGMVITVLQFVLAFYLMCIIIKDLSAGRSSNECLSGHGQGSSDWKHILLIFFLVVMWMGTVVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREALCCLGRVKYSSVLEDDDICVVAKLLGDIMAYRASGTGHLELIAGFSLLQKAKQLSTVIPKEQAEAPQNLIQEAVLFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWSRARRPILEGDNWWRGHAAAFLKYVNVAPEALRKGRVSQKKREAAYFVVVLHNLKTIVIAIRGTETPEDVITDGLCRECSLTMDDLDGLINSDQLSPQVKGAILSSFPHYGHAGMVESARELYVKLEGQPIHQDKSETVTVGFLSSLLGAGCECDGYNIEIVGHSLGGAVAALLGIRLYRRFPKLHVFAYGAAPCVDYVIADACSQFVTSIVHNDEFSARLSMNSVIRLRAAAIKALSKDTSPNSAKVVKLVGGIRSTRRDDENAVDHCASAGALQTVSDQSNNQIRGKNLMHTVRGGVFLFDQAISCLINTPKHRISSTATMNYELGRSRTTLTCNGEKCVVASHGVMTASQPGEPSNVYRDDLNECGRSPHSNNGIELASAANDHVCTISLSEVQSTEVYLPGLIIHIVPVKKGTSPLQKTLVTRHKNKSYKAFIANRQDFTDLVVTRRMFLDHLPWRCQYAMQRVIQTRKQDQLIQDSFAREDPV* >Brasy1G416700.1.p pacid=40054794 transcript=Brasy1G416700.1 locus=Brasy1G416700 ID=Brasy1G416700.1.v1.1 annot-version=v1.1 MTGGVNGNGGTGIGNGGGGGGGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRENAVLRAENAALRRRADQCASCCCGTTPLQQQQQQQQQMLLVSAYNGARPPVLHGASNGGVLPGSFVGGCYNNNGNNNAGSGGGRGANGNGAMPLARPLVAQSTTLIGYHAVSNGGAGAGAGQTESRDKSGAR* >Brasy1G007400.1.p pacid=40054795 transcript=Brasy1G007400.1 locus=Brasy1G007400 ID=Brasy1G007400.1.v1.1 annot-version=v1.1 MRDRAAALAVLLPPAVGPGGGEVPALAPHPPPYPAPRPHPPPYQEPPPPEPEVEPPPPPPPEIERPRPHPPPYPAPRPYPYPLPKPEPPPPKKEEPAPPPPEPEVEPPPPPPPEIERPRPHPPPYPALRPFEYALPAPEIERPRPHPQPEYPPAPEPPPPVVIEPALLPPAVLEPVEEEPAPLPPEPEVEEHPPPPAGGGGAAPAPAEKEEAARMVERLLGEAKTGVSTLLMLYARDNRDILLLGTTPSGNTCLHIAAIHGHQQYCQDAAVALGEGGPSLFLSILTKTNSDGETPLATAVRSGSVAVATAFLRRCQAIHRTNEQLLLEAGQPILIQDKDGCNALHHAIRCGHRALALELIAAEPELSTHVNDHGESPMFAAAMRDFTDVAQGLLDTPGCLHVGPFGHNSLHAAARNGNSEIVRGIMRKCPELAGQSHNNDLGNDFTPVCTAVYRHSVDVLREFLAHDPSLGYQETRQGYTLLHVAAAGGHVDIARELLTRCPDAPCRGTNVDGWTCLHTAVWYGYADFVKFILTTPQLRKVVNMQTYDGMTALHFAVQKCDPEIVKALLSHQDIDPTVMDNTGVSPAWTLRSDFMDRAKTLNLNKVVGLMLKADQRGAGSINNLLRDMTRLTTDASRKDAQSLTQIYTSNTSLVAILVTTVTFAAAFTLPGGYSAATGSEGHPIMARKAAFQAFVISDTVAMCSSFVVAFICIIARWKDYEFLLYYRSFTKKLMWFAYIATTTAFSTGLYTVLAPHLQWLAIAICVLVASLPIITWLLGTWPVLKLKFRLGKTFNYDLLHMV* >Brasy1G007400.2.p pacid=40054796 transcript=Brasy1G007400.2 locus=Brasy1G007400 ID=Brasy1G007400.2.v1.1 annot-version=v1.1 MRDRAAALAVLLPPAVGPGGGEVPALAPHPPPYPAPRPHPPPYQEPPPPEPEVEPPPPPPPEIERPRPHPPPYPAPRPYPYPLPKPEPPPPKKEEPAPPPPEPEVEPPPPPPPEIERPRPHPPPYPALRPFEYALPAPEIERPRPHPQPEYPPAPEPPPPVVIEPALLPPAVLEPVEEEPAPLPPEPEVEEHPPPPAGGGGAAPAPAEKEEAARMVERLLGEAKTGVSTLLMLYARDNRDILLLGTTPSGNTCLHIAAIHGHQQYCQDAAVALGEGGPSLFLSILTKTNSDGETPLATAVRSGSVAVATAFLRRCQAIHRTNEQLLLEAGQPILIQDKDGCNALHHAIRCGHRALALELIAAEPELSTHVNDHGESPMFAAAMRDFTDVAQGLLDTPGCLHVGPFGHNSLHAAARNGNSEIVRGIMRKCPELAGQSHNNDLGNDFTPVCTAVYRHSVDVLREFLAHDPSLGYQETRQGYTLLHVAAAGGHVDIARELLTRCPDAPCRGTNVDGWTCLHTAVWYGYADFVKFILTTPQLRKVVNMQTYDGMTALHFAVQKCDPEIVKALLSHQDIDPTVMDNTGVSPAWTLRSDFMDRAKTLNLA* >Brasy1G007400.3.p pacid=40054797 transcript=Brasy1G007400.3 locus=Brasy1G007400 ID=Brasy1G007400.3.v1.1 annot-version=v1.1 MRDRAAALAVLLPPAVGPGGGEVPALAPHPPPYPAPRPHPPPYQEPPPPEPEVEPPPPPPPEIERPRPHPPPYPAPRPYPYPLPKPEPPPPKKEEPAPPPPEPEVEPPPPPPPEIERPRPHPPPYPALRPFEYALPAPEIERPRPHPQPEYPPAPEPPPPVVIEPALLPPAVLEPVEEEPAPLPPEPEVEEHPPPPAGGGGAAPAPAEKEEAARMVERLLGEAKTGVSTLLMLYARDNRDILLLGTTPSGNTCLHIAAIHGHQQYCQDAAVALGEGGPSLFLSILTKTNSDGETPLATAVRSGSVAVATAFLRRCQAIHRTNEQLLLEAGQPILIQDKDGCNALHHAIRCGHRALALELIAAEPELSTHVNDHGESPMFAAAMRDFTDVAQGLLDTPGCLHVGPFGHNSLHAAARNGNSEIVRGIMRKCPELAGQSHNNDLGNDFTPVCTAVYRHSVDVLREFLAHDPSLGYQETRQGYTLLHVAAAGGHVDIARELLTRCPDAPCRGTNVDGWTCLHTAV* >Brasy1G029200.1.p pacid=40054798 transcript=Brasy1G029200.1 locus=Brasy1G029200 ID=Brasy1G029200.1.v1.1 annot-version=v1.1 MASKHLTTLAAVLLLALAAPSLIAADPDMLQDICVADYKSLHGPLRLNGYPCKRPQNVTTNDFFSAALSVHGSNPNSNPFGSTVTPANVESLPGLNTQGVSMSRVDYAPWGVNPPHTHPRATELLFVLKGSLDVGFVTAGPGAARLFARTVCKGELFVFPRGLVHYQRNNGESPAVALSAFNSQFPGTQSVAEALFAAAPPLPTDVLARALQVDGGLVDAIRAKFPHKY* >Brasy1G097600.1.p pacid=40054799 transcript=Brasy1G097600.1 locus=Brasy1G097600 ID=Brasy1G097600.1.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKANEQQDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKVKNARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.2.p pacid=40054800 transcript=Brasy1G097600.2 locus=Brasy1G097600 ID=Brasy1G097600.2.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKANEQQDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.9.p pacid=40054801 transcript=Brasy1G097600.9 locus=Brasy1G097600 ID=Brasy1G097600.9.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKANEQQDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.3.p pacid=40054802 transcript=Brasy1G097600.3 locus=Brasy1G097600 ID=Brasy1G097600.3.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKVKNARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.10.p pacid=40054803 transcript=Brasy1G097600.10 locus=Brasy1G097600 ID=Brasy1G097600.10.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKVKNARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.4.p pacid=40054804 transcript=Brasy1G097600.4 locus=Brasy1G097600 ID=Brasy1G097600.4.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.11.p pacid=40054805 transcript=Brasy1G097600.11 locus=Brasy1G097600 ID=Brasy1G097600.11.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATGVDSAAHTMASSKDVSYSPSELKHFEKHKTAAFPPTDFGNKSRASETYDYASAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.5.p pacid=40054806 transcript=Brasy1G097600.5 locus=Brasy1G097600 ID=Brasy1G097600.5.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKANEQQDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKVKNARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.6.p pacid=40054807 transcript=Brasy1G097600.6 locus=Brasy1G097600 ID=Brasy1G097600.6.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKANEQQDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.7.p pacid=40054808 transcript=Brasy1G097600.7 locus=Brasy1G097600 ID=Brasy1G097600.7.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKVKNARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G097600.8.p pacid=40054809 transcript=Brasy1G097600.8 locus=Brasy1G097600 ID=Brasy1G097600.8.v1.1 annot-version=v1.1 MDDPGAVPSSSSSAAAAAATARVPAAAPSAAVAPAPLAPQQVPAAAAAAVAAAAAPQAAAVPRRQIFSVDLKPDETTIVSWKKLLKESGQAATSQPPPAVAAAEPAVAAQLGLPGAARPAENDPEDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYATDDSFIDDAELDEYFEVDNLTTKHNGYFINKGSLELIEPSTTVNVATKKRRRKDSSGAHIENNKGAAGDNVSMSNVPGKSSARGAAHEGKKLATSNLGSNGEYYHEGKKTKTSATGVPKRRSADFATAYRDKDPSVQLDFQQKKTCIGENQDLTNKMYHKEKHGMNDFSGMAGSAAVYPTQTMHPITASARGSAGTKPKGTRLERAIRDLQKIVAEIEYRPAIDVNEADPNGQASVKRRLPQEVKQKLAKVARLSANHGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVQTRVAAKAKDGSADDFQIMNDDQRASKGKFVMDTALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGCMDNVGIKDAISRSKERKRALYNQQKARDEERLKRKRLAEAAKLPDGYPVAMQSAVAQQAGQPSIMNPVSSYRVTDYGQNQASKCLERVRDTSTSDGNNKTAGEVKKKKRKPESDLVDAQANQRMIPWHHGSEKQKPPRHVDEANTSNTLSTVLCLPSYDQQPS* >Brasy1G545700.1.p pacid=40054810 transcript=Brasy1G545700.1 locus=Brasy1G545700 ID=Brasy1G545700.1.v1.1 annot-version=v1.1 MGAASTAGVMAAVFAAVAVVGAAAAAASGGDSLAGLAGEAGGIDAAPEVKSLGPWANGLLKGIPASAAGPAAMGPVAKYPLVLAEERTRRPDVLHHLRMYEGGWNITNKHYWASVSFTGVAGFLLAALWLISFGIAAASFCFCKSRMGKGKVSHADVARPVLLVVAALTLIAGCIVLLYGQSEFHEEATKTLDFVVNQSDFTIQTLTNVTDYLSFAMTVNVAALYLPSDVQSQIDNLKGDLNKTADTISQKTTENYRRIRKVLHNVSVALICIAVLMSVLAVLGYVLDLYRPRHIIYVFATLCWTIVTALFVLIGILLIVSSAAKDTCQAMDEWAQHPQAETALSNILPCVDESTTNRTLYQSKHVVVQLVSLVNKAISALSNRRPHHMHPGQFMPYLCSPYDSSLNDRQCLSREVTFDNATTAWQNYTCIAPDGDVCSGPRTVTPEIYSQLVSAANASYALHHYAPLMLNFQDCKFVRDTFSSIASQYCPPLDRDLSFVSAGLALIASAFVLGLLLVLFTDRPRREEVSELPSGFRITPVDRSP* >Brasy1G545700.2.p pacid=40054811 transcript=Brasy1G545700.2 locus=Brasy1G545700 ID=Brasy1G545700.2.v1.1 annot-version=v1.1 MVSYFNTHHCAEVKSLGPWANGLLKGIPASAAGPAAMGPVAKYPLVLAEERTRRPDVLHHLRMYEGGWNITNKHYWASVSFTGVAGFLLAALWLISFGIAAASFCFCKSRMGKGKVSHADVARPVLLVVAALTLIAGCIVLLYGQSEFHEEATKTLDFVVNQSDFTIQTLTNVTDYLSFAMTVNVAALYLPSDVQSQIDNLKGDLNKTADTISQKTTENYRRIRKVLHNVSVALICIAVLMSVLAVLGYVLDLYRPRHIIYVFATLCWTIVTALFVLIGILLIVSSAAKDTCQAMDEWAQHPQAETALSNILPCVDESTTNRTLYQSKHVVVQLVSLVNKAISALSNRRPHHMHPGQFMPYLCSPYDSSLNDRQCLSREVTFDNATTAWQNYTCIAPDGDVCSGPRTVTPEIYSQLVSAANASYALHHYAPLMLNFQDCKFVRDTFSSIASQYCPPLDRDLSFVSAGLALIASAFVLGLLLVLFTDRPRREEVSELPSGFRITPVDRSP* >Brasy1G304400.1.p pacid=40054812 transcript=Brasy1G304400.1 locus=Brasy1G304400 ID=Brasy1G304400.1.v1.1 annot-version=v1.1 MCPDPWKFSGISVFFFCVGLLLSSPPFVSSQLPPFPKRESPGQGLSWPRPTVSCHLRICPFSELRRCPPEFWIGGRGREGWGLAGRRGLRQIFGRPRWRRRELGAFWSSRLEERRVGSRVLVVPLPERWALSISARRRRGLLGPVRGCG* >Brasy1G408600.1.p pacid=40054813 transcript=Brasy1G408600.1 locus=Brasy1G408600 ID=Brasy1G408600.1.v1.1 annot-version=v1.1 MLQVGGELAAAREWVVGDSNGWTFGVMTWPNRPDYKRFRVGDVLVFNYDPSLHNVIMVDSFGFGTCTRHPGNATVYKLRQRPHHPPQQRRHHVHLRQGGGSLLQAGHEDSAHCQAMID* >Brasy1G028400.1.p pacid=40054814 transcript=Brasy1G028400.1 locus=Brasy1G028400 ID=Brasy1G028400.1.v1.1 annot-version=v1.1 MRWPGLDPLHCICSHEHGHYYPRCGGTSQGTSTTTAPNDNNPPRPSSAHSSRVLPATIPSPPPPLARRIADLPPSSPPRASADPPSRRGAARGTISLPRRTVLPLSITHGEFIPRPRRPLDPPPIPSPHRCWRIRAGRLALSVESLLRVRPGRAEVVGVGTVGRDRGSPWPDLTHVARPAHGS* >Brasy1G147900.1.p pacid=40054815 transcript=Brasy1G147900.1 locus=Brasy1G147900 ID=Brasy1G147900.1.v1.1 annot-version=v1.1 MEAEEAGGAGGHSTGRKLVPWPSWAEWCFVRDAIFSAYPDHAAALRRIAAWRSRGCLPIPVDVTASLVEIMLRDPFFRNGVPSEDKLESEQMLSMLYTMAIMRLVNGLLENPHKETGRSLSELAVTVGVPRILVDIRHEGSHRDLPSLRLLHLAAIKAFDWLKCNYWDSQTKAIPDTRLELSSILHVMAKFMKGKDSENAKSGSKRKRSQKLMSDSIKYVRRLYYTCPSEVVSVLLEFFVLDAPEISETGDMHQTDSLDVNHSSDVQSELSNRDMETIIAKLSEKEPRVLLGILKLVIEMIESLEIKGESYACLPAESSKMKNLCSLVLWIVTNIKELKDSGRIGLVDEIGVLSSDRNAVPSFCLAKLLQKFLSLSIIGEKCITDAALLLIEMTDNNNVKEKLRKLPVLSLQRSHKDCTILESRIISSGQESVESATEKLEMFKLQLRKSENACLAENSPKGLFSASMPEKRNRWSIAKSWTPCPLGMIPCSYSSTAVLPPLGVIDRELKDDTIEHGTFEHDGQTETFAYYSHPEKQLDDVDILEISRSPPCEISDLPELTSPLRGKLLVGGLWKKVTEEELLSMKSNMKILLY* >Brasy1G147900.2.p pacid=40054816 transcript=Brasy1G147900.2 locus=Brasy1G147900 ID=Brasy1G147900.2.v1.1 annot-version=v1.1 MEAEEAGGAGGHSTGRKLVPWPSWAEWCFVRDAIFSAYPDHAAALRRIAAWRSRGCLPIPVDVTASLVEIMLRDPFFRNGVPSEDKLESEQMLSMLYTMAIMRLVNGLLENPHKETGRSLSELAVTVGVPRILVDIRHEGSHRDLPSLRLLHLAAIKAFDWLKCNYWDSQTKAIPDTRLELSSILHVMAKFMKGKDSENAKSGSKRKRESYACLPAESSKMKNLCSLVLWIVTNIKELKDSGRIGLVDEIGVLSSDRNAVPSFCLAKLLQKFLSLSIIGEKCITDAALLLIEMTDNNNVKEKLRKLPVLSLQRSHKDCTILESRIISSGQESVESATEKLEMFKLQLRKSENACLAENSPKGLFSASMPEKRNRWSIAKSWTPCPLGMIPCSYSSTAVLPPLGVIDRELKDDTIEHGTFEHDGQTETFAYYSHPEKQLDDVDILEISRSPPCEISDLPELTSPLRGKLLVGGLWKKVTEEELLSMKSNMKILLY* >Brasy1G054900.1.p pacid=40054817 transcript=Brasy1G054900.1 locus=Brasy1G054900 ID=Brasy1G054900.1.v1.1 annot-version=v1.1 MASEPVECQVLVLRVSIHCEGCKKKVRKVLLHVDGVYRCEIDARMNKVTVTASRNLDAGILIARLRKSGKQAGPWPEEPKQQQPAESQSQEGQNQAEDQRKPNEPAEKPAGPPPDSAAAENAQPAPEPEKKSADGAAPKPAAQESKEADETGQQQQPQPNEAARGKAKQLQQQPADQIQDQKPIDAKVTLEFDGRSRGGVSHGGHGYHQQQHMPMTPPQPPPVHVMSYNVARPMASASYYAAAPVPAAPSPLPMPAAPSPMPRPSQSGYIDEYAPAPSYYSRPSPSAASYSYEQPPYYYPSQEPSPYYRQYNQRSAAEDYYYGSAPPPPQRSAFSPPRDAYGDMFNDENANSCSVM* >Brasy1G394500.1.p pacid=40054818 transcript=Brasy1G394500.1 locus=Brasy1G394500 ID=Brasy1G394500.1.v1.1 annot-version=v1.1 MALALSGSSAAARALAQLLAPSTRGYAAAASSGAMRRAAADGKAAGEAKEAAGADASWVPDPVTGHYRPANRAASVDPADLRAAHLGRTYARA* >Brasy1G518800.1.p pacid=40054819 transcript=Brasy1G518800.1 locus=Brasy1G518800 ID=Brasy1G518800.1.v1.1 annot-version=v1.1 MRMSIVMPLLALAFLMLSPDVESGDYFCFTEIIPPTSFCELRPCGLACQKEPERNFCPKCDFNATCANDACQCTICGKRDDKWIKTRKHMLFP* >Brasy1G162100.1.p pacid=40054820 transcript=Brasy1G162100.1 locus=Brasy1G162100 ID=Brasy1G162100.1.v1.1 annot-version=v1.1 MSNVKMKAYNGPSLENGHIEFPSLYLSRLDSGDKKNQHVVIDGFGSTDLGLTSIVDCGIYDGVGDKAKLVARAKGMRMNADHSWCNFFIIVFELDGFEGSTLAVMGATMEKAGSIEEKGEWAIVGGTGEFAMARGLITRKGRQVLDGGEILELSLEAYCRTTKARIYISFFLHLPKHVQKTGAGP* >Brasy1G187200.1.p pacid=40054821 transcript=Brasy1G187200.1 locus=Brasy1G187200 ID=Brasy1G187200.1.v1.1 annot-version=v1.1 MASPRSPVGGAAAGDEAIWKKLREAGLDEESVRRRDKAALIAYISRLESEIYDYQHNLGLVLLERKELTLKHEQLRASSESAEIMYKRERASQQSALAEARKREENLKKSVGIQKEFVANLEKALHDMRGETAEIKISYETKLAEALQMMDSAQKKLDEAEEKLIAAKSLEVESTRVHNTALRSLQDLEDREDQLRRYRISNELAYEAKEKDISLQRKSLNDTKTILHDKERVLLEEQALLNQRDENILERLTFVTQSEKRLEEDRLILESERMVLMEERNNLVLKMEGIASREEAIIQKETLLDKRESELLIFQETIANKERAEIDRLNQEHEMALERRKLECETEIENKRLAYEAEMEAKITLLDQRERALSEQELAFAQREQNIDLRLAELARKEEALSGRSDQLKVEEGKLLSHREAVHIELQKEREEVQKMKLDLEKEKVFFEEEKQDAIQAQQNLAITQADRDDLLTLQMKLKEEIDNLRAQKKELMADADRLQAEKERFEIEWELIDEKKEELQKEAARISEERRLITEHLKSESDVIKQEKEKLRAQFKNNSETLSREHEEFMSKMQQEHASWLSKIQLEREDLTRDIDNQRMELLNSAKAKQMEIDSYLREREEEFEQKKSKELEYINSQKDTINSKLEHAALELQKLEDERKVAALEREKREQELSEIKTTIEALNNQREKLQEQRKLLHSDREAITEQIQQLNVLEELKTDSENKQLCLTECGKLKMNDNGLPAVEDHHATPKNCSSPKLLERKLEVSPSVSTPISWVRKYAQVIFKRSPEKTADHDSDSILHNGVPKNLQNAVDINGSHADQLANGAGEVPQVFDGAKVGKKRHYSVSCDQSDVLEPRRKHQRSTIQKVIRGEITSNCPSVLEEKCSKNEHDAIPLGLSEYGNKGAQNLRLPVDPASSDDLAFANGKADHSGFVDDDETSEEITVSATEQTSGYAIERRDEQDKDVDDEDTDDEEEELEEERTSSAKKLWRFLIT* >Brasy1G187200.2.p pacid=40054822 transcript=Brasy1G187200.2 locus=Brasy1G187200 ID=Brasy1G187200.2.v1.1 annot-version=v1.1 MASPRSPVGGAAAGDEAIWKKLREAGLDEESVRRRDKAALIAYISRLESEIYDYQHNLGLVLLERKELTLKHEQLRASSESAEIMYKRERASQQSALAEARKREENLKKSVGIQKEFVANLEKALHDMRGETAEIKISYETKLAEALQMMDSAQKKLDEAEEKLIAAKSLEVESTRVHNTALRSLQDLEDREDQLRRYRISNELAYEAKEKDISLQRKSLNDTKTILHDKERVLLEEQALLNQRDENILERLTFVTQSEKRLEEDRLILESERMVLMEERNNLVLKMEGIASREEAIIQKETLLDKRESELLIFQETIANKERAEIDRLNQEHEMALERRKLECETEIENKRLAYEAEMEAKITLLDQRERALSEQELAFAQREQNIDLRLAELARKEEALSGRSDQLKVEEGKLLSHREAVHIELQKEREEVQKMKLDLEKEKVFFEEEKQDAIQAQQNLAITQADRDDLLTLQMKLKEEIDNLRAQKKELMADADRLQAEKERFEIEWELIDEKKEELQKEAARISEERRLITEHLKSESDVIKQEKEKLRAQFKNNSETLSREHEEFMSKMQQEHASWLSKIQLEREDLTRDIDNQRMELLNSAKAKQMEIDSYLREREEEFEQKKSKELEYINSQKDTINSKLEHAALELQKLEDERKVAALEREKREQELSEIKTTIEALNNQREKLQEQRKLLHSDREAITEQIQQLNVLEELKTDSENKQLCLTECGKLKMNDNGLPAVEDHHATPKNCSSPKLLERKLEVSPSVSTPISWVRKYAQVIFKRSPEKTADHDSDSILHNGVPKNLQNAVDINGSHADQLANGAGEVPQVFDGAKVGKKRHYSVSCDQSDVLEPRRKHQRSTIQKVIRGEITSNCPSVLEEKCSKNEHDAIPLGLSEYGNKGAQNLRLPVDPASSDDLAFANGKADHSGFVDDDETSEEITVG* >Brasy1G471400.1.p pacid=40054823 transcript=Brasy1G471400.1 locus=Brasy1G471400 ID=Brasy1G471400.1.v1.1 annot-version=v1.1 MAPNGDAAVAARPQQAQKPRRNISMGGLQRAMSDLALEFMISKDIITTTSGGKKQLPAVSEQEPAVGEARCECCGMTEECTAEYAARVRERHCGRLVCGLCAAAVSGGGGRTETMEEALAKHMGVCGRFNRVGRANPVLMQSEAMREILRKNRGKSTSPRDGAGAAAGRLARSSSCISAITKDLNSVK* >Brasy1G288300.1.p pacid=40054824 transcript=Brasy1G288300.1 locus=Brasy1G288300 ID=Brasy1G288300.1.v1.1 annot-version=v1.1 MWPGLSPFVPGSLPQTTIKISSESAENLRSVGYLIPGLQVLQIGETTINPGMTIRPSLMLPGVETLAIDVKFGVRSEEIMTLTFLRLFPNVKTLYIKSSALKSPNPSDGELDSTFWKDNICSIECIYSKLRKFVLHEFEGENDSEYSFIKSIVETSIKLEEMRIFLPDRISYTFPPDEMRNRVMHRLAEAAWANDTVALDIARGNMHDWNYKTASDLSRDPFEFKG* >Brasy1G386100.1.p pacid=40054825 transcript=Brasy1G386100.1 locus=Brasy1G386100 ID=Brasy1G386100.1.v1.1 annot-version=v1.1 MSDQTDSGIKLFGRVIPLAPEAASGPPEADVAAGSDHPPPPPPELQTAAPTEEAEEEAHKDQHKETEDKEDSEMKVDVPPEKENRGIEGDAPREKEDNEMEVDAPQVKENAEPDSSSTLDHKKDAQDQINSAEDKAASDPKELNEKTANQESDQDKVLKKPDKIIPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNSSLHYRQLLMAPDCMMGSRVDISNTVNPEVLASLPTTPTKSTSRNETVLKFGPEVPLCESMASVLNIEEQNVINAGSVPIGEAREVNSCASSMTSQNGLPENAVHVDKNGAPVYCGGVGPMPPYYLGAPFMYPWSIGWNNLPVMVPGGSMSESASPSESCSTSSAPWMNSPMMPGSRLPAPAFPYPLVPPTLWGCLPSWPATAWNAPWIGTNGCISPSTSSNSSCSGNGSPTLGKHSRDSNPLKDDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGVFKPFQFKSENKGQTTDVRPARVLQANPAAFSRSQSFQESS* >Brasy1G089700.1.p pacid=40054826 transcript=Brasy1G089700.1 locus=Brasy1G089700 ID=Brasy1G089700.1.v1.1 annot-version=v1.1 MDPGGAKDDVMDCEATLGYPLMDGDDGWRKAVAVGYPLPPDYDEEPRRPSPARVVRCSFCKMFTKGFLVVVVLFLLTELCLGAVLQKFPNPFLVVLCLPLIPVISGGLVFLVLWCEGSDSEQDIPLKGSQDTLG* >Brasy1G108600.1.p pacid=40054827 transcript=Brasy1G108600.1 locus=Brasy1G108600 ID=Brasy1G108600.1.v1.1 annot-version=v1.1 MSFRSIARDVRDGFGSLSRRSFEVTIASLYGLTGHHKGKAQSSLDDLHDPPPIIQESRWASLPPELLRDVIRRLESDESTWPSRKHVVCFAAVCKTWREMCREIVLSPEFCGKLTFRVSLKQPGPREGMIQCFIKRNKSKSTYQLYLCLSNVVTAETGKFLLSAKRNRKTSCTEYAISMDTGNTSRSNRTYIGKLRSNFLGTKFLIYDTQPPYNGAVVPPVGRTSRRFNSTKVSPKVPAGSYNIAQVTYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALGDSFRSISSLSQSFRSSTTFSKSIMDQSMDFNSARFSEINGGIVDGDGDCEVKDRPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASNPPPAGAPTPSQPGPVDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE* >Brasy1G386600.1.p pacid=40054828 transcript=Brasy1G386600.1 locus=Brasy1G386600 ID=Brasy1G386600.1.v1.1 annot-version=v1.1 MATSMGCLLVVVCLVSPLLLAGAVHGNPWYHGGGGGLFPQFYDHSCPKAKEIVHSIVAQAVAQETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSIRGFEVVDQIKVALEAACPGVVSCADLLALAARDSTILVGGPFWEVPLGRRDSLGASIQGSNNGIPAPNNTLPTIITKFKRLGLNVVDVVTLSGAHTIGLSRCTSFRQRLYNQSGNGMADNTLDVSYAAQLRQGCPRSGGDDNLFPLDLVTPAKFDNLYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVVLFFQHFAQSMVNMGNISPLVGAQGEIRKNCRRLNNFH* >Brasy1G300600.1.p pacid=40054829 transcript=Brasy1G300600.1 locus=Brasy1G300600 ID=Brasy1G300600.1.v1.1 annot-version=v1.1 MSPVSTSCWSVRLRLVVPWIRRDPPPPSSARPLLPRPDPARSAAAILGPPFAAPTGSGEIRRRHRPRPTRWCSDAFPASSCCRHPVLPQIRRDPPPPPSLASPVAASPFSFVLDGFVMDGEFVIDGDLFFTSFYNILAWFL* >Brasy1G281200.1.p pacid=40054830 transcript=Brasy1G281200.1 locus=Brasy1G281200 ID=Brasy1G281200.1.v1.1 annot-version=v1.1 MDGQISYSRLAGDDEAAMVSAGDDYDTKKLRLLGYEPQLKRNLSLLSNFSVTFSIVSVMTGITTLFGTGLEFGGPATMVYGWPIAGTFTIIVGLAMAEICSAYPTSGGLYFWSARLCAERRWGPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHAAILLSHAAINSLPISWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTDNSAGIHSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIVVGWGYILGITFAVKDIPYLLSPDNEAGGYAIAEVFYLAFKSRYGSGVGGIACLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHQVNKHEVPINAVWLSAFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKYFVPGPFNLGRYGVAVGWVAVLWVVTITVLFSLPVTYPVTKDTLNYTPVAVGGLFVLVLTSWVVSARHWFKGPVTNLGG* >Brasy1G343500.1.p pacid=40054831 transcript=Brasy1G343500.1 locus=Brasy1G343500 ID=Brasy1G343500.1.v1.1 annot-version=v1.1 MAGGGGGGGGGGKAGMSPASGGKRVRDPEDDIYVDNLHSHKRYLSEIMASSLNGLSVGDPLADNIMVSPGRPENTSYVRDEMISQYSPMSEDFDDCRYYDLTASTNGSLTDVMRSPSSSPISSPHRFQKPHAGFSSGNPYPLLGCSFSAVVCSNARPGTESELRFPPSPNDMCHGGDLRRTALLRSVQMRVHGPHSCELSFSGTDEPELDPVHKHLDEVEHEHEHVGVVEMEGRSLSCPKSAPDEAEFKGAKHVSRVSDHGVDVVAQCSSDL* >Brasy1G343500.3.p pacid=40054832 transcript=Brasy1G343500.3 locus=Brasy1G343500 ID=Brasy1G343500.3.v1.1 annot-version=v1.1 MASSLNGLSVGDPLADNIMVSPGRPENTSYVRDEMISQYSPMSEDFDDCRYYDLTASTNGSLTDVMRSPSSSPISSPHRFQKPHAGFSSGNPYPLLGCSFSAVVCSNARPGTESELRFPPSPNDMCHGGDLRRTALLRSVQMRVHGPHSCELSFSGTDEPELDPVHKHLDEVEHEHEHVGVVEMEGRSLSCPKSAPDEAEFKGAKHVSRVSDHGVDVVAQCSSDL* >Brasy1G343500.2.p pacid=40054833 transcript=Brasy1G343500.2 locus=Brasy1G343500 ID=Brasy1G343500.2.v1.1 annot-version=v1.1 MASSLNGLSVGDPLADNIMVSPGRPENTSYVRDEMISQYSPMSEDFDDCRYYDLTASTNGSLTDVMRSPSSSPISSPHRFQKPHAGFSSGNPYPLLGCSFSAVVCSNARPGTESELRFPPSPNDMCHGGDLRRTALLRSVQMRVHGPHSCELSFSGTDEPELDPVHKHLDEVEHEHEHVGVVEMEGRSLSCPKSAPDEAEFKGAKHVSRVSDHGVDVVAQCSSDL* >Brasy1G503000.1.p pacid=40054834 transcript=Brasy1G503000.1 locus=Brasy1G503000 ID=Brasy1G503000.1.v1.1 annot-version=v1.1 MQMEAADVTHPKEEKKDKKEHAEEAKPTKEKKDKEKKEKSKEKKEKVGEATDATKLRAKLEKIDAKIDDLKAKKQEIVARLLELEGDGTAAAAPASG* >Brasy1G305000.1.p pacid=40054835 transcript=Brasy1G305000.1 locus=Brasy1G305000 ID=Brasy1G305000.1.v1.1 annot-version=v1.1 MSEVFEGCERQYCEISASLSRKCTAASSLSGEKLKQKASEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKLREYKSDLNNLKGTLKRITTGNAQQGTREELLESGMADTLVVSADQRSRLLSTTEKQNQTTDRIRDSHRTMLETEDLGVSILHDLHQQRQSLLRANDTLHSVDENVSKSRRIMGAMVRRMDRNKWIIGLIITLLVLAILVILYFKFVH* >Brasy1G015500.1.p pacid=40054836 transcript=Brasy1G015500.1 locus=Brasy1G015500 ID=Brasy1G015500.1.v1.1 annot-version=v1.1 MAVGPRLLPHSSPASMLRRERWLVVLGMALHAVYMLSIFDIYLKSLSAPPTNRLVLVGGGSAMRGRPPSQGPATSPSSRGFTRTPVLSQKV* >Brasy1G147700.1.p pacid=40054837 transcript=Brasy1G147700.1 locus=Brasy1G147700 ID=Brasy1G147700.1.v1.1 annot-version=v1.1 MATHCRPCRLLLILLGIVAVAVAAPHPAHEFCAVAAGGGGGGAGSCGGDGTRILIKGGTVVNAHRAEVADVYVEDGVVVAVRPNIPVGEDNVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKHKAEKSAMDYGFHMAITKWNDEVSKEMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATSRAIRLAKFVNTPLYVVHVMSIDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFATASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGKITVTDYVRVTSTECAKIFNIYPQKGAIIEGSDADIIILNPKGSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEYGTLNVVAGSGRYIRTPPFGYLFDGIEKSDAAYRASLRAPVQRGKASEA* >Brasy1G090600.1.p pacid=40054838 transcript=Brasy1G090600.1 locus=Brasy1G090600 ID=Brasy1G090600.1.v1.1 annot-version=v1.1 MQPGGLGAPVPNPNPRALPSRRRTGASRRGDGQAHRGVAGGEQALPYRNCRLQDGFQCYGVKTLPSCDILPKIVHTYWWKIVEGMLTRILSRNVSQSRWIIDDEGRRELQLRCDGFKFHASGREDINVRMLNLDLVSGHPFLIEVLIARSIPYASEVEQRAEKIKTAPKRNINRITSVLLPCTAVVRTPPALPPALTRFWTFGAVFNGGAASPSAVSHGPPSVLPSHCQSTPLVSPGKRLNSVTMFD* >Brasy1G023400.1.p pacid=40054839 transcript=Brasy1G023400.1 locus=Brasy1G023400 ID=Brasy1G023400.1.v1.1 annot-version=v1.1 MATRSIARTQHRPTNRRQRRRTAAKSAPVPAMLPYDVLRKIGESFLETSDLDYYYNFRAATPHWRRATSKPTADGTDPRFMPRDWILLTREDDGFVTFVNVGTGRFLRKRLPCLRDYFFVGAATGSGFLVVGERAWPYQTRVINPFTGAMVEFRAPIPLKRVDAVVVTFSPMMVLFVSDENERYVQWADENSESFREREFRPRWPQCCVSMTLFAGEVHVTTGHGIIASSRTEAAGTEEEEVADQKQRSAQTITMDTILGPTLVEGHSYDNYYLVESEGELLLVHGQFYAGPVVYKVDVVNKALVPVRSIGGRALFLSDSRCFSIDASKFHTVEAGTIYYASPGWIRAYDYESLAERDSGPVLDLGTDFTVKCCGRPFTFPELLTSYFTAFPELSELEMKVYDGGDEYYYDYGDEAEQDDE* >Brasy1G222800.1.p pacid=40054840 transcript=Brasy1G222800.1 locus=Brasy1G222800 ID=Brasy1G222800.1.v1.1 annot-version=v1.1 MGFPSVCYCVILPQPLILVLQVLDFLRHAVLLCLSSFGLVAQSTSDEHLPLDHCRAEDSSLPLLAGGGHGGGGVLHRVPGRAGGTDRVLVSWSWATARTPSTSHG* >Brasy1G429600.1.p pacid=40054841 transcript=Brasy1G429600.1 locus=Brasy1G429600 ID=Brasy1G429600.1.v1.1 annot-version=v1.1 MPSSPKLFASAAASRRSSLRRVLSSPAFSAACILFGLAGFLAAAVTLSRAPSPDDAPRGRCPDSSHPLSVSVAWDRHPGDAADAAADLPVGLATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNDKSKMLALEREVEEYDDFMLLDLEEEYSRLPYKTLAFFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLGALRNNSFRMFNNEDVTIGSWMLAMNVNHENTHSLCEPECSESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSEVSESDDR* >Brasy1G064100.1.p pacid=40054842 transcript=Brasy1G064100.1 locus=Brasy1G064100 ID=Brasy1G064100.1.v1.1 annot-version=v1.1 MDGAGGGGGLHGARRNISSASGPRRRGPPAENGHDAPASARRPASASFRSTSSMAAERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEDTPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGAAVSGPNTFVETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATTDTPILEALHTMHDGKFLHLPVLDSDGNVVTVIDVLHITHASIATVGNSGAAGSEATSSMMQRFWDSAMSSGPLDDDDDSRSEGSTKVASEATDIGRSTFYPASGLSSTFGFKIQDKQGRMHRFNCDTSSLTELITSILQRLGDDIDKLNLPQILYEDEDHDKVILSSDTDLIAAVDHARQIGWKSLRLHLDYAGVGRRKRTSGSTNFEYAGRDAWASAYSTVAAGAALVAGLGVMAYLKRAS* >Brasy1G064100.2.p pacid=40054843 transcript=Brasy1G064100.2 locus=Brasy1G064100 ID=Brasy1G064100.2.v1.1 annot-version=v1.1 MDGAGGGGGLHGARRNISSASGPRRRGPPAENGHDAPASARRPASASFRSTSMAAERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEDTPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGAAVSGPNTFVETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATTDTPILEALHTMHDGKFLHLPVLDSDGNVVTVIDVLHITHASIATVGNSGAAGSEATSSMMQRFWDSAMSSGPLDDDDDSRSEGSTKVASEATDIGRSTFYPASGLSSTFGFKIQDKQGRMHRFNCDTSSLTELITSILQRLGDDIDKLNLPQILYEDEDHDKVILSSDTDLIAAVDHARQIGWKSLRLHLDYAGVGRRKRTSGSTNFEYAGRDAWASAYSTVAAGAALVAGLGVMAYLKRAS* >Brasy1G107400.1.p pacid=40054844 transcript=Brasy1G107400.1 locus=Brasy1G107400 ID=Brasy1G107400.1.v1.1 annot-version=v1.1 MGFRDLHLFNLALLGKQGWRLLTLPESLCAQVLRARYFHNVDFMKAAAPRTASKTWRAILAGRAALEKGLITRIGDGSSVSVWEDRWISGSISMKSMGRLQANDVEMMVSDLIDPHTFRWNEMKVRDTFLVPDVDLILQIPLRLSAGPDWLAWQGEKNGIYLVRSAYRSLVAERERQEDAKCGAAGVSTMGRQLQWKKLWKLDVLPRPRSPAATSDHVGGGLAV* >Brasy1G200300.1.p pacid=40054845 transcript=Brasy1G200300.1 locus=Brasy1G200300 ID=Brasy1G200300.1.v1.1 annot-version=v1.1 MVAPLPSSRPSPPLATTRRRHLARPSPPLAATQGEAARRGCLWWFPVLEAHESAHLLPLSSPRNNSCHPR* >Brasy1G033300.1.p pacid=40054846 transcript=Brasy1G033300.1 locus=Brasy1G033300 ID=Brasy1G033300.1.v1.1 annot-version=v1.1 MASPRSAAVSVSVAGAGDDADQARLHQLGYKQELKRGLSVVSNFAFSFSIISVLTGVTSTYNTGLRYGGPASMTLGWLVVASFNACVALSMAEICSAYPTSGGLYYWSAKLAGKRWAPLASWVTGWFNIVGQWATSTSVDFSLAQLIQVMVLLGTGGANGGGYLASKYVVLAIYAAILVLHGLINSLPIRWLSWFGQLGAFWNAAGVFVLVILIPSVAKERASSEFIFTNFNKDNGTGIHGNAYILAVGLLMSQYSMIGYDTSAHMTEETKNADKNGPIGIITSVALSNIFGWVYLVALTSIVTDIPYLLSTENDAGGYAIAQALYSTFQRRYGGGAGGIACLGVIAVAMFLCGVACITSNSRMGYAFSRDGAMPYSRFWHRVTKHEVPLNVVWLSVVVAFIMALTSLGSQVAFTAMVSIATLGLYISYALPIFFRVTTARTSFVPGPFHLGRFGVLVGWAAVLWVAFVTVLFSLPVAYPVAKDTFNYTPVAVGGVLLLSVAAWVFHARFWFKGPIVNTETY* >Brasy1G033300.2.p pacid=40054847 transcript=Brasy1G033300.2 locus=Brasy1G033300 ID=Brasy1G033300.2.v1.1 annot-version=v1.1 MGAPRLLGHRLWATSTSVDFSLAQLIQVMVLLGTGGANGGGYLASKYVVLAIYAAILVLHGLINSLPIRWLSWFGQLGAFWNAAGVFVLVILIPSVAKERASSEFIFTNFNKDNGTGIHGNAYILAVGLLMSQYSMIGYDTSAHMTEETKNADKNGPIGIITSVALSNIFGWVYLVALTSIVTDIPYLLSTENDAGGYAIAQALYSTFQRRYGGGAGGIACLGVIAVAMFLCGVACITSNSRMGYAFSRDGAMPYSRFWHRVTKHEVPLNVVWLSVVVAFIMALTSLGSQVAFTAMVSIATLGLYISYALPIFFRVTTARTSFVPGPFHLGRFGVLVGWAAVLWVAFVTVLFSLPVAYPVAKDTFNYTPVAVGGVLLLSVAAWVFHARFWFKGPIVNTETY* >Brasy1G540900.1.p pacid=40054848 transcript=Brasy1G540900.1 locus=Brasy1G540900 ID=Brasy1G540900.1.v1.1 annot-version=v1.1 MTTPFLCTPIPLAATSQIKPRRRKEGEREREREREREMAQLQETYACSPATERGRGILLGGDPKTDTIAYCAGRSVIIRRLDAPLDAWAYTDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPISGRVDDLRWSPDGLRIVVSGDGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFITVSSDKKGLIYDGKTGDKIGGLSSEGIHSGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGKLNRTLVCNGTGGVDDMLVGCLWQNDHLVTVSLGGTFNIFSASNPDKEPVTFAGHLKTVSALTFLPQSNPRTMLTTSYDGVIIRWIQGVGYGGRLIRKNNTQIKCFIAAEEELITSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALCIAIQQPEFALITTDSAIVLLRKSNIASTTKVNYTITSSTVSPDGTEAIVGAQDGKLRIYSISGDTLTEEAVLEKHRGAITTIHYSPDVSMFASADANREAVAWDRATREIKLKNMLFHTARINCLAWSPDSRLVATGSIDTCAIVYDIDKPASSRITIKGAHLGGVHGLTFADNDSLVTAGEDACVRVWKLVQQ* >Brasy1G142400.1.p pacid=40054849 transcript=Brasy1G142400.1 locus=Brasy1G142400 ID=Brasy1G142400.1.v1.1 annot-version=v1.1 MALATPTAVVLELMTMGQQSAAHLGELLRAASPTTTLPPGEQLQNQALAAEILRCCDRVIAAMSRCHPGVADTAAAANKKRKATDVPGFAAAAGPSPPSRRARGGAEARGVVVESGTTEDGFAWRKYGQKDINGCKHPRLYYRCAYRGEGCVATRRVQRSRDEPAAYAIAYYGEHTCGQGDGAAAAFQQRAGAPASLPAPTVVVDFGSNNASGLVDVCRDRGSAQLPLIPAGSGTSRRGWSSPSSSSSSELELGASPSPVMEFLEGSFDWESVVNSLGFGDLPLVALLQ* >Brasy1G508000.1.p pacid=40054850 transcript=Brasy1G508000.1 locus=Brasy1G508000 ID=Brasy1G508000.1.v1.1 annot-version=v1.1 MSILKNTSALCLVALMVMSVVLISSCDAYKEIDAADPGFRTPCSMNYLPLCDDVGCKQFCDSLGQPSSGAYCYETTLCCCSVPPPTEMKTKQLN* >Brasy1G154900.1.p pacid=40054851 transcript=Brasy1G154900.1 locus=Brasy1G154900 ID=Brasy1G154900.1.v1.1 annot-version=v1.1 MRRFRGNAAAAMRACGLAAEPAPAGETTRPLAGGGARGTLRPEGGGGRAGELSGWGAAAVGLGPKQSGGGGGGARVGAEVGRRCGGAAARRRIGAATRTRSQAATRSDQARIFD* >Brasy1G181700.1.p pacid=40054852 transcript=Brasy1G181700.1 locus=Brasy1G181700 ID=Brasy1G181700.1.v1.1 annot-version=v1.1 MSSFSQARRYAFWFLAVFFHSINTIFCVWNLESDLTMLLIKCTCSIIILLISIALLVVLRQKFPITRDFLIVGGCFSIFYLLVVMVATSSQHTVLLELIHIPITLFVNILRFSCQLALIGLPFPLLLWGLSSLFSHLFQIIIYLHIRLLLS* >Brasy1G033100.1.p pacid=40054853 transcript=Brasy1G033100.1 locus=Brasy1G033100 ID=Brasy1G033100.1.v1.1 annot-version=v1.1 MDAANDSSSSPLHIVVFPWLAFGHILPCLELAERLASRGHHVSFVSTPRNLARLRPVASLIDLVALPLPQVDGLPDGAEATCDVPAGKLELLMEASDGLAAPFAAFLDSTAAGKKKKKKKVDWLVIDSFHCRIADVARAHDVPCALSMPYSAAAAVQFGIPDPENMNNNPMPIPIARRFVETLDKCQVIAYRSCCELEPESMPLLPDIFGKPVIPLGLLPPPPPPTVRDPAGNAAMSWLDAQPPKSVLFVAFGSEYPMTGKLLHEMALALELAGTRFLWALKDGVLLPPLPQGFEDRTRGRGMVATGWVPQTGILAHGATAAYMTHCGWGSAIEALQRGLPMVMLPALVDHLATARLMAERGVGVNVPKDDEALLFRRDSIAGAIRAVMCDEEGSGGRRIFAANARRMQEVVADKCHERHVDEFVRYLRSYKLGMKEAQAT* >Brasy1G363200.1.p pacid=40054854 transcript=Brasy1G363200.1 locus=Brasy1G363200 ID=Brasy1G363200.1.v1.1 annot-version=v1.1 MADGNSPASPPPASALQMHRYAIKSSVHNTAASRRREQAIAIGKERREALIRAKRVCRTPLSGSDDAGIEEDDMVVDKEKEGLEARTAQAVEELKSALSSQGKGAQKKKTEVLRALRRLLSQSEVPPIEAAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPSLPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSTLLAQGALRPLARLMLSSKGSAARTAAWALSNLIKGPDPKAVNELIGIEGVLNAIVQNLEKADEELATEVAWVVVYLSALSEKAISLIVRSHVPQLLIRRLLASENLQLLIPVLRGLGNVVAGDGYMVDSILIVGNDITDQALSSLIKCLRSDNRVLRKEASWALSNIAAGSFEHKRLIFSSEATPVLIHLLNNAQYDIRKEAAYTLGNLCVVPSGSSEPPNIIVEHLVSIINSGALPGFINLLRSADIESARLGLQFLELAMRGYPNGQGPNLVEREDGIEAMERFQFHENDVMRNMANGLVDQYFGEDYGVE* >Brasy1G491000.1.p pacid=40054855 transcript=Brasy1G491000.1 locus=Brasy1G491000 ID=Brasy1G491000.1.v1.1 annot-version=v1.1 MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFIFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRYIQLSLSVLLFGVGVATVTDLQLNAMGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFVTGPFLDGFLTNKNVFSFEYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMGLYSYFCTREAQPKPTEASPQVTQVKEGESDPLISDSLSSAENGGAAADDEPLKVPMWSSKYSRA* >Brasy1G147300.1.p pacid=40054856 transcript=Brasy1G147300.1 locus=Brasy1G147300 ID=Brasy1G147300.1.v1.1 annot-version=v1.1 MAAAAAGTLGLVLAAALVLALAGANSEGDALSALRRSLQDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLELYKNNIQGTIPSELGDLKNLISLDLYKNNVSGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSGNDLCGTIPTTGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC* >Brasy1G151500.1.p pacid=40054857 transcript=Brasy1G151500.1 locus=Brasy1G151500 ID=Brasy1G151500.1.v1.1 annot-version=v1.1 MGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNPTTNSGEGDWAITSVLHDATAVTGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLHLLVGVPPLILFSGASALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIEKAHLSGTEEKS* >Brasy1G048700.1.p pacid=40054858 transcript=Brasy1G048700.1 locus=Brasy1G048700 ID=Brasy1G048700.1.v1.1 annot-version=v1.1 MLLIFIGLLSFIGLFAITLRIFITLLRTLQIVITLLSALYIFIEESTEEYNKMFKAVRFATMKYVKKKAKKQAPAVNQEQANEDVESAEQRDDDLQGSEQGDEDPQGDGEQANEAQQANEDQQHGNKLKEPEEMSLEQKRIVLADCIEGNRLGMEESGRINLYTDAMLKDLLEDGDKKRKRGDKKKKRGGLLSLCLFQNELLNKGKGTKSLDKDLTAEKLKNATKICIPIVAYGHYSLVVVSHTLKKKYILDSFRGEHDKEAHDVLTNLESYLVSTHNIDISEYDEKTPKVERQTNNYDCGFHVLLYIKGLEKVEIFNITSVNIEPRGFKFCSIQILEQMIYASKMQDKVLQFRKELSMEILFHSQNGEDAVDEVLVDTRADEYEERRDDHQGTSRNALDDGTSASMEESVKEKAEDSNLGELPVNSDAEEKENDEKEDKADSPGISDAGEEEEEKGEKNAGEEGMGHDGMSSPDTTAKTLAIAQGKRRAAASRLNGSAIKFEDDKLLSTELCGKIFSFIEEHCNDRLVINVGETSMTGG* >Brasy1G235100.1.p pacid=40054859 transcript=Brasy1G235100.1 locus=Brasy1G235100 ID=Brasy1G235100.1.v1.1 annot-version=v1.1 MRLTRCQTDAHSPAGPSLAPSLPSPVRVLLAKFSMAAAPPPRGDIGAGAGVTCCMCGDRGLPHELFRCKLCRVRLQHRYCSELYPRATAYRRCNWCLREPAAQAQAQAHAVASKPTTEKRKAAAEDASTSQVEERQRHEVAGCAATRSRRPAGEIGLPVKKQKPYDERPLGAADVGLPVKKQKSDGERSPGAAAAAAAKGNSGSKKPMQAGKLTRPGRRVKVRRYKLLAEVISC* >Brasy1G235100.2.p pacid=40054860 transcript=Brasy1G235100.2 locus=Brasy1G235100 ID=Brasy1G235100.2.v1.1 annot-version=v1.1 MRLTRCQTDAHSPAGPSLAPSLPSPVRVLLAKFSMAAAPPPRGDIGAGAGVTCCMCGDRGLPHELFRCKLCRVRLQHRYCSELYPRATAYRRCNWCLREPAAQAQAQAHAVASKPTTEKRKAAAEDASTSQVEERQRHEVAGCAATRSRRPAGEIGLPVKKQKPYDERPLGAADVGLPVKKQKSDGERSPGAAAAAAAKGNSGSKKPMQAGKLTRPGRRVKVRRYKLLAEVISC* >Brasy1G493000.1.p pacid=40054861 transcript=Brasy1G493000.1 locus=Brasy1G493000 ID=Brasy1G493000.1.v1.1 annot-version=v1.1 MERGEGKRGAGDCSVQERKKKVRRRSTGPDSIAETIKKWKEQNQKLQGENGPRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVEAAHAYDEAARAMYGAKARVNFSEHSTDANSGCTSAPSLLMSNGPTAASHPSDEKDELESPPFVMSSAPTDGLHQPDAKDESGSAGTLVHEVKTEVSNDVRSTCEEHKTVEVFQQQGNALRKEVKVSYDYFNVEEVLDMIIVELSADRKMEVHEEYQDGDDGFSLFSY* >Brasy1G508500.1.p pacid=40054862 transcript=Brasy1G508500.1 locus=Brasy1G508500 ID=Brasy1G508500.1.v1.1 annot-version=v1.1 MAGGESSTFAVLRTHDHLFASRPSNALLDHSMAALTLASCPAARYVTTHLLAAAKVHSPSRGPSATAAKVHCPSLPLP* >Brasy1G509000.1.p pacid=40054863 transcript=Brasy1G509000.1 locus=Brasy1G509000 ID=Brasy1G509000.1.v1.1 annot-version=v1.1 MEMKRKEQDAGDVDERWEAFLEAQQTGRFAQFYRCRPMSLLDKNNAQEYDQGNKVIMPQSALERLSSINVQFPMVFSIENAGSGGRRSSHCGVHEFVAEEGFVHLPTQMMEQLGVAEGGLVMMRNAELPKATFLKLQPHSSAFLNIRDLKDLMSCNFGRYACVTVGDTIAVREELIGGGGEVVYRFDVLEARPGGAVSTVETDCEVDFAVPLDYKEPVLAPVTAAAPKEGPRRFAGVGFRMDGKPVEEERAPAPSYASVAAAAAPAKGDQGKRVVRFGGAAAAAAGGKKVEGGEKEKKPAAAAAARFTGKMYSLQSKSKD* >Brasy1G009100.1.p pacid=40054864 transcript=Brasy1G009100.1 locus=Brasy1G009100 ID=Brasy1G009100.1.v1.1 annot-version=v1.1 MEPPKLCFLAHLSPRGKKKGCKTLQIEILQLAEHSLTADFKLTDVLCLCNVNLGRETFLVPLELYRCKY* >Brasy1G324300.1.p pacid=40054865 transcript=Brasy1G324300.1 locus=Brasy1G324300 ID=Brasy1G324300.1.v1.1 annot-version=v1.1 MDFAVDMDGDQFDSVTAFHDGDESLTIAAQRAKSVVWAECSSNGQPHTGSVHAQDAAQTTTENPGLSSSLGVFVVHPPSFVPTVALNSLPKLIEQRVEPLQLQLLPEAVDEVVRARVHALPRRLLPRRRRRRLLPRRLFRGGRLGGEVAGAEPPSALASEGDVLFPVVDLLLLFLLLRRRRRHEAVAELLRPRVHDGILLLLLLLLFLAHGRPIHGHGPRRHRGLPRVHDCLLLRAHRGPRGRRGILPRLRGAARLPHPRRDGRPRSPLPRLLPRRRRRGRRGLGPAAGVVSGVPGRHRLGPGGGVFGREVAGAEAVEADGAEPVEHRRRARERRGRRRVHVPLLLPVVVVVGMVLVFLPLLLLVAVLVVGESGLRRREREVSAMVPMTRLTTRKSVAGVKKPRTEPHHAPRPSAASTPLPSMCPIWPPRAAEDRIRRLGGDKKGTSGRESSMQLWPRAVGGGGRGGVFEEGLALWGLGRVKWGLGGHAARQERRGPAAAAAAAALC* >Brasy1G545800.1.p pacid=40054866 transcript=Brasy1G545800.1 locus=Brasy1G545800 ID=Brasy1G545800.1.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQENQETYMSSSDNDNDEEEAEEDDTDTDSSWLHSQPRLVPIY* >Brasy1G545800.3.p pacid=40054867 transcript=Brasy1G545800.3 locus=Brasy1G545800 ID=Brasy1G545800.3.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQENQETYMSSSDNDNDEEEAEEDDTDTDSSWLHSQPRLVPIY* >Brasy1G545800.7.p pacid=40054868 transcript=Brasy1G545800.7 locus=Brasy1G545800 ID=Brasy1G545800.7.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQENQETYMSSSDNDNDEEEAEEDDTDTDSSWLHSQPRLVPIY* >Brasy1G545800.8.p pacid=40054869 transcript=Brasy1G545800.8 locus=Brasy1G545800 ID=Brasy1G545800.8.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQII* >Brasy1G545800.4.p pacid=40054870 transcript=Brasy1G545800.4 locus=Brasy1G545800 ID=Brasy1G545800.4.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQII* >Brasy1G545800.2.p pacid=40054871 transcript=Brasy1G545800.2 locus=Brasy1G545800 ID=Brasy1G545800.2.v1.1 annot-version=v1.1 MGDHLALLVDRLLTESTLEAAIGNNNRKLQAAETIALGAAGKMVECRICQEEDWDNSMEAPCSCRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQII* >Brasy1G545800.5.p pacid=40054872 transcript=Brasy1G545800.5 locus=Brasy1G545800 ID=Brasy1G545800.5.v1.1 annot-version=v1.1 MYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQENQETYMSSSDNDNDEEEAEEDDTDTDSSWLHSQPRLVPIY* >Brasy1G545800.6.p pacid=40054873 transcript=Brasy1G545800.6 locus=Brasy1G545800 ID=Brasy1G545800.6.v1.1 annot-version=v1.1 MYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTSPQQLFHYGSIPMNFRGNWEIGRQDLHDSQVITMMPSERDFIDEYEDYLPIRTRSSALCCRTIAIIFMALLILRHTLPLMVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRHRRQQII* >Brasy1G180600.1.p pacid=40054874 transcript=Brasy1G180600.1 locus=Brasy1G180600 ID=Brasy1G180600.1.v1.1 annot-version=v1.1 MEPRREAVLRELGEAWDEIGEAEADRQEALRALEDDCLALYRAELARLRQRTARLRRDVADAVAELAAIRAALGDPGATVQSSGAGAAVGSRSLSLKEELGAIAPELEEMRRRRDERRRLFQEVTDRIEQEMYSTNLNAAAEGDSDGDLTMSRLQELRARLGDLQSEKENRIKKVAELTSSLHSSSLVLGMDSREIGLEEGDISDGGIARLLSEIERLRGIKRDRMQKLQDLVATMFELWNLMDTPPEEQSRFQDVACNIAASEDEITEPGALSVGFIANVEAEVVRLESLKESRMKDLLLKKRDELREIRRRTRIAAEDGGAVLVFDAINDDAERSMILERLEVQISEAKDEEFSRKDVLERMERWQAAVEEESWLEEYNRNENRYNVGKGMHLMLKRAEKARALVSKMPAMAEALTAKVLAWEKERGEKFEYDGEGLLDMLEEHDNARKEKEHERKRQRDQRKSQGQNRVESPVARALPKSIKNVTRTLSMGRSGSKKVAVSSSSLLSSSRPTTPSFLKSPMSSRGSDDGQMMASGPFD* >Brasy1G180600.2.p pacid=40054875 transcript=Brasy1G180600.2 locus=Brasy1G180600 ID=Brasy1G180600.2.v1.1 annot-version=v1.1 MEPRREAVLRELGEAWDEIGEAEADRQEALRALEDDCLALYRAELARLRQRTARLRRDVADAVAELAAIRAALGDPGATVQSSGAGAAVGSRSLSLKEELGAIAPELEEMRRRRDERRRLFQEVTDRIEQEMYSTNLNAAAEGDSDGDLTMSRLQELRARLGDLQSEKENRIKKVAELTSSLHSSSLVLGMDSREIGLEEGDISDGGIARLLSEIERLRGIKRDRMQKLQDLVATMFELWNLMDTPPEEQSRFQDVACNIAASEDEITEPGALSVGFIANVEAEVVRLESLKESRMKDLLLKKRDELREIRRRTRIAAEDGGAVLVFDAINDDAERSMILERLEVQISEAKDEEFSRKDVLERMERWQAAVEEESWLEEYNRNENRYNVGKGMHLMLKRAEKARALVSKMPAMAEALTAKVLAWEKERGEKFERDFWTCWRSTTTRGRRKNMRGRDKETRGSHKGRTGWSRR* >Brasy1G358400.1.p pacid=40054876 transcript=Brasy1G358400.1 locus=Brasy1G358400 ID=Brasy1G358400.1.v1.1 annot-version=v1.1 MAVLFVCAIALYIVRCTAEDTEKEGVKVKTKVAIFNSTDGAVYQQSYGSIRLVVKIAPGGGSFGGHDFLAPTPRDLHALPNQWMRVELYNGQSLPKAALIIRLDSLYILAFVSDDGVVHYMKGYQDMFNYANAEPLDFEESYASLTNTPEGQSTVPGLAMVTLGKAAAQIATESLATYRTGSDSTRAKQAILTFSIMISESLRIKSVNTACVRLWELSGTLDMDEAKYITAWSALSQLFITGDLGSLGDRNRRKLKKLGVTTPEEAGNILDFLFRLAP* >Brasy1G061900.1.p pacid=40054877 transcript=Brasy1G061900.1 locus=Brasy1G061900 ID=Brasy1G061900.1.v1.1 annot-version=v1.1 MNGASAGAGTGAGGEGPLVYQAWKGNNVFFFQGRFIFGPDARSLFVTMLLIIAPVSIFCVFVARELMNNFSYGLGLPVMVAAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGFEGNAEVGANQTPPLRLPRIKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQITIWKAMAKTPASIALVVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPQSRNNFGGRVPQDQGLRPRPTTGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGGLGNLLEDKDGRFRNASPDLSRDALAVGGLDEQGSSAMNPPRSSWGVEAGR* >Brasy1G025100.1.p pacid=40054878 transcript=Brasy1G025100.1 locus=Brasy1G025100 ID=Brasy1G025100.1.v1.1 annot-version=v1.1 MVFADWPLLPPELLSCIADCFLATSDIDYYMDFRAVCRSWPSSTEDPKNSAHPRFRPRHWVFTDMVFRTGPCLLVNTATGRFLRKELPVLRNYFVTDATLDGLLLLMQQDSPYAVHVLNPFTGYMIRFIVTLPDGGTLEFPTLVSDPSTTLVLLCNKSLMAYLDDPDFLFRNLPRQVYKADPGSECFVVYEDSLACPLTRLTDRGICANGELEPAAPYPVAVAQLIFGLAKHFNADPVELSDEEDTLMSQEEAMWNFYIGCDNRCFLVESAGELLIIIKIKKGVEVFKMDTERYVIEHVENIGNRAIFLGEYKCMAVAADKFPSIGANCIYYAKSLDLSSDMYKYNLKCGREERISEVMYGREEETSGPCTIIEFLLFRTLGFCRY* >Brasy1G228800.1.p pacid=40054879 transcript=Brasy1G228800.1 locus=Brasy1G228800 ID=Brasy1G228800.1.v1.1 annot-version=v1.1 MGRHAGTGGGGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISSAGAAVETDQAPKDQKPQAAVEGFALKPQQVFDPFPVTDTFGGGFDGAGMALYDSLGGKDASGFVDYSSVLDVSENLGYGESSSNSSNWNCAPEVNNVLEGHWASESKAEPFAGYAGGEQEEALEHKFVLPCQGGQEHSMAHFDFNLEYF* >Brasy1G554400.1.p pacid=40054880 transcript=Brasy1G554400.1 locus=Brasy1G554400 ID=Brasy1G554400.1.v1.1 annot-version=v1.1 MEFSERYKQGTVPIVFDWAIRDGTCPTPSDGSDEAKPVVPSACISKYNTCVNARNGPGYFCICSEGYAGNPYTKDGCTNINECDQWTSPNSTFYKKLYPCHGGTCQDVEGGYNCKCSFGRRGDGKSNKGCEAIVSLAAIAAIGTITGISLLAVLLLFLHMDRQRRKLRDHFNRNGGQLLKSIKIEIFTKEKLDQITKHYSHIIGRGNFGKVYKGTTSDNVQVAVKRSIAINEDRRKDLFANEITIQSQVSHKNLVQLLGCCLESEVPMLVYKFIPRGSLYDVLHGKDGTGRTHPLPLGARLDIAIYSADGLAYMHSEASHKILHGDVKTGNILLDDEFVPKVSDFGTCRLMSIGKEHTNFVIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITGKMARYDKNNSLPLNYIKAFKDGTTKQMLDTDIASTDEDINCLEMVGRVAVKCLEVDVNDRPTMAQVMQELKMQKIQWLHSHEKIGDAEICTASVSVYNSHLQNPEISVYKALLSSHPLSS* >Brasy1G308800.1.p pacid=40054881 transcript=Brasy1G308800.1 locus=Brasy1G308800 ID=Brasy1G308800.1.v1.1 annot-version=v1.1 MAPAPLLAGPSDPLLVATVAADHRRRPSARGLPPVAWCHTATSPSTPPTTSPSVLRRWGWRWGCASCARCANQRASSLQGTRRHLPIPKTLEILITAFVLCSTCSGHAMVSTPAAATSRGRVPPAVLDGVDEVGAAQRFAASREADEVKGRANYFYYVKTSMDALQNKVSGLDSIMEGFRVDDKEWENVFMDRDSSVTAITPP* >Brasy1G529400.1.p pacid=40054882 transcript=Brasy1G529400.1 locus=Brasy1G529400 ID=Brasy1G529400.1.v1.1 annot-version=v1.1 MDQTSVAASLEPRAKATLVLGGESFAVSSESGTLSEQLAAMREKTMVILKDYITKHNAPNDVPDESIDGSSDEEGEALAKNPPKKSKKQK* >Brasy1G056700.1.p pacid=40054883 transcript=Brasy1G056700.1 locus=Brasy1G056700 ID=Brasy1G056700.1.v1.1 annot-version=v1.1 MALRALDNTLPAAVADRPKKAAKISKPTIAAASPESGGKKRNDENSAPKATAEQAVEYVRSEDLQPVAGNAKARAAGLVAELDSRDWVKVCEALNDARRLAIHHPALLSPILEKVVLAIVKTMKNPRSAVLKTSVMACADIFRAFGNLISSASGETFDKLLLQLLLKASQDKRFVCEEAEKAMRAMATSMRPLPLLKKLKSYVHHANLRVRAKAAVAMAHCAARMDVETMKEFGMPALLQVSAELLNDRLPEAREAARSIVTSTHAAFFKDAAAGREEEKEKEDEEEKEDAMGAAAAAWESLCALSLSPISAQGVAKIVSQPQPQ* >Brasy1G270300.1.p pacid=40054884 transcript=Brasy1G270300.1 locus=Brasy1G270300 ID=Brasy1G270300.1.v1.1 annot-version=v1.1 MDEQFILRVPPSVAERIERLMNEAAAGSSSNPDDSSLDLSFSEDGRSGTFMIGNQSFPASLLDLPTVVESYKTYDDSFLVKTADIGQMVMVREEDDPAPEGVEYKHGLTPPMRDARRRRYRREPDLSAELVHRVEKDLMSIMQGVSVNQNASVVGGGGKKAAPAHVPKPDVQEPAANGEEAEPERSDSDESDN* >Brasy1G154500.1.p pacid=40054885 transcript=Brasy1G154500.1 locus=Brasy1G154500 ID=Brasy1G154500.1.v1.1 annot-version=v1.1 MAGEARAEIPAPAAPTLPVPQKRVVREEDGGEGVVERPEPKRRRASVAALDGVSCAAAADGEAEAEDGHVDGDGLSFSFQHARGGFGALETTPKFGSFHPPAPLAEQAALDPKPAPPAGEDPPLVEEEDAASARGVGDGEGGNSRLVVAVGDQGQPGGQTDAHSHF* >Brasy1G225600.1.p pacid=40054886 transcript=Brasy1G225600.1 locus=Brasy1G225600 ID=Brasy1G225600.1.v1.1 annot-version=v1.1 MWESVALTLAGAAGNSIGKVLQKKGTQILPPLSFKLKVIRGYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWIAITLAGLGTIGVGVGGEEQKVEEIPLFNIPWLVLSVVILFVLLNTWLHIYKRQRREQELTGPEVIEEVIYGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVACSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMVALDEHLPTAPTGRFFLLLGWFFIITGVILLVTSTRLIARLPKPVQKFLKSNMERSHSIRRPGSARGKDPIQSTTIHASSLHILTSPGKEKA* >Brasy1G512700.1.p pacid=40054887 transcript=Brasy1G512700.1 locus=Brasy1G512700 ID=Brasy1G512700.1.v1.1 annot-version=v1.1 MMRESWMEVLPAMDHYAAAARGWFMAPPQAWTAQENKQFERALAALDLRCPDWDRVARDTGKTVVEVMTHFKDLELDVRQIENGMVPFPFYGGGGHGGAAAAAFTLQWDGAGGHGGAGAFRHGGYRFGGGCGAKRHAGRTPEQERKKGVPWTEDEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLSSGGGKDKRRSSIHDITTVHLTDDRPPSPSQSSLITSNQSNAPSTPSVTVGQFSLPGDAKQHGGAPNAAFDSPCLGMLPYGVSLQDQGLQCGPLHDQLAPNRSMLY* >Brasy1G129300.1.p pacid=40054888 transcript=Brasy1G129300.1 locus=Brasy1G129300 ID=Brasy1G129300.1.v1.1 annot-version=v1.1 MIFHRGLVTFPRNIYYSSNHVRTDGTWRCGERSGGVCPNAVAVESDGTVVWPSQSYPVEASSEPQRPLKTDALRNHFLPFSHLPPPSPLCHRLPPRALAPAASNDPRAKIVPRLFRFVNGGNLRITHPPPSAPLSLGFSASPGSYECVGNCLGCGFGWGGGGGG* >Brasy1G243700.1.p pacid=40054889 transcript=Brasy1G243700.1 locus=Brasy1G243700 ID=Brasy1G243700.1.v1.1 annot-version=v1.1 MEKQHCSSSKMETAATKQQQKKVVGVGGVESRPGNCKLDQKGDGRSKRKELRRSASLPVRVASAAREQRARLYIMRRCVSMLVASCWNK* >Brasy1G106900.1.p pacid=40054890 transcript=Brasy1G106900.1 locus=Brasy1G106900 ID=Brasy1G106900.1.v1.1 annot-version=v1.1 MAQSMLMSSVNGVASSGRSLLQAARPAATTPFSRLALPTSPSYYKHMPSLSVRTMAIFGKSKAKAAPVKKVAAPKPKTEDGIFGTSGGIGFTKENELFVGRVAMIGFAASILGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDEQPTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKSNELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPISEIEPLVLFNVVFFFVAAINPGTGKFISGEEDD* >Brasy1G392700.1.p pacid=40054891 transcript=Brasy1G392700.1 locus=Brasy1G392700 ID=Brasy1G392700.1.v1.1 annot-version=v1.1 MHLDGEAWTCEVCNHKNRPSRHLFIDLPAFKCGSCRAKKRVDFRFSFGNLAANGKCTIGEILDQGETEDCVPFALAKAAEITARIWTILSGSSDKIPTLNPNTLKRHYLSSLTPDQEAARNCSAHNCLYDEVAMRKMMKLMQTAGVQDEKGTYTCRIGGVKRIRRHFEDIVKELANGYPLIVDLIPGSGFSDLLYGEVYRCPITNKTRPPGLHPIIHTVVLVGAARLGHFDYFYFLNSYGAWWCERYQRKEEEEEEEEEEEEEEEEDGEEEEDGEVTIGGIGKILARDTCYRPYKFLRCKKP* >Brasy1G257600.1.p pacid=40054892 transcript=Brasy1G257600.1 locus=Brasy1G257600 ID=Brasy1G257600.1.v1.1 annot-version=v1.1 MIACPVVLSSRHPTELELIKSYLLPRITWGDSTKSWEFIHHADVYTADPSSLTEMFPPARTIDGEEVWYFFSPVKTKSVHGQRKVRTVKSGAGCWHSEAGTKTVWDDRGRRVGHRQFFSFVTKEHGRRVRTGWLMVELGVDNEHQASRSPELVLCKIYMTPREASTPSKGVIDGVEEHMPSRSSLSPSSPPSSSSPPASPPSSPPSSPSSTSPPSPTSSMSPSTPPLLSTSSLPALQKLSSLLAVDDKEEGPTVLSESIHSAAMETMQQPYFGRLSHTLYITCTTTYFGVWWTFFGSSDNYAAKSFLLSSVSTRACPSYHKPACGMDPQHSTQLNSFIINFLKVNCEYI* >Brasy1G256800.1.p pacid=40054893 transcript=Brasy1G256800.1 locus=Brasy1G256800 ID=Brasy1G256800.1.v1.1 annot-version=v1.1 MVSQHGILLAVGIISDHFGPLVAKVCDCLLRHGELSLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFSAPKGRDRTVTLYLAIFDNVLHRLRFSKFLSVIRADIPESEVLIEGLLQNGRLTFDQLVGRTISKVPEGSIRPTREEIRMNFNKLVHAHFVERCPKPEPFIDSLVVQQPTSSRRRAPKNFEKVISLEQKIVNTAALSDAERFSEVPYIIEDASDVNGCSRDPVDSAKRKRGAFEVDEELNSTIAENEVLWRANFEKFTFCLKKKFCADRKKLKLKVGTHPIWESFFEANLTEKDTDSVTSPMNGILERLRQKEGGASMTLDQVTRVLEDLQCTTSNENPEAYTFDLCKMVESCRNEEIESLVKKKYGQEAFTIFRLLVTQGGPVETDQIIDTTILDKQIVHSTLYKLWKDDYVDTEKISSVAGTGYAQFYVWRAKSTFREQFIDNLYHAALNLRQMVNYIAELLLEGSKDDTKLRNRKNILILALTRHDDSLMLFHDF* >Brasy1G256800.2.p pacid=40054894 transcript=Brasy1G256800.2 locus=Brasy1G256800 ID=Brasy1G256800.2.v1.1 annot-version=v1.1 MVSQHGILLAVGIISDHFGPLVAKVCDCLLRHGELSLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFSAPKGRDRTVTLYLAIFDNVLHRLRFSKFLSVIRADIPESEVLIEGLLQNGRLTFDQLVGRTISKVPEGSIRPTREEIRMNFNKLVHAHFVERCPKPEPFIDSLVVQQPTSSRRRAPKNFEKVISLEQKIVNTAALSDAERFSEVPYIIEDASDVNGCSRDPVDSAKRKRGAFEVDEELNSTIAENEVLWRANFEKFTFCLKKKFCADRKKLKLKVGTHPIWESFFEANLTEKDTDSVTSPMNGILERLRQKEGGASMTLDQVTRVLEDLQCTTSNENPEAYTFDLCKMVESCRNEEIESLVKKKYGQEAFTIFRLLVTQGGPVETDQIIDTTILDKQIVHSTLYKLWKDDYVDTEGSKDDTKLRNRKNILILALTRHDDSLMLFHDF* >Brasy1G256800.3.p pacid=40054895 transcript=Brasy1G256800.3 locus=Brasy1G256800 ID=Brasy1G256800.3.v1.1 annot-version=v1.1 MVSQHGILLAVGIISDHFGPLVAKVCDCLLRHGELSLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFSAPKGRDRTVTLYLAIFDNVLHRLRFSKFLSVIRADIPESEVLIEGLLQNGRLTFDQLVGRTISKVPEGSIRPTREEIRMNFNKLVHAHFVERCPKPEPFIDSLVVQQPTSSRRRAPKNFEKVISLEQKIVNTAALSDAERFSEVPYIIEDASDVNGCSRDPVDSAKRKRGAFEVDEELNSTIAENEVLWRANFEKFTFCLKKKFCADRKKLKLKVGTHPIWESFFEANLTEKDTDSVTSPMNGILERLRQKEGGASMTLDQVTRVLEDLQCTTSNENPEAYTFDLCKMVESCRNEEIESLVKKKYGQEAFTIFRLLVTQGGPVETDQIIDTTILDKQIVHSTLYKLWKDDYVDTEITSLLRLPYLAGDPLMHNDIL* >Brasy1G161100.1.p pacid=40054896 transcript=Brasy1G161100.1 locus=Brasy1G161100 ID=Brasy1G161100.1.v1.1 annot-version=v1.1 MHMEAPPAVSGEASGCKGKHIVLVHGACHGGWSWFKVATRLRAAGHRVSTPDLAASGVDPRPLREVPTFRDYTKPLLDLLESLPPGEKVVLVGHSLGGISVALAAELFPEKIAAAVFLSAFMPDHESPPSHVLEKFVEGRTLDWKDTEMKPQDPEGKLPISMLFGPVVTRSNFYQLCSPEDFTLGRSLMRIGSMFVDDLKLQQPYSEARYGSVRKVFIVCKDDLAIVEGFQRWMIQNNPVDEAKEIDGADHMAMLSTPTELTQCLSDIAETYA* >Brasy1G452300.1.p pacid=40054897 transcript=Brasy1G452300.1 locus=Brasy1G452300 ID=Brasy1G452300.1.v1.1 annot-version=v1.1 MLTQTEESELATVLRRRATLSLDADDIFLIRDCQNGSVFVSRFRNHKCTYEVHNPLFPERGMVVLSPPPPPPPATAGGRHISTFTCFLPRGGDACLSYMWLAMETSIIDGGTTWKVYMLQDGVWVMLAKATTQLPHPIGWPKPPLINDRIYMAGGTSKDLLVLNLANSSFFTIQLPEGVEWPSRYIFGIKSDNRDVVLSRADDSGVYLIDLKELRLCIWLHKDGNWLLVDTICLREMCAALGMSDGMVEDEHTTAVRMSQVGDNAEFVFLQMGRCTLYLDIRRRVLRKVYEL* >Brasy1G119600.1.p pacid=40054898 transcript=Brasy1G119600.1 locus=Brasy1G119600 ID=Brasy1G119600.1.v1.1 annot-version=v1.1 MVSQRFKPVEECSSEGRSEQTVAADFDGTLLRSSNAFPYYLLVALEAGSVLRAVALLLSVPFVYVTYIFFSESLAIGTLVYISVAGLKVRSIEMAARSVLPRFYAEDVHPESWRVFGSFGKRYVITASPRIMVEPFAKAFLGADRVVGTELEVGKSGKATGFMVKPGVLVGDHKKQAVVKELGDAVPDVGMGDRESDFNFMSICKDAYLVTPRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYINLPLPERVVFYIYHMMGIRLIVKGSPPPPPKKGSPGVLFVCNHRTVLDPIEVAVALGRKVSCVTYSISKFSELISPIRAVALTRERDKDADNIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPVYEVTFLNMLPRELTCGGGRSPIEVANYIQKTLSDQLGFECTAITRKEKYGILAGTDGRVPSKNKDTDKEKK* >Brasy1G252600.1.p pacid=40054899 transcript=Brasy1G252600.1 locus=Brasy1G252600 ID=Brasy1G252600.1.v1.1 annot-version=v1.1 MAREGTEFSIDLEILFLWIHMVLFLFYVNGLRSGVCPAFPNAAGGLLDPVALLVKRPPEGCRLLLDSCRGRRKSPFRIGGAAVAIDPGGGKLGEGRSSLTSSKCRTSSILATVTDEELPHAEQQIINFLYI* >Brasy1G085300.1.p pacid=40054900 transcript=Brasy1G085300.1 locus=Brasy1G085300 ID=Brasy1G085300.1.v1.1 annot-version=v1.1 MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQALKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPIHPVQIPANRPRPHLAPQKASDEEDELAALQAEMAM* >Brasy1G085300.3.p pacid=40054901 transcript=Brasy1G085300.3 locus=Brasy1G085300 ID=Brasy1G085300.3.v1.1 annot-version=v1.1 MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQALKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPIHPVQIPANRPRPHLAPQKASDEEDELAALQAEMAM* >Brasy1G085300.2.p pacid=40054902 transcript=Brasy1G085300.2 locus=Brasy1G085300 ID=Brasy1G085300.2.v1.1 annot-version=v1.1 MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAAELERAKDFSKAKNKRAAIQALKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPIHPVQIPANRPRPHLAPQKASDEEDELAALQAEMAM* >Brasy1G413400.1.p pacid=40054903 transcript=Brasy1G413400.1 locus=Brasy1G413400 ID=Brasy1G413400.1.v1.1 annot-version=v1.1 MAASAESGGDKYRSFMHGEGEKSTVWKLGAPPNYDIVNKLFEEERTKVWPEGSLEEKVQRMLKTWEMEMIHKPRPEDQKSVHSQGFTASTNGMRPLPRKEWKAIGGYNAFLATTLPPEHRIYDPEKETEESGMSTFLTAFPRGFAIEVLDVYSGPPKVTFKFRHWGYMEGPFKEYPPHGQRVEFFGVCIFHVDEDVKIEKAEYFYERGNFLSSFLSAPAAAAASAATASGCPVMRGN* >Brasy1G413400.2.p pacid=40054904 transcript=Brasy1G413400.2 locus=Brasy1G413400 ID=Brasy1G413400.2.v1.1 annot-version=v1.1 MAASAESGGDKYRSFMHGEGEKSTVWKLGAPPNYDIVNKLFEEERTKVWPEGSLEEKVQRMLKTWEMEMIHKPRPEDQKSVHSQGFTASTNGMRPLPRKEWKAIGGYNAFLATTLPPEHRIYDPEKETEESGMSTFLTAFPRGFAIEVLDVYSGPPKVTFKFRHWGYMEGPFKEYPPHGQRVEFFGVCIFHVDEDVKIEKAEYFYERGNFLSSFLSAPAAAAASAATASGCPVMRGN* >Brasy1G413400.3.p pacid=40054905 transcript=Brasy1G413400.3 locus=Brasy1G413400 ID=Brasy1G413400.3.v1.1 annot-version=v1.1 MAASAESGGDKYRSFMHGEGEKSTVWKLGAPPNYDIVNKLFEEERTKVWPEGSLEEKVQRMLKTWEMEMIHKPRPEDQKSVHSQGFTASTNGMRPLPRKEWKAIGGYNAFLATTLPPEHRIYDPEKETEESGMSTFLTAFPRGFAIEVLDVYSGPPKVTFKFRHWGYMEGPFKEYPPHGQRVEFFGVCIFHVDEDVKIEKAEYFYERGNFLSSFLSAPAAAAASAATASGCPVMRGN* >Brasy1G198000.1.p pacid=40054906 transcript=Brasy1G198000.1 locus=Brasy1G198000 ID=Brasy1G198000.1.v1.1 annot-version=v1.1 MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRSFKKNVAEAEEEEEEIEESEEEESEDDAEGKAKHKGTEGIIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKSHERYMKLQEQGKTDQAKKDLERLALIRQQRADAAKKREEEKAAKEQRKTEARK* >Brasy1G535900.1.p pacid=40054907 transcript=Brasy1G535900.1 locus=Brasy1G535900 ID=Brasy1G535900.1.v1.1 annot-version=v1.1 MEETSGAELITSPRISFSHDLAPTFEPATAPTSLTIMSPRRRPRRGRSRRGTMIPAADEPEFDFANEAAADVAPAGRLFSGGKLLPVPPLPPVPPVARPPPWPEKTTSGPPPPRPKSSPFARSSSVNSTAAESGRFTCPAFPLMRSRSAGAGASAAVGGGRPPHSKKVAPAATAGKNGGGGGGRSGYYYGYGGGRINGGAGSRGSGVRVSPVLNVTSIGTSVVNLLSYLLCDCGEKGAGKSRDLGLNCWVTR* >Brasy1G078600.1.p pacid=40054908 transcript=Brasy1G078600.1 locus=Brasy1G078600 ID=Brasy1G078600.1.v1.1 annot-version=v1.1 MAKPGILGRSSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGLYSGMPQVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G078600.2.p pacid=40054909 transcript=Brasy1G078600.2 locus=Brasy1G078600 ID=Brasy1G078600.2.v1.1 annot-version=v1.1 MAKPGILGRSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGLYSGMPQVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G078600.3.p pacid=40054910 transcript=Brasy1G078600.3 locus=Brasy1G078600 ID=Brasy1G078600.3.v1.1 annot-version=v1.1 MAKPGILGRSSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G078600.4.p pacid=40054911 transcript=Brasy1G078600.4 locus=Brasy1G078600 ID=Brasy1G078600.4.v1.1 annot-version=v1.1 MAKPGILGRSSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G078600.5.p pacid=40054912 transcript=Brasy1G078600.5 locus=Brasy1G078600 ID=Brasy1G078600.5.v1.1 annot-version=v1.1 MAKPGILGRSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G078600.6.p pacid=40054913 transcript=Brasy1G078600.6 locus=Brasy1G078600 ID=Brasy1G078600.6.v1.1 annot-version=v1.1 MAKPGILGRSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDSKSDEIPKIYVTSNPKGAERLPPGIIVSETDLYLRRLWGEPSEDLTIQPRYLVTFTVGYAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGKAPWEGVRGRCRKEWGIFQSRLADAEKKYYLDQGITLPNSTSS* >Brasy1G282700.1.p pacid=40054914 transcript=Brasy1G282700.1 locus=Brasy1G282700 ID=Brasy1G282700.1.v1.1 annot-version=v1.1 MAAGGGSDGGGDIGADSERRLKKAMDKLYHFPKPKPNPSASSKPSSSSTPNPSSWRAANAGGRFGVVRGSRIPPQMTAMSAMSPPPPCRPWDRRDLIRRLASFKAMTWFAKPKVVSPVNCARRGWTNVEPDIITCEACGARLLFSSPSSWTPQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYESFSSLLRLSALPRISCSSLDSMKKKNPQLEQFLLEPFSSSVVLKGGFMLTEDYTIKDLDGTFQDAEIYYQALKIISLCGWEPRLLHYAVDCGTKSQSDANSNSILAQSEQMNNKLEDRILVYSRKEIDGSPAIADANQGDQHYDPSSVVLDCQFCGACVALWRFSLIERPLQLFKLVSDSNTQNEQNNGHANLISGVEPSKSANVGFNFTIAGGPPPTRQSFRPRVSFPVVSRHLKADLNSCGKSFLSGSDSHMVPVASGAMKRKRSMDEPHVLEGINTTSSNVDTSAEGAQHDHEGDSTEKDIANLGVSTEHKQGCSYSDTSKDTNMEEVLNKEPERGVATSRSLTSTNSNLDQHRSDPFSSVQDTREEPSNDQNSVQTHTNNSKSVEVAAMTKSSINMGKGVHPSGKQGLYDEMNEFDPIKQHRTFCPWISPDDGKALPGWRLTLLGLLARDKKTDGDTQVEAQISLLNEEDDPVTSVRKLFMTPPSKKLRTHQAEKS* >Brasy1G282700.2.p pacid=40054915 transcript=Brasy1G282700.2 locus=Brasy1G282700 ID=Brasy1G282700.2.v1.1 annot-version=v1.1 MAAGGGSDGGGDIGADSERRLKKAMDKLYHFPKPKPNPSASSKPSSSSTPNPSSWRAANAGGRFGVVRGSRIPPQMTAMSAMSPPPPCRPWDRRDLIRRLASFKAMTWFAKPKVVSPVNCARRGWTNVEPDIITCEACGARLLFSSPSSWTPQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYESFSSLLRLSALPRISCSSLDSMKKKNPQLEQFLLEPFSSSVVLKGGFMLTEDYTIKDLDGTFQDAEIYYQALKIISLCGWEPRLLHYAVDCGTKSQSDANSNSILAQSEQMNNKLEDRILVYSRKEIDGSPAIADANQGDQHYDPSSVVLDCQFCGACVALWRFSLIERPLQLFKLVSDSNTQNEQNNGHANLISGVEPSKSANVGFNFTIAGGPPPTRQSFRPRVSFPVVSRHLKADLNSCGKSFLSGSDSHMVPVASGAMKRKRSMDEPHVLEGINTTSSNVDTSAEGAQHDHEGDSTEKDIANLGVSTEHKQGCSYSDTSKDTNMEEVLNKEPERGVATSRSLTSTNSNLDQHRSDPFSSVQDTREEPSNDQNSVQTHTNNSKSVEVAAMTKSSINMGKGVHPSGIAA* >Brasy1G338500.1.p pacid=40054916 transcript=Brasy1G338500.1 locus=Brasy1G338500 ID=Brasy1G338500.1.v1.1 annot-version=v1.1 MQSIDCERGLSTPANIVDLYTGDDPHGNPRDWRSYYKHVLLLAYQSCGVVYGDLSTSPLYVFKSTFAGPLRRFEDEETVFGVFSLVFWTITLIPLLKYVFIVLSADDHGEGGTFALYSLLVRHAKFSLMPNQQAADEELSTYYNPGYTPQEDTPILRALRHFLERHAKSRTCLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHLHALTNGEVVILSCIVLVGLFALQHCGTHRVAFLFAPVVIVWLLLLGGLGVYNIIVWNPRVFYALSPMYLIRFFQRTGVEGWISLGGVLLSMTGTEAMFADLGHFTATSIRVAFVCLIYPCLVLQYMGQAAFLSKTPGCDIHFIFFQSIPRRLFWPVLLIATLAAIVGSQAVITATFSIVRQCTALGCFPRVKIVHTSSRIHGQIYSPEINWILMFICLAVTIGFRDTMLIGNAYGMACAGVMVVTTLLMALVIVFVWQQGFVMATLFLLAFGVVEAAYLSAAMMKVPQGGWLPLALSLAFVAIMYVWHYGTRLKHMFDEQNKVSLRWIHALGPSLGIVRVPGIGLIYSELATGVPAVFSHFVTNLPAFHQVLVFVCVKAVPVPHVRSEERHLVGRIGPRDYRMYRCVVRYGYKDVLGDDSDFENDLVLRIAEFVQMEAAEAGRASRAASEGAARAGWPSEPAEAEDSVVVRAATAATEDGTLHSLYESESPGFGNRRRVRFEISDVVGDEVDPRVKEELSAIVEAKHAGVAYIMGHSYIKARKSSNFIKKFAINIAYNFLRKNCRGPAVALNIPHISLIEVGMIYYV* >Brasy1G050700.1.p pacid=40054917 transcript=Brasy1G050700.1 locus=Brasy1G050700 ID=Brasy1G050700.1.v1.1 annot-version=v1.1 MASRFLTRSKALALAGLSRANSALAPPPLAGISRDPAATSVLSPRQPAVGSPIGLSKILGYEHAFRPSGTQVLPRWFSTGASSGSTVRQASKTIAGMVQSDALKAQEGASVKVTAFSPLEATIAKHRSSPLTVESLKVRRSEMLTKVTFYMIPTLLLVSKNSISTSLLVASVYHQVYMFHKEIFLDYVHHDITRKWALIYFKLLLLVMAKDTMVYFDLF* >Brasy1G123700.1.p pacid=40054918 transcript=Brasy1G123700.1 locus=Brasy1G123700 ID=Brasy1G123700.1.v1.1 annot-version=v1.1 MAEELDNASPEFWLPDEFLDDDFFSEEEKAAVVAAKSDSEEEEGLGGLSRRMAGLDCDGNDNTIVKAEVMAGSPQSTLLGPPDSGEDSPNGGASQVSSPPSSPLENQPDDPWDLLHEAAGQVARLRVNSIPVPNNPPAYQGNGYAPPARMPSPPLLPAPKAPGPYQYAPNNMLAQRRVQAVQFQLLKQQQLLKQQHAMAAAMAWGTHGTEIPPLGLNPSAWPPLQKHPHQASSGMRAVFLTPPGAKRECAGTGVFIPRQAGVAAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCYPGGFVLDHDALVSRSNAMLASQKREHHVNATPSQSPPLSAALDVNLPQEWTY* >Brasy1G147800.1.p pacid=40054919 transcript=Brasy1G147800.1 locus=Brasy1G147800 ID=Brasy1G147800.1.v1.1 annot-version=v1.1 MLVSPQGGADNVGGRRRNGGAGLPAAAACPLLFRQGAAASRRRHEPETWGLAVWGRT* >Brasy1G183900.1.p pacid=40054920 transcript=Brasy1G183900.1 locus=Brasy1G183900 ID=Brasy1G183900.1.v1.1 annot-version=v1.1 MASCSHPWLFPGMSPPPAASTAVPGPRFCKSSKVFAGLRQWRKAGSVAPSRTRRCRIKCVKDESIHFDPSKIEAPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGTSSLGKKPGSRRKGYKEQVASASAAEGAETSGDDGEFIVATEVPLDDTSEETEDSVDEYVIYEAPKSESLSEYEMDKMMGRPHPFVDPAKAMLVEEPKSSEELWWNWRRKSEPEMWSRWQRRRPDVDTVFAKAMAETGQIKLFGEHPTRTEAALAKTRRHLHKEERLEAERRRLEEIGPISYYSEWVEAYKHKDTSREAIQKHFEETGEDENTQLIAMFQHQTAGEYRIMMGTDVRILRDPLAMRMREDQIKQIWGGDPVYPTVNYVQDPDEVIDYRAPEFHEPTPEVVPYLMEHGIMITKEELDARLNEERDDTNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEEEVDKAVVQPESLEDEEDGGDDADEVEGKLSQNWSVLKTTGQDEKPKEKLKKDQMSLKDAINDSENLTDFLMDFEEDE* >Brasy1G183900.2.p pacid=40054921 transcript=Brasy1G183900.2 locus=Brasy1G183900 ID=Brasy1G183900.2.v1.1 annot-version=v1.1 MASCSHPWLFPGMSPPPAASTAVPGPRFCKSSKVFAGLRQWRKAGSVAPSRTRRCRIKCVKDESIHFDPSKIEAPPYSSYLDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGTSSLGKKPGSRRKGYKEQVASASAAEGAETSGDDGEFIVATEVPLDDTSEETEDSVDEYVIYEAPKSESLSEYEMDKMMGRPHPFVDPAKAMLVEEPKSSEELWWNWRRKSEPEMWSRWQRRRPDVDTVFAKAMAETGQIKLFGEHPTRTEAALAKTRRHLHKEERLEAERRRLEEIGPISYYSEWVEAYKHKDTSREAIQKHFEETGEDENTQLIAMFQHQTAGEYRIMMGTDVRILRDPLAMRMREDQIKQIWGGDPVYPTVNYVQDPDEVIDYRAPEFHEPTPEVVPYLMEHGIMITKEELDARLNEERDDTNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEEEVDKAVVQPESLEDEEDGGDDADEVEGKLSQNWSVLKTTGQDEKPKEKLKKDQMSLKDAINDSENLTDFLMDFEEDE* >Brasy1G105700.1.p pacid=40054922 transcript=Brasy1G105700.1 locus=Brasy1G105700 ID=Brasy1G105700.1.v1.1 annot-version=v1.1 MEPTLRLECAYAKIYNCSSSHAECYRSCGRIVDITEHQCDTPGHELNRVSVRKHVSFVDCPGNMLYMGTMLAGIMMTDGVLVLSKPSVDFNLAPTCAVLAAANIMGKSIVVLQNCTEEESANKTKIKENLRQIQHFTRLIISTEVPIVRLSVRDKTSSKIAELCSRINNMSTPEQDVTSPALMIIANSTCDPEGAETIDETLLVTIHGRVMQGVISPNQETEVRPGIVMLGEDGKQAVCCPIILKVVAIMEDAAVSGQVVALRAAANIRNDDQLKSVIKGGLGGHVLGQVGSLPAVYSALKVKFSLVRKMFNPYSEELEKVEAIEKGQELLLTIGAMSTHGKVHSITEDMMVVSALTPPSCARKEERIFISRKQELAWNLIGHGEIIGGKSVAVVERSFHA >Brasy1G010800.1.p pacid=40054923 transcript=Brasy1G010800.1 locus=Brasy1G010800 ID=Brasy1G010800.1.v1.1 annot-version=v1.1 MAFPTSSQIPRPYITTRISNSVALLLLRDPTLTRRSSLEEAKRAARSFLLLRPAAAPIPFLVASRGRGSMAAFEMYRRSSIGMSLTGTLDEMVLSGAVCPELAMKILTQFDESMSAALQHQVKSKVIIKGHLRTYRFCDNVWTFILADATFRNEDVSETLGRVKIVACDSTLIPQD* >Brasy1G519500.1.p pacid=40054924 transcript=Brasy1G519500.1 locus=Brasy1G519500 ID=Brasy1G519500.1.v1.1 annot-version=v1.1 MPPPLLLLLLLASILPLPPPASAACSPKTCGDLSFDYPFWLEDGAGRPACGSPSFQLNCKGGQAFLSHSVDGQYQVVRVFVENSSFIAVDHNLLVPPVGCPKRRFNVSNGIGLGPYTISKKNRELLVLYNCTKQQQRRRRPAPQGFLPTPCLNESFYRVGGEYGSHREQGGLPPACNLSVVPFLGFADQDAYLGSMRQGFLFEWKLTSGDCPKCMASGGQCRYGSNGKGFSCSCSGRLYPDECGSPIRSTACAGPTTTCRRASTSARSRSAPPTAPSASSTLPQWHGGADRARLRERHWVPCLRQAHHGLPRRELRREHAAGDPHGELHVHVPAGAGDGEHQGGEL* >Brasy1G489600.1.p pacid=40054925 transcript=Brasy1G489600.1 locus=Brasy1G489600 ID=Brasy1G489600.1.v1.1 annot-version=v1.1 MCTKSASAGGKENLRGSSRAKVKPRGLSRRRRRRRRSGDRRCLPATSSALCRPKSLPPLPQLVAAAAPSRTASPLVAAAPTNGSTTGNLLCSSSPRAK* >Brasy1G466000.1.p pacid=40054926 transcript=Brasy1G466000.1 locus=Brasy1G466000 ID=Brasy1G466000.1.v1.1 annot-version=v1.1 MARRLASSKGGSAREAIGSKRPLLLGRFEVGKLLGQGNFAKVYHARNVGTGEEVAIKVIEKEKVFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVSKGPLPEPDARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDDAGDLKVSDFGLSAVAEQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLFVLGAGFLPFQGRNLVGMYRKIHRGEFRCPRWFSPDLLRLLRRLLDTKPERRATVEEIMEDAWFKVGFRRFSFRVEDDRSFTCFDLEDYLEAPTPPDTPRTADGGEDAAACEEESPRKEGRMALKSCGSSPSLLEGRFGPGPQLGGSSRRRSSLNAFDLISFSPGFDLSGLFEEDAGGGGGGGGGGGEGGSESGAARFVSAAPAERILAALEGAAAAAGMAARAREDGSVVMEGTREGTHGALAVAAEIYELTAELVVVEVRRRAGGAAEYDEFFRARLKPALRHLLCDDDQQAPPPCIEAADELSRSV* >Brasy1G050100.1.p pacid=40054927 transcript=Brasy1G050100.1 locus=Brasy1G050100 ID=Brasy1G050100.1.v1.1 annot-version=v1.1 MTSKQMVHMNQGRGETSYACNSGLQNAEQKRMKPLIEAAIVELCSSTLLPGKLVIADLGCSSGPNALALVSIAVEAMHNHCLQLQQPLPEVCVLLNDLPDNDFNTVVKNLVTLQRNNRPVVVTGVAPGSFYGRLFTSGSLQFVCSSNSLHWLSKAPEDLMRNQIPAYDIDDQARRERLPMVLEAYTQQFKKDFRLFLELRAKELVPGGRMVVSLAGRRSNEIASKSIRPWEALYEILHVMASEGVIDKTKIDSFYVPIYGPSDEELREVIQDEGSFSINEMRVHDLISGIDSALMTASWFANHTRAVFEPIVVQHFGEVDMDEFVRAAERRWSLEGSLPDELCRHAVDLIAVFSEGILMAVSLTKA* >Brasy1G348200.1.p pacid=40054928 transcript=Brasy1G348200.1 locus=Brasy1G348200 ID=Brasy1G348200.1.v1.1 annot-version=v1.1 MEGVMASVVTGAISSLLTKLATLLENKYKLSKGVKKEITFLRDEMSSMNALLVKLSTMEKLDEQQKDWRDKVRDMSYDMEDCIDIFTNDLDSSEASAVLLSGLKKLQARYKIANQIEELKARVMEASSRHNRYKLDEHIGSPQGLVTIDPRLQALYAEADSLVGIDGPKDKLIELLRMEENTQKLKLVSVVGFGGMGKTTLAKQVHDTIKSQFDRTAIVSLSQNPSMVKVLSDILRGVTGWICVPSFLKEEYRLIDELRRHLKDTRYLIVIDDIWTVEVWNIIKCSFVENNRGSRVITTTRIEDVAQACCSCFHGYVYRIKPLNDFDSRRLFHKRILPSKDSCPEQLKNISNEILKKCQGVPLAILSVASILASHEEVNSKEIWEKIHNYFVFHLEGNPDLQWMKHVFNLGYNDLSLDLKTCMLYLGIFPEDSEIIKADLVKRWIAEGFITEKHGYSPEEIAESYFSELVNRNMIQIGGFDDCGNVSSCRVHDLMLDFITLKSTEENFITIVKESPRIHDPHTRKGCIPEVRRLSLQLRNSECNHVLGNMPLTQVRSFNFWGPVQFMPSLSRFQLLRVLHLDVPDSKEHLSSLCIFFQLRYLRLSGMVCSGLLKQLQTVKHLKTLEIQINWRVCWDDDYVDFHELPSMLWHLIVPRGVILCGGIGRMESLRTLDAPSLDLTDVGILKGLGALNNLRELKLDLGLLSLETRGDRLSGFSSDLLLSSLCKLGNLQSLTINGFIHDDILAYWSPPPRHLHRLHVLECPFSVVPDWIAQLQNLRSLQIQVVSLGKDGAEVLASLTSLVNLKLHIRDKAPEEGIIVRDATFPNLKEFRFRYKLPCLMFEAGAMPKLQNLAIECYAQAVRQGADGVLDGIQHLGSLKAFNVDIYEQEGFSWGRVEPQFLIQDEEEEEEEELEVLDEEVPRMQEESGQWNLQSLEAAFRQAINKHPGNPHVHITITVR* >Brasy1G348200.2.p pacid=40054929 transcript=Brasy1G348200.2 locus=Brasy1G348200 ID=Brasy1G348200.2.v1.1 annot-version=v1.1 MSSRRTGVTSEASAVLLSGLKKLQARYKIANQIEELKARVMEASSRHNRYKLDEHIGSPQGLVTIDPRLQALYAEADSLVGIDGPKDKLIELLRMEENTQKLKLVSVVGFGGMGKTTLAKQVHDTIKSQFDRTAIVSLSQNPSMVKVLSDILRGVTGWICVPSFLKEEYRLIDELRRHLKDTRYLIVIDDIWTVEVWNIIKCSFVENNRGSRVITTTRIEDVAQACCSCFHGYVYRIKPLNDFDSRRLFHKRILPSKDSCPEQLKNISNEILKKCQGVPLAILSVASILASHEEVNSKEIWEKIHNYFVFHLEGNPDLQWMKHVFNLGYNDLSLDLKTCMLYLGIFPEDSEIIKADLVKRWIAEGFITEKHGYSPEEIAESYFSELVNRNMIQIGGFDDCGNVSSCRVHDLMLDFITLKSTEENFITIVKESPRIHDPHTRKGCIPEVRRLSLQLRNSECNHVLGNMPLTQVRSFNFWGPVQFMPSLSRFQLLRVLHLDVPDSKEHLSSLCIFFQLRYLRLSGMVCSGLLKQLQTVKHLKTLEIQINWRVCWDDDYVDFHELPSMLWHLIVPRGVILCGGIGRMESLRTLDAPSLDLTDVGILKGLGALNNLRELKLDLGLLSLETRGDRLSGFSSDLLLSSLCKLGNLQSLTINGFIHDDILAYWSPPPRHLHRLHVLECPFSVVPDWIAQLQNLRSLQIQVVSLGKDGAEVLASLTSLVNLKLHIRDKAPEEGIIVRDATFPNLKEFRFRYKLPCLMFEAGAMPKLQNLAIECYAQAVRQGADGVLDGIQHLGSLKAFNVDIYEQEGFSWGRVEPQFLIQDEEEEEEEELEVLDEEVPRMQEESGQWNLQSLEAAFRQAINKHPGNPHVHITITVR* >Brasy1G223300.1.p pacid=40054930 transcript=Brasy1G223300.1 locus=Brasy1G223300 ID=Brasy1G223300.1.v1.1 annot-version=v1.1 MSVVLRPVEEGSGHLRLGGEVRACPDPERSQPDERISRCGFCRRPIEEDWSRWFVAAHKRLLARVCSFSKVRLLVIRSRWHLRCLHLWCLQRRCNNLPRSLEEGEDLQGLFFVF* >Brasy1G035300.1.p pacid=40054931 transcript=Brasy1G035300.1 locus=Brasy1G035300 ID=Brasy1G035300.1.v1.1 annot-version=v1.1 MRSTGASVSTTMSFLLVCLAAAEADEEGILHIPSKAELAHCPSSCGSERASSGPIPISYPFGIGPGCFRPGFELTCDNTTGKLFLGNSTTIQIFGVFPQENSVRAAAVRFDVTMKPGLDDYNVSWEAPAMGVIRRGNSLFVVGCDVEFYLFGGHDTAVPIGSCMSTCVGDRETMEKANVDGDCAGMGCCAIALARDMPAFRFTLSRRRRLGGGNTAVLSDVKVFLAQSFSFDVSEIYSNRTDDANAAEWPSYQIAITDQPGCESAQKNKATYACNQKSECQNLSSGGGYSCSCPPYSGLMGLGNPYIKDGCTEDYNPKPRGSCEKSCGNTSIPFPFGIEEGCYALQEFRVNCTSENFAVLDRGVQYVVDSISVNEGYLNVRTAEQKDTAPTNREVSAIIPDEPLDDLFSLSKEHQVKMWTMWWAIANLTCPMATSQEKRATYACRSFNSTCVDVTHQERGLRNSSGGIDPQFGYRCKCSQGFEGNPYIQDGCIDINECLLPNVCNGSCQNFPGTYSCKNCTHGEVFDQINGKCVTSARRHNLLLGITVGTGSGLGSLILALGATALIKKWKRGIQKRIKRAYFKKNQGLLLEQLILDESATADKTKIFSLEELDKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLYTDASIKCLLSWDHRIRIAVEVAGALAYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRFLSLDQTHVVTNVQGTFGYLDPEYYRTGQLTEKSDVFSFGVILVELLIRKKPVFTNDLGTKQSLSSYFVEALQQGVLTEIMDPQVMEEAGQEEIDGIASIAEACLKAKGGERPTMKEVDMRLQFVRSRRLKMRQLLPRKDGETEPFLCPITSSPVAQCNFSNNVDLRSGCISTKYSLEQEFLDSASFPR* >Brasy1G335000.1.p pacid=40054932 transcript=Brasy1G335000.1 locus=Brasy1G335000 ID=Brasy1G335000.1.v1.1 annot-version=v1.1 MHTSKLTGACRVHEILTGHESLCKRNFRMEVPIFHALVNKLREKKYLADTTYVSVEEQGILWKQARDDVFLWNVVHQIL* >Brasy1G559600.1.p pacid=40054933 transcript=Brasy1G559600.1 locus=Brasy1G559600 ID=Brasy1G559600.1.v1.1 annot-version=v1.1 MAWSTTWHCLVALSLLSSAAYGQLDTKFYDYSCPHLEFIVRLSMFKAILTERRMGASLLRLHFHDCFVQGCDGSVLLDDVPGKNFTGEKTAFPNVNSVRGFEVIDDIKRNVEYFCPGVVSCADILALAARDGTVLLGGPSWAVPLGRRDSTTASLDAANNDLPAPTLNLSALIQSFANKSLSPRDLTALSGAHTIGFSQCLNFRDHVYNDTNIDRDFATLRRGNCPAAAPNGDTNLAPFDVQTQLRFDNAYYGNLIAKRGLVHSDQELFNGASQVDALVQQYSANQALFFADFAAAMVKMGNLSPLTGNAGQIRRNCRVVNSS* >Brasy1G408500.1.p pacid=40054934 transcript=Brasy1G408500.1 locus=Brasy1G408500 ID=Brasy1G408500.1.v1.1 annot-version=v1.1 MEFSLVSAKSLPRAAAAAAFAPRSSPTAARLRGRRFRCAAAAKGEQVFGGRKELTGVQPLVEALPPAARAVVELAVVAAAATAGYGLGTKYGGGSRTAAVAGAAVLGAASLAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLETGEVEAIAKKYGVSTEDAAFKSELCDLYGSFVYSVLPPGHEDLKGNEVEAIIKFKRALGLNDVDAANMHMAIGRRLYKERLNAFQKLIFVSNLVFGDASDFLLPWKHLFGITDYQIDIAMRENAKTLYASELKSIGRGLDIGTLIELRRSQLVYKLFDEVAADMFREHAKKLILENIASALAILKSQPSTAITPAQVIDEVNSIIAFNSLLRVLSKFPQGDRFARGLGPISLGGEYDHDLMVDDLKILYTAYAREVLSDSLDDEKLVSLIELRNIFGLGKLEAEEIIANVKSRVLA* >Brasy1G019500.1.p pacid=40054935 transcript=Brasy1G019500.1 locus=Brasy1G019500 ID=Brasy1G019500.1.v1.1 annot-version=v1.1 MAAALRCVVNKALLQRSQATLSSSLRRFSSSSSPATAAGSSYVTSEQLAKAAEENRQFVVRVFKACTVATVAAQATVIAGHAFFDGRSEKERKKKLAAEEEARRRNDALFPSISSYPSSLCQCALCTYYQNK* >Brasy1G540500.1.p pacid=40054936 transcript=Brasy1G540500.1 locus=Brasy1G540500 ID=Brasy1G540500.1.v1.1 annot-version=v1.1 MLGAAELAAVVLLLLVAVAVSGGARLQAPAPVSEETLEKVAGSLEMYVDALPQMPKILGYSMDEHGRATPAHLTVGMYLKKWKFHRDLPATEVFVYGTSAASATFPGPTIEAMQGVPLWVTWQNHLPDRHILPWDPSVPVALPKHGGVPTVVHLHGGINPPQSDGNALAWFTAGFRETGATWTTPTYVYPNAQSPGGVLWYHDHTLGLTRANLLAGLLGAYVIRNPAAECRLGLPDGEDFDRVLVLADRSFYPDGSLYMNSTGDVPCVHPQWQPEYFGDVVTVNGKAWPFLHVRRRRYRFRVINTSNARFFNLSLATANGKLPFHVIGSDASYLPRPVPVSHLLIGVSESFDLVVDFSDCHAYRAGVELVNTAPYPFPDGDAPNDLNGKVMKFIVDPPTPKKKTDEDSSRLPVRLPEYAAKVETSTTAKRRYIVLYEYDDDETGNPTHLYINGRRPEDPATETPRTGGTEVWEVVNLTPDNHPLHLHLAAFQAVRVRSLVGADELATCMAARLDDDDGGAARCNLTWHAVGEETAVPAHERTWKNVVKMPPGSVTTIVVNFFMVDSGEPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK* >Brasy1G050900.1.p pacid=40054937 transcript=Brasy1G050900.1 locus=Brasy1G050900 ID=Brasy1G050900.1.v1.1 annot-version=v1.1 MSCFSCFGSAAAAGEAEKPGHARKDGAAANRGAAAPKGGSDKVRPQGGSDSKKDHLTIHRDANSQNIAAQIFTFRELATATKNFRQDSLLGEGGFGRVYKGRLENGLAVAVKQLDHNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDVPPDKEPLDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQTYDPNTPVQHSRSNSSTPRARNVVRRTEDPRSARSPNHHSPDLRREAARASKYGAEVGRTSSAGDSGRRSGLDDMDMAGSQVGSPAQTGRKRETARTADRQRAIADAKSWGHNSRERKLSNGHGSFDSSTNE* >Brasy1G152400.1.p pacid=40054938 transcript=Brasy1G152400.1 locus=Brasy1G152400 ID=Brasy1G152400.1.v1.1 annot-version=v1.1 MKGLWKQSGLAAMACADLGHLNPLGRSRRRARLVLYGFAVAFAALTAYIALSSSSSSPPAGAGASWFGGVYASTAPYRSQISTFFSSIFPNNSSSGGSSSEVSRDGDSSTLVGSRVGGRSSATAEPTKQLGTGGSAPSSNAGGVSAPPAGNSAGNSTAAGKSAGEAPASKSATSGGTPISSADQNKGNSGDGSSSQAEGIGGSPTSSPAIDRTVAKDGEKRDGSSKQSGSRAPTNNGAAGNGSMVKADAKDAVEVSSNSSAIGSDNQAVTGSSAPSGGSAAGNNTTSKADGKGVVGAASNGSAESGTVAKADLNNEADAQPGSGNGDASHKSSASSIPAKNNTKDDGVEHDKASGDAASTSNQSGSPVMPGEKEGGSVSKNKTLVASPIVIKQNDTSEASSGGSGGMANKKETAPLGSAGSLKDNPSQSTASKAANHSEVLVKGNGSSAKQAGGSSVNKKVDWIKEMASCDMFHGSWVRDNSYPLYPGGSCPHIDEPFDCHLNGRPDRGYQKLRWQPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRHSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMRVSNGNKKETLRLDIVEQSSPKYKDADFIIFNTGHWWTHEKTALGKDYYQEGNHIYSELNVVDAFRKALVTWSKWIDANVNPKKTTVMFRGYSASHFSGGQWNSGGSCDKETEPIKNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRLTDYRKDAHPSIYRKQHLTEEERRSPEIYQDCSHWCLPGVPDSWNELVYAQLLIRQHQMLQQ* >Brasy1G152400.2.p pacid=40054939 transcript=Brasy1G152400.2 locus=Brasy1G152400 ID=Brasy1G152400.2.v1.1 annot-version=v1.1 MKGLWKQSGLAAMACADLGHLNPLGRSRRRARLVLYGFAVAFAALTAYIALSSSSSSPPAGAGASWFGGVYASTAPYRSQISTFFSSIFPNNSSSGGSSSEVSRDGDSSTLVGSRVGGRSSATAEPTKQLGTGGSAPSSNAGGVSAPPAGNSAGNSTAAGKSAGEAPASKSATSGGTPISSADQNKGNSGDGSSSQAEGIGGSPTSSPAIDRTVAKDGEKRDGSSKQSGSRAPTNNGAAGNGSMVKADAKDAVEVSSNSSAIGSDNQAVTGSSAPSGGSAAGNNTTSKADGKGVVGAASNGSAESGTVAKADLNNEADAQPGSGNGDASHKSSASSIPAKNNTKDDGVEHDKASGDAASTSNQSGSPVMPGEKEGGSVSKNKTLVASPIVIKQNDTSEASSGGSGGMANKKETAPLGSAGSLKDNPSQSTASKAANHSEVLVKGNGSSAKQAGGSSVNKKVDWIKEMASCDMFHGSWVRDNSYPLYPGGSCPHIDEPFDCHLNGRPDRGYQKLRWQPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRHSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMRVSNGNKKETLRLDIVEQSSPKYKDADFIIFNTGHWWTHEKTALGKDYYQEGNHIYSELNVVDAFRKALVTWSKWIDANVNPKKTTVMFRGYSASHFSGGQWNSGGSCDKETEPIKNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRLTDYRKDAHPSIYRKQHLTEEERRSPEIYQDCSHWCLPGVPDSWNELVYAQLLIRQHQMLQQ* >Brasy1G526000.1.p pacid=40054940 transcript=Brasy1G526000.1 locus=Brasy1G526000 ID=Brasy1G526000.1.v1.1 annot-version=v1.1 MCFVIRSMEAGGCADEFRAATRGCHPAAVGEDPDDVGACVRATAALRKCMKGNKAVFKGYIRILDEEVEQRRRAARGEAEPPPAAGRDEDEFPRRFKWWTGMRRS* >Brasy1G215500.1.p pacid=40054941 transcript=Brasy1G215500.1 locus=Brasy1G215500 ID=Brasy1G215500.1.v1.1 annot-version=v1.1 MPPKVSAVAAGEAHTLALTCNGEVYSWGRGTFGRLGTGSEGDEHVPTAVAPAVAIGERPRPKFAAVAAGAYHSLALDDEGSLWSWGYNIYGQLGYGEENSLFPCLVERFQDLGSPETLQREAQETLAQTPLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQADDGEFCIAASSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYSWGNNNHGQLGLGDKESRSRPVIISEFSESSSWEVYEIACGAWHTAVLTNKKSFDQDLESRCWTFGIGDNGQLGHGTTATIVSPQPVDGLPTGSFLISLDCGLFHTAVVSSDGEVWCWGMERGLGLCPDASFSGIDAGDALYPIRVQSPETNGFKFLGPVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRDQTTDSYTPCVVMWPPLDENFQEIHENQGQASTSRANDRSSTELEQKLSTASEELQFLRSKLTLMERYANILHISIFRKPMDERTLPRSLQESAVFDIRKEFENILDASDTDELSRLEMFYRSMLSGVKDKLLKRKVQEMVQECIVSLSAGRQTPRGLRVGYRGKREGEGNKAAKGEKEERGPSI* >Brasy1G215500.2.p pacid=40054942 transcript=Brasy1G215500.2 locus=Brasy1G215500 ID=Brasy1G215500.2.v1.1 annot-version=v1.1 MKARSGHGVTISLGYGEENSLFPCLVERFQDLGSPETLQREAQETLAQTPLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQADDGEFCIAASSVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYSWGNNNHGQLGLGDKESRSRPVIISEFSESSSWEVYEIACGAWHTAVLTNKKSFDQDLESRCWTFGIGDNGQLGHGTTATIVSPQPVDGLPTGSFLISLDCGLFHTAVVSSDGEVWCWGMERGLGLCPDASFSGIDAGDALYPIRVQSPETNGFKFLGPVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRDQTTDSYTPCVVMWPPLDENFQEIHENQGQASTSRANDRSSTELEQKLSTASEELQFLRSKLTLMERYANILHISIFRKPMDERTLPRSLQESAVFDIRKEFENILDASDTDELSRLEMFYRSMLSGVKDKLLKRKVQEMVQECIVSLSAGRQTPRGQ* >Brasy1G181400.1.p pacid=40054943 transcript=Brasy1G181400.1 locus=Brasy1G181400 ID=Brasy1G181400.1.v1.1 annot-version=v1.1 MQGEPDQPPCRKHGVTRSAGAAPGQQQQQRAEKEEASSAGPGAPCGACKFLRRRCAPGCVFAPHFSGGGGRERGAAQFAAVHRVFGASNVAKLLSRVPVALRRDTARTVCYEAQARIADPVYGCVGTILALQHQVALLQGQLSILQSQLFNYRLAFASTHPDTDHFAPLQPAYSAASAPSQMVNYDDIPQAVDFMDVEPQMRGLESLQLSQPPHREENESQDMSPFSDNVGQQRQP* >Brasy1G437900.1.p pacid=40054944 transcript=Brasy1G437900.1 locus=Brasy1G437900 ID=Brasy1G437900.1.v1.1 annot-version=v1.1 MTLPGVTPPTVCHGAVRVRSIVLSILHSEELRASLDWKLVRGKAGDIVHELAYKVPELKDLVLMPGGRLIPQYVLPLGFGFASFRPRRRVKKQQWRPASALARHYYEYKLRLPSSSHQELRHGLSSKARSRLADLLDESLKAGRRPELRKRRPLQVTAMESPPFNQATFGILTRPATTSGISSAVNAGPAASQTSPAKTPGIVSVTNADTNYLTREGSYTESTCQGSVSREDQRRARIAFVKKFLNTKRQRTTGAFGEASTEPLVDVKVEAGWPAGTSMDSA* >Brasy1G160900.1.p pacid=40054945 transcript=Brasy1G160900.1 locus=Brasy1G160900 ID=Brasy1G160900.1.v1.1 annot-version=v1.1 MKRSNELLLSSPSERDGDGHRCKVILVVTLKLSSATLLCVVAACFLLPNPATPDLCRSSPDPATCHAIVADAVLASPNPHPIPPVQVLRAILARSLDQHDAAASALAGMHRRAVSGQSRQRAPLADCIQLMELARDRLADAAVAPPEDARTWLSAVLTDHVTCLDGLDQPLRDAVGAHLEPLKSLASASLAVLNAVSPDDARDVLLAEAVDGFPSWVPTRDRALLEGGWERAVEADVVVAKDGSGRYKTVKEAVDAAPENKGRRYVIRVKKGAYEEHVEVGRKKRELMIVGDGMDATVITGSRNVVDGATTFNSATLAVAGDGIILQDLKIENTAGPQKHQAVALRVSADRAVISRCRVDGYQDTLYAHQLRQFYRSCAVSGTVDFVFGNAAAVLQNCTLAARRPMRAQKNAVTAQGREDPNQNTGTSLQRCRVVPGRDLAPVAQAFPTFLGRPWKAYSRTVYMQSYLGPHVDPKGWLEWDGEFALRTLFYGEYENEGPGAGTDGRVHWPGYRVITDPAVAMQFTVGKFIQGGRWLKDTGVDYDEGL* >Brasy1G043600.1.p pacid=40054946 transcript=Brasy1G043600.1 locus=Brasy1G043600 ID=Brasy1G043600.1.v1.1 annot-version=v1.1 MKSRSSARGEPRRFGNVALMALMLCSLVALSLIRARFSPIGSTGAVDPKAVSVVATEAAADEASAVPVEEEDKEEAFQPKVEHASDAAGDGSSSSKPVCYETSRRSDTCEVAGDVRLVGRSQTIYVDTLKQEWKTKPYCRKHDTFALSHVKEWFLKPAGDGSAVPECTSNSSATAFVLSTGGFTGNPFHDYTDVLIPAFISARRFTGEVQFLVSSYKPWWMNKYIQIFQQMSRYEVVDIDADDEVRCYRSVVVGPTFHKELGVDPLSGISVVDFRKMLRNAFGLERATATPSGDRWDIRRRPRLLIISRRASRGRAFMNERAMADMAGSLGFDVRIGDPDTGSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGRLEWLARNTFAEPSAGMEILYLEYVVQLDETTLSEQYPSDHLVLKDPMAIHKQGWDALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD* >Brasy1G042600.1.p pacid=40054947 transcript=Brasy1G042600.1 locus=Brasy1G042600 ID=Brasy1G042600.1.v1.1 annot-version=v1.1 MATGAARSHRRRAAVPDPFAELQCYDEQQMDRFYQQCARMTRRRRSSATPAVAQRMVLQIRFDEDGCPEFSDGNGIIISSSRQDDDIDVAVSADDNDAYRGGGFGAVPASGVEIAKLAEAAVGEHEEDEECAVCLERLAAEEVEGEEKVIIRKMPCPDAHRFHERCIFRWLMVSRLCPLCRFALLPAQEEEEE* >Brasy1G298100.1.p pacid=40054948 transcript=Brasy1G298100.1 locus=Brasy1G298100 ID=Brasy1G298100.1.v1.1 annot-version=v1.1 MAPRNTVIFLLGLVLSCVAMSGAARILEEEATTPPKEEEHSPHLTVPELPSLPKVELPPFPEVHLPAKPELPKVELPPFPEVHLPAKPEMPKVELPPKPEMPTIPEFHFPVPEAKP* >Brasy1G102500.1.p pacid=40054949 transcript=Brasy1G102500.1 locus=Brasy1G102500 ID=Brasy1G102500.1.v1.1 annot-version=v1.1 MSGQDKPSSNGTNPVMPKLNPIALPKHAQFVHCSDMPVLHKPAYPVVNGRIPDYGFSHNYYPYNVHPQALPARPVHDHRQFTHGMYDYSRSVFGSVLNASYGGYVQNAFGHGAVRQPILYPSY* >Brasy1G314700.1.p pacid=40054950 transcript=Brasy1G314700.1 locus=Brasy1G314700 ID=Brasy1G314700.1.v1.1 annot-version=v1.1 MDSWSGDGYGYGYGFGRPVQTRPVAILSRAAGRRREENRLGFRAGLEQLSGPRVEIRATRSSNRTTCPISSSLDPPLSSQSPPPPILLSPPPPILLSPPPTVSSPRLLEQRPRRAAAGAAFGAASKRSGGVGRAGRWPLRRAAAWDARGGGREEQQRRAGRPRRAAAGGGRETPLAQLGLGRPRTLSATPCCHSPGRALNDATSPP* >Brasy1G144400.1.p pacid=40054951 transcript=Brasy1G144400.1 locus=Brasy1G144400 ID=Brasy1G144400.1.v1.1 annot-version=v1.1 MRPFHPPRPNAAQSHHPRPGDPGPPPLHALPMHQQLNHGAFPQPGTGFAAANPMAAVAAANPFLAMQLFGQAQQLQNLGYLAAAALQQQQQQQQQQQQQQQQLQQPFFPAGFPPNPNQFGAFPGPHTGFNGGGAFRPGGAGLAGPRPPLPMMGAALNGCNGNGGSGGVGTGSPRPMLNVDGKDRSSGGTGGQVNHTNNKSDGITHVVYENGESKNTSDQKSRFNPGRDGRDGRQFGPSGGRGRGDGRGGRQFGPSGGRGRGDGRGGRQFNPSGGRGRGDGPSGGRGRGRHFNQGRGRGSNDWREGKSNFAGSDSPTSGGGRKRPPICYDTNEVKQWVEARKKNYPTSVNINKKLSQSHSDEQKKDEEAQMRRLELKEVLAKQKELGFELPELPPGYLSETEGQPKGPQGNDKESKWKTQQRDCRFGNRGRGNKRQRYDRADFQSKRPREWNNSRHDGGAVVKREPTLLQKLLSSDIKRDRHRLLHTFKFMVLNNFFRDLPDKPLEFPSVKVNQIELESDIAAEDLDDLVDAEKPKDISLDLKENGDQQDSSSSDGESGSKDDNEDDNEGANTEMSDKVADEDCDSDQCNVSEEEFSA* >Brasy1G144400.2.p pacid=40054952 transcript=Brasy1G144400.2 locus=Brasy1G144400 ID=Brasy1G144400.2.v1.1 annot-version=v1.1 MRPFHPPRPNAAQSHHPRPGDPGPPPLHALPMHQQLNHGAFPQPGTGFAAANPMAAVAAANPFLAMQLFGQAQQLQNLGYLAAAALQQQQQQQQQQQQQQQQLQQPFFPAGFPPNPNQFGAFPGPHTGFNGGGAFRPGGAGLAGPRPPLPMMGAALNGCNGNGGSGGVGTGSPRPMLNVDGKDRSSGGTGGQVNHTNNKSDGITHVVYENGESKNTSDQKSRFNPGRDGRDGRQFNPSGGRGRGDGPSGGRGRGRHFNQGRGRGSNDWREGKSNFAGSDSPTSGGGRKRPPICYDTNEVKQWVEARKKNYPTSVNINKKLSQSHSDEQKKDEEAQMRRLELKEVLAKQKELGFELPELPPGYLSETEGQPKGPQGNDKESKWKTQQRDCRFGNRGRGNKRQRYDRADFQSKRPREWNNSRHDGGAVVKREPTLLQKLLSSDIKRDRHRLLHTFKFMVLNNFFRDLPDKPLEFPSVKVNQIELESDIAAEDLDDLVDAEKPKDISLDLKENGDQQDSSSSDGESGSKDDNEDDNEGANTEMSDKVADEDCDSDQCNVSEEEFSA* >Brasy1G565100.1.p pacid=40054953 transcript=Brasy1G565100.1 locus=Brasy1G565100 ID=Brasy1G565100.1.v1.1 annot-version=v1.1 MGEYCVAAAEEGEPALALTPPAAAAAAKRHHAYGELDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLQMYQGKELGIDRVDVQSVKLAGLLHDIGHGPFSHLFEHEFLPRVDPGSTWSHEHMSALLLDSIVDKHAIDIEPDYLKVVKEMIVASSEFSKTELVSSQGAREKRFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQHWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANDYLGISLHAQDPEDFWKLDDTIVKSIETAPNDELKKAKEIIQRIRRRELYKFCNQYSVPKDKLENFKAITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESINFFKDYGCDEKFPVIDDRVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPKKKRIRVQY* >Brasy1G565100.2.p pacid=40054954 transcript=Brasy1G565100.2 locus=Brasy1G565100 ID=Brasy1G565100.2.v1.1 annot-version=v1.1 MGEYCVAAAEEGEPALALTPPAAAAAAKRHHAYGELDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLQMYQGKELGIDRVDVQSVKLAGLLHDIGHGPFSHLFEHEFLPRVDPGSTWSHEHMSALLLDSIVDKHAIDIEPDYLKVVKEMIVASSEFSKTEGAREKRFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQHWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANDYLGISLHAQDPEDFWKLDDTIVKSIETAPNDELKKAKEIIQRIRRRELYKFCNQYSVPKDKLENFKAITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESINFFKDYGCDEKFPVIDDRVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPKKKRIRVQY* >Brasy1G252500.1.p pacid=40054955 transcript=Brasy1G252500.1 locus=Brasy1G252500 ID=Brasy1G252500.1.v1.1 annot-version=v1.1 MDRGVTVFSSRIHRRQLRSGDHIYTWRKSKAYTYSHHGIYVSDWEVIQYTSVSADSSSSAEGSSWFSSWFSSSSSSSSPTQTCRFCPQAKRKVGVIVTCLDCFLEGGNICLFAYSVSWLFQDLSNSGVQATCSMEPEDSPEMVLSRANNLLENPPDRFSVSSYHAVTNNCMHFAFYCKTGRSVKLVDFPG* >Brasy1G277000.1.p pacid=40054956 transcript=Brasy1G277000.1 locus=Brasy1G277000 ID=Brasy1G277000.1.v1.1 annot-version=v1.1 MASIPSSRRLIICCALMLVLAAAAAGGEARLVTVASRHERWMAKFGRAYTDADEKARRQEVFGANARHVDAVNRSGNRTYTLGLNQFSDLTDHEFLQQHLGYRRHQPAGLLRPEEENTSRVAALDYGQDVPDSVDWRAQGAVTEIKNQRSCGSCWAFAAVAATEGLVKIATGNLISMSEQQVLDCTGGGNTCDGGDINAAIHYVAASGGLQPEAAYAYTAQKGACRSGASTPANSAASVGGARFARLGGDEGALQGLAATQPVAVALEASEPDFRHYKSGVYAGSASCGRRLNHGVTVVGYGSDDDGGDDYWVVKNQWGAWWGEKGYMRVARGDVAGANCGIASYAYYPTMNS* >Brasy1G284500.1.p pacid=40054957 transcript=Brasy1G284500.1 locus=Brasy1G284500 ID=Brasy1G284500.1.v1.1 annot-version=v1.1 MGTLQFLCIQIAITMLLFTQSKSTTETNNSNTSCTASERSALLAFRAGLSDPANLLSSWNGDDCCRWNGIHCSNMTGHVVRLDLQGQDCGNSAGNTQVLGGNISSSLLGLRHLRYLDLSCNRFNELQIPEFLGSLHSLRYMDLSQSSFFGRIPPQLGNLSNLCYLSLHSNFGDMYSRDITWLSRLTSLEHLDMASVNLSTIVHWLPVVNMLPSLKVLRLPFCQLRSSPDSLQLSNLTSLESLVLSGNHFHKHITPNWFWDLTYLKYLDVSGSGFYGQFPDEIGNMTSIVKLDLSMNDLVGMVPSNMKNLCNLEELFLFENNINGTIEEFFQHLPRCSWKKLQKLSLPFCNLTGNLPTVLEPLSNLTSFDLGSNQLTGPMPLWIGKLRKLTTLDISSNNLDGVIHEGHLSRLDMLEVLLLSDNSIAITVSPTWVPPFSLSTIELRSCHLGPKFPMWLRYQTHVSSLDISNTSISDMVPDWFWVAASSVSFLNIRNNQITGVLPSTMEFMRGKVMDFSSNQLGGPIPKLPINLTNLDLSRNNLVGPLPLDFGAPRLETLVLFDNSISGTIPSSLCKLQSLRLLDISRNILTGSAPYCLDNESTTNMTSLSILNLSLRDNKLSGEFPSFLQNCQKLVFLDLSHNHFFGTLPTWIGEKLTYLAFLRLRSNMLYGHVPEELTKLINLQYLDLAYNKITGNIPKSIVNCKGMKLTRDGYDGFGDAFSFGMAFGDNELVDYSENFTVLTKGQERLYTGEVIFMVNLDLSCNNLTGEISEEIITLVALKSLNLSWNAFSGKIPEKIGCGYVMGLWAIFCTFLFKRKWRVNWFTLCDSLYDWVYVQVAITWASWARKNG* >Brasy1G048100.1.p pacid=40054958 transcript=Brasy1G048100.1 locus=Brasy1G048100 ID=Brasy1G048100.1.v1.1 annot-version=v1.1 MPPLTTRSSGSFAYRKLKKLPPPPADHEHQDYSAATAAAIQDCYRQAAAAIVARRGRTRRRLSYAAGGRRRRLRISGLARLLRRKAAAVGGKVRASVAKVVGRLREGGPYVGDLFAGNYLFLQVTPSSSSAAGRRMDGGFLPYYYEVKNKQAAAVQQGLIRA* >Brasy1G395900.1.p pacid=40054959 transcript=Brasy1G395900.1 locus=Brasy1G395900 ID=Brasy1G395900.1.v1.1 annot-version=v1.1 MDALRFLGGVRPAAPPPQPPVAPASVAPPPVQRQQQPRAALPRLWPRGERAGAAAPAGVQVRGEEAGTRPEAEERKQGNWVLQMLRVQPRWVDESDAEAGGGGGGEGGRESEEEPGVAGGERCASCGGGGEEEGCAVGADEGDGEVFDRASFSRLLRKVSIGEAKEYSRMSYLCNIAYMIPRIQPKCLRRYSLHFVTSSVQEKERTNPGQKKEQSTERGESPDQKSEVVKNVVLGSEEKGNGPGINPFGAYQIMSSAASYLQSQAMGINPFGSRSDGKNDPTIMAIMNGEDGEGLTLDEASFVATTNSVTSMVSAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGIYHQMLPFIKSHLRTYGKSAALRFTGHSLGGSLALLVNLMLLMRGEAPTSSLLPVITFGAPCIMCGGDHLLRKLGLPKSHVQSITMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDKRLSPHHHLLPQDSGIYHLGDSSAAAGISLRQLQSAMSAFFNSPHPLEILKDGGAYGPKGSVYRDHDVNSYLRSVRGVVRKEARRLREAERERWGLLLWWPLAVHGVLTNGFSGSGPRGVSKVRRLQRHAGMVVLFLLPAKLLVLGALLAVRLKW* >Brasy1G466900.1.p pacid=40054960 transcript=Brasy1G466900.1 locus=Brasy1G466900 ID=Brasy1G466900.1.v1.1 annot-version=v1.1 MSTEKKQLNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFYVVLERAGYRVSRRRRCKARVGIHQRVTKEDAMKWFQVKYEGVILNKSHAS* >Brasy1G026300.1.p pacid=40054961 transcript=Brasy1G026300.1 locus=Brasy1G026300 ID=Brasy1G026300.1.v1.1 annot-version=v1.1 MSRHRRQPSRAALPLDFNMADDDGGDKTAAAKGAAGGQQSNGCGGGRSDAKGQEGGHQGKKLPPPASGNGGRASTSEGASGKRSADGNGGR* >Brasy1G125600.1.p pacid=40054962 transcript=Brasy1G125600.1 locus=Brasy1G125600 ID=Brasy1G125600.1.v1.1 annot-version=v1.1 MADLPSASAIASPQPDQLGASASAPQSSNPNPLLSPQIPPSPTVSDLSAISSPQLDPAAAAAGGGPTEFPPRPPQLQAPSPTQALAGAGGFGQIHRSGSASRLAAASQLPQYSAATARMYGGQMSFSGGVGQLGQQQQLAARAAMLGQGQLGMLQGQSNTGTAAHYGLQSQMMSQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGTLGMNQMRPNGTIPYGAQQRFAHAQMMPQQAQQGALSPQKVAGQGLSRTASIAALNSQLPGSSQNGQILQMSPQQQQQQQWLKQIQSSMASPVSQHQLQQQQRMLLMQQLQKTGLSPHQIAQAQQQHPHLNTQQLIQQQHILQQLQQQQQLQSPRLSASVSQKSANLTGSQPGTPLSSGTMAGGSASQGAEGTNQLLGKRKIQDLVAQVDPLGKLDPEVEDLFLEIADDFIESVTAFACSLAKHRKSSILEAKDVLLHLQKNWHLTVPGFSKDKNPPRNYVKAVVDPQQPECEAAAVRSAGNKMVANSSVSNHQTRGQVLEPSPTPTVGPLSKIPRF* >Brasy1G015600.1.p pacid=40054963 transcript=Brasy1G015600.1 locus=Brasy1G015600 ID=Brasy1G015600.1.v1.1 annot-version=v1.1 MKLLSWNCRGLNKPATVRSLMDLHEQVRPDVLFLAESQLDERKADKIRCKLGFDFQLVVSSNGRARGLVLFWNDLNKIMLQYMTPNYIDVTFSRDDGVVWRFTGFYGEPGWDDRHLSWDCLRDLHARASMPWLVVGDFNEILYHHEKEGGNPRPARMLHEFGECLADCELDDLGFIGDRFTWYRGEIRERLDPAVANHAWIDLFPCAAVINEGGRKFEARWLSEERVEEIVRTAWAKAVASGDAPNLAARTAAVHDELHRWDRSTLKGPKKRIAALKKELEMMVRRGPVSGESIGRQKELQLLIENLLEQEEITWCQRGRANWLLHGDRNTSYFHNSATARRARLAACPGAFYLQVLFQFSSCLFSCVRRTC* >Brasy1G195000.1.p pacid=40054964 transcript=Brasy1G195000.1 locus=Brasy1G195000 ID=Brasy1G195000.1.v1.1 annot-version=v1.1 MGLALLRLCLAVLLLAAPSRLASAAAVEDGLLSNGDFETAPVGGFAKSASVSEGASTIPSWTINGTVELVSAGQHQGGMILIVPQGDHAVRLGNDAGIGQVVQVEKGSEYAITFSAARTCAQLESLNVSAGGVSQTVDLQTLYNIEGWDAYALAFQAVDEQANLEFRNPGMEDDPTCGPILDNVAIKKLFAPEKPKDNMVVNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKVYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVALYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGMVSVIGLMLF* >Brasy1G303000.1.p pacid=40054965 transcript=Brasy1G303000.1 locus=Brasy1G303000 ID=Brasy1G303000.1.v1.1 annot-version=v1.1 MAKKKLSQRLFSTLLSLLIHGWPWLSTTTSNNNKGSTAFPNSSLLQHQHQYPPMAEKLASARTLVVDVDGGLFLRSSSSPSSLFPYFMLVALEAGGFLRGAALLLLYPLLCCVGGELALRAMGMAAFCGLRASRFRAGRAVLPKWFMEDVAIEGFEAMRGAGRRVCVTRMPRVMVEGFLREYLGAEVVVGREMKVVWGFYTGLMKEQGDDQVVLEEEKKIVDGADAVGFSGSLEFLQHPLSHCCKEIYVVTREARAQWRALPRGKYPKPMVFHDGRLAFRPTAGSTLAMFMWLPLGVFLCAARLLFALTLPYRHLTLVLAFTGMSWRLKGDTPPSRRGQLFVCNHRTLIDPVYVSIALDRQVRAVSYSLSRLSDLISPIGRTVRLTRDRASDGRAMARLLDAGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGVAVEAAAFYATTAAGFKCLDALYYMVNPRMCYTVQFLEPVRTGRGDGGDGLPVLRSTDVANLVQSKIGDALGYTCTMLSRKDKYRMLVGNDGAVLKPHHDKCSAPAPASAGWKNICN* >Brasy1G128000.1.p pacid=40054966 transcript=Brasy1G128000.1 locus=Brasy1G128000 ID=Brasy1G128000.1.v1.1 annot-version=v1.1 GTHSKNFTKQFFISRYTAKLKPNTYHISTTPFSLEIMKVTWTDNITNKKLVITIVEISDDHRLFLTFPISTLDLLSFIYVY* >Brasy1G253400.1.p pacid=40054967 transcript=Brasy1G253400.1 locus=Brasy1G253400 ID=Brasy1G253400.1.v1.1 annot-version=v1.1 MAASAFVFLVLLSSLFSGMAADQCHDDDFAALVAIDSALGSPYHFASWTPDSACCDWYDVDCDAATGRVVGLSVSQDTNISGTIPDAIGNLTYLQTLTLHHLPAVSGAIPDSFAMLTNLSQLTISYTGVTGPIPSFLGALTKLTLLDLSYNSFTGAIPASLAELPNLSGLNLRRNLLTGTIPPLLLLSNLWLSHNNLSGAIPSEFSAVNFTHIDISRNALTGDASCLFGAGKPLQYLDVSRNALNFNMSGVEFPVQLSYVDLSHNAIRGGIPAQVVTLSGLQQFNVSFNRLCGTVPTGGNMAKFDRYSYLHNKCLCGAPLTACRQRPINRN* >Brasy1G462400.1.p pacid=40054968 transcript=Brasy1G462400.1 locus=Brasy1G462400 ID=Brasy1G462400.1.v1.1 annot-version=v1.1 MSGNSPDAAGTLAGAVEGHHRFSGLVLLSVLTTVLSILALTVVFVFIPLLLYVFGVRSGGRGGLAAGIRRSMISSFGRISRRRGLGASALSALPVTAYRKNKKESGAGASAGGGGPDSECAVCLSELADGSCPTAGPCSTWSASTRGCVPGRRARSAGPRRSRGLWGTAMRRRRSRRQRQRRRRRNQRCLVQEGP* >Brasy1G535600.1.p pacid=40054969 transcript=Brasy1G535600.1 locus=Brasy1G535600 ID=Brasy1G535600.1.v1.1 annot-version=v1.1 MARTGLKLATIAHGSKSIRPARCLRFGSTRSEASSLSASLPSPIRGRPTMSKAGGSGGGATAGPTAAAAAAAAAAQKQRALLQKADADVASLVDNFSALINIARVNDPPVRNTQEAFQMEMRASRMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLSQQAEGTDKMLEKIGQEAAASLKELEAHYYSSVVRTPLYD* >Brasy1G023800.1.p pacid=40054970 transcript=Brasy1G023800.1 locus=Brasy1G023800 ID=Brasy1G023800.1.v1.1 annot-version=v1.1 MALQRRLYACVCTCLLLAALSSVGAIAGPPADQVVGKDGACYKTATSVPKKCSGEFIAALLTDNNASKISAYCCARLFCVAEASCDSVLRGVCPPPEMTPWFPPGKCPPSSTAPRT* >Brasy1G212400.1.p pacid=40054971 transcript=Brasy1G212400.1 locus=Brasy1G212400 ID=Brasy1G212400.1.v1.1 annot-version=v1.1 MPAMDRLLAGFLGFLLIASVGSHAARAPEQYWKSALPNTPMPSSLSQLLNTPAGGTTVNVGGGGVHVDASHGKPGGTTVDVGKGRVGVNTKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVNPGHGKPGGTTVGVGKGGVGVNVNPGSGKPGGTTVGVGKGGVGVNVKPGYGKPGGSGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVHVRPRGKPVNVNVSPFIYNYAATETQVHDDPSAALFFLEKDLHAGKKLTVRFTATTGAGEKFLPRSEADAIPFSSEKVPEILSRFSVKPDSTEAAQMTQTLHDCEEAAAEGEKKSCATSLESMVDFATSSLGTSHVRAVSTVVGKEGSPKQEYAMTGVKRAAAGADQLVACHAEPYAYAVFACHLTQATRAYTVSMVGSTDGTPVEAVAVCHADTAGWNPRHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG* >Brasy1G525500.1.p pacid=40054972 transcript=Brasy1G525500.1 locus=Brasy1G525500 ID=Brasy1G525500.1.v1.1 annot-version=v1.1 MQVEIAPLHRHPSSDSEYSPAPRAALQQAEVASGTTVDDDEACELVRGTDLVDRAGRGGERRAYLLEAVKNNNGTCVLLLSDIFGFEDSATRDFAYRVACHGYNVLVPDLFRGDPWKKSLPMDGLEPWLAAQAPERVAGDIETCRKWLAEEFLSAAESKKLGVVGFCYGGGRLVETLARDAEGACCFSAGVCFYGSRMDASLGPRIAAPVLFVCGDGDPLCPVETVRELERGAGCRAAVYAGRGHGFAHRPESLEDDADAEDAFARMKAWLHEHLLVAADRVHRSAVVHCCCFSCHVLLL* >Brasy1G574800.1.p pacid=40054973 transcript=Brasy1G574800.1 locus=Brasy1G574800 ID=Brasy1G574800.1.v1.1 annot-version=v1.1 MAGGDGKSREVGGAGVLATDLSEGSRGDAAVDGGQPAAAARHQGVGPYAATLLATQAFAQVMVVVKVLSGYQGSRGLGKLKELGWAGLGWRKMLLALAASR* >Brasy1G227400.1.p pacid=40054974 transcript=Brasy1G227400.1 locus=Brasy1G227400 ID=Brasy1G227400.1.v1.1 annot-version=v1.1 MASARYVTLQVVLLVAVALAAAPLAVLSDPTPLQDFCVADLKAATALDGFPCKPAATVVDDDFFSAAVISAGDTGNPFGVNSTRATVAAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLKGEVMVGFTSGLNRLYSKVVKENELFVVPRGLQHFQLNVGAGDAVFMAMFDAQSPGVVTPTFSLFATKPAVPMEVLAKTFLMGEDEVDVIKSKFAAF* >Brasy1G448100.1.p pacid=40054975 transcript=Brasy1G448100.1 locus=Brasy1G448100 ID=Brasy1G448100.1.v1.1 annot-version=v1.1 MQGRCFRCLSTRHIAAACREPRLCWRCRKPGHLESSCRATTAQPSKPTSRPLSNTTTTERSYADALMAGGGNFHGRPKEDNCAMTVTQEMVTVELSFNTHGLLVTIGGDRPRTEPRHVADAFCREFGISLDEVRVSEHFLEDFFVFLTEPATWAAAVDREHFHSNGREFLILPWCGERHTDWVSMPFHVRLCVENVPLQAWTLDTAKAIVGRKAKVHFVEEQSLLRENTSTFNFWVWTANPVALPLLSNLSLMEDKDATRPVAPLFMPVVNHETPSAGLEGRRARMLVHIDVVEDLTGLTPSREGGSVSSRGRRVIRGGRRSFGPLRRRGHWGLTPNFAARAPAASTPRTSSGCRPSAGQRAPSLPPPDALSSPLAPTANANNVAICSLLSDLSLQVPQRSPTTPPAMLPAPRATPDPILPFTDLDQCLTPSSGTPSATTSPARSLPLEEAPHIDTFIDSISRVLTPPILAAPISRVLTPPVLATPPVALFSDGVQEEPSNDAQEEPPTVIRRSARLAGRPVGLSSEEAAQALLAHRLGSLPPAAPFDDTAKAAYIALFNGPLSDQAIEAIETLVKEAKKSKKAIPVLRGGRGRGLGLGAGRGRGILAT* >Brasy1G005500.1.p pacid=40054976 transcript=Brasy1G005500.1 locus=Brasy1G005500 ID=Brasy1G005500.1.v1.1 annot-version=v1.1 MATFDPWPVFFRREWKRTWPFLAGFAVTGFLITKMTAGFTEEDLKNSKFVQEHKRRA* >Brasy1G117300.1.p pacid=40054977 transcript=Brasy1G117300.1 locus=Brasy1G117300 ID=Brasy1G117300.1.v1.1 annot-version=v1.1 MAAPPETTEAPSPPEGEEGNKGIELCLFDESPEDFSRAVRAISELAGGELEPSFADAEVERLASSITFLREWRHFSYEPKGVSFTNGTGSTSSRDDAHEITLPQFSSASVPQVTHLEDGRGNNTDSSDFILFAGGNVWSLDWCPRLCDKPCTPVNCEYLAVAAHPPGSSYHKLGMPLIGRGIIQVWCLVAPFEEAYPHNAMVAYNKSNRRGRPRKILDENNPIQSSSIPRKPLGRPRKIKLTTTDEHAEPSPKRPRGRPRKYPLPVAKVVDSTKNSGTQGSSFTDSLVTSTVVPGDTTLSVLSSSSKIVEKKTRGRGRSKKEAISGSLSCSVVSGVESQSGGTISTDPAISVENSLPYGHSNLGSTPSELCSISLLRCDGNVHTAHTSEDSLLPMHIAPKSNGEESSGRLGRGRRRRKPHSSTNGSLPASGTESPKADTIMNNSDKPMALAKCDGEVGLCNANCKEKSIAKCRGRDRSKLVSTECNCSTTGEEQKNQRTPKPNDNVFSAENCKKELLVYTRRSVRRTTKKPSPNKSYSLALSCEVQKMKRSSTSIMPKSHLSSVEDPKLLGSSIIEDMVNEVCLVGSKSGVVSCEVMEMNDSSTANQVVPAIAEVKDTEVAPFKDSSKNDNMISCTENSNFSPIPKGIHLPRVVLCLAHNGKVAWDIKWKPPLPNQSEQKSRLGFLAILLGNGSLEVWEVPSPNMIQKIYSTSSVEGTDPRFVKLRPVFRCAKVKCGNRQSIPLTVDWSPSPHDMILAGCHDGTVALWNFSADLPSQDSKPFMCVTAESAPIRALSWAPYVSEENTHTFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLHWLKDARGIVISLEDGTLKFLSLPRIANDVPVTGRPFSGTKTQGVSTYQLSEYLIWNVHVSEITGYAAYCGADGTAVRFQLTSRFWEKEPGRNRVPYFLCGSLAEEGTVIKIGGMLQSSPLSNVPLVAKKGPKSFQNVDQAHHIEKEKLQNLTNSGFGDPELREGQPDRPDEGRETNALVLADPLMQENYGICNGSVDESPEDFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI* >Brasy1G088900.1.p pacid=40054978 transcript=Brasy1G088900.1 locus=Brasy1G088900 ID=Brasy1G088900.1.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKSRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G088900.4.p pacid=40054979 transcript=Brasy1G088900.4 locus=Brasy1G088900 ID=Brasy1G088900.4.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKSRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G088900.2.p pacid=40054980 transcript=Brasy1G088900.2 locus=Brasy1G088900 ID=Brasy1G088900.2.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G088900.3.p pacid=40054981 transcript=Brasy1G088900.3 locus=Brasy1G088900 ID=Brasy1G088900.3.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G088900.5.p pacid=40054982 transcript=Brasy1G088900.5 locus=Brasy1G088900 ID=Brasy1G088900.5.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G088900.6.p pacid=40054983 transcript=Brasy1G088900.6 locus=Brasy1G088900 ID=Brasy1G088900.6.v1.1 annot-version=v1.1 MPPTLALALVSVATGVLSPVLGKLSTLLENKYSSLKGVGDEILELQDELSSMNALLLKLSDIDDLDIQVKEWRDQIRELSYEIEDCIDDFVHRVEQRDPDKRKNMKGFFQESIHKLRTLGARSEIASKILKLKARVDRASERRKRYNFDGVPSSSSMVVPIDPRLPALYAEAESLVGIDGPRDELIERLAEGEANLVRKLKVVSVVGLGGLGKTTLSRQVYDKIGRQFDCRAFVSVSQKPDMRKIFRNILTSVTGIEHYPGIEACDEEQLINKLRGFLNDKRYFIVIDDIWSTVAWPTIRCAFLENNLCSRILITTRITSVARSCCSPDYSNVYEMKPLSDVNAGKLFAKRIFGSEDQCPSQLKDVSSGILRKCGGLPLAVISIASLLASKPCTKEQWESYRNHIGSALEDVPSVSNMQKIISLSYNDLPHYLKTCLLYLSMFPEDFVIPRDQLVRRWIAEGFISTCGGQRLEQVGECYYNELINRSMIMPATQEWDRRAVFCRVHDVILDLIVSKSAVEKFVTAAGNNYHTLGPQDKARRLSLDCRDQDNIVVSSSMVLSKARSFSIYGSSEHMPLLSDFQALRVINIEQNRKLENHYFDDIGRLVQLKYLTLQEVNISKLPDQIGELQQLESLELRWTGIKELPKNIVRLKKLKFLYASNVRLFEGIGNMQALQEVRYVKVDSSIPTTSLHELGNLAELRYLGIDWLVSDLSSDQKSYTDSFVSCMDRLCRFKLRYLNIACDVENGISLDFLLDSWSPPPCLLENFVMRSAYYFPRIPEWASLLSNVTLLDININPVRLEVLQVLGCLPSLLSLSLYTREVAPGATLIICSSGFQCLEEFEFYSWNIAMGPVVFEVGAMPKLEKFVFRLVARCIESPCGDFYLGLQHVRSLKHLLVDIDCRGANAEEVQITEAAIKNATDLTINHVRIDFSRLWMDQMAKDDDVGGMEGSEHRGGEGPAESSTKILLERHFRIFPV* >Brasy1G234300.1.p pacid=40054984 transcript=Brasy1G234300.1 locus=Brasy1G234300 ID=Brasy1G234300.1.v1.1 annot-version=v1.1 MDRRTLYRYRNNLTYVRYSMARSQERFLRKESNRYLQEVVGGLADLGLCEAALGEVVNGGVLSCVKPKGMLLCEQGWPDVLGGRPSGGGSEVEDGGDRDITGAAQTSVRRERSSARGGPPADAGSALGRLEAAPPGSSCRTWLRDP* >Brasy1G577000.1.p pacid=40054985 transcript=Brasy1G577000.1 locus=Brasy1G577000 ID=Brasy1G577000.1.v1.1 annot-version=v1.1 MALRWQRSRMSVASYMPRLSSATHPCTLSSVMFLLSASARNFLRSPSLIPMFSMSPVTCKVIEPAHQPLRRMDVLRIQLRRGRWPTIQSHACTQLSNA* >Brasy1G357900.1.p pacid=40054986 transcript=Brasy1G357900.1 locus=Brasy1G357900 ID=Brasy1G357900.1.v1.1 annot-version=v1.1 MPPPPRRRPAGDPSPNLPPTASHSGMVKLLADLLHHTPPSAWPPALASPPLRNRISPSHVSSLLLLPATLSRPGLSRRFLLLLPPSIVSPLCLSLLALSFLSSSSPPSSSSSPHAASLLLSLASSSPSASTSFSSLSHASSLSAFPPGATAAAATLLASSYLRLRRARDAAAVLHLSLSAGITPNQHTASQILFSLVKIRQFSLARHLFDGMLQSGVRLDEYVYTAGIRAYCEVRNLDGAKGLVARMQDEGDKVSAVPYNVLIYGLCKNQRVREAVDVKNSMLEKGVTAEEVTYRTLVYGFCRTEELDMALEMTGDMARLGFVPSEANCSFMLDGLRKKGRVEEAFRLACQLGELRMVPNIFAYNALLDNMCKNGMFSEVDRLVNEMSDKGLEPNEVTYAILIHSLCKRGMLDDALCMFDRMRKKGVRMTVYPYNSLINCYCKQDDLDMAMGFLSEMVEIGLTPNAASYSPVIAVLCRKGDLSGAVELHRKMAEKGVAWNIYTCTALINGFCKAKKMDEASRLFNKMIGSNLEPNEVTFNAMIEGYCLVGDIRKAFQLYDQMMCRGLTPDNYTYRSLISGLCLTDGAPKAKEFVADLENNCSVLNKFSLTALLHGFCREGRLTEAYHVWNEMAMRGVKLDLVSFTIIVYAALKQHDSEKSCVLFREMKEKGVRPDNVFHTCIINMYSKEGNMVQALNCWDEMIADGLLPNTVTYTVLVNNLCKSWHLSSAELLCKEMFASHFLPNSYTFNCFLDYFATEGNLETAKDLYFAMLRGFLANIVSVNTLVKGFCKVGQIQEAIDLISRSTENGFSPDCVSYSTVIHELCKKGDVNEAIELWNEMLCKGVKPDIVAYNILIRWCNIHGESDKCLGIYIDMIKKGVQPNWHTYGALFVGTSLMSKGNTLMLIPDV* >Brasy1G299300.1.p pacid=40054987 transcript=Brasy1G299300.1 locus=Brasy1G299300 ID=Brasy1G299300.1.v1.1 annot-version=v1.1 MGSSELEDEASAAVAAHANGAADVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLMGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRPLMYILLQALFTVATLAFTVPIFLSYRLHWIFQILKVCAATWNGGSFILEVMPRQVVQKEKKKREMKPIEQANSKEHIDDPLGNRQHIAEKQSQ* >Brasy1G132000.1.p pacid=40054988 transcript=Brasy1G132000.1 locus=Brasy1G132000 ID=Brasy1G132000.1.v1.1 annot-version=v1.1 MASAAARLLLVLALAEFILRPSAAEIKQDVFKEDPRKSILLLQRFGFTRRGWVSISVSGAKASSQLSKPDLRQYGFFLLSDEALFQAISLKPLPAGLITNPDPNLGCVLSSPYINSLFSFADLDDNGHYNHTFPVTHADEYSLFFANCARETSVTMEVRIEMYNVNLDGTKDYGRSIGQAPRPDERNWYFALDDDEEEAAELALREAGD* >Brasy1G149700.1.p pacid=40054989 transcript=Brasy1G149700.1 locus=Brasy1G149700 ID=Brasy1G149700.1.v1.1 annot-version=v1.1 MGFKRGLLACLGCGGDDYYEDPEEQSPGPAAAAGGRRRRAVMNLRSLSLEDLSRTLATTSLHAFTLDELKAATRNFSAPNFLGEGGFGPVYKGSLDAALRPGLAPQQVAVKYLDLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDQRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAQTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPKGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADDRMLDRIMDPSMESQFSARAARSAAAVAHACLQSVPKARPRMRDVVDALEPLLGLDDDVPVGPFVFTVGAGATATEAVAANGAAGDVEEPAAPQGKWHVKSAVHAESPLRKGDRWVKNAVKRPESPPGVI* >Brasy1G101700.1.p pacid=40054990 transcript=Brasy1G101700.1 locus=Brasy1G101700 ID=Brasy1G101700.1.v1.1 annot-version=v1.1 MVVNGRPLKKARTRVEARDFAGFPAATDGGAVGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLKVGEDGEEDGGGGCGVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDSNQMSGRRRTCCLRCGTAMGAAESRCLLCNFDMEGEELEECGYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRHLTGRDIMSFWDRLSKVLHVRKVTVMDISKKHGMDYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDVLSGIHLALYFSHRNPMRTPLQNTIALYWALSDRQLMTVRDLFRFIMHLLHQACKKNEISKPTIEYREVSSNVLCMWTKEDIDRAEAAMLKILRTVQPGRWVSWRALRGAASKAVNSQELLDYSLRGLPGKLIDDGHFIAVRCNAETSAIEYRLETYANQSTVNATVFGPSVEHLVHDLRFLYDALLNPETMLSSQPEVVGASSHSAAAKILDCKQFIKHYDECDPQTPSNPFLLSVKCTIELLDHPKDYTAPPVELVLLPASATLAELKIQAARAFQETYLMFQSFQAEQLPDFLNLSDSTPVKHVLGSSQLVRVRGRCTGDHRRIVQFRMERGLENWTVDCTCGAKDDDGERMMACDVCGVWQHTRCSGISDFEEVPEKFTCRKCASPRRGKGRGGGGGGNSGGRMEVGAAGRCKDEIGSSVGGAGKFGRLATVG* >Brasy1G236700.1.p pacid=40054991 transcript=Brasy1G236700.1 locus=Brasy1G236700 ID=Brasy1G236700.1.v1.1 annot-version=v1.1 MDQGEPSLKPEWLLRVPGTVAATNLRPATSPRVDDQGSIASSRNRSSGRDREQSSQQSSSRRNSGSNGSKRHDGDGAAKSRGYASFGRTNSDRSREKVSDLRDREAKLDPPDDPLRDGFSSFSSCRPERDRLNRTRSKLDTLSRAVGVSLGNDNLSRMDAEFERKFPQLGYDDKNVKQDISRVPSPGIGTPMQSIPLVCPPDGWNSVLAEAPILSEPSNNPVSSTLSCGGSCGTTLSMAETVLQAPLKISTAPQLSVDAQKIEERSMRQCILRPLTPSSNKNSVLSSSDKLKAKGARVGDSNGLIKVAPQLSIQSSSSSVRTPVKTELMKPSQSGSFQVLTREQNGAANTTKDCTTNPVSPVVGRSSSVEPLKKPIVNQKLKGVTNGLPLHSLQGPFGERKTITKDKHKFFELLRSKSLNGSGTAIESTSTLIDEQQNSCLTLSLFSSGMKCTKNGNSFCEKTHSCGGSQRDHSDDEEAMPPSERHDVSAVSEDGSHEQGILAVNMDANSSSEHPDTEVVALKPQTDNTEATVSIIPTVINADSPRSNSDYDDARLLLEPSRAGEAESCPAEDKPSPEEMAFLLSLGWNEHEVVPPLQQEEIADCLRRNERLQQKFQECRG* >Brasy1G078900.1.p pacid=40054992 transcript=Brasy1G078900.1 locus=Brasy1G078900 ID=Brasy1G078900.1.v1.1 annot-version=v1.1 MAGAEAEPAGGEAAAMGAAYSAAAEAAPVVSEVNMNPLKRSPEPSVEEDDADRQKRQNTECQDFTPRRVDAEQNNLASAISRSKTSMVETALNRDSKDLLPLFSPVKDTNGCHIGTAGANVDSSISIIFEYCDKLPKDKYHTTRPSFEFTHNDDGFVCTLTLPSSDVLPPLVGPKARSKQKAKQLVCLDACKQLDLLGVPDDCLCPSLEEPPLEIVNKASDLTSNAGIGTTKRKELHGTTRACALSGTWASKRTVIKLQGYKLNFCCDQVGQKYSEFVLLIDTTIANEAANLDINLYLHDKMVKASVSPCGLLELDVQQMEQAKLFQALIFNGLFGKLFTGSKSSNSPRKFILNKDDTLIWSNANMYLLLPMDPTLESHDSFCINWKVIDEAAAAVRLMRKVHSDGKMNLLATLDFDQNYGDLIHLANTSCEAHTLQNVAVLAVHTGKIYTALHVTDLSANSTFDGVSDKKETGFKTFTEYFEKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKIRDEGPCLSLCYGENKNDGTTDVIKVNNRVHMPPELLIPLNLPEDILRAFYLFPSLMYRMETLMLASQLRSEIAYDSNISSFLILEAITTLRCCEDFSMERLELLGDSVLKYAVSCHLFLKFPDKNEGQLSSSRIDIISNAALYRLGIEHKIQGYIRDAAFDPRRWLAPGQRTNRPFHCKCPVDAEVVTKDIHVIDEKPTIKIGQPCDKGHRWMCSKTISDCVEAIIGAYYVGGGLRAAVAVLRWLGVDAEIDEELIMQTILSASMQTYVPKIDLVEALGAKLGYLFSVKGLLLEALTHPSYQESEERYSYQRLEFLGDAALDILLTWHLFNSHKDTDEGELTDLRSASVNNENFAKVAVKHKFHHFLRYSSEILPDQITEYANSLENSSMDEINLSSDAPLRGPKVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELFEWCNKNGYFLGIKCIDGDKIQAILDVQLKDVLVIRRGFGKNKKDAKAHAASMLLKDLEAKGLIISKNAIRTEQFQKQRGSANSCYNMFDAMDTKESAGPVLDKPVHVAVKTSKGGPRVALYEFCKKLQWPVPKFDSVKVLPSSACPPSSGSSVKATPTQEFFASTITLHMPNSDVISLTGDGFADKKSSQDSAALLMLHELQRRGRLQVQEI* >Brasy1G078900.2.p pacid=40054993 transcript=Brasy1G078900.2 locus=Brasy1G078900 ID=Brasy1G078900.2.v1.1 annot-version=v1.1 MAGAEAEPAGGEAAAMGAAYSAAAEAAPVVSEVNMNPLKRSPEPSVEEDDADRQKRQNTECQDFTPRRVDAEQNNLASAISRSKTSMVETALNRDSKDLLPLFSPVKDTNGCHIGTAGANVDSSISIIFEYCDKLPKDKYHTTRPSFEFTHNDDGFVCTLTLPSSDVLPPLVGPKARSKQKAKQLVCLDACKQLDLLGVPDDCLCPSLEEPPLEIVNKASDLTSNAGIGTTKRKELHGTTRACALSGTWASKRTVIKLQGYKLNFCCDQVGQKYSEFVLLIDTTIANEAANLDINLYLHDKMVKASVSPCGLLELDVQQMEQAKLFQALIFNGLFGKLFTGSKSSNSPRKFILNKDDTLIWSNANMYLLLPMDPTLESHDSFCINWKVIDEAAAAVRLMRKVHSDGKMNLLATLDFDQNYGDLIHLANTSCEAHTLQNVAVLAVHTGKIYTALHVTDLSANSTFDGVSDKKETGFKTFTEYFEKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKIRDEGPCLSLCYGENKNDGTTDVIKVNNRVHMPPELLIPLNLPEDILRAFYLFPSLMYRMETLMLASQLRSEIAYDSNISSFLILEAITTLRCCEDFSMERLELLGDSVLKYAVSCHLFLKFPDKNEGQLSSSRIDIISNAALYRLGIEHKIQGYIRDAAFDPRRWLAPGQRTNRPFHCKCPVDAEVVTKDIHVIDEKPTIKIGQPCDKGHRWMCSKTISDCVEAIIGAYYVGGGLRAAVAVLRWLGVDAEIDEELIMQTILSASMQTYVPKIDLVEALGAKLGYLFSVKGLLLEALTHPSYQESEERYSYQRLEFLGDAALDILLTWHLFNSHKDTDEGELTDLRSASVNNENFAKVAVKHKFHHFLRYSSEILPDQITEYANSLENSSMDEINLSSDAPLRGPKVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELFEWCNKNGYFLGIKCIDGDKIQAILDVQLKDVLVIRRGFGKNKKDAKAHAASMLLKDLEAKGLIISKNAIRTEQFQKQRGSANSCYNMFDAMDTKESAGPVLDKPVHVAVKTSKGGPRVALYEFCKKLQWPVPKFDSVKVLPSACPPSSGSSVKATPTQEFFASTITLHMPNSDVISLTGDGFADKKSSQDSAALLMLHELQRRGRLQVQEI* >Brasy1G078900.3.p pacid=40054994 transcript=Brasy1G078900.3 locus=Brasy1G078900 ID=Brasy1G078900.3.v1.1 annot-version=v1.1 MAGAEAEPAGGEAAAMGAAYSAAAEAAPVVSEVNMNPLKRSPEPSVEEDDADRQKRQNTECQDFTPRRVDAEQNNLASAISRSKTSMVETALNRDSKDLLPLFSPVKDTNGCHIGTAGANVDSSISIIFEYCDKLPKDKYHTTRPSFEFTHNDDGFVCTLTLPSSDVLPPLVGPKARSKQKAKQLVCLDACKQLDLLGVPDDCLCPSLEEPPLEIVNKASDLTSNAGIGTTKRKELHGTTRACALSGTWASKRTVIKLQGYKLNFCCDQVGQKYSEFVLLIDTTIANEAANLDINLYLHDKMVKASVSPCGLLELDVQQMEQAKLFQALIFNGLFGKLFTGSKSSNSPRKFILNKDDTLIWSNANMYLLLPMDPTLESHDSFCINWKVIDEAAAAVRLMRKVHSDGKMNLLATLDFDQNYGDLIHLANTSCEAHTLQNVAVLAVHTGKIYTALHVTDLSANSTFDGVSDKKETGFKTFTEYFEKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKIRDEGYGENKNDGTTDVIKVNNRVHMPPELLIPLNLPEDILRAFYLFPSLMYRMETLMLASQLRSEIAYDSNISSFLILEAITTLRCCEDFSMERLELLGDSVLKYAVSCHLFLKFPDKNEGQLSSSRIDIISNAALYRLGIEHKIQGYIRDAAFDPRRWLAPGQRTNRPFHCKCPVDAEVVTKDIHVIDEKPTIKIGQPCDKGHRWMCSKTISDCVEAIIGAYYVGGGLRAAVAVLRWLGVDAEIDEELIMQTILSASMQTYVPKIDLVEALGAKLGYLFSVKGLLLEALTHPSYQESEERYSYQRLEFLGDAALDILLTWHLFNSHKDTDEGELTDLRSASVNNENFAKVAVKHKFHHFLRYSSEILPDQITEYANSLENSSMDEINLSSDAPLRGPKVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELFEWCNKNGYFLGIKCIDGDKIQAILDVQLKDVLVIRRGFGKNKKDAKAHAASMLLKDLEAKGLIISKNAIRTEQFQKQRGSANSCYNMFDAMDTKESAGPVLDKPVHVAVKTSKGGPRVALYEFCKKLQWPVPKFDSVKVLPSSACPPSSGSSVKATPTQEFFASTITLHMPNSDVISLTGDGFADKKSSQDSAALLMLHELQRRGRLQVQEI* >Brasy1G078900.4.p pacid=40054995 transcript=Brasy1G078900.4 locus=Brasy1G078900 ID=Brasy1G078900.4.v1.1 annot-version=v1.1 MAGAEAEPAGGEAAAMGAAYSAAAEAAPVVSEVNMNPLKRSPEPSVEEDDADRQKRQNTECQDFTPRRVDAEQNNLASAISRSKTSMVETALNRDSKDLLPLFSPVKDTNGCHIGTAGANVDSSISIIFEYCDKLPKDKYHTTRPSFEFTHNDDGFVCTLTLPSSDVLPPLVGPKARSKQKAKQLVCLDACKQLDLLGVPDDCLCPSLEEPPLEIVNKASDLTSNAGIGTTKRKELHGTTRACALSGTWASKRTVIKLQGYKLNFCCDQVGQKYSEFVLLIDTTIANEAANLDINLYLHDKMVKASVSPCGLLELDVQQMEQAKLFQALIFNGLFGKLFTGSKSSNSPRKFILNKDDTLIWSNANMYLLLPMDPTLESHDSFCINWKVIDEAAAAVRLMRKVHSDGKMNLLATLDFDQNYGDLIHLANTSCEAHTLQNVAVLAVHTGKIYTALHVTDLSANSTFDGVSDKKETGFKTFTEYFEKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKIRDEGYGENKNDGTTDVIKVNNRVHMPPELLIPLNLPEDILRAFYLFPSLMYRMETLMLASQLRSEIAYDSNISSFLILEAITTLRCCEDFSMERLELLGDSVLKYAVSCHLFLKFPDKNEGQLSSSRIDIISNAALYRLGIEHKIQGYIRDAAFDPRRWLAPGQRTNRPFHCKCPVDAEVVTKDIHVIDEKPTIKIGQPCDKGHRWMCSKTISDCVEAIIGAYYVGGGLRAAVAVLRWLGVDAEIDEELIMQTILSASMQTYVPKIDLVEALGAKLGYLFSVKGLLLEALTHPSYQESEERYSYQRLEFLGDAALDILLTWHLFNSHKDTDEGELTDLRSASVNNENFAKVAVKHKFHHFLRYSSEILPDQITEYANSLENSSMDEINLSSDAPLRGPKVLGDIVESIAGAILIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELFEWCNKNGYFLGIKCIDGDKIQAILDVQLKDVLVIRRGFGKNKKDAKAHAASMLLKDLEAKGLIISKNAIRTEQFQKQRGSANSCYNMFDAMDTKESAGPVLDKPVHVAVKTSKGGPRVALYEFCKKLQWPVPKFDSVKVLPSACPPSSGSSVKATPTQEFFASTITLHMPNSDVISLTGDGFADKKSSQDSAALLMLHELQRRGRLQVQEI* >Brasy1G082900.1.p pacid=40054996 transcript=Brasy1G082900.1 locus=Brasy1G082900 ID=Brasy1G082900.1.v1.1 annot-version=v1.1 MPFHFHLSWSAPPLAAHTRCAWIFQDIKLAMVSATFVYSLFQMPSLGIEIESPYSYNFVSAFYSVHYMAFIAFHVRWRIVRNLGAMPLPVACILVNSPPAVLIIVHPTTYLGMYFGDLYIATCICWVFTVLFIVFLYWCLSIHSALPLAVRHATVPLKGHKPCAIYELLDSWNN* >Brasy1G571300.1.p pacid=40054997 transcript=Brasy1G571300.1 locus=Brasy1G571300 ID=Brasy1G571300.1.v1.1 annot-version=v1.1 MEDDGAGGRRRPQADSAAAPCGSQDKPPMTRHVCVRKFPYQTRILVVSLPAPAHQNPRSAAGHGAATASEEPVDARLTRSRRLPLDVLLWEIMIRLPAAALLRCRAVCRSWRRLASDPGFLLAHHRRQPSLPLFVLRGYSATDPAGPERAQPLLGCEVQIPHLGDRGFTLHASCDGLLLISFSNRSFFICNPATRQEAPVPGLDAAAADGCINIKAMYLHRPSGEYRVLYWHHKNETNKGHSVCYVLTVPRARKPRAAAVPPAASLCLQAHPNSRPPVVFRGGLHWHPSRRSLNDNRVLVVFDTVDESFRSMRLPAAAVATSCCARLHDIQGSIGLSCFDDRSTVAKIWVLKDYEREVWSLKYQIKFSPESMCNLAKTSRQLVLSHEGDMLLYVDFGTYMVHYKGKFLEKFRWKSWARSTLTGHFFKESLIKHAFFGARQCPYGWTSMSFLKPLIKVHYRN* >Brasy1G575400.1.p pacid=40054998 transcript=Brasy1G575400.1 locus=Brasy1G575400 ID=Brasy1G575400.1.v1.1 annot-version=v1.1 MMSTPTVPLNNLKFLLLSRLACCTQLPNGLCHLPCLQVLQVDDAPCIKRVGTEFLHSSHPVAASFPRLNKMVLEGMVEWEEWEWEEKVQAMPRLEELLLENCKLGRVPHGLASNARALRKLSIQQVKQISCLEKFPSVVELTVVDCPDLGSITNVPKLQKLDVTSCPKLKSVEDVPALQRLLLVDRRMETLPGYLRDVNPRHLELRCSLTLLSSIAVLGQSGQEFAKFRHVEHVNARGVYTSDFYVLYTRGPCSFDTNVNLSFLSRGTLSVFEDAQRLESVLKMPRRAFDYV* >Brasy1G106400.1.p pacid=40054999 transcript=Brasy1G106400.1 locus=Brasy1G106400 ID=Brasy1G106400.1.v1.1 annot-version=v1.1 MATADFYNMSVAYNPSGIAVPPWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYNMSFGHKLLPFWGKARPALGQSFLIAQAVLPESTQFYKGGVNFETSWINPLYPMASMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTIGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANTDSSIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSKLLQKVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCGMFLPVTNSRGAFYGGVGGMQFVKQVVGALFIISWNVVVTSIVCLVVRLIVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNETTQRKKAPSGVTQNV* >Brasy1G399500.1.p pacid=40055000 transcript=Brasy1G399500.1 locus=Brasy1G399500 ID=Brasy1G399500.1.v1.1 annot-version=v1.1 MAGWFGVELHGVPNYEALTSESVTSKAQGRWLSIKEYCAILMNPHLYGVGLSAHHGPVGSAPKEGDICMCAANVDNVAEAHKWIQLETKTETLGNAAVLKMDHQCNLRLDLEKKRYTLLNSTADNRPSLIHWMQMEPETKRMGQALRNMNRAEMAGTRLEQRIEGLTESIRGRRGRGA* >Brasy1G399500.2.p pacid=40055001 transcript=Brasy1G399500.2 locus=Brasy1G399500 ID=Brasy1G399500.2.v1.1 annot-version=v1.1 MAGWFGVELHGVPNYEALTSESVTSKAQGRWLSIKEYCAILMNPHLYGVGLSAHHGPVGSAPKEGDICMCAANVDNVAEAHKWIQLETKTETMDHQCNLRLDLEKKRYTLLNSTADNRPSLIHWMQMEPETKRMGQALRNMNRAEMAGTRLEQRIEGLTESIRGRRGRGA* >Brasy1G538800.1.p pacid=40055002 transcript=Brasy1G538800.1 locus=Brasy1G538800 ID=Brasy1G538800.1.v1.1 annot-version=v1.1 MAPRARLPPLLLLLLVPLLAAGRASAWGKEGHIMVCKIAEKYLTEKAAAAVQELLPEAAGGELSTMCPWADQMRFRYHWASPLHYANTPNVCNFKSSRDCHNSRGQQGMCVVGAINNYTDQLYSYGDSKSSYNLTESLMFLAHFVGDVHQPLHVAFEEDEGGNTIMVHWYRRKANLHHVWDVSIIDTVMKDFYNRSLDTMVDALKGNLTNGWSDDVSHWENCENKRATCANDYAIESIHLSCNYAYKDVEQNVTLGDDYYFSRYPVVEKRLAQAGIRLALILNRIFDKNKADVIPLHVQ* >Brasy1G287400.1.p pacid=40055003 transcript=Brasy1G287400.1 locus=Brasy1G287400 ID=Brasy1G287400.1.v1.1 annot-version=v1.1 MENQSYNGYTDLLQSDVGLDDLHWSGGHGEQHIDLEGHEEHHINLEETQEATPPVKASGKKARASKASAPKSGSSKRQKNFSQAEDLTLCDAYLEITQDPIIGVDQSRDCYWKRINAYFQANKTEDSGRTQGSLQHRWAVIQEQVNKFSACYAQVMNRNQSGMTHENKLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTSSMDTPGTSSSVFEDEATSPSKSVPTKKPIGQKRAKEAQRQASVSGSSSRELFGDIFETRESKRQERFELMLAIDKQREEERLAEERNRTAIKEKKVALEEEKIQIMRMAEERLAAAEDGRIMSMDISGMGEEEKEFYKLRKSQILKRLRN* >Brasy1G335500.1.p pacid=40055004 transcript=Brasy1G335500.1 locus=Brasy1G335500 ID=Brasy1G335500.1.v1.1 annot-version=v1.1 MQSQVLTPPARANKRTRNFTDKEDEVLVTAWLHASLDPIVGTEQKNATYWKRIHEEYEVHKPEGSDRNVSSLSHRWSVVKEQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPTGKKAEKERQRRGKNVTSSDDSNVVMALDLVFLKGEAREMARETARQARENAREASKKERYEGALAMEKKKIEIEERKMEMEIMNKDLSSLDDDQKEYYRMLRRLWFMLLYELFEVYGLCCCMNYLRSMDYVVV* >Brasy1G472300.1.p pacid=40055005 transcript=Brasy1G472300.1 locus=Brasy1G472300 ID=Brasy1G472300.1.v1.1 annot-version=v1.1 MDELDSGDKGVAGPGPGPAPGDDGNAAPLPDTVQIGNSPTYKLGRKLGKGGFGQVYVGRRTSSPSLGDRNPGANALEVALKFEHRTSKGCHYGAPSEWQVYNTLSGNHGVPRVHYKGKQGDFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGLCGTLEEKKLFLVDLGLATKWKEAGNGQHIGYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGLQGENKGFLVSKKKMATSPESLCAICPQSFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRIIVQEDDEQPKKKIRMGMPATQWISVYSARRPMKQRYHYNVADDRLVQHILKGNEDGLFISSVSSASNLWALIMDAGTGFTSQVHEISNHFLHKEWILEQWERSYYITALAGANSGSSLVIMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAIVMSRNAGFSDQVVELDFLYPSEGIHHRWDNGYRITATAATLDQAAFILSIPRRKPNDETQETLRTSAFPSQHVKEKWSKNLYLASICYGRAVS* >Brasy1G240900.1.p pacid=40055006 transcript=Brasy1G240900.1 locus=Brasy1G240900 ID=Brasy1G240900.1.v1.1 annot-version=v1.1 MASLLCSPATKSFSVDTTALFLSSLASLSPAQTQPVSAPSATPPVPATVPSTALIPNSRFLVDAFRHAGDFSVAYFLSHFHSDHYAGLGPSWRRGLVFCSSPTARLLASVLSVPSELIVSIDIGARITVDGWGVVAVDANHCPGAIQFLFTSPGPNTERYVHTGDFRYTDSMRSDPNLLEFVGADAVFLDTTYCNPKFTFPSQEESVEYVVNTIKQVKEESEAAGECILFLIATYVVGKERILLEVARRCGCMIHVDSRKMEILTVLGFGGDKGVFTEDATASDVHVIGWNILGETWPYFRPNFVKMKEIMMERGYTKAVSFVPTGWMYETKKDGFAVRMKDSLKIHLVPYSEHSSYDELREYVKFLHPKRVIPTVGVDGGKLDGKEAIAMKKHFAGLVDETANKHEFLSVFHRRSICATLNHEDVLAKCLRDQDGEEFASLPEINNASELSDSSNIKITEEMKKELSDFLPLWVSQDQILGLLMRSGGDVVQSASDFFERERDFFEEANVSNNEMSKSGGINTSDHGPSADVSSQQEVTLFSEKRIEPSTKLVNFTPVRMNSNLRKKERKRVSNTANKSKKKGRSAASTESGGRKQPTITNYFGRAMAAASKSETANKVTVDPHQNNGENDTQLTDIVKTHEQGLNQLLQIVDGSMSRESAISLLEKTKGDVNVAVDMFYSKIQNNNVPVNDKSIVPQNTPNEIIDKNSNSDIVQSSSQATPKMQNLYVQSSLAQADSMNISLPVEKYLPIEHACWTTGQPAPYLHLARTFDLVERVKGKIKTTAMLCNMFRSLLALSRDDVLPAVYLCTNKISPDHENMELNIGGSLIISALEESLGTSRSKIHEMYKTHGDLAARDQKQTR* >Brasy1G058500.1.p pacid=40055007 transcript=Brasy1G058500.1 locus=Brasy1G058500 ID=Brasy1G058500.1.v1.1 annot-version=v1.1 MSDQPSAQAMSSGRYGLCRTILVTFWVASQPRASYFTFDCCDCQPSVYGNMPKAVYSEDDLVLFRFPIVTISRRDEDQCLLNSEDHHYFVYQAGTKNRLPSLHLVPVPHRLEFRDRGAVLLRCRDQDMFYLALLHRVSGFNYDDEQYDLHLYNSKTGGWNTKLTHIDYSPKEYFDFAYPNAVLTIGGESGSVAWVNLWRGILICHLLLPDNHILRYIPLPVPKPPRGYALYSRNMMVFGDHIKFLEMVRPSCDSGRTCGAQDLVAARKKMKISDIGSGNIWEEDCAVNISEIPVESLEFAQMLPSLKQVTDTKVTLKRLHAGYPALSFQDADVVYIMQTPVPNKDKALMIAVDMKNKTIKDVAYFGSGRYLGYHHTYLQSGISKYLDIWSSSRSWEKADATSEDHLSS* >Brasy1G175500.1.p pacid=40055008 transcript=Brasy1G175500.1 locus=Brasy1G175500 ID=Brasy1G175500.1.v1.1 annot-version=v1.1 MAKTSWPEVVGWVSLNAWYQINSDRPDVSIGFYVQGSPLPPGYDPKRVILILNNSAVVIQTPVIG* >Brasy1G367300.1.p pacid=40055009 transcript=Brasy1G367300.1 locus=Brasy1G367300 ID=Brasy1G367300.1.v1.1 annot-version=v1.1 MTYLSELTVFLTDRAHLSSDTSNPTRPDSPLSRGTRGQAAAATRRVKAAGKTQGRAAGKRRRRVAGKRREGPGRARASGGGGASRDHGSASSGGSSAGFAAGVITKTSIGQLQWRKDEVVRIKASRTPAFNMRLLHEMLDVCSRLLLPELISLNRTILKSEPWGIHGQDLISDLMSWGSWKKRGGMGNRCALTGLTGPGRPVT* >Brasy1G284000.1.p pacid=40055010 transcript=Brasy1G284000.1 locus=Brasy1G284000 ID=Brasy1G284000.1.v1.1 annot-version=v1.1 MGPNSSHPMASAVTPPRHVPIPRFLGSLESLMYLNLSCMNFEGKVPPPLGNLSRLLYLDLHNIWNPNGNKLHTTDLSWLPRLPLLRVLDISRVNLSTIGNLVQVLSMLSNLRSLRLRECELVSAHRPIVHSNLTSLQMLDLTNCGVDTLNPTYRFWDVGTIRHLDLTNNEFAGPFPDAMGNMTSLEVLKLGGNYLTGVKSELLKNLCNLRVLTLRSNRINQDISEFLEGLPHCVWSKIELLDLSLTNISGEIPKWLNQWTDLSALQLSSNRLVGSIPTEISMLSKLSKLYLDSNQLNGSIYEEHLAPLVNLKELDLSYNSLLIMISSNWIPPFKLKLAYLPRSKVGPQFPLWLKGQNSITNLDISDASIVDHLLDWFWTVFSNVQYLNISCNQISGRLPRTLEFMSSARIFDLSSNNLTGILPQLPRHLEELDISRNSLSGPLPREFGAPYLMDLLLSENSINGTIPLYICQLRLLSVLDLAKNCLIGQFPLCPEVSEGRSISAIILYENNLSGKFPSFLQSCPELILLDLAHNKFAGGLPTWIARKLPKLSYLRLRHNRFSGSIPVQLTQLGYLQYLDLASNRISGSIPHKLGNLKAMVQDQRQSLGNPLVWRMKGQQTLSCMIHRKYAGSLVVVMKGQYLDYTSNIIYLVGLDLSCNNLVGDIPVEITSLVKLNNLNISYNRLSGKIPEKIGLLGSLESLDLSRNELTGEIPSSFSDMTKLSKLNLSYNNLSGRVPTGNQLQTLIDPVSSYIGNDYLCGPPLSRNCSVPLVTGGDLNEQRSEVQYFYLGLAAGFVVGLWVVCCLLIW* >Brasy1G105900.1.p pacid=40055011 transcript=Brasy1G105900.1 locus=Brasy1G105900 ID=Brasy1G105900.1.v1.1 annot-version=v1.1 MEAETAEPLLPRPEPPMSTVDHLGRPASRGSSGGWPAAFFIIGVEVAERFAFCGIMGNLMIYLTGPLGQSTASAAASVNAWLGAAMLLPLLGSAVADSWLGRYRTVTCASLLYILGLAMLTLSTVLAPGGCAGELDSAGRSTSRSAQVALFFVSLYVVAIAQGGHKPCVQAFGADQFDENDPGELASRSSFFNWWYFAAYGGNAFTVPILNYVQEGVSWQLGFGIPCVAMALALAVFWLGTKTYRFHRLPSDNNKLQDDSEYSLPLMASSPRRDHGAAPALLKLFPIWAACLVYAVVLSQWFTFFTKQASTLDRRIGSLVVPAASLQNLLNVSLMIFLPIYERVFVPLARKHTKNTSGITALQRVGVGLAISIVMMIVAALVEMRRLRVAREQGLLDTPEVTIPMSVLWMVPQYILVGLSDVFAIVGLQEFFYDQAPDGLRSLGLALFLSIVGAGNFISGFVVYAIDRVTSNTGGSWFSNNLNRGHLDYFYWLLAVLSAVGLAGYMYFAQAYVHKKKGILV* >Brasy1G141800.1.p pacid=40055012 transcript=Brasy1G141800.1 locus=Brasy1G141800 ID=Brasy1G141800.1.v1.1 annot-version=v1.1 MMGCFTALRSKKKKSALVASTKQADARDSSLRLPEPEAHVPSLQSAPPSFRNRAKICQSANKVPYSRARVLSAPSSLILVDQDGLPYAEFDDQDDSRGKGGPIKPHRFSNPLPLPLPSPEGSSLRHFGSFKAINASGPLETSGPLPLPPKRCDGLKNFSYDEVSSACQWFSGDQCVSESLTSTSYKASFRDDFIDPKTMEAIVARLLPSNQSFKEFKTQVDTFASLQHPNLCKLIGFHAREESAERMLVYERLHHGSLDRLLFGRPDGRFMDWSTRLKVALGAAKGLAFLHDEGPFQAMYNEFSTSNIQIDKDFTAKLSGYGCVGFNSEEEKSNASVAAANLSVETLEKGILTPKSNVWSFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDPRIKGRFPTKAARTVADIILKCLHRDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIVPQHPVMTFSPSPPSRNQHLLSPRSSTSALLPPRTCSSTITLEYPRVSSVKKSPPNILRRPGVEGF* >Brasy1G580600.1.p pacid=40055013 transcript=Brasy1G580600.1 locus=Brasy1G580600 ID=Brasy1G580600.1.v1.1 annot-version=v1.1 MAPAAATAKGDHHHHQEEEEEEAVLRRGPWTVEEDLTLMNHVAEHGEGRWNAAARAAGLRRTGKSCRLRWLNYLRPDVKRGDFSAHEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRSWMMQDDHEFWAAHMQIQPEQFVDVDQEISGWVQGFSDIIDGASAAAAENSLWSLEDIWKMQ* >Brasy1G372600.1.p pacid=40055014 transcript=Brasy1G372600.1 locus=Brasy1G372600 ID=Brasy1G372600.1.v1.1 annot-version=v1.1 MAAKTPQTPDPPLGTISRRSVPPPPRSVIPVLSPSFSRAPLRSRLAAAADTAAAAASSSSVQHPCVTLWDWWLVRVEGEQRKIAVSGFTQWDDAFTSAPIAKCHEPLTLEDEDGVVVRIYGSISLSRMHENGFSPQICEKFMIGFPYWWESWDSHIESHPTSFSNSQEGSSQFNLDMFQLGKFLEKLEPSFINNLLNDAKNFPRDYEDVFTERSRFEEYTFDNDISTKEKSAVSNDARTWSCS* >Brasy1G372600.2.p pacid=40055015 transcript=Brasy1G372600.2 locus=Brasy1G372600 ID=Brasy1G372600.2.v1.1 annot-version=v1.1 MAAKTPQTPDPPLGTISRRSVPPPPRSVIPVLSPSFSRAPLRSRLAAAADTAAAAASSSSVQHPCVTLWDWWLVRVEGEQRKIAVSGFTQWDDAFTSAPIAKCHEPLTLEDEDGVVVRIYGSISLSRMHENGFSPQICEKFMIGFPYWWESWDSHIESHPTSFSNSQEGSSQFNLDMFQLGKFLEKLEPSFINNLLNDAKNFPRDYEDVFTERSRFEEYTFDNDISTKEKSAVSNDARTWSCS* >Brasy1G372600.3.p pacid=40055016 transcript=Brasy1G372600.3 locus=Brasy1G372600 ID=Brasy1G372600.3.v1.1 annot-version=v1.1 MAAKTPQTPDPPLGTISRRSVPPPPRSVIPVLSPSFSRAPLRSRLAAAADTAAAAASSSSVQHPCVTLWDWWLVRVEGEQRKIAVSGFTQWDDAFTSAPIAKCHEPLTLEDEDGVVVRIYGSISLSRMHENGFSPQICEKFMIGFPYWWESWDSHIESHPTSFSNSQEGSSQFNLDMFQLGKFLEKLEPSFINNLLNDAKNFPRDYEDVFTERSRFEEYTFDNDISTKEKSAVSNDARTWSCS* >Brasy1G372600.4.p pacid=40055017 transcript=Brasy1G372600.4 locus=Brasy1G372600 ID=Brasy1G372600.4.v1.1 annot-version=v1.1 MAAKTPQTPDPPLGTISRRSVPPPPRSVIPVLSPSFSRAPLRSRLAAAADTAAAAASSSSVQHPCVTLWDWWLVRVEGEQRKIAVSGFTQWDDAFTSAPIAKCHEPLTLEDEDGVVVRIYGSISLSRMHENGFSPQICEKFMIGFPYWWESWDSHIESHPTSFSNSQEGSSQFNLDMFQLGKFLEKLEPSFINNLLNDAKNFPRDYEDVFTERSRFEEYTFDNDISTKEKSAVSNDARTWSCS* >Brasy1G372600.5.p pacid=40055018 transcript=Brasy1G372600.5 locus=Brasy1G372600 ID=Brasy1G372600.5.v1.1 annot-version=v1.1 MAAKTPQTPDPPLGTISRRSVPPPPRSVIPVLSPSFSRAPLRSRLAAAADTAAAAASSSSVQHPCVTLWDWWLVRVEGEQRKIAVSGFTQWDDAFTSAPIAKCHEPLTLEDEDGVVVRIYGSISLSRMHENGFSPQICEKFMIGFPYWWESWDSHIESHPTSFSNSQEGSSQFNLDMFQLGKFLEKLEPSFINNLLNDAKNFPRDYEDVFTERSRFEEYTFDNDISTKEKSAVSNDARTWSCS* >Brasy1G084700.1.p pacid=40055019 transcript=Brasy1G084700.1 locus=Brasy1G084700 ID=Brasy1G084700.1.v1.1 annot-version=v1.1 MPTSPLLIPLALTPSASPASRIRRSSILLRRFRVETKSGMGSKGVDLTYSFAMEDQEEHDPNLSPFLRKLFKEWDDCKARGLFHHDITACETKVLPGEHNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFHETEDESDCYFDGAPPTVSASPSSILINVSPIGYCHVLLIPRILECLPQRVDQENLLLAMYVAREARNPFFRIGYNSLGGFATINHIHFQAYYLEVKYPVEKAPKEKLTVLKNGVSISELVQYPVSGFVFEGEASLEDLSYVVSKACMFMQDNNRPFNVLISESAKRVFLLPQCYAEKQVLGKASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATLCRFLVEATLSGTEFQELKSCVLEFLASASPERVDIKPF* >Brasy1G084700.2.p pacid=40055020 transcript=Brasy1G084700.2 locus=Brasy1G084700 ID=Brasy1G084700.2.v1.1 annot-version=v1.1 MGSKGVDLTYSFAMEDQEEHDPNLSPFLRKLFKEWDDCKARGLFHHDITACETKVLPGEHNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFHETEDESDCYFDGAPPTVSASPSSILINVSPIGYCHVLLIPRILECLPQRVDQENLLLAMYVAREARNPFFRIGYNSLGGFATINHIHFQAYYLEVKYPVEKAPKEKLTVLKNGVSISELVQYPVSGFVFEGEASLEDLSYVVSKACMFMQDNNRPFNVLISESAKRVFLLPQCYAEKQVLGKASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATLCRFLVEATLSGTEFQELKSCVLEFLASASPERVDIKPF* >Brasy1G494200.1.p pacid=40055021 transcript=Brasy1G494200.1 locus=Brasy1G494200 ID=Brasy1G494200.1.v1.1 annot-version=v1.1 MKTRPGPLLLQLLLLQIQNILPGYSLLDSAGEYTNHTVLYQQYLPCLPDQASALLRMKRSFSVTNKSIAAFRSWRAGTDCCHWEGIRCHDANGRVTSLDLSNQGLQSGGLNHAIFDLTSLEYLNLAYNDFNGSSLPSVGFERLLKLTHLNLSSSDFDGQVPIGIRQLTNLVSLDLSTRFEIIEMLSDGYTVVTQSNHDSRLVETNFEALIAKLSKLRKLNLGFVDLSGNEARWCDALARSTPKLQLLSLPFCRLLGPICTSLSSLHSLAIIDLEKNYLSGPVPDFLTNLSSLRVLLLGRNNLQGWLSPAMFQHKKLVTINLYHNLGLSGYLPSFPTSSSLENLDFGRTNFSGTIPSSVSNLKSLKRLGLGAPSFFGELPSSIGTLKSLSVLHISGKGLAGSIPSWVANLTSLNTLQFSDCGLSGSIPSFIGELKNLERLVLCNCSFSGEIPTHISNLTQLKILLLYSNNLIGTVELTSLKKLPHLYAFDISQNNLVVVNGKGNSSLSSYPQIDTLGLSGCNMSMFPDFLRHQYNMGFLDLSNNQINGSIPQWAWENWHSFFYLILADNKFTSIGHTPFLDVDVYVLDLSNNMFEGPIPIPRVSAIELDYSNNRFSSIPSNLSSHIRDITLLMASQNNFTGNIPSYLCGPVSIQLLDLSYNNFSGSIPSCLIENVNGMVSINLKGNQLHGKLPDNINEGCSFEALDFSTNSIEGQLPRSLVACKNLEIFDVGNNQISDSFPCWMSTLHKLEVLVLKSNNLFGHVAQSHAKEKGTCAFPSVRIIDLSSNNFSGPLPQDQWFKELKSMTWRDSNTSLVMDHELPQITETYKYTIAVTYKGRDTAFAKILRTLVFIDVSNNAFHGGIPKAIGELVLLHGLNLSHNLLTGTIPPQVGRLNQLEALDLSSNELSGVIPREVASLDFLTTLNVSYNKLEGKIPESPHFSTFSSSSFMGNDGLCGPPLSKECSNTTMPNVVPRTSEETSPDIILFLCVGFGFGVGFAVVIVLTWVLPVKKKS* >Brasy1G531800.1.p pacid=40055022 transcript=Brasy1G531800.1 locus=Brasy1G531800 ID=Brasy1G531800.1.v1.1 annot-version=v1.1 MAPAVLTVLRLLASILLLVYPHCAHAVNDCSPAKCGDLTVKYPFWLGGPSGSQPPPGPSCGHPAFELWCIGNGSAGASMRGSSLHVLRIDYNSSSFIASHVRVAAGDDGVCRTDFNISVSLALSPFKISTTNRALCFLYGCKNGTEPTGPGFVNATGVPSCGKPIVAYLGGSYDRDTPPAIGTGSCTYTYLPVLGTEAAGATAGDYGRLLKSGFLLEWNGNGIGDECPGCVATGGGCRYRNGSAVCLCPSGELRRPTCAGECVSISYITVHCLPSQHVPTNDALAHASLTSHWVFSGSLHFPRNGMPYCAFGGVAQCCPSP* >Brasy1G462900.1.p pacid=40055023 transcript=Brasy1G462900.1 locus=Brasy1G462900 ID=Brasy1G462900.1.v1.1 annot-version=v1.1 MNAPNSWLFADNSRYSTRSRLLFMGLSFTIGILTFLIYLAIWYTCSRSSRRQRQRAVADIEAAAAAGAACDDARGMSAAAIAALPTFAYEVEQAGAADCAVCLGQLEAGEKVRRLPKCAHLFHADCVDAWLRAHSTCPMCRAAVEGPAAAAASKKGGAANTDTNTTPAAPAATAAAEELPPV* >Brasy1G088400.1.p pacid=40055024 transcript=Brasy1G088400.1 locus=Brasy1G088400 ID=Brasy1G088400.1.v1.1 annot-version=v1.1 MGKKGSGWITAIKKAFTSNPKEKSTNVQLVASQSFPQAAQAPHRGGHDKKQRWGFGRSRGPHAAEMSGIPLYRQPSSIEKILGDAEMEHQSRHYYGRAQYQIAPAKSATTLLTAHGSSATAPTTPAARERDWERDDGYNNNKHAAVLPLPPPPSSPPPLIRRFDHDREQQQSRADTAEWRQQQTHRRRLPVPMPRTARPAASTTRQRTVPPDRARAAAIAIQAAFRGYSARRSYRSLRGLIRLQAVVRGPSVRRQTAHAMRCMQTLVRVQAQVRASRVEAMERRNGRHSTQYLRDAAGRWRNGSQDGGIWDDSLLSRDEAESRTKRRAEAVTKRERALAYAYSHQVLKATPMAAHAILADLQSGRSPWWWAPIDRSYEPEYPRRVEPIIRPRPAPAMAHRDTMPMPMMTTQTTTAATTPARSVVSAYSKPSSRPARVARGGAAPPASIHGGGGGGGSIRDDESLTSCPAFGVPNYMTPTLSASAKARARAHVLQEQLRKEQRAAEQKPRFSFGLGQSIGSWAKSPFWKPGGGGPQQPSSRVGTPAASVAGGGRHRRSVSGLSVDSTVSMPAGIGRRSFK* >Brasy1G164300.1.p pacid=40055025 transcript=Brasy1G164300.1 locus=Brasy1G164300 ID=Brasy1G164300.1.v1.1 annot-version=v1.1 MSWTAARVVPLLVAATSISAAAAASNSCTSSHPGHLPVPDFLAQLSQWREHHLSHPSANGLGGHGVGPNAVLAWILAFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRAATYSSFMVTGGAASNVLYNLLRNRGRGVLIDYDVALLFQPCLLLGVSIGVVCNVMFPEWLITALFSLFLSFCAVKTCRAGVKIWRSESRAASAAVAAAHRHEHKEPLLVLLPGQDGGQAAAGNGVGFPWKDVSVLVAVWLCFFLLHAFIGDKHGKGMIRITPCGVAYWLFTISQVPFAVAFTAYIIYAKRKKQVLHNQEDGKENCVETKLETLSSLILPLAAFVTGSLSGLFGIGGGLLLNPVLLQMGIPPQTAAATSSFMVLFCASMSMVQFILLGMDGIGEASVYAGICFIASVSGAVLVEKAVRKSGRVSMIVFLVTAIMALSSVIVTCFGALDVWKQYNGGAYMGFKLPC* >Brasy1G164300.4.p pacid=40055026 transcript=Brasy1G164300.4 locus=Brasy1G164300 ID=Brasy1G164300.4.v1.1 annot-version=v1.1 MSWTAARVVPLLVAATSISAAAAASNSCTSSHPGHLPVPDFLAQLSQWREHHLSHPSANGLGGHGVGPNAVLAWILAFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRAATYSSFMVTGGAASNVLYNLLRNRGRGVLIDYDVALLFQPCLLLGVSIGVVCNVMFPEWLITALFSLFLSFCAVKTCRAGVKIWRSESRAASAAVAAAHRHEHKEPLLVLLPGQDGGQAAAGNGVGFPWKDVSVLVAVWLCFFLLHAFIGDKHGKGMIRITPCGVAYWLFTISQVPFAVAFTAYIIYAKRKKQVLHNQEDGKENCVETKLETLSSLILPLAAFVTGSLSGLFGIGGGLLLNPVLLQMGIPPQTAAATSSFMVLFCASMSMVQFILLGMDGIGEASVYAGICFIASVSGAVLVEKAVRKSGRVSMIVFLVTAIMALSSVIVTCFGALDVWKQYNGGAYMGFKLPC* >Brasy1G164300.2.p pacid=40055027 transcript=Brasy1G164300.2 locus=Brasy1G164300 ID=Brasy1G164300.2.v1.1 annot-version=v1.1 MSWTAARVVPLLVAATSISAAAAASNSCTSSHPGHLPVPDFLAQLSQWREHHLSHPSANGLGGHGVGPNAVLAWILAFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRAATYSSFMVTGGAASNVLYNLLRNRGRGVLIDYDVALLFQPCLLLGVSIGVVCNVMFPEWLITALFSLFLSFCAVKTCRAGVKIWRSESRAASAAVAAAHRHEHKEPLLVLLPGQDGGQAAAGNGVGFPWKDVSVLVAVWLCFFLLHAFIGDKHGKGMIRITPCGVAYWLFTISQVPFAVAFTAYIIYAKRKKQVLHNQEDGKENCVETKLETLSSLILPLAAFVTGSLSGLFGIGGGLLLNPVLLQMGIPPQTAAATSSFMVLFCASMSMVQFILLGMDGIGEASVYAGICFIASVSGAVLVEKAVRKSGRVSMIVFLVTAIMALSSVIVTCFGALDVWKQYNGGAYMGFKLPC* >Brasy1G164300.3.p pacid=40055028 transcript=Brasy1G164300.3 locus=Brasy1G164300 ID=Brasy1G164300.3.v1.1 annot-version=v1.1 MSWTAARVVPLLVAATSISAAAAASNSCTSSHPGHLPVPDFLAQLSQWREHHLSHPSANGLGGHGVGPNAVLAWILAFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRAATYSSFMVTGGAASNVLYNLLRNRGRGVLIDYDVALLFQPCLLLGVSIGVVCNVMFPEWLITALFSLFLSFCAVKTCRAGVKIWRSESRAASAAVAAAHRHEHKEPLLVLLPGQDGGQAAAGNGVGFPWKDVSVLVAVWLCFFLLHAFIGDKHGKGMIRITPCGVAYWLFTISQVPFAVAFTAYIIYAKRKKQVLHNQEDGKENCVETKLETLSSLILPLAAFVTGSLSGLFGIGGGLLLNPVLLQMGIPPQTAAATSSFMVLFCASMSMVQFILLGMDGIGEASVYAGICFIASVSGAVLVEKAVRKSGRVSMIVFLVTAIMALSSVIVTCFGALDVWKQYNGGAYMGFKLPC* >Brasy1G405100.1.p pacid=40055029 transcript=Brasy1G405100.1 locus=Brasy1G405100 ID=Brasy1G405100.1.v1.1 annot-version=v1.1 MAYKKLSELTTKGQSWNIKVKVMRIWNSINPRTNELISIDMILMDAEGEVIHAIIWKNLADTFIPKIKESCIYVFNNFKVDESTKYRPVNNDLKIIMLSDTKFASKETLLERQEKDEYCSDVIGLLTQIKPIESRITRAKTDNPRTADMREIEILIPEGDKVRVTLWGNLAHSLSDDVVGKQTVLIITSTMVQKFNGLSLKSTSATRLYENLDIPESLELQDSYLTEDILPKMMEIDKSTQGTLEQQMFYNRKTLREITEIRHEDPINQDLVYTTLATIDRLQENIQWWYRIRLQISDPTATTSCTLFDEEANRILNKSVTDLLDSLIGKSEEIPKIIQELCGKRLIFRFKLNKQNLTLGTPNYAVKRTFVPDENLERQHFNDEVEEELMDDGVDMILTTQKKSTDRPVIKRQSSICSPMKSIVELKAIKKELEDLEKEIEDERHEQKMPSSGGLRKANKRRRASVVLSDDSEDEEPKASMTHTKEKKGHKNTRTVGGKCITRKSNKRRKSPIVLSDDSEVDDTENTEVQDEDSDVEFARKKVNFQAAVKTCKKKSKEDGVEHRKEKPNDQLEDQVSQKRPKRIRQPSKKYQD* >Brasy1G420800.1.p pacid=40055030 transcript=Brasy1G420800.1 locus=Brasy1G420800 ID=Brasy1G420800.1.v1.1 annot-version=v1.1 MGQGLQRATGTRKEETGKKASQKMYVSASLGQQLLLIKKELLSSKESLLFLHKIISDVTIILIKSYFLFTPSHIK* >Brasy1G173800.1.p pacid=40055031 transcript=Brasy1G173800.1 locus=Brasy1G173800 ID=Brasy1G173800.1.v1.1 annot-version=v1.1 MCVASRSHPAYLVSFPLSTSSSPVHGFSVTSTNPSWVFFSCAQLILREELSIQTLGSTSFICANTLLSFS* >Brasy1G173800.3.p pacid=40055032 transcript=Brasy1G173800.3 locus=Brasy1G173800 ID=Brasy1G173800.3.v1.1 annot-version=v1.1 MCVASRSHPAYLVSFPLSTSSSPVHGFSVTSTNPSWVFFSCAQLILREELSIQTLGSTSFICANTLLSFS* >Brasy1G173800.2.p pacid=40055033 transcript=Brasy1G173800.2 locus=Brasy1G173800 ID=Brasy1G173800.2.v1.1 annot-version=v1.1 MCVASRSHPAYLVSFPLSTSSSPVHGFSVTSTNPSWVFFSCAQLILREELSIQTLGSTSFICANTLLSFS* >Brasy1G378100.1.p pacid=40055034 transcript=Brasy1G378100.1 locus=Brasy1G378100 ID=Brasy1G378100.1.v1.1 annot-version=v1.1 MHKYSCLLWHRFRNRAILPSLTANHLLVVNFGTHPPPTRSRKHAGRDIHDAPRVLLLSENHGMHNGKVQFVNTSSNPTLPDIIDLRDISACYSMNKFKKENKFMLLVEMRRRGISADVSILASAISFCAVKQSIRGGGQLHALLVKVGYDLAVLSGTSLISLYARCYQLENAYQVFQNMPVRNVVSWTALISGYAQDNQVEPCLQVFQLMRQSACRPNDITFATIFSVCTNHALLGLGRSVHGLELRMGFDLCVHILNALISMYVKCGSIDEAQFIFQSIACKDLVSWNSMIFGYSQYGLAEHCLKLLKEMEKEHIVPDVISFLGILSSCRHACLVEEGRRCFKAMMKLGIEPELDHYSCMVDLLGRAGLLDEACDLIHTMSMTPNAVIWGSLLSACRMYGNIPIGIHAAEHRLKLEPSCAATHVQLANLYASIDCWSDVARVRKMMKERGLKTNIGCSWIEIGSKVYTFTAENRSKSQVNNVLSVLDCLQSHMEYKYDDHVLEFDDPEHFKV* >Brasy1G391600.1.p pacid=40055035 transcript=Brasy1G391600.1 locus=Brasy1G391600 ID=Brasy1G391600.1.v1.1 annot-version=v1.1 MEPHAAAAAAGGGGVGGGGEGGGEGGRGADPDTGLEGPMWGMGLGGDGGGGGEGDGARLPERPDEADCIYYLRTGACGFGDRCRYNHPRDRGGAEFGGGAKNAVALDYPERLGQPVCEYYMKTGTCKFGSNCKYHHPKQDGSVLPVMLNNSGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGFPVTPGIYPPLQSSVPSPHPYASLANWQMGRPPVVPGSYMPGSYAPMMLSSGMIPLQGWSPYPAAVNPVASGGPQQTVQAGPVYGIGHHGSSSTIAYGGPYMPYSSSTIQSSHSQQEHGFPERPGQPECQYYMRTGDCKFGATCKYHHPRDWSSPKSNYVFSPFCLPLRPGAQPCSYYAQNGYCRYGVACKYDHPMGTLGYSSSPLPLSDMPIAPYPLGFSIATLAPSSSSPDLRQEYISAKDPSVNQVGSQVAASEPVGSMLPKGVFQPDTMMRAQITTAGGSSSPGAGR* >Brasy1G391600.2.p pacid=40055036 transcript=Brasy1G391600.2 locus=Brasy1G391600 ID=Brasy1G391600.2.v1.1 annot-version=v1.1 MEPHAAAAAAGGGGVGGGGEGGGEGGRGADPDTGLEGPMWGMGLGGDGGGGGEGDGARLPERPDEADCIYYLRTGACGFGDRCRYNHPRDRGGAEFGGGAKNAVALDYPERLGQPVCEYYMKTGTCKFGSNCKYHHPKQDGSVLPVMLNNSGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGFPVTPGIYPPLQSSVPSPHPYASLANWQMGRPPVVPGSYMPGSYAPMMLSSGMIPLQGWSPYPAAVNPVASGGPQQTVQAGPVYGIGHHGSSSTIAYGGPYMPYSSSTIQSSHSQQEHGFPERPGQPECQYYMRTGDCKFGATCKYHHPRDWSSPKSNYVFSPFCLPLRPGAQPCSYYAQNGYCRYGVACKYDHPMAKDPSVNQVGSQVAASEPVGSMLPKGVFQPDTMMRAQITTAGGSSSPGAGR* >Brasy1G391600.3.p pacid=40055037 transcript=Brasy1G391600.3 locus=Brasy1G391600 ID=Brasy1G391600.3.v1.1 annot-version=v1.1 MWGMGLGGDGGGGGEGDGARLPERPDEADCIYYLRTGACGFGDRCRYNHPRDRGGAEFGGGAKNAVALDYPERLGQPVCEYYMKTGTCKFGSNCKYHHPKQDGSVLPVMLNNSGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGFPVTPGIYPPLQSSVPSPHPYASLANWQMGRPPVVPGSYMPGSYAPMMLSSGMIPLQGWSPYPAAVNPVASGGPQQTVQAGPVYGIGHHGSSSTIAYGGPYMPYSSSTIQSSHSQQEHGFPERPGQPECQYYMRTGDCKFGATCKYHHPRDWSSPKSNYVFSPFCLPLRPGAQPCSYYAQNGYCRYGVACKYDHPMAKDPSVNQVGSQVAASEPVGSMLPKGVFQPDTMMRAQITTAGGSSSPGAGR* >Brasy1G187100.1.p pacid=40055038 transcript=Brasy1G187100.1 locus=Brasy1G187100 ID=Brasy1G187100.1.v1.1 annot-version=v1.1 MHPPPRRLLLPLFLCLLPAVAWALLPPPRFPGPHARRAGVRDGVEPGGYEFDTRYFRQRLDHFSFSGGEEKSFQQRYLVGRAGGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEHRYYGESMPFGSKEKAYNNSRSLAYLTAEQAIADYAVLLTDLKRNLSSESSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPHTIFYDIVSNDFKRESLSCFQTIKDSWKELDEQGNGQDGLLKLSKTFHLCQTLKTTGGLSDWLNSAYGFLAMVDYPMSSDFLMPLPSNPIKEVCRNIDKQPDGTSTLERIYAGVNIYYNYTGTVDCFDLDDDPHGMGGWQWQACTEMVMPMSSSESLSMFPPYEFDYASYADDCVKSFGVRPSPRWITTEFGGHNISAVLEKFGSNIIFFNGLLDPWSGGGVLKNISGSVVAIVAPLGAHHIDLRPATKEDPDWLVSLRESELGIISGWLSDHYGASGALFRRAPAKDSAAS* >Brasy1G500600.1.p pacid=40055039 transcript=Brasy1G500600.1 locus=Brasy1G500600 ID=Brasy1G500600.1.v1.1 annot-version=v1.1 MPPRTSDHLEALSLQIERKLHKALTSNSQRLQLLQQLFADIALKVDDRARDAILSRSDEGIPPVDEREDSHLCFYEILANHYVRVPESGRHILELIVQLWSQSFAANIFALLFHRWLFEVPLDGREVSLRYSSALVQGATNVFWIDIQTNTRHFLPLYHHVFLHHHEHTLFLEQYLLEEVALVPDQLSKISLQAGRNLFCLLARFMLFYDQDHLLASFLQHFPAFPNSFLVGGPADYFVIELTDQLQKLKVEPVLLHYLSRMTILQGWELRMSTSTRLKSCLYSFTSPGGPAYPTRAVRHAAWNTLDFLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCVMTVYYYILNLLVSIWDNMRRPSHERMHRE* >Brasy1G500600.3.p pacid=40055040 transcript=Brasy1G500600.3 locus=Brasy1G500600 ID=Brasy1G500600.3.v1.1 annot-version=v1.1 MPPRTSDHLEALSLQIERKLHKALTSNSQRLQLLQQLFADIALKVDDRARDAILSRSDEGIPPVDEREDSHLCFYEILANHYVRVPESGRHILELIVQLWSQSFAANIFALLFHRWLFEVPLDGREVSLRYSSALVQGATNVFWIDIQTNTRHFLPLYHYLLEEVALVPDQLSKISLQAGRNLFCLLARFMLFYDQDHLLASFLQHFPAFPNSFLVGGPADYFVIELTDQLQKLKVEPVLLHYLSRMTILQGWELRMSTSTRLKSCLYSFTSPGGPAYPTRAVRHAAWNTLDFLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCVMTVYYYILNLLVSIWDNMRRPSHERMHRE* >Brasy1G500600.2.p pacid=40055041 transcript=Brasy1G500600.2 locus=Brasy1G500600 ID=Brasy1G500600.2.v1.1 annot-version=v1.1 MPPRTSDHLEALSLQIERKLHKALTSNSQRLQLLQQLFADIALKVDDRARDAILSRSDEGIPPVDEREDSHLCFYEILANHYVRVPESGRHILELIVQLWSQSFAANIFALLFHRWLFEVPLDGREVSLRYSSALVQGATNVFWIDIQTNTRHFLPLYHYLLEEVALVPDQLSKISLQAGRNLFCLLARFMLFYDQDHLLASFLQHFPAFPNSFLVGGPADYFVIELTDQLQKLKVEPVLLHYLSRMTILQENQLTQAGN* >Brasy1G168500.1.p pacid=40055042 transcript=Brasy1G168500.1 locus=Brasy1G168500 ID=Brasy1G168500.1.v1.1 annot-version=v1.1 MCHSGLPPLPPGCVARRRARVAAAPTPVPQRQRNYYCVCSPTAHRGSFRCRWHRSSYHWGSGRGRAVQ* >Brasy1G159000.1.p pacid=40055043 transcript=Brasy1G159000.1 locus=Brasy1G159000 ID=Brasy1G159000.1.v1.1 annot-version=v1.1 MGNCAVTQHAVSWADDGEWELSPSSAEEDEGVHADRRREQQHMNEVTIRISKRQLQELIDKRGADGGHFSHVWKSRRPAAELLADIMNAGEVHHHLQQHCRVAHWRPALQSIPEAVAVES* >Brasy1G315200.1.p pacid=40055044 transcript=Brasy1G315200.1 locus=Brasy1G315200 ID=Brasy1G315200.1.v1.1 annot-version=v1.1 MSVLIVTSVGDITVDLHTFSCPLTTRNFLKLCKMKYYNGCLFHKVEKDFLAQSGDPTGTGSGGDSVYKFLYGDQARFFGDEIRPELRHSRIGTVAMASAGENCNASQFYITLRADIDYLDDKHTVFGIVAEGLDTLKKMNKAYVDDKKRPFKDIRIKHTYILDDPFSDPPQLAKFILENSPVGKPRDEVAQERLDDGWMPSGETMTPKRLEEMIRSKEAHANAVILESVGDIPDADVKPPDNVLFVAKLNPITRDDDLYTIFSRFGTVESAEIIRDYKTGDSLCYAFVEFETKEACERSRVDMDNCLIDDRRIHVDFSQSVSKLWCQFTNSEPSAKKDGWFRRGRVCDQGVERRMKGPNYVLKDENTQRAGCNNRRSYDMVFHEPDAHQQDRYAASRRKIQKVNGQDRYNDHGNGKQKSRSRNRKDGINQMVSSSAIVISGRQ* >Brasy1G158200.1.p pacid=40055045 transcript=Brasy1G158200.1 locus=Brasy1G158200 ID=Brasy1G158200.1.v1.1 annot-version=v1.1 MLRPPPAPLPTSRASLLPPPKAANPKTDPTPATSTNARVTPMGVAASWPRALGQRFNPGGVAAVVAVAASEPRLALPHVSVQDIRWVDWAELRRVGFRGVVFDKDNTLTAPYAPALWPSLVASFDQCRAAFPPGAIAVYSNSAGLKQYDPNGVEASAIEAVIEGVHVIRHDAKKPAGAAKEIESYFGCSASDLVLVGDRYFTDVIYGNRNGFLTILTEPLSFAGESYIVRKVRKLEAYIISYWYKKGHRPVKHPLLPDVRRIVKFDPHEDSVTS* >Brasy1G158200.2.p pacid=40055046 transcript=Brasy1G158200.2 locus=Brasy1G158200 ID=Brasy1G158200.2.v1.1 annot-version=v1.1 MLRPPPAPLPTSRASLLPPPKAANPKTDPTPATSTNARVTPMGVAASWPRALGQRFNPGGVAAVVAVAASEPRLALPHVSVQDIRWVDWAELRRVGFRGVVFDKDNTLTAPYAPALWPSLVASFDQCRAAFPPGAIAVYSNSAGLKQYDPNGVEASAIEAVIEGVHVIRHDAKKPAGAAKEIESYFGCSASDLVLEWFSYHINRAIEFCR* >Brasy1G307700.1.p pacid=40055047 transcript=Brasy1G307700.1 locus=Brasy1G307700 ID=Brasy1G307700.1.v1.1 annot-version=v1.1 MRLPSVPCPRCAPRRTGPCASCRSPRPSMPPSRLHLGYSTCACVGSWRGTSDVHGLVDPLHSSVIRPSFNLTLQGESHENDSIQDCWKKVRLTVFYGNAIVVWGPVPDFCMAKSGWVEVNATMSHTDVMLADKLRRSLASELDARKLESEVEIRMTQSTRDHTASRQNFRVFSVKPGHGYVLTELFQLGKAK* >Brasy1G176000.1.p pacid=40055048 transcript=Brasy1G176000.1 locus=Brasy1G176000 ID=Brasy1G176000.1.v1.1 annot-version=v1.1 MSTSGKTSWPELFAKPVTPAVMTIHGERPDLIVVVLPVGTAASPPGFQSDRVCVFFDAQDKLGRVAATPVVG* >Brasy1G318700.1.p pacid=40055049 transcript=Brasy1G318700.1 locus=Brasy1G318700 ID=Brasy1G318700.1.v1.1 annot-version=v1.1 MPLPRNRGGRELLLLVAGGNWLLLASWRSQTAAGLVVVPVGEFSGGGVGLPQSSGGGELRGVGGAEGAAGGGVAQERARLWKEPATALQEGSGRRRRHGLEEGDETRSVPIGRTSWSIYFLPPRLPFSSSSRPHVTSAALTPPRPPAAALKRPPPPSRGLLRPPTQRLSATPTSRGCCLLRRRPYLPRPPPSPPTPPCRPAPPASPLTRPPPPPASAAASRVTQRDRNRCSASLTDVSSSPAPRGLLAPPTPTSSHWTAAG* >Brasy1G413700.1.p pacid=40055050 transcript=Brasy1G413700.1 locus=Brasy1G413700 ID=Brasy1G413700.1.v1.1 annot-version=v1.1 MGDNMKPVALLLLMLNFCMYTIVAIIGGWSVNFAIDHGFIIGPGLRLPAHFHPIFFPIGNFATGFFVLFALLAAVVGAASCVVGFTHLRFWSYHSMQPAASLGLVAWALTVLAMGLACQEISFDRRNAKLGTMEAFTIVLSVTQLVYVLAIQAGSHEPVPVERHNALR* >Brasy1G360900.1.p pacid=40055051 transcript=Brasy1G360900.1 locus=Brasy1G360900 ID=Brasy1G360900.1.v1.1 annot-version=v1.1 MQSSGDDVLCWKHTSSGICSSKSAYRITLQDVVTGISNGPIICPQDLSLLRIIWSSKILIPRVQLFAWRLIRKALPTAPWYIRSETIAANHQSISNNLKMPLIRGRSRLRWVSQLINQETKNWNENLIREIMHPIDAEDILKIRLPCFEGDDFVAWLPEKSGIFTVKSAYNLAVERKFNSQPIGSSLNPMGDRSLWNLIWKCNVPPKVCVFAWKLANGALAVQQNRSRRFKKELPQCTICGMDEEDGHHAVLNCTKSKALRKVMNKHWLLPKESLLAENGRDWVLVLLDQVSEQERANLLLLWWRCWDLRNDIIFSKGDKSVTDSERFLLSYAVDVQHPENICKLTDTGKGKFEGKQPAVKTPAGVSTWTPPPTGTLLIQTDASFLKEDNSGAECRVVCSAWGWITSVNNVEEAEAFACREGLRLAGNLPPGQLVLETDSMKVVNDLNALGKCRAGHFDVIEEIKLAAAPRNIAFAKASRDQIRVAHELAKFGRYMKTNGFLVNAVPPDIWNIVMNICNNSALNR* >Brasy1G449100.1.p pacid=40055052 transcript=Brasy1G449100.1 locus=Brasy1G449100 ID=Brasy1G449100.1.v1.1 annot-version=v1.1 MASQIVEAHRAAAAIVRGDDAACRKKSVEALEELGLPTGILPLEDLEEFGYNREAGFMWMVQRKKKEHTFKKVKQTVSYATEVTAFVEPGKLKKIVGVKTKELFIWLSVVEVYVEAAAPGKVTFKTGAAGLSESFDAAAFALGE* >Brasy1G481200.1.p pacid=40055053 transcript=Brasy1G481200.1 locus=Brasy1G481200 ID=Brasy1G481200.1.v1.1 annot-version=v1.1 MSSKESRLMELSSVGLADWANLQTDLVGLIIKKLGIPDFVKFRAVCTSWNRVCRDVSNQPRVDPWLMLPTDLLDGAKFFSIPEKKNQTIRIPSTATIFGSMWIPVGSSHGWIIFFSPTQGTIQLVNPISSAQIQLPPIGTRRFSKAILLDMSESNFTVAVIYGNHKGYKVAHKGSKSWSFVDSKHILVDVFKHRRQLYTIDIYGTVEVWAEPPRAWPDEDAPQVDPHVHHNLVHYPQQKFNCLVETPAGDLIRVKRQSQNKFALWVLDKETLSFEKTTDIGEFGLFVSHYSSFCFPGKDHPNLKVNCIYFIDGYNNLCAFNLEHGTKELVEALETARANQPAPHLHQRQPLQEPFLWFTPSLK* >Brasy1G239400.1.p pacid=40055054 transcript=Brasy1G239400.1 locus=Brasy1G239400 ID=Brasy1G239400.1.v1.1 annot-version=v1.1 MAAISQLQRIAGPRHAGAPWPGRRAAAVAVASAAGKTPSGRLEGASEELRAAAAQSLDWAPARRRVRGAFVPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGVAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G239400.2.p pacid=40055055 transcript=Brasy1G239400.2 locus=Brasy1G239400 ID=Brasy1G239400.2.v1.1 annot-version=v1.1 MAAISQLQRIAGPRHAGAPWPGRRAAAVAVASAAGKTPSGRLEGASEELRAAAAQSLDWAPARRRVRGAFVPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G239400.4.p pacid=40055056 transcript=Brasy1G239400.4 locus=Brasy1G239400 ID=Brasy1G239400.4.v1.1 annot-version=v1.1 MAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGVAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G239400.3.p pacid=40055057 transcript=Brasy1G239400.3 locus=Brasy1G239400 ID=Brasy1G239400.3.v1.1 annot-version=v1.1 MAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGVAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G239400.5.p pacid=40055058 transcript=Brasy1G239400.5 locus=Brasy1G239400 ID=Brasy1G239400.5.v1.1 annot-version=v1.1 MAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G239400.6.p pacid=40055059 transcript=Brasy1G239400.6 locus=Brasy1G239400 ID=Brasy1G239400.6.v1.1 annot-version=v1.1 MDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKDVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYSAISYNDQMRLRTAVELLKATKDIESQLEKVCSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEGGYHAILEGEPDDRISTAINDIISWLDSHC* >Brasy1G417500.1.p pacid=40055060 transcript=Brasy1G417500.1 locus=Brasy1G417500 ID=Brasy1G417500.1.v1.1 annot-version=v1.1 MNALLASPCTGPPLLRPFPSPATRLLPAKTLALGPLRLPRGLRASPPPPRAAAQVAASAFGGLLAPLSALEVGLRSINLAPLRPPIAAAMSAAVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDILIMILRPQPRM* >Brasy1G417500.2.p pacid=40055061 transcript=Brasy1G417500.2 locus=Brasy1G417500 ID=Brasy1G417500.2.v1.1 annot-version=v1.1 MNALLASPCTGPPLLRPFPSPATRLLPAKTLALGPLRLPRGLRASPPPPRAAAQVAASAFGGLLAPLSALEVGLRSINLAPLRPPIAAAMSAAVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDILIMILRPQPRM* >Brasy1G304700.1.p pacid=40055062 transcript=Brasy1G304700.1 locus=Brasy1G304700 ID=Brasy1G304700.1.v1.1 annot-version=v1.1 MDQIMGKVGGSWFNQKAGKEMNNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKDYDLPMGLFPQDVTNYEFNEETKKLTVYIASPCEVGYKDSSVLRFFTCVTGHLDKGKLTEVEGMKTKILIWTKVTAIRTEATKVHISAGMNKARNRDAYEVVRDGVTIDKF* >Brasy1G225500.1.p pacid=40055063 transcript=Brasy1G225500.1 locus=Brasy1G225500 ID=Brasy1G225500.1.v1.1 annot-version=v1.1 MPWRRSWMLSSKKEVLGEWPSFWAKRSLVRCLMMLLSGEMRDSYLNQTWPRLGL* >Brasy1G474900.1.p pacid=40055064 transcript=Brasy1G474900.1 locus=Brasy1G474900 ID=Brasy1G474900.1.v1.1 annot-version=v1.1 MRPAISGARRRKLRSVAAGWLCFFLYLLLLAMSQGGHGEQPVHAKEDQDARPLPVMNGGGRMGEQRLSRVGSRPPCCACERRCGGCAPCTAVQVRAGAGVQCANYEPIRWTCKCGGAVFDP* >Brasy1G216800.1.p pacid=40055065 transcript=Brasy1G216800.1 locus=Brasy1G216800 ID=Brasy1G216800.1.v1.1 annot-version=v1.1 MRREGRQSGWVRVYDRELVDPECKRRAVYAVAEEGPTTTTTMPVVVANGGYIRASRKPTNHSKSAGGRAFGELSGKARASSSKGRRKFAHDEFKTYWLEIADAVDGRFDYLYDFAIDS* >Brasy1G409600.1.p pacid=40055066 transcript=Brasy1G409600.1 locus=Brasy1G409600 ID=Brasy1G409600.1.v1.1 annot-version=v1.1 MAAAAAAAGEGGVRGDNSAGALLRIFVGGLPESVCASDLEGLFAFAGGRVAGVEFVRTNGRSFAYVDFHCPNDKALAKLFSTYNGRKWKGGKLRLEKAKEHYVTRLKREWEQDADAAASKEAALKDNAENRKPKLDKAALEGMKINIYFPKLRKVKALPLKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEEANNEYASVLNAPAYEKEANNEEAPEEDLDDLRMSASASVGQNAAKLKKISKLLKLKTEETALQVRMAQLSSEIAQLSSEIARFEEGEPLLT* >Brasy1G574700.1.p pacid=40055067 transcript=Brasy1G574700.1 locus=Brasy1G574700 ID=Brasy1G574700.1.v1.1 annot-version=v1.1 MAAGRTAYHFQPAKNWQNDPNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVGTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGGDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASGDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVNVSKLDAWELRTAAVN* >Brasy1G574700.2.p pacid=40055068 transcript=Brasy1G574700.2 locus=Brasy1G574700 ID=Brasy1G574700.2.v1.1 annot-version=v1.1 MAAGRTAYHFQPAKNWQNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVGTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGGDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASGDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVNVSKLDAWELRTAAVN* >Brasy1G477600.1.p pacid=40055069 transcript=Brasy1G477600.1 locus=Brasy1G477600 ID=Brasy1G477600.1.v1.1 annot-version=v1.1 MAWSGFRGVAGDSGLELSLGLPAYFSKPAAQPGLENGEEPSGAGEFAPQSNGGGSNGYNKPKPASAAAAPVVGWPPVRSFRRNLASSSSKQSPQSSSQDWDRASKDDADAAKGAAEARGGQNQKGVFVKINMDGVPIGRKIELKAHGGYADLSAAVHTLFRGLLAAQRDPGAGADGELAIGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPASSLTAGSRKRRAAADC* >Brasy1G477600.2.p pacid=40055070 transcript=Brasy1G477600.2 locus=Brasy1G477600 ID=Brasy1G477600.2.v1.1 annot-version=v1.1 MAWSGFRGVAGDSGLELSLGLPAYFSKPAAQPGLENGEEPSGAGEFAPQSNGGGSNGYNKPKPASAAAAPVVGWPPVRSFRRNLASSSSKQSPQSSSQDWDRASKDDADAAKGAAEARGGQNQKGVFVKINMDGVPIGRKIELKAHGGYADLSAAVHTLFRGLLAAQRDPGAGADGELAIGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPASSLTAGSRKRRAAADC* >Brasy1G073100.1.p pacid=40055071 transcript=Brasy1G073100.1 locus=Brasy1G073100 ID=Brasy1G073100.1.v1.1 annot-version=v1.1 MQEEMGHGLRRLITQRTERVEGEIAAFQAIRRPASVGAGEPNPNLTLQGGPTPPNLGPSRRCRAALEEVEESRLRRVDSRARPSPAKHILLARSKGHIGQGID* >Brasy1G470500.1.p pacid=40055072 transcript=Brasy1G470500.1 locus=Brasy1G470500 ID=Brasy1G470500.1.v1.1 annot-version=v1.1 MSATRPDHRRHHPPFLRDLSSPVSSSYRLPPASLRRETQTSTPPPPPPLLSLDDLSRHSPSPQHHTPPQATMTPSPPPPRGGLFSPLRSNGSPGPSAWWSPSVEDKARDSSPVDGVVHHQQSPTTVSGQQSQQQKVALITLPPPREVARPEMPRDSVLSTGRVDEEEWVTVFGFLPGDTNMVLREFEKCGIVLRHVPGPRDANWMHILYQSHHDAQKALAKHGQQLSSVLIIGVKQVDPWQRPYLKDNTDENYQGSVSIPFPSQPVAPSGFATRNALAPLPSNSMQNGSCNESSHGASGAIASPAKSVLSKVMDLMFGL* >Brasy1G504800.1.p pacid=40055073 transcript=Brasy1G504800.1 locus=Brasy1G504800 ID=Brasy1G504800.1.v1.1 annot-version=v1.1 MATQLVAIIFFLFGLRLTAAGGASPLIASTCAAMTKATVPTSYDYCVHTLSSHPSTASATDARGLAAAAANLTVASAASTSRVLDRLVVASQDCLDGYEAMRGSIASAVDDLLHGVAANDVDLLGKLRVSFLQPDICDLSYMESNTYKNPMEAENGENGRLSRLAYGITEVLRT* >Brasy1G037000.1.p pacid=40055074 transcript=Brasy1G037000.1 locus=Brasy1G037000 ID=Brasy1G037000.1.v1.1 annot-version=v1.1 MAMAQGSLVPAAAVLHIHMALLLGLAALASIPARAASVGVCYGMSGNNLPPASTVVGMLRDNGFTSVRLYAPDAAALAALAGTGIGVLVGAPNDVVPSLSTSASFAAAWVRDNIEAHPYVSFKYLAVGNEVSGSDTQHLVPAMENVHAALAAAGLGDGRVLVTTAISQATIAVHTPPSAGAFAEDAKPFLLPVLQFLARTGAPLLANLYPYFAYTYRAAGDIDVSFALFTGDQGTVVWDGEYAYRNMFDATVDAVHAAMEKLLGGEEFYGGVNLVVSETGWPSAGGEAASVENARTYNQNLVEHVLKGTPRRPWKVETYLFAMFNENLKEGGVEQNWGLFYPSTDRVYPIDFGA* >Brasy1G037200.1.p pacid=40055075 transcript=Brasy1G037200.1 locus=Brasy1G037200 ID=Brasy1G037200.1.v1.1 annot-version=v1.1 MAFGVLTDWNRGLYRSGPSTEVIKSYRTAITLVLSTIWRHRNYAVFNGASPSVRTVLLNIREEATRWENTGLLRSGPSFAAALASGLLDATYLFFSSCFSHLVAL* >Brasy1G453800.1.p pacid=40055076 transcript=Brasy1G453800.1 locus=Brasy1G453800 ID=Brasy1G453800.1.v1.1 annot-version=v1.1 MAAAARKHVERIRRERYYIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQITFSNFDGYLSVFLLKTVGKIPTVCKCIYIIAREKGGGGGEQKKEEKGYKRSLGHKGDKQARRGGRNEKYVELSADYMSAGCFAGISTSKDQVLHFLKTHLQASDVPFIHPPDASFPTVSSPLTVDNAILLLQWIRNLKSKGVPLPATFLTCVKEGSWLRTSVGYKPPNESFLSSSEWGNLLQNESSFVDIPMIDQHFYQNKLHEYKEELKAIGVRFEFGEASAYIGSRLMSMAASNVLTRENVYSLLQLIRFLREKVLSPSELIKSVKDGQWMKSTLGYRYPSSCIMYDSSWAVASCISNQPFLDVKFYGEAILTYKEELKLLGILVGFENNEKHYKLVIDNFKFSSSSITSEATLLILKCIRYASPCDGFLRKLKDLKWLKTNMGFRAPNESFLVDPKWECLLIVFNGVPLVDSGFYGSKISTYKEELMKTGLIIRFEEASKAIANIFKQMVLKSSLTKASVLALLSSYRQLRTHSPVPVELFNCMRNEKWLPTSLGFRSPSEAILFDEDWRSLSPIANLPFINDDGLGKGIHGYKVELAALGVTVEVKAGARFVIYGLNIPGDSSDVSAATILSLLGSIQNWLACATNFPKDFHEKITSCRWLRTTLGYRCPNECILFDPKNLFIYMEDGPFIDVSFYGSELASFKDALAVIGVTVDVRCGHGLVAQHLRSLKDTATISRIYMYLKECNWEPMNKSDWIWIPNERASWIPNERASGEWVGPLGCVLHDRNNLFSLQLHVLDKYYDGKLLDFFSSVFGVRHGPSAEDHCKLWSKWESLVSELSIADCSAFWQFISMNWSKNTEKLLSACVKVPVCSDGKIALLRQEDVFIPDDLLLKDLFGKPSVSRARLNSIYASIGVRSISKAVEKNGTFTVRKVRSRTVHQCKVINIGLLQIVLAFLADPALGISANDRHAMVSCLVNVSVLETDKPIMVGYSVKLSSQKAVDVKASRMIRWERENSKLYLQRTHGTAGYKEKLEFATNFADEISQGLLFEMADKIPLLAEIIKIGSLMDIQDAAIEYLLKSKNLQLFPEDEAFLDTTSLGGSKSC* >Brasy1G569900.1.p pacid=40055077 transcript=Brasy1G569900.1 locus=Brasy1G569900 ID=Brasy1G569900.1.v1.1 annot-version=v1.1 MEVPGKAGYPGRRDLGKPHPARGASPSRADVGYITPPGGSNPGKLLCVTLLVLATPAIAGAIPTALHRTTEGCPASPVPGHRAPTHPQSQYQVPKQGGGREAEPMPVRGGGREAHPVRRGEDGRNGGRAATGEEQEPSTATGEEEAPGGRGTQGQGRRPAGVTAEARKGGRGRDLSPRRRPPRHARRQGWGGGPQGRWPRPRPQPTAAAETPARRGGGGSPDPSPWRRLRPQPTASRTGTGRPASPWRRGRESLES* >Brasy1G156800.1.p pacid=40055078 transcript=Brasy1G156800.1 locus=Brasy1G156800 ID=Brasy1G156800.1.v1.1 annot-version=v1.1 MSQERTLEEVVSPFLLQLSKARVLSLTLDDDSLLLDIKLLFENIEREAHGVEDILKSVSRWENEIISDFGAIARHLDDIIEEDSQQQFIHSKLQIVNTEMSNLKDRMKFPLHVPLIKPAAPALLSSSLPSKSLSANASEQWKKLEIERKILECSMISNLQLSYYNLDIQLKLCLLCFSIFPENSIISKRAMIHWWIGEGLVEATKSQTAEDIGKDCFERLIAVEMIEPVRHKRIGSVNQCKLHPWIRRMLITVARQERFVEFDSDGNATWGFSGTHRACLVGEHIQVTETGPLRNQSNPDYLLTIFNVNEQYLQFNKNWFMDLRKIEVLQLGRWHSLYRHHIEVDSTEYLEGLQSSKQLKYLCLRGISRVTELPASVGALTNLRILDLHACHNLERLTESITSLQLLTHLDVSECYLLEGMPRGIGLLAELQVLKGFVIGGSIGNSNCRVAELVRLDKLKKLSIYIGSKVLVTEDELNEVENIKALRVLTITWAVLLSKKGAGQQDSAATTLLTSLSLPPHLEKLDLRCFPGVDMPVWLIPSRLLRLRRLYFTGGMLHTFGEKNISALWNVEILRLKFLNDLVLEWTKVHEMFPKLTFLEVFQCSRIKSFPCDKDGVWMSCDTQETGE* >Brasy1G426600.1.p pacid=40055079 transcript=Brasy1G426600.1 locus=Brasy1G426600 ID=Brasy1G426600.1.v1.1 annot-version=v1.1 MRVAHALCDGAGATRFLAAAARFARGELVAPPVWQRRELLAPRNPPRVVVPSFDAVLAPHDGVGPYGGHGHEQQKLLKICFHVSDARLETLRARLSAEAAGVRLTTFEVLAAFIWCARVKANGTSPDETVKMVYSMNTSKLLDPPLPEGYWGNVCVPVYVSLAAGDLLGRPLAETATLVRKSKREVDDEYVRSYVDFLELRRREGVTATAGRGGVSAFTDWRRLGHGEVDFGWGGPDAVLPLSWRILGSEEPCFLVPYGAADERRRRGFKVFAAVRETAVARFREELEEILLLQQEERGSYGTAGKL* >Brasy1G175800.1.p pacid=40055080 transcript=Brasy1G175800.1 locus=Brasy1G175800 ID=Brasy1G175800.1.v1.1 annot-version=v1.1 MSSSGKASWPELLGKPVRPAMLKIKNERPELSIVELPEGGGAAPPGFRSDRVLVFYHTRDELGRRVAAIPVIG* >Brasy1G336700.1.p pacid=40055081 transcript=Brasy1G336700.1 locus=Brasy1G336700 ID=Brasy1G336700.1.v1.1 annot-version=v1.1 MLPQFILDSSKKQKKKKISRHSRSGTPIPIRHRHSTPNLPLHRPAADMHDTGLSARAAGASSSSSSSPYAGSSPSSAATNAGDDDDESKTRKAHPAFVAAAYARLHSSHRAAVSLLLLIAVAATAFLAGRAHPRADCPPPRIDARFLALQDAAAASDFGSLGVPWCRSKTGKIVEWTSKDLLNGLEEFVPIYETRPIKNNMNGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISITPRHPEKYLRKGPAYVDGNCTYLSGREGFIDFGSVDWEKLLRKHGISDPSRVLVFFDDHQSELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQSHIRGGGHSCFWDSDEARLRSKRKKFWEKAVEIDELCGKDDAWWGIRGYKRDNFNRSNEAISHKEHFQNSRLLESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRYGLFQRIGLARLDASVFNGYTQMVYVQISGTVLS* >Brasy1G336700.2.p pacid=40055082 transcript=Brasy1G336700.2 locus=Brasy1G336700 ID=Brasy1G336700.2.v1.1 annot-version=v1.1 MLPQFILDSSKKQKKKKISRHSRSGTPIPIRHRHSTPNLPLHRPAADMHDTGLSARAAGASSSSSSSPYAGSSPSSAATNAGDDDDESKTRKAHPAFVAAAYARLHSSHRAAVSLLLLIAVAATAFLAGRAHPRADCPPPRIDARFLALQDAAAASDFGSLGVPWCRSKTGKIVEWTSKDLLNGLEEFVPIYETRPIKNNMNGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISITPRHPEKYLRKGPAYVDGNCTYLSGREGFIDFGSVDWEKLLRKHGISDPSRVLVFFDDHQSELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQSHIRGTISIKHCFMVVDIAAFGIVMKHA* >Brasy1G064500.1.p pacid=40055083 transcript=Brasy1G064500.1 locus=Brasy1G064500 ID=Brasy1G064500.1.v1.1 annot-version=v1.1 MEVGIGSSSACSFGSCDNQEVGERNCRSDVPQCADEASLEEWSEVGAVSVEESGLSASSRRLDLKMYLILAKNLLLKIHHFFQYLLLFCLVMNEQAGTILHTAGAFDDLYNLQGPDAPIFDETPQCKGKDGMNFGETPVEGQNVEAVPDQFMPLHDPSDSSIQLGHLKVYQRRNVPKKYSTLLNKIDGEEASATALTEGASTLY* >Brasy1G124200.1.p pacid=40055084 transcript=Brasy1G124200.1 locus=Brasy1G124200 ID=Brasy1G124200.1.v1.1 annot-version=v1.1 MEFKGRCLCFLVMLLVVAGRLGTARGAGECGRVPADRMALKLAPCAAATQNPRSAVSPGCCAQVRGIGRNPKCLCAVMLSSTARQAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP* >Brasy1G517100.1.p pacid=40055085 transcript=Brasy1G517100.1 locus=Brasy1G517100 ID=Brasy1G517100.1.v1.1 annot-version=v1.1 MEGLILEWCDLPLDGTAVLFGQEAKRPDVRITLPPSRFAKEPWSVGSFPPGLSPTASLAIVDWLSRAPASVLARNWVMHPSPRLLKLDGQYVRQQIVGSRPMSHDMCALLFRRLGQIDQFSSKESKGLRWRKFIEPDFSLLAVANIDLATVKSIHEQFQGDIQHFNPISCRMFVVPAQLEEGWCTYFLDMSEKCVHVMDPLAVPTGFTNRQVTTHQYVADKIITAFFHCVRTFYDNWSCGTDGWTRRFPVITGEKFKRCLLFSFTKKRP* >Brasy1G181200.1.p pacid=40055086 transcript=Brasy1G181200.1 locus=Brasy1G181200 ID=Brasy1G181200.1.v1.1 annot-version=v1.1 MAEEAKHLETGRADRPVWLMKCPTIVSRVWQEASAASAAGGPKPNPNPVVAKVILSLDPLSSDEHPAQFKMEMAQTDNGNTPKSYSLNMLKDFVPMSVFSESNQGKLACEGKVEYKFDMEPHRENLSDYAKLCRERTKKSMIKTRKVHVLEKDTGMGMRPLLHIISVTPGLKDKKKSIPTKVADMKRTRRDRGELEIILFKLFERQPNWSLKHLMQETDQPEQFLKEIMNDLCVYNKRGPNQGTHELKPEYKKSAEDTSTA* >Brasy1G287900.1.p pacid=40055087 transcript=Brasy1G287900.1 locus=Brasy1G287900 ID=Brasy1G287900.1.v1.1 annot-version=v1.1 MEVRPSCQGDDGEHGIRLPRPRAGHDHHGSGRPPICGPAPTNRRIRPPSVWPLLDLEGSRSPAWKGSRRSSSGREPPSPSRRLRAPAADRSARPSLAPDAGRSPRCPRAGSGEVAVGSSLL* >Brasy1G404400.1.p pacid=40055088 transcript=Brasy1G404400.1 locus=Brasy1G404400 ID=Brasy1G404400.1.v1.1 annot-version=v1.1 MASVEVRSVRKSAALRARTMPTKLQPVRSMPLDYRYSPTAAASAGVGGKPAANGLGRRAAAAPVEEGEVLGVEGDADSPYSSKAGTTEEEEGGGGGGEVDSASSAAATARRSLPAAAGSPSQRDTRWGDTSSYGAKQKHRVFCQLPNGDWALCTVLTTSGDESVLKVSEGKVVRSKTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDMIYTKAGPVLVAVNPFKKVPLYGNEYIYSYKNKTMDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPTSLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFHTVTEAMNIVHISKEDQDNVFAMVSAVLWLGDVSFTVIDDENHVEIVIDEAAETVARLLGCSIEDLNLAFSKRHMKVNNENIVQKLTLTQAMDTRDALAKALYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQDCLNLFEKRPLGLLSLLDEESTFPNATDLTFANKLKQHLDTNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSIPQIFASKMLAQSDNLESVPYRPNAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPSIYGQELVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLENTRNRTLHGVLRVQSCFRGHQARRHARERIRGVLALQSFIRGENARQSYSSLLRKHRAATVLQRNLRGWLARRYFIKIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILRAEATVREKDEENEMLQQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVEQSWESNGNHVGGGSQLVPRITGREMNASISVIGRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFSSRIRETKVILNKLASGGNESSPNSAKRKWWGRLNTSKFS* >Brasy1G354200.1.p pacid=40055089 transcript=Brasy1G354200.1 locus=Brasy1G354200 ID=Brasy1G354200.1.v1.1 annot-version=v1.1 MEDLYSIHPGISRVGGGAASEASGVVLGGPSPSDLTELMKAQIASHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERRAGAGAIGVDPELDEFMESYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGGSSPAATATHSDEMVGSSDDEQCSGETDGLDIGQEHSSRIADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSGTTLYFDTGTIGP* >Brasy1G212100.1.p pacid=40055090 transcript=Brasy1G212100.1 locus=Brasy1G212100 ID=Brasy1G212100.1.v1.1 annot-version=v1.1 MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLTKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPPAQKEGLAAIL* >Brasy1G325700.1.p pacid=40055091 transcript=Brasy1G325700.1 locus=Brasy1G325700 ID=Brasy1G325700.1.v1.1 annot-version=v1.1 MRVLNTDPFCPVTTCGSEQVAASKMEFFLSGQLAGRHADCRLQWRAARGLARQHQEMIDLQPNVHISFFFCS* >Brasy1G452600.1.p pacid=40055092 transcript=Brasy1G452600.1 locus=Brasy1G452600 ID=Brasy1G452600.1.v1.1 annot-version=v1.1 MEVAAGAMRPLLSKLGQLLVDEFNLEKRVRKGVKSLETELALMHTTLLKVGEVPPDQLDMDVRVWVGKVRDLSYDMEDIVDTFMVQVEDGREKEQPTNMKNRVKKLLKKTIKLFSKGKALRQISDAIQEAQDLAKELSDLRKRYKLMMHNNTGTASTGANIDPRLLDMYKDVTELVGIEKEKDKLIQSLTEGDYRSKNLKTISIVGFGGLGKTTLAKAVYNKIKVQFDCGAFVSVSRTPDVKRILKDILYELDKDKFQNIHITTRAENLLIDELREFLEDKRYLIIIDDIWDVKTWGIIKCALCRNSLGSRIITTTRNVSVSEACHSSGDDIIHRMKPLSTEESQRLFYKRIFPSETGCPHELQQVSRGILKKCGGVPLAIITVASLLSSNQNRMSKDHWCHVLKSIGRGITEDAIVEDMKRILSFSYYDLPSHLKTCLLYLSIFPEDYKIERQRLIWRWIAEGFIHHGKQGKGLFEVGESYFNELVNRSMLQPADMNYEGKAYFCRVHDMVLDLICSLSREENFVAIWDANGQSIHDSQSNFRRLSLQNISMAELGTPQLGTMNMSLVRSFSLFMIDINPMPSLSCFQVLRVLDLEGCHSVGRKDKIYLRHLGSVLHLKYLGLNRTQVSELPIEIGNLQILQTLDIRGTDVRELPSSVFQLRKLMRLCINSEIKLPAVSLGKLVSLQELSTLDVNNFSGDELKELGSLTELRFLKISFSCKMDENQDKALAESISKMQKLQSLEFAGLGFTNLIPVRRVPRRTLRSSDEELFSTMPSSSLLFLSYVHISVDQVRGYDIVTLGMLPALRFLYLEAHRSMEEPQELERMFVFTTDSAFPCVRDCTFLGFQTLPCMFPRGTMPMLRSFHFNFLARQIFSYGFELSMSHLPSIQQVTADVWCHGANAGEVKFAETEFRRAAADHPNLPTCRINRYGIDRYLERQLAAVRL* >Brasy1G534200.1.p pacid=40055093 transcript=Brasy1G534200.1 locus=Brasy1G534200 ID=Brasy1G534200.1.v1.1 annot-version=v1.1 MAAAAQYSLLFREETAWYNEIFLSAVVPGDWWRALPHPLQSWLRNGVGAYLIYFLTGFLWCFVIYYWKRHAYIPKDSIPTLEAMKKQIIVASKAMPFYSALPTISEYMIESGWTRCFFHISEIGWPMYLVYVALYLTFVEFGIYWMHRELHDIKPLYKHLHATHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALFLVPTHFRTHIALLFLEAVWTTNIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTVWMDWLFGTLREPEDIFKKD* >Brasy1G516500.1.p pacid=40055094 transcript=Brasy1G516500.1 locus=Brasy1G516500 ID=Brasy1G516500.1.v1.1 annot-version=v1.1 MVEEDGVWTPKSVAFALRPGCYLTILFFLFRLPQRRGIPDVGIRSRCAAFVFDRFFSFIFQEGIILHFLGSKASISQRPLGRSSSVQN* >Brasy1G339400.1.p pacid=40055095 transcript=Brasy1G339400.1 locus=Brasy1G339400 ID=Brasy1G339400.1.v1.1 annot-version=v1.1 MEVAATVSTLVPAPAPTFLLPVSTAAFCPSSARSLTTPSTRRPARLLSLARRAPVVASLGVSHDTGVTMPGTGIVGQNDLLIVGPGVLGRLVAEKWLKEYPGCKIFGQTASTDHHSELTNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPEDLRVATSNWSGEGSFLFTSSTALYDCSDNKLCNEDCPSVPIGRCPRTDVLLKAENVVLETGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKGPRGRIFLGCDNKPLSRQEIMDSVNRSGKFETVFQGFTGTDGPLGKRMENSKTRADIGWEPKYPSFTEFLGVNN* >Brasy1G339400.3.p pacid=40055096 transcript=Brasy1G339400.3 locus=Brasy1G339400 ID=Brasy1G339400.3.v1.1 annot-version=v1.1 MPGTGIVGQNDLLIVGPGVLGRLVAEKWLKEYPGCKIFGQTASTDHHSELTNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPEDLRVATSNWSGEGSFLFTSSTALYDCSDNKLCNEDCPSVPIGRCPRTDVLLKAENVVLETGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKGPRGRIFLGCDNKPLSRQEIMDSVNRSGKFETVFQGFTGTDGPLGKRMENSKTRADIGWEPKYPSFTEFLGVNN* >Brasy1G339400.2.p pacid=40055097 transcript=Brasy1G339400.2 locus=Brasy1G339400 ID=Brasy1G339400.2.v1.1 annot-version=v1.1 MPGTGIVGQNDLLIVGPGVLGRLVAEKWLKEYPGCKIFGQTASTDHHSELTNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPEDLRVATSNWSGEGSFLFTSSTALYDCSDNKLCNEDCPSVPIGRCPRTDVLLKAENVVLETGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKGPRGRIFLGCDNKPLSRQEIMDSVNRSGKFETVFQGFTGTDGPLGKRMENSKTRADIGWEPKYPSFTEFLGVNN* >Brasy1G339400.4.p pacid=40055098 transcript=Brasy1G339400.4 locus=Brasy1G339400 ID=Brasy1G339400.4.v1.1 annot-version=v1.1 MPGTGIVGQNDLLIVGPGVLGRLVAEKWLKEYPGCKIFGQTASTDHHSELTNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPEDLRVATSNWSGEGSFLFTSSTALYDCSDNKLCNEDCPSVPIGRCPRTDVLLKAENVVLETGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKGPRGRIFLGCDNKPLSRQEIMDSVNRSGKFETVFQGFTGTDGPLGKRMENSKTRADIGWEPKYPSFTEFLGVNN* >Brasy1G016600.1.p pacid=40055099 transcript=Brasy1G016600.1 locus=Brasy1G016600 ID=Brasy1G016600.1.v1.1 annot-version=v1.1 MEEEKEDGCSNPARSFISEGRITRIKLGIASNHEIVKAQPLNDKLLPWKKDSGSGEQEKPLPVGKLMHPSQLLGNAALGLPLQFGGCDSCGATNVEECEGHFGFIELPTPIYHPSHVAELRKMLNMICFSCLQFKTCKKSAGKESDFTSCSHCQDLPPLRVAEVKKPNGALSLELRAPCKKELEEGFWSFLDQFGFRTKGSSHSRRLLPKEVQNILIKISGRTRRQLAVRGHIPQDGFVMSYLSVPPNCLRSFNGQDDEIICSYDTSTNLLRKVLRKVHDINSSRVGSPTFQAHEVDAADLQVAIADYIKPTGTTKGPQAGTFTSQPAAKQWQQKMKSLFISKSSSFSCRGVITGDPYIPVNVVGVPDEVARRMSVQERVTDHNIAWLQGMMDKGLCLTYEDVNSITYSLDVGKANKKQTILKVGETVSRRTLDGDIVFINRPPSTDKHSVQAMYVHVHTDHTIKINPVICSPLGADFDGDCVHIFFPRSFSARAEATELFAVEKQLLCSHNGKLNFQLKNDCLLSVNKMSDRKYSRREASQLRNAMCAAGMTPQKKLPDVPNWTFTQILETLLPEEANGLVRDLVTGTVTISSVLSMKNPREAIEFLNLLQTLLMESLFADGFSMSLTDFNVPNPIPKTTQNRSLELDKFRNPIMDFIASFSDLRFLVDPKSDSAMNKVVEQIGFLGRQLECNGRLYSRSLVEDCLSNCPSSTNGCHPLEAHGFVRSSFYHGLNPYEDLLHSISVREKIIRASKGLVEPGSLFKNMMAILRDVIACYDGTIRYSCGNSVVKFDSPNSSSSVIPGDPVGILAATAVANAAYKAVLDPNQNNMTSWDSMKEVLLTRASSRTHENDRKIILYVSECCCGKQFCMERAALAIQACLKRIKVEDCATEFSIQYQKQTIQATHCLVGHIHLDKKKLNETNVSVEEILQKCQETICKRGKKKGQVNQLLKRIALISSECLCYQDGDYEKPFQVSCLQFFLTESVTTQISEPSARVVHLMVNTISPIVLDTIIKGDSRVQEANITWVEPQATCWVQKPDAEQKGELALEIIVEKASIGENGDAWGTAMDACIPVMDLIDTSRSVPYSIQQVRQVHGISGAFGRVTQHLSKAVGMVTKSVFEEHLTTVASSMTCTGNLHGFNIYGYKATFKSLKVQAPFMKATLSRPIQCFEEGSGKFYSDQLDSVVSTCSWGKRAPIGTGSAFEIQLNDGKLSAGNGNLGGYNLYEFLAAVETTGVTEDLAIVPHDSCLYDVDNLQEDEIEEDEMLCLGGNTPISWTDKPKADFLLHDLQGRRTGREQNIRRTNSNWSSVGNWQTYQRRQPKSSWSSDSTQQDGNQNWNNTRANFTGPQSFAITEASSDGGWNRKTGNLGRGGGRGAVWNSEGPRRGGSNSRWKAQRANTTDAPNFAFSGPSNSGGWNTNPGNLGPGCGRGAAWKPNGSRRGGSNSKKGKGQKNSGAGQGVRANFTLVEQQISAQIDPIVKEVRRVIRESRDGVKLSEDDEKFIVKNILEYHPEKEKKMAGRDNYIMVARHQRGSRCLHVVSSEGPPTDFSYQKCLANLIKIHYPDDADSFCEKYFQ* >Brasy1G016600.2.p pacid=40055100 transcript=Brasy1G016600.2 locus=Brasy1G016600 ID=Brasy1G016600.2.v1.1 annot-version=v1.1 MHRIFIPDDHFFNGWDQGHFGFIELPTPIYHPSHVAELRKMLNMICFSCLQFKTCKKSAGKESDFTSCSHCQDLPPLRVAEVKKPNGALSLELRAPCKKELEEGFWSFLDQFGFRTKGSSHSRRLLPKEVQNILIKISGRTRRQLAVRGHIPQDGFVMSYLSVPPNCLRSFNGQDDEIICSYDTSTNLLRKVLRKVHDINSSRVGSPTFQAHEVDAADLQVAIADYIKPTGTTKGPQAGTFTSQPAAKQWQQKMKSLFISKSSSFSCRGVITGDPYIPVNVVGVPDEVARRMSVQERVTDHNIAWLQGMMDKGLCLTYEDVNSITYSLDVGKANKKQTILKVGETVSRRTLDGDIVFINRPPSTDKHSVQAMYVHVHTDHTIKINPVICSPLGADFDGDCVHIFFPRSFSARAEATELFAVEKQLLCSHNGKLNFQLKNDCLLSVNKMSDRKYSRREASQLRNAMCAAGMTPQKKLPDVPNWTFTQILETLLPEEANGLVRDLVTGTVTISSVLSMKNPREAIEFLNLLQTLLMESLFADGFSMSLTDFNVPNPIPKTTQNRSLELDKFRNPIMDFIASFSDLRFLVDPKSDSAMNKVVEQIGFLGRQLECNGRLYSRSLVEDCLSNCPSSTNGCHPLEAHGFVRSSFYHGLNPYEDLLHSISVREKIIRASKGLVEPGSLFKNMMAILRDVIACYDGTIRYSCGNSVVKFDSPNSSSSVIPGDPVGILAATAVANAAYKAVLDPNQNNMTSWDSMKEVLLTRASSRTHENDRKIILYVSECCCGKQFCMERAALAIQACLKRIKVEDCATEFSIQYQKQTIQATHCLVGHIHLDKKKLNETNVSVEEILQKCQETICKRGKKKGQVNQLLKRIALISSECLCYQDGDYEKPFQVSCLQFFLTESVTTQISEPSARVVHLMVNTISPIVLDTIIKGDSRVQEANITWVEPQATCWVQKPDAEQKGELALEIIVEKASIGENGDAWGTAMDACIPVMDLIDTSRSVPYSIQQVRQVHGISGAFGRVTQHLSKAVGMVTKSVFEEHLTTVASSMTCTGNLHGFNIYGYKATFKSLKVQAPFMKATLSRPIQCFEEGSGKFYSDQLDSVVSTCSWGKRAPIGTGSAFEIQLNDGKLSAGNGNLGGYNLYEFLAAVETTGVTEDLAIVPHDSCLYDVDNLQEDEIEEDEMLCLGGNTPISWTDKPKADFLLHDLQGRRTGREQNIRRTNSNWSSVGNWQTYQRRQPKSSWSSDSTQQDGNQNWNNTRANFTGPQSFAITEASSDGGWNRKTGNLGRGGGRGAVWNSEGPRRGGSNSRWKAQRANTTDAPNFAFSGPSNSGGWNTNPGNLGPGCGRGAAWKPNGSRRGGSNSKKGKGQKNSGAGQGVRANFTLVEQQISAQIDPIVKEVRRVIRESRDGVKLSEDDEKFIVKNILEYHPEKEKKMAGRDNYIMVARHQRGSRCLHVVSSEGPPTDFSYQKCLANLIKIHYPDDADSFCEKYFQ* >Brasy1G384700.1.p pacid=40055101 transcript=Brasy1G384700.1 locus=Brasy1G384700 ID=Brasy1G384700.1.v1.1 annot-version=v1.1 MGKKRKLEPKTTMAAKSAAAKIAEPVAPSPQPKTLAEYYAPPTQTIPQVSGSTAVAAEEMPPQELGGEEDEWEEVEEEVEEEEEEEQAEEVEEGESDPVSIQSLLESFPKEQLVELLRDAAVGHGDVLETVRRAADADPAQRKIFVHGLGWDTTVDTLREAFSSYGEIEDLKLVSDRNTGKCKGYGFILFSRRSGARAALQEPQKKIGNRTTSCQLASVGPVPAGGGTSYPGLAASPAPAPAALILPPVSEYTQRKIFVSNVGADIDPQKLVQFFSKYGEIEEGPLGLDKATGKPKGFALFVYKTLEGAKKALQEPHKSFEGVMLHCQKAIDGPKPNKGGGYGAATTSGRKGAAGYGASSHSLHGSVSAGYGMAPPASSLAPLPGGGPGMNPALGQALTAFLATQGAGLGLNNILGVAPNSSGVPSPGSSGALGGGGVPGMPGGYMGGYGGGGGGYGGPTGGPGRNYMGH* >Brasy1G384700.2.p pacid=40055102 transcript=Brasy1G384700.2 locus=Brasy1G384700 ID=Brasy1G384700.2.v1.1 annot-version=v1.1 MGKKRKLEPKTTMAAKSAAAKIAEPVAPSPQPKTLAEYYAPPTQTIPQVSGSTAVAAEEMPPQELGGEEDEWEEVEEEVEEEEEEEQAEEVEEGESDPVSIQSLLESFPKEQLVELLRDAAVGHGDVLETVRRAADADPAQRKIFVHGLGWDTTVDTLREAFSSYGEIEDLKLVSDRNTGKCKGYGFILFSRRSGARAALQEPQKKIGNRTTSCQLASVGPVPAGGGTSYPGLAASPAPAPAALILPPVSEYTQRKIFVSNVGADIDPQKLVQFFSKYGEIEEGPLGLDKATGKPKGFALFVYKTLEGAKKALQEPHKSFEGVMLHCQKAIDGPKPNKGGGYGAATTSGRKGAAGYGASSHSLHGSVSAGYGMAPPASSLAPLPGGGPGMNPALGQALTAFLATQGAGLGLNNILGVAPNSSGVPSPGSSGALGGGGVPGMPGGYMGGYGGGGGGYGGPTGGPGRNYMGH* >Brasy1G134600.1.p pacid=40055103 transcript=Brasy1G134600.1 locus=Brasy1G134600 ID=Brasy1G134600.1.v1.1 annot-version=v1.1 MAAAEVYSPTAAAAAQQQQRGKAATQAWRTVVGWIGFLIQVLLQILRGTPSCAQLLSFVGFRYPLLSATAASDPSPEVAFMPLHSEIPADAAPVPAPPPTPLERLTVVLDLDETLVSAYESSGLPPIVRTQAVEAGLHCFDMECISTDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDTIDAHNRFKLRLYRPSTVTTEYREHVKDLSCISKEFSRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMEVIFPLLKRLSLQRDVRPALYERFHMPEWFQKHGIPQTDQAV* >Brasy1G510800.1.p pacid=40055104 transcript=Brasy1G510800.1 locus=Brasy1G510800 ID=Brasy1G510800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G265800.1.p pacid=40055105 transcript=Brasy1G265800.1 locus=Brasy1G265800 ID=Brasy1G265800.1.v1.1 annot-version=v1.1 MRRLLSPAKAAATVAVVAAAAAAASRGDVVYADGVFSFRRQSAAPPPPAAPAPEPAPDIASSGSNGFDPEELERGARALREINNSPYAKQLFALMRKQEEARLAELAAEMVQYANYQKLKDIERKQKIGEEYRDNLKQQSQVEAQRLRYEDELTRNRMQAEREVQRRQDAELVRMQEITAMRREEARRATEQKILEQTLQSFKDKAKNQRETDKVNAISEAKARAHEAELTEDYNRKMLLTRMDGEKEKWLAAINTTFSHIEGGCRMLLTDRSKLVMCIGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMRKFPLSGLKALKPSSASLSEGAGFENVVLHPSLKRRIEHLARATANTKSHDAPFRNMLFYGHPGTGKTLVAREIARKSGLDYAMMTGGDVAPLGSEAVTKIHEIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFQLLKLYLNRYMIKDDVKRSPWSLLLNKQPQKIHVRDITDDLLKDAARKINGFSGREIAKLMASVQAAVYGRPDCILDPQLFNEVVEYKVAEHHQRIKLSSEATA* >Brasy1G140500.1.p pacid=40055106 transcript=Brasy1G140500.1 locus=Brasy1G140500 ID=Brasy1G140500.1.v1.1 annot-version=v1.1 MRADELCFVVSTSRVSLQKRRRKKTGCRFQSVAKLNGSTPLPAPPSHRSSQPYLSYPSRLCCLASVSPDAGAPRL* >Brasy1G214700.1.p pacid=40055107 transcript=Brasy1G214700.1 locus=Brasy1G214700 ID=Brasy1G214700.1.v1.1 annot-version=v1.1 MAHVEEEELSECEVLWPEERGCGDGAPASALLVGSSPRFFSRNQRPCSTGSLPVDIPMAAARLPRGRDDSWNDDEDEDEEEAGDGCGAMVLPHLMVLRRRPEAAVAFSLRSGPGTERRDLNQLRNSVLRMTGFIEG* >Brasy1G181300.1.p pacid=40055108 transcript=Brasy1G181300.1 locus=Brasy1G181300 ID=Brasy1G181300.1.v1.1 annot-version=v1.1 MRNPTAQVNELAELVLPWLPPPDLAAAASASRSLRAATSAVTARRAADSSRGLEAVPVPFDNPIDSKPYAYFLYTPFSLIHSPASSTNAQPWGCAWAQPPGPNWPRSHLGLPSAGCGCAGEECGGAGCACADTEAETADALGAGMGSLRECGDGCACGPLCGNRRTQRGVTVRLRVVRQLKKGWGLHAAEALHRGQFVCEYAGEFLTTEEARRRQRLYDELASVGKLSPALLVIREHLPSGRACLRVNIDATKVGNVARFINHSCDGGNLQPVLVRSSGSLLPRLCFLAARDIVEGEELTFSYGDARIRPKGLPCFCESSCCPGVLPAEET* >Brasy1G236900.1.p pacid=40055109 transcript=Brasy1G236900.1 locus=Brasy1G236900 ID=Brasy1G236900.1.v1.1 annot-version=v1.1 MAGDAPSSSSPTGADPATSTPLLQLRRRGSYTRSMSHARDELRSFRSCLRWMCVDHSDGASAAASWLVFAALAVAVPVSARVALPRRAYDTQVQASLTLSAALAYVTLTSLIRRRGLRRLLYLDRLRHDSQDVRAGYTVQLAGSFRLLACFVLPCFLADAAYKVFWYCANRPFAVPWWWSAAACALEMASWMYRTAMFFMACVLFRIICYLQILRMTGFARDFGQCADVAAVLRHHRRIRDQLRRISHRYRRFILYCLLLVTASQFSALLGITRPHAKVNIATAGELALCSLSLVTGLLICLHSAAKITHKTQAITSIAAAWHADATINSVDRDQENPYPRTPSKAHLLQVPAASNSSGDESDDDDEMSPSEDSLDTSRFTSLHVTHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS* >Brasy1G229800.1.p pacid=40055110 transcript=Brasy1G229800.1 locus=Brasy1G229800 ID=Brasy1G229800.1.v1.1 annot-version=v1.1 MANAAMKPVAVLLLVLNFCMYLIVAAIGGWAINHAINYGFFIGSGLELPAHFSPIYFPMGNAATGFFVIFAVIASVVGMAAALAGFHHVRAWSHESMPAAASSGFIAWLLTLLAMGLAVKEIDLHGRNARLITMEAFTIILSATQLFYILAIHGGN* >Brasy1G067700.1.p pacid=40055111 transcript=Brasy1G067700.1 locus=Brasy1G067700 ID=Brasy1G067700.1.v1.1 annot-version=v1.1 MGGGAAGASSKPLGLEVTPTWAVAAICGVMIGISLTLDAGLHHAAQWLRKRRHLALLDALDTIKSELITLGFVSLLLTFLGFFMPFVCVPLGAASTMQPCECTEQLAKHPPPLNTCRQGMVPLVPPEALHQLHLFLFYLALFHVAFKLLTLYLARAKISKWMEWEKEACSASNELLHQPSNLRLSHQTTFVMRLTKSTVLLYIVSFFKQFFNSVEKTDYVQLRHGFMTAHLSPGTNFDFGAKIQKSLGADLKAISSCSSPLWASALVMLLINVRGWDGMFWLSILRVVVIFLVGTELQAIITARIDMDKLSDEHFWFRKPRLLLHLIILASFQNAFQISHFLWISVYFGLMSCFHQGHLGKVVAGVCLSVLLQFICSYITMPLYGLASQIKRTVLDVERGQEDEADAKTATSTANVLETIIGPVEKVPIVPALRRCKSAGYVSDGLTV* >Brasy1G173500.1.p pacid=40055112 transcript=Brasy1G173500.1 locus=Brasy1G173500 ID=Brasy1G173500.1.v1.1 annot-version=v1.1 MVRSTLYSIKFIEKEKPCRRLTPKLIEAYKELASQGKSFEVVFVSGDQDEEAFNAYFAKMPWLAVPFTDSEGRKSLDERFQVRGIPHLVILDAKTGKVCTEDGVEFVSEYGIDAYPFTPERINELKEQEKAAKDNQTIHSVLSTPTRDYLISNKGDKVPISDLEGKYVGLCFVVSGYGPVEEFTTVLAKIYGKLKEVGKKFEVVAVSMDSDEASFNESFQNMPWLAIPQGDKMCQRLVSYFELKDLPTLVLIGPDGKTLNSNIADIIEENGVESWEGFPFNAEKLEILAEKARAKAESQTLQSLLVTGDLDFVIGKDGAQVPVSQLVGKTVLLYFSAQWCGPCRAFLPTLVDVYNKIKEKNSDFEIVFISSDRNQSSFDDFFSGMPWLALPLEDERKAYLKKMFKIRGIPSLVAIGPSGKTVNANAKAPLAVHGADAFPFTEEKIQELEKNIDEMAKGWPEKLKHELHEEHELVLTRHRRPFGCDGCDEMGNSRQCTLKSTDPPPKGGIASLRRGPSRATGVLPLLVRAASASRSAAAAS* >Brasy1G314500.1.p pacid=40055113 transcript=Brasy1G314500.1 locus=Brasy1G314500 ID=Brasy1G314500.1.v1.1 annot-version=v1.1 MIAPRLLCCCFFFFFLVSLVAVAPRATDAKLVASLPGFPGRLPFSLHTGYVEVEEGTELFYYFVESEARGEEAPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGSLPRLKLNPNSWTKVAHILFVDSPVGAGFSFSRKPKGYEVGDVSASLQIRDFLIKWFSDHPGYLGNPFYIGGDSYAGKLVPFIAHIISQGNEAGKSPRLNLKGYVVGNPSTGEIVDISSRVPYAHGVGIISDQLYETILEHCHGLDYIIPSNALCARALDTFNHLISEVQQAQILLDTCVYASAHTVPTADSRTEHSEGAGRRILVGNPPVRPPFGCITYGYYLSYFWANAAVTREALGIKEGSVDEWVRCHNGDLPYSLDLRSNIEYHRNVTANGGHRALVYSGDHDTLVPHLGTQAWIRSLGFPVVDEWRAWHLHGQSAGFTLTYSNNMTFATIKGGGHTAPEYEPERCFAMFSRWILNQPL* >Brasy1G467100.1.p pacid=40055114 transcript=Brasy1G467100.1 locus=Brasy1G467100 ID=Brasy1G467100.1.v1.1 annot-version=v1.1 MATPPVASISSQLRAPPPWPPPKNFSQRARVRCSVLAPSGQALEAAASPRGAEKSPDWVPRSDVNLQIQRLCRSGDLAEALRLLGSDGVDDRSYGAVLQLCSEVRSLEDGKRAHFLVRASGLGRDGMDSVLGQKLVLMYLKCGDLENARRVFDEMPQVSDVRVWTALMSGYAKAGDLREGVLLFRKMHCCGVRPDAYTISCVLKCVAGLGSIEDGEVVHGLLEKLGFGSQCAVGNALMALYSRCGHNDDALRVFEGMPQRDAISWNSVISGCFSNGWHGRAVENFSKMWFDGLEIDSVTMLGVLPACAELGYELVGRVIHGYSVKTGLLWELKSLDRGVDESLGSKLVFMYVKCGELGYARKVFDVMSSEANLHVWNLLIGGYAKVGEFQESLFLFEKMHEYGITPDEHTISCLIKCITSLSGGRDGLVVHGHLVKLGLGAQCAVCNALISFYAKSNRTEDAILVFDGMPHRDVISWNSMISGCTSNGLYDKAIELFVRMWLEGRELDSATVLSVLPACAELCFLFLGRVVHGYSVKTGFISQTSLANVLLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGLYGKVAGLFQEMGLEGTRPDIFAITSALHAFAGNELLKHGKYVHGYAIRNGMEKVLAVTNALMEMYVKCGNMEEAKLIFDGVISKDMISWNTLIGGYSRNNLANEAFSLFTEMLLQFRPNAVTMTCILPAAASLSSLERGREMHAYALRRGYLEDDFVANALMDMYVKCGALLLARRLFDRLSNKNLISWTIMVAGYGMHGRGRDAIALFEQMRVSGIAPDAASFSAILYACSHSGLRDEGWKFFDAMRKVHKIEPRLKHYTCMVDLLINTGNLKEAYEFIDSMPIEPDSSIWVSLLRGCRIHRDIKLAEEVAERVFELEPENTGYYVLLANIYAEAERWEAVRKLKNKIGGRGLRENTGCSWIEARGKVHVFIADNRNHPQGTRIAEFLNEVAKRMQEEGHDPKKNYALMGADNAVHGEALCGHSSKLAVAFGVLNLSEGRLIRVTKNSRVCSHCHEAAKFISKMCSREIILRDSNRFHHFEQGRCSCRGYW* >Brasy1G157000.1.p pacid=40055115 transcript=Brasy1G157000.1 locus=Brasy1G157000 ID=Brasy1G157000.1.v1.1 annot-version=v1.1 MKGNGTSTHNMSMREGLLTVWNEWEIRVLVLTSLALQVFLLFSAGIRKRNVSALLGVLLWLAYLLADSIAIYALGYLSQARVPKGVDDPEFFNRAHGIQVFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAVYVFSKSRPGDDILYPAMFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRAGVTSAAITEETVPYATVITEARCFFVTFKRLFVNLILSFHDRTQSQATFLRLTPGQAYKVIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLVSTTTACVLFNVLRKGRRGSSYDGTDIFITNLLFGGALFLEVSAICMMLVSYWTYAALQGSNCHWLSHLILRCIRYFRPENRAKWSNLMAQHNLISFCLQDRPTLLTKVLRLLGLKGRWDGWLNIRHIDVSSDLKTLVFRELKDKAVSIVDAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYSDDSNDNAKLAQYVGISRAVSDYMLFLLVERPFMLTAGIGQIRFGDTCAEAKNFFGREMARPDKRAAARMVLEVNAEIAPKDVKGDRSKSVLFDACRLAKSLLELQPANKRWRLIRVVWVEMLCYAASKCQSNFHAKQLSNGGELLTVVWFLMTHLGMGEQYRIEAGHARAKLIVEKY* >Brasy1G150000.1.p pacid=40055116 transcript=Brasy1G150000.1 locus=Brasy1G150000 ID=Brasy1G150000.1.v1.1 annot-version=v1.1 MKNDRLIFANLGHGGVYYMYKFPPEFPMSHDLGLNLVTNVGSLLGSSLQHRRQICSSGNVKVQEAFTCLRKFARAFYIWFSRAYDPKNFHGFSHIKQVKSCMQNLVGLQFRSLKEEHAVQLLLARLAHATVGRLWNDFEQQNASNLLTVASFAAIVPPFENISPKMLAELMASGNIDGYINRPVDQPYLDGKQLSCSSVAVPTPIFQEDAVEPKTGIKFPAILDNDSSPATTVLVGIGFKGMRIMRVKTLNLYAFGLYMQPNSICEKLGPKYASVPTTKLKDDPDFYNDLLRENLHIRVRLVVNYNGLSIGAVRDVFEKSLGLRLKKMNPDTDYHCLKTFGSCFTEDIPIPAGTKIDFSQTSDGQLIMEIDGRKISAVQSKDLCRAFFDMYIGDSPVSLEAKREVARNVAGLISRC* >Brasy1G150000.2.p pacid=40055117 transcript=Brasy1G150000.2 locus=Brasy1G150000 ID=Brasy1G150000.2.v1.1 annot-version=v1.1 MKNDRLIFANLGHGGVYYMYKFPPEFPMSHDLGLNLVTNVGSLLGSSLQHRRQICSSGNVKVQEAFTCLRKFARAFYIWFSRAYDPKNFHGFSHIKQVKSCMQNLVGLQFRSLKEEHAVQLLLARLAHATVGRLWNDFEQQNASNLLTVASFAAIVPPFENISPKMLAELMASGNIDGYINRPVDQPYLDGKQLSCSSVAVPTPIFQEDAVEPKTGIKFPAILDNDSSPATTVLVGIGFKGMRIMRVKTLNLYAFGLYMQPNSICEKLGPKYASVPTTKLKDDPDFYNDLLRENLHIRVRLVVNYNGLSIGAVRDVFEKSLGLRLKKMNPDTDYHCLKTFGSCFTEDIPIPAGTKIDFSQTSDGQLIMEIDGRKISAVQSKDLCRAFFDMYIGDSPVSLEAKREVARNVAGLISRC* >Brasy1G150000.3.p pacid=40055118 transcript=Brasy1G150000.3 locus=Brasy1G150000 ID=Brasy1G150000.3.v1.1 annot-version=v1.1 MKNDRLIFANLGHGGVYYMYKFPPEFPMSHDLGLNLVTNVGSLLGSSLQHRRQICSSGNVKVQEAFTCLRKFARAFYIWFSRAYDPKNFHGFSHIKQVKSCMQNLVGLQFRSLKEEHAVQLLLARLAHATVGRLWNDFEQQNASNLLTVASFAAIVPPFENISPKMLAELMASGNIDGYINRPVDQPYLDGKQLSCSSVAVPTPIFQEDAVEPKTGIKFPAILDNDSSPATTVLVGIGFKGMRIMRVKTLNLYAFGLYMQPNSICEKLGPKYASVPTTKLKDDPDFYNDLLRENLHIRVRLVVNYNGLSIGAVRDVFEKSLGLRLKKMNPDTDYHCLKTFGSCFTEDIPIPAGTKIDFSQTSDGQLIMEIDGRKISAVQSKDLCRAFFDMYIGDSPVSLEAKREVARNVAGLISRC* >Brasy1G056300.1.p pacid=40055119 transcript=Brasy1G056300.1 locus=Brasy1G056300 ID=Brasy1G056300.1.v1.1 annot-version=v1.1 MVDLLVWPDQSRASATIMRTNGKSGWTWQSSWSFDMEATPSIPSMTGHTFDAYNEGPIRFCAPANAHLQFKGFIGKKLLAEFEVSMESHFKIKDTLGRGAEGIVYKCVSSQGGHLCAVKQCSSRKLVREEPREPRIMKMLSHPNVKTLYYAWKEDKPGAVLNRVSTIYLAQELCKGTIDDYLDKKTERNPHEELKVFKDAVLGLRYLHRQGIVHRDIKLGNLFLDNLHTTKIGDFGSGAFVNSNSCIGGKKFWGTALYASPELWNSTYHGPKADIFSLGVVYFEVLGERATSDVRNRKLEELHKLLQNRHWKAKPLETWLKSNISKGWNGDARLLIQMLHMSPDRRPTCEQILLHLP* >Brasy1G044500.1.p pacid=40055120 transcript=Brasy1G044500.1 locus=Brasy1G044500 ID=Brasy1G044500.1.v1.1 annot-version=v1.1 MVRVCIGMASAVAASSSGKSAARRVLVLGGTGRAGGATATALSDLRPDLSILVAGRNREKGTQLVSKLGERSEFVQLDIHNARRLEAVMEGVDLVVHTAGPFQREDKCTVLQAAISTKTGYADVCDGMDYSWRAKSLHEEAKAAGVPALITAGISPGVSNVMAAELVRAAKSENYGEPERLRFFYYIAGTGGAGPTALGTSFLLLGEDVIAYNKGREIKLKPYSGARNIDFGEGIGKKDVYLLNLPEVKSTHKFLGVPTVSARFGSDPFIWNWGMETFANFLPADLLRDKNTVLKLTECVDPFIRVIDGIVGESVSMRIDLESSNGHNTMGLFTHNNLSVPVGYAAAAFALAILEGSTKPGVWFPEEPEGIAIEARKLLLQRASQGAVNFTMNKQSQMVVDTDHKEIGEGIYV* >Brasy1G076500.1.p pacid=40055121 transcript=Brasy1G076500.1 locus=Brasy1G076500 ID=Brasy1G076500.1.v1.1 annot-version=v1.1 MENKDKEHAVNNDNVPPEEEEEDEEAKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSAYSFRFSFIVSNNIVSGLKYTNTVWKSGLRVENQKMMLGTFSPQAEPYTYEGEEETTPAGMFARGSYSAKLKFIDDDGKCYLEMSYYFEIRKDWPAVQ* >Brasy1G567500.1.p pacid=40055122 transcript=Brasy1G567500.1 locus=Brasy1G567500 ID=Brasy1G567500.1.v1.1 annot-version=v1.1 MSGAGGNGWGRSSRRRRVRAGGAARREQTRGVAAAGYAACRLEPGRLGGAGAGGWGDVHLHPHLLLRSAKPHPLHR* >Brasy1G376700.1.p pacid=40055123 transcript=Brasy1G376700.1 locus=Brasy1G376700 ID=Brasy1G376700.1.v1.1 annot-version=v1.1 MGRLEQIQQTLSEIDSRVPNVLRYKFGLVLDEEDDEEEEEECEEEEEDEEDGLNVDDKPRALRMDCASSCYVPKPICDRDAHFFEVAGSGVLGVANGVGGYMLEGLDAGAFSRGLMASASKEARAMAPGGAPICPYTLLERAYDETVASGAPGASTAAILSLAGGRGLLRWANVGDSGFVVHRSRPQLSIFNCPLQLAGNVRDGDSITVADVGETPVREGDVVVVGTDGLFDNMFDADLEHVVRVGTVLGFSPKNMADIIAGIAFEMSHSDLKDSPFSAAHRDHRGWEHVGGKPDDITVVVAFIVSSQLVAVDKI* >Brasy1G233400.1.p pacid=40055124 transcript=Brasy1G233400.1 locus=Brasy1G233400 ID=Brasy1G233400.1.v1.1 annot-version=v1.1 MPPPPPPQPPPPPPPPPSPPNRLNLNAHRFPLPPAPSPPSSLLLAPGPSPSRALALLFPDSSARLFASLPAPTSAASPPAPAPTAVPSPLAAAACFTLLLPSSHLLFLSAHPSPSSPALHLRAYSLASGRFAPAPLSFKRHVSASGLPLNDLPFGLGARLAGGVNAVALLSLAAGQIWVLAPRMAADGRTVELHKCAVVELEPTRPVYAMEVAMGRLLLGEAGGVRVFPLRSLMKGGAERKGRKEGAVAAGKKSLYKKKNGILNGLIVPVERGSGARRGEEDAVSNCKLTTLRVKQSSGSYSSSFLVFNQEDPDSQGGINLIKSVQAVSIHPFSKEMFLVLDSAGVLHVFSLRNTELVTEAANTYCLDCAMKVQLFAAFPSSSTKTQIFWVSDGGHSIHIMPALPTFDVESLKSDNGDSDGERESASIKLSAIEAIFTSEKVQDIVPISKDSVLILGQGNMFLYGTA* >Brasy1G319600.1.p pacid=40055125 transcript=Brasy1G319600.1 locus=Brasy1G319600 ID=Brasy1G319600.1.v1.1 annot-version=v1.1 MARAEVRPNTHTFNILVTALCRGEDAERAHGFLEELEEQGFEPDVVTYNTLLSGYCRRGKLQDALHLFGVMPPRGVEPDLVSHTVLMDGLCKAGRLNDARRMFDRMVHSGVSPDAIAYSVLIAGYCNEQRVREARLLLMEMVGSGLSSEGFALRAVIESHVKVGKLLTCLNLVSPLRKYGVVIPLESYNCLIGALCEDMRPHAARGLFEWMVEDGHSPSLEMYNMILDCFCQCDSVDDALDVKVEMSSREVRPDFHTYQALVTCLCRLGKSLDGKSVMAEMIESGLQPNEAICTALVCGFCKEGYLDRAELILKAFVLDFQLHCNESYNALMRAYCMTRSTSESLELQNRMLDLGFVPSSETCRSLIYGLSRSIA* >Brasy1G512400.1.p pacid=40055126 transcript=Brasy1G512400.1 locus=Brasy1G512400 ID=Brasy1G512400.1.v1.1 annot-version=v1.1 MRQRLGPYYFCFLLLLSRRGGPTLPARSSKGDRPKGPKPDYQPPHKLPSFPTCAARNYLPPPVRPPPPQITSLPPGGRSTTESAAATFSLAPPPRRRLRLRPLQLRALLLLRQRPRRPLLGGGSASPSSSRSAARTGNYPRQERGELGPSPARSGSCPVPAAPHPQSTLLPRRRRHSSLPLPL* >Brasy1G254500.1.p pacid=40055127 transcript=Brasy1G254500.1 locus=Brasy1G254500 ID=Brasy1G254500.1.v1.1 annot-version=v1.1 MASAGGGISDEVPIFHAENLTSNVKSINYSRTFLSIISGVVAGIWGFTGLTGFVFYFLVMIVSSLGLLVKAKFSVHTYFDSWNRILLEGVTGGLMSFVLFWTFFYDIVHIF* >Brasy1G453000.1.p pacid=40055128 transcript=Brasy1G453000.1 locus=Brasy1G453000 ID=Brasy1G453000.1.v1.1 annot-version=v1.1 MAAQFAAALLTTGLLALLTLASCNTEGDILYAQRQAWKDPNNVLQSWDPTLVNPCTWFHVTCNNINSVIRVDLGNGGISGSMIPELGGLKNLQYLELYENRMTGSIPATLGNLTSLISLDLYNNHLSGVIPTSLGAVGSLHYLRLYGNNLTGSIPASLGSLTKLVHLELQKNALSGSVPASLGNIKTLQFLRLNANMLTGTLPLEVLSLVLVGNLTELNIAKNNLASTVGSSGPRVTAVIQDALKTAS* >Brasy1G017000.1.p pacid=40055129 transcript=Brasy1G017000.1 locus=Brasy1G017000 ID=Brasy1G017000.1.v1.1 annot-version=v1.1 MERRNGAAAAAALWLLCFVVVGVSAEYSTQQWQSEPADPGRRGSSPAPAPSSSPRHRGLSPPAPAPAPTAGADDLPAPAPVTTTPHFGFPLQPTVGVTAAPPVAGAPGGGGEGYPFIGSYPTVPLPTGVTDTASVLPLPDTATQEANGKVVGRASLVLRAAAPAAMIGLLLAFSVLLFN* >Brasy1G471600.1.p pacid=40055130 transcript=Brasy1G471600.1 locus=Brasy1G471600 ID=Brasy1G471600.1.v1.1 annot-version=v1.1 MESVAVVAVPFPMQGHLNQLLHLSLQLASRGLELHYAAPAAHVRQARARVQGWPAAALRSVHFHELGIAAFSAPPPDPDAASPFPTHIMPMWEAYIADAAAPLAALLGDLSASRRRVVVVHDVLNSFAAVEAGRLPRGNGESFGLYCGAVSYMVGMMHGAGHRLLRENGLEYAPMDAYVSKEFMDCAREQSSMAQAVSRGCGMITNTCRALEGEFVDAVAKSLAAAGQKLFAVGPLNPLLEPDYRLDATRHGDQQPRHECLDWLDKQPASSVLYVSFGSTSSLRGEQVAELADALHGSKQRFIWVLRDADRGNVFTSDADADTDRHANLLSEFTDRTRGTGLVITGWAPQLNILAHGATAAFMSHCGWNSTVESMSHGKAMLAWPMHSDQPWDAQFVCRYLKAGILVRPWEEHGELTPAAAIRAAIETAMVGEEGIAMRARARALGEAVRSCAAVGGSSRKDLEDLVAHITRLLPLGLLISPFRWTSFSRDCPWMHN* >Brasy1G112200.1.p pacid=40055131 transcript=Brasy1G112200.1 locus=Brasy1G112200 ID=Brasy1G112200.1.v1.1 annot-version=v1.1 MAAATARQLLVHRILCSCSSGTLPKRTFNSHGSSASSCFKAGKTEKLPARFRARMALNPPRAVLGKGGIVPDDGVSLGTVKLPANIDVARFEALLFQWGNSLCQGATLPLPVPLKVDKVQGGIRLAFVEIDDGAVQLLAYIDCLVSPATDGSGFVFRAIRNGPMKDMEPPGEPRIMRSLLEALQKSIQIAQV* >Brasy1G112200.2.p pacid=40055132 transcript=Brasy1G112200.2 locus=Brasy1G112200 ID=Brasy1G112200.2.v1.1 annot-version=v1.1 MAAATARQLLVHRILCSCSSGTLPKRTFNSHGSSASSCFKAGKTEKLPARFRARMALNPPRAVLGKGGIVPDDGVSLGTVKLPANIDVARFEALLFQWGNSLCQGATLPLPVPLKVDKVQGGIRLAFVEIDDGAVQLLAYIDCLVSPATDGSGFVFRAIRNGPMKDMEPPGEPRIMRSLLEALQKSIQIAQV* >Brasy1G255300.1.p pacid=40055133 transcript=Brasy1G255300.1 locus=Brasy1G255300 ID=Brasy1G255300.1.v1.1 annot-version=v1.1 MPTAQGIGLKHAAPPGAGRRARRSQSASAPCRSMRQAHGGMSLEGGFVGGTQPTEGRVAPRPPRAAARDAEPIRPLSKLPESSIGLYNPAFERDSCGVGFIAELSGDDNRETVNDAIQMLERMAHRGACGCEKNTGDGAGILVALPHKFFREVTKDAGFELPPPGEYAVGMVFLPTDEKRRERSKAEFKKVAESLGHSILGWRQVPSDNSDLGESALDTEPAIEQVFLTKSSKSKADFEQQLFILRRLSIISIRAALNLRRGGERDFYMCSLSSRTVVYKGQLMPSQLKGYYYADIGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEKLGLSEDEMSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDANMQPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDIPAQDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKMYLKDIVESVPETDRVAPSISGSIPQMNENKECKGINGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLEITEKQCNRLALKGPLVSVDEMESIKKMDYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIRKGYKILVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQTDGKIPPNGDGQPDSKEELVRKYFYASMYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIHKCFEGTPSRIEGATFEMLARDALHLHELAFPSRLPPAGSADAKALPNPGDYHWRKNGEIHLNDPLAMAKLQEAAKVNSRAAYKEYSKRIQELNKACNLRGMLKFKDTTNKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAVAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSSIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPQARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGLRSINEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKQVRVFIETPIKNTNRAVGTTLSHEVTKRYHMKGLDSGTIHVKLTGSAGQSFGAFICPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSTFIPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAITVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGTFSAHCNHELVDLYHVEEEDDITTLKMMIEQHRLHTGSVLAKDILSKFSSLLPKFVKVFPRDYKRVLEEMKAQKAAASHAKELKVPNGVSVTTKKIQTEQSTSRPTRVANAKKYRGFISYEREGISYRDPNERVKDWNEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKGFEEGWMVPRPPLQRTGKKIAIVGSGPAGLAAADQLNKMGHFVTVFERSDRIGGLMMYGVPNMKTDKINVVQRRVNLMAEEGITFVVNAHVGSDPLYSIERLRSENNAVILACGATKPRDLSIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAQGKKVVVIGGGDTGTDCIGTSIRHGCSTIVNLELLTKPPSKRAADNPWPQWPRVFRVDYGHQEASNKFGKDPRTYEVLTKRFIGDENGKLKALEVVRVKWEKVDGKFQFKEIEGSQEIIEADLVLLAMGFLGPEATIPEKLGLEKDNRSNFKAQFGHFATSVDGVFAAGDCRRGQSLVVWAITEGREAAAAVDKYLSRDEQNTTQGITPSGAGLVQPITA* >Brasy1G568400.1.p pacid=40055134 transcript=Brasy1G568400.1 locus=Brasy1G568400 ID=Brasy1G568400.1.v1.1 annot-version=v1.1 MATPRDVEEVVQKLSSDRARTRDEGVKLLGTWLQGDRALTFCRLLARNTHRAKPGHLSSSITWPFLVMAVAKCVVVDIAGKKRGAVKSTAAKMLRVAIQCAEDVRLSGHSLLLISVAKQLFSHIWEVLKDAPSFQLEYSPILRQLLNVKEYRYQMKPRTYSSFVVLYMKKVATGFDANFSNQASSKEESFRCTLTLHVLLENPPGDYPDNMRQEVLNGFCAIFSHIREDGKLTRKLMECVNTFLLKDGPNLGDKSVEIHNAVQDFILQSWLTTRDHQLKSLFITYTKIQLKLARAISEVLEKLLDVIIKELDQNVNTGAGLLWCEASRDEKTGSARCFQEELMDLSATVFYLAYKCPPKRTHTEKRLKTEHVSTLIMDGLSKGSLCWSGTICFLVHKYGYLVEKSLLISWFEASCKSLKSSLSNSNATRFQDSLLWLIRSLKEFSATLIVNTRDGSHFLPTKGEMSIVEGYWQDIWNSLIHALPLFSSTALVADSALSLLGGMIMRDQVHTSFVSEDTWDLQTFKHPPSSSALYFIACYFSRIGFQGDLSNSIFVRKNLLRSTLELIHSKGSSLLNEHNVVMIPEVIFSLCAGFSSSAINSADASQLVGECKNFSKLLLEGEDWVIKDELGYSVQALSEISIESSTKVMSDKCNRAHLPGHIQQPLLLELMEFIKGFVASNEQFEKVDLCTLVYVCSLLCNLIHCALLSRAIEESSSFLQAVFDYVTNSIKYIISMVIKKHDELSHGLTSVGSAFETTGSVLSSFQSFLSSPIFRLRRVSNKVSSVLIKDVTGLLDELLVAFSQLFSRLSSSLNTSDSESTGKILPISSVNLLEDLNHVVDHKSSVLDMDFDVTDSGKVDSITASVSGSIGISSRPLEWKLELVCVISTFFSVSPPRAWEILYNLVEKESDVKVCQAILLNLCQNISASPKSLSSLVHLISDMREKCESSLLGFADCLTHVHALLRTLIATRDVGQNTDGKPQACNMVSNENQDTLLDLVTKGTEISITDWFFRIKLIDCISHFIYLFPDIAQDMTGHLLNMLHDTDYRVRLYLARKIVVPFQIWEGHNELFHDVCSNIGVKMVQFSNKIAVKSREVLAAGPQSVPVIETVLITLAHLSVHSEEVEVECAFMISAAAAIETSQRELAYALFDSVSRTLSYASRNKYLDQLMGPILFRWVACEMSLVSLVEVQEIFGFNSSESKNFIEHICSWLLSFLILRGDAADLNWISKILSQPLSAVIKGYFVPIFGLSIAARCGMGPEKDLAETVLYDSVLQFGEISESERDDLIRKHMVSIVGLLLSVSSTAHQPEFPYFSREVLAFSIKTVVDGFVDTTDDDLADTVVVDKINIFRADRVFKFLLAIHQQVADASHPRHMGHRLCAIEVLIDVLGHRVVHYSTCFYIICIVGNYIWRQPLQGQCCNILTKLLTAFNASPSTETVSALGMQLQLLVPKLVTCCLSNDKERRNGNGDSSKVLSLLRQLTVDADPLLYDYIRDLEPLPALDCLKDIQVFHASLSDSYASRDQFLKFVNRAPHLPAELFLLSLCMHHKKLLSGEIICRGDASVGNADTVSCWHSDPDVVSAVWTLVDLCSSSSVANEASSVLADFISRAGISDAHQVIFHVPNLTQKHPSQLQSGSTSKDDKLCSDYGISDDILVGLLKLLKTYLSDDSVEIIDVTSQTLRGILSTSKGVNSLQCLDSLDKSLLMVHSRGINIQLVEQTLLGLEKYSAGSLEDSDIWQTDGRSYEQWLCTLVSSLICHCDDIILRLCRSLVFLKVEAAELLLASALVNIAGNVDCNNSICGLISSKVEKIIFCDSNHLMKSVNLFLDALNVVRSFYVAEKTRDCPSNTPKVGRSARSKSRSPAATPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEQFNKLALGPPDFSQDESLPAHMGLLVAAFTHINEPDSIYGITLANEITSQIIRYEHEGDWSSALEYYDLLVRSTPKENLGNFAGTVLAGPSAVFSKAEEKNWKMHKGLMRSLQKTGCSHVLDIYSQGLTNQKTCLQQDSEFIDIQYEAAWRAGNWDFSFFIPYSSQPSSRSRNYCLFNENLHSCLRALQSGDFEQFHGKLSHSKMDLVLALSNASKESTKYIHSTVLKLQMLDHLTMVWDLRWKIYHNETPKSYVGTDEFSPIPAVPTRNQLEFLNKEWNFILCQTERNLDLFEPFLAFRRALFKILGCEEHLIDHLFQSASALRKGLRFSLAAASLYELKELCCHRDQHTMANTYFLSKLEEAKLLRAQGQHDMAIGLGKYILQNHTDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLKHSVDLTEFHKSPDKKYMSRQCRTYFHLAHYTDNLFKSYEERLSSNEWQAALRLRKYKTRELDTLIKRFKSSSKGEKTDYSVKIQELQKQLALDKEEAQKIQDDRDRFLSLALQGYQRSLVVGGKYDLQVVFRLVSMWFSLFSREQVVKSMIKTTKEVQTYKFIPLVYQIASRLGSSKDAQGATNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMDKKLAAENLLKELSSFHGALIRQMKQMVEIYIKLAELETKKEDTNKKIPLPRELRNICQLELVPVVTATVPVDPDCRYKEGTFPHFSGLMDSVMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFGLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLGEYLLGSNRNGGAHARYGTGDWTFLQSREYLACEKDKRKAFLKICDNYRPVMHHFFFERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHAMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGIEGVFRRCCEKTLSVMRENKEALLTIIEVFIHDPLYKWALSPLKALQRQKETVDTDSCLEDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVEGQVRQLIQDAVDVDRLCQMFPGWGPWL* >Brasy1G291100.1.p pacid=40055135 transcript=Brasy1G291100.1 locus=Brasy1G291100 ID=Brasy1G291100.1.v1.1 annot-version=v1.1 MAAESSVPQTSSESVAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLLFTGLGTKSPRSSFLDASQNQKILLGDRVGLGLVDSLSDENPTPLGSRKVLLGSEMRITDNLTRKNSSTALIQAEGVEQKDENMSDGLNGSIMSLDDIVNSEDYTCVVSRGPNPRTTHIFGDHVFEFQGEQLMPDESGCEESLAPHLNGGMMSFCCFCCEKLKEDKDIYMYQGDKTFCSMECRENFMQDEMEEGEPVIDDSDPSGPSIDDGRIFQLIQ* >Brasy1G291100.2.p pacid=40055136 transcript=Brasy1G291100.2 locus=Brasy1G291100 ID=Brasy1G291100.2.v1.1 annot-version=v1.1 MAAESSVPQTSSESVAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLLFTGLGTKSPRSSFLDASQNQKILLGDRVGLGLVDSLSDENPTPLGSRKVLLGSEMRITDNLTRKNSSTALIQAEGVEQKDENMSDGLNGSIMSLDDIVNSEDYTCVVSRGPNPRTTHIFGDHVFEFQGEQLMPDESGCEESLAPHLNGGMMSFCCFCCEKLKEDKDIYMYQGDKTFCSMECRENFMQDEMEEGEPVIDDSDPSGPSIDDGRIFQLIQ* >Brasy1G352900.1.p pacid=40055137 transcript=Brasy1G352900.1 locus=Brasy1G352900 ID=Brasy1G352900.1.v1.1 annot-version=v1.1 MENRWTGGGADEEAQKPTQQRYRAVESHDRAAVQMASIESDSSVPPRYNKPATNRSMDPRMQVSSSNGHGTSSAPHSDSKLELFGFDSLVNILGLKSMAGESAQVPASPTDGEDVGITFGRPKETDPKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMGGIWQSLVLCAFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQEKVTVIDNAVGSATTISTPSLHDLQIYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVLNAPKLNASKGITGLSMATLRDNWSAEYQRTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLNATLLTTVMYILSVFLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICIGCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWAFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANFMKKKGRGMSIFVSIIDGDYHESAEDAKTACRHLSTYIDYKRCEGVAEIIVAPSMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRHENLTQIPSTFVGIINDCIIANKAVVTVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFESCKIQVFCIAEEDNEAEELKADVKKFLYDLRMRAEVIVITMKSFEAHVENGGGSWKDDPQEAFTSAQRRTGVYLSELKETAQTEGRPLMEGGKQVVVNEQKVDKFLYTMLKLNATILKHSRMAAVVLVSLPAPPLNHPAYCYMEYMDLLVENVPRMLIVRGYRRDVVTLFT* >Brasy1G451500.1.p pacid=40055138 transcript=Brasy1G451500.1 locus=Brasy1G451500 ID=Brasy1G451500.1.v1.1 annot-version=v1.1 MKIHRQQTARKSLPRAAAAAVQPGRPWRSATSITAEPATGFHLLRIDGYSHTKALPAGQKISSQNFSVGSQIWQVDYYPNGFSAANSGSISLYLKLLGCSAQDVPFKARYKFSILDGSGRAAYEVREATGSFDQGDGDDQGPGCGYEEFITREGLVIEDCVTLRAVETRSIREPEHWETGGEREPEEEDDEDEDQYVLSPGSYGGRRRVVRRKIDDGEYVKWFLAQDSAGSRTRR* >Brasy1G182500.1.p pacid=40055139 transcript=Brasy1G182500.1 locus=Brasy1G182500 ID=Brasy1G182500.1.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.6.p pacid=40055140 transcript=Brasy1G182500.6 locus=Brasy1G182500 ID=Brasy1G182500.6.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.2.p pacid=40055141 transcript=Brasy1G182500.2 locus=Brasy1G182500 ID=Brasy1G182500.2.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.7.p pacid=40055142 transcript=Brasy1G182500.7 locus=Brasy1G182500 ID=Brasy1G182500.7.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.3.p pacid=40055143 transcript=Brasy1G182500.3 locus=Brasy1G182500 ID=Brasy1G182500.3.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.8.p pacid=40055144 transcript=Brasy1G182500.8 locus=Brasy1G182500 ID=Brasy1G182500.8.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.9.p pacid=40055145 transcript=Brasy1G182500.9 locus=Brasy1G182500 ID=Brasy1G182500.9.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.10.p pacid=40055146 transcript=Brasy1G182500.10 locus=Brasy1G182500 ID=Brasy1G182500.10.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.11.p pacid=40055147 transcript=Brasy1G182500.11 locus=Brasy1G182500 ID=Brasy1G182500.11.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.4.p pacid=40055148 transcript=Brasy1G182500.4 locus=Brasy1G182500 ID=Brasy1G182500.4.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.5.p pacid=40055149 transcript=Brasy1G182500.5 locus=Brasy1G182500 ID=Brasy1G182500.5.v1.1 annot-version=v1.1 MLVPHQEVVEGPQPMEESVSAVDNESIPDASTSRFTWKIENISKLNGKKTSDVFVVGGHSWRVLVFPKGNNAECLSMYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.12.p pacid=40055150 transcript=Brasy1G182500.12 locus=Brasy1G182500 ID=Brasy1G182500.12.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.13.p pacid=40055151 transcript=Brasy1G182500.13 locus=Brasy1G182500 ID=Brasy1G182500.13.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.14.p pacid=40055152 transcript=Brasy1G182500.14 locus=Brasy1G182500 ID=Brasy1G182500.14.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.15.p pacid=40055153 transcript=Brasy1G182500.15 locus=Brasy1G182500 ID=Brasy1G182500.15.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHSVQVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.16.p pacid=40055154 transcript=Brasy1G182500.16 locus=Brasy1G182500 ID=Brasy1G182500.16.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.17.p pacid=40055155 transcript=Brasy1G182500.17 locus=Brasy1G182500 ID=Brasy1G182500.17.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIHIFFCQIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.18.p pacid=40055156 transcript=Brasy1G182500.18 locus=Brasy1G182500 ID=Brasy1G182500.18.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G182500.19.p pacid=40055157 transcript=Brasy1G182500.19 locus=Brasy1G182500 ID=Brasy1G182500.19.v1.1 annot-version=v1.1 MYLDVADANLLPPGWSRSAQFSLAVINQLDSKQSLRKEATHNFNYRASDWGFTSFMSLMDLYDASKGYVVNDQCIIEAEVAVRKVIDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYQMPTVDTPSGSIPLALQSLFYKLQYGDSSISTKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIVEGAIQKLFEGHHMNYIECISVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDCVRDTMVKINDRYEFPLQLDLDRDDRKYLSPEADKNVRNLYTLHRYKFDDERVTKEEMKQALEEQYGGEEELPHTNPGLNKTPLRFTKYSNAYMLVYIRESDREKIICDLDEKDISEHLKTRLRKENEEKEYKKKEKAEAHMFTALKIARDTNLAEQIGRHTFFDLVDYEKIHSFRVCNDWAFNQVKEELSKEFGIPVQSQRFWLWAKRRNNTYRPFRPLSQQEEAYNVGQLKDIPNILENSALRLYLEEFVQENHLTLPVNSKEDILLFFKLYDPEKEELRYVGSLFLKASLKPSDILQRLNEMAGFQLDEDIELYEEIKFRPDVMCEPVDTDISFSSSQLENGDIICYQKRASPDKMDQDRHPNVPSFFEYIHNRLVVHFRLLDKPKEDDFTLELSKRATYDDVVEKVAHHVGLDDPSKLRLTPHNPYSEQPKPQYIKYRSLDHLLDMLRHFNQICDVLYYEILDIPLPELQTLRTLTVSFHHATGNEVPILSVRLPKSNPLHNLIEHVKSKVELSRSDAELRLFQVYHNKISKVYQPGEKIDSVNDHNGPLHVEEIPEEEKNAGPRDRLVHVFHFFKDLQHIQYYGDPFFFLLRDGETLSAVKVRIQKKFQVPEEQFLKWKFAHFAYNRQEYLQDSDIVLSRFQKNVYGAWEHSLGMEHSDMTPKKTYIANQNRHSFEKPMKIYN* >Brasy1G330100.1.p pacid=40055158 transcript=Brasy1G330100.1 locus=Brasy1G330100 ID=Brasy1G330100.1.v1.1 annot-version=v1.1 MDKTNQSRAAAQLPKWRGEQKKHRAEQSQREAFPPFLPLAFLRKHGRAGEEMFPGLIHHHAADDAPRGHGGGGAPSLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQTGKEITEQSKDGSYLMEAQSGMNLSPRIPIPDVEESQEVKEALREQMEVQRRLHEQVKVQECVKIRREAHQTYIDSLLEKACMLVSEQLSGFSISDYDLPDLASAGFQIP* >Brasy1G126100.1.p pacid=40055159 transcript=Brasy1G126100.1 locus=Brasy1G126100 ID=Brasy1G126100.1.v1.1 annot-version=v1.1 MVPNNDALEKCIKEILSQIKPAEDDRNKRLSAIKELDISIQSVAALKGAAAKPFGSFLSNLYSKSGDLDLSVQLMNSSNLPISKKKKQSILRVIRKALQRNGIAGYMEFIPHARVPVLQYVSKSFGISCDLSIDNYPGRIKSRIFYWISTLDERFGDMVLLIKEWAKSQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKDIYEGNITEDFTDMTLYDEEHLDMVCAANIAKFESQNKEQRNESSLCQLLATFFDKFCHINAITNDVISTYTGQLEKIQDNPNWMKKSYSLFIEDPVERPDNAARAVCVRGLLQIASAFNDTNRKFVSLERTEKNDLLGMLCTPDVCSKLGGRVIANSHTNTPQRNHHHARSMVPVGAKLSDNQRHHGARGFTGSRPVHNPTQVYLGATVRQTAGQLGQYQNHDHSQPYTNTAGRQTAGQPGVYQNNRQTPAAYTGYTGRHQTLGRYPNQSYPQAHTPWVPTGQYQNHYPPQAFATGHQAAGPYQQAPAYHHQSQPQFHAIAAPTPGPYHQNGYYNNQPLYDTGHQAEGLYRSQRGRQYTPDRQGNSCGATTRYAPVAAGIQNGLPQNSRSQTSRRTEWQGSSQHHT* >Brasy1G444600.1.p pacid=40055160 transcript=Brasy1G444600.1 locus=Brasy1G444600 ID=Brasy1G444600.1.v1.1 annot-version=v1.1 MDLPPPPPPPPPPPQKQQEQQKWAILRRVPQMSRIDLSREDFSLALAAPPDLSKLSISSTIAEESFSAGFIPFVLAADPSGLLLINDAYGRAKDPLGGDRPAYFVWDAANVVTNRLLAHEEAVNHSGNVGFIVTPTKHGRYHDFTVAELLPVAVGESVTILCYDSLSCLWEKKTLPFTMPHYPWSSANVFSHDRKLCMHRCVNLSDGKLRFMEMTGSAYVPWVDMWTLVSSDPCKWKLDYHVNLRDIWAEDSYKGTGLPRKRPVLAGIHPVDPTVVYFLKKGNLFGVNLSTKRVDKCVALEPVTHLNIDEESSHYIITWDLPPSLSSSSGETNDYCVAYS* >Brasy1G418600.1.p pacid=40055161 transcript=Brasy1G418600.1 locus=Brasy1G418600 ID=Brasy1G418600.1.v1.1 annot-version=v1.1 MSAKQLCDALAAAGFDGGDPLDPESLDWAFLQGDDSRRMLAWIASRLRPANVLSASDLELYEQLELEGKLLEGEDLDSAFDTISAFSEIEENQEDTFLSEESLEDIRDSTLALRVEVSDLEKQLASLEWKLDLLTAQATTIAQGKKSRASAKTSANGQLTRLDEKLAKRSLETNAVLGKLAASTQELSYYHSEADIGIYLSYCDFQPYVISNLACSEELNKWFTKKIEKGALRLVPNEEMSRGDHEKPHHFVVELMRINSIFAKSERQYIEAQVEYAKEEAILSKLRAQLASQQSYIHEDIHSVRRRNSELTKELKDLSLQVQECLSETVTSLCADLARLEGANILQGDHNLKVHRQECYIREQKTFINHLVNQLAAHQFLKIACQLERRSNFFSVYSLLKAIEMELQSYLSAINGRLDRYHLIGQAASDMIEEGSVDDRDTFLHAVRDILSSHSGAQAMTPTYVSAYALVEQISELEDELHYYQHELENVLPRERGRFIDEQSRMIQTLEQIISVPLTHMLPKLTPWPLAQALEELEMANQQVSASVNEVTMARDEKAKMLQQPSRNMQQERRVFTDFFCHPGRLENQVRELSSRVRAIPE* >Brasy1G418600.2.p pacid=40055162 transcript=Brasy1G418600.2 locus=Brasy1G418600 ID=Brasy1G418600.2.v1.1 annot-version=v1.1 MSAKQLCDALAAAGFDGGDPLDPESLDWAFLQGDDSRRMLAWIASRLRPANVLSASDLELYEQLELEGKLLEGEDLDSAFDTISAFSEIEENQEDTFLSEESLEDIRDSTLALRVEVSDLEKQLASLEWKLDLLTAQATTIAQGKKSRASAKTSANGQLTRLDEKLAKRSLETNAVLGKLAASTQELSYYHSEADIGIYLSYCDFQPYVISNLACSEELNKWFTKKIEKGALRLVPNEEMSRGDHEKPHHFVVELMRINSIFAKSERQYIEAQVEYAKEEAILSKLRAQLASQQSYIHEDIHSVRRRNSELTKELKDLSLQVQECLSETVTSLCADLARLEGANILQGDHNLKVHRQECYIREQKTFINHLVNQLAAHQFLKIACQLERRSNFFSVYSLLKAIEMELQSYLSAINGRLDRYHLIGQAASDMIEEGSVDDRDTFLHAVRDILSSHSGAQAMTPTYVSAYALVEQISELEDELHYYQHELENVLPRERGRFIDEQSRMIQTLEQIISVPLTHMLPKLTPWPLAQALEELEMANQQVSASVNEVTMARDEKAKMLQQPSRNMQQERRVFTDFFCHPGRLENQVRELSSRVRAIPE* >Brasy1G277500.1.p pacid=40055163 transcript=Brasy1G277500.1 locus=Brasy1G277500 ID=Brasy1G277500.1.v1.1 annot-version=v1.1 MASSPGTGPPPESSPVSSPTPFPPTPPPQEIPQPTTADPLEPAQSDHAKPTPQPHPVKATATSPEAYESPAPMDESTREAGRPPLLSPQEATPASPALEAAKPEEHTPVSPPPMVEVFPEAAARAASPIPSPQIVAASAAAALPPSTTPSPPASTSTSAGASRDAPLADSAAMASEEVARLPAALEPIDADPLTAQAPATKSIAERLSPQQHLRPISSTSLIGCENFELGLLPPPPLAETTHHLSDAAGTDEIDVAEEAAGTSPAVAATDEKTDSALAGPLIFENDAEGSFEVPVLTPHSPQMEGELCSLEMAPPGFESFKSSWTPLLPATLPAETTHSLRNAAATEAMAVEEAARSLPILAVDTMTDTKPGLPSPESLAEGPLQQPLLRPPSPITQAEPCSPDTPPPGFENFKLSWLPPPNETTYASSVVVATKAVAVTLEEAILPVPTLEAMDVETDTACSLLPPLENDPLLRPTTPMPQSAPCSPQAPPGFENSESSWPPLSTALSGTAHISPDETATEPLAVTFKEATRPTPTIEAIDVKIRAKHPLLLPLESGVEGSLKEPQQKPPSPTMQNAPCSPQAPPGFENSESSWPPLSTALSGTAYITPDETATEPLATTFEEAIGPSPAIEAIDVKIRTKHPLLLPLESGVEGSLQEPQQKPPSPTMQDAPGSPDMAPPGFENFKSSQLLQHSPPLTQTAYTLHDPATIEAVNVSEETARTLPALEGMGVNMDTSSENGAGSFQQQPARLPCPMEKGTACSPEIVPSGPENLESLQLSPLPVLPQVQTPDALVDVVAIEAVVGPLEEVHHPPPVLLKMEEGTAPIRPPPLESGSEGSLPQLEPHVHSVTTLAVDTLTGAPATKSVAVESEERAPPQLALQAVDTNMECATTLLTLSKKEAEDSLPQLQHPPCSQTVQAAPCSPEALELLPPPPPPFLNKEMGQMVCGCCRELLAYPRGAVHVQCAGCLTINLVLEAHEVGKVHCGRCETLLMYPFGAPAVKCSLCLFVTEIGERKVRPRISVEQVVPPHPPELANQS* >Brasy1G277500.2.p pacid=40055164 transcript=Brasy1G277500.2 locus=Brasy1G277500 ID=Brasy1G277500.2.v1.1 annot-version=v1.1 MASSPGTGPPPESSPVSSPTPFPPTPPPQEIPQPTTADPLEPAQSDHAKPTPQPHPVKATATSPEAYESPAPMDESTREAGRPPLLSPQEATPASPALEAAKPEEHTPVSPPPMVEVFPEAAARAASPIPSPQIVAASAAAALPPSTTPSPPASTSTSAGASRDAPLADSAAMASEEVARLPAALEPIDADPLTAQAPATKSIAERLSPQQHLRPISSTSLIGCENFELGLLPPPPLAETTHHLSDAAGTDEIDVAEEAAGTSPAVAATDEKTDSALAGPLIFENDAEGSFEVPVLTPHSPQMEGELCSLEMAPPGFESFKSSWTPLLPATLPAETTHSLRNAAATEAMAVEEAARSLPILAVDTMTDTKPGLPSPESLAEGPLQQPLLRPPSPITQAEPCSPDTPPPGFENFKLSWLPPPNETTYASSVVVATKAVAVTLEEAILPVPTLEAMDVETDTACSLLPPLENDPLLRPTTPMPQSAPCSPQAPPGFENSESSWPPLSTALSGTAHISPDETATEPLAVTFKEATRPTPTIEAIDVKIRAKHPLLLPLESGVEGSLKEPQQKPPSPTMQNAPCSPQAPPGFENSESSWPPLSTALSGTAYITPDETATEPLATTFEEAIGPSPAIEAIDVKIRTKHPLLLPLESGVEGSLQEPQQKPPSPTMQDAPGSPDMAPPGFENFKSSQLLQHSPPLTQTAYTLHDPATIEAVNVSEETARTLPALEGMGVNMDTSSENGAGSFQQQPARLPCPMEKGTACSPEIVPSGPENLESLQLSPLPVLPQVQTPDALVDVVAIEAVVGPLEEVHHPPPVLLKMEEGTAPIRPPPLESGSEGSLPQLEPHVHSVTTLAVDTLTGAPATKSVAVESEERAPPQLALQAVDTNMECATTLLTLSKKEAEDSLPQLQHPPCSQTVQAAPCSPEALELLPPPPPPFLNKEMGQMVCGCCRELLAYPRGAVHVQCAGCLTINLVLEAHEVGKVHCGRCETLLMYPFGAPAVKCSLCLFVTEIGERKVRPRISVEQVVPPHPPELANQS* >Brasy1G061600.1.p pacid=40055165 transcript=Brasy1G061600.1 locus=Brasy1G061600 ID=Brasy1G061600.1.v1.1 annot-version=v1.1 MRESRAAARARATAQLPRRDTILSKGAAQGGIPPCSSSPRPSPARPSPAMGCAASTERAERRRRDLALGLHSEASTAGQPNGPQDPAAAAADGRGRGFNKVAPEPREAEEPALALPGSPSFRIYCQKEAAVDALVAAADPDGGNSEGFARVTETPQATKKNDPAHGSSELSSKSKETSVWLKFRGQAILDAVYSLFTCNCRLASAPPLAAAAAAKSHHPCAASPPAANAVATSRP* >Brasy1G061600.3.p pacid=40055166 transcript=Brasy1G061600.3 locus=Brasy1G061600 ID=Brasy1G061600.3.v1.1 annot-version=v1.1 MRESRAAARARATAQLPRRDTILSKGAAQGGIPPCSSSPRPSPARPSPAMGCAASTERAERRRRDLALGLHSEASTAGQPNGPQDPAAAAADGRGRGFNKVAPEPREAEEPALALPGSPSFRIYCQKEAAVDALVAAADPDGGNSEGFARVTGKSCLALESSHFLSL* >Brasy1G061600.2.p pacid=40055167 transcript=Brasy1G061600.2 locus=Brasy1G061600 ID=Brasy1G061600.2.v1.1 annot-version=v1.1 MRESRAAARARATAQLPRRDTILSKGAAQGGIPPCSSSPRPSPARPSPAMGCAASTERAERRRRDLALGLHSEASTAGQPNGPQDPAAAAADGRGRGFNKVAPEPREAEEPALALPGSPSFRIYCQKEAAVDALVAAADPDGGNSEGFARVTGDQEE* >Brasy1G154400.1.p pacid=40055168 transcript=Brasy1G154400.1 locus=Brasy1G154400 ID=Brasy1G154400.1.v1.1 annot-version=v1.1 MVRKWLGLSELIPSSCHDTHSGREWWASDTLGIGMRRKSIASIVRHVRYLVVWEFGVNPSSLNSTNRSIKLDRNKVLIRLFKKKTTDTPSHADWP* >Brasy1G006800.1.p pacid=40055169 transcript=Brasy1G006800.1 locus=Brasy1G006800 ID=Brasy1G006800.1.v1.1 annot-version=v1.1 MRGKLWMRCAPFLSKRYVSTCGTTSSLSQSSSCSSIQPWLFVGLGNPGEKYQSTRHNVGFDMIDAFAQSQGIPLTTHHFKALFGEGMVDGVPVLLAKPQTYINLSGESVAALAAYYKLPLHRVLVAYDCTDLPCGVLRLQPKGGSGRHNGLKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSGIREGVDILKMVVTKGLMEAARVSNVNQKYKHLVSDDQQLY* >Brasy1G151000.1.p pacid=40055170 transcript=Brasy1G151000.1 locus=Brasy1G151000 ID=Brasy1G151000.1.v1.1 annot-version=v1.1 MDPSAANAKLPALPNGDVVLLMPPEQPQPQPQPPPPPQQQQQPNPTPEAPKTSQNPEKPPPASNPSTRPPLPHPEKPTPNSSPSRPPLPPASAALLRRRSSLAKPKSRFVEPPTPPAPSRPSSTHPSPVHPAATQTPRPGSTPHTPADAEEDDDVFRKEGAPTAASAARCRRRVCLSLELVVLVLFLGLLVVSLVMRPVKGRSVWGLEIWKWCVMVITVFSGHLVSRWFITFLVFLIERNFLLRNKVLYFVFGLKKSVQVCIWVALVLIAWSQLIDREHGRPPKTAMILNYVSRFLASVLIASVIWVIKTFIMKAIASTFHRKAFFDRIQESLFHQYVLQTLSGPPLMEMAENVGREPSGRVSLSRAKEEKGTPKEIDVAKLRRMSPEKVSAWTMKGLITAIRGSRLSTISQSIESFDEEVDDTEQKDKEINSEWEAKAAANAIFKNVARSGYRHIEELDLLRFFSKEETALVLPMFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVIQLHNLMRVLVIIIIIIITLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTVLLKNDNEKVYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSIESIGALKSRIKAYLESKPTHWHPIHTVNLKDILDVNKINMSLSAQHTMNFQNIREKNIRRSELVMELKKIFEEMSISYHLLPQKVELSYVGANPLPMPVSHR* >Brasy1G028300.1.p pacid=40055171 transcript=Brasy1G028300.1 locus=Brasy1G028300 ID=Brasy1G028300.1.v1.1 annot-version=v1.1 MDANNARLLLLLFPVVVVVVYLRPRRAPANHCPHPNPILGNALPLLRNLHRFLDWATDLLAASPASTIEVRGVLGLGRGVATACPDAVDHLLRANFPNYVKGARFAVPFADLLGHGGLFLADGRLWSLQRKLASYSFSSRSLRRFSARLLQAHLHARLLPFLDAAAAEAGVVDLQDALRRFAFDNICGVAFGVESSTLLQAGEEDRRHHEEFFAAFDDAVEISFARLLHPTTLLWRAMRLAGVGRERRMRQAVATIDQYVMAMIDQAEQQQQQPRDDDGEQHLLSRFAAAVDDEESTGGVSGELGEMFRSPDAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERCVYQEVASSSSCYPGDDCYEELKGMHYLHAALTEAMRLYPPVPINSRVAAAADVLPDGTAVRAGWFADYSAYAMGRMPRLWGPRCREFLPERWLTGDGGEFVAADAARYPVFHAGPRMCLGKEMAYVQMKAVAAAVVRRFRVETVPAPASMDAPPPYEMAVTLRMKGGLRVRIRRREDGEEDPI* >Brasy1G005300.1.p pacid=40055172 transcript=Brasy1G005300.1 locus=Brasy1G005300 ID=Brasy1G005300.1.v1.1 annot-version=v1.1 MIFPPAFLDSSSCWNTNHNNQLQQLANGSDHLTTSSPSAAAAGVPGNNSSNQLSHHQEGGGLQVMEPTAAGAGAGGDEAASNNNSKAMSMSERARLARVPQPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRSSKPKSSSSAAATASSSPAPATANTTTCSTAAAGAAPQSSTTLQSMFCNNSNNSNMFDLGLSSSSSFPFLQQQEQQQWAQAMQQSFPFLQAMDMGMGQPMPMPAATMQGMFHLGLHTGAGDDDNAHFGGGHHMAQLPPAPGKRTSSEQQQDYAAPISRHGGNGMYGDHQHVVNGGGGGYAFYSSSSAAGN* >Brasy1G545600.1.p pacid=40055173 transcript=Brasy1G545600.1 locus=Brasy1G545600 ID=Brasy1G545600.1.v1.1 annot-version=v1.1 MRKGLVIQVVLWYFAQRFIPLLVRCLCHCSSFIPFPCPARPVAYCAFVVGLLDLALDALIPSCYLQPASHLFLDFLLFLLRPVDLHQIPYGSGMSAAHASSGLAFVSMHSSHAPLKRFYPCLCLLACPSILFLVPCRCYCFS* >Brasy1G331100.1.p pacid=40055174 transcript=Brasy1G331100.1 locus=Brasy1G331100 ID=Brasy1G331100.1.v1.1 annot-version=v1.1 MSRARSVVVRRQFPASVLVAAAWIVFSVASSGSEAQLEVGFYNCTCPRAEELIRTVVRAAIRRDPGNGPGLVRLFFHDCFVRGCDASVLLDDVPGSSTGTVEKMSQANNPSLRGFGVIDRAKRVVERRCRRTVSCADILAFAARDASFIMGSGIGYYDVPAGRRDGRVSNASEVLNNLPPPFFNASQLVASFGAKGLTADDMVTLSGAHSFGRTHCATVAFRLFPRLAADMDVTYGRFLRRQCPAATRGRRDPVVELDPVTTLLLDNQYYDNVVAGKVPFTSDATLLTRNDTAALVGLYAGNRTLWAARFADAMVKLGNLDVLTGDQGEIRKFCNRVN* >Brasy1G122600.1.p pacid=40055175 transcript=Brasy1G122600.1 locus=Brasy1G122600 ID=Brasy1G122600.1.v1.1 annot-version=v1.1 MDAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLAALAADLDQRERQVMLQGAPGADATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVVPLDSPEAGSSLFDPELEIAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAYIDTLKGSGWSIFAVRGNFPKECPIATEGSNGFGQWLMPDDAQRITASCNQVQTPTQQEHIPLGGQSEGMSEMDVFAAQQEEADLNAAIAASLMDSGGPFAGHGAAQEECRTQDALASEASNVEEQGANKSEASEPGSDSIEESAPGSYPRERSPPLERK* >Brasy1G301000.1.p pacid=40055176 transcript=Brasy1G301000.1 locus=Brasy1G301000 ID=Brasy1G301000.1.v1.1 annot-version=v1.1 MRTYPSPLDMGGSAAEGDELLEKALRESAADQKVVHAYSLSFDAAVEESNRSFERERGVRPDASCQHDADMGITEDEEEDGWSDEDEDGSDGDEDGEEGEDDVDHGGEDEHQEVIVISSDDE* >Brasy1G275200.1.p pacid=40055177 transcript=Brasy1G275200.1 locus=Brasy1G275200 ID=Brasy1G275200.1.v1.1 annot-version=v1.1 MTASARRRRRPEHAHTRYTCNLSSLATDGLSERAEEAMGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKVAISMILQRYVFELMPTYVHAPYTVLALHPQHTVPVRLHRR* >Brasy1G275200.4.p pacid=40055178 transcript=Brasy1G275200.4 locus=Brasy1G275200 ID=Brasy1G275200.4.v1.1 annot-version=v1.1 MGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKVAISMILQRYVFELMPTYVHAPYTVLALHPQHTVPVRLHRR* >Brasy1G275200.6.p pacid=40055179 transcript=Brasy1G275200.6 locus=Brasy1G275200 ID=Brasy1G275200.6.v1.1 annot-version=v1.1 MGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKVAISMILQRYVFELMPTYVHAPYTVLALHPQHTVPVRLHRR* >Brasy1G275200.5.p pacid=40055180 transcript=Brasy1G275200.5 locus=Brasy1G275200 ID=Brasy1G275200.5.v1.1 annot-version=v1.1 MGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKVAISMILQRYVFELMPTYVHAPYTVLALHPQHTVPVRLHRR* >Brasy1G275200.2.p pacid=40055181 transcript=Brasy1G275200.2 locus=Brasy1G275200 ID=Brasy1G275200.2.v1.1 annot-version=v1.1 MGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKIRGPIPNEKKAPCSFDALETPSENLPGLNLLASFPQISGSC* >Brasy1G275200.3.p pacid=40055182 transcript=Brasy1G275200.3 locus=Brasy1G275200 ID=Brasy1G275200.3.v1.1 annot-version=v1.1 MGAGTSAAAVALFRDASPWSVLAGAAAVAILCCAVQILEWAWWAPRRMDRSLRAQGLRGTQYRFFRGDLKDEQQLMVAALSRTVPMDRAHDIVSRVAPLLHRVMEEHGKLSFTWFGPCPRIIITDPELVREVLSNKFGHFEKTKLARLSKLLVGGLAVLDGEKWIKHRRIMNPAFHAEKLKRMLPAFSTSCSELIGKWENLFAVSHGGIQLDVWSEFQNLSGDVISTAAFGVSHQEGCRIFLLQAEQAERLVQSFRTSYIPGYSLLPTENNRRMKAINKEIKGILRGIIEKRQKSMQNGETNEDDLLGLLLESNMDYSDSDGKLSKGMTVEDVIGECKLFYFAGMETTAVLLTWTVVVLSMHPEWQDRAREEVLHVFGQSKPDLNGLNRLKVVTMILNEVLRLYPPVVQINRRTNKKIELGGVMYPQGVMLALPLIFIHRDPSVWGDDADKFNPGRFSEGVSKACRDTGAFFPFSWGPRTCIGQNFALLEAKIRGPIPNEKKAPCSFDALETPSENLPGLNLLASFPQISGSC* >Brasy1G430400.1.p pacid=40055183 transcript=Brasy1G430400.1 locus=Brasy1G430400 ID=Brasy1G430400.1.v1.1 annot-version=v1.1 MSCSLSSSPPAVDVDRRRRPGQKAEAEGRPALDMLDEFWFFSNTLGKQDDGKGMRRPPLLPKSPSTSSASGGRAKGHGSAEHQQQAGNSSRSRFFASGCRRLLRTPSLPAPRIGMEELPKDEEIVDEDASGGGDQEVEVEDDDLNWSSIYEGVLRTRIAEEERRSSSAAALHRAPSMPVPPSATMGGRRGGGHETATMSTPSMPRLQHSHSTLERHCRSHTPTKPDRSTPRTTTAGGSKPGRGQPRRELRSFSAAQQPAIARHKSALQLQDKMWKSSSALESIEVQGFKDLGFVFDKEELRESLADVLPGLRDSKAAAKPGKSSGSGSGSASDDDANGNGNGNESAVGDGGIVRRPYLSEAWHHGARSAPPAAAGIRLQQADARSAAEMKDQIRMWAQAVACNVRQEC* >Brasy1G203900.1.p pacid=40055184 transcript=Brasy1G203900.1 locus=Brasy1G203900 ID=Brasy1G203900.1.v1.1 annot-version=v1.1 MGHNASTCLRKIRCKECFKAGHILRDCPARPTSTARQPDAAAASSVLAGACSSPPIPESVADTYRSSETPLATVERVFRDPNLPSHSFQSMANFDIDPTPYVPRGQEIEDGGPFRLQRTFVTLSGAPTRRHESYLIAMIEPPPPVAEVPAVIAQVHAYLVEVLHFEVVSLCRHPSGLARFRMRNAIERDAVVNLPAVAFGLNRILRFVKHDEANNYRSTDFTRNGWIMLLGIPLDLQDDAFITQAVETFGKLEYWTQRDSTDVRVLAKVIYEDATTVPRDIVVREVMVIGGRTVSWTIPVYILNSNFADELPPLEDQLPPDGGNQHLLPGHLPPMQPQPEWIPPADNEGHIPEPWGNWQPDQGDDDGMEGVVDEISVTPPSSVSSGYMQRAVGPVQVLDISVCSRKEHDSWAIVPFCLPKPVSQPLIQQFWALQFTLALPQILSAQLPSPMPRSAVFAPVVPVKLCKRSWSAAFVEGSGFVMSWIPLDAELPPRAAPRRVARILNFDTTEDASLPVAAPVFAQSPVITPKKRGRPARSTTPVSSMGLRRCTRQSIRQGGFKLVSPVDTTKRGKKARPSASGPDRPVIPFLTVTQARRIGKDLEIASEDLSDAALMGASSAAHSTGHNDN* >Brasy1G261200.1.p pacid=40055185 transcript=Brasy1G261200.1 locus=Brasy1G261200 ID=Brasy1G261200.1.v1.1 annot-version=v1.1 MMGIDLNTVEEEDEEEGGTAAEPARGAVCLELWHACAGPVGPLPRKGSAVVYLPQGHLEHIGDAGSAAAKVPPHVFCRVVDVNLQADAATDEVYAQVTLVVDNEESKRRVRDGENEEARDGDGEEADAMKRLPRIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLPRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRVAQLKNVSPFPAPHNQDPGHSSLGNVAHALAVKSTFHIYYNPRLCHSDFIIPYWKFMRSVGQPFSAGMRFKMRYENEDASERRSTGIIIGSRESDPKLHGSKWKCLVVRWDDDIECRRPNRVSPWEIELTGAVSGSHLSTHHSKRMKPCLSQVNPDMLLPSGSVSSDFAESARFHKVLQGQELLGFKTHDGTVSASSQASEARNFQYTDEQSCSINLSNITGVPRLGAGTPPGNHGFSYHCSGFGESQRFQKVLQGQEVFRPYRGGTLSDAVIRGSGFSQPDGSHASGATYKWLAPQGCDYRGPTTPAMPQASSPSSVLMFPQTSSKVPSFEYVYRSLDKDENIRLNPTQGMGRTNRTLPLWPHLVSGKVIDECTGSEKLQTPDMGPEHEPNDNDVHTNVCKIFGISLTQKVQAGDEVDCGNGNYHSRPLSSKPQMLKSLRNSCATVREQRPAAVGMVVDVSAVDTMI* >Brasy1G056000.1.p pacid=40055186 transcript=Brasy1G056000.1 locus=Brasy1G056000 ID=Brasy1G056000.1.v1.1 annot-version=v1.1 MAKIQPLPAAAGSPSSSSDEVACTTTTTYTVWMKSLVFNGSGCTVYGADGAVAFRVDNYGCRGGRDACFFMDRAGKALMAVRRRGFGAFRRWEVSRCSSRHCAGAEEDEIETAAPWFVVRRGRKGGAKAVVAMHGGKGNCYRVDVEGKKKEAGYRVVGAVDGAVAAEVARKKTAAGVPLGDDVFTLTVGPGTDRLLALGLVVVCGLMNRSM* >Brasy1G505600.1.p pacid=40055187 transcript=Brasy1G505600.1 locus=Brasy1G505600 ID=Brasy1G505600.1.v1.1 annot-version=v1.1 MSPSKQQTIGAEIQSKNVHGIKMIITRSYSLKNTTCDFSVIFCMYTGQYLRSENEMLRWQLACKTKELEAETIRRLKVELDSKKKKKKKENKSLRKENEKFTTTEKAKPARNRRLCRCCGEYVFHDYRNCPTRRACASSEDAEGNDCDSQLQAR* >Brasy1G528200.1.p pacid=40055188 transcript=Brasy1G528200.1 locus=Brasy1G528200 ID=Brasy1G528200.1.v1.1 annot-version=v1.1 MGGSDQQQPGGNGGEEERTRGPWSPEEDETLRAMVGAHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPRVERRAFTADEDDAIAAAHARLGNRWAAIARILGNGRTDNAVKNHWNCSLKRRLRAAAGGDGGDDAERRAWKRVSVSPESLSGSGSGSRSGSDRSDLSHGGGVFGGRMVYRPAADCAMSRCRRDEEEDDGPLTSLSLSLPGMAQDQGSFSFSRSHVQQPPSPYPFSPEFAAAMQEMIRGEVRRYMAGDDDLPMPPQRVVDGVMSPESRGFSSRSGGGTSPMEWRRQQWLA* >Brasy1G375600.1.p pacid=40055189 transcript=Brasy1G375600.1 locus=Brasy1G375600 ID=Brasy1G375600.1.v1.1 annot-version=v1.1 MAVSGSGAAVDTGADADRARLHQLGYNAMSNFAFSFSIISVMAGVTTTYNAGLRYGGQASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGHKWAPLASWITGWFNIVGQWALTTSTDFSLAQLVQVIILLGTGGANGGGYMASKYVVLAIHGFFLILHGLINSLPIRWLSWFGHLGAFWNTVGAFVLVILIPAVAKEKASTEFIFTHFNTDNGMGIHGKPYILALGLLTSQYSLLGYDASAHMIEETKNADWSGPIGIITSVALSTMFGWIFLVALTSIVTDIPYLLSPDNDAGGYAVAQALYTAFHGRYGSGVGGLVCVGIVAVGIFLAGVACIASNSRMGYAFSRDRAMPFSHVWRRVTQNEVPLNVVWLSVVIAFVMALTSLGSQVAFQAMVSIATLGQYIAYALPIFFRVTTARKSFVPGPFYLGRYGVAVGWAAVLWVAFLTVLFSLPVAKDNFNYTPVAVGGVLLLSMAAWVVHARFWFRGPITNVEL* >Brasy1G375600.2.p pacid=40055190 transcript=Brasy1G375600.2 locus=Brasy1G375600 ID=Brasy1G375600.2.v1.1 annot-version=v1.1 MSNFAFSFSIISVMAGVTTTYNAGLRYGGQASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGHKWAPLASWITGWFNIVGQWALTTSTDFSLAQLVQVIILLGTGGANGGGYMASKYVVLAIHGFFLILHGLINSLPIRWLSWFGHLGAFWNTVGAFVLVILIPAVAKEKASTEFIFTHFNTDNGMGIHGKPYILALGLLTSQYSLLGYDASAHMIEETKNADWSGPIGIITSVALSTMFGWIFLVALTSIVTDIPYLLSPDNDAGGYAVAQALYTAFHGRYGSGVGGLVCVGIVAVGIFLAGVACIASNSRMGYAFSRDRAMPFSHVWRRVTQNEVPLNVVWLSVVIAFVMALTSLGSQVAFQAMVSIATLGQYIAYALPIFFRVTTARKSFVPGPFYLGRYGVAVGWAAVLWVAFLTVLFSLPVAKDNFNYTPVAVGGVLLLSMAAWVVHARFWFRGPITNVEL* >Brasy1G375600.3.p pacid=40055191 transcript=Brasy1G375600.3 locus=Brasy1G375600 ID=Brasy1G375600.3.v1.1 annot-version=v1.1 MASKYVVLAIHGFFLILHGLINSLPIRWLSWFGHLGAFWNTVGAFVLVILIPAVAKEKASTEFIFTHFNTDNGMGIHGKPYILALGLLTSQYSLLGYDASAHMIEETKNADWSGPIGIITSVALSTMFGWIFLVALTSIVTDIPYLLSPDNDAGGYAVAQALYTAFHGRYGSGVGGLVCVGIVAVGIFLAGVACIASNSRMGYAFSRDRAMPFSHVWRRVTQNEVPLNVVWLSVVIAFVMALTSLGSQVAFQAMVSIATLGQYIAYALPIFFRVTTARKSFVPGPFYLGRYGVAVGWAAVLWVAFLTVLFSLPVAKDNFNYTPVAVGGVLLLSMAAWVVHARFWFRGPITNVEL* >Brasy1G255500.1.p pacid=40055192 transcript=Brasy1G255500.1 locus=Brasy1G255500 ID=Brasy1G255500.1.v1.1 annot-version=v1.1 MWGRREHTAHGRTDGYPRVISDLSGPDPKADLNSQTLPSLSFLSFGSSPLSLFDDVGERDRRKSLGFRPPPRAMAETSQYQILVRLLDGRTRCLRFSTPTVSGAALLDAVSALSRVPAASLRLVTGRLDISPSSVLASFSDGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRHLEKLAEKFIQKKAKEAGRGGVRAAEVDKYLEKFRKDAESCVNAVEESVRASLGKRKAAPKPRDSKKLKIWMGKKKVEDDDSDSDSDVDDDVRPDAKPKALDDGNCSNGSNKSEEEKVDLGSVSASHSEGEYSGEKSQSSGSGENENCPQESMELTTRSGCDFGSASSLAYQGEVVVQPATESTSENGTSENGISALSEEVLKTDGKADVDNTGSATSLFDEPDVPPVEESADGNNKSLLSEKPVDLTEFTSAAELEALGMEKLKLELQTRGLKCGGTLQERAARLFLLKTTPLEKLPKKLLAKPNTGGK* >Brasy1G508700.1.p pacid=40055193 transcript=Brasy1G508700.1 locus=Brasy1G508700 ID=Brasy1G508700.1.v1.1 annot-version=v1.1 MSISKKNTGALCLVALMAMAAVLISSCAAHNEMDGALSGVKVKCWLDYLKNCTLEGCIQYSSKAGRPPATAAYCLNSESCCCPVYSLAN* >Brasy1G020100.1.p pacid=40055194 transcript=Brasy1G020100.1 locus=Brasy1G020100 ID=Brasy1G020100.1.v1.1 annot-version=v1.1 MVEEPSTSSKCLQSCASDGQISINLVGQEGIFFRFFQSVSVKWHGSGVLGFSSLSQRSH* >Brasy1G534800.1.p pacid=40055195 transcript=Brasy1G534800.1 locus=Brasy1G534800 ID=Brasy1G534800.1.v1.1 annot-version=v1.1 MGPPSYRLAAAITAPSSGEFLVVRQQPPPSAAPGEDEYRRYVDSDLYDLPSAPLLRLAEELAQSGVAVAGADSLAGRLDLSRLDVPAALDQILNPLGLTTAMCGKWRLLKYVEEAEFGPDAGVNTVLIAGSLESKPETLQDSCKWMSKEGAWELLSEVKPGSARIGPYAYIGLLKSEVSSNQTAASALVSQEYPPGLTLVPMKSRTLAPFRTTNLVVIQATGDARGSKRSDFFACGDALLIDPGCCSQVHGELADLINSLPKKLVVLVTHHHNDHVDGLSVVQRCNPDAVLLTHENTMKRIGKGNWSTGYTAVTGGENICIGDQELQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGHGSATLDSRAGGNMKDYFQTTYKFLEMSPHVLIPMHGRINLWPRHMLCGYLRHRRAREAYILKTIENGAQTLFDIVSKTYGDVDRKLWIPASFNVRLHVDHLNSQHKLPKDFSMEKFESSCGVHFIFRWAVAMAYARARSSPAILGASALAGGLAIAYALRRNNGN* >Brasy1G501800.1.p pacid=40055196 transcript=Brasy1G501800.1 locus=Brasy1G501800 ID=Brasy1G501800.1.v1.1 annot-version=v1.1 MGLLGVERTTILLLCSCGGRGGDPSLRRRRRRRAAISSSSPGPADGDGGARAPRPPQTRAWRSSASAGEMAAARPISSATASPQSPQIHLPPYRARTPAMYRAVHSSVNPQHSHATSPSSSSRCAPAPAASIASAVVLLPSSCDAHKILPRVRGGRGRVPAFRLSLVVARALAFIGAQGGPAVEGIMARNGVTRGASALDGRHDRCRQVGGWLGVVSLLVPG* >Brasy1G274800.1.p pacid=40055197 transcript=Brasy1G274800.1 locus=Brasy1G274800 ID=Brasy1G274800.1.v1.1 annot-version=v1.1 MVLGALISPASLRWSFLICGALGFVLLWQAAARLLDRLWWHPRRLERALRAQGVRGTPYRFLVGDVNAYERQNKEARSRPMPLRCHDIAPHVAPFLHNAVREHGKTCISWFGPFPKVTISDPGVTKEVMSNKFGHFEKLKFPALSRLLAEGVGTYEGEKWVKHRRILNPAFHLEKLKLMLPAVSTSCEELVSRWTQSLGSDGTYEVDVCPEFQNLTGDVISRTAFGRSSYLEGSRIFQLQSEQADRLIASVKKIIIPGYMSLPTRNNRRMHQINNEVESILHDLVGKRMQAMQEGESTKDDLLGLMLESNMRNTDENGQSISGMTIKEVVEECKLFYFAGTETTSILLTWAMIVLSMHPEWQDHAREEALSIFGKTKLEYQGISRLKTVSMILYEVLRLYPPAVAFVRRTYKEIEIGGITYPAGVLIELPVLLIHHDSDIWGSDVHEFKPDRFAQGISKASKNPGAFLPFGWGPRICIGQQFALLEAKMALCMILQHFEFELAPSYTHAPHNGKLLRPLHGAQIKLSAI* >Brasy1G566400.1.p pacid=40055198 transcript=Brasy1G566400.1 locus=Brasy1G566400 ID=Brasy1G566400.1.v1.1 annot-version=v1.1 MKIVLFKLDIVTHTASVELWVLWTTLPLVVRFILNLSGPWHGRRGMATALQVIGALNFTMVHYTLGLMQLSAARVNDYFQVWAVMLVTLEYSVKIGRPDRMSPLSALMSSFWAANHLRVQTLLLLQIPLWLIWSLNAARTISYFSSNRAAEINRENKRLVSDYMKYEHTHCHIETGDSDSSDSEFKMKQYKYLVLGEDLALHDAQRKRRAATPYRIQLDPDHKDLVTIEKIWDVAAAGSGLLGDRDAGNRFKDVCLSFALYKMQRRRFHNLPIHEVSQEKARRLVFDYILQENNNYERAFRVTEAELSFLQDFFYSKHAALFANGFPTFHMLLSLSLVAATGYIAYPVSDIPERMDQADLNRITHGEMSEIFLYVFSQWTKVRMICMYVKHRCLWHPLAEAAMRTVFRFITRGKWDGKIFQYNLLLSSSRLSFKARWQCNALCRILPRRIKMEPEVTKVIFESFKELQQHPERLESYFSNAFRSNEALMQQLTWAIELEADTHRLLVWHIATCLCEIQLCDEPTSLKTVSLRTRLLVSKSRASKTLWPHYLTAASLSNYCAYLLTKKSLPDNDFVVNLVFQEVKKETSCATKSCPQSLQGVYENVMKVLEPEEVANGEPSEVSKGEDTIDHEENKGEDEIDEEVPTGEDISNEDKPDRDHLSPEKSIVLMGAKLGKQLIDNYAEDKEGLWRDLEEFWAGFLLHLAASTSAAKHQRRLAGKGELITHIWFLLSHAGFLGENRHGETVFTSDCDEVLD* >Brasy1G039800.1.p pacid=40055199 transcript=Brasy1G039800.1 locus=Brasy1G039800 ID=Brasy1G039800.1.v1.1 annot-version=v1.1 MSVLTCVLESMGSSCSRPHLNEAEAAENGKSAEIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYISVIHANVYQTIKILYDGAKELAQVEPESSKYVISPDNQEIGEKISEIGGRLDYPLLCEELVHDIRKLWEDPAIQETYSRGSILQVPDCAQYFMENLDRLAEADYVPTKEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVVFCAAISEYDQMLFEDEAQNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLTVCDWFKDYQPIAPGKQDVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREET* >Brasy1G315800.1.p pacid=40055200 transcript=Brasy1G315800.1 locus=Brasy1G315800 ID=Brasy1G315800.1.v1.1 annot-version=v1.1 STRRDGVSPNSLSLDQSQTPQRCPPAPPPPPPTPTARAAPPSDARCPPALAAATQAAADSYCPRAADSSRSPPSSQAPPPSLASRPAPSRRRRPFE* >Brasy1G403500.1.p pacid=40055201 transcript=Brasy1G403500.1 locus=Brasy1G403500 ID=Brasy1G403500.1.v1.1 annot-version=v1.1 MCEDRFFCFLLETSIVPALVPYRQGCMVSLRLPHTASDLVAPLSSQVYHRTSDAVTEEAAGSRKNIERDHEAREPTKQVQKETPKTKQHDQDPKSRGNPGDTEGKRSGAAPNEAPHASQRPLSCRGLSLRTCGGVLPRVLLPAAGSSEPSTSIKTLSPRARLPSIRLIRALFSAAPGAAPQFSLVSSARIPRFRWEPIRELWGAMAPASGQWAWMATVAAEELAKLEAAHPGRSLGPLKAELERIIADPGWDDDDAFPLAFLGDGVPSSSQSQPAAPPDLTPLTQESSTEKRKWVGGGAAVDREKQGKRRRRKTAAQPRAKKDRADMLIERAEEYLKTIRAVKRSLRPCSED* >Brasy1G399200.1.p pacid=40055202 transcript=Brasy1G399200.1 locus=Brasy1G399200 ID=Brasy1G399200.1.v1.1 annot-version=v1.1 MGFQEGVGGGGIRALTRRQQVDSDKTRASVVQQLAKELSIMLLIAIDANFSEVFFFLFSVLDSGFSFLYCTVLFWLLLSLFLLSSVPVSSNSTVMQLVVNQEFYQGHISTALVLGGVDFTRPHHTDFIHMVQWRHCLLQQWVQYPFDAMSVC* >Brasy1G556300.1.p pacid=40055203 transcript=Brasy1G556300.1 locus=Brasy1G556300 ID=Brasy1G556300.1.v1.1 annot-version=v1.1 MEDFQLLARLSYVGRASSASRHTNPTRTDKPGPHDRADGSPDVAKAEPDSDPGSSRTASSLSRRASARRPSPASAAARLPRHAALSTLLLWPVPDPSTSGRGRSRDAAARRAEEQMLRVGSALGSGELVVLEPPPPLPAAPELLLLTPPEKISGPDPERRCPGGHGGLLSAASSPECVLLTPPPPLAPSPAASAALFADPADEDDDEDPRRRLISSYVHRDLRQLLVLFFEPRAAPAVPASPVFSGRRPTPSTDKLQRPSAALEHPLTLVLPAAVRLHPPLHSSPPASLRRRAPPRTALVRHSHAPAILPTPLPCQCRAGEQLRRVSGELQRRSQPEQETRQPCHRRLVSPVAASSRRTAALQIGAAAPSSPRYSPAPSEALRLPRRSHCSSAAEP* >Brasy1G404900.1.p pacid=40055204 transcript=Brasy1G404900.1 locus=Brasy1G404900 ID=Brasy1G404900.1.v1.1 annot-version=v1.1 MSAGSQEHESPYVSHAVSWMTLCETREAPLAPWPADLLAVNIRYSANIRCVSRFTGGSFQRAAVVAEDDFLVDLFGAEDLRSEAACRAAVRRALRMLLERAEYYGYRAADGGDALVPPGLVDAILAAAAAVAATRDGADLRNISYRCDVSMEVNVTNVYSEAKAIVLLCSGAAAAGAGRHDVGREALCPICMEELAAHDAMSLPGCSHVFHRGCILEWLHRAPTCPSCRHDMMQVGLDASQAEPGSARARPKPEEREPSPARPETISSLDPRLGSGLAKARAELASSTSLAAERAQNMQFSIF* >Brasy1G458500.1.p pacid=40055205 transcript=Brasy1G458500.1 locus=Brasy1G458500 ID=Brasy1G458500.1.v1.1 annot-version=v1.1 MDALARLHRSLAGGDDEEQPGEDILGDTEGLCSLSPLQRVYGFAACLVAGLALMMLSLLVFARPIKFAVMFTFGNIMAVGSTVFVMGPNQQLRMMLDPVRVYATAIYVGCVVFALIFALLVHDKLLTLIAIICEICALFWYSLSYIPFARRVVSDLMVKLCDTEL* >Brasy1G486200.1.p pacid=40055206 transcript=Brasy1G486200.1 locus=Brasy1G486200 ID=Brasy1G486200.1.v1.1 annot-version=v1.1 MPLVLYSKTCILSLQHLVHEQKSPFLSHIYILHYRTRTSNIPPNFQLGIHKAVRHLEHLVHEQEVKLSTSLLFCLCSLCSLHLQRLKDPRRMNRPQRMWHHIHSKSISHIILKLFHCLQKVISEVSWEIRIEAILKDYQIRIWNVIAGSVKKLSIWRKRGSATHPEFSLDLMSDQENFMSIRQSPP* >Brasy1G277700.1.p pacid=40055207 transcript=Brasy1G277700.1 locus=Brasy1G277700 ID=Brasy1G277700.1.v1.1 annot-version=v1.1 MALPCPLASASCSEGLKPSSSRSTPRAPPLCRRPPYRPHIGLHRNLQSIIVLLQAGGHMTGAGRAAPAGTDARYAPGRRLSPAPPHEPEAEQHEVGRRLRLEPPDVLVRLLGRRHRSRARCRRHGLRSSPRAPPPLWPPARPTAAPLALASSPLHASAALPAPAAPALPARVCRRRRRPRAASPPPPPHGRATADAVTRVNCRRCSTLREPPHARAAADALGKAIGIDPIHAGKLRLPRWH* >Brasy1G263800.1.p pacid=40055208 transcript=Brasy1G263800.1 locus=Brasy1G263800 ID=Brasy1G263800.1.v1.1 annot-version=v1.1 MAKPAANQKASDAATAAAPAVASPFEFHVYGPRKLSSPSWRDLLSSSWKNPNYRRMTIACFIQGAYLLELDRQEKRDARTALAPQWWRPFKYRLVHPLVDERDGSIYGAVLEWDRQAALSDYIPFRPARAPGAVVALRGTLLSAPTFRRDVVDDLRFLAWDSLKGSVRFAGALAALRGAARRFGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSLSLAMSLRGFAETAGELWGRVRAWIPYVGGGGGGTQQAAADADSGGESEAKASLARAGMGKWLPHLYINTNDYICCYYSDAASGTATVAVGGGNGGTSGSSKAAGVARMLVVSKGPSKFLAAHGLEQWWADDVELQVALNHSKLVDRQLRSLYAPPPAATGAGT* >Brasy1G194800.1.p pacid=40055209 transcript=Brasy1G194800.1 locus=Brasy1G194800 ID=Brasy1G194800.1.v1.1 annot-version=v1.1 MAAVSSKAVALLAAVMLALLASAVAVRVVDREEEFALGSLAPGLAPAPAPAVHGAAAASAVAPGAWAVAALVSLLAFLAH* >Brasy1G168700.1.p pacid=40055210 transcript=Brasy1G168700.1 locus=Brasy1G168700 ID=Brasy1G168700.1.v1.1 annot-version=v1.1 MAAAVVATTGNVEFIRARSDKREYRRVVLPNALECLLIRDADTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYISEHGGSSNAFTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLLDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSLVESLFINIKNTDQRSFKCPSQPLSAEHLQLLVKAIPITEGDYLKISWPVTPNIHFYKEGPCHYLSHLVGHEGEGSIFHIIKELGWAMDLVAGSGSDSNEYSFFSVGMRLTDAGHEHMEDIIGLVFKYLHLLKEDGIHEWIFNELASTNETAFHYQDKVHPISYVTGTVSGMRLFPPEEWLVGASLPSKYAPNRINMILDELSSERVRILCESKKFEGTTNCAEPWYNTSYSVENVTPQMIQQWIQKAPTEKLHLPKPNIFIPKDLSLKEVCDKVKFPTVLRKTPLSRLWYKPDMLFFTPKVNVIIDFHCPLSSQSPEAAVSTSLFVDLLVDYLNAYAYDAQIAGLFYSIYLTSTGFQVSVCGYNDKMRVLLHAIMKQIATFEVKANRFSALKETSVKDYQNFNFSQPYSQASYYLSLILEEIKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSLIASQPAFNQLRTVEQLGYITYLSTRSDRGVRALEVVIQSTVKDPSYLDARIDEFFKMFERKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIESGTLKFDRIESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLHPSLKAGPGRVTMD* >Brasy1G168700.3.p pacid=40055211 transcript=Brasy1G168700.3 locus=Brasy1G168700 ID=Brasy1G168700.3.v1.1 annot-version=v1.1 MWSSSARAATSGSTAAWCSPMRSSVCSSATPTPTRRRRVWRSAWARSATRRGSRASRTSSSICSFMPARNTLENKIIQNISPRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLLDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSLVESLFINIKNTDQRSFKCPSQPLSAEHLQLLVKAIPITEGDYLKISWPVTPNIHFYKEGPCHYLSHLVGHEGEGSIFHIIKELGWAMDLVAGSGSDSNEYSFFSVGMRLTDAGHEHMEDIIGLVFKYLHLLKEDGIHEWIFNELASTNETAFHYQDKVHPISYVTGTVSGMRLFPPEEWLVGASLPSKYAPNRINMILDELSSERVRILCESKKFEGTTNCAEPWYNTSYSVENVTPQMIQQWIQKAPTEKLHLPKPNIFIPKDLSLKEVCDKVKFPTVLRKTPLSRLWYKPDMLFFTPKVNVIIDFHCPLSSQSPEAAVSTSLFVDLLVDYLNAYAYDAQIAGLFYSIYLTSTGFQVSVCGYNDKMRVLLHAIMKQIATFEVKANRFSALKETSVKDYQNFNFSQPYSQASYYLSLILEEIKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSLIASQPAFNQLRTVEQLGYITYLSTRSDRGVRALEVVIQSTVKDPSYLDARIDEFFKMFERKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIESGTLKFDRIESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLHPSLKAGPGRVTMD* >Brasy1G168700.2.p pacid=40055212 transcript=Brasy1G168700.2 locus=Brasy1G168700 ID=Brasy1G168700.2.v1.1 annot-version=v1.1 MSQDAVLREIKAVDSEHKKNLLLDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSLVESLFINIKNTDQRSFKCPSQPLSAEHLQLLVKAIPITEGDYLKISWPVTPNIHFYKEGPCHYLSHLVGHEGEGSIFHIIKELGWAMDLVAGSGSDSNEYSFFSVGMRLTDAGHEHMEDIIGLVFKYLHLLKEDGIHEWIFNELASTNETAFHYQDKVHPISYVTGTVSGMRLFPPEEWLVGASLPSKYAPNRINMILDELSSERVRILCESKKFEGTTNCAEPWYNTSYSVENVTPQMIQQWIQKAPTEKLHLPKPNIFIPKDLSLKEVCDKVKFPTVLRKTPLSRLWYKPDMLFFTPKVNVIIDFHCPLSSQSPEAAVSTSLFVDLLVDYLNAYAYDAQIAGLFYSIYLTSTGFQVSVCGYNDKMRVLLHAIMKQIATFEVKANRFSALKETSVKDYQNFNFSQPYSQASYYLSLILEEIKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSLIASQPAFNQLRTVEQLGYITYLSTRSDRGVRALEVVIQSTVKDPSYLDARIDEFFKMFERKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIESGTLKFDRIESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLHPSLKAGPGRVTMD* >Brasy1G168700.4.p pacid=40055213 transcript=Brasy1G168700.4 locus=Brasy1G168700 ID=Brasy1G168700.4.v1.1 annot-version=v1.1 MHLVVYGKESLDCIQSLVESLFINIKNTDQRSFKCPSQPLSAEHLQLLVKAIPITEGDYLKISWPVTPNIHFYKEGPCHYLSHLVGHEGEGSIFHIIKELGWAMDLVAGSGSDSNEYSFFSVGMRLTDAGHEHMEDIIGLVFKYLHLLKEDGIHEWIFNELASTNETAFHYQDKVHPISYVTGTVSGMRLFPPEEWLVGASLPSKYAPNRINMILDELSSERVRILCESKKFEGTTNCAEPWYNTSYSVENVTPQMIQQWIQKAPTEKLHLPKPNIFIPKDLSLKEVCDKVKFPTVLRKTPLSRLWYKPDMLFFTPKVNVIIDFHCPLSSQSPEAAVSTSLFVDLLVDYLNAYAYDAQIAGLFYSIYLTSTGFQVSVCGYNDKMRVLLHAIMKQIATFEVKANRFSALKETSVKDYQNFNFSQPYSQASYYLSLILEEIKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSLIASQPAFNQLRTVEQLGYITYLSTRSDRGVRALEVVIQSTVKDPSYLDARIDEFFKMFERKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIESGTLKFDRIESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLHPSLKAGPGRVTMD* >Brasy1G076900.1.p pacid=40055214 transcript=Brasy1G076900.1 locus=Brasy1G076900 ID=Brasy1G076900.1.v1.1 annot-version=v1.1 MAMASWAAAWVMIVLAAAAGCGEAAAGPTAAAEAAHEVLQTHGLPRGLLPTGIAAFSHDAATGKFEAALEAPCTARSEVGLRYNATVAGEITYGRIAALSGVAAKDLFLWFDVRSISVDVPSSGVIYFDVGVVFKHFPLSFFEAPPPCTPDPLIIIQQVQRRDDGSVLDGGAALRK* >Brasy1G076900.2.p pacid=40055215 transcript=Brasy1G076900.2 locus=Brasy1G076900 ID=Brasy1G076900.2.v1.1 annot-version=v1.1 MAMASWAAAWVMIVLAAAAGCGEAAAGPTAAAEAAHEVLQTHGLPRGLLPTGIAAFSHDAATGKFEAALEAPCTARSEVGLRYNATVAGEITYGRIAALSGVAAKDLFLWFDVRSISVDVPSSGVIYFDVGVVFKHFPLSFFEAPPPCTPDPLIIIQQRRDDGSVLDGGAALRK* >Brasy1G178200.1.p pacid=40055216 transcript=Brasy1G178200.1 locus=Brasy1G178200 ID=Brasy1G178200.1.v1.1 annot-version=v1.1 MESSNPASGCFGTDRPTHLAPHSSPDAPHSPSFLSIDDRPVINLVPRRAKSVEQPAPISLKPRTRPSSRSSIPAGAGGRGAARFPVDHEVSWRGKRKKLKQVVGSLPSFFLKFLICWELRACVYRARGAPASRYHGRASARGTPDGEVNPHAYPSPPASPRLLSPQPPQAPWRGEARAGDRHALVLNLLFFTFSDACGCHCDGSCPRPDGGGGGGCGCGPGGGCDGSGRGGSGDDGRPAGGGGGGGGGGHQCPSRARVPNGMINLELGTPPKKTCCTLIHGLLDLEAVMCLCTALRAYILGININVPVNLSLHSTTAARPQECPRRFLVPMIIDHPFFP* >Brasy1G546200.1.p pacid=40055217 transcript=Brasy1G546200.1 locus=Brasy1G546200 ID=Brasy1G546200.1.v1.1 annot-version=v1.1 MSTFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEEAKSQSKALEEALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREFVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESCQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKRTPKPSVLVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKSYNKNITQKDLQLIASTVLLAALSVTPYDHKYGASHLELENEKERSSRMANLVNFSLDSKRENREMASRASLLSELAAKGVIACASQEVKDLYNLLEHEFLPLDLASKVEPLLEKIYMVTGKLSAASTVPEIKLGQYKSALEKLTTLRVLQQASRIFQSMKIDMLASMIPFFKFDVVEKISVDAVKHNFVAMKVNHLSGAVHFGNMDIESDGLSTHLSVLADSLSKARSLIHPPRHKPSKLGESLISLAGVVEKEHKRLLARKSIIEKRKEDHERQILEKEKEEETKRLSIQKKSADEERDRLLKEQRLREQTRIRKEIEEKEMREAQSMLDEVKPRKKGKKPVIEGELTKQSIMEMALTEQLKVRQEMEKKLQKLAKTMDYLERAKRQEEAPLIEEAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRLLEHKNAFQEKIVQRREAEFSSLRKERDERINQLISSRKRERETVRKLMYYLNLEEQRIQRLREEEEARRREEEEKRKREEVERKAKLDAIAAKQRERERELDEKEKQRKEALLRGAETLRTTDAAPAAVAPPAREPPAAAPAAAAAAAAPAPSKYVPKFKRGGDNSSQRPAVAPEQDRWGPREDRPRPDVRPLRQDAPPPRQEAPSARQQDGAPATTDRWRPGSRTSTNSSSSPSTWGRRS* >Brasy1G363700.1.p pacid=40055218 transcript=Brasy1G363700.1 locus=Brasy1G363700 ID=Brasy1G363700.1.v1.1 annot-version=v1.1 MATAVLVLLAAAILALSLSSCAPASATTSAAAADRITRLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEASSSAPESAPLVLWLNGGPGCSSVGYGASEELGAFRISPDGTTLVPNPYSWNKMANMIFLDSPAGVGYSYSNTTSDLFTPGDNKTAHDSYTFLVNWLERFPQYKHRDFYISGESYGGHYVPQLSQLVYRNNKGIKKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQLACEFDSSAHASKACNQIYDVAEAEEGLIDAYSIYTPTCKKASLRKRRLIKGRRPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTPCSDDLFEHWKDSPRSMLPIYHELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYEEEEVAGWCQVYKGLTLVTIRGAGHEVPLHRPQQALKLFEHFLQDKPMPRPVDSIQSF* >Brasy1G363700.2.p pacid=40055219 transcript=Brasy1G363700.2 locus=Brasy1G363700 ID=Brasy1G363700.2.v1.1 annot-version=v1.1 MIFLDSPAGVGYSYSNTTSDLFTPGDNKTAHDSYTFLVNWLERFPQYKHRDFYISGESYGGHYVPQLSQLVYRNNKGIKKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQLACEFDSSAHASKACNQIYDVAEAEEGLIDAYSIYTPTCKKASLRKRRLIKGRRPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTPCSDDLFEHWKDSPRSMLPIYHELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYEEEEVAGWCQVYKGLTLVTIRGAGHEVPLHRPQQALKLFEHFLQDKPMPRPVDSIQSF* >Brasy1G056400.1.p pacid=40055220 transcript=Brasy1G056400.1 locus=Brasy1G056400 ID=Brasy1G056400.1.v1.1 annot-version=v1.1 MLARAFALVDKGCKRPLKLSVQASTLPGRRTRMPQRSRHLHRMPAQPENFPEEQHQPHNNSGGAPSSLACISEQNCAMQQSFAAMKTSGDVPVDSIRDTAEGTFDQRFATLKSIGEERVNEHELKLLLKKKSAPICYVWCDPSPWMHISQGIMKTISVNKMVKSGCKVKIVMADWFARVNREIGGNLNKMRNIGLYNIEMWKATGMALDEVELVWLSDEISQNADKYWPLVMDIAWKNSVRRVRRCCQNRDPYVMGELTAAEIFHPCLQCAVILFQKVDLWLLGMEQHEANLLARQYCKHVKRRNKPVAVLHNTLPNLLQYPAMENRRHPARAIFMEDDKEDICFKIEKAFCPPKLAEGNPCFQYIKHIILPWFGKFEVVRKKENGGTKTFLSMEELTADYESGALHPADLKLALVKSLNKTLQPVRDHFGSNAEAKDLVKAIEEYYIAD* >Brasy1G056400.3.p pacid=40055221 transcript=Brasy1G056400.3 locus=Brasy1G056400 ID=Brasy1G056400.3.v1.1 annot-version=v1.1 MLARAFALVDKGCKRPLKLSVQASTLPGRRTRMPQRSRHLHRMPAQPENFPEEQHQPHNNSGGAPSSLACISEQNCAMQQSFAAMKTSGDVPVDSIRDTAEGTFDQRFATLKSIGEERVNEHELKLLLKKKSAPICYVWCDPSPWMHISQGIMKTISVNKMVKSGCKVKIVMADWFARVNREIGGNLNKMRNIGLYNIEMWKATGMALDEVELVWLSDEISQNADKYWPLVMDIAWKNSVRRVRRCCQNRDPYVMGELTAAEIFHPCLQCAVILFQKVDLWLLGMEQHEANLLARQYCKHVKRRNKPVAVLHNTLPNLLQYPAMENRRHPARAIFMEDDKEDICFKIEKAFCPPKLAEGNPCFQYIKHIILPWFGKFEVVRKKENGGTKTFLSMEELTADYESGALHPADLKLALVKSLNKTLQPVRDHFGSNAEAKDLVKAIEEYYIAD* >Brasy1G056400.2.p pacid=40055222 transcript=Brasy1G056400.2 locus=Brasy1G056400 ID=Brasy1G056400.2.v1.1 annot-version=v1.1 MLARAFALVDKGCKRPLKLSVQASTLPGRRTRMPQRSRHLHRMPAQPENFPEEQHQPHNNSGGAPSSLACISEQNCAMQQSFAAMKTSGDVPVDSIRDTAEGTFDQRFATLKSIGEERVNEHELKLLLKKKSAPICYVWCDPSPWMHISQGIMKTISVNKMVKSGCKVKIVMADWFARVNREIGGNLNKMRNIGLYNIEMWKATGMALDEVELVWLSDEISQNADKYWPLVMDIAWKNSVRRVRRCCQNRDPYVMGELTAAEIFHPCLQCAVILFQKVDLWLLGMEQHEANLLARQYCKHVKRRNKPVAVLHNTLPNLLQYPAMENRRHPARAIFMEDDKEDICFKIEKAFCPPKLAEGNPCFQYIKHIILPWFGKFEVVRKKENGGTKTFLSMEELTADYESGALHPADLKLALVKSLNKTLQGEYCTGDPCSPIIYYSSILK* >Brasy1G144500.1.p pacid=40055223 transcript=Brasy1G144500.1 locus=Brasy1G144500 ID=Brasy1G144500.1.v1.1 annot-version=v1.1 MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFTCLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSKPDRIREFIRAVYVDKKYAGGKSTNKPATDSESVKSNESETRRPDSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSFLQSPGRFRDQMHEDRFANESYGSRFSDFSASSTGDLRNDVLSPSSQDTGYSSPSVHHSRNVSTENLQSHRHPNAVSQIDLNGGRRSQRTASSGSFGSFDGSSGSNKSVDSGALPDAPAERPVPSAVNCQTGASSVAHSTQQYALQRSTSSSASQIAPSRESVQHAGVHMDAMVPLPVSAQPQPTAFTNQDLFDKSLMPQPATCAAPIDLFAGFNQQSASVSHSHSDVVKQTVLNVVVQKVGTPSSSVPAEAVPTSHPVHQDLFSLSILQEPATSSSTPSVDLFAGFDQQLPPISSVQHITPTAPLPANDGWAFFDTPQLGSLTSVSNVKTQVPAAFPPSDGIAKAIDQSTLPTSPSNDIMSQTSSPMMDQWSLNVEEAKVPVSNENSQAWNAFGESTGNVPHNLFALDTMSQVAPHQFTIPSVPYVGSRTPQDLGTGLPESSTPADMFPGFNVSAGALAGPSFPAPLQPQMGMVPQPVKSTNPFDMAFESDIEVNNMFMDLTSLQAALPDPNVPAEYSGNLAESWISQNSTVPYIPSGSQGGSSYIVEQVQDPHMLNSTHHGPFPPRNPFE* >Brasy1G144500.2.p pacid=40055224 transcript=Brasy1G144500.2 locus=Brasy1G144500 ID=Brasy1G144500.2.v1.1 annot-version=v1.1 MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFTCLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSKPDRIREFIRAVYVDKKYAGGKSTNKPATDSESVKSNESETRRPDSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSFLQSPGRFRDQMHEDRFANESYGSRFSDFSASSTGDLRNDVLSPSSQDTGYSSPSVHHSRNVSTENLQSHRHPNAVSQIDLNGGRRSQRTASSGSFGSFDGSSGSNKSVDSGALPDAPAERPVPSAVNCQTGASSVAHSTQQYALQRSTSSSASQIAPSRESVQHAGVHMDAMVPLPVSAQPQPTAFTNQDLFDKSLMPQPATCAAPIDLFAGFNQQSASVSHSHSDVVKQTVLNVVVQKVGTPSSSVPAEAVPTSHPVHQDLFSLSILQEPATSSSTPSVDLFAGFDQQLPPISSVQHITPTAPLPANDGWAFFDTPQLGSLTSVSNVKTQVPAAFPPSDGIAKAIDQSTLPTSPSNDIMSQTSSPMMDQWSLNVEEAKVPVSNENSQAWNAFGESTGNVPHNLFALDTMSQVAPHQFTIPSVPYVGSRTPQDLGTGLPESSTPADMFPGFNVSAGALAGPSFPAPLQPQMGMVPQPVKSTNPFDMAFESDIEVNNMFMDLTSLQAALPDPNVPAEYSGNLAESWISQNSTVPYIPSGSQGGSSYIVEQVQDPHMLNSTHHGPFPPRNPFE* >Brasy1G457800.1.p pacid=40055225 transcript=Brasy1G457800.1 locus=Brasy1G457800 ID=Brasy1G457800.1.v1.1 annot-version=v1.1 MPLYDCMLLVKPMVTKEAVAELVTRVAGRAYQRNGVVTELKSFGKVHLGYGIKKLDGRHFQGQLMQMTMMVPPSFTKELQYLNKEDRLLRWLVVKHRDAVYGLEFINEDEQGSEMDNYRYRTRSKDDDVDEYDDDDDDYEYEVEEE* >Brasy1G299500.1.p pacid=40055226 transcript=Brasy1G299500.1 locus=Brasy1G299500 ID=Brasy1G299500.1.v1.1 annot-version=v1.1 MATPSNAGVKCLNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHAVKAEPSSGSSFLLDALVEWRELNTAEDFISLYDEIIPLVQTLPQIVLHCEKIFSGLLQRVNMKARLSLEPILMLIAALSRDILKDFLPFLGRYSSAIVALLSDGGDRDPEILEQVFTSWSCIMMYLQKYLVEDVVQILRITAALRFFPKDYVREFMAESVSFLLRNAPNSQLTQGLRKALLDAAKNPSPIRIDGATALLWHVMRGTYAKLHSRAGKVMKFLLSKSILTSIDDKFPNGSSTIREVVTGVVDRLSNEVDQKELALIYTCLFEEITSCIKDDSLEHLKYLIDFLTFALQNSKQSDVIDKDNMVKLVKLLVCKYVGPGSSTGEASSSELLGSILDFLLCVLDVPVISCNLSVIYAPVFELTNLSVVVFIKKLLEKGPQIIQAFESQILGAMDNFIGSSPEEVIFILLNFFKRATNGITHGIDGTHLDGKKEVYKFFESKVFSSIELLDDIVKTGNHSSNQVSEKEAAILWGSIRCYSNMKDVPQDSLSMLNKLICSLDQLLEVEEDSISGLPKNTWRSLLGAALLSYHELLPANVSRNSESGLFLSLAKRHSTCPQVLSAVAEYLDSLHGTTSQGMTEEFDPQSLLNSFSIFGANLSSPNKNIRTLTLRILSYFAKMDKRLASDDERPYKRQRTEDSAEENIDTKYSNVVDTLLAVESTPVSVSTSRKIAIFISRIQMSISSNMVHEDYIPLLLHGIIGILYNRFSDLWPPALDCLSVLVSKHKGLVWSQFIEFISIHQSKGLTLKNQEKLEAAIHPQSIFECFSAYIFTVFEYTPLETIATLLLQSLQKIPDVAESSSRHLVPLFLNFMGYNDSSITSVDSYTSDNCKGKQWKMILKEWLNLLRVMRDARSLSQSKVIQEILTERVLDESDPDIQAKALDCLLNWKDESLMPYSQNLKNLVELKTLREELTTWAVSHDSLSIQKCHRSRVVPLVIRVLTPKLRKLKLLGSRKHTGVSHRKAILRFLLQFDSNELQLFFSLLLKSLVPGSLQLEMSCRESGNLLGNISDIVGISKEICVENLTWKKANGFLHLVEEIFGTFGMAHISPFLNALLIIVVCLLESCMRNLGNKSDEIHQSNHPDNDCSMNEEADSSINMKTCSEEMTIADDTEASASVKQLKDLRSLCIRIVSLALSQYESHDFGEYFWNAFFASVKPLIDCFKQEASSSEKPSSLFSCFMVMSQSPKLAPLLATNNLVPAIFSILTVKTASESITSHALQFIENLLRLDNDLEQEDDHSVKKILVQHMNVLLHSLHVFVNYRKELHRRSGRWLGKRELRLFKLLLNYITDPSAAEHVVDLILPFFSKKDLNSDECLEALHVVRGILPNLRVGVCAKIINALNPLLATVGLELRLCICDIYEGLSSHESSMTPLARLLRDLNAVSTSELGELDYDTRIKAYDMVQPQLFHGMREEHMGAILSHCVYDMSSEELIFRQSASRALQSFLEFSASIMNNDSECSSETPDIKPGETNSSSICTKGRIQPILERTYLHNMGLAMCKDISVQKEWIILLREMVYNFNHVPSLNSFRPLCKEDLDDDFFHNIIHLQAGKRSKALSLFRQTIKDTSFSEDVTMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSVAANVQWEHYRTILMRCFRELSLKPDKQKVILRLICAVLDAFHFTKPATNDSSKSDSMDEDSPSLTFSLTTVSSEKQRYLRKVVFPQVQKLLGADPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESVRDEARSALAASLKELGIGYLQFVVTILRAILKRGYELHVLGYTLHYLLSKTITAEMNGSLDYCLQDLLAVVESDILGDIAEQKEVEKIASKMKETKKRMSFETLKLISQSITFRTHSLKLILPISAHLRKHLTPKLRTKLETMLHSIALGIECNPSTETSNLFIFVYGLVEDTITVNESPCRENMDSHCGKEKIHRKNLLGLGESGLQNTYILTRFALSLLRNRLKSIKLHKEDEQLLSMLDPFVNLLGKCLSSKYESVLSVAFRCLSMLVKLPLPSLKDNANPIKNVLMDIAQRTGNSNGHLVTSCLKLLAHLLRGFRISLSDDQLQMVIRFPIFVDLQTNPSPVALSLLKAIVKRKLVSHEIYDIIVRVGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPLGREAVLEMLHDILTRFPQRIIDDQGQTFFLHLVVALANEKHQNVSSMILRAIQKMLGRIGDQGKNYIFEYSLSWYTGKKQNLWSASAQVIGLLVGDRSLGIGKYLQSILDVAKQIMESSVTASGGVQLDLTDETALPFWKEAYYSIAMVDRLLLHFPELYFSQNMEEIWMIVCKLLVHPHSKLRNMSSSLVASYFTSVEKRKREKLDATSSFLVQPSRLFLIAASFLKQLRTELSDTTANNLIMQNLAYSICNLHLLIKQIASPHQFWSSLGSSDHGAFLEGFELLGSTKAKNTFLLCTASSTDVAGSDLDSSEELMSLLVSSLLKRMGKIAMQMEDTHMKIIFSCFSMISSALGAEVSVTYSVHFLAPLYKVAEGFAGKVITDEVKQSAEVARDKLRDLIGVEKFVEVYNSVRKDLKAKRESRKQAEKLVAAVDPARHAKRKLRIAAKHRDHKKRKVTAMKMGRWLR* >Brasy1G537100.1.p pacid=40055227 transcript=Brasy1G537100.1 locus=Brasy1G537100 ID=Brasy1G537100.1.v1.1 annot-version=v1.1 MASSSSSTNSSNKRAREAAAADGERASSPDAAEAKRIRPEDLLDMLEDDADAAAPGADLASVMRSLEEEIEISAGEFPDLAALATAPQPELGFLLEASDDELGLPPAAGGGGSDSSSDADAGAGDLAGFGDQIWGFEDDIDGGAGVTSSPEAAAAAAAAAAEWGDDGFDAGLFGFEFAEANFGPSDLEILRYENTMPAV* >Brasy1G400300.1.p pacid=40055228 transcript=Brasy1G400300.1 locus=Brasy1G400300 ID=Brasy1G400300.1.v1.1 annot-version=v1.1 MIRVRREDVQNRIQYSNGPADNDGNVLWYSPTPRRSARQASKVSSTPVAQRATIRLAKELAVINQDEKGAAAAATALVQRFREPLSDVDIDGLAVLTRLDRDAIHRAAAKASATSAAATAT* >Brasy1G518000.1.p pacid=40055229 transcript=Brasy1G518000.1 locus=Brasy1G518000 ID=Brasy1G518000.1.v1.1 annot-version=v1.1 MVAMAGRYTGSKLDHPLAAAAAVRGRPRGQGRPFHVHRSSASTCSSSASWSPSSSSSSARCAASSSSKHGEVDGASAASPLADRVRCVESREHPWNEMQVHGGRGMHWPPERPNAHRTSTLPLSPNGTSNHVVVPWCTGTGDHSTRCPAGQCQYVPCALLSVSDEIIFLLPPPSEAEKPDTDLTRLISITVDYLRQREYNLYPIQPRSGSGPGPAIPDPDPTIRLAIRTRAPSCLPKLRRSRNPHPDAPNSAAGSGLPKPTIPTESALLTPSPSSSPSISRSALLFPCQRRRGPDVETRASKWAGTGRGRWRPDPPAAGPWRPDPPVEGRGATPAAVEQGPPARRAARAPSGGEVERGRGPSSAASGEEKGEREWRR* >Brasy1G063700.1.p pacid=40055230 transcript=Brasy1G063700.1 locus=Brasy1G063700 ID=Brasy1G063700.1.v1.1 annot-version=v1.1 MPAVWPPRAAGERDPASQRQRATCRLLHVSACETVVIKCGGAQGTTGACHRGPNGHSPALASLVITLQVSASERASGPFVYGRPPKLSFVEGCECQGPAWNPTLARTTSFRQIGAMEPAAIFAWRPALG* >Brasy1G283700.1.p pacid=40055231 transcript=Brasy1G283700.1 locus=Brasy1G283700 ID=Brasy1G283700.1.v1.1 annot-version=v1.1 MVHLRFTGASVNGQSKSRETIGDVIHGKAITTKLSLPKIRTIIKKLNPRQRDLVRARGFGTMLDIKCSQLPRDLVVRLAIWFDCDSRTVNVPNVGSFEINPFTVHQILGIPLGGKLIDKVATNEARGAIAEDTGIRSSGPSISHLSTLLSDDLTDEKFLRIFMLLLLSTFLCPTSHPCASPDYYNGIVDTDDIANHDWCSFALDWLVEKIRQFQISLSKPTVEGKEQSISLGGCLLIPLATFFDYLDLKGTKVRNCIPRLPAWDDNAINAFDNINFAQLKFKDISKTCLLQKPSCIPSCQSLPNGVAQFIDTLVPSDDDFRAKMKEMCTEFYKTSLDACFNALEPVLAKQMCTMVETVCNQVNKRASSSSTPSVNEFTNQECNSQRCTVLNDVPTRPLQTVMDTEFHNPLMGSALGMVESSGGGDICHGDLLDGDVVNGLLQLHRQGGPVMGMGETCSGALVADQAVFAMSSEHRPHSPANKGNMIINASQEAGQVTSLVGDTTLEGAEFVQHGQQSQLAAYAKEVTDGRKCTSSSPRGVDSATMQDSGMEDSAGTKAGQPESSPNVKQVSMAEKSMSADRRDNHGDLNMGITDEHIAPIATTQRSRISKRHIDLVCADSESGSRTPLKIQKNRSSVCGDK* >Brasy1G283700.2.p pacid=40055232 transcript=Brasy1G283700.2 locus=Brasy1G283700 ID=Brasy1G283700.2.v1.1 annot-version=v1.1 MVHLRFTGASVNGQSKSRETIGDVIHGKAITTKLSLPKIRTIIKKLNPRQRDLVRARGFGTMLDIKCSQLPRDLVVRLAIWFDCDSRTVNVPNVGSFEINPFTVHQILGIPLGGKLIDKVATNEARGAIAEDTGIRSSGPSISHLSTLLSDDLTDEKFLRIFMLLLLSTFLCPTSHPCASPDYYNGIVDTDDIANHDWCSFALDWLVEKIRQFQISLSKPTVEGKEQSISLGGCLLIPLATFFDYLDLKGTKVRNCIPRLPAWDDNAINAFDNINFAQLKFRAKMKEMCTEFYKTSLDACFNALEPVLAKQMCTMVETVCNQVNKRASSSSTPSVNEFTNQECNSQRCTVLNDVPTRPLQTVMDTEFHNPLMGSALGMVESSGGGDICHGDLLDGDVVNGLLQLHRQGGPVMGMGETCSGALVADQAVFAMSSEHRPHSPANKGNMIINASQEAGQVTSLVGDTTLEGAEFVQHGQQSQLAAYAKEVTDGRKCTSSSPRGVDSATMQDSGMEDSAGTKAGQPESSPNVKQVSMAEKSMSADRRDNHGDLNMGITDEHIAPIATTQRSRISKRHIDLVCADSESGSRTPLKIQKNRSSVCGDK* >Brasy1G283700.3.p pacid=40055233 transcript=Brasy1G283700.3 locus=Brasy1G283700 ID=Brasy1G283700.3.v1.1 annot-version=v1.1 MLDIKCSQLPRDLVVRLAIWFDCDSRTVNVPNVGSFEINPFTVHQILGIPLGGKLIDKVATNEARGAIAEDTGIRSSGPSISHLSTLLSDDLTDEKFLRIFMLLLLSTFLCPTSHPCASPDYYNGIVDTDDIANHDWCSFALDWLVEKIRQFQISLSKPTVEGKEQSISLGGCLLIPLATFFDYLDLKGTKVRNCIPRLPAWDDNAINAFDNINFAQLKFRAKMKEMCTEFYKTSLDACFNALEPVLAKQMCTMVETVCNQVNKRASSSSTPSVNEFTNQECNSQRCTVLNDVPTRPLQTVMDTEFHNPLMGSALGMVESSGGGDICHGDLLDGDVVNGLLQLHRQGGPVMGMGETCSGALVADQAVFAMSSEHRPHSPANKGNMIINASQEAGQVTSLVGDTTLEGAEFVQHGQQSQLAAYAKEVTDGRKCTSSSPRGVDSATMQDSGMEDSAGTKAGQPESSPNVKQVSMAEKSMSADRRDNHGDLNMGITDEHIAPIATTQRSRISKRHIDLVCADSESGSRTPLKIQKNRSSVCGDK* >Brasy1G002400.1.p pacid=40055234 transcript=Brasy1G002400.1 locus=Brasy1G002400 ID=Brasy1G002400.1.v1.1 annot-version=v1.1 MMAPNSIGRSIAHRLLLAGAAPTPPTYHRRSSSSAAAAATPKGEGFFLPWLRSKAGARISSVLSVGTSPFGRSLFASNLIREGDCIMEVPYNVQLTQDKLPERLRLLLDDVAGDTAKIALLLMMEQHLGHKSGWAPYVRSLPCKHQMHNMMFWDLNELHMVQISSICDEAIERRERAMKEFSAVKPSLERFPHLFGEIKLEDFMHASALVSSRAWQTSRGVSLIPFADFLNHDGVSDSILLYDGQKDVAEVIADRNYAVGEQVMVRYGKYSNAMLALNFGFTLPRNIYDQARIRIDMPEKDPLYKKKLDIWQKHRTPKSEHMCSSDCTRTSFAIKEVKYSGGKGIGIPQILRAFVRLFYATSIEELEEMATEAAENDGRLARRPLKAEREIHAHSKLLLHLHSTIQGHSTAIEQLEIVDDAASRSIHPFRKKMAKDILAGELQVLQSAHAWVGNYCKTVNMS* >Brasy1G293600.1.p pacid=40055235 transcript=Brasy1G293600.1 locus=Brasy1G293600 ID=Brasy1G293600.1.v1.1 annot-version=v1.1 MASWTLRKAALGLSPFSRMAWKTIGITKAGKSGQAERALKLFDAMPEKNQVAWNAALAALVDAGRTEWALTFFHEMPKKNATSYTTMIGGLSRAGAVSRARSLFDELSLHQQNVFTWTAMLSCYVRNGEPSRAVELFAVLYGELFVHKVLPNAHSFSSLLKACVVLQTLSMALQLQSFTVKLLDEGNKDCIFAWNALIDVHAKMGALSDAEKVFYGMRYKDICSWNIMMNGYARHKLGYNALELFKGMTNKDAFSWNIIISCLWENRHGEDALRLFIYLVRLEGHRNGSAKSCVSTYTTVLHICSVLALLTFGKQVHARTVKNSFCNTSVFVCNSLMSMYSSCGLSLDLQQVFDETTVRDTISWNSLIQGLGQNGLGKQALLVAERALDLKMYNGNTFIAILTSCSHAGLVTEGLCYFNTMTEKYEVKRTLDHYISTINLLGRAGRLEEAHILLQNMPFAPTALSWSTLLHSCLAHKDSLVGSIAARELKALQPEGGANYGRLVLGCSGGLEVNEMPFGVTENMKTVAHKPGCSWVT* >Brasy1G293600.2.p pacid=40055236 transcript=Brasy1G293600.2 locus=Brasy1G293600 ID=Brasy1G293600.2.v1.1 annot-version=v1.1 MSCRSISKMCSPGQPCYRAMSGMVSPAELSSFSQCSTTLSMALQLQSFTVKLLDEGNKDCIFAWNALIDVHAKMGALSDAEKVFYGMRYKDICSWNIMMNGYARHKLGYNALELFKGMTNKDAFSWNIIISCLWENRHGEDALRLFIYLVRLEGHRNGSAKSCVSTYTTVLHICSVLALLTFGKQVHARTVKNSFCNTSVFVCNSLMSMYSSCGLSLDLQQVFDETTVRDTISWNSLIQGLGQNGLGKQALLVAERALDLKMYNGNTFIAILTSCSHAGLVTEGLCYFNTMTEKYEVKRTLDHYISTINLLGRAGRLEEAHILLQNMPFAPTALSWSTLLHSCLAHKDSLVGSIAARELKALQPEGGANYGRLVLGCSGGLEVNEMPFGVTENMKTVAHKPGCSWVT* >Brasy1G455300.1.p pacid=40055237 transcript=Brasy1G455300.1 locus=Brasy1G455300 ID=Brasy1G455300.1.v1.1 annot-version=v1.1 MGGGPDRIRSRDGGGVPYRRTPSQDPTRLPPPDRTGPRSAPPSRTQQTQPRRSHTHRGELPRCAPPPWNLRRMGRLCLGRGPDPPARRGRVRRMGFARPHPPAAAREGRGWERAAPAAWGMKDDWMRATLSDTV* >Brasy1G531900.1.p pacid=40055238 transcript=Brasy1G531900.1 locus=Brasy1G531900 ID=Brasy1G531900.1.v1.1 annot-version=v1.1 MPPSSWFLVFFVCVWWLPLRLAAAEEEEPQRGEGCSAPNRCGNLTISDPFWLTDWRTGRPCGSPDFEVSCVFNTTLPVLRSSLPAGPGFEIIDISYEERSLRVVDLPKLEALRASSSSCHVSRWNTSAKLGLPFRIDPVAHMQLIFYNCTVPAAAADQDGALVEMRCGNESNAFVRAGGRYDGTGDGEYAIEGCNASVVPVLWKSSGEVNASNYEELVREGFLLTWQLPPPLPLPPAGKLLAPR* >Brasy1G184100.1.p pacid=40055239 transcript=Brasy1G184100.1 locus=Brasy1G184100 ID=Brasy1G184100.1.v1.1 annot-version=v1.1 MRRRSAEMGVVRREPCSVPFLCVVVLGAALLGGIRAFEEGTAVYIVTMKQAAVFHKRLDMERFGTSRAAAAAAAGAGGGGDDIPATSILRKPRHGSPKPMNYGSYLVQLQNSLLKKTLRGERYTKLYSYHYLINGFAVVLTPQQAEKLYRRKEVVNVMLDFSVRTATTYTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPNHPSFADDLTTDSYPVPSHYAGSCEVTNDFPSGSCNRKLVGAQHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNNGIPVIVAGHHFGNASGMAPRAHIAVYKALYKGFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALMSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYKNYVVLGNNLTIPGVGLAPGTDGDSMYNLIAAPHALENNTASPTEMSIGECQDSSHLDKDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNTSAAGVIFYLDPFVLGFQLNPTPMDVPGLIIPSSDDSKVFLSYYNESLVRDETSNRIVSFGAVAKILGGLNPNYDSSAPKVMFYSARGPDPEDNTLSNADILKPNLVAPGSSIWGAWSSLGMDSAEFAGENFAMLSGTSMAAPHIAGLAALIKQKYPSFSPAAIGSALSTTTTINDKQGNPIMSQRTYSNPDSTQTPATPFDMGNGFVNATAALDPGLIFDCSYDDFLSFLCGINGSAPVVMNYTGNNCGVSNMTGADLNLPSITIAVLNQSRTITRTVTNVASDENYTVSYSAPYGVAVSATPTQFFIPSGQKQLVTFVMNATMNNSSASFGNVGFYGDRGHQVIIPFSVISKAVYSS* >Brasy1G522800.1.p pacid=40055240 transcript=Brasy1G522800.1 locus=Brasy1G522800 ID=Brasy1G522800.1.v1.1 annot-version=v1.1 MYIIFLSSRYMTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.2.p pacid=40055241 transcript=Brasy1G522800.2 locus=Brasy1G522800 ID=Brasy1G522800.2.v1.1 annot-version=v1.1 MYIIFLSSRYMTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.3.p pacid=40055242 transcript=Brasy1G522800.3 locus=Brasy1G522800 ID=Brasy1G522800.3.v1.1 annot-version=v1.1 MYIIFLSSRYMTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.7.p pacid=40055243 transcript=Brasy1G522800.7 locus=Brasy1G522800 ID=Brasy1G522800.7.v1.1 annot-version=v1.1 MYIIFLSSRYMTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.8.p pacid=40055244 transcript=Brasy1G522800.8 locus=Brasy1G522800 ID=Brasy1G522800.8.v1.1 annot-version=v1.1 MYIIFLSSRYMTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.4.p pacid=40055245 transcript=Brasy1G522800.4 locus=Brasy1G522800 ID=Brasy1G522800.4.v1.1 annot-version=v1.1 MTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.6.p pacid=40055246 transcript=Brasy1G522800.6 locus=Brasy1G522800 ID=Brasy1G522800.6.v1.1 annot-version=v1.1 MTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G522800.5.p pacid=40055247 transcript=Brasy1G522800.5 locus=Brasy1G522800 ID=Brasy1G522800.5.v1.1 annot-version=v1.1 MTACTSSSGGVLLRSGSTIVQYLLYPVFKSCYCILYLLCLVFRSCSYNYSFSRRDLSLSILSTSACSSAGSSRMGIARLEPSHGDARAGARDGGAHAGRWKPPTASRVRWGDPRRRPPTAGGAEAGADARRKEKGDDVF* >Brasy1G161500.1.p pacid=40055248 transcript=Brasy1G161500.1 locus=Brasy1G161500 ID=Brasy1G161500.1.v1.1 annot-version=v1.1 MRIEGTAAGAGVVGGRQGGSGLRRRNCSAAAAQDRPADSSGEKPAAAAHLQPPAAAHAPRSIGLISMADEAILPLQIHIQRTIELPGRSGLDPVAQRARPPAPGGADAAGQWWQAAQQRVQAPWLGGGRDLVAG* >Brasy1G439900.1.p pacid=40055249 transcript=Brasy1G439900.1 locus=Brasy1G439900 ID=Brasy1G439900.1.v1.1 annot-version=v1.1 MRRLHGAALLLLLLAAVAQAQQASTTHPGDAAALNAVFAKLGQKAGSSWNISGDLCTGAATDTTDIDNDNSFNPAIKCVCSGGNSSVCRITRIKIYALDAVGPIPEELRNLTSLTNLNLGQNYLTGPLPSFIGELTAMQYLTFGINALSGPVPKELGNLTNLVSLSISSNNFSGPLPSELGNLAKLEQLYIDSAGLSGPLPSSLSKLTKMKTLWASDNDFTGQIPDYIGSWNLTELRFQGNSFQGPLPATLSNLVQLTSLRIGDILNGSSSSLAFLDNMTSLSTLVLRNCRISDKLVSVDFSKFRNLNLLDLSFNNITGQVPQTLLNLNSLNFLFLGNNSLSGSLPSSIGSSLKNLDFSYNQLSGSVPSWARNSQLNLVANNFLADSSGNSVLPTGWECLQRNTPCSLGSPQSSSFAVDSGGNGFISGSDNSRYEPDDIGTKLGAASYYVTGSPTWGVSNVGRFMDASNGSYIIYSSHQFQNTLDTELFRNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDSQSWKSTGRRVFDIYVQGERKEQNFDIRKAAGGKSYIAVRRQYTVKVTKNFLDIHLFWAGKGTCCIPTQGYYGPAISALSATPNFTPTVRNAAVKKNGSKTGVIVGVLVAVAVLGLLAVAGIFIWRQKRRKLLLEQQELYSIVGRPNVLSYAELRSATENFSSSNLIGQGGYGSVYKGKLIDGRLVAVKQLSEASHQGKREFAAEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDKALFGKGSSNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDQKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYYSTLDEDKVYIFEWVWELYEANHPLDVVDPRLEEFDSEEVLRAIKVALVCTQGSPHQRPSMSRVVAMLTGDVEAPDVVTKPSYITEWQIKGGDTTSYMSTDVSAQSSSAPRPNSPRTSSPFLSSVIDEGR* >Brasy1G213200.1.p pacid=40055250 transcript=Brasy1G213200.1 locus=Brasy1G213200 ID=Brasy1G213200.1.v1.1 annot-version=v1.1 MAAAVGDALLRDRLSDVPDSILVAILSFLPIDEAARSTALASRWRHLFPSTLFDFRAPNRNVVKVVDSILATHPTALVRSFRAGRLRFRADQGSAPLDGWLKDLANRGLEELVLQFKERREPIPESILACASLKHLRVISGAFPDVTEAAASSLARLTKIELSGVKISDESVESLLSQCTALEHLEMNGVSNCGCLRIRSRSLKVLNSHGNFDKLFIEDAPNLERVLGNFMHLRKVHIEIKHAPKLEFLGYLGMLHTIEIGGTKLLSIKEVESQYEEAEVESEDEETEVESEDKDIGPSAKFTEERNHVKTMPSMKTLAVSVSYMTERYHTTKAFIGWFMELLKLFPCLETLYIKSESWSGVGEDEVPGSWDVLRSVPCINNHLEKVVLEVYRGHDWQMEMAKFFHGRSRFLKTMEFHCMDDTGRADYGKPPSEEWVRNQQELLCLDSRASRDARFLFFKHQLPCNHHYKCHDEDYKRDYYSNLYKV* >Brasy1G368700.1.p pacid=40055251 transcript=Brasy1G368700.1 locus=Brasy1G368700 ID=Brasy1G368700.1.v1.1 annot-version=v1.1 MATCEGDGGEGWEAVVRAEVGAGWWDDPDGADFRARFKAFTGQRRDWPEPKLLFWKDLLLRVARRLHLCSAPAHLVTSIWFARPGGITPLCLPQVLDEMRADGEILLKSELIDPTKGGCIS* >Brasy1G473700.1.p pacid=40055252 transcript=Brasy1G473700.1 locus=Brasy1G473700 ID=Brasy1G473700.1.v1.1 annot-version=v1.1 MAAPPFSVNLRSTADSPPPTAALLAHSQSHSAPSPPSPTASRFLMLLTAALAVATAYLLLLRPPLSTVSAAAPVARTLSKLPKPVVLLISSDGFRFGYQYKAPTPHIRRLIANGTSAAEGLIPVFPTLTFPNHYSIATGLYPSSHGIINNFFPDPISGDHFNMGSHEPKWWLGEPLWVTAADQGVQASTYFWPGSEVKKGSWDCPDKYCRHYNGSVPFQERVDAILSYFDLPVDEMPQFLTLYFEDPDHQGHQVGPDDPAVTDAVKRIDEMIGRLITGFEARGVFEDVNIILVGDHGMVGTCDQKLVILEELAPWIEVKDDWVLSTTPLLAIRPQDGVSPAEVVAKMNEGLGSGKVKNGEYLKIYLKEDLPSRLHYSENYRIPPIIGLVDEGYKIEKKRSESKECGGAHGYDNAFFSMRTIFFAHGPRFERGRTVPSFENVEIYNVIASILNLKPAPNNGSASFPGTVLLPSE* >Brasy1G346800.1.p pacid=40055253 transcript=Brasy1G346800.1 locus=Brasy1G346800 ID=Brasy1G346800.1.v1.1 annot-version=v1.1 MKARIRAVRPWLPLALLLLVLVVSSVAVDEQANGGGRRRRRSRRRSTAAADGMVPITLLKSAAEKGAVCMDGTPPAYHLDPGSGAGNNSWMVNLEGGGWCNNARTCKFRTHTRHGSSDFMERHITFSGIMSASPAANPDFYSWNRVKIRYCDSASFAGDNFDKATGLYFRGQRIWEAAIRHLLSIGMASADQVLLTGCSAGGLAAILHCDQFSAFFAGKNTTVKCLADAGLFLDALDVSGGRSLRSYYGEVVAMQDVARNLLPTCTGHLDASSCFFPQNVIDSIKTPIFLLNAAYDAWQIEESLAPNRADPSGAWRACKYNRSACDASQIKFLQGFRDQMVASVRGFSGSRSNGLFINSCFAHCQSELPATWNDAPGSPAIQNKGVAKSVGDWYFGRAEVKAIDCPYPCDNTCRHII* >Brasy1G429000.1.p pacid=40055254 transcript=Brasy1G429000.1 locus=Brasy1G429000 ID=Brasy1G429000.1.v1.1 annot-version=v1.1 MAWGSMIPVPVSEIGSAAFEFVWLGRELPPWWMIRFVPANIDGTYEEDMWTTFQFRGNSIHELRNQLANRTPVASDIIMCVRAGRFARLTPMLVDLPRGGHGNTLYIVVVISGTPGEAALRFPNINAQ* >Brasy1G429000.2.p pacid=40055255 transcript=Brasy1G429000.2 locus=Brasy1G429000 ID=Brasy1G429000.2.v1.1 annot-version=v1.1 MIRFVPANIDGTYEEDMWTTFQFRGNSIHELRNQLANRTPVASDIIMCVRAGRFARLTPMLVDLPRGGHGNTLYIVVVISGTPGEAALRFPNINAQ* >Brasy1G516600.1.p pacid=40055256 transcript=Brasy1G516600.1 locus=Brasy1G516600 ID=Brasy1G516600.1.v1.1 annot-version=v1.1 MMAAYMTVRKKTLTWIAQVASQVPKKENMLGGIHLYVLPTLLHRRKYR* >Brasy1G212000.1.p pacid=40055257 transcript=Brasy1G212000.1 locus=Brasy1G212000 ID=Brasy1G212000.1.v1.1 annot-version=v1.1 MNEMKQKSERPVSFSPAHFPHSFYRQPNRRYLSLSPAATCCLAVLLGSTCLVPAYIALSRCFLGWAPVPTRRGRLSPVIPSDSSCSFGSPHGCVQALQHLGESRDCGDTRSTGSAMRGSASAGHQRWGSAGTTPRSLSTGSSPLGSEDGEELVEVTLDLQDDDTIVLRSVEPAAAAAAAPSGSGTLRLPPPRGELAEGGGASSSSSRSPSMRRTSSHRLLQFSQELKAEAMARARHFSQELTKRFGRSHSRGEGQGQQQPPSSGIESALAARAARKQRAQLDRTRSGAHRALRGLRFISSNKANSAWREVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRRMKVDTISKDELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEAEVKEIIMLSASANKLARLKEQAEEYAALIMEEIDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLALRKKSPIRKISSTLSYYLEDNWKRLWVLVLWIGIMAGLFTWKFMQYRDRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARVLPIDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLIRSSDEMYAPLGKYFGEPKPTYLTLVKGVEGITGLIMVVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFAIVYVALIVHGEQVYLVRVWYRKSTWMYLAVPVCLYIGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVSGKSGLLRADETTKKTLPKLRIDGPYGSPAQDYGKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDVSTDLYPPVGRNKPHVDLGTLMRVTTRPKKVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWKKVLAKIASKHPYAKIGVFYCGAPVLAQELGKLCHEFNGKCTTKFEFHKEHF* >Brasy1G420500.1.p pacid=40055258 transcript=Brasy1G420500.1 locus=Brasy1G420500 ID=Brasy1G420500.1.v1.1 annot-version=v1.1 MRLRGVHPILWSRCLLRFKKAFELGTSPVLENPPPQPSLLCVVEPSASCALSISRAPFLPRSGSSHPLSFPPLLLALMLMAVDHRRGRARRGRHRAAGRHRCPPRGRAPRFLFLTHEAAADDEDNGSHRLLATVEAALGGGGTGLLTGIHVP* >Brasy1G318900.1.p pacid=40055259 transcript=Brasy1G318900.1 locus=Brasy1G318900 ID=Brasy1G318900.1.v1.1 annot-version=v1.1 MAEQTHAEEASHKSETLMEKIADKLHVGGGDGSSSSSDSDADERPRPSAPPAPAPAVSEVTTASFADSASAAAADAKAKMFRLFGREQPIHKVLGGGKPADVFMWRNKNISAGVLGCATAIWILFELLGYHLLAFLCHGLIFSLGVLFLWSNASSFINKSPPRIPEVIIPEDLVVNVALSTRHEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEIKKQYAVFDAKVLSKVPRGPLKDKKN* >Brasy1G467000.1.p pacid=40055260 transcript=Brasy1G467000.1 locus=Brasy1G467000 ID=Brasy1G467000.1.v1.1 annot-version=v1.1 MGGILMQHHQISPNPQRKDAFPGTFSALLKGGVLLRAPRQGFPRSPPAVAVRSEVNGSPPPSRTVRRHSKEELIDFFGSIQAAITRDSPKASKRTRSPSSPAPDSFVDAGRMTKQPYEELGRDGQRQPDLEEMKVAELKELARARRMRGYSKLKKGELIDRLKGGLVC* >Brasy1G482700.1.p pacid=40055261 transcript=Brasy1G482700.1 locus=Brasy1G482700 ID=Brasy1G482700.1.v1.1 annot-version=v1.1 MKSPQQCHKPTDTDAKVKLGGNTMHCLKPGKYTNKSSGNVGEKCRKAAGQSCNGSKITLKGSSVMEAPFSNLANLSAQPPHHSGKMKLQFFPIDESIQKVLQQEKHNPYLELTLAPRKKMSSIVQHLNTKWGSSPCAKGELMLFPYNARLDNVAGSEKWTLNDSCTAADVYAAVGSPTTFRLRYGWFEPNFKQQSSRSSSASLHSAEKSIDDKPLDLVFSEQKQTVGLSQFPTKFAGPSVECNTEQSIADHQSKVTPLSWIDCISNISFGALLSEALPSQDSKQPSVQNNLILQQIPATCDSFDAAIASLIARQQVSSQPKVTNPSLWDAEETCHAFPSRSQNSVRTSCSAPVNSTAITSSVLRSIPESDTDGDQLYSSEGRKEEPSPQIPVLANGENMKPDISMLESNGELELGASCFRLLSGPDSLGLSGLIANSLDAFPNFFVS* >Brasy1G112100.1.p pacid=40055262 transcript=Brasy1G112100.1 locus=Brasy1G112100 ID=Brasy1G112100.1.v1.1 annot-version=v1.1 MISPERSQSQSPRSPSAAAAGAPFLSISVTDPVKMGTGVQSYISYRVITKTNLSEFEGPEKIVIRRYSDFEWLHDRLVEKYKGFFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSEDFRIFLQADEEKMDRARSFETGIFKKPADFIQMFKDVQSRVSDVVLGKEKPVEESTPEYEKLKHYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSRSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKTTMLDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKFSESEMEFNELKAASEEATKRFEHIVSVMNGELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRSVLPKLEACSMS* >Brasy1G418100.1.p pacid=40055263 transcript=Brasy1G418100.1 locus=Brasy1G418100 ID=Brasy1G418100.1.v1.1 annot-version=v1.1 MLFHSVNARHDGQAATTTTDSTRGSDGYCSSRLQWRPRERLMAARRSDGSIAAVAAGAARGGGWGSSRSRLGKLACGGACSRRRRLGQPVEETGQRALAAVAPGAARRGGGASDSPRRRPDGARSRWWRQGQPAAERLVRRALAAVAPGVACSGGGGGGGGGNPRRRLRPGQPAEEAGRWGGVCSRASALGGVCSAAREEKNSKASHKETNKRTFVPGDQEICERESEIYKKNRERKRGRDAYQATLLRSRPRTTLLLQVPPPVLQAPCCSSTCCCRHCPSTS* >Brasy1G059100.1.p pacid=40055264 transcript=Brasy1G059100.1 locus=Brasy1G059100 ID=Brasy1G059100.1.v1.1 annot-version=v1.1 MPAAEAEAKMGRDKDVVRLERESVIPVMKPKLIMKLAYLIERESDREEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSFDEVDTLEQNFLTYFFQVMQKSNFNIVSDDEVELAHSGQYLLNLPIKVDEAKLDNQLLTKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSDFFFMEKVDMIITRTWRWFLEKTRLQKLFLRKKKDRPVIDSKKDDDLVGEEDKELYVERIRLETMKLSLRNLIGKITIQEPTFEEVIVLYRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLIGSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVIDALQKLERLGIVTRDSIGRICCLPLKRSNEIIGATTEELVMKARQS* >Brasy1G059100.2.p pacid=40055265 transcript=Brasy1G059100.2 locus=Brasy1G059100 ID=Brasy1G059100.2.v1.1 annot-version=v1.1 MPAAEAEAKMGRDKDVVRLERESVIPVMKPKLIMKLAYLIERESDREEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSFDEVDTLEQNFLTYFFQVMQKSNFNIVSDDEVELAHSGQYLLNLPIKVDEAKLDNQLLTKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSDFFFMEKVDMIITRTWRWFLEKTRLQKLFLRKKKDRPVIDSKKDDDLVGEEDKELYVERIRLETMKLRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLIGSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVIDALQKLERLGIVTRDSIGRICCLPLKRSNEIIGATTEELVMKARQS* >Brasy1G059100.4.p pacid=40055266 transcript=Brasy1G059100.4 locus=Brasy1G059100 ID=Brasy1G059100.4.v1.1 annot-version=v1.1 MPAAEAEAKMGRDKDVVRLERESVIPVMKPKLIMKLAYLIERESDREEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSFDEVDTLEQNFLTYFFQVMQKSNFNIVSDDEVELAHSGQYLLNLPIKVDEAKLDNQLLTKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSDFFFMEKVDMIITRTWRWFLEKTRLQKLFLRKKKDRPVIDSKKDDDLVGEEDKELYVERIRLETMKLSLRNLIGKITIQEPTFEEVIVLYRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLIGSLEMPKADFWVVIAILSALAGYCAKIYFSITPEHIHQTHFVFSTSFSPY* >Brasy1G059100.3.p pacid=40055267 transcript=Brasy1G059100.3 locus=Brasy1G059100 ID=Brasy1G059100.3.v1.1 annot-version=v1.1 MQKSNFNIVSDDEVELAHSGQYLLNLPIKVDEAKLDNQLLTKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSDFFFMEKVDMIITRTWRWFLEKTRLQKLFLRKKKDRPVIDSKKDDDLVGEEDKELYVERIRLETMKLSLRNLIGKITIQEPTFEEVIVLYRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLIGSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVIDALQKLERLGIVTRDSIGRICCLPLKRSNEIIGATTEELVMKARQS* >Brasy1G029600.1.p pacid=40055268 transcript=Brasy1G029600.1 locus=Brasy1G029600 ID=Brasy1G029600.1.v1.1 annot-version=v1.1 MGDDGGTAHRKLGGYLRAVLSVPATDAGSLRPLAPCSLSACGSVPLAPLPDNGGGRGGNTTTPRGSARWRASGGGGSSVVRQLKALVAQRCVEAEGRVLRVVARRGEASEVVEARAVVLVDVYLPVAAWSGWQFPPSRASAAVAVFKHLSCKWDARNALVAFDWSSHDNPHCDDQYIWSCTDCHVLGCEVHQRSSISNNEKSFDLHEIFKVLPSVRVEKGMQITRIIPDNILDNAPGLGIWSLPDDILNKVIIRLKPRDLIRVASTCHHLRNLASSIMPCMKLKLFPHQEAAVEWMLKREQNSEPLAHPLCKDFCTEDGIPFYINVTSGEIFTGNAPTINDFGGGMFCDEPGLGKTVTALSLILKTHGTLAYPPKGADVKWCTHKPDKKYGYYEFNASDCSNGTDFLSGTKRLAGKDVIREDPCSSELSHNGGSVPSTRSSRKRGRLVGPDLTSVNMHVMGEKSPEPCNSLPMPATQVLKFKKNSKHVRKNLMNAYSKDSVGSKRKRGTASELNETWVQCDGCRKWRRLSDGTVLDSTTAWFCSMNADAARKTCTAPEESWDSKRKITYLPGFHKKDALPGDKQNVSFFTNILKDNVSLINSETKKALLWLANLSLKKHLEMESVGVTRPVLDARATIGKGARPYYKIFQAFGLVRKIEKGVTRWYYPSMLDELAFDSTALGIALENPLDIVRFYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYAWGDHKKPSAHILAWDYDIVITTFSRLSAEWGPQKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEEGRIRLVQLLQKTMISARKANLRNIPPCIKNITFVDFSEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIRNVRLSCCVAGHIKVAEAGQDIQETMDDLMQLGLDPSSEEYQSIRYALLNGTDCIRCGDWCRLPVITPCRHLLCHDCVALDSEKCIKCGNNYEMQSPETLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVDKLRSLREANIKHRHSTNITNGAGLAIESSYQDDNNVETRLPQAMSHKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMHLSTKRGALTKFQDDPTCMALLMDGTAALGLDLSFVNHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMRGTIEEQMLKLLQDSSACRKMVNKGASSIDNEGARPHRSLHDFAESSYLAKLSFVKGSNKACADANTS* >Brasy1G238600.1.p pacid=40055269 transcript=Brasy1G238600.1 locus=Brasy1G238600 ID=Brasy1G238600.1.v1.1 annot-version=v1.1 MEEAEAELGEVFYLCSFNPSPVEAVTYFLPRLISGEPLPRGAEHLIHRVDIYNHEPKDLAAAFAPAPKAERTGDRFFFTLCKRKKGSRTRTARVAGAGTWTIQKTRDVNDESGLKVGERRSLCFRKGKASTSWVMEEYRCLRPDAIVDDGAMVFCKIHLSPKPCPEARQESAAYLRRHQELADQPPMPVAKRPAPCAADPPSPKRARVASLTPAAAESDGDDINAFASLLEEDMLGAVSSQDETAPLNIHDYIQRFTCSIEDFLSGATDPEEAATLRADEPEHQVDNSMASDDEFNFNFDIPILSKSTVMFNLNGADSFNLLDNDLYTLQADDGEQQQAGFTSDDESWAMTAEDEGFMGLMGSYTPWPTMFTLDQLEGHNEFFSYAE* >Brasy1G024300.1.p pacid=40055270 transcript=Brasy1G024300.1 locus=Brasy1G024300 ID=Brasy1G024300.1.v1.1 annot-version=v1.1 MPSSFRLQLRVLSFLYCLLLAVLVVPASADNGAPCYETATSVPRWCGGEFILALFDKQQKHKIPHKCCVMLACVREASCADVLRAYCTPPKSHDCLKP* >Brasy1G571100.1.p pacid=40055271 transcript=Brasy1G571100.1 locus=Brasy1G571100 ID=Brasy1G571100.1.v1.1 annot-version=v1.1 MCSREKCDSFRKKWNLKWLIPTALVAFILLCCIVFAVAAFGVLRRVSISVEEASLTRFALFSSSSPVPATALAYNLSLTLAVRNRNWFMEIKNEKLLDSTYSFEGQQFGREKVAGEGTKYPALKTAVYHVSTSSDGSYVMLGNSGVAEYRKENATGVFQVEVTVSGRFKYTGRHTRCGVEATCPLKLQLGSSTPSGTTAVVFQKVDCKLTKPGKKYC* >Brasy1G212200.1.p pacid=40055272 transcript=Brasy1G212200.1 locus=Brasy1G212200 ID=Brasy1G212200.1.v1.1 annot-version=v1.1 MDNLHGEDEAHALPRFPYFSLPSTPPPASPLALPDDQQCPLAELQQPQPPPWCKPPLNNSQMMMLPEMVDWSSLFQTAGPAAPSERQEEAVQADQDGENDGEAEGSGSSSKEKAMGGAGRSEKKKKASKPRFAFQTRSENDILDDGYRWRKYGQKAVKNSSNPSMVPVRIAHTYPAILLGYVQM* >Brasy1G212200.2.p pacid=40055273 transcript=Brasy1G212200.2 locus=Brasy1G212200 ID=Brasy1G212200.2.v1.1 annot-version=v1.1 MDNLHGEDEAHALPRFPYFSLPSTPPPASPLALPDDQQCPLAELQQPQPPPWCKPPLNNSQMMMLPEMVDWSSLFQTAGPAAPSERQEEAVQADQDGENDGEAEGSGSSSKEKAMGGAGRSEKKKKASKPRFAFQTRSENDILDDGYRWRKYGQKAVKNSSNPREGAKDGGSTLPNY* >Brasy1G145900.1.p pacid=40055274 transcript=Brasy1G145900.1 locus=Brasy1G145900 ID=Brasy1G145900.1.v1.1 annot-version=v1.1 MYRVKSESDCEMMHQDQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPASICNQSSNEDQQGSSDFNCGDNISSDLLNGNGLYLPDFTCDNFIGNSEALSYAPQLSAVSISNLLGQSFASKNCGFMDQVNQTGMLKQSDGLLPGLSDTINGTLSSVDQFSNDSEKLKQTLGFDYLHEANSSSKIVAPFFEGALTGSHAFLNGNFSTSRSTNGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELIDPYLQSPTTTPSVKSECASPSRNSGLLDELLHKALRSGKNQQQSVRSSSSSVSTPCDTTVVGPDFDLCQEYWEEYAPFSGNSLTEPTAPVSAASPDVFQLSKISPAQSPSLGSGEQEMQPAYEPGAGDASPYPEIFRPDALFSGNTADSSVFNNAISMLLGNDMNIECKSVLSDGTVFDPSSWSNMPHACQMLEEFK* >Brasy1G565600.1.p pacid=40055275 transcript=Brasy1G565600.1 locus=Brasy1G565600 ID=Brasy1G565600.1.v1.1 annot-version=v1.1 MSLRRLLGLSAASGRSLQRHRSLSAAASGRFLSTGAASHPPPWAMVERLSMAYPLAEVHLAEPPRPSHLILPTDLVNTSDEPDPDGDVEQLLGGNVSSSSGAGGLLLLTYTDNRMACPVIAQQGDTKVRCRTGGTVEGHAPDITYLVCNPVSGQVFRVPNPHGTTKILCEHGMGILTQSGRGHGLPDRFAVAQLYTENTMVRFLSDTGTWGLALGAPGLCLSPRMRRLGGCLDQETLAFGGRLCVLPPTDYQDDEAKKARFALRKYRCMGVSEGRLRYAEVSRQEPFVLSYFALVDEEGSGWTLEHRVALGRLWADGGHPWLPLQGNKTPTIAVLDPLNANVVHLIVGDHVVGVDMHMEKVIGSYPRTFHGALGFLPCVLPPWLRSSRIPSTGNGTSRNQSFAHVRVHSG* >Brasy1G017800.1.p pacid=40055276 transcript=Brasy1G017800.1 locus=Brasy1G017800 ID=Brasy1G017800.1.v1.1 annot-version=v1.1 MGRHSSSKSEVAGADRPEGGESPQAHMEQLAQLAAVAAGAYAVHEKHKAKKDPEHARSHKVKEGVAAAVAVGSSGFAFHEHHEKKEAKKNRCHGHHH* >Brasy1G128400.1.p pacid=40055277 transcript=Brasy1G128400.1 locus=Brasy1G128400 ID=Brasy1G128400.1.v1.1 annot-version=v1.1 MVMDAALHDWCAPLPGSNSKCDGHLPIYPQIPAANGFTAEELEALLLLPSDGVDADGCLNAAAASTDSASPPTDVSASSPAAAPGQAQLDDSEGFSDIVLGYINRMLMAEDIDEKFEHYPSLLAAEKPFLEILADQPPYSGGSTVDSPDGSSVTNSCNSLGSCNCAAPSSGLGQTPPFLEFPTAAFLQPSQLYQDPSPESCVVDAGGAWPYDPTESYQLQTNPVPETLLSQSSSFASSNGSSVAFSEGFEPWLSTAGAVPDVCLSNFVLQNQQAAQFSRGFEEASKFLPEESKLVIDVESLGSVASLQAGVKEDKKFVEVKTEKADAEAAVHRGKKHFYGDDLDSEEGRCCKHSAPAIIDTDHLVREMMDKVLLCNGETCSEGVKELREALQHDVANHPSGAHGKGSGHGKGRGKKQNKQPKKEVVDLETLLIHCAQSVSIDDRRSATELLKQIRQHASANGDGDQRLAHCFANGLEARLAGNGSQIYKSFTISRLACTDVLKAYQLYLAACPFKKISHYFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRAGGPPKLRITGIDTPQPGFRPAERIEETGRYLSDYAQTFNVPFQFQGIASQFEAVQIEDLHIEKDEILIVNCMFKFKTLMDESVVAESPRNIVLNTIRKMKPHVFIHGITNGSYNAPFFVSRFREALFHYSAAFDMLEANIPRDNEQRLLIESALFNREAINVISCEGLERMERPETYKQWQTRNQRAGFKQLPLNQDIMKRAREKVKCYHKNFIIDEDNRWLLQGWKGRILFALSTWKPNHHSS* >Brasy1G472000.1.p pacid=40055278 transcript=Brasy1G472000.1 locus=Brasy1G472000 ID=Brasy1G472000.1.v1.1 annot-version=v1.1 MASDKRIQPASGGIGGGGAAAGMEPPEGGLGKRLLHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRGLLQAHSHHGRAMAAAASGSPSALAFYAHNPRDVEFSCNTTPIYGHAPFKLGGRGRNGNGNYGGLDAATVAAAFEMMNNAHVVASGSGTTGGETPGGVAATPSPMLALSLGRRPAGARQLRVTDSPFPVEPEGVDERVDAEADSFIRRFYEQLRLQQSNATPDNCARRRG* >Brasy1G378400.1.p pacid=40055279 transcript=Brasy1G378400.1 locus=Brasy1G378400 ID=Brasy1G378400.1.v1.1 annot-version=v1.1 MEFLGLLALAVAAMLGVVAGNDSTWSSGRATFYGGTDASGTMGGACGYGNMFSAGYGTNTAALSTALFNNGQSCGACFEIRCAGGGSCLSGSVVVTATNLCPPNYGLPNNDGGWCNPPQSHFDMAEPVFTKIAQFRAGVVPVQYRRVACVKQGGIRFTVTGHSYFNLVLIANVAGAGDLKAVWVKSPRTGWLPMSHNWGANWQNGAMLDGQPLSFRVTTSDDRTITSTNVAPAGWSFGQTYSGGQF* >Brasy1G075600.1.p pacid=40055280 transcript=Brasy1G075600.1 locus=Brasy1G075600 ID=Brasy1G075600.1.v1.1 annot-version=v1.1 MAILEIAHAQRTARRVHACRLSIARQAGDDDVRTHAPPSPIGLPRARVRPAPVNPRTEPAMASSPRRGHAVVLLLLLACHAPSAAADDKEFDDDDNKSPKFPDCDNHFQKVKVKYWVGGEEQSALTGVTARFGRLLPDTAAAAQKLPAVVPSPKNGCAKSSASLAGSVALAERGVCTFFEKAKTIESSGAAAMIVVNDINDLSKMACTPEDKISRIDIPVVMVSKAAGAKFTSAMDGGAKVAILLYSPTKGPFDFAIPFLWLMAVSTTACAAVWTVVVVGEEPKKPPTTEVVDQEAAEPDVVELETKTAVVFVVTSSCVLLFLFFFSSIWSAWLMVGLFCLGGLQGLHFVTSTLIMRVCSGCRDSKVKLPVVGNVTVVTLVVLPIALFNVVMWAVHQSSPFAWAGQNLMGICMMILVLQVVQMPNIKVASALLISAFLYDIFWVFISPLIFKKSVMITVAKGTEDGPSLPMVLKMPKYFDLWNGYDMIGFGDILFPGLLVAFSFRYDRTHGKDLTGGYFLYLMIGYAFGLTCTYVGLWLMGSGQPALLYLVPSTLGTIAALGAQRGELSQLWNAKA* >Brasy1G569600.1.p pacid=40055281 transcript=Brasy1G569600.1 locus=Brasy1G569600 ID=Brasy1G569600.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPAEEEPAAEKAAEKAPAGKKPKAEKRLPAGKTAASKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy1G406700.1.p pacid=40055282 transcript=Brasy1G406700.1 locus=Brasy1G406700 ID=Brasy1G406700.1.v1.1 annot-version=v1.1 MARGDSCLARVGAGVAIGGAVGGAVGAVYGTYEAVRLRVPGLLKIRHIGQTTIGSAAIFGLFLGAGSLIHCGKNY* >Brasy1G030600.1.p pacid=40055283 transcript=Brasy1G030600.1 locus=Brasy1G030600 ID=Brasy1G030600.1.v1.1 annot-version=v1.1 MLIMIYPECSLIVGQHNSSVNSSKCCASVRGVWDLSIKLSAYPSVPPGLLLSSPEYQHEQRRPMYMHYEATLIS* >Brasy1G071500.1.p pacid=40055284 transcript=Brasy1G071500.1 locus=Brasy1G071500 ID=Brasy1G071500.1.v1.1 annot-version=v1.1 MRRATASGTPPRGHAQQQPPMENNSKTPTPARRGRPHRTSPRRRPPASPRGPFAGRDDEDLLFPGVFKAKGTGPRKIPRSPYKVLDAPALQDDFYLNFVDWSLHNALTASPLD* >Brasy1G192000.1.p pacid=40055285 transcript=Brasy1G192000.1 locus=Brasy1G192000 ID=Brasy1G192000.1.v1.1 annot-version=v1.1 MAGKEQGGGGAGQPPRAPIPAVSTQPPIKKLVRQLDFTSAAFAGNPAMAAAAAAVTRTMQVRAVPVGYPQPHQLRAGVPMGVPQQLQPRGLPVMRPHQVVHVPLPRPAGAMAVPVPQVRPGQAQPVSRPPVAIPLRPESPKPRPRIYEAKDGTPTKKKCCNCRHSKCLKLYCECFASGVYCDGCNCTNCFNNVENEAARREAIDATLERNPDAFRPKIGSSPHANRNNMDVASDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKHLYLGDPKNLVHMHQVTNAAVNGAIGATSLLSPSTSRKRKQIDPLLDHPTKEHVVHRNGQLSQVIYQKNAVAPDGSLPISQSAHHNMVEPFKVTYRPLLADIIQQGDIKELCKLLVTVPREAAKAYAAGRKVQEEKVAEKGDSLASTNHDREEKDKDQSHKKTSTDDRSSGGSHMGKASVDDSRPDCTDDKKSCRPMSPGTLALMCDEPDTMFAASQNAIAEPTIGVNQNRSELYAEQERCVLTEFRDCLRKLVEYGIRKEEKYRMAIRPEPTGHPGQVNGVQRMPYSKVDVPVVKTFPQSSSRHLVTGNPGSVHLDKRN* >Brasy1G294800.1.p pacid=40055286 transcript=Brasy1G294800.1 locus=Brasy1G294800 ID=Brasy1G294800.1.v1.1 annot-version=v1.1 MGKEGKRCGEDVRRRRGKEAVVEGEDDAAPAMGCWIRLPRLGGGCMSSGSKVDSSASGGGASANGGESKKANHSCRDQSAPPAASGSTTSSNTGSISPSSIAGEELKLAAQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSFPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGAQKTAQLAHACLSRDPKVRPLMSQVVEILKPLPNLKDMASSSYFFQSMRQERGASLVNQNGSQSMKAQSTFARNGVQPMRSLSYGPQASPYRQSPRPNGKHL* >Brasy1G217000.1.p pacid=40055287 transcript=Brasy1G217000.1 locus=Brasy1G217000 ID=Brasy1G217000.1.v1.1 annot-version=v1.1 MAAAASRAASRFLCPRLLVASRRLHANASEGSATATEASTTSFIHPAAIVHPAASIGQGVSIGPFCTVGASASIGDACQLHAGSHVMGDTELGEGCVVLTGAILGADLPGRTIIGENNVIGNYAVVGVKCQDLKYKPGDECFLHIGNNNEIREYCSIHRSSKSCDHTVIGNNNLIMGSCHIAHDCKIGSNNIFANNTLFGGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVVAQDVPRYMMVAGDRAELRGLNFEGLRRNGFAGQEVRSLRKAYRKVFMPAISCPSSFEDRLAELGREIELLESPSVSCMVESIRTSFDQGRRGICKFRSWNLS* >Brasy1G111300.1.p pacid=40055288 transcript=Brasy1G111300.1 locus=Brasy1G111300 ID=Brasy1G111300.1.v1.1 annot-version=v1.1 MSSKSSRRSGFTLRQPPVVDIGCNCRRPKLFSLFSSSSLPFRGAGEKPKSPNASSSSTTTAFTATTVGGLSGTTATTSTDSASWGPASFTNNSLYEDPPSAHRRQPAPEQPETRRRRRQQQRRRRRRAEQQEQEDAAAAAYGRVARESVPVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLTLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF* >Brasy1G099600.1.p pacid=40055289 transcript=Brasy1G099600.1 locus=Brasy1G099600 ID=Brasy1G099600.1.v1.1 annot-version=v1.1 MEIRAPPTSLVQPPQAVVSFRRTALRTSFVTGSVSLRVAQVRQPNVNRFKCNGIRSNLFDRLTRVVRSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQADADWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLISNTRLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALGELGADDLEGKFAMLETTSVDDDLAQMRKELSGSSLKGELPPGRTTVSKSGSPFRDTEIENELNELRKKAKEY* >Brasy1G338900.1.p pacid=40055290 transcript=Brasy1G338900.1 locus=Brasy1G338900 ID=Brasy1G338900.1.v1.1 annot-version=v1.1 MGCVFGRASSSATRKKEKASPKPAPSNGSPADGDKSLGRPRRRLGRRTGPRQGCVPAPAAAEQIAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEFFASEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEVAKRTRTRDRSHRAGPAPEANAELQTNLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPTYEHQDTSFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTALDAKAAAYSKQLRKEKGRAH* >Brasy1G338900.2.p pacid=40055291 transcript=Brasy1G338900.2 locus=Brasy1G338900 ID=Brasy1G338900.2.v1.1 annot-version=v1.1 MGCVFGRASSSATRKKEKASPKPAPSNGSPADGDKSLGRPRRRLGRRTGPRQGCVPAPAAAEQIAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEFFASEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEVAKRTRTRDRSHRAGPAPEANAELQTNLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPTYEHQDTSFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTALDAKAAAYSKQLRKEKGRAH* >Brasy1G338900.6.p pacid=40055292 transcript=Brasy1G338900.6 locus=Brasy1G338900 ID=Brasy1G338900.6.v1.1 annot-version=v1.1 MGCVFGRASSSATRKKEKASPKPAPSNGSPADGDKSLGRPRRRLGRRTGPRQGCVPAPAAAEQIAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEGPLISEETHRFAPTGNFSSAEASDLVPGSFLQDHTNSFSFLNNYMPQQF* >Brasy1G338900.7.p pacid=40055293 transcript=Brasy1G338900.7 locus=Brasy1G338900 ID=Brasy1G338900.7.v1.1 annot-version=v1.1 MGCVFGRASSSATRKKEKASPKPAPSNGSPADGDKSLGRPRRRLGRRTGPRQGCVPAPAAAEQIAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEGPLISEETHRFAPTGNFSSAEASDLVPGSFLQDHTNSFSFLNNYMPQQF* >Brasy1G338900.3.p pacid=40055294 transcript=Brasy1G338900.3 locus=Brasy1G338900 ID=Brasy1G338900.3.v1.1 annot-version=v1.1 MAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEFFASEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEVAKRTRTRDRSHRAGPAPEANAELQTNLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPTYEHQDTSFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTALDAKAAAYSKQLRKEKGRAH* >Brasy1G338900.4.p pacid=40055295 transcript=Brasy1G338900.4 locus=Brasy1G338900 ID=Brasy1G338900.4.v1.1 annot-version=v1.1 MAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEFFASEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEVAKRTRTRDRSHRAGPAPEANAELQTNLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPTYEHQDTSFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTALDAKAAAYSKQLRKEKGRAH* >Brasy1G338900.5.p pacid=40055296 transcript=Brasy1G338900.5 locus=Brasy1G338900 ID=Brasy1G338900.5.v1.1 annot-version=v1.1 MAREILILRRLDHQNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPEVKFTLPQIKCYMHQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGVLKIADFGLAALFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRDTFKDFPPSSLPLVETLLAIDPAERQTSTAALQSEFFASEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEVAKRTRTRDRSHRAGPAPEANAELQTNLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPTYEHQDTSFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTALDAKAAAYSKQLRKEKGRAH* >Brasy1G434000.1.p pacid=40055297 transcript=Brasy1G434000.1 locus=Brasy1G434000 ID=Brasy1G434000.1.v1.1 annot-version=v1.1 MQQTTKCSPISPQKKEVFTNQHLRNRVNHTESRSHHSPLKLPLLVLHVHHGTTSVHPCSLQPMAMASSAGDPLLPGGEDRRRGRFHLPASIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGFLFGIPMPVQPMKSIAAVALSSAHLTVPQIMAAGLAVAAVLLFLGATGLMTRLYRVLPLPVVRGVQLSQGLSFAFTAVKYIRFAQDFSRSSSASTAAPRPLLGLDGLLLALAALLFILFTTGSGDDEDEGVGRDGRRPRSCSRVPAALIVFALGLVLCFVRDPAIFRGLRFGPAPLGLVRITWDDFKIGFWEAAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAAGKLLLGLVFGNSFVTILGQFPIGILGVMLLFSGVELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFIAGIVLHLLLRLREVDFRELLGRFRTGRRLSVTKAREEDSAGDA* >Brasy1G317600.1.p pacid=40055298 transcript=Brasy1G317600.1 locus=Brasy1G317600 ID=Brasy1G317600.1.v1.1 annot-version=v1.1 MTRELNNYRLLWKNLDQETKLRVIFRVQKYLKQVDKLCYEPMVVSVGPYYHGNSSLLFMEKVKWNCLDYVLKLNNRQRLDDYLTVMEGLEKHARSCYSEDAMPRSDMFLRMLLLDGCFILVYLGGTVGLKPKQSVQKEVMEHTVQQEKKTEIARSGAGTSCMDSMQGVEHTSALSSADEYECFNHSKSDPILNWYDSRAFRDLFLLENQLPFFILQKVYELLVGVDTADHLTEKVCNCLEYNVKKYTTVTHEFIGQKEFHHLLHLCHMYFRPQKRTQQQKHHKVMDRWFHPISILRKKYSNISNYSEDMFLNTQSCCVNAHRALHRWYRAEQYHEAGIEFKFKEHNEHNPHSLLDITFDKGEVQIPRLFIDENTACLFRNLVAFEQTCSQFGNDFTSYIAFISQLVSTPRDVALLARKGIIMHHMRTDEEVSSLFSKLGKNVDFDQNGVHYLKLVCRMMEEYYQNRVNRWMAWLWHNHLRNPWLVLAAVAAAIVLVCTIIQSLLALLAYLDQITGTN* >Brasy1G047000.1.p pacid=40055299 transcript=Brasy1G047000.1 locus=Brasy1G047000 ID=Brasy1G047000.1.v1.1 annot-version=v1.1 MRAASRADGGLVGSPSPLLDVLPMREYAVLDLAEVRGCLRLPRWWMQAPELCTLRWRRRGKMPVSVSAVGMARQEGDIADCCAAC* >Brasy1G315300.1.p pacid=40055300 transcript=Brasy1G315300.1 locus=Brasy1G315300 ID=Brasy1G315300.1.v1.1 annot-version=v1.1 MNLVIDTVMGCLPIPSVSRAGSLSAAAEEDYDGEDRISELPYEILCDIVSRLPVKDAARTSLNELYIWSGLLAARDVQDLVLVNQRIPMDMILPPEIFGCAALRRLYLGFWALPEIAGLPDGGAVFPHLRELGLLMTGMTDKDLEHMLASSPLLEKLVLVCSRGMPQLVRLRGQSLRCVLFCKYAALEIAVMDAPLLERLILHKAWVRGCEVLRISIASAPRLQVLGYLDAGEHGLKIGSTVIKVDTKESPDASVPSVKILAVKVNLGVFSEVNTLYSFLRCFPHVETLHLQSAATAVKSTDIPHEEFLQNLDHIQCLQSHIKNLVLHEFLGDLYETSFLKNFCGTAKALQKLTLVVSDENLASLDVMKTFLSVIASHTANLSHTETGQCCMVLLVEPAAQQGWDCHKSSDLLVNDPFLPQQVNDLQFSNEGE* >Brasy1G445300.1.p pacid=40055301 transcript=Brasy1G445300.1 locus=Brasy1G445300 ID=Brasy1G445300.1.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQLKNSLYLQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G445300.5.p pacid=40055302 transcript=Brasy1G445300.5 locus=Brasy1G445300 ID=Brasy1G445300.5.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G445300.4.p pacid=40055303 transcript=Brasy1G445300.4 locus=Brasy1G445300 ID=Brasy1G445300.4.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G445300.3.p pacid=40055304 transcript=Brasy1G445300.3 locus=Brasy1G445300 ID=Brasy1G445300.3.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G445300.6.p pacid=40055305 transcript=Brasy1G445300.6 locus=Brasy1G445300 ID=Brasy1G445300.6.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G445300.2.p pacid=40055306 transcript=Brasy1G445300.2 locus=Brasy1G445300 ID=Brasy1G445300.2.v1.1 annot-version=v1.1 MDRIVGRKFKLGRKIGCGSFGVIYLATDMDTYEIVAVKIESSSTKHPQLFYEAKIYNTLQGGSGIADVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRRFTLKTVLMLADQMVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASSSTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFSSQGYEFDYVFDWTVLKLKQGQKSQHGGAAITRATPAHPDRRAGVNGELHPNEATHEQMGSNHMSGPAALLQGQHMDASARKADPISSVALPGAQLRNDGTSRRIVQFDASHHNQGFVSNTGSSTACFRTSPHYAPAK* >Brasy1G425600.1.p pacid=40055307 transcript=Brasy1G425600.1 locus=Brasy1G425600 ID=Brasy1G425600.1.v1.1 annot-version=v1.1 MRLDVSALENHHFAAPGNEFGGGVFAADTEELAMEFPTCNDFDGFQKATKDMVNNKKGTTTLSFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISIAGSSKMLANILYSYRGMGLSIGTMIAGFDETGPGLYYVDSEGARLKGNRFSVGSGSLYAYGILDEGYKFNMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPNGWKKLSGDDVGELHYHYYPVQAAPVEQEMADAPSAST* >Brasy1G144700.1.p pacid=40055308 transcript=Brasy1G144700.1 locus=Brasy1G144700 ID=Brasy1G144700.1.v1.1 annot-version=v1.1 MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA* >Brasy1G551700.1.p pacid=40055309 transcript=Brasy1G551700.1 locus=Brasy1G551700 ID=Brasy1G551700.1.v1.1 annot-version=v1.1 MDLLFLGMLGFCRAQRCSGRKPCTGDSSVSTLLVAEAAGAGAALLSATAAGNNVCTKPQDSAGGCCELPLITYGRVYA* >Brasy1G339800.1.p pacid=40055310 transcript=Brasy1G339800.1 locus=Brasy1G339800 ID=Brasy1G339800.1.v1.1 annot-version=v1.1 MSIVPHTVLRPFGVNPTSHYLRRHASLGLRAGSAKATSQKDDLETESSKKKRTKKKKQAAEESPLEALHDDGFGSVTMKDYLEAARSVMPKDEAGPGPPRWFCPLECGRPVVDKAPLLIFLPGVDGVGMELILHHKSLGKVFEVCCFHIPVNDRTPFEGLLQMVEAYVQYENASSPKRPIYITGDTFGGCLAISVVARNQKIDLVLLLVNPATSSAKSPLQAILPLLEVVPSNLPVTYPDLLRYLIGNPLNVAMVSIQNNRSPQETLQEFSESLTSMLPFVSELADVIRMDTLVWKLKLLKSGAAYANSQLHAVQAEVLLLASGNENLPPSGEADRLFNTLKNCKVRYFRNRGDKLLMEDGFNLLTVIKGASMYRRSRQRDPVTDYLPPTLSEFKRTYGEDFKLFHQLLSPVMLSTMKNGEIVRGLAGVPDKGPVLFVGYHQLLAMEMFALFEGFLGEKKTVIRTAAHQAFFVENFEILRQELSLFDALCMYGAVPVSPINTYKSFERNEFVLLYPGGVREALHRKGEGYQLFWPDQPEFVRMAARFGVTIVPFGCVGEDDFLQIVLDYHDLKNIPYIRDEIKSFNKDFTGLRDTVKGEEGNQTLHMPVVLPKVPGRMYFLFGKPIEMKGMDNVLTDRKEANQVYLQIKSEVENVMSYLKRKREQDPYRSITRRILHQATWGLSAQVPTFEP* >Brasy1G490000.1.p pacid=40055311 transcript=Brasy1G490000.1 locus=Brasy1G490000 ID=Brasy1G490000.1.v1.1 annot-version=v1.1 MTESAPPRPTSPRPPLVLQPPQPPAAVASRRYGVHFSASSFIQAPLSALLEYSGILRVEPHHAGGEGGEVSIRIVGAGEAGESSERGEDGVVEEQEGDAAATARAAHPSVPAPAGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMLVLVGITLLFVVHVFGVYWFYKNGDLIRPLVMLPPKEIPPFWHAIFIILVNDTLVRQTAMIVKCILLMYYKNTRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFFTALRALSHKDFHYGSYATTEQVSAAGDMCAICQEKMHAPILLRCKHVFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF* >Brasy1G393900.1.p pacid=40055312 transcript=Brasy1G393900.1 locus=Brasy1G393900 ID=Brasy1G393900.1.v1.1 annot-version=v1.1 MRPLRSVVALLLAAVSVVGSAAPSSARSSEGIISRDDFPAGFAFGAGTSAYQWEGAAAEDGRSPSVWDTFARAHAHAGDDPVDGSVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLIPNGRGEVNPKGLGYYNNLINELLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIDDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYRRKYQAKQKGLIGMNIIIYDILPFTNSTEDKAAAKRAQAFYTGWFLDPLYFGDYPLVMKENTGSKLPIFSRNQSEQLINSVDFLGINYYTIMRVKDDPHDVPSKRRDFVADMSAKAIFASNSTTGFYVPGFGLEEVLEYLKQSYGNPPLCIHENGYPMHQHVVFDDGPRVEFLSTHLRSLLIALRNGSNTRAYFVWSLMDMYELLSVRDTYGLYYVDFADKDLKRYPRNSKIWYTDFLKGSTSDSRQTERFSDH* >Brasy1G036700.1.p pacid=40055313 transcript=Brasy1G036700.1 locus=Brasy1G036700 ID=Brasy1G036700.1.v1.1 annot-version=v1.1 MLLLLHFVDAREDHITLEMKPLDYIAYVYNYFAYDDYVYDYLAYVDYFLYIATPHVSKSSVPTTTTTLVFFFQDSCSIPGN* >Brasy1G441500.1.p pacid=40055314 transcript=Brasy1G441500.1 locus=Brasy1G441500 ID=Brasy1G441500.1.v1.1 annot-version=v1.1 MEGDGFNKSGSPEESGARRAPAYYECSFCKRGFTNAQALGGHMNIHRKDRGGAGGKSRGAAPPAGQQDGAGGDQVHLGLTLGRNEDSRDGLDLELRLGHYPYN* >Brasy1G514500.1.p pacid=40055315 transcript=Brasy1G514500.1 locus=Brasy1G514500 ID=Brasy1G514500.1.v1.1 annot-version=v1.1 MYMFDSAGEPIGRAITVGQFDNQLLIQAHRYVLRHCDELEQFRREFVEQEKLKPCHLSNLMPDDIEKLINRHFAEWLEQKVMFSMFQFYELHIFNLFF* >Brasy1G055800.1.p pacid=40055316 transcript=Brasy1G055800.1 locus=Brasy1G055800 ID=Brasy1G055800.1.v1.1 annot-version=v1.1 MERRADSNGDIILEIASSGSSWNRPPGGETEEPGDAHISGAGGEGGAAPRRELSFSHAYRTGHRKPQEFTAWQTAVLAFQSLGIVYGDLGTSPLYVFQSIVLPGAGEADFLGILSLILWTLTLMSLVKYVLVVLRADDHGEGGTFALYSLLRQHVGFKGGGMAAPSQLAARLPSDLNLRFHSKKRSSGPSWMQDFLERNVMAQSCITIIVLLGTCMVMGDGALTPAISVLSAVQGIQSRSPKIEQEHVVMLTVVILLLLFLFQQLGTSKVSFSFSPIMIAWFLSISMIGLYNIVVHYPPVLKAVSPHYIYYYFARNGRAGWEQLGAIILCITGAEAMFADLGHFNKASIQLAFSTLVFPSLILAYSGQAAYLIKNPGDLSTAFYSSIPGPLFWPMFVVSTLAAIVASQSLISASFSIIRQSIALGCFPRVTIRHTSDRYEGQVYCPEVNYLLMVLCVLITVGFQGGPEIGHAFGVAVIWVMLITTALMTVVMEVIWDVRPVWAGAFFLGYLAIEGMYMSSLMTKVAQGGWVPFAISAFFLAITLSWTYGRKKKSEYEAAHMIAGPELASIVARCARVPGVCFFFTDLMNGVPPIVRHYAEHTGCLRELLLFVTVRTLHVRSVLPEERFLLAPPAAAADDEPLPAGVYRSVVQYGYMDKQDLEGDELLESVVAALREAGGGGDDEEAEMMELARRRGVGIVIGRTILTAGEGLGWFKRFVLELYRFLQKNFRSSCSTLKIDYAKTLQVGMKYKID* >Brasy1G089300.1.p pacid=40055317 transcript=Brasy1G089300.1 locus=Brasy1G089300 ID=Brasy1G089300.1.v1.1 annot-version=v1.1 MVRSLPFAAAVAVVLACCSVICSGAERLGARECEELGFTGLALCSDCTALAEFVKDQELVEDCRKCCTEDSDDSVSKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYAYGSPPKLIMLDDKGEQKESIRIDNWKREHIRQFLKEKVKPAKSDS* >Brasy1G245000.1.p pacid=40055318 transcript=Brasy1G245000.1 locus=Brasy1G245000 ID=Brasy1G245000.1.v1.1 annot-version=v1.1 MLNLAFLQGMEEARKFLPPSTGLPPVVAAAAEDGLLKKKRANSEPEEVGRASKLMAPELEEEEDGARELLDEMMLGENEICMKGVRNQNLQVAEPVKKTRKGTGQRGRPRKAAVDGEMVDLHTLLLQCAQAVSTDNKRGAGELLKKIKQNSSPTGDAAQRLAHYFSVGLEARLAGRGSKLYESLMARRTSVVDALKADQLYMAACCCKKVAFVFANKTICNAVEGRSRLHIVDYGINLGLQWPGLLRMLAAREGGPPEVRITGIDLPQPGFRGASHIEDTGRRLSNFARVFGVPFKFCAIAAKRETVRPEDLNIDPDEVLVVISLCHFRLLMDENLGFDSPSPRDQVLNNIRKMQPNVFIHGILNGSYGATYFLTRFREALFHYSAQFDLLDATVPRDNTGRLLLERDIFGRSALNVIACEGADRVERPETYKQWQLRNHRAGLSQLPLNPEVVNLVLDKVRGNYHKDFVVDEDQRWLVHRWKGRVLYALSAWVADDAT* >Brasy1G103800.1.p pacid=40055319 transcript=Brasy1G103800.1 locus=Brasy1G103800 ID=Brasy1G103800.1.v1.1 annot-version=v1.1 MPMQLPVVGVATSAPLAPVTAAAAASPRRYPPIRISASPSTASSPSTSAISGQRLRPPARHSREHLGGRNDVPARPSKGRVFFLDVNPICFRGSQRSLGAFARWLSLFFAHVSLRDPVVAVLDGAGGNEYRRRLLPSYKAHRTRGVGTGADSRVVDVLRECNVPVVQIDGHEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLKKHGTLENLLNTAAIKTVGKDYAQDALVKHADYLRKNYEVLSLKRDVNVQLDDRWLSTRDTCNDTSVLSNFILKFNEGQKLNSR* >Brasy1G103800.2.p pacid=40055320 transcript=Brasy1G103800.2 locus=Brasy1G103800 ID=Brasy1G103800.2.v1.1 annot-version=v1.1 MPMQLPVVGVATSAPLAPVTAAAAASPRRYPPIRISASPSTASSPSTSAISGQRLRPPARHSREHLGGRNDVPARPSKGRVFFLDVNPICFRGSQRSLGAFARWLSLFFAHVSLRDPVVAVLDGAGGNEYRRRLLPSYKAHRTRGVGTGADSRVVDVLRECNVPVVQIDGHEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLKKHGTLENLLNTAAIKTVGKDYAQDALVKHADYLRKNYEVLSLKRDVNVQLDDRWLSTRDTCNDTSVLSNFILKFNEGQKLNSR* >Brasy1G327400.1.p pacid=40055321 transcript=Brasy1G327400.1 locus=Brasy1G327400 ID=Brasy1G327400.1.v1.1 annot-version=v1.1 MPLPESPPKNTNWVGSSHGWLVAMDENSDLTLLNPVTRASIALPPVTTMEHVKPILNADGVLEKYQMFFYDGAVPRVVEDTTAEYSLDEYGDSVYDKAILSCDPSEGECCIVMLIHLPYSQLSFARVGDDRWNWLKMHSYYSDCVYLDGWFYAMGEEGAIDMYNLSERSAVIHRRILPDTIGAVRKCHIVLQAQSGDVLQVIRRDTPDPGRPDDHIDRRLRDIYREKHDILLQCQGVSTPDAKPCVFH* >Brasy1G161800.1.p pacid=40055322 transcript=Brasy1G161800.1 locus=Brasy1G161800 ID=Brasy1G161800.1.v1.1 annot-version=v1.1 MDAPAPAARVARKVDGAGKLSGGVYERIRPGLPTLCSLSFSSADEDAAMEPRLTSIRPSPASVSSPPPPVKLPRRSDSGSAAITYEIPTTTACSGEFSTSSRRQPWPCLQNSTLVRLLEQQFLSLEFHEEPQLNCNPRN* >Brasy1G052300.1.p pacid=40055323 transcript=Brasy1G052300.1 locus=Brasy1G052300 ID=Brasy1G052300.1.v1.1 annot-version=v1.1 MSQKMESGTGERRKHFVLVHGLGHGAWCWYKVVPLLEAAGHRVTALDLAASGARPGRVEDVHSFEDYSRPLLDAVAATAAETERLVLVGHSHGGLSLALAMERFPGKVAAAVFAAAAMPCVGKHMGITTEEFMRRTASLEEQLMDCEMLPITNNQGPGVAISVGPEFLARKYYQHSPAEDLALAKMLVRPGNQFLDDPVMKDDALLTAGNYGSVKKVFVVAKADGSSTEEMQRWMVALSPGTDVEEIAGADHALMSSKPKELCDVLLKIAHTC* >Brasy1G333100.1.p pacid=40055324 transcript=Brasy1G333100.1 locus=Brasy1G333100 ID=Brasy1G333100.1.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSCRMVEWSHLLLVDLQTLRLNQLSKGISVAADVHELEE* >Brasy1G333100.2.p pacid=40055325 transcript=Brasy1G333100.2 locus=Brasy1G333100 ID=Brasy1G333100.2.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSFIHVQGAGW* >Brasy1G333100.3.p pacid=40055326 transcript=Brasy1G333100.3 locus=Brasy1G333100 ID=Brasy1G333100.3.v1.1 annot-version=v1.1 MSPASPPSPPPPSHGCSVANSPQISSPPSQADPEGAVPHRCRPLPPAARSSPPLGVTILCGGDGGPTRINGSDATTRSTNSGTATFSNSGALSPDGEFLSFIHVQGAGW* >Brasy1G043500.1.p pacid=40055327 transcript=Brasy1G043500.1 locus=Brasy1G043500 ID=Brasy1G043500.1.v1.1 annot-version=v1.1 MSRMLVRVLAFLLFASHVFCAPLDGRDVVGTCRYEKTSAAVFSCIRPDGKRRPPSAACCKTLLYAIDELPASGESGACCLCRYMRAKPVSTGLVMSYVLCKGKDLHIVNNRWPFNSFPITACNKVCPGSNQSSSTHDDGPPMVQAQGVSNTILKIVWAVAAVAFCVVLLVCCWCLWGAKRAANAPKSSQSGSSPGDERRRSSSGRHASAQLKERRMSSSRSSPNS* >Brasy1G041400.1.p pacid=40055328 transcript=Brasy1G041400.1 locus=Brasy1G041400 ID=Brasy1G041400.1.v1.1 annot-version=v1.1 MNRRFVNLVMKNYADCFYSLRRIDPYNHFFYGSAKAALEAADEAAKKKEYFPAMQTLQLPSPCMNFAAATPGGNLTMFALLSHRGTSQGRIVYGKSDGEAELYDADKNIHNSLGRLNEPKGIDPVCLPVVHPDDPDQSIMYVLDRYPKEREVGTAVNRCFEVLESVPKEAAALVRNNTTWWRWRRLPPPPFVLEDGYVSSTISSYATKVDGNGYSTIYISASSGIGTYSFETARRDCSQRLGWRRTEEWSRVGAWQLPFVGSAQYVPDFNRWFGFLPSKPHHLCAVDLSTMDHERPPIVQQVWPDAIPPQGKEWLVVNIKLLDLGNGKFVIAKTFEEGPYSREFTVLTGIEMMKAGGQSLQMVKHKCARFDFDHESIDWVL* >Brasy1G041400.2.p pacid=40055329 transcript=Brasy1G041400.2 locus=Brasy1G041400 ID=Brasy1G041400.2.v1.1 annot-version=v1.1 MNRRFVNLVMKNYADCFYSLRRIDPYNHFFYGSAKAALEAADEAAKKKEYFPAMQTLQLPSPCMNFAAATPGGNLTMFALLSHRGTSQGRIVYGKSDGEAELYDADKNIHNSLGRLNEPKGIDPVCLPVVHPDDPDQSIMYVLDRYPKEREVGTAVNRCFEVLESVPKEAAALVRNNTTWWRWRRLPPPPFVLEDGYVSSTISSYATKVDGNGYSTIYISASSGIGTYSFETARRDCSQRLGWRRTEEWSRVGAWQLPFVGSAQYVPDFNRWFGFLPSKPHHLCAVDLSTMDHERPPIVQQVWPDAIPPQGKEWLVVNIKLLDLGNGKFVIAKTFEEGPYSREFTVLTGIEMMKAGGQSLQMVKHKCARFDFDHESIDWVL* >Brasy1G429300.1.p pacid=40055330 transcript=Brasy1G429300.1 locus=Brasy1G429300 ID=Brasy1G429300.1.v1.1 annot-version=v1.1 MAALTRFSLARVRVGVRDPYSLPPCTEVTTDPYIYDAFFEFESVAEIGGLLDEEGHIIGMPRREDNSADKDPKRPRTESHVTNSSSQNLVVIDDNLGGSECGSSRHFSKDDIDKLIQEEMDKRIAVQLQQIEERAFAAAVKSLQHKSDPPPSVLEEVDPAVASRDNAVNDEEVEDFDDIEIEENANEDGEEEVSYSQDFAKRVQRTLNGEDPDLHTMTEEELRKQAEENIRKKLRPQGDGTILDKAKELASRKNLNPKGAS* >Brasy1G469000.1.p pacid=40055331 transcript=Brasy1G469000.1 locus=Brasy1G469000 ID=Brasy1G469000.1.v1.1 annot-version=v1.1 MGAQKKGARAHLLASFLLAALATQAFLVSARTAPTTETDKATQDDVKKPDCERSSDPHSFPGHGGTTTTRIPSHTSAPISYGVTPVFGTPIGPGPSHGGTTPTAPSHGGGGGHGHGSSPSTGSSPAAPSTGGSPPTTPSHGGGAYGGSPPASGSTTTPSPTGHGTAVDPNNHGTCEYWRSHPMQVWSALGGSRPSSAGLFFNGGGNTGWLGSGVSVQDALANTGTDGRAAQLHDPRGVPIHHAAGQGRLRGGRGGGIVRRRQDQAGGGVQEGQRREQGVRRRCSYHACVCLLFRRVQAVLGSMVWVVLRVGDMWCSTCGLDLYLCTCCNDDDSRL* >Brasy1G327000.1.p pacid=40055332 transcript=Brasy1G327000.1 locus=Brasy1G327000 ID=Brasy1G327000.1.v1.1 annot-version=v1.1 MASNQDKASYRAGEAKARTEEKSGQVTGAARDKAYEAKDRASGAAGHAAGTGRDAKQKAEEAKYKTSETAQAAKEKTSETAQAAKDKTAQTAEATKEKTSQTAQAAKERAAESKDQTGSFLGEKTEAAKQKASETAQYAQERASEAAQYAKDSAVAGKDKTGSVLQQAGEQVKGAVVGAKDAVASTLGMGGDNASTNTKDTTEKITRDR* >Brasy1G541500.1.p pacid=40055333 transcript=Brasy1G541500.1 locus=Brasy1G541500 ID=Brasy1G541500.1.v1.1 annot-version=v1.1 MAKMAYHEMEEHEKKCTHKLSSNAVQPMFQDHGLPMVSPSTTININVNIIHEKAEHDNRTCPANGARGSSSSVVKMGPCGGGGDDAAWEMDVRGMNRIIEVVVHHEDAVNAISVLYERDGQEEQSKLWGAVFGKRSEICLEKDEYLTSVKGRYGHFNGWSMIRALTFVSNRRTFGPYGKDKGMEFELPAVGGGKIIGFHGRSGGLIDAIGTYVKLC* >Brasy1G028800.1.p pacid=40055334 transcript=Brasy1G028800.1 locus=Brasy1G028800 ID=Brasy1G028800.1.v1.1 annot-version=v1.1 MGVEVVESSMVTPSEEMPKHGLWLSAFDLVLAGRGHIPVVYFYRPEPESGDDFFDVARLKEAMAKALVAFYPLAGRLTVDHKGRAEINCAGQGALFVVARSDFTIDDFGDFQPSDELRKLFVPRIDESSGIISGVQPSPELRKLFVPHIDDSSGIVTGIQVTSLKCGGCVPLLTATLELPCHDRTLLRGRCPPVVHPDALNVFYPFKINPCEPLGAIINKIFIISKDQVNALKRACGDVSTFCAVTTHVWRCVCAARQLPSDTTTRLTFTANVRGRMKPPLPARYFGNAIIWLTSTGKVRDVASPSEETMASVASRIRGTIRRMDDEVVHSAIDYLELQEMGSKPATPSGNSLSKTELRVVSWLGMPVYDADFGWGKPLMMLRAVVPRAGVAYLMDGGQGDGSVHILICMETAILGDFQRLLHAPL* >Brasy1G201200.1.p pacid=40055335 transcript=Brasy1G201200.1 locus=Brasy1G201200 ID=Brasy1G201200.1.v1.1 annot-version=v1.1 MSTVGSLVLGHVLEEVLDPFTPATPLRITYNTRLLLAGVELKPSAVANKPRVDVGGNDLRVFYTLVLVDPDGPSPSNPSLREYLHWMVIDIPGTTGASFGQELVVYERPEPRIGIHRMVFVLFQQLGKGTVFAPEVRHNFNCRSFAHQYNLDTVAATYFNCQREAGSGGRRFGPGTS* >Brasy1G563900.1.p pacid=40055336 transcript=Brasy1G563900.1 locus=Brasy1G563900 ID=Brasy1G563900.1.v1.1 annot-version=v1.1 MAFFLLLAASMASTTAGADAGFISRTCQKTKNPTRCVSVLDAADPDTKDLATNERDLAGIALKLAIDTAGDAAAAAIDELAKTGGGLDAALRVCKRAYLDAASTLRIDALDSMNGSDFLGASRLVESCKGAGELCDGSFPASGHGPAPEVMAAVDRNMTQRSAVLLDLLGLLFVPPPPPPAAA* >Brasy1G073800.1.p pacid=40055337 transcript=Brasy1G073800.1 locus=Brasy1G073800 ID=Brasy1G073800.1.v1.1 annot-version=v1.1 MDPHPSHHNHRLHLHLRLDPGHHHRIHIHLCHHSTHLLLPAAPSAHHHHHHQQQQGSLAPPQSFPTAHPYPPNAAAWHPQGSAAGPQGEAEAADGFWEEPAPQAEQVYLEEEDEEPVFVLTDEWAEFFARADAKRKLAKQQQKKKNRKK* >Brasy1G313000.1.p pacid=40055338 transcript=Brasy1G313000.1 locus=Brasy1G313000 ID=Brasy1G313000.1.v1.1 annot-version=v1.1 MEEGKMETGARPTWIGDGNGNLVHDAVDYRGCPADRSRTGSWVAAALVLGIELCERLATMGIAVNLVTYLTDTMHLPSAESANVVTDFMGTSFLLCLLGGFLADSFLGRFLTIAIFALLQALGTGLLAVSTTVRQLRPAPGEAATGLQMGVLYACLYLIALGTGGLKSSVSGFGTDQFDERDGRERAAMGHFFNRFFFFISLGTLMAVTVLVYIQDHVGRSWAYGVCSACMLLALAVFLSGTRRYRYKRSAGSPIVHILQVLVAATRKRGIKRPLAAAALYEDRQEDERIPHTAQFRWLDAAAMMAGEDDNEAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVAGLGGFEIPAASLTVFFVGAIMLTLAIYDRVFIPLCPSLTGRQGFTNLEKIGIGLGLSIAGMAAAAVCEKKRLAVAAAASTHDMAAVLPISVFMLIPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLATLSLGFFLSSALVSLVKGATTWLGDTINHSRLDCFYWLLAVLGTVNLAAYLLCAMWATPAAGSSKADPAATAADEKC* >Brasy1G409200.1.p pacid=40055339 transcript=Brasy1G409200.1 locus=Brasy1G409200 ID=Brasy1G409200.1.v1.1 annot-version=v1.1 MPERERNRRRLMRETEQRKAQKSGGEQRMREMETDQMDKVWRDLDWGDGLDWLPLGPFYLSKCQPFYLSEPTIQLFISSFRR* >Brasy1G144100.1.p pacid=40055340 transcript=Brasy1G144100.1 locus=Brasy1G144100 ID=Brasy1G144100.1.v1.1 annot-version=v1.1 MQHQLLRPHDAASLPRHLLEARVVSLVRRCHGLRALRGAHAHFLRLRLPRLTYAFALSKLLASCAETSATTAASSYARALFDQIPEPTAFCYNSLIRAVSGPSSSNKGTEDTFLLYRRMLHAGSPAPNSFTLAFVLKACAALGEGQQLHSQAFHRGLEPSPYVQTGLLNLYARCEEVVLARNVFDGMVEDKNLVAWSSMIGGYSRAGMVNEALGLFRDMQAVGVNPDEVTMVSVISACAKAGALDLGKWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKSVFDSMVERDTKAWSAMIVGLAMHGLAEDALALFSRMLQLKVRPNNVTFVGVLSACAHSGLVDDGRRYWCTMQELGIEASMENYGCMVDLLCRSGLLDEAYSFVTGMPISPNSVIWRNLLVASKSSNRIDIVELASTRLFELEPQNPENYVLLSNLYALNSQWDRVRYMRKKMKDNNITVVAGCSSIEIYGYLHKFVVSDGSHPEIKKIRPVLREIADRVLRAGHKPWTAAVLHDVVEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVTKIISKSYGREIIVRDRVRFHRFIGGSCSCNDFW* >Brasy1G013500.1.p pacid=40055341 transcript=Brasy1G013500.1 locus=Brasy1G013500 ID=Brasy1G013500.1.v1.1 annot-version=v1.1 MNSTSIDINTGAHLKNSEPTLTRGGIPQNVLTPPRPENPSFFLLLRFQSPSRVSPLPRRRRRLAALVRLQIAGFASAPMAEEPATQGKKEEEEFSTGPLSVLMLSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK* >Brasy1G013500.2.p pacid=40055342 transcript=Brasy1G013500.2 locus=Brasy1G013500 ID=Brasy1G013500.2.v1.1 annot-version=v1.1 MNSTSIDINTGAHLKNSEPTLTRGGIPQNVLTPPRPENPSFFLLLRFQSPSRVSPLPRRRRRLAALVRLQIAGFASAPMAEEPATGKKEEEEFSTGPLSVLMLSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK* >Brasy1G476900.1.p pacid=40055343 transcript=Brasy1G476900.1 locus=Brasy1G476900 ID=Brasy1G476900.1.v1.1 annot-version=v1.1 MAPIQGLAPSSSIAVKWRGDGFCCDVPMLSSSYLTFFSAMPILNTV* >Brasy1G547800.1.p pacid=40055344 transcript=Brasy1G547800.1 locus=Brasy1G547800 ID=Brasy1G547800.1.v1.1 annot-version=v1.1 MEAQQQVDWSAGLPGDLLNYIGTLLAVPGRICFRAVCRTWRAAMEEHESPAMPTPWAVIPRAEGCSDSFTVLSAPTLNSFQWTPPGGAPARCVGSNAGWLALVTVVPEPHHIGISLINPITDARVDLPPTPCWIPDLSPAAVERELDMMVRKVVFAPRPSASAYAAAFVSLGGRTVVYARAGINEYDGLWRLLSEVPNAERGVRRELDVAYHGGKFYYMDTRGQVWVADMAAQRPAPVPLASFACPDLPRRLAHRRRGYHLAFSGDGGLHVVWSTSDGDGVSSSNHYHRPAMLVRRYDDASASWAATASVGGDRAFLIGDRNQSLSVPVRSASSWLRADAVYFANIPLCGLLAQGGAWRGVWVFHLAAGVITFPTEDHHKDMELNELELEQNWPKSVWFMPCMT* >Brasy1G357600.1.p pacid=40055345 transcript=Brasy1G357600.1 locus=Brasy1G357600 ID=Brasy1G357600.1.v1.1 annot-version=v1.1 MVAGPEVMHQVVPMLEASFHRCPLKGVEEVVVARVAVSPPPPVASPEADAEVDLEVPDLECKAPLETLQFVPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLLCPAPNAFYGVFDGHGGSDAAAYMKRHAMRLFFEDSEFPEALEEDELFFGSVENSIRKAFLNADLALADDSVISRSSGTTALTALMFGRQLLVANAGDCRAVLCRKGTAVEMSRDHRPTYDAERQRVTECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSSSPLISEPEFQQTILTEDDEFLIIGCDGIWDVMTSQHAVSLVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFASELSGCLPPSDSSRRMRSCKSLSAEALSNLRRLLESDE* >Brasy1G019100.1.p pacid=40055346 transcript=Brasy1G019100.1 locus=Brasy1G019100 ID=Brasy1G019100.1.v1.1 annot-version=v1.1 MTTVASLSLVPRLLIKPSFRCLSRKGVGRDGGIKVYAVLRDDSADYLKDNNQWEALFHVDDPGPRVPIEKGQFLDAKQALDVARFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLARDFKSVGNLRKDLAGLQEELAKAHNQLYLSESRVSSALDKLAQMETVVNERLLSDGSAFASTADCATLDPSTSLDPSTSSTTHVGAKKDKRRSLNISGPVKPYNPNLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDRRPGCVQNTCAHRACPLDLGSVNEGRITCPYHGWEYSTDGKCEKMPSTKMLNVRIRALPCFEQEGMVWIWPGDDTPTPTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVKFLTPTSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHIPFMHLLWSHFAEKVLNEDLRLVLGQQERMNSGANVWNWPVSYDKLGIRYRLWRDAVERGVDRLPFSNQIESGS* >Brasy1G250500.1.p pacid=40055347 transcript=Brasy1G250500.1 locus=Brasy1G250500 ID=Brasy1G250500.1.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKENVSEQGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIGRLGPKIQENSNLHNLFSPVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G250500.2.p pacid=40055348 transcript=Brasy1G250500.2 locus=Brasy1G250500 ID=Brasy1G250500.2.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKENVSEQGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIGRLGPKIQENSNLHNLFSPVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G250500.4.p pacid=40055349 transcript=Brasy1G250500.4 locus=Brasy1G250500 ID=Brasy1G250500.4.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIGRLGPKIQENSNLHNLFSPVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G250500.3.p pacid=40055350 transcript=Brasy1G250500.3 locus=Brasy1G250500 ID=Brasy1G250500.3.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKENVSEQGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G250500.6.p pacid=40055351 transcript=Brasy1G250500.6 locus=Brasy1G250500 ID=Brasy1G250500.6.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKENVSEQGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G250500.5.p pacid=40055352 transcript=Brasy1G250500.5 locus=Brasy1G250500 ID=Brasy1G250500.5.v1.1 annot-version=v1.1 MVIASGAIDRRRLSPFGPPPESSSSFFSEDLVPTERQVGFWKSESMVDQTGSKSDFASTLEKVHPTGANPEGSLEHPGGEVFKGLDILSLSKFMGQGNASSLPSISWDDILTTPVSRLGLSTRETTIAETASGKSRMMQSADTHNFTCEGDEPLGSMEEIEAQTIGDLLPSDDDLISGVVDGFEFVGRSTNLDDADEDIFCTGGGMELENDGSMKGDKILEGSQLCQFSGEHYINKCPSRTLFVRNINANIGDSELRALFQQYGDIHILYTSCKHLGYVTVSYYDIRSAQHAMIALHGKPLGLMKLDVQFFITKGISKGILVVSNIGSSVSNDDLRQILTAYGDVKEISRASASCNKKLVEFYDVRAAEAALQDLNKGNISGPKIKVEVSNPGGARSCLSLQCSREWKHDSSSNQPRNSPPGTIVSPQLDKSPHGICTNGPQKLSSPIRIESKLQHNNQTALSGSLGQGNSGRGMHIFHPQSLPECQNGICNISKSVTSSGRNANFRVDGVDYSQLQQVSAGSLHGHFDQNNEAFGVTGIGSFPLNGHHYTWNNSNGFPQSSSSPMLWSNLQHPVHMHGYPGVPPHTLNTGAYPLDQHHLGSAPNNGGSFGNVHTFHPGSLGSVGFPGSPQLYPSDIAAFAPARGNYRETMFSPVAAGFPSMQQMCRGINGRNPMIQVSSSYDATNDRVRSRRHDGNTVQPENKRQFELDVDRIANGEDSRTTLMIKNIPNKYNVKLILSVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALISHFQNSSLMTEDKWCRPILFRKDGPNAGDQEPFPVGNHVRSRHGRIRPLLSSDSRGDMSPSTSPNQENSSRRANTIRESQEQA* >Brasy1G046900.1.p pacid=40055353 transcript=Brasy1G046900.1 locus=Brasy1G046900 ID=Brasy1G046900.1.v1.1 annot-version=v1.1 MIFHASLCVIYLGWGTVKTFAYLWMPIFPFIGIEWFIKLRGPNTRTASIRLFIDTAGVVFMSYMVLLNISLSYLWLAIFPIIEITFIFKLCVELQGKANRPAGSSSSGASDHKYEEKTRKELPEQNMLLILIPFSALCLMALFIDDHAGAADMFAFSLFLLFLSTTLGALTYMAMRLPTGISPGIAPASQLLQKTSLLLLLVTGHTLVAELLGQSVVLICMPEVFPVLLWFSLHLDSDKPIISIDKMKPHKTTLSAVVVIFGCLVTYMDESWLYRCTTILVSCGVSGLLTHYVLFILCQWPRQQACKDMAFDISHPISKQAGKGKATASSEEVAQSKKQAAKGKSKATPSLEGPAQSKTRADKGKGTAALEEAVQSKQAGKGKETASFKEALQSKKQAGSFEEAVQLLKFWANSLLTLTAVLLVLECLVAFRLGLNVPLITTLEKLHKAYADVLTQISQYISIM* >Brasy1G046900.2.p pacid=40055354 transcript=Brasy1G046900.2 locus=Brasy1G046900 ID=Brasy1G046900.2.v1.1 annot-version=v1.1 MIFHASLCVIYLGWGTVKTFAYLWMPIFPFIGIEWFIKLRGPNTRTASIRLFIDTAGVVFMSYMVLLNISLSYLWLAIFPIIEITFIFKLCVELQGKANRPAGSSSSGASDHKYEEKTRKELPEQNMLLILIPFSALCLMALFIDDHAGAADMFAFSLFLLFLSTTLGALTYMAMRLPTGISPGIAPASQLLQKTSLLLLLVTGHTLVAELLGQSVVLICMPEVFPVLLWFSLHLDSDKPIISIDKMKPHKTTLSAVVVIFGCLVTYMDESWLYRCTTILVSCGVSGLLTHYVLFILCQWPRQQACKDMAFDISHPISKQAGKGKATASSEEVAQSKKQAAKGKSKATPSLEGPAQSKTRADKGKGTAALEEAVQSKQAGKGKETASFKEALQSKKQAGSFEEAVQLLKFWANSLLTLTAVLLVLECLVAFRLGLNVPLITTLEKLHKAYADVLTQISQYISIM* >Brasy1G477300.1.p pacid=40055355 transcript=Brasy1G477300.1 locus=Brasy1G477300 ID=Brasy1G477300.1.v1.1 annot-version=v1.1 MGKAARWLRNLLGGGGKKEQGREREQRRPASNNIAAPSGDRKRWSFCKSSSRDTSEPEVTAAAQVGANNGGAAIARAAEAAWLRSLYKENEQREQSKHAIAVAAATAVAADAAVAAAQAAVEVVRLTSQGHLVAASPRAFAFAGAGGDGRAAAAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQASIRAAARNRAADLRLHLHPLTVRPPRYSLQEDTRSEHGSGVAPYYYSRRLSASVESSSYGYDRSPKIVEMDTARPKSRSSSLPVAEPGDDYAYYSVSSPLVPCGHGHNLPCAPPRIAAPSGGRGFFLSEYYEREKPRPATAQSTPRYASSLSYAPVTPAKSVCGGGGYSNNSPSTLLSGPRSYMSSTQSSDAKTRSQSAPKQRPEEGGAVVPPRKRVPLSEVVLEARASLSGATTQRYCKSSCNNNNNRQKAEEAFSFKKAVVSRFDRSSEPAVAAGDRARDLFLQKGW* >Brasy1G530600.1.p pacid=40055356 transcript=Brasy1G530600.1 locus=Brasy1G530600 ID=Brasy1G530600.1.v1.1 annot-version=v1.1 SKRSTVTKILIIVLTSATAALLFTCTYVLIWHRKWKGLQFLLCKKTSSITESNYEAMIVSYGSLAPKRYVHSEVMKITSSRNNQLGQGGYGVVFKGRLHDGRLVAVKFLHDCKGNGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQNFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSIVEKSSEKYFPDWINDHLAQDDGLQACEVTSEIEEIARKMTIIGLWCIQVLPVYRPTITKVLEMFERGLDDLDMPPKQNFSELLEDSDHNMNVQSASSTRSEETSLVDSKIIQ* >Brasy1G571900.1.p pacid=40055357 transcript=Brasy1G571900.1 locus=Brasy1G571900 ID=Brasy1G571900.1.v1.1 annot-version=v1.1 MELEDKPPNGVPNREPIEGLISSRHARRGCDCGSMVRTGPYQTRILVVSLPAATDQNPRSAAGHGAEEPVDARRTRSRRLPLDVLLWEILIRLPAAALLRCRAVCRSWRRLTSDPGFLLAHHRRQPSRPLFVLRGYSATNPAGPERASLSSGASFFICNPATRQEAPVPGLDAAADGCINIKAMYLHHPSGEYRVLYWHDRGNQTNHSDAVSYVLGVPSAHKPRAAAVPPAASLCLQAHPNYRPPVVFRGGLHWHPSRRSLDDNRVLVVFDTVDESFSSMRLPAAAVATSCFTRLHDIQGSIGLSCFDDHSTVAKIWVLEDYEREVWSLKYQIKFSPESMCNLAKTSRHLVLSHEGDMLLYVDFGTDMVHYKGKFLEKFRWKSWARSTLTGHFFKESLIKHAFFPERGSAPTVGHPCLFSSL* >Brasy1G204700.1.p pacid=40055358 transcript=Brasy1G204700.1 locus=Brasy1G204700 ID=Brasy1G204700.1.v1.1 annot-version=v1.1 MGTLGGQFDHFHADDRRAEVIDWLGGLLPEFNLPLDSSDEELREYLIDGTALCYTADKLMPGVLEGVWGGYASDHRSNVKKFLSVVAEMGLPGFSVKDLEEGSMSSIIECLLALKDSVTTGLGENISDYAAKTPSRRKLELRETDGPVIPVSAPGRSLGEDRRRGLWDPKTPQRSPLLSGQKVNEVFQFKRGQYTDIPTAKISEMIQSNSLDSAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVVQEIERRISIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLELVKVEKSKIDERRKLGEQDMARLMREKENAENTVTNLQQEIQVMSRMFEEYREQMETKASQMEEQLTLRAKEAEFLLMQSEKRVEEVEAASELKSQLWSKKANTFRSSMDKQKLSIKDIRISSQSIKQEMFALQMKWRDEISNVGLELKGLVDAAENYHGVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKSTAVDYIGENGEILISNPSKQGKDGYRMFKFNKVFSTHVSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSKEDWGVNYRALNDLFDISLKRRNTFSYEVEVQMVEIYNEQVRDLLSNDIAQRRLGIWSTSQPNGLVVPDASLVPVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESCSETISTLKFAERVSGVELGAARSNKEGKDIKDLLEQVASLKDTIARKDMEIEQFQVLKDKSKSPSSLTDRSGNSSLKQSTSSTGLSALTMASQQNQLISGSMGSGETEYEDNASDDGCSVGETEYSVGSASETAAERMQKAPSRLTRFFLTKNGQPASASRTRQKDPVPKTTGRPKSTTSQVTGGSSVKPPKRRVVQ* >Brasy1G328100.1.p pacid=40055359 transcript=Brasy1G328100.1 locus=Brasy1G328100 ID=Brasy1G328100.1.v1.1 annot-version=v1.1 MAAAAVGNGAGGDTKAEFARIYEALKEELLRDPAFEFTDESHQWIDRMLDYNVLGGKCNRGLSVVDSYKLLKGVDVLCEEEMFLASSLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGIILRNHISRILRLHFRKKPYYADLLDLFNEVEFKTASGQMLDLITTHEGEKDLTKYNIGVHRRIVQFKTAYYSFYLPVACALLLSGENLDNYGAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADASQKSILFENYGKKDPACVEKVKNLYKELNLEAVFHDYESDSYKKLIADIEAQPSVAVQKVLKSFLHKIYKRQK* >Brasy1G166300.1.p pacid=40055360 transcript=Brasy1G166300.1 locus=Brasy1G166300 ID=Brasy1G166300.1.v1.1 annot-version=v1.1 MASRKLLLGISVARRFSSRAPVLLSAPTLLEVSTSTSPAAATVTLGVLPFSSMALSSTRPLQQAAGDAPVTPVVDHTSVMPEDKFHKLADETIHDLLDKLEEYGDSQQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPSRFDWDATTKSWVYRRTGANLMQLLEKEVGELCGTPVELS* >Brasy1G557600.1.p pacid=40055361 transcript=Brasy1G557600.1 locus=Brasy1G557600 ID=Brasy1G557600.1.v1.1 annot-version=v1.1 MNLPPLGPHPVNVNLQTRSRVEGARERPRMEASTKFCIPSAPAPAVVHRCHTPLPPHGSLAALKPALNQAIRNSPPHHAALLACRLQRWVPTHLTR* >Brasy1G053600.1.p pacid=40055362 transcript=Brasy1G053600.1 locus=Brasy1G053600 ID=Brasy1G053600.1.v1.1 annot-version=v1.1 MARGSRKKKKGMEEGSPVSKLPDDVLVDIIRRLPYKSTCSCRWVSRRWRGLFSHPDHSKQLPKYLAGFFYEGYNRDRFPRTARYFTNASGEGDPFIDPSLSFLPEYKSLDILDCCNGLLLCRCWKATDPKTLDYLVCNPATKKWVVVPSTEWSCKVAVARLGFDPAVSSHFHVFEFIDEEASEDIDRSDLTGCVKRIEALAIYSSKAGAWTHQSIDHGPFAIPRYSKSIFLNGILHLAAFDEFIVAVDVQRNDWWLIDVPMPPSRQDDDFAPVNDVFVLQSRLCLTNSVTGGWYGSELLVWVIEDYDSGKWTLKHNVSHLQLFGTQLSAFDYKVVSFHPEYNMIFIVCGHDNTLVSYEMDSGKSRILHKLGSDCQLGLYETDKTPYFPYVPLFTESLADGH* >Brasy1G131500.1.p pacid=40055363 transcript=Brasy1G131500.1 locus=Brasy1G131500 ID=Brasy1G131500.1.v1.1 annot-version=v1.1 MALYRRLLLIRRLSHSDFLPYTLNHQPALSSPLLPPSRHFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSSPPPPRDPNAPRLPETTSSLVGPRLSLHNRVQSLIRSGDLDGATVAARAAVSSRVRPTVFTCNAVAASMVRAGRYDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEADRVDKALQANQELLDGATSFSPSAVTYRHLTKGLVAAGRIQEAHQLLHEMYNRGHGADSIVYKNLIDGYIHLDNWGKAFELFDELREKAAVYDGVVHTSFMEGYWKKGMDKEAMENYESLLGRKFKMTPATCNVLLETLFKHDKHKEANDLWETMVDNHTPPSFIGINSESYNVMVNQCFKEGKFHEAIKVFHRQPRKNVQIDVGCFNNIIGKLCENGLLSEAEMLFEEMESKSVLPDVYTYTYLVDSCFKVCRVDDTMKYFYKMADGREHGPKFNIGFFNRMFEGLAEAGRIDDALKVYGRMPDKEIKPNTTTFEILVKALCKERELDRARDLVRDMARGGVVAPPQFREFVSEIFKNADRHDEIEKAFEEKPEPPPQPRPDFRPRSSPQGLPGFASNQTQGSYTPQQGQAGYGSPRPFQPANDASQVQQPEWMSPKSQQPVFGNQQVEKTEVGAPQYGLPSPQGKQHGIALPQDQQAEFDTSLPYLHAVGASQVQRPDFRSAPPIQPGFGTRPQQPTDIAHQAQHPRFGTSRPWQAAYGAQQVHHQAQQPGNGTHQAQQPENGTHQVQQPGYDAPQAQQPGYDAYQPSRALLGAHEAPQSSLGSWSPQGGPKFGTQTPQQDFNAQAPDDIAVKYSQHY* >Brasy1G060700.1.p pacid=40055364 transcript=Brasy1G060700.1 locus=Brasy1G060700 ID=Brasy1G060700.1.v1.1 annot-version=v1.1 MARWGLAAIAVLVAAAALFVAAEAQAAQQGHQTERISGSAGDVLDDNPVGRLKVYIYDLPGKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYATCDLTPSGLPLPFKSPRMVRSAIQLIAEKWPYWNRSEGADHFFVAPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQNHLIPGDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGVFVSEDDVPKLDSILTSIPTDVILRKQRLLANPSMKKAMLFPQPAEAGDAFHQILNGLARKLPHGENVFLKPGQKVLNWTAGPVGDLKPW* >Brasy1G318100.1.p pacid=40055365 transcript=Brasy1G318100.1 locus=Brasy1G318100 ID=Brasy1G318100.1.v1.1 annot-version=v1.1 MEFSFISISQLAKAYLDSIYDQELLEKTNVLSVGLTPCNECRRAFDKHSVSIELNAQGCFLVEVFEQAICCCFQK* >Brasy1G397900.1.p pacid=40055366 transcript=Brasy1G397900.1 locus=Brasy1G397900 ID=Brasy1G397900.1.v1.1 annot-version=v1.1 MAVEPIDGLLGILPALKDNADKEHLCDKRTCDVCGVGRIHEQDEFCPYNYMYGVFGLRTSRERCQPGMRVRPQLLASGSRHHLRRVVRVTNVPLSVIGSKSELRGLFRQFGPLARSNLTTLSLDDSIGFGWVVFESREHAEEAIRRRPQAPS* >Brasy1G323600.1.p pacid=40055367 transcript=Brasy1G323600.1 locus=Brasy1G323600 ID=Brasy1G323600.1.v1.1 annot-version=v1.1 MAASPSLHAPRLLPLLPQTPTPIPTAFPATSVGPRRSPRPRSRRRFAVPPSRNGSSAGTDWCPVPPEQRPVNEYEALAASLPFSWAAGDLRLYCSRLAATGAAFALFVGLPVAAFGSRGVAGDSVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLTLCILLYVNTEKPKEPFENNTGGRAIPGVYSDTAARSFEPDAFCGEPDLGDQS* >Brasy1G521600.1.p pacid=40055368 transcript=Brasy1G521600.1 locus=Brasy1G521600 ID=Brasy1G521600.1.v1.1 annot-version=v1.1 MAAGRKRNVRGRDYSWPTGTARGGEAARWRARSGRQAAGGGVEQEVVPAGGHAREAERGRDPREKGARRLREDHRLSPWDWTAASAWVDLKQQQVRSSLQRRDEGGGSAEEREERGGGGNGAEKLGAAGSLTGGRRGGGIWGQQGGAKPASAWPPSAVVPVDVRTPGPSCGFGIRRSRGWGPRGRRSRGWGVGAGRSWAVGAGGSWGLGIRRSRGGGRRLRGWRREGSGRQVRRKEEVVRRRRWRGEIF* >Brasy1G052700.1.p pacid=40055369 transcript=Brasy1G052700.1 locus=Brasy1G052700 ID=Brasy1G052700.1.v1.1 annot-version=v1.1 MAGGDRLSDLPYDLLLRVLHFAPAKEAASTASLSRRWRSSPWRSSGAVNLETRVEDYKPRRYRDDPDEEPLFFSRRDAFVSAASAALSAAATAAPVTRLTLRLAFSDGGSSIRKFLYREPDYSDWSYTKDEDSVVAALLSHPAARRVEELRVTAECTYGFVLAANREVMQFEDEWVGVYALRLESLPSETLRVLELSNCNRMGSSPTAAVGLIDAAPALAVVHLDSVLVDVVVPDGVPPPKEEGVIVRSLRCPAATVLVLERCGWKTMHHNAYYNNAAAIVGLEIDAPRLQRFTYKGLLRPISLNPPPPDLVHADLQFFPVGDDRGSENYKSNIDHQATFWGCLQSITAAKAMKLTVQNLEDIAVLSEARRVELLPAFHGLERLELQGVHRPKGKTAAVAVANLLRCCPVLRDLRINLVTARHHDPMRYISRECLEKKFRSDCDKSVDLFNRGGTLEPMVVNSPEGDDGIDVNYDMVCDIPGLNPRSFECLRSSVRRVSLQFRLLEEWKNCFGIKLIKFFAENAMVLEEMCIDGGNGKLCEHMNRKVERWINANSSKRRNSGATDFEVSPLKRRAVNLRRMRS* >Brasy1G272400.1.p pacid=40055370 transcript=Brasy1G272400.1 locus=Brasy1G272400 ID=Brasy1G272400.1.v1.1 annot-version=v1.1 MAFVPICFQCGTRSNPCRCKVVGPTLGFVAFVVAGVVEWPLGAAVYLFRRRKGRRIMGHPASVVYPRVNSAIPI* >Brasy1G150200.1.p pacid=40055371 transcript=Brasy1G150200.1 locus=Brasy1G150200 ID=Brasy1G150200.1.v1.1 annot-version=v1.1 MATAAASGALIAILLLLVATAGAVGDDGSTLLEIKKSFRNVDNVLYDWSGDDYCSWRGVLCDNVTFAVAALNLSGLNLEGEISPAVGSLKSLVSIDLKSNGLTGQIPDEIGDCSSIKTLDLSFNNLDGDIPFSVSKLKHLETLILKNNQLIGAIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNHLEGSLSPDICQLTGLWYFDVKNNSLTGEIPETIGNCTSFQVLDLSYNEFTGSIPFNIGFLQIATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGTIPPELGNMSTLHYLELNDNQLTGSIPSELGKLTGLYDLNLANNNLEGPIPNNISSCVNLNSFNAYGNKLNGTIPRSLCKLESMTSLNLSSNFLTGPIPIELSRINNLDVLDLSCNMITGPIPSAIGSLEHLLTLNLSKNGLVGFIPAEFGNLRSIMEIDLSNNHLGGLIPQEIGMLQNLMLLNLESNNITGDVSSLINCFSLNILNISYNNLVGAVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSPNHEAKPPISRAAILGIAVGGLVILLMILVAVCRPHRSHVSKDFSQCPPKLVILNMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCRPVAIKKLYAHYPQSLKEFQTELETVGSIKHRNLVSLQGYSLSPIGNLLFYEYMENGSLWDVLHEGLSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDNDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHSILSKTASNAVMETVDPDIADTCQDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDPPPKPAQTSSSPQPVPSYVNEYVSLRGAGAISCATSSSTSDAELFLKFGEAISQNTE* >Brasy1G003800.1.p pacid=40055372 transcript=Brasy1G003800.1 locus=Brasy1G003800 ID=Brasy1G003800.1.v1.1 annot-version=v1.1 MPVSRIAIGSPGELSHPDTFKAAVAEFISMLIFVFAGSGSGMAFGKLTDGGATTPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFIGGNISLLKAIVYWVAQLLGSTAACLLLQISTGGAAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPHDQLPSAEY* >Brasy1G003800.4.p pacid=40055373 transcript=Brasy1G003800.4 locus=Brasy1G003800 ID=Brasy1G003800.4.v1.1 annot-version=v1.1 MPVSRIAIGSPGELSHPDTFKAAVAEFISMLIFVFAGSGSGMAFGKLTDGGATTPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFIGGNISLLKAIVYWVAQLLGSTAACLLLQISTGGAAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPHDQLPSAEY* >Brasy1G003800.2.p pacid=40055374 transcript=Brasy1G003800.2 locus=Brasy1G003800 ID=Brasy1G003800.2.v1.1 annot-version=v1.1 MPVSRIAIGSPGELSHPDTFKAAVAEFISMLIFVFAGSGSGMAFGKLTDGGATTPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFIGGNISLLKAIVYWVAQLLGSTAACLLLQISTGGAAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPHDQLPSAEY* >Brasy1G003800.3.p pacid=40055375 transcript=Brasy1G003800.3 locus=Brasy1G003800 ID=Brasy1G003800.3.v1.1 annot-version=v1.1 MPVSRIAIGSPGELSHPDTFKAAVAEFISMLIFVFAGSGSGMAFGKLTDGGATTPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFIGGNISLLKAIVYWVAQLLGSTAACLLLQISTGGAAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPHDQLPSAEY* >Brasy1G558400.1.p pacid=40055376 transcript=Brasy1G558400.1 locus=Brasy1G558400 ID=Brasy1G558400.1.v1.1 annot-version=v1.1 MTPHLPVSSRAAPRHLLDAGPPPSPQQHHRRRRRRRLCPPRARASAASPSSSVRCCAAAAPQPTTRRAAPAATRTRVFVVSDLHTDYPENMDWVRRLEVGARDAGGVDALVVAGDVAETRDNFARTMEALRERFEAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPSDLGSDDEALAVYFDKLNDKNNDAIEEVKNNSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRAIHSNREDGAACHVFGHTHFCWDSVVDEIRYIQAPLAYPRERKRRMNGGEGWLPFCVYRDGFNPDIYPAIWSDYYNKNRREPENTQLAPWVAKYFRKYY* >Brasy1G026400.1.p pacid=40055377 transcript=Brasy1G026400.1 locus=Brasy1G026400 ID=Brasy1G026400.1.v1.1 annot-version=v1.1 MSSTEEMAASKAAKPRTLRVAAISGSLRRASANTGLIRAAAKMCEESIPGLLIDHVDIADLPLLNTDLETAGGGFPAAVEAFRAKVLAADCFLFASPEYNYSISGPLKNALDWGSRPPNVWGDRAGAIVSASGGSGGSRWQYHIRQIGVFLDIHFVSKPEVFVKAHQPPAKFDAHGDLVDPEIREQLRELLLSLQAFALRLIQGKHASSVGLEN* >Brasy1G034600.1.p pacid=40055378 transcript=Brasy1G034600.1 locus=Brasy1G034600 ID=Brasy1G034600.1.v1.1 annot-version=v1.1 MPCTEEKAHKLAACASCPEALGRSAAYPFFRPPSSSNPDSAPGVKLNIQRYPGVAFRYIAVGNEITGSGTQSIAPAMRNLNAALSAARLSGIKVSTAVRMDVLATSSPPSTGAFREADMTQVVKILASTGAPLLANVYPYFAYTGTKGIDLNYALFKPSSSKVRDNGLTYTNLFDAMVDALYTDVEKAGGSSVPIVISETGWPSAGGTAATVANAQAYNQNLINHVRGGTPKKRGAIDAYLFAIFNENRKTGAETEKHFGLFNPDKTPVYPIKF* >Brasy1G123200.1.p pacid=40055379 transcript=Brasy1G123200.1 locus=Brasy1G123200 ID=Brasy1G123200.1.v1.1 annot-version=v1.1 MYIRTLSRQDRLAGSTYHMIVFLENPPHDFQNTYRCRCSLDSATGTMSAGIIECSSKSLSR* >Brasy1G296500.1.p pacid=40055380 transcript=Brasy1G296500.1 locus=Brasy1G296500 ID=Brasy1G296500.1.v1.1 annot-version=v1.1 MDAKRRVSSLLFLVVLQLSCSGMTRSARHLEEKVSKEESPHQHEVPKMPEMPNPVVPEVPKMPEELHPVVPKLPKPELPHPVMPEVPKMPEVPRTSMPEVPKLEIPHLIVPEVPKTPEVTHPVVSEVPKMPELPHLTAPEATKMPEVPHPIVPEVPKMPEVPHPTVLEVPKMPEVPHPTVPELPKVPEVPHPTVPKAPEESHPVVPEVPKTGLAHPVVPEVPKMPEVPHHTMPDVPKMHEVPHPTMSDVPKSENPHPTMPEVPKHELPPVPKVELPPN* >Brasy1G299200.1.p pacid=40055381 transcript=Brasy1G299200.1 locus=Brasy1G299200 ID=Brasy1G299200.1.v1.1 annot-version=v1.1 MVAISAAFSGCCSSSCLSQPGLLPTTKSRRHFKVTAMAPKNKVNKYDEGWSKQWFGAGIFAEGSEEASVDVFKKLEKRKVLSTVEKAGLLSKAEELGVTLSSLEKLGLLSKAEDLGLLSLVETAATVSPAVLASLSLPLLVAAIGTVVLVPDDSTLLVTLQTVLATLFAAGAAGLFVGSVVLDGLQEAD* >Brasy1G445500.1.p pacid=40055382 transcript=Brasy1G445500.1 locus=Brasy1G445500 ID=Brasy1G445500.1.v1.1 annot-version=v1.1 MSPPVELDYIGLSAAAGGRADDDLKGTELRLGLPGCESPERRPAAAATTLELLPAKGAKRVFADEVAPPAAAGKGKEKAGDEKDKKLAAPPQPAAKAQVVGWPPIRSYRKNTMATTNNQLKNNKEDSDVKQEQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSLGLGKMFIGFSTGKDSVSENRKDGEYVLTYEDKDGDWMLVGDVPWEMFTESCRRLRVMKGSDAVGLAPRAGDKFKNKN* >Brasy1G466200.1.p pacid=40055383 transcript=Brasy1G466200.1 locus=Brasy1G466200 ID=Brasy1G466200.1.v1.1 annot-version=v1.1 MVRPRRGERRINFAIDHLAKYGFSRPQIRKTINNLLQESYHVVLEKLLEEQEEQDQQQNEAKTEDEEASLGTEAQMSKSHAKTAIVVDETEQPSTEPALPSPGSATNRHVPSRVIISASEIECNEESEQVDPLPAPQHEAIVTTHEAGLLPSTKHPSTWTEHPMTPQGDSISRRKQLSGQDVCSSTHVGYLLARSKQPNDQESCHVDTPSLHNNSLPRRKRSSEGDVLPITYQGDKIPRTNQPSGSKPLEVCRRNQASKWESRDVHLSVLQSELVPRVNQSSGWEQPSEGNLFPRRNRSNPQGDSVLKRTQNRRRESWNVHPSNPRSDLLAREINQSGLESCDVCPPGIKCDTFPRSKHQCGQKSGDAQDSTLHGDLVPKKSEVNGWEPHGVHPSNLPVELLPLKKRYGRDMRHANW* >Brasy1G338000.1.p pacid=40055384 transcript=Brasy1G338000.1 locus=Brasy1G338000 ID=Brasy1G338000.1.v1.1 annot-version=v1.1 MAPIKVYGWAVSPWMSRTLVCLEEANAEYEIVPMSRSGGDHLLPDYLAINPFGQIPALEDESRAIARYILRKFKPEFLREGNLGESAMVDAWVDVQAHKLEPILHPFVGRDRDQDVIDENIEKLKKLLEVYEARLSSNKYLAGDFLSFAEFTHFAFMRYFMATEYYADVVGAYPHFKAWCEALLLRPSVKKVMAGMPPDFGFGSGKIP* >Brasy1G484300.1.p pacid=40055385 transcript=Brasy1G484300.1 locus=Brasy1G484300 ID=Brasy1G484300.1.v1.1 annot-version=v1.1 MLKRQGIWAGHTFFVLLLVLAVRSAAAARSPVPAAKKGQQGRYSVLDFHAAGDGKTDDAPAFLEAWQTACSDGSSPVLVIPGGQTFLLSTIRFQGSCKSPITVQLDGNIVAPNYIWTAESDNLLTFYRIDNLTVAGNGQIDGRGAIWWSCYNHKLLAFAYCNNLWVRNIHLKDSAEKHMTLYRCSQVHVDSVSVTAPAHSPNTDGINMALSDHVYITSCSMQTGDDCVSILSGTTDVNVTDTTCGPGHGISVGSLGGANSIPVLVERITVSNCSFVGTLTGVRIKSWQGGTGKANGFLFENLNMNEVRFPIDIDQFYCPPGNCPTKDGGVAITDARFINIHGTSSEKQAIQILCSQTVPCRGIYLHDVTLYWKKKNHVSQAQSRILNAHGTIVGNVVPKVQFITAR* >Brasy1G227300.1.p pacid=40055386 transcript=Brasy1G227300.1 locus=Brasy1G227300 ID=Brasy1G227300.1.v1.1 annot-version=v1.1 MASARYVTLQVLVTLVLATAPWVVLADPPPLQDFCVADLTVTTALDGFPCKPAASVVDDDFFSGAMISAGDTGNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLKGEVMVGFTSGLNRLYSKVVKENELYVVPRGLQHFQLNVGAGDAVFMAMFDAQSPGVIVPTFSLFSTKPAMPMEVLTKTFLMGEDEISALKTKFAPF* >Brasy1G080500.1.p pacid=40055387 transcript=Brasy1G080500.1 locus=Brasy1G080500 ID=Brasy1G080500.1.v1.1 annot-version=v1.1 MTSSFPSSPPPPARVAAELVPPWLKSLPLAPEFHPTAAEFADPVAYILKIEPAAAPFGICKVVPPCPQPPKKTTLSNLTRSFAALHPDDPAPTFPARHQQLGLSPRSRRPALTPVWQSSRSYTLPQLESRAAASRKTLLARLNVPASRHLSPLDHEALFWRASADRPVTVDYASDMPGSGFSSPPPCAARPSSQQAHVGETAWNMRGAARSPGSLLRFMRDEVPGVNTPMLYVGMTFSWFAWHVEDHDLHSLNYMHFGAAKTWYGVPRDAALAFEDVVRVHGYGGEVNPLETFATLGKKTTVMSPEVLVGLGVPCCRLVQNEGDFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRVAKEAAIRRASINRPPMLSHYQLLYELALSMCIRDPSIGPMEPRSSRLKEKKKGEGGQLVKKIFVQNAIEDNELLSSLLNDGSSCIILPINADDGPVLSALRSRSQLKAKSNTSDGLCSSGEALEASRCLSLNETFYRNGEIINCGALSSSKESPLSVCSGSMHDCVNLSCSSDTHNTEGDNVDLISAAGLLDQGLLSCVSCGILSFSCVAVIKPRECTSKYLMSSDYNLINDQLVNSGRIHPANATSEGTDGGILNYDEDIQNKKIKVSHDCSELSRHMTESQHNDSSHVCFDGTKMSSSSIKCQERPSSQSSQCIGGSGILNGPMGFRTRNKYLLKMALSEGFQSNDIYQSMEKNGQSEPSNSKKIVKEPLVTGGTDYDARCNSTAIATGDPRSSTATINISDQPIVEFDKDSSRMHVFCLEHAVEVEKRLQAIGGAHIILLCRPEYLKIEAEARTLAAEMEVEYDWKDIHFREANMEDREMIQEVLQDEETIPTNSDWAVKLGDNLYYSANLAKSPIYNKQIPYNRVIYRAFGCDSPDNSPVNLKTCERNLGRQKKVVFSGRWCGRVWMSNQVHPYLARRIESHELEEIDNSSRVEASKGIGSAIAAVTRSSNKRENMVLKETSTKRPKQTKEYNSGALESVAEVPSPSSAGVVLRVSSRIANRANKVKSEMAEEEDDTAGRPKSEVTCPSRSGPSKQKTKVKAKKQIMPPTASVEDEKEHLSATKGSSVSCDTKQRTRTEAKEATGETGTPRDPKHEEYVCNIDGCLMSFDTKKELSLHKHNICPVKGCGKKFFEHRYLLQHRKVHTDDRPLKCPWEGCDVAFKWTWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRRTGHSTMKTNKHKSNHGSSKLR* >Brasy1G458700.1.p pacid=40055388 transcript=Brasy1G458700.1 locus=Brasy1G458700 ID=Brasy1G458700.1.v1.1 annot-version=v1.1 MDDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPNPSPAPRLLIVLISPTSLALLPSPPPPLHASLLLPDLPLHQSHPPIRVYLHPSSGTLLAAVHGAVPTHRARAAARSLVSALQPEEVLVLDAVRSGAYRGMLAADEPVEGKLETRAARRRGGVGAAKGVAVLAPPGSVMDGLGAAVMAECEIRGRAASMVVTWPAGARPSDFGVMRRVATELGVDPVKAAARVSGRAELGALYT* >Brasy1G169400.1.p pacid=40055389 transcript=Brasy1G169400.1 locus=Brasy1G169400 ID=Brasy1G169400.1.v1.1 annot-version=v1.1 MEKAAPAAAEEERGEAAWAWTWGAGTDGQLGSGGLEDHHLPHPLLLPPRCRGRVSFVAGGGAHAIALTSDGEVFSWGRGTHGQLGHGNVENIPTPMLVTFFKNHTVTCVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNQSRNLPFEVSSFTTKHVEKLAFGMRHSLVLLKDNSIYGFGSARRGQVGKSVLKNQKIHNIPRLIDGFPNRKIVNIYANGDHSAALDESGQLYIWGRALVGEHDDDQPQAVFPSLSISQVALGWHHALLLSGGELYTIGAYRHQKRETPVLENATAHQLNSTASSSTLHESSSLSNLVKVPSIHGEQVVQIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDASDHVVPRRVNLGDKSSCSSASLGVYCGSGFTVAVNSD* >Brasy1G169400.5.p pacid=40055390 transcript=Brasy1G169400.5 locus=Brasy1G169400 ID=Brasy1G169400.5.v1.1 annot-version=v1.1 MEKAAPAAAEEERGEAAWAWTWGAGTDGQLGSGGLEDHHLPHPLLLPPRCRGRVSFVAGGGAHAIALTSDGEVFSWGRGTHGQLGHGNVENIPTPMLVTFFKNHTVTCVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNQSRNLPFEVSSFTTKHVEKLAFGMRHSLVLLKDNSIYGFGSARRGQVESGQLYIWGRALVGEHDDDQPQAVFPSLSISQVALGWHHALLLSGGELYTIGAYRHQKRETPVLENATAHQLNSTASSSTLHESSSLSNLVKVPSIHGEQVVQIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDASDHVVPRRVNLGDKSSCSSASLGVYCGSGFTVAVNSD* >Brasy1G169400.2.p pacid=40055391 transcript=Brasy1G169400.2 locus=Brasy1G169400 ID=Brasy1G169400.2.v1.1 annot-version=v1.1 MLVTFFKNHTVTCVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNQSRNLPFEVSSFTTKHVEKLAFGMRHSLVLLKDNSIYGFGSARRGQVGKSVLKNQKIHNIPRLIDGFPNRKIVNIYANGDHSAALDESGQLYIWGRALVGEHDDDQPQAVFPSLSISQVALGWHHALLLSGGELYTIGAYRHQKRETPVLENATAHQLNSTASSSTLHESSSLSNLVKVPSIHGEQVVQIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDASDHVVPRRVNLGDKSSCSSASLGVYCGSGFTVAVNSD* >Brasy1G169400.3.p pacid=40055392 transcript=Brasy1G169400.3 locus=Brasy1G169400 ID=Brasy1G169400.3.v1.1 annot-version=v1.1 MCGDGSFGQLGTGDNQSRNLPFEVSSFTTKHVEKLAFGMRHSLVLLKDNSIYGFGSARRGQVGKSVLKNQKIHNIPRLIDGFPNRKIVNIYANGDHSAALDESGQLYIWGRALVGEHDDDQPQAVFPSLSISQVALGWHHALLLSGGELYTIGAYRHQKRETPVLENATAHQLNSTASSSTLHESSSLSNLVKVPSIHGEQVVQIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDASDHVVPRRVNLGDKSSCSSASLGVYCGSGFTVAVNSD* >Brasy1G169400.4.p pacid=40055393 transcript=Brasy1G169400.4 locus=Brasy1G169400 ID=Brasy1G169400.4.v1.1 annot-version=v1.1 MCGDGSFGQLGTGDNQSRNLPFEVSSFTTKHVEKLAFGMRHSLVLLKDNSIYGFGSARRGQVGKSVLKNQKIHNIPRLIDGFPNRKIVNIYANGDHSAALDESGQLYIWGRALVGEHDDDQPQAVFPSLSISQVALGWHHALLLSGGELYTIGAYRHQKRETPVLENATAHQLNSTASSSTLHESSSLSNLVKVPSIHGEQVVQIAAGTEHSALVTDKGAVFTWGWGEHGQLGLGDASDHVVPRRVNLGDKSSCSSASLGVYCGSGFTVAVNSD* >Brasy1G522900.1.p pacid=40055394 transcript=Brasy1G522900.1 locus=Brasy1G522900 ID=Brasy1G522900.1.v1.1 annot-version=v1.1 MDGAETIDSRPRDSSSIGLSWPKEKSLLHPPTRPPPPPFPCGRRLLQPPRPPPPPFPCGRPPPPLPPSPCGGLLLLPSPAAAASTPHGPPLPPPYSPPPPPSSFPSPAGAAASSPRPTTPAPCSRRVAAFPGPAQPPGAPPLWIPSAVAALPQHRVESSPPSPIPPLHAFEAYVLFGAILRISAKSYS* >Brasy1G268700.1.p pacid=40055395 transcript=Brasy1G268700.1 locus=Brasy1G268700 ID=Brasy1G268700.1.v1.1 annot-version=v1.1 MAEREGAVVKKGHEEGLKMAASLLEEFGLPLGLLPLAEVIEVGFVRATGYMWITQLKKVEHRFKLVSKQVSYDVEITGYVQPKCIKKLKGVKAKELMLWPPVNEITVADPSTGKIVFKSLAGVTKTFPVEAFAAGQ* >Brasy1G423000.1.p pacid=40055396 transcript=Brasy1G423000.1 locus=Brasy1G423000 ID=Brasy1G423000.1.v1.1 annot-version=v1.1 MAQRRRHLCAWGNDAASEVIPRRVNCWLKTISKPPLWPSWATENFWKGSLSSERVKPYNSKLKISKLLKQDDFRISADVRLMRAILLSFIMRLGRATVLLLLSSTPFILVGVFKTANRFTTNFVAPFMISTNSGEGSGVNQNARLVQLPLAGRKCLGPFSSQRTHVKTDMICNIKTPK* >Brasy1G289100.1.p pacid=40055397 transcript=Brasy1G289100.1 locus=Brasy1G289100 ID=Brasy1G289100.1.v1.1 annot-version=v1.1 MEHVGDVALHLGEMRQGERADGEKRRGRRRCWGRCGGGEVLGRDGAARCWGGTGRRGAGAGRGGEPPPSRPRAPLASCRRPLSSREANRDKGSPISKAARGCFLPRSPPPPFLQEAVAPAVPLVPQKYPSPPAESRGPREGDGESPWEGANRGVTRETGASTGAEGLLGAPQQGGCAAPYPAYPAPAATHTPARAGGAASKPRLDRRYSRIADDFQSMDQSVNLQPFEIFREFRMLYHCSLEELEIFIVVATWYSVRL* >Brasy1G511600.1.p pacid=40055398 transcript=Brasy1G511600.1 locus=Brasy1G511600 ID=Brasy1G511600.1.v1.1 annot-version=v1.1 MAFGKELYWPRRAAATPIATKVRNKFHVSLKPLFPWTLGNVGDRCPADDDSVKRQPSWLRQRILSMAVRR* >Brasy1G158400.1.p pacid=40055399 transcript=Brasy1G158400.1 locus=Brasy1G158400 ID=Brasy1G158400.1.v1.1 annot-version=v1.1 MRPFLLLCALLLVLLCLASLMDVAEGRGRGRSGGGGGSSDGNPRSLSGGTWAACVAADRGGHAPIDVLRQLAPGDPVCTEVICHLCTRCGLGLNMSDVGASSS* >Brasy1G476100.1.p pacid=40055400 transcript=Brasy1G476100.1 locus=Brasy1G476100 ID=Brasy1G476100.1.v1.1 annot-version=v1.1 MGDSSGSVSVDVERIYFGGKAHPVRTRYGSVSVSVYGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVLSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPLCKAPSWSEWLYNKVLLNLLYYCGTRGLVNECLLQRYFSAEVRGDGQDPESEIVQACRSLLDERKGVNVFRFLKAVNERRDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLNV* >Brasy1G537400.1.p pacid=40055401 transcript=Brasy1G537400.1 locus=Brasy1G537400 ID=Brasy1G537400.1.v1.1 annot-version=v1.1 MDQNLPILTLQLGGSLSGSGWRSKVLSSLTPQLPRRTQTSTFFQRRKQESKEKAN* >Brasy1G198400.1.p pacid=40055402 transcript=Brasy1G198400.1 locus=Brasy1G198400 ID=Brasy1G198400.1.v1.1 annot-version=v1.1 MTPPHQSRCLVSSAAPATLVLLLLLLVTGAGAGPPPLVGVSPQDEAYFVPQVIACRDGSGSFPRSRLNDEYCDCADGTDEPGTSACPEGKFYCRNMGDTPRILSSSFVNDKICDCCDGSDEYGSGTHCPHTCSSLNNISEVDNGGSELSVAYLNDNNVLTSKHTLDIEDLIHKLRGLRMVIVIELGLAVCILVFCFARRSTRTRRRQYILKR* >Brasy1G188300.1.p pacid=40055403 transcript=Brasy1G188300.1 locus=Brasy1G188300 ID=Brasy1G188300.1.v1.1 annot-version=v1.1 MDSPMRVLPQGSPQRNPKGMTPRAMEVDENAAPKRSVVARSVSPQRKKVLGERNGGGGEGPSAAAPEPKVAASPPSVSGGVGTYDPKTNYTTPRPDFLRYDPKRSQELFLRLEREVEDECPSATSGTEVSESVSSGSSAAGSDSEFDDEEEEEVTPAHGGGRARRLFLLLVAAAGLFCYIHCMNSTPFPDTSDEALNFVGLNGSMYDVGVHESLLGPTDMMGLEDEFEADIGQIVHGDSHDSIHLHGSGGSPSNLMAIAMMGLSDACPNVHFGEFTCQIGDESSDNVDGLNKDSEVVVLKPEVICSGGDVVGDSIASTYSDDTTEDKLRVRQGKWEDNSKHSVPELVALEEIMEPESAKSDDDTIFQSENLGQEFDPLEYEKTAEAAKAFFAMVKFSWSAMEPHLMKMLACLSVAGFVTVMFKCFQRSSSVQVPVPRHMPSVPPARVLAPHNITHLPVFHSAQAAQLPMFPSKQTVQRTVPRQESSVCLEHPVQSSLRNPLNVPSMGRGHGDHKIQQGDADIVKSSDSSTMDHKETNSLNPPDVQLLGEFSFVDAGSSRGRSVKDSNWHGGDMKVQETLSLSKGVVKMEKESSIIQSPRVQRARKKESAAEAEKMDATPTPLRRSNRLRKTAT* >Brasy1G235000.1.p pacid=40055404 transcript=Brasy1G235000.1 locus=Brasy1G235000 ID=Brasy1G235000.1.v1.1 annot-version=v1.1 MVSFFVQAALALSLRSQSSLGSLGLPIAAVPFSRPSPRTRSSIQRPPPYPTPASPSAPAARSPSVAAPLSWPSRAASLLRHILSLAHLAYCGVSGRGEGCWRRWWWCFAGD* >Brasy1G117200.1.p pacid=40055405 transcript=Brasy1G117200.1 locus=Brasy1G117200 ID=Brasy1G117200.1.v1.1 annot-version=v1.1 MGAEAAAAAEALLHEAAAVASSLQPHVALAFFALAACTVALALLLAAARMRPPWWCDCAVCEAYLTASWSGEFDNLCDWYTHLLRRSPAQTVHVHVLRNVLTASPAAVDHMLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPTLRAFAASVVSSELRTRLLPLLHSASSSSHEDNNKVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVLAFVDAFDTASMLSARRATVPMQIIWRLKRLFNVGDERKLRDAVRLVDAFATEVIRQRHKLGGAASGRDLLSRFMGSISDDKYLRDIVVSFMLAGRDTVASALTAFFLLISDHPEAAAAIRDEVSRVAGAQDDDRPSFGKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCDEFRPERWLRDGQFVPVSPYRYPVFQAGVRVCVGKDLALMEMKAVIVAVVRGFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRAKHSPPIV* >Brasy1G011600.1.p pacid=40055406 transcript=Brasy1G011600.1 locus=Brasy1G011600 ID=Brasy1G011600.1.v1.1 annot-version=v1.1 MGCEPWWCGQIDEITIGPLDYVKEISGTTEAHGLGFSFSWWVRQAQQAQATSSTFLGFLPVLRRDPSGTSME* >Brasy1G355400.1.p pacid=40055407 transcript=Brasy1G355400.1 locus=Brasy1G355400 ID=Brasy1G355400.1.v1.1 annot-version=v1.1 MAQKHATTLIKSLCAGGALRHARAVFDEMPDWDVVAWTAMLSGYASNGYHNYALDLFRRMLWAGVGPNEFTLSSVLTACRGGAAAGGCEPSSLHAVAVRRGVDHMPYVVNALIDAYASCEEGVGVEDSRKLFDALGSGRTAASWTSMVAGYSRWGQEQTGLQLFQTMIQDGVELSPFTCSIALHACASIANLCVGQQLHVLCLRKAFNANLTVANSLIDMYCSCANLLDARRLFDEVPERNLVTWNTIIAWYSQCNHLMALQLLREMNLQPNCFTLTSIASACAGLASLRFGQQVHGAALRRNYGKDLQMCNALVDMYSKCGSIANAKKMFNMMGYKDKLSWTSMITGYGMNGYANEAIQLFTSMIHAGVHPDHVVFLGLICACNHGGLVDEGWNFFRSMTSEYNLQPNKEIYGCVTNLLARAGRLREAFDLIHRMPFAPDETVWGALLGACKMHKNVELGRLVARKIIEINPDRAKTYVLLANIYAAGNKWGEYADTRRLLRGIGSRKEAGTSWIDVTDKIYSFTTADSSSPQVSLADGVLQILARHMHEALADSSHKVSRVA* >Brasy1G390800.1.p pacid=40055408 transcript=Brasy1G390800.1 locus=Brasy1G390800 ID=Brasy1G390800.1.v1.1 annot-version=v1.1 MPTCNCKRPAAAANSPAAGAICRSRKRIRRVVGTASDYVRESRLGEGMFGAVFKARHRATGQPVAIKTLCNPDHEDEADEARFLEACAAGGHGHPSLVGFRGVLRDGLTHELSLAMDYVPGQSLHRLLHSPSSAESQKKAGLPEDTVRAFMWQLLEGAKRMHACHVVHRDLKPANVIVGEGGGVVKICDFGVALSLSEPPPYDADGTGLYRAPEMLLGKTDYDALVDTWSLGCVMAEMISGERLFHGTEEISLLKRIFQVVGVPDDATWPEFASLPLAARVAQVPAEEQKSTLRDLFTEETLSKEGFEVLNGLLTCNPDKRLTAAAALKLPWFVATAAAANAYSPSPAAAAAAKIYTTTVSVKEEVESVEIAVAPSTLRKTRIVAKRSVPNKKALLL* >Brasy1G569500.1.p pacid=40055409 transcript=Brasy1G569500.1 locus=Brasy1G569500 ID=Brasy1G569500.1.v1.1 annot-version=v1.1 MGVINLLSLLAAILIISRVGTGDEATLLAFKAQVSSGDSRALASWNSSVQFCGWEGVTCSHPKSTRVVALILYSRGLTGALSPALGNLTFLRTLNLSSNGLHGEIPTSLGHLRSLLTLDLSFNGLRGEIPASLGNLRRLQTLKLSYNSFTGTIPVNLSSCISMTYMALHSNKLGGHIPDKLGETLASLTVLSLRNNSFTGPIPASLSNMSCLQYLDLSNNQLVGSIPLGLTRIQSMQQFDISINNLSGMLPSSLYNLSMLETFIVGKNMLYGTVPADIGNKFPRMQTLNLAVNQFSGTIPSSITNLSDLRLVLLYENQFSGYVPPTLGRLGALKSLNIYQNKLEANDSEGWEFITSLANCSQLQYLVLSKNSFEGQLPVSIVNLSTTLQKLYLDDNRISGSIPADIGNLVGLDMVVIVNTSMSGVIPESIGKLQNLTDLALYSSGLTGLIPPSVGNLTKLSWFLAYYNNLEGAIPESLGNLKELSLLDLSTNYRLNGSIPKGIFKLPSVLWQLDLSYNSLSGPLPIEVGTMTNLNELILSGNQLSGQIPSSIGNCKVLQKLLLDKNSFEGSIPQSLENLKGLNILNLTMNNLSGRIPDAIGSIQALQQLYLAHNSLSGSIPAVLQNFSSLFKLDVSFNNLQGEVPYRGYFRNLTYMAVVGNSNLCGGTPQLQLTPCSTNPLSKKKMSKSLKISLVTTGAILLSLSVILLVRMLRDKLKQRQKGIVQPLIAEDQYERIPYHALLRGTNGFSEANLLGKGRYGAVYRCVLESGERTFAVKVFNLWQSGSSKSFEAECEAMRRIRHRCLIKIITCCSSVDHQGQEFKALVFEIMPNGSLDGWLHPEYQNLSTSNTLSLAQRLDIAVDVVDAIEYLHNHCQPLIIHCDLKPSNILLAEDMSARVGDFGISKILPENTSKRMQNSYSSTAIRGTIGYVAP >Brasy1G496800.1.p pacid=40055410 transcript=Brasy1G496800.1 locus=Brasy1G496800 ID=Brasy1G496800.1.v1.1 annot-version=v1.1 MAAPKPIWVRQAEEAKLKSEADTAAAAKAAFDATFRALSASDQPQDGDLDHHHLEYKPSSPAEPAFDRDADSDSDDDRPRAPPGPVDSSKSSAAGPGIAGGSAGAPATFTVVGKDRDGRRVSTGGARVRVRISPAAGVGGDDLDGVVKDNGEGSYAVTYAVPKRGNYMVHVDLDGSPIMGSPFPVFFSAATAATTTFPTSLPVTSSAYPNMVNQTMPNMPNYAGSMPGSFPSLLGLIPGASTGSSGGVVLPGVGASLGEICREHINGKCTKGTDCKFSHPPQQLLMSVMAATSSVGALSHAPMAPSAAAMAAAQAIMAAQALQAHAAAQMQADSKAAAQSTGSVDKAEALKKMVQISNLSPLLTVEHLKQLFGYCGKVVDCTITETKQTAYVEYSKPEEATAALALNNMDVAGRPLNVEMAKTLPPKTNLANSNLPMMMQQAVQLQQMQFQQALMMQQSIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGENVEENDAKGKSRSPSPPSRRSKSRSRSPIKYRRSRRDHSYSPPVRRSREHRSRSPSRSHHSKYGSERSHRDDSDKYSRSRRRESDRSRDHYSSSSRRNRSRSISPRYKKSSRADSRSPKRHREEESLRSSKSRRSARAGSRSPRHHKGSKSSPTRDHHSSRRSRHSRSRSPERKHRSSDKKDDRKSEMHDDKKRSGRGNRGSKDERSAKDPVEDKNADTSVVAHKRSSSVSEDEMPNTENGSHKKSRHDAALEDDKRKNEESNMSDINGQHGPEGDDALGGTKESAT* >Brasy1G029400.1.p pacid=40055411 transcript=Brasy1G029400.1 locus=Brasy1G029400 ID=Brasy1G029400.1.v1.1 annot-version=v1.1 MAPGRFGSGSGHTRPGPGHPPRDPDPDPASGPKNSQTRPRSGLGYPAGIPDPAGVGGAGGSDQRHRGARPTGLCGSAERAGAGAAAGRRGEAVAGPGARPVEAQHASQSPRASVTAVAAASACASSPSSRGRRRRWGGEEERRRDCGRGSAGRRASPAPELPRARAGALLLLLGLVVGERGEETGGRGGGPEDVELEEVAVGGGGGGRGGGGVVEDGGGHRGAGEQDGGGHRGAAVGNPRDRGGDWGWMAGLDCWA* >Brasy1G221400.1.p pacid=40055412 transcript=Brasy1G221400.1 locus=Brasy1G221400 ID=Brasy1G221400.1.v1.1 annot-version=v1.1 MATLFLALQCVQCSTMQVKQQKKSSNEWVCVVCNQRQSVLRVHARGYRAADLRRFVQDANLSRGRGAPVPEADSGPAADEDQQYESPREKRRMDWTEYLDDAGERDGGCGVVGARDEGIGIEVTTELPQERPKVSSSKRPPKAQLGVAGKRHKPPINPSLSNSNMQQIKQGSTRSTLCTATSTAEAQKSKFSKYLDASFFEDRRQEGSGLHWTDLGESATTEVVVDDEVHPDFM* >Brasy1G547300.1.p pacid=40055413 transcript=Brasy1G547300.1 locus=Brasy1G547300 ID=Brasy1G547300.1.v1.1 annot-version=v1.1 MEGGKMGYGGGGGQHETARLLKTLSRTVEPRNFGIGLVAGFLLVTCAYFSTANFDAIHIALMPSKNGIGSPVVATGGSEHRLGPDLGARDPEDALSKQGSKAEVLDRDDDDGRKMNLPSSSSGPDASMGDTRKEGGAFAGAPPNATAEEGGEGEVLAKDGALLPPSSSSEESTNSTQEQGVLEDEEPQVQDAIAAAAANSSKKISNGSSSPASVIQSDPATIPAPAQQIPTPQLEVKAPPVEQIPPTPVQQQQEVKATPVQLIPPTPEVKQTGSSSEPAAARRRPWKPLCDFASNRRIDWCELDGDVRVHGANGTVTLVDAAMASEEWRVKPYPRKADASAMRFVREITVRSTPLNNADACTDRHEGVPALVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVQFMVSDFQMWWIGKFMPVFKSLSNYPLIDLASDSRVHCFSHVQVGLTCHADFSIDPSRSPNGYSMVDFTKFMRQTYKLPRDLAAPINGARPRFLIIARARTRRFGNLAEIVRGAEKVGFEAVVSEGEHEVAPFAELSNTCDVMLGVHGAGLTNMVFLPTGGAVIQVVPLGGLEFVAGYFRGPAADMGLRYLEYRIAPAESSLSEQYPPDHPVFTDPEGVKSKGWDSLKEAYLDKQDVKLDMRRFRPLLKKAFAHVRANKKLQ* >Brasy1G455500.1.p pacid=40055414 transcript=Brasy1G455500.1 locus=Brasy1G455500 ID=Brasy1G455500.1.v1.1 annot-version=v1.1 MAFPARRVSAMLACLMVTAVSTTTVKNYGCQIPVVNRAFKCDNDDVKNNGGIPTNPNAKSQLSEYREV* >Brasy1G250300.1.p pacid=40055415 transcript=Brasy1G250300.1 locus=Brasy1G250300 ID=Brasy1G250300.1.v1.1 annot-version=v1.1 MEHCTMRMPDRRSRFWQMDAQPVRIEVICPQPRRASRSPFLMENRANSKPNGTLPMYRADSSSEIYDLILSKNNPDVDTDSTRFFCGSPPVRTNNPLVRDPKFGTELPSLSPVGSPFSKMLEMLGRIEVGTSPTRGASSNSISPKVRVEGFACGGNKDAAQ* >Brasy1G052400.1.p pacid=40055416 transcript=Brasy1G052400.1 locus=Brasy1G052400 ID=Brasy1G052400.1.v1.1 annot-version=v1.1 MEIGADVGREDRLSKLDDGTLGHILSFLGSSKEAARAAALSSRWRDVFASVHTVSFEQPGFGGGGDDDDRCGCPKRPRTFHLAVASALFARSRPTPAPAPPLRAFRLAMDRFIRYDSPTVDQWVYYALRHAGAALELDLTLHILDYYTYRRYSACGYRARQEEAAAAAINQQAELDHAASEKERAGPDEKPPEPESDDDAASSADDDDESRKSLPLRSDTGYAVPRAVFSFAALRSLRLAFCNLCCTPAIAISLPSLSALHLSNVLDDAVEESVQRLVSACPRLAELTLEHCDMAGELSLLRNTCLRSLAVRCCHQLSSLAVDASSGLRHFEYRGAAVVPPGSSSASFLTFRFGPGGPPPTVTSCKVATCGDHEEEEEPGDLALHILQPFASTAERLHLSSAHMAGPAFARLPELPSLRSLHLNGRVTHDVSGLTAATSRVLSRAPGLETLTLFFEPKPHNHHTFEQEGDSSNFRFDDEGAYLDAHHLRYSHYDVLDAPAAAAVPACLRSTVRRIALVHYQGGRAQRTLARFLLRNATVLEELYCGFAEGPLWVQTELMREMEGWVVSDKASKEFR* >Brasy1G068800.1.p pacid=40055417 transcript=Brasy1G068800.1 locus=Brasy1G068800 ID=Brasy1G068800.1.v1.1 annot-version=v1.1 MEEQEAEGRGARLGVLKVVVAQGTNLAIRDFTSSDPYVVVRLADRNSKTKVINSCLNPVWNEEMVFSIKEPVGVIKFEVFDRDRFKQDDKMGHAFLDLQPVAAATKLRRALQLTTGETKLRKVAPGADNCLISDSFVTYAGGEVVLDCRLRLRDVESGELFVTIKWIEADAR* >Brasy1G059800.1.p pacid=40055418 transcript=Brasy1G059800.1 locus=Brasy1G059800 ID=Brasy1G059800.1.v1.1 annot-version=v1.1 MAIALRFAATPRRLAASIPESYSGHGGGGAARAGALRGTRRGWRLAMVVVPNSTRCRAKRGGDGDGVLGVAAGGGGAAVGGGDQLSVVMKFGGSSMSSAARMEEVAGLITAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIYVSEIEEWNMIKDLHIKTVDELGLPRSVIHSMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGIKARQCDAFDIGFITTDDFGNAEILEATYPAVAKRLHGDWVRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNAKTVPYLTFEEASELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKRREMDKVVLTSLVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCMKALHQAFFEGDHPLAAEIEEEDVEILQLRADHPV* >Brasy1G238400.1.p pacid=40055419 transcript=Brasy1G238400.1 locus=Brasy1G238400 ID=Brasy1G238400.1.v1.1 annot-version=v1.1 MTLLCLIIILLVLFFPAASHGDDTYNSRTPTCQLEPSVCGDLSIKYPFYLYSGKEKAPPPDYSYCGYPGLAILCDDDGKPTLRLDRDGYTVSSIDYANLTVSLADAEILDSGDACPKAGHNVTIPKAFHLPTSTIAYLFFFTGCAFAPDAEFAPEPNPKPARKPPTIQPITCAGSSGDAEPTMSFVLPEREVPPKPKGWWQACQSVYRAPVLRDAVPEGAAQDPGWREGGYAKALRRGFQVGWERIGSGPCARCERFDGRCGYNGTGEFLGCFCGGNGALVDADGCSKVSGSAATRSGSKKNTAAIAGGAAAGVGVLLIAGLVAVFFIRKRKKRKVTSSSKLLKYSGSGGTPTRSRGSDMESGSVQDMGNRFSYEELEEATDSFNEKREIGDGGFGTVYKGYLADGRVVAVKRLYNNSYRRVEQFVNEAAILARLRHPNLVMFYGCTSKESRELLLVYEFVQNGTVADHLHGHRAAERALPWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTNNILLDSDFHVKVADFGLSRLFPLDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKCQLEELVDLELGYESDPATRKMMTMVAELAFRCLQQNGEMRPPIKEVLDVLRGIQEGCVVEKDGKDKKDLVAPFSPNTVHAPWDSRTTTPNTSQ* >Brasy1G050600.1.p pacid=40055420 transcript=Brasy1G050600.1 locus=Brasy1G050600 ID=Brasy1G050600.1.v1.1 annot-version=v1.1 MLGLVYTLLVLSTNAMHDGERTQHYIFPEYVLSCLSFGVYDDSRHVLEFWIGNKQCVVESTTRGCYVYCQFQENRLLSVYACTTLIESLTAIRSPSFLMSTNIIATIDASCTAIYIVVVIFV* >Brasy1G229100.1.p pacid=40055421 transcript=Brasy1G229100.1 locus=Brasy1G229100 ID=Brasy1G229100.1.v1.1 annot-version=v1.1 MFSLIDSVPVFATACTEHYGRCFEGFAYDAEMEESKSDEDNSEGDKKAKSGSFKKRAISAGNKFRHSLRRKRKQKSDIAVSIEDIRDVQEQKTVERFRQCLLDGGLLPECHDNYHMMLRFLKARKFDIEKAKHMWSEMLRWRSEFEVDNIEEFNYTELHEVKKYYPQFYHGVDKDGRPVYVELIGKVDANKLVQVTTIDRYVKYHVKEFERCFQMRFPACSIAAKRHIDSSTTILDVQGVGLKNFSKDARELIMRLQKIDNDNYPETLYRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLEIIDESELPDFLGGKCRCEENGGCSKSDKGPWKDPSIVERVLNGEANYGRQILAIPSTNGKIVCNTKPHHSAIRASDVSAESTPEVEDISSPTAPMNTVMDPDLTLLSEPKSSGHASTSGSAPVEEESIHIVNKVVDDACNSPIFMSMASASGSFSLRNIAIAVGVLRSQIITCLTVLIMSLFTVLRSVPRRMSRRFSSQVTACDHNCGDFLQQLEFMEGIRPPSPSPRYTENCTLSHVLRRLGELEENVHVLETKPSQMPHEKEEVLNAAVCHVDALEAELISTKKTLYETLMRQDELLSYVERQENIKFRKRRLCF* >Brasy1G229100.3.p pacid=40055422 transcript=Brasy1G229100.3 locus=Brasy1G229100 ID=Brasy1G229100.3.v1.1 annot-version=v1.1 MSAPLDRSARPSECFEGFAYDAEMEESKSDEDNSEGDKKAKSGSFKKRAISAGNKFRHSLRRKRKQKSDIAVSIEDIRDVQEQKTVERFRQCLLDGGLLPECHDNYHMMLRFLKARKFDIEKAKHMWSEMLRWRSEFEVDNIEEFNYTELHEVKKYYPQFYHGVDKDGRPVYVELIGKVDANKLVQVTTIDRYVKYHVKEFERCFQMRFPACSIAAKRHIDSSTTILDVQGVGLKNFSKDARELIMRLQKIDNDNYPETLYRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLEIIDESELPDFLGGKCRCEENGGCSKSDKGPWKDPSIVERVLNGEANYGRQILAIPSTNGKIVCNTKPHHSAIRASDVSAESTPEVEDISSPTAPMNTVMDPDLTLLSEPKSSGHASTSGSAPVEEESIHIVNKVVDDACNSPIFMSMASASGSFSLRNIAIAVGVLRSQIITCLTVLIMSLFTVLRSVPRRMSRRFSSQVTACDHNCGDFLQQLEFMEGIRPPSPSPRYTENCTLSHVLRRLGELEENVHVLETKPSQMPHEKEEVLNAAVCHVDALEAELISTKKTLYETLMRQDELLSYVERQENIKFRKRRLCF* >Brasy1G229100.2.p pacid=40055423 transcript=Brasy1G229100.2 locus=Brasy1G229100 ID=Brasy1G229100.2.v1.1 annot-version=v1.1 MSAPLDRSARPSFEGFAYDAEMEESKSDEDNSEGDKKAKSGSFKKRAISAGNKFRHSLRRKRKQKSDIAVSIEDIRDVQEQKTVERFRQCLLDGGLLPECHDNYHMMLRFLKARKFDIEKAKHMWSEMLRWRSEFEVDNIEEFNYTELHEVKKYYPQFYHGVDKDGRPVYVELIGKVDANKLVQVTTIDRYVKYHVKEFERCFQMRFPACSIAAKRHIDSSTTILDVQGVGLKNFSKDARELIMRLQKIDNDNYPETLYRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLEIIDESELPDFLGGKCRCEENGGCSKSDKGPWKDPSIVERVLNGEANYGRQILAIPSTNGKIVCNTKPHHSAIRASDVSAESTPEVEDISSPTAPMNTVMDPDLTLLSEPKSSGHASTSGSAPVEEESIHIVNKVVDDACNSPIFMSMASASGSFSLRNIAIAVGVLRSQIITCLTVLIMSLFTVLRSVPRRMSRRFSSQVTACDHNCGDFLQQLEFMEGIRPPSPSPRYTENCTLSHVLRRLGELEENVHVLETKPSQMPHEKEEVLNAAVCHVDALEAELISTKKTLYETLMRQDELLSYVERQENIKFRKRRLCF* >Brasy1G570800.1.p pacid=40055424 transcript=Brasy1G570800.1 locus=Brasy1G570800 ID=Brasy1G570800.1.v1.1 annot-version=v1.1 MEDNGSQRKIAKPREFPPELLIRITNNFCKDRIIGHGGSGVVYKGVLDDGVEIAVKKLYSQPGPDNEILFNNEVMNLTGVQHQNIVQLIGSCYVKRNTVIEYNGEHIHVREDERLLCLEYVKGGDLQELLSDESCELDWEARYKIIKGTCEGLKYLQTGSKDPIYHLDLKPENILLDDDMIPKIGDFGLSRLLDSTNTWTTETFKGTRGYMPPEYIKGKRISLKSDVFSLGVVIIKVIAGEEGYYECADIPAQEFIDLVHEKWGRRLQAKMSSDISEEIKTCTKIGLSCVEDDRAKRPTISEIVDELNRIDAAKNSLTGQSAEEKEHTTLGKQPEIQEEKKTDTEHSEKNMNERFESSFTRMARIYRISTLKKHETRPSKHETQSVDVVTGAIGSLLPKLMELLKDEHNLQTDVKNNIKYLHKELEGMQAALRAVAKLLPDQLDHVVKVWAREVRDLSYYAEDIVDYLPVRASYQGTGVSGWINRTKARYEIADSINAIMVQVMDLAARHDRFNVDRIVANPIATSTSDPRLSALYKDLKAMVGIEVSRDDVIRKLIFGTDGVRRKRLKIVSIVGMGGLGKTALAKATYEQLQNQFDCRAFVSISQNPDMKKVFMDILVKIEKKNYMNRNAQTLDEGQLIDELRGLLATNRYFIVVDDIWDVLSWEKIKCALVDSNHGSIVVTTTRNFKVAKKAGEVYKLKALDNSLSDVLFKRTLFAGEPIVPLVPYVSQELLEKCGGVPLAIITMANLLAGKPWDDRSKVYNSIGFGLGYGGNEDVENTRKILLFSYYDLPDYLRTCLLHLNIFPEDHSIRKETLIWKWVGEGFVREEPGTGLFELGEKYFNELVYRSLIIPEWDSPPRIITGFRIHILVLDMIRFLSTGENFVTELDGNKQHNPMQGIARRLAVQKRVAEDDNPFLDFLDDKGTRRRVRSFNTTRCHFHTMLSLSSFKVLRVLAIEECTFMDGRPYRLTHLGRLRQLRYLGLYNTPIRQLPQEIKDLIFLQTLDLRGTRVKELPWTVRLLRQLKCLRADNSTRVPDWMGNLTSLEELRLADVSTSVNFVKELGKLTELREVEIWIEVLDENSNKALVESLGNLHKIRVLRLMSSVPGEEANWEGYVPPRQLHELRLVIASFRLPMWINSSLVPNLSYLCVHVKAVEEQDLDILGNLPELVSLELLMPSNAFPSIKGGGAFPKLRCFVTSAPFKFLPGAMLSLESFHFKVDIEALKVANFDFVSGFHTLGNLCLLQKVEVEIRSKDAHAMYVQEAVEGAVKHHPNSPTLDLKTQVGMPPEKATKI* >Brasy1G536900.1.p pacid=40055425 transcript=Brasy1G536900.1 locus=Brasy1G536900 ID=Brasy1G536900.1.v1.1 annot-version=v1.1 MHSWTQRLLAAATTATLLLLAACVAASALDAFHVPAVQAQAHVTKINRFHKQINGNDKVTLTFNVSADLESLFTWNTKQVFVFLTAEYENSKNALNQVSLWDRIVPDKDHAKLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHIMPNAGVMIRGKMPLSEFSLPDTYTS* >Brasy1G051500.1.p pacid=40055426 transcript=Brasy1G051500.1 locus=Brasy1G051500 ID=Brasy1G051500.1.v1.1 annot-version=v1.1 MASAVCSSKQQQLQQQQQEEEEESEIKIGQVDDVQELHRACLDTVPERYIRDGDDRPGIGNVCGKQIPVIDAGELRRGAPEELAKLRLACQDWGFFQVVNHGVEAELMEGMARLAREFFMLPLEEKSRYPMAPGGIQGYGHAFVFSADQKLDWCNMLALGVAPASIRQPALWPTNPPRFTTTLERYSAEIRDLCLGLLSHIAETLGLERSTFGGMFGGEKAVQAVRMNFYPPCPRPELVLGLSAHSDGSALTVLQQDGGGQGLQVRHGGEWVPVGHGVPGALVVNVGDSLEVLTNGRYKSVEHRAVVDGAGRDRLSVVTFYAPAYDVELGPMPELLGDGEPCRYRRFNHGEYSRHYVTSKLEGKKTLDFAKID* >Brasy1G370300.1.p pacid=40055427 transcript=Brasy1G370300.1 locus=Brasy1G370300 ID=Brasy1G370300.1.v1.1 annot-version=v1.1 MRRGSVLLLFAAAAAAAAEPASTLAGPSPPVTVPLREARGHAVDLPDTDPRVQRRVTGWAPEQIAVALSAEPTSAWVSWITGDFQMGGAVKPLDPGTVGSVVRYGLAADSLAREATGDALVYSQLYPFEGLQNYTSGIIHHVRLQGLQPGTEYYYQCGDPAIPKAMSAVHAFRTVPAVGPRSYPGRIAVVGDLGLTYNTTSTVEHMASNRPDLVLLVGDVSYANLYLTNGTGADCYSCSFAKSTPIHETYQPRWDYWGRYMEPVTSRTPMMVVEGNHEIEQQIGNKTFASYSARFAFPSKESESFSPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDLEKVDRSVTPWLVAGWHAPWYSTYKAHYREAECMRVAMEELLYSYGLDLVFTGHVHAYERSNRVFNYTLDPCGAVHISVGDGGNREKMATTHADDPGRCPDPLSTPDEFMGGFCAFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDVYGGVGDEIYIVREPDRCLVDSSKRAYY* >Brasy1G483900.1.p pacid=40055428 transcript=Brasy1G483900.1 locus=Brasy1G483900 ID=Brasy1G483900.1.v1.1 annot-version=v1.1 MPRSCVCNAVSCSGASCGTGCGGVVADSCEREVSRGEQQASRLHTSTSPVFLIFIVVRLFLLISNGEWICCQPSLPSAITTMISPFGFSYTEYVF* >Brasy1G389800.1.p pacid=40055429 transcript=Brasy1G389800.1 locus=Brasy1G389800 ID=Brasy1G389800.1.v1.1 annot-version=v1.1 MKLIAWNCRGLGNRPAVRGLLALQKKEDPDILFLSETKLDRRGMEKFRGMLGLQGMIVRDCEGLSGGVALFWRRGIDVTLRWMGRMHIDVEKEKTWRLLRTLHNQVSLPWVCLGDFNEILFGYEKQGGVPRTQACMDLFRETLVTCELADLGFDGDIFTWRNNNFRVDGYIRERLDRVVANQSWCQCFPGYKVRNIDPEHSDHRPIASVAGRRQGRSQKFWPMEIKRFEARWLLEEDCEHIVKNAWEVAKLKGGSKAAELLRSVSSELQTWDRDILGDLNNRIKEMKGQLEECRRDTISDRSVRREHVLRFKLDRLEEQHDVFWRQRAHIQWLEKGDRNTNSFHAAASERKRHNTIERLKDDEGRMVEGEEGLQALITNYFFGLGGAGSPGWHGGSKSPRSGRDASLVLQAILGYGWRDHCSGGVTRVARRRYTGGME* >Brasy1G404200.1.p pacid=40055430 transcript=Brasy1G404200.1 locus=Brasy1G404200 ID=Brasy1G404200.1.v1.1 annot-version=v1.1 MIELPYCGAHQIFRAPVILGTTLDLTFQLSRSSSHIIVNRLRRNQRGFV* >Brasy1G018800.1.p pacid=40055431 transcript=Brasy1G018800.1 locus=Brasy1G018800 ID=Brasy1G018800.1.v1.1 annot-version=v1.1 MAALRFAASKISPGGRAIERIGNGGGSSLIRRFSSSQSPSNPVANNARTQCGAPKSMPPSSASMANNAAEPTTASSPHLGQPPSSVPWSSPEVPAGRVPDAIIYLEAKYTYGGPIFGNQQPQEEPNKTNHYN* >Brasy1G554300.1.p pacid=40055432 transcript=Brasy1G554300.1 locus=Brasy1G554300 ID=Brasy1G554300.1.v1.1 annot-version=v1.1 MFLPVKFVPFAPLACVCAFAPYVLLLKKQAKNRIQCSSTCLPCRRPRSSSPLSAWVWRACRRQGSSAARATPQSTSSAQTAGRKLAFFSGLDGAGGGSNGNNNQSTPALRFRTKPTPNSAMVQSKKKAAAASKARKPKCDAEKKFGHIGVQSAAGLHWATIVDVTADGNCFFRSMADQLRRGSSRCSPFYFTCL* >Brasy1G476000.1.p pacid=40055433 transcript=Brasy1G476000.1 locus=Brasy1G476000 ID=Brasy1G476000.1.v1.1 annot-version=v1.1 MGGKLLLPAAASPRLSRVATSGAHGEDSPYFAGWRAYDDDPYDAVANPGGVIQMGLAENQVSFDLLEGFLKAHPEAASWAGAGAGPGVASFRDNALFQDYHGLKTFRKAMAGFMGKIRGGKASFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSSNGFQVTATALESAYAQATASGTRVAGVLLTNPSNPLGTTVQRSALEDVLDFVARKDIHLISDEIYSGSVFASPGLVSVAELVETRRAQNADGTGTDIAERVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQRTLAAMLSDDAFVADYLRTNRGRLKERHEHMVAGLARTGVPCLPGNAGLFVWMDMRRMLGNGQGAAAVEDELRLWKLMLREVKLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMDRWSKAPTARQGQLAPDE* >Brasy1G211500.1.p pacid=40055434 transcript=Brasy1G211500.1 locus=Brasy1G211500 ID=Brasy1G211500.1.v1.1 annot-version=v1.1 MAEKTVVLYPSLGVGHLNPMVQLAKAFLRRGGVAVTIAVIDPPGKDPVLEAAVARLAAACPSITVCLLPIPSGTNKHYSNVALRMLDELRLANPVLREFLGSLPAVDALVVDMFCIDALDVAADLAVPAYIFYPSAAGDLAIYLQVPDLCLNAPSSLKDMGRTALHFSGVPPVSALDMPDTMLDRESDLCRRRLQQLARFPEARGILVNSFEWLESRAVKALRDGLCVPAGRSTPHIYCVGPLVDGGMNGESGERHASIEWLDRQPEQSVVFLCFGSRGVFSAAQLTEMARGLEKSGHRFLWAVRSPREEQSKSAEPDLKALLPDGFLERTRDRGLILKNWTPQAEVLRHGAVGAFVTHCGWNSALEAIMSGVPMVCWPLYAEQRLNKVHMAEELKVGVVVEGYDEELVKGEEVEAKVRLVMESDEGKKMSERMSMAKEMATEAVKEGGSSDMAFYEFLKHLE* >Brasy1G211500.2.p pacid=40055435 transcript=Brasy1G211500.2 locus=Brasy1G211500 ID=Brasy1G211500.2.v1.1 annot-version=v1.1 MAEKTVVLYPSLGVGHLNPMVQLAKAFLRRGGVAVTIAVIDPPGKDPVLEAAVARLAAACPSITVCLLPIPSGTNKHYSNVALRMLDELRLANPVLREFLGSLPAVDALVVDMFCIDALDVAADLAVPAYIFYPSAAGDLAIYLQVPDLCLNAPSSLKDMGRTALHFSGVPPVSALDMPDTMLDRESDLCRRRLQQLARFPEARGILVNSFEWLESRAVKALRDGLCVPAGRSTPHIYCVGPLVDGGMNGESGERHASIEWLDRQPEQSVVFLCFGSRGVFSAAQLTEMARGLEKSGHRFLWAVRSPREEQSKSAEPDLKALLPDGFLERTRDRGLILKNWTPQAEVLRHGAVGAFVTHCGWNSALEAIMSGVPMVCWPLYAEQRLNKVHMAEELKVGVVVEGYDEELVKGEEVEAKVRLVMESDEGKKMSERMSMAKEMATEAVKEELN* >Brasy1G177300.1.p pacid=40055436 transcript=Brasy1G177300.1 locus=Brasy1G177300 ID=Brasy1G177300.1.v1.1 annot-version=v1.1 MDQQPRPAAGARLRRLIGGFPWTLAAGLAFITFNSAMAVYRCKGDQAIMVFVAISYLDLVLLSCCIWLHDRAAIASARRDRLKDTIWALTTLLTFSFAYMVIRSSTAAGLLTLPVALLVWITAVATGIGASSAYFHRRCSRYIY* >Brasy1G369200.1.p pacid=40055437 transcript=Brasy1G369200.1 locus=Brasy1G369200 ID=Brasy1G369200.1.v1.1 annot-version=v1.1 MACRSAVSAPSALVPAPPRRLVSARSSTRGGDARPLRSGSAGALRLAARRAPALVVRAAASSEGAVELQAKVTNKCFFDVEIGGELVGKVVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTAKTPWLDNRHVVFGHVLEGMDVVKELESQETSRSDTPKQPCRIVNCGELPLDG* >Brasy1G369200.2.p pacid=40055438 transcript=Brasy1G369200.2 locus=Brasy1G369200 ID=Brasy1G369200.2.v1.1 annot-version=v1.1 MACRSAVSAPSALVPAPPRRLVSARSSTRGGDARPLRSGSAGALRLAARRAPALVVRAAASSEGAVELQAKVTNKCFFDVEIGGELVGKVVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTAKTPWLDNRHVVFGHVLEGMDVVKELESQETSRSDTPKQPCRIVNCGELPLDG* >Brasy1G290000.1.p pacid=40055439 transcript=Brasy1G290000.1 locus=Brasy1G290000 ID=Brasy1G290000.1.v1.1 annot-version=v1.1 MMATQVRHLQRLVGSLKPEIKYYVCIMNKTFASHKQRMYFSVHYTDEFLSPFLTIAIDNLHIKLSGTSIHNQVCLIKGTDGMATITTNWTRFVLAARISQGDIIAFEFKPHQNTLCLAVHRL* >Brasy1G384500.1.p pacid=40055440 transcript=Brasy1G384500.1 locus=Brasy1G384500 ID=Brasy1G384500.1.v1.1 annot-version=v1.1 MAILAWNCRGMKKASAIRALLRLKDRVRPEVLFLSETHLKKARADSLKRRLMFDEVLVSESNGRSGGDFNEILYGSEKEGGSVRPERCMRMFRDVRLECNLEDLGYTGDPFTWRRGRIRERLDRAVSDFRWAAKFPLCVVVNEEFDKSDHRPVLIDTNFHSSMETPQVRGDKKFEARWLCEASIESIIQAAWDRSKANGPLPLSQKTDEVHSALHAWDKKVLKGPRHKLRLLQKELNVVMSGPLTDDAVEKQTEIQLKMENLLEQEEIYWVQRGRANWLKHGDRNTAFFHGFATERRKRNHVARLKNDAGDWIEEDDQLRNWAANYFQNLFASEAADPDPV* >Brasy1G086100.1.p pacid=40055441 transcript=Brasy1G086100.1 locus=Brasy1G086100 ID=Brasy1G086100.1.v1.1 annot-version=v1.1 MVAIRVPRSMRAKRELLKHAPKLVENGKKMLILHGTKTSAVLNSVLADIFHLKRDHAVKYTKKNDNIRPFESGGETSLEFFSLKSDCSLLVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYAYDKKLAPKLGTKPFFAFIGEHFESVAELKHLKEMLLDHFKGEVVENLNLAGVGRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRFPAESLQKEAMKAPGHAKKMKNVTKDPIEGKLGRVYIPDQEIGKMTLTNDIKGLKRERRDAKKNKEHSKKQKVNPE* >Brasy1G276300.1.p pacid=40055442 transcript=Brasy1G276300.1 locus=Brasy1G276300 ID=Brasy1G276300.1.v1.1 annot-version=v1.1 MAAKAGGRPRPLKVEAITSCVEVGFGDALRRLKLDVLGTDDSPIPITGYYTPCTHPKVSGLFRLCPESLVPSSVNSFGSRNNCPVMGTLINTNNMRGFQNLDMAHLLREEAKKILHDIMSGKIEGDPSLLLRFLVISFADLKNWKIYYNVAFPSIVFNSRMTLLSLHSASQVLSQEEATSLSKSLKEWRSSNETTVLPFFLVNISSNSATIRQLKDWKDCQDSNQKLLFGFYDHGCHQDYPGWALRNYITFLNLRWKIEKVRFFCYREKRGGLDLQKSLIGESLFSAPNGWDDPDYVPEAIGWEGEKPGDERKEKKLKEINLESMNPASQDEEKQLMHLKLMGWRHFPVDLDKLSRVRCLLLGAGTLGCEVARLLMTWGVRKLAVVDGGCVAMPDLVKQSLYIDKDCGVPRAAAIVPHLKERCPAVEVEGIQMEIPTPGNPISSSKLASVLDDCKRLQTLVASSDVVFLLTDTWESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTRSGAMDDMISQIQNLCIEDALGRQRLGCCFCNDTASIVNSVSSEILHPQCTTTLPGLTSIASGKAVELFARILHHQDGIHAPGDIAGMDTEHQLGLLPHQMLGSLPKCVLSTVIGNSSSSCTACSNAVLSEYRRGGLDFIVQAINHPSYLKDLTGISNLVKSDSKLPASFPSYPVKPGKLSSVRCLLLGAGTLGCDVARILMDYGVRKLTVVDSGRVVVSNLARQSLYTSDDRDTPKATAILKHLEERWPSVEAKGVEMEIPMPGHPISSSEADGVLEDCKRLQELVATHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPGTNSEGSNVVAATDKLSARDVLGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGHAADLFTRMLNHQDGIHAPGDIAGMSTERPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRSRGLDFVMQVINEPTYLEDLTGLTDLMKLADYSQVEWVDELDDDELAEI* >Brasy1G276300.2.p pacid=40055443 transcript=Brasy1G276300.2 locus=Brasy1G276300 ID=Brasy1G276300.2.v1.1 annot-version=v1.1 MAAKAGGRPRPLKVEAITSCVEVGFGDALRRLKLDVLGTDDSPIPITGYYTPCTHPKVSGLFRLCPESLVPSSVNSFGSRNNCPVMGTLINTNNMRGFQNLDMAHLLREEAKKILHDIMSGKIEGDPSLLLRFLVISFADLKNWKIYYNVAFPSIVFNSRMTLLSLHSASQVLSQEEATSLSKSLKEWRSSNETTVLPFFLVNISSNSATIRQLKDWKDCQDSNQKLLFGFYDHGCHQDYPGWALRNYITFLNLRWKIEKVRFFCYREKRGGLDLQKSLIGESLFSAPNGWDDPDYVPEAIGWEGEKPGDERKEKKLKEINLESMNPASQDEEKQLMHLKLMGWRHFPVDLDKLSRVRCLLLGAGTLGCEVARLLMTWGVRKLAVVDGGCVAMPDLVKQSLYIDKDCGVPRAAAIVPHLKERCPAVEVEGIQMEIPTPGNPISSSKLASVLDDCKRLQTLVASSDVVFLLTDTWESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTRSGAMDDMISQIQNLCIEDALGRQRLGCCFCNDTASIVNSVSSEILHPQCTTTLPGLTSIASGKAVELFARILHHQDGIHAPGDIAGMDTEHQLGLLPHQMLGSLPKCVLSTVIGNSSSSCTACSNAVLSEYRRGGLDFIVQAINHPSYLKDLTGISNLVKSDSKLPASFPSYPVKPGKLSSVRCLLLGAGTLGCDVARILMDYGVRKLTVVDSGRVVVSNLARQSLYTSDDRDTPKATAILKHLEERWPSVEAKGVEMEIPMPGHPISSSEADGVLEDCKRLQELVATHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPGTNSEGSNVVAATDKLSARDVLGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGHAADLFTRMLNHQDGIHAPGDIAGMSTERPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRSRGLDFVMQVINEPTYLEDLTGLTDLMKLADYSQVEWVDELDDDELAEI* >Brasy1G276300.3.p pacid=40055444 transcript=Brasy1G276300.3 locus=Brasy1G276300 ID=Brasy1G276300.3.v1.1 annot-version=v1.1 MAAKAGGRPRPLKVEAITSCVEVGFGDALRRLKLDVLGTDDSPIPITGYYTPCTHPKVSGLFRLCPESLVPSSVNSFGSRNNCPVMGTLINTNNMRGFQNLDMAHLLREEAKKATSLSKSLKEWRSSNETTVLPFFLVNISSNSATIRQLKDWKDCQDSNQKLLFGFYDHGCHQDYPGWALRNYITFLNLRWKIEKVRFFCYREKRGGLDLQKSLIGESLFSAPNGWDDPDYVPEAIGWEGEKPGDERKEKKLKEINLESMNPASQDEEKQLMHLKLMGWRHFPVDLDKLSRVRCLLLGAGTLGCEVARLLMTWGVRKLAVVDGGCVAMPDLVKQSLYIDKDCGVPRAAAIVPHLKERCPAVEVEGIQMEIPTPGNPISSSKLASVLDDCKRLQTLVASSDVVFLLTDTWESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTRSGAMDDMISQIQNLCIEDALGRQRLGCCFCNDTASIVNSVSSEILHPQCTTTLPGLTSIASGKAVELFARILHHQDGIHAPGDIAGMDTEHQLGLLPHQMLGSLPKCVLSTVIGNSSSSCTACSNAVLSEYRRGGLDFIVQAINHPSYLKDLTGISNLVKSDSKLPASFPSYPVKPGKLSSVRCLLLGAGTLGCDVARILMDYGVRKLTVVDSGRVVVSNLARQSLYTSDDRDTPKATAILKHLEERWPSVEAKGVEMEIPMPGHPISSSEADGVLEDCKRLQELVATHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPGTNSEGSNVVAATDKLSARDVLGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGHAADLFTRMLNHQDGIHAPGDIAGMSTERPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRSRGLDFVMQVINEPTYLEDLTGLTDLMKLADYSQVEWVDELDDDELAEI* >Brasy1G575800.1.p pacid=40055445 transcript=Brasy1G575800.1 locus=Brasy1G575800 ID=Brasy1G575800.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQDTLKEMAADQIHLLLGVSVEIDKMTDKLEDLKNFLADADRRNITDKSVRVWVTELKHAMYDATDILDDCQLKAMERGEPTDKGFCNSFLTFIRSPFDVHDMGTRIKALNERLNAIKQRGDAFSFINLGSYEGRGQSMRTSRPGNPSPETSGELDRSGVVGGKIEEDTRELVAKILQTGDDVKNNIMVVAIVGVGGIGKTTLAQNVFNNESIQSEFEKKIWLSINQSFDKVKLLRTAITLAGGNPAGQEALAVLQPILITALEGKKVLLVMDDLWSHRAWESVLKTPLVKAAASGSRVLITTRDEAVARGMSATWPHHHIDTLSPKDAWSLLKKQVLSNEKDEDHINTLKDIGLKIIEKCGGLPLAVKVMGGLLRQTEMLRRDWEQVVDDSKWSTTEMPQELNHAVYLSYEAMPPYLKQCFLYYSILPKIKTFNRTDVIAMWISEGFIHGNSSDLEETGKKYYKELISRNLIEPDKDVLDQWYCSMHDVVRSFAQYMTRDEALIAHNGEIDILTKLNSQQKFLRLSMETTILQSGELEWKSLQEQKSVRTLISIGKIKMNPGDSLLNFSSLRTLYIESEGVSALVESLHQLKHLRYLALLNAEISVLPENIGKMKLLQFIDLSGCAKLVNLPDSIVKLGQLRHLDLPDKVSMIPRDFRCLTNMRSLYGFPVHVDGDWCSLDELGPLSQLRFLSLVQLENISASSSAANACLGEKMHIIDLYLSCTSKLGYDGLVKQENGVSKDEQTRIEKVFDELCPPTSVQYLNISGYFGQQLPSWMMSKSMVSLNNLKSLLFSDLACCTQLPNGLCQLSCLQFLQVNRAPCIRSVGTEFLHPSQVAAAPFPRLKEMRLFRMVEWEEWEWEEKVQAMPRLAELFLNTCKLRRLPPGLASNARALRKLSIKHVKQLRCLESFPFVVELTVDDCPDLESITNLPKVQKLTITGCPKLKVLKDVPELRRLVMENVEDLSYLENFPFVVELTVIDCPDLGSITNLPKLQKLTITRCLKLKSVKDVPALQRLVLEDGDMETLPGYLGDVNPSYLELRCSLTLLTPMAAGESGQEFAKFSHIEHVKAYGKGRELFVLYTRKPYNFHTNIKLSFEPAETLSSSMVDTRGFEHLYKMKRSTFDYVCSLVRVPFLEDMMLRDHRFDDETVLSLQDRVAVALRMLNSGESSETVGSSMGVNESIVSLVTKSFVDAMYEKAIHHLAWPSSSGIENIKYKFDKIHGLPNCCGVVHTDHITFGSQNCDHEVNGRMLMQAVVDTDMRFTNIWFGSSGSMNQSSILQFSDLFKECEKGTWLNGSKLNFSDGRQVGEYIIGDAGFPLLPWLLTSYQKDDLSDCQVEFNRRHSEAMTVTLTKAMAMWKDTWKFLHGAAWRPENQFDRVRVISVCCMLHNIVIDKESDAGMGSHQKVNYYGQQVRQLADEDPVMARDILSQLCIFSGGTLPIFEDTQRLESVLKMPRRAFDYVCSLAKEGSLEDMDEYTFLDGRLMRLQDRVAIALIVLNSAYPPATIGSSVGVNESTLLQVTKSFVHAMAGGPRWPSTTAMEKIKYKFEKTHGLPNCCGVVHTTRIPFVSQNSDHEKNEDVLMQVIVDSDMRFRDVEFEWSDCTNQLSTLQNSVLFMACEDGDKLNGSKLKVLSDGSEEVGEYIIGDAGYPLRPWLLTPYQLENGLSDSEVEFNKRHSEAMAIALKALARLKDTWKWLHGGTWRPDNPDELEDAVQVCCRLHNIVIDMEKEGAGMPSDEEESDSDQEKRQLKDEDAVRARDLLSEYMISRSSESRGAAAFQSEALRRHEDQARLDRKRALEGPSTETTDDAEDANRKKEKRE* >Brasy1G324000.1.p pacid=40055446 transcript=Brasy1G324000.1 locus=Brasy1G324000 ID=Brasy1G324000.1.v1.1 annot-version=v1.1 MKGRALKLREAHKAGSSPVFCTAVWGPGGQHVVTACASDVAVLIHDAAAVAAAGGGGGGRGSEPAAVVSTIRFHKDGVTALAVAPGSGGSLASGSIDHSVKFYSFPGGEFQGNVARFTLPIRSLAFNRKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLAFDPKNDYLASVDSFGSVIYWDLCLGNVSRTLKRVAPTFGSDHSVKNGLCWSPDGQTLAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLATASLDRQVLIWDVKSRQDIERQKFDERICSLAWKPEENALVLIDVTGKFTIWESVVPSTLKSPTEGAPDLNITRVPLFDDDDEEEKASTSAGFDDDADESLGELAPSSHKRLRRKSSFGDHSNGDNEDEDLIHQMESCKRTKHKHKDTKEGVGKAIDDTATSGRFVTARMQVAFQPGATPSQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKSVALGAGWVAAVTSLNFLRIFTEGGLQMHILSVSGPVVTAVGHEDQLAIVFHTSDCLPSGDQVLDVKVFNISEGAQAMSSRLVLTPSSQLSWFGFSENGQLSSYDSKGILRVFSSQFGGSWLPVFSSIKARKSEDENHWVVGLDANNIFCVLCKSPDCYPQVMPKPILSILEFSFPLASSDLGANNLENEFMMKRLHLSQIQKKIEEMAALGLDVTAFEDEAFNTEAALDRCILRLISSCCNGDKLTRATELAKLLTLEKSMKGALTLVTRLKLPILQEKFSSILEERMLNDTRTPGAIGVCSSATTTKYSPALTTTKYSPALTTHAIQPAKTVQNGNNMEASAAPALNPFSQQRSSAPGSKKAEVEQPKEPKVTEKASPSVTLLAKMPKKNEKAQGIAKTNRDVTAVVEQHLKGVIDQVGVKNKGNEDSNRVEPQRPVNPFAKPSSNKDKSSSLLDSIKKMKVENEKVDKSNSKVKV* >Brasy1G526600.1.p pacid=40055447 transcript=Brasy1G526600.1 locus=Brasy1G526600 ID=Brasy1G526600.1.v1.1 annot-version=v1.1 MEEGGGGGEAEEQVMSEVHLGCPPRFSGLYRSRFTFSSRPLLLLPEPSGGDDDNEGGECSGRGCELVAASSSSCDSPDAVAVDDDGDLVLDRRRRKKDRSGRRSDDHVLTVQHGITSSLRNVGLQVWKAAMLLTDFVLHKSFTSSEFDGVTAMEIGAGTGLVGLVQARVARRIFITDRGTDILDNCLANVRLNSSMLKFDEAKVHVRELDWKLSWPPPVGTRDASDPSSRYLWSTSEIKESEKATLLFAADVIYSDTLTDMFFDTVRKLMSRGANKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFAVQDGCGDLDNATSKPGVLVGEQIDLARVPQYIREYDRGKDLEMWKLMYCPD* >Brasy1G523600.1.p pacid=40055448 transcript=Brasy1G523600.1 locus=Brasy1G523600 ID=Brasy1G523600.1.v1.1 annot-version=v1.1 MSGFAGRPWMGDSTPSSGENARDDGVKELGSSSTNASAISFGFAATAILISMFLLMAIFEHLIKPGWASARASSAAAHDGDGDGRPSRSRPAGRHPGGPRDHGSPDKLAPPPKMEEEAVVAPDLTVVMPGQRYPTFLAQPAPLLLACCPREGVRWPPHHGHHQHPFLPP* >Brasy1G172500.1.p pacid=40055449 transcript=Brasy1G172500.1 locus=Brasy1G172500 ID=Brasy1G172500.1.v1.1 annot-version=v1.1 MGNNNVTGQQVSEESAKGFDKTMDDLDCLPGLDNINGEQMALDQSNLSTKNKDLDEKNRCSHQDHTSMQDDDQNINLPTEQGDETQEDNETETTTNSTEKNIHVSTTIEDMASSKDTSPSNEKLKQLVHETTEGSEQSTLANPHRLLQENIEGPPGDEKESWSQEVAVMCGNLVRADTVENLQETKIGVSTVDTPSPIHGESTSLTESTINGYLDADTKEIVIKDEPRERDNSSYVRAEYGTNQEKSKNHNAGIPEEKQAICEMRKSCETITSETVIEMLEEDKKIHGLENHEEEFPKRSAFGALDIGEVVSIFQSSLIDPNSVSARMEHNLIEKANTEQEGTVDKTAVVKNIAEDLEPSKKFDGVPDLVNLLVVNGKDFTSLYPPLSDPFPILNEEKVQTDVMVEELAVPMTASALHLVQDSVKKGYMKHDSSDNNEAKTIDTQDTVNISQCAEQQQILLEEPEVVKFEDSETLSICTKLYRSTTGLTSCREYKREGAQEKVTGTAGFTPESEQMKVITHVDKATEQWCLLQKPSLGRAASEEIPLLQNAENFNSLSYSSEQHIKVAEDIPMKDITLMQSKDEAEEEPENSPLLGPREPSEGTFRALNHSARNNKPLTSLMTEDQVGTLPLLKEQEHVQKKITTVSSPRRKERKKSQSSIFAMCICCASATN* >Brasy1G186000.1.p pacid=40055450 transcript=Brasy1G186000.1 locus=Brasy1G186000 ID=Brasy1G186000.1.v1.1 annot-version=v1.1 MAAGAACYYHLAAGRGSPSSLSLGLKPSQSKVFFIRGSAGTSSRWWTRRRRCEGKAKGGSGGGRAARARPALFSPVVMEWQECSTEIEADVPCSIAYQCYLERETIPQWMPFISSVKVLEDKPDLSRWTLKYAILGRDVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSPSSCRVQLTVAYEIPEILTPVASALKPFLEGLLLNGLERFVAFAKERHTKTLRP* >Brasy1G058600.1.p pacid=40055451 transcript=Brasy1G058600.1 locus=Brasy1G058600 ID=Brasy1G058600.1.v1.1 annot-version=v1.1 MAAVTAMAPAAASGLSPCASFVEESLILPGRNPGLFLRLVALLFAHTFVFLSLAVRSAHPAILSLLSLLSDAPPPPKRTRFNNGFGFGGRHRSSWRADYYDPAATGDEALEHGKTLLLVYLAYLASKLAAQAAVALAASATARGHGKPPLDLVRRRILATAAFLAALELASTALLAACLASWWTTTYDSTAMAASFVSGALLFVLLCVAIPARLCLAAAASSSAAGVAADGREERRKEAAVLVAAAGLLPVVAYPVYAFALACEPGPRDSVFVLLAMLPGYLFPAVAVQLYSTLAAAVFYHRFGGHHEPAAAIPLTATKAIAS* >Brasy1G090800.1.p pacid=40055452 transcript=Brasy1G090800.1 locus=Brasy1G090800 ID=Brasy1G090800.1.v1.1 annot-version=v1.1 MQSVQRASTPHDRPIQLLPTNPTRSLSSPLTCAEHSRIYAAHLPKPLRAPFHPPKTVDGKVGKANLAGLDSPDGGMEQAKPAAAAAAAVARNRKVVLREYISRAPREDDMALVDDGGTVALRVPEGADPAVLVKNLYLSCDPYMRGRMRDFHHSYIPPFKPGSVIQGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDKPDQLNKIQQSDIPLSYHLGLLGMPGFTAYVGFYEVCTPKKGDFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGYDAAFNYKEEPDLMAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQHGMTDPVGIRNLFCLVSKRIRMQGFIQSDYLNLFPQFLDDMAKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSQE* >Brasy1G263100.1.p pacid=40055453 transcript=Brasy1G263100.1 locus=Brasy1G263100 ID=Brasy1G263100.1.v1.1 annot-version=v1.1 MVAFRFRQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFSLCKRDNTKQFHNSKIKFPQVYQKVRPPTRKLKTTFKATRPNLFM* >Brasy1G410100.1.p pacid=40055454 transcript=Brasy1G410100.1 locus=Brasy1G410100 ID=Brasy1G410100.1.v1.1 annot-version=v1.1 MRPPVTHDDLSLRKAHERRAARSGGQIAVGLVGLSVLCGLISFILCLAAEGSRSEVSNYLMTVQGSAGQIDVCFYNSSGRSPLIFAIAAFLLLAVAMFAVHAYMLLAVAAPDSAATAHAVAQDHPRVSTAPNTLPWQTCCLFFVTWICFGLAEVLLMIGIGVESGHVSDWRRPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQAHKLRGQHGGGGGGGYYPGHPGVQHHHMPPPVSYPPHPAPHPYPQPHPQPHPMLPNAPEITAAPCQVQPTDAGCITKVSTAV* >Brasy1G313800.1.p pacid=40055455 transcript=Brasy1G313800.1 locus=Brasy1G313800 ID=Brasy1G313800.1.v1.1 annot-version=v1.1 MDAAAAMEAGAPPARKESGLRLLPARHGGHAHGHSGLARTAHGMSSSSLRKKSDGRLVHKVPVAGLRPVLANLQEVFLGTKLAVLFVAVPLAVAAQCFHFGQVWVFACSLIGLIPLAERVSFLTEQLALYTGPTIGGLLNATCGNATELIIALFALMEGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGIKNLHHDQPYDRKQADVSTGLLILGALCQSLPLLLRYAVGAGEHSVAADTTVLELSRASSFVMLLAYVAYLFFQLKTHRQLFEPQEIEGGDDAEEEEVAVLGFWSALLWLVLMTVVISVLSEYVVGTIEPTSQAWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGIQMDLDFKLLETGSLFISVLVTAFTLQDGTSHYLKGVLLLLCYIVIGACFFVTRQPAHSANSNGTLLNVPTGPMNLQVA* >Brasy1G129100.1.p pacid=40055456 transcript=Brasy1G129100.1 locus=Brasy1G129100 ID=Brasy1G129100.1.v1.1 annot-version=v1.1 MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVLFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSGGVADKKK* >Brasy1G129100.2.p pacid=40055457 transcript=Brasy1G129100.2 locus=Brasy1G129100 ID=Brasy1G129100.2.v1.1 annot-version=v1.1 MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVLFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSGGVADKKK* >Brasy1G548900.1.p pacid=40055458 transcript=Brasy1G548900.1 locus=Brasy1G548900 ID=Brasy1G548900.1.v1.1 annot-version=v1.1 MGRDHHNQQLQEAAGAAGSVVRHEKVKLFMGVLALQLMLAGFHIVSRAALNMGVSKLVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLAEFFVLALIGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLGRRHGVAKVVGTVVSVGGATVITLYKGIPLFARHNLHVQSMVELASSSPIFNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVITMTCVFGLLQFLVIAAFTEEDLSRWKVNSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAVILGDQLYTGGIIGAVLIVIGLYFVLWGKSEEKRAKILNQDPDMVTSHLLAGQQESSPAKDRQVSSDLA* >Brasy1G548900.2.p pacid=40055459 transcript=Brasy1G548900.2 locus=Brasy1G548900 ID=Brasy1G548900.2.v1.1 annot-version=v1.1 MGRDHHNQQLQEAAGAAGSVVRHEKVKLFMGVLALQLMLAGFHIVSRAALNMGVSKLVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLAEFFVLALIGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLGRRHGVAKVVGTVVSVGGATVITLYKGIPLFARHNLHVQSMVELASSSPIFNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVITMTCVFGLLQFLVIAAFTEEDLSRWKVNSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAVILGDQLYTGGIIGAVLIVIGLYFVLWGKSEEKRAKILNQDPDMVTSHLLAGQQESSPAKDRQVSSDLA* >Brasy1G548900.3.p pacid=40055460 transcript=Brasy1G548900.3 locus=Brasy1G548900 ID=Brasy1G548900.3.v1.1 annot-version=v1.1 MGRDHHNQQLQEAAGAAGSVVRHEKVKLFMGVLALQLMLAGFHIVSRAALNMGVSKLVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLAEFFVLALIGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLGRRHGVAKVVGTVVSVGGATVITLYKGIPLFARHNLHVQSMVELASSSPIFNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVITMTCVFGLLQFLVIAAFTEEDLSRWKVNSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAVILGDQLYTGGIIGAVLIVIGLYFVLWGKSEEKRAKILNQDPDMVTSHLLAGQQESSPAKDRQVSSDLA* >Brasy1G132900.1.p pacid=40055461 transcript=Brasy1G132900.1 locus=Brasy1G132900 ID=Brasy1G132900.1.v1.1 annot-version=v1.1 MKAKSLPFIAFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSDRWKSFLDRQAGDDESSGEDAKIAPSIEDEGAMGDAGRSDLSEEKTVKQQRQHKVQTWSEIRPSLSHIGEMMSLRVKNKQSSVHEEETTDGLHPKNTEDSKPLEDSDDEFYDVEKVDPSLEGPVADSANADSGMNGAASQEGYFPWTEELECLVRGGLPMALRGELWQAFVGIGARRIKGYYESLLAVDGERGGSNSSDSPTMECGDGKPKASQTLSAEKWRGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMSEENAFWALTGIMDDYFEGYFSEEMIESQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVAGPWFLSIYMNMLPWETVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQSVDEARLQDMRNKHRPSVLSSMENRAKDLLAWRNTNGLASKLYNFKRDSEPLVSISAEQLNDSTDGDMNQETNSGNVDDTYHGLTVNTEIDSLPDPKDQVIWLKVELCRLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQSLSDKQEQEHAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYASHVLQEKYEEAMASLMQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPSRDASPGQVNQDSSQEFQPRKISLLAPFSLSWRDKNKGKQNNADELTNGKLNNNTEESVETPKKDDGNQGDTPKRGEQVDTPNRDEPGLQTAKTDGDLPTAQMTRDKMNGQEQNLEEIELN* >Brasy1G014500.1.p pacid=40055462 transcript=Brasy1G014500.1 locus=Brasy1G014500 ID=Brasy1G014500.1.v1.1 annot-version=v1.1 MASSSSPPWPELPPELLGLIASMISGVGMEPSAADLARFRAVCRSWHQAVRDHAPPPRRLPWVVFPDGEIFNTTDRDWHHITPLPENAVCIGSTDAWLALDCTDPDKRHTLSCPIILIRPGKGMWLPKPQQTTFSRIIDVAFFGDILYGITQAEDLVSLHVAFDKDGAPMVTVVERVIRHPVHDDGSDVWSDEDDNEGEGEEEANDEDEGGDDDEALNKEGGDDDALDGEGEDDDDRIVEAIEYKDDGDYLLISLWYFVESRGKLIMVRRQLQWPTYGVNFTVQLDVFEANIDTGVWVQVEGGLDGQAIFISRLFCKSVPACGEEIKEDAILFIDTSEVLNIKSYTIIPSRTKFDLDSSTWLFPPS* >Brasy1G425100.1.p pacid=40055463 transcript=Brasy1G425100.1 locus=Brasy1G425100 ID=Brasy1G425100.1.v1.1 annot-version=v1.1 MAASFDDLARRLGAYFPLPAPAPSPKDQLSGVAAAVLSAGGRLGRAVGDVFRRLRIEDGPEDVAYAQKRHRRRIPSGVAAATDIDGGDRPAVSSGSGRFEFDAARSAQGSVSVAAAFDSREGGVESSVSARGDLWRAEASHRGGGSGGDGDGGAPLFLVQLGPVLFLRDTTLLFPVHLSKRHLVWYGFERKSGVHSVCPAYWSAQRRCFFMSMICLNPFACSFMDMQFPNGQLRYVAGEGFAARGFLPLRGGGVLQAHGKFPGEKRVSFSYKNSSGGSIIPAVQWPDKSLSLGLVQVLSWKRCGLVEQPALQFSMCPTFGGSRPGVSMELAHSVSEKASVACGYSRTASPSAYASVSIGRSKMNGGAMTSGLLFRVDAPMHSFGRPWFSIQMNSGIEF* >Brasy1G425100.3.p pacid=40055464 transcript=Brasy1G425100.3 locus=Brasy1G425100 ID=Brasy1G425100.3.v1.1 annot-version=v1.1 MAASFDDLARRLGAYFPLPAPAPSPKDQLSGVAAAVLSAGGRLGRAVGDVFRRLRIEDGPEDVAYAQKRHRRRIPSGVAAATDIDGGDRPAVSSGSGRFEFDAARSAQGSVSVAAAFDSREGGVESSVSARGDLWRAEASHRGGGSGGDGDGGAPLFLVQLGPVLFLRDTTLLFPVHLSKRHLVWYGFERKSGVHSVCPAYWSAQRRCFFMSMICLNPFACSFMDMQFPNGQLRYVAGEGFAARGFLPLRGGGVLQAHGKFPGEKRVSFSYKHVPHLRREPPWGVHGVGPFGKREGQCCLWLLSHRFPFRLRFRIDRKIEDEWRRDDLGVAVQSRRPDA* >Brasy1G425100.2.p pacid=40055465 transcript=Brasy1G425100.2 locus=Brasy1G425100 ID=Brasy1G425100.2.v1.1 annot-version=v1.1 MAASFDDLARRLGAYFPLPAPAPSPKDQLSGVAAAVLSAGGRLGRAVGDVFRRLRIEDGPEDVAYAQKRHRRRIPSGVAAATDIDGGDRPAVSSGSGRFEFDAARSAQGSVSVAAAFDSREGGVESSVSARGDLWRAEASHRGGGSGGDGDGGAPLFLVQLGPVLFLRDTTLLFPVHLSKRHLVWYGFERKSGVHSVCPAYWSAQRRCFFMSMICLNPFACSFMDMQFPNGQLRYVAGEGFAARGFLPLRGGGVLQAHGKFPGEKRVSFSYKIGRSKMNGGAMTSGLLFRVDAPMHSFGRPWFSIQMNSGIEF* >Brasy1G250900.1.p pacid=40055466 transcript=Brasy1G250900.1 locus=Brasy1G250900 ID=Brasy1G250900.1.v1.1 annot-version=v1.1 MAQQRSLLAAVLLFLSALTAAAAAGDAPFVVAHKRVVLSRPGPGIERLAVTLDIYNQGSATAYDVSLNDDSWPQEAFELVSGSTSKIVEKLDPGSTASHSFVLETKVQGRFQGSPAVIKYRVPTKAALQEAYSTPVLPFDILADRPPQQKFELAKRLVGKFGPLASVVSFVGAFIYLVASPSKSGAAKGSKKRR* >Brasy1G021900.1.p pacid=40055467 transcript=Brasy1G021900.1 locus=Brasy1G021900 ID=Brasy1G021900.1.v1.1 annot-version=v1.1 MNQGQRSKGPAELRPLGHHGAKQKFLAILVRAGANLSSLPATEVDALFTGLRSPPPDNLYVSSSGRRAAGLLFRSLPVAAAAARELWSLRLVGSGLLTPDHADVFPVIASPFAAHASSTLCRRNLETANHLCFECPWALSIWDSVGLTFNLPCLRPANWSLPCSAFRWFCDMLSGQDRHVRSIAVLTLWEIWLERNRRIFQDSDLTKGLLAQRITESCRLWSFARGAPLMSRE* >Brasy1G542000.1.p pacid=40055468 transcript=Brasy1G542000.1 locus=Brasy1G542000 ID=Brasy1G542000.1.v1.1 annot-version=v1.1 MDRGRSNKRREEARQDGDISAKRQSVTVGLETLDYTVCSEPLRPPIFQCYLGHFLCLSCHCEILDEKCHICSVETSFERCFGMEHVVKSVTVPCCNAKYGCTEQVTYYQKEEHEKVCPNAPCFCPESGCSFAGPTMELLGHLTAQHKCLFSTFLDSGRVNVCLYLDPGLFVLRCLEDGQFFLFNMASEPFGHAVSVICVQPKVTEPKFTCALYYNNSVANNNQSSCCDIQSSSLSEGLPKGYDLIVPKGKVSDEGDGILLRVVIREKRRISYYSDSDD* >Brasy1G577800.1.p pacid=40055469 transcript=Brasy1G577800.1 locus=Brasy1G577800 ID=Brasy1G577800.1.v1.1 annot-version=v1.1 MNDIVILRGLPALTALSLSAREVEWLIFDNRGFRVLEYFKLMYTAPCLSFLEGAMPSVQKLRIGFNANRVKQHSWKIFGFQHLTSLREISIKLGGISAHESDNKRRAFLSGLIAAVDHQNTHTVNITFVDHEIFCDKYYGTQDEVPDLPPNKDEVSGEGENNLADIGITAPSESSSHLPIAGEIGKSKHSAHDKMLEITGEDDLHSLDQVFEFYDLTKVEKLTLKKCPPLELKHLLMLTLLKTLVFESTDRLFVPTRGHGDVELQFPVEHVVVRKLFGATGKELTELLTHLPRLSKLEFWRCENITHLTVGADVQEATLAAAADSVVEQEKEEDGLLLFPPHLSDSLRELIIWDCPELVLVEETRRDRDSKTETRRGGFQALRSLQELHIWLCPMFLSACLYSSPSLHLFPSSLQSLHLWLVKGMGTLEPLSNLTSLTSFNLRDCGENLRCEGLGPLLTTGGQLSELVVLGSPRFFSGWDPNPRRVLQDDEGEKKQQLQLVPLPPSSSKLQELWTGDAAGFLAAPICSLLSSFLTKLKLWGNEEMERFSTEQEDALLLLASLQELGFLYFPTLQHLPAGLHKLTNLKQLVIYKCPAVRTLPKDGLPKSLQELNVNYCDNEELKQHCRGLVGTIPEIYAN* >Brasy1G388300.1.p pacid=40055470 transcript=Brasy1G388300.1 locus=Brasy1G388300 ID=Brasy1G388300.1.v1.1 annot-version=v1.1 MLSPASTVPPTSPLPHPASANPSPHHLRPRFLPYTASSATPVRVRGTACRRMGSVRASVSEAGGWKVPVAGKEGVEMERLVEVAQSAADAAGEVLRKYFRQRVEIIDKEDHSPVTIADREAEEAMTSVILKSFPTHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLIALLHNGKPVMGIIDQPVLRERWIGVDGKKTTLNGQEISVRPCNALAQAYLYTTSPHLFEGDTEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGDKLHWPVSSESRPTSFNVVAAGDVHVHRQALDALRWR* >Brasy1G031600.1.p pacid=40055471 transcript=Brasy1G031600.1 locus=Brasy1G031600 ID=Brasy1G031600.1.v1.1 annot-version=v1.1 MALASGSGDGGRDFSVVVLGSDFATDAGAALLASCPADREEWHDCAPDLGDDFSDLEELQVVRVQGADRSGRSVVRVVGKFFPAPVIDGERLKRYVFHKLRTELPEGPFCILYMHSTVQSDDNNPGMTILRGIYEELPPEYKERLGTLYFLHPGLYSRLAMATLGRLFLSGGLYWKIKYVSRLEYLWGDIKKGQVEIPEFVLEHDKILEHRPLTDYGIEPDPLHLADVPAVGYSLGRYEDKWSPEDRWYSRNYM* >Brasy1G065400.1.p pacid=40055472 transcript=Brasy1G065400.1 locus=Brasy1G065400 ID=Brasy1G065400.1.v1.1 annot-version=v1.1 MRTDTATDRERRRSCNKERPRRAASRSNKEEDCRQRLGGREGNPRRDTWRPAAAPRSRHRHPAWSGLVDRPTQTQPQPHRPAASACASLRRPS* >Brasy1G129000.1.p pacid=40055473 transcript=Brasy1G129000.1 locus=Brasy1G129000 ID=Brasy1G129000.1.v1.1 annot-version=v1.1 MAHEDGWPLGLGTMDARAGVIRSIGSSSPSTAFTPSHCVSSFTSSDLDTKSIWSLPRGGGAGGMTLATLIGLVDAMESRRSRGARRSRRGRLRALLLSLCLRNNLENGGSAAPSLGQFLEMERRAGGSGGRARRTLPWSRAC* >Brasy1G129000.2.p pacid=40055474 transcript=Brasy1G129000.2 locus=Brasy1G129000 ID=Brasy1G129000.2.v1.1 annot-version=v1.1 MAHEDGWPLGLGTMDARAGVIRSIGSSSPSTAFTPSHCVSSFTSSDLDTKSIWSLPRGGGAGGMTLATLIGLVDAMESRRSRGARRSRRGRLRALLLSLCLRNNLENGGSAAPSLGQFLEMERRAGGSGGRARRTLPWSRAC* >Brasy1G129000.3.p pacid=40055475 transcript=Brasy1G129000.3 locus=Brasy1G129000 ID=Brasy1G129000.3.v1.1 annot-version=v1.1 MAHEDGWPLGLGTMDARAGVIRSIGSSSPSTAFTPSHCVSSFTSSDLDTKSIWSLPRGGGAGGMTLATLIGLVDAMESRRSRGARRSRRGRLRALLLSLCLRNNLENGGSAAPSLGQFLEMERRAGGSGGRARRTLPWSRAC* >Brasy1G129000.4.p pacid=40055476 transcript=Brasy1G129000.4 locus=Brasy1G129000 ID=Brasy1G129000.4.v1.1 annot-version=v1.1 MDARAGVIRSIGSSSPSTAFTPSHCVSSFTSSDLDTKSIWSLPRGGGAGGMTLATLIGLVDAMESRRSRGARRSRRGRLRALLLSLCLRNNLENGGSAAPSLGQFLEMERRAGGSGGRARRTLPWSRAC* >Brasy1G129000.5.p pacid=40055477 transcript=Brasy1G129000.5 locus=Brasy1G129000 ID=Brasy1G129000.5.v1.1 annot-version=v1.1 MDARAGVIRSIGSSSPSTAFTPSHCVSSFTSSDLDTKSIWSLPRGGGAGGMTLATLIGLVDAMESRRSRGARRSRRGRLRALLLSLCLRNNLENGGSAAPSLGQFLEMERRAGGSGGRARRTLPWSRAC* >Brasy1G540100.1.p pacid=40055478 transcript=Brasy1G540100.1 locus=Brasy1G540100 ID=Brasy1G540100.1.v1.1 annot-version=v1.1 MGLLVAGGFSNGEDYGGAMRGATAAARSRRGRRRNTAPHYIRRTEVLGCFEGCRREHPQDRSWRHIAHPVHDLEFKTVRMLNILRRDELSVHHNIRGGFVVVMSLLPRRSALEEVK* >Brasy1G004900.1.p pacid=40055479 transcript=Brasy1G004900.1 locus=Brasy1G004900 ID=Brasy1G004900.1.v1.1 annot-version=v1.1 MADADQPLLRHGRRPPAASRAVAWVPLLLLAAAATGLLLRRSRLPEPVPLTLLTGAQEKGAVCLDGTPAAYHLLRGSGAGSDSWLIHLEGGGWCSTVSECSNRRMSQLGSSKFMTKPSQFGGAGILGSDQLQNPDFYNWNRAYVRYCDGASFSGDSEGQAQDGTTLHFRGLRIYEAVIDELMEKGLANATQALLTGCSAGGLATILHCDDFSARFAHKVPVKCLPDAGFFLDAKDVSGQRSFWSVYDGVVHLHNVREVLPKDCLASKEPTECFFPAEIIKSIHTPMFILNSGYDSWQIRNVLVPGSSDPDKSWLSCKGNMINCNSTQIEVLHGFRNAMVDDLKFVAEYKQDWGLFIDSCFTHCQTLFGISWNSPISPRLGNESIAEAVGNWYFGRSKRVSEIDCQYPCNPTCSSRLPW* >Brasy1G475900.1.p pacid=40055480 transcript=Brasy1G475900.1 locus=Brasy1G475900 ID=Brasy1G475900.1.v1.1 annot-version=v1.1 MVLITAMDHQQLAEDSADGGMVIRTSAAAAGRPAERLACPRCSSTDTKFCYYNNYNLQQPRHFCKGCRRYWTVGGALRNVPVGGGTRSKAGLVPASRRKRSSNSSSNSNSHHAAPAPPVPVSPAPVRPLSVSAPLPLPLLPPAPGTMQAYELSFIPAGLHLQATSMVDPDRRLLDLGGSFSSLLAPPPPPPQPLHFGGGSGSAGLAHAPAGLQQQPAPVSQALPEGFWGMGWPDLSI* >Brasy1G285300.1.p pacid=40055481 transcript=Brasy1G285300.1 locus=Brasy1G285300 ID=Brasy1G285300.1.v1.1 annot-version=v1.1 MMDQKTEDFSKSFRMRIALARAFFVEADILILDEPTKHLDFGACDWLKKRLLQLSNVVILISNRQDFSEVCKNVIEIHNNMLLQYSGTYSQYLLEKSKRKQNEDQDEVTLTDCRTAQCWNGFNFKNVSEGTSPVSLQCIALKFGYSQTYPIFNGINGITLGISLGSRVALVGENSSGKSTFLKLLNGNLCPSDGKVTTSGATVRIEYFEQNLADMFDQEEKVMPYMMRSTGYSEEDLKSAAYNFGLSEDVWGRPIKTLSDGQKARTALACMAAKKPHIMLLDEPSTHLDTEAIGFLAEGLKKWNGAFVLVGHDIRLINEVANVIWVCDKQAVEPLTEPIEIHIGEMIARAACARSLVKLHLPAPVFPQFSIGSYGDAAFPFIWYEKFVDSKGNCFNDTCVTLGSFKNKRYMVASAPCQSLQEGYLDIPGEIMSAKRYMVPTEAGKKVARTLLKPLWVLRKLDLCLSNFDSTNILINPSGRVRFRDVELMRTCPEQLNNNRLSAINILEDLFVIKNKRLKISCISLI* >Brasy1G076300.1.p pacid=40055482 transcript=Brasy1G076300.1 locus=Brasy1G076300 ID=Brasy1G076300.1.v1.1 annot-version=v1.1 MRLISDRRKRAAAFATRKRGLLKMASELSTLCTVPVAVVLGAPAAAGPNGAAPAPPHHHHHPPPEVWETEEGVLERYRALPAEKRAEHTHLRYLEGNLGKEEAKLARVLRKGPAALAPPDAELNGMSLDELRAVLESVDAALLATAERKKALGLPEHDDDNAVDPCIGESFVGLDGGYYEMEEPVDGRLDHQAIWDANAMNMMQPGPGYYGFPNMNIAQPACDARGFLLDMAPGLNGGNYHGQLAQGSFYSQQQQHQQQNAIAHPAYGGFQYTDGNTGYAAMRSSSHMQMPSNVNDDLTMWRTGEPACNAIVPAGWCPDLFTDNNLYTTAPGYLGTGNGGGDAMNYVGCNDTLYCSCNDFQCSGSGTTSQNSSNIEDLHYLRDGARR* >Brasy1G048500.1.p pacid=40055483 transcript=Brasy1G048500.1 locus=Brasy1G048500 ID=Brasy1G048500.1.v1.1 annot-version=v1.1 MARRTHMLLLLAALALLYTACSTAAAGAGALRTSAISASPSPSPSYQQLPRHGHSSALPPAPALSPDTMPLLPSPGPGDDALAPSTIPSSPSPPNPDAALDDPDSALLAPFSAAPVAQSPASPGPRSPAAAAMLVLTAVGLVASSDMWSA* >Brasy1G153700.1.p pacid=40055484 transcript=Brasy1G153700.1 locus=Brasy1G153700 ID=Brasy1G153700.1.v1.1 annot-version=v1.1 MEFSLASSLPLLFLLLLPLLCFLYLHRDPKKQPQANGLKVYPILGTLPHFVKNQDRFLEWSTAVMQSSPVHTMSFKVLGLTGGAITADPACVEHILKTNFGNYPKGELTVSMLQDFLGHGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVLERLLPLLERAGREGKTLDVQDVLERFAFDNICRVAFGEDPACLAEAEESMASSAEFMSAFNDAQNTIMARFMSPAKWLWRVKRALNMEPERRMRSALAAIHGYADKIVRERRERGDAGLVSRDDFLSRFAAAGEQYSDESLRDVVTNFILAGRDTTSSALTWFFWLLSTRPDVEAKLVREIRAVRAQNAHGVDAATTFSFDELREMSYLHAAITESMRLYPPVSADTHSCKEDDFLPDGTFVGKGWLMTYCAYAMARLESVWGKDCEEFRPERWLDEGSAFRPENPFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLERFSLRYVGGEGHPKLVMSLTLRMGGGLPMQVTTRGS* >Brasy1G024900.1.p pacid=40055485 transcript=Brasy1G024900.1 locus=Brasy1G024900 ID=Brasy1G024900.1.v1.1 annot-version=v1.1 MECGIGIIAKSAAEYEKRRDNFAKEIDVVIADVVMAIVADFMLVYLPAPTVSLQPPLAKNAGMIAKFFHNCPDNAFQIALAGRSYSVLQRLGAILRNGAKLFAVGTSASLVGTGVTNALIKARRAVDKELDDEVEDIPVVSTSIAYGIYMSVSSNLRYQVLSGVIEQRMLEPVLHNHKLLLSALCFAIRGGNTFLGSLLWVDYARMIGVQKAQEEI* >Brasy1G018700.1.p pacid=40055486 transcript=Brasy1G018700.1 locus=Brasy1G018700 ID=Brasy1G018700.1.v1.1 annot-version=v1.1 MDDDAGRRKKKWVAWAAAAAIFVVLMLVTPAIPQDEDYHDFADQRDLFLGIPNTLNVISNIPFFFVGVVGLILCHYKNYFRLCSQGELWSWTLFFAGVTAVGFGSSYYHLNPNNATLVWDRLPMTIAFTSIIAIFIIERVDERAGTKSLAPLVFAGALSILYWRFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYRWTHQIVSGHTLKHLCAAMVPVFLTLMLAKRTIEPERVSLLQMWKISWVSMRARRSRDNNTVDVNYDYAAVSTTPEQ* >Brasy1G175900.1.p pacid=40055487 transcript=Brasy1G175900.1 locus=Brasy1G175900 ID=Brasy1G175900.1.v1.1 annot-version=v1.1 MAAGGAVVVDEQKSSWPEVVNWVELFVAIKIHVDRPDVVVEFHTVGESVPPGFDGHRVRLFLAPGTADVAQTPVVG* >Brasy1G193600.1.p pacid=40055488 transcript=Brasy1G193600.1 locus=Brasy1G193600 ID=Brasy1G193600.1.v1.1 annot-version=v1.1 MNRILIPTPYGLYFDFLPPIFIPFPCLRALYKACKAKQGIGIPPRGHDNHEARRNGKHHNCPHTASVSSKPAGLAPPCRRRAELRFRRTRRFAGDRTFLPTVPLPNLTEAMQKETSSSDISASHVGRVRHRRRPNEVTTTGNGANGPALLVSDQNKYKSMLIRTYSTVWMIGGFAFLVYMGHLYIWAMVVGIQIFMATELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVTSDHLLYKAVSGLIKYQMFICYFLYISGFVWFILTLKKKTYKYQFKQYSWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANLMGRFQWLTCPRKDLSTGWLRCDPGPMFKPDHYFLGELVPQWFPWKEVFLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVSPHNFSVDTILDQIIRNLTYEEQKSLYQQLGEIFNERQFMQI* >Brasy1G193600.2.p pacid=40055489 transcript=Brasy1G193600.2 locus=Brasy1G193600 ID=Brasy1G193600.2.v1.1 annot-version=v1.1 MQKETSSSDISASHVGRVRHRRRPNEVTTTGNGANGPALLVSDQNKYKSMLIRTYSTVWMIGGFAFLVYMGHLYIWAMVVGIQIFMATELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVTSDHLLYKAVSGLIKYQMFICYFLYISGFVWFILTLKKKTYKYQFKQYSWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANLMGRFQWLTCPRKDLSTGWLRCDPGPMFKPDHYFLGELVPQWFPWKEVFLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVSPHNFSVDTILDQIIRNLTYEEQKSLYQQLGEIFNERQFMQI* >Brasy1G415900.1.p pacid=40055490 transcript=Brasy1G415900.1 locus=Brasy1G415900 ID=Brasy1G415900.1.v1.1 annot-version=v1.1 MAAAAAASSWWAGRMSAAFGAPFLWLVCLIYFVQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSVAYFPWSIKPIYGILSDCIPIRQRKRVPYLIISSCLSLLPWLIIGLSEPLRSSSNFLTLLLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPINIIYIIFSALPLFQLVSCIFVEESPKEFENMVNNIVHDHADDQSIDTAFAVKGSGESFKYEGTRRRKGAHKKSKRRSFAKRSEAHENHNKSISSRPYLSLKSAFFSLCTAFKQPAILRPMAWFFISNAAIPNISTVMFYYQTEALHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILVFAHVGLAIITVLDILLVSQLHIQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLSNTLGSFLGAALTSALNISSVQFDNLALGLGVQLIGTLLPIGFLFLIPREVTGLTP* >Brasy1G415900.2.p pacid=40055491 transcript=Brasy1G415900.2 locus=Brasy1G415900 ID=Brasy1G415900.2.v1.1 annot-version=v1.1 MKDMMKLSPSTSQFLVSVAYFPWSIKPIYGILSDCIPIRQRKRVPYLIISSCLSLLPWLIIGLSEPLRSSSNFLTLLLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPINIIYIIFSALPLFQLVSCIFVEESPKEFENMVNNIVHDHADDQSIDTAFAVKGSGESFKYEGTRRRKGAHKKSKRRSFAKRSEAHENHNKSISSRPYLSLKSAFFSLCTAFKQPAILRPMAWFFISNAAIPNISTVMFYYQTEALHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILVFAHVGLAIITVLDILLVSQLHIQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLSNTLGSFLGAALTSALNISSVQFDNLALGLGVQLIGTLLPIGFLFLIPREVTGLTP* >Brasy1G415900.4.p pacid=40055492 transcript=Brasy1G415900.4 locus=Brasy1G415900 ID=Brasy1G415900.4.v1.1 annot-version=v1.1 MADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPINIIYIIFSALPLFQLVSCIFVEESPKEFENMVNNIVHDHADDQSIDTAFAVKGSGESFKYEGTRRRKGAHKKSKRRSFAKRSEAHENHNKSISSRPYLSLKSAFFSLCTAFKQPAILRPMAWFFISNAAIPNISTVMFYYQTEALHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILVFAHVGLAIITVLDILLVSQLHIQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLSNTLGSFLGAALTSALNISSVQFDNLALGLGVQLIGTLLPIGFLFLIPREVTGLTP* >Brasy1G415900.3.p pacid=40055493 transcript=Brasy1G415900.3 locus=Brasy1G415900 ID=Brasy1G415900.3.v1.1 annot-version=v1.1 MGSGESFKYEGTRRRKGAHKKSKRRSFAKRSEAHENHNKSISSRPYLSLKSAFFSLCTAFKQPAILRPMAWFFISNAAIPNISTVMFYYQTEALHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILVFAHVGLAIITVLDILLVSQLHIQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLSNTLGSFLGAALTSALNISSVQFDNLALGLGVQLIGTLLPIGFLFLIPREVTGLTP* >Brasy1G393200.1.p pacid=40055494 transcript=Brasy1G393200.1 locus=Brasy1G393200 ID=Brasy1G393200.1.v1.1 annot-version=v1.1 LVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGTKPGGRGIGRGQDDGKGGGRGRGGIGSKGGNKGKAWPWVRGIIRCENAAFLRPVVVLHRLLRT* >Brasy1G393200.2.p pacid=40055495 transcript=Brasy1G393200.2 locus=Brasy1G393200 ID=Brasy1G393200.2.v1.1 annot-version=v1.1 LVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGTKPGGRGIGRGQDDGKGGGRGRGGIGSKGGNKGRGRG* >Brasy1G131900.1.p pacid=40055496 transcript=Brasy1G131900.1 locus=Brasy1G131900 ID=Brasy1G131900.1.v1.1 annot-version=v1.1 MASAAARLLLAVVVAAVFLRPAAAEIKQESFKDDSRDVILFEKFGFSPRGSVSISLTGASASSKLAKPDPTQLGFFILSDESLFEAIYQQPPPTDQNPNPDPNTGCVLSSPYVIPLFNFADLDASGNYNRTFPISHPDEYTLFFANCAPQTSVTMGVRTDMYNTNLDGTKDYLSVGQAPVPTIYAFFAFGYVVFLAVWLYVTLYHNRLSAHRIHHLMSCLLLARMLYCISAAEDQHYIRIAGTSHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMIVIPLQVAANIAAAVVGETGPFLQEWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYMFMFYMFKPAERNQYFALDDDEEEAAELALREEEFEL* >Brasy1G353700.1.p pacid=40055497 transcript=Brasy1G353700.1 locus=Brasy1G353700 ID=Brasy1G353700.1.v1.1 annot-version=v1.1 MASALTSVSLSTPATAAARGDRPQVAPARVTLRSMAMMGRGTVACRAGGPSTPPGISDKMSESIKEAQEACSEDSASGECAAAWDEVEELSAAASHARDKLKESDPLENYCKENPETDECRTYDS* >Brasy1G258500.1.p pacid=40055498 transcript=Brasy1G258500.1 locus=Brasy1G258500 ID=Brasy1G258500.1.v1.1 annot-version=v1.1 MASNVLAFSAFRVVSLLVCCVLAKGHMEVIPLTNGVGHRGRFLASNNVFNVLRYGAHGDGRHDDTKALEKTWAAACSSSHPGILLIPKGNKYLTKHVTFSGPCKSNIKLMIEGTLVAPQKRSYWIEQTIRHWILFKAVRGLTVTGGGTIDGNGKIWWQNSCKVNAELPCRQSPAALTFYSCTNLKVNNLELLNSQQIHMSVERCSDVSISRLSITAPSTSPNTDGIHIAHSKDVKVRDCAIKTGDDCMSIEDGTKNLHVKNIVCGPGHGISIGSLGDRNSEAEVANITIDGNIVFQNIIMDNVWNPIIINQDYCDSATPCKKQQLAVQVSNVLFKNIRGTSASREAIKLNCSPTVPCHGIALHNVRLTLKRGSGDAKSTCQNAQWRKLGTVMPHSCNLNN* >Brasy1G396000.1.p pacid=40055499 transcript=Brasy1G396000.1 locus=Brasy1G396000 ID=Brasy1G396000.1.v1.1 annot-version=v1.1 MIFYFQTVDTYYRRCSSASYVGNVSVPLLCVSALDDPLCTREAIPWDECRANKNIVFATTPNGGHLAFFQGLTAGRLWWVGAVSEFLCALHDSSCMHRQKAGDHVLHSSLESSIDKSPYVSIMGDGMVAPVTNDGSDNDDPPSNQIDNGLKLNNDEVNDTQQDENAHKSCISVDETPAKTPAGPAKQQGEEHYANKIHDAIDPVRRSINQLSRYQGKSVGLLAYIAFVTSWPLLGSLALIVFRKKFRKPSSAKL* >Brasy1G317000.1.p pacid=40055500 transcript=Brasy1G317000.1 locus=Brasy1G317000 ID=Brasy1G317000.1.v1.1 annot-version=v1.1 MRHHELKIGATTGAATPATAATGAPCPAPAKVKLKKPKIELTPDEAAEARAMESKKRRQRRVRSRAREAEAVAALAKEKEAMERQLQETAALAKEREDALRSLLLLGKLAAQGTPVSVVAAAVAASTESSVVSRPPRSRPTTPVVQGAPVGSSSQSDSPDTENWAPSAPAIDLNSSPMATTPIPMGKVPRPIPAPSVLPGGNLFDEMVGMATDDPSDPEYFMDPEQIMEDIIGGRDEGQGEEDTYSQWAEDTQAGVDMQDGVNI* >Brasy1G262800.1.p pacid=40055501 transcript=Brasy1G262800.1 locus=Brasy1G262800 ID=Brasy1G262800.1.v1.1 annot-version=v1.1 MVPLRLCRQLIPHSAAASRSPFAPAAAASCSSPRPISRRLRPLPALAMAAYGTGASAGRRLLFRQLFEKESSTYTYLLADVGDPDKPAVLIDPVDRTVDRDLNLIKELGLKLIYAMNTHVHADHVTGTGLIKTKLPGTKSVISKASGAKADHSVEHGDKIYFGNLFLEVRATPGHTAGCVTYVTGDSHDQPSPRMAFTGDALIIRACGRTDFQGGSSDQLYQSVHSQIFTLPKDTLLYPSHDYKGFTVTTVEEEVAYNARLTKDKETFKTIMDNLNLSYPKMIDVAVPANMVCGIQDPPSKV* >Brasy1G028200.1.p pacid=40055502 transcript=Brasy1G028200.1 locus=Brasy1G028200 ID=Brasy1G028200.1.v1.1 annot-version=v1.1 MHPCAGREQEGSLMKELSAASTWSPFLHRHPSTSSMSAASRARLGIVFRKEKSFFSQHTTLPTCPVQCTATVLLRLPRSSPFPSALAMDAAAMEALILELHAVEAYKFGTFVLKSGLTSPIYLDLRVLVSHPRLLSAVASLLGDLLPTRPYDLLCGVPYTALPFASVLSVARNTPLILRRYIDSAAMGTQGCFRAGQTVLIVEDLVTSGASVLETVAPLRAEGLVVADAVVVVDREQGGRENLAAHGVTLHSLMTLTEVLAVLVRHGKVSAEKAAEVKRFLDANRKVAVPMPPPKVVVRTAFAERARLAENPVGRKLFQVMEAKQSNLCVAADVGTTKELLELANKVGPEICMLKTHVDILSDFTPDFGGKLRSIAEEHNFLIFEDRKFADIGNTVTMQYEGGIFHISDWADIVNAHVIPGPGIIDGLKLKGLPKGRGLLLLAEMSSAGNFAHGDYTAEAVKFAEQHSDFVIGFISVNPAAWSAITPSNPAFIHAAPGVQIVAGGDALGQQYNTPHRAIKERGNDVMIVGRGIIKASDPAEAAREYRIQGWNAYQSSLPLPEG* >Brasy1G311500.1.p pacid=40055503 transcript=Brasy1G311500.1 locus=Brasy1G311500 ID=Brasy1G311500.1.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGGARSLSVFDNDLGCGSISPLIVTGGKSGDVALHDFRFISTGKSKHHRTSVGGSYSGMIWHIPKAHLGSVTSVSTIPNTTLFLTGSKDGDVKLWDAKNSQLVFHWQKMHERHTFFQPTSRGFGGVVRAAVTDIHVLSNGFVSCGGDGSVKLVQMSCSCKGWQYKDWTKMRYSLYFAP* >Brasy1G311500.2.p pacid=40055504 transcript=Brasy1G311500.2 locus=Brasy1G311500 ID=Brasy1G311500.2.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGGARSLSVFDNDLGCGSISPLIVTGGKSGDVALHDFRFISTGKSKHHRTSVGGSYSGMIWHIPKAHLGSVTSVSTIPNTTLFLTGSKDGDVKLWDAKNSQLVFHWQKMHERHTFFQPTSRGFGGVVRAAVTDIHVLSNGFVSCGGDGSVKLVQVKNDFAAIHQH* >Brasy1G311500.5.p pacid=40055505 transcript=Brasy1G311500.5 locus=Brasy1G311500 ID=Brasy1G311500.5.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGGARSLSVFDNDLGCGSISPLIVTGGKSGDVALHDFRFISTGKSKHHRTSVGGSYSGMIWHIPKAHLGSVTSVSTIPNTTLFLTGSKDGDVKLWDAKNSQLVFHWQKMHERHTFFQPTSRGFGGVVRAAVTDIHVLSNGFVSCGGDGSVKLVQVKNDFAAIHQH* >Brasy1G311500.7.p pacid=40055506 transcript=Brasy1G311500.7 locus=Brasy1G311500 ID=Brasy1G311500.7.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGGARSLSVFDNDLGCGSISPLIVTGGKSGDVALHDFRFISTGKSKHHRTSVGGSYSGMIWHIPKAHLGKFQRSHGTQF* >Brasy1G311500.6.p pacid=40055507 transcript=Brasy1G311500.6 locus=Brasy1G311500 ID=Brasy1G311500.6.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGGARSLSVFDNDLGCGSISPLIVTGGKSGDVALHDFRFISTGKSKHHRTSVGGSYSGMIWHIPKAHLVYQPFRIPPCS* >Brasy1G311500.3.p pacid=40055508 transcript=Brasy1G311500.3 locus=Brasy1G311500 ID=Brasy1G311500.3.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGI* >Brasy1G311500.4.p pacid=40055509 transcript=Brasy1G311500.4 locus=Brasy1G311500 ID=Brasy1G311500.4.v1.1 annot-version=v1.1 MGDALPQLPLALHPPHLIPPAPTPDHRALSFLPDLGGLPWVAYAAGSLLIVSHLPSPPRTSGSCTTEDESPFFRQVIDLHAPVSAVAWCGRGGGELAAAAANSVSIFQPAPSSSAGSFSWLLRWAITETFAVTAVAWTGSGDGILAVGGAVSMWARAESSWQLAWRSIPEVPQSLASSTHFVDGPVVAASAAAPAAGNVPVLVFLNDAKLGLEKAELPHPLPVSMIQWRSRVLCVNDPSEVRREILMTCCLDGTARLWAEDEVIRSNKQRASHRSFSVVAVIELNNILNGVLGVDITMRWVVETGSLILRDEEGKFKLFSDDSEQNQVGKCEWLVSVGPGHSTNFWAVHCLDDVCPPRYPRITLWKQSKPKAWEEPAINLGPRKPILSSIFVDAIISRRICSGPPTTCSLLHLLPDNSFIWSCLSFGLSSVSGSHTSSDSSKSISCCSTKTINQDGHKGTIIQVSVHPYSCEIELAVSMDSSRMLFLWSLSTLSTLMPTLHAPTYPLWKLLCKFDLRDISEDVQYSCLSWAPSVFRDNRFLVLGGENGADCFVFRIPKEGVVSHHKMFTIPFLGGSNVEGPPDSIHTIPLASNCNDPFFNNSFLLVCIWLKGFQALSWKVVLHSENHHPEGGCLCGFSASSLSTADQKRHVTYIHSEMFSAVIYEGSSVFPAGLDGEYPTCISVMSLNNTVLPLKQQDPSGSSPGYHIATGSSDGTVKLWKFSGADNPLQTGTESSIWELVGMFGAHHGPISAISLSRCGRIATVGRDVQKNSTSIHIWEAVKLMRDGCFLLEDALMIQSPVVGLNWLSLGDGRILLAVYFLHELHIYSPKHPSFQNVLHSANLKERHLWSCITVAHSHHGVAGFHWGPRATIVLVHKNHLSLFSSWLVRGGNEHITQKGVCSATNVHKELPCTVHFNETIFDTFGLSENYSNIDTIENNSTLLLDQHNNHCSNRLWSLLDISARLSGPLLSYHPRALIQSLYSGEWKRAYDILQHLVQSMKASKTLNTMVECTSCSKSCHDIPEFPLSQYFTDTPSSDISNKGLPWGDNKISTTFNLMSPSISFSYMESDLGVTTSSASHMSEINQLLDKDFGIFAISDIEKIQILAVSDLLGEITDQKRASPYKRLDEAGRRFWIAVQFQRLYLLRRSGDSSSAEGCHVDSASISWAFQSDCQDDLLNYVLPAESTWLEMRNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNVLLPGADEKGDHWLSSLLEWMLGNYSQSVNKLFGFHPKLLFDESNTLGGQNVFADPELGQYCAILATKNTFRNCVGEAPSAKLSKLSFAIAACALNKCGLPLEALEYLSSKSSIDDKESTSSAYCADDKILDGILNPFNASSNWLSASVISDLESNLKVTMASKYLSRMLRNHSLCSKCSLPLTKDKFLKEPNSHGIEELTCDVTAAISIFGKRFSLQFSEIAEKILTFSCNDGLLFLAYVLLVGSRSPYGGTNSHGLEGCNLRPIDYLFLVSCKESFNFLTRYVVSCCFMCSVLNVDFTNITTCTPTENKKYIIATLSHFLSTSRLLLKHDYSRTSALENTSISTVMDLLEYSIEFSFSWLCRDIKALLIMINPVLGASVNEESFQALLDRLMQAAHWKSHGISINTERIMPNGSLDKRQQETSEDSSLSVDEKWHLIDASLWIALSSFMKHHLTEFIGKERLKLEASTTSVAANFVIDSLNFVSSSLVKLHASFFRQKSAKNLHPSVLFWLEYMSCQPRSHRASYDQLSYIVQHANTENTDVLFNVLWNISANPLDICTAFVTEEVNSFPLNSRKPSRSWRDMVRSTKAECGNNFSERNGGETKCSVSSKNNDKEQGFIDKVSPDVETSLEPKRKYLIEQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQQGKTPAEYIWSGSDWPSDGWACSESTPTTTPTSAFISPSVGLSRRRGSHLGSDGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFIDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANVPPPYALASISAVQFDHYGQRFATAALDGTISTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLAAAGCNSNGANAVIWDMLAPPATCQNSIVCHEGI* >Brasy1G519100.1.p pacid=40055510 transcript=Brasy1G519100.1 locus=Brasy1G519100 ID=Brasy1G519100.1.v1.1 annot-version=v1.1 MARASLLCIFFVVIVSWIPAPLVLAEDDACRPKTCGNLTVSAPFGLVVEGSEENKCGLQMGFQVQCTDDVPYLAYYGRAFRLRILDIFYGNSSLLVSDVHKLGDFEPLRCHVPRADSAAKFGQPFSISPHNQNLVFYKCTKPPPADTVSRDGLVETVCRNNTFVRAGGQYNGDGGGRRLQTEDVRQPDRVGPVRARRRWSGGEQVRPADGVPGAVHRPCPVPRVLRPPVQAPHPRHLLRRLLLARLRRPQARRLQPFERQRVPCPQSRHRLQTRSPVLHQPTQPEPRVLQLHQDAGAGDGDPRWAGGDGVPQQHVRPRGRAVQRRL* >Brasy1G144300.1.p pacid=40055511 transcript=Brasy1G144300.1 locus=Brasy1G144300 ID=Brasy1G144300.1.v1.1 annot-version=v1.1 MLRRCSVFAAAVVAALALSAGMAAAQGPAGAPAPAGPGISEACMTAVLNMSDCLPYVQSGSTARHPDKACCPELDGLLQSNPVCLCQLLAGGADSYGVSVDLKRAMALPGTCRLNAPPLSACAAFGVPVGPSAAAPLTDLSPGATGPQMPENPPSSSPSKSGSHAPAAGFPAGGLVALAAIAAAAAAML* >Brasy1G455000.1.p pacid=40055512 transcript=Brasy1G455000.1 locus=Brasy1G455000 ID=Brasy1G455000.1.v1.1 annot-version=v1.1 MDHGGGGGRSSSRLRDRLARMFRPGSLLRSTCNNNTASASTSSSSSCSAATGAGAGSPAEASKLPPPSSACSSSRALLAADSAVARDRDYSFLASSRRDFAYATAAVGRTESFSHALDRVHRRAAAANPLPPTRFSVDASPLKKKEKQKSPSPLYGQQQQHDRRRLGTGRAKSDKSKKLLSNNPYGFSTSDDAHTDGDDVFSSDDADERRCKKQQGEAAFFSSSRSFSFSSDSSEFYTTKHNSKKPKPKPKPKKKSSASVAPKPAPTAARARRKHRAVAASSCDTCGVREGGFRPVVVSAAEEQVRRGFAVVQRSRDPYADFRASMVEMVVGRQLFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWLVLHGG* >Brasy1G178600.1.p pacid=40055513 transcript=Brasy1G178600.1 locus=Brasy1G178600 ID=Brasy1G178600.1.v1.1 annot-version=v1.1 MAAPRIDHFIHIQEPTTSPDSPAATVRRFHVDGGGSGFSWLSVAGMLAFLTFNSFMAVYRSKHDAATVVFVVTSYLDLVLLFCCLWLHDRATPGSAWRDRLKASVWTLTTLLTFSFAYMVVALLVWIIAAATGIGAFSAFFDRQLGRVNQPLDGLMLPPV* >Brasy1G367400.1.p pacid=40055514 transcript=Brasy1G367400.1 locus=Brasy1G367400 ID=Brasy1G367400.1.v1.1 annot-version=v1.1 MGRKKVTLQRIPNDAARHATFRNRHNVLVKKASELATLCNVKACVIVYGEGEAQPEVWSSVDEVVPILHRYKAMPEIGQCNKTVTQEDFLRQHMDKLRKQVHKAVQENRELDTACLVHKAMLGHLPRLEGLTVEEAANVGWMVQMKLKSLGDRIANLQVQMGLQLHARPAPSVTYAQQAPQQETWPNMVRPPQVHNGFTGGNDATSSMAHNNMASTNASGGFSWQWHAGTGNSSSSFHPM* >Brasy1G298500.1.p pacid=40055515 transcript=Brasy1G298500.1 locus=Brasy1G298500 ID=Brasy1G298500.1.v1.1 annot-version=v1.1 MIQSTPSRAPACTSDRESRSPEPFAFVILYGRGRIRFLGSAPRDCSLPCNHGSSTSSNRRVRNTPPSSSSTTSSSKHNSNGFLSTETYKNPTEAIVDAHRIILQQCERSKTVRRVIHTASVCAASPLREDGDGFKPFINESCRSPLNLTYGFTNDHLDSYVSSKSLSEKELLKYNERENPAFEVVTLACGLVGGETIQPILWSSIPIIVAPLTGNEAYHNAFKFMQALLGSVPLVHIEDACEAHLFCMDRPTPMAGRFLCSAGYPSMQDYVDRFAAKHPEHKILLKKVAGEGVRVQSDTNKLVDLGFRFRYGVEETMDCSVECAKRMGEL* >Brasy1G047200.1.p pacid=40055516 transcript=Brasy1G047200.1 locus=Brasy1G047200 ID=Brasy1G047200.1.v1.1 annot-version=v1.1 MLRRRHYIIASGWNCLLCTSPPEEDLDHLFFACQFSQQCWNDLGIQWQMGLPLTDRLSAAHLAWRNGLFWEVLVLAAWAIWKVRNACLFDNTTPSKATCRILLQTELKLLAHRSSKEKFKSKLNQLLQALAL* >Brasy1G222500.1.p pacid=40055517 transcript=Brasy1G222500.1 locus=Brasy1G222500 ID=Brasy1G222500.1.v1.1 annot-version=v1.1 MRVNAWVLVNLGRDARRGVLYLWPAWRARTGPHVVVGAGLRTELPPAVPPPVWAEVSCPIDVLVITPAANPALEPTELAEPGGRQGADDGCANAIPRRATAAACPRAPGALPPLARVPPPSLSRGAPLRLARCFPATRRRRRLPRVPLPLARDAPPPPLARARLGLARDAPPPPLSAPEWEWPWTSASTSTSTSPSDLVKLAYSFDRIKPPSNLAGVEVASSFSFSVAFPVSKPGEYTPVQEKEKGNQSGSFDMAPDRWARPSSSHAPLSCSRPPLAPRAQPWSRRRSPPPRLLCSRRPPRALLAARPARGRAARPAPCSPRALLAVALLAAVPCSHRAPRSLLAGAGPVKPRSSSGVVAAVVVVLAQRQPLLLSGSQVAAGALHQVVHGRTSVAASRSRPSARRTSGSARRPSASSPAGAGAEEEEPEQAQRAAHFGVGEEAFGFVSGGAGAGGADVGGGEAELRGGILARRVSSSSSPETWEPLSSRRAGGRREQSRRGGGERQRDQGRARGASGGSEQGEQSRRRTRQRAGGAGEQSRRRTRQRAGGAVRAGHGGEQRDREQGARRAVRGAGGASRAGAAAASGGATRAGRAARAAAASSSAARGKKTGGGGVSVRLQGHVVA* >Brasy1G052200.1.p pacid=40055518 transcript=Brasy1G052200.1 locus=Brasy1G052200 ID=Brasy1G052200.1.v1.1 annot-version=v1.1 MEGSSSSGSLCKHFILVHGLCHGAWCWYKLAPMLRAAGHRVTALDLAASGVHPARAHEVPSFEAYSRPLLDAVAAADDERSLVLVGHSFGGLSVALAMERFPRKVAAAVFLAASMPCAGKPMGVTIEEFFRRVTPDFFMDSERLVLDTDQGPQTAVLLGPKLLAAKLYDQSSTEDVTLARMLVRPGNQFRDDPTMKDEALLTAGNYGSVRKVYVVAMADACSTEEEQRWMVGLSPGTEVQEIAGADHMAMCSKPSELCNVLLRVASECA* >Brasy1G118200.1.p pacid=40055519 transcript=Brasy1G118200.1 locus=Brasy1G118200 ID=Brasy1G118200.1.v1.1 annot-version=v1.1 MEAAAAAVAWRGGALARARRPGARARLHGVGAGAGPGTRRRFPVVVSSLGERPSVPSRNAAVMVALEIALVDEDVASTAVLPPPPDVSLPEPDPVKTVQVKFVLQKQCAFGQQFIIVGDDPALGLWDPTKATVLDWSEGHVWTAKKDLPASKSIEFKFLLRDPSGQVRWQHGCNRTLQITDTCNVLVVHEDWDDAECQKVSEEVDVSIGADVIFAGSDDPLLDENQEHSNGVMTVVSTDGEKTSTVLADSSLLPKERMWVNGANQSQSTLEKDQKVPDVLRRRANMVAQNDSPVAAGGDHAGRNDGRIILYGEGAPAGNRLAGMFENDIVWIRKALQRLLRSVGLRIGTRKT* >Brasy1G536200.1.p pacid=40055520 transcript=Brasy1G536200.1 locus=Brasy1G536200 ID=Brasy1G536200.1.v1.1 annot-version=v1.1 MKSSTVVVTCLVLQAVMVMGVLADQHAPIEASKAVDINPGKLGCCSICNFSFSGLYTCDDVVNKCDPVCKKCAVVKTHPAKQFKCVDTFLGICDPPCGKKN* >Brasy1G213100.1.p pacid=40055521 transcript=Brasy1G213100.1 locus=Brasy1G213100 ID=Brasy1G213100.1.v1.1 annot-version=v1.1 MCLVNSPATVSTTSSQRGQIVSPESADRPPPDSDGFAEPPPPPPPPSVNTGEESDGRAAKRPRAEPGGDAAAQDGRDRISELPDAILVSILSCLPLRDAARSTVLSSRWRHLFDQSLLDFNACQPFPPEGGRGCDWAIHAIDSILAAPRHIPIRSFRFVMYGKGFIKHTGTVARWFRTLASRGVRELDVDMLYTVPKPPLPALLLQFASLETLRVCHCDLPDYAQAGALWLPVLRTLDLSNVGVTQHTLQAMLSHCPSLECAKLKNITGAGKICLRSNSLTRLYGDFGNLKELVVTDAPNLEELVGIGLPSGAATLKIDFAPKLQVLGYLGKSVRPLVLRDTIFDGGIVQSRTLMSSVKTLAIQVPFSENGYTVFVTQLLKCFPCLEVLYVEPDNRLDPRRVNVENWDTTTSIQCVEHTINKLVFECFEGEWYQWDFLTFLLGMARALKIVEIYFPKSKDWSSSRRQPVRSINRASQDVEFLVFRTYEPTNSMYLCHCCPARCHKQNKVLLPCEI* >Brasy1G279600.1.p pacid=40055522 transcript=Brasy1G279600.1 locus=Brasy1G279600 ID=Brasy1G279600.1.v1.1 annot-version=v1.1 MQKASKYIWNMGRREYMLESPSDSPPAQAIPCSWRRRRPATRPFATATVATWRCTTRGRDSSRGGAPMEVWHGVLLAQGGAGPGDRPATVMPPHAACHHSAQDERTGRSWAGSSRNYRRSKLLVFFLVFCKGFRFLTRIALLISKVKLILDLAFRYLEELV* >Brasy1G291200.1.p pacid=40055523 transcript=Brasy1G291200.1 locus=Brasy1G291200 ID=Brasy1G291200.1.v1.1 annot-version=v1.1 MPHHKKDGTESTRRSPEERRDEGDKAGRYHGKPHYAVPFSRCSVRCVRPLGHVTAVQKYAFWFRRCGVAGWLPVLLLETSSFSFCWPTRKGQSYWTGLWFSVSSLSRESERDAKQICLCVRAVVLSVVVVTRTGVIYPTFVSTSLLFSFFFSYLIIMF* >Brasy1G391800.1.p pacid=40055524 transcript=Brasy1G391800.1 locus=Brasy1G391800 ID=Brasy1G391800.1.v1.1 annot-version=v1.1 MSGVWVFKNGVVRLVENTGSERSSSAVRRKALLHTPSGQVVSSYAALEEKLTALGWERYYEDPEQYQFQKRATVDLISLPKDFNHFKSVHMYDIVIKNRDSFRVVDA* >Brasy1G503200.1.p pacid=40055525 transcript=Brasy1G503200.1 locus=Brasy1G503200 ID=Brasy1G503200.1.v1.1 annot-version=v1.1 MASGGRMRELARFCRQVHALLLKNLSFQRRNPATNAAIAAFPVLLCVLLVVIQTVVDHELDRPPFQCGCAQVGLDAYRARTECGIQHSTPTQALSCEVPAPPRWPALVQAPQPWARASTSAHPAPCSSSDANDPRPCPVSVLLTGLNRGLSQSLGRGLFPEIPPADLLSFYQNSNSSDYLEQLSKTVAGSSTLPAHVLFVEPGLVPNQTLYMIQPKCSWPPHNVSGVSDGMPLDLDVHCVQGLPLWCDNSSVINRHLLKGYKGANKRRRSNEFLAGYDFLDTSKGRFNVYVSYNSTFSRDNGRHAMTVLRVPRLVNMASKAYLKILKGVQAEMRLNFLKEMPKAAIKIRLDLTTLLDALFFTWTVQLLLPVILTHLVYEKQQNLRLMMKMHGLKDGPYWMISYAYFLSLSAVYMLLFVMFGSFIGLDIFRLNSYCIQFAFFFIYINLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGEALLQLFIEDTTFPRPWLVIMELVPGFSLYRGIYELAEYAAAGSHMGKSGMQWGDLNDPVNGMKDVLVLMSIEWILLLPVAFLLDHRPAWHPLFLFGILSTKHSSPSWRPGLVRQRSTKVFTDMSKADVFLERKVVKRLLKEMDIRNMIICHNLKKVYRGKNGNPDKQAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRKDMNEIYANIGVCPQHDLLWETLTGREHLLFYGRMKNLTGAALEKAVEESLKSVNLFHCSFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRNDLWNVIKRAKKECTIILTTHSMEEAEELCDRVGIFVSGNFQCLGTPKELKARYGGTRVLTITTAAEHEEEVARLIEDLSPDAAKIYGVAGTQKFELPKREVRLDSVFGAVAAARGRFPVQGWGVADTTLEDVFIRVAKEADAFDVLS* >Brasy1G503200.2.p pacid=40055526 transcript=Brasy1G503200.2 locus=Brasy1G503200 ID=Brasy1G503200.2.v1.1 annot-version=v1.1 MIQPKCSWPPHNVSGVSDGMPLDLDVHCVQGLPLWCDNSSVINRHLLKGYKGANKRRRSNEFLAGYDFLDTSKGRFNVYVSYNSTFSRDNGRHAMTVLRVPRLVNMASKAYLKILKGVQAEMRLNFLKEMPKAAIKIRLDLTTLLDALFFTWTVQLLLPVILTHLVYEKQQNLRLMMKMHGLKDGPYWMISYAYFLSLSAVYMLLFVMFGSFIGLDIFRLNSYCIQFAFFFIYINLQIVLAFLLASFFSSVKTASVISYIYVFGSSLLGEALLQLFIEDTTFPRPWLVIMELVPGFSLYRGIYELAEYAAAGSHMGKSGMQWGDLNDPVNGMKDVLVLMSIEWILLLPVAFLLDHRPAWHPLFLFGILSTKHSSPSWRPGLVRQRSTKVFTDMSKADVFLERKVVKRLLKEMDIRNMIICHNLKKVYRGKNGNPDKQAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRKDMNEIYANIGVCPQHDLLWETLTGREHLLFYGRMKNLTGAALEKAVEESLKSVNLFHCSFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRNDLWNVIKRAKKECTIILTTHSMEEAEELCDRVGIFVSGNFQCLGTPKELKARYGGTRVLTITTAAEHEEEVARLIEDLSPDAAKIYGVAGTQKFELPKREVRLDSVFGAVAAARGRFPVQGWGVADTTLEDVFIRVAKEADAFDVLS* >Brasy1G206600.1.p pacid=40055527 transcript=Brasy1G206600.1 locus=Brasy1G206600 ID=Brasy1G206600.1.v1.1 annot-version=v1.1 MSRLDAASLLLPSPPPIKPHRRGGRLLSSALAVLGGAVALIVFVLLPSPPIPPRNYGSLFLSLGSNDTAALHLRALTLHPHVAGTKANSLTAAYVLHALSSLSFPAHITPYSVLLSYPVHRSLSLSAGPGRGGGTKSFSLVQDTYPNDPYAPAAAEVIPTFFAYSASGSVSAEAVYANYGREEDFAYLASRGVDVAGKMALARYGRIHCEDIVYNARVAGAAAAVVYTDPLEYGGAPGEGSFPNTRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEDAMATDDMPRIPALPVSARDATEIHRVLGGAVAPAEWQGREDGPVYHLGPGPAVLNLTYAGNDTMATIENVFAVIEGEEEPDRYVILGNHRDAWTFGAADPNSGTAAMIELAHRFSMLQKQGWRPRRTIILCSWDAEEYGLTGSTEWVEENREMLASRAVAYLNIDVSVVGPVFQASTTPQLDELLLETIKLVQDPDNSSQTVYDSWVKSNVSQIGRLGDGGSDYSAFVQHVGIPSTNMIFGEGPGYPVYHSLYDDFVWMEKFGDPGFRRHVAAASIWGIMALRLADEEIIPFDYMSYTVELEKYTEVVEHDVRGTPISCSPLYNSIRALKRAATKVNSERKEILRELSSKQLSKGSSKIRGLNDRLMQAERAFTNREGIFKQEWFKHLIYGPLEQNDWDTSSYPGIANAIAAARSTNTSASWKLVEHEIHRVARAVMQASAVLGGSLT* >Brasy1G039700.1.p pacid=40055528 transcript=Brasy1G039700.1 locus=Brasy1G039700 ID=Brasy1G039700.1.v1.1 annot-version=v1.1 MSIMTAARERARLEYLNSQEPGDASQANAIDIVDRLLVDDDIETSQAITIDQISGTKSASTLGSKVAQCLAKRADRSCPVGVFDWYDTPNHDDCIISNISSENPRVHVKNGISYLDSQRCGGGYGSGTRAGAMLECIDEDSGSIYLKKPETAVAANDLYEAYDIGPNTQMAAEAMEALCNASTVSYDVRESDHLESSLGKEIEVDMVCSANSPIQKQKLTCLPQSSGGVTTEFNQLKVDGIERPNGGSSIPLTNCPSKSKTMKNTNQMTRKAKRSMESGVLGVTNNEASEVIMGSDPDDSNTRLLGKDAVIHPKRKRTCMLTSGSSKVEFNKATRSTTVRAKTTGVTELPAAKTICIFDPGTIKGTNMSRKSSLANHEVSDGNSADASVGITTRSKVRGIQKTSHSNQPEGTFSTRLVKQSTSEQKDNDSSFTSRVPLRKLNSTEPQSRTHTTKKPMERGLTKSAGSRELASLFSNEVSPVLQSSRRRKRHMSTVRVLFSQSMDSETIKEQTKILIHFGLPVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPSWLECCGEARCFIDEKKYIMRDAKKEKELGFSMPASLSQACKKPLLEGIRVLITPNAKPTKELLKSLVLAARGKPLERFTAFMKNKNFGGAFVISCEQDHNICLPFIKNGLGIFDSEVLLNGIVAQKLEFERYRLFGDKV* >Brasy1G381000.1.p pacid=40055529 transcript=Brasy1G381000.1 locus=Brasy1G381000 ID=Brasy1G381000.1.v1.1 annot-version=v1.1 MAGRSGVVVIDNGGGLLKAGFGGDKDPIAVVPNCIAKSPGSNANSKKWLAADQLQAQDIDVTGMTLRRPIDRGYLINTEVQREVWERVLRNLLQADPTNSSLLLVEPQFNPPGLQHATDELVFEEFGFKSLCVADAPSLVHLYEASQQPSLFHAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPHDLHLARLSFKDNPFRCSYILPDGITYKKGFVKDLDEARRYCSLPVDGESDRKFGDSDIDKSEDRKRPELSQNEFALTNERFLVPEMLFHPIDLGMNQAGLAECIVRSVQACHPFIQPVLFESIILTGGSTLFPRFAERLKIELGPLVPEDYHVKIIPQENPILGVWRGGSVLASSPGFESMCVTKSEYEEMGSARCRQRFFH* >Brasy1G281500.1.p pacid=40055530 transcript=Brasy1G281500.1 locus=Brasy1G281500 ID=Brasy1G281500.1.v1.1 annot-version=v1.1 MMAEHGAACMLAAALALGVLAAIPAVESIGVCYGVNGDGLPSASDVVELYKSNGISAMRIYYPDGDALRALSGSNIGVIMDVGNDQLGSLASDPAAASAWVQANVVPYQGAVDIRYIAVGNEVSGGDAAGSILPAMQNLNSALSAAGLAGIIKVSTAVSQGVTAGYPPSKGTFSSSYMAPIAQYLASTGAPLLANVYPYFSYVGTPGMDIGYALFTAQGTVVPDGGNGYQNLFDALVDTFYSALESAGAGGVAVVVSESGWPSDGGAAASVANAQTYNQNLINHVGQGTPKRPGAMEAFVFAMFNEDKKGGDETEKHFGLFNTDKSPAYSVSF* >Brasy1G164700.1.p pacid=40055531 transcript=Brasy1G164700.1 locus=Brasy1G164700 ID=Brasy1G164700.1.v1.1 annot-version=v1.1 MGWANTSLPQRNSSTTSNEHGLTFCQTLSPAPFSPETPSAVFPMASAAAKVVAAADAATSTRAHSLSRIFSSSSPNVQSPNPNPKPEPKIKRALTPKPPAADAGSDADHKLWKPLGYIIKGLLRERDPEKLVSDFVAASSASARFRDRHRVYEVAVSRLASFGRQDSIETILGAQKRFLETSTEGFATRLIGLYGRAAMPAQAAATFHELPAQLQSTMTFNAVLTAYCRAKEFDALATAFQDIPASHPLVVPSVYSYNILISALCQKPDLSAALNVVTLMEKCGVLPDNISFNTLLNGFSKNGRIDDAEAVWEMMKERNLEPDTKSYNAKLRGLVAEGRIEDAVAVVERMAKDGPKPDTVSYNELIRGYCSAGRLEDAKKLYDGLVKNECAPNRGTYETLLPRLLQAGELDCALRYCHELFSSNIKSCRVDCSLLQDVVTTLVEASRVDEAAKVVELGRKKYYPRKLLRMPHTGEDNEVQTETGEEESISEEKECEVEQEIEK* >Brasy1G164700.3.p pacid=40055532 transcript=Brasy1G164700.3 locus=Brasy1G164700 ID=Brasy1G164700.3.v1.1 annot-version=v1.1 MASAAAKVVAAADAATSTRAHSLSRIFSSSSPNVQSPNPNPKPEPKIKRALTPKPPAADAGSDADHKLWKPLGYIIKGLLRERDPEKLVSDFVAASSASARFRDRHRVYEVAVSRLASFGRQDSIETILGAQKRFLETSTEGFATRLIGLYGRAAMPAQAAATFHELPAQLQSTMTFNAVLTAYCRAKEFDALATAFQDIPASHPLVVPSVYSYNILISALCQKPDLSAALNVVTLMEKCGVLPDNISFNTLLNGFSKNGRIDDAEAVWEMMKERNLEPDTKSYNAKLRGLVAEGRIEDAVAVVERMAKDGPKPDTVSYNELIRGYCSAGRLEDAKKLYDGLVKNECAPNRGTYETLLPRLLQAGELDCALRYCHELFSSNIKSCRVDCSLLQDVVTTLVEASRVDEAAKVVELGRKKYYPRKLLRMPHTGEDNEVQTETGEEESISEEKECEVEQEIEK* >Brasy1G164700.2.p pacid=40055533 transcript=Brasy1G164700.2 locus=Brasy1G164700 ID=Brasy1G164700.2.v1.1 annot-version=v1.1 MASAAAKVVAAADAATSTRAHSLSRIFSSSSPNVQSPNPNPKPEPKIKRALTPKPPAADAGSDADHKLWKPLGYIIKGLLRERDPEKLVSDFVAASSASARFRDRHRVYEVAVSRLASFGRQDSIETILGAQKRFLETSTEGFATRLIGLYGRAAMPAQAAATFHELPAQLQSTMTFNAVLTAYCRAKEFDALATAFQDIPASHPLVVPSVYSYNILISALCQKPDLSAALNVVTLMEKCGVLPDNISFNTLLNGFSKNGRIDDAEAVWEMMKERNLEPDTKSYNAKLRGLVAEGRIEDAVAVVERMAKDGPKPDTVSYNELIRGYCSAGRLEDAKKLYDGLVKNECAPNRGTYETLLPRLLQAGELDCALRGIEGGRGCKGC* >Brasy1G273800.1.p pacid=40055534 transcript=Brasy1G273800.1 locus=Brasy1G273800 ID=Brasy1G273800.1.v1.1 annot-version=v1.1 MAWPPSLAPCSKDQALPTGSSEGSDVKAGGGPTKPTSIAKA* >Brasy1G381400.1.p pacid=40055535 transcript=Brasy1G381400.1 locus=Brasy1G381400 ID=Brasy1G381400.1.v1.1 annot-version=v1.1 MLRVWSRSASPSSPALSSAAAAATRSLLLRSRMQTPQVPPDGGHEPPCARVAETGDRAADALPPCSPSGDAREEYTCRRPAFQRQQVPNWGNSSVGRTRHVLYASYLSQNKQNYRCFSSSSDQERIGIGSRMIHNLPRFVKIVEVGPRDGLQNEKSTVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQLADAKDVMEVVRNITGVHFPVLTPNLKGFEAAAAAGAKEVAIFASASEAFSKSNINCSIRESLARYNDVACAAKKHEIPVRGYVSCVVGCPVEGSVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPVEKLAVHFHDTYGQSLSNILISLQMGVNVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVDLGKVMSAGEFICKHLGRQSGSKAAIALSNVTANASKL* >Brasy1G381400.3.p pacid=40055536 transcript=Brasy1G381400.3 locus=Brasy1G381400 ID=Brasy1G381400.3.v1.1 annot-version=v1.1 MLRVWSRSASPSSPALSSAAAAATRSLLLRSRMQTPQVPPDGGHEPPCARVAETGDRAADALPPCSPSGDAREEYTCRRPAFQRQQVPNWGNSSVGRTRHVLYASYLSQNKQNYRCFSSSSDQERIGIGSRMIHNLPRFVKIVEVGPRDGLQNEKSTVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQLADAKDVMEVVRNITGVHFPVLTPNLKGFEAAAAAGAKEVAIFASASEAFSKSNINCSIRESLARYNDVACAAKKHEIPVRGYVSCVVGCPVEGSVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPVEKLAVHFHDTYGQSLSNILISLQMGVNVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVDLGKVMSAGEFICKHLGRQSGSKAAIALSNVTANASKL* >Brasy1G381400.2.p pacid=40055537 transcript=Brasy1G381400.2 locus=Brasy1G381400 ID=Brasy1G381400.2.v1.1 annot-version=v1.1 MLRVWSRSASPSSPALSSAAAAATRSLLLRSRMQTPQVPPDGGHEPPCARVAETGDRAADALPPCSPSGDAREEYTCRRPAFQRQQVPNWGNSSVGRTRHVLYASYLSQNKQNYRCFSSSSDQERIGIGSRMIHNLPRFVKIVEVGPRDGLQNEKSTVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQGFEAAAAAGAKEVAIFASASEAFSKSNINCSIRESLARYNDVACAAKKHEIPVRGYVSCVVGCPVEGSVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPVEKLAVHFHDTYGQSLSNILISLQMGVNVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVDLGKVMSAGEFICKHLGRQSGSKAAIALSNVTANASKL* >Brasy1G515300.1.p pacid=40055538 transcript=Brasy1G515300.1 locus=Brasy1G515300 ID=Brasy1G515300.1.v1.1 annot-version=v1.1 MKRGRKKKHEELDGGGAVSTLLEPEAFVSEPEISSRSVYFAVCHRDWSAAQISSPLYKLDVALSNSDSEDSEDSREPPGRVKLRRIATLETDIIGKTFISMESAGWIIGIGGSNPGGTIIFDTKDNKVIRGPKLITEKWYPVVAAVGNKIYALSRRPDFVQEPDFVQEPDFVPWFEVLDLSKATITETEEGWLSLDACSWEALPYPLCFPCKLTPKAYRRPPCITVRSSVVVEPYILISLNQPTNYIYAFDTNTGKWHKIDDEYLPFVGDATQLGHGSSIFLASSCENGPINAYDIHVSVSGTGDISSVADKGGALKLSITVFSIRNKYGEVVCAKKGIITSLGEKHFCELLSFEGCGRYLVYDKETQESYPGKLYLQLCTYQTESRALHGETSEIVVSCQQELTSRIRRSCGFSSAPIAFALSI* >Brasy1G197800.1.p pacid=40055539 transcript=Brasy1G197800.1 locus=Brasy1G197800 ID=Brasy1G197800.1.v1.1 annot-version=v1.1 MQKGSSRSGSARHQHFRARAKTRVDDLQEMFSGLQYARKEARSTDAVVLEAQLHQMLREWRAELSAPSPASSLQQGNDRELSDPPSDTLRLLQLAAAEEEDDATSNLVEQQPPSSANQAHKHAQAVQDMKPEPREEVAPSVTVAQQPTSLGQGVMTTGGGMPTPATAVFHDQMYYVNQELTVEDFLYGDDYKINLPGSNPDVLSNLEGIGHLEYPQFNLSQELPSNVYIDMNNYGQNAGDVFLHMSELLTTMSPAPAAFLRPKCALWDCPRPAQGSESWQDYCSMYHAELAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYGGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKNAKSKLACNSLNEIQQQMVRLSADSPLENKRAARARTKDVNTNIYSVQNNTIQANDPNAYQTVSQVDQMTYLNGNVVYGPHLPYGYSTEGGDFYWNSNNGA* >Brasy1G197800.2.p pacid=40055540 transcript=Brasy1G197800.2 locus=Brasy1G197800 ID=Brasy1G197800.2.v1.1 annot-version=v1.1 MQKGSSRSGSARHQHFRARAKTRVDDLQEMFSGLQYARKEARSTDAVVLEAQLHQMLREWRAELSAPSPASSLQGNDRELSDPPSDTLRLLQLAAAEEEDDATSNLVEQQPPSSANQAHKHAQAVQDMKPEPREEVAPSVTVAQQPTSLGQGVMTTGGGMPTPATAVFHDQMYYVNQELTVEDFLYGDDYKINLPGSNPDVLSNLEGIGHLEYPQFNLSQELPSNVYIDMNNYGQNAGDVFLHMSELLTTMSPAPAAFLRPKCALWDCPRPAQGSESWQDYCSMYHAELAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYGGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKNAKSKLACNSLNEIQQQMVRLSADSPLENKRAARARTKDVNTNIYSVQNNTIQANDPNAYQTVSQVDQMTYLNGNVVYGPHLPYGYSTEGGDFYWNSNNGA* >Brasy1G309800.1.p pacid=40055541 transcript=Brasy1G309800.1 locus=Brasy1G309800 ID=Brasy1G309800.1.v1.1 annot-version=v1.1 MGEVKDNEVYEEDLVDYEEEVENAVDGTAANASVEVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICNEFERFSKYLSETRVAVFYGGVHIKKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPICKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G014300.1.p pacid=40055542 transcript=Brasy1G014300.1 locus=Brasy1G014300 ID=Brasy1G014300.1.v1.1 annot-version=v1.1 MPSPALCASSAARLLLLLKPPPTASPALLLAAVAPKKERLFSTGMAGEAGGVSGRIMPHLLNIYGSRATAKDFEIYAPHATFEDPLMRAHGVQQIKSAFYTLPKVFAESRIVEYTVQEKATGPGKSEILIDNKQHYKVFGKSVDLASLITLEVEDGKVVRHEDWWDKQPLNNRDTVSLPLAGRLAEAGRRGAMLLTHALMRFGKDPPTTPPP* >Brasy1G119200.1.p pacid=40055543 transcript=Brasy1G119200.1 locus=Brasy1G119200 ID=Brasy1G119200.1.v1.1 annot-version=v1.1 MDPPRPSDRDVTRRLSAPVIDARIMGLAETIVTGNRRDCCLVGACTYRAWSLVRILMAGSWIVYEPTNVLCRAKFMGATCIYRRIVS* >Brasy1G355600.1.p pacid=40055544 transcript=Brasy1G355600.1 locus=Brasy1G355600 ID=Brasy1G355600.1.v1.1 annot-version=v1.1 MSTGMDAMWTAAGSEDSAAELRRGPWTVEEDVLLAGYIKAHGEGRWNELARAAGLRRTGKSCRLRWLNYLRPGVRRGDFSPQEQLLILELHFRWGNRWSRIAQELPGRTDNEVKNYWRTRVQKHAKQLRCDVDSRQFRDVMRHLWMPRLAERIHAARAAATTSSASSTTSSEHAAATLHPMCQPNSSLVGRMSSDMSSPDTTTIWSTATARPSSGELFTSNQVNMAAAAPGCSADWSAGSASARSDDMFDGSWSELLARACDDGADSVVFPDFGLGEPRDDSWSLEDIWSQHQC* >Brasy1G263700.1.p pacid=40055545 transcript=Brasy1G263700.1 locus=Brasy1G263700 ID=Brasy1G263700.1.v1.1 annot-version=v1.1 MMSSPADTAPSSSSSAAAQQPSAQQSGPKERRMESLGWLTESAVMPKKHKAIEGVGAASLLDLKAQLYRTQEEARNSAAHDATGEFRRAKKRSGPADPLVAKNSGVDARAHKDKLELKAVKDGSVCYSALEKKAELYEKLSRGELPDEEDKEKYCVDFFQKSFYQVNEPRQPDRHAASEDTEPENECVDSMPNAKPMGLGRTGTTIDQDEHKRFVREVHEEVSEARDKASTMKSRRQEQDLARREKLRQAYLKKRLEKLIAEKQASSANDDQPAS* >Brasy1G007600.1.p pacid=40055546 transcript=Brasy1G007600.1 locus=Brasy1G007600 ID=Brasy1G007600.1.v1.1 annot-version=v1.1 MSIAASVQTHGGLAQLLLSCLAGDRLRRLLPLAHARAIVSGALPDLFLANLLLRGYSKLGRVRDARRLFDRMPHKNLVSWGSAISMYAQHGCEEDAVALFAAFRRASGSEAPNEFLLASALRACAQSRAVSFGEQVHGVAVRIGLDGNVYVGTALINLYAKVGCIDAAMLVFDALPVKNPVTWTAVITGYSQIGQGGVALELFGKMGLDGVRPDRFVLASAVRACSALGFLEGGRQIHGYAYRIAAETDASVINALIDLYCKCSRLSLARKLFDCMENRNLVTWTTMIAGYMQNSCDAEAMAMFWQLSREGWQPDVFACASILNSCGSLAAIWQGRQVHAYAIKASLDSDEYVKNSLIDMYAKCEHLTEARAVFEALAEDDAISYNAMIEGYSRLGDLAGAIDVYSKMRYCSLKPSPLTFVPLLGVSSSQSAIELSKQIHGLIVKSGTSLDLYAGSSLIDVYSKFSLVEDAKAVFNLMHNRDMVIWNAMIFGLAQNEQEEEAVKLFNQLRVSGLAPNEFTFVALVTVASTLASMFHGQQFHAQIIKEGADSDHHVSNALIDMYAKCGFIKEGRLLFESTLEKDVICWNSMISTYAQHGQAEEALCVFGMMGGTGVEPNYVTFVSVLSACAHAGLVDEGLRHFDSMKTKYAIEPGTEHYASVVNLFGRSGKLHAAKEFIERMPIEPAAAVWRSLLSACHLFGNVEIGRYATEMALLADPADSGPSVLMSNIYASKGLWSDAQKLRQGMDCAGVVKEPGYSWIEVMKEVHTFIARGREHPEADVIYSVLDELTSLLKNGGYLPDTSELTLLDGNG* >Brasy1G103000.1.p pacid=40055547 transcript=Brasy1G103000.1 locus=Brasy1G103000 ID=Brasy1G103000.1.v1.1 annot-version=v1.1 MVNPPELYHRILNVPRETSPQEIRAAYKCLAKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGACNDGRAFEKPSWTVGGGAGARMARTRSDEFCMRSAPATPAREFTKVYSSGNTGGRRAFAEFSSSIMRKAPPLERALECTLEELCHGCKKQVKFTRDVVTKNGSIVKKEVSQMIMVKPGWRKGHKVTFEGMGDERPGCLPADAVFTVSEKKHPVFKRSGNDLVLKAEVPLVSALTGWSFSFRLLSGKKINCSFQDEIICPGREKVIRGEGMPIIEQRGARGDLRVKLEIVFPEKLTDEQLTGLAEILKDCYA* >Brasy1G103000.2.p pacid=40055548 transcript=Brasy1G103000.2 locus=Brasy1G103000 ID=Brasy1G103000.2.v1.1 annot-version=v1.1 MVNPPELYHRILNVPRETSPQEIRAAYKCLAKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGACNDGRAFEKPSWTVGGGAGARMARTRSDEFCMRSAPATPAREFTKVYSSGNTGGRRAFAEFSSSIMRKAPPLERALECTLEELCHGCKKQVKFTRDVVTKNGSIVKKEVSQMIMVKPGWRKGHKVTFEGMGDERPGCLPADAVFTVSEKKHPVFKRSGNDLVLKAEVPLVSALTGWSFSFRLLSGKKINCSFQDEIICPGREKVIRGEGMPIIEQRGARGDLRVKLEIVFPEKLTDEQLTGLAEILKDCYA* >Brasy1G098200.1.p pacid=40055549 transcript=Brasy1G098200.1 locus=Brasy1G098200 ID=Brasy1G098200.1.v1.1 annot-version=v1.1 MLKYMLAGISHGESDEPVVATRDTSLFKLTVANLLCLHLTVSYKGAELSKFKLRTEVKKMFCDMKSLFCPRAYFDPCHLAIQVNQELSGYFPIDSCFR* >Brasy1G082000.1.p pacid=40055550 transcript=Brasy1G082000.1 locus=Brasy1G082000 ID=Brasy1G082000.1.v1.1 annot-version=v1.1 MLVVDTREASPQCEANEELNHWRSLFRYAKRGYTNDKATVSDFSPLICGARKGKMPQILQCSCSSQQQLAGDCQFVTCPICSKKKYPILGV* >Brasy1G421700.1.p pacid=40055551 transcript=Brasy1G421700.1 locus=Brasy1G421700 ID=Brasy1G421700.1.v1.1 annot-version=v1.1 MATCGNVSFTASVWGPKQYILAAVATTLAVSAVAIVTSVVLSPARVVFSVTVTGAVVPVPSGGVLLNFTLDAANPSRRAGVEYGSLTARLRLHSASHRAAGWAQTEELPRASAFFDRAFVALNFGGGDGVTGAGPAVQGRAPPMSVMVAAQVWFKVGVAYSRQYDVEVICQPVYFSGAGHEHAATTIACVA* >Brasy1G290900.1.p pacid=40055552 transcript=Brasy1G290900.1 locus=Brasy1G290900 ID=Brasy1G290900.1.v1.1 annot-version=v1.1 MQRQSGAGAAGSSRARRVAHRTRDSCAAVFANTLCSVILGVLLVAGVALFVLWLSLRPHRPRFSLASFSITGGQVAFNVSDRNPNRHIGIYYDAATRAEVRFYDALVAAGPAFADGWYQPNMSTVSITGRLDVVGPGTRDPSWPSFNAALHAGRLPLRLQLSTAIRFRVNVFHSGRQRMHVNCELVVGADGNLLPESVGVPCDRYF* >Brasy1G397600.1.p pacid=40055553 transcript=Brasy1G397600.1 locus=Brasy1G397600 ID=Brasy1G397600.1.v1.1 annot-version=v1.1 MMASMATITTTTGASTPNSSSSSTNKKKMMYELAARDIYYAKPAASSAVLVARRLLTMRPCGAAPDYILRGVSLTARAGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNAAPLRSSSFRRLSAHVPQADAALSLLTVAETFSFAASLLHSTASSSSAVASLLADLRLAHVAHTRVCASRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAFVVVGCLRAVAAARGTTVVLSIHQPSARLLSAVDSLLLLSRGTVLHHGSLASLDAALLSHGLAVPPQLNPLEFALEVIDQIPHPSPSSCPTEQPSWSTTQDLNNLTKSSSSDLSSSYHHRKAAMAAPCSRAREFAVLYKRAWKVVYRSKQLLMTNFLEAVVVGTLLGSIYINAGYGEAGEHKRLGLFAFALTFLLTSTTETLPTFVTERPIVLAETSAGLYRLSSHAAAATMVFLPYLLAVALLYSSCVYFLAGLCASPSAFAVFVLVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSTPTYWVFMHYASPYKYALDAMLANEFSCAANRCFGVGGDDECSQTGRDVLAARGLTPEERWTGLQVLFGFFLLYRVLYWAVLSRRASRAKR* >Brasy1G063400.1.p pacid=40055554 transcript=Brasy1G063400.1 locus=Brasy1G063400 ID=Brasy1G063400.1.v1.1 annot-version=v1.1 MECNRDDAIRSKEIAERKFREKDFAGAKKFALKAKALFKPLEGIDQMIVALDVYLKAQKKIGGDNDWYDILEVSVLADEETIRKQYKKLAFQTHPDKNSFIGADGAFKLVSDAWNVLSDKSKRKLHDQRRYMGSLGVCQNNSHVNVGGTSRSSMPSTNGFCSQSAGPASPANIPQHNVPMPRTFWTCCFSCRMNFQYPVTYMSQYLKCPSCRHVFIAIEVPPPPAPDHRNEPVSMDSNTNMGGTAIPHDAMPGTGGSSGSQNHDPMLPRCSFPRSAAGAHTSTHPVQRTDGTFPGSSLGSSIPVANACPRKFTATKEKEVAQKRYKKVVLPSTCSGPDGDSSSQVHAATKETEVAKKRYKKVVLQSTSSGLDGDSSSKMHTAKRKPRSSDQTSGKKRRKESCACLNGESAGTSFSKVIQQLDNRSILIDKMKLQLGNKLEEFKRKKASVEEDNAKKLASKTASSEDKERMQSSKQVDFEEMESWEWTKPEIRFVYTRRNLKDQKTSSDESSDEMPVPDADFCNFGDHPESSFQKDQVWATYDEEDGMPRYYALIRKVHTTRPFKIRLAFLKADDCDEFGTSNWISCGYSKTCGDFKPGAYKDIDQLNIFSHVVTSEKGPGRIIRILPTKGDIWALYQNWSADWDEFTPDETMYKYELVQVIDSYSPSEGISVMPIVKVPGFVSVFKPLLDHTKSRRIPKEEMMRFSHQVPFHVLTGEEAQNSPKGCYELDPGSTPKELLQVVPI* >Brasy1G152800.1.p pacid=40055555 transcript=Brasy1G152800.1 locus=Brasy1G152800 ID=Brasy1G152800.1.v1.1 annot-version=v1.1 MDFGDDFPEGSDSQGQQQNNRRKRYHRHTPRQIQTLEGMFKECPHPDENQRAQLSRELGLEARQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMSEALKNVICPTCGGPPVGEDFFDEQKLRMENARLKEELDRVSSMASKFLGRPYSLSQMPHGTPPMSVNSLDLSMGSLGMGGQPLGVGGIGGGPTPQDLELLGAGAGSSEIPPFQMPAPVSEMERPVMAGIAARAMDEVIRLANAGDHVWIKVPGGDGYETLNLDAYDSLFGKPGSSSSFRAGDIRVEGTRHSAHVFMSAAALVEVFMDSNKWMEFFPSIVANARIVDNLVNGLDGRNESLILMYEEMHMLTPLVQSREFSFLRYCRQIDQGMWAIADVSVDTERDVQFGVPSRSRRLPSGCLIADMANGCSKVIWVEHMEIEDKAPIGVLYRDMVTSGAGFGAQRWLGALSNACDRYVALAALAVMNAADLGAVTADGRRSMMKLSQRMVANFCGALTANQLIVWTTIPGGANDMNVRISLNRVDEPGLPNGVVLSAASAVWLPVPCDHVFVFLRDVNTRNQWDVLTHGNTVQEACHIPNGSDPANCVTLLRGVNASHDSMLVLQETCADPSGSMVVYSPIDMPAVNLVTSGEDPAAIPLLPSGFIILPDGRNSVSAGAGSSSGGLAGCVVTVAFQILISSLPACKVSSESIATVGGLINTTVANLKTALNCG* >Brasy1G506800.1.p pacid=40055556 transcript=Brasy1G506800.1 locus=Brasy1G506800 ID=Brasy1G506800.1.v1.1 annot-version=v1.1 MAAFLRSKCSSVGRTLMGSLGNNLYGGVNSSVESVAMPSHCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGEVVYGVVVRAAMKKGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV* >Brasy1G500000.1.p pacid=40055557 transcript=Brasy1G500000.1 locus=Brasy1G500000 ID=Brasy1G500000.1.v1.1 annot-version=v1.1 MASPFPSLAGLLAPRPVLLYAATWTTVATMAVAVAAFAPELAFVWGVAPGAPLTAACPTDFTGGGSIGLPLDGPPWDAVCVPTALFGRAVPDVLVPLVFAIAVVASALGFTTAVGVWEEDDEDDQEGHAGQV* >Brasy1G377700.1.p pacid=40055558 transcript=Brasy1G377700.1 locus=Brasy1G377700 ID=Brasy1G377700.1.v1.1 annot-version=v1.1 MKRAHNSSRFSLSWDLEALVEGQFQCRALVSVSQKPNIRNILRNILSQVGYVAPMKAIMKTQNEEKYLQALQEFLANKRYFLVIDDIWDETTWNFIKCALPESKNGSRVITTTRIDTVAKACCSNQFEHVYKMKSLSSQDSRRLFLKRIFGSEDAGPSNLEEVSTKILKRCGGLPLAVITVSSLLASQQNKLKENWEHVLNSLGTRFELNPTLEGMRQILRLSYIDLPLYLKTCMLYLGIYPEDYTIDKNDLVRQWIAQGFINKGVGLHPEDVAESYFNELVNRSIIQPVNTDCNGDVLSCRVHDIMLDFILHKCREDNFITVIDNLQAMIGLPDKIRRLALYLDGMKDGTILGTSCLSQLRVIAKFGTSTYAPHLFEFKHLQVLALEFPDSNHLPMILDLTGLCHLFQLRYLKVVAAPYDKILLRRKIEGLGWLETLEIRTCQVELPSDIVHLRRLLHLILPDKISLPNGIRSMKSLHTLRQFNLDKNSLGTIRELGDLTNLRDLEICSSWKQLDDMEKRQSIDVLSYSLEKLYNLKFLCVNSSLRSVCLDALSSLSASHRLLQRLHLRCLLSRVKEVLEDDVRILAQLPSLIHLKLHIEGTPKENIIIHGMGFPVLKHFTIRCIEISFLTFLAGAMLKLQKLNLGFNAKEWGKYGAAPSGIEHLLCLKEVSVHIGCGPFDAQSDRTAAHSVLRQAIDVHPSRPPSFKQRSNLENYNDTTTKTPFRGPLPVA >Brasy1G377700.2.p pacid=40055559 transcript=Brasy1G377700.2 locus=Brasy1G377700 ID=Brasy1G377700.2.v1.1 annot-version=v1.1 MKRAHNSSRFSLSWDLEALVEGQFQCRALVSVSQKPNIRNILRNILSQVGYVAPMKAIMKTQNEEKYLQALQEFLANKRYFLVIDDIWDETTWNFIKCALPESKNGSRVITTTRIDTVAKACCSNQFEHVYKMKSLSSQDSRRLFLKRIFGSEDAGPSNLEEVSTKILKRCGGLPLAVITVSSLLASQQNKLKENWEHVLNSLGTRFELNPTLEGMRQILRLSYIDLPLYLKTCMLYLGIYPEDYTIDKNDLVRQWIAQGFINKGVGLHPEDVAESYFNELVNRSIIQPVNTDCNGDVLSCRVHDIMLDFILHKCREDNFITVIDNLQAMIGLPDKIRRLALYLDGMKDGTILGTSCLSQLRVIAKFGTSTYAPHLFEFKHLQVLALEFPDSNHLPMILDLTGLCHLFQLRYLKVVAAPYDKILLRRKIEGLGWLETLEIRTCQVELPSDIVHLRRLLHLILPDKISLPNGIRSMKSLHTLRQFNLDKNSLGTIRELGDLTNLRDLEICSSWKQLDDMEKRQSIDVLSYSLEKLYNLKFLCVNSSLRSVCLDALSSLSASHRLLQRLHLRCLLSRVKEVLEDDVRILAQLPSLIHLKLHIEGTPKENIIIHGMGFPVLKHFTIRCIEISFLTFLAGAMLKLQKLNLGFNAKEWGKYGAAPSGIEHLLCLKEVSVHIGCGPFDAQSDRTAAHSVLRQAIDVHPSRPPSFKQRSNLENYNDTTTKTPFRGPLPVA >Brasy1G460200.1.p pacid=40055560 transcript=Brasy1G460200.1 locus=Brasy1G460200 ID=Brasy1G460200.1.v1.1 annot-version=v1.1 MAAAASSLAKRAASWRRLLLSRAFGTAPPKRVLVPVAAGTEPVEAAATADILNRAGARVTVATVASAPAGDEGLLVEAAYGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCRVLEKMVKTHAEKGGLYGAICAAPAVTLAHWGMLKGLKATCYPSFMEKFTTEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVEQLYGKEKMDEVAGPLYVRPQHGAEYTIEELNPVEWKWGGTPQILVPVANGSEEMEALNLIDVLRRAGANVTVASVEDKLQIVTRRHKFNLIADMMLDEAAKMEFDLIVMPGGLPGAQKFASTEKLVALLKKQAESRKPYGAICASPAHVLEPHGLLKGKKSTAFPPMAHLLADQSHCENRVVIDGNLITSRAPGTATEFALAIVDKLFGREKAVSIAKELVFM* >Brasy1G506600.1.p pacid=40055561 transcript=Brasy1G506600.1 locus=Brasy1G506600 ID=Brasy1G506600.1.v1.1 annot-version=v1.1 MTASRRCPACPTPSPVSSRTTAMATWRSQPLPLATSSPPPAPAAGPSSTTAAAPSPPARSCAPPRPTCSSSPVGDHLFFAISAYPRMDDPKGPLFETLQQLRPGGLWAWSAVPDPPGLAKRQGRERKDVTAYFVSGARVYVSLERQGTYSYDTARRRWRSEGAWELPVTGRAVLVPDFLGTGRRLLFGFRSSDCLMHPLCVVDMDARPPAVIASWPEAVCPPKAWRAGYKVCPYIAQLSYFGGGRFCISVATHNCDKPVKRSVVSFTAVQLTPELHRIKGQTCCYLIPESSRGTGADVF* >Brasy1G366200.1.p pacid=40055562 transcript=Brasy1G366200.1 locus=Brasy1G366200 ID=Brasy1G366200.1.v1.1 annot-version=v1.1 MPQPQPGVASPHLQQAPTGAPPSRRLTRRSRLGYLPVMAAGANSLQLCLPVLDFLPFYLPVPQIKQMGRTCNTGFMFSDF* >Brasy1G376500.1.p pacid=40055563 transcript=Brasy1G376500.1 locus=Brasy1G376500 ID=Brasy1G376500.1.v1.1 annot-version=v1.1 MGSSVSHAAAPPPPPPGYSAPAPAYTAPPPYAAVNDPLLMPQAEAKEEEEKVDYLNLRCPVPYEEIQREAFMALKPELFDGFRFDLNKVFGEKFALNHSLSMGSLEIPSQGGDVIKVPTSSYEFGASFVDPKVMLIGRISQDGRLNARVKFDLPSGFCLKINAQLTNEPHYSQGMGTLDYKGKDSRSQFQFGNNGFFGGNYIQSVTKNLSLGTEAFWFGEQRKSGVGFLARYDTKKMVATGQIASTGVVSLSYVQKVSDKVSLATDFMYNHMSRDVTASVGYDYILRQSRLRGKLDTNGVVSALLEEQMAPGLNFILSAEVDHWKKDYKFGFGLTFG* >Brasy1G376500.2.p pacid=40055564 transcript=Brasy1G376500.2 locus=Brasy1G376500 ID=Brasy1G376500.2.v1.1 annot-version=v1.1 MGSSVSHAAAPPPPPPGYSAPAPAYTAPPPYAAVNDPLLMPQAEAKEEEEKVDYLNLRCPVPYEEIQREAFMALKPELFDGFRFDLNKVFGEKFALNHSLSMGSLEIPSQGGDVIKVPTSSYEFGASFVDPKVMLIGRISQDGRLNARVKFDLPSGFCLKINAQLTNEPHYSQGMGTLDYKGKDSRSQFQFGNNGFFGGNYIQSVTKNLSLGTEAFWFGEQRKSGVGFLARYDTKKMVSLATDFMYNHMSRDVTASVGYDYILRQSRLRGKLDTNGVVSALLEEQMAPGLNFILSAEVDHWKKDYKFGFGLTFG* >Brasy1G378700.1.p pacid=40055565 transcript=Brasy1G378700.1 locus=Brasy1G378700 ID=Brasy1G378700.1.v1.1 annot-version=v1.1 MEIAYDLAQAGATASIVVRSEIHLVTREIWSVVMALAKYLPVWVLHKLVLIMCRLVFGDTSEHGFRRPAMGPLSMKLQTGANPVMDVGAYGKIKRGDIQVLPAMKSVHGDAVEFADGSRHLFDAIVFATGYRSTTKRWLESEGGLIGDDGLAARRYPEHWKGEKGLYCAGLARRGIPGSCVEAELIAEDIASMLYHHRRLPGPGI* >Brasy1G086400.1.p pacid=40055566 transcript=Brasy1G086400.1 locus=Brasy1G086400 ID=Brasy1G086400.1.v1.1 annot-version=v1.1 MTYLDCKSVHDPVRKSWYEVSHIDTQCKLPGATYKYGLFADALNLDVVGATFFEKYLYCLWWGFRNLSSYGQNLQNSTYSGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLAKELMKQEGLLCGFDDGPGGDGSGVVDSDSAPLLGDYGKDGAASAAAEGGGAGAAGGGGGSHLGVTFLASKFAKNTKKGAHQKVVAPHDVSSIKLPKLAKPDEPDFSLHTEDAL* >Brasy1G345600.1.p pacid=40055567 transcript=Brasy1G345600.1 locus=Brasy1G345600 ID=Brasy1G345600.1.v1.1 annot-version=v1.1 MTDNGKTKSGSAAYTINLENFSKRLKLFYDHWNKNKSDLWGSSDAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGVLKNAANEAVGADIILHVKGKNGDGIDLMDDILHAVCAQSKSDTPVVGHIAKEAPEGKLLETWAEKLSGEPVQLADVTNGFSELFAVKDATEVICVKKAAYLTSSVMKNFVVPNMEKVIDEERKVSHSSLMDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGSRYSNYCSNVARTFLIDATPTQSKAYETLLKAQEAALAACKPGNQMCAVYQAAVAVFQKNAPELLPNLTKSAGTGMGLEFRESGLNLNPKNDRLIKEGMVFNVCLGLNNVQAETNNEKTKQFSLLLADTALVSDKTVEILTNCSKAVKDVAYSFNEDEEDVPKPKRTKVEPNGLEAVPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGDGRGPARASNELVAYKNVNDVPYSRELVIQVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASTKTKQMRLNDVWIRPPFGGRGRKLTGTLEAHVNGFRYSTSRTDERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQPQFKGLDLEFDIPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFVDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEEDDSDSASLVESDEDEEEDSEEDSEEEKGKTWDELEREATNADREHGAESDSEEERRRRKVKSFSKSRPSSDRSNLSSISKPRPPERGNGSSSKPRPQPERGSGSKSRPPPGSSKGGPSKKPKFK* >Brasy1G533200.1.p pacid=40055568 transcript=Brasy1G533200.1 locus=Brasy1G533200 ID=Brasy1G533200.1.v1.1 annot-version=v1.1 MGVGTSKLFHRSADCRSCSSLVADWTGLFFFSPVTWLPDTPIECWWMDKIDEAKRSLDKFKARGAASLFLLSLWSIGRERNNRIFNANHSPAEEILMVIKNESALWHLIDNKGIGALLSSPDDFP* >Brasy1G377600.1.p pacid=40055569 transcript=Brasy1G377600.1 locus=Brasy1G377600 ID=Brasy1G377600.1.v1.1 annot-version=v1.1 MLLVLSKGRQSVLLLTSCIHWCVYPWTASAMHKYSRLLWHRFSNRAILPSLTAIHLHVVNFGTHPPPTRSRKHLGRDIHDALRVLLLVPGKAFNGKAEGPSHSRLINDCMHDILGVQSKNHGRHNGKMQFVHVSSNPTQNLLDIIDLRDISACYSMNKFTKENNFMLLVVMRRRGISADISILASAISFCALKQSIRGGAQLHALLVKVGYDLAVLSGTSLISLYARCYQLENAYQVFQNMPVRNVVSWTALISGYAQDNQVEPCLQVFQLMRQSACRPNDITFATIFSVCTNHALLGLGRSVHGLELRMGFDLCVHILNALISMYAKCGSIDEAQFIFQSIACKDLVSWNSMIFGYSQYGLAEHCLKLLKEMEKEHIVPDVISFLGILSSCRHACLVEEGRRCFKAMMKLGIEPELDHYSCMVDLLGRAGLLDEACDLIHTMSMTPNAVIWGSLLSACRMYGNIPIGIHAAEHRLKLEPSCAATHVQLANLYASIDCWSDVARVRKMMKERGLKTNTGCSWIEIGSKVYTFTAENRSKSQVNNVLSVLDCLQSHMEYKYDVMIDVLEFDDPEHFKV* >Brasy1G073500.1.p pacid=40055570 transcript=Brasy1G073500.1 locus=Brasy1G073500 ID=Brasy1G073500.1.v1.1 annot-version=v1.1 MRITGGHAAPALGEEAAAVVMRAAGLATRRGHAQVTPLHVASAVLSASPALLLRSSNPSSNNPHQYCSALGACLGVALDRLAVVLVTHYHAHVPAPSNALVAVLKRAQQARRRGDGGCELERLVASVLDDPSVDRVVRAAASFADSLQVADAHAAVALEQSNRGADHCDDDQTNSPTPSQASHGTAHAGAAFFDDTEYGTPVATLPPWFRRYQDTLLAGSTHVTHGAASLQADAQAARHHRGQWKFTEITAENLKTLCGTLELRVPRQHRGVVPGISSVVLRRRSGMARNKPSATSSPSTATWLLFRGSDNGGGKAAVARELARLVFGSYSEFTALECNYSGSGDLGLERQRPTYDDDNDGCGFVGERLFEAIRENPHRVILVNSVDRLDRDSETRIKNAAKEGTMRGCNGEVVSLEDAIVVLTTSYGAAVDSSSSAVCSPGLKKRRIGTQDREEGGDALEMGVRSRRFSFDLNACADEDGDEEEEDDFTDEAGIVDVVGGVFCFD* >Brasy1G121300.1.p pacid=40055571 transcript=Brasy1G121300.1 locus=Brasy1G121300 ID=Brasy1G121300.1.v1.1 annot-version=v1.1 MLTLHTVRTSLPSPHRSSAHRRAPLSRKRSSVCACSSEDAGSGASSPHGGDQRQQEVLAKIAMLQTQKVRITNFLDERSAYLTKFAKDADTEFDLIGQNAMKELDQVGDQIMERLESKMQAFEETAEIQRQEIEMNERVLEDFEDWIEVEKNEGMFFKSLGKVKPQNKEEIKVKAKIEAQKIRDIAKESAGSKTRMNIYLALMVILGLTIANAVFATPDVEWRKVAGLGLIFIGLVTQVIYEQDMSPSEAEKTEKRDE* >Brasy1G399300.1.p pacid=40055572 transcript=Brasy1G399300.1 locus=Brasy1G399300 ID=Brasy1G399300.1.v1.1 annot-version=v1.1 AVRLPHLLPPVYLSTPPPKLGPGGWRCLHRHFAVAMRPHRSPHLCRPAPPRAGLAPAASSAVEAPRSAALIKPSPKPPTLPSWTGRRSLGQEERDAAMQASQDGCCSSAAASATFAPVLNRSQSILSRDAMKN* >Brasy1G010200.1.p pacid=40055573 transcript=Brasy1G010200.1 locus=Brasy1G010200 ID=Brasy1G010200.1.v1.1 annot-version=v1.1 MAKLPIVGRSAARKPNESMRLVVVTIVGVVFGFFIGISFPTVSITKLHFPSSIVSYIEDKDTGLTAQAILNHAWTAARNTRGNGTSEPSSNTTMKIYVPTNPRGAERLAPGIVVSQSEYYLRRLQGDPDEDLPFKPKYLVTFTVGIAQKDNINRAVKKFSDDFLILLFHYDGRVSEWDEFEWSKRAIHISALKQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGLEHFNAEEYIKLVKKYQLDISQPGLEPDKGLTWQMTKRRGDREVHKDTEERPGWCTDRHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGRAENGKAPWEGVRERCRKEWGIFQTRIAEADKAYYEMMGVTPPNVTFVH* >Brasy1G166200.1.p pacid=40055574 transcript=Brasy1G166200.1 locus=Brasy1G166200 ID=Brasy1G166200.1.v1.1 annot-version=v1.1 MQKPGQPFSIPRPSSSPIRCTMGTDRVSSVQFEDFLPTMARKLGAEGLIQELCKGFQLLVDPRTSRITFQSLKRNAARLGLGELQEDELSEMIREGDMDGDGVLDQTEFCILMVRLSPELMEAVTLTRGNVDVI* >Brasy1G289800.1.p pacid=40055575 transcript=Brasy1G289800.1 locus=Brasy1G289800 ID=Brasy1G289800.1.v1.1 annot-version=v1.1 MLISELLIWGSSHFALMFVFHIGSYYSWTNEREPLFFSSRFVSLLVGQSFSTCHLPWIPDACRLLWLTSSSIPPLLSSNGNDPGRRGFFSQFGLLLPVILRRWFRFSRLFAANSNEGHRQGRHAMWHEAGAGRCWMRWRWQRRMTTRKAAAARGKVKRNAEEKPLPAAGSGHSSGPSPNGFDRKWKWETKSKPRPKTSAWEEEDSYPATTTMKSRRNTTTTAALQAGDTMKKNKNKAAKEDDRKNKNNKCR* >Brasy1G065100.1.p pacid=40055576 transcript=Brasy1G065100.1 locus=Brasy1G065100 ID=Brasy1G065100.1.v1.1 annot-version=v1.1 MEGNTKSSGKGIKGKVVMVYSKYANKAQKAPAPSVTHAYVQPACRYPSPMDAAASNVDERATAYILAVRERFKNEQQKVM* >Brasy1G044000.1.p pacid=40055577 transcript=Brasy1G044000.1 locus=Brasy1G044000 ID=Brasy1G044000.1.v1.1 annot-version=v1.1 MELTSAEEEPRLGTPMGLGLGGDTMEAVRRAFPGQHFALHVVNRAFGRQDPAERSQQDSSACGVSRARASENPVDLEQAAENPGKNSAQQGSSTCGVGEPASSEKRVGSGETEHAGQHHGAPPAPAGVGDDAGAGSWKRRIRRGRIPDEREPDADRVSALEKALTGFAARQTDVIVSPAVGTCFDSLAEAYEFYNIYSWETGFGIRYGRSRVNSKGSKCMQEITCCQGGKPAKDGKSRRCDCTALIRLLRTDDNGWYITQHRKVHNHGFSMAYYNKLQWPSHKHIDKYTRDLVRQLSGNNVNLGVYDTIASFFGRRMENVPFTKRSIRTLCGEVIREQAADDDARKTMETAPVLGEKDPENHTQEEAPFNHRGSISTNTDSVNMPANPLRYPEQGDTQINTEAGRLADLMLAFGDCNLVLKNCTYDDLVNSNGTMSGLEEAVKAVTPHLQQGIFGDLWSRAHNSGGVVSAQVLTIRDLFRFTRWLTTTTGQHNLRCVEQHVKLVKSGNGVFEPEQMALLQLYQAENAEHSREMRRLQGERDERIAHYMAKIDEARKTFEVSVEAAKVQYPVSSSYCPLDPNELRGQCWTVYLAHCRKEAKDLNARASNIVEKYGPELLQRHLYEFCSQETNRGALLKYGQTKVKKLKEAGVAQGENTLHGYMELLDIEKAYALLAAEEQITNDPDTGGGGEEEHRTNRGNGVARDVAAGGAAQENGSEDAPEGPPLQKRLRSGQSYAR* >Brasy1G558500.1.p pacid=40055578 transcript=Brasy1G558500.1 locus=Brasy1G558500 ID=Brasy1G558500.1.v1.1 annot-version=v1.1 MSTAARSTGAGRRGFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKLYHDMSTAGGLVAVALAHALALAVAVSVAVNISGGHVNPAITFGALLGGRITLVRALFYWVAQLLGAIVASLLLRLTTGGMRPPGFSLAAGVGDWHAVLLEAVMTFGLMYAYYATVMDPKRGSVGTIGPLAVGFLLGANMLAGGPFDGAGMNPARVFGPALVGWRWGHHWVYWLGPFIGAGIAGLLYEYLVIPASETAATHAHQPLAPEDY* >Brasy1G396900.1.p pacid=40055579 transcript=Brasy1G396900.1 locus=Brasy1G396900 ID=Brasy1G396900.1.v1.1 annot-version=v1.1 MLDADPEFKYIVQIDDDSRIKTLMWTSGRCCEKYACFGDVLTFDTTYRTNLYDMPFGLFVDVNNHFQSIILGGVLMRDEKVESFTWVFREFMRMIGGKDKHPRTILTDQARAMEVAIADVLPNTTHRWCKWHVLKKAKECLGALYGKHSDFRFEFHRLVSEQYTEEEFEKGWAAMIDKHGLQKQPYLTQIYEVRQKWAKPYFRNVFCAKMTSTQRSESANHMLKRYVPPRCAMHLFVKQYEKLQFDRDSEESFQEKRTALAGVVLKANLPIELHASKVYTRTMFEQFRLALYESGQYLLDELESGKLYLARHTRAAAKEKWCKVLFHVRVDRSSEEFDCECGYFEHAGMLCCHALKVMVHLGYESIPDRYVLKR* >Brasy1G251400.1.p pacid=40055580 transcript=Brasy1G251400.1 locus=Brasy1G251400 ID=Brasy1G251400.1.v1.1 annot-version=v1.1 MLESRCCCFCHPPEPPRTVLDYFCLAIVEEKKRKQRGEGFKGEERGTRWLEEDPATRLLCFSVG* >Brasy1G251400.2.p pacid=40055581 transcript=Brasy1G251400.2 locus=Brasy1G251400 ID=Brasy1G251400.2.v1.1 annot-version=v1.1 MLESRCCCFCHPPEPPRTVLDYFCLAIVEEKKRKQRGEGFKGEERGTRWLEEDPATRLLCFSVG* >Brasy1G251400.3.p pacid=40055582 transcript=Brasy1G251400.3 locus=Brasy1G251400 ID=Brasy1G251400.3.v1.1 annot-version=v1.1 MLESRCCCFCHPPEPPRTVLDYFCLAIVEEKKRKQRGEGFKGEERGTRWLEEDPATRLLCFSVG* >Brasy1G251400.4.p pacid=40055583 transcript=Brasy1G251400.4 locus=Brasy1G251400 ID=Brasy1G251400.4.v1.1 annot-version=v1.1 MLESRCCCFCHPPEPPRTVLDYFCLAIVEEKKRKQRGEGFKGEERGTRWLEEDPATRLLCFSVG* >Brasy1G113900.1.p pacid=40055584 transcript=Brasy1G113900.1 locus=Brasy1G113900 ID=Brasy1G113900.1.v1.1 annot-version=v1.1 MGKWGTGVWVRCVCERGGGNLYFDDWRSSRHVLPPLPSLSSRSARSRSSQIDSFLVSFFWLPDVPCNLGLVRNPIYFAHLCLFMVINSWVVGIVRLGHAPFFGFMIW* >Brasy1G382900.1.p pacid=40055585 transcript=Brasy1G382900.1 locus=Brasy1G382900 ID=Brasy1G382900.1.v1.1 annot-version=v1.1 MDGKGSYVPPQYVPLYGLDTEEDHVPAAEENTSARHKLSRDPTQWSSGICACFDDPQSCCIGAACPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSFCCLCTGGLVLAVPGSAVACYACGYRQTLRAKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSSSSSGPSVTPPPIQTMDDP* >Brasy1G010500.1.p pacid=40055586 transcript=Brasy1G010500.1 locus=Brasy1G010500 ID=Brasy1G010500.1.v1.1 annot-version=v1.1 MAPPPPPPAAISHLRRRLLPLALRRLFSTATSPPPPASPTTESVLYSLRSLSKDPPLALAFFRRSAAEGHPLGSAAYNLMLRTLASRPASAQDHFWPFLRDMEAAGHSVDQGTYLAALASFKKASLAADYASLSARLAKDRDDAAQAGGTPVSAAAEAVRGIEGEGSDEALEKRLEGVELLLPLTETAVAKVLREVRDCPVKALAFFRWAGRQKGYTHGSVAYNAMARVLGREESVREFWELIQEMKADGIYLDIDTYVKLNRQFQKRHMMTEAVELYELMMDGPYKPSKQDGPVLLRRIALGPSPDLELAHRVVRKFEAVYEFKTKDVFDGIHRALTSNARFDEAAEVMESMRSEGHQPDNITYSQLVFGLCKANKCDEARKVLDEMENEGCTPDLKTWTMLIQGHCVAGEVDKALQYLTEMIENNLDADADLLDVMVKGLCNQDKVDAAYTLFVEMVDKAQLNPWQGTYKHIISELLRVKKLEEALGLLKSMKARKFPPFADPFPPNIAEYGTLEDAKDFLKALKGGVNNYPSHTAYLHMFKSFFAEGRYSEAQDLLYKCPIHIRRHHDITKLFESIKVETTS* >Brasy1G572800.1.p pacid=40055587 transcript=Brasy1G572800.1 locus=Brasy1G572800 ID=Brasy1G572800.1.v1.1 annot-version=v1.1 MAGRNPPWLPSGMQQVIAARGMATAGSFGRPGHGPIDLSVKEFEEHGDDRRLLDWMRGQLISNPCLQ* >Brasy1G313100.1.p pacid=40055588 transcript=Brasy1G313100.1 locus=Brasy1G313100 ID=Brasy1G313100.1.v1.1 annot-version=v1.1 MVPKGHQKTPDAIVMMNNIAATCPKEEALCDLQIITNSKKIVDVSRSKFSPSSQYPMGHEMPISWKSAGPSSCGHPDMTCDLSAFMPAPKNSNPSELITSSLILRPHAKVEILTRLPQNPHFIKLMQDCHPEFREGNAVGLMISFANLAESIQNMRIQDDDQVFHRKLSSLSELEANGFEVGALRSRLENLLYIKNHQMDLKGKKEILEQNILEKEGAYNGVVQQLTMLDIGIRELEEMLLNAQEKKALLVEQRAAKGSEIAELQVDARRAEESFMSTECDFSRTAAAPW* >Brasy1G312300.1.p pacid=40055589 transcript=Brasy1G312300.1 locus=Brasy1G312300 ID=Brasy1G312300.1.v1.1 annot-version=v1.1 MGAAVPQGSFGSWSRSALGAAGQEGGAVGEVSDGSGVTRPMDHVRRSREERWRCQGRKEKRRNRRRWKRKKKIKNSLSAVHPAASQGQGVAMGSAASGLWALRRRTAWDWGEEAPKLDRGRERGKGEETMGSVPISILTSAAAHCNLRLRPCATAPAESMATAAALVSLLPPSRTTSATPPNPRSGRSGDKDASGGAGAIPLALQAGQQGDKDGGGQESSSGATIPPHAVPVPSVSGVTAPPSG* >Brasy1G196500.1.p pacid=40055590 transcript=Brasy1G196500.1 locus=Brasy1G196500 ID=Brasy1G196500.1.v1.1 annot-version=v1.1 MSGSGRGSAGGTDSFDFGTDDVLCSYDNFAAPSEPKRPDPVDKDFHESRFGRPSVKVYEQESYAKEDVLSAVERCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDMLHSETDLRLKSLEKHLHEVQRSIQILRDKQELAEAQKELAKFQLTQDESKKKEDVPTPSFPEQKKLEEKPDAAGQQLALVLPHQVNSSSLAPRASEPVQQYNDQPVQQTAPSSLVPHQDRYVLSQAIVYYPQHQTPGNQDTQGQQGQPEVQYLPVRPQAQDVPVHASSQPQSANQTQPQSYPPYQQQWQQQSSHSSPGPVAQPQQTYSRSFPPPVQQPQLSNAQQFPTQAVQQPQLSNAQQFLPQQLQPQQSNSHLPQTVQPQHPHVQTQMRPQTPPNYPRYPPLQAMNPAPDTLPSSAGAAMQGPYNTVPPSGGSRSEVQYSYGGPSIPPSQPLPQHNMQRQQLPPPSQGSFGPSPSKGSYAGPPQYVPQGHSQGYNTWYGYPPSGPSAVHAPQMPPGGAGMNHPGSSHHKMRGHPYGEMVEKAITMGYPRDQVLNVIQRMTDGGQQIDFNALLDRLNESGSGAPPRAW* >Brasy1G410200.1.p pacid=40055591 transcript=Brasy1G410200.1 locus=Brasy1G410200 ID=Brasy1G410200.1.v1.1 annot-version=v1.1 MSLRPNERVEARKSSYKSTVDADDGRRRREGDMVEIRKSRREESLLKKRREGLQAQAPVPAAGVEKKLESLPAMVAGVYSDDINLQLEATTQFRKLLSIERSPPIEEVIKSGVVPRFVQCLGRDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSGSDDVREQAVWALGNVAGDSPRCRDLVLESGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPAFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQSVIDAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDSQTQCIIDHQALACLLSLLTQNHKKSIKKEACWTVSNITAGNKDQIQAVINAGIIGPLVHLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDSRIVTVCLEGLENILKVGETDKNLGGDGNVYALMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDAMGGAMEASQGVSFDFGQGGNPDLNLG* >Brasy1G195600.1.p pacid=40055592 transcript=Brasy1G195600.1 locus=Brasy1G195600 ID=Brasy1G195600.1.v1.1 annot-version=v1.1 MSMSMASVKASDPGSAWFGAGKYRSPGGGPTRNVRLVATSVAAFVSVLGLALLLHLYICRVRRRNRRRAESAAAAARLVAAKAPGKAGLEPSAIAALPTAAYEEDEEDEEAGCSECAICLGAMEKGDAVRVLPACAHDVFHVACVDTWLASSSSCPVCRASVEPPPPTPNPAGWVQEKPESLEKPDAAGSSASPCGLGASLMKMLSRERPAPRRPLQVDQAHAVEMDQFDLESQQQQQHAVNN* >Brasy1G526300.1.p pacid=40055593 transcript=Brasy1G526300.1 locus=Brasy1G526300 ID=Brasy1G526300.1.v1.1 annot-version=v1.1 MDLQKYYSSYAMDDPAVVYLAIALLSLLFISLLSRRGRRRSPAAEGGEKLRLPPGPWTLPIIGSMHHIAGRKLPHRAMRDLARRHGWPVMLLRLGEVPTLVVSSRSGAREVMRRHDASFATRPLSATVSVLTNGGRDIIFAPYGEHWRQLRKIAVTELLTARRVRSFRSIREEEVNAMLRAVNAAAVGSVSVDMRAMLSVLVADSTVRAVMGDRCKDRDVFLRELDRSIGLAAGFNPADLWPSSRLAAWVSGSVTRAQECRDIVFGILDRIIAEHLERMNDDAGKENAGAEEDLIDVLLRIQRDGELPLDMDSIKAVVFDIFGAGSETSATTLEWVIAELVKNPKVMKRATAEVRQAFEAGGTVAEERLGEAVPYLHLVIRETFRLHTPLPLLLPRECREPCKLLGYDVPPGTQVLVNVWALGRDERYWPDGPEEFRPERFEAATGAAKVDFRGSDFELLPFGAGRRMCPGMGFGLANVELALASLLLHFDWEAPGLADDPAEFDMTEAFGITARRKAGLLLRPVLRVPVPGV* >Brasy1G214400.1.p pacid=40055594 transcript=Brasy1G214400.1 locus=Brasy1G214400 ID=Brasy1G214400.1.v1.1 annot-version=v1.1 MTTAGPSRRRRAPRTHPPLPLIAIVLLLVFPAPLRTYALRVPLREVATLLSLSQSLLTRVAVARADRGDAAAAERARRIASHLSLLSSRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLQSPADTAQWLHRNYGDLRAAATQLLSGLLSGFSEQGPLREVVLDVKWEVEEGGLLKDCLKVGAKDLEGLLVIAKDLFGASRASSRQNEL* >Brasy1G214400.3.p pacid=40055595 transcript=Brasy1G214400.3 locus=Brasy1G214400 ID=Brasy1G214400.3.v1.1 annot-version=v1.1 MTTAGPSRRRRAPRTHPPLPLIAIVLLLVFPAPLRTYALRVPLREVATLLSLSQSLLTRVAVARADRGDAAAAERARRIASHLSLLSSRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLQSPADTAQWLHRNYGDLRAAATQLLSGLLSGFSEQGPLREVVLDVKWEVEEGGLLKDCLKVGAKDLEGLLVIAKDLFGASRASSRQNEL* >Brasy1G214400.4.p pacid=40055596 transcript=Brasy1G214400.4 locus=Brasy1G214400 ID=Brasy1G214400.4.v1.1 annot-version=v1.1 MTTAGPSRRRRAPRTHPPLPLIAIVLLLVFPAPLRTYALRVPLREVATLLSLSQSLLTRVAVARADRGDAAAAERARRIASHLSLLSSRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLQSPADTAQWLHRNYGDLRAAATQLLSGLLSGFSEQGPLREVVLDVKWEVEEGGLLKDCLKVGAKDLEGLLVIAKDLFGASRASSRQNEL* >Brasy1G214400.5.p pacid=40055597 transcript=Brasy1G214400.5 locus=Brasy1G214400 ID=Brasy1G214400.5.v1.1 annot-version=v1.1 MTTAGPSRRRRAPRTHPPLPLIAIVLLLVFPAPLRTYALRVPLREVATLLSLSQSLLTRVAVARADRGDAAAAERARRIASHLSLLSSRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLQSPADTAQWLHRNYGDLRAAATQLLSGLLSGFSEQGPLREVVLDVKWEVEEGGLLKDCLKVGAKDLEGLLVIAKDLFGASRASSRQNEL* >Brasy1G214400.2.p pacid=40055598 transcript=Brasy1G214400.2 locus=Brasy1G214400 ID=Brasy1G214400.2.v1.1 annot-version=v1.1 MTTAGPSRRRRAPRTHPPLPLIAIVLLLVFPAPLRTYALRVPLREVATLLSLSQSLLTRVAVARADRGDAAAAERARRIASHLSLLSSRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLQSPADTAQWLHRNYGDLRAAATQLLSGLLSGFSEQGPLREVVLDVKWEVEEGGLLKDCLKVGAKDLEGLLVIAKDLFGASRASSRQNEL* >Brasy1G581100.1.p pacid=40055599 transcript=Brasy1G581100.1 locus=Brasy1G581100 ID=Brasy1G581100.1.v1.1 annot-version=v1.1 MHYALEGAVIDDHARRRLEAIGADIAKKLKWSPLAARIVGGRLGRRLSAEFWTTVKNGNVDGTMGALWRCFAYCSIFPRRHHLIRDDLVKLWVAEGFVRGTNEGEEMEDVCRGYFDELVSTSFLQPGGKDFYNDMDYYLVHDMLHDVADTVAGSDCFRIENGSIWSKLGGGKGQRREGWRGDVPRDVRHLFVQNYDGELITEKILQLENLRTLIIYTVGGGTPIEEKVIASILKRLRKLRVLAVALSREDDAVIKEPDVFLVPESISKLKHLRYLAFRTSMSCRVILPGTVTKLYHMQLIDFGQCKKLVFPSADLINLQHIFCSIDLDFPNIGKLTSLQTVPNFTVWNAEGYKVNQLRDLNKLRGSLEICRLENVENKVEALEANLAAKERLTHLSLGWGVAMRSSRPEVEAGAFGSLCPPTWLETLYMYDYQGLRYLNKLRGSLEILRLDNVESKVEALEANQAAKERLTHLSLSQSGATRSSSPEVEAEVFESLCPPIWLETLYIYNCRGLRYPNWMVGKQNGGPKDLRGLKLHGWSQLGPAPRLEAFIHLRSLIVWDCSWDALPDNMENLTLLKDLMICECLNISSLPTLPQALEEFTLKWCSDELMKSCQTIGHPNRRKIENVPKKEFICYELDLLRIRNKRASLGTDIRRR* >Brasy1G359500.1.p pacid=40055600 transcript=Brasy1G359500.1 locus=Brasy1G359500 ID=Brasy1G359500.1.v1.1 annot-version=v1.1 MESFTQLIIQWEIQLLVLLSFTLQLFLFLTGNLRQYSNSILLRVSIWSAYLGADLVAIYALGFLSRHEDSLGGTHQLAFFWAPFLLIHLGGQDTITAFSIEDNNLWLRHLLNLVVQVALALYVFWWSIGKHNMHLLAPGIFLFVSGIIKYVERTMALMYGGLENMRNSIRDSEEFEVRPYPDYSGYSGIVRCALLSALYVRHVFAGSTIFQTGPISKRMHFNACEGRYSKLEMRKLLEVELGIMYGDLYTKAMVLRTRSGTIFRCISQVSTVIALVLFFLASSSNKQQGRYSRADVAITYVLFVGGIFLDICAVFILMTSPWTWERLKGYNNVLGRVSWYFLSSNIGWTESRPLWSNSMGQYSLLGWLEGSSNSSDQPRLSCSCKQLIKMMAEKMMGLVCSTSSKKEKLFWLSTLLDTKYTQVDSEVMDSVVQFICDLCREIRQHTAARRGTVLWPCLGELLLNCLKVEIKVEFARAIVRLHVFTELHLTRRSSSYGREISEEAMKLAEVCRKLSNYMMYLLAVHPEMLPVTGKVDDVILTSDMFISGLGLGEGRDDEYGIQPCYDTLKNIRDMWILFLAYAAGKSRPETQAAHLGRGGELITFVWLLMAHYGLGDSGFRSIEFSADEQTTKETEGYMVIPLMRDSEYSEDWEKLRQRQQKNDLREENTVQIPRRSELSPADRCTMHMLSPVSSLESIEMATQSSLKGGFHKHLSQHQSVSQSPPTTNHTELSSEMAMLTTGTAPLSLKLLVDTNSQRVLFAEASKEAVDFLFSILTLPVGTGADNEGFVTYTVMDDLKVTPMSAIASVTLLTSCGVKDLSVLQEKTVQLGYNEGLEILKASLQSKTVLTHVFLANKHPCNA* >Brasy1G359500.2.p pacid=40055601 transcript=Brasy1G359500.2 locus=Brasy1G359500 ID=Brasy1G359500.2.v1.1 annot-version=v1.1 MRDSEYSEDWEKLRQRQQKNDLREENTVQIPRRSELSPADRCTMHMLSPVSSLESIEMATQSSLKGGFHKHLSQHQSVSQSPPTTNHTELSSEMAMLTTGTAPLSLKLLVDTNSQRVLFAEASKEAVDFLFSILTLPVGTGADNEGFVTYTVMDDLKVTPMSAIASVTLLTSCGVKDLSVLQEKTVQLGYNEGLEILKASLQSKTVLTHVFLANKHPCNA* >Brasy1G425800.1.p pacid=40055602 transcript=Brasy1G425800.1 locus=Brasy1G425800 ID=Brasy1G425800.1.v1.1 annot-version=v1.1 MEALARRCPRIKFLTLGCFQGLCRASWLHLDGVAVCGGLESLCMKNCQDLTDASLAAIGRGCGRLAKFAIQGCDLVTSVGIRRLAEALRPTLKDVSVLQCRFLHTEACLAALNPIRDRIESLEINCDWEAVEQPSSVANGIARCDPEDDEPGEMAESASKKCRYMQFDNFDSWEMLRSLSLWFAAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPATGSIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLALWERFYLSGIESLQSLYELDYWPPQDKDVNQRSLTLPAVALLQRSIGLRKLFIHGTTHEHFLSFFLTMPELRDMQLREDYYPAPENDMMNTEMRAESWLRFETQLNNRSIPD* >Brasy1G136800.1.p pacid=40055603 transcript=Brasy1G136800.1 locus=Brasy1G136800 ID=Brasy1G136800.1.v1.1 annot-version=v1.1 MDISHIITKRPKERQRDPDRDRREQQLPQPLLELDSPLPTPRRSCASTDNPRCRRDDSPLRVRTRVPFSWESSPGVPKSRAVVDVLHKNKAAPETMKPMPPPKPPPGRCTSSRNWRYGNASDRDTYASSFFSVDAMDNRTTTHSSSSSPAEQRGIGSGSFDRVTSKRFEDIFLGRASSFVKDDRCHPCHALSDASSSSGRSSRHTKQWRRRHDSTDDDDDGGERPPNTDPAVQVQVVPRINVRPRVEQMSPRACGLMVFFPWSAKPAVCGGFRSPSSLSQRAAPRSLDASDRPIPSSRSHSRRSTTTLRDALQEENKTEDDGDIAQAAGLPRGGKRSREEWQGRGWGVSSLLGTSKKYCTDARKALSRLSIGLGSESGSPRVGRERNDRKPQNVPTTPVMATAAAKLKKIKTNRN* >Brasy1G534700.1.p pacid=40055604 transcript=Brasy1G534700.1 locus=Brasy1G534700 ID=Brasy1G534700.1.v1.1 annot-version=v1.1 MASKAKPMQVFHPGSCLNNVVTALLLVSFGFVLGIIYSASFPESYLPPFLQPLPSLALSSSPAPLMPSPTPPALPPLPETPCILPPLPSPTLPPAPPSGSVMHNMTDEELLWRASMAPKVAGMPRRIVPKVAFLFLTKGELPLRPLWEKFFAGHKGLYSIYIHTSPDYAGSPPADSVFYGRMIPSQKTSWGNINLVEAERRLLANALLDLANTRFALVSESCIPLLNFRAIYSYLITNSSSHVESYDRGDGRGTHGPFFTAHNITLSQWRKGAQWFEMDRALAVEVVAEARYIAVFRGDHGASNMEEYYLATLLNLIGWGNRNANRTLTYMDWRGGGKHPRDHGEKDVTVELVEGMRRGDGKCGYKVDAGSGVGEVEFCYLFARKFSRDAVGKLLELAPKVMGSG* >Brasy1G361500.1.p pacid=40055605 transcript=Brasy1G361500.1 locus=Brasy1G361500 ID=Brasy1G361500.1.v1.1 annot-version=v1.1 MKQSLLDKAASSQSGATSNRSLFRDAGWFSIITFSWMGPLLNLGRRKTLDLDDVPLLDDHDSFHGVLRNIKAKFILSTRHLHFRLQQVGVRAQSALVAIVYQKVLALSNQSRQSSSSGEMINVMSLDAECVADFSRCMHDLWLIPVQIILAMLILYSTLDLAAFAALAATVLTMLANIPIGRMEQKYQEKTMSAKDARMRAMSEILKNMRVLKLQGWEMIFLSKIMELRKEEMNWLKKNVYTSAMLISVFFGAPAFVAMITFGTCILLGIPLETGKVLAALATFRQLQGPINGLPDTISMAVQSKVSLDRICSFLGLEELSCDVVTKLPTGSTDVSIEIRNGHFSWNRSSQVPTLQDLNFRVQQGMKVAICGTVGSGKSSLLSCILGEIPKLSGEVQTCGRIAFVSQSPWIQSGKIEDNILFGTQMNRERYEKVLEVCSLIKDLNILPLGDQTIIGERGINLSGGQKQRIQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGILASKTVLYVTHHIEFLPSADVILVLKDGKITQKGDYTEIINSGEELMELVVSHKDALSSLDMLELRSSHSNSSHHPDGNRSTLFTEDGENDHKFGEGIVGNGQLVQEEEREKGRVGFVVYWKYITMAYKGALVPLILLAQIIFQFLQIGSNLWMAWAAPISKDVDPPVSSLMMINVYVALALVTSLCIFIRSHLLVTAGCKTATMLFHKMHQCIFRAPMSFFDSTPSGRILNRASTDQSAVDTRIFDLMGYLLFPAIELLGTVVLMSRVAWPVFLIFVPVIVASLWYQRYYINAARELQRLIGVCRAPVMQHFAESITGSNIIRCFNKEGQFISSTGHLMDNFSRPCLYNAAALEWLSLRLDILSLFIFGFSLILLVSFPTDLIDPKTAGLAVTYGLSLGMLQGWAIAVLCCLENSMISVERMLQYTSIPSEPPLTISESRPNCQWPAKGEIELRNVHVRFFNFIYSVFFLIYVIQVKYAPQLPFVLKGLTFTLPGGMKTGIVGRTGGGKSTLIQALFRVIDPCIGQILIDGIDICTIGLHDLRTRLSIIPQDPVMFEGTLRSNIDPLNEYSDEQIWEALDSCHLGDEIRKTGHKLESTVIENGENWSVGQRQLVCLGRVILRKRRILVLDEATSSVDPITDSLIQKTLKQHFTECTVVTIAHRITSVLDSEKVILLDNGEIAEHDSPPTLLEDTSSLFSKLVSEYTMGSNFR* >Brasy1G198600.1.p pacid=40055606 transcript=Brasy1G198600.1 locus=Brasy1G198600 ID=Brasy1G198600.1.v1.1 annot-version=v1.1 MAQEQANPEEVVLGQEINGARVLTLNRPRQLNGISDRVVYILAQFLEKWEKDDDAKLVIFKGAGRAFSAGGDLKMFYEGRSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLAMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLTAKEMVAAGLATHFVLSEKLEELEKQLLNLNTGDESAVQAVIEEFSTDVQPDHESILNKLPTINKCFSAESVEEILKALESEVSIDGNQWIAPVLKSMRRSSPTAMKITLRSVREGRKQSLSECLKKEFRLTMNILRSAVTGDVYEGIRALSIDKDNAPKWSPTAIEEVKNEDIDRVFQPFSSEHELQVPSDDFNRWSGKYEHTIYADSSH* >Brasy1G279500.1.p pacid=40055607 transcript=Brasy1G279500.1 locus=Brasy1G279500 ID=Brasy1G279500.1.v1.1 annot-version=v1.1 MMSGGGYSALDDPKASGSVPAVTGPDPQTIKFADSNLQTFPPSEAKGKISGAYRPPTDADDTFSSKTGGGGGGGGGGGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGNFTEKTSEKPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKDWTYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHILNSGERWVLIVAGIFLLQLGLAVLLKLYFFTITV* >Brasy1G296700.1.p pacid=40055608 transcript=Brasy1G296700.1 locus=Brasy1G296700 ID=Brasy1G296700.1.v1.1 annot-version=v1.1 MRSDAWCSSDPVLPLRTLSRAQCPPPIPIEMHRALLPADRSSVRPRRGAPPSSSSAAALLHAANVRVKAAFGQRSWTTFCRGARTCSALPPPLRLPPLGLRPPQPGLPRGLRCCALVVPWPLPPHLLERRPAVVQCAQHAPIS* >Brasy1G317300.1.p pacid=40055609 transcript=Brasy1G317300.1 locus=Brasy1G317300 ID=Brasy1G317300.1.v1.1 annot-version=v1.1 VDFPEFDGNYPEGWIKKANKYFKLAQTSEEQRVEIATLYFTGKADTWLEGSGIDVDCAQWPNFCRKLKKRFAKTLREVRRDNPHLPEDYYVKRFVSGLKEYVQNLTQV* >Brasy1G510000.1.p pacid=40055610 transcript=Brasy1G510000.1 locus=Brasy1G510000 ID=Brasy1G510000.1.v1.1 annot-version=v1.1 MLRLVQSTLRQTNFKGTKLLGASFFDADLTGADLSDADLRNADFSLASVTKVNLTNANLEGALVTGNTSFKGSNIYGADFTDVPLRDDQRDCLCKIAGRVNTTTGNATKETLFCK* >Brasy1G018000.1.p pacid=40055611 transcript=Brasy1G018000.1 locus=Brasy1G018000 ID=Brasy1G018000.1.v1.1 annot-version=v1.1 MAYRQHGHGGRAMSYSNTVDECFDGGRTGAMYSNNTVDECYDAGRHGHGMTKMYSNTTDECFDGGRTNMYSNTTDVECYDAGRHGNGGGHGGGRRPAYDDGCGGGYGGQQAGAYYKKEEKTHKNRERVGEVGALASGAFALYEGYEAKKDPAHARRHEIEAGVAGAAALGAGGYAYHEHREQLSSYGGAAREQQHRVPSYNQYCN* >Brasy1G055500.1.p pacid=40055612 transcript=Brasy1G055500.1 locus=Brasy1G055500 ID=Brasy1G055500.1.v1.1 annot-version=v1.1 MPPAKPGFPVVQAKICKSPGKTYHPAPTKCDPHTCATKCHKEQYSGGELFTGGYCQVIGLDGETVLDDGDELPNRKKKKKKKKKKKKKKKMRCECTRECGSGGGGTPPPPSDVPEPPPSGGDAPPSGGKKSPPPPGDGPPSAGPWPAMAFNGAHALFTLGFLLLMASGGSEARNGMCESPSGSYRGPCLSNGLCATACHAEYYKGGKSKPFTDGHCSFSIDGGDDRFFPRCICTIFCGPPSPPADTEQDDGPERRRHHHHHHHPPPPTHSFK* >Brasy1G269900.1.p pacid=40055613 transcript=Brasy1G269900.1 locus=Brasy1G269900 ID=Brasy1G269900.1.v1.1 annot-version=v1.1 MSSPAKMSVMAVALLVLAAAHPARAGSACYPRVFSFGDSLADTGNYRFLYGDDSREPALRPPYGETFFHNATGRFSNGRLVVDFIADALGLPFVRPYWSGRSAEDFAFGANFAVGGASALSAEFFRKRGVPAADNVHLDMEMGWFRDLLDLLCPSDLADCMDMMNRSLFLVGEIGGNDYNLPLLSRVPYETIRAFTPSVVGKIASTIAELIELGAQTLVVPGNLPIGCIPMYLMIYKSNKPEDYEPETGCIRWMNKFSRYHNKLLVGELEKLRKLHPGVAIIYADYYGAAMEIYRSPEQFEIENPLVACCGGEEPYGVSTTAGCGHGEYKVCSDPQKYGSWDGFHPTEAAYKAIADGLLRGPYTQPAIFTTTGSCRQLAEHVSSVEYIKVLYDL* >Brasy1G269900.2.p pacid=40055614 transcript=Brasy1G269900.2 locus=Brasy1G269900 ID=Brasy1G269900.2.v1.1 annot-version=v1.1 MSSPAKMSVMAVALLVLAAAHPARAGSACYPRVFSFGDSLADTGNYRFLYGDDSREPALRPPYGETFFHNATGRFSNGRLVVDFIADALGLPFVRPYWSGRSAEDFAFGANFAVGGASALSAEFFRKRGVPAADNVHLDMEMGWFRDLLDLLCPSDLADCMDMMNRSLFLVGEIGGNDYNLPLLSRVPYETIRAFTPSVVGKIASTIAELIELGAQTLVVPGNLPIGCIPMYLMIYKSNKPEDYEPETGCIRWMNKFSRYHNKLLVGELEKLRKLHPGVAIIYADYYGAAMEIYRSPEQFGCGHGEYKVCSDPQKYGSWDGFHPTEAAYKAIADGLLRGPYTQPAIFTTTGSCRQLAEHVSSVEYIKVLYDL* >Brasy1G279700.1.p pacid=40055615 transcript=Brasy1G279700.1 locus=Brasy1G279700 ID=Brasy1G279700.1.v1.1 annot-version=v1.1 MGAGEGEAAGSKDKSGGGGGGGVARTSLDGLRDKNVMQLRKLNLALFPVRYNDKYYQDAIASKDFSKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGLGTKLLNHVFDLCAKQNISEIYLHVQTNNDDAIAFYKKFGFEITETILKYYTNITPPDCYVLTKFIGQAATKK* >Brasy1G200000.1.p pacid=40055616 transcript=Brasy1G200000.1 locus=Brasy1G200000 ID=Brasy1G200000.1.v1.1 annot-version=v1.1 MAGGGGSGAYRAEDDYDYLFKAVIIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRSTFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGQEYAEAESLYFMETSALDATNVDNAFAEVLTQIYQIASRKTVDAGEDGSAAPSKGEKINVKDDVSALKRVGCCSN* >Brasy1G412000.1.p pacid=40055617 transcript=Brasy1G412000.1 locus=Brasy1G412000 ID=Brasy1G412000.1.v1.1 annot-version=v1.1 MADPVDLVQRHCLRLPPRLPPPPRSRHPPPQSRCLVGVRLRLPGSPLSLRCRVLDASKPVAVEGDKSEEDDESYFSVISSRLSDVDYHGESTKGDLNVRREHLDALGGNGKSTLHGPIEEIAWKEAKEAETLLSDLGIADPLTLRHSPRGIFCTRTLNLRSISVIGYDMDYTLVHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTKMLSTRAVSEIYGRELVDLRKESTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPPELGPLDYTGLYNAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYLYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDEFEALIQSHVQKEKLVTLIQQKEIVGDLFNQFRLALQRRTNSRPAQTVAATSMNDQELTESMQKLLIVMQRLDEKIAPLMESDGDLFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLAHDVHSYSHGQ* >Brasy1G412000.2.p pacid=40055618 transcript=Brasy1G412000.2 locus=Brasy1G412000 ID=Brasy1G412000.2.v1.1 annot-version=v1.1 MADPVDLVQRHCLRLPPRLPPPPRSRHPPPQSRCLVGVRLRLPGSPLSLRCRVLDASKPVAVEGDKSEEDDESYFSVISSRLSDVDYHGESTKGDLNVRREHLDALGGNGKSTLHGPIEEIAWKEAKEAETLLSDLGIADPLTLRHSPRGIFCTRTLNLRSISVIGYDMDYTLVHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTKMLSTRAVSEIYGRELVDLRKESTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPPELGPLDYTGLYNAVSKALFRAHVEGQLKAGKKLLLITNSDYLYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDEFEALIQSHVQKEKLVTLIQQKEIVGDLFNQFRLALQRRTNSRPAQTVAATSMNDQELTESMQKLLIVMQRLDEKIAPLMESDGDLFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLAHDVHSYSHGQ* >Brasy1G412000.4.p pacid=40055619 transcript=Brasy1G412000.4 locus=Brasy1G412000 ID=Brasy1G412000.4.v1.1 annot-version=v1.1 MADPVDLVQRHCLRLPPRLPPPPRSRHPPPQSRCLVGVRLRLPGSPLSLRCRVLDASKPVAVEGDKSEEDDESYFSVISSRLSDVDYHGESTKGDLNVRREHLDALGGNGKSTLHGPIEEIAWKEAKEAETLLSDLGIADPLTLRHSPRGIFCTRTLNLRSISVIGYDMDYTLVHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTKMLSTRAVSEIYGRELVDLRKESTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPPELGPLDYTGLYNAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYLYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDETVAATSMNDQELTESMQKLLIVMQRLDEKIAPLMESDGDLFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLAHDVHSYSHGQ* >Brasy1G412000.3.p pacid=40055620 transcript=Brasy1G412000.3 locus=Brasy1G412000 ID=Brasy1G412000.3.v1.1 annot-version=v1.1 MADPVDLVQRHCLRLPPRLPPPPRSRHPPPQSRCLVGVRLRLPGSPLSLRCRVLDASKPVAVEGDKSEEDDESYFSVISSRLSDVDYHGESTKGDLNVRREHLDALGGNGKSTLHGPIEEIAWKEAKEAETLLSDLGIADPLTLRHSPRGIFCTRTLNLRSISVIGYDMDYTLVHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTKMLSTRAVSEIYGRELVDLRKESTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPPELGPLDYTGLYNAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYLYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDEFEALIQSHVQKEKLVTLIQQKEIVGDLFNQFRLALQRRTNSRPAQTVAATSMNDQELTESMQKLLIVMQRLDEKIAPLMESDGDLFNKRKIN* >Brasy1G412000.5.p pacid=40055621 transcript=Brasy1G412000.5 locus=Brasy1G412000 ID=Brasy1G412000.5.v1.1 annot-version=v1.1 MADPVDLVQRHCLRLPPRLPPPPRSRHPPPQSRCLVGVRLRLPGSPLSLRCRVLDASKPVAVEGDKSEEDDESYFSVISSRLSDVDYHGESTKGDLNVRREHLDALGGNGKSTLHGPIEEIAWKEAKEAETLLSDLGIADPLTLRHSPRGIFCTRTLNLRSISVIGYDMDYTLVHYNVMAWEGRAYDYGMANLKSMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTKMLSTRAVSEIYGRELVDLRKESTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPPELGPLDYTGLYNAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYLYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDETVAATSMNDQELTESMQKLLIVMQRLDEKIAPLMESDGDLFNKRKIN* >Brasy1G558300.1.p pacid=40055622 transcript=Brasy1G558300.1 locus=Brasy1G558300 ID=Brasy1G558300.1.v1.1 annot-version=v1.1 MEGGRGGGLPCPRLPVPSLMPMLFLLVLACVAAVGEGRVVHVGEAHRRSMLANGLGSTPPMGWNSWNHFGCGGNGEVVIRETADALISTGLAAAGYRYVNLDDCWAESERDAKGNLVAKKSTFPNGIKALADYVHSKGLKLGIYSDAGYKTCAKAQPGSLGYEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMNAGHPIYFSICEWGDMHPARWAAAYGNSWRTTNDIEDTWDSMTSRADQNEVWAEYARPGGWNDPDMLEVGNGGMTNDEYIVHFSIWAISKAPLIIGCDVRHMSQDTYDILANKEVIAVNQDPLGVQGKKVRMEGSNEIWAAPLSGYRTAVVLLNRHAKDEAEITAHWDDIGLPAGMAVEARDLWQHKTLDAKFTDKMAFNVTPHAARMFVLTPLTTQIN* >Brasy1G104400.1.p pacid=40055623 transcript=Brasy1G104400.1 locus=Brasy1G104400 ID=Brasy1G104400.1.v1.1 annot-version=v1.1 MDASGELQCVGRLEIAAPPPTRYVRVGSLPVPTDSSAYHPALLPSASSTGTGAPRYQMLPLETDLNTLPMIPNLPEKIFPMDAKSTEGLRHGSELFNQKLSRKCEALAVSGLAEYGDEIDVIAPTDILKQIFKIPYSKAQVSIAVSRIGDTLILNTGPDVDEGEKIFRRQNNQSKGSDPSILKNFAMHSVRAEACDCPPSHQPSQDKQTASMLHGPFGHREGSFDSPSAPNFSTAYLDQNISKSRKQSHGTCESLYWGARENKQKVPGSDPIRKTTRVGENPGCDVQESEKSRRVGNNGFRKVCFWQFHNFHILLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHENGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQNNCKQDPGAYWLYKGAEEDVVQLYDLSILPKECTAGDRISTCGPMSSLMKKGRRESLFSLGTLLCRVAHRMSLSKVPSNRAKCAKFFRKCLDFLSKQDHLVVRAYAHEQFARLILKCYEELELTTESFLLESEVTLTNLDDESPESILQNLPSKQDDTLTEVSKDEPADIDSSMLEYSQSQSSRMSKSLVEPGHVNIDPSSSTTKEGVSEDSLLIFQAGTSQISKTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDECVDTADIIWERPVDFSLCRCGDVDCIEVCDIREWLPKLKMDHKLWKLALLLGESYLALGEAYKNDGQLHRTLKVVELACMVYGSMPKHLEGDEFISSMSNSSLSLEDSGLKSSLVLDEEEYLKNAKCFSYEVSAQQLPPNYLFWASAWMLVGDVYAEYHRLGSHQAPMLQEQKPDGELGMSNEVAMEVKRLKRKLGKDNQNCGTCSLINCSCQSDRANSGSSASSSRPEASTSGKKKNRKSSVRSLRAQPTETKENPNPHGAVEGSEETQNRRNDTCVGKNSVANAEFDHDHTIESQPSNADGIADKSDEDISSVREGGIFKFLGGPKPGDIEYNLCSAIHCYGAAKGAMFALPALSAELSTILKKRGWAFNELGRIRLESRNLSGAEIAFADAIRAFQEVSDHTNVILINCNLGHGRRALAEKLASRVEEFQMHDLPEGSYMQSMKSAKSEYFQAINYYTAAKRQLGYAENEVDKALYNEVYTQYAHTHLRLGMLLARESFLTGSYEGGLVDESSNRTVLEISASDAFREALSTYESLGELRKQEAAFGHFQLACYQRDLCLKFLDLVSKEVKQKTEDKYRQKAKWYGSLAEKNWQKALEFYGPKTHPTMFLNILMAQSGLSMNICGSLHSSVMLEAALMHMLEGRHVVEANEECSNDTNLDIKPKFWSQLQSLLKSMLAASLPGSTGRPAASVSQGSTSGRDAAKLKEMYRLSLKSTSLGQLHALHKLWA* >Brasy1G036500.1.p pacid=40055624 transcript=Brasy1G036500.1 locus=Brasy1G036500 ID=Brasy1G036500.1.v1.1 annot-version=v1.1 MLPASSGSPFEFWSHLLFTRPVSCEPNPSRPIQFPCPGRKRFGFTGVDAETNRSLETAAARGTQDSGKGTGVVCVTTRRWRRRIRRLEVAGEEIGAGAMAGRSRGRRTRRRRYLAQGVDSFHRESQEDAAKLHRFDSGWPRLQPRHGVRVSPVFTDYLEENQRKQRVKLNREPGEGNRSSRSRSTE* >Brasy1G441800.1.p pacid=40055625 transcript=Brasy1G441800.1 locus=Brasy1G441800 ID=Brasy1G441800.1.v1.1 annot-version=v1.1 MLDDWAERDDVGSHEATVAPLVQSVRLVLTPALPPRPLPPKGLLLSPRGSPGTKASCPKLRHPRRGFSRAPAFVRERHPPSPTTAGGEQPAAPPPSSADAERP* >Brasy1G006900.1.p pacid=40055626 transcript=Brasy1G006900.1 locus=Brasy1G006900 ID=Brasy1G006900.1.v1.1 annot-version=v1.1 MRGKLWMRCAPFLSKRYVSTCGTTSSLSQSSSCSSIQPWLFVGLGNPGEKYQSTRHNVGFDMIDAFAQSQGIPLTTHHFKALFGEGMVDGVPVLLAKPQTYINLSGESVAALAAYYKLPLHRVLVAYDCTDLPCGVLRLQPKGGSGRHNG* >Brasy1G249200.1.p pacid=40055627 transcript=Brasy1G249200.1 locus=Brasy1G249200 ID=Brasy1G249200.1.v1.1 annot-version=v1.1 MAASAPAPSSSPPPAAAAAAGTHSSSSSSSSPAPAKSNAPLSSPPPARARAPPPPIPVQIPPPLAPSPPPPLPKPSTPPPTTNSPPPPPSPTAPPPTNSPAAPAPTVETPPPAASPPSRAPPANRTSPHLPPPPPATATDPAAAKPHPAARGNNKSSSSSSSSSTPPSAAAETPSGRLADGVVIAIGVLLAFIVITLLGAAAIWYTNNKKKRKRRRGGTDDYHHAGFVSPFSSSHQQPSGESSAAALDPPSTHTEYSAGSPRLKACVSDISCGNSRCFTYQEMYQITHCFSPRNLLGEGGFGSVYKGRLPDGKEVAIKQLKDASTQGEREFQAEVEIISRVHHRHLVSLVGYCISNDQRLLVYDFVSNDTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFDALVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELMTGRKPVDASRPLGDESLVEWARPLLGRALETGNLKELVDPRLEKNFNEVEMFRMIEAAAACTRHSSSRRPRMSQVVRVLDSLADIDLTNGIRPGQSQLFNVANTADVRMFQQMVLGNQDDSSGFSQYSWSGHSRGAGAEAASSSSRIL* >Brasy1G239900.1.p pacid=40055628 transcript=Brasy1G239900.1 locus=Brasy1G239900 ID=Brasy1G239900.1.v1.1 annot-version=v1.1 MGSLGGGAPTPSPEPAPRDLVTTQVSLGGFEASVSASELADFLEYEAGLVWRCCVKTSWTPPDSFPDFLRPTAPASGAPPPPYDRGMPSKPLRIASASDSSLRASRRHSIKPFRFADAVLEVGALLAPGDEAPEAFLAAWRGPAAGLEFLVDPFDVCCRLVFTRDTAFAFTGYREVAVMRCDVKLEFSVGDVAEVRVYRNDCSLLLRLTAAPLVYYRTADDDIHESVPFDLLDDDDPWIRTSDITMSGAIGRCGMYRIKVAPRKWTMMERSLAYMKGQRVVIVESSVWSGPRRGLKVRDEPEFEEPMQDLFFCVQHAEGLTFPVLFLVNALVHKGIINQHQLTPEFLSLLRGRDVDVNVAALKEFWGDKFPVFNACKRLEQAHRRVASNPKLLRSGKVGDDNVEVRRLVITPTRASCLPPEVEISNRVLRHYHEVADRFLRVTFMDEGMQSLNNNVLNFYTAPIVKELMSNSLQQKTTVYKRVKTFLTDGFYMCGTKYSFLAFSSNQLRDRSAWFFAEDSKSKTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYAIVMVKPDEVNENLEDVKRNTYTFSDGIGKITPDLAMEVAERLQLTDSPPSAYQIRYAGFKGVIAVWQGNNNGIRLSLRPSMKKFESKHSVLEVVSWTRFQPGFLNRQIILLLSSLNVSDDIFSQMQDSMLCNLNKILSDSDVAFEVVTTSCAEQGNTAALMLTAGFGPATEPHLRKMLLAIRSAQLQDLLEKTRIFVPKGRWLMGCLDELGVLEQGQCFIRASAPSLHRCFLKHGSRFSANKSTDIIVGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQNGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYYSPAEAKQLPRQVSQHDIVDFFLKNMVNENLGVICNAHVVHADLSEYGAMDEKCIRLAELAATAVDFPKTGKLVTMPPELRPKIYPDFMLKEESRSYKSEKILGRLYRSIQEASGGDLVSEEACTLNDLPYDTDLEVPGASFFLESAWECKCSYEGQLNALLNQSRVRTEAELVTGHMWSLPKYSSRKRGDIRERIKNAYAAFQKEYRSVFESLTDQCQITDDEKNLWYERKASAWYQVTYHPKWVEKLRAMLDEDGEERPARFSFVWIAVDYLVRIKLRSHGGVKADGRKPVERLAAYISERI* >Brasy1G143500.1.p pacid=40055629 transcript=Brasy1G143500.1 locus=Brasy1G143500 ID=Brasy1G143500.1.v1.1 annot-version=v1.1 MAECRSLIEFLRAFEHRRRAADSSCCSARSKRASSPSSASRHHHHLTSSPSSCDHSPMAAVDALVLLAVPAALGFLVIPHVKLLLLEMAALLHPPAASCLSSAFAFAAAAGALLGWGVMGHHTRKCGRPRCRGLKKAVEFDIQLETEDCVRGRPPRSPLLAAQGARPVDLGDAHRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK* >Brasy1G143500.2.p pacid=40055630 transcript=Brasy1G143500.2 locus=Brasy1G143500 ID=Brasy1G143500.2.v1.1 annot-version=v1.1 MAECRSLIEFLRAFEHRRRAADSSCCSARSKRASSPSSASRHHHHLTSSPSSCDHSPMAAVDALVLLAVPAALGFLVIPHVKLLLLEMAALLHPPAASCLSSAFAFAAAAGALLGWGVMGHHTRKCGRPRCRGLKKAVEFDIQLETEDCVRGRPPRSPLLAAQGARPVDLGDAHRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK* >Brasy1G340300.1.p pacid=40055631 transcript=Brasy1G340300.1 locus=Brasy1G340300 ID=Brasy1G340300.1.v1.1 annot-version=v1.1 MDQSRVVKPAAGLSDSRRSGYSMGAAAGSGKQQELAVDWCSVALRVFILAATLVSAVVMAVDRQTTTVQVTIADTLPPLQVPVTAKSSYSSAFVYLVVANAMACVFSAASLAACRGRSAVVPVMIGDLVVLALLFSAVGAAAEFGILGERGNPHVRWGKVCHVYGRFCERAMAAVIVSLIAGFASLVNLMLAILTLHKNSSYY* >Brasy1G451100.1.p pacid=40055632 transcript=Brasy1G451100.1 locus=Brasy1G451100 ID=Brasy1G451100.1.v1.1 annot-version=v1.1 MSISELMSALRDAGREHLSASTAGLRQATGSHLLQIENYAQIKKTLSVGEYVKSSTFTVGGHDWRIELYPRGCVREANGHVSLFLRRVRDAKTGRDDSATAKIEFSLLDGANGKPSLTKSSPARTFKPGDEDWGWREFVNKDDDLEDEKAFLGQDGCLKVLCDVTVDLGIRADDTCPDPEAPGTASASPPFELRGELARVIWKARRPDVRIEVAGEAMAAHRWMLAPRSRVFAAGLSTTSGKTAVVRVDDMDADVCGALLEFIYTGTLHDMDRRLESSAAMAERLLAAADRYGVEQLKEVCEKAVCRDIGSSSVAAKLALAKRHGCPVLRDACLEFLSCPGNLEAVVWMDGFEELMEAGCPSGLTKLIVKKVRR* >Brasy1G345200.1.p pacid=40055633 transcript=Brasy1G345200.1 locus=Brasy1G345200 ID=Brasy1G345200.1.v1.1 annot-version=v1.1 MRPRGAASVRRRRSSTLPPSRRSAAIIVSMALVQLCIPIADARAILHCHRKHRHGHHGHTHISISLPPAALPVSYDVDPPAESPAPLPPPVSRHDDKAPCPLSSRPTPSKAPPAFSPPPVGAPRSPAPAKAPAFSLTKPHVLPPPAEPPTLPRAKPPTPSQSPQLSPAKPPALTPAKPPTPLQRYSTPPAVAAPALSPVHAPANSPAPPLHRAIPAKPPSFGPIKPPMLPPAQPPRSSQAPLPPPTANSKPPTAKNASAAAACSNVFDVRAFGASGNGSSSDDTRAFRAAWKAACSAGSATLLVPSDGVFTITSTVFAGPCKPGLTFQIDGVLMPPDGPASWPEADSRRQWVTFYRADGMTLNGRGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCDSPALIRFFASNNVMVQGLRIENSPQFHLKFDGCEQVRIDGLFISSPAFSPNTDGVHVENTSSVQIYNSRINNGDDCISVGAGCSGVHIENVTCGHGHGISIGSLGVRNTRACVSNVTVRNARILDSDNGLRIKTWQGGAGSVSAVEFVGVRMENVRNCIVIDQYYCTGSGGGDGCANQTSAVRVAGVTYRDIRGTYRPSGSGHGGAAAPIRFACSDSVACTGITMDDVELLPAGGGGSLQAPLGRQPPYCWNAYGVMATLTVPPVNCLQEGRPESLQDQLANC* >Brasy1G150300.1.p pacid=40055634 transcript=Brasy1G150300.1 locus=Brasy1G150300 ID=Brasy1G150300.1.v1.1 annot-version=v1.1 MANRGAPHVGGRCPAVKELCDLVQECEPEVLCLIETQLAKQRVEGLRITLGFDFSFGVGSSGRSGGLCIFWKDRFDHQIKSYSKYHIDSVVTEQEKEPWRLTCFYGEAARSERHLSWEMLRFLRGESTLPWMCLGDFNEVLRREEHMGVNEREGWQMAAFRDVVDICGFSDIGYLGLDWTWEKRVAGGTYCRVRLDRALATADWSMRFPFATLQHLIAVKSDHSPILLMNEQEASNRRIAIDRPFRYELMWERHEDHKKVTMQAWGSEDCNTVEDLHRKINGEACSFQRWSADTFGHVRKELRQLKAPLADCRAIAGRVGPSHEELKIEERIAELNYREEIMWRQRSRVQWLTEGDSNTRFFHEKANARRKKNIIHQLARADGSMCTDHEELAGTASEFYEHLYASEGTTGIEEVLSHVPCKVTPEMNIKLMEPYQAEEVRLALFQMFPTKAPGPDGFPAHFFRCN* >Brasy1G557100.1.p pacid=40055635 transcript=Brasy1G557100.1 locus=Brasy1G557100 ID=Brasy1G557100.1.v1.1 annot-version=v1.1 MCTTETITAEGKISHVHSKGKNLYLVAISSNTWLSAEGGGGGAPSRTVDGLPLRLAVVFLGRRPLVCSKEDGGGDAIGVAGSSSCAMGRGGEGRRRGVGGRRRGVGGQRRAVGGWRWLGKGHEAAGGGGGPFPRGSGGAAMDAAGGEQWRRLNRRRRGSRAGAV* >Brasy1G401000.1.p pacid=40055636 transcript=Brasy1G401000.1 locus=Brasy1G401000 ID=Brasy1G401000.1.v1.1 annot-version=v1.1 MPLMAVSSPPFFSFPSTPSSASHLRRATTAAASSASDDFDYPLADPSIRWPHLRFPHLPAPLFPAADTAPPAPVRRPSGEGEPAEESSMASASGSAAVSVEPLDARAHRGRVKKLSKLALRRERDWRERIAGLADRVLALAPGAPVAEVLDDAWVSPDELAYVVRAVGAASWQRALDAFEWLVASGAGAPGPRVVAVVLGVLGRARQDALAESVFLRFAGEGATVQVFNAMMGVYARSGRFDDVRQLLDAMRGQEVEPDLVSFNTLFNARAKSGCLAPGAAFDLLLEVRQAGLRPDVITYNTLISACSQGSNLDDAVAVFEEMMASECRPDLWTYNAMVSVHGRCGKAQDAEQMFRELVEKGFKPDAVTYNSLLYAFAKEGDADTVERVCEELVRAGFKKDGITYNTMIHMYGKMGRLDLALGLYDEMRALGCTPDAVTYTVLIDSLGKMDRISDAGKVLEEMADAGLKPTLVTFSALICAYAKGGRREEAVRTFSHMVASGVKPDRLAYLVMLDIIARSGDTRKLMALYRTMMNDGYRPDNALYQVMLAALAKGNEYEEIEAVVQDMEVVCEMNPQLVSSILIKAECISQGAKLLKKACLQGHEPDSKSLLSILDAYETTGKHEEGLSLLQCIQEHVPSSCNLISECSIMLLCKNQKIAAAMQEYSSMQMLKCGSFGQDCNLYEYLITCLEEAEFFPEASQVFSDMQFIGIEPSRKIYESMISAYCKLGFPETAHQLMDEAVQSGISLNILSSRVTMIEAYGKIKLWQHAEIFVKGLKQEPSIDRRIWNALIHAYAESGLYEHARAVFDIMIKKGPLPTIDSVNGMMRALIIDGRLDELYVIVQELQDMGFKISKSTVILMLDAFTKAGDIFEVMKIYNGMKEAGYLPNMHIYRSMISLLCRNKRYRDVELMVVEMERAGFEPDLAILNTLLLMYTGNGNFDKTVEVYHSILEAGLEPNEDTYNTLIVMYSRNLRPEEGFTLLNEMGKKGLTPKLESYKSLLAASGKAKLWEQAEQLFKEIRSKGYRLNRSLYHMMMKIYRDACNHSKAEQLLASMKEDGIEPTIATMHILMTSYGTAGHPDEAEKVLNSLKSSSLEVSTLPYSTVFNAYLKSGDYNLGITKLLEMKADGVKPDHQVWTCFIRAASLCERTDDAILLLNSLRDCEFDLPIRLLTERTSSLLTEVSNFLEELEALEDSAALNFVNALEDLLWAFECRATASYVFQLAIDKSIYRHNVFRVVEKDWGADFRKLSAGAALTALTLWLDQMQDASLQGSPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCKTRSGRFVAKSYSLKMWLKDSAFCMDLELKDAPDLPKMNSMKLTDGYFMRAGLVSTFNDIHEQLGEVWPKKFSRLALLSEESRATVIKADIQGRKEKLAKMKTQGLVISRRSKKRPRRAKFVREQSTQEVLK* >Brasy1G560500.1.p pacid=40055637 transcript=Brasy1G560500.1 locus=Brasy1G560500 ID=Brasy1G560500.1.v1.1 annot-version=v1.1 MSVSVSKSSPVVVRPSSEPAKSAAGNLSLSSYDKNHMCIPVTMFLVFDNPINEPAETIKSALSQALVPYLPVSGRLAAENNDVHITCSGGEDQGVSFVAAAASCALEDVDFSDRSSPLTRTLLDELAVYYPAAACGFGDPLLLVQVTEFSCGGFVVGVTWNHVIADAAGMGQFLRAVGERARGLTLAASVVPVRWDAALKPAPPAAGGFVELMMGLKPMADVAILDVTVPSRLIDSVKDAFRRRDESNGKMIRCTTFEAVAAVLWRCRTRAIISSSSGPEDDPVVALYFTVNARRFAGARDGYYGNCLTGRLVVATGRAVANGDLVGVVEMIRRAKEQIPEQFKEGGGDAGIGNGDVRRQQQVNVGGLVGYNLFIVTCWRNLGVDEADFGGGRPARVTSHTRQEVTAPACVACLPFRGNDGANVLSGCVRKEHADAFLAELLALSSTA* >Brasy1G536700.1.p pacid=40055638 transcript=Brasy1G536700.1 locus=Brasy1G536700 ID=Brasy1G536700.1.v1.1 annot-version=v1.1 MWQSKAIIFFFLLAVSLQACTAAKPLLTAITKNPSTSRYTAPLNAGRPLILDLNTGAVTTPCSGTTTRVTLSANATDGSRPLSPVSFPATASCSTGASVAGLGRSTLSFPAQVASTQKVANSFALCLPSDGRTGFSGTGVGAAIFGGGPFFLAPPADRPSVTTLLSAGVPLVRRPATRNPAAYYVAGTGIAVDGARVQGELTLGLSTTIPYTALRPDVYRALVNAFDRAMGRAAKVAAVAPFELCYDSSKLSPSRLGYLVPTVDFLLDRGVNWTVVGGNSMAQVNSGTACFAFVEEKESFGGAPAVVVGGFQMENKLVVLDEEKQTLSFTGYLPAMGFSCSNFNFGTAA* >Brasy1G258200.1.p pacid=40055639 transcript=Brasy1G258200.1 locus=Brasy1G258200 ID=Brasy1G258200.1.v1.1 annot-version=v1.1 MAPIRRGAPRPDFSSHPTDLELIDNYLRPWVTTGDRPWKFIHDADVYAANPEDLARTFSPALASDGQEAWYFFTPLRAKSRRGQRKSRTVGSGDDGCWHSERAAKPLFSGIVHSRQIGYRQAFSFTTKVDGRLVRSGWLMAEIGLTPGGSSEEELVLCKVYRTPREAPPTGSTAAARRVGAKIGRGKAGECSASSEENASGAGPAKASVYSAQPARASSSTDSAATESDSEQDSSSHAIIDTSLSAAPIRTQIQRVIAGDAVLTPRAEACRPPQVPFLPSSPIRRTSAAPRVAAVPSGSSAPPQRPDFAKHPSDQDLIKSYLIPRISSGEHPCKFTHDVDVYAADPAVLSGQYSPGTASDGEKAWYFFTTLPAKRIRGQRRPRTVGSGQGCWHSEAGVREVVDGDRQIGCRQFFSFMKKDEESGKSLRTGWIMVELGLNYGEHKGSSDELVLYKVYRSPRAGPATDAQQSTAATESSGRKRKASDDNSGAESPARGTKKSTAPAASGRKKKTDDKNSGAAACPPQLCTRCRIETAESHSGAADEEDETRGGSETGLLENDSLTDESAAPRGHERGESSGSGRTFYHFV* >Brasy1G432000.1.p pacid=40055640 transcript=Brasy1G432000.1 locus=Brasy1G432000 ID=Brasy1G432000.1.v1.1 annot-version=v1.1 MLSFGGSQQLPCSPLGEQEVLATQESSAAVKAKSTKGKHWSSDEDKRNPNAINCRWNTIREQSNKFCGCCQQIINRNCSGQTIDQKKKQKKTSDASPGTTSIDDDIGAHTDDLETEKRPLGTKREKERQRKGKASASESDGCKLSLETVWSQKLEKDEIKESTKTARYARAFELQERQIALQEREEARKRLELEDKIMSMDTSAMSAGQKQFYKDKQEEIIARHYNPAG* >Brasy1G037300.1.p pacid=40055641 transcript=Brasy1G037300.1 locus=Brasy1G037300 ID=Brasy1G037300.1.v1.1 annot-version=v1.1 MARIKMEAALEVSNKWQIIDVPLLRWRKKLKRAAQDCENEARRCRQLSQEEDERERMVRQSSFPRRVAHTTKAFISSLISRNNDHCSENIAAIRRFERFADGATEFIRFVQLGGTPRQHLFFNPLIRHIFSGKSLGYMILHPGGQYHFFGIRPMGFEEREMEAMLTFIYEDCKMPKNSFRLGLMMRLSESTDIIGTTIKCLRMVTPHFRSTADLVMKEISQLPTQDFDCAPPEVVNLHMEDWNHVHNTLTGWFRPDPLCCKGYEHDHDVPSCRDASASNINIGSEHKLRLASIFPEPVSQVFLQRHISLLEYSNLQGSTTRYDTSSLENYPPLKLGIMFLPHDSSEEPKSISQGSAIEVIDGDKQNFTHVNVHLDQLDEMV* >Brasy1G187600.1.p pacid=40055642 transcript=Brasy1G187600.1 locus=Brasy1G187600 ID=Brasy1G187600.1.v1.1 annot-version=v1.1 MSWLARSIAATLSSAPSDDDDSVETSGDKSPDHAVHPANIDDEEDEQPDTPSRGVKGDISELTESLSRRFWGVASFLAPPPQAEAEAVETAAAAEGESSGAQSPRVAGIRSDLAEIGGRVRSGISILSNANAMAEISKIASSLLPFVEDEEEEQVEAVGVTEEVVVFVRHISTQPETWHDFPLFVNDRQADDFELSDTQYEHALAIERIVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLNKQDAELLSTTQIFSFMQILEAREELLQSSLKMKKLGSEGPGGPSGSRNVSSTQAEDSEFSPSSIQYKSEMFEALSFQEPIPDPLPGIETVKHPILTSEPEMVDKSVIEEMVVTNEIKSILAVKPSLHTAEDDDDKEVEEWLEDMEHVSSKTGNETLSCQDEDVSFSDLEDEDDD* >Brasy1G187600.3.p pacid=40055643 transcript=Brasy1G187600.3 locus=Brasy1G187600 ID=Brasy1G187600.3.v1.1 annot-version=v1.1 MSWLARSIAATLSSAPSDDDDSVETSGDKSPDHAVHPANIDDEEDEQPDTPSRGVKGDISELTESLSRRFWGVASFLAPPPQAEAEAVETAAAAEGESSGAQSPRVAGIRSDLAEIGGRVRSGISILSNANAMAEISKIASSLLPFVEDEEEEQVEAVGVTEEVVVFVRHISTQPETWHDFPLFVNDRQADDFELSDTQYEHALAIERIVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLNKQDAELLSTTQILEAREELLQSSLKMKKLGSEGPGGPSGSRNVSSTQAEDSEFSPSSIQYKSEMFEALSFQEPIPDPLPGIETVKHPILTSEPEMVDKSVIEEMVVTNEIKSILAVKPSLHTAEDDDDKEVEEWLEDMEHVSSKTGNETLSCQDEDVSFSDLEDEDDD* >Brasy1G187600.2.p pacid=40055644 transcript=Brasy1G187600.2 locus=Brasy1G187600 ID=Brasy1G187600.2.v1.1 annot-version=v1.1 MSWLARSIAATLSSAPSDDDDSVETSGDKSPDHAVHPANIDDEEDEQPDTPSRGVKGDISELTESLSRRFWGVASFLAPPPQAEAEAVETAAAAEGESSGAQSPRVAGIRSDLAEIGGRVRSGISILSNANAMAEISKIASSLLPFVEDEEEEQVEAVGVTEEVVVFVRHISTQPETWHDFPLFVNDRQADDFELSDTQYEHALAIERIVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLNKQDAELLSTTQILEAREELLQSSLKMKKLGSEGPGGPSGSRNVSSTQAEDSEFSPSSIQYKSEMFEALSFQEPIPDPLPGIETVKHPILTSEPEMVDKSVIEEMVVTNEIKSILAVKPSLHTAEDDDDKEVEEWLEDMEHVSSKTGNETLSCQDEDVSFSDLEDEDDD* >Brasy1G070000.1.p pacid=40055645 transcript=Brasy1G070000.1 locus=Brasy1G070000 ID=Brasy1G070000.1.v1.1 annot-version=v1.1 MAITAQTPDIMGERQSGQDVRTQNVVACQAVSNIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLSVKVDKLGKDTLINCAKTSMSSKLIHTDSDFFANLVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKESYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGHADEVVEERISDDEIILVKGTKNTSAVSIILRGANDFMLDEIERSLHDSLCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHYSSMGLDLLKGIVRNNLEYGVIEPAMSKVKIIQFATEAAITIVRIDDMIKLTKEESGNEE* >Brasy1G070000.2.p pacid=40055646 transcript=Brasy1G070000.2 locus=Brasy1G070000 ID=Brasy1G070000.2.v1.1 annot-version=v1.1 MAITAQTPDIMGERQSGQDVRTQNVVACQAVSNIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRVDKLGKDTLINCAKTSMSSKLIHTDSDFFANLVVEAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKESYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGHADEVVEERISDDEIILVKGTKNTSAVSIILRGANDFMLDEIERSLHDSLCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHYSSMGLDLLKGIVRNNLEYGVIEPAMSKVKIIQFATEAAITIVRIDDMIKLTKEESGNEE* >Brasy1G328300.1.p pacid=40055647 transcript=Brasy1G328300.1 locus=Brasy1G328300 ID=Brasy1G328300.1.v1.1 annot-version=v1.1 MVKETAYYDTLGVSVDASPAEIKKAYYVKAKLVHPDKNPGNPDAAVKFQELGEAYQVLSDPAKKEAYDKHGKDGLAQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEIDEGSSNQEARAKVQEKIKELQKEREQKLIQSLKDRLQPYVDGRKDEFVEWANAEARRLSQAAFGEAMLHTVGYIYVRQASRELGKNKLYMGVPFIAEWVRDKGHIIKSQVNAASGAIALIQLQEGMKKMEEGANKEEQLMKSFEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKDNTVSKDVLKLRAKALKKLGTILQGVKSLYHRENSLRVETPTKQEGTVSH* >Brasy1G395500.1.p pacid=40055648 transcript=Brasy1G395500.1 locus=Brasy1G395500 ID=Brasy1G395500.1.v1.1 annot-version=v1.1 MASLTTRGSKDTSLVPVVLLLALLLVARGSDGAAAFSGLDTFRGPGEAEAFEAALLRQACFNVSSSSSSSSSHGCVSRLDTARGGSGSGPVPVLRAALRGALGEAVGAAEAVAGLASLSNHAREEMAVRDCVELLGYSADELGWSLDAMADDVSAVDAGTEEKEQHERARSAASVAAEESLHAWLSAALGNQDTCVQGFHGTDDGRLLRRVEASVARLTQLVSNLLAMHKRLRSIMPLHQQQHGKNNTADELPPWVTDDSVADEELARAHGGSSGKKKKTMRVDVVVAQDGSGRYRTVGEAVARAPSHSRRRYVIYVKRGVYHENVDVTKKKTNLALVGEGMGETVISASRSFSSGWTTFRSATVAVSGAGFLARDLTVRNAAGPGARQAVALRVDSDRSAFYRVALEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVIQRTLLATLPLAPGQTAGTVTAQGRKDPNQSTGFALHNCVVQAQHPTYLGRPWRPFSRVVVMESYLGPGVRPQGWLEWAGNAGLGTLFYGEYRNFGPGAGVGGRVRWPGYHVIFDPAWAGRFTVRRFIDGIAWLPSTGVTFTADLIKK* >Brasy1G457900.1.p pacid=40055649 transcript=Brasy1G457900.1 locus=Brasy1G457900 ID=Brasy1G457900.1.v1.1 annot-version=v1.1 MKDSQDIQSTTELQMSPQGTNEVQSNQANTVGTDAPVGDSGSLSVASNDNRKVSREDIELVQNLIERCLQLYMTKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQIILFNHLLQHQYNLMKYPAPPNPLAPIQNGIHSMPVNNLPMGYPVLQQPLMPAPGQPHIDAMVCGLSSGHVVNGIPAPGGYHPIRMNSGNDMVVDNGAPEAAHPGAMSSEMAVSPSSAASSNHAPFTPSDIPGMAMDTSALDSAFGSDVGNTGPLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPSDSDLLLDSPDHDDIVEYFADAINGTQSDEDKS* >Brasy1G508200.1.p pacid=40055650 transcript=Brasy1G508200.1 locus=Brasy1G508200 ID=Brasy1G508200.1.v1.1 annot-version=v1.1 MSISKKNTRALCLAALMAMAVLLISTCDARNEMDAAADLSTVKPRPCWPAYLPDCNTKDCIEICKGSKPVKRAYCVNPNLCHCCPY* >Brasy1G114800.1.p pacid=40055651 transcript=Brasy1G114800.1 locus=Brasy1G114800 ID=Brasy1G114800.1.v1.1 annot-version=v1.1 MSSSIPDTDELDGARSLALIAFTKLSMYESTTTSSSSSVSALSADLPPLLHCCFQLLPCLDHDLATRCVSRLCSFLHSILSGDPDPAFVPALEVFFQIFMNTDQLRRFIMVEQKGSEVSPPWRYELGVRLELMSHYFISFVHDEVGFEQFFSALSWSEKGIRHTPEFGLAGAISLVRRSYWFSMPVIPQAHFVLLASRCVGSGDLDMHLQVFRHAMEAYLIYLPELGVFDRCSTVKSPFSCFANRRLLNSPIPDATSQKLNCQINRFLLFCKAHSDDSPHVKEKDIFDVCVSFIEENQHVFPEQSRKDAVTVVKLIVYNIMDCAKQKEMHRFDSKVSEEIIYIVSVLKLIGSSFLEILHCSRQMRVPDDKNHENHIVVCISETIRLLGQYEADGLPIGDLFGLIVKPVDRERASVVMLFHFASLLVFCLRMGFGFLWRGCIIMMMLAMNLVIDEERSLGLFRFLIGSKDFAISSIGQNGNLKGSTQTKSSTAIALQFNNLHKRLSRDKVGHDFSEDSRRKSADGRAFFETIPGHKQNSSKWDDLLDFVECEQDMDYSSWWVQHNKFKQYVDGKWKRSKRPSWEVSKRRHYSAMKTKRTKTSRR* >Brasy1G261600.1.p pacid=40055652 transcript=Brasy1G261600.1 locus=Brasy1G261600 ID=Brasy1G261600.1.v1.1 annot-version=v1.1 MAALLFLALLSSLSFQFCSCASPWQTMTTGSHIRAEDHDRIFLLSSDTTFSCGFHQVGANAFTFSIWYTAMKTVVWTANPYSAVNGYYSPVNLYGSRISLNQDGNLVLTDTNGSTVWESKTSSGKPTTVSLLDIGNLIIKDFGNNIVWQSFDSPTDTLLPWQNLKKDTRLVSGYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNALTNGRNRYNSTRVAFLDDRGNFVSSDGFKIEASDSGHGIKRRITMDYDGNFRLYSLNASTGNWVVTGQAVIQMCYVHGLCGKNGLCDYSKGLKCTCPPEHVMVDPTDWKKGCKPTFTIGSNQPYQDFTFVKQPHADFYGFDLGSNQSISFQACWNICLNSSSCISFTYKGGDGWCYTKDLLYNGQVYPYFTGDNYMKVPNSFNSSASIPKQESLTCRPNGSDIMLGSATMYGLKKDNIKWIYFYVFTAILGALELLVIVTGWYLFFKKNNMPKSMEDGYRMITNQFRRFSYRELREATGKFKEEIGRGGAGIVYRGVLEDKKIMAIKKLTNVHQGEEEFWAEVTLIGRVNHINLVRMRGFCSEGTHRLLVYEYVENESLDKYLFGERSAESLLSWSHRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLTRDFNAKIADFGLAKLAKRDNTSFNFTHMRGTMGYMAPEWALNMPINAKVDVYSYGVVLLEIVTGTRVSSGVIFNGRQLEFPEFIQEAKQILATESITDLVDARLQGQFDPEQAIAMVTIAVSCLGDRSKRPTMDEIFKALMSYDGEEEDHPAYSY* >Brasy1G535200.1.p pacid=40055653 transcript=Brasy1G535200.1 locus=Brasy1G535200 ID=Brasy1G535200.1.v1.1 annot-version=v1.1 MSSIAVEGSVGSDTVVLPRTDFGDAYTSSGTSDVDAVRRGNRVRIDGMGSYAGVRSRNAGARTGEERLLRRRGTFSRSDVSRSAGSGNLVSSMRPSGNAREQVSSSGRAAHNSGPRRRELTSSITPQRSSRRDVIDRSGLSSTSRNTSNASGPRRGSCKTMDRGTAHSNREDCKKTAFASSSSMRPVSRASSHDKVSEKRGFQSTHFDNSCARMPALDAKCLEAEPCVVDTSEKEEFSRLLKAKINELGLSDRVEPSEACSRNLTASVLQELISALTNDTNTSVSQTSDHSDSSAHLNNVQTVCNSNDQSPDFQQRYQIQDDQEADSSATCMNDEPNQPSPTSVLEACLMREGKEFLSSIENKMEDLFNLESDIEDLAMSMDTDAHGSGEISCDQTFAVHDFEFLEGRLHSIGEAISNAELLLDSSLFCTTPSSLSLHYFIIEMLETVDVLCGGSKSLGFTEEKKYQHTNFLFDCIVESLDSKFSNFGKCGYKAWLRLPLTLSKDLLKGEISQEVSSWRQTSQISSNQAAEKELDQVAARWDACQVEAFDISVAIEEDILEALVDEFTFEQW* >Brasy1G318000.1.p pacid=40055654 transcript=Brasy1G318000.1 locus=Brasy1G318000 ID=Brasy1G318000.1.v1.1 annot-version=v1.1 MATEEFEVRSLADCEILLLQGSSPASTINLRGVAPLLHAPEMLQRLTDGGWTMQLAHIAVLEYISTTTICFRNTIGSLNNVLVGRKRIITRKALTDLYSTYHSLRSIVLDLGEDAEEGGDRCLLPHPTSRPEPHQNHHGCPNPGDSFSHHQAAALGKVRHRKAEESRGETEGRPASPSQAQGIHPSHRHWVRLGDGFVHAAEHGVGVAKLHPAGEGGERPLPPSAAPPHPRQTAVAVAAEPACSPPLQAQGQRRAR* >Brasy1G450800.1.p pacid=40055655 transcript=Brasy1G450800.1 locus=Brasy1G450800 ID=Brasy1G450800.1.v1.1 annot-version=v1.1 MSVSALTAALRAAGRKQLSASTLSGPTRPTKATGSHVLRIGDFTQVTDTVGNGTAVHSSTFAVGGHDWQIRCYPNGRDKEHEDWISVYLLRHAPAWGWANFIKPRNATAKFEFSVLDQAGKALHTRRSEYPGCTWGWAKFIKHADLHEEKHLNGDSLTLLCDVTLPGNTAELRVDDMDADVCKALLQFIYTESTPEMD* >Brasy1G428500.1.p pacid=40055656 transcript=Brasy1G428500.1 locus=Brasy1G428500 ID=Brasy1G428500.1.v1.1 annot-version=v1.1 MDLFPDRGHVRLRSRALGTYLHADSDGAIISFSGNRALMNVAWAVHVYQDDRGNMYVLLQGAAYGLYLASTGYRADPEVDGCQGICVYQHDYDEPEVQAIMWQVVVSGSGDDVLLRHANGGYLCANGRYPTIDDSDGRRSMMILWVVEPIPARQDMPGLPRPQIEDPHPGDHDIRMDPSEIRYPWRLIRYVLARADGWYSDDLYFECQFRGNSILHLRNWMVYQVGLWLTWNFLDVVMCVRPGRYARLSPMLIDLPRDGYGETLFMVVVMTGTPAEAHLQLRYPDIDAE* >Brasy1G518900.1.p pacid=40055657 transcript=Brasy1G518900.1 locus=Brasy1G518900 ID=Brasy1G518900.1.v1.1 annot-version=v1.1 MIVSYGSLAPKRYMYSEVMKITSSRNNQLGQGGYGVVFKGRLHDGRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHHSCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRRNVKSVVEKSSENYFPEWIYDHFAQDDGLRACEVTNEIEEIARKMTIIGLWCIQVLPVYRPTITKVLEMFERSVDDLDMPLKQNFCELLENTTHNMNAQSASSTIPEEIGLVNSNILKRLPTL* >Brasy1G301400.1.p pacid=40055658 transcript=Brasy1G301400.1 locus=Brasy1G301400 ID=Brasy1G301400.1.v1.1 annot-version=v1.1 MEFTGATISAPFGCRALRGGGQQRPLPAAGGAADGRKRRAAGSKPGTQQWRNWKVRCVATEKHKDNKAAAAAGLGVEFADEEDYVKGGGGELLYVQMQATKAMESQSKIASKLSPIADETSVLDLVVIGCGPAGLSLAAESAKKGLTVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDHNEPIMIGRAYGRVHRDLLHEELLRRCNEAGVTYLNSKVDKIIESPDGHRVVYCERGHKILCRLAIVASGAASGKLLEYEVGGPRVCVQTAYGVEVEVEQFPYDPSLMVFMDYRDCFKENFSQPEEANPTFLYAMPMSSTRVFFEETCLASKEAVPFDLLKKRMMFRLDAMGVRILKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILRNRVYSGQYLPGSSQDSSPSMLAWRTLWPPERKRQRSFFLFGLALIIQLDNEGIQTFFETFFRLPKWMWRGFLGSTLSSVDLMLFALYMFAIAPNNLRMNLVRHLLSDPTGSAMIKTYLAL* >Brasy1G273900.1.p pacid=40055659 transcript=Brasy1G273900.1 locus=Brasy1G273900 ID=Brasy1G273900.1.v1.1 annot-version=v1.1 MELAPGFQFSMSGTGYNFTFQHTCTLTLFLATRVEVFYLVLTLRDICPRKCERLKRPRSHWLTPDIASIHTEPMNRIKACFGILDKNFQGLGYLCMSNFRRRKTFGELDHYQMHHYLFGGYKFILL* >Brasy1G152000.1.p pacid=40055660 transcript=Brasy1G152000.1 locus=Brasy1G152000 ID=Brasy1G152000.1.v1.1 annot-version=v1.1 MMESDMENGRPYQERPRTFSTVRSKSSIPLVFRLLMKINPRALIVLLLLVVSGVFFLGASTSPIIVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGAISKMAGILALVILCIYLFRTTTPQQEASGLGRTTSAYITVIAFLLGALCSGLAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVALLYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGATMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVLTFGVSTRWLLYTEQAPTAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAFWLGRTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSGWACSAVGRTAQEVVTEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAILSPMAVGIIFRILGHATGQPLLGAKVVASMLMFATVTGILMALFLNTSGGAWDNAKKYIETGALGGKGSESHKAAITGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL* >Brasy1G223700.1.p pacid=40055661 transcript=Brasy1G223700.1 locus=Brasy1G223700 ID=Brasy1G223700.1.v1.1 annot-version=v1.1 MEVEADFDVEDSRSNNELQELCPVGEIDPKKGRFPYCIVWTPLPVVSWLAPYIGHVGICQEDGSVLDFAGSNLVSMNNFAYGSVARYFQLDRNKCCFPANLMAHVCKWSYKHAEVGTATSWDGALQLGTRHFQHKYYNLFTCNCYSFVANCLNRLAYSGYVEWNVLNVAALVWLRGEWVDRMSIVRSFSPFLIVACIGIFMAGWCFLIGIVAFSSLLIGWFVFAVYCSKVELKLIERIHLLHLDHRVQTKGKATSPLSTPIGPRCE* >Brasy1G223700.3.p pacid=40055662 transcript=Brasy1G223700.3 locus=Brasy1G223700 ID=Brasy1G223700.3.v1.1 annot-version=v1.1 MEVEADFDVEDSRSNNELQELCPVGEIDPKKGRFPYCIVWTPLPVVSWLAPYIGHVGICQEDGSVLDFAGSNLVSMNNFAYGSVARYFQLDRNKCCFPANLMAHVCKWSYKHAEVGTATSWDGALQLGTRHFQHKYYNLFTCNCYSFVANCLNRLAYSGYVEWNVLNVAALVWLRGEWVDRMSIVRSFSPFLIVACIGIFMAGWCFLIGIVAFSSLLIGWFVFAVYCSKGLVR* >Brasy1G223700.2.p pacid=40055663 transcript=Brasy1G223700.2 locus=Brasy1G223700 ID=Brasy1G223700.2.v1.1 annot-version=v1.1 MICCFPANLMAHVCKWSYKHAEVGTATSWDGALQLGTRHFQHKYYNLFTCNCYSFVANCLNRLAYSGYVEWNVLNVAALVWLRGEWVDRMSIVRSFSPFLIVACIGIFMAGWCFLIGIVAFSSLLIGWFVFAVYCSKVELKLIERIHLLHLDHRVQTKGKATSPLSTPIGPRCE* >Brasy1G445200.1.p pacid=40055664 transcript=Brasy1G445200.1 locus=Brasy1G445200 ID=Brasy1G445200.1.v1.1 annot-version=v1.1 MEECLDHDQQQQEEEKSSNGKLTQRLEGIEEEGGPAETWPPPPTAAKTVRAPETPTETMEFLARSWSLSAAEISRALKVLSSKGAAVCDDDIPDAAVPCAALGKEQRPPPDASSMAGTSPPFSPRAGATMDAQAQLLRAAGGRAGAGGKMTMGAWMKEQKEKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSSPPSAPPPSDPAANNAKTAAAIASAAALVASHCVEMAQAIGASHEQIVASIGSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQGAALPGGDSGRSASERDVSPLVFVSRGGELLKRTRQGILHWKLVTVYIDTNFQVILKMKSAHMAGTFIKTKKCVVLDVRAEVAAWAGREVDDGMGLALARRGYFGVRTEERVIEFECRSKREQRRWVQGITEMLSRRDNNINIAL* >Brasy1G244900.1.p pacid=40055665 transcript=Brasy1G244900.1 locus=Brasy1G244900 ID=Brasy1G244900.1.v1.1 annot-version=v1.1 MAAPPVFPDLPLMTHGDGYNDRSTLQDHLALPYITRILMEEEDDVDDDHPALLHAQQPFAQILYSSSTLASALLADQGDTSSPSSAIFKFKGADEVGSLLLLADREYSKDMFSTAFLKGMEEANKFLPANNNLTSDGQGKVKEKSGRGRKDRHDELDVEVGRTSKLVEARACEVFDQMCGAVDNESGNNNKKGRKNKVHVVDLHTLLVHCARAVMDDRQSAGELLKEIKKHASPTGDAAQRLAYWFAEGLEARLAGTGRQVYGLLTAESTSALARMEAYQAFMSTCCFRRVAFLFANKAIFNVALGRSRLHIVDYGLRYGFQWQELLRWLAARDGGPPEVRITHIDIPQPGLHSEKQMKEMGDWLTDIARDLGVPFKYRPVMAQWQTVCIEDLDMEPDEALAVNDLFNFRTLMDESVVIASPNPRDAVLRNITKMEPDVFVQCIVNGSYGTFFLSRFREALFYHSALFDMLDATMPRDCRLRLALERDVFGWVALNAIAYEGEDRVERGETYKHWQVRNQRAGLRQLPLNRETVKMARGIVKNDYHKDFVIDEDHQWLLQGWKGRILYAHSTWVAERR* >Brasy1G399400.1.p pacid=40055666 transcript=Brasy1G399400.1 locus=Brasy1G399400 ID=Brasy1G399400.1.v1.1 annot-version=v1.1 MNKRSRGGHHSWSNCGHDEWRDWANLPSLVLDDIAGRLLHYDVAEYLRLRAACKDWRDCTAEGGDLDSRFRPRRWIMLSNNADGVRRRFLNLITGALAHVDLPELSSHHLETSTEGLLLLRHRASHAVRLLNPLTKSLTDLPPITVELDSVYGYWAVEGACPQRLIYAGISDETSPPTVVLFVRGKMCAILYAKLGDQRWAVMEDEGVRSLPFFVWPSYDTPLNYISHLSVSTILGSIYLASFEGNVLKLVLHPKPKLVPVAISQPFNSGICKSTCYLVPVDDNSGMIMVRYYPNLDHLTAHERASMKSRKNRDVIKMDGHLRKCTWRLIQVFKVDLTGKRLVQVEGIGHRTLFVGSMACFSLCSKSFPPIAGNAVYFGVIRTKLSAVGVRYLGDKSIDPPFEFALVDANPKPCFPVQCSFKQPLPLARPCTLQEYLVCYTATYDGLKD* >Brasy1G166700.1.p pacid=40055667 transcript=Brasy1G166700.1 locus=Brasy1G166700 ID=Brasy1G166700.1.v1.1 annot-version=v1.1 MEGVYTRLTFSFGARLLFFPPSPSQRGHKSPKNAAAARRRRRIGRAMDEGVVSVDKFSGGSQAYFLTHLHQDHTRGLDAVRGWRHGPLYCSPITARLLPTRFLGIDVSLLRPIAPGASASLSLTSPISGRPISLLVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELGCEEARSAKKALLHALAGDSVDVLYLDNTYCYPSLNFPPRRVVAEQIVNIIRAHPDHEVIIGVDTLGKEDLLLHLSRALQMKIWVWPQRLLTIHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLEALNTVCPTIGIMPSGIPWLWKNSEGMAKSSGKSPTKYFRCKAQERDVGTIEMDYDPLSPPKLFEKDSYALPYSEHACFSELEAFMQAVRPSTVMGIVSRSFCYVNPRYHFGHLCRDDDSDKTPVKNSGHTGTLTPKRRPSGSKTPKERVVRISSSTLYRSRVIMKRKECHGARIEEPEEPIGVA* >Brasy1G544700.1.p pacid=40055668 transcript=Brasy1G544700.1 locus=Brasy1G544700 ID=Brasy1G544700.1.v1.1 annot-version=v1.1 MAAAAAAVEALPDDLLLEIFSRLQDPIDVLRCAATCAPWFRVILAAAAAGDLTPALPRRGSTFVLGAFHQRNELPVYRSAPSKPSYSRPPRFVRLHDPPLPAGPAPIVSNNSDDDGLLYAKPLASRRGLLLSRLMPPPDPLDRRKLRLAVCHPLLAGRPRLLPPPPFDLDPDQLPRDLTGYALLPDVDGPGEFRVLITAVVVSDSGDHHHRACSYSSATDLWSAPVECPTGALAMSGPRAGTVDAHGTAHWLYRENNSPSSSFYTLSIVSGAGDATLHATLAKLPIIVHLLTPQQQIFQRALFLFPCLTGGGDLALVHKRWDNDTNHGSGTLDLWVKADDGSRSWVRSELAAFPHSAFLNLRGLGLAESAGKLLVHHGIVRLFLWIDIESGTMERVRSLSCNYDQTCRERHYSTHVCGDGLAATCQGYDSCHVCTYNCGVIYEVHWASFLRHISAWS* >Brasy1G288500.1.p pacid=40055669 transcript=Brasy1G288500.1 locus=Brasy1G288500 ID=Brasy1G288500.1.v1.1 annot-version=v1.1 MATMSAIMRSILACVPPAQSSTSDGSLSADFSTAFPGGDGVDRISRLPDVLLSDIVSRLPVKDAERTAAISPRWRSLRASTPLVLDDSDILPCSDDDDDDDDYCFALTDWRALTETISRILSGHKGPIRCVRLTAVCNYAAARDGSALVRWLRLFAAKGVQDLALVNFPNWPFKNNLPAEILSVASLRRLYLGLWNKFPDTEDLPRGAHVFPHLVELGFCRTDIKAKDLDRLLQCSPVLEKLALVFCYDTPRDVRVRSRSLRCVLFWMSIADALAVVVAPRLERLILWNDCPGAWLEKDFRIRVKIGYAPKLKVLGYLEPCIHVLEIANTVIESGTRPSPLTTAPSVEILALRVRFGVRKEAKMLPTFLRCFPNVETLHVMSIEPDEPTGKLNFKFWQDVGPIECLQLHIKKVVFKNFKGNRSELAFLRFVVERAQQLLKMVVVLADGDPASEGRLVAKLKPLACSTKRANRDPKFTILVRNGGRSWSFRIASDLSLSDPFDC* >Brasy1G232500.1.p pacid=40055670 transcript=Brasy1G232500.1 locus=Brasy1G232500 ID=Brasy1G232500.1.v1.1 annot-version=v1.1 MMGRAYGPAGRGRGEAEEAMLPTASEAAEFASFAPASGSVAVYIFDTTWVVLPPIQRVFLYELAEDDGFPAVVDRLKRAVADTQAHYLPQTGTLEYVAETGDAGWPSSRPRAAWTTARTTPVLKIHRPPCRSLATALPGAIEDSQSVNVIVRLVQGAGEGGGGA* >Brasy1G074700.1.p pacid=40055671 transcript=Brasy1G074700.1 locus=Brasy1G074700 ID=Brasy1G074700.1.v1.1 annot-version=v1.1 MGTKMRLLCLAAAVAAASILLTASAKKSADVTQLQIGVKYKPESCTLQAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLRIPAKMGYGERGSPPKIPGGATLVFDTELIAVNGRTSDGATSKSNSDL* >Brasy1G074700.2.p pacid=40055672 transcript=Brasy1G074700.2 locus=Brasy1G074700 ID=Brasy1G074700.2.v1.1 annot-version=v1.1 MGTKMRLLCLAAAVAAASILLTASAKKSADVTQLQIGVKGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLRIPAKMGYGERGSPPKIPGGATLVFDTELIAVNGRTSDGATSKSNSDL* >Brasy1G487700.1.p pacid=40055673 transcript=Brasy1G487700.1 locus=Brasy1G487700 ID=Brasy1G487700.1.v1.1 annot-version=v1.1 MSDRLGNMNPVACLPLRASAATPPFPSRCRAAPLPHSLRLSSSSSCERLHRSNSRTGFLGKSQGIRLRVIPAGRVGFVRKTVECKESRIGKKPIEVPSNVTLTLEQQFVKAKGPLGELSLNYPNEVKVVKEESGKLRVFKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKRLQLVGVGYRATVEGKDLVMNLGFSHPVRMAVPEGLQVKVEENTRIIVSGYDKSEIGQFAATIKKWRPPEPYKGKGIRYQDEIVRRKEGKAGKKK* >Brasy1G203400.1.p pacid=40055674 transcript=Brasy1G203400.1 locus=Brasy1G203400 ID=Brasy1G203400.1.v1.1 annot-version=v1.1 MYGKGFIKHTGTVARWFRTLASRGVRELDVDMLYTVPKPPLPALLLQFASLETLRVCHCDLPDYAQAGALWLPVLRTLDLSNVGVTQHTLQAMLSHCPSLECAKLKNITGAGKICLRSKSLTRLYGDFGDLKELVVTDAPNLEELVGIGLPSGAATLKIDFAPKLQVLGYLGKSVRPLVLRDTIFDGGIVQSRTLMSSVKTLAIQVPFSENGYTVFVTQLLKCFPCLELLYVEPDNRLDPRRVNVENWDTTTSIQCVEHTINKLVFECFEGEWYQWDFLTFLLGMARALKIVEIYFPKSKDWSSSRRQPVRSINRASQDVEFLVFRTYEPTNSMYLCHCCPARCHKQNKILLPCEI* >Brasy1G338200.1.p pacid=40055675 transcript=Brasy1G338200.1 locus=Brasy1G338200 ID=Brasy1G338200.1.v1.1 annot-version=v1.1 MPFPSPPTARNRPATKTSAAGPISPSLASSGARSAAAPPPTDSSCRRARGEHPEAPRRAPERWTGRRLLRLRPLPRRLPRGLLLLHCACRPTAASTSHDDRQDPRPYEEFFHVDPVAPATFQEC* >Brasy1G248100.1.p pacid=40055676 transcript=Brasy1G248100.1 locus=Brasy1G248100 ID=Brasy1G248100.1.v1.1 annot-version=v1.1 MSAMCLAALLFMATILSSCHADQGHVDRQRDPCLRTLLGCSLPTCSQICNYVEGAHCTDIGLCSCCPSAAKGENADKKTVKN* >Brasy1G378300.1.p pacid=40055677 transcript=Brasy1G378300.1 locus=Brasy1G378300 ID=Brasy1G378300.1.v1.1 annot-version=v1.1 MVLRRAAVESPKKVAALVDLVNLPTALREFAGGRSQMSHLSFFLGVWSHIKNNNLQDPTNRSIVNCDEKLKTVLSGRSKVELSELPMLVKLHFPKAIK* >Brasy1G081400.1.p pacid=40055678 transcript=Brasy1G081400.1 locus=Brasy1G081400 ID=Brasy1G081400.1.v1.1 annot-version=v1.1 MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMYKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMSSKRQYKEAAAQLEAVNQLCSHFEAYRDVPKISELREKLKNIKKILKSHVYSDFTSLGTGKETEDANLLQQLSDACLVVDALEPSVREELVKNFCSKELISYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRTQLVDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGTASARNKELESDDENEGAEQNKIVSDIRKKYEKKLTVPNDEAEKDKDKQKDLSVPGAGFNFHGIISSCFEPYMAVYIELEEKSLVEQLDKLIQEEKWETEEGSQTNILASSMQVFLVIRRSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRISDRDEKMICYIVNTAEYCHQTSGELAENVAKMINSQFSDKVDMSEVQDEFSAVITKALMTLVHGVETKFDAEMAAMTRVPWATLESVGDQSEYVNGISSILSSSVPALGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQTTVAASYSKFVSREMSKAEALLKVILSPVDSVASTYRALLPEGTPLEFQRILELKGLKKADQQTILEDFNKHSPSIKHPTITPTVAPPVATASVPIAPVATQAVSVTPSMSALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA* >Brasy1G345000.1.p pacid=40055679 transcript=Brasy1G345000.1 locus=Brasy1G345000 ID=Brasy1G345000.1.v1.1 annot-version=v1.1 MDQYQSQEVSENYSNPKTCLFHVLFKAGALAFYILSALFVHNFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEINDEGNSVWKFECLDEQSLARMNKKDSWLFWWTLYLAAAAWIVLGIFSLIRLQADYLLVIGVCLSLSVANIIGFTKCKKDAKKNFQDIAQSALLSSSMRSTLQSAFGV* >Brasy1G490200.1.p pacid=40055680 transcript=Brasy1G490200.1 locus=Brasy1G490200 ID=Brasy1G490200.1.v1.1 annot-version=v1.1 MAAAALSSSRRALHTLHRHLLVRPCPAVALRAPSAPAPRHFPSPSAASRFFTTAHPEVRLPRHNLLAPQQQSTAMRRLVGGFRSFSSGGRGGSKLAPLGQGVKGLGRPVEAAKSAAARYREAVGLQVEAFWRRNYMLLVGAGGVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYARARFAINPDKVYRIAMTKLNTSAAILEVMGAPLTGTDVRAYVMSGGGPKLKDFKFKVGGKRCFLIFPIKGSERKGLVSVEVKKKKGEYDMKLLAVDIPMATGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDERREREEAEEEAAEALRREEERLREEAKERHRREAELEKAS* >Brasy1G084900.1.p pacid=40055681 transcript=Brasy1G084900.1 locus=Brasy1G084900 ID=Brasy1G084900.1.v1.1 annot-version=v1.1 MDAMEVEECPFLANDAKLHAGMCRAFHPAVSKLLAIFPFIEASRPRSKSGIQALCSLHVALDKSKGLLQHCADCSRLYLAITAETVLLKFEKSRSQLQESLRRVESIVTEDIGHKIVEIIGELEEVVFTLDQSEKEAGDEVINLLQRSGKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSGDDKRKEFVVSYLYNLMRKYSKFFRSEAGDDTDSQGSAPCSPTVLGMDDMYGQYGPCGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRPSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQLLAHLSLTPNYCVKAMISSWCEQNDFPVPDAPPGSFDVNWRLALSDSQATGCVSVDSFDTSNIKGVKVVPLENGRKEEPANSESGTLDDSSCFEFDMNEGYRNLLLMLNERNNLLNQCRLVAQIRYLLKDDEEARIQMGSNGFAEALVQFLRNCVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMTSNPRLTAAATALYLNLSCLPDAKSVIGSSQAVPFLVDRLYNHEGCDTKTSSCKHDALYTLYNLSTHQASIPSLLSAGIVDALHCLFTESSVSEGLGWTEKSLAVLISLAATQAGRKEIMSTPGLISTLAMLLDTGEPTEQEQAVSCLLAMCTADDKCIAPVLQEGVVPSLVSISATGTGRGREKAQKLLKLFREQRQRDGGQPTPQQPLSEAGNGAIVCHRESKPLCKSKSKKLGRTLSSLWKNRSFSLYQC* >Brasy1G278200.1.p pacid=40055682 transcript=Brasy1G278200.1 locus=Brasy1G278200 ID=Brasy1G278200.1.v1.1 annot-version=v1.1 MPIATGLEREELEGDLQGKKRFDMDAPVGPFGTKEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDQPHECPVCSQYFTLKVIGDLGDPDGHDDDDDGHHH* >Brasy1G167700.1.p pacid=40055683 transcript=Brasy1G167700.1 locus=Brasy1G167700 ID=Brasy1G167700.1.v1.1 annot-version=v1.1 MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVQAYRLDCPAALNRLVQSGVPATVELRASTNSSAPAATAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGTVLPPDFEGKVKVNEWLAKLHKMGAGDELTEQQARQLNFDLDSAYSAFMASLPTAGL* >Brasy1G115800.1.p pacid=40055684 transcript=Brasy1G115800.1 locus=Brasy1G115800 ID=Brasy1G115800.1.v1.1 annot-version=v1.1 MATRGLPPFLLIATLLVALLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPTFGKGQTPELNLASHCDPSSGGCRGQSKDISSCQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNYLGGSSSSRPLGDAVLDGIDFDIEQGGAKFWNDLARDLKNLGKNGGKEVLLSAAPQCPFPDEWDGGAISTGLFDYVWVQFYNNEECQFSAGKGAFMDAWKKWVSVPAGKIFLGLPAAKDAAGTGFVPAAELNSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSDAIKSHV* >Brasy1G281600.1.p pacid=40055685 transcript=Brasy1G281600.1 locus=Brasy1G281600 ID=Brasy1G281600.1.v1.1 annot-version=v1.1 MGPTALLAVIAVAALLLAPAASAASSQEPPATPCAAAIVAFSPCLEHVAVVAPPAVATPAPTGACCAAFLRAVSAGDGEGGGGEGCFCHLVRDPLLFGFPVDVGRLGELLPTCASANASAATTVEAEALFADKCRELKSLPEMHLTPPSPPPSPKLSPGPASSPKVEAAQSTTSAPRDRSGSDGLCAFRVSLMALVFTAAVLIMLQLW* >Brasy1G403300.1.p pacid=40055686 transcript=Brasy1G403300.1 locus=Brasy1G403300 ID=Brasy1G403300.1.v1.1 annot-version=v1.1 MDAEPRSKRLETEHGCSLPEEIVEDILSLLPVKSLARFRCFRKLHSQRSRGKHNSLFLKPRRRREFYAWNLGSPVVETIIDHWRQPQGNIVFPVTKSCHGLVILRSLDYDMYYVWNPSARDILALPDSTPARVAWRGTDTITRAVYGMGYCGSTTQQYKVVRMYSLHHGSDTTTVCEVFTLDESTFWRPAATQPTGSVCQLRINGGQGAVLCNGNLHFVQKCGLYRLTVFNVNDETFSTMILPADQWGSCWEYDFMLTELDGCLCAYRKLDHDPYYIWLLKDYEAGKWDRLRCIDWGSISETDNAALKSSWITPLEMYYDDKDQKKKKIMFGTGTCKVFVVDPDTGVPEILFSPHDTINQDRFGDCDDSQYPTMGLFEESLVSVGRKSEEIIFSSPTTQGWSEVLMHLPARTVGRLNQVCKGWRAMIKADCFVEAHLYRANLNRSPWIMFADGTPHGFRALEDFINTSNEPPLVDADSRLVCSKPCYGLNVGSFARYDFLCNP* >Brasy1G143200.1.p pacid=40055687 transcript=Brasy1G143200.1 locus=Brasy1G143200 ID=Brasy1G143200.1.v1.1 annot-version=v1.1 MSKLANAFSLLNLDGEDDDDDDEVTKTSTSIEETAANKADKGVKNDTTVVNYNEGTLASSSGGYRMPLVWIDLEMTGLDISKDRILEIACIVTDGKLTKQIEGPDLVINQSKDCLDNMGEWCKTHHASSGLTERVLQSEISERDAEKQVLDFLMRHVGSGTPLIAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKQTPRKEKTHRAMDDIKESIAELKYYKDNIFKPQKSKK* >Brasy1G143200.2.p pacid=40055688 transcript=Brasy1G143200.2 locus=Brasy1G143200 ID=Brasy1G143200.2.v1.1 annot-version=v1.1 MSKLANAFSLLNLDGEDDDDDDEVTKTSTSIEETAANKADKGVKNDTTVVNYNEGTLASSSGGYRMPLVWIDLEMTGLDISKDRILEIACIVTDGKLTKQIEGPDLVINQSKDCLDNMGEWCKTHHASSGLTERVLQSEISERDAEKQVLDFLMRHVGSGTPLIAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKQTPRKEKTHRAMDDIKESIAELKYYKDNIFKPQKSKK* >Brasy1G088100.1.p pacid=40055689 transcript=Brasy1G088100.1 locus=Brasy1G088100 ID=Brasy1G088100.1.v1.1 annot-version=v1.1 MPKTSFSRSSEIRRHSWRSGGASGDSGRWTISATLGRRGRERGGRGRRRRGRGTPAAAGYEQRTKRRGSGSRRRTRTPAGPVGGISGGLTHPGGGSRREKGADGGLQAREGEGARDPAGEDGAGSRGRRRRSGAPDPAEEGGGAA* >Brasy1G242700.1.p pacid=40055690 transcript=Brasy1G242700.1 locus=Brasy1G242700 ID=Brasy1G242700.1.v1.1 annot-version=v1.1 MPMLDKKRKRRMRTAPRLIRVRPAGSKKKMPSSEVAQPVYLVLEHGGDLKPFYSVIEVAAGAGANPIQDVSGGMSFAAVETRHGPRIVGVGLNSATVFDPNKSMEIAAPRLVYPKLEPVLIAHGSKLYALSRIPSVVMGVDFMPWFFVLDLEASYATTGTVRGTRWLRLPPPPVFPCRLNPLEYRDPPEYRVAAYAVVGSHILLSVSVQPQQQDKGICAFDVDANEWEMVDDKNLPFIGQAVPLGDHHFLACSEANGGAAKVYYMQVFKPGTSGTGKTELSIIEVAEVSKGIVPGQLLCSMGKGSFSSFDVTSDDPKIDAKRDKARIIHRTYSRIVDADTQSTVIMKQQEHIFKLRDPYNHLDRPTRVVAAVAMDKEW* >Brasy1G242700.2.p pacid=40055691 transcript=Brasy1G242700.2 locus=Brasy1G242700 ID=Brasy1G242700.2.v1.1 annot-version=v1.1 MPMLDKKRKRRMRTAPRLIRVRPAGSKKKMPSSEVAQPVYLVLEHGGDLKPFYSVIEVAAGAGANPIQDVSGGMSFAAVETRHGPRIVGVGLNSATVFDPNKSMEIAAPRLVYPKLEPVLIAHGSKLYALSRIPSVVMGVDFMPWFFVLDLEASYATTGTVRGTRWLRLPPPPVFPCRLNPLEYRDPPEYRVAAYAVVGSHILLSVSVQPQQQDKGICAFDVDANEWEMVDDKNLPFIGQAVPLGDHHFLACSEANGGAAKVYYMQVFKPGTSGQLLCSMGKGSFSSFDVTSDDPKIDAKRDKARIIHRTYSRIVDADTQSTVIMKQQEHIFKLRDPYNHLDRPTRVVAAVAMDKEW* >Brasy1G242700.3.p pacid=40055692 transcript=Brasy1G242700.3 locus=Brasy1G242700 ID=Brasy1G242700.3.v1.1 annot-version=v1.1 MPMLDKKRKRRMRTAPRLIRVRPAGSKKKMPSSEVAQPVYLVLEHGGDLKPFYSVIEVAAGAGANPIQDVSGGMSFAAVETRHGPRIVGVGLNSATVFDPNKSMEIAAPRLVYPKLEPVLIAHGSKLYALSRIPSVVMGVDFMPWFFVLDLEASYATTGTVRGTRWLRLPPPPVFPCRLNPLEYRDPPEYRVAAYAVVGSHILLSVSVQPQQQDKGICAFDVDANEWEMVDDKNLPFIGQAVPLGDHHFLACSEANGGAAKVYYMQVFKPGTSALVFHGEGQFLLFRRYIR* >Brasy1G082100.1.p pacid=40055693 transcript=Brasy1G082100.1 locus=Brasy1G082100 ID=Brasy1G082100.1.v1.1 annot-version=v1.1 MAADATVFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALEILRERKSKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKRMHEVKEIEGHDSCDDLQILRYGFEGFDEKGIFITADSDTTRKRKDVDKDHADQDSSDGATAKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGITRENVASHLQKYRLYLGRLQKQNEERILGAARQDFSNKGTSENLNLRSSFQDQPSNVSSGYPHASQKIQGQSSMLDSQLEDTKCTVPLPASDKSRNSVNDVADSQNVAGASPLSGVLSFKGLPVNQDRKPSETMIMECQTWNGGVPSKQFMQYPKHNHARCDLLGDYACLPKPDLEHPAAPGNFFTPPPLISMSCSMELDARNFSDVKPALLDCIKSLSPALTCTVDSVSVQLSDSVVTSTSSDRKFSTVEGLPYFDQTNSQGPLFRSQEPSTICGADLASLPEDLPSYPLQGVSFENIGLSSIDLFHYNDTMLLAGLQSNWYDDQEFISETTDYPLMDGCLFA* >Brasy1G082100.3.p pacid=40055694 transcript=Brasy1G082100.3 locus=Brasy1G082100 ID=Brasy1G082100.3.v1.1 annot-version=v1.1 MAADATVFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALEILRERKSKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKRMHEVKEIEGHDSCDDLQILRYGFEGFDEKGIFITADSDTTRKRKDVDKDHADQDSSDGATAKKARVVWSVDLHQKFVNAVNQIGFDIGPKKILDLMNVPGITRENVASHLQKYRLYLGRLQKQNEERILGAARQDFSNKGTSENLNLRSSFQDQPSNVSSGYPHASQKIQGQSSMLDSQLEDTKCTVPLPASDKSRNSVNDVADSQNVAGASPLSGVLSFKGLPVNQDRKPSETMIMECQTWNGGVPSKQFMQYPKHNHARCDLLGDYACLPKPDLEHPAAPGNFFTPPPLISMSCSMELDARNFSDVKPALLDCIKSLSPALTCTVDSVSVQLSDSVVTSTSSDRKFSTVEGLPYFDQTNSQGPLFRSQEPSTICGADLASLPEDLPSYPLQGVSFENIGLSSIDLFHYNDTMLLAGLQSNWYDDQEFISETTDYPLMDGCLFA* >Brasy1G082100.2.p pacid=40055695 transcript=Brasy1G082100.2 locus=Brasy1G082100 ID=Brasy1G082100.2.v1.1 annot-version=v1.1 MPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKRMHEVKEIEGHDSCDDLQILRYGFEGFDEKGIFITADSDTTRKRKDVDKDHADQDSSDGATAKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGITRENVASHLQKYRLYLGRLQKQNEERILGAARQDFSNKGTSENLNLRSSFQDQPSNVSSGYPHASQKIQGQSSMLDSQLEDTKCTVPLPASDKSRNSVNDVADSQNVAGASPLSGVLSFKGLPVNQDRKPSETMIMECQTWNGGVPSKQFMQYPKHNHARCDLLGDYACLPKPDLEHPAAPGNFFTPPPLISMSCSMELDARNFSDVKPALLDCIKSLSPALTCTVDSVSVQLSDSVVTSTSSDRKFSTVEGLPYFDQTNSQGPLFRSQEPSTICGADLASLPEDLPSYPLQGVSFENIGLSSIDLFHYNDTMLLAGLQSNWYDDQEFISETTDYPLMDGCLFA* >Brasy1G205700.1.p pacid=40055696 transcript=Brasy1G205700.1 locus=Brasy1G205700 ID=Brasy1G205700.1.v1.1 annot-version=v1.1 MGKETTVVGTAVAVCAAAAAAVGVAVAVSRRKSRRGAKAERRKKAADVIEEVDQAFATPTPMLRDIADAMVEEMERGLRADPHAPIKMLISYVDNLPTGDEQGLFYALDLGGTNFRVIRVQLGGKEKRVVQQYEEVAIPPHLMVGTSNELFDFIAAELERFVATEGDDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGDDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGIYADKDVVAAVILGTGTNAAYVEHANAIPKWNGLLPRSGNMVINMEWGNFKSDKLPNSEYDRALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLLGDVVPPKLEHLFALRTPDMSAMHHDTSHDLRFMLSKLKDILGVADISLEARYITLHICDKVAERGARLAAAGIYGILKKLGRDRVPSDGSPKKRTVVAIDGGLFEHYKKFSSCVEATLADLLGEAASSVVVKMANDGSGIGAALLAASHSQYAIVE* >Brasy1G166500.1.p pacid=40055697 transcript=Brasy1G166500.1 locus=Brasy1G166500 ID=Brasy1G166500.1.v1.1 annot-version=v1.1 MAANPSSPSAGGGDVASPSLPPVRLSAAQAATIQPSSPRYFFSSLAGGNASSHRRIAIAVDLSDESAFAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDDGSADGEDAPAAAAEGAEAASAEELQKKREEDFDTFTSTKSQDLAQPLVAAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGIVGEAAGATDELHTVPEDEPVYHDAPDAHKEN* >Brasy1G315400.1.p pacid=40055698 transcript=Brasy1G315400.1 locus=Brasy1G315400 ID=Brasy1G315400.1.v1.1 annot-version=v1.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAAEIKNRMASQPAANASKPATVQMRGQPVAQQNGCCSS* >Brasy1G340700.1.p pacid=40055699 transcript=Brasy1G340700.1 locus=Brasy1G340700 ID=Brasy1G340700.1.v1.1 annot-version=v1.1 MDMADIEAGTVATGSGSSRRSQDDTATLIPNSGNLEGSSHRATKTTRFKDEDEVVEITLDVQRDSVAIQDVRAVDDGGSGHGGGFDGLSSSSTSRGGKLSSKLRQVTNGLKLKNPSKKVPQAPAPKTVRKRFDRSKSTAAVALKGLQFVTAKVGNDGWTAVEKRFNNLQVDGMLLRSRFGKCIGMEGSDEFAMQMFDSLARKRGIVKELLTKDELKDFWEQLSDQGFDNRLQTFFDMVDKNADGRITAEEVKEIITLSASANKLSKIKERADEYTALIMEELDPNNLGYIELEDLEALLLQSPSEAVARSTTTHSSKLSKALSMKLAPSKDMSPLRHHWQELLYFLEENWKRIWVATLWLSICIVLFVWKFIQYRNRAVFHIMGYCVATAKGAAETLKFNMALVLLPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPLLLHASDAKYEPMKPFFGDKRPPNYWWFVKGTAGWTGIVMVVLMSIAFVLAQPWFRRNKLKDTNPLKKMTGFNAFWFTHHLFVIVYALLIVHGSSLYLTKEWYKKSTWMYIAYPVFLYLCERIVRLFRSHDAVKIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSRGITDGNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHLQRGGSVGGTEPEASGRPKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKRVAVNHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF* >Brasy1G315900.1.p pacid=40055700 transcript=Brasy1G315900.1 locus=Brasy1G315900 ID=Brasy1G315900.1.v1.1 annot-version=v1.1 MATTTTARASLALVLLLLTAAVGAANCTTVAYNDRALVIDGQRRIVISGSIHYPRSTPEMWPDLMKKAKEGGLDAIETYVFWNGHEPRPRQYNFEGNYDIVRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRMHNQPFEHEMETFTTLIVNKLKDANMFAGQGGPIILSQIENEYGNIMANLTDAQSASEYIHWCAAMANKQNVGVPWIMCQQDADVPPNVINTCNGFYCHDWFPKRTDIPKIWTENWTGWFKAWDKPDFHRSAQDIAFAVSSFFQKRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNIREPKYGHLKDLHAMLKSMEKILVHGDFNDTNYGNNVTVTKYTLDGSSACFISNQFDDRDANATIDGTTHVVPAWSVSILPDCKTVAYNTAKIKAQTSVMVKKPNTVEQEPENLKWSWMPEHLKPFMTDEKGSFRKNELLEQITTSTDQSDYLWYRTSFEHKGEAKYKLSVNTTGHQIYAFVNRKLAGRQHSPNGAFIFQLESPVKLHDGKNYLSLLSATMGLKNYGALFELMPAGIVGGPVKLVDNNGSTIDLSNSSWSYKAGLAGEHRQIHLDKPGYKWHGDNGSIPINRPFTWYKATFQAPAGEEAVVADLMGLNKGVAWVNGNNLGRYWPSYVAAEMGGCHHCDYRGAFKAEGEGQKCLTGCNEPAQRFYHVPRAFLRVGEPNTVVLFEEAGGDPSRVGFHTVSVGPVCAEAAEKGDNVTLSCGQHKGRTIASVDVASYGVTRGQCGAYQGGCESKAAYEAFAEACVGKESCTVQHTDAFSGAGCQSGVLTVQATC* >Brasy1G262200.1.p pacid=40055701 transcript=Brasy1G262200.1 locus=Brasy1G262200 ID=Brasy1G262200.1.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRIHNRPTISPTIVLMNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIETLNVCDNLSDHMIGNVYVQFREEDQAAAAHTALQGRFYSGRLIIVDFSPVTDFREATCRQYEENTCTRGGHCNFMHVKQIGKDLRKKLFGRYRRSHRGRSRSPSPHHRRERRDRDDYRGRDDFRRGGGGGGRRGGSSRNERHDDGGRRRYGGSPPRRARSPVRENSEERRAKIEQWNREKEGK* >Brasy1G160000.1.p pacid=40055702 transcript=Brasy1G160000.1 locus=Brasy1G160000 ID=Brasy1G160000.1.v1.1 annot-version=v1.1 MYAAEEILRITSQNIPSRVLTFRELSNATDMFSCNNLLGEGGFGRVYKGHLKDTNEDIAVKQLDKEGFQGNREFLVEVMMLSLVRNPNLVKLIGYSTDLDQRILVYEYMQNGSLEDHLLDLPPNALGLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDEDFNCKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDTSRPTEEQILVHWAAPLIKDRQRFVRLADPLLEKKYPVKGLYQALAIASMCIQEEASSRPKIGDVVAALTFLAEQKYCPPQERQAGKRANEDAKSQAKSRERDCSNPPKTDMVSEIKADDETIHR* >Brasy1G097900.1.p pacid=40055703 transcript=Brasy1G097900.1 locus=Brasy1G097900 ID=Brasy1G097900.1.v1.1 annot-version=v1.1 MATTVSGLIRPLFLLLLLLTTRAAAAGGVNSPAACPLDLSYVKTFPWDRAPCLPPVSNLTSCCTTLLSVLGIGLAARLRSTGRFRLPSAQASAACVAAFSDALASPPLSLPPSSSSGCFPDPAQFAISPSFCAGVTTAAEYAAAVGDASVVASLNASCGADLAAMPLCSACLDAGIRASARLTAKAGDRAKSLSCFYLTVLYAAGVASNAGPVSPATASCTLGLALSTPASPSSSSSSAPTPSSSSISHTHIAVATTIPIASVLLVSLIALLLVWTKRRDDGGIKNRLSSGERSRTTSQERRPNTGSVLFEFGELARATGGFAERNVIGRGGFGVVYRGVLPGDGSAVAVKKMLDPDVEGGDEEFTNEVEIISQFRHRNLVPLRGCCITTATNDAEDDEGDNKQMLLVYDYMPNGSLDRYIFAAPEGDGAAAALLPWAQRRSVILDVARGLEYMHHGVKPGIYHRDIKASNILLDADMRARVADFGLARRSRDGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLLLEAMSGRRALDLSDPSGMVLITDWAWARVRAGRAREVLAETLRREAGEEECGRTSVQAAVAMVMERFVLVGILCAHVTVACRPTMPEALRMLEGDVDVPDLPDRPQPFGQRIAFDQGVEGSFSASSVLSGPFVDFGDMLR* >Brasy1G448300.1.p pacid=40055704 transcript=Brasy1G448300.1 locus=Brasy1G448300 ID=Brasy1G448300.1.v1.1 annot-version=v1.1 MYDEERCANTTRDQRVENSREMASLFFKVIGDWVCNNYVPVVVGEVLEVQLDADDREGVAQALKAANDLAKRYPYSSRAQLFRAYMKLNFARGLDPTIDRRPFLDLIRRNMNEAANLFESSLVLAIFRAKLCFILGLYGAAYLECVRGFATEDPVDPKLEDVPPGSVDGEECADRFSSIYTEFARLIRRVVWVVKGSWDSMTSAQQDSFLSVRLFELRKYYDDVYEDDHWAARTISDALTFVKKTRSWRFWICPYCVGKKLPDTDSLLRHLCSKHPAEKDLPKLRTVLDPKGASVDYNSLDEITVGQDSEEHYFFHFKKKDYIFEHLFLTPSTVSDEESFAKIREKKIKEGTEILEKMKQRLRNLPTDNLRAEFYKARTEIQDLWHDFLAISLLDYRVVILPLVKSFLWEELIKSTSDDNTASKSISNADIDTVFPSVLYTPDMDAMLELTYKLSDGNKDHESGEDQETENMKDELIKSMSEDTAASKSIDNADIDTVFPNVVYAPGSNAILEHTYNLSIGNKDHESGEDQETENMKPSGLDKTLVDDEKGEESEDLVEDRNSETLIDKKLSDPTIYMDESRKSAARIATLERNKKGTSGQSVGKMASNSRYQPSVNIFNHINAEKVLSSLRVIIQSLCNLKHLRDKFLMGELKWDPSSNNPCIADLLYEIFFAWERYEPYPTVDVLTSVKTILLRLADDSSIYEKVGENFASETVVTILIGLHMSDTCSSFSFNTEIEGNVVNPITCGACICPTHNLFGINFNAQMSCRCGKCSDKYLYTTLFHILDAGSAQTTKIKSFAELQFLLDEQFCEDHSCKNCGIIENVDLFLSNMPQFFTIVLNWASGSRSQDTFSEVLAGITSPLDADFFCRSAHSATKYFVTSMICYADERYVCFARDEDNWLIFDSDKITTVFTWDQLLESFKDCKLQPEVLFFEVIK* >Brasy1G448300.3.p pacid=40055705 transcript=Brasy1G448300.3 locus=Brasy1G448300 ID=Brasy1G448300.3.v1.1 annot-version=v1.1 MYDEERCANTTRDQRVENSREMASLFFKVIGDWVCNNYVPVVVGEVLEVQLDADDREGVAQALKAANDLAKRYPYSSRAQLFRAYMKLNFARGLDPTIDRRPFLDLIRRNMNEAANLFESSLVLAIFRAKLCFILGLYGAAYLECVRGFATEDPVDPKLEDVPPGSVDGEECADRFSSIYTEFARLIRRVVWVVKGSWDSMTSAQQDSFLSVRLFELRKYYDDVYEDDHWAARTISDALTFVKKTRSWRFWICPYCVGKKLPDTDSLLRHLCSKHPAEKDLPKLRTVLDPKGASVDYNSLDEITVGQDSEEHYFFHFKKKDYIFEHLFLTPSTVSDEESFAKIREKKIKEGTEILEKMKQRLRNLPTDNLRAEFYKARTEIQDLWHDFLAISLLDYRVVILPLVKSFLWEELIKSTSDDNTASKSISNADIDTVFPSVLYTPDMDAMLELTYKLSDGNKDHESGEDQETENMKDELIKSMSEDTAASKSIDNADIDTVFPNVVYAPGSNAILEHTYNLSIGNKDHESGEDQETENMKPSGLDKTLVDDEKGEESEDLVEDRNSETLIDKKLSDPTIYMDESRKSAARIATLERNKKGTSGQSVGKMASNSRYQPSVNIFNHINAEKVLSSLRVIIQSLCNLKHLRDKFLMGELKWDPSSNNPCIADLLYEIFFAWERYEPYPTVDVLTSVKTILLRLADDSSIYEKVGENFASETVVTILIGLHMSDTCSSFSFNTEIEGNVVNPITCGACICPTHNLFGINFNAQMSCRCGKCSDKYLYTTLFHILDAGSAQTTKIKSFAELQFLLDEQFCEDHSCKNCGIIENVDLFLSNMPQFFTIVLNWASGSRSQDTFSEVLAGITSPLDADFFCRSAHSATKYFVTSMVPKRRRKQ* >Brasy1G448300.2.p pacid=40055706 transcript=Brasy1G448300.2 locus=Brasy1G448300 ID=Brasy1G448300.2.v1.1 annot-version=v1.1 MYDEERCANTTRDQRVENSREMASLFFKVIGDWVCNNYVPVVVGEVLEVQLDADDREGVAQALKAANDLAKRYPYSSRAQLFRAYMKLNFARGLDPTIDRRPFLDLIRRNMNEAANLFESSLVLAIFRAKLCFILGLYGAAYLECVRGFATEDPVDPKLEDVPPGSVDGEECADRFSSIYTEFARLIRRVVWVVKGSWDSMTSAQQDSFLSVRLFELRKYYDDVYEDDHWAARTISDALTFVKKTRSWRFWICPYCVGKKLPDTDSLLRHLCSKHPAEKDLPKLRTVLDPKGASVDYNSLDEITVGQDSEEHYFFHFKKKDYIFEHLFLTPSTVSDEESFAKIREKKIKEGTEILEKMKQRLRNLPTDNLRAEFYKARTEIQDLWHDFLAISLLDYRVVILPLVKSFLWEELIKSTSDDNTASKSISNADIDTVFPSVLYTPDMDAMLELTYKLSDGNKDHESGEDQETENMKDELIKSMSEDTAASKSIDNADIDTVFPNVVYAPGSNAILEHTYNLSIGNKDHESGEDQETENMKPSGLDKTLVDDEKGEESEDLVEDRNSETLIDKKLSDPTIYMDESRKSAARIATLERNKKGTSGQSVGKMASNSRYQPSVNIFNHINAEKVLSSLRVIIQSLCNLKHLRDKFLMGELKWDPSSNNPCIADLLYEIFFAWERYEPYPTVDVLTSVKTILLRLADDSSIYEKVGENFASETVVTILIGLHMSDTCSSFSFNTEIEGNVVNPITCGACICPTHNLFGINFNAQMSCRCGKCSDKYLYTTLFHILDAGSAQTTKIKSFAELQFLLDEQFCEDHSCKNCGIIENVDLFLSNMPQFFTIVLNWASGSRSQDTFSEVLADLLC* >Brasy1G128100.1.p pacid=40055707 transcript=Brasy1G128100.1 locus=Brasy1G128100 ID=Brasy1G128100.1.v1.1 annot-version=v1.1 KVSQLLQAPTSGDQRTCHNSTFRQQPCPLFHLLPIHDLSDPSKILVTLAAAALILSSLGSQLLSKSNFLAMLASFVRAH* >Brasy1G170600.1.p pacid=40055708 transcript=Brasy1G170600.1 locus=Brasy1G170600 ID=Brasy1G170600.1.v1.1 annot-version=v1.1 MLPAPCRPGDFRLVRFCSPRPAPAPFSINNADPRRHRRLHRGLDVQMSMRKSSSVVAARLSRREEAVARMPPLAHREVMLALAGEAEARLGDRLMPSEVPADVTEFRNAAGDALGSVDVRRGAPGSSIDFLLEAWFHRELPGGRGAIDITSLIVFLNGATDAPHFLMELIQGGPASLVVLLDLFPRRDLPLHPGYIARYYEGTGVDAHRGAIEALPQARPYVSPSLLVRSLWSPTAVVVDVQCGEGGGAAALDGIVRGRIADSAKEVLGVWLEHCAGGAGAGMGMMGDEERERMVARDKMISTTSVELNLSANLPRMFDADVSSRVVAEIGKAFTGN* >Brasy1G267600.1.p pacid=40055709 transcript=Brasy1G267600.1 locus=Brasy1G267600 ID=Brasy1G267600.1.v1.1 annot-version=v1.1 MPRTSRHRSHRSHKRGGSAERSESEGEDVGAPGAREEATSVARVPRDPEPERRRSSSGKEVVSSGNGYTEHGKKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKSEVFGPVDVEKLAEKPKGSGDESKRSSRRTVGLDERVEEVVSKSDSGKRRPEKEKDLGRRESSGQYKDDRDRERDREKEKEWERLKERERERGRDRERDKEREREKERERERERERDKERDRERERERDRERERERQKDREREKKDYDSKHERYEDGSARKSGSKTSRAEEGYSYKRHMEINETPAKERYSNPDRETDKHSRRKEDFEDKDKWTADNRDSDDRKTLSRYDHGKVRSSKEQRFDDDKYKQKYKDDYERDKRQQDDKCLDERLTRDHGGDRADYKSTKDGHRISEGHYRKDIVQDIDRYDDYGSRYKENRGRKRPPEENDDQYDLKTPSAREPHGNLEKSSGSGRLDSLIERARSEHRHPENVDSSPSKVHARSSPSSNPHHEKDQSWHGSKLTDHTKREIPYDERSIRPRTSSGRERTPASRLRDRDTDNWSSERLKQKDDLQSRDIPLEISASSQYDRTPRKDSHPSPKQLSEKSPSSNDQRFSGRLSGGRSLDNKGERSILPKYRDRDGDLTLERSLHQDRTPARMPYREPTPSASSISRGGHFPGTSPNHPLVPPARHRGDDSSFLGSHDDDRRPQSGDRRFHGHQKRNDMSSGRGHGHAWNNAPSWPSPVANGFVPMQHGAAGFHPPVHQFHPPPMFNLRPQMKLNQPGVSYPMHDAVDGFSPHMRPFGWPNSLDESCPPHLQVWNGGTGVFGGEPYMYGRQEWDQNKLHAGSRGWEPTGDALKGQNELPDTELPVAKMEPDCLATSVSESSGGQYNLHPYAEQKEIDHSISEKSEAKGDTKSAMKILEAPQGTTLRASKLSSNDGAVFSKNYLSRISVSHDLAEPELYKRCISLLGNLGMANGGPQVVRNGLIQNDGNAGKITGKHGSPNLLGSFCVKSNNTIFQRAMALHKNQTDKAVAPTQVFVQTDRKMDFTEDNHDDTEMMDHSPSKELMVSKTALHCPADLMEGTPLKPMHGDGMGVTPPATTESGDVDAPPAITESCDVEMPPAVTGPGEDTEVVPLPAITEPDKNINDLSPPAMPVPADGAPQVITEHVDGSLEAPSAPEELGDAMEIVPPAVTKQPVQGEDSPAVGSPPGGQETVFIMHAGVEKGMQGDADKVINDNPGDGEVASRTQQEKSESLTRCDHELAPFSSQQSEITLGEPSALRLDARS* >Brasy1G267600.3.p pacid=40055710 transcript=Brasy1G267600.3 locus=Brasy1G267600 ID=Brasy1G267600.3.v1.1 annot-version=v1.1 MPRTSRHRSHRSHKRGGSAERSESEGEDVGAPGAREEATSVARVPRDPEPERRRSSSGKEVVSSGNGYTEHGKKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKSEVFGPVDVEKLAEKPKGSGDESKRSSRRTVGLDERVEEVVSKSDSGKRRPEKEKDLGRRESSGQYKDDRDRERDREKEKEWERLKERERERGRDRERDKEREREKERERERERERDKERDRERERERDRERERERQKDREREKKDYDSKHERYEDGSARKSGSKTSRAEEGYSYKRHMEINETPAKERYSNPDRETDKHSRRKEDFEDKDKWTADNRDSDDRKTLSRYDHGKVRSSKEQRFDDDKYKQKYKDDYERDKRQQDDKCLDERLTRDHGGDRADYKSTKDGHRISEGHYRKDIVQDIDRYDDYGSRYKENRGRKRPPEENDDQYDLKTPSAREPHGNLEKSSGSGRLDSLIERARSEHRHPENVDSSPSKVHARSSPSSNPHHEKDQSWHGSKLTDHTKREIPYDERSIRPRTSSGRERTPASRLRDRDTDNWSSERLKQKDDLQSRDIPLEISASSQYDRTPRKDSHPSPKQLSEKSPSSNDQRFSGRLSGGRSLDNKGERSILPKYRDRDGDLTLERSLHQDRTPARMPYREPTPSASSISRGGHFPGTSPNHPLVPPARHRGDDSSFLGSHDDDRRPQSGDRRFHGHQKRNDMSSGRGHGHAWNNAPSWPSPVANGFVPMQHGAAGFHPPVHQFHPPPMFNLRPQMKLNQPGVSYPMHDAVDGFSPHMRPFGWPNSLDESCPPHLQVWNGGTGVFGGEPYMYGRQEWDQNKLHAGSRGWEPTGDALKGQNELPDTELPVAKMEPDCLATSVSESSGGQYNLHPYAEQKEIDHSISEKSEAKGDTKSAMKILEAPQGTTLRASKLSSNDGAVFSKNYLSRISVSHDLAEPELYKRCISLLGNLGMANGGPQVVRNGLIQNDGNAGKITGKHGSPNLLGSFCVKSNNTIFQRAMALHKNQTDKAVAPTQVFVQTDRKMDFTEDNHDDTEMMDHSPSKELMVSKTALHCPADLMEGTPLKPMHGDGMGVTPPATTESGDVDAPPAITESCDVEMPPAVTGPGEDTEVVPLPAITEPDKNINDLSPPAMPVPADGAPQVITEHVDGSLEAPSAPEELGDAMEIVPPAVTKQPVQGEDSPAVGSPPGGQETVFIMHAGVEKGMQGDADKVINDNPGDGEVNASNFVSELDVVARGAQDCEGLLVERGVNLSRIPNSPESTH* >Brasy1G267600.4.p pacid=40055711 transcript=Brasy1G267600.4 locus=Brasy1G267600 ID=Brasy1G267600.4.v1.1 annot-version=v1.1 MPRTSRHRSHRSHKRGGSAERSESEGEDVGAPGAREEATSVARVPRDPEPERRRSSSGKEVVSSGNGYTEHGKKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKSEVFGPVDVEKLAEKPKGSGDESKRSSRRTVGLDERVEEVVSKSDSGKRRPEKEKDLGRRESSGQYKDDRDRERDREKEKEWERLKERERERGRDRERDKEREREKERERERERERDKERDRERERERDRERERERQKDREREKKDYDSKHERYEDGSARKSGSKTSRAEEGYSYKRHMEINETPAKERYSNPDRETDKHSRRKEDFEDKDKWTADNRDSDDRKTLSRYDHGKVRSSKEQRFDDDKYKQKYKDDYERDKRQQDDKCLDERLTRDHGGDRADYKSTKDGHRISEGHYRKDIVQDIDRYDDYGSRYKENRGRKRPPEENDDQYDLKTPSAREPHGNLEKSSGSGRLDSLIERARSEHRHPENVDSSPSKVHARSSPSSNPHHEKDQSWHGSKLTDHTKREIPYDERSIRPRTSSGRERTPASRLRDRDTDNWSSERLKQKDDLQSRDIPLEISASSQYDRTPRKDSHPSPKQLSEKSPSSNDQRFSGRLSGGRSLDNKGERSILPKYRDRDGDLTLERSLHQDRTPARMPYREPTPSASSISRGGHFPGTSPNHPLVPPARHRGDDSSFLGSHDDDRRPQSGDRRFHGHQKRNDMSSGRGHGHAWNNAPSWPSPVANGFVPMQHGAAGFHPPVHQFHPPPMFNLRPQMKLNQPGVSYPMHDAVDGFSPHMRPFGWPNSLDESCPPHLQVWNGGTGVFGGEPYMYGRQEWDQNKLHAGSRGWEPTGDALKGQNELPDTELPVAKMEPDCLATSVSESSGGQYNLHPYAEQKEIDHSISEKSEAKGDTKSAMKILEAPQGTTLRASKLSSNDGAVFSKNYLSRISVSHDLAEPELYKRCISLLGNLGMANGGPQVVRNGLIQNDGNAGKITGKHGSPNLLGSFCVKSNNTIFQENGLHRR* >Brasy1G267600.2.p pacid=40055712 transcript=Brasy1G267600.2 locus=Brasy1G267600 ID=Brasy1G267600.2.v1.1 annot-version=v1.1 MPRTSRHRSHRSHKRGGSAERSESEGEDVGAPGAREEATSVARVPRDPEPERRRSSSGKEVVSSGNGYTEHGKKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKSEVFGPVDVEKLAEKPKGSGDESKRSSRRTVGLDERVEEVVSKSDSGKRRPEKEKDLGRRESSGQYKDDRDRERDREKEKEWERLKERERERGRDRERDKEREREKERERERERERDKERDRERERERDRERERERQKDREREKKDYDSKHERYEDGSARKSGSKTSRAEEGYSYKRHMEINETPAKERYSNPDRETDKHSRRKEDFEDKDKWTADNRDSDDRKTLSRYDHGKVRSSKEQRFDDDKYKQKYKDDYERDKRQQDDKCLDERLTRDHGGDRADYKSTKDGHRISEGHYRKDIVQDIDRYDDYGSRYKENRGRKRPPEENDDQYDLKTPSAREPHGNLEKSSGSGRLDSLIERARSEHRHPENVDSSPSKVHARSSPSSNPHHEKDQSWHGSKLTDHTKREIPYDERSIRPRTSSGRERTPASRLRDRDTDNWSSERLKQKDDLQSRDIPLEISASSQYDRTPRKDSHPSPKQLSEKSPSSNDQRFSGRLSGGRSLDNKGERSILPKYRDRDGDLTLERSLHQDRTPARMPYREPTPSASSISRGGHFPGTSPNHPLVPPARHRGDDSSFLGSHDDDRRPQSGDRRFHGHQKRNDMSSGRGHGHAWNNAPSWPSPVANGFVPMQHGAAGFHPPVHQFHPPPMFNLRPQMKLNQPGVSYPMHDAVDGFSPHMRPFGWPNSLDESCPPHLQVWNGGTGVFGGEPYMYGRQEWDQNKLHAGSRGWEPTGDALKGQNELPDTELPVAKMEPDCLATSVSESSGGQYNLHPYAEQKEIDHSISEKSEAKGDTKSAMKILEAPQGTTLRASKLSSNDGAVFSKNYLSRISVSHDLAEPELYKRCISLLGNLGMANGGPQVVRNGLIQNDGNAGKITGKHGSPNLLGSFCVKSNNTIFQENGLHRR* >Brasy1G104900.1.p pacid=40055713 transcript=Brasy1G104900.1 locus=Brasy1G104900 ID=Brasy1G104900.1.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.2.p pacid=40055714 transcript=Brasy1G104900.2 locus=Brasy1G104900 ID=Brasy1G104900.2.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.3.p pacid=40055715 transcript=Brasy1G104900.3 locus=Brasy1G104900 ID=Brasy1G104900.3.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.4.p pacid=40055716 transcript=Brasy1G104900.4 locus=Brasy1G104900 ID=Brasy1G104900.4.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.5.p pacid=40055717 transcript=Brasy1G104900.5 locus=Brasy1G104900 ID=Brasy1G104900.5.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.16.p pacid=40055718 transcript=Brasy1G104900.16 locus=Brasy1G104900 ID=Brasy1G104900.16.v1.1 annot-version=v1.1 MNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.6.p pacid=40055719 transcript=Brasy1G104900.6 locus=Brasy1G104900 ID=Brasy1G104900.6.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.7.p pacid=40055720 transcript=Brasy1G104900.7 locus=Brasy1G104900 ID=Brasy1G104900.7.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.17.p pacid=40055721 transcript=Brasy1G104900.17 locus=Brasy1G104900 ID=Brasy1G104900.17.v1.1 annot-version=v1.1 MNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVICSIYKLPDPHKHIARPPIGVIIPKKIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.8.p pacid=40055722 transcript=Brasy1G104900.8 locus=Brasy1G104900 ID=Brasy1G104900.8.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.18.p pacid=40055723 transcript=Brasy1G104900.18 locus=Brasy1G104900 ID=Brasy1G104900.18.v1.1 annot-version=v1.1 MNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.19.p pacid=40055724 transcript=Brasy1G104900.19 locus=Brasy1G104900 ID=Brasy1G104900.19.v1.1 annot-version=v1.1 MNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDIVEAGDLKPPPVLWHEDTGRRPYDNNNNNRRHSDNSSRQNPAGAIHGRQLGEAAHRLVANSLNVRSGGQYAPARPYQTIMNGMHYPNGMPPRMEQPAGRPGWHVPGDNVPNGQAPVYASPSGHYQYGRDNRGRHQPYARDSHHDPRAVGRVQSGYHQNSGNTYSSLAAPPSSGFGRYGHPPSHAGDYAGGYQPPPYAPIQQWQQQQPHSSYSGGGAPPARPSSRPQQSQNRYGTLDRTSNRRPPSGHGRH* >Brasy1G104900.9.p pacid=40055725 transcript=Brasy1G104900.9 locus=Brasy1G104900 ID=Brasy1G104900.9.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVILLKLVI* >Brasy1G104900.10.p pacid=40055726 transcript=Brasy1G104900.10 locus=Brasy1G104900 ID=Brasy1G104900.10.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVILLKLVI* >Brasy1G104900.21.p pacid=40055727 transcript=Brasy1G104900.21 locus=Brasy1G104900 ID=Brasy1G104900.21.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDMFNLQASRSS* >Brasy1G104900.11.p pacid=40055728 transcript=Brasy1G104900.11 locus=Brasy1G104900 ID=Brasy1G104900.11.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVILLKLVI* >Brasy1G104900.12.p pacid=40055729 transcript=Brasy1G104900.12 locus=Brasy1G104900 ID=Brasy1G104900.12.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDMFNLQASRSS* >Brasy1G104900.13.p pacid=40055730 transcript=Brasy1G104900.13 locus=Brasy1G104900 ID=Brasy1G104900.13.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVILLKLVI* >Brasy1G104900.14.p pacid=40055731 transcript=Brasy1G104900.14 locus=Brasy1G104900 ID=Brasy1G104900.14.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDMFNLQASRSS* >Brasy1G104900.20.p pacid=40055732 transcript=Brasy1G104900.20 locus=Brasy1G104900 ID=Brasy1G104900.20.v1.1 annot-version=v1.1 MNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFNLGSPFKPFDQLMGVFPAASSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTPEEARRNSTMHNMLFVNGSHPLSPYIYSLNSKFGHLPDNERNTIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLQDIMDNQVILLKLVI* >Brasy1G104900.15.p pacid=40055733 transcript=Brasy1G104900.15 locus=Brasy1G104900 ID=Brasy1G104900.15.v1.1 annot-version=v1.1 MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEFDNLYLDMNGIIHPCFHPEDRAAEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQEKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYIEYEFRMPNPPFQIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIRRDKAQAKRGDDLDPHVRTDLIVPVARFQGSRLASGSVASPYEQNGSHKNSTERGSRAQKAARVSSSESSLSAALVEAENSLEAQERENKEDMKSMLKDALREKSDLFNSENPEEDKVKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQCSHALPLQYRKLMSDPNSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDESRLLAEIKKVEHTLTWRNEWLHLTVWWGSKPSCLQISCGWTAGHYGQSSDMFNLQASRSS* >Brasy1G319400.1.p pacid=40055734 transcript=Brasy1G319400.1 locus=Brasy1G319400 ID=Brasy1G319400.1.v1.1 annot-version=v1.1 MATAAIVLFLLLHVALLANYAAAEAAKAANVTFRPGHELRKYRRIQALLKRLNKPSLRTFQSPDGDLIDCVPAHLQPAFDHPRLRGQRPLGPPARPKGHRRRPNDTADAGVQLWAASGATCQEGSVPVRRVTEADVLRASSVRRFGRVPTARIRRDTVAGGHEHAVGYVAGEEYYGARASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSSYKGGQFDISLLIWKDPNHGNWWLEFGNGELVGYWPSALFSHLASHASMVQFGGEVVDTRAEGSHTATQMGSGHFPGEGFGRSSYFRNLEVVDWDNSLIPLTTFHVTADHPACYDIQGGVNAVWGNYFYYGGPGRNVRKKERTSFWGKEHTWITLQRQAMLAPGACMHRSVRDPRTNI* >Brasy1G319400.3.p pacid=40055735 transcript=Brasy1G319400.3 locus=Brasy1G319400 ID=Brasy1G319400.3.v1.1 annot-version=v1.1 MATAAIVLFLLLHVALLANYAAAEAAKAANVTFRPGHELRKYRRIQALLKRLNKPSLRTFQSPDGDLIDCVPAHLQPAFDHPRLRGQRPLGPPARPKGHRRRPNDTADAGVQLWAASGATCQEGSVPVRRVTEADVLRASSVRRFGRVPTARIRRDTVAGGHEHAVGYVAGEEYYGARASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSSYKGGQFDISLLIWKDPNHGNWWLEFGNGELVGYWPSALFSHLASHASMVQFGGEVVDTRAEGSHTATQMGSGHFPGEGFGRSSYFRNLEVVDWDNSLIPLTTFHVTADHPACYDIQGGVNAVWGNYFYYGGPGRNVRKKERTSFWGKEHTWITLQRQAMLAPGACMHRSVRDPRTNI* >Brasy1G319400.2.p pacid=40055736 transcript=Brasy1G319400.2 locus=Brasy1G319400 ID=Brasy1G319400.2.v1.1 annot-version=v1.1 MATAAIVLFLLLHVALLANYAAAEAAKAANVTFRPGHELRKYRRIQALLKRLNKPSLRTFQSPDGDLIDCVPAHLQPAFDHPRLRGQRPLGPPARPKGHRRRPNDTADAGVQLWAASGATCQEGSVPVRRVTEADVLRASSVRRFGRVPTARIRRDTVAGGHEHAVGYVAGEEYYGARASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSSYKGGQFDISLLIWKDPNHGNWWLEFGNGELVGYWPSALFSHLASHASMVQFGGEVVDTRAEGSHTATQMGSGHFPGEGFGRSSYFRNLEVVDWDNSLIPLTTFHVTADHPACYDIQGGVNAVWGNYFYYGGPGRNVRILQYWLTI* >Brasy1G319400.4.p pacid=40055737 transcript=Brasy1G319400.4 locus=Brasy1G319400 ID=Brasy1G319400.4.v1.1 annot-version=v1.1 MATAAIVLFLLLHVALLANYAAAEAAKAANVTFRPGHELRKYRRIQALLKRLNKPSLRTFQSPDGDLIDCVPAHLQPAFDHPRLRGQRPLGPPARPKGHRRRPNDTADAGVQLWAASGATCQEGSVPVRRVTEADVLRASSVRRFGRVPTARIRRDTVAGGHEHAVGYVAGEEYYGARASINVWAPKVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNAPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSSYKGGQFDISLLIWKDPNHGNWWLEFGNGELVGYWPSALFSHLASHASMVQFGGEVVDTRAEGSHTATQMGSGHFPGEGFGRSSYFRNLEVVDWDNSLIPLTTFHVTADHPACYDIQGGVNAVWGNYFYYGGPGRNVRCV* >Brasy1G082700.1.p pacid=40055738 transcript=Brasy1G082700.1 locus=Brasy1G082700 ID=Brasy1G082700.1.v1.1 annot-version=v1.1 MVRALGVTAAPSVEMAGGLSPLFNTMSIAIDVAPLLVLSFTFEWKSVTQYFTLRAFLLGAVMNLMLIAEHLLVLHDHQGDVLVVTVVGFCLDTAYAFGFLIHALVTDKKHSSRYWVVSLINVMFGLLQVAVLSGIKVLKLARAAYRRLSAGAAWLCATCRGAFWFVRFMHTTFGRHRNE* >Brasy1G240500.1.p pacid=40055739 transcript=Brasy1G240500.1 locus=Brasy1G240500 ID=Brasy1G240500.1.v1.1 annot-version=v1.1 MCENLVGFLFASDLLCCLFRTGAEEFLRRGVVDLLEMRGEGEGGGDSVCGGEAIRRRSLWRRGHAPPSGSDILLRRAAFGRRRPRPEGHEKEGLVGGGGGFCPRPPISSGFSVARVCESKTAEAGGGFGPRSSAVRSNRPSGEFVCSVPLQGG* >Brasy1G240500.2.p pacid=40055740 transcript=Brasy1G240500.2 locus=Brasy1G240500 ID=Brasy1G240500.2.v1.1 annot-version=v1.1 MCENLVGFLFASDLLCCLFRTGAEEFLRRGVVDLLEMRGEGEGGGDSVCGGEAIRRRSLWRRGHAPPSGSDILLRRAAFGRRRPRPEGHEKEGLVGGGGGFCPRPVSTGHSSSSRTHYLWGHGRPSRSHTSLPSGAGSATMRARG* >Brasy1G192900.1.p pacid=40055741 transcript=Brasy1G192900.1 locus=Brasy1G192900 ID=Brasy1G192900.1.v1.1 annot-version=v1.1 MASNTLGIAALFSMYNDDEEEEDADEPRPPSPAPPAAATSSSTSPRTGGESSNPNPNPDPSPERPPLPLSEGQADRKTLASPQLSPRLPPLPPRRSSPSVAVSSASPPRPPLTAPPADLPRPPRGGALAIVDYAHDEMAMSPDQEDGEIMSGIGGFGSDALEVEGNLEERLLSGTVHILTPNTLSERSQHSDAPEQNQVGTDVAMDATGTETLDAMVEEASDISTNVQNDDPLSRFLPPPVATKCSASLQQKINKFLAYRRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGSCFSKDVFDPYGYDKSDYYDEIEADMKRELERKDQEKKRNPKVDFISSGVQPAVNSSITKISAAISAAAGASVQASAESVQKETRPNKKSKWDKVDGDIKNPTAPSGHDNMSASSGSAAVLPSGNVVAGYAAFAQQKRREAEERRTSDYKSDRRS* >Brasy1G350200.1.p pacid=40055742 transcript=Brasy1G350200.1 locus=Brasy1G350200 ID=Brasy1G350200.1.v1.1 annot-version=v1.1 MARLRDVYCAAAACPEALPRPRQIKEYDEKVLVEMYRSVLTRGGQLAVVGKDAPAAATLRVSAAVV* >Brasy1G013100.1.p pacid=40055743 transcript=Brasy1G013100.1 locus=Brasy1G013100 ID=Brasy1G013100.1.v1.1 annot-version=v1.1 MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF* >Brasy1G383300.1.p pacid=40055744 transcript=Brasy1G383300.1 locus=Brasy1G383300 ID=Brasy1G383300.1.v1.1 annot-version=v1.1 MERLQRIFGAGGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDAHSTTNEQTVQEMLSLAIKYNKAVQEEDELSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF* >Brasy1G007700.1.p pacid=40055745 transcript=Brasy1G007700.1 locus=Brasy1G007700 ID=Brasy1G007700.1.v1.1 annot-version=v1.1 MVVRKRLDYGSRGHQVPTMPRVPSSARGKRSTRRKKDEMCPFDLLATVAGTLLAGQENSSNVPSTNTAAANYAKKRKPVKAEQCDEVLPLKSVAVENCIVGSGGVCASPRQANICLAENSSTINETNSVLELLTVKPSMLVNDSLFTCTKSCDRGHPGSTRSMEANQVQVQQPMAGDTTTLYSLVSSVDLDGRPPALVSSDSSSGMPDHNTPLCHAEVQHAAYRDDDENSSGCTHSCTAGNKSYMPHYTGDNKIRKLFASKLRKAARSKMCGEMSNKGSKLKFCEKKISTTRQKVQRAMFKRKKLVRRHFTPSSPKGIVTEASGTPFSVEGQNPLCGSEDYHVKLRIKSFTIPELFVEIPENATVGSLKRTVMDVVTSIIEGGLRVGVLLEGKNIQDDSKTLRQARICHGQNLDNIDFTLECEAGQNSSPGVMIPEEMDILGADAAEPLARIKCEEPFSETKVVGNNQQHMHASLNCVRSDPAQETSASSQAIVPAVSPNSEALAIVPLCKSKRPGIAQRRIRRPFSLPEVEALVDAVEQLGTGRWRDVKMLAFDNSDHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQDLLDRVLAAQAYWSQHQLSAKASGQGSSSCC* >Brasy1G168300.1.p pacid=40055746 transcript=Brasy1G168300.1 locus=Brasy1G168300 ID=Brasy1G168300.1.v1.1 annot-version=v1.1 MMAEEPAMDFDGGFGPEDNLSMPLGDFMAFLESEPAPAEEGEDQEPEANEGCFEVQGDATGSEIGFEGHEDIFNDEGLCSNYSQVDPPQCQMEAMMEQGEGTSDNSEAMPYGLLCNDLSVNCRNNNHDSQHVPRGYIDAVEEANMIPHEVLSKGLYLGQQMHFDLTQVKLEDQYFDQAQVKVENHLEGTGPQMSTYSSCNQFPEQSGLSEVKSEGTGPIFDNTGLEGNHFTPTTMFSLDHNAAIPEISYTENIGDATGSMKNGNSSCLTVQGEQSLHDFPQNNQSYEMEQSVVYLQGGYMECPKPEYGSLDMAAEISLHDFPQNNQTYEMEQFPQNICESSSMQTGSPDPYCDDTSLSDNLYMDVSDVSSPESLSCEKNQSEYIGLKSESSTDSSPVPSSRNSTTEDADKYLGRTPKQFLNLPISHQHPYKNMTDQMPPSFHEHYDIHGIGNSFIQGNSSRNCLGIDGNRDLDLCNRGSNPATGHQLPLQGKLNHNFQQSFSANPILPRFGGMTYKPHDERVTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKEKSGSHCSGGILADDQGLGKTVSTISLILAERPPVPGSSGIKQEPCEAVTLDDDDDDPDELCLKKRTHACSSEVTSTTVKTENHIVGIKARPAAGTLVVCPTSVLRQWAEELRSKVTSKANLSFLIYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKKSSPDSDDEDKPDRYGAPVSGSKKRKASSSKKNKKASTKEKSSLPERPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYEPYCQYKQFCTMIKMPISRNPINGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTISLKTVNFTGEERAFYNALEAESREQFKVYAAAGTVKQNYVNILLMLLRLRQACDHPYLVKGHESSWASSLEIAKKLPMERQQELLVCLQTCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSMCPVSNCRVRLNSTSLFSRGTLESSLCRIPCESESNDSCTDMVHTENQPGTDSSYASSKVRAALDIILSLPKVDSSQINESKKSIGLTSGNINGSSEYADTKTTEKAIVFSQWTRMLDLLEVHLKDSHVTYRRLDGTMSVAARERAVNDFKTVPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTVQDTVEDRILALQEKKREMVASAFGEDKSGGGQTRLTVDDLNYLFMV* >Brasy1G421000.1.p pacid=40055747 transcript=Brasy1G421000.1 locus=Brasy1G421000 ID=Brasy1G421000.1.v1.1 annot-version=v1.1 MELSSVLPSLFPRRALPLSPISARSRRTTAFACRSDASPEGTATRRWFASLVAATAAVGIGVAGGGEADAVSTSRRVFRASKIPESDFKTLPNGLKYYDIKVGGGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVTGGSPYGFDVGNSERGNVLRGLDLGVEGMKVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGSPVKIVEG* >Brasy1G492800.1.p pacid=40055748 transcript=Brasy1G492800.1 locus=Brasy1G492800 ID=Brasy1G492800.1.v1.1 annot-version=v1.1 MAAIKAGVNLFALLDGNDPGDTRLEDLVADPSPAPAPKKKPAAKEAAASAKKQAPPPAAATKSPNPAVESGLRSAAAGSMARTMSKQQTQPQPQKQKPSTPAPRANLTGALFGRAYPSARERIFRQRQDELQARAKAASDGKSTAEGADNNGCATRKQKEQGVPVNEAPSAAAAAAEKELPLPPTPPPPPRLDDVDQFPSLK* >Brasy1G337200.1.p pacid=40055749 transcript=Brasy1G337200.1 locus=Brasy1G337200 ID=Brasy1G337200.1.v1.1 annot-version=v1.1 MAFKQVFCALREVFPQVDLRILKAVASQYSSNVDAAIGFVLSDVLPAVSEPTETHYTLQDIDYDEHDHPDSEKPKLYSGRISFVDQRARDDTNVIFSTSAGSSDNIGECNPFSYDEPRISLVDRGARDISKVVFSTPVESSGIIGECDQFSGYDEPLFSHNKMEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKELLSSLYEFTTMKMKEVQLQEEKSRQANQNADKARQKFISMVEHFNQLIKKSKESNDKQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.2.p pacid=40055750 transcript=Brasy1G337200.2 locus=Brasy1G337200 ID=Brasy1G337200.2.v1.1 annot-version=v1.1 MAFKQVFCALREVFPQVDLRILKAVASQYSSNVDAAIGFVLSDVLPAVSEPTETHYTLQDIDYDEHDHPDSEKPKLYSGRISFVDQRARDDTNVIFSTSAGSSDNIGECNPFSYDEPRISLVDRGARDISKVVFSTPVESSGIIGECDQFSGYDEPLFSHNKMEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKMKEVQLQEEKSRQANQNADKARQKFISMVEHFNQLIKKSKESNDKQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.3.p pacid=40055751 transcript=Brasy1G337200.3 locus=Brasy1G337200 ID=Brasy1G337200.3.v1.1 annot-version=v1.1 MAFKQVFCALREVFPQVDLRILKAVASQYSSNVDAAIGFVLSDVLPAVSEPTETHYTLQDIDYDEHDHPDSEKPKLYSGRISFVDQRARDDTNVIFSTSAGSSDNIGECNPFSYDEPRISLVDRGARDISKVVFSTPVESSGIIGECDQFSGYDEPLFSHNKMEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKELLSSLYEFTTMKMKEVQLQEEKSRQANQNADKARQKFISMQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.4.p pacid=40055752 transcript=Brasy1G337200.4 locus=Brasy1G337200 ID=Brasy1G337200.4.v1.1 annot-version=v1.1 MAFKQVFCALREVFPQVDLRILKAVASQYSSNVDAAIGFVLSDVLPAVSEPTETHYTLQDIDYDEHDHPDSEKPKLYSGRISFVDQRARDDTNVIFSTSAGSSDNIGECNPFSYDEPRISLVDRGARDISKVVFSTPVESSGIIGECDQFSGYDEPLFSHNKMEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKELLSSLYEFTTMKMKEVQLQEEKSRQANQNADKQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.5.p pacid=40055753 transcript=Brasy1G337200.5 locus=Brasy1G337200 ID=Brasy1G337200.5.v1.1 annot-version=v1.1 MAFKQVFCALREVFPQVDLRILKAVASQYSSNVDAAIGFVLSDVLPAVSEPTETHYTLQDIDYDEHDHPDSEKPKLYSGRISFVDQRARDDTNVIFSTSAGSSDNIGECNPFSYDEPRISLVDRGARDISKVVFSTPVESSGIIGECDQFSGYDEPLFSHNKMEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.6.p pacid=40055754 transcript=Brasy1G337200.6 locus=Brasy1G337200 ID=Brasy1G337200.6.v1.1 annot-version=v1.1 MEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKELLSSLYEFTTMKMKEVQLQEEKSRQANQNADKARQKFISMVEHFNQLIKKSKESNDKQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G337200.7.p pacid=40055755 transcript=Brasy1G337200.7 locus=Brasy1G337200 ID=Brasy1G337200.7.v1.1 annot-version=v1.1 MEEFSQIEDKVVINETRIDTEVRNSFLQDHCAQVGSSSSATEPHIVEYEQSASAAFIGHCAAEKDKVTPKTETIGMHKHYNDNYELSCLFASSGSMLPLYLEGPSDCAVKYGGSIPSKLFNVESKHDFSKSKDNYYLESFCVNFHSNEDRQTPKNLPIAPTVHKLSKSEDSNDLQVRFEKEVPPKISKAECIHLFSKPEENSNLENLFVNFSLTEDRYISKNLPSARAVHTQSKSEDNYDLQVLFENIDNARKESGILCTAENPTELHKSENDGSFYNLFGELCTADSTTQVPSKFHGKNEIEQSLCKLDDQQKFFDLFASSKMSNSSLHICQEKGDSHTVDTEEQSSIDFKNHGTFTSMCNLDDEFNLSETFSSTQNTSMSNLDSTCDLSNEAERRVMCFNIVDKHFVCPGFELDAFPLNEKRMMTGAHTSEEFLDISTQSYHITELNKNISDITKSKELLSSLYEFTTMKMKEVQLQEEKSRQANQNADKARQKFISMQAQVMRKEKCSLVALAQDLQSQLSKLSAQRDEALATVQQIKFELDARLATSLEEEVTAVENIFQEEKVALQVRKEKEATLGSIMEESRKLKKEAEENILLRGILLDRGRTIDILQGEISSIHANVVAMKERACSATSSHMDDCKSASIGRDWSLGNDGNRNGLPREEMVGSHAKDHTGSSDNDDDCDGGWEVLEKIGV* >Brasy1G286000.1.p pacid=40055756 transcript=Brasy1G286000.1 locus=Brasy1G286000 ID=Brasy1G286000.1.v1.1 annot-version=v1.1 MATLPHPPLHRRRHPGGDAALPDWDPSLIANPDFDLGFLQAAFDGDLRTVKKAVRVLGRGAEGRRLAEKLEAVRDGFGMGLLHRAALGGSLPVCRYLVEHVRMDIDVVGPHNATPLDTALARQNLELARYFLEQGADAETLDADGYTALHFAASIGDVGMVELLLSKGAHPDTLNHGGTVLHYAATHGRDDILKLLLDHHADHKKALSGTGYTALVLATIARSLKCVQLLLEAGADVDGVGKETPLMIAATAGATDILKCLVLAGADANVPDTLGRAPIEIAARSGRREDVEILFPVTSRIPGVSDWSVDGIISHVKSVRPEKKAMLASAKSKANEAFKDGNYLVAAKIYKEAMKLDPLGPSTASLLSNRSLCWLRFGNGEKALKDAQVCRMLRPGWPKACYREGTALMLLKDYKKASCAFLDGLKLEPGNVEMEDWLRKAMESLKISRCSPGQD* >Brasy1G373700.1.p pacid=40055757 transcript=Brasy1G373700.1 locus=Brasy1G373700 ID=Brasy1G373700.1.v1.1 annot-version=v1.1 MMNDYKPTRSRWRMTGCSSSWLNSVGLMKVWSIDAHNRFDSVLCIDYSIDADFLSR* >Brasy1G281800.1.p pacid=40055758 transcript=Brasy1G281800.1 locus=Brasy1G281800 ID=Brasy1G281800.1.v1.1 annot-version=v1.1 MQSAESHYQSYYAVLGVHPGASAAEIRAAYHRLAMRWHPDKIANGRADPALAEEAKGRFQKIHEAYQVLSDEKRRALYDAGVYDPLEDGQEEVEGFHDFLQEMLSLMATVGREEPVYSLGELQSMLDGMMHDFASPQPAAPPSSSSSNNFFTGTGSSRFNAPSSGQKRRRSSSRVRPQGFGSSACFSRTAFSGC* >Brasy1G097300.1.p pacid=40055759 transcript=Brasy1G097300.1 locus=Brasy1G097300 ID=Brasy1G097300.1.v1.1 annot-version=v1.1 MAFGRGAKMDGRRSSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTVFPLEVSSSNKKSEPLDVSSNKKPEVRDQRAAVDFGATEESPSGNAGESSAKFEDTDNNDNVPDESHNNRDAPEEEKFTEDTMEKPVERTEDKEATKEKDESKDSFDDANGKSEVQNSKEGGETGRSGDEEEGKDNETSTENDVDQSNGEKKEDQEGKTEDNAMQDATEQPQIEEKVEESGEKEQAAKANEVFPDAAQSELLKESNTENGSFSTQAAESKKEKEAQASSKSSGDGITYSWKLCNSSAVTDYIPCLDNEKAIKKLHSTKHYEHRERHCPDEPPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDISWGKRSRVILDVGCGVASFGGYMFDRDGLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKSMCWKMVKKTKDTLNQVGMAIYQKPMDNNCYEKRSEDSPPLCKETDDADASWNITLQACIHKLPVGPSVRGSKWPEVWPQRLEKTPFWIDGSRVGVYGKPANEDFEADYAHWKRVVSKSYVNGMGINWSKVRNVMDMRAVYGGFAAALRGQRQVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKMRCKLLGVFAEVDRILRPEGKLIVRDSAETIIELKGMAKSLQWEVTMTYAKGNEGLLCVQKTMWRPKEIEASM* >Brasy1G247700.1.p pacid=40055760 transcript=Brasy1G247700.1 locus=Brasy1G247700 ID=Brasy1G247700.1.v1.1 annot-version=v1.1 MAMAFPLMILALLFFFLPAAMFAAPSLLRNNDTDLAALLAFKAQLSDPLGILGGNWTSGTSFCHWVGVSCSRRRQRVTALVLPGIPLQGPVSPYLGNLSFLHVLNLTNTNLTGFIPPDIGRSSRLVVLDLGLNGLSGIIPRTIGNLTKLETLLLGYNDLSGQIPKDLQNLNNLRHIHLGINGLSGWIPEQIFNNTPLLNYLNFENNSLSGLIPPGIASCHMLEYLSLRWNQLSGQVPPTMFNMSRLQNMILSFNLYLTGPIPSNQSFNLPMLRNFHIGRNNFTGRIPPGLASCELLQELSLSINSFVDFIPTWLAKLSQLTFLSLAGNGLVGSIPGELSNLTMLNVLELSHANLSGEIPDELGELSQLTKLHLSSNQLTGSFPALVGNLTQLSLLAVDSNQLTGSVPANIGNLISLNILSIGKNHLTGRLDFLSTLSNCKQLKYIGIEMCSFTGIIPAYIGNLSKKLTKFYAYNNHLTGIIPTTISNLSSLTTVSFNGNQLSGTIPDSITLLENLELLFLSENSMIGPIPTQIGTLTRLLELSLEGNKLSGPIPNGVGNLSMLERTSFADNQLSSTIPESLYHLSNLRVLLLYDNSLTGALHPDLGSMKAIDIVDISANNLVGSLPTSFGQHGLLSYLDLSHNALQGSIPDSFKGLLNLGLLDLSFNNLSGPIPKYLANFTSLSSLNLSFNKFQGEIPDGGIFSNISAESLMGNAGLCGAPRLGFSPCLGDSHPTNRHLLRFVLPTVIITVGVVAIFLCLIIRKKNTKQPDVTTSIYMADVVSHRLVSYHDIVRATENFNEDNLLGVGSFGKVFKGQLDNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLIRILNSCSNLDFRALLVEYMPNGSLDAHLHTENIEPLGFIKRLDIMLGVSEAMEYLHYHHCQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDDNSMVSASMPGTIGYMAPELAYTGKVSRKSDVFSFGIMLLEVFTGKRPTNAMFVGESNLRRWVSEAFPARLIDIVDEKLLLGEETSTRGLHDQTNITSSASPSTSCKSNFLVSTFELGLECSSESPDQRASMSEIIVRLKNIKKDYSASVMATQRAEQC* >Brasy1G216600.1.p pacid=40055761 transcript=Brasy1G216600.1 locus=Brasy1G216600 ID=Brasy1G216600.1.v1.1 annot-version=v1.1 MGCCGAMSSSSRPRGIREETLVRVPGASVHLMANAGEGPVELGRGELAVVRIVKDDAAVATVVRVGRDLGWPLARDEPVVKLDRLHYLFTLPDKDGSFLNYGVSFAAATADAALLASLDAFLKSNACFSTPSKSSRQSAVASAAAASPDGYWNGFAPRIESYNGVLAKAIGAGTGHLVKGIFMCSEAYASQVQRGANLIGPQAAGGGSKRFGGTAAADQSSQAKRGGVNQSLKRVRKLSEMTEKMSKSLLDTVISVTGSMAAPLLRSNQGKAFLATVPGEVILATLDGINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHTVGTAWNIFKIRKAVTPSSSLPGNMVKTAVRNRN* >Brasy1G197900.1.p pacid=40055762 transcript=Brasy1G197900.1 locus=Brasy1G197900 ID=Brasy1G197900.1.v1.1 annot-version=v1.1 MLKTKERFFLFFLPSLPPISCLPGPPVSCSRGRSSASNPPTLQNWRRHPIPNSGASPDPQLRGASPPHESARRLIAMLRRRERGWPRASMPSSASCDVTTGGCSPPHVRDRHCHPPTPPPASLPTSRPLQHIRA* >Brasy1G366400.1.p pacid=40055763 transcript=Brasy1G366400.1 locus=Brasy1G366400 ID=Brasy1G366400.1.v1.1 annot-version=v1.1 MTTNMPGGQRPAACTAAARAARDEARGGRGRRIRSGVVGGGPDPAGGGRRRHGSGRRGGGRRRGRSAQRRPRGHEGSRWVAARREEGRRRRRREGSRRRRRRLEGSRWVAARRAEGRRRRMPEVRGGARATQRGSERGGSGKETVWVEILDWIA* >Brasy1G095500.1.p pacid=40055764 transcript=Brasy1G095500.1 locus=Brasy1G095500 ID=Brasy1G095500.1.v1.1 annot-version=v1.1 MAAILESLLGSCAKKLQEIITDEAILILGVEEELAEVLRRVELIQCCIADAEKRRTKDLAVNSWLGQLRDVIYDVDELLDVARSKGSKLLPDHTSSSSSKSAACKGHSVSSCFCNIGPRRDVAVRIRSLNKKIENISKDKILLTFNNSTQPTGNGPTSKLIRSSNLIEPNLVGKEIRHSSRKLVNLVLAHKENKSYKLAIVGTGGVGKTTVAQKIYNDQNIIGRFNIRACVCVSQAYNEVSLLKVVLRNIGVHHEQGETIGELQRKLAGTIEGKSFFLILDDVWQSNVWTDLLRTPLHATTAGVILVTTRDDQIAMRIGVEDIHRVDLMSVDLGWELLWKRNEIVRKCGRLPLAIKVNASALTCRDLTENEWKRFLGKYSQSILSDETEAALYLSYDELPHHLKQCFLYCALYTEDSIIELRIVTRLWIAEGFVVEQQGQVLEDIAEEYYYELIHRNLLQPCGASFRQTSRTMHDLLRQLACNISREECFIGDVETLSGATMSKLRRVTAVTKKEMLVLPSMDKVEVKVRTFLTGHGPWRLEDTLFKRFLLLRVLVINYSLVQSIPDYIGKLIHLRLLNLDYTAISCLPKSIGSLKNLQVLSLRFCKDLHSLPLTMTQLCTISKVPKGIGKLKFLNEMESFPVVGGSDDADVQDGWKLEELSSISQMRYLHLVKLERAAHCSSNTVLTDKKHLKRLSLEWTELGEGSYLEKDVSNTENVLEHLRPPRNLEKLQIHRFFGRRYPTWFGTTCLSSLMSLILKDLRSCVDLPPLGQIPNLKFLRIEGAYAVTKVGPEFVGCRKGDSVCNELVAFPKLECLVFLDMPNWEEWSLFGEEAADDERGEDATDENHKEDAQSARLQLMPRLVNLQLFYCPKLRALPQQLGEDAASLKKLALDGINNLMAVVAPVALGVHQCWTRSHTFRSLYGTSGCVLPRWHEREASVLFTSTRTKVLEVNIGSCGIPLAIKGGPRPA* >Brasy1G506300.1.p pacid=40055765 transcript=Brasy1G506300.1 locus=Brasy1G506300 ID=Brasy1G506300.1.v1.1 annot-version=v1.1 MLTNGESIMQVVTLGGSNLIEHLDSKGVMSLGLFQQSSRKAPGSKNGTFVVVVCFGSVILSVLPLQLVH* >Brasy1G471800.1.p pacid=40055766 transcript=Brasy1G471800.1 locus=Brasy1G471800 ID=Brasy1G471800.1.v1.1 annot-version=v1.1 MGMAAEPASTDAMPPRPGKAWEWEGRVVSPVPAATADEAWALLSDFLAFHRWHPRVVVCRLAALDAPSRAGAGVVRYCEGTPRDDGLPADWAHETLLEHDAGRRFFRYEMNDNNMGFGIFFAAFRVVAAAADGAGAGCELRWEFECEPVRGTARDALVARLQAGLDGMAARVQEHVLSARAAPATSLQQAAAGLEAADELKLDNSIAV* >Brasy1G153200.1.p pacid=40055767 transcript=Brasy1G153200.1 locus=Brasy1G153200 ID=Brasy1G153200.1.v1.1 annot-version=v1.1 MATTTARHVVAVPYPGRGHINPMLAVCRQLVAAADGALAVTVVVTEEWHGLLASATLPDGVRFATIPNVIPSEHGRGADHVGFIEAVHAKMGEPLDRLLERLELDLGRTPDAILADTYLTWGAAAGARKGIPVCSLWTQPATFFLALYHSDRWPPLDGRASEEELSIKSLEEYVPGLSSVRLSDVKIFRSWARPMEISKEVFANVRKAQCVLLTSFRELEPSAIDRMAESLPCPVYPIGPSIPQHMPLEGSKIHEEEEHRSWLDAQPDNSVLYVSFGSFVSMAPAQLEEIAVGIRDSGVRFFWVARDRAPDVRRMCGGDKGGLAVPWCDQQKVLCHPSVGGFLSHCGWNSLLEAVRAGVPLLAFPVGWDQLVNARIVADEWKVGVNLREQRREDGVVSRAAISAAAAKLMDLGCGASKEMRRRAGELRQASRSAVLEGGSSHRSLSCFVKDLVEGRLGAAETSQ* >Brasy1G407700.1.p pacid=40055768 transcript=Brasy1G407700.1 locus=Brasy1G407700 ID=Brasy1G407700.1.v1.1 annot-version=v1.1 MASTRLLLLAVLALLQTLYSVHGAVVDEDAGFTSAVNITGHKPKHPHPHPGGGHGGQCRASGVLHGKASKCNKAHGSECCAAGRRYPQFKCSPPVTARTPATLTVNSFARGGDGGGKSFCDDRFHGDRELVVALSTGWLRLDGRNRCNRKVRVSHGGKTVVAKVVDECDSVNGCDAEHNFEPPCPNNVVDGSPGVWKALGLDDGIGEVKVTWSDV* >Brasy1G177700.1.p pacid=40055769 transcript=Brasy1G177700.1 locus=Brasy1G177700 ID=Brasy1G177700.1.v1.1 annot-version=v1.1 MVKMRARVKEFISFSLDLCEDKDVLQPVLAQHEISYIDQTDLQVPTRIKRGEAFDLSTSDSVYLKFARRDVLERSRHLKNDSFTIRCDVVVTNTDRATSTPMAPPFIQVPPLKMDSHFRDLLRTKVGTDITFEVGGEKFAAHRCVLAARSTVFKAQFFGNDTLEAGATVKIDDMEAKVFRCMLTFIYTDAMPFITDGMKDAGEEEEENSTGQDESGEEEAETDQDEAEKDEDAETQEFVMCLVHLLEAADRYDLQGLKMSCEETLADFVSVSTVADIIVAAEQRQCRWLKGVCLEFIRSHTSLHTVFTPEGFEQMTRTCSTSGLKELISKFVS* >Brasy1G287700.1.p pacid=40055770 transcript=Brasy1G287700.1 locus=Brasy1G287700 ID=Brasy1G287700.1.v1.1 annot-version=v1.1 MAPPSPLVSLSALLLLLVAAASNATAKPVQTQSLLATPLSPTPFSAPSELALGDGKDVFAGNLAAAEDATASTVQFSVVHRDDFVVNATAAELLAHRLQRDGKRAARISAAAGAANGTRRAGSGVVAPVVSGLAQGSGEYFTKIGVGTPATPALMVLDTGSDVVWLQCAPCRRCYDQSGQVFDPRRSRSYGAVGCSAPLCRRLDSGGCDLRRKACLYQVAYGDGSVTAGDFATETLTFAGGARVARIALGCGHDNEGLFVAAAGLLGLGRGSLSFPAQISRRYGRSFSYCLVDRTSSANPASHSSTVTFGSGATGSTVAASFTPMVKNPRMETFYYVQLVGISVGGARVPGVADSDLRLDPSSGRGGVIVDSGTSVTRLARPAYSALRDAFRAAAAGLRLSPGGFSLFDTCYDLSGRKVVKVPTVSMHFAGGAEAALPPENYLIPVDSKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFVPKGC* >Brasy1G425500.1.p pacid=40055771 transcript=Brasy1G425500.1 locus=Brasy1G425500 ID=Brasy1G425500.1.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEAVGAGKNASLSAEDEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.2.p pacid=40055772 transcript=Brasy1G425500.2 locus=Brasy1G425500 ID=Brasy1G425500.2.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKELVCLLCRFTIGVSQPGVVAVPRRESHQD* >Brasy1G425500.3.p pacid=40055773 transcript=Brasy1G425500.3 locus=Brasy1G425500 ID=Brasy1G425500.3.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKELVCLLCRFTIGVSQPGVVAVPRRESHQD* >Brasy1G425500.17.p pacid=40055774 transcript=Brasy1G425500.17 locus=Brasy1G425500 ID=Brasy1G425500.17.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEAVGAGKNASLSAEDEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.4.p pacid=40055775 transcript=Brasy1G425500.4 locus=Brasy1G425500 ID=Brasy1G425500.4.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKELVCLLCRFTIGVSQPGVVAVPRRESHQD* >Brasy1G425500.6.p pacid=40055776 transcript=Brasy1G425500.6 locus=Brasy1G425500 ID=Brasy1G425500.6.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.14.p pacid=40055777 transcript=Brasy1G425500.14 locus=Brasy1G425500 ID=Brasy1G425500.14.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.7.p pacid=40055778 transcript=Brasy1G425500.7 locus=Brasy1G425500 ID=Brasy1G425500.7.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.16.p pacid=40055779 transcript=Brasy1G425500.16 locus=Brasy1G425500 ID=Brasy1G425500.16.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.15.p pacid=40055780 transcript=Brasy1G425500.15 locus=Brasy1G425500 ID=Brasy1G425500.15.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.8.p pacid=40055781 transcript=Brasy1G425500.8 locus=Brasy1G425500 ID=Brasy1G425500.8.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.5.p pacid=40055782 transcript=Brasy1G425500.5 locus=Brasy1G425500 ID=Brasy1G425500.5.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKELVCLLCRFTIGVSQPGVVAVPRRESHQD* >Brasy1G425500.10.p pacid=40055783 transcript=Brasy1G425500.10 locus=Brasy1G425500 ID=Brasy1G425500.10.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKS* >Brasy1G425500.13.p pacid=40055784 transcript=Brasy1G425500.13 locus=Brasy1G425500 ID=Brasy1G425500.13.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEVCRTVQEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKS* >Brasy1G425500.11.p pacid=40055785 transcript=Brasy1G425500.11 locus=Brasy1G425500 ID=Brasy1G425500.11.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEDTEDIDPPSSEDIPKEEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKS* >Brasy1G425500.18.p pacid=40055786 transcript=Brasy1G425500.18 locus=Brasy1G425500 ID=Brasy1G425500.18.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.9.p pacid=40055787 transcript=Brasy1G425500.9 locus=Brasy1G425500 ID=Brasy1G425500.9.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKVNSCTHAIWTSRMEILD* >Brasy1G425500.12.p pacid=40055788 transcript=Brasy1G425500.12 locus=Brasy1G425500 ID=Brasy1G425500.12.v1.1 annot-version=v1.1 MTHRHPGPSRRAAARAAMAPPYSDRDRVLGEEVLYLHSLWRQGPPPPDSAPAPPARVPGVSLATRIRAERRQRRKLEHSPEPEDPGSAWPLAPSPPACPASPWPVVSSTPARQSPPPSPGSLAQQAALRAADEFFSRHTSRDDEEGSGSESDDEEEAAAGFFMGLFERDAALRGYYERKWEEGEFLCMGCAGKKARKGKCRKFQGCLGLVHHAHGATRCGRPRAHRALTAAICRVLGWDMERLPSIVTDPRGPLGLALDGDGAAAHETKEDVDTGNNAVPLSDGEAVKKGVVIDTGKCVPSINDNAGEVHEQEKGIGSAEKEEAATEDGEHANNVVRTGGVDSANLGNNPMDSPKS* >Brasy1G086000.1.p pacid=40055789 transcript=Brasy1G086000.1 locus=Brasy1G086000 ID=Brasy1G086000.1.v1.1 annot-version=v1.1 MSAGATINWIKTPFGTRRCHDFSSLSFRCRNTFGSIQPCWLATDQDSSLSKVRVAADYSDSVPDSKYMRERGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSEFQYVVDDYGDIFFQVPDNGNILEDDDANNPVTVLIGTDGAIIGETSVVTSDFSDHMDIEDSMDMRDDYSKIDTEITDILIEWGMPVTMRAIHPIYFAKCLTKAIHDNHGEKMDNPSNGLSIVGYLRPAFIEEESYLRSLFRSECNADGQSSNWRVNQTEEYNREPVSASGTNGLIDDDKSRIDFSDVGSSIESMIYKLEIMTIELFSIYGKQLMIDPQDFQDAEPDLLTNYVPDIIKRIKENNDQCTMALRSLCSRKKGLTVEGASLIGVDSLGIDVRVFSGLEARTLRFSFNAQALSERSAEKKIRRMLFPRYRKSVKVPAEDEC* >Brasy1G547900.1.p pacid=40055790 transcript=Brasy1G547900.1 locus=Brasy1G547900 ID=Brasy1G547900.1.v1.1 annot-version=v1.1 MNCCSLAPDSGRILGQGEADWGAEEGTSWRRRPKHRRTSCRSSTRSCGPGRERAVWYLAGGAGGHGGPSPLQGVGESRRAMANSGGQRQIEAAAAAPSRASGGMLSPESGWGLGRRGPWGGREGEVVAAGASRGRRGAPAWGARTVQNGWSGAVLRSSRVRRP* >Brasy1G339500.1.p pacid=40055791 transcript=Brasy1G339500.1 locus=Brasy1G339500 ID=Brasy1G339500.1.v1.1 annot-version=v1.1 MGSGSCCCIGGKGDDDGGSDGGGGGLDPKGFLLAMMIALVLFMLCHRPQPRRNSYVVYRC* >Brasy1G268400.1.p pacid=40055792 transcript=Brasy1G268400.1 locus=Brasy1G268400 ID=Brasy1G268400.1.v1.1 annot-version=v1.1 MVLFIKLILSLAAVFLSALILSYFTSAPYLRYSDLHLPFYRSKQSNSPRTPKPTCDIFHGEWVPDPGYSPEYTNETCSFIQEYQNCFKYGRPDSEFLRWRWQPSQCDLPRFDAGKFLRLVRGKTLAFVGDSLSRNHMQSLLCLLSKVALPKEVSMTGTTTDERNKILYDEDYRFTIKILWSPFLVRTEDAGNDIKLYLDEPDDKWLSGVAGFDYVILSGANWFTRPTLFYEEGRLVGGSYVAALNITSNLTLLYSTGWRSRRRSGRSTATATSRGRSSSGRCRPCRTSRAGTAAGRGLTGATRRRLSARSATCTRRRWRSSWRRRRLRRRRAWTWRSWTPRAPCCCGRTGTRVGTGTGPTPTGSIKTAYTGACPGPAMPGATCCFT* >Brasy1G096900.1.p pacid=40055793 transcript=Brasy1G096900.1 locus=Brasy1G096900 ID=Brasy1G096900.1.v1.1 annot-version=v1.1 MRRRHPLVLCLFLLLTAAAAAAAPSTSKPRAPAVSPAAAADFVRRSCRSLAGTAYPRDCERSLMPRAPAVGRSPRRLAQAALAVAADRARACSAYIGSAAEVGAGRMGRASSPRFAWRLSNAQTWASAALTDADTCLDSLSASSGGASRDDVRRRVVAVAQASSNALALVNRLQPAPHPPPAAPKLHDPSSSRPLLLRLLDTPLQYVPIDSSLSDQFPATYCS* >Brasy1G039500.1.p pacid=40055794 transcript=Brasy1G039500.1 locus=Brasy1G039500 ID=Brasy1G039500.1.v1.1 annot-version=v1.1 MADGHETDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHRFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELGQSDMFDQRLAAKILKVVDVSYGGENGFNQAIEISAEVLSNVKFIKEKKLIGKYFEEISQDTGKYVFGVDDTMAALEMGAVETLIVWENLDINRYILKNSATGETVVKHFNKAQEADQSNFKDKATSAELEVVEKALLLEWFAENYRQFGCMLEFITNKSQEGSQFCRGFGGIGGILRYQVEVNAYEDLSDEENDDDFE* >Brasy1G134100.1.p pacid=40055795 transcript=Brasy1G134100.1 locus=Brasy1G134100 ID=Brasy1G134100.1.v1.1 annot-version=v1.1 MGKKGKWFSAVRRVFSSSDPEAKEAKAEKADKPKSRKKWPFGKSKHSDLPTSTVSGITLVAPQPLPPPPTQPPQPQSEEIKDVKTIEAESEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVPTSTPKTAVCSKEELAAVKIQTAFRGYLARRALRALRGLVRLKSLVDGNSVKRQTSHTLHCTQTMTRVQTQIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLMMKQEAALRRERALAYAFSHQWKNSGRTITPTFTDQGNPNWGWSWMERWMTARPWENRVVPNKDPKDSVLTKNPSTSAIRTFVPRALSIQRPTTPSKSSRPPSRQSPSTPPSKAPSVAGKFRPSSPRDSWLYKDDDLRSITSIRSERPRRQSTGGTSVQDDASLTSTPALPSYMQSTKSARAKSRYHSGFTDKFEVPERASLVHSSIKKRLSFPAADKPNIAPADKPMERARRYSEPPKVDPASL* >Brasy1G514600.1.p pacid=40055796 transcript=Brasy1G514600.1 locus=Brasy1G514600 ID=Brasy1G514600.1.v1.1 annot-version=v1.1 MAKVLVRAPDVARAAGHGNAPAKGVAGRVKGPGISGGSYGTTETITCDAKTGYALSIKNGTVVLAPTKSSDPKQKWDKDDSWGDGFAIVNLDTNQAIQRPPEGAGHRLLLVPYDSTKRDDSVMWKQDNPKSCPIREHDDSTLVFDIITRGVDLVVIVAKETTNATSQNWTTKENE* >Brasy1G163800.1.p pacid=40055797 transcript=Brasy1G163800.1 locus=Brasy1G163800 ID=Brasy1G163800.1.v1.1 annot-version=v1.1 MAHSGGSIAFFGTFRPLVPLDIFSLPANPQPSTSPAEQRLTDGVSYNHNGRAIPRAALKELLVFLAQKEPPPAMKCGVTLDDVTGIIFVSESDNGLETLHVALSVKGEPTPTVLSLRKIYGGDTFSGVRMEDSGCFAGGFTAGGRAVGHSLVYVSTKEPARARRTPWTAVYKTSLADGRTERLTPPYQYDINPAVSLSGERVAVANFRFNQWAGEIDRIHTDIVVMNVDRQAQGGLGRSVVIKDGGWPTWGSDNVIFFHRGRFVPGKWQPSWQVFRYDLTTKKIDAMTPENIDAMTPAAIDENKVVVAATRTPFGLQAVAPEQRTDVAQFRQIEIYELRKPADPVVITSNKTDHYNPFVLQRGSNNFTSVGFHRCSDGEAEKKFIKLQSPPTHKDVALYRGAGVFPTFTNKGSELAFVDNDFRTVYVADGKADEPRELCKNEKSNNILSVTWNQKHDTLYCCIGTAFTGGEIQIWAIYNKSATTPSPRATRPDGNKLVFRSSCDRTIGGDSKFKNLYVMEDATEGDSSAGKVTQLTKGDWTDTHCCWSPTDDWIVFSSSRDRAPPGTDPALLDAGCFSIFMVSYKDPRVVVRVMHSAMSLAGHVCHPVFSPYRRSCYERDKQERRTTKKSQHKDTRI* >Brasy1G398600.1.p pacid=40055798 transcript=Brasy1G398600.1 locus=Brasy1G398600 ID=Brasy1G398600.1.v1.1 annot-version=v1.1 MSPTVCLLLACLCHSKAATTDSLPPTERSDLAAIPMKTTPPPPPPHTDDRPLVLLAQPLFPEFAAALAGRYRFALAADADAAAAAEARVLLVPGLARVTAERLGALPALELVAATSVGVDHVDLDTCRRRGLAVTNAGAAFAADSADYAVGLLVAVLRRVAAADAFVRGGRWAAEGDYPLTTKVSGKRVGIVGLGNIGALVARRLAAFGCAISYHSRSPKPAAPYKFFPAVRDLAADSDVLVLSCALTEETRHMVNREVMEALGKDGVLVNVGRGGLVDEPELVRCLREGVIGGAGLDVYENEPAVPPELFAMDNVVLSDHRAVITPESMRGALEVFTANLDAFFSGRPLVSPVQL* >Brasy1G211300.1.p pacid=40055799 transcript=Brasy1G211300.1 locus=Brasy1G211300 ID=Brasy1G211300.1.v1.1 annot-version=v1.1 MTAANNSHADNNPKKLVVIYAPAGLTGHLVPAAELGKLLAAQGLDVAVVLGGGEADQASDDPFLAGVAAANPSMSVHRLPHATLPSGVPAVAQEAKIFELARASNPDLRDFLRSASPAALVIDFFCSSASDVGAELGIPTYFFLTTCIASVAFCLYNPVIQGQTNLSFRDLGGGLVRAPGLPPIPADHLAASVLDRDSMGNKLFLALAEQLCNSQGVIVNSCHSLEPRAADAIVSGLCTAPGRRTPPLYCIGPLVKTEEVGTKKRHECLAWLDGQPKASVVFLCFGSMGRFSAGQIKEMAAGLEASGQRFLWAVRRPPPSDEHKQDDDGDIDALFPEGFLQRTKDRGLVLTSWAPQREVLAHGAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNKVFLVEELRLAVAMDGYDTEMVEAREVAAKVRWLIESDGGRELRQRTQEAMRRAKESLGDGGESKTALLNLAIQWKNAHQNGISK* >Brasy1G401500.1.p pacid=40055800 transcript=Brasy1G401500.1 locus=Brasy1G401500 ID=Brasy1G401500.1.v1.1 annot-version=v1.1 MGRRRLGVLSTKKRVQQLPHPTPHVQPLPKSLPPPKFGRQLGAPHPPTTPTASSLPPRYALPSPSPLSGSRSRCRRTRRSYTDRAAAARRGTRKDRRRYRVGGRALGRTGSGRGGDVTRKAKWIRRAVSRGARRRLQLLLREAATGDDKISCGKQ* >Brasy1G367000.1.p pacid=40055801 transcript=Brasy1G367000.1 locus=Brasy1G367000 ID=Brasy1G367000.1.v1.1 annot-version=v1.1 MASIPPDDAPENGHRNGNGPSPPAAKRPRAALIPAAEIRTEFAHHDAAVARVNNGSFGSCPATVLDAQARWQRLFLAQPDAFYFDSLQPGLARSRAAVAALVNAGDVSEISLVDNATTAAAIVLQHAAWSFAEGHFARGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASPDAIISEFHGALALAKAGGRRVRLAVIDHITSMPSVIIPVKELVAICRQEGVDKVFVDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHIRKDVRMASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQIVVPEAMTFVNRFEGGIEGIRSRNHEKVIEMGKMLADAWGTFLGSPPELCGSMVMVGMPSCLGIESDDDALRVRTMLRNDFKVEVPIYYNTRRVEAQEMARDKNGDPVTGYVRISHQVYNVKEEYERLRDAVNKLVAEGFTSSKLRPSEKQETLA* >Brasy1G184900.1.p pacid=40055802 transcript=Brasy1G184900.1 locus=Brasy1G184900 ID=Brasy1G184900.1.v1.1 annot-version=v1.1 MATSHGTAALALAAVLCLLPALASAQLKVGFYQKTCPNAERLVRQAVSAAFAKNAGIAAGLIRLHFHDCFVRGCDASVLLSVNPGGGRTERDAPPNNPSLRGFEVIDAAKAAVEQSCPSTVSCADILAFAARDSVTLTGNVFYPVPAGRRDGSVSNESDANANLPPPTFTAQQLIDRFQNKSLNAEEMVLLSGAHTVGRSFCASFVDRVWKNGTNTPIVDAGLSPSYAALLRALCPFNTTQTTPITTDMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDANLNAMVNSFAANETLWKERFAAAMVKMGRIQVQTGRCGQVRLNCSVVNPRSSSSWSSIELPSLSLADEEQERFVATS* >Brasy1G184900.2.p pacid=40055803 transcript=Brasy1G184900.2 locus=Brasy1G184900 ID=Brasy1G184900.2.v1.1 annot-version=v1.1 MATSHGTAALALAAVLCLLPALASAQLKVGFYQKTCPNAERLVRQAVSAAFAKNAGIAAGLIRLHFHDCFVRGCDASVLLSVNPGGGRTERDAPPNNPSLRGFEVIDAAKAAVEQSCPSTVSCADILAFAARDSVTLTGNVFYPVPAGRRDGSVSNESDANANLPPPTFTAQQLIDRFQNKSLNAEEMVLLSGAHTVGRSFCASFVDRVWKNGTNTPIVDAGLSPSYAALLRALCPFNTTQTTPITTDMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDANLNAMVNSFAANETLWKERFAAAMVKMGRIQVQTGRCGQVRLNCSVVNPRSSSSWSSIELPSLSLADEEQERFVATS* >Brasy1G323800.1.p pacid=40055804 transcript=Brasy1G323800.1 locus=Brasy1G323800 ID=Brasy1G323800.1.v1.1 annot-version=v1.1 MGVKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDMKKQELSKRYAKREDATEELTKAVETGDTDAIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPCEAEAQCAALCIKDKVYAVASEDMDSLTFGAPRFVRHLMDPSSRKIPVMEFEVAKILEELEFTMDQFIDLCILCGCDYCDSIKGIGGLTALKLIRQHGSIEGILENINKDKYQIPEDWPYEEARRLFKEPNVTLDLPELKWTAPDEEGLVNFLVKENGFNQDRVTKAIEKIKSAKNKSSQGRLESFFKPTVSTSVPLKRKETSEKPTNAAASKKTKSTRGRKK* >Brasy1G077200.1.p pacid=40055805 transcript=Brasy1G077200.1 locus=Brasy1G077200 ID=Brasy1G077200.1.v1.1 annot-version=v1.1 MALRLSVSSPHGPAASSPAISSCRPAACGRFLACAAASQKRSLMVMSGSDGRGGVTPVKSGSLETATGEEVETATTGADAVAVTGQVTEVCKDTFWPIVKAAPPKLVVLDMYTQWCGPCKIMAPKFQEMSEKDHDVLFLKLDCNQDNRPLAKELGIRVVPTFKIFKDGKVAKEVTGAKIDELARAIEEVKSS* >Brasy1G412400.1.p pacid=40055806 transcript=Brasy1G412400.1 locus=Brasy1G412400 ID=Brasy1G412400.1.v1.1 annot-version=v1.1 MADDPASRSSSSAGEDDEGTDSDASNNSDPAAPQDPPALPDNSAPPPAPEPAGPIPPPPPSSQPQGATAAAAAATEESRRLFQRLWTDEEELLILRGFLEFTSRRGTAFASHQYDTAPFYEEIRHKLSFEFSKNQLIEKLRRLKKKYRVCANRMVAQGGAFAFKSAHEGAIYDVARHIWHPSFKRNGGDASDEDDMNPAEAVAAAATAAAAATALPVAVEDGGGGSASVPTPRGRGGRRGRRRTAQEMEAPTTPATPALMLTGIAQEPAAVSLQNSVPMIAPPPRVLAHVPAPVRANGPTEEDVRSILSPLLKELISSVAVAGQTGLGLGLGIGFGGVGSADILGSGFGLFGLNPGVPSEEKWRQQQILELEVYLKRIELVREQVTTALDELRSSES* >Brasy1G521400.1.p pacid=40055807 transcript=Brasy1G521400.1 locus=Brasy1G521400 ID=Brasy1G521400.1.v1.1 annot-version=v1.1 MPPLPLLLILLAGVASAAAEPPTPSPAPPLPSPPPPPPRQKNETLYELLPLYGLPAGVFPSTVTSFSLADNGSLTVDLAGPCYAQFEYLTYFEARITGVLRYGSLTGLSGIQVRRFLVWFNVIRVKVDLPPPPRFVYLDIGWITRKLPASEFQSVHTCDSSKRCRLSSALATAATWFQDFFAQF* >Brasy1G149100.1.p pacid=40055808 transcript=Brasy1G149100.1 locus=Brasy1G149100 ID=Brasy1G149100.1.v1.1 annot-version=v1.1 MTTSEPVQKSSMLEPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKSSLHFIDRSSLPSDVILYTDDDEWSSWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTGRHIEIINQLGISLIPPITKKLACGDYGNGAMAEPSKIHSTVMLACKKHPFGACNSLVIPSSSAPPA* >Brasy1G043000.1.p pacid=40055809 transcript=Brasy1G043000.1 locus=Brasy1G043000 ID=Brasy1G043000.1.v1.1 annot-version=v1.1 MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPTHQPPPPPPPLNWPNTASSAAAGSRGGGAPGAASAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRSKSPPIISGLSSSSPTAAGPRSPFQLTHSYLPMTTPNPADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDSLAVHYAVSHCNRDVVKALLELGAADVNSRAGPTGKTPLHLAAEMVSPDMVSVLLDHHADPNARTLDAGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGGGGGGEGGTSDGGNNGFQRSDVADDSLVSLTMNSTLMYQGQEMAGDQGRNKAGGGGNNGGRGSPSTLYFPNGFP* >Brasy1G284200.1.p pacid=40055810 transcript=Brasy1G284200.1 locus=Brasy1G284200 ID=Brasy1G284200.1.v1.1 annot-version=v1.1 MHLCSKGVEEPHRDGSDGAGRGRGGFRGGRTGPRREFGDCDTNGVEGGYDGVGFGDGGVMRREDAEGNASERGHGPRQPYRGSGRRGGYTGGETGDESGRGPHRAYERRTGTGRGYGMKREGAGRGNWGSVTDEALAQEAVNTEGASAVTEDEKKQEDVPQSEPEKNKEGEPNEEEEKEPEDKEMTLEEYEKVLEEKRKALLAPKAEERKVEADKELRSMQQLSGSDKEKRKGNIERDERAKKPADVERYYSPGGRGRGRGRGRGDRGGYHGGHSYRGPIAAPSIEDQAQFPTLCGK* >Brasy1G265600.1.p pacid=40055811 transcript=Brasy1G265600.1 locus=Brasy1G265600 ID=Brasy1G265600.1.v1.1 annot-version=v1.1 MDSYSSWMENTASSQRNSCPKVHSSLCSELTIMLDKVSSILPSIEAARPGCKAGIQELCNLYNIVGKGKLITQHCTECSKLYLAITGEAILLRCERVRDSLKRSLFLIQNMVPTVLANQIAEVHIDLGDVKFVIDPLEEEAGKIILEMLRQSDATEELELETFMQAASMLNLTSPKAILIERRAIKKLLNKISGTDPKKEGVLKFFLYLVSKYGKNIKSDTSERNEKLQPENKSWNPSLSLANDGSTPGKCCTPTDFQTYEYRNSMSGEATPPTELCCPISTKLMHDPVIITSGQTYDREYIEKWFSQGHDTCPRTQIKLENFAMIPNTCMRDLICNWCQEHGFSISDFLPNKNAYSYLPEQLHGHSMSSLYNVSVPLIDGNARNFVFDHTNSSALSDASYVSDSSHVKDMEEPKDSFSQFSWSTDYQKYMSFHNFNQGMFLRFFCELSQLPLEIQGSSIKDLKNILDDENEVSCVMISNGFVEAFLEFLRNDSGNYSMQAQKNVFLFFLAFLSSSRTKIPSMNEEVFQLITSFLDSELKTEALLVLYELVQHPSHRQSHLLASVVIPPIFKILECEEIEGLELPLKIICDLSSDADIQAHLISLGIFSKLSPILTEGSFIECCLKILRNLCDVEEARVLITRTDRCLGCIAEYLDTGSPKERELAVIILLAICSHSTEDCSLVMKEGVIPGLVDLSVNGTDEARRCSSKLLHLLRDLRQSDQLSNTCSQEVAVANVVEDPPESTIRKQPTSKSSRFFQRKMNIFSKPRSLTLF* >Brasy1G057700.1.p pacid=40055812 transcript=Brasy1G057700.1 locus=Brasy1G057700 ID=Brasy1G057700.1.v1.1 annot-version=v1.1 MAKPRSARKRRRHVGGAAVGGAAALPDDVLLDIFARVAPDFLDLLRCAATCRGWLRLVMADEPAFLRRAGLLQPLPFPIGVFCQPDGTLVSAPWRMPKVSAWPPRFFSLRDAARRLRFTSFFPNADGLFSYATPLSSRRGLLLLCLAPTPLDRRKLHLAVCHPLIGPQSTRLLPPPPLDLAPHLDGKDLTGYALLTPADYQRQRQQKTASGFEFQVLITAVRSGDGHTYAYAYSAAGRWGAPMECPKLASPGRFAVSGHRAGVVDGHGAAHWLYRKETARRRCFYTLAVAVHADAAPHVSLTKVPIKAAADSDDPPFPCVTGGGRGKTLSFVNARDGGRLELWTRRTEQDGGGGWLRSEVTTSKEVEIVAFAEGRGALLVKEPGRSQALFTLDLESKEMEPDILT* >Brasy1G287600.1.p pacid=40055813 transcript=Brasy1G287600.1 locus=Brasy1G287600 ID=Brasy1G287600.1.v1.1 annot-version=v1.1 MSRKRREGGGGGKGGGGGGDHHQHQPPQQHKGSGGPAAAVTDALSMDGGLREVSVSVVFSVWCILFLLRSQFLHSQTDPSDFDGEHGKRDNHCKVMPLEAYIFPADNVSSPTCQSSSSPQHHQEVPPSSDATGGNSSSEAAFVELDEFRSRILEGKADNDTGRHHQRVAVSGGGASVTHRLEPSGAEYNYAAASKGAKVLAHNKEAKGAANILVGDKDRYLRNPCSADNKFVVVELSEETLVHAIALANLEHYSSNFKDLELYGSLSYPAESWELLGRFAADNAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYFQVYGVDAVEQMLQDFIADHSSDGADAANAAADARKDNSGRNDTAAGAPVDAKVDGGARRNDSTSTDVGKNNASKGAGAVDTKPPPQGKEQGKQASSSTGRIHSDAVIKILMQKMRSLEQGLSTLEDYTKVISHRYGAKLPDLHNELSQTNKALDKMKADVKDLLEWKNNVAKDLGELKDWKSSVTGKLDDLIRENAAMRSDVEEMRSIQETLQNKELAVLSISLFFACLALFKLACDRLLLLFSSKEDAAEERAGRGWMLVLAASSLTTLIVLLYN* >Brasy1G290700.1.p pacid=40055814 transcript=Brasy1G290700.1 locus=Brasy1G290700 ID=Brasy1G290700.1.v1.1 annot-version=v1.1 MTTKPLLFLALLLLLAAAAATPDAAASQQRRTLLADGGSDDAYSSVDPSYAFPNPRLRDAYVALQSWKRAILSDPRNVTGSWSGPDVCAYYGVFCAPSESDHYLTVVAGVDLNHADLAGHLPEELGLLSDLSVFHVNSNRFCGVVPRSFHNLGLLHELDLSNNRFVGAFPDVVLRMPSLRYLDLRYNEFEGAVPRELFDRPLDAIFINSNRFRFRIPDNVGNSPASVLVLANNDFGGCLPASVANMSATLNEIILMNTGLKSCVPPELGALAELTVLDLSHNQLMGSVPAELAGLRSIEQLDLGYNRLTGDVPEGICRLPRLQNFTYSYNYITGEPPACLHVKALDDRRNCIPYRPDQRSPDQCQFASNYQHVNCDAFRCKKFVLPSPPPPPPSPPPPTPSPPPPSPPPPSPPPPTPSPPPPSPPPPSPPPPSPSPPPPSPYYEVSPEERYLSPPPPAYVEPTTPPHYDIPSPPYYEVSPEDRYRSPPPPATGVPKYDYSSPPPPAYSEVSPEERHHSPPPPTTMWKLPAYDYASPPPPAAGQP* >Brasy1G161400.1.p pacid=40055815 transcript=Brasy1G161400.1 locus=Brasy1G161400 ID=Brasy1G161400.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAVASSKDELNFVGKDEDGLLGTHYSTASSKYEIELVGKDEDELVSPQSSAASSKYELELVGKDEDELVDTHNYMAPRKDEPEPIGKDEDELVGAHNSSSKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G161400.2.p pacid=40055816 transcript=Brasy1G161400.2 locus=Brasy1G161400 ID=Brasy1G161400.2.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAVASSKDELNFVGKDEDGLLGTHYSTASSKYELELVGKDEDELVDTHNYMAPRKDEPEPIGKDEDELVGAHNSSSKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G161400.3.p pacid=40055817 transcript=Brasy1G161400.3 locus=Brasy1G161400 ID=Brasy1G161400.3.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAAASSKYEIELVGKDEDELVSPQSSAASSKYELELVGKDEDELVDTHNYMAPRKDEPEPIGKDEDELVGAHNSSSKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G161400.4.p pacid=40055818 transcript=Brasy1G161400.4 locus=Brasy1G161400 ID=Brasy1G161400.4.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAVASSKDELNFVGKDEDGLLGTHYSTASSKYEIELVGKDEDELVSPQSSVAPRKDEPEPIGKDEDELVGAHNSSSKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G161400.5.p pacid=40055819 transcript=Brasy1G161400.5 locus=Brasy1G161400 ID=Brasy1G161400.5.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAAASSKYEIELVGKDEDELVSPQSSVAPRKDEPEPIGKDEDELVGAHNSSSKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G161400.6.p pacid=40055820 transcript=Brasy1G161400.6 locus=Brasy1G161400 ID=Brasy1G161400.6.v1.1 annot-version=v1.1 MAMVLDAFASYLQDMLGETSAEEVHTLLGVSVEIDKMSDKLGDLKNFLADADRRSITDKSVQEWVTELKRAMYEASDILDLCQLQAMERGVSTVDAGRCNPFLFCIRNPFYAHDIGSRIMRLNERLDNIKQRSAVFSFINLGAYEDRGRHMHASRFGNPSRETTGELDRSAVVGEKIEEDTRALVAKILQTGEGANNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQSEFNKKIWLSVNQDFDKAELLRKAIILAGGDHGGVKIKSVLQPILTSALIGKKFLLVMDDLWSLGAWEGELKIPLVKAAASGSRVLITTRNEAIARGMKATWLHHIDRLSLDDAWSLLKKQILSSETDEYQVNTLKDIGVKIIQKCGGLPLAVKIMGGLLCQREMQRRDWEQVLDDSKWSMTKMPEELNNAVYLSYEDMPPHLKQCFLYYSLLPKSNSFHDLHVIGMWISEGFIHGHSGDLEELGRNYYKELISRNLIEVDELQYGQKYCSMHDVVRSFGQYMAKTEALVAHNGEILEKVNSRKFFRLSIETDEVQSGDFDWKFLREQSALRTLISNVEIEMEPGDSLVTCSSLRTLYLKSADLALIESLHQLKHLRYLHLKNAGMYALPGNIGKMNFLQYLDLLKCSNLVNLPDSIVKLVQLRYLNLPDELSMIPRGFHCLTNMRILGGFPALMDGDWCSLDELGPLSNLIFLRLEQLENVSTAKSAAIATLGLKVHPINLLLGCTSKLGYDGLVDKEEGVSAEDQQQIEKVFNELCPPCSVEYINIKGYFGQQLPSWMMSMAMVPLNNLKFLLLFDLACCNQLPNGLCQLPCLQELQVHRAPCINRVGAEFLCPSQSGSTATFPRLNKIELEGMVEWEEWEWEEQVQAMPRLEELRLQRCKLSPELERVSNFPLLQKLTVVLCPKLKVVKDVPALQKLVLEDYGMETLPEYMGDITPRHLEIECSIGLLTSITEGKSGNEWEKFSHLQHVKAYAHDGDNRRKWYVFYTREPFSIDTNVDISSMPAVASSKDELNFVGKDEDGLLGTHYSTASSKYEIELVGKDEDELVSPQSSASKDDLKFVGKGEDELLGDHNSIVSWKDELELARRDEDEIAGTHNSMASSEDESRLVGIDGPREHLIKMLDLDDDTQLKMVSICGTGGLGKTTIAKAVYRKIGDQFTCQAFVPVPRKPDIKEIIRSICEQVCRDNKESDFTQQGNALSKFLEDKRYLIIFDGIWDVSEWDQVLNELGGSNNNHGSRIIITTRKVNVAEHVGCLYHLPLLSYNDSKMLFYRRTLFGSEDHCPSQFRELCKRMLSKCGQLPLAIIVIAKLLPGSMELEEWQNACSSIGRGQEGHEIRRDVLVRRWIAENLIREEHGHNLQELGSVTILAGLQQIHLQDKKIQRLSLQVSNELHDVRKATKTVAHNVRKATKNVGQTLDLTATGVTQLRSSIAKLTQLRRLLINRSTEVPAKIIGKLQALEELVEIDISKSPSILGDISTMPELRVLSIALWSWDESCSRLLSEALCKLSTRKLKRLSISTCCSLDLMIDVKYVLQHLEKLEIQGSTFNRIPNWIGKEAAVSNLRSLSIEVYSLENDALRILGKLSHLLFLSLAAKRAPEEKLVVGRDGYSYLQTFLLFNRAVAIKFEEGAMKKLQRLKLMLQASLKEDFCFGLENLFSLKRVQVEIICFSATDRVVKEAEAAMQTMIGKNPYKPTLEITKTVKKYMIEEKIGEAQCEMNEKDEDQEAGDARPKNSATAKKKSRRARKKKKRKH* >Brasy1G525300.1.p pacid=40055821 transcript=Brasy1G525300.1 locus=Brasy1G525300 ID=Brasy1G525300.1.v1.1 annot-version=v1.1 MVLVTTKHGDFLRINIMLKENPWIPCTDRYTDKQQFFRPSYHNCPPDLELETVTISNFPSF* >Brasy1G548000.1.p pacid=40055822 transcript=Brasy1G548000.1 locus=Brasy1G548000 ID=Brasy1G548000.1.v1.1 annot-version=v1.1 MSPPGRGVLAAGNLGSHEESSPRLSTSSPGTTRTRKPRRREPPVLRLRSLLPPSAASFSPSSSSAGGGSSAGCSSAPQLAISSTGRGLGRPVCSTFAASSSPSQQQSARTSAGPFPCAMEGKTICFRCRSVPYRALVSDVRAKGSVVELRTSERVPKRPFADGETVTLHAPDGSCWSGHVKKEDGDHSDSLYTRLLVDIPVPAEEPGDGSVLEENFMIDVFPTKTIIATPAPVNAWKKLRMVPQNEEYELHKSRIGLKGFEKLGRAVAEVLIESSDVNLVAIYTEEINVTNLVRSWKNNNIPLRVQDHRTLLFKRTYQIKNKPPEEEEIEVTLLFPSQRENIPWSEAGVEYVVDSVAVMMGEQDTREPKVINDKKSVHCLTRFPKVSETFGLLFDRQKPRFMRPSCTLTIVATSISSLKALMDSFPQWDGRETSMSFFADGSAHETSSFDLIVTLSTSSSNSKKLGNGTTTSDSFTALLRTCIDMILPVPLPIVRCRLELF* >Brasy1G322200.1.p pacid=40055823 transcript=Brasy1G322200.1 locus=Brasy1G322200 ID=Brasy1G322200.1.v1.1 annot-version=v1.1 MGKPDSRFLPTPPTRAGVSVAAAFPAGRGLGRRLLPCGPPPRPCVPRRPPPRAEVSAAAAATEDGRLLAPATPCLNPAPAKPRPPPRVMDGAGSGLPAPRAVWGRRPPRTPASTSPLPTGSGRPASAAVPSSAAVPASTAGSGQLLLCPPPSARRRRPSPRPCRCRRNHSQARTGPREEEDEQR* >Brasy1G002600.1.p pacid=40055824 transcript=Brasy1G002600.1 locus=Brasy1G002600 ID=Brasy1G002600.1.v1.1 annot-version=v1.1 MSMKESSNEGSRRAEAGCRRRRRRPPAVARRGAVAGREVAHWEGGRRAEDGCRRREASRQRREAGLAATDLARGGGGRTRRWPAAAPDPARSGPSRRRGGGRGRCGGGRRPRRIPPDLALAGGAEEAGGGGRPEEEEASLAETAGGRAGSRPIWPEEEAAVAREGSRRPRRFPPGQPQGGGAEVAGDGGGRCRRRAGWQASAPVGKRW* >Brasy1G200900.1.p pacid=40055825 transcript=Brasy1G200900.1 locus=Brasy1G200900 ID=Brasy1G200900.1.v1.1 annot-version=v1.1 MENEEMSKVTEATGKVDFMVETHEAVEGQKYGFIEGKVDWRGRPAVRGRHGGVGNSFFILVNFGLESLASLSLAVNLIMYFMLIMHVGLADASNLLTNYMGTSYMIAVLITVFADTVVGRYQTVIISSLIELVGLLLLTVQAHSPKLKPPECFFPSPTCQKVSGDNEVLLYIGLYLVAIGSAGIKAALPAHCADQFDDKHPREKRQMSSFFNWLLLSMCIGGAFSVTIFVWIQNKKGWDKGFGAATGVMGLAVIAFVIGLPRYRIFTVQGSSALLEIFRVYVAAIRNRNLQLPENPHELYEISRSKASPDTEFVAHRDKPFRFLDRAAIVQTPTGETPNPWRQCRVTQVENAKTMLAMVPIFCSAIIMGTILAQFQTFSIQQGATMDTRIARHVQMPPATLPIIPLGMLILAVPVYERLFVPFARRVTGHPNGIPYLQRVGVGLLLSVLSMCVAAVVEMRRKRVATEHNMLDAIPQLQMLPMSCFWLAPQYGVFGIADMFTLIGLLEFFYSQAPPALKSMSSAFLWCSMSLGYFLCTILVKAVNAATKNYTASGGWLGGNNINRNHLDLFFWLLAVLSFLNFLNYLYWSSWYKYVKPQEQPDHVVVPVEQQQV* >Brasy1G470700.1.p pacid=40055826 transcript=Brasy1G470700.1 locus=Brasy1G470700 ID=Brasy1G470700.1.v1.1 annot-version=v1.1 MATTTTQSKATLPASVINGSSRLPPKKEEQVLRRAYSEMESNLEKLVIVPGTDNEEASPEAETTVRCACCGVSEECTAAYVGRVRAAFCGDWLCGLCGEAVKERMLADGLRVEAALEAHEEECREFNSTTRLNPTLSLAGSMRGIARRSFDRRRTATGALASASCQDRSLRTAASRAVALARSASCDPRFLLIGGDDDNGPVVPVLRRETNAAFGPWATASGN* >Brasy1G098800.1.p pacid=40055827 transcript=Brasy1G098800.1 locus=Brasy1G098800 ID=Brasy1G098800.1.v1.1 annot-version=v1.1 MADGEEYKVLIEQASKDADGDPHQEDDDSDDDTSSFILLANLVLSGTARLNVLLPTATILTFAIFAPLVTDDGKCARANRILTAAFVLLCAASCAFFTLTDSFRSATGRLRYGIATPAGIRTFCGGHRRKAPRELEKYRLRWSDLFHTALSLVAFATFAASHHDMVRCYYPGVPRKVVNTVPLVVGFVVSLLFVMFPSRRRGIGYPFLLRTDLVYLRR* >Brasy1G106200.1.p pacid=40055828 transcript=Brasy1G106200.1 locus=Brasy1G106200 ID=Brasy1G106200.1.v1.1 annot-version=v1.1 MEFLKKAMVYSLILSLLLVHSCLVPSVCCHETDDDFHCWHRRPAYLCLKTAKCRGYCLDHGYINGRCNWTFPYIGLCECKVPKCNHGAVAEAAGSPSQD* >Brasy1G247600.1.p pacid=40055829 transcript=Brasy1G247600.1 locus=Brasy1G247600 ID=Brasy1G247600.1.v1.1 annot-version=v1.1 MHVFSYGNYNERSADSLRDALLLACCPVPRRDASAPAHFSSVPAAAALPKPPPCTPPGVAGSALPGHPRARRRLPPPRCATPATALPRPAPYAPPRATLPRPPPGADPRWLALPHPGAAAAALALPPPCCAAPASAGRGRCHGAPAATVALPRLLAPAAAGATECRRRRTLPPRSPSLPRDGNL* >Brasy1G410300.1.p pacid=40055830 transcript=Brasy1G410300.1 locus=Brasy1G410300 ID=Brasy1G410300.1.v1.1 annot-version=v1.1 MGRSSSTRTLLGLLGLVVAISAAASPASAADVAGICKGTAYPELCVAMAGKQAGGATPVDALAVLNMQVDAFEVHAAKAKAHVVEVSAAPTTTPKAREALDLCGNLYGDVLDTLGAAKRAIVFKDPVTIRAMMSMAAQDMQGCDEKFRQVGENNPLTHFDQSLLNLSENCRSLSNMI* >Brasy1G411300.1.p pacid=40055831 transcript=Brasy1G411300.1 locus=Brasy1G411300 ID=Brasy1G411300.1.v1.1 annot-version=v1.1 MDDACAVCAEPLEWVAYGACGHREVCSACVARLRFVLRDQRCCLCMAHCPAVFATKAMGDRTRVISDFSALPVAPGEWKAGEYWYHEDTQVWFDDADHYRTVRSMCRISCTVCEGSSSKRVKKGRKVGKPKHNVKFGSIEQLKGHLIDQHYLYMCDLCLDGRKVFTREQKLYTKPQLNQHIKSGDSEVDGSGIELRGFVGHPMCKFCNIPFYGDNELDTHMTREHYSCHICQRQHSGKKYDYFRNYDDLEAHFRSDHFFCEDRECLENKFVVFQSEAELKRHNAVEHRDLMRHARKNTALQTPTSSREWSEQERSHGRGRRNNAWGPIGAVDNTLLSVHSSANVGRGLGNQVASVAAPLRSLSICSGSGQSSQAGQSSGTNRVLQQSYFSPLSRQEVPDARIGSVLQEASSPPISESYTPALSRSSRNAARIRDEAFPPLPGISNRSAALTQQGVRKVTENTRASGFQQQSKGTVITHQLRSVENTDSIPFGSSHSPSSPMPNPSPDNSGSSSLSSAGNERKGTLVNSQMCTVEDVRAANNSLVERIRTALGMDQDRYTMFKELSVEYRQGVINASKYLSYVEQFGLSHLVLEMARLLPDPHKQKELADAYYTNLRLTSLQGNGGGGTVSSKEGNRKKKGKGKVPDATGTISATKDSPEDKFLKAASKLQSPEGNSRVVLREGCGATSGSSHELGWPVKGAWQNHGGQRLLSNLKK* >Brasy1G411300.2.p pacid=40055832 transcript=Brasy1G411300.2 locus=Brasy1G411300 ID=Brasy1G411300.2.v1.1 annot-version=v1.1 MDDACAVCAEPLEWVAYGACGHREVCSACVARLRFVLRDQRCCLCMAHCPAVFATKAMGDRTRVISDFSALPVAPGEWKAGEYWYHEDTQVWFDDADHYRTVRSMCRISCTVCEGSSSKRVKKGRKVGKPKHNVKFGSIEQLKGHLIDQHYLYMCDLCLDGRKVFTREQKLYTKPQLNQHIKSGDSEVDGSGIELRGFVGHPMCKFCNIPFYGDNELDTHMTREHYSCHICQRQHSGKKYDYFRNYDDLEAHFRSDHFFCEDRECLENKFVVFQSEAELKRHNAVEHRDLMRHARKNTALQTPTSSREWSEQERSHGRGRRNNAWGPIGAVDNTLLSVHSSANVGRGLGNQVASVAAPLRSLSICSGSGQSSQAGQSSGTNRVLQQSYFSPLSRQEVPDARIGSVLQEASSPPISESYTPALSRSSRNAARIRDEAFPPLPGISNRSAALTQQGVRKVTENTRASGFQQQSKGTVITHQLRSVENTDSIPFGSSHSPSSPMPNPSPDNSGSSSLSSAGNERKGTLVNSQMCTVEDVRAANNSLVERIRTALGMDQDRLLPDPHKQKELADAYYTNLRLTSLQGNGGGGTVSSKEGNRKKKGKGKVPDATGTISATKDSPEDKFLKAASKLQSPEGNSRVVLREGCGATSGSSHELGWPVKGAWQNHGGQRLLSNLKK* >Brasy1G017900.1.p pacid=40055833 transcript=Brasy1G017900.1 locus=Brasy1G017900 ID=Brasy1G017900.1.v1.1 annot-version=v1.1 MAHHFMGRHNNKAAEAEPSKVKATGGDHRKEEKHHKHMEQLAQLGAVAAGAYALHEKHKAKKADPEHARSHKVKEGVAAAVAVGSAGFAFHEHHEKKEAKKNRRHGHHH* >Brasy1G356300.1.p pacid=40055834 transcript=Brasy1G356300.1 locus=Brasy1G356300 ID=Brasy1G356300.1.v1.1 annot-version=v1.1 MAAGFLSMAHPAITLSGIAGNAISFLVFLAPVTTFVNVVRKKTTGGYSAVPYVVALFSSTLWILYALLKGNSRPLLTINGFGCGVELAYVVAYLLYAPRKARLRALAYFLALDVAAFAIVAAVARLAVAPEHRVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPISLSFCLVLSAVACLIIIT* >Brasy1G302900.1.p pacid=40055835 transcript=Brasy1G302900.1 locus=Brasy1G302900 ID=Brasy1G302900.1.v1.1 annot-version=v1.1 MNTEIQEAADILKVIESANKLKGAEEPSGVLRGAQNAWDLLSDEQSQKHITTGSGDLNSILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDIMEYFPYRHDKASSGRENLQPERFLADIYYFRVCSYTEQIAVINYLEKFLGEHKDVRIIIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKLSKTYNVAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERCAYLDKSPSLPVASTPYAVTNKGVRDAVNSNCKRVRVM* >Brasy1G170100.1.p pacid=40055836 transcript=Brasy1G170100.1 locus=Brasy1G170100 ID=Brasy1G170100.1.v1.1 annot-version=v1.1 MASLTTTTPSPAALPAAPATAVASSVSPTAAASKRPHLAGDDAPWRVAATGSAGIRPVPRIHHAPVLRVPQDDSSAYALALMKHPDPIGVGLAMEAVAEAAGPECIVPGQHAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSSMAHK* >Brasy1G183300.1.p pacid=40055837 transcript=Brasy1G183300.1 locus=Brasy1G183300 ID=Brasy1G183300.1.v1.1 annot-version=v1.1 MARRAQQLSAGCCFVVALALACGVASVASQGQPRKSVPANGPPRTIPPKARFETISFDKFSSKRQYTISCAPSPCIVSCPSRCPNKCLASCSYCMSFCMCDIWPGTSCGDPRFTGGDGNTFYFHGKKDQDFCIVSDTDLHINAHFIGNHNPAMKRSFTWVQAIGVSFGDHHLYIGARKAVEWDEEEDHIEITLDGETVDVETSKNAQWVSRALPGLSVTRTDTVNTVNVELDGVFSISANAVPITDEDSRIHNYGKTGNDSLVHLDMGFRFHSLTEDVDGVLGQTYRPDFVSKVDISANMPIMGGAPKYLSSSLFSTDCAVSRFRRNNGAGPVVTYAS* >Brasy1G019000.1.p pacid=40055838 transcript=Brasy1G019000.1 locus=Brasy1G019000 ID=Brasy1G019000.1.v1.1 annot-version=v1.1 MGCLTDDGERNGSYFLDRYHLSKKYEPFPSSIFCRSSNLPFVTPPDASHLCAPATDPYAFFSSTPARPASPSSTAAAEGMLPASSRSGVVVPDSSLNRHARSLGSCCSPRTATCPTPPPPRTPTCCSDTPASCPHSRRRPTCVRASTLISAASCASSATCVLIDAGAIPISSSVTQSLRNPNFSSMLRKHDTELYTQGC* >Brasy1G006700.1.p pacid=40055839 transcript=Brasy1G006700.1 locus=Brasy1G006700 ID=Brasy1G006700.1.v1.1 annot-version=v1.1 MAAAAARPGSALAFPSSPASLLLARSRSSASDPLLAASPAPTRPARRRLVAAAVPPCCNSNEARFFAAVVPFLNGIRLLIYGLGFYSDEALVKSVTREGKREELLRGPLYYVMVLLLIVLAFWRDSPIGIVSLSMMSGGDGFADIVGRRYGSLKLPFNKKKSWAGSVAMFISGFLLSALMLFYFSWLGYIHVSWEETLGKLVLVALAATVVECIPVTDVVDDNISVPLATMFVAFMLFGNTAN* >Brasy1G323400.1.p pacid=40055840 transcript=Brasy1G323400.1 locus=Brasy1G323400 ID=Brasy1G323400.1.v1.1 annot-version=v1.1 MAAIRSAGAFRRLTCLLRSSTGQIARAPAPRALHEEGLYLIRRPMAMALGHPRLFSSGSKHPCKFNLGQKHKEKANKLPQTLEDNFARIEIALEKEIQDWREMRKLLAECKEVNTKGDKVTVGLFVLFGAAVLGGEAIRR* >Brasy1G349200.1.p pacid=40055841 transcript=Brasy1G349200.1 locus=Brasy1G349200 ID=Brasy1G349200.1.v1.1 annot-version=v1.1 MGASFRTTASLFAVLLLSSFLHPARCDDPQPPPATPVPPSTACNETTDPAFCRSVLPSNGTSNLYTYGRFSAARSLSNANRFLALVNRYLARGGLSPAAVSALQDCQLLSGLNIDFLSSAGATLNTTTNKNNNTLLDPQAEDVHTLLSAILTNQQTCADGLQSAAASAWSVRGGLAAPMADSTKLYSVSLSLFTRAWVVPRPRRPKVRKPTTSKPPRHGRRGLFDATDDEMLRRMAVEGAAAAVPVFGVVTVDQSGAGNYTTVGDAVAAAPTNLGGRTGYFVIRVAAGVYEENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEGYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLHNRLPMAGQSNTITAQGRSDPNQNTGTTIQGCSVVAAPELAANTAFATANYLGRPWKLYSRTVIMQSALAGLVDPAGWMPWDGDFALSTLYYAEYDNSGPGADTSRRVNWPGYHVLNSTADAANFTVANMVLGDFWLPQTGVPFTTGL* >Brasy1G078400.1.p pacid=40055842 transcript=Brasy1G078400.1 locus=Brasy1G078400 ID=Brasy1G078400.1.v1.1 annot-version=v1.1 MARYYSEADYCAEEEMSRPYASPGRGHCHHGGESYVVRKEAYEEIDEVERARRGHGHDHLGHSGSLHHHGHGGSCHGASGHLGHSGSHLGHSGSHLGHSGSHLGHSGSHVGHREHHVHGGSHYVDPCESRYDSCTGQYY* >Brasy1G579400.1.p pacid=40055843 transcript=Brasy1G579400.1 locus=Brasy1G579400 ID=Brasy1G579400.1.v1.1 annot-version=v1.1 MKKKMNTKQGALLLSAFVLLTFTFSTTNAQQCGKQGSGMECPNNLCCSQWGYCGLGAAYCDKGCQNGACYTSKRCGTQANGATCPNNHCCSKYGYCGFGQEYCGDGCQSGPCRANIKCGRQAGGKVCANNMCCSQWGYCGLGSEFCGGNCQSGACSTDKPCGKQGGGKGCTNDYCCGQDGKCGLGGNYCGRGCQSGGCYKSGGLFDYVVAGGGDVMMNSSVLLLPGQASME* >Brasy1G445000.1.p pacid=40055844 transcript=Brasy1G445000.1 locus=Brasy1G445000 ID=Brasy1G445000.1.v1.1 annot-version=v1.1 MDLHGVLIRRSGKSVGSKASSSDLTNFLSLQRVRWPTFLPGPGARINESLLSFFSLPPHRSPPLLYACTCRYRAAGASPSQRAAAGRSPLPSPSLLPTLPLSCALDPRPSVLLHHRGRLLAGRLSRPLSCSRGFPSSCAMAGRGLIRPPSALPTPDPAFLGPADAGSGRARRDEVGRRRRRRRPPPPPSPPVPRCS* >Brasy1G478200.1.p pacid=40055845 transcript=Brasy1G478200.1 locus=Brasy1G478200 ID=Brasy1G478200.1.v1.1 annot-version=v1.1 MESSTSSTSLNCISLSDPDIQRSVALLKQACLDSGFFYVLDHGISQELMDEVFAQSKKFFELPNSEKMKLLRNEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADDPQSNRPFYGPNQWPSEEVLPKWREVMEEYHREALRVAKSVARIIALALNLDEDFFDTPEMLGDPIATLRLLHYEGQVSNPSKGVYGAGAHSDYGLITLLATDDVVGLQICKDSNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLSGQERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLSQRYKDTHADLSAYSDGKA* >Brasy1G135200.1.p pacid=40055846 transcript=Brasy1G135200.1 locus=Brasy1G135200 ID=Brasy1G135200.1.v1.1 annot-version=v1.1 MDRVQLVLLGLPIILFCSDVVTLFAPQPAAAPKPDRHHQPASGAFQPDSSSRDAAASAQVAEPQVDGPGSGTTVELKFCASCSYRGNAMTMKRMLETSFPGIAVVLENYPPPFPKRALGKIVPFLQVGALATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASVWLLGNFAQSLLQSSGAFEVYCNGQMVFSKLSEQRFPSEFELRELVSNRLSDSQLGKNLEGIQNLENVENLENQ* >Brasy1G135200.2.p pacid=40055847 transcript=Brasy1G135200.2 locus=Brasy1G135200 ID=Brasy1G135200.2.v1.1 annot-version=v1.1 MDRVQLVLLGLPIILFCSDVVTLFAPQPAAAPKPDRHHQPASGAFQPDSSSRDAAASAQEPQVDGPGSGTTVELKFCASCSYRGNAMTMKRMLETSFPGIAVVLENYPPPFPKRALGKIVPFLQVGALATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASVWLLGNFAQSLLQSSGAFEVYCNGQMVFSKLSEQRFPSEFELRELVSNRLSDSQLGKNLEGIQNLENVENLENQ* >Brasy1G358600.1.p pacid=40055848 transcript=Brasy1G358600.1 locus=Brasy1G358600 ID=Brasy1G358600.1.v1.1 annot-version=v1.1 MAESKPEQEVSSPWPDLLPELLGLVLSRMPSHADRVRLGAVCRPWRSSSTNQPLLPWLALRDGTFLSFPDGEVYRLPVPADVYHRVSAGGIIFLVHADGKCSLMTNPFTGETAPQHMDPDVLWFQMTILTPRHLVAHFNIAKVVVSDHIVAIKGRRGAKPRICARGPPQTCTPPVVKLELYANDIEFFQGMLYVVGTKDVYSPTHEFVHHHHRELHVFEFTRDPPVLCIPGTTIAHSTGYYQLYYYLVVSGDRLLLVEREIELSVVSSKPIRTRRLEVFEATGLHNGVGNGRWTKVDNLMGHALFVSQDCSRSLPVANDQYGAQQDCVYFLSEHSLNDNYFKGRKPEDDFLDSGVYNIRDQTLAPLPTEMAPMKTAVVSHAGKGSLSWLFLPQN* >Brasy1G191700.1.p pacid=40055849 transcript=Brasy1G191700.1 locus=Brasy1G191700 ID=Brasy1G191700.1.v1.1 annot-version=v1.1 METREGDAHSSESDAPKVKEKGGFVALPFIIANEMLEKVAGFGLNTNMIMYLTKQYHLSNVTAGAMLFVWAAAANFAPIPGALIADMYIGRFMAISLGSIACLTGIVFLWLSAMIHGARPAPCGAGTLPEQCMPPGPRHLAWLIAGFTFLSIGAGGIRPCSMAFGADQFSRHPKEKRTKILQAYFNAYYASIGVAFTVAVTVIVYVQDNVGWKAGFAVPMGLMMLSAVSFLLGSCLYIKEKGSKQMFSGIGAAFMAAIKNRRARLPVKIEDGVYHHLKDCKLTVPTDKLRFLNKACMISNTEEALPAHSDVAASECRRLCTVDQVEQLKSTIRILPIWSSTVFLAQAMSQNYAVLQANEMDRRVGVGRFRVPGCSLTMFNMVTMSLWSGSYDRWIAPALQRITGDPRGLTMKQRIGAGLVLATAGMAVSAVVEGARRRLALSDGGGTMSAFWLVPQFALMGLAEAFGVIGALEFFYTELPKSMASFSMALLYMAMGVGNLVNSLIVKVVHEASRHGGRTSWLSSDLNLGHYDYYYWLLACLGALNFVYFLCCVWAYGEEGKNVEWEEEAETERPTA* >Brasy1G337900.1.p pacid=40055850 transcript=Brasy1G337900.1 locus=Brasy1G337900 ID=Brasy1G337900.1.v1.1 annot-version=v1.1 MGTRGSKKRKADRGRGVSESSGGGGGVSKSSGGGVSKSIGGGVSKSSGGGISEIGGSCDRILNLNGRPPYLVLTGGTKSTSLFSLSGDSSVVFVPDPAHLFLGSSGNLLVTVDDRARMYLVNPVTREQAALPDITTMGIHNKRFNFTVEMDTFMQIRFGGQLASWGSRWKPQPLTFSLAAEQMRQFFYRKVVLSASPHPDSYTAMLILQKDFGAPAFASAKDAVWRIAYSPGGIEDAIHFKGQFYSITYSGDVEVWKDDAEAAGKMRSEMAAPSLPAHVEFPFNTKGPWKIGIHWAIALSDDHLVEYPLPKLKNDGLSRRKYLVETLDGRLMVVVKYSQEALMTWKCAFKIYILDADGDGAGEQWKESKNIGKCALFVGLNNSLCVSTRQHPNIKSNRIYFTDDELEHAVWRGEVRNQCLYNTGGYENRCIGVYNIKKGILNKVQLSMEEASFWPPAVWFSPS* >Brasy1G316400.1.p pacid=40055851 transcript=Brasy1G316400.1 locus=Brasy1G316400 ID=Brasy1G316400.1.v1.1 annot-version=v1.1 MSKHSSAIIAIIALDSAPLISPPAPSSSSRGAQWCVSLLNGDAGGCEGKVHGVRRLFTRVGAVESSGEARHTPACSTRDAGGCGDEVHRARGRGRDLRDRGQRSHCWTIVRVGNNHTPPRPCLQ* >Brasy1G219700.1.p pacid=40055852 transcript=Brasy1G219700.1 locus=Brasy1G219700 ID=Brasy1G219700.1.v1.1 annot-version=v1.1 MTKYQLPINNPPANPINKLPIPLPAFAKHAVNSHRNFNAHVWGRLERGKTGRSEKLGEVRGNGVEEGWGEVPRGWREAPGGLGRKVNHDELAAVVGCQEEGACCRRRRGRRGARLRARWGRGGDDVHSRPAAPNAPLLRHDARRHLLAEVHIWGLCSSESSKPNRGQAFVEPSRFWFAVREGWHTRVGCCCCCSSSSWCFFTQARLSVLVINNRQGSFFLFTGLIEDCKGDMEEEMGRR* >Brasy1G143300.1.p pacid=40055853 transcript=Brasy1G143300.1 locus=Brasy1G143300 ID=Brasy1G143300.1.v1.1 annot-version=v1.1 MPRCHATVFSPLSPIEFPTDAEERRVLQCTPRARARERRRRRSQVNSNPYSSSSCPAPPRQPTAAAFSPVFLQEEKKGKRGSKMVLWVFGYGSLIWNPGFDFDEKILGFIKGHKRTFNLACIDHRGTPKNPARTCTLESEDEAICWGIAYCVKGGLEKEREAMQYLERRECEYDQKISVDFYKDGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNISHEDDSIIELANEVRKVLSRSKEKITGSDISLKSHTPLVHLSALPEGTVMDSR* >Brasy1G252900.1.p pacid=40055854 transcript=Brasy1G252900.1 locus=Brasy1G252900 ID=Brasy1G252900.1.v1.1 annot-version=v1.1 MVLGNIAILLGSGYLGTILTGDEGSKVPILGGLLSGAAKFVSQDGKAASSSNDQHTAQLMSQVDRLREDLRRHLGRREVTVVTTRSSGPGALTITAVVAAGVIGYAYIRWKGWKVSDFMWVTKRGLSDACNVVGNQLTEVSDSVHVAKKHLSGRIDRVDASLDEAQEIIEGTRDEVAIIHVDLSTFQRELQEVNRTVKIWGSRLSSIEDTQDRTVRATEALVGFGQQMEHDQNANIRQVSSFLPAPGPSEQSIKRLPSPPPLAVQAAKSKPAAEESQEKHGASPEASMRWKLPGLGFLRTSSNI* >Brasy1G533600.1.p pacid=40055855 transcript=Brasy1G533600.1 locus=Brasy1G533600 ID=Brasy1G533600.1.v1.1 annot-version=v1.1 MGTNSRNRQAASLSETWARPLGHGGAKVSIGWAYGPGPLIILFDLGRPGGVCMFCSAERRRLADLYLRRRPRPARGASALSLALASARAAAVLHPARARCRRPAPGPRLSVRLRRPRPSPRRRPRPCMLPKPAIQHLASGEPAELAALSRGDQMKPWELRVAACGGRRCRSGGVRRRQGRTAGQGKRSQGRPSELAPLSRDGWRRRSPAAAAAGGGDEDGCEGGGARGERGSQGRLSGQGRRSQGSRRSLLPSPAAGSGGGLRRQLLRTGRQRSKRGCRGVF* >Brasy1G249800.1.p pacid=40055856 transcript=Brasy1G249800.1 locus=Brasy1G249800 ID=Brasy1G249800.1.v1.1 annot-version=v1.1 MTATAGSRASTAAAAAGNNEFRFFLSCDISLPLTFRVIQAPIPPPTTTTQDGLDKKVPELFVECKLFIDGVQFGLPVNTRLESSGPPYCWNELITLCTKYRDLTSLAQLAFTVWDVSSGDGRNVVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIHHVDWLDRLAFTAVDKVKEKECERLENLFPSLVVEFCSFEHRVVFQESGTNFYAPAPVLLSNEVVTVWDPELGRTNPSEHKQLKLARSLTRGIIDRDLKPSSNERKLLQRVIKYPPTRAILPDEKQSVWKFRFSLMSEKKALTKFVRSVDWSDIQEAKQAVELIGKWETIDVADALELLSPDFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLAHFLVNRALSNIEIASFLRWYVVVELHDHAYARRYYSTYDMLEDEMMKMVAREDGDEDGFRLWQSLSRQTELTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFRSALNPLRLTFKTANGGTSKIIFKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEEGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLRDDGCLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGTESQYYTRFKSYCCEAYNILRNNSSLILNLFKLMGRSNIPDISNEENGSLKLQEKFRLDLNDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR* >Brasy1G505500.1.p pacid=40055857 transcript=Brasy1G505500.1 locus=Brasy1G505500 ID=Brasy1G505500.1.v1.1 annot-version=v1.1 MVIFGLADEELLLFEMPLTSSFRTKLDSGHVGLVTVSGGTLSVDEVHFPNKAELLRMVRFGNFKVPGSPCMLLFEEWTVKVKPVWTLQDALRDYLALWGLGTLLGRPKEVDMVYTRRHGVLRIRVACTDYRCIPARRVVLIKGEGYDLFFQVEAPPVVLQPADEIMDDATDPDGDGKNNDGNFLGEQSGGSGSNSKNSGGPSSSPIVGDVSVPSSSQLVGSPLPNIQFGSFPAGSLSAGVGRWADMVEEEEMAASAPPLVAAGWRRPPTVSDRTVTKGGLVAGASVAAGRSVTSSLVVSGPSAVGSAKAAVARATAVLTSSQRSAGRGLVGAQWQAAAGPGLAMPLAVCARQEAEVVRERLSPVAAPVRVSGGHVNGISSPTQAEVIAFGGIQDAAASGLRSSARLRAQPDAHDTQMDRATRRARSRDELINSDVEVERALTLLHKNIGSSGEDTNATHDLVVSKIPDLCADLDDESAEVEEDHSDHRVRVTRPRKKKVYDFSTVRQSSRVKKQKKKIGMKGISGGILVGINTATLQVNRVDTGDFCVKFQLRSKVDGFDWVLIRFMGPPKCFIRQNFWLN* >Brasy1G154100.1.p pacid=40055858 transcript=Brasy1G154100.1 locus=Brasy1G154100 ID=Brasy1G154100.1.v1.1 annot-version=v1.1 MITGSAVYHVVEAMAPLYTAALLGYASVRWLGAFSGEQCAGINHFVAIYAVPVLIFHMVSTNDPYAMSGGLIAADTLQKAAMLLALVAWAAWPARWRRGSTKAVAAAVSPLQWVVTAFSVAALPNTIIMGVPLLGGMYGAVSKGLMKQIVVMQFCVWYNVVIFIYEYMAARRAAATVDGTARIRDANDDGDIVVVAAERAHEVTVKIEITELAPMPQEGVAGETKTTTTVAKETDTAEAEGVSLPKKTAPSARHIALMAGKKVLKIPNTYASFLGLIWSLIAFKCGIKMPKIIDDSLFTIHTTAVGLSMFASGTFIARQSRFVPCGYAVASISMVLKFLIGPVVMLLASLAIGLHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL* >Brasy1G232600.1.p pacid=40055859 transcript=Brasy1G232600.1 locus=Brasy1G232600 ID=Brasy1G232600.1.v1.1 annot-version=v1.1 MVEAEKMLFAAALEDPANQRFVLLSDSCGPLYNFSHTYTYLMASQKSIVDSFTDKADTRYNPSMSPVIPKDKWRKGSQWVMLIRKHAEVVVGDKHVFQVFRKHCKMVVTKALLGRRLNARRSGFVFRRKQVAKDADEKEHDCIPDEHYVQTLFSIKGLENELERRTLTYTSWNQSSLDPKDKTTWHPMTFEYDTASPEHINAIKSIDHVNYEVEHRTEWCQCNGTSVPCFLFARKFSYSAAMHILEDGAIGLLKSAQLLVNF* >Brasy1G232600.3.p pacid=40055860 transcript=Brasy1G232600.3 locus=Brasy1G232600 ID=Brasy1G232600.3.v1.1 annot-version=v1.1 MVEAEKMLFAAALEDPANQRFVLLSDSCGPLYNFSHTYTYLMASQKSIVDSFTDKADTRYNPSMSPVIPKDKWRKGSQWVMLIRKHAEVVVGDKHVFQVFRKHCKMVVTKALLGRRLNARRSGFVFRRKQKDADEKEHDCIPDEHYVQTLFSIKGLENELERRTLTYTSWNQSSLDPKDKTTWHPMTFEYDTASPEHINAIKSIDHVNYEVEHRTEWCQCNGTSVPCFLFARKFSYSAAMHILEDGAIGLLKSAQLLVNF* >Brasy1G232600.2.p pacid=40055861 transcript=Brasy1G232600.2 locus=Brasy1G232600 ID=Brasy1G232600.2.v1.1 annot-version=v1.1 MVEAEKMLFAAALEDPANQRFVLLSDSCGPLYNFSHTYTYLMASQKSIVDSFTDKADTRYNPSMSPVIPKDKWRKGSQWVMLIRKHAEVVVGDKHVFQVFRKHCKMVVTKALLGRRLNARRSGFVFRRKQKDADEKEHDCIPDEHYVQTLFSSIDHVNYEVEHRTEWCQCNGTSVPCFLFARKFSYSAAMHILEDGAIGLLKSAQLLVNF* >Brasy1G416900.1.p pacid=40055862 transcript=Brasy1G416900.1 locus=Brasy1G416900 ID=Brasy1G416900.1.v1.1 annot-version=v1.1 MASGEAMSSPFAPLTNQQLHQQQTSSQDQEHPPPPPAKKKRNLPGTPDPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGKDQPRKRVYVCPEKGCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCAKKYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARMQQTAAASGGGNAGTAMCGGGPSYGLFGGSAAGPMSVRPNVMLVPPAPGQMPGHGALSLWGSSALPSSLGLDQNIAAGAPMSMPMPMPAQQMYADVFAPSSGGAQFDMAQLNWLYGNGNGGGKQLSSSNASELTTTNSSREADSSAPSVFSGQHHAKPASAATADMSATALLQKAAQIGAVTSNNASMPLVGGFVEQPVKSPVEERCKFDGGALFGASHQQQHGASNNNGSSAMSELTAANTGYDVYAAARHGGGLKDAVGREETRDFLGVGMQALCSSSIHGWI* >Brasy1G407200.1.p pacid=40055863 transcript=Brasy1G407200.1 locus=Brasy1G407200 ID=Brasy1G407200.1.v1.1 annot-version=v1.1 MTTTRAVPAMALLVLVALSICHAASSLRPSLGVCRASGYLPGKVGHCEKSNDPDCCDLRGRFEKGKDGGGPSECDNSYHSDQEMVVALSTGWFKNKARCGHRIKITANGNSVYAKVVDECDSVYGCDDDHNYEPPCANNIVDASPAAWNALGLDQNVGMEDITWSEE* >Brasy1G501400.1.p pacid=40055864 transcript=Brasy1G501400.1 locus=Brasy1G501400 ID=Brasy1G501400.1.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDSKFQSCTVLPSEEINLAEEDAITSYVSKSIEGTCCVRDPIQETEAELNLSCEQETESPTSVLDVAFSYDSATNSEKHAMLDDSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G501400.5.p pacid=40055865 transcript=Brasy1G501400.5 locus=Brasy1G501400 ID=Brasy1G501400.5.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDSKFQSCTVLPSEEINLAEEDAITSYVSKSIEGTCCVRDPIQETEAELNLSCEQETESPTSVLDVAFSYDSATNSEKHAMLDDSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G501400.3.p pacid=40055866 transcript=Brasy1G501400.3 locus=Brasy1G501400 ID=Brasy1G501400.3.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDKGTCCVRDPIQETEAELNLSCEQETESPTSVLDVAFSYDSATNSEKHAMLDDSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G501400.2.p pacid=40055867 transcript=Brasy1G501400.2 locus=Brasy1G501400 ID=Brasy1G501400.2.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDSKFQSCTVLPSEEINLAEEDAITSYVSKSIEDSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G501400.6.p pacid=40055868 transcript=Brasy1G501400.6 locus=Brasy1G501400 ID=Brasy1G501400.6.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDSKFQSCTVLPSEEINLAEEDAITSYVSKSIEDSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G501400.4.p pacid=40055869 transcript=Brasy1G501400.4 locus=Brasy1G501400 ID=Brasy1G501400.4.v1.1 annot-version=v1.1 MAQLLHHQETAFYGKEIPGRRWSIFQFFGLSRRLRSVKMLSEKKHGQDKSPGGSKRRSYVTLKDEDSGVMDDGKNAEVTSKQKGSKKNSGKSSLKSLIAKKLYGKEGEKEKMLPVAPKLLRTLSMHYLERNDYVIDGEAATDGNGSSHGAKMLLQQALSNTLDGSDTDKSSSLLLNRGDEHAKQKSHRSISMDGILHKVPYGHKVSGNKIGEELPRSASATYDRDGLKPYIGRASKRHVNQGFQRSRSLSESLESYSHLLDSISSSEAKRVLTSSKSTRDHSLDGPGANAFHRISSSQFRSKGLTTLAEHLVMKVDASESHVADKTVGDGDVNFSVDESSCNEFSDGSKNPVLLEEYLHDKSFHVEVSTEADLCIAPLASEEHAATCDDDQAPSSTKEDLYTDPPPLEQADIPEEPAMTCDDDQAPSSTKEDLYTDPPPLEQVDIPEEPAMICDDDQAPSSTKEDLYTDPPPLEEADIPEEPAITFDDDQTHSSTEADSSTDLPSEDINTEEEHARTSDDNSSLKPGNDTGDSVGSNIVIASTYEKPSATSFTQEILQEHNSDDLNGLQVDPKNEAELNYVNDIFNKSSFTNETLFDRWYSQNTVALQQEDCQHYEAAAAPLDFTYMCADELLLFDMTNEALLDIYKSYSASKSKASRFSSFDRRKPVGDQALRELQSRMSCHLDRPGGTEISAIVSNDLAKADHWMNLQRDVDHVGNKLADSVLDKLLTELTLQLAKF* >Brasy1G026800.1.p pacid=40055870 transcript=Brasy1G026800.1 locus=Brasy1G026800 ID=Brasy1G026800.1.v1.1 annot-version=v1.1 MGHQLFVDDPFASSISSLEAEAIFSGAGGQWRGGGGLDDRDLSAMPAPANASSGGSGSPGGGRKMSHNAYERDRRKQLNELYSSLRSLLPEADHTKKLSIPITVSRVLKYIPELQKEVDGLERKKEELTRANCKPGVIAMKDQNVAPVVSATCLDDKDIMVQVSLLSGMAAAALPLSTCIKVSENEGLRLVSSSTSAFGNRTFYNLHLQRTQRTMSKQCPAFCDELEKAIKKKAGLLMHQ* >Brasy1G578500.1.p pacid=40055871 transcript=Brasy1G578500.1 locus=Brasy1G578500 ID=Brasy1G578500.1.v1.1 annot-version=v1.1 MGARAPLLLPWVDSTAAVCFASPIYMGFIVRERFPLPSPPRSLHLFSVRRLSRGGSAPGLPQKDRNLLFAVCARWLPSLVSHELSRPSCARLFRWSSL* >Brasy1G144200.1.p pacid=40055872 transcript=Brasy1G144200.1 locus=Brasy1G144200 ID=Brasy1G144200.1.v1.1 annot-version=v1.1 MAFVKEEKMKGCRPRLFGSKEKKVAKRTDGPSCNAAGEVGPSSSKAVSSSPLRTHSGVKSIRLSHLLAQPSINKTTEPIRIFVSTWNVAGKAPTAELNLDDFVPPDDHSDIYVLGFQEVVPLNAGNVLVIEDHEPAARWLVLINQALNRPADNDTNVFQQPSPSVDSTSSRASSSLDTTFSDITKTASGSTIFHKSFLKSVSKSFMPLQRKQLKACNCPVEMIKSSYRDACFRRPKKHAGESDSSGEEEKENTRDSCCSTGNEVTSAPTARDQLKYNLIVCKQMVGIFVTVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTVHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRAGRRIPEKILEHDKVIWFGDLNYRLALSYADTKRLLTENNWDALFEKDQLKIEQDAGRVFKGWNEGKIYFAPTYKYSSNSDAYAGETATSKKKRRTPAWCDRILWRGDGTSQLSYYRGESRFSDHRPVCGTFIVEVEALNKKTKRRPSSADMRIGAEELLPTDKNKDKGTPRNMSCPKIPQ* >Brasy1G144200.2.p pacid=40055873 transcript=Brasy1G144200.2 locus=Brasy1G144200 ID=Brasy1G144200.2.v1.1 annot-version=v1.1 MAFVKEEKMKGCRPRLFGSKEKKVAKRTDGPSCNAAGEVGPSSSKAVSSSPLRTHSGVKSIRLSHLLAQPSINKTTEPIRIFVSTWNVAGKAPTAELNLDDFVPPDDHSDIYVLGKLFLSMPQPSPSVDSTSSRASSSLDTTFSDITKTASGSTIFHKSFLKSVSKSFMPLQRKQLKACNCPVEMIKSSYRDACFRRPKKHAGESDSSGEEEKENTRDSCCSTGNEVTSAPTARDQLKYNLIVCKQMVGIFVTVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTVHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRAGRRIPEKILEHDKVIWFGDLNYRLALSYADTKRLLTENNWDALFEKDQLKIEQDAGRVFKGWNEGKIYFAPTYKYSSNSDAYAGETATSKKKRRTPAWCDRILWRGDGTSQLSYYRGESRFSDHRPVCGTFIVEVEALNKKTKRRPSSADMRIGAEELLPTDKNKDKGTPRNMSCPKIPQ* >Brasy1G189200.1.p pacid=40055874 transcript=Brasy1G189200.1 locus=Brasy1G189200 ID=Brasy1G189200.1.v1.1 annot-version=v1.1 MQGISACDSVASPHGTNCRSACVARNDLRLFYKINSVSCGAYARRWCAEKLHKKINSRRMGNTVRTNARWLFGGDGSNSNSNANARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDAAKQLREKLTEIETEITRQREAKRGSSKNEAQDKSINLLRVRADLQKAIESENYALAAELRDAIAKLEGESLALSAKALAYQNVKYEFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYADPELLVAYVAEENLSAPEESEKGRFDHPYIEFLFYGEDTARDFIPIKQLREKYDQQRYEASGDENDDDDHPNS* >Brasy1G286600.1.p pacid=40055875 transcript=Brasy1G286600.1 locus=Brasy1G286600 ID=Brasy1G286600.1.v1.1 annot-version=v1.1 MDEHRNELERWLVLLAAKGVQELIFVNRPWPMDLRFPAMLFSCASLTRLYLGIWRLPDTAAVPRAATFLNLRELGLCFNVMKERDLAFMLERSPVLEILTIIGQSAAGGGFRLVSHSLRCVRLGLTRLENIDVMDTPNLERLLQWDAIGQPHLSSILCKKRRSRIKIGHAPKLRLLGYLEPGDNEIEISNTVIVAGTKENIVPCVKILAIQVEFGVRNALKKVPAYLRCFPNLETFHIQSRKDVEPTGKVNFKFWQEDVPIKCVLQTMKKVFFYEFRGSRSEVAFLKFIAEKAKVLQKMVILVASEFFSSGDDVNAKLKPLTSAKWNSKVCKVELSKSLYSEGGDPGFSYRLATDFSCPDPFIQVNYQEPL* >Brasy1G445700.1.p pacid=40055876 transcript=Brasy1G445700.1 locus=Brasy1G445700 ID=Brasy1G445700.1.v1.1 annot-version=v1.1 MAGVRHQQQQVIIAVVVAVALMATAAEGFISKKTWAAVRRANRDGPFVGLVVPNTYEMVPVLNSPSFKASKGVPNIDVQGRRFRFGTIGGQHVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNANEDLQIGDVTIPEHWAHLSLWNWQRAGLGKGNELPLEAAGDYTRELGFLNFSDYTTVGQSTNPALSANRLNSVWYQPEEIFPASGNPEERQHAFWVPASARYYALAEKLEGMELPQCVNNNGTAAEKKCLPRAPRVTRVARGCSANVFLDNAAYRQFIRAKFGCTPVEMESAAVALVAYQQGVPFLTIRSLSDLAGGGSALGNEAGEFISIAAQNAVDVMLRFVPLLGEESGSGVAEDV* >Brasy1G126300.1.p pacid=40055877 transcript=Brasy1G126300.1 locus=Brasy1G126300 ID=Brasy1G126300.1.v1.1 annot-version=v1.1 MASSECEDAARRSIKEPSGCEDAEYPCVKRLRHRRLLSFLHTQGFHGTYDALLRDTDAHFCLGRLQQHIKQGQWRYAFACLTKHLPPYCGRSFRAQVLLEFLYIHHGIAHVVAGNENKYLHHKYISQVDLRLCDTTLSILALSPDQLRASMDWKK* >Brasy1G349900.1.p pacid=40055878 transcript=Brasy1G349900.1 locus=Brasy1G349900 ID=Brasy1G349900.1.v1.1 annot-version=v1.1 MGTATMATALGAAMLLYFVLSRRLAHHEDATGSPGGGGGVKRRRGRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCTELKGHEVMEELIVLRRLIDLCFLFSKKSFPVFLELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARGITPCLLQAVSQCPEYQIKIVGHSLGGGTAALLTYILREHTEFSATTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARARVAGAGALLRPVSSKTLVVMKQAQNVAQAVARSRSALSSWSCMGARRRAVGVVAATTNDEMTAETHVTTTVDSDSFVVEQCGTKVVEELQYTATSISVHEETEEEALLSEHETSREHTEEITDGELWFEFEKDRHRQAEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLEGQQFYPPGRIMHMVAMPPPDAGPDDPVVTDECTVGIYQTPRDLYSKIRLSNTMINDHYMPMYKKMMEILIENFANNEDNFCADSTVE* >Brasy1G349900.2.p pacid=40055879 transcript=Brasy1G349900.2 locus=Brasy1G349900 ID=Brasy1G349900.2.v1.1 annot-version=v1.1 MGTATMATALGAAMLLYFVLSRRLAHHEDATGSPGGGGGVKRRRGRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCTELKGHEVMEELIVLRRLIDLCFLFSKKSFPVFLELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARGITPCLLQAVSQCPEYQIKVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARARVAGAGALLRPVSSKTLVVMKQAQNVAQAVARSRSALSSWSCMGARRRAVGVVAATTNDEMTAETHVTTTVDSDSFVVEQCGTKVVEELQYTATSISVHEETEEEALLSEHETSREHTEEITDGELWFEFEKDRHRQAEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLEGQQFYPPGRIMHMVAMPPPDAGPDDPVVTDECTVGIYQTPRDLYSKIRLSNTMINDHYMPMYKKMMEILIENFANNEDNFCADSTVE* >Brasy1G349900.3.p pacid=40055880 transcript=Brasy1G349900.3 locus=Brasy1G349900 ID=Brasy1G349900.3.v1.1 annot-version=v1.1 MKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARGITPCLLQAVSQCPEYQIKIVGHSLGGGTAALLTYILREHTEFSATTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARARVAGAGALLRPVSSKTLVVMKQAQNVAQAVARSRSALSSWSCMGARRRAVGVVAATTNDEMTAETHVTTTVDSDSFVVEQCGTKVVEELQYTATSISVHEETEEEALLSEHETSREHTEEITDGELWFEFEKDRHRQAEVEAQTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLEGQQFYPPGRIMHMVAMPPPDAGPDDPVVTDECTVGIYQTPRDLYSKIRLSNTMINDHYMPMYKKMMEILIENFANNEDNFCADSTVE* >Brasy1G058900.1.p pacid=40055881 transcript=Brasy1G058900.1 locus=Brasy1G058900 ID=Brasy1G058900.1.v1.1 annot-version=v1.1 MSTIFAIALGCAAGGLALLGSVIVLVVLCLRHRKRTSDSSESNSSGQAFSEMRGARRLTLEELRSATNNFSSSNLIGHGMFGDVFNGLLQNGTVIAVKTRHSPPSQEFIQEVNYLSSIGHRNLVNFLGYCQENGMQMLVYEYVPNGSVSTHLHGNGHGPGVRLEFKQRLSIAHGTAKGLSHLHSLTPPAVHMNFKTANVLVDEDFIPKVADAGIRGLLDRIGVACPSSRISNDPFLGPRGKESMIFSIQSDIYSFGVFLVELISGRKAVVDQSIIEWVQNFQESSDISAIADSRMTSGFTSEGMKELLRLASWCLNPMSETRPSMSLVEAEIHRIREQEIRLTTVMSVERTPTVTLGSQLFTASR* >Brasy1G315600.1.p pacid=40055882 transcript=Brasy1G315600.1 locus=Brasy1G315600 ID=Brasy1G315600.1.v1.1 annot-version=v1.1 MSSRSSALPRKTHRGAAHFSPPSQRARNPSSAFLSRPQRFSMAFLGPAALRPSRSSGAPPSPIRRGPLTSSMERHGPLAEPAVQPHRALEPARELVDEERPARFEKLRLSASARRRPPFLFSLVLLLLAQSPWFCPWRRLSPPHGGTRRAQDWHLLGVLVLQLQAPEQVLERIRHAHST* >Brasy1G003900.1.p pacid=40055883 transcript=Brasy1G003900.1 locus=Brasy1G003900 ID=Brasy1G003900.1.v1.1 annot-version=v1.1 MAPSRRRPSMGRQKIAIKRIDSEEARQVCFSKRRAGLFKKASELSVLCGAQVAAVVFSPAGKAFSFGHPSVDVVVDRLLATLAANNAPGAAAAAAAGGGSSLGAEAEQTLLELNREYGELRAMMEKEKLRKERAEAETKRLLAEGSSPAAAWLDADLGDLSEAELLAFQAALMEVQRQVQIRADGVLREALNADRNAAAARIMAPPAPPLAMPGGFANSVYEVGSSSSNGSGFVNNNGNGGGGAMEEMMMNNMLQQQMNMMDLMAPPPGLMGQLPQAPPPGMGFQETMDLPPPDFGPDGGFFGPPPY* >Brasy1G243100.1.p pacid=40055884 transcript=Brasy1G243100.1 locus=Brasy1G243100 ID=Brasy1G243100.1.v1.1 annot-version=v1.1 MVWPDRNQKAGGTFRSKKGRRTEIGSGQAPNRSNTFGKTSLGKAMGDPSKVKQAVICDGHFDLNSSKEVCVNVVSGYLLNLSGKAMWQNSMRQNKGIRVPPETNFCYKESKEGLKLFVFEAGVSPLHAETMQNDIHIYVIQGGKKTYIATLSSAYPMVKFDLMMSEGVEIHHTSMRKVVYFNACEFKPKIPKNIKRISFKSKEELSELRGLPDAKFERKPAKKSVDPEYQEYVAKKGIERRDPNLITISLVSAPLMAARLAMSPATSAVLRGGAQG* >Brasy1G054500.1.p pacid=40055885 transcript=Brasy1G054500.1 locus=Brasy1G054500 ID=Brasy1G054500.1.v1.1 annot-version=v1.1 MREGHALAPTRRRTHRIVASRSHSSRPLRPRIGLEAPRGCRPCRWCVRRVRVGDRAAGVRGGFRSRVWSATAESEPPLMNFSQFRCILLWIRCVSHLSLSLSLSLSLSLSPSPSLPPPPPPLSLSLRARSSQPKP* >Brasy1G054500.3.p pacid=40055886 transcript=Brasy1G054500.3 locus=Brasy1G054500 ID=Brasy1G054500.3.v1.1 annot-version=v1.1 MREGHALAPTRRRTHRIVASRSHSSRPLRPRIGLEAPRGCRPCRWCVRRVRVGDRAAGVRGGFRSRVWSATAESEPPLMNFSQFRCILLWIRCVSHLSLSLSLSLSLSLSPSPSLPPPPPPLSLSLRARSSQPKP* >Brasy1G054500.2.p pacid=40055887 transcript=Brasy1G054500.2 locus=Brasy1G054500 ID=Brasy1G054500.2.v1.1 annot-version=v1.1 MREGHALAPTRRRTHRIVASRSHSSRPLRPRIGLEAPRGCRPCRWCVRRVRVGDRAAGVRGGFRSRVWSATAESEPPLMNFSQFRCILLWIRCVSHLSLSLSLSLSLSLSPSPSLPPPPPPLSLSLRARSSQPKP* >Brasy1G381800.1.p pacid=40055888 transcript=Brasy1G381800.1 locus=Brasy1G381800 ID=Brasy1G381800.1.v1.1 annot-version=v1.1 MPSPAHPKTTKKHNARLNNPFPRAVPAAAFRQYGAAAPPLSFAPSSAKLAQAHDHPVGSRFRLRWDPSHGGSVSLAGISSSSSVMWETIPGVAFVSAASAATEADECRGSFALRDGRARLVPDRQSVDRIRALYRCDADLLGAAAFQASEETRFPVLLITGFVSARKAGSASSCCCGLRARARAGAGQPVLSARYWLLLEEKSDTQVAFGVKIADYQWSCGHAADPASSPPPAPRPHRAGLRLRLHLAGRVQRSSGRKNKLSSGVPIREELSALLPPLEKAEEEEARAPEEFNRVFLTYASERDERFYGFGEQFSRMEFKGKRVPVLVQEQGIGRGDQPITFAANLLSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILQGDSPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTDAVRRVWSQLRDHDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDDHYAGWNDLVRDLRRGGVRTMTYCNPCLVPMGGKANARRHLFEEAKELGILVRDESGEPYMMPNTAFDVAMLDFTNPAACAWFKGILRGMAESGASGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWKKNSSSGASSSSSDDEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQRNDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPPFLRYRRGEELLMRWMELNAFTVVFRTHEGNRPGSNAQLYSNARTLAHFARCARVYKAWEFYRARLVREAAETGLPVARHLFLHYPEDRRVQGMTCQQFLVGTELLVVPVLDKGRRTVAAYFPASDGASWRHVWTGQEFGNNGHGSVGAVHGFEAEVGAEVGYPAVFVRVGSPVGERFVSNLRDLKVI* >Brasy1G381800.3.p pacid=40055889 transcript=Brasy1G381800.3 locus=Brasy1G381800 ID=Brasy1G381800.3.v1.1 annot-version=v1.1 MELRPRGRSCFESTAGSKAPPGWPAPAPAPGGARPEEQRQEEQALLRGPDPGGALGTPAAAGESGRGGGAGAGGVQPGVPDVRERTRRAVLRLRGAVQPHGVQGEEGARPCAGAGDREGRPAHHFCCKSPQLQVYGNSVQGRILQGDSPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTDAVRRVWSQLRDHDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDDHYAGWNDLVRDLRRGGVRTMTYCNPCLVPMGGKANARRHLFEEAKELGILVRDESGEPYMMPNTAFDVAMLDFTNPAACAWFKGILRGMAESGASGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWKKNSSSGASSSSSDDEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQRNDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPPFLRYRRGEELLMRWMELNAFTVVFRTHEGNRPGSNAQLYSNARTLAHFARCARVYKAWEFYRARLVREAAETGLPVARHLFLHYPEDRRVQGMTCQQFLVGTELLVVPVLDKGRRTVAAYFPASDGASWRHVWTGQEFGNNGHGSVGAVHGFEAEVGAEVGYPAVFVRVGSPVGERFVSNLRDLKVI* >Brasy1G381800.4.p pacid=40055890 transcript=Brasy1G381800.4 locus=Brasy1G381800 ID=Brasy1G381800.4.v1.1 annot-version=v1.1 MFTVREELAVLMSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILQGDSPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTDAVRRVWSQLRDHDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDDHYAGWNDLVRDLRRGGVRTMTYCNPCLVPMGGKANARRHLFEEAKELGILVRDESGEPYMMPNTAFDVAMLDFTNPAACAWFKGILRGMAESGASGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWKKNSSSGASSSSSDDEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQRNDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPPFLRYRRGEELLMRWMELNAFTVVFRTHEGNRPGSNAQLYSNARTLAHFARCARVYKAWEFYRARLVREAAETGLPVARHLFLHYPEDRRVQGMTCQQFLVGTELLVVPVLDKGRRTVAAYFPASDGASWRHVWTGQEFGNNGHGSVGAVHGFEAEVGAEVGYPAVFVRVGSPVGERFVSNLRDLKVI* >Brasy1G381800.2.p pacid=40055891 transcript=Brasy1G381800.2 locus=Brasy1G381800 ID=Brasy1G381800.2.v1.1 annot-version=v1.1 MAFCRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILQGDSPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTDAVRRVWSQLRDHDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDDHYAGWNDLVRDLRRGGVRTMTYCNPCLVPMGGKANARRHLFEEAKELGILVRDESGEPYMMPNTAFDVAMLDFTNPAACAWFKGILRGMAESGASGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWKKNSSSGASSSSSDDEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQRNDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPPFLRYRRGEELLMRWMELNAFTVVFRTHEGNRPGSNAQLYSNARTLAHFARCARVYKAWEFYRARLVREAAETGLPVARHLFLHYPEDRRVQGMTCQQFLVGTELLVVPVLDKGRRTVAAYFPASDGASWRHVWTGQEFGNNGHGSVGAVHGFEAEVGAEVGYPAVFVRVGSPVGERFVSNLRDLKVI* >Brasy1G086600.1.p pacid=40055892 transcript=Brasy1G086600.1 locus=Brasy1G086600 ID=Brasy1G086600.1.v1.1 annot-version=v1.1 MNGEVECSVCHAKVAVPAAVSKAYDSHRSTVSSRQRALNVLLVSGDCILAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKVIFAIIMLFIQARRLKVGEKPLLTVSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLGNLKVLIIAVLLKVILRRRFSTIQWEALALLLIGISVNQLKSLPEGSTALGLPVAAGAYMYTLFFITVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDQVPSSKIEMADAEDHSGLNESVYVKVDSVAGEAKHRHGSEERQPLLPV* >Brasy1G435900.1.p pacid=40055893 transcript=Brasy1G435900.1 locus=Brasy1G435900 ID=Brasy1G435900.1.v1.1 annot-version=v1.1 MLYWSSEHKLSSLVWAWDEQPVSDFCMYKALSGYPVLTRTTRGRQSARPKFAGPSCAHLSSIPEAPENCLPVNSGRPQSRRAWRKGTAPPSKCPPVVSGGEACMPRARTIYSSRLTTSTDKEPQRPYKTAPASLPPDHRFQCISISHTNKTGRSDKENPDRRQQWPSTRATWPARRWATPRTRRATWRTRPTTRPARRTTRPMTAPPTPAASWRTPAGRRSWSPRAPPTPSRTPPVRPRTPLVPPLAPPAPTRRRCPPVPRNAWL* >Brasy1G368000.1.p pacid=40055894 transcript=Brasy1G368000.1 locus=Brasy1G368000 ID=Brasy1G368000.1.v1.1 annot-version=v1.1 MPRSSTPASSCPPASRTRSSSRSSSPSTPTRAAWTPRSGSSTSTTSPPQTSAHTPPSSPAWRVPSPSSPSPSSPGSFCPPLVALCAPTPTSSPPSSPPARTSRPSTASRSTRAPPRPSRSLTCSCTPG* >Brasy1G109400.1.p pacid=40055895 transcript=Brasy1G109400.1 locus=Brasy1G109400 ID=Brasy1G109400.1.v1.1 annot-version=v1.1 MKITAILVLKPSSSGAGGSSTSAGGGGPGSEAFVLANATDVSHFGYFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCVVAFMDDHYPVRSAFSVLNKVLDEYQKAFGDSWKSATADSTQQWPFLTDALTKFQDPAEADKLTKIQRDLDETKIILHKTIENVLERGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL* >Brasy1G338700.1.p pacid=40055896 transcript=Brasy1G338700.1 locus=Brasy1G338700 ID=Brasy1G338700.1.v1.1 annot-version=v1.1 MDRVTKLAGQRAVVIFSMSSCCMCHSVMRLFRELGVNPTVVELDEDPRGKEMDKALVRLLGRNPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV* >Brasy1G570900.1.p pacid=40055897 transcript=Brasy1G570900.1 locus=Brasy1G570900 ID=Brasy1G570900.1.v1.1 annot-version=v1.1 MEFAVVAGPLVKLLPKLLSVVDEKRKQLDGLEVDAGFIRRDLQSIQEYIVGRPGSCRSVTDLWIRDLRRLADDMEDCIDRFQVGKTSRIRFASQICKLRKRSKDTLDQLLNCINIAAANAAVAAAPPSADCASTEDPEGELVALLRRNPSEGKLKVISVAGFGSGSMTRLAHKVYCDRDVKEQFPLRAWVRAAAGMGVEKILQEIHDQLRLLILDDATAMAMGASSSNSIVPGVNGDAEHGASASHVDRRRLAGLLKTGRYLIVIDDVKTHELYDVLSAFSWADGVDGRIITTTAIELPAATCCRCGNGSPLAMDSTSQAFIGELTESGFAEACHHLRDDTLARMQHSNNEILSSPLAQDLLLYFCMFPRDHPVRRNPLIRRWLAEGLVFPQPETESFSQDVADKNLEILINRNIIQPIEVRNYEKVKRCQTYGMMLNSIFGKSKSQNFITMLCGGQSQRMNQSGNVRRLSLHLNGAAKDRLSLPKDLSRLHTLAVFPDDANVARYEADLNYGKYKLLRVLDLKECADVKAEHVGKICHLLLLKYLSLGDSIGKVPRKIAMLKWLETLDMRRTQVVTLPIEVLQLPLLKHLVGKFQLSERDRTQKKLEELLSKDSELQRLSGFVSGKSEGFARLMGRMGKLRKVKIWCDATADVTKLTPLLEATKKFIREGQDMTRVDRSLSIDFQGCPTECSELFMDSLQAAGRLTSLKLRGKLTRLPQFHAKLNYIEELCLSRTNLSGNTILGGLSELKVTLKYLKLVEDKLSHLVIEPEHFRSLKGICLVSEQSLEDITIQDGAMPYLVSLHIVCEALGDLPGIEIARMAELKEIALHAGVQDAVKDGWQAAAMEHSNRPNVLFIHPANSRQGDPPACGRNGDIAKPAKPIVRRIASSIKGGIFSCTRRQEWSQDLDTKGAKRIATAQQI* >Brasy1G415000.1.p pacid=40055898 transcript=Brasy1G415000.1 locus=Brasy1G415000 ID=Brasy1G415000.1.v1.1 annot-version=v1.1 MDKGHLGGGGGGGGLLALDASPRPLGFLNLLSPPAPFHRSMEADDGGAGGGGGGRGRRSVEVDFFSDEKKNMKKSRVSAGADAEGHKGAQAGLAIKKEDLTINLLPGRSDRSMVVDDDAASRPDNDKNGRQDTNELAAMQAELGRMNEENQRLRGMLTQVTNSYQALQMHLVALMQQRTQLLPTQPQQQQPPPTHEDGKTEGAIVPRQFLDLGPSGAGAGSEVAEEPSNSSTEVGSPRRSSSNGNEDPERGDNPEGPSTAGWLPGRAMNQQQLGAAAKGHDQQAQEATMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGSAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAMPLSALRPPAPAPGQFQLPLPGAGGGMAGATFAMPQQMLYNQSKFSGLHMSSSSDTAEFAQPRPPMGQLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAAGNSNANNDGSNNNVTTTSNNTTTTSNNTNSETQ* >Brasy1G317900.1.p pacid=40055899 transcript=Brasy1G317900.1 locus=Brasy1G317900 ID=Brasy1G317900.1.v1.1 annot-version=v1.1 MLAKALAHFFEAKLLLLDPTDFLIKLHGKYGTGGSDQSVKRSISETTLEKMSGLLQSFTKLPQKEQPRGSMRRQNSMTDMKLRSSESTNSLPKLRRNASTSSDMSSLASQGPPTNSAPLRRASSWNFDEKILVQALYKVLVSVSKKSPIVLYIRDVEKFFHKSPKMYLLFEKLLSKLEGPVLLLGSRIFDIDFDDEELDDRLTALFPYNIEIKPPENENHLVSWNSQLEEDMKIIQFQDNRNHITEVLAENDLECLDLGSICLSDTMGLSKYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMCDKDTMKLEAHADASKIAAKGIVPIAAKSETKPATLLPPAAPAAAAPAPESKTEPKKPEIPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFEDIGALEDTKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSVQNRELIMRRLLSKEKVDEGIDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKLEQGGTPLDPSKIKEKDKGIILRPLNMKDLKEAKNQVAASFAAEGSIMGELKQWNELYGEGGSRKKEQLTYFL* >Brasy1G478900.1.p pacid=40055900 transcript=Brasy1G478900.1 locus=Brasy1G478900 ID=Brasy1G478900.1.v1.1 annot-version=v1.1 MGGEGWGSGEQHGAAAQQQTSRVAAADACAAAAQPLRSRPPPAGRGCEAARWCRRGTSRCASAEGGPVELPRTRRAPGSADVRGAARARRPGVRLQPPGRAPHPLRCR* >Brasy1G557700.1.p pacid=40055901 transcript=Brasy1G557700.1 locus=Brasy1G557700 ID=Brasy1G557700.1.v1.1 annot-version=v1.1 MATSVLPDDMVVEILSRLPLKSFCRFKCVSKTWLAFSSDPHYRQKLPRIPAGLLYHKHGNGSDIHLARLPSSDREIDPTLGYLPCFEYPLEPMEFSNGLLLCYHGGISSYVAKTSNAIVCNLATQEWMALPKTEPEPAFSDNSLRLCFDPLWSQHFYVFRFQSIPNFQTFATTTEVKVFFSEDSTWSSCLWETRHAFVGDSLFVNGVLYVDHLWKHELLALDAPDTRAQWLNHSIVKLPGFPRQEMFHCHHGRLCQSSGVLCYAQHELDGCMLRIWSLEGPDTWVVKHRLSMMDAFGRDIVLRTNDEGFWYFDYDILAFDLEREVVILVDKIEDKIISYSISTRKGSVIRKVPKISELYRRLFYVPYYRKVPALVHQGTQAEC* >Brasy1G218500.1.p pacid=40055902 transcript=Brasy1G218500.1 locus=Brasy1G218500 ID=Brasy1G218500.1.v1.1 annot-version=v1.1 MALLPRTARLALLSAPRTYSAAAAAGASPAPPAPYGGVPPPAAGSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK* >Brasy1G036100.1.p pacid=40055903 transcript=Brasy1G036100.1 locus=Brasy1G036100 ID=Brasy1G036100.1.v1.1 annot-version=v1.1 MRHRGGEDQGHAAASPRRQGGGPCRCAAEPSHRCSRPSPRRPRALRFPCDGDGEHAPPPWRRGLEPRRCAVEPSRRRGPSPRSPRAPRSPGDGDGEHAGFGDAAASPRPHLDHLLAAPHLTMAKVTERQCPSQIFETR* >Brasy1G025500.1.p pacid=40055904 transcript=Brasy1G025500.1 locus=Brasy1G025500 ID=Brasy1G025500.1.v1.1 annot-version=v1.1 MERNATEVKQTPPGDDQAAVEHSRREAGEKSEEPKAEQQLKPSDAKQLIQFMEKKYEKHVANVQSFDEFYHAIFELIEMFCEERGQLQYRIPEKEKLLQAYKKHHVAAEGQQLKKDEFVRISRELIRPESFTFGKATAEFMMWLFGAPMCAVVAKRVLPGLGWLSDDLLIPLATSGSVAYLVHSKKL* >Brasy1G053400.1.p pacid=40055905 transcript=Brasy1G053400.1 locus=Brasy1G053400 ID=Brasy1G053400.1.v1.1 annot-version=v1.1 MGAAEEPSQMRRALVDTLAGAISGGISRTVTSPLDVIKIRFQVQLEPTASWGALRRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWIASTAGVE* >Brasy1G053400.5.p pacid=40055906 transcript=Brasy1G053400.5 locus=Brasy1G053400 ID=Brasy1G053400.5.v1.1 annot-version=v1.1 MGAAEEPSQMRRALVDTLAGAISGGISRTVTSPLDVIKIRFQVQLEPTASWGALRRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWLESILM* >Brasy1G053400.4.p pacid=40055907 transcript=Brasy1G053400.4 locus=Brasy1G053400 ID=Brasy1G053400.4.v1.1 annot-version=v1.1 MSMDLPSTLGFCKQLKIFSERKGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWIASTAGVE* >Brasy1G053400.6.p pacid=40055908 transcript=Brasy1G053400.6 locus=Brasy1G053400 ID=Brasy1G053400.6.v1.1 annot-version=v1.1 MSMDLPSTLGFCKQLKIFSERKGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWLESILM* >Brasy1G053400.2.p pacid=40055909 transcript=Brasy1G053400.2 locus=Brasy1G053400 ID=Brasy1G053400.2.v1.1 annot-version=v1.1 MYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWIASTAGVE* >Brasy1G053400.3.p pacid=40055910 transcript=Brasy1G053400.3 locus=Brasy1G053400 ID=Brasy1G053400.3.v1.1 annot-version=v1.1 MYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGVGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWLESILM* >Brasy1G431500.1.p pacid=40055911 transcript=Brasy1G431500.1 locus=Brasy1G431500 ID=Brasy1G431500.1.v1.1 annot-version=v1.1 MARALVVASALAMACALLLLATSPAPASAMDFGESDLASEESLWALYERWRARHTVSRDLAEKSRRFNVFRENARLVHEFNLRRDAPYKLRLNRFADLTADEFRRAFASSRVSHHRMFKPRAANNNDDDDDKGSPFTHGGALPTSVDWREKGAVTGVKDQGQCGSCWAFSTIAAVEGINAIRTNNLTSLSEQQLVDCDTRTNAGCDGGLMDDAFSYIAKHGGVAAEESYPYRARQSSSCNSKKAAAAVVSIDGYEDVPANDETALKKAVAAQPVAVAIEAGGSHFQFYSEGVFSGKCGTELDHGVAAVGYGVTVDGTKYWIVKNSWGEEWGEKGYIRMKRDVADKEGLCGIAMEASYPVKTSPNPKHAAAEAEHHDEL* >Brasy1G580200.1.p pacid=40055912 transcript=Brasy1G580200.1 locus=Brasy1G580200 ID=Brasy1G580200.1.v1.1 annot-version=v1.1 MLSCIDQSPWKQKIKLGKLIQASSGTATGTYKGYCPVGAVSTVYCNSSGKAWLQGTKRHLQLTPVSNLPKGIFSLHLSVIYQSSRDENPVTPIIPFTFIHRMHT* >Brasy1G424700.1.p pacid=40055913 transcript=Brasy1G424700.1 locus=Brasy1G424700 ID=Brasy1G424700.1.v1.1 annot-version=v1.1 MPHEPGTLAHWQAAAGGGGRRHLSGLRPPATGGRNARAADGSKPANATATANLTSNSKGARPLGPPHSPVVRKLWLRPPGPPPFNSQEARFHSRMDRKGKGKDLRKYFVPIASGSSRKGVNQAPMKVQML* >Brasy1G424700.3.p pacid=40055914 transcript=Brasy1G424700.3 locus=Brasy1G424700 ID=Brasy1G424700.3.v1.1 annot-version=v1.1 MPHEPGTLAHWQAAAGGGGRRHLSGLRPPATGGRNARAADGSKPANATATANLTSNSKGARPLGPPHSPVVRKLWLRPPGPPPFNSQEARFHSRMDRKGKGKDLRKYFVPIASGSSRKGVNQAPMKVQML* >Brasy1G424700.2.p pacid=40055915 transcript=Brasy1G424700.2 locus=Brasy1G424700 ID=Brasy1G424700.2.v1.1 annot-version=v1.1 MPHEPGTLAHWQAAAGGGGRRHLSGLRPPATGGRNARAADGSKPANATATANLTSNSKGARPLGPPHSPVVRKLWLRPPGPPPFNSQEARFHSRMDRKGKGKDLRKYFVPIASGSSRKGVNQAPMKVQML* >Brasy1G448000.1.p pacid=40055916 transcript=Brasy1G448000.1 locus=Brasy1G448000 ID=Brasy1G448000.1.v1.1 annot-version=v1.1 MAKPEAGLRKKAGAVLSLEKKSSYEEALALVDELAARHVGSALVLHLAGRTHHTAVSRAAAAGDKVATVHHAAAAERYLAEAKRLVPNCVGISALLARVLFERGKLDEADAEASRAIGIPNPADPADNNVVYTVNARAKNQRVERCRESARDVVRSVHAARVTGIVREVSAWLDTDQPERMKQASRKATDLANRCPNSCRAQLLSAHVKLQFARGLDATTDRRPILVRIRDDMKEAAKRFDGSLVLAMFHAKLCFVLGFYEAAHLECLRAFGLKQPVDPKSEDVPPGSVNGGVYADRLSSIYQDLSRLNHRLLLVAKAHWCLMTSEKQDGFLSVGLDELHNYYDEVYEDGHWATRTISDALTSVKKTGSWRFWICPYCIGKKFPDAHSLLEHMYSKHPAEKVLRSVLDPKLSDDTSVDDNSLDEISVCKDSADHYLFQFNKTDNIFDRLFCSTPSITDAKSFAEIQEDKCKEGKEILQKLKQILKNLPTKKLSAEYDKARPEIQCLLRDFFTTSALDYRRVILTLVKSFLWTKLMKSSSVSGDDATSKSIDNDDINSIFPEVAVVREVPIEDKSSGAMGNKNNSDSYINMSENLNKIAQKLGTF* >Brasy1G030100.1.p pacid=40055917 transcript=Brasy1G030100.1 locus=Brasy1G030100 ID=Brasy1G030100.1.v1.1 annot-version=v1.1 MSDASSDLGGGGVRAGPPVERDLEQSKPERIFLLVNLGFLQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIMPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDTISSAATSPRIYTRRSSPLSSPFGSNDSLHKDGNENYRFRSPYGSPQKNGLDKAFSDVVLYAVPPKGFFPSDSNARSVHSMSSGHSDNANGHPRGIQMDPFRASYSSAVSSSSHGSGYDDGDALGDVLIWGEGTGEGILGGGSSRIGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGKHAALVSKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDALTHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDISHWVPKKMHGPLEGIHVSSISCGPFHTAIVTSAGQLFTFGDGSFGVLGHGDRESLSVPKEVESLKGLRTVQAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKESRLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGMLPARVEGKLHKNFVEEISCGAYHVAILTSRTEVYTWGKGANGRLGHGNTDDKNTPTLVEALKDKQVRNVVCGTNFTAAICIHKWISGVDQSMCSGCRQPFNLRRKRHNCYNCALIFCHSCSSKKSLKASLAPNPSKPYRVCDSCYSKLTKGLETDMYSSAKRGAVVQGFSDTVDEDTETRSNAQLSRLSSMDSFKHMDSRYSKKNKKFEFNSTRVSAVPNGGSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIISRATSPVSRRPSPTRSTTPTPAFGGLTSPRVVVNGAKPTNDSRTQEILNLRSQVDNLTRKYQLLEAELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKGMAEGLPSGAAKSSKLPPLPAIPIPNDISAMVTECSGSPDSSEEQVHLSNGPNGLLVSNGPSSTRNKACHSEMASNGSRTPDPESYHEAEWVEQDEPGVYITLTALHGGARDLKRVRFSRKRFSEKQAEQWWQGNRARVYQRYNVRMVDKSIAGMDS* >Brasy1G383500.1.p pacid=40055918 transcript=Brasy1G383500.1 locus=Brasy1G383500 ID=Brasy1G383500.1.v1.1 annot-version=v1.1 MAAGAAAEEQDRLSGLPEDVLHSIIGRVPFKQAVRTNALSRRWPRLWLHALAASGVLDFTDRGFVRSQSRAQIVATVNPCLRVCGGGAPIDVLRVSLCPFGAFAALRRCAGEVDVNLTLDAALTVVNCRADQLELPGDLFGAESSLARLSLGRCSLRNVPAGAPALVGLTSLSLNHVDITDDAVRDVVSGCRLLEFLSLRSCHLLKLVRIAGEKLRGLEVVGCPDVRQLQVAAPALESFAFHGDILCFGEDDGFEYDPVEFIGKGNTRTPSEATPELRDAYLSHIGFGEYDELIHQYAYSNLMEKVAHAKILTICSVGLLHIEEERDLIEHVMEMDPPNPQLQELQLLMDSMGDDVVTGFSSFFQLTAPPLLERLFIRLPAACNDTEESSGGMTAIGEDEDIVLDFEIALDHLTFFKMVNFRGMRREMRLLRFVLRRAPVLEQLVLVVPKEEGRTNLGLDHDDQKQLLEIVQENVSEIGKAFLWREAHVVVCRPREDCSPSPAHTKYYHDD* >Brasy1G570700.1.p pacid=40055919 transcript=Brasy1G570700.1 locus=Brasy1G570700 ID=Brasy1G570700.1.v1.1 annot-version=v1.1 MPLASSSRTASSRRCRYTPSYAAAAAPRPPSPPQRAASRTTAAAGSNFTSHASPTPCRRPDPFTIPSRPPPPPCGSRPPGSSSSHMRLPSPVALGHGLATAGSPSGRSSVADSASPTLPPQKDSPDSQYGHRRQRAGPNR* >Brasy1G374000.1.p pacid=40055920 transcript=Brasy1G374000.1 locus=Brasy1G374000 ID=Brasy1G374000.1.v1.1 annot-version=v1.1 MAFLSSIISNKERPRGQWVQKRGTEGIENVAWPPTTAATSKEEERKAARCDRWLFRRGSAGRMFGRHAGYEPIARQEDDEYDEAALFEEDLGQGLEERGVREDPTPTTCGNSFHSDAEGDSYVLARNWRKAAPAYNNQALYALKGGSPQDCLDAASALLNSGRCYTKITHKDEGDVAAAKLALLKAFAMFVKEGELQSAYTCCVELAEFCVEQEEWQNALYLFDKAKYYYASSTPTIGNLHCARYCYARALLIKPILLIQATTSGAAN* >Brasy1G342900.1.p pacid=40055921 transcript=Brasy1G342900.1 locus=Brasy1G342900 ID=Brasy1G342900.1.v1.1 annot-version=v1.1 MPSRIIAAAVFALVLTLVLPSEVAGRVCQTYKIPDPNCPSVDECVAKCTFLHFHGGICTDSGDGQLVCLCTVCNDGNK* >Brasy1G289500.1.p pacid=40055922 transcript=Brasy1G289500.1 locus=Brasy1G289500 ID=Brasy1G289500.1.v1.1 annot-version=v1.1 MAAAKIAVVLLAVAALFAAAGAANPPKDGAQCFQCYSSCLRKCAGGSGSIRPVNGTRPGGGANIVDAVTGDGTTTVTAGAAVAPAPSGDKDKDKDKDKGKDKDKDKDKDEDEDEDEDEDRGDSAGAGSWYDYYKCKKTCLVDCYKDLPPVCFRMCVAETCLALPPCNQTACFKACGYKCFHNQPSPGPKPPSPTPPKPSPPRPPKPSPPPPMPMPPPPTPMPPPTPMPPMPPMPPMPPMPPMPPMPPMPRH* >Brasy1G448800.1.p pacid=40055923 transcript=Brasy1G448800.1 locus=Brasy1G448800 ID=Brasy1G448800.1.v1.1 annot-version=v1.1 MTSTGFADPLVHNVGYDVFNGSTVKLRKQHARTRASFVSEKFATFICEVFVPREAVEMLKDNKLGGVAAAEARDRASLLARTYPHSARAQFLRAYIDLEQVRALDPAMDKKRLLRRTLGLIGHAAKTFNHSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNLDYLPPGAVSGDDMDARVSSVKKQVRVLLKQIIVACAIYWSSMKSTQQGDSIISVSVDTLHQHYDRIDQSAAKTISDAQRSVKKFNSWSFWICPDFHCDGKKFLNTDSLWGHMCAKHRYELWNNLQSVLGPELCEITPEDDYSLDGITLCQDSSQHDIFRLPRMQDMFESLLLWPSIGIPAVSIAEIRGKKSREGREILEGIKEKLRMLPADRLSTEFEECHFGVQHLWLKFLEISVLDYREVILPIVRSFQWIEIKRWISRNVNGPDKSISNSNIDAVFGKICQFCLSDNLQMENLKPSCSDETLTVDEECEGSEVHVEDSNSGTMVNQRSSDPPIGVHENGRNLPLRITEVDQDKKGTCEQSVDEMASTSSCEESATVFNKNNADKDLFNLSLVIQSLCNLRHFRDMFLTEPLVWIPSVDNLCIAQIFYEIFSSWEKNEHYLSDILLTYIKTLLCRIVDCTSFYEKLQVGKNYASEIVATILIGLHMSETSSRFSFNRETETQVANPITCGDCICPTHNLFGIKFEAQMSCSCGKCSDGYLYTTLFHKLDAGSPQTSKIKSFAELPILLDEQFFKENNCKDCGNLQTIDLSLSNTPHFFTIVLNWLGGNESQDTLSEVIAGVTSPLETEFFCRSAHSAAMYTVTSMICYADDRYVCFARVKDKWLIYDFEAVVMQTEDTWEHLLDRFKDHKLQPEFLFFEVIK* >Brasy1G009000.1.p pacid=40055924 transcript=Brasy1G009000.1 locus=Brasy1G009000 ID=Brasy1G009000.1.v1.1 annot-version=v1.1 MNVPSCHFPAPLSVLTSPSSSSFFLKGKIVSIKRGLLITSVCLLLSPKQQLLRLLADLTCRRRRTSCPPPLRAHLAAPAPKRPEGCSSAPAPKPKVSTMLGRRRKLQSAALFLFCFCSSAGIICRAQLDQFKPADSYLVDCGSAKGTTVSERNFAADGASPVTVSTSQEILAGTSANGVSSFDNSALYQTARIFTSPSSYTFPINKQGRHFVRLYFFPFIYQSYDLSTAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDQLIISFKPSNRIAFVNAIEVVSVPDDLIADVAQMVNPVQQYSSLSTQSLETVYRVNMGGPKVTANNDTLSRTWLSDQKFILNPSVTKKVVYGKAIKYKNGGATSLTAPDIVYGTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGGFFAQHDLDLSEQSMNQLATAIYVDVVLSSNDASSKLSVSIGPSTLNNAFPDGILNGLEVMKIGSGSGSAFTVGSSGAKKNLVVIIGSVLGVIGLLVIILVLVLLCRRKKTDDKTHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFNVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTVKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENFLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRLSSTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVDLSSQVQHVGALESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR* >Brasy1G089600.1.p pacid=40055925 transcript=Brasy1G089600.1 locus=Brasy1G089600 ID=Brasy1G089600.1.v1.1 annot-version=v1.1 MAAKSAHVLVLPMPLQGHVVPLMELSHRLVDHGFEVTFVNTDADHALVLGALPDGDDARAAALRGIRLASIPDGLAGDDDRKDLNKLVDAYSRHMPAYLEKLIRELDNDAAAGGGDRPKVKWLVGDVNMGWSYQVAKKLGIRVASFSPSSAACLAIMLKIPALIQDGVLNDKGWPERQETLQLGEGMPPLHTSLISWNNAGAPEGQHVIFQLVCRNNKLNEELSEMVLCNSFHDAEAGAFGLSPHIRPIGPLFASGSDDNRPSVGQFLPEDTRCLDCWLLATLGPRQLRELAEGLELTGRPFLWVLRPDFTTVGLSEAWLDEFRRRVAVAGNGMVVSWCSQQRVLAHRAVGCFVSHCGWNSVMEGATHGLPTVCWPYFCDHYLGRSYVVDVWRTGLAVTPGEDGVVAKEEVRSKVEMVVGDAGIRERATWLKEAARRCVGEGGSSRANFTRFVDLLSE* >Brasy1G327100.1.p pacid=40055926 transcript=Brasy1G327100.1 locus=Brasy1G327100 ID=Brasy1G327100.1.v1.1 annot-version=v1.1 MSLTEVKIGEEVWLTCLCHALTTETEEVMGLLLGDVESSSRGGSIAVIWGASPQMRCERKKDRVEVNPELLAAATAQAEVMTANIGKTTRVIGWYHSHPHITVLPSHVDVRTQAMFQMLEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLDGTQRAIVPVITNPVIDLESSWSSSDSSLPPKYAAIEGIEQDTGDSRALKGSKVWGRSPDADLYSHFDTNHSAKHQPRENAIVPYEPDNLPGVSADQDGSDMSPSIQEALHRSTMDISGAEYRRREVPLHVFPTRHLLKLDTTLTSYCDMQHVLFQEEQSAYNQAMLQNICDGKMHPLTSIHHTSTYNSSLCKLMEYCLSPAITVLQDRLKEQELQLSMLVEEAKELEAENQSMRNVSPRRLMLRGTSGSSSPRALDKYASAKQGGPISPSGSSRRKAS* >Brasy1G409300.1.p pacid=40055927 transcript=Brasy1G409300.1 locus=Brasy1G409300 ID=Brasy1G409300.1.v1.1 annot-version=v1.1 MRSGRRPPALGCPTSARAMPALGPQPCLAAPERRRRRNGRVLQHASAQQIPADCLLHGTSSPWSPITSVEVDKEEEEAGVEASLQQQDMTHQQHNLTTEASAKRQRGKRERSVDASSSNPSASASHKSLASKHFKDKEGEVWSSAAKQKIPKRKGPRAKRSRSGVVSVGPTGLGNLRHSELISVLFETPSGFAIFRMLEDDLKLPDAMQNVWANFGVDYRVGNFIWLREFREFKDKSCAINQDTGVCWDLTKMIKKWHVPGQKIAVGKAEYRVIIEKSLGIPCLFDDIVMEVMWGLKHLMHFLVPQEKMKLRNADRLPMSQGLKMILNRHGFDVKPEMVNDEIILVACLLLDCEYIMDVKNCKPLRLAGEHIKEVSGIKSEGWDLMKLATAVKIICYPAEATITEKEMFTRDEVLKFEKDAHKYEDRFYKRICLNVYDQMVKARAYMRSIRRTLETLPETLILKIEQECVFS* >Brasy1G409300.2.p pacid=40055928 transcript=Brasy1G409300.2 locus=Brasy1G409300 ID=Brasy1G409300.2.v1.1 annot-version=v1.1 MRSGRRPPALGCPTSARAMPALGPQPCLAAPERRRRRNGRVLQHASAQQIPADCLLHGTSSPWSPITSVEVDKEEEEAGVEASLQQQDMTHQQHNLTTEASAKRQRGKRERSVDASSSNPSASASHKSLASKHFKDKEGEVWSSAAKQKIPKRKGPRAKRSRSGVVSVGPTGLGNLRHSELISVLFETPSGFAIFRMLEDDLKLPDAMQNVWANFGVDYRVGNFIWLREFREFKDKSCAINQDTGVCWDLTKMIKKWHVPGQKIAVGKAEYRVIIEKSLGIPCLFDDIVMEVMWGLKHLMHFLVPQEKMKLRNADRLPMSQGLKMILNRHGFDVKPEMVNDEIILVACLLLDCEYIMDVKNCKPLRLAGEHIKEVSGIKSEGWDLMKLATAVKIICYPAEATITEKEMFTRDEVLKFEKDAHKYEDRFYKRICLNVYDQMVKARAYMRSIRRTLETLPETLILKIEQECVFS* >Brasy1G124800.1.p pacid=40055929 transcript=Brasy1G124800.1 locus=Brasy1G124800 ID=Brasy1G124800.1.v1.1 annot-version=v1.1 MSSPAGGYGGGAGSGAEPPHHHGHAHGHLLLQHNYAHHVAAAAAAAAGGQMYHVPQQSRREKLRFPQDGAAATDSPPTQQQAPHQHGAGAWPPPAFYSYASSSSSYSPHSPTTLPLQMVPNAPQQQLPQIPAQQNFSLSLSSASSNPPPTPRRQPQQQHLSAGGPAAPAAATGPYGPFTGYATVLGRSRFLDPAQKLLEEICDVGGTGAHVDRSVPGEDLLDADPVDGEDADVGHELDRDAGSMSGAEQHWKKTRLISMMEEVCKRYRQYYQQVQAVIASFETVAGFSNAAPFAAMALRVMAKHFKCLKSMILNQLRNTSKIAVKEGMSKDIVVFGLGGGGGAGFQRGSSVNGFGQPNNIWRPQRGLPERSVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMKIHKRSAPDKGQHAIHNLTQHSSQSSGKRSDPCDSQPGQSSSITRNHNTPASHGFPDELSQMSRSIQQSQVSLAYHGLSSHHNMASPQHQNVGGSGSSSVSLTLGLHQNNRVCFGQPLQSTLPANLAHRFGLEDVNDAYVMSSFAGQDRHFTKEIGGHLLHDFVG* >Brasy1G050000.1.p pacid=40055930 transcript=Brasy1G050000.1 locus=Brasy1G050000 ID=Brasy1G050000.1.v1.1 annot-version=v1.1 MASKQMLHMNHGQGETSYARNSSIQSAEQNRMKPLIEDAIVDLCSTLLPRKMLIADLGCSSGPNALALVSIAVEAIHSHCLEFQQPTPEVCVLLNDLPGNDFNTVVKSLVTLHRSNGPVVVTGIAPGSFYGRLFTSGSLHLVCSSISLHWLSKAPEDLTRNQIPAYDVDEHARRERLPVVLDAYTQQFKKDFRLFLELRAKELVPGGQMVVSLGGRHSNDIASKSIRPCEALYEILHVMASEGVIEKTKIDSFYVPIYGPSDEELREVIQDEGSFLIREMLVHDLTSGIDNALMTASWFANHMRAVFEPIVVQHFGEVNMDEFVRAAEQRWSMEGSLQDELYRHTLALVAISLTKA* >Brasy1G452400.1.p pacid=40055931 transcript=Brasy1G452400.1 locus=Brasy1G452400 ID=Brasy1G452400.1.v1.1 annot-version=v1.1 MTEAPSEAEEVNHSASARRDTALDPAAGGATHPLPGPIRRLGRSLSTQPATTSPGLMASSKDARSVCPGPPRTIPGKGLRVGRRLRGPGILNAALEGQMLVPIGL* >Brasy1G161300.1.p pacid=40055932 transcript=Brasy1G161300.1 locus=Brasy1G161300 ID=Brasy1G161300.1.v1.1 annot-version=v1.1 MQYFLVHFTREYQMPFLNPDIERPRLKLGGTFVRQRVCLLKGTDGRATITTIWTHFVRAAHTKEGEIFAFVFTVRNLFRQL* >Brasy1G142000.1.p pacid=40055933 transcript=Brasy1G142000.1 locus=Brasy1G142000 ID=Brasy1G142000.1.v1.1 annot-version=v1.1 MLVLSVSCSCLLHDKLQNLSWERLLHCQVSLYCGLLLSMVRLAGINMLLLREIIVFTFACCVESTLV* >Brasy1G502500.1.p pacid=40055934 transcript=Brasy1G502500.1 locus=Brasy1G502500 ID=Brasy1G502500.1.v1.1 annot-version=v1.1 MFHAARPPRPTGVPARRGGLPHGYGRTDALPPQRQGGGGAGRGEAGGIAVAVSGGLVGDSADVVSGLLAGPVALGERGSGGGELGRKRGLALAAHPPPKRRAVSAIRRFPPGCGRDAPPFIAGGGDGLRVEAAPAHGDFRLLEQAAAARPAGSVGRDDGGLPLEVASANAGLGVLENVAAADGGAPVSDGCHDIPEAAVVKSGAEGVAGLVVKDKGKTDGSGEPGMKKESAPITRLLPPKRVIVSAKRRFPPGCGRDVAVPLASRAGDEVALQLETLPAHGGLGVLEEVVATDDARSESDLCAKDTVEAASKNALDGAADVHELEDGEIATETEHVLHELELTARRHEFDVPPVAAAEPYVAHFSEDKIHGNMLQRKGKGASWEVAAEDVKEMNKCESSSPKVAAKPSAEGLSKEYLRNKRGSESCSIKRASVDVAAGGKFGEGPMRNKVTFTARKTVRLPVEANDKTVLVNLDKPYPMGKGKESAATMRESFGPRKKLKVNGPAQSKYLPVNIAFTSALLSNEKLKGKKASHLEDDEILKALAVNEGKLEMYLNDPSCVPAVWRHMQHGGQNADARSKVRMICRRFQFICRTLVQAVEQRSLNIRRIDLAADKLIRKLPGFTKHGPIVGEVPGVEIGDEFLYRVELNIHGLHRPYQGGIDTTKDINDILVAISIVASGGYPDELSSSGEVIYTGSGGKPAGKKESEDQKLERGNLALKNCIKTETPVRVIHGFKGQNKEECSHSKAREVSTFTYDGLYHVVDCWQEGLPGSRVFKYRLQRIPGQLELPLHVAKELRKSVVRPGLCISDISQGKEKTPICVINDIDNVRPASFKYITRMKGSSLPAKRNPQGCDCTDGCSDSSSCACVVKNGGEIPFNFNGAVVHAKPLIFECGPSCRCPPSCHNRVSQLGMKISLEVFRTSKTGWGVRSLRSIASGSFICEYVGELLHGKEANQRRTDEYLFDIGCNYDIWKGEASTIPCLNSSGPRSLSMKDEDFTIDAVEYGNIGRFINHSCSPNLYAQNVLWDHDDKRVPHIMFFAAENISPLQELTYDYNYKIDHVHDVIGKVKVKYCHCGSPECRGRLY* >Brasy1G389000.1.p pacid=40055935 transcript=Brasy1G389000.1 locus=Brasy1G389000 ID=Brasy1G389000.1.v1.1 annot-version=v1.1 MIALSRSCNNLKSISLWLRPCFHYNHAYTTSFTDDSLKALALNCPMLQIVEFTFTCCSPDYPIEIGFTQKGLLVLIQSCPIRVLLLNGAHFFDDQGMEGLSSAQFLETLELADCEAVTDAGMRFITHMPRLSNLTLRCCHHVTDVGVAELVQAHKLESLNIEYCRRVSLQTVQGAARLVHYSTEVPDAVVLKRMIL* >Brasy1G393800.1.p pacid=40055936 transcript=Brasy1G393800.1 locus=Brasy1G393800 ID=Brasy1G393800.1.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSASTAACTSSCAPTICGLQKVAVIKQVNF* >Brasy1G539400.1.p pacid=40055937 transcript=Brasy1G539400.1 locus=Brasy1G539400 ID=Brasy1G539400.1.v1.1 annot-version=v1.1 MYAADEVMMAKDGAEKRPWECCNRARCTKSIPPFCWCTDVVDQCSGACKICREAERQDDTTTTTVDKIVAANEQESDDTTSAAADEQESDTTSAAVAVAGKNRPWKCCDRTLCTKSAPPTCNCLDRLERYGGRCKRCGPSESDPSGGLFCWDRYHGWPGPKCTKTDGGQFGSGSSHTRPGPGHLPRDLDPYPPRDPDPQQ* >Brasy1G126900.1.p pacid=40055938 transcript=Brasy1G126900.1 locus=Brasy1G126900 ID=Brasy1G126900.1.v1.1 annot-version=v1.1 MLSPRVVRPQPHAGAASAGSSSSRRHMVDAEASGGVSEWELGLPSAAELTPVSQPLIPPALAAAFGIDPAGPLPSSVDARLLQDSPTSHLSSRFDEFDEEDEEGEGEGETEGAATGGGRSGKKARMVWTTELHRRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRLHTGGAAATPPPQVPPQPYYSRFDAKPPQDATNRNGLRTFISYEKLGCD* >Brasy1G126900.2.p pacid=40055939 transcript=Brasy1G126900.2 locus=Brasy1G126900 ID=Brasy1G126900.2.v1.1 annot-version=v1.1 MLSPRVVRPQPHAGAASAGSSSSRRHMVDAEASGGVSEWELGLPSAAELTPVSQPLIPPALAAAFGIDPAGPLPSSVDARLLQDSPTSHLSSRFDEFDEEDEEGEGEGETEGAATGGGRSGKKARMVWTTELHRRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRLHTGGAAATPPPQVPPQPYYSRFDAKPPQDATNRNGLRTFISYEKLGCD* >Brasy1G245200.1.p pacid=40055940 transcript=Brasy1G245200.1 locus=Brasy1G245200 ID=Brasy1G245200.1.v1.1 annot-version=v1.1 MQFVWIQVNTTTPTPIQNQIPRSAGVLGRRGRGGGGHAGAGHEVRQCWGARRPAGWLRTVGGAREAGSRAEAGGGAREVPRQEAGSAGGGRRRGAEACGQALRAKAGSRRRHAGGGRQQAGARGRRLAAGRARQQAELHRTWGRKAGGRRKTCYWLGSYFFRLNFIPFYVLGCVAQLTNW* >Brasy1G129400.1.p pacid=40055941 transcript=Brasy1G129400.1 locus=Brasy1G129400 ID=Brasy1G129400.1.v1.1 annot-version=v1.1 MLDVGLGPQVYDGEVVLSSAPKAGSRKTAKRGDSIWGAWFFFTFYFKPLLSDKGKGKVVRDTNGLSGFDKSDLRLDMFLVQHDMENMYMWVFKERPDNALGKMQLRSYMNGHSRPGEPHFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVNSPNLGGITDLDRRRWVELTGRELNFSIPQEASDFGTWRTMPNSELELERPHPAMKSNVQPPPKKLLNGSGLNLSSPSNQSGEDGMDLSPVSSKRRKEAFPHAMDEDCFLPLNSCNGRTQQDIEMHSVLQPSWLNEFTGVMRKASGPATAAKSIYEDDQGYLIMVSLPFVDQQRVKVSWKNSLTHGIVKILCVSTARMPYLRRHDRVFKLTDATPEHCPPGEFIREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGSEPEEHEVRVSMRPPHLGTNDLLLT* >Brasy1G300400.1.p pacid=40055942 transcript=Brasy1G300400.1 locus=Brasy1G300400 ID=Brasy1G300400.1.v1.1 annot-version=v1.1 MGSPTIAAIGGDEPPPKHDDRRDGAEEVADGSAEAPAAASRTEHFTGRKSGGSEKGGRVAPDEEDLDEILAEIDQASACATLVATAAPAGTTSTPFPAFEPAATDTARAVEDGDADEMDGEVATESAAAKRRKRKKEKERKAVAKVDVKKPPKHVQEMLEALARRKAAEDRRQHEEEQRKMKADEERLRRQEVERMAEEAKKQKTGRAKEKVSRKMLNGKAMTRKQKEEAKRLEATRRQLLGQRGIPIASGGAPERNKRPMYDSKKKRLKPGKTSNDGGDHEQELNEVIKEEEESIIMKAEGTLKLDQEIEGGKLINVNQDDEEDDIQWDDKFFSEDDEHDVNWTDTIDFEGEAKAEKKVTIEPVTQKVVCLDTSLKSSETEDGDNADENGKDIRGSTLKSDPAKVDTDKKDTVLRSPVCCILGHVDTGKTKLLDRIRGTNVQGAEAGRDNQGVKADAALHVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGIQSRTIESLKLLKKHKTDFIVALNKKALKKQTEDVKREFDARLTDIVTQFKMQGVNTVLYYHSRKVDDTFKNIVPTCAISGEGIPDLLLLSVQWAQKKMKERLTFREKVECTVLDVKLTEGHGTTIDVVLANGVLHEGDQIVISGMQGPIETHIRALLTPHPMRELRIKGLEHSIAGTGLYVIQPGDDQEMIVNSVLAEMATLMNQINKNCMGVYVQASTLGSLEALTGFLKSPAVNIPVSNFNIGPVHKKDVMKASVMLEKKKEYAVILAFDIKVMPDAQDLANEFGVKIFVADIIYHLFDQFTTYVAGMREIEKKEMIDQPVFPCILKIIPFCVFSLKNPIVLGVKILEGSAKEFLLKPSNCLLVFLNKKI* >Brasy1G507400.1.p pacid=40055943 transcript=Brasy1G507400.1 locus=Brasy1G507400 ID=Brasy1G507400.1.v1.1 annot-version=v1.1 MSSTTFELQVHAAGDINADDENDDATTIQQQIIARSLWAVSSLTLVADVSTMLYQPPKGPVFANHGAAYYGILAAILAIVALEMATAYRLQQSSHGRRIIVLTFAKGLLLFAGVLLLVVMAVGGFALTVKGAP* >Brasy1G291900.1.p pacid=40055944 transcript=Brasy1G291900.1 locus=Brasy1G291900 ID=Brasy1G291900.1.v1.1 annot-version=v1.1 MGSNEGGACDGKAGSVVVPEIKFTKLFINGEFVDAASGKTFETRDPRTGDVLAHVAEADKADVDIAVHAAREAFEHGKWPRMSGYERGRAMNKLADLMEEHTEELALLDGADAGKLLLLGKIIDIPAAVQMLRYYAGGADKIHGESLRVSGKYQGYTLKEPIGVVGVIIPWNFPSLMFFLKISPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGIPDGVINVVPGFGPTAGAAIASHMDVDSVAFTGSGEVGRLIMEASARSNLKTVSLELGGKSPLIIFDDADIDMAVELSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVVAAQNWKVGDPFDVTTNMGPQVDKEQFERVLRYIDLGKSEGATLLTGGKPAADKGYYIEPTIFADVKEDMQIAQDEIFGPVMSLMKFKTIDEAIEKANCSKYGLAAGIITKDLNIANRVSRSVRAGTVWVNCYFAFDPEAPFGGYKMSGFGRDQGMMAIDKYMQVKSVITAVPDSPWY* >Brasy1G347100.1.p pacid=40055945 transcript=Brasy1G347100.1 locus=Brasy1G347100 ID=Brasy1G347100.1.v1.1 annot-version=v1.1 MVHPVAEANERSPFGELTAEEFYARHGVTHSSSTFVNPRGLRIFTQRWVPSGGAPVLGAIAVVHGFTGESGWMVLLTAVHFAKAGFAVAAVDHQGHGFSEGLQAHIPDIGPVLDDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKQRWRDGVVLNGAMCGVSPRFMPPWPLEHLLWVAAAVAPTWQVAFTRGNIPGRSFKVEWKRALAMASPRRTTAPPRAATALELLRMCRELQARFEEVEAPLLAVHGGEDTVCDPGCVEELHRRAGSKDKTLRVYPGMWHQIIGEPEENVEKVFGDIVDWLKARATAAGAGAGAGLQ* >Brasy1G319100.1.p pacid=40055946 transcript=Brasy1G319100.1 locus=Brasy1G319100 ID=Brasy1G319100.1.v1.1 annot-version=v1.1 MRFPSLVMLEPFVFRRDDEDSFPDDTKAPIRASATTSWGARFSIAFDIAQPPSISRLYAQLPVPGFLPPKVCSPLKILATHRHLALFRVSTLTPEQRTEQTFFIYRADKNPASSSLKALPPCTEPRFDCSRRDGRLPRRTPSAPTSPRLLADRSMGLWCRGKDEFVVAELKLFKVSNSKVFADICFLRSSCTSADDQLGGTWDSKRVDILCRDNPDQVVDILCYGTKPNDVLGVKNLDFKCIDNDPWQLYCWQTDTIIPCDRWLCWIDYHRGILFCDLSSKDKDPTPTVSFLRLPLHEFPVTSMRSDTLSFLYRGVSVVDDGRALKFIDVARDDGIAYGALKPRASFTITCHTLELSGDSMGWNPADYKVTSCELWNDKYPGWLPRDILMFPQVDIDRPSIVHFLLSEFGHVNKKMWVVSIDMSKKVVDTCSPYINGNEGLKTDDADWIKEKSMNPTRFLPCEFPKFLSFSSKRKHMG* >Brasy1G319100.2.p pacid=40055947 transcript=Brasy1G319100.2 locus=Brasy1G319100 ID=Brasy1G319100.2.v1.1 annot-version=v1.1 MRFPSLVMLEPFVFRRDDEDSFPDDTKAPIRASATTSWGARFSIAFDIAQPPSISRLYAQLPVPGFLPPKVCSPLKILATHRHLALFRVSTLTPEQRTEQTFFIYRADKNPASSSLKALPPCTEPRFDCSRRDGRLPRRTPSAPTSPRLLADRSMGLWCRGKDEFVVAELKLFKVSNSKVFADICFLRSSCTSADDQLGGTWDSKRVDILCRDNPDQVVDILCYGTKPNDVLGVKNLDFKCIDNDPWQLYCWQTDTIIPCDRWLCWIDYHRGILFCDLSSKDKDPTPTVSFLRLPLHEFPVTSMRSDTLSFLYRGVSVVDDGRALKFIDVARDDGIAYGALKPRASFTITCHTLELSGDSMGWNPADYKVTSCELWNDKYPGWLPRDILMFPQVDIDRPSIVHFLLSEFGHVNKKMWVVSIDMSKKVVDTCSPYINGNEGLKTDDADWIKEKSMNPTRFLPCEFPKFLSFSSKRKHMG* >Brasy1G319100.3.p pacid=40055948 transcript=Brasy1G319100.3 locus=Brasy1G319100 ID=Brasy1G319100.3.v1.1 annot-version=v1.1 MRFPSLVMLEPFVFRRDDEDSFPDDTKAPIRASATTSWGARFSIAFDIAQPPSISRLYAQLPVPGFLPPKVCSPLKILATHRHLALFRVSTLTPEQRTEQTFFIYRADKNPASSSLKALPPCTEPRFDCSRRDGRLPRRTPSAPTSPRLLADRSMGLWCRGKDEFVVAELKLFKVSNSKVFADICFLRSSCTSADDQLGGTWDSKRVDILCRDNPDQVVDILCYGTKPNDVLGVKNLDFKCIDNDPWQLYCWQTDTIIPCDRWLCWIDYHRGILFCDLSSKDKDPTPTVSFLRLPLHEFPVTSMRSDTLSFLYRGVSVVDDGRALKFIDVARDDGIAYGALKPRASFTITCHTLELSGDSMGWNPADYKVTSCELWNDKYPGWLPRDILMFPQVDIDRPSIVHFLLSEFGHVNKKMWVVSIDMSKKVVDTCSPYINGNEGLKTDDADWIKEKSMNPTRFLPCEFPKFLSFSSKRKHMG* >Brasy1G341700.1.p pacid=40055949 transcript=Brasy1G341700.1 locus=Brasy1G341700 ID=Brasy1G341700.1.v1.1 annot-version=v1.1 MARWLQSAGLQHLAVSSSAPGPGPGMGMGMAGDLRDGSGGGMLPNLLMQGYGPQSIEEKQKLYMLLRSLNFNGESASVPISEPYTPTSQSFSGGPTIDGFYSPELRGEFGAGLLDLHAMDDSELFSENVASEPFEPSPFVPKETDDDEEDVISGSQQGLSENYSGGITSERENNTRESNVAKIKVVVRKRPLNRKEISRKEEDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLVRQPVYRSQHFKLWLSYFEIYGGKLFDLLSERRPLCIREDGRKQVVIVGLQEFEVSDVQIVKEYIEKGNASRSTGSTGANEESSRSHAILQLAVKKHIPVTETRRQRDRDANEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKGGNSKKEQFTGQFVSSSKESTHTSYPLSGETEDRMDQIQENMHIDASKKGVEVSSSVDPDRSSFGTVPSYPHRGKEETSSSSGFNDRVDSKSNPTGYNSKTQSLQNSVNSQEEDNKVTKVSPPRRKANREEKPERQGNHVKKENGPEVNRTIHKQQLKQQQQRPASTSASQVSSKQSEKESSCDDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSRKKPSR* >Brasy1G341700.4.p pacid=40055950 transcript=Brasy1G341700.4 locus=Brasy1G341700 ID=Brasy1G341700.4.v1.1 annot-version=v1.1 MARWLQSAGLQHLAVSSSAPGPGPGMGMGMAGDLRDGSGGGMLPNLLMQGYGPQSIEEKQKLYMLLRSLNFNGESASVPISEPYTPTSQSFSGGPTIDGFYSPELRGEFGAGLLDLHAMDDSELFSENVASEPFEPSPFVPKETDDDEEDVISGSQQGLSENYSGGITSERENNTRESNVAKIKVVVRKRPLNRKEISRKEEDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLVRQPVYRSQHFKLWLSYFEIYGGKLFDLLSERRPLCIREDGRKQVVIVGLQEFEVSDVQIVKEYIEKGNASRSTGSTGANEESSRSHAILQLAVKKHIPVTETRRQRDRDANEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKGGNSKKEQFTGQFVSSSKESTHTSYPLSGETEDRMDQIQENMHIDASKKGVEVSSSVDPDRSSFGTVPSYPHRGKEETSSSSGFNDRVDSKSNPTGYNSKTQSLQNSVNSQEEDNKVTKVSPPRRKANREEKPERQGNHVKKENGPEVNRTIHKQQLKQQQQRPASTSASQVSSKQSEKESSCDDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSRKKPSR* >Brasy1G341700.2.p pacid=40055951 transcript=Brasy1G341700.2 locus=Brasy1G341700 ID=Brasy1G341700.2.v1.1 annot-version=v1.1 MDDSELFSENVASEPFEPSPFVPKETDDDEEDVISGSQQGLSENYSGGITSERENNTRESNVAKIKVVVRKRPLNRKEISRKEEDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLVRQPVYRSQHFKLWLSYFEIYGGKLFDLLSERRPLCIREDGRKQVVIVGLQEFEVSDVQIVKEYIEKGNASRSTGSTGANEESSRSHAILQLAVKKHIPVTETRRQRDRDANEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKGGNSKKEQFTGQFVSSSKESTHTSYPLSGETEDRMDQIQENMHIDASKKGVEVSSSVDPDRSSFGTVPSYPHRGKEETSSSSGFNDRVDSKSNPTGYNSKTQSLQNSVNSQEEDNKVTKVSPPRRKANREEKPERQGNHVKKENGPEVNRTIHKQQLKQQQQRPASTSASQVSSKQSEKESSCDDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSRKKPSR* >Brasy1G341700.3.p pacid=40055952 transcript=Brasy1G341700.3 locus=Brasy1G341700 ID=Brasy1G341700.3.v1.1 annot-version=v1.1 MDDSELFSENVASEPFEPSPFVPKETDDDEEDVISGSQQGLSENYSGGITSERENNTRESNVAKIKVVVRKRPLNRKEISRKEEDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLVRQPVYRSQHFKLWLSYFEIYGGKLFDLLSERRPLCIREDGRKQVVIVGLQEFEVSDVQIVKEYIEKGNASRSTGSTGANEESSRSHAILQLAVKKHIPVTETRRQRDRDANEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKGGNSKKEQFTGQFVSSSKESTHTSYPLSGETEDRMDQIQENMHIDASKKGVEVSSSVDPDRSSFGTVPSYPHRGKEETSSSSGFNDRVDSKSNPTGYNSKTQSLQNSVNSQEEDNKVTKVSPPRRKANREEKPERQGNHVKKENGPEVNRTIHKQQLKQQQQRPASTSASQVSSKQSEKESSCDDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSRKKPSR* >Brasy1G406300.1.p pacid=40055953 transcript=Brasy1G406300.1 locus=Brasy1G406300 ID=Brasy1G406300.1.v1.1 annot-version=v1.1 MENQSYNGYTDLLQSDVGLDDLHWSGGHGEQHIDLEGHEEHHINLEETQEATPPVKASAKKARASKASAPKSGSSKRQKKFSQAEDLTLCDAYLEITQDPIIGVDQSRDCFWKRINAYFHANKTEDSGRTQGSLQHRWAVIQEQVNKFSACYAQVMNRNQSGMTHENKLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTSSMDTPGTSSSVFEDEATSPSKSVPTKRPIGQKRAKEAQRQASASGSSSRELFGDIFETRESKRQERFELMLAIDKQREEERLAEERNRTAIKEKKVALEEEKIQIMRMAEERLAAAEDGRIMSMDISGMGEEEKEFYKLRKSRILKRLRN* >Brasy1G238100.1.p pacid=40055954 transcript=Brasy1G238100.1 locus=Brasy1G238100 ID=Brasy1G238100.1.v1.1 annot-version=v1.1 MELLLLLLPVLLLLAMADGYPSACSNATCGGLTIAYPFWLNSSSSASASSCGYPGLGLACENNTTTLIFHAQSHRYRVSNIHYDTHTISLADADAFTTTTGCPLLDFNLTIDTSSALQLTRKDSNITFFYNCTKNSSWASAVELSGCPQYNKSSYVSPADDYDGEAYEFGCEAAVVAPVLEIHKKGVADSPLATRYVNVLRAGFELNYSPNSDQCGRCERSRGWCGYRHNQTDGGIGFSCFCDGGPTTDHCGTCLLSLLPHYLALLELLLASEFGLQSMSSFIYWRSDALGLTLATEKLNFSVDQPKQLYFASCVVLQRVIF* >Brasy1G406100.1.p pacid=40055955 transcript=Brasy1G406100.1 locus=Brasy1G406100 ID=Brasy1G406100.1.v1.1 annot-version=v1.1 MAQPPCMMAPVTTEDVLQSISYALAQAQSEDALRTGQPSLIAIRAGAVCRAAVRLSTNASSTAKQRN* >Brasy1G008700.1.p pacid=40055956 transcript=Brasy1G008700.1 locus=Brasy1G008700 ID=Brasy1G008700.1.v1.1 annot-version=v1.1 MIMRAYKLAMESFVLLCLVISMGVLGAATGVLQPPPLMYVFGDSTMDVGNNNYLPGKMVPRANMPYYGVDFPGVPAGRFSNGDNIADFLAKSVGFWSSPPPYLLLAPKPGLLVSTALKIGVSYASGGAGILDSTNAGNNIPLSKQVQHFVATTSTMVAKVGSGAVSTLLARSVFLISVGNNDVSMEYLIEQARNRSAEQQQSDAAEFLAGLISNYSATITELYALGARKFAIINAGLIGCVPAARVSQPAGACADRLNHLAAGFNVALRSLLAGLAPRLPGLVYSLADSFGLMEDIFADPHASGFADIAGACCGGGWLPQLCLPTSTVCSTRSERDQHVFWDSFHFSQRACFLTAQAFYNGPAKYTTPINFIQLVQSS* >Brasy1G117000.1.p pacid=40055957 transcript=Brasy1G117000.1 locus=Brasy1G117000 ID=Brasy1G117000.1.v1.1 annot-version=v1.1 MPPRRTPREKTRYSGIPPGSYRILSFRRNLLQPPASSTDHHRPGPAAGSGSLLRPRPPCPAAATSSRYVATLSSHPACLLNPPPPAAPAPPLDLAGRTTRLPNLLPSYRLLASSPTLRHVTAPPPGAPPPCPSPAPPRSPWRATAPPLLERAATSPLCCLPGALPHSLLPHATPSPLPPSRSSTPTSPAF* >Brasy1G220600.1.p pacid=40055958 transcript=Brasy1G220600.1 locus=Brasy1G220600 ID=Brasy1G220600.1.v1.1 annot-version=v1.1 MPACSAARSGRCGSGRRGSGLPRDPAAAGPVAAASSGARLRSPPAAAACGCKGCGLQVRFGAGLGPHAGSATVDLLSGQIRPPPVSEPTTRSSAGGTGVLRCGFGAGPWVARIFFIFAEILSVSALCMHDTDGSPHQCRFRHTTLM* >Brasy1G237400.1.p pacid=40055959 transcript=Brasy1G237400.1 locus=Brasy1G237400 ID=Brasy1G237400.1.v1.1 annot-version=v1.1 MDRICHSARPPHESLAVAAHGGQLGKSPPILAYICQHLSPGPCHYSATPPQLRADNTPSQLTATMARSSSSSRRFWRSLQLLLLWRLCCVHLCPAASSAFDVTHLPGFDGPLPFSLETGYVEVDEANGMHLFYYFVQSEKDPVGDPLVLWMQGGPGCSGLSGLVFEMGPFQFDVQGYRGGLPTLLYRPETWTKVSNIIFIDTPIGSGFSYATSKEGLKSSDTMAVKKLVIFLKKWLHEHPQFLSNPLYVGGESYCGMTIPVLALAIDISNKESGEEPLLNLKGYFAGNPVTDDRFDTAGKIQFFHGMGVIPDELYEIAKENCRGNYSDPPNASCEESMQAIDNLTKDINLSHILEPSCETIWSPRIQRAAARDGASLLTADDSSGDDILFLFKCRSDSHQLSYIWANDEAVRESLGVRKETKGEWKRCDFDIPYTKDITSSVEHHLRLRKEGYPALIYSGDHDSKFSFVGTQAWIRSFNLSITDDWRPWYVDGQVGGFTRSFSSNLTYATVKGAGHTAPEYKSKECLAMFARWISGEPL* >Brasy1G116700.1.p pacid=40055960 transcript=Brasy1G116700.1 locus=Brasy1G116700 ID=Brasy1G116700.1.v1.1 annot-version=v1.1 MRRPLRGPFAALLFVVLCGAASFPSALRRAVAPAPVRAPPLDPARLNATLLRIAAVDPSEPSLRRDVDDLLEGRLPVSSLRGIRAWRRDRLHPLHLRHHQVPIHRRSHADHDHDSLLHPLPREELLLDPSLRRSLRSWHRLRRHDTAVLRNLPSTLSLPGRIPSCAVVGNSGILLRDSHGALIDSHAAVFRLNNARVAGYSAHVGAKTNLSFINSNILHLCARRPGCFCHPYGNGVPILLYICQAVHFLDVASCNASSASIHAAPISVTDPRLDVLCSRIVKYYSLRRFVTETGRAPEEWNGVHDAANFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKNELDLHDYEAEYAFYRDLTERPQRLRRLPARWPQTTRHDEVADLQYSGRLFNQWRLWSIVCEVHLMIRSTMLCVHCTFSEPGTFCSVSFL* >Brasy1G323300.1.p pacid=40055961 transcript=Brasy1G323300.1 locus=Brasy1G323300 ID=Brasy1G323300.1.v1.1 annot-version=v1.1 MDGVLLFPRAAPARRRRESGGRRGRTAEGRLAKAGRHLAGGRSVGGTGDGTGRRGTVAHSRRRGSARPPAEGICAAAGGGALRGRRWTCARSAEGRHAGGGAPAEEELCVAGCARAAEELCAGSRGTSRGRRRERETRESERRESSREREADAGVGLAFVWFDPSNQWVKRIGF* >Brasy1G151700.1.p pacid=40055962 transcript=Brasy1G151700.1 locus=Brasy1G151700 ID=Brasy1G151700.1.v1.1 annot-version=v1.1 RFDDSPIPSPSLDHEHETGVAAHSRCRLRRPAGRPATRPRRPQILPSLARRHSPSTTDGRWPPTTSRPAPPAAGPRRQLGPDAVDPDARWLLLPSLPLSVCAS* >Brasy1G062600.1.p pacid=40055963 transcript=Brasy1G062600.1 locus=Brasy1G062600 ID=Brasy1G062600.1.v1.1 annot-version=v1.1 MAERKLDRFAALGKDGLSLGIEEDRNTAAAMGFVDESKDQQHLENSIPLSPQWLYAKPNDTKISAPHGSLLEPAEKDVRMLEGTKERRRNAFDTDSSLRWLDEERETSLLGRRERKKEVDRDVENRKNDRRSDNVAARDNNDSRAPPTSERWNDGSTRNSGNEGRRDGKWSLRWGPDDKEKDSRPEKKIDAEKDETHGEKQTFPGRLLPESDSRDKWRPRHRQETQPVGTATYRAAPGFGLEKGRVKDSNVGFSPGRGRGNSNSVSSFSRPSSAGPIGAPPVHGRRVTTAGAFRYPRGKLLDIYRQQKIVRPFDDGHRTLEDIPSITLPTSVQPLAFVTPDTDEETVLEDIRKGRVISSEVTNTTALQRERKQEIEACGTGDKFDKDKGTEAFSGLGHDGSAVLITEKDAFYNEGMFAGGIPDPLKKHMAENAPSNPIELSSTKPSAAIDLGAKIPDDPNSQLNVNIDTTGQASYTDAKAGGLASYPEELTLYYLDPQGGVQGPFMGTDIISWYEDGYFGLELPVRLAQAPDEAPFRPLSELMPYLGHKPQPLPPVSRGESAESTDSVHNNFEDALPTSGSLGKSDQTSKADSESYGVNPKRGDHEAPLPSLNSWFLLPETEKAEAKPDVRQQHIPETVSQDAEEVLYTGRPNSSMGQSRQDFDNDRADIHLASLDSRSGVGEANLPHHDAPRENELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKATAPKDIPPLNSRHGQLSRMNEAPVGRDEWPANLGRLDSMNDANMSGLIPQVEPELGHLNFEEQMLLTQIRREQLQQEHLMARNSLEFPGPFAGQVFDSLHQHRQSINPPVPEVEHLLRVQFELEQQQQRRQQLQQEQHRQQLQQEQHKRQLQQEQHQRQLQQRQAQLLQQQQQQQQQQLIIEQMLQQQMQGSNFGPANMVDQVLLREQLLNDLHHQPHHFQRQHDAAIEQLIQAKFGHGHHREQHNDMLDALSRSNQRQGLPLEQQILLGLHHDQVQSQQLANAIRQHVGREDERHLSGVWPMEDPSQFIRPGTSPNQSHINRLGQFELLQSLQRSSSVDHHDHLDRSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNPDVVNALARHHGLGQLETQGDLYSLGQMPMHASGVHPQQHRLPDQLSGSHMGRLERNWSDANGQLQNSLVEASRISQMQIEAEKQRRNLEMNLPIDNPHAWASLMNERNTEPDLSDMFHQKLALQSHQSRGFADVPVTAAFGRKDPLLFAQPPADNSLRSPVDRLSFDDPLAERSHFAKMGHLGQQEGSTTLDSLPNNIEMSRKLSLRSSSGTMLDIQRAEFQDVMVGSGSANQLVGNVNDVARRKRLGSSANLAVDDTHFPEEAVNNWADTGISKGSSHSLLKRTANPHTATSQALSTDLSSAIRLKNSGRPSSASSDEHKMESGVTSAAHAGEAPASVNKETGTFGMAPTTNLDASGPSFSEMLKSTKKPPLQYDAESADGGPGGKGSKKKTKKGKQIDPSLLGFKVHSNRILMGEIHRPDD* >Brasy1G062600.2.p pacid=40055964 transcript=Brasy1G062600.2 locus=Brasy1G062600 ID=Brasy1G062600.2.v1.1 annot-version=v1.1 MAERKLDRFAALGKDGLSLGIEEDRNTAAAMGFVDESKDQQHLENSIPLSPQWLYAKPNDTKISAPHGSLLEPAEKDVRMLEGTKERRRNAFDTDSSLRWLDEERETSLLGRRERKKEVDRDVENRKNDRRSDNVAARDNNDSRAPPTSERWNDGSTRNSGNEGRRDGKWSLRWGPDDKEKDSRPEKKIDAEKDETHGEKQTFPGRLLPESDSRDKWRPRHRQETQPVGTATYRAAPGFGLEKGRVKDSNVGFSPGRGRGNSNSVSSFSRPSSAGPIGAPPVHGRRVTTAGAFRYPRGKLLDIYRQQKIVRPFDDGHRTLEDIPSITLPTSVQPLAFVTPDTDEETVLEDIRKGRVISSEVTNTTALQRERKQEIEACGTGDKFDKDKGTEAFSGLGHDGSAVLITEKDAFYNEGMFAGGIPDPLKKHMAENAPSNPIELSSTKPSAAIDLGAKIPDDPNSQLNVNIDTTGQASYTDAKAGGLASYPEELTLYYLDPQGGVQGPFMGTDIISWYEDGYFGLELPVRLAQAPDEAPFRPLSELMPYLGHKPQPLPPVSRGESAESTDSVHNNFEDALPTSGSLGKSDQTSKADSESYGVNPKRGDHEAPLPSLNSWFLLPETEKAEAKPDVRQQHIPETVSQDAEEVLYTGRPNSSMGQSRQDFDNDRADIHLASLDSRSGVGEANLPHHDAPRENELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKATAPKDIPPLNSRHGQLSRMNEAPVGRDEWPANLGRLDSMNDANMSGLIPQVEPELGHLNFEEQMLLTQIRREQLQQEHLMARNSLEFPGPFAGQVFDSLHQHRQSINPPVPEVEHLLRVQFELEQQQQRRQQLQQEQHRQQLQQEQHKRQLQQEQHQRQLQQRQAQLLQQQQQQQQQQLIIEQMLQQQMQGSNFGPANMVDQVLLREQLLNDLHHQPHHFQRQHDAAIEQLIQAKFGHGHHREQHNDMLDALSRSNQRQGLPLEQQILLGLHHDQVQSQQLANAIRQHVGREDERHLSGVWPMEDPSQFIRPGTSPNQSHINRLGQFELLQSLQRSSSVDHHDHLDRSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNPDVVNALARHHGLGQLETQGDLYSLGQMPMHASGVHPQQHRLPDQLSGSHMGRLERNWSDANGQLQNSLVEASRISQMQIEAEKQRRNLEMNLPIDNPHAWASLMNERNTEPDLSDMFHQKLALQSHQSRGFADVPVTAAFGQRSHFAKMGHLGQQEGSTTLDSLPNNIEMSRKLSLRSSSGTMLDIQRAEFQDVMVGSGSANQLVGNVNDVARRKRLGSSANLAVDDTHFPEEAVNNWADTGISKGSSHSLLKRTANPHTATSQALSTDLSSAIRLKNSGRPSSASSDEHKMESGVTSAAHAGEAPASVNKETGTFGMAPTTNLDASGPSFSEMLKSTKKPPLQYDAESADGGPGGKGSKKKTKKGKQIDPSLLGFKVHSNRILMGEIHRPDD* >Brasy1G496500.1.p pacid=40055965 transcript=Brasy1G496500.1 locus=Brasy1G496500 ID=Brasy1G496500.1.v1.1 annot-version=v1.1 MEEDGCEFMQQQLKVVSIVGSGGMGKTTLANQVYEELKGRFECRAFVSVSRTPNMMKLMRTILCEVTKQDYSSTEAGDVLLVIKKIRDFLQDKRYFIVVDDIWDMKTWDIIRCAFSVTGGSRIITTTRMKDVAQSCCSPFSGHVYNIRPLHIVHSRQLFHTRLFNFEEGCPSHLKGVSEEILEKCDGLPLAIIAISGLLANRATTKDQWNQVKNSIGCSLERNPTVEGMIKILSLSYFDLPPHLKTCLLYLSIFPEDYIIEKKDLIRRWVAEGFIYKRGRYTVHELGEMFFNELINRSLIQPVVTDENDRVRTCRVHDTILDFIISKSIEENFVTLVGISDLSIGTESKVRRISLQVGKQGSSAVPKRMLLSHVRSLHVFGQSNEIPSLDGFRHLRMLHFGDCPQLENCHLENIGRLFQLTYLNLRNTNVSKLPKEIGDLCCIEMLDLRGTRVRELPPSMVNLTKLVHLLVDADVKFAEGIGKMQALEMLKKVGVFNQSSYFLEELSQLRNLRKVYLDFSTDGVISDGMKAILSSLHNLCTLIIRNDPGFVLETCCPPLSLQKLRVWGSPITSVPNSVGTLINLQVLSLWMDGVRQEDLCILGGLPSLLKLRLTVRECKDSPKTGARVIVRGEDGFQCLRKFYYNIWDDGINLLFASRSMPKLEKLEIVFNAAETESLLGGDFDFGIGHLSCLTTLECRVVRRGKSAEMAKAAMEKVVNTHPNHPKLLFKEFPSVA* >Brasy1G448900.1.p pacid=40055966 transcript=Brasy1G448900.1 locus=Brasy1G448900 ID=Brasy1G448900.1.v1.1 annot-version=v1.1 MGIVRPTGLVGHAWACLPPWPSPLGPWLCLPPRARAATPPSPSRRLAPQPPSSSSSSRQRLHRRNLLPGTGEWNHYIKFEGQLIKHTGNRTMTPKWSGCPSNSNRELSSHENENKDGNVYKRRKMDKDSNSLSAHEEVKEMKNQNCSTSEDHSSLVLTVNPSNATFSYLTASKIDPIVELEEPVEVPLDPNSRVNDRCSVSSILPSSMMLDKKDVAGCSSSNICLTEPIRGLTSARDLCIAILRKDGLITDSRTRIKSEESTDCDTNCLLACNTCRSLDDPLKMLICDSCEAAFHLSCCTPCIKKLPAEEWYCSPCLRKKPKSLYGKLLEDKVKSSGNMDQRPHGMTHIEYMFKDTESYVTGVRIGRDFQAEVPEWSGPTFSTDGYFEAPSEFDPAELTKLNWCSTDNQKRSSNSTGNWIQCREVLNTGSDKPVICGKWRRAPLYVVQSDDWDCSCCLPWDPVHADCAVPQEMDTDEVLKQLKYVNLKKHLVVRNHKSS* >Brasy1G448900.2.p pacid=40055967 transcript=Brasy1G448900.2 locus=Brasy1G448900 ID=Brasy1G448900.2.v1.1 annot-version=v1.1 MGIVRPTGLVGHAWACLPPWPSPLGPWLCLPPRARAATPPSPSRRLAPQPPSSSSSSRQRLHRRNLLPGTGEWNHYIKFEGQLIKHTGNRTMTPKWSGCPSNSNRELSSHENENKDGNVYKRRKMDKDSNSLSAHEEVKEMKNQNCSTSEDHSSLVLTVNPSNATFSYLTASKIDPIVELEEPVEVPLDPNSRVNDRCSVSSILPSSMMLDKKDVAGCSSSNICLTEPIRGLTSARDLCIAILRKDGLITDSRTRIKSEESTDCDTNCLLACNTCRSLDDPLKMLICDSCEAAFHLSCCTPCIKKLPAEEWYCSPCLRKKPKSLYGKLLEDKVKSSGNMDQRPHGMTHIEYMFKDTESYVTGVRIGRDFQAEVPEWSGPTFSTDGYFEAPSEFDPAELTKLNWCSTDNQKRSSNSTGNWIQCREVLNTGSDKPVICGKWRRAPLYVVQSDDWDCSCCLPWDPVHADCAVPQEMDTDEVLKQLN* >Brasy1G448900.3.p pacid=40055968 transcript=Brasy1G448900.3 locus=Brasy1G448900 ID=Brasy1G448900.3.v1.1 annot-version=v1.1 MTPKWSGCPSNSNRELSSHENENKDGNVYKRRKMDKDSNSLSAHEEVKEMKNQNCSTSEDHSSLVLTVNPSNATFSYLTASKIDPIVELEEPVEVPLDPNSRVNDRCSVSSILPSSMMLDKKDVAGCSSSNICLTEPIRGLTSARDLCIAILRKDGLITDSRTRIKSEESTDCDTNCLLACNTCRSLDDPLKMLICDSCEAAFHLSCCTPCIKKLPAEEWYCSPCLRKKPKSLYGKLLEDKVKSSGNMDQRPHGMTHIEYMFKDTESYVTGVRIGRDFQAEVPEWSGPTFSTDGYFEAPSEFDPAELTKLNWCSTDNQKRSSNSTGNWIQCREVLNTGSDKPVICGKWRRAPLYVVQSDDWDCSCCLPWDPVHADCAVPQEMDTDEVLKQLKYVNVLKKHLVVRNHKSS* >Brasy1G217900.1.p pacid=40055969 transcript=Brasy1G217900.1 locus=Brasy1G217900 ID=Brasy1G217900.1.v1.1 annot-version=v1.1 MAGSAISGGAARAAAIVWTQRHQRLLPQAEVLPRSLAVSALQFALKTAAAIAAPNLLPDLVCSLGPYVPTYLDDDL* >Brasy1G409800.1.p pacid=40055970 transcript=Brasy1G409800.1 locus=Brasy1G409800 ID=Brasy1G409800.1.v1.1 annot-version=v1.1 MEIAVGIQDGAPNLHDCLKFFTAPEHLADEYSCAQCKDKVKAHKRLSIFESPNIFTITLNRFESFEVNRVRKLDNTICYPLELDITEYMSSPGRDNMYDLYAVICHVGATMASGHYTCYAKGYDDKWLFLDDSSVTNVSEDRVLAQQRGAYMLLYIRKTARAEPPMDTQAMVQQKADGGPAADERAGQTDNKEKEKTTVAPYFGTRSLLCPLLTEGSNTIFKERSLANSTMTKLGLNRIKDSYLVSEDIDKAVVLKKIKKALKFYEQTGHFELPKRGNNFFIYDEDLMQLCMLKKGKYLTKSSEKAKRMAVLLKEIRRHLQSNTFIQQRTIYYIHKSLFEHKSHLTEKSVSEVGSLLGFTRNCVRIDCSTSGTGGSKIPHEAHQIDRIEEKTTVRFILIVEKQTVFSILTELGFHTKYSCIILTGAGQPDLATRAIVYKLRCRFGVPIYGFVDANPAGVRIMCTYRFGSETRAFDNLELTVPELKWIGLCCVDLEWKANLELLSEVDYAILLNLLNLPHVRSDVRWYRDLQRMVEFGLKGDIERVLDYHEIEGYLSARIPEMDITRISV* >Brasy1G469300.1.p pacid=40055971 transcript=Brasy1G469300.1 locus=Brasy1G469300 ID=Brasy1G469300.1.v1.1 annot-version=v1.1 MAGGKMAVAQLVVVALMLAATGCWAGRDFYVGDRGGWRTNPAEPFNHWAERNRFQVNDRVVFRYKGHEDSVLVVSKSHYESCNTSEPFLRLDGGDSVFVLSSSGPYFFISGHADRCWAGERLIVVVLAVRAKTTPPPSPPKSSSPPAPAKASPPSPNSTQSPPSSPPAPAKPSSPPPAPEPASPPPPSNSSSSPSPAVAVAPGKNATAPPPSPSSASALSSSVLMCLAVAAAVLLV* >Brasy1G156200.1.p pacid=40055972 transcript=Brasy1G156200.1 locus=Brasy1G156200 ID=Brasy1G156200.1.v1.1 annot-version=v1.1 MDMDTRRDTKPFHLPPTASQRGVPGLSVCHAPTPLGRGPRVAAPQQAACVLNRAASDDQAPEGGDDGLEAGAPTTCARPPPPPRCSAARVSRKFWSAGDYEEDGGSPAQPARNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKSGATKIVVDKIVNKRNGSPALLVQDDGGGMDPDSLRRCMSFGFSDKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCLKSSGPTQSIGLLSYTFLTETDQKDVVVPMVDYNYNWMTGEAKRHERHGADQFSSNLSVLLKWSPYATEQELLHNFDDIGPHGTRIIVFNLWSNDDGVLELDFDSKEEDIMISGTPKTASNAVKRMNEEHLANQLRYSLRVYASVLYLQLPGYFKIILRGQEVMRHSIATDLIYRQCISYKPQQLGRTKEGEVLTSIGFLNGAPAISVHGFNIYHKNRLILPFHRVLSSASSKGRSVAGVLEANFIKPTHDKQDFEKSQLYQKLITRLKEMTNEYWDLHSHLIGYQKTPRASSVSPSPAAILPVANTIANPSESNLSMASSMPGTCHNPASVNPIAFAPPHLSVAMETRAPTAYSMPGAQTLQAGQTSSPLVAPGTDLAEARKRKNVAAFQMDSAKRQATHNLEGNKSMTSSDQACQHMTETELNELSFLKLENKHLREECLEFEVTEKELLLKEQRLRLEIEQAEAQYKSLLNEYISASAVRTQKR* >Brasy1G156200.2.p pacid=40055973 transcript=Brasy1G156200.2 locus=Brasy1G156200 ID=Brasy1G156200.2.v1.1 annot-version=v1.1 MDMDTRRDTKPFHLPPTASQRGVPGLSVCHAPTPLGRGPRVAAPQQAACVLNRAASDDQAPEGGDDGLEAGAPTTCARPPPPPRCSAARVSRKFWSAGDYEEDGGSPAQPARNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKSGATKIVVDKIVNKRNGSPALLVQDDGGGMDPDSLRRCMSFGFSDKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCLKSSGPTQSIGLLSYTFLTETDQKDVVVPMVDYNYNWMTGEAKRHERHGADQFSSNLSVLLKWSPYATEQELLHNFDDIGPHGTRIIVFNLWSNDDGVLELDFDSKEEDIMISGTPKTASNAVKRMNEEHLANQLRYSLRIILRGQEVMRHSIATDLIYRQCISYKPQQLGRTKEGEVLTSIGFLNGAPAISVHGFNIYHKNRLILPFHRVLSSASSKGRSVAGVLEANFIKPTHDKQDFEKSQLYQKLITRLKEMTNEYWDLHSHLIGYQKTPRASSVSPSPAAILPVANTIANPSESNLSMASSMPGTCHNPASVNPIAFAPPHLSVAMETRAPTAYSMPGAQTLQAGQTSSPLVAPGTDLAEARKRKNVAAFQMDSAKRQATHNLEGNKSMTSSDQACQHMTETELNELSFLKLENKHLREECLEFEVTEKELLLKEQRLRLEIEQAEAQYKSLLNEYISASAVRTQKR* >Brasy1G417100.1.p pacid=40055974 transcript=Brasy1G417100.1 locus=Brasy1G417100 ID=Brasy1G417100.1.v1.1 annot-version=v1.1 MPEQARSCHGEASRTRPRQRSHGAPQAGEQGGYAEVDPTGRYGRYDEVLGKGSAKTVYRGFDEWQGIEVAWNQVRLHDFLRSAGAGDLDRLYGEVRLLAALRHRALMRLHAAWVDPRRRTLNFLTELFSSGTLRQYREKHRVVSMAAVRRWSRQILEALAYLQGHSPPVVHGDLSCANIFVNGHKGEAKIGDLGLGLAAFRTPEFMAPEVYGGEDYVDGRADVYSFGMCVLEMLTLEYPYSECSSSPVQIYNKAMAGIRPEALHKVRDPAARRFIDRCLAPASRRPAARELLHDRFLQTGSASGGGSFSGPGDVVHDHYHHPLHRQPSFQEEYQHQHHADSNGGSTPSNGLPKSVNGEEEEDTLSAVRSYCDDEGEDDGGESARYHGIELLFDEHEDDGIGGGVQMKIKGRRMEDGGIFLRLRIADRAGLVRSIYFPFDVGADTARSVAAEMAGELEIVTGHEVARIAGIIDAEVGALVPEWAAGPPSPGMDGAPDAPCCEKCRPSSYAGGSLLEFMSSAGHRGCRCAGLQGRFEEITSQPADQ* >Brasy1G417100.2.p pacid=40055975 transcript=Brasy1G417100.2 locus=Brasy1G417100 ID=Brasy1G417100.2.v1.1 annot-version=v1.1 MRLHAAWVDPRRRTLNFLTELFSSGTLRQYREKHRVVSMAAVRRWSRQILEALAYLQGHSPPVVHGDLSCANIFVNGHKGEAKIGDLGLGLAAFRTPEFMAPEVYGGEDYVDGRADVYSFGMCVLEMLTLEYPYSECSSSPVQIYNKAMAGIRPEALHKVRDPAARRFIDRCLAPASRRPAARELLHDRFLQTGSASGGGSFSGPGDVVHDHYHHPLHRQPSFQEEYQHQHHADSNGGSTPSNGLPKSVNGEEEEDTLSAVRSYCDDEGEDDGGESARYHGIELLFDEHEDDGIGGGVQMKIKGRRMEDGGIFLRLRIADRAGLVRSIYFPFDVGADTARSVAAEMAGELEIVTGHEVARIAGIIDAEVGALVPEWAAGPPSPGMDGAPDAPCCEKCRPSSYAGGSLLEFMSSAGHRGCRCAGLQGRFEEITSQPADQ* >Brasy1G463300.1.p pacid=40055976 transcript=Brasy1G463300.1 locus=Brasy1G463300 ID=Brasy1G463300.1.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPVLLGDAVEGSFGTQYFCRNFEGKDFCRKKILSALWNVGIRKKIQ* >Brasy1G463300.2.p pacid=40055977 transcript=Brasy1G463300.2 locus=Brasy1G463300 ID=Brasy1G463300.2.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEVRKGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.3.p pacid=40055978 transcript=Brasy1G463300.3 locus=Brasy1G463300 ID=Brasy1G463300.3.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.4.p pacid=40055979 transcript=Brasy1G463300.4 locus=Brasy1G463300 ID=Brasy1G463300.4.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEVRKGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.5.p pacid=40055980 transcript=Brasy1G463300.5 locus=Brasy1G463300 ID=Brasy1G463300.5.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.6.p pacid=40055981 transcript=Brasy1G463300.6 locus=Brasy1G463300 ID=Brasy1G463300.6.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEVRKGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.7.p pacid=40055982 transcript=Brasy1G463300.7 locus=Brasy1G463300 ID=Brasy1G463300.7.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.8.p pacid=40055983 transcript=Brasy1G463300.8 locus=Brasy1G463300 ID=Brasy1G463300.8.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEVRKGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G463300.9.p pacid=40055984 transcript=Brasy1G463300.9 locus=Brasy1G463300 ID=Brasy1G463300.9.v1.1 annot-version=v1.1 MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGAKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSLPESADDLPNPEPAILSVEGRGYTVETHYVEEPVSDYLQAAVSTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLRKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQADGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCDRDMQEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPGPH* >Brasy1G435700.1.p pacid=40055985 transcript=Brasy1G435700.1 locus=Brasy1G435700 ID=Brasy1G435700.1.v1.1 annot-version=v1.1 MVKLTMIARVTDGLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHESSRLSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTRKLYLDTRTQRNLTKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNKIW* >Brasy1G407400.1.p pacid=40055986 transcript=Brasy1G407400.1 locus=Brasy1G407400 ID=Brasy1G407400.1.v1.1 annot-version=v1.1 MSTSRAIATMALFVLVALSISHVASSLRPSLGVCRASGYLPGKAGHCEKSNDPDCCGNGKKYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNSYHSDKELVVALSTGWFKNMARCGHRIKITSNGNSVYAKVVDECDSVYGCDDDHNYEPPCANNIVDASPAVWNALGLDQHVGMEDITWSEE* >Brasy1G326300.1.p pacid=40055987 transcript=Brasy1G326300.1 locus=Brasy1G326300 ID=Brasy1G326300.1.v1.1 annot-version=v1.1 MPYCEVDRYQSGEQWEGVRLFYTRHGRGATKVLLVVGLAGTGKSWAPQIKGLTGSVEPADEDAADAPQTADEETGDAAAAAPEDDGGEGIEVCCFDNRGVGSSTILPQKSHYSTTIMAKDALALLDHLGWKKAHVFGHSMGAMISCKLAAMAPHRISSLALLNVTGGGMECFPKSIFQLDGPMLSLAFRFLRATTPELRAHVDLDTHYTKEYLDEIVESCTRREVLYKEYVKNISSTGMQSSCGFEGQLNSCWTHKMTTKELDTIRGAGFLVSVIHGRSDIIAQLCHARRLAERLAPAARMVELQGAHLVSHERPEEVNNALMELIKATKSMTTPHEWSSQPENTSETGALISARPVILMIRKDEAANAAVAVYNLLAKLQLSFLYVIGLIMMGFEHMRYIVRVMKPVRVAAIDS* >Brasy1G108500.1.p pacid=40055988 transcript=Brasy1G108500.1 locus=Brasy1G108500 ID=Brasy1G108500.1.v1.1 annot-version=v1.1 MLSARSLRKATISPSLLSDPSPGCLRPTRLAVHVNGAGDTCSVYFASGCRVYKIEISMEEEMLSRGKESLLIPIDAQVISSSIVDRCPHRSEIQSVVLAEGEGDSCLILGTVDSYGHLIVSRLDTVADDIDRASYSVPPRDSGVGEGSWAGLCFGPTQQSTVAVARELCKSIDIYDQDIHLRSLRTLWYPSSVTFIQKFPQGSESSSMLGIAEGSQLSLWDVRMNHNGGCVQRISGPVGGTIYSICSSPSGLIAAGGTDRAVTIYDPRRWSALSRWVGCSKYEITGISFSSVDQSFIYVQGVDYEITCGLWRESKRAFSFRGDSNWLGFSKCANTDVVAGWCESGSIFIADAQDHLSVDL* >Brasy1G021600.1.p pacid=40055989 transcript=Brasy1G021600.1 locus=Brasy1G021600 ID=Brasy1G021600.1.v1.1 annot-version=v1.1 MSLRRSAAPFRRLGLAMALQGQAVATGPAAPVAMVAIVRGFSKATGPLPRLALPKAGHPSVGTEPPAIMVSRRSISKTPGDSKTPGDMVPPVAAGGGLPPPPPAGGFPHPTGDWWQKAWTAFDLAERIRTTWKTIGFVGVVFASAA* >Brasy1G460100.1.p pacid=40055990 transcript=Brasy1G460100.1 locus=Brasy1G460100 ID=Brasy1G460100.1.v1.1 annot-version=v1.1 MNSGGLYDGFYGGFGGGHGLSQLGASSLDGGTRELDAPTDVQKRKGGGGEPEEKAAMALRSHSEAERRRRERINSHLATLRTMVPCTDKMDKAALLAEVIAHVKKLKAHAARVATHCTVPSGADEVTVELLHHAPTPHAATTTTTNNNNNSGGGGLLVKASLSCADDCADLFADVRRSLRPLAPRLLRSEVTTIGGRVRVSFLMAREGGVTADSVRQALCSVLDSRASSAAAFDFVPRDSLLKSKRRRVSSTFESSSSSS* >Brasy1G279800.1.p pacid=40055991 transcript=Brasy1G279800.1 locus=Brasy1G279800 ID=Brasy1G279800.1.v1.1 annot-version=v1.1 MDRSSTTKKDMEEAPRGLGLLSSEGEKGGSGGDGDDGVQAPAQKKMTRLPQEEIDWILSLTKPLSTYTPEADLAESDSDDDVNELLRDIARARDSLWEKIYKFQDWVRSEYAANGFVEVDDDFLARRAECQAIIRETFDGLSDSELDAGDY* >Brasy1G222300.1.p pacid=40055992 transcript=Brasy1G222300.1 locus=Brasy1G222300 ID=Brasy1G222300.1.v1.1 annot-version=v1.1 MESTMIVKNETNINPRLPPNTLTGASEVNHASKVIKRRRRETTSMATSRTNGSNLDKSTGSTAIKRSSRFRGVSRHRWTGRFEAHLWDKNTWNPTQRKKGKQVYLGAYDEEEAAAMAYDLAALKYWGPTSYTNFPVMNYEKELKIMETLTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTEQEAARAYDIAAIEYRGINAVTNFDLRSYITWLKPSVSDSANVAGLAMPIPTENLFPRDRHIFSQHNPFILDHNTTQGSEEATISPNFPRTRASSTALSLLFKSSMFRQLVEENSDATNKIRGNVREPVSKPEANEYHSFFHGGTPDVLCLFSPTGVCSNSLEFQESIPYSGNHETVTWNGLPSMPEQ* >Brasy1G562900.1.p pacid=40055993 transcript=Brasy1G562900.1 locus=Brasy1G562900 ID=Brasy1G562900.1.v1.1 annot-version=v1.1 MVLLEELLRCQIQEWYPAFRRHTIPTAILPLPPAFLRYLAGKTAYPNPDADDSSDAEGPVPFLLPALASGRTPFPAIDAHLPDPVSLLDRDNSDFFFGSPDCDYDEDDALRPAFPELEAAVDAAIAKLGGAALPKLNWSAPKDAAFMAADGTARCACFAEVAMLLRSSDCVAHDLASARSSCQDFVRRPKAVRPNARPNGEENSDGAGSKSGPGENCGEGSKQNTPDDAPGEDAGHESDEETWVDDGFQYYLALRKWYPGLRPESEFRCFVKGRSLVGVSQRDPSAYYPSLPGWSAEVQPRIEDFFDDVVEPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLMFTWEELEEKQAGDELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADSELNRQLASLDGDS* >Brasy1G417000.1.p pacid=40055994 transcript=Brasy1G417000.1 locus=Brasy1G417000 ID=Brasy1G417000.1.v1.1 annot-version=v1.1 MCRSESLFQQEVYVLRQGRHPNIVTLIGICAEASALVYEWLPMGNLEDHIVCSTDSPPLSWRIRTQIIGEVCCALLFLHSHQPSALVHGDLRPCNILIDANYRSKLCNFGMSTLFLQPGTCPPNLTTRLPYMDPEFLTTGELTPLSDVYSLGVIILRLLTGMPPIAIAKKVSEALESDNLHLLIDRFAGDWPYIQAKQLALLGLSCVEMRRDKRPDLLTKVWTVIEPLTEKLPAASWRYIQSASRGSYPPAHFICPILKEIMNDPLMASDGFTYEAEAIRRWLDDGNLRSPMTNLALPNGNLIPNRALRSSIQEHLQQQRQSDSFDSPKFLL* >Brasy1G257300.1.p pacid=40055995 transcript=Brasy1G257300.1 locus=Brasy1G257300 ID=Brasy1G257300.1.v1.1 annot-version=v1.1 MAPPLKNPKLFTWHFCFLLLVFTATHSAAVSTSHYKSVCSSLPPSADSHTDAADVLSLTRSFQISTGHFSGGDNLFSPDDDDDLYNYRSFSLFPHGAFRTNDPALVHLTATLIVTGPRSSTYRSPGRRHRHNYNYTMTQTISFVLDGYYSNTSLQLCMVGSGTELAADGSLKHYSDVVLHLRVPSPPKLNDPFVSGSLEGSNDFGTINLLAYAEGDDYKYAAERGPCGSPPTTPVRGTLQALGGGFSCAHLKEQLMTSYKLEHGGVADTSRSASSPLLRMLPRMHINQMQCTADGAVRAYMVFSNNTGSESRQRRVFHHYRQHRVLVDEEAVVADGHWDSTSGVLCLRACPVVRSAVTSARQVSECGIGISFWFPAAWTVRDRSLVAGMLWNSSQAGNGNHGGTGQISGVVSASSIDSDNQRSSNLSDVKYNYNDTMIEEAKKHYMKIKKKQQFNGLFPGNLTYNDFELRFYSRGGMGNGEAYPVTIGSVMVYGDRLAADDSISVVDTKQDLLNVSYDIRHFVPPDNWVRPTNVSSYSYTMQERRISAEGVYDPKKGIMSMIGCRELNGSTDCQILVTLTFAPLDARAQEHGRGAISSLRDNNDPLFFKKIDINLYGMYMEQVSEAISRMDLESIMLVASTTLSCVFTVLQILHTKKKPEAAPATSITMLAILTLGYLTPLVLNFEALFVSRRSQYFTFSRYAVLELKEVMMRAPMLIAFVLQLRLLQLVWSGRQGSADQSKSVSERIVLQICLPLYLLGGVLAGIVHVINVRAAREDPLVVRIGGEAPTIWEDLVSYAGLILDGFLLPQIILNASLAGSGVRAISPWFYMGGTMIRAMPHVYDVVRARVYEPSINPSDVYASPRSDLFGVAWDIVIPCGAALLALLLFLQQRLGGSQRRRSGGYEMVSNL* >Brasy1G199900.1.p pacid=40055996 transcript=Brasy1G199900.1 locus=Brasy1G199900 ID=Brasy1G199900.1.v1.1 annot-version=v1.1 MSAEDGYCSTGTESPRADSVDEQAAADAESPPGGGGPNKRDHPSPSSPLPPPKRSRRSVEKRVVSVPIAECGDRARANGEGPPPPDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPTVLLVTYSFDHNHPWPVPKSSCHPGKSSLRGLTAPKAEPDTPPVDCQPEPLPEPETEPAEATLEQEQEQDQDQDQEQEQEQKPVVGLADPVAITTVAAPAAEEEESIDYGWFDQYPTWHRTQQYVPAAFDAAPLLPPEEWERELQGEDALFAGLGELPECAIVFGRRRELALAATAPCS* >Brasy1G271400.1.p pacid=40055997 transcript=Brasy1G271400.1 locus=Brasy1G271400 ID=Brasy1G271400.1.v1.1 annot-version=v1.1 MAMGSFPFQWPMDPAPLSSGVDGSLFPSLLPPTAVPDDGAAYYAASDMHSVPSLPELAGAAPFPSRDAELAMRRAEEEVAGIRLVHLLMSCAGAVEAGDHAAAAALLADANASLAAVSTASGIGRVAVHFTDALSRRLFLSPAAPPAADPEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDSVHVVDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPPGGRDELRDVGLRLAELARSVRVRFSFRGVAANTLDEVHPWMLQIAPGEAVAVNSVLQLHRLLAGPADQAQAPIDAVLDCVASLQPKIFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDATSAGAGNNAAMAEAYLQREICDIVCHEGAARTERHEPLSRWRDRLGRAGLRAVPLGPGALRQARMLVGLFSGEGHSVEEAEGCLTLGWHGRTLFSASAWRAAGDGNDDGDGDGEENINSNNSNIGGGSSGSDSNNSSCGVVGAANMFL* >Brasy1G436200.1.p pacid=40055998 transcript=Brasy1G436200.1 locus=Brasy1G436200 ID=Brasy1G436200.1.v1.1 annot-version=v1.1 METMNKKDAMNTAPCKDDCEGKDGSSTEEWDPWNPPCPPRDPPPPVDDLYLRVNLMEEWMAIKGAILAKSRTTNIIIPDRTPQWVNCALYDAFPRLTPILKKDSYRRFLSLFDQDSQGMVWGYVITPETFNQIITYNALQCAKVALRGQARELHGFRANPNYMTQYGYFPLHRAAEMFSVEMIELLLRHGALANLRTASTGGSKGLLPLQVAIENTCLHKYLEESLFGDQEHQDYSQTDLNMYKLIHLLCLPEMKIFLDTTRLLAKHTENLFDELWNYIEDGKLAQTAVLLLAAQEQIRMEIPHKRNVNSKRDWFAVITTRIADKIVTLRSEIETCQKKIEQKRLKAKLNFNLVTLTLVSVISRAGEALDSYIRAHPKVPIKVSHSEVLERVSVILKEYGCCPTRQCIDIGNLCPYKNVLSKKDLPAEFWKMTQTTATEKDCLQAATGKDAIKKEPRGWELNYATTSFFPYWRSVLVSQSLVKVVCMKPMHIEQKDQQRALNKSTGTGGRIPQLKTNHQPRRLFGTVALTLLKVLKNA* >Brasy1G201600.1.p pacid=40055999 transcript=Brasy1G201600.1 locus=Brasy1G201600 ID=Brasy1G201600.1.v1.1 annot-version=v1.1 MRGVAQPPRYVLLHVVGARRWQTNRADEISLVMPDSSPSPLQRSRQSAKPMAGTTHRPSKPSPPPHAPKRLAPSRAPLASLALLLLAAAGILLYSQSQTTPPSSQIDVRSSESPALSSPTVESIDGARAIWELPAAPARAVLFVAHGCRCRPENFWPPSQTCPGCVGLPEDVAITARALRRRFAVLAVASAEECWSLGQEVGATKRAIQSWTAKSGLRERPVVALGASSGGYFVSRLATEMSLAAVVIMISEGAFGPAGAPAGYPPAMFLHMPKDHRRAALVERNMKMLRGNGVEVREVRSLKLPLTPTLLSDRIPGLDHGLSERILKMFSEEGFVDDRGYMRKDGRATPWKDAVVKRGFWEEVSPWAEHIQEELNLAYGYHEMTSLQADEMFDWIEEHLT* >Brasy1G069000.1.p pacid=40056000 transcript=Brasy1G069000.1 locus=Brasy1G069000 ID=Brasy1G069000.1.v1.1 annot-version=v1.1 MVHLPHMGKLRRGVKKEVVDDADDAAAGAEASPFQKRSRLAQQQQPPPPQQWTSCGAGVSNQQSSQHDFLEEPSPLGLRLKKSPSLVDLIQMKLLQAGKAKESLHCGTASASEKLKASNFPGSILRIGSWEWVSRYEGDLVVKCYFAKHKLVWEVLDGGLKSKIEIQWSDICALKLVCSETEPGIMEIALSRQPLFFRETNPQPRKHTLWQATPDFTAGQASMHRRHFLQCAPGMMNKHVEKLVHCDPRLRSLRQQTDITLENPYFESRCSIFEHPEDVKCQDFELNKDEDDHFGPVRFREPLLPHPALGRIDAEGRSQAGTSDGFPRHFPDSVTGNQAIKQDDASGEPQTNISWNGFKLSGIRRSMSKSELANHIGNQMYRQMYPGNQPAVDGSGGTSSKALDDITRYLLSDSQIVDSGDGSTGKVTFDELTRQLLNDSQITVAADERMLMSRVNSLCSLIHRDSGTGHTNPTLQGDNEMHERKLEPYTRPVGEDGGNSSLPSRQRSFGDFFTHLPRISSFPHFL* >Brasy1G564100.1.p pacid=40056001 transcript=Brasy1G564100.1 locus=Brasy1G564100 ID=Brasy1G564100.1.v1.1 annot-version=v1.1 MGRGGGGFLLALAVFFFFFLALHCGCAAEGEEGAAEAEAPMEEKEKRALYAAIESFVGTGWNGSGLFPDPCGQTPIQGVSCDLFNGLWYPTAITIGPVLDNSLQCAQDASFSPDLFALRRLKSLTFYACFPASNPTAIPAASSTWEKLAGTLETLELRSNPGLAGPIPASLGRLSSLQSLVLVDNRNLTGQVPPELGALAGLRRLVLSGNALSGPIPATLGGLNRLLKMDLSNNLLQGSIPIELAGLESLTLLDLRNNSLTGGLLPELALQSMASLQDLLLSNNPRLGGTLLMKNNSGWDKVAPSLATLDLSNLGLVGGIPESMAKMTRLRFLALDHNRLSGGVPPGLAAMPSIGALYLNGNNLTGALRFEPEFYRRMGSRFASWDNPGLCYSNGGGGAAAPAGVAVCKDVQEPPSSGVGVRDDKVGGRKPEASSSIVASSSSSSVGLSAAMVTVLWCLALVQGMMFFL* >Brasy1G525200.1.p pacid=40056002 transcript=Brasy1G525200.1 locus=Brasy1G525200 ID=Brasy1G525200.1.v1.1 annot-version=v1.1 MAEETKQETAAAAAAEVVATEAEKKAEEVEEKAVQAEEKVDEAAAAAAEEEEEKKMEEAEAEAGADEAAVIEGSTGTFKEESNLVSELADPEQKALVQLKELVAAALASGEFDLPPPPAQSDTATPAAVEATKKEESAKDAAAEGDEPKAQEVEVSVPKTEAPEPEELKTDAPAQEEPTKEEPKTEAPVVAAAEEPKAPAAAEEAEPAPETEEKTVVVTEEEGTKTVEAIEETVVPTASEPEAAPGPAAEPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKSFGIDALLGADLGLPELENVVFYRGADREGHPVCYNVYSEFQDKELYEKAFGDDAKRERFLKWRIQLLERGILEQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFTFCSPAKTAETLFRYIAPEQVPVQFGGLFKEDDTEFSTSDAVTELTVKPSSKETIDIPAAENSTVVWELRVLGWEVSYGVEFTPDAEGGYTVIVQKTRKVPANEEPIMKGNFKVTEPGKVVLTVNNPTSRKKKLLYRFKVKSSTESA* >Brasy1G129800.1.p pacid=40056003 transcript=Brasy1G129800.1 locus=Brasy1G129800 ID=Brasy1G129800.1.v1.1 annot-version=v1.1 MDRSNRSLTQEIGKQRRSSSWPPNSGTLAAGPHRRCWPSPSPAPRQPPLSNPTTKRQHCVDLLRAAFASSLASIAGPVRQKPLRAGRRPITADAFPVGSKASALEGSSLRRHNYGSP* >Brasy1G318600.1.p pacid=40056004 transcript=Brasy1G318600.1 locus=Brasy1G318600 ID=Brasy1G318600.1.v1.1 annot-version=v1.1 MYTALQFFAPPCACDMTDNEAINMSSRKRTGPPTPFQDISNGQDLEQAKELKIQRNREYYAHNRDNILARRREANENKQASAVVTNGTDTVPHTPLSISQVQAHHVVSTATTSNQNSIKLQATIFVICV* >Brasy1G148400.1.p pacid=40056005 transcript=Brasy1G148400.1 locus=Brasy1G148400 ID=Brasy1G148400.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEELQPEDM* >Brasy1G558000.1.p pacid=40056006 transcript=Brasy1G558000.1 locus=Brasy1G558000 ID=Brasy1G558000.1.v1.1 annot-version=v1.1 MGQLFFTGHGGCLYVPSLGQNQPPPADDYFRIRGMEEGRRQPPPDDVSPACWNFFKIFWRCFTSTVSIGLVVWLFVARHYHVDPYLQDPYKMAVLLVFSLVPAGCGFVFTQGDTFALPQPVARL* >Brasy1G192200.1.p pacid=40056007 transcript=Brasy1G192200.1 locus=Brasy1G192200 ID=Brasy1G192200.1.v1.1 annot-version=v1.1 MMASCALSVAQPAARSPCGGRKSVPENFPRLRSPTVSGRMRSRGVVAKAAQDSPGTSSGSIVKYVKSSFNTAEDIFALAGIGFAAIAALWASMMVIEVIDKLPVLPIFFELIGISVAWGRVSEQHQKHCISSPGAVTLPRRWNTCNLSYHFQL* >Brasy1G192200.2.p pacid=40056008 transcript=Brasy1G192200.2 locus=Brasy1G192200 ID=Brasy1G192200.2.v1.1 annot-version=v1.1 MMASCALSVAQPAARSPCGGRKSVPENFPRLRSPTVSGRMRSRGVVAKAAQDSPGTSSGSIVKYVKSSFNTAEDIFALAGIGFAAIAALWASMMVIEVIDKLPVLPIFFELIGISVAWWFIYNNLLFRSDREEFLNNIKSTASRVLGQ* >Brasy1G221600.1.p pacid=40056009 transcript=Brasy1G221600.1 locus=Brasy1G221600 ID=Brasy1G221600.1.v1.1 annot-version=v1.1 MEAGLLKTRPLHALLSDAATSCAARCLFDALPRLTPALCSTLLSALSRRSAHHELLKAFSSLHRKGAEVPPGCLPLVIKSCALSAASCQGRQVHCHALVRGLLGDIFVQTALVDFYAKNGDMESAVFVFEEMPVKDPIPINCLITGYSKSGDVDEARRLFDGMSRRTSASWNSMIACYAHGGEFQEALTLFDRMLSEGARPNAITITTVFSICAKSGDLNTGKRVRALIGEEDLQNVIVHTALMEMYVKCRAIDEARQEFDRMSRRDVVAWSTMIAGYAQNGRPLESLMLFERMKATDCRPNEVTLVGVLSACAQLGSDELVEQIGNYAESQRLPLTSYLGSALIDMYTKCGHVGRARSVFDRMKQKVVITWNSMIRGLALNGFAEEAISLYENMAEEGVQPNEITFVALLAACTHAGLVDQGMAFYEEMETKHHVSPQVEHCACIVDLLCKSGRLWEAYKFICDMQVEPNAVIWTTLLGACRVHADVRLAKLAASKLLVMEPDNSSIYVLLSNIYADAGLWVDVREIRDLMRSNNVQKLSAYSWIELDGEVHKFLVQDTYHPKSAEIYDVVDGLGLMLDRVDSDPDLLLVSELC* >Brasy1G467400.1.p pacid=40056010 transcript=Brasy1G467400.1 locus=Brasy1G467400 ID=Brasy1G467400.1.v1.1 annot-version=v1.1 MDQSGGLSAVLGGIGSLGIQEISLLCGVMDEVGLLKQELERLQGLLQDLDKRQRPGDATTTTLVGQIRDSIEDGENAIQVAEYLKRRNRLNEGEGLMGTVTRYACLLPRGVITRHKVGVKIRHIKRKIMGIKDHMQAASILSGTAVDGKDMSRTSKDDDDIFVPQLLQDDMTVVGFQEDYNHIVARLLDKESKITAISLVAMGGAGKTTIARRIYTAPEITSHFDVTLWVSLPKEFTKTGFMRDIYRQLTRSRIDVTEIDQIKGGYGRVKIDEIPEKIYEILVQHRYLLVLDGVWETDIWDKIMKAVPIKGRFLRVFPDVNNGSRVMLTTRNHDVAKHIVEMTSHEHILKSMDDEAAWELLMHTALPSHTRPENSSNDLDEIGRVLATKCGGLPLALAVLGRYLAMNINTQAWRDTLKDWRTVTAITGGQMVKDILARSYSDLPNHHLRSCFLYVPVFPGNSVIAVSDLIRLWIAECFIPHTSKHSPEEEARRYVSQLAQRSLVQVVKRSTAHRWIEEIRIHDVLRDWCTEEARNDGFLDVIDESTHQDTNTQQDGAGPSRISVQSFHDERNLQRRKKLRTLLNFNSQLMSLPKLRYLRVLHIEDSNLKDFCKSIVRFIHLRYLCLRRCRGVNLSPTIGQLLYLQTIDLRGTKLQPESGVPYTLWDIPDLRHVYLEGKIASPRNGQQKELQTLHLNMMESYGPFGWEDALQCWVKMTLLTTLALWSTPIDVDVLKILELMDRLVEIVLFRFNVVDKLPECKSFPQGLRKLLLFANFLKQDPMPILEKLPSLVVLELGGYAGKLMFCSAEGFPELEELLLAQFCIDEWLIEDKAMPRLSHLTLESVEMPRHWKETKLPQGLLYLRELEELKLVRMRISFSDPTYKQLQNKPCKVSNQ* >Brasy1G257000.1.p pacid=40056011 transcript=Brasy1G257000.1 locus=Brasy1G257000 ID=Brasy1G257000.1.v1.1 annot-version=v1.1 MAPPRGDTAAAASAPLDLTGVHILEASMPPPLPECGGNAAERKEEGDKNRKKEKAETQRITGWGLREYSKIVCEKVEAKGRTTYNEVADEVYSELKSMAHIGQGFEEKNVRRRVYDAFNVLIALRVIAKEKKEIKWMGLSNYRYETIKKLEEVRKELNSRIKNKKKLLKEIGKQFDDLQNIKLRNQTLQSSAENANGIQLPFLLVKTSRKASVEIEISEDSKFARFEVTGAPFTLHDDLSILEGMRHNSIGRADLGTLN* >Brasy1G327500.1.p pacid=40056012 transcript=Brasy1G327500.1 locus=Brasy1G327500 ID=Brasy1G327500.1.v1.1 annot-version=v1.1 MPLMLGVTPAPAKAPEKRASVSSLLRFCPVRPRQMLLRRPVTLRSSKQKHFLVSGAEVEEYAAAVNKHMAISPAGFAALAVAPLLLLLLLLPDAAEPRVLLSVDDFGAVGDGIADDTQALLDAWNAACATLDKTVLNVPAGRSYQVWPVTLAGPCREEIKLFISGSIVAPESPDEWERRDGEKWLHFYRVQDLSVSGGGVIDGRGQQWWEQSCKGRRHSNDKHCTPQAAPKAVHFEECHGVRVQGVSVQNSQQQHLTFTRCTNARASFLRVSSPETSPATDGIHLVDSKNVQLADNLISTGGDCVSMVGNCTDVRLRSISCGPGSGISIGTIGETPAADRLEKIEIDTVFMTNTSNGVRIKTWQDGCGYARKVKFQSIAMKNVSNPIVIDQYRTSSHPPVPCGAIPGMSAVAVEKIDYEGIAGTSATRRAVTFACSVAVPCRRVSLRNVNLTLVAGHGHRAPSAYCRAASGKSTGVVVPESCLATLPRLQGDDAADDYESE* >Brasy1G298400.1.p pacid=40056013 transcript=Brasy1G298400.1 locus=Brasy1G298400 ID=Brasy1G298400.1.v1.1 annot-version=v1.1 MEALPVALLLLLLLSSVTLVASDFCVCKTDQAQAALQKTIDYACGAGADCNSIHEQGPCYNPNTVVAHCSWAANSYFQKNRAVGATCDFTGTAVLTTSDPSSSGCSYPASASAAGTSTSPTGTGTGFGTGTGTGGTTGTFTPVAGTGTGMGTGTTTGSTGTGLGGLSPMGTSSMDTAAADMHPRAAGPAALLAVLLSFLAFA* >Brasy1G577200.1.p pacid=40056014 transcript=Brasy1G577200.1 locus=Brasy1G577200 ID=Brasy1G577200.1.v1.1 annot-version=v1.1 MAEAVILLAVKKIGIALGNEALIQASTLFKIFITQLTELRDSMGRISTELTLMHKFLCRMDVRNRNNQAYELWVQHLRKLVHGIEDIVDEYLYLVGHNNDTGWGTYLKKGFKRPNILLSLNNNIASLVKKAETDLEHLLRAKDRWVSSDSSYIVETSQHLASISRNLGDEDLVGVDKNIEKLEQWLSGDDLERSMIALQGIGGLGKTALAANVYKKEREKFECHAWVSVSQTYSIKDVLKCLITEFYKGKSSTPGDMDRMDTSDLQDELKILLENKKYLIVLDDVWAPEVVNDLFGALGQNQKRSRVLVTTRIDGVAHLATEEIRLEALPEKDSWKLFCKMVFSRETNHECPIELTKLAHEIVSKCQGIPLAIVTVGRLLFLRDKTREEFKRIHDQLEWELVNNPRMEHVRNILYLSFIYLPTDLKSCFLYCSLFPEHYLLQRKKLVRLWVAEGFIEERGESTLEEVAEGHLAELVRRNMLQLVERNSFGRVKKFRMHDILRELAVDLCHRHCFGVAYEEGKYRGSLQEDGRRLVVHTLKKDFQVSFSSIHRLRTFITLDDSSMPSLNLPLLCEQSRYMTVLELSGLPIEQIPDAIGDLFSLRYLGLRGSKVKVLPKSIEKLSNLLTLDLYASSIQELPQGVVKLKKLRHLFVEKVDDPSGRDFQFHSSVRIPNGLGNLINLQTLQALEARDESIGQLGELRQLRSLRILNVKGFYCERLSQSLVQMRSLSNLFVSASDENEVLLLSGLPPNLQKLCLRGRLAEGSLDESSLFEAGAEQNLFSLGLNWSQLRALGRYPPLRVTNVS* >Brasy1G412800.1.p pacid=40056015 transcript=Brasy1G412800.1 locus=Brasy1G412800 ID=Brasy1G412800.1.v1.1 annot-version=v1.1 MALIRMLMLLALFAFLLRASAAQWTPATATFYGGADASGTMGGACGYGNLYGAGYGTQTTALSTALFNNGASCGACFTIACDARRSRWCKPGAPPITVTATNFCPPNYALPSDNGGWCNPPRRHFDMSQPAWERIGVYRGGIVPVNYRRVRCGGRSGGVRFTVNGHSYFELVLVTNVGGSGVVAQMWVKGSGSTGWMAMARNWGANWQSNAYLNGKSLSFRLRSDDGRVVTAENVAPAGWWFGGTYTSGAQFY* >Brasy1G419700.1.p pacid=40056016 transcript=Brasy1G419700.1 locus=Brasy1G419700 ID=Brasy1G419700.1.v1.1 annot-version=v1.1 MGGRTVESDEEDCSVESYEEDRHPRRRDGDEDMEDRDDSDDDEEEGQDEYERDGFIVDDADEEGEEEEERVSDGERRKKKRKKKSEPAKLEEDEIRMLEENNGCIKHGNKLKRLRKAGSESEMDGPSGFSGVDRAGKKATTEEKLEYSLFGDQDQPLEDVLEEDQQSEDDEVGEDDTDDEMAGFIVDDDEEIGGNGQVVRSKKLKRKLVRQAVGISSSALQEAHAIFGDVDELLAQRKQDLQSDPANSGELSGNMLEDEFEPAILAAKYMTPKDDQIRATDVPERIQLSEELTDDPRRDNTRIEEESVWIHSQLIGDGFLSFFGNERVNREIDQEDIVNVLTMLHVNKFEIQFIATYRKESCPSLLKDHDANEHENEDGTRTIRWHKLLWAVQTLDRNWLLLQKRKNALKAYYEKRFDDEKQRIDDGLSNRQLCYSIIELLKDAKSEKEVDDVDAKFNLHFPSGEVGDFKRPKRKTLYSICRKAGLWEVANQFGRSAEQLGHHLTLTRIPEAGELDGMKGSPEEVAANFTSAIFETPQDVLRGARHMAAVEISCEPVVRKHIRSIFMNKAVVSTSPTPEGNLIKDSFHQLSSVKWLRDKPLNKFLDAQWLLIQKAEEEKLLKVTVRLPEDVEKELMSEARENYLSDCVSKSAQMWDEQRKMILDNAFFSLLLPSMEKEARSMLTANAKNWLRMEYGKQFWNKISVAPWKKKDADTQLDQESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGHVSSRSQGAAEQQRKKDDQQRVLKFMTEHQPHLVCLGASNLNCKQLKDDIYEVIFRIIEDHPRDVNQQMDNFSIFYCDEFVPRLYENSRVSSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDDKYEIIEQAMMDATSQIGFDVNLAASHEWHFSTLQFVPGLGPRKASALQRELVRQGSILSRKELVNPLGRKVFMNASGFLRVRRSGAVAATSDVIDLLEDTRIHPESYALAKNLAKDVYAEDASSREANDGMDMDDDDQQEMAIEHVRERPQVLKALDIDEWMRSIPEEFNKRETLLDIKRELLCGFSDWRTPYAEPTPDEEFWMLCGETEDSISDQRIVQVTVRNIQENRIICTFDSGLKAIVTEDNYPDGAESLQLKEGDVLTGKIKNVNKNRFLVYLTCKASDMRTKPFSPSNQDPYYHEQPDMMTSKNEQDKAHKQKQIVKKYFKPRMIVHPNFQNLTAEEAMQLLSEKEPGDKVIRPSSRGPSFLTLTLKFSDGVYAHKEIIESGKDHKDMTSFLGKTLTIDGETFEDLDEVIDRYVDPLVYHLKSMLSHRKFRKGLKSEVDDMARAEKAENPRRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLNPRGFRFRKRDFDSIDRLVSYFQKHIDRPPPDAGPSARNVAAMVPMKNSA* >Brasy1G419700.2.p pacid=40056017 transcript=Brasy1G419700.2 locus=Brasy1G419700 ID=Brasy1G419700.2.v1.1 annot-version=v1.1 MGGRTVESDEEDCSVESYEEDRHPRRRDGDEDMEDRDDSDDDEEEGQDEYERDGFIVDDADEEGEEEEERVSDGERRKKKRKKKSEPAKLEEDEIRMLEENNGCIKHGNKLKRLRKAGSESEMDGPSGFSGVDRAGKKATTEEKLEYSLFGDQDQPLEDVLEEDQQSEDDEVGEDDTDDEMAGFIVDDDEEIGGNGQVVRSKKLKRKLVRQAVGISSSALQEAHAIFGDVDELLAQRKQDLQSDPANSGELSGNMLEDEFEPAILAAKYMTPKDDQIRATDVPERIQLSEELTDDPRRDNTRIEEESVWIHSQLIGDGFLSFFGNERVNREIDQEDIVNVLTMLHVNKFEFIATYRKESCPSLLKDHDANEHENEDGTRTIRWHKLLWAVQTLDRNWLLLQKRKNALKAYYEKRFDDEKQRIDDGLSNRQLCYSIIELLKDAKSEKEVDDVDAKFNLHFPSGEVGDFKRPKRKTLYSICRKAGLWEVANQFGRSAEQLGHHLTLTRIPEAGELDGMKGSPEEVAANFTSAIFETPQDVLRGARHMAAVEISCEPVVRKHIRSIFMNKAVVSTSPTPEGNLIKDSFHQLSSVKWLRDKPLNKFLDAQWLLIQKAEEEKLLKVTVRLPEDVEKELMSEARENYLSDCVSKSAQMWDEQRKMILDNAFFSLLLPSMEKEARSMLTANAKNWLRMEYGKQFWNKISVAPWKKKDADTQLDQESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGHVSSRSQGAAEQQRKKDDQQRVLKFMTEHQPHLVCLGASNLNCKQLKDDIYEVIFRIIEDHPRDVNQQMDNFSIFYCDEFVPRLYENSRVSSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDDKYEIIEQAMMDATSQIGFDVNLAASHEWHFSTLQFVPGLGPRKASALQRELVRQGSILSRKELVNPLGRKVFMNASGFLRVRRSGAVAATSDVIDLLEDTRIHPESYALAKNLAKDVYAEDASSREANDGMDMDDDDQQEMAIEHVRERPQVLKALDIDEWMRSIPEEFNKRETLLDIKRELLCGFSDWRTPYAEPTPDEEFWMLCGETEDSISDQRIVQVTVRNIQENRIICTFDSGLKAIVTEDNYPDGAESLQLKEGDVLTGKIKNVNKNRFLVYLTCKASDMRTKPFSPSNQDPYYHEQPDMMTSKNEQDKAHKQKQIVKKYFKPRMIVHPNFQNLTAEEAMQLLSEKEPGDKVIRPSSRGPSFLTLTLKFSDGVYAHKEIIESGKDHKDMTSFLGKTLTIDGETFEDLDEVIDRYVDPLVYHLKSMLSHRKFRKGLKSEVDDMARAEKAENPRRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLNPRGFRFRKRDFDSIDRLVSYFQKHIDRPPPDAGPSARNVAAMVPMKNSA* >Brasy1G374300.1.p pacid=40056018 transcript=Brasy1G374300.1 locus=Brasy1G374300 ID=Brasy1G374300.1.v1.1 annot-version=v1.1 MSSERPLLDATTPPTPAAASRLPPTQPEPPVRADHLGFSVEVPDPFRRSRRDNRDQPPDLSASRRELQEGGECESRAVVVGEPSAELAGNAIRTAKYSALTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRRENNRLAAVLAPQTAAEFPPKKWKHIRVGDVVRVASSETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQLRFSHNGGVGGILHCERPNRNIYGFQAYLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNNSGPPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHRGELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMEMVRLGQAYFMGADKDLYDQSSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIHGVDYSSGKDTCGYSVVVDDLLWTPKVAVRTDPQLFKLLRNGGRNVEGKLVLDFFLALAVCNTIVPLVEDTRDPRQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDNTFKLYAKGADSSMFGITNKELDNVRATEAHLHKYSSLGLRTLVVGMHELSQPEYEEWQSAYENASTAVLGRGNLLRSVAVNIECNIHILGATGIEDKLQDGVPEAIESLRQAGMKVWILTGDKQETAISIGYSCKLLTNDMTQIVINKNSKESCKKSLEEAIATIKELKVTSTFDTLNPVLSSESAGVVLALIVDGNSLVYILETELQEELFKVATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGGQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYKNATFVLVLFWYVLYTAFTLTTAISEWSSLLYTVLYTSLPTIVVGILDQDLSKSTLLAYPKLYGSGQRNEKYNLNLFVLNMVEALWQSLVVIYIPYFAYRQSTIDMSSLGDLWALASVIIVNMQLAMDIIRWNWIIHAFVWGTIAATAICLFLIDSIWFLPGYGAIFHLMGTGLFWLLLLMVVAAAMVPHFVIKAFAEHFRPSDIQIAREMEKFEALNQVNHSEIPMRTLS* >Brasy1G374300.2.p pacid=40056019 transcript=Brasy1G374300.2 locus=Brasy1G374300 ID=Brasy1G374300.2.v1.1 annot-version=v1.1 MSSERPLLDATTPPTPAAASRLPPTQPEPPVRADHLGFSVEVPDPFRRSRRDNRDQPPDLSASRRELQEGGECESRAVVVGEPSAELAGNAIRTAKYSALTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRRENNRLAAVLAPQTAAEFPPKKWKHIRVGDVVRVASSETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQLRFSHNGGVGGILHCERPNRNIYGFQAYLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNNSGPPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHRGELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMEMVRLGQAYFMGADKDLYDQSSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIHGVDYSSGKDTCGYSVVVDDLLWTPKVAVRTDPQLFKLLRNGGRNVEGKLVLDFFLALAVCNTIVPLVEDTRDPRQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDNTFKLYAKGADSSMFGITNKELDNVRATEAHLHKYSSLGLRTLVVGMHELSQPEYEEWQSAYENASTAVLGRGNLLRSVAVNIECNIHILGATGIEDKLQDGVPEAIESLRQAGMKVWILTGDKQETAISIGYSCKLLTNDMTQIVINKNSKESCKKSLEEAIATIKELKVTSTFDTLNPVLSSESAGVVLALIVDGNSLVYILETELQEELFKVATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGGQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYKNATFVLVLFWILVSQHC* >Brasy1G004600.1.p pacid=40056020 transcript=Brasy1G004600.1 locus=Brasy1G004600 ID=Brasy1G004600.1.v1.1 annot-version=v1.1 MAGRKGGGERKKAVSRSVKAGLAFPVGRIGRYLKHGRYAKRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKRKSIIPRHLLLAIRNDEELRKLLAGVTIAHGGVLPNIQSVLLPKRAAEKAAVEKDSQSPKKKKAVAKKPAAAGKPAAAEKAADGEADADADAAAEE* >Brasy1G485100.1.p pacid=40056021 transcript=Brasy1G485100.1 locus=Brasy1G485100 ID=Brasy1G485100.1.v1.1 annot-version=v1.1 MTKNKRKHVTMHSYFGKRVRAVASEQNNSVVGPELVDEQAVSCDEQEQEPVEEIPTPSAPAAAPPPPEVQRGRGNDDSAVLVVEREFFILPSVSFFGKILNIKCGLL* >Brasy1G301300.1.p pacid=40056022 transcript=Brasy1G301300.1 locus=Brasy1G301300 ID=Brasy1G301300.1.v1.1 annot-version=v1.1 MMMFGAPLSPEEPRLTSPPSLHQPSSTILVAIDRDRNSQLAMKWVVDHLLNSAAHIVLLHVAAHHPAANHGFAMAEPTPGALEAEMKEIFVPFRGFCDKNGVEQSEVILEEADVSKAILEYITANKIQSIALGASNRNAFTKKWKNPDVPSSLMKGAPDYCNIYVVAKGKPVNVRLAKCGVPTDDSDFALGPTYSRRSSRNQLPPPMPESLSCRRSIDRNIPELTTRPPFRERSLPASVTKPLLLCGRVDSTDGTYRSTRRSASHDSVDVDPDFAQAVHFSSMDFGENLDALSLSPRESCSPLSGAQREVEGEMRRLRLELKQTMDMYNAACKEAITAKQRAREMHLLKMEEARLLEESRQAQEAALHQAEMEKLKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRCRKYTIDEIELATERFDERLKIGEGGYGPVYSASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLFRRGGTPPMPWSQRFRISAEIATALLFLHQTRPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPVVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVITARPPMGLTHHVEKAIESDTFAQMLDVAVKDWPVEDALGFAKLALKCTEMRRRDRPDLGTVILPELNRLRNLGIAYDQVRAAAAAANVGDSGTHAQEIISSHTVDGAWRTAQS* >Brasy1G435800.1.p pacid=40056023 transcript=Brasy1G435800.1 locus=Brasy1G435800 ID=Brasy1G435800.1.v1.1 annot-version=v1.1 MCHRKAAQPRKRTKSPSPRARTSKRVSMQQQQWQQQPPLPPPAAAPLPLPPRMRPPRDRDVAAADAVVARPPDQQRQRLGQEPRPWREAEGPPVREAPVAAAARTRPPEPRKNHLAAKGPPAPEAPVAAARTRPSEPRKNHLAAAEGPPAREPLVAALSRPPDQPHGAPEGRTAHESPVARPPEDRAAREPPVARPPEPRPGPYYAPVGHAGPAATTYAPQPEPPREPWPYPYYYNEPEPQRRRRRTSALASCLAAAAFLLLAGGGAATALFLLFRPHPPDIAVAAVRLPSFATANGTVAFTFEQLATVRNPNRAPLAHYDSSLRVAYAGGELGSVYIPAGLIDGGGSKRMSASFAVQAFPAAMPPPLEMAAQQQQQPAAAAVVMEVDSLLVVKGRVTMLRVLTHRVQASKVCRVGVSPVDGRVLGFRC* >Brasy1G034800.1.p pacid=40056024 transcript=Brasy1G034800.1 locus=Brasy1G034800 ID=Brasy1G034800.1.v1.1 annot-version=v1.1 MAEIASSIIVGETDSQVFSDITTTAKKDEDSQIKKQRERLEMARIKMEDALEISGKWQITDVSLLHWRKKLKRATRDCDDAARRCRELSHEEDEREQLVRQFSFPRRIAHATKAFVSSLVGGNNNDDHCSATSSVAAAVRRFERFADSADEFMRFVQLGGTPRRPHLFFDPIIGHIFAGDSLLYQVSHTDGRYNFCIWPADSEERGMEAMLSFVYEDRKVPMNNFRLDFMLRLSESTNIIGTLVKCLRLVTPHFKSTADVVIKKITQLPTQDFSYVRPALANAHMDHWNPGLLDHVYNTLTGWFRPDPLCCQGKEHQGNVPFCGGSDIVGSSSDSGNKLRLSSIFPEAVYQLFLQRHISPFEYNNMVQASSTTTSTGYDDMSASLENFPPLKLGILFLPHDSLEKDSKSVGEAGYAIEVIDGEKQQHHTHVNVHPNQLDEMFLPKAINYLYHNVEATTYQICWRSNHGSAHLCMGKTKMVRVGRPTQGRNNHKVFRRMQQNEQMRKSRWKQVVRDFLKLWVVRSSDRLYTLISAWLVEDKANG* >Brasy1G405700.1.p pacid=40056025 transcript=Brasy1G405700.1 locus=Brasy1G405700 ID=Brasy1G405700.1.v1.1 annot-version=v1.1 MASTKLAALAVLVILLHASAHCAGARPSRKVDGGGGGGTAAVMTVNGFEPGESGGGPAECDGKYHSDKDLLVALSSRWYAGGRRCQRAIRITSARTGRSVEARIVDECDSRRGRCKGNIVDTSPAVWKALGLDTNAGEVPVTWSDA* >Brasy1G225700.1.p pacid=40056026 transcript=Brasy1G225700.1 locus=Brasy1G225700 ID=Brasy1G225700.1.v1.1 annot-version=v1.1 MAAPNNNDAASASASTSEGAAPAAPEDTSIEALARRVQEHMTLANNPSARRHKFWETQPVGQFRDAADVSLPDGAIEPPTPLSEVRADPYPLPAAFEWFTCDLDDDALLADLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRATESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAPVTRLLRAYLARYVVAPDFDELDVEHWLLPQEDVVDSYLVESPETHEITDFCSFYTLPSSVLNNPNYSTLKAAYSYYNVAVKTPLQQLMNDALIVAKQKNYDVFNALDVMENEGFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL* >Brasy1G444800.1.p pacid=40056027 transcript=Brasy1G444800.1 locus=Brasy1G444800 ID=Brasy1G444800.1.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.8.p pacid=40056028 transcript=Brasy1G444800.8 locus=Brasy1G444800 ID=Brasy1G444800.8.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.11.p pacid=40056029 transcript=Brasy1G444800.11 locus=Brasy1G444800 ID=Brasy1G444800.11.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.9.p pacid=40056030 transcript=Brasy1G444800.9 locus=Brasy1G444800 ID=Brasy1G444800.9.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.15.p pacid=40056031 transcript=Brasy1G444800.15 locus=Brasy1G444800 ID=Brasy1G444800.15.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.2.p pacid=40056032 transcript=Brasy1G444800.2 locus=Brasy1G444800 ID=Brasy1G444800.2.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.12.p pacid=40056033 transcript=Brasy1G444800.12 locus=Brasy1G444800 ID=Brasy1G444800.12.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.3.p pacid=40056034 transcript=Brasy1G444800.3 locus=Brasy1G444800 ID=Brasy1G444800.3.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.4.p pacid=40056035 transcript=Brasy1G444800.4 locus=Brasy1G444800 ID=Brasy1G444800.4.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.13.p pacid=40056036 transcript=Brasy1G444800.13 locus=Brasy1G444800 ID=Brasy1G444800.13.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.10.p pacid=40056037 transcript=Brasy1G444800.10 locus=Brasy1G444800 ID=Brasy1G444800.10.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEYISVFGHSHFPPALSSSLLE* >Brasy1G444800.5.p pacid=40056038 transcript=Brasy1G444800.5 locus=Brasy1G444800 ID=Brasy1G444800.5.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.6.p pacid=40056039 transcript=Brasy1G444800.6 locus=Brasy1G444800 ID=Brasy1G444800.6.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.14.p pacid=40056040 transcript=Brasy1G444800.14 locus=Brasy1G444800 ID=Brasy1G444800.14.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G444800.7.p pacid=40056041 transcript=Brasy1G444800.7 locus=Brasy1G444800 ID=Brasy1G444800.7.v1.1 annot-version=v1.1 MFTRNVLAASTTINSTAMNSKNFYAMKHWFDRTSYLEAVVYVTNVEDHQKLSKGVESVEMQEELCVTFHNNSQPFQRQMKTEKTCHICGSSTWKIE* >Brasy1G038200.1.p pacid=40056042 transcript=Brasy1G038200.1 locus=Brasy1G038200 ID=Brasy1G038200.1.v1.1 annot-version=v1.1 MAKQASVASMLGAALVLIVAVLAAFPAECGADRRHREAKPHGHKKAVHSIGVCNGVLGSNLPTPSDVVQLYKSKGIASMRIYAPETGILRALTGTGIGLVMDVPNENLTAMASAPAFAAAWVKANVQPYSPGVSFRYIAVGNEVVDTDAQKNILPAMKNLAGALAAAGTGMIKVSTALRFDAITDTFPPSNGVFSDPSFMGPITAYLASTGAPLLVNVYPYFAYVDNPRDIQLGYATFQPGTTVRDDGNGLTYTNLFDAMVDSIYAALEDAGTPGVGVVVSESGWPSAGGFAATAENARRYNQGLIGHVGGGTPRRAGPLETYVFAMFNENQKTGLETEKHFGLFNPDKSPAYSISF* >Brasy1G038200.2.p pacid=40056043 transcript=Brasy1G038200.2 locus=Brasy1G038200 ID=Brasy1G038200.2.v1.1 annot-version=v1.1 MAKQASVASMLGAALVLIVAVLAAFPAAVHSIGVCNGVLGSNLPTPSDVVQLYKSKGIASMRIYAPETGILRALTGTGIGLVMDVPNENLTAMASAPAFAAAWVKANVQPYSPGVSFRYIAVGNEVVDTDAQKNILPAMKNLAGALAAAGTGMIKVSTALRFDAITDTFPPSNGVFSDPSFMGPITAYLASTGAPLLVNVYPYFAYVDNPRDIQLGYATFQPGTTVRDDGNGLTYTNLFDAMVDSIYAALEDAGTPGVGVVVSESGWPSAGGFAATAENARRYNQGLIGHVGGGTPRRAGPLETYVFAMFNENQKTGLETEKHFGLFNPDKSPAYSISF* >Brasy1G180800.1.p pacid=40056044 transcript=Brasy1G180800.1 locus=Brasy1G180800 ID=Brasy1G180800.1.v1.1 annot-version=v1.1 MAEWACGPLVGRIVFVHTHLYDQQEQETMQHLLINYPLPQLVWYHVLIQDQPHKPDCLLCDQEQKTMQHLLINYPFSQLVWYDVLTWIRETCQPPAPGDIITEWWHAACVELLSRCTKGWSLDSMTMLTRWMIWKQHNKCTFDHASPSIPSESRRKQDYGRRLEL* >Brasy1G574200.1.p pacid=40056045 transcript=Brasy1G574200.1 locus=Brasy1G574200 ID=Brasy1G574200.1.v1.1 annot-version=v1.1 MMLLRFIDQSSWKQKTELAATEEWCYCRNAMPDKFLNCIVHNMSEMHIQFTLADRKSPGNCHNENPIRPITFIRTCKVEGNGEMVSIFVTTILWNAGHQACLNLKSCRVLLEHGHGQTGTPEHGRIRRGVMTPAKFFM* >Brasy1G329700.1.p pacid=40056046 transcript=Brasy1G329700.1 locus=Brasy1G329700 ID=Brasy1G329700.1.v1.1 annot-version=v1.1 MDLPLASKAFPSPASNSKAGKSAADGPKRLSKPADLNPNANDLRFQSVLYSVLYLQNSLAVGRVHHNNNQLGFLFFSRPAIHRPMAMAAAGSPSKTLIPAHRASAAPASTSCDSLRLLHRAPRGSGRRTLRGVASSSPAPARRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEERATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKHVMLQLLREQFPGANDFGSEVIPGATSTGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKQLLAEKGGIPIGIGKNSHIKRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI* >Brasy1G428800.1.p pacid=40056047 transcript=Brasy1G428800.1 locus=Brasy1G428800 ID=Brasy1G428800.1.v1.1 annot-version=v1.1 MASLATIVMVVDDEDDYLLDLPTEPEDEESESEEDLEFEEGDEELEEEESEEEDLEFQEEEEGQEGRDREDEDPMAAALIKEAESDGRGCPICLLDDDDDDRHMGAWKEMACGHRFHGRCVETWLRTKGIAAPCAGDSS* >Brasy1G453900.1.p pacid=40056048 transcript=Brasy1G453900.1 locus=Brasy1G453900 ID=Brasy1G453900.1.v1.1 annot-version=v1.1 MASLVQHVAGLACPPLSGASRRPGAPMRPSALVCGTYVLSKDEKERERMRQLFDEASERCRTAPMEGITFSPEDLESAVETTDIDTDIGSLIKGTVFMTTSNGAFVDIQSKATAFLPIDEACLLDIDHIEEAGIRPGLVEQFMIIDENPGDETLILSLQSIQQDLAWERCRQLQAEDVVITGKVIGGNKGGVVALVEGLKAFVPFSQVSSKTTADELLDKEIPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLSLSSEGILGPLSSDTQDLNSGEGQSADE* >Brasy1G453900.2.p pacid=40056049 transcript=Brasy1G453900.2 locus=Brasy1G453900 ID=Brasy1G453900.2.v1.1 annot-version=v1.1 MASLVQHVAGLACPPLSGASRRPGAPMRPSALVCGTYVLSKDEKERERMRQLFDEASERCRTAPMEGITFSPEDLESAVETTDIDTDIGSLIKGTVFMTTSNGAFVDIQSKATAFLPIDEACLLDIDHIEEAGIRPGLVEQFMIIDENPGDETLILSLQSIQQDLAWERCRQLQAEDVVITGKVIGGNKGGVVALVEGLKAFVPFSQVSSKTTADELLDKEIPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLSLSSEGILGPLSSDTQDLNSGEGQSADE* >Brasy1G384400.1.p pacid=40056050 transcript=Brasy1G384400.1 locus=Brasy1G384400 ID=Brasy1G384400.1.v1.1 annot-version=v1.1 MGAPMVAPMLLLPAPDGDGDHQQQQQMSPASAGAAPSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIVAHNQGNAKFNFLQPTDPYHAYYQHRISELAAQPPTTDASAAPESEDGQQLPSDSAAPADGADAKPDHSAPFRIPPPTKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESNNPQFNFIRPTHSMFTFFTMLTDAYSRVLRPEEGVPALIRELQEGSKDLTTVLERCLNRLEWDRSQEHAKQQAEDEIELERMQMSMIDWHDFVVVETIEFADDEFEGLPVPPTLEELKRRKRMETLGEEEPMELAEPAKDVEMEMDEEEMQLVEEGMKAARLEENEGGAQVKVAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQAMSMGGEEQVDASNVPGPAPLPRAGMPLPRPPQPLSLVNVPRFMPNQMPYHVQPPVHHMQGVPHMMSNMHPPPPPPGQQQMIRMPGPMVHMPNNIPPPPGHNTQFMAGPPRFPMPPPAQMQTMPTMVSSMGIPQPPPPLPPQPPAEEQPPLPDEPEPKRQRTDDASLIPAEQFLAQHPGPARVSVSVPNLDEGNLRGQVLEINIQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLALRERGGRKK* >Brasy1G384400.2.p pacid=40056051 transcript=Brasy1G384400.2 locus=Brasy1G384400 ID=Brasy1G384400.2.v1.1 annot-version=v1.1 MGAPMVAPMLLLPAPDGDGDHQQQQQMSPASAGAAPSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIVAHNQGNAKFNFLQPTDPYHAYYQHRISELAAQPPTTDASAAPESEDGQQLPSDSAAPADGADAKPDHSAPFRIPPPTKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESNNPQFNFIRPTHSMFTFFTMLTDAYSRVLRPEEGVPALIRELQEGSKDLTTVLERCLNRLEWDRSQEHAKQQAEDEIELERMQMSMIDWHDFVVVETIEFADDEFEGLPVPPTLEELKRRKRMETLGEEEPMELAEPAKDVEMEMDEEEMQLVEEGMKAARLEENEGGAQVKVAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQAMSMGGEEQVDASNVPGPAPLPRAGMPLPRPPQPLSLVNVPRFMPNQMPYHVQPPVHHMQGVPHMMSNMHPPPPPPGQQQMIRMPGPMVHMPNNIPPPPGHNTQFMAGPPRFPMPPPAQMQTMPTMVSSMGIPQPPPPLPPQPPAEEQPPLPDEPEPKRQRTDDASLIPAEQFLAQHPGPARVSVSVPNLDEGNLRGQVLEINIQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLALRERGGRKK* >Brasy1G384400.3.p pacid=40056052 transcript=Brasy1G384400.3 locus=Brasy1G384400 ID=Brasy1G384400.3.v1.1 annot-version=v1.1 MGAPMVAPMLLLPAPDGDGDHQQQQQMSPASAGAAPSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIVAHNQGNAKFNFLQPTDPYHAYYQHRISELAAQPPTTDASAAPESEDGQQLPSDSAAPADGADAKPDHSAPFRIPPPTKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESNNPQFNFIRPTHSMFTFFTMLTDAYSRVLRPEEGVPALIRELQEGSKDLTTVLERCLNRLEWDRSQEHAKQQAEDEIELERMQMSMIDWHDFVVVETIEFADDEFEGLPVPPTLEELKRRKRMETLGEEEPMELAEPAKDVEMEMDEEEMQLVEEGMKAARLEENEGGAQVKVAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQAMSMGGEEQVDASNVPGPAPLPRAGMPLPRPPQPLSLVNVPRFMPNQMPYHVQPPVHHMQGVPHMMSNMHPPPPPPGQQQMIRMPGPMVHMPNNIPPPPGHNTQFMAGPPRFPMPPPAQMQTMPTMVSSMGIPQPPPPLPPQPPAEEQPPLPDEPEPKRQRTDDASLIPAEQFLAQHPGPARVSVSVPNLDEGNLRGQVLEINIQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLALRERGGRKK* >Brasy1G571700.1.p pacid=40056053 transcript=Brasy1G571700.1 locus=Brasy1G571700 ID=Brasy1G571700.1.v1.1 annot-version=v1.1 MHIYVKNPTGRTICLKVHSSDTLYTVKAKIYEQHCLVFDGVKLDQDNLTLADYDIEHGSTLDLQEKMQIYVMETLAGRTITLEVDSLDTIDKVKSRIHDKEGFPKGQQCLIFGNKQLGDGELTLADHNIRKESTILLVLQPSPPRGMTMRIFVHTGQEKITLNVESSDTVDDIKMKIYEKDFNRPIQQILIYDGRRMLDDRTLADYNIEEDDMIDLFPCLCGC* >Brasy1G504900.1.p pacid=40056054 transcript=Brasy1G504900.1 locus=Brasy1G504900 ID=Brasy1G504900.1.v1.1 annot-version=v1.1 MFDVDVVIDLVMVHSQKLLLPPRSLSFDHRVATRIKDINERLDEIKTNTEMFSLSSSPIDELEVVGKEIVQAVDDMVQMIVSNSHENRPSVYGIQGMGGIGKTTLAQKIYNDQRIRGKFQVHIWLCISQSYTETGLLKQAIRMAGERGKSVFLVLDDVWKSDVWIDLLQLPFQRALNAHVLVTTRNLDILAEMHVTYTHQVNKMNDHDGLEMLMKKSLQQYDQIIEFNSVGYKIVKKCDGLPLAIKVVAGVLSSKRTRAEWESVQDSKWSIHGLPKELGGPLYLSYGNLPPQLKQCFLWCALLPPNFGLRLDSVAYWWVAEGFVRNEHEYSVHEIAEQYYHELIRRNLLQPKPEYVDNCVSTMHDLLRSLGQYLTKDHSLSINVENSKALPKISDKVSDVVEEIPAVEEHKCLRSLLIFNNMNFKSVQKDIFRKLQHIRVLVLSGTSIRDVPESVGSLVLLRLLDLSFTGINKLPKSTGSLISLEYLSLLGCGYLGSLPAGLMRLPNISFLQLDQTAINHIPKGIAQFQQLYNLRGVFESETGFRLAELRRLPNIQLNLRELGLRCTMGMSTHNRIRYEANNVERIQQVYDMLIPSPSLVYIFLVGFLGTMFPEWLRSEPEVSMPNLCHMHLDECISCSELPPAGQMPELLVLQIKGADVVETIGTELLGKGVQSAMAFFPKLELLHIIGMCKLESWSLNTRLLLLDCPKLRALPQELYKVANLKRIHIEGAHRLQQVVDLPAVVWLKVKNNACRTWLHRTAQHWPRQRTYAR* >Brasy1G171300.1.p pacid=40056055 transcript=Brasy1G171300.1 locus=Brasy1G171300 ID=Brasy1G171300.1.v1.1 annot-version=v1.1 MARCLVFMVFQIYCLISTVGLPLEPPAELLQLGGDVGGGRLSVDPADIQEASRDFGGFTRGEPLAVYHPRGTDDVASLVRAAYESASGIRVSARGHGHSISGQAQVPGGVVVDMSHGWRADVHGRRNSQARALPVYSAALGGHYVDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDIVTGKGEAVTCSEAKNPDLFFGALGGLGQLGIITRARIALEPAPRRVRWIRALYSNFTEFTADQEKLISQHGGRRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHTGVLYCLEVTKNYDDSTAGNVDQEVDALLGELSFMPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWEVPHPWLNLFVPASRIADFDRAVFRGILGSRTSGGPILIYPMNKHKWDPRSSVVTPDEDVFYLVAFLRSAVPGADDPSKSLQALAQQNRQILDFCAEAGIEARRYLANHKAQPEWEAHFGAKRWARFARLKAEFDPRAMLATGQGIFTPSGSPPPLLSDS* >Brasy1G067900.1.p pacid=40056056 transcript=Brasy1G067900.1 locus=Brasy1G067900 ID=Brasy1G067900.1.v1.1 annot-version=v1.1 MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDFFIQVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRVDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWGMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGVEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPIIDQSVAEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS* >Brasy1G067900.2.p pacid=40056057 transcript=Brasy1G067900.2 locus=Brasy1G067900 ID=Brasy1G067900.2.v1.1 annot-version=v1.1 MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDFFIQVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRVDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWGMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGVEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPIIDQSTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS* >Brasy1G111800.1.p pacid=40056058 transcript=Brasy1G111800.1 locus=Brasy1G111800 ID=Brasy1G111800.1.v1.1 annot-version=v1.1 MDPSSYYSSHQHHQAAAAAPWRRPWSKAEDKAFENALVLCPEHTPGRWERVAAHVPGRTPREAWEHYQALVADVDLIERGAVDVPACWNHDDDGEDDGTAARRAGKARGEERRRGIPWSEEEHKLFLDGLEKYGRGDWRNISRFAVRTRTPTQVASHAQKYFIRQANAATRDSKRKSIHDITTP* >Brasy1G022300.1.p pacid=40056059 transcript=Brasy1G022300.1 locus=Brasy1G022300 ID=Brasy1G022300.1.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDSTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTEEDDVDQELLTEAEATWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC* >Brasy1G022300.2.p pacid=40056060 transcript=Brasy1G022300.2 locus=Brasy1G022300 ID=Brasy1G022300.2.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDSTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTEEDDVDQELLTEAEATWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC* >Brasy1G022300.5.p pacid=40056061 transcript=Brasy1G022300.5 locus=Brasy1G022300 ID=Brasy1G022300.5.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDCFSKLAILIFTDPSYNSLHGTLRKSASERQLLLLETSTHFILPSFQIHLAMAFSYTRKIKIGWQVVSMLIMI* >Brasy1G022300.3.p pacid=40056062 transcript=Brasy1G022300.3 locus=Brasy1G022300 ID=Brasy1G022300.3.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDCFSKLAILIFTDPSYNSLHGTLRKSASERQLLLLETSTHFILPSFQIHLAMAFSYTRKIKIGWQVVSMLIMI* >Brasy1G022300.6.p pacid=40056063 transcript=Brasy1G022300.6 locus=Brasy1G022300 ID=Brasy1G022300.6.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGTDVSTATGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDSTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTVNCYWG* >Brasy1G022300.4.p pacid=40056064 transcript=Brasy1G022300.4 locus=Brasy1G022300 ID=Brasy1G022300.4.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDCFSKLAILIFTDPSYNSLHGTLRKSASERQLLLLETSTHFILPSFQIHLAMAFSYTRKIKIGWQVVSMLIMI* >Brasy1G022300.7.p pacid=40056065 transcript=Brasy1G022300.7 locus=Brasy1G022300 ID=Brasy1G022300.7.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDCFSKLAILIFTDPSYNSLHGTLRKSASERQLLLLETSTHFILPSFQIHLAMAFSYTRKIKIGWQVVSMLIMI* >Brasy1G022300.8.p pacid=40056066 transcript=Brasy1G022300.8 locus=Brasy1G022300 ID=Brasy1G022300.8.v1.1 annot-version=v1.1 MPNIEMPCMYWCPFPLYIFVHNQWKRHRVIHSHFHLQHFQRYGTSIIKHNPTGAKAEKPHSCSSSKPPQGHGAALAAAGMDVDDPAPRGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVAVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMAARRKTLQSSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGVSVVRDLMEIEVSDENAVDGIFTMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFIYMSINLPREHVDVNIHPTKKEVSLLNQEHIIETIKDAVEEKLMNSNTTRIFQTQTVNSSALAQANTQKEKGAKSQKIPVSQMVRTDPLDPSGRLHTYWHGQSSNLEKKSDLVSIRNVVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLWDIVKNCTYVGVADEVFALIQHNTRLYLVNVINVSKELMYQQALCRFGNFNAIQLSEPAPLRELLMMALKDDESTSDENDKEKLDIAAVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFILTLGNDSTWDIEKECFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDRMASGEHADNDLTSTVNCYWG* >Brasy1G508600.1.p pacid=40056067 transcript=Brasy1G508600.1 locus=Brasy1G508600 ID=Brasy1G508600.1.v1.1 annot-version=v1.1 MPISKNTSALCLAALMAMAAILISSCDARKEMDAAADLSGAKVKCWLDYLQDCTTQDCIMFCSKPGTPAPTQAHCVNEETCCCPIP* >Brasy1G464400.1.p pacid=40056068 transcript=Brasy1G464400.1 locus=Brasy1G464400 ID=Brasy1G464400.1.v1.1 annot-version=v1.1 MQRSWCHKSTTHPKSKIVKWNEHNAGSPRRSLNPTQTAAARAERPNTRMESSLCTPSHATATGAHAAPSTASLRRASTSPSLSLLQPGRLRSRAVRAHSRAPEPEPQKAAKAALLPRTTALRVGAGVALALALGGASWAAPPWRGGGAGTALVQPTAVMCTLNAVADGAERGGAGTPAAVPGVRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEHIGDRGKLTSLLQKEWAASRDSERKLDLGLLLTDVLVNQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETATADEIEKMSKNAMDAWKEFKNKYELAKGATESSA* >Brasy1G516800.1.p pacid=40056069 transcript=Brasy1G516800.1 locus=Brasy1G516800 ID=Brasy1G516800.1.v1.1 annot-version=v1.1 MERSWFGWRKAKKRQGSGEKEDQQKVLVDGTEIRELVGDREAFGMFAESKFRELDADGDGRLSVRELQPAVAGIGAALGLPAQGSDPNADHIYSEAMSEVAQGNQEGVSRAEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFLSSPRYEPAAAAIFSQTGSEDASSLRQRLLAAVGQLGVDHGMPPAADPWVVENVVEPALQRLSADQLEQPVASKDIFLEQLKKLLGDVVERLQEWPVIVAHTENTFDGSGARRLLANKFELDKLLDSVWKDLPVEHKKKASKECLRVALDKMADAASLPPHGSVAQVDAIVDEALKMANNAHDDDGKAVDEAEFKKLLTEVLGAVMLRLSGDPVFVSTSTVVHESLSSSSTLLSSPAVPSPPSE* >Brasy1G400400.1.p pacid=40056070 transcript=Brasy1G400400.1 locus=Brasy1G400400 ID=Brasy1G400400.1.v1.1 annot-version=v1.1 MEAPAGDSSGGDRYRSHLAGEGEKNTLWRHGAPPTYDAVNSLFEAERTQEWAKGALEETVQNAIKTWEMELSHKARLGDFKSVSPGPGRFRLSVNGGRALTGEETLAMGSYNALLSGPILPGAGAYDAAHETFESSHELFRSAFPRGFAWEVVKVYSGPPVIAFKFRHWGHMEGPFKGHAPTGDKVEFCGVAVLKVDEQLRAEDVEVYYDPGELLAGLLKGPKEEAEVAALAARLGEAAAVSGSGADGQSPASCPYLGSGKQE* >Brasy1G224700.1.p pacid=40056071 transcript=Brasy1G224700.1 locus=Brasy1G224700 ID=Brasy1G224700.1.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGVGPSVPPVATASPSVSVPLIRSYPPLSRDKPQAQRPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPEPALPHVYPPDSPAFRKPRTLAPVPSHSLPPPPPNSYCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLGIALYTFFTLVSELAQEIASGVLMKQSQVRVMGANAADEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G224700.6.p pacid=40056072 transcript=Brasy1G224700.6 locus=Brasy1G224700 ID=Brasy1G224700.6.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPEPALPHVYPPDSPAFRKPRTLAPVPSHSLPPPPPNSYCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLGIALYTFFTLVSELAQEIASGVLMKQSQVRVMGANAADEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G224700.2.p pacid=40056073 transcript=Brasy1G224700.2 locus=Brasy1G224700 ID=Brasy1G224700.2.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGVGPSVPPVATASPSVSVPLIRSYPPLSRDKPQAQRPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPEPALPHVYPPDSPAFRKPRTLAPVPSHSLPPPPPNSYCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLDEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G224700.3.p pacid=40056074 transcript=Brasy1G224700.3 locus=Brasy1G224700 ID=Brasy1G224700.3.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPEPALPHVYPPDSPAFRKPRTLAPVPSHSLPPPPPNSYCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLDEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G224700.4.p pacid=40056075 transcript=Brasy1G224700.4 locus=Brasy1G224700 ID=Brasy1G224700.4.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGVGPSVPPVATASPSVSVPLIRSYPPLSRDKPQAQRPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPDCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLDEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G224700.5.p pacid=40056076 transcript=Brasy1G224700.5 locus=Brasy1G224700 ID=Brasy1G224700.5.v1.1 annot-version=v1.1 MARETGRRGQGGACCGVVCAVLAAALVVSVLAIRGGQQQSRAPGFSRKVLLSITSDRPDNNLNILHPSQLQAPRFQSLGPAASSATALHPANHGKTNGIPVAAPSKGRHRHSVPVNNTHWVNHGAPVVAPPKGRRHRSLPVNNTYVKGPLISPQKSPSFHRRGHVIPVAAPPKEPSSHLPPANHKHHKGSFPVISPAPYRADNSSATSHGHSGLDHSPAPAPVVLPPSKEKQGNPAYAPHQPHQPHQYHSPSYSPDCTPKYCQDPLTNSPPGTTCLCVLPIKVELRLDEDPEKTIVLIDLVPLGGRFDYTTTLSVFERFWHKQVIINPTNFGNYDVLYVHYPGLPSSPPTAPGSLNNGLSNVNDPRLHPFAADVGNHRQAKSRGIVVIIILSSVFAFVLCAGAALVIYYKLRNRNSLTEASLTPAKPEDPGSAVVGSRLESRPISTAPSFSSSIVTYKGSAKTFSLVEMERATQRFDESRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTGEHMRCLVYELVPNGSVESHLHGSDKNISPLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYALTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNRDGLETLIDVSLGSSIPFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESRSFSRDLHVQDAEIISRASQDMDVDPEISTEQFTASARYDTLDASGSFRRYSSSGPLKVSKTEQNRERGLSTGSSSEHCGIQRFRIDSD* >Brasy1G100200.1.p pacid=40056077 transcript=Brasy1G100200.1 locus=Brasy1G100200 ID=Brasy1G100200.1.v1.1 annot-version=v1.1 MGSLEARYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQLQSNSRFVNVGWIWDKSIPDPRYVSSLGVQWEDVYRTIENLNGGEHKLKVGLLNFNSTEFGSWTQLLPESEFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRGAHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVTAARGNRGVHVMFVTDCFPLPNLFSCKNLKKHEGNAWLYKPDLKALKEKLRLPVGSCELAVPLKAKSRLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDDTISDHHRKGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGRKPWLCFRDYDCNWNVPILREFASDIAHTRWWKVHDKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWRRNITDRRLKTCFEKFCFWESMLWHWGETTNSTKKTQPAVQALPAASL* >Brasy1G356900.1.p pacid=40056078 transcript=Brasy1G356900.1 locus=Brasy1G356900 ID=Brasy1G356900.1.v1.1 annot-version=v1.1 MDHVLPPAAGSGAGGEYSPLPPPLSSLLQPGSPCPRALVVAEYASRRHAIETRLRLLHGFQVEAYTDPTTAMAMRRGSYQRVMVDMFPLRGGAVELLECAISEMHADAYALSKVGDSYGPLTMKNIETFKRAIKFHVFTSIEATPNHRSNNSATHTVSTTTTTLSSSGRRETSREMRQQSEMKIQEVEQDGEHNEEEHKPAKKESKKVRLVWTEELKKKFENVYNKLSPRDAVPTKILKLMNDPTLTRENIASHLQKFRNLKHGEAHTIHKNNSRTNHHTSAEGSFLPHNISQQVPHMMMQVQSRENFQNIFMIEKAHVNTNISDLHESHIGLSYSDQSFSK* >Brasy1G356900.3.p pacid=40056079 transcript=Brasy1G356900.3 locus=Brasy1G356900 ID=Brasy1G356900.3.v1.1 annot-version=v1.1 MDHVLPPAAGSGAGGEYSPLPPPLSSLLQPGSPCPRALVVAEYASRRHAIETRLRLLHGFQVEAYTDPTTAMAMRRGSYQRVMVDMFPLRGGAVELLECAISEMHADAYALSKVGDSYGPLTMKNIETFKRAIKFHVFTSIEATPNHRSNNSATHTVSTTTTTLSSSGRRETSREMRQQSEMKIQEVEQDGEHNEEEHKPAKKESKKVRLVWTEELKKKFENVYNKLSPRDAVPTKILKLMNDPTLTRENIASHLQKFRNLKHGEAHTIHKNNSRTNHHTSAEGSFLPHNISQQVPHMMMQVQSRENFQNIFMIEKAHVNTNISDLHESHIGLSYSDQSFSK* >Brasy1G356900.2.p pacid=40056080 transcript=Brasy1G356900.2 locus=Brasy1G356900 ID=Brasy1G356900.2.v1.1 annot-version=v1.1 MDHVLPPAAGSGAGGEYSPLPPPLSSLLQPGSPCPRALVVAEYASRRHAIETRLRLLHGFQVEAYTDPTTAMAMRRGSYQRVMVDMFPLRGGAVELLECAISEMHADAYVGDSYGPLTMKNIETFKRAIKFHVFTSIEATPNHRSNNSATHTVSTTTTTLSSSGRRETSREMRQQSEMKIQEVEQDGEHNEEEHKPAKKESKKVRLVWTEELKKKFENVYNKLSPRDAVPTKILKLMNDPTLTRENIASHLQKFRNLKHGEAHTIHKNNSRTNHHTSAEGSFLPHNISQQVPHMMMQVQSRENFQNIFMIEKAHVNTNISDLHESHIGLSYSDQSFSK* >Brasy1G356900.4.p pacid=40056081 transcript=Brasy1G356900.4 locus=Brasy1G356900 ID=Brasy1G356900.4.v1.1 annot-version=v1.1 MDHVLPPAAGSGAGGEYSPLPPPLSSLLQPGSPCPRALVVAEYASRRHAIETRLRLLHGFQVEAYTDPTTAMAMRRGSYQRVMVDMFPLRGGAVELLECAISEMHADAYVGDSYGPLTMKNIETFKRAIKFHVFTSIEATPNHRSNNSATHTVSTTTTTLSSSGRRETSREMRQQSEMKIQEVEQDGEHNEEEHKPAKKESKKVRLVWTEELKKKFENVYNKLSPRDAVPTKILKLMNDPTLTRENIASHLQKFRNLKHGEAHTIHKNNSRTNHHTSAEGSFLPHNISQQVPHMMMQVQSRENFQNIFMIEKAHVNTNISDLHESHIGLSYSDQSFSK* >Brasy1G040400.1.p pacid=40056082 transcript=Brasy1G040400.1 locus=Brasy1G040400 ID=Brasy1G040400.1.v1.1 annot-version=v1.1 MASDGSGVVALYGGNNNNGGTLLDSKSPFAVKAGLAQMLRGGVIMDVVTAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEHIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRAMDDDEVFSYAKSIAAPYDLVLQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPGVLAEISCDLGEAMVGINLSDPKVERFAARSE* >Brasy1G454300.1.p pacid=40056083 transcript=Brasy1G454300.1 locus=Brasy1G454300 ID=Brasy1G454300.1.v1.1 annot-version=v1.1 MEVVLGSVKEPVVDSLDQAVCFPATSHFFLVEQQTFQQNAFFFSVTTPHQSQSKIFQSPPQPSSLLPRSSCSGPPLAPPRSASMASRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNSWREEFLIQASPSDPENFPFVLLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAVKNEPEEDIYLPDTIDVGGAARQQRSSGCEC* >Brasy1G454300.2.p pacid=40056084 transcript=Brasy1G454300.2 locus=Brasy1G454300 ID=Brasy1G454300.2.v1.1 annot-version=v1.1 MASRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNSWREEFLIQASPSDPENFPFVLLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAVKNEPEEDIYLPDTIDVGGAARQQRSSGCEC* >Brasy1G454300.3.p pacid=40056085 transcript=Brasy1G454300.3 locus=Brasy1G454300 ID=Brasy1G454300.3.v1.1 annot-version=v1.1 MASRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNSWREEFLIQASPSDPENFPFVLLGNKIDVDGGNSRTIIFVVRFLRRRLKPGVLPRETFRISRHLLKKASMWKQLLSV* >Brasy1G189300.1.p pacid=40056086 transcript=Brasy1G189300.1 locus=Brasy1G189300 ID=Brasy1G189300.1.v1.1 annot-version=v1.1 MELKVASKAAALPAARYGASQGSLGRSGVAFGSAGRKSLPLRPLLAATNNSVTPVTPVTARVEKQRIDKSEILTLDSIRTSLIRLEDSIIFGLLERAQYCYNADTYDPDAFHVDGFGGSLAEFMVRETEKLHAKVGRYKSPDEHPFFPEDLPETLLPPIQYPTVLHPIADSININKEIWKMYFDEVIPRLVREGSDGNYGSSALCDTTCLQALSRRIHYGKFVAEAKFQESPEAYMPAIIAQDGDLLMQLLTYETVERAIEHRVEAKAKIFGQEVKIGAEAKGTPPVYKIRPSLVAELYSNRIMPLTKDVQVAYLLRRLD* >Brasy1G007100.1.p pacid=40056087 transcript=Brasy1G007100.1 locus=Brasy1G007100 ID=Brasy1G007100.1.v1.1 annot-version=v1.1 MASRGFHAAASSGSEDEEEEAGLSRSYFLAKEKEPSGKKRARAAAGKLSDLNIVDEQVLRASLAEIPPKHEKEVDALTESYKDQYCNWLFELRCGFGLLMYGFGSKKLLLEDFASMTLTDFTVMVINGYLPSINMKQVMVTIAELFWEQTKLKRKHKSGTKSQPQSFASQSIDDIISFLNNQASEGDDHVCLLIHNIDGPALRDAESQQCLAQVSCCPQVQIVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFVPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKQSDGQDCLHIPLVSDALEKLLQELA* >Brasy1G051600.1.p pacid=40056088 transcript=Brasy1G051600.1 locus=Brasy1G051600 ID=Brasy1G051600.1.v1.1 annot-version=v1.1 MSKAVTMDAHLSFEDGWRVLEQGIVTCSKILGGETRTRPTVAEYMNCYDCAYRMAVQKTHYCEEMYIGYKNILADCVRAMVLPHLSDKRDGQFLAQLVKMWSNYSTMVKCVSGFFSYLDRCFIEQRKLPSLEDTAATSFFAPVFNFFSNEITDALLTLIRQEREGSKVDMDLLRGIMHGICRSEVKTAMQNTVLDDTYSYYSRKSSEWIVLYPLPDYLAKVQDTMEKEIERLMHYLCITERDSTELCLKVVSAPLMQTYDNYAREKNIGGQLLIQTYKTVEDDLLARCSRLTIDSGSDDSSASYME* >Brasy1G172900.1.p pacid=40056089 transcript=Brasy1G172900.1 locus=Brasy1G172900 ID=Brasy1G172900.1.v1.1 annot-version=v1.1 MRCRHFLNPILSPLSFAKPPSRPLVSRASAVAPPPAQLRAALAEPDVGISRFANSLPGFRGALKQRYSDFVVHEVARDGTLVRLTSFDLPDERVGAEEEEEADADLSHVLESFRLLCGDADCDTLRGFLLRVSEGDSNVLPIILSADADKAHRSEVHDFFKRNFMFLVTDTVEQSDGVQRCIRVRLRPGPGRRRNARETGVNGKGMGSSGSSGWRDDKPFDSRSSIAWPYHLRKFLRFHLYKENKDTQEALRVIGKMLGLQPKSFGFAGTKDKRAITTQQVTLLKVHASRLADLNSKLIGIKVGDFDYVKEGLVLGQLMGNRFTITLRSVVAESEDLIKAAIDGLIKGGFINYYGLQRFGSASVPNHLIGAALLRGEWKSAVNLILDPKEGECDDMNGVREHYKEHGDIDVAIRNFPRRLIAERAILQRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVGKYGTTQVVEGDLVYKKECHFGEGTVTDTSEDADGLTNLSEMDISCETVPEETIQSVKIADSEDLLKAIYKFEDVVLPLPGSETLFPGNEVAGIYREITKKDGISLTESIHGVKEFSITSMKGGYRRVIQRPIDLEWDLVTYTDDKAPLVETDLDVLSKAESLKANEPLSTGVFSCTSHEDSLHTSGATSLVETKSIGSSDLLPDLLAVKLDFTLPASSYATMAIRELMKTSTSDSGIRIT* >Brasy1G329600.1.p pacid=40056090 transcript=Brasy1G329600.1 locus=Brasy1G329600 ID=Brasy1G329600.1.v1.1 annot-version=v1.1 CQFLAYKYGSKSVSKIIGLSNFGLEIKSVCDGPENVFLSFMVFCELPTIKQLGILLYSIFFFSSWPIALYLKFNCTSLTFAIQDVPTYNCFFLSWQIA* >Brasy1G572400.1.p pacid=40056091 transcript=Brasy1G572400.1 locus=Brasy1G572400 ID=Brasy1G572400.1.v1.1 annot-version=v1.1 MHIYVKNSTGRTICLTAKIQEQHRLVFDGVRLDQDNLTLADYDIEHGSTLDLQEKMQIYVMETRERWTFPLEVDSLDTIDEVKSKIQIKQGFPKGKQYLIFGNKRLEDGKLTLADHNIWNESALQLVLQPSRSRGTTMTTMEIFVKTPSAKTITLDVESSDTLDDLKMMLYFKVGISPILQRLIFRGPMPMLEGNRTLSDYGIEKHSSLHMQLRHPCGCR* >Brasy1G261800.1.p pacid=40056092 transcript=Brasy1G261800.1 locus=Brasy1G261800 ID=Brasy1G261800.1.v1.1 annot-version=v1.1 MATVRTGIAVAAAAFFAMVSVSLAQAPAPGATPSGPPNVTAILAKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNGLTQQQQVALVQGHVLPQFYSMESFQTASNPVRTQASGQDGPFTLNITATANNQVNVSSGVSVVTVNNALSDAKPLAVYSVDKVLLPLEFFGAKAPAAAPAASKDGKTKKGEAASGPAGSDDEASPTSAAAGSRAVAWAVAAVVGCLL* >Brasy1G304900.1.p pacid=40056093 transcript=Brasy1G304900.1 locus=Brasy1G304900 ID=Brasy1G304900.1.v1.1 annot-version=v1.1 MVFFGLFVGAELDGLTNLQPSGGCDDPKYPYYFKLRCENCGETSAKATCVSLDEVVDLGKVTVNLSQKCKLCGREGSVVMIPGQGTPLTAEQSQKGEKACLMVFECRGFEPVDFAFGNGWKAESVHGTSFDIDLSEGEFDEYDEKGECPVALSKLQSTFKVVKKQGSHGKTRYV* >Brasy1G566800.1.p pacid=40056094 transcript=Brasy1G566800.1 locus=Brasy1G566800 ID=Brasy1G566800.1.v1.1 annot-version=v1.1 MQTKLAFRENCSCVDHTIGFLWFHSLSIIICATRPNFFPAIFICSQNLDDDLMSRMRPRASAMHFYIVRRWKGGRSSKLEDSYHIPIYYTEWNT* >Brasy1G522000.1.p pacid=40056095 transcript=Brasy1G522000.1 locus=Brasy1G522000 ID=Brasy1G522000.1.v1.1 annot-version=v1.1 MAPKVSSTKKPSAKDKGKAAAQGGESAAGADGTKAPRRITSNWGRSKTTEADLVEFRRVGLLPRDAADYWRVPGTESLPCPARCRCADRPEAGRVWSY* >Brasy1G388700.1.p pacid=40056096 transcript=Brasy1G388700.1 locus=Brasy1G388700 ID=Brasy1G388700.1.v1.1 annot-version=v1.1 MRWPRASAVVDVWGHVAPVASRHGCASRVVSTRSAWPQQYSALRTAAEEKTHSHQFLYPHSSAIPTSLSLGPLRFPLLRSLAASPPHTEPEP* >Brasy1G230400.1.p pacid=40056097 transcript=Brasy1G230400.1 locus=Brasy1G230400 ID=Brasy1G230400.1.v1.1 annot-version=v1.1 CHRSYRCRRTHDYNRLRKNDRQLNAVVSKILSKHRGCARRLSLCHNYFNYIQDKVDGWLTSPALNNLEDIEIIYRGEEDPRLPLSVFRFAPTVRTATFGFCSFPDNALNKLAFPHLKKLVLKGVAVSAGTLQAMLAGCPVLESLRLYYGFHVGSDGCDTKRLLVNSSSLRIIENDYTDYHEIVIEHAPRLQRLITYHGCSQSEHTPRCYEGKQTDANFAKFFVLNAKVLESMKFGVHDSCTDKWRADQHKRLRLDSRASRDARFEFRSDLTCDNFACYDVLSVPDPFGSSSCAYCSKG* >Brasy1G111400.1.p pacid=40056098 transcript=Brasy1G111400.1 locus=Brasy1G111400 ID=Brasy1G111400.1.v1.1 annot-version=v1.1 MEAHMWWKDPAEPSGGAETPTAFQRGDRRGQHPKRWTRSSTTVGEQKGQDEVGVIRKRCRSARERVLPCRAIQNFCIYLCCDCEPRCLLYVRF* >Brasy1G498800.1.p pacid=40056099 transcript=Brasy1G498800.1 locus=Brasy1G498800 ID=Brasy1G498800.1.v1.1 annot-version=v1.1 MDDDDGHMGTISSLPYHAIFDILSRTPVKSACRFRCVSKAWPLLVDSGSSQKEEPVGGRDLRLMDTDGDVVRVIKGVGGFGMACNTSLDDLICVDGASCGGVNVVDPATGEVLVTCPRQLARLVADNTCEVFTLGDGRGWRRARPPPIHPRRFYGSIRIRYDVPPVAVGGVIYFFLLCFDLESEQWEADLLEGPYKVVGVTKWRETTAIRITELDGALCMVQSVCEIIYGSNKQQIDPVTNLWILDKLDKRNWIKAYTVPMTPYACRYVPLMRVTMRGSGKLLLQCSFNEGQKLGLQIYDPRNETFTNITGSPDDLAGRIGLCNFGLDHPIKL* >Brasy1G327700.1.p pacid=40056100 transcript=Brasy1G327700.1 locus=Brasy1G327700 ID=Brasy1G327700.1.v1.1 annot-version=v1.1 MAMALRPMAPPPLLLFAVAVVLLLSPGPAEARRLLTLADFGAVGDGIANDTQAFLDAWTAACGGTSDKANLNDPSSDTYLNVPAGKSYQIWPVTLAGPCRGEIKLLISGNIVAPENPDDWPGEGGGSEVVTQWLHFRGVKGLALSGGGIVDGRGQQWWARQTTQNSSSSSCETAENCTAPAASQPEPPAAAPKAVHFEDCRDLTVMGITVQNSPREHLAFTRCSQVKANYLRVTAPVDSPGTAGVLLVNTTDVRIMDDLFSVGGDCVSMVGRCRDVRLRAVSCGPGHGISIGGLGENGTLHKIEKIKMDTLFFTNTKYGLRIKTHEDGCGFVRKVKFAHILMRNVSDPIVIDQHYSYSNRGTQCEFPNVTVVEKIQYSDITGTSATEQAVKFACSDTMPCRRLSMSGVNLTRADGGGEVSAYCNQAFGRIAGDVVPDSCLGKEDFVQLQAPGTAAADYDEEEDDEDDAADW* >Brasy1G157300.1.p pacid=40056101 transcript=Brasy1G157300.1 locus=Brasy1G157300 ID=Brasy1G157300.1.v1.1 annot-version=v1.1 MALLSPPSHPPLLAPVRHRLASPPLLAVPAAPSSLLSLPHYHYSLLLPSAASALPFRRHRRRGIVASLGQEEPVISDATITSEGERVSVPPISFDAEAGAAVASVEPAEASPEDLEDIREVKRVLELLQKNRDMTFGEVKLTIMIEDPRDVERKRLLGIEDPDELTRDDLADALVEVNEGRIPENRDALRLLAKEMAEWPDVEIEAPKSKGMFGKSIYAKATDTGIDPVAAAKRLNIDWDSAADIDEEADDDDEAEVPSVVGYGALYLLSAFPVIIGVSVVLILFYNSLQ* >Brasy1G500400.1.p pacid=40056102 transcript=Brasy1G500400.1 locus=Brasy1G500400 ID=Brasy1G500400.1.v1.1 annot-version=v1.1 MDMDLRRRMNIRSLEEYWYPNEHKSDYDADCAASYHASDSWGNQDITPVPPMFLTDGGRFSGTSRDALQIYSVKIASIRGGLQWPLDVFGMVVARDVLDDRKRNIIFSRARSNCQTITEEHPYLELTGPTRAVVTCIDPGNIEIVLKVKGAYESEDRDLSFLAIPLRSNYNCYYDKDYISKRSTLELAFHHVHISVEATISMRLVGGSSWPEGLQGVFTASIASIDDAEVVLLAFGDGKLPAVADDGTIKLSRRVVSVEGPCVFYSERPLGELKVSAVARREKGEDIARRDDIVFVSKRAGRSCGVLSVGTCKMQVTVAWSGFSR* >Brasy1G083200.1.p pacid=40056103 transcript=Brasy1G083200.1 locus=Brasy1G083200 ID=Brasy1G083200.1.v1.1 annot-version=v1.1 MGMSSSPFTTSYCLTEQDASICTETQELDYHHPYYYGIEEVSLDEVELELAASSGRAHKATKVDYHSSPYHISWPPPPQQASADVESSRVRKKQFRDVLESCKQKVEAMEAMEQHSPPLSGGGGFHDQQGECVQADVGGEGSSSSGGGTDGMRLVQLLVACAEAVACRDRAQAASLLRELQAGAPVHGTAFQRVASCFVQGLADRLALAHPPALGPASMAFCIPQSSSSASGAGRGEALAVAYEVCPYLRFAHFVANASILEAFEGESKVHVVDLGMTLGLDRAHQWRALLDGLAARGAARPARVRVTGVGARVDAMRAVGRELEAYAEELGMCVEFRAIDRTLESLHVDDLGVEADEAVAINSVLELHCVVKESRGALNLVLQTIRKLAPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIKMAAKAREWLEENAGGTGYTVAEEKGCLVLGWKGKPVIAASCWKC* >Brasy1G576700.1.p pacid=40056104 transcript=Brasy1G576700.1 locus=Brasy1G576700 ID=Brasy1G576700.1.v1.1 annot-version=v1.1 MRTRSFFSFFCEHVLLVSQLPVLICRFTGLPSDADVLSIGDGTYVEIFGRPEVLERTPFLKVLSCRKITNFNDITTHFLYTIYANADLRRPPPPPSERLLSQVYEIVKDYTDEGDPGLTFRFICNSVSATDQVVRQAINILIARRKLLQVIGEDRYILAHH* >Brasy1G382500.1.p pacid=40056105 transcript=Brasy1G382500.1 locus=Brasy1G382500 ID=Brasy1G382500.1.v1.1 annot-version=v1.1 MREFSYEEIEAATGGFAAKNVVGKGSHGCVYRARLRVGGGRRVIAVAVKRASHPQGEAKLANEIAVLTAARHHPGVVGLVGLAAMAGPRRPPLLVMEFMPNGSLHDLLHRSPRPPPWPRRVQIALDVALAVRALHGAAPRVIHRDVKTANILLARDGRARLADFSLAVAVSTEGAGPGPTPAPVPAGTMGYLDPSYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNASPSSIVAWAVPLIRAGLARKVFDKRLPAPPRGGDAEAAVARVLAVAARCVSESVERRPAMPEVASELHAALESAGWRHHRDGRDVVGRAYRRVASWGTRMRVKMRRSRVECTELSGSSSSSEGSRRAAPVTADSCPPPTLSRILFG* >Brasy1G560700.1.p pacid=40056106 transcript=Brasy1G560700.1 locus=Brasy1G560700 ID=Brasy1G560700.1.v1.1 annot-version=v1.1 MWKLKIAEGRGNPLLRTTNDHAGRQVWEFDPDASDEIAAVEAARRDFSSRRNQNKNSSDLVMRMQFSKSNGLKMNPPAIKLDERAYATEEDVLISLERAISRYSTLQAHDGHWPGDYAGTLFLLPSLIVALHVTESLNTVLSSEQQKEIRRYLYNHQNEDGGWGLHIEGTSTMFCSVMNYVALRLLGEGLDSCGAMLQARSWILDHGGATLTPSWGKFFLSVLGVYEWSGNNPLPPEIWMMPYFLPIHPGRMWCNCRLVYLPMSYLYGKRFVGAITSSVVLDLRKELYNVPYDEIDWDKARNGCAKEDLYYPHSPLQDVVWATLKKVGEPVLMHWPGSKLRQRALKVVMEHIRYEDETTQYICIAPLNKMLNMICCWVEDPNSEAFKLHIQRVYDFLWVAEDGMKMKSYNGSQLWDTALSVQAIFATGLAEEFGPTIKLAHDYIKRSQIRVDCPGDQSKWYRHISKGGWTHSTADQGWPVSDCTAEALKVLLLLTKVPPELAGEPMEASRLDDTVNLLLSLMNDDGSFGAYELTRSYEWLELLNPSESFGGIMIEYPYVECTSSVIQGLVLFRETYATHCRREEIDNCIRKAADYTESIQRADGSWYGCWAICFTYGTWFGVRGLIAAGRTYKNSQSIRKACEFLLSKELPSGGWGESYLSSQDKVYTNLEGNKAHAVNTSWAMLALIDAGQGKRDPACLHRAAKVLINFQMEDGEFPQQDIIGATNHNLMLTYAQFRNIFPIWALGEYYRRVLQAQQA* >Brasy1G560700.3.p pacid=40056107 transcript=Brasy1G560700.3 locus=Brasy1G560700 ID=Brasy1G560700.3.v1.1 annot-version=v1.1 MNPPAIKLDERAYATEEDVLISLERAISRYSTLQAHDGHWPGDYAGTLFLLPSLIVALHVTESLNTVLSSEQQKEIRRYLYNHQNEDGGWGLHIEGTSTMFCSVMNYVALRLLGEGLDSCGAMLQARSWILDHGGATLTPSWGKFFLSVLGVYEWSGNNPLPPEIWMMPYFLPIHPGRMWCNCRLVYLPMSYLYGKRFVGAITSSVVLDLRKELYNVPYDEIDWDKARNGCAKEDLYYPHSPLQDVVWATLKKVGEPVLMHWPGSKLRQRALKVVMEHIRYEDETTQYICIAPLNKMLNMICCWVEDPNSEAFKLHIQRVYDFLWVAEDGMKMKSYNGSQLWDTALSVQAIFATGLAEEFGPTIKLAHDYIKRSQIRVDCPGDQSKWYRHISKGGWTHSTADQGWPVSDCTAEALKVLLLLTKVPPELAGEPMEASRLDDTVNLLLSLMNDDGSFGAYELTRSYEWLELLNPSESFGGIMIEYPYVECTSSVIQGLVLFRETYATHCRREEIDNCIRKAADYTESIQRADGSWYGCWAICFTYGTWFGVRGLIAAGRTYKNSQSIRKACEFLLSKELPSGGWGESYLSSQDKVYTNLEGNKAHAVNTSWAMLALIDAGQGKRDPACLHRAAKVLINFQMEDGEFPQQDIIGATNHNLMLTYAQFRNIFPIWALGEYYRRVLQAQQA* >Brasy1G560700.2.p pacid=40056108 transcript=Brasy1G560700.2 locus=Brasy1G560700 ID=Brasy1G560700.2.v1.1 annot-version=v1.1 MNPPAIKLDERAYATEEDVLISLERAISRYSTLQAHDGHWPGDYAGTLFLLPSLIVALHVTESLNTVLSSEQQKEIRRYLYNHQNEDGGWGLHIEGTSTMFCSVMNYVALRLLGEGLDSCGAMLQARSWILDHGGATLTPSWGKFFLSVLGVYEWSGNNPLPPEIWMMPYFLPIHPGRMWCNCRLVYLPMSYLYGKRFVGAITSSVVLDLRKELYNVPYDEIDWDKARNGCAKEDLYYPHSPLQDVVWATLKKVGEPVLMHWPGSKLRQRALKVVMEHIRYEDETTQYICIAPLNKMLNMICCWVEDPNSEAFKLHIQRVYDFLWVAEDGMKMKSYNGSQLWDTALSVQAIFATGLAEEFGPTIKLAHDYIKRSQIRVDCPGDQSKWYRHISKGGWTHSTADQGWPVSDCTAEALKVLLLLTKVPPELAGEPMEASRLDDTVNLLLSLMNDDGSFGAYELTRSYEWLELLNPSESFGGIMIEYPYVECTSSVIQGLVLFRETYATHCRREEIDNCIRKAADYTESIQRADGSWYGCWAICFTYGTWFGVRGLIAAGRTYKNSQSIRKACEFLLSKELPSGGWGESYLSSQDKVYTNLEGNKAHAVNTSWAMLALIDAGQGKRDPACLHRAAKVLINFQMEDGEFPQQDIIGATNHNLMLTYAQFRNIFPIWALGEYYRRVLQAQQA* >Brasy1G251800.1.p pacid=40056109 transcript=Brasy1G251800.1 locus=Brasy1G251800 ID=Brasy1G251800.1.v1.1 annot-version=v1.1 MVQLASGVTLDFIHQSSFAAPYHQTIKGKRSLVLVFGACVAWNLWKERNRRIFQGKEASTAMLKEIIEREMESFCFARGL* >Brasy1G569200.1.p pacid=40056110 transcript=Brasy1G569200.1 locus=Brasy1G569200 ID=Brasy1G569200.1.v1.1 annot-version=v1.1 MGAEAEQPQQQAPSASSLPPVVVGLQLSALIDHVARVDWSLLDRVPGDRGGSQQVSFEELNHILTEVNALILPSRDDLSPVRTMAGGSVANTIRGLSVGFGISTGIIGARGDDDQGILFVNNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFVKEDFQGSKWLVVRYAQQNLEQIIEAIRVAKQEGLSVSLDLASFEMVRDYRSQLIALLETGNIDLCFANEDEAREIIGGELTFDPEVALAFLSKYCKWAVVTLAAKGCLAKHGKQVVQVPAIGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVIRALGGEVRPENWQWMYKQMHAGGLLLPELKN* >Brasy1G565200.1.p pacid=40056111 transcript=Brasy1G565200.1 locus=Brasy1G565200 ID=Brasy1G565200.1.v1.1 annot-version=v1.1 MAPQPPLHPPAAFDRHYGLTPPSPPPILPSTATSSTSGVVGRGLEGRRLAERPGAVRDGIGLLHSAALGGSLPVCRFLVEDLRLDIDAAVRRETPLTFAIGLQNVDMVSYFLEKGADPRRRSSFLQIRCIDQFPCHTTIGFYFRSEIVELLLSNGACHKITSGTGYTALVIATMVGSLKCVKLLLEAGADVDGNCKETPLMHLGCAPIEIASCSGRRKDVEILFPVTSHIPSIRDLSADGIYCWLGTRLTVNVVCQLYCCPFFSLEPVKSKYKAMLATAKFKAHEMFKNGNYLVAASIYEEEDAQAYRMTRPGWSKACYREGAALMLLQEYVKASGAFLGGLKLEPRNERISSAPGPYCAPRTVLCSGCLWTVGWTTKGQD* >Brasy1G274300.1.p pacid=40056112 transcript=Brasy1G274300.1 locus=Brasy1G274300 ID=Brasy1G274300.1.v1.1 annot-version=v1.1 MGHRRSNHHKGRGEGGDEEGNSCCPCYVFSSFIRGIGRCLFVACYPVIQCCGLDECRHHHNTHLSHFR* >Brasy1G548600.1.p pacid=40056113 transcript=Brasy1G548600.1 locus=Brasy1G548600 ID=Brasy1G548600.1.v1.1 annot-version=v1.1 MLLASSVLRGRLRPLRRPRPLIMPSPLFLSRNPNPSPGSSGASLLPSPSPHPSSSAAMSTSGGVYVPPMRRLRSVIASTNGSLAPPPLAQPAWTPEWRADGRSNSPPSPSPPQQQQRRAAPLPPRPPPPQQPPLRQLSAGYARYAYDDFSEDESDREMDRSSVSSKGASTLDNVDEWKWKLHMLLRNDDEQEIISREKKDRRDFEQLAQLADRMGLHSRQYSRIIVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLADYLARKRTNSGNFPNAAFSRSSSTDSFVTDESFYDQSDNQASANVVLERIQKRKSLQLRNQQAAWQESNDGQSMMELRRSLPAYKERQSLLDAISRNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSVICTQPRRISAITVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNADLFSSYFGGAPMIHIPGFTYPVRSRFLEDILEVTGHRLTSYNQIDDYGQEKSWKMQKQAIRKRKSQIASVVEDAVKAADLRDYSSRTRDSLSCWNPDSIGFNLIENVLCHICQNERDGAVLVFMTGWDDINALKEQLQANPLLGDPNKVLLLACHGSMPSSEQKLIFEKPEAGLRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQSGECFHLYPQCVYNAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIEYLKVIGAFDQNEELTVLGKHLSMLPVEPKLGKMLILGAIFNCLDPILTIVSGLSVRDPFMTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKALDSLRRQFVFLLKDTGLIDENMSRCNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIQQGGLDGHLKMLGGYLEFFMSRDLASTYLNLKSELEDFIHCKLQNPKMDIQTSEELLSAVRLLVTEDPCSGRFVYGRQEPRSKKAKTMTSSASASMDRGGGHGGDNPKNQLQTLLTRAGHGNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEAINWLTGGGAPSDSRDPQDADHMSMMLKPTRRKRHHYRRT* >Brasy1G379400.1.p pacid=40056114 transcript=Brasy1G379400.1 locus=Brasy1G379400 ID=Brasy1G379400.1.v1.1 annot-version=v1.1 MAAPIGSSSKPFALLAFVLALAIAVPAQGLVFHVGGPRGWRVPDGNTSYGWWAMNNRFHVGDALYFRYDEDSVLVVDREDFDACNATEALARFADGATTVPLHRPGFFCFISGEPGHCEEGQKLIVRVMVHPADPALAPGPDAYAPAQPGRGDRPGGGHHGSSPGTSSAAVVAAAGGVALAAAMAVALALLLQ* >Brasy1G152700.1.p pacid=40056115 transcript=Brasy1G152700.1 locus=Brasy1G152700 ID=Brasy1G152700.1.v1.1 annot-version=v1.1 MSGEEKDDVPMLQRALELQRLPARSSSMCIPVRDGTYEDSFISHTGPLFVQRPTQFASMGIPSGSRDVPDKISWPFQGKQGKKTNAVMPADIGTSDYCDGRHAPKNEHLMMSGPLGQCDDPDCVHCPPACKNKRHFQRTSAPFDNKFHNILYGFGGWRTKIGRSLSYIPIVNPHAKAVHRWNQFFVISCLLAIFTDPLFFFLLSMDKDNKCIVFDWNLARALAVARTVTDVIYLLHMLLQFRLAYVAPESRVVGTGDLVDEPKKIAMRYLRGFLAFDLFVVLPLPQVMILLVIPKYVGVSSANYAKNLLRATVLLQYAPRIIRFVPLLGVQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQNVCVGLSITSCTEFIDCGNGIAEQGRQKRHLWFKFNDSASVECFDTANGSFKYGIYQQAVLLTAEPAIKRYVYSLFWGFQQISTLAGNLVPSYFAWEVIFTMAIIGLGLLLFALLIGHMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEHLRRRVRDAERFSWVATRGVNEEELLSNLPEDIQRAIRRHFFRFLDKVRLFNLMMDCAIWDAICDKLKQNLYIRGSDILYQGGPVEKMVFIVRGKLESISADGTKSPLHEGDVCGEELLTWYLEQQSSATRDGGKVKVYGMRPVAIRTVRCVSNVEAFVLRASDLEEVTSQFSRFLRNPRVLGAIRYESPYWRTIAASRIQVAWRYRKRRLKRAEVSRSHQPSYGLYSNVQL* >Brasy1G152700.2.p pacid=40056116 transcript=Brasy1G152700.2 locus=Brasy1G152700 ID=Brasy1G152700.2.v1.1 annot-version=v1.1 MSGEEKDDVPMLQRALELQRLPARSSSMCIPVRDGTYEDSFISHTGPLFVQRPTQFASMGIPSGSRDVPDKISWPFQGKQGKKTNAVMPADIGTSDYCDGRHAPKNEHLMMSGPLGQCDDPDCVHCPPACKNKRHFQRTSAPFDNKFHNILYGFGGWRTKIGRSLSYIPIVNPHAKAVHRWNQFFVISCLLAIFTDPLFFFLLSMDKDNKCIVFDWNLARALAVARTVTDVIYLLHMLLQFRLAYVAPESRVVGTGDLVDEPKKIAMRYLRGFLAFDLFVVLPLPQVMILLVIPKYVGVSSANYAKNLLRATVLLQYAPRIIRFVPLLGVQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQNVCVGLSITSCTEFIDCGNGIAEQGRQKRHLWFKFNDSASVECFDTANGSFKYGIYQQAVLLTAEPAIKRYVYSLFWGFQQISTLAGNLVPSYFAWEVIFTMAIIGLGLLLFALLIGHMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEHLRRRVRDAERFSWVATRGVNEEELLSNLPEDIQRAIRRHFFRFLDKVRLFNLMMDCAIWDAICDKLKQNLYIRGSDILYQGGPVEKMVFIVRGKLESISADGTKSPLHEGDVCGEELLTWYLEQQSSATRDGGKVKVYGMRPVAIRTVRCVSNVEAFVLRASDLEEVTSQFSRFLRNPRVLGAIRYESPYWRTIAASRIQVAWRYRKRRLKRAEVSRSHQPSYGLYSNVQL* >Brasy1G152700.3.p pacid=40056117 transcript=Brasy1G152700.3 locus=Brasy1G152700 ID=Brasy1G152700.3.v1.1 annot-version=v1.1 MSGEEKDDVPMLQRALELQRLPARSSSMCIPVRDGTYEDSFISHTGPLFVQRPTQFASMGIPSGSRDVPDKISWPFQGKQGKKTNAVMPADIGTSDYCDGRHAPKNEHLMMSGPLGQCDDPDCVHCPPACKNKRHFQRTSAPFDNKFHNILYGFGGWRTKIGRSLSYIPIVNPHAKAVHRWNQFFVISCLLAIFTDPLFFFLLSMDKDNKCIVFDWNLARALAVARTVTDVIYLLHMLLQVMILLVIPKYVGVSSANYAKNLLRATVLLQYAPRIIRFVPLLGVQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQNVCVGLSITSCTEFIDCGNGIAEQGRQKRHLWFKFNDSASVECFDTANGSFKYGIYQQAVLLTAEPAIKRYVYSLFWGFQQISTLAGNLVPSYFAWEVIFTMAIIGLGLLLFALLIGHMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEHLRRRVRDAERFSWVATRGVNEEELLSNLPEDIQRAIRRHFFRFLDKVRLFNLMMDCAIWDAICDKLKQNLYIRGSDILYQGGPVEKMVFIVRGKLESISADGTKSPLHEGDVCGEELLTWYLEQQSSATRDGGKVKVYGMRPVAIRTVRCVSNVEAFVLRASDLEEVTSQFSRFLRNPRVLGAIRYESPYWRTIAASRIQVAWRYRKRRLKRAEVSRSHQPSYGLYSNVQL* >Brasy1G228000.1.p pacid=40056118 transcript=Brasy1G228000.1 locus=Brasy1G228000 ID=Brasy1G228000.1.v1.1 annot-version=v1.1 MRYRFHFGDVNDQICSFKRDDNVMMNNMKTFCCYSSSKTEVACSIENAKAVFGYAMIILIKHNNLNDI* >Brasy1G070300.1.p pacid=40056119 transcript=Brasy1G070300.1 locus=Brasy1G070300 ID=Brasy1G070300.1.v1.1 annot-version=v1.1 MEGDGGAGPRATAPWDLGMQWAPPSPATSAYPQHFTPRPPPAGMAGAQRQQQMQQELTSLKLGKRPCYLPSWRDGQLAQVGAGHVDVVSGGGRAVAAPEGKRKEKAAAAATATAAVARCQVEGCHLALAGAKEYHRRHKVCEAHSKAPRVVVHGAEQRFCQQCSRFHAMSEFDEAKRSCRRRLAGHNERRRKSNASEAMARGSAHTHGVTSLVHGFAPYVALPPASPAGALSLLSSARAAAGATTPWQLIPTAPDAFPARSSSAALDELIAENRAALLACHFFPDRSGRAAESMPGSWRAPPPAPAGHVTLDLMQAPTAGGLLRPTMQAPDRASSRPAEEDHDDEADRGPGVWAPLQGAHVA* >Brasy1G353500.1.p pacid=40056120 transcript=Brasy1G353500.1 locus=Brasy1G353500 ID=Brasy1G353500.1.v1.1 annot-version=v1.1 MSTRLDTRTLKDEVANMDKRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFVTVEGLGSGDAAALDNNAKRNHFSSIRGDDGQKSLDAVVKSAGKEAIQWGLAAGVYSGITYGLREARGCHDWKNSAVAGAIAGAAVALTGDTGHADHVVHFAITGAALSSAATMLSGIF* >Brasy1G353500.2.p pacid=40056121 transcript=Brasy1G353500.2 locus=Brasy1G353500 ID=Brasy1G353500.2.v1.1 annot-version=v1.1 MSTRLDTRTLKDEVANMDKRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFVTVEGLGSGDAAALDNNAKRNHFSSIRGDDGQKSLDAVVKSAGKEAIQWGLAAGVYSGITYGLREARGCHDW* >Brasy1G128500.1.p pacid=40056122 transcript=Brasy1G128500.1 locus=Brasy1G128500 ID=Brasy1G128500.1.v1.1 annot-version=v1.1 MCASWDKKRRRLQLLFHVGRGRRRDEAKGNLEPVQTDLKGERAFSRRRPRQSFDSSQKQPNAYKYAGRRHLCYLNTNRKPRVKSQRSETQTKERKEGRGRAVVREILVRFLERETVMGRGVLEVHLVDAKGLSGSDFLGKIDPYVIVQYRSQERKSSTARADQGRNPAWNEVFRFQINSSAANVQHKLFFRIMDHDNFSSDDFLGEASVNVTDLISVGMERGTSQLNAAKYSVVTADNSYHGEIRFGITFTAAKVEEDGRAVGGWTNSYRE* >Brasy1G128500.2.p pacid=40056123 transcript=Brasy1G128500.2 locus=Brasy1G128500 ID=Brasy1G128500.2.v1.1 annot-version=v1.1 MCASWDKKRRRLQLLFHVGRGRRRDEAKGNLEPVQTDLKGERAFSRRRPRQSFDSSQKQPNAYKYAGRRHLCYLNTNRKPRVKSQRSETQTKERKEGRGRAVVREILVRFLERETVMGRGVLEVHLVDAKGLSGSDFLGKIDPYVIVQYRSQERKSSTARDQGRNPAWNEVFRFQINSSAANVQHKLFFRIMDHDNFSSDDFLGEASVNVTDLISVGMERGTSQLNAAKYSVVTADNSYHGEIRFGITFTAAKVEEDGRAVGGWTNSYRE* >Brasy1G462300.1.p pacid=40056124 transcript=Brasy1G462300.1 locus=Brasy1G462300 ID=Brasy1G462300.1.v1.1 annot-version=v1.1 MSGNSPEAGGTPAGTVEPYTRHHRFSGRVLFTTVLILFALTLVFVFIRLLLYAFVPRSGGGGRGRGRGGLAAGILRSINSFGGISSRRGLDASALSALPVTAYRKKKESAAGASAGGGPDSDCAVCLSELADGDKVRELPNCGHVFHVECVDAWLRSRTTCPLCRAEAESGPKAEVAQSSATEPPPQPASLGAGGTLIVTVHGFPDGHASTSGLG* >Brasy1G266200.1.p pacid=40056125 transcript=Brasy1G266200.1 locus=Brasy1G266200 ID=Brasy1G266200.1.v1.1 annot-version=v1.1 MGRAFAYVILGGGVAAGYAALELFRHRNGISPGELCIISDEAVAPYERPALSKGYLLPQGAVRLPAFHTCVGANDELLTERWYKEHGIELVLATRVISADVRRKTLLTNTGETISYKTLIVATGARALKLEEFGVSSSDAPNVCYLRNLEDADKMVGVMRSCHGGNAVVIGGGYIGMECAAALVANEIKVTMVFPGKHCMDRLFTPKIAEFYENYYTAKGVAFIKGTAVTSLEVSDGKVTEAILRDGRRLPCDMVVVGVGARANTGLFDGQLATEKRGGIKVDSRMRASDAAVYAVGDVAAFPVALFGGDLRRFEHVDCARRTARRAAAAILQDPAASNGDNAKEEGFDYLPFFYSRVFVLSWQFYGDNAAGDAVIHFGDFSPGPAAPRFGACWVGAGGRVAGVFLEGGSREQNEAAASAVRRGATIAELAGELERRGLAFAVDQEGRKGMIRRDCIAAGGCAWHATVGVAAAVSIAAFVYWYGWKAPYVLKRDF* >Brasy1G293500.1.p pacid=40056126 transcript=Brasy1G293500.1 locus=Brasy1G293500 ID=Brasy1G293500.1.v1.1 annot-version=v1.1 MAGQGDVKLLGVAVSPFALRVLMALQMKGVGYEYIEQDLFNKSELLVASNPVHKKVPVLIHGSGRKPICESLAIVQYVDEAWATGGPSILPADPYRRAVTRFWAAYVDDKVFPAWVAIMRAATEEERAEKLAAAQDAVGLLEGAFAECSDDGEKEDKAFFGGESVGFVDLALGSNLFWFQALHEMFGVTVIDAGKAPRLAAWAERFLETEAAKAVAPAPGSVVEYAGKLSARRLAAAAAVAANK* >Brasy1G475000.1.p pacid=40056127 transcript=Brasy1G475000.1 locus=Brasy1G475000 ID=Brasy1G475000.1.v1.1 annot-version=v1.1 MRRAVLGFLHQALVKLNHPPASRRYYSLACCLKSLDHQETTGGSVCSEYRSHCLLPLITQAVRTSNGDNARKISFGECVRLYGLPRTIGLFALLMRSFLPRGIREIRCFIRSIVDYCGNAGPELFELAPMLVSNLGGSMTLLQVHATAIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPSPNVYSYSILMSAYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRIRQVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCSEGQVQEAIEVFVAMKKGGFVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIVPTLVSYSSLLHGLCRAGKVELALGIFRRLEGQGLKHDHIVYSIVLHGCCQHLDIEVVCDLWNDMVHHNFVPNAYNYTSLIYAFCRCRNLKEALGVFELMLDSGVSPNIVTCTILVDGFCKERMIGEAFLFLDKVHQFGIVPNLCTYKVIINGLCKVNKSNDVWGIFADMIKRGYVPDTVLYSIIIDGFVKALKLQEAFRLYHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKQMIGEGLTPDRILYTSLIACYCKRSNMKAAFEFFREMENMGLSADAFVYTCLIGGFCKLLAMDGAQWLMEEMINKGLTPTVVTYTDLIIGYFKIKDERKANMMYISMLQAGITPDAKLSCILGLGDDADGFGDSRKEKDVS* >Brasy1G180500.1.p pacid=40056128 transcript=Brasy1G180500.1 locus=Brasy1G180500 ID=Brasy1G180500.1.v1.1 annot-version=v1.1 MGNEIGSVHCTGAPQGSPYPFHVNSLPSRAFFLVRPIPIPLGCRSSAPPCRRSAPSCTVPQLAVARALPLAAVRALGRRRPRHAAAPPPASCPTPAAASLAPGGSASFLHTPPARCPSPRSAPPAREPPPASAHRASGHRALARLLAPRLGPPRPLQRRCHP* >Brasy1G179300.1.p pacid=40056129 transcript=Brasy1G179300.1 locus=Brasy1G179300 ID=Brasy1G179300.1.v1.1 annot-version=v1.1 MPHILNVATGEDINMKVISFSQQGPKAICILSANGLISNVTLRQHDSLGGTVTYEGRFELLSLSGSFTPTDNGGTRDRSGGMSVSLAAADGRVIGGGVSGLLVAASPVQVVVGSFVPSSYHGANKPVIEMKTLAPQQQQPAVGFTISSGGDMDHQDSYSGSHPANKDNSTSAFRVENWTAAPAQPADEARRTPSTSSEAKVPVSGG* >Brasy1G180900.1.p pacid=40056130 transcript=Brasy1G180900.1 locus=Brasy1G180900 ID=Brasy1G180900.1.v1.1 annot-version=v1.1 MPELRSGVRQSRLKAKKVEDLAAQDPAGNLAVTAPTVAGRRGRGRGGRGGGRGSGRGRAGGRGRGVPVIDLDPDQPFEALPGAATGGAAVGGPQRIEEFADKVVKMDNGSPEKVGGGEDDGTASPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRLSGGTERTGPDAYEVALKFEHRNSKGCSYGPPYEWQVYSSLNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQQMSQSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKETSSGHHVNYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGFQGENKSFLVCKKKMATSPDLLCVFCAPPFKLFLETVTNMKFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENSYYISAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS* >Brasy1G447300.1.p pacid=40056131 transcript=Brasy1G447300.1 locus=Brasy1G447300 ID=Brasy1G447300.1.v1.1 annot-version=v1.1 MMGGRGGGAQRGREPAASSAAAAAEREGGGSGGARRGREPAASGAAAAAEREGGGSGGTRRVREPAVHGAGSGQRRGCCGGAGRRRQRRRESREGAGGGTGGQRLRHGRGN* >Brasy1G054800.1.p pacid=40056132 transcript=Brasy1G054800.1 locus=Brasy1G054800 ID=Brasy1G054800.1.v1.1 annot-version=v1.1 MSGVWVFRNGVVRLVENPASAVTSGTGGGGGVRRKALLHAPTGEVVASYASLERKLAALGWERYYGGGADGMLRFHKRSSVDLISLPKDFGQFKPAQMYDVVVKNRDAFRVIDV* >Brasy1G430900.1.p pacid=40056133 transcript=Brasy1G430900.1 locus=Brasy1G430900 ID=Brasy1G430900.1.v1.1 annot-version=v1.1 MGAFLPILLLAAVAANAGLLLAQALNQDGLYLLEAKRALTVPAGALADWNPRDATPCNWTGVSCDAAGAVAGLSLPGANINGSFPAALCRVPRLQSLDLSNNYIGPDIASEAVAGCKALARLDLSVNSLVGTLPGALAALPELVYLNLEGNNFSGPIPESFGRFPKLESLSLVYNLLGGEVPSFFGAVATLRELNLSYNPFAPGPVPAELGDLAALRVLWLAGCNLVGPIPASVGRLGNLTDLDLSTNALTGQIPPEITGLASAVQIELYNNSLSGVIPKGFGKLAELRSIDIAMNRLDGAIPDDLFDAPKLETVHLYSNSLTGPVPESAAKAPSLVELRLFTNRLNGTLPSDLGKNTPLVCLDLSDNSISGEIPRGICDRGELEELLMLDNALTGRIPEGLGRCHRLRRVRLSNNRLDGDVPGAVWGLPHIALLELNGNRLTGEISPVIAGAANLSKLVISNNRLSGSIPSEIGSAAKLYELSADGNMLSGPLPSSLGSLAELGRLVLRNNSLSGQLLRGIHSWKKLSELNLADNSFTGGIPPELGDLPVLNYLDLSGNRLNGEVPIQLENLKLNQFNVSNNQLSGQLPPQYATEAYRSSFVGNPGLCGEVTGLCATSQGRAGNHTGFVWMMRSIFIFAAVVLVAGVAWFYWRYRSFNKAKLSADRSKWTLTSFHKLSFSEYDILDCLDEDNVIGSGASGKVYKAVLGNGEIVAVKKLWGGALKKDIENSGEGSAADNSFEAEVRTLGKIRHKNIVKLLCCCTHNDCKLLVYEYMPNGSLGDVLHSSKAGLLDWPTRYKIALDAAEGLSYLHQDCVPAIVHRDVKSNNILLDAEFGACVADFGVAKVVEATDRAPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEPVLDSKLDTNFKEEISRVLNIGLMCASSLPINRPAMRRVVKMLQEVRAEARQRLEKDGKLSPYYYEDSSDQGSSV* >Brasy1G185800.1.p pacid=40056134 transcript=Brasy1G185800.1 locus=Brasy1G185800 ID=Brasy1G185800.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDSTGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKA* >Brasy1G039300.1.p pacid=40056135 transcript=Brasy1G039300.1 locus=Brasy1G039300 ID=Brasy1G039300.1.v1.1 annot-version=v1.1 MGDSSADDRSSSLRQQPQREMAPPARDQHYYEDGAVSDGEESDDEAVRYYLGPNPLDAPRPAAVINAAASGYDSDATIDDDMEGAGNGPADAVVAAPAAAAPAPGKKGFLCITCGKEFGSIKAVNGHTKVHALATKGKGKARKGKAARQQVDYHRSPISMAIAAAAAAAATEKGPPKLPLTAPPAVAVAVVQHDGPKPVQLAIASTTSGTSPNQQSVVAIKEMSKSDSPMDTDQQQQDNSSVAPPPATELAEPEPKPQEEEAMAPKPLPSAAPAEQQLAVVVSPAGGVQKQQQQQPAGNNSSNNNQQRPGYFPCKEEGCDKWYPTHQGLGGHAAGHKNKLLSQKALAAGAGEGAMACHGGAGGAAAKPAKMHACDQCDMVYAQGQQLGGHKRKHYKGTPIVPKKKKKQDPAQQQQPPQQAAPVVERVVRLFGVDIGKAQAQQQEPPADDKKQ* >Brasy1G475700.1.p pacid=40056136 transcript=Brasy1G475700.1 locus=Brasy1G475700 ID=Brasy1G475700.1.v1.1 annot-version=v1.1 MSAVGDDPIDSLPAAILAEVLGRVADAGDIAACRLASRALLAASYHCPRVRLHAAARARRLRGREGGDGALLAFRAAAGNVASLLGPHLRSLALDASEGQGCPDEATRVEEGEFDEADNLYLTGGESVAAWAGTAAGPSLREVDIADFWPQSCWRKAEALPVISHFCHNLSKLGLRNAWLCVDGLKIMPNLTHLALEFIRLDDEDLSKLNECFPCLQILKLIRVGGLKDPKIHFPQLKTCCWEVSNVPRSLAIHAPVLVYLELKCVRPEILIVDTPSVSSLKLTIDKLGPTVIVGGLVSLTNLRIESSDLNSLLRVFTDGRDIRTLDLELPASANRYELYEAVDPELFSRTTEVKLSPRFSYELMRHIVFCMTTHDCRSCLKKLLVHLPQSNITTCPFVPLLNNCAPSCEVTVLFHAASSDAVRQAASSVWPMGFPDISWQWGTWS* >Brasy1G225200.1.p pacid=40056137 transcript=Brasy1G225200.1 locus=Brasy1G225200 ID=Brasy1G225200.1.v1.1 annot-version=v1.1 MGPSGKWIKTLVGLKPAAEKEKHAGAKARKWSRLWRSSSGGHRGSASAAASEVSETSSSVADALSSVVAAVVRAPPKDFRVIRQEWAAVRIQTAFRAFLARRALRALKGIVRLQALVRGRRVRKQLAVTVKCMQALVRVQARARDRRTRLSADGHDSQDLLADSGSHADPVKEAETGWCDSQGTVDDVRSKIHMRREGAIKRERAIAYALSHQQRTSSHGGRPSSPAVSLKNHGSSRNNQWSYLEGWMATKPWESRLMEQTHSEQTNSRCSESVEEMNEVSSKFSEASSVRIRRNNVTTRVTAKPPSVIAVCDDSAPSISSVTPLSSTNFLTSERRSDCGQGGGPNYMGLTKSAKARLSGSGAKPPLQRQGSGDMQHNSRGAFSSVDVQSTAGSEVSVTSKRLNRLTLKGRGTRRSLDKENDCQPGF* >Brasy1G225200.2.p pacid=40056138 transcript=Brasy1G225200.2 locus=Brasy1G225200 ID=Brasy1G225200.2.v1.1 annot-version=v1.1 MGPSGKWIKTLVGLKPAAEKEKHAGAKARKWSRLWRSSSGGHRGSASAAASEVSETSSSVADALSSVVAAVVRAPPKDFRVIRQEWAAVRIQTAFRAFLARRALRALKGIVRLQALVRGRRVRKQLAVTVKCMQALVRVQARARDRRTRLSADGHDSQDLLADSGSHADPVKEAETGWCDSQGTVDDVRSKIHMRREGAIKRERAIAYALSHQRTSSHGGRPSSPAVSLKNHGSSRNNQWSYLEGWMATKPWESRLMEQTHSEQTNSRCSESVEEMNEVSSKFSEASSVRIRRNNVTTRVTAKPPSVIAVCDDSAPSISSVTPLSSTNFLTSERRSDCGQGGGPNYMGLTKSAKARLSGSGAKPPLQRQGSGDMQHNSRGAFSSVDVQSTAGSEVSVTSKRLNRLTLKGRGTRRSLDKENDCQPGF* >Brasy1G418800.1.p pacid=40056139 transcript=Brasy1G418800.1 locus=Brasy1G418800 ID=Brasy1G418800.1.v1.1 annot-version=v1.1 MPPPFASTSASRFAAHWVADALAGDDALDFSAITALLDASPETLAGAPESVTEWVALRCLQELAASAAEGRTAAAAAVPTGMLRVDTARSCEDLLLEIVGKVRYSGSLEKDRFPAFSQDIQNIICIKKPTLPETCFELLREVDPEIERMALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTSNPVTPTGRRSKLCWAEEEEAALRYAGPGSGLRFSCRAFCSPARGLPRYALREAMKKFAPQDNMPIPWVQIIEYDRDVFHRARLPCDLRVKWRNMMKKAGS* >Brasy1G418800.3.p pacid=40056140 transcript=Brasy1G418800.3 locus=Brasy1G418800 ID=Brasy1G418800.3.v1.1 annot-version=v1.1 MPPPFASTSASRFAAHWVADALAGDDALDFSAITALLDASPETLAGAPESVTEWVALRCLQELAASAAEGRTAAAAAVPTGMLRVDTARSCEDLLLEIVGKVRYSGSLEKDRFPAFSQDIQNIICIKKPTLPETCFELLREVDPEIERMALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTSNPVTPTGRRSKLCWAEEEEAALRKQ* >Brasy1G418800.2.p pacid=40056141 transcript=Brasy1G418800.2 locus=Brasy1G418800 ID=Brasy1G418800.2.v1.1 annot-version=v1.1 MPPPFASTSASRFAAHWVADALAGDDALDFSAITALLDASPETLAGAPESVTEWVALRCLQELAASAAEGRTAAAAAVPTGMLRVDTARSCEDLLLEIVGKVRYSGSLEKDRFPAFSQDIQNIICIKKPTLPETCFELLREVDPEIERMALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTSNPVTPTGRRSKLCWAEEEEAALRKQ* >Brasy1G418800.7.p pacid=40056142 transcript=Brasy1G418800.7 locus=Brasy1G418800 ID=Brasy1G418800.7.v1.1 annot-version=v1.1 MPPPFASTSASRFAAHWVADALAGDDALDFSAITALLDASPETLAGAPESVTEWVALRCLQELAASAAEGRTAAAAAVPTGMLRVDTARSCEDLLLEIVGKVRYSGSLEKDRFPAFSQDIQNIICIKKPTLPETCFELLREVDPEIERMALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTVVELYYTPSDPKLLSWLN* >Brasy1G418800.5.p pacid=40056143 transcript=Brasy1G418800.5 locus=Brasy1G418800 ID=Brasy1G418800.5.v1.1 annot-version=v1.1 MALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTSNPVTPTGRRSKLCWAEEEEAALRYAWAWVARPEARGPGPGPGFTFPPKARPESQKKPEMT* >Brasy1G418800.4.p pacid=40056144 transcript=Brasy1G418800.4 locus=Brasy1G418800 ID=Brasy1G418800.4.v1.1 annot-version=v1.1 MALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNRTSNPVTPTGRRSKLCWAEEEEAALRKQ* >Brasy1G418800.6.p pacid=40056145 transcript=Brasy1G418800.6 locus=Brasy1G418800 ID=Brasy1G418800.6.v1.1 annot-version=v1.1 MALPSPLEQNGNNKHDDQSLCDITNDHVIVDKPRLPKDNAKFPQENLANLDNEANTGNIQRDPIEPAADFYQPCKLDSSCFEHLKEDSPTNVAGNMSVADMPASALQESSTEVSKQDIVDHSTMVQPQSCRGKSPDPAHYDDGEGPQDDGASDQSSRDPSHGGPSMQPIRASALDRSGYALPTNASETSHLPEFITGQDTTIIPQADSGKTHLASSQHEIGEKVNQDLDYDSTSIQPVENDCIREEPTLEAAGTLPSVSCNGAIQGDKYETNHLPRNIEEHAALFRQPNGGNRHLEICCADIVNQALYRDGGILEDNMVHGELSVQSASGRSYSVALRNEISEANYLSVQNIQKSTTDVQKFNCSITSPSAPQDDSRGSTKQISNVDTVVKTSHVHSSDERFSGFVDKMSFFIKDQDTNGRDCSEQDLCIKCGKDGQLLKCSTCLLAAHNSCFGPSVAFEDSRQFCCPVCFYIKATEAYKKAKKTYCEARMNLAAFLGTEQLAKQHDEQPPAVLPIACSKDHLDGYNALKRKNNHQTKTHTLARSDEKADRQRKKQKTNATIDVCPKEAVAEKASSVRNSDVEPMNKHSVLQNISKQVQDTEKEQQVDKSEAREEAGNGDSYDGTRNSSHGRCRPSARNQEVNTDKEYGFINPNQSENSDEMEATSLNESGKQSLPPLRNIRQSKSGLRERETLVSCSSGKMLVQDQHMPSPSRKRNYADPTNLPILSHQLEGARSFVGRKKKKQL* >Brasy1G326100.1.p pacid=40056146 transcript=Brasy1G326100.1 locus=Brasy1G326100 ID=Brasy1G326100.1.v1.1 annot-version=v1.1 MAHLRRSHTPLPVHSCPGPAIDDDGEEGEERKANRGAAAAAAMGVMDKLKIFVVKEPVVAASCLIAGFGLFLPAVVRPMLDSWETAEKVPPTPLNDVVAGVTGKKK* >Brasy1G518200.1.p pacid=40056147 transcript=Brasy1G518200.1 locus=Brasy1G518200 ID=Brasy1G518200.1.v1.1 annot-version=v1.1 MKTTQTLLLATFAVLLVVASAGIENCASSIAYSGGCDSNTCATKCADIFAGIAAGCPGCTSQGDCLPDNNGVPNRCRCTVCTPEGHHGGSRQTPEALVKY* >Brasy1G469700.1.p pacid=40056148 transcript=Brasy1G469700.1 locus=Brasy1G469700 ID=Brasy1G469700.1.v1.1 annot-version=v1.1 MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRNKYNVRSIPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGATATGASLQEID* >Brasy1G434100.1.p pacid=40056149 transcript=Brasy1G434100.1 locus=Brasy1G434100 ID=Brasy1G434100.1.v1.1 annot-version=v1.1 MQVRTWTNQTGRCSADMLCRTWTSGYGQVAFRVTRECECVVQLFVSDKIVCVVRGTSMCMADWWIYRVVMDP* >Brasy1G040500.1.p pacid=40056150 transcript=Brasy1G040500.1 locus=Brasy1G040500 ID=Brasy1G040500.1.v1.1 annot-version=v1.1 MAAASILVVSKPHLPPISPRDSPKPTTSLIPLRRRLLPFPSCRCSTNSASSASSPPVLLRDDGDEPPPPSSSEADSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPAEFHGAKLVGSWSFPCPWYNKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNQEMRSRLTNGEPDKPLIIYVGRLGVEKSLDFHKRVMDRLPGSRIAFIGDGPFRPVLEEMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKVERLLSSEEFRETMGKAARKEMEKFDWKAATRKIRNEQYSAAIWFWRKKRSQLLRPVQWIFRGLFRPAPANQS* >Brasy1G181100.1.p pacid=40056151 transcript=Brasy1G181100.1 locus=Brasy1G181100 ID=Brasy1G181100.1.v1.1 annot-version=v1.1 MLPCARSMLRRRGLALLRGCAEGECGRREALANARCAATLAGLGDRGIGRWADPPARAKAHRLNGGWPAGTQTRCFLGCGDGEEGSVLSKVYEERRVMGYSPEQMYAVVVAVDLYEDFVPWCQRSRVVRRYDNGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASQSGLFDHLINVWEFKPGPIPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVGSFSDRCFRIYGPAVPVLENTHGQGR* >Brasy1G578300.1.p pacid=40056152 transcript=Brasy1G578300.1 locus=Brasy1G578300 ID=Brasy1G578300.1.v1.1 annot-version=v1.1 MEVDAAAHPAAELRRLLAATLSADKASVDAATAGLDGIAAAGDPRFPSALLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSELYGEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFDKENSWPQLVPQLKLVIQSSDIISPGTHPEWKTINALTVLQSVVRPFQYFLNPKVVKEPVPEQLEQIAAEILVPLQVTFHHFADKVLC* >Brasy1G336600.1.p pacid=40056153 transcript=Brasy1G336600.1 locus=Brasy1G336600 ID=Brasy1G336600.1.v1.1 annot-version=v1.1 MAANTLSSLSLLPPAQQHPYARPRTSLRISLCHRNERAAVPERRRAPVPSHPAFSRGRRAKTIPVPDTGDPAAGVRVTDRGLAYHLEGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPPHGKKGVKPVQSPGPFLAGMEPRYQAQSREEVLGEPLSKEEVAELVKGNLKAKRQLNIGRDGLTHNMLENIHAHWKRKRVCKIKCKGVCTVDMDNVCQQLEERVGGKVIHRQGGVVFLFRGRNYNYRTRPVFPLMLWKPAAPVYPRLVKTVPDGLTADQAKEMRKKGQQLTPVCKLGKNGVYANLVKEVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGNDWRSSLPPSEEDNFEVGSGQPLYTGKEIFNNATCVKNTSLGEGDLYMEDPLEPNLANDAMLSSPSTTTVSGLCNSKEVAGTEPSIIDPLKISTSDEVSRSLKSALYRESILSDDSQNGEPVQKSPDKCGKSEEYSDELKASFSPVSTSDENDESETARRNNEDINGQDGVKSDSTLSYMEGVLLLLKQATDSGRAHILDENEFVDADVIYQKSFAFAKAAPRGPIFQNSQRKLVGVRKNEPDKHVRVKKHAAENEVPCDVVKKDDVNKGLGKQRSYHAKEFLSDVVPHGTLRVDELAKLLS* >Brasy1G367600.1.p pacid=40056154 transcript=Brasy1G367600.1 locus=Brasy1G367600 ID=Brasy1G367600.1.v1.1 annot-version=v1.1 MARKKVALRRIPNDAARRTTFRNRRNGLYKKASELATLCNVKACVIVYGEGEAQPEVWPSVAEVIPILHRYKAMPDIAQCKKTVTQEGLLCQRMDKLRVQIQKARRENDERHTTSLVHKAMLGRLPRLEGLTVEEVTNVGWMVQMNLKSLGERIANLQALAALEARAQPAPSLTYEQQVPHQETWLDMVRAPLVHSRFTDGNDASSSTTNNNMANTSASGGFSWK* >Brasy1G580400.1.p pacid=40056155 transcript=Brasy1G580400.1 locus=Brasy1G580400 ID=Brasy1G580400.1.v1.1 annot-version=v1.1 MDAAGALDLLSQHLTMNVFYLLAQASCRAPCQTHASHHDQDDPAKGETRAARKQRCQLHANHRASGRHVNNIGRRRANVANTGANHPRHVSDNRSRANGRPETHRTRHRRRRRKHRAADGTNQKRGKRIDLSRAPGIARAGLISNALRETQTPRTRIPSRTLLLDDGLGAQLVSNLHGLQGISRAAFRVKDQVPILLRFRQPKGMLTAPIWTAEAPKVKKAEEAPVGGGAKFDDLKANMLTAEHPLRETNNLKKRTPRAKNRFKSELHGPRIQPEVSTAKRDHHQKGRITRERMDRLPVAGEDGAGKALPNGSNLLLKARRDRRARHAGGRARQRVLQQKLWMNTEESRQERDSVQTSNPESSILEADRLKSFCLRVSRSTEIGEAIEVGSG* >Brasy1G060000.1.p pacid=40056156 transcript=Brasy1G060000.1 locus=Brasy1G060000 ID=Brasy1G060000.1.v1.1 annot-version=v1.1 MPDIVWLSHSQPHRRERLSPRRFCENAATRTSGKARQTPCTSTPAKEYIQLECSVLLTTKYATSFIFPTICSTKHLIYICALGSRQFLFIVQKPAAIQLLSIYCRLKS* >Brasy1G083600.1.p pacid=40056157 transcript=Brasy1G083600.1 locus=Brasy1G083600 ID=Brasy1G083600.1.v1.1 annot-version=v1.1 MAPRRRERRVVAVAGVAALVAVGLNLAFSAVAAHRRRKRQELPGFSAQVNLSAAEIKRLADRIIAKSKETYDAVAAVPLDKVSFANAIAPLAELDAQQFPLVQACVLPRMVSPSDDVCKASAEAEKRLDNHFLLCRQREDVYRIIKAFAERGERIGPEATRFVQCLVREFERNGAKLTQIKRKEMEKLKSLIDDLNLKYIQNMNDFTKFLLLSEEELAGMHLEFLKDLEETDGKRKVLLTSYHVTPILEHCKVGSTRKMVAVAYGQKGGKQNVAILEKLVLLRHRLARLLGYSNYSDFAIEPRMPMTSRKVLEFLEEMSEQLSDLANRELSILKDLKMKEEGDAQFGMEDLLYYMKKAIQHKVDLDIGEIKQYFPVKLVISGMLKMFQDLFVLRFEEIKDAEVWHDTVRLFSVRDASSSDLLGYFFLDIFSREGKYGQTCVVALQNGCLCSNGTRKVPVAVLLSQCPKEFDGSSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLRLEGDFAEIPSLLLENWCYESISLKMMSGFHQDITKSVTSEACQSLKRRRDMFAGLKLKQEILLCLVDQIIHTSENVDIDDLIKDLHPKVMLGIPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFATKFKDDLLNQHAGLRFRNKVLAPGGSRDSLEIISDYLGREPSLQPFVQSRTRNSL* >Brasy1G462500.1.p pacid=40056158 transcript=Brasy1G462500.1 locus=Brasy1G462500 ID=Brasy1G462500.1.v1.1 annot-version=v1.1 MSSPGTMTNQQSFSGRVLLTAVVILFALTVVFVAIRVLLYMCCAFGGSGSRGSGGLAAGIRRSMNSFGRIGSSRRGLDAAALSALPVTAYRKSAGDAADCAVCLSELADGDKVRELPNCGHVFHVECVDAWLRSRTTCPLCRAGAEAETELKGNGKEEAAQSSSSSSAAATGPPQPALSGPGGGSFIVTVHGFPDTQRDARGSTSGAVQLVSSYS* >Brasy1G338100.1.p pacid=40056159 transcript=Brasy1G338100.1 locus=Brasy1G338100 ID=Brasy1G338100.1.v1.1 annot-version=v1.1 MAPIKVYGWAVSPWMSRTLVCLEEANADYEIVPMSRSAGDHRLPDYLAINPFGEIPALEDGDLTLFQSRAISRYILRKFKPEFLREGNLRESAMVDAWVDVQAHKLEPILRPIVFNCIINQLVGDDRDQDVVDDSIEKLKKLLEVYEARLSSSKYLAGDFLSFAEFTHFAFMRYFMVTEYYADVVDAYPHFKAWWEALLSRPSVKKVMAGMPPDFGVGSGKIP* >Brasy1G352300.1.p pacid=40056160 transcript=Brasy1G352300.1 locus=Brasy1G352300 ID=Brasy1G352300.1.v1.1 annot-version=v1.1 MGNLCVRGGRRARPLVVLPDGSRFRLEEHAGVAELMIEAPGHVVARAKDAVKERRLRALGADELLRAGEVYLLVPVGKAGARLCDGAVEAICPLVFGQKGSKLKGRGGSRSRRRIFPGAETGFEEDGVADGEKKSGKQGLHVHRLRARQWRPALETIDEA* >Brasy1G520700.1.p pacid=40056161 transcript=Brasy1G520700.1 locus=Brasy1G520700 ID=Brasy1G520700.1.v1.1 annot-version=v1.1 MYRDSEGFPSVFPGIGLPRTASNRTYREAERRSAGGEQRRDPAIQSVGGDAEFPGEVVSREADWRILYMHNRQINIYALFYCSVTPSAEILAALQTIPDLAECDMLRAYGRLSISERLVESLMELPMTLRKAWLMTLP* >Brasy1G432200.1.p pacid=40056162 transcript=Brasy1G432200.1 locus=Brasy1G432200 ID=Brasy1G432200.1.v1.1 annot-version=v1.1 MAAAARSLLRSSASLLRAAPARSSSSAARPSLRRALSAPPRLLRSPVEASFCVESLLPLHSATVAARMTSLLAVPGRGLGWLTEGQDETR* >Brasy1G432200.2.p pacid=40056163 transcript=Brasy1G432200.2 locus=Brasy1G432200 ID=Brasy1G432200.2.v1.1 annot-version=v1.1 MAAAARSLLRSSASLLRAAPARSSSSAARPSLRRALSAPPRLLRSPVEASFCVESLLPLHSATVAARMTSLLAVPGRGLGWLTEAEIDGV* >Brasy1G271100.1.p pacid=40056164 transcript=Brasy1G271100.1 locus=Brasy1G271100 ID=Brasy1G271100.1.v1.1 annot-version=v1.1 MAGDNIDVEDEGHLNQPITRLHFNALRDHLRREFRNSLQPIEEKQDKMSEDLQQLMDNVNEQLTQNMTTMRADLVADIVRELRQWRQDASVHGDEQPEPNEEAEASDARARRQQRAAPRGMRPPGPGRGNGGVAGRGRGEVAGGRGLGGNRWRENVHHDDSEDEFEDDNYGLQRNGRFRHQRNYDREHHEEERKLPHMGVKG* >Brasy1G495800.1.p pacid=40056165 transcript=Brasy1G495800.1 locus=Brasy1G495800 ID=Brasy1G495800.1.v1.1 annot-version=v1.1 MAAAMALLPLLLFFLLCAAPAPSQAGDPFAYFDWEVSYLTAQPLGVSQKVIAINGQFPGPPLNVTTNWNVVVNVHNRLDEPLLLTWNGIQHRKNSWQDGVPGTNCPIPPSWNWTYRFQVKDQVGSFFYFPSAAALLHRAAGGYGGIVVNNRDVIPIPFPFPDGGDLTLLIGDWYARSHKDLRKSLDAGTPLGPPDGVLFNGLGPFRYNYSVVAPGITYERINVEPGRTYRLRVHNVGVSTSLNFRIQGHNLLLVETEGSYTSQQNYSNMDIHVGQSCSFLVTMDQNASTDYYVVASARFVDASTIDKLTGVAVLHYSNSQGPASGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGQLRSTINGISYITPSTPLILAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGVWNLRVENLDSWYLGQEVYISVVNPEDSSDKTVLPLPDNTIFCGALSSLQKEQSHRFQYSGASSVGKIVSLVGFFMVWLAASWL* >Brasy1G017300.1.p pacid=40056166 transcript=Brasy1G017300.1 locus=Brasy1G017300 ID=Brasy1G017300.1.v1.1 annot-version=v1.1 MGRHTTGSKAEAGAGGDHRKEEKHHKHMEQLAQLGAVAAGAYALHEKRKAKKDPEHARSHRIKEEVAAAVAAGSAGFAVHEHHRKKDAKKHSHRH* >Brasy1G299400.1.p pacid=40056167 transcript=Brasy1G299400.1 locus=Brasy1G299400 ID=Brasy1G299400.1.v1.1 annot-version=v1.1 MSSSLLSMSLVDEIGGYVDDGSDDMAAGYLSFDIDEYYLPEADHAFYAGQQAPADPPVGHSLQADDADSYKSGGKAASVTTSEGLSCHDKINNHQSLTSAGKSCKIAFKTRSEVEVMDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCRVKKRVERERDDARFVITTYHGVHDHPAPLPPRGCAGYSLAQPQY* >Brasy1G272000.1.p pacid=40056168 transcript=Brasy1G272000.1 locus=Brasy1G272000 ID=Brasy1G272000.1.v1.1 annot-version=v1.1 MKEGAQSGIRFQQPKVFAKTHTNPGNPKPEATRRTSTMEAEAFPIRFTKGIRSYWHRSKYQRVDGGAAGRGGTRHNLVRLGDGGSGRPWGVRLGGMLRPVRVKAPAAAVAAAKAPARVLGRIRDAYVDAMLGAARKQQQSAGCGGTRALPSGPAPEALWQKRVPVRRSRSQAQVRQRPDELGQRLVLEMYKSVRASRDLADMLQASATVR* >Brasy1G413800.1.p pacid=40056169 transcript=Brasy1G413800.1 locus=Brasy1G413800 ID=Brasy1G413800.1.v1.1 annot-version=v1.1 MESTSSLKPCVVSQPQSPKQGDDAGKAASSAPKQMPVPARTPSSFAMLTRFHAGYFRISLALSGQALLWRTLSDDSSSSPSSPEQASPMLPVVRSLPSAAFVLLWSLALLTLLALCALYAARCALRLPAVRAEFRHHVGMNYLFAPWISWLLLLQASTPCFLAPGSPSYHALWWAFSLPVLVLDVKVYGQWFTRGKKFLSMVANPASHMTVIANLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWCSITGSFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVGDAAPQASVLMLALAVLSVVVTLALMVFTALRTGDLLPHDDPFDCGQLPTTR* >Brasy1G413800.2.p pacid=40056170 transcript=Brasy1G413800.2 locus=Brasy1G413800 ID=Brasy1G413800.2.v1.1 annot-version=v1.1 MESTSSLKPCVVSQPQSPKQGDDAGKAASSAPKQMPVPARTPSSFAMLTRFHAGYFRISLALSGQALLWRTLSDDSSSSPSSPEQASPMLPVVRSLPSAAFVLLWSLALLTLLALCALYAARCALRLPAVRAEFRHHVGMNYLFAPWISWLLLLQASTPCFLAPGSPSYHALWWAFSLPVLVLDVKVYGQWFTRGKKFLSMVANPASHMTVIANLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWCSITGSFDTCCKMLFFLSLFLFASLVRCVRTYISYH* >Brasy1G504600.1.p pacid=40056171 transcript=Brasy1G504600.1 locus=Brasy1G504600 ID=Brasy1G504600.1.v1.1 annot-version=v1.1 MDKDEVGLTELIRPHPPRRHHHGFVHRRVRWERERRRGTGHASATGPAPPPYRTTGPPSSSTPRPKLRGGGASPATDNHRRRARPAKAPSSSSAAPADLGRGSARPTASARFGRLRPRRPGRPRRLRPVPATGSAAGGGRRGELAVSVRDNLLHERGTPLAELARLPPSHSLDRRSPGAAPPATWTQRRAAAVLGAAAGGFWRDPGGQRRLDRAGVG* >Brasy1G302800.1.p pacid=40056172 transcript=Brasy1G302800.1 locus=Brasy1G302800 ID=Brasy1G302800.1.v1.1 annot-version=v1.1 MSSPPSAAPPTSPSPATSSHPPPSASDGPPVHRNIAPDYRLISVPTRMTSRYYRQDNSSRRGKGTRFPGPELPEDIWCHIHSLMPMRDAARAACVSRAFLRSWRCRPNLVFNLKTLGCSLTNVSDFESKVDDIVKNHSGIGVKTFSLEWDYCVSAKACSYLDSWLQMAVTPGIEKLTLHMCSTYSWDAEYNFPCSLLSDDGRGSSIRYLHLADCAFRPTVELGCCLRSLTTLNLCHVDITRDQLGCLLSASTALERLELRRCSEITSLKIPSLLQHLSQLQVYECHKLRLIENEAPNICSFHFWGVQAKLLLGQLLQSKDLELCCSTTVSYARQELPSVAPNLETLTISSYREMVTTTAMSPSTSSI* >Brasy1G521700.1.p pacid=40056173 transcript=Brasy1G521700.1 locus=Brasy1G521700 ID=Brasy1G521700.1.v1.1 annot-version=v1.1 MEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLHNFVEYLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRHMAPRFGKLNKALAELVDDYSMVNFIPLDLRKESSIQYVLSSIDNCIQYGEDADVRVRDFIPEED* >Brasy1G241500.1.p pacid=40056174 transcript=Brasy1G241500.1 locus=Brasy1G241500 ID=Brasy1G241500.1.v1.1 annot-version=v1.1 MATPAPETKVTVVDGVTKNKNDVEDAEDNNLQPVVADGTSHQIGVDPWYQAGFVLTTGVNSAYVLGYSGSLMVPLGWIGGTIGLLIAAAVSMYANALLAKLHLLGGKRHIRYRDLAGHIYGAKMYRVTWAMQYVNLFMINIGFVILAGQALKALYLLVRDDGALKLPYCIVISGFVCALFAVGIPYLSALRVWLLFSTAFSLIYIVAACVLALRDGARAPARDYSIPGDPSNRVFTTIGASASLVFAYNTGMLPEIQATVKPPVVKNMEKALWLQFTAGSVPLYAVIFVGYWAYGNETSSYLLNSVHGPVWVKAVANLAAFLQTVIALHIFASPMYEYLDTRFGSGRGGPFAAHNVVFRVGVRGGYLAVNTLVAAALPFLGDFMSLTGALSTFPLTFVLANHMYLVANGHRLSSLRKTWHWFNVVGFTILAVAAAVAALRLIAVDSKTYNLFADV* >Brasy1G241500.2.p pacid=40056175 transcript=Brasy1G241500.2 locus=Brasy1G241500 ID=Brasy1G241500.2.v1.1 annot-version=v1.1 MAMTAPEPAPETKVFMVEDGTKNEEDLPLSADDTTHQIGVDPWYQAGFVLTTGVNSAYVLGYSGSLMVPLGWIGGTIGLLIAAAVSMYANALLAKLHLLGGKRHIRYRDLAGHIYGAKMYRVTWAMQYVNLFMINIGFVILAGQALKALYLLVRDDGALKLPYCIVISGFVCALFAVGIPYLSALRVWLLFSTAFSLIYIVAACVLALRDGARAPARDYSIPGDPSNRVFTTIGASASLVFAYNTGMLPEIQATVKPPVVKNMEKALWLQFTAGSVPLYAVIFVGYWAYGNETSSYLLNSVHGPVWVKAVANLAAFLQTVIALHIFASPMYEYLDTRFGSGRGGPFAAHNVVFRVGVRGGYLAVNTLVAAALPFLGDFMSLTGALSTFPLTFVLANHMYLVANGHRLSSLRKTWHWFNVVGFTILAVAAAVAALRLIAVDSKTYNLFADV* >Brasy1G502000.1.p pacid=40056176 transcript=Brasy1G502000.1 locus=Brasy1G502000 ID=Brasy1G502000.1.v1.1 annot-version=v1.1 MAAGISWCWGVVSSWLLSPGAAWFLFFNAIVGAVAVLSWGAHHHQGGDGNTALARRRRLTRSASSMVMERLRSMSTAVFPSFHVQEYNAFQEAEAEAEEIRLQAVSMVKPDPDVVPMGETIAPPSRAPAARAEEEAVREEMSVSLDNEACAAAAAATVERRREKSEPEKASGEFPFVVCAERCRRAYGDEAEEKAEVNARAERFIRQFRADLKLERINSIILNRSRCAGAAPAPVR* >Brasy1G442400.1.p pacid=40056177 transcript=Brasy1G442400.1 locus=Brasy1G442400 ID=Brasy1G442400.1.v1.1 annot-version=v1.1 MASHHQRRHLPSYLAPAAALLLAFLLAPPAAALTPLCGKNSNYTANSTYQANIRALSLTLPKSANSSRTLFATGSAGALPDIVYALALCRGDTNASACGACVATAFQDAQQLCAYDRDASVFYDPCFLRFSNQNFVSDTGGDNGNSIALMNTQNVTAPVKLFDDAVGVLLNATADYAAANSSRRFGTGEAGFETVDKGNPKIYGLAQCRPDMASADCRSCLANIIRDMPKFFSGKQGGRIIGIQCFYRYEQYSFFSGPSLLQLPAPSLGAAPEPAPANAKPPETGGGGTTNSAGRILAIALPIVAAIMAAVMFCLCLWRRKRKPARKQPLAYPTNPEDIQTIDSLILDLSTLRVATDNFDESNKLGEGGFGAVYKGVLAGDEEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEENEKLLVYEYMPNKSIDTILFDPDRSSQLDWGKRFRIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDNEFNPKISDFGLARLFGSDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGILILEIVTGRRNSGSFDSEQSVDLLSFVWEHWTMGKILETMDSSMTKHSPADQMLKCIHVGLLCVQEDPADRPMMSVVNVMLSSSTVSLQAPSRPAFCFQNWGTKDSDMHSEPFRGVSQSTDRLPMSPNEVSITELEPR* >Brasy1G442400.2.p pacid=40056178 transcript=Brasy1G442400.2 locus=Brasy1G442400 ID=Brasy1G442400.2.v1.1 annot-version=v1.1 MASHHQRRHLPSYLAPAAALLLAFLLAPPAAALTPLCGKNSNYTANSTYQANIRALSLTLPKSANSSRTLFATGSAGALPDIVYALALCRGDTNASACGACVATAFQDAQQLCAYDRDASVFYDPCFLRFSNQNFVSDTGGDNGNSIALMNTQNVTAPVKLFDDAVGVLLNATADYAAANSSRRFGTGEAGFETVDKGNPKIYGLAQCRPDMASADCRSCLANIIRDMPKFFSGKQGGRIIGIQCFYRYEQYSFFSGPSLLQLPAPSLGAAPEPAPANAKPPETGGGGTTNSAGRILAIALPIVAAIMAAVMFCLCLWRRKRKPARKQPLAYPTNPEDIQTIDSLILDLSTLRVATDNFDESNKLGEGGFGAVYKGVLAGDEEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEENEKLLVYEYMPNKSIDTILFDPDRSSQLDWGKRFRIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDNEFNPKISDFGLARLFGSDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGILILEIVTGRRNSGSFDSEQSVDLLSFVWEHWTMGKILETMDSSMTKHSPADQMLKCIHVGLLCVQEDPADRPMMSVVNVMLSSSTVSLQAPSRPAFCFQNWGTKDSDMHSEPFRGVSQSTDRLPMSPNEVSITELEPR* >Brasy1G461700.1.p pacid=40056179 transcript=Brasy1G461700.1 locus=Brasy1G461700 ID=Brasy1G461700.1.v1.1 annot-version=v1.1 MTSGPPSPSGKPYSRKSHPKSSKAPGARSSDAHNGPLIPTVTFSLSSSLATRRELRRRLSAELSQVRAVSKRLNSLPAPAPSSALSATDPSTPLPPQPPTSKHKSKKGPPNPSGSAEARRKLYAPVFKSCGVLLTRLMKHKHGWVFNVPVDASALGLHDYHTIITKPMDLGTVKSRLAEGHYKSPREFATEVRLTFQNAMKYNPKGQDVYFMAEQLLNMFEEKWPDIEAEIAQLSPQPPTPSSAAPKKPREIDNGRVLERSDSTAHAAGLEATPKPHPGTGRPPVLKKPKAREPNKREMTFWEKQKLSNDLQDLPAEKLDNVVQIIKKRNSSLNQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELPVVRQDESEHDPDMEKIEHRRQDESEQEQMLTVQETIPEPEAVDVELPMVDVEPPKEIAPDDNGRYVGSSSPAHLEDQKGENAGRSSSSGSSSSGSGSSSSDTDTDSSSADGSDAAQSPKS* >Brasy1G461700.2.p pacid=40056180 transcript=Brasy1G461700.2 locus=Brasy1G461700 ID=Brasy1G461700.2.v1.1 annot-version=v1.1 MTSGPPSPSGKPYSRKSHPKSSKAPGARSSDAHNGPLIPTVTFSLSSSLATRRELRRRLSAELSQVRAVSKRLNSLPAPAPSSALSATDPSTPLPPQPPTSKHKSKKGPPNPSGSAEARRKLYAPVFKSCGVLLTRLMKHKHGWVFNVPVDASALGLHDYHTIITKPMDLGTVKSRLAEGHYKSPREFATEVRLTFQNAMKYNPKGQDVYFMAEQLLNMFEEKWPDIEAEIAQLSPQPPTPSSAAPKKPREIDNGRVLERSDSTAHAAGLEATPKPHPGTGRPPVLKKPKAREPNKREMTFWEKQKLSNDLQDLPAEKLDNVVQIIKKRNSSLNQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELPVVRQDESEHDPDMEKIEHRRQDESEQEQMLTVQETIPEPEAVDVELPMVDVEPPKEIAPDDNGRYVGSSSPAHLEDQKGENAGRSSSSGSSSSGSGSSSSDTDTDSSSADGSDAAQSPKS* >Brasy1G137800.1.p pacid=40056181 transcript=Brasy1G137800.1 locus=Brasy1G137800 ID=Brasy1G137800.1.v1.1 annot-version=v1.1 MASRPVLLPHGLVLSLVLLLCFSPDCAARRVPVSVYYETLCPFCSGFVVNDLARIFQNGLSSIVDLRLVPFGNGRVSPDGSMTCQHGEDECRLNAIEACVIRLWPQAEQHFPFIHCNEHLALTQKWGAWQSCFHETGLAFQPVIDCYNSGYGRKLELQYAAETYALQPPHQFVPWVVVNGRPLGDDYTNFEAYICNAYDGELPEACRGKHLAIAQHTRASRGDKRNPQELAIVLAICVALWF* >Brasy1G464900.1.p pacid=40056182 transcript=Brasy1G464900.1 locus=Brasy1G464900 ID=Brasy1G464900.1.v1.1 annot-version=v1.1 MAAVAEGSPAASQAASAGAAAAKESAYQKDVQKLVDLLSNLNPAAREFVPSSAAPPSKKALSADAPVFDYCSIGGANGGSRDSGADSAYIGNQQRMMRSGYINHGRRRTNERARRADREDSIRRTVYVSELDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFSDEEGARAALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTDDEKEMVIRTIYCTNIDKKVTQLDVKSFFQELCGEVSRLRLLGDNVHSTRIAFVEFVNAEGAIMALNCSGMILGTLPVRVSPSKTPVKPRINRVASY* >Brasy1G360000.1.p pacid=40056183 transcript=Brasy1G360000.1 locus=Brasy1G360000 ID=Brasy1G360000.1.v1.1 annot-version=v1.1 MKLLVDSKAQRVLYAEAGKDVVDFLFSFLTLPVGTVVKLLILTYDSMVDGCVGNLYGSVDKLDDTYMICRNGAKQALLTPAGGCHEQGGKLLLQLPDAAAAPEEEAGQLFRCGAPYGQSSCYNNVTKSQGARCPVCKNAMQTRMNLVEVEPDQDVPVASAGAGFGKNILYDPRFRSNGLYGGPAPGSFNVRSHRIFYPGFVQGIVTYTVMDDLKVTPMSAISSVTLLTSCGIASLQEKTVQLGYTRPGNQGLEILKASLQSETVLTDVFLGNKAKKRKS* >Brasy1G271900.1.p pacid=40056184 transcript=Brasy1G271900.1 locus=Brasy1G271900 ID=Brasy1G271900.1.v1.1 annot-version=v1.1 MPAPVYPRPSSSSPPLSKTLPLHRIERQEENTAVCGRKSSNQSAMQEALVSPAANADRLRSAFELKAYAFGDQRLSSYLPASGGEDALALYRCTSPFSPSLGFSSPSPLATSVSLSPSSSASLVDEDGDYGAADATGHRLQLARLALQCQEVTGRYELCLSHLAEAVDEAAALRRENAELRAANTDLVHRLALLGGKQSAAIAIADDLRRFRGGDHKVAAAERSHETTKLASMLPKSISVRSNGYLKMNQQQPAPAPAAYNRKPRTPTPTNPTSRAHVGEDGGGKKGGEEQKQQLQDKEEQDAAAELDVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTEVCRMVLNGQVCPYGHRCHFRHSLTPAERLLRRT* >Brasy1G186600.1.p pacid=40056185 transcript=Brasy1G186600.1 locus=Brasy1G186600 ID=Brasy1G186600.1.v1.1 annot-version=v1.1 MDRGPSWSPMSAAGLWVVWPYALVLSLALMALSLPRPASAAGGFRCRPGARPVVFNFGDSNSDTGGMAAAKGWHITPPEGRAFFHHPTGRFCDGRLVIDFLCERLNITYLSPYLKAFGSNYSNGVNFAIAGSTTLPRDVLFALHVQVQEFMFFKARSLELISQGQQAPIYAEGFENALYTIDIGQNDVNALLSNLPYDQVVAKFPPILAEIKDAVQTLYFNGSRNFWIHGTGALGCLPQKLAIPRKNGSDLDQNGCLNTYNRAAVAFNAALGSLCDQLNVQMKDATIVYTDLFAIKYDLVANHTKYGFDSPLMTCCGYGGPPYNYDLSRSCQSPNATVCADGSKFISWDGVHLTEAANAIVAAGILSSAYSKPNLKFDQFCKV* >Brasy1G320800.1.p pacid=40056186 transcript=Brasy1G320800.1 locus=Brasy1G320800 ID=Brasy1G320800.1.v1.1 annot-version=v1.1 MISRCFGVISSKSDVYSFGMLLLEMAGGRRNADPNAARSSQEYYPSWVYDQLTQQEADEISPVADMHELEKKLCIVGLWCIQMKSHDRPTMSEVIEVLEAGADGLQMPSRPFFCDEEHIHVEDSYHFASELTALSEDDEDE* >Brasy1G423100.1.p pacid=40056187 transcript=Brasy1G423100.1 locus=Brasy1G423100 ID=Brasy1G423100.1.v1.1 annot-version=v1.1 MASSSRTTDAATDPTDAATDPASNPNNNNTPAPIAVPSPRARLQHPHHHLLDRRDTPRRPAWWFERATREAMDGTAAAPAAKPETKLVAGEAGYVLEDVPHLSDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKGGARGNHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYACNTINLTPKSVNDIHKRGGTILGSSRGGHDTMKIVDSIQDRGINQVYVIGGDGSQRGAGVIFEEVRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESAENGIGLVKLMGRYSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLYRYIEKRLKDNGHMVIVVAEGAGQKLIAETMKAMGQDASGNALLLDVGLWLSQKINEHFKKTKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKQDVEDAKVEDERTAKLLDGSPSNPKVEDGVASSNSNGVK* >Brasy1G114300.1.p pacid=40056188 transcript=Brasy1G114300.1 locus=Brasy1G114300 ID=Brasy1G114300.1.v1.1 annot-version=v1.1 MGIREPGGDTMAVVFLRKLDKTGADSIPRAVVPLINANVTSRLPEHICQGQRKERRGKARQTRAAMEPRARASSLLLCLMLSVFSVDIVSAQQRFGINYGQIADNLPDPTQVVRLLRSMNVNKVKLYDADSKVLTSFANTGVEFIISVGNENLQTMATTPGAARQWVSQHVQPFIPATRISCVIVGNEVLGNNDNAMAASLVPAMQAIYDALVDLGLSRQVTVSSAHSVNVLASSFPPSSGVFQEGLAQYIKPLLDFHSKTGSPFLINAYPFFAYKGSPGSVSLPYVLFEPNAGVVDPKTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRVSETGWPSKGDEDEVGATVQNAAAYNGNLMQRIAMNQGTPLKPEVPIDVFVFALFNENMKPGPASERNYGLFYPNGSPVYAINAGTGAGAGGGASSGSVGRFDPYSSQMFSAASKLAVRTTSLTLLLVLPILSAFSC* >Brasy1G479200.1.p pacid=40056189 transcript=Brasy1G479200.1 locus=Brasy1G479200 ID=Brasy1G479200.1.v1.1 annot-version=v1.1 MENEMSDAPAAVAEQLPAVAEEGDGGAEAVGSTLTMERVAAAKKFIENHYRSHMKNIQERRERRVRLERQLATSEVPREQQITLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVIRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLKESMDVDRSLSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHVKFPEDSRLSPEARDLICRLLCDVEHRIGGAGAEQIKAHPWFHGVAWDKLYEMEAAFKPQVNDELDTQNFMKFDEMDNPPARTGSGQSRKMKLNSKDLSFVGYTYKNFDAVKGLKHADMQRSSSLTRPSLGSIFGEAPREPNGKDTHMHTDSSEDPMSP* >Brasy1G406800.1.p pacid=40056190 transcript=Brasy1G406800.1 locus=Brasy1G406800 ID=Brasy1G406800.1.v1.1 annot-version=v1.1 MADHQRIHPVDLEAGQPRPTAPLVPSGSFRSDKGHPPPAQPDTRPLPPPPRRTVPPMPPLPPPKRRRSRGSCCCRLLCCIIATAVILAVLAAAALGALYLAFNPKAPRYSVDRLSVTQFQVDPATLTAAAAFEAALTASNPNARIGIFYERGSSLSVWYESVRLARGALPAFYQGHRNTTTLGVAMAGQAQLGGAVVSAMRDAQRTGAVPLVFRADVPVRVELGTLRLWTVTSRVRCDLVVDSILNAGSNIKIKASNCKFGFKLI* >Brasy1G302100.1.p pacid=40056191 transcript=Brasy1G302100.1 locus=Brasy1G302100 ID=Brasy1G302100.1.v1.1 annot-version=v1.1 MAQPLSSSSQDPSNPQHRMLITNKHGEELVGLLHPAGSNKIVVLCHGFTASKNDSIIVDLADALTKQGISIFRFDFSGNGESGGEFQYGNYRKEADDLHSVVLYLFQEKYDVKAVVGHSKGGDVVVLYASLYDSVHMVVNLSGRFYLEQGIEERLGKEFIDRINKEGFIEVTDKSGKVLYKVTKESLMERLNTDMRATSLSIRKECSFLTVHGSADEIIPVEDAYEFAKHIPTHKLCVIEGANHCYTAHRKELSDAVVDFITPKEAGDTSLPKDE* >Brasy1G430300.1.p pacid=40056192 transcript=Brasy1G430300.1 locus=Brasy1G430300 ID=Brasy1G430300.1.v1.1 annot-version=v1.1 MVIDDPLDFEGENDPLLSAPRPAKRKKVIGLDDLLVDFFESGKDELKASCSKSKRGLKGYNSDDEDKKVREKEINFCKFVEECEEQAKAMDPGDDVPQWGQQVFGSQKSPPILNDKGIENCQLLQSFSGEEHLGFDPEIEQGEDFLEGMLRDGWLLKLVLLYGSVEDSIVSWTLTKLLYSTNKKLQNSASEFWDSLLSLNEDDKPLIKFGYFPSYSILKDAVLNYGYLHDAPFTKTCISESAVADTSDDGPPRNIIAWLRVVSACCKIRKMHSVFSPSEAEDLLVIVISLFLDRHLEGLLLILGDCLNSLVLYFNSSEWESSCLVAAESIAKRVAMDLNCLRIVDCIAGTNERSKFLRSQLALQFLKISFGLKVANVEKILKSVTSINVKEKECNFFRLYVYLVLMDNLLFSSDAFRNKPAIIEAWRNYLRNCSTQIGCTDWRFYASKVRNKASYLLQGAILKRPAVSGISAQ* >Brasy1G528900.1.p pacid=40056193 transcript=Brasy1G528900.1 locus=Brasy1G528900 ID=Brasy1G528900.1.v1.1 annot-version=v1.1 MGSSDNTKGLALAVASSAFIGASFILKKIGLMRAGKCGVRAGGGGYTYLLEPLWWAGLITMLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLDKLGILGCISCIVGSVVVVIHAPQEHMPNSVEEIWDLATQPGFLTYAVTTLILVAVLVVFVERRYGQKNILIYLGICSSMGSLTVVSIKAVGVAIKLTLDGMNQLTYPHTWLFIMVAVICGISQLNYLNKALDCFELAIVSPVYYVMFTTLTIVASGIMFKDGDGQSLSSIASECCGLITILSGTILLHVAKEKESASSAVSAWPLDRGISWYISVGSDNLLRNVEDDYFAAPRNSPATP* >Brasy1G528900.2.p pacid=40056194 transcript=Brasy1G528900.2 locus=Brasy1G528900 ID=Brasy1G528900.2.v1.1 annot-version=v1.1 MLMLRNIICLPCGGGYTYLLEPLWWAGLITMLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLDKLGILGCISCIVGSVVVVIHAPQEHMPNSVEEIWDLATQPGFLTYAVTTLILVAVLVVFVERRYGQKNILIYLGICSSMGSLTVVSIKAVGVAIKLTLDGMNQLTYPHTWLFIMVAVICGISQLNYLNKALDCFELAIVSPVYYVMFTTLTIVASGIMFKDGDGQSLSSIASECCGLITILSGTILLHVAKEKESASSAVSAWPLDRGISWYISVGSDNLLRNVEDDYFAAPRNSPATP* >Brasy1G528900.3.p pacid=40056195 transcript=Brasy1G528900.3 locus=Brasy1G528900 ID=Brasy1G528900.3.v1.1 annot-version=v1.1 MLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLDKLGILGCISCIVGSVVVVIHAPQEHMPNSVEEIWDLATQPGFLTYAVTTLILVAVLVVFVERRYGQKNILIYLGICSSMGSLTVVSIKAVGVAIKLTLDGMNQLTYPHTWLFIMVAVICGISQLNYLNKALDCFELAIVSPVYYVMFTTLTIVASGIMFKDGDGQSLSSIASECCGLITILSGTILLHVAKEKESASSAVSAWPLDRGISWYISVGSDNLLRNVEDDYFAAPRNSPATP* >Brasy1G362900.1.p pacid=40056196 transcript=Brasy1G362900.1 locus=Brasy1G362900 ID=Brasy1G362900.1.v1.1 annot-version=v1.1 MSAANSSSSKAEVSEAAAVVAGSVAATAEAGSLAATAEAGSVAATAEAGSFAATAEAGSFAATAEAGHQGSPVMNSATEEEGRSGGSQRTGGRGKIPLVELLTSLHLREDEEEEIVLEEDPVELAASARWMALATVHTARTFSHGALYGDMRAAWNLAKDFHCLADWERVMQGGPWLFRRCPVSLAEYDGWGEVEDVDLFRFPAWVHVLDLKEKMRTSNIARQISRRAGDFVALDEQSVKGASGGVRVRVMIDARKPLARAATVTLGDVKHYFRFRYEKMPDFCGVCGYVGHVQKECGDGVWPEAKIIYKPDLIVPAFRTGRGRGRGGWEENWREPGWSGEEGADDEVKSTSWPVKSHAKGHGNILSKRRLPLESDLRKNVLRITDGMEILEAGVETEDVNSSQDSKRHKGKGSATMDQDLALSAGSFEELRRA* >Brasy1G030900.1.p pacid=40056197 transcript=Brasy1G030900.1 locus=Brasy1G030900 ID=Brasy1G030900.1.v1.1 annot-version=v1.1 MSDEAAAVRLVGAISSPVVHRAEVALRLKGVTYEYIQEDLDNKSELLLKHNPVHKKVPVLLVHGADDPPAAVCESLVIVEYVDEAFAAAGGPPLLPSDPLARASARFWARFIDEQCWKSLWVALWASDGEARGKSAAEAKANLKLLEAQLLGAAGAGAGGEEEQEEKRFFGGDAVGFLDIAAGPFAYWLGVFEEMAGVRLLTEADHPALCRWAGEYRAVEAVRGSLPDRERLLAALSKRKELYVSIANAMAAQN* >Brasy1G220400.1.p pacid=40056198 transcript=Brasy1G220400.1 locus=Brasy1G220400 ID=Brasy1G220400.1.v1.1 annot-version=v1.1 QQGITHFDVHVMCISEMEKGKHGKAQWDAVAHRVFLDVCIEEVEANNRPTQCLNAVGYANLISKFNERTKRNYDRKQMKNRWEALKKDYNTWKQLTQRASGLGRDPNTHTIAASDEWWESEIKRCPDASKFRNAPLQDEEKMAIIFDKHCVTNEHARVPPRSARVGPSQDANVETIDGDEEDSGCEGDDYVTPVLGGKGKGKPKRACPYSPSPATKKAKEKNPSDVQRLLSIMALE* >Brasy1G575600.1.p pacid=40056199 transcript=Brasy1G575600.1 locus=Brasy1G575600 ID=Brasy1G575600.1.v1.1 annot-version=v1.1 MAMVLDAFASYFTDMLKQAVEDEVGMLLGVAGDIDKMGVKLGDLKNFLADADRRNITDQSVKGWVAELKRAMYDATDILDDCQLKAMDRQGASSSSSCMVCCNPLLFCLRNCLHAHDIGTRIKALNQKLDAIKERSAAFGFINLGSYEDRRSKLQPLPRRSSTTNKLMPCLTR* >Brasy1G049900.1.p pacid=40056200 transcript=Brasy1G049900.1 locus=Brasy1G049900 ID=Brasy1G049900.1.v1.1 annot-version=v1.1 MAEMRQPKPLHHHLLLLLATVLAMACTAAGEEGSGGKPLVTAITKDASTLLYSFPVIKNGSPLVLDLSGPMIWSTCPEDSPHDTIDCNSPACMRAHRYHPPNCPHTGYGQPDTPRNPYRCKCTAHPHNPVASGSTQTPPSGQDLTRVALSANATDGNNPLPPPVAFTAVASCAPESMLEGLPAGAVGLAGLGRSALSLPAQVAGSQSVCHKFALCLPSGTSGSGSGVAVFGGGPLSLLPMAGTDLTANLAGETPLVKYKECPGYYVKATAGIAVNQAQVVLPLDEDCGPLVVGFSTTGPYTQLRSDLYRAFIKAFDAATAGLPRLPPPPSSSGPKFELCYESAKLGSTRLGYAVPQVDVMLDGGKNWTVFGGNSMAQVDERTACLAFVEMGKDKARYGGGGEAVAPAVVIGGFQMENNLVVFDEEEQRLGFSGLLWGRRTTCSNFNFTMAAV* >Brasy1G463600.1.p pacid=40056201 transcript=Brasy1G463600.1 locus=Brasy1G463600 ID=Brasy1G463600.1.v1.1 annot-version=v1.1 MVELLSAGFSDLAPFLAAAVALYFLAEQLSYHRKKGPLPGPPLVVPFLGSVARMVRDPTGFWDAQAARARESGAGLAADFLIGRFIVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAALQQRVILAHLRRWLDEQSAAAMPIRVPCRDMNLETSQTVFVGPYLTEKARERFAKDYTLFNVGLMAMPVDLPGFAFRRARLAVARLVRTLGACARASKARMRAGGEPECLVDYWMQDTLREADEAAAAGRDPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALDAHRDVLARVRAEVAAAWSPESGEPITAEKIQNMKYTQAVAREVIRHRPPATLVPHVAGESFQLTEWYTVPKGAIVFPSVYESSFQGFPEAEAFDPDRFFSEDRREDVAYRRNFLAFGAGAHQCVGQRYALNHLVLFMALFVSVADFRRDRTEGCDDPVYMPTIVPRDGCAVYLQQRCARFPSF* >Brasy1G343000.1.p pacid=40056202 transcript=Brasy1G343000.1 locus=Brasy1G343000 ID=Brasy1G343000.1.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G343000.2.p pacid=40056203 transcript=Brasy1G343000.2 locus=Brasy1G343000 ID=Brasy1G343000.2.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G343000.6.p pacid=40056204 transcript=Brasy1G343000.6 locus=Brasy1G343000 ID=Brasy1G343000.6.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G343000.3.p pacid=40056205 transcript=Brasy1G343000.3 locus=Brasy1G343000 ID=Brasy1G343000.3.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G343000.4.p pacid=40056206 transcript=Brasy1G343000.4 locus=Brasy1G343000 ID=Brasy1G343000.4.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G343000.5.p pacid=40056207 transcript=Brasy1G343000.5 locus=Brasy1G343000 ID=Brasy1G343000.5.v1.1 annot-version=v1.1 MTSTCLSLRSPASSPFPSATGVGGCVRWAQPLAPKLFRSVLPPAAMPAVPRRLLLPAAAGIWDFLSGGAGGAAAAPLAVRRGMELFKKGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVAEARKRFLEVGLDGRPVMRAAYALFKDGGDPEKLASNFSSSSDGELFYASLYAGLYHESQKNADSAKSHIVAACKSRYGSRSGDYMASLALVHCQCRNWTLEEQES* >Brasy1G256200.1.p pacid=40056208 transcript=Brasy1G256200.1 locus=Brasy1G256200 ID=Brasy1G256200.1.v1.1 annot-version=v1.1 MKDPFEAAVEEQESPPDSPAQPEEDDAAEAPHAISEDYDGGAASGGPRAPPPRPQPSSHAGPSTSVAPALPRPKVRHQKEQDDDDDEEDHMEVDLDKLPSGTSDPDKLAKMNALLSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISMPTTIVVSGIAKMFVGEVIETARIVMTERKDSGPIRPCHIREAYRRLKLEGKIPKRSVPRLFR* >Brasy1G122200.1.p pacid=40056209 transcript=Brasy1G122200.1 locus=Brasy1G122200 ID=Brasy1G122200.1.v1.1 annot-version=v1.1 MGEGFSVRVFRFSAGEDWTRFHHIHMDETFCNTTEISVAILIRLFVLVLIFSTVSISQYLLNHPIFRGQRSM* >Brasy1G111100.1.p pacid=40056210 transcript=Brasy1G111100.1 locus=Brasy1G111100 ID=Brasy1G111100.1.v1.1 annot-version=v1.1 MEMIRMLTWSSSSQATILLILTPIFLLNRAAAFYGSMCHVMESNISVDMMLHKLGSTSFLELFVNRYLHAFHWETDFVQF* >Brasy1G120400.1.p pacid=40056211 transcript=Brasy1G120400.1 locus=Brasy1G120400 ID=Brasy1G120400.1.v1.1 annot-version=v1.1 MARQALKRLSTGPREAKDEFIRLCSSGRLKDALHHPFRGVLWSDASLFAHIFRVCRTVPLLRQLHAFAATSGTAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNNILIGGYIKNGDLGSARELFDKMPTRNVATWNAMVAGLTNVGLEEDSLQFFLAMRREGMHPDEFGLGSVFRCCAGLLDVVSGRQVHAYVVRSGMDNDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLVALAQGQQVHAQVIKAGVDKVVPVITSLVHMYSRCGCLGDSERVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMMNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALVLSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIESNPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVSRFHHFRDGRCSCGDYW* >Brasy1G158700.1.p pacid=40056212 transcript=Brasy1G158700.1 locus=Brasy1G158700 ID=Brasy1G158700.1.v1.1 annot-version=v1.1 MSDLDVQLPSAFDPFAEANAEDSSAGAGSKDYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVATFLVQAGLAKKESIKIHGF* >Brasy1G219100.1.p pacid=40056213 transcript=Brasy1G219100.1 locus=Brasy1G219100 ID=Brasy1G219100.1.v1.1 annot-version=v1.1 MHDDRFQNQDIGNHSRQREFFSGQKLWYPKDDRVWMHDRFDEISIHNVQHDNTRRPIGPFGAWAGGRTHGADHGYLERTKSTSYYHDDRADYKTPSYYHDNMAEYKYTPQEFFTCYSTKNYHSFPREPNTSYGNAKNYRNVPRRFQSYYDDKNFGNAQRESRIHHCNAKDYNGAPNVYRGKPSRPYQSHWNNTSDISSVHNGTYSRSQNEDARQHEQEFPRRQELPFIQRRKVRPDIFSKLFSSSVRMAHSSMKPQSRPISRVKGLFPFSGHRNVVDSLTTVSIKGMHRPGLHSSLSTSNHYGQYSKSRDQRRGLKINEPTKNKPSSTSQATRSYTESNHAICQQRSIQQPLRSTPRVSTQIFDQTIASTDKIESHPQTTETISTEDEETSPPPGSNNYVAPCVVIGKNDKEERVNASFFHGGGLALGDQGFTGTPAVLPVVQFSGQRQHHRGPHNRFPSHGMALQGTLIHHPGGNSEINQMTWLPILTAATGALGATFSPHLDSYDPQPSELPSSSVSPRNPGATEVPASLNSEEIPGHEFGQLKNRTRRYSEMNFTSS* >Brasy1G203100.1.p pacid=40056214 transcript=Brasy1G203100.1 locus=Brasy1G203100 ID=Brasy1G203100.1.v1.1 annot-version=v1.1 MCSWCSVDGKDVGLNVRKKAKTVLAIVDEREKLQYLGLSSTGITYKSSAAAFGNGSYSSGSRYGSTGGSRESASFKDSYTSTERSKSPKDTVARNSSTMQRGSKSLLNPRATSGVPSSQKEENKDDDPRGSSASDGLANVISNHVDLFGPSFTNNLVDTAAESQVDLFADADFQSANTSLEAATGSHAQDIDLFAGNLSSPAHSSASSLDSFWPSFATTIPSDREFSMRDTPSQLSEGKPFAATALKNFDGSDSFGAFSSSTGSAVTELTHDSLGGNKNSDRSPLEELNFGAFISHKESPTAAKKVDLSDVGVVGRLSNRSEAGATMGIGPDFPSSAGPTSGDGYFQQRQFGNF* >Brasy1G482100.1.p pacid=40056215 transcript=Brasy1G482100.1 locus=Brasy1G482100 ID=Brasy1G482100.1.v1.1 annot-version=v1.1 MAAVDPPPNGAALKRSTSIDRIPEEARRILHRLAGELWGGDVDPAALVVSQLKGALTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFPQGRVEEFINARTLSAEDLRDEEISALIAKKLREFHELDMPGPKSVSLWQRLRRWLEEARGRCSAEEAKEFQLETLGNEITELEDALSGFDQRVVFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMSADYHAATPHVLDFTKYPDMDEQRRFVQTYLSYPAEKSPEVEVENLLGLIAKYTLASHLFWGLWGAVSEHVNKNIDFEYDEYARQRFDQYWQTKASILRRAN* >Brasy1G544000.1.p pacid=40056216 transcript=Brasy1G544000.1 locus=Brasy1G544000 ID=Brasy1G544000.1.v1.1 annot-version=v1.1 MKIFTKFPAGPYPASQPFRPLHKKSGTAAAVALAAPPPLLSSPRARGPRVEISPARDRSVVVSPLGRAVEDGDQTADGAAVSKLHPGRAAMVTSPRFLIADAPSGGLPGIPEVQNRASGERLC* >Brasy1G277600.1.p pacid=40056217 transcript=Brasy1G277600.1 locus=Brasy1G277600 ID=Brasy1G277600.1.v1.1 annot-version=v1.1 MSKRDDSLLCQEDDNSFLWGKRLRDSGLNLPEDIWCHIHSLIPMEDSARSACVSQAFLHSWRRHPNLIFTPETLGFKRDACRKDDIARAFTSKVDRILKNHSGTGVKILELDIFDCRDLDICYLNNWLQIAITPGIEKLTLSLPSKCTEGYAFPCSLVFGQSGSSLRYLKLSNCAFLPITGEELGYLLSCSFALQHLELGCCREIICLKIQCVLERLSCLTVSSCSLLQMIESKVPNLCTVNFDGALVQLSLGQSLRVKNLDMECSNESNFLCYSITKLPYVVPNVENLSLSSISERVHTPMAAAKFLHLKYLEIYLDGDFSPGYDYLSLVSFLDASPRLETFIFGVQQDDMMFDSILGGGLHMRQMPEHKHASLKDVEILGFCSAKSMVELTCHILKNATSLECITLDTIFDSEDTHNIGRCSETTARKTGECFPQTSQTMLEAYKGLMAIKTYILGKVPSAVKLDVRGPCRRCHTLELISR* >Brasy1G102100.1.p pacid=40056218 transcript=Brasy1G102100.1 locus=Brasy1G102100 ID=Brasy1G102100.1.v1.1 annot-version=v1.1 MAPGALARLIIGRRATGPLLARPFAAKARASRRAPEPEPLSEEEEDFSGGVEAAPTEGISKPLADVLRELGKRVPDSLVKTRLEDNGFALKYIPWHIVNKILNVHAPEWSGEVRNIIYSSDGKSVSVVYRVTLHGTDAEIYREATGTASVQDTGFGDPVQKAEGMAFRRACARLGLGLHLYHEDMS* >Brasy1G127800.1.p pacid=40056219 transcript=Brasy1G127800.1 locus=Brasy1G127800 ID=Brasy1G127800.1.v1.1 annot-version=v1.1 MGDVLQARAVAPAADEAGIWPGELDEQLISDLLSDDSLLIPPVDPADDSEHYCSRGDSTGSAGAAAAPCDTAAEHERVPAVAAASRALCSAYSGPTITDIQKALSSRPHPSRPGRRYSSLYFRRYGAPSAAPESRHTATVRSCAGGKMPTDGYKWRKYGQKSIKNNPHPRSYYKCTSSRCSAKKHVEKSTHDPEMFTVTYEGLHLHGPQPLLSRHLQPPAPTTDVAMVNKKVRLSPNSDDNHGHDSGAGIACGARPSKETCLEDDDDVGGGRSKVQGRQKKGRAHDAVIAVDSCDGWSAASSVPHADGAAAAVFFSDPPPPAANWPCLDLPWSPEGHFPWTI* >Brasy1G019700.1.p pacid=40056220 transcript=Brasy1G019700.1 locus=Brasy1G019700 ID=Brasy1G019700.1.v1.1 annot-version=v1.1 MFNMCSDTSLPVDSGMSPSNKLFEKSIAVKVVKTFSNVTYCPLYVIATVSW* >Brasy1G190800.1.p pacid=40056221 transcript=Brasy1G190800.1 locus=Brasy1G190800 ID=Brasy1G190800.1.v1.1 annot-version=v1.1 MASKAKLGELMWEHRLRAAAVVAFLAAAVVSISAVGPRLSAVVSFFWPLLVSTAFFLVAITVLLRISPAPAGADESGKDLIDFVAGCRPEHLVPLPEASTTVEVPPEPEI* >Brasy1G197500.1.p pacid=40056222 transcript=Brasy1G197500.1 locus=Brasy1G197500 ID=Brasy1G197500.1.v1.1 annot-version=v1.1 MSAAPAASAFLRPSATARLTDTALFADNTKPAPTRLCIGRRRTERTFAGLQIAAFNLNRCKKSHVKSGEADDYKKAEEDVLIDEETLQHNLDRAIREEDYARAAKIRDDLRILHEDTEASVLAANARFYNAFKKGDLAAMHSIWAKGDHVYVVHPAAGRISGYDVVLQSWEMVCNADYEFPLNIDLKNINVHVRGDFGYVTCLELVKTKGRTWGKQIATNIFEKVDGIWYICIHHASHIEE* >Brasy1G418400.1.p pacid=40056223 transcript=Brasy1G418400.1 locus=Brasy1G418400 ID=Brasy1G418400.1.v1.1 annot-version=v1.1 MAAAEANGGGGDPAARRRWDLPGKGVDSIPTGKEVLEMSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPLTPEGGNSSAACCRLHRHSIGNLKNGNSAAQCSPKSSPRTGAATKTRATKSAMKNVGQPGGAANVAVRT* >Brasy1G418400.9.p pacid=40056224 transcript=Brasy1G418400.9 locus=Brasy1G418400 ID=Brasy1G418400.9.v1.1 annot-version=v1.1 MYLLFLICCRPTGKEVLEMSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPLTPEGGNSSAACCRLHRHSIGNLKNGNSAAQCSPKSSPRTGAATKTRATKSAMKNVGQPGGAANVAVRT* >Brasy1G418400.3.p pacid=40056225 transcript=Brasy1G418400.3 locus=Brasy1G418400 ID=Brasy1G418400.3.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPLTPEGGNSSAACCRLHRHSIGNLKNGNSAAQCSPKSSPRTGAATKTRATKSAMKNVGQPGGAANVAVRT* >Brasy1G418400.4.p pacid=40056226 transcript=Brasy1G418400.4 locus=Brasy1G418400 ID=Brasy1G418400.4.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPLTPEGGNSSAACCRLHRHSIGNLKNGNSAAQCSPKSSPRTGAATKTRATKSAMKNVGQPGGAANVAVRT* >Brasy1G418400.5.p pacid=40056227 transcript=Brasy1G418400.5 locus=Brasy1G418400 ID=Brasy1G418400.5.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPLTPEGGNSSAACCRLHRHSIGNLKNGNSAAQCSPKSSPRTGAATKTRATKSAMKNVGQPGGAANVAVRT* >Brasy1G418400.2.p pacid=40056228 transcript=Brasy1G418400.2 locus=Brasy1G418400 ID=Brasy1G418400.2.v1.1 annot-version=v1.1 MAAAEANGGGGDPAARRRWDLPGKGVDSIPTGKEVLEMSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSFIFAVYL* >Brasy1G418400.10.p pacid=40056229 transcript=Brasy1G418400.10 locus=Brasy1G418400 ID=Brasy1G418400.10.v1.1 annot-version=v1.1 MYLLFLICCRPTGKEVLEMSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSFIFAVYL* >Brasy1G418400.6.p pacid=40056230 transcript=Brasy1G418400.6 locus=Brasy1G418400 ID=Brasy1G418400.6.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSFIFAVYL* >Brasy1G418400.7.p pacid=40056231 transcript=Brasy1G418400.7 locus=Brasy1G418400 ID=Brasy1G418400.7.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSFIFAVYL* >Brasy1G418400.8.p pacid=40056232 transcript=Brasy1G418400.8 locus=Brasy1G418400 ID=Brasy1G418400.8.v1.1 annot-version=v1.1 MSTDEESDCVVICPSNGKADNEEVMSGSHDEDSLKGKEAPSLIGSHMDSSAQEDLPASRYSAKLIDEQKSSLPNSPTKPGITGQKGSNHTVPQPFSPATKRRVSGEVSCTSVSHSTSNGEKLSDRSSTSPASMAKKSPSLTPRKPQQSDNTSHSQEEDSYSITSSTVTSARGGKTKKTTVPVPPTFICGNRAEKRGEFYTKLEEKRKALEDEKLEADARKKEEQETVLKQMRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSFIFAVYL* >Brasy1G070500.1.p pacid=40056233 transcript=Brasy1G070500.1 locus=Brasy1G070500 ID=Brasy1G070500.1.v1.1 annot-version=v1.1 MMLSNPLLQRLARWCPWVLRDEPKQPVKVLVTGAAGQIGYAIVAMIARGLMLGPDQPVILHMLDLPRAAEALDGVRMELIDAALPLLRGVVATSDEAEAFQGVSVAVLIGGWPRKEGMERKDLIAKNVSIYKSQASALQQHAAPNCKVVVVANPANTNALVLKEFGPSIPAKNITCLTRLDHNRALGQVAEKLDVHVGDVKNAVIWGNHSSTQFPDASHATARTELGEKPVKQLVADEKWLREEFVSTVQQRGAAVIKARKQSSSLSAASAACDHVRDWILGTPKGTWVSMGVYSDGSYGVPEGLFYSFPVTCEKGEWSIVQGLQIDDFARSKMEASANELEEEKSIAMIIL* >Brasy1G523800.1.p pacid=40056234 transcript=Brasy1G523800.1 locus=Brasy1G523800 ID=Brasy1G523800.1.v1.1 annot-version=v1.1 MSNIMFSKKMLAKMNAVLRDFWWTGVQEENQKKPLYLKAWVEICKSKKEGGLGIRNLDAVNKAMLLNSAWKIVTTPNSITAIILKSKYFPYTSFWKAPTSVPKSAFWASILKVREPLINAVTLQISKGNTCIWSNPGCPFWNNIHDSLIVQNSGFKYPDTIKDLWIPDTKIWNMQLLVTLFGQHKANVISQIPIIAGDDDDILIWKHTPSGSCTSKSAYQIFSPNFYGLNAGPHQILSERSRNIIHALWLNQEMPPGVQVFGWRLMREGNPFRMQSGIKITTHRSQMLQMWRRRK* >Brasy1G511200.1.p pacid=40056235 transcript=Brasy1G511200.1 locus=Brasy1G511200 ID=Brasy1G511200.1.v1.1 annot-version=v1.1 CLRILVWNVRGLNSLARRSAVNKLVTSNLVSVVCLQESKLSLITAWHDQNLTLLQTISSQNMLATKFKDRLSDSCWWIVNVYGPQGAAEKRAFLYEIKARFSSVDLPILIAGDFNLIARAADKNNRNINRGLLNSFRNFINEMHLKEMYMHGRVFTWSNEQSNNVRVRLDRVLFNADWNEAYPSCLLQAITTNISDYAPLLL >Brasy1G210500.1.p pacid=40056236 transcript=Brasy1G210500.1 locus=Brasy1G210500 ID=Brasy1G210500.1.v1.1 annot-version=v1.1 MLVSLLSRNPSSFLLPAAVRVARSSMSASPAASAGKVTVSVEYAKSGRSSCKGCSTSIAKGALRLGASARDPRGYDSTKWYHVTCFPASSHPLEPVEKVEGFESIKDDDREQLRELEKNSKRDQTAISPLEVPSPKKAKAHLSSPEAGVEENASVSVEYAKSARSTCKGCSVSISKGVLRLGVSVRDPRGFDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREVLRELEKDNKIEEDTVGPQEVPSPKKANALISSPEVEVAEKPSTGKKRISPLIHFSASDIKKTYKDATLPTHWKVFDTVIFREQGEGLHASAKVAAFDFDRCLAKTSVKSIGADKWSLQHKSIPDKLQRLYNDGYKLVIFTNESNIERWKNKRQQAVDSKVGRLDNFIECVKVPIQVFIACGIGKGKGTPDDPFRKPNPGMWWLMTEHFNSGIAIDMDQSFYVGDAAGRENDHSDADIEFAKAIDLKFHVPEEYFGP* >Brasy1G210500.2.p pacid=40056237 transcript=Brasy1G210500.2 locus=Brasy1G210500 ID=Brasy1G210500.2.v1.1 annot-version=v1.1 MLVSLLSRNPSSFLLPAAVRVARSSMSASPAASAGKVTVSVEYAKSGRSSCKGCSTSIAKGALRLGASARDPRGYDSTKWYHVTCFPASSHPLEPVEKVEGFESIKDDDREQLRELEKNSKRDQTAISPLEVPSPKKAKAHLSSPEAGVEENASVSVEYAKSARSTCKGCSVSISKGVLRLGVSVRDPRGFDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREVLRELEKDNKIEEDTVGPQEVPSPKKANALISSPEVEVAEKPSTGKKRISPLIHFSASDIKKTYKDATLPTHWKVFDTVIFREQGEGLHASAKVAAFDFDRCLAKTSVKSIGADKWSLQHKSIPDKLQRLYNDGYKLVIFTNESNIERWKNKRQQAVDSKVGRLDNFIECVKVPIQVFIACGIGKGKGTPDDPFRKPNPGMWWLMTEHFNSGIAIDMDQSFYVGDAAGRENDHSDADIEFAKP* >Brasy1G174100.1.p pacid=40056238 transcript=Brasy1G174100.1 locus=Brasy1G174100 ID=Brasy1G174100.1.v1.1 annot-version=v1.1 MITQCPIQPNNNFTYRFDVVGQEGTLWWHAHVASLRASIHGALIIRPRSGPSSYPFPKPDEEIPIIIGEWWEEDLVYLDNRLITGFLFDMPRAVTINGMPGDGHNCSGAVSSTLNVEQGKTYLLRIVNAALYTEFYWKIAGHKFTVVAADASYVKPYSTDIIAIAPGETVDAVLVADAPPGKYYMVAKAIQPPKPAVQTPMFVSRGIVQYHDASKEEEEETTPTVVMVPEMPDQHDANASFYFHGNLTSLPHPHLLPVPANVDERLYYALDSGYFCRDGVWTCQNGTNIVAVVNNVSFQLPVATPLLQAHYYDDMSSIGTLQELPDMAPRMFDYNIMSLEPTSKATSVRRLRYNTTVEIVFQSPAMADSYSNPMHLHGHNFFVLAHGYGKYGQDKDVKTYNLVDPPVRNTVQVPIFGWAAIRFVTNNPGN* >Brasy1G356400.1.p pacid=40056239 transcript=Brasy1G356400.1 locus=Brasy1G356400 ID=Brasy1G356400.1.v1.1 annot-version=v1.1 MYVPRPSRSDGGGRDAEQPRVYQVWRGNNKFFLQGRFIFGPDARSLFLTMFLIVAPVVVFCVFVARHLMNDFPNHWGISVMVVVVVFTFYDLMLLLLTSGRDPGIIPRNTHPPEPESVDGSNYSRGQTPQQFRLPRTKDVIVNGISVKVKYCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFYMFVFSTTLICLYVFAFCWVYIIKIRDTEQLSIWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHFYLMSTNQTTYENFRYRYDHRANLYNRGVLSNILEIFFSRIPPSKNNFRARVTVEQGAQEARSSSRGFMSPNNGKPVGDLEMGRKPGSWDEPRTAAHIGDLEVGLGGSMDEKEGRLTHASPDVSRDDELQPELVEGRAGTHSKRSSWDRRTGTSESLDSDSPQTTPAKEANAGSHLPTTGAH* >Brasy1G099800.1.p pacid=40056240 transcript=Brasy1G099800.1 locus=Brasy1G099800 ID=Brasy1G099800.1.v1.1 annot-version=v1.1 MASRAKQLHAYYLTAGFNDPDRLARLVKEYAVNSSLREAALVYAKNLPRQTHHLPLLPVLLKAAASRAEPGFGRSLHAESVKAAFARDLLVGTTLVSMYCKCGLLADARGVFDGMPERNVVTCNAMLAGYAAAGDMGQAEALFTRMGSRTPVTWATVIRGFAEKGDMEEARRWFEATPMGLRTVVTWTVVVHGYVAAGDMETAREMFDAMPVRNVFVWSSMITGYFKAGSADDAQEMFDRIPVRNLVNWNALIAGYAQIGCCEEALQAFHRMLEDRVKPDEFTMASLLSACAQLGSLEQARKVHEFINQKHIRKNYFVLNGLVDMYAKCGDLAYARTVFDNMHRRNTECWNTMISALASHGRSDEAVRLFSQMECSEQKPNEITLLAVLGACTHGGFVEEGLRIFNSFGVYGVAAGVEHYGCLVDLLARAGRLEEAYVIVKNMAMEPNEVIWGALLGACRVYANGEMSERVADEIDRLHSDRASTNDAEYIMRSNILAASESWEQAERVRRKMASHGVEKTPGCSSVELDIAENKLYCRE* >Brasy1G365000.1.p pacid=40056241 transcript=Brasy1G365000.1 locus=Brasy1G365000 ID=Brasy1G365000.1.v1.1 annot-version=v1.1 MTAASRPQSNGAQTTALVDDEPFATRISEQSRDLAALRDAAAADASSSSTDLRRLVDRVLGHYEHYYRAKSAAAAADVRTMFAPSWISTTESLYLWCGGWRPTAALHLLYSKSGAQLEAQLPAFLDRTGSLRGDDLGGLSADQLHALPSAAPHHRARARDRGGRRRRAGIRLMVELAAGAMEAAGLEREMEAKAEGMRRVLEMADGLRLDTMRAVVALLRPPQAVHFLLAAAELHLAVHDLGRRKDGHAHAPPS* >Brasy1G552500.1.p pacid=40056242 transcript=Brasy1G552500.1 locus=Brasy1G552500 ID=Brasy1G552500.1.v1.1 annot-version=v1.1 MTHRFFKVELFCPRFLAAAIHIPSGLNCNFASPSSDLSPTRISPCLPLGWARSHRALAAAAAAASGILTRLLPRPAAAVSFALAISFLPDLGSSPPCTTDLPFSRSPTAAPSQLSAVPHAGSSLWRPSSSLRLAAPPAHHRCSSHLPLFTGRGSHRAGGTTRRKTRLQASAERAPRLGDRRGGGTAWPARRRAAALLRSCGFSTERKKRSCVLAALGRLGGCRQQAGEYGEEVGLPARSFKPARTLFI* >Brasy1G420000.1.p pacid=40056243 transcript=Brasy1G420000.1 locus=Brasy1G420000 ID=Brasy1G420000.1.v1.1 annot-version=v1.1 MTAPSALPRARSLPARAARPPLCAPARDGPARAVSSPLRVTPSVVKNLAGQRSREAEFFPRIEGGHRRFCSSSSFVRGIHEQQLYIPPHLHGPTSSSSALAPSSPRSCSPKPYSSCRASDRRPSAIPEARLRHRNTLSLPADAHGSTPSTTFASTPSPASPLSDKLQGFFFSPIFSCEMDESSCSCKLQQWSVLFAIIALLLCLLLPMEAECYVYPVVAISLYELEKASSRLLFFIHGVYGHFTCLYECL* >Brasy1G374200.1.p pacid=40056244 transcript=Brasy1G374200.1 locus=Brasy1G374200 ID=Brasy1G374200.1.v1.1 annot-version=v1.1 MLMLHLVAGFGHAAGRIQGKEESPPRLPQPPPPPPTQPPPLLSAASRRISLCCVRSTTTGRAPPQPALPPPAPQRAM* >Brasy1G492400.1.p pacid=40056245 transcript=Brasy1G492400.1 locus=Brasy1G492400 ID=Brasy1G492400.1.v1.1 annot-version=v1.1 MDRSRWPDLAPDLARDISGRLHVAGDSVRFHAVCKPWRASRRRDPSTTRSTLLLPWLLAPAERCPLSPPLKLRCVFSGSSYRAGPPPPTSAHQMNWVAAADGTAVRYLPVEHDDDDPVVLHDPLTGAAAACQQLPRLPYRLCRHWGAKDKENPHGVVYGDGTVLLYTISYDAATVFRAALLRPGDAMWTLVERTLENPNRRGESCAAYHDGKILVTVEASRWHVFTPNGDNIAADDVRVPRPWIPGERDGDYCSRYSYVLESHGELLWALVRVKVRYRNNDWSGMSGRVSDVSLSVYALEEDMSASAPEKTMRWVRKYGRSFADRVLFLGSPNSFALDAEQLDINGGYAYFVYSNGPALSGEEHSVLRYNLVDGKAELVERLPQGWDNDMCTWLVPQPPIAPIQEITKRSLEARALKKKKKKKDKMLSAAHGITSPPPTRPMVHIERHYELCFTVIVSNLPLKVKSSQLRLFFKKHGKVSSIKMIGHDEATSQSIGVVTIGTPHAHREEALVALNGLIFEGRRLVVF* >Brasy1G501000.1.p pacid=40056246 transcript=Brasy1G501000.1 locus=Brasy1G501000 ID=Brasy1G501000.1.v1.1 annot-version=v1.1 MYSSAMAAQRRLYLSVYNWAVCFGWVQMLYNAASALLESRHDAVYAAVERRLLFAQTAAFMEILHSILGFVRSPISVTLPQISGRLYVTWGILWSFPETHSHILVTSLIISWSITEIIRYSFFGMREAFGFAPSWLLWLRYSTFLVFYPIGLISEVGLIYVAMPSMKASEKYCVRMPNKWNLSFDYRYMSVLLTALYVPGFPYLFSYMVAKRRKALSKAKTA* >Brasy1G439800.1.p pacid=40056247 transcript=Brasy1G439800.1 locus=Brasy1G439800 ID=Brasy1G439800.1.v1.1 annot-version=v1.1 MGPGPRWSGSCFRHGSMLLLLSVLYCSSWRAAAQAQPPQTDPAEAAAVNAILGKLGLRAPATWNVSGNPCSGAATDDTPLDDNPNFNPAIKCDCTDRNGTLCHVTRLKINSLDAAGPIPEELRNLTHLIKLDFRKNSLTGPLPAFIGELTALKYITVGTNALSGPVPKELGNLTDLVSLALGSNNFNGSLPGELGKLTKLKQLYIDSNDFNGPLPATLSQLTNLSTLWASDNNFTGQIPDYLGSLTNMTQLRLQGNSFEGPIPRSLSNLIKLTSLRIGDIVNGSSSLAFVGNMTSLGDLVLRNSKISDTLSSVDFSKFVNLTLLDLSFNNITGQMPRSIFNLPLLSFLFLGNNSLSGSLPATKNPLLTNLDFSYNHLSGSFPSWAIQENLQLNLVANDFVMDSSNDSVLPWGLNCLQRSTPCFLGSPQSSSFAVDCGGSRTISGSDNSMYQADNANLGAASYYVGGAPMWGISSSGRFMDPPNGSYIIYSSRQFENTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDSPDPQAWKSRWRRVFDIYVQGERKEQNFDIRKAAGGKSFVVVKKQYIVPVVKNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFTPTVRSSVANKSSRKTGVIIGVVIGLTVFALAALAGVFVWRQKRRKLLLELEELYAIVGRPNVFSYSELRSATENFNSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSESSNQGKIQFATEIETISRVQHRNLVKLYGCCLESNTPLLVYECLENGSLDQALFGKGGLNLDWQTRFEICLGIARGIAYLHEESSARIVHRDIKASNVLLDADLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNHHTTLEEETTYIFERVWDLYENGNPLDFVDPKLSEFNSEEVLRVIRVALICTQGSPHRRPPMSRVVAMLTGDADMTGEEVIKPSYITEWQVKAGSSSYTCSSSQAGSSATNQSSGVHAVGDGTPLSPSPLFTSIIDEGR* >Brasy1G491600.1.p pacid=40056248 transcript=Brasy1G491600.1 locus=Brasy1G491600 ID=Brasy1G491600.1.v1.1 annot-version=v1.1 MKKQQAQGISAGGAYRYTHRREGGADIHDVNFEKSASRVLLSYICAMLLLANVCRALLKQESLCLSSFWCVPFSAIVAKCLQYKPVKKESLMIMPGFGVQLEQHFWSGRVHRQFVPIGKILKPVLNECVTPVTCYWSLALLVRDEDKIKITLVFKKLHPPVKMLVPIWKALCAFTSSDSIIGASEVPRPNGSHQLVE* >Brasy1G147400.1.p pacid=40056249 transcript=Brasy1G147400.1 locus=Brasy1G147400 ID=Brasy1G147400.1.v1.1 annot-version=v1.1 MARTTRGSPRSPNYHFQFLIGNQIAPLLILLLPHRPLASQQLMDHRKQQQRESPSTPRSGGGGGRAASSGGKGAKAGGGGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRDADPSKYSTDDDGGGAAQELSPEGSAAAPGTVAAGYQLPDAAAAFNNGGEEGYVYGDELLDNDYAVFSPSTLLYDYPPHSNKV* >Brasy1G001300.1.p pacid=40056250 transcript=Brasy1G001300.1 locus=Brasy1G001300 ID=Brasy1G001300.1.v1.1 annot-version=v1.1 MMNHLGRHHQQQLPYHLSNTLIGYLNLATLLASIPIIAAGLWLAHASSSSTTTCQSALQTPLVAIGFVSLLTSLPGFIGARYHVAWAMRLYLALLLLVVLSLLGATAFGLAVTSGGGGTQVPGRPYREYRLRDYSPWMRKQVETDKFWRPALACVVSSGACPRMAAWTPVDYMRHDLTPVQSGCCKPPTSCTYGAAGDGGQMAAVQEEDCYRWRNDPGVLCYGCESCRAGVLEQLRRHCHSLTLLNAALLLVLIVVCAVGCCAFRNARRTELAAYGGMAKIHPRWDYFCARWWRGRREQLY* >Brasy1G039000.1.p pacid=40056251 transcript=Brasy1G039000.1 locus=Brasy1G039000 ID=Brasy1G039000.1.v1.1 annot-version=v1.1 MRCHPGCTRFVHAIGRATTSAVAAEEYAADCMVRVLRRRYRVQFNDINWSRLNRCHRRHVMTHRTLRTMFARYGDALEKARLMKKGWQESLVDLKAAREVCTEISSKGGDSLEPFEEPTGHTETLFGMHSLGAWVQERLDEGNAKLSATKADGSP* >Brasy1G120000.1.p pacid=40056252 transcript=Brasy1G120000.1 locus=Brasy1G120000 ID=Brasy1G120000.1.v1.1 annot-version=v1.1 MAQMLSAMAMMDAVPAASAIMQPKAQAICTVDAPVSGSGITVVSRHAVRPEGPPSAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVTLLVSSLSRALAVFPALAGRLVTLPDDRIVIRCNDHGVEFHHAVAPALSLDDFLVPNADVPTGLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWASLCRGASPRIPDFRRNFFGDSKAVLRFPGGVGPAVTFDADAPIRERIFHFSRDAIRELKALANRRSSSSAAAGGQDAEVYGKMAHDPKNTEGEISSFQSLCAQIWIATTRARKRLASDATTTLRVAVNCRHRLRPAISAAYFGNAIQSAPTTATVAELARGDLRWAAARLHASLAAYGDGAIRGAAAAWQAAPRCFPLGNPDGAVVTMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEMCLEPDTMAALLRDAQFMQYVSCPSHLL* >Brasy1G390700.1.p pacid=40056253 transcript=Brasy1G390700.1 locus=Brasy1G390700 ID=Brasy1G390700.1.v1.1 annot-version=v1.1 MEIDRAVRASTDSRMRAKYDRAVYAIQRTFALYPFEETAFSFNGGKDSTVLLHLLRASYYLHKISLGRGDQMDNTIQNCPIRTIYFEDPTAFPEIDAFTYDTAAVYGLPLESINTDFKSGLEALLKEKPIKAIFLGTRNGDPNAVDQEQFSPSSASWPPFMRVNPILNWSYRDVWSFLLTCKVKYCSLYDQGYTSIGSIHDTVPNTLLSDGSGSFKPAYMLSDGTFERAGRAKKINSKIKSNSDARKGMDKTKPRQTSRL* >Brasy1G296400.1.p pacid=40056254 transcript=Brasy1G296400.1 locus=Brasy1G296400 ID=Brasy1G296400.1.v1.1 annot-version=v1.1 MRALFCHRPRFPFGRHRSTTSMPLPLPPRLLYRRILSTSVAYTSLEAAGPSPTDRALLVRLCTILYQHQHAPDAALQRRVSALPIPAAPADLRELFLQAAARFPLSWRPVHRLLAHLTAQHGFAHSPATAARFLDVLAKSSNFDLLHSTLLSLPRGLLSAAALRAAIRGLAPAREVGKVSALLALFPEAQRSRVLEFITDVVCSVCKLPDVAEKVIKQAEHRYGVARNGRCCELLVVAYCRAGVFADACSVWNGMEKRGIEPGAAAYEEIVVTLFKNNRFADAMKVFDGMRKGGLSARGGGTCYHAVVSWLCKEGRTWCAFMVFAEMLKIGVEVDGEVLGDLVYGLLSRRRMREGYRVFHGIKEKDIALYHGLMKGLLRIKRAREATEVFREMIARGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLHYFSSEEGVPMFEEVGRRLREVGLVDLGDILLIYGERMATRDRRREAMRGRLTEVQGYSCLSSSQESEARSL* >Brasy1G441000.1.p pacid=40056255 transcript=Brasy1G441000.1 locus=Brasy1G441000 ID=Brasy1G441000.1.v1.1 annot-version=v1.1 MASSSSDPEKLMAKADKLTKLSFTRWNADWKTATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAGALAKELGLWNEVSDFYRRASEFYRECGRSQPASDALAKGASALEDKVPEEAIKLYDEACALLEDDGKEQMAFDLYRSAASLYVKLEKYSDAAGFFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEEVKRAAQSNVINHLDHVVIRLAKKLPTGDLQAIKKDLAADDDRDSLDENDLT* >Brasy1G214100.1.p pacid=40056256 transcript=Brasy1G214100.1 locus=Brasy1G214100 ID=Brasy1G214100.1.v1.1 annot-version=v1.1 MDPPPRSRWWAHELSAARARAGFGAAGEDAAVGSGEGDSLRKATRRKGSRGTGGAERAKKRQKALQFSLFLKHNERTSKPQSASCFLQNIFYKGLRKHTSCTTRRLRELAVRKKLQVRQDHTLTCGNSLKKQCVRGMDHKLSIKVCRPINYPPNSGCEVVKHVAYPPKDDIFGDLPLLESSKIMFHTGVDILPTVIEDPFAVNQSVPDAILGTEPLKLMPASEISKQTLLPFEDFVKKEGTPEKETTCISPNDVGKGHSSSAEFDGLINHGSDTIGKTYLGEMQMKSTNVPALSSYSNEGAKSCSNNSPRSCFYTNTNCFQRIKKTDTSSEAVRTRIEATKNDRDAAVIGKTSNCISGRQVPNEYHLSSEGSVLSSAISQGAKSCSSNPPQSCFYTDTSCVRTIKKTGTSSAVVRTRIEATKNNRDAAVSGKKRNYISGRQVPTECHLSSEGSVVSSAISQGTVNAGTNTHGSSSCRSVPAQEYVSTSSPFYKFASNACDESRKSVDTCASSSVKDQGSWYPKHQPAYPSASIGLAFMKLPGLERMEISSHDPRTGENEFMNGLSLNTRWWQEQQMASGMTNIIQGQNMIGFSDSQAGKKVKCPSPVSCQLPQKRSCPYPVSVIPSACVNESSYIFRSISNTTLAEARPIASDAQNQRLQLINSVSSTVKDRISNPSSHFVCQAQINKESIIGSNSRTRHLELYQPQKLMSVPQNQYSHLSTPASSMYMEDHTSLGPAVNHSSPSFPQWMLNTSMQGKYQKCTSLGSEDPSSVPTHKHCQVPGANLFSTSIVSFREYGTNSAELRNSYQAGRPSLTTSSSSKSIPTISPTCTGSLFNIDGRKGVSFINQINKRPACADNVLYQPAKRQLVADKLELTTPMVPNIQNYSLGWSLNDAVGPRILDFSNK* >Brasy1G544800.1.p pacid=40056257 transcript=Brasy1G544800.1 locus=Brasy1G544800 ID=Brasy1G544800.1.v1.1 annot-version=v1.1 MPLLSFPSHKPLLNPPPSINPPRLNKNNSPMSHPMAEEEEGKMAKELGGGAASAEWGCRGEISVKIDSRVLLCRICSQPLKPPIFKCEAGHVLCSPCLERLHEVGYVLKLGVFCVLCCKNTSYCRCIEIEEFLDAVKVPCSNKIYGCSEFIGYHQKEKHASGCTHAPCYCPENGCTFVGPTGSLLNHFVHVHGWSPTYFRYNKPLKISMALDCRFTLLLGEDQSMFLLTNTLTDIGHALTVVCVRPHQSEPSYSCNISSTCSVSGGKAKGRLVFQKDPLVSSSSLLAGVQLGKFFLLVPPELVDESSGELIIHICIDRLA* >Brasy1G171500.1.p pacid=40056258 transcript=Brasy1G171500.1 locus=Brasy1G171500 ID=Brasy1G171500.1.v1.1 annot-version=v1.1 ETEDGTEYDSTEDTQASSGTKSATSRTSAKTLYWIIKKFNEVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNYAIVIDAIRALPFGPIDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGIPGNRISLKEAEKIVLMELTPESTRLQKDSFKMAYVIILIGHMLSPSTKYDHVNPDFLGALRCTEEIGQYNWCAYVLKGIIDAARRLQDDIGQKKVVSAIAGCHIFLQIHYLDNLVLGPLQPPKNIFPRCKAFPTDVLNKLILADTKPGGGYGSKQVTCPNMYMSCTSRQPMTSIPHPEKTPAATTSATTPPALPPVPANSSASVPISAATLPWFLRDKYPALSNSALAVAFKKYNANMTRAMHERHAAEKNSTLEHNVWLADQVFGLIHSANQENQPSLSAARTECMSLLL* >Brasy1G228400.1.p pacid=40056259 transcript=Brasy1G228400.1 locus=Brasy1G228400 ID=Brasy1G228400.1.v1.1 annot-version=v1.1 MLRHLAALILAAVLTASTSSTDAARAFRSNGRRLIGVTSQEDCIAICQQVHYKTLCSTLATLPGVTTPQLLLEAALRMAAAKAALAEMRLDVAMKAGGGAAMGNAMSSSLQSCRDSYASLVDALETSRATLRNGGSKDDLMSELSAAGTYSTDCQNIFDERPELKSPIPGTRRHVTRLVSNCIDLAATIKQP* >Brasy1G304500.1.p pacid=40056260 transcript=Brasy1G304500.1 locus=Brasy1G304500 ID=Brasy1G304500.1.v1.1 annot-version=v1.1 MRRGKVKREKAPAGLTMEKITPERWCWRSWWCADDDYLEDGDVGVFRIDLWRAWRSLAVVDTTYSQGDDVTASWLKDVSSLLRGRRLRAPLVVGLVALRGRPPKDWRRSWSGRGARGNPQSPDNPIRCLSLCVGGSHALVYQPDCCSYNYTGGPLPFREDNKMRLLREFLTDKRVFVACLGAAEVAKKLAEEWGLHVARPTELTDLFARAYGKEIGVEPEVLPGKPIMPEKYYYWMADTARAKVQAHYDTELQEYEEKSKQGRWIPKAIKGLSMEYMARVALGPDMRLAPLPAKLADADWGSRDLPDADDDKWMYATRDAYLCFDIAAHCLPRIGLPVA* >Brasy1G532500.1.p pacid=40056261 transcript=Brasy1G532500.1 locus=Brasy1G532500 ID=Brasy1G532500.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >Brasy1G139900.1.p pacid=40056262 transcript=Brasy1G139900.1 locus=Brasy1G139900 ID=Brasy1G139900.1.v1.1 annot-version=v1.1 MIPTRTWFALLPVTILLLLLQTASSSLDFPRDTRFVVHLPDAYQPGFAERTTVLEAAGEPQPRFSAALSVQAGIGGAYVCSLAVLLGNVTLELAGDGELRLTDGADAVGWRSGTARQGTKALHLDSKTGNLLLLGHNNKMPPAWQSFDTPTAVLLQGQRLHVPNPVPLRLTRTSPAFYQMEFYARRITAYLAFGHRRYTYWELAPRNDNKTMAFARMDALGLTMLDSSGRPVARIPPAAEKSGSGRQAVRFFELGDDGNLGLYSFDRGLGKFRASYKALAFCELPLACGVRRVCSSSGSSCDDFKLYGVHLPPPLACNTTSAYDMVEVKGVTTVLKADSPLTNVTRQPCVDQCLQGCSCAAALHVRDDDDNARTVDDAAGGGAIGVCYQYGLTAGAREVIGGSPRSSYWVKVAKPSTDYKKLEEKEEEYGAGIAMHNKLLIMFGVVDAVAISLFVGLGVYFVRQRAVDKRRVDAEGEAAEDDEGVPEPDRDSNRTNTAGPVQGS* >Brasy1G116200.1.p pacid=40056263 transcript=Brasy1G116200.1 locus=Brasy1G116200 ID=Brasy1G116200.1.v1.1 annot-version=v1.1 MVQGEEESSWRMASAHDHERAAVHLNQAALPYHGGVQAHASAALPASFLDFQPAAAAAAYFGELEEALIHGANAGGVGHHPGSMITSDMHSAKSAAAAGYLAARPPTLEIFPSWPMRHQQQLHSGNSQQSVGSTTDSSSARNTMAQMELVSPGPASSIRPSPPSSSEQQQRQEVMMVTTDDYSYKPAFAPPPPATLAAAAPSFQQQQLLPLHGGGDHDKRKHGFARKDGKSVDSKTERRLAQNREAAKKSRLRKKAYVQNLETSRVRLQQMEQELQRARSQGTFLGGCSGSGDLSSGAAVFDMEYARWLDDDGKRLAELRGALQAHLVDGNLGLIVEECMRHYDELFGLKGELARSDVFHLLTGAWATPAERCFFWIGGFRPSDILKILIQQLDPLTEQQLMGIYALKQSSEQAEEALAQGLQQLHQSLADTVAAGTLNEGAAVPNYMGLMAIALEKLANLESFYQQADNLRKQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGTDSLSPTGTDLQGLHHQPQQNQFSGF* >Brasy1G116200.2.p pacid=40056264 transcript=Brasy1G116200.2 locus=Brasy1G116200 ID=Brasy1G116200.2.v1.1 annot-version=v1.1 MVQGEEESSWRMASAHDHERAAVHLNQAALPYHGGVQAHASAALPASFLDFQPAAAAAAYFGELEEALIHGANAGGVGHHPGSMITSDMHSAKSAAAAGYLAARPPTLEIFPSWPMRHQQQLHSGNSQQSVGSTTDSSSARNTMAQMELVSPGPASSIRPSPPSSSEQQQRQEVMMVTTDDYSYKPAFAPPPPATLAAAAPSFQQQQLLPLHGGGDHDKRKHGFARKDGKSVDSKTERRLAQNREAAKKSRLRKKAYVQNLETSRVRLQQMEQELQRARSQGTFLGGCSGSGDLSSGAAVFDMEYARWLDDDGKRLAELRGALQAHLVDGNLGLIVEECMRHYDELFGLKGELARSDVFHLLTGAWATPAERCFFWIGGFRPSDILKILIQQLDPLTEQQLMGIYALKQSSEQAEEALAQGLQQLHQSLADTVAAGTLNEGAAVPNYMGLMAIALEKLANLESFYQQADNLRKQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGTDSLSPTGTDLQGLHHQPQQNQFSGF* >Brasy1G116200.3.p pacid=40056265 transcript=Brasy1G116200.3 locus=Brasy1G116200 ID=Brasy1G116200.3.v1.1 annot-version=v1.1 MAQMELVSPGPASSIRPSPPSSSEQQQRQEVMMVTTDDYSYKPAFAPPPPATLAAAAPSFQQQQLLPLHGGGDHDKRKHGFARKDGKSVDSKTERRLAQNREAAKKSRLRKKAYVQNLETSRVRLQQMEQELQRARSQGTFLGGCSGSGDLSSGAAVFDMEYARWLDDDGKRLAELRGALQAHLVDGNLGLIVEECMRHYDELFGLKGELARSDVFHLLTGAWATPAERCFFWIGGFRPSDILKILIQQLDPLTEQQLMGIYALKQSSEQAEEALAQGLQQLHQSLADTVAAGTLNEGAAVPNYMGLMAIALEKLANLESFYQQADNLRKQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGTDSLSPTGTDLQGLHHQPQQNQFSGF* >Brasy1G248700.1.p pacid=40056266 transcript=Brasy1G248700.1 locus=Brasy1G248700 ID=Brasy1G248700.1.v1.1 annot-version=v1.1 METPPPPVSKEEKRPRKRSRYLSPPYNNTLLLQDDIISPASHEEEDSNVATADLLDALLQCAADASSASSSSSPAPAHVLRRFLGLYRASLLPKIDDTHQTAYTAALALPAAAAARGILNPNTCRAMAIADGSASTNICCIGPPANTTAAAQAPSKKKKKKKVTFAADDDAAANNNTATPDKKKRKRRRRNSSSFRQQHHFRSPAALVLDFTQDTAVHLPSKEELLSTFRGFGLVVESHTSVSTDDRSARVVFATSAEAKAAYSCAHTLGAMPRLEYLPPISLGDGGSPSTSSPAPKLPLTVMRNNLEKMIASLTCSPSFIKDGAHRLAGEMQRLLARVDNKLSAGPSATTAQNH* >Brasy1G106300.1.p pacid=40056267 transcript=Brasy1G106300.1 locus=Brasy1G106300 ID=Brasy1G106300.1.v1.1 annot-version=v1.1 MLVSLSLFLLGTRKYRMEQQPHDRLTKAFATTARAWTASIFGRRDAMDAESSLLTPKEQEGKGVLVKLLPIWLTSVVYAVVIAQVSTLFTKQGSTMDRRIGTATGLVVPPAALQSFVGLAIIASVPVYDRAFVPLARRLTKHPSGISTLQRIGAGMAISSVAMAVAALVEAARLRTAMDAGLIDRPQEAVPMSLWWMVPQYVLIGFANVFTIVGLEEFFYDQVPGALRAVGLALCLSIMGVGSYASGMLVSAIDWVTRSTGESWFSDNLNRAHLDYFYWVLAVVAALEVLVFLYFAKGYVHTDKCDLGDSMTGVPDRNGNENIPS* >Brasy1G507000.1.p pacid=40056268 transcript=Brasy1G507000.1 locus=Brasy1G507000 ID=Brasy1G507000.1.v1.1 annot-version=v1.1 MITIEPVYSSEDWICEIDAAHPGFRTHHLPACVTEGCKEICTKLGHPASEAYCCRTAENTSAAALCRRLKWRRNN* >Brasy1G483600.1.p pacid=40056269 transcript=Brasy1G483600.1 locus=Brasy1G483600 ID=Brasy1G483600.1.v1.1 annot-version=v1.1 MERHLPPALLSAPRADKLRYIRGILYKYVPSPREIVWPLYPELYKLNPSACFLPSFINAIRTNTIESFNSIIEEVAPALYSFPMLRPEFCKKLITEVTYFRNWANLTNEVMMDPTTFDRPGHGIVMSDIGMQGMMDDVMKQFVIPISTGLHVEDCDITLSVCLGGDDFTGGEMLFCGRRCVNHVNSETYEQEKNSYAQAPGRALLYLGRHRHCLLPTYGFRANLTMWCKSSAFKEATKYRTYFPDWCGECLSEKRARQAQYVNAW* >Brasy1G151100.1.p pacid=40056270 transcript=Brasy1G151100.1 locus=Brasy1G151100 ID=Brasy1G151100.1.v1.1 annot-version=v1.1 MSPVQYKIALCQLRVTADKDGNIARARAAIHAAAAAGAKLVVLPEIWCCPYLMETLPSYAEDIDGGGSPSIWMLSEVAASRKITIVGGSVPEKGSGSGQLFNTCCVIGPDGEIKAKHRKLHLFGIDIPGDITFRESDTFTAGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRGVHLICYPSAFNMSTGQLLWDLMQKSSRDVASIQLFRQLSNSTSFSSCICPLHSVRAVDNQLFVATCSPARDPNSESEYMTWGHSSLIGPFGEVLAAAGHEEATVVGEIDLSLIGAVRESLPLEKQGRGDLYRLVDVQSEFAAAGCVA* >Brasy1G151100.2.p pacid=40056271 transcript=Brasy1G151100.2 locus=Brasy1G151100 ID=Brasy1G151100.2.v1.1 annot-version=v1.1 MSPVQYKIALCQLRVTADKDGNIARARAAIHAAAAAGAKLVVLPEIWCCPYLMETLPSYAEDIDGGGSPSIWMLSEVAASRKITIVGGSVPEKGSGSGQLFNTCCVIGPDGEIKAKHRKLHLFGIDIPGDITFRESDTFTAGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRGVHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVATCSPARDPNSESEYMTWGHSSLIGPFGEVLAAAGHEEATVVGEIDLSLIGAGEPSFGEARQRGSVPAGRCPERICCRRLRCLRRSKCGGLIKTPQPLT* >Brasy1G151100.3.p pacid=40056272 transcript=Brasy1G151100.3 locus=Brasy1G151100 ID=Brasy1G151100.3.v1.1 annot-version=v1.1 MSPVQYKIALCQLRVTADKDGNIARARAAIHAAAAAGAKLVVLPEIWCCPYLMETLPSYAEDIDGGGSPSIWMLSEVAASRKITIVGGSVPEKGSGSGQLFNTCCVIGPDGEIKAKHRKLHLFGIDIPGDITFRESDTFTAGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRGVHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVATCSPARDPNSESEYMTWGHSSLIGPFGEVLAAAGHEEATVVGEIDLSLIGAVRESLPLEKQGRGDLYRLVDVQSEFAAAGCVA* >Brasy1G146800.1.p pacid=40056273 transcript=Brasy1G146800.1 locus=Brasy1G146800 ID=Brasy1G146800.1.v1.1 annot-version=v1.1 MADQLSDEQIGEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFSEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISASELRQVMTNLGEKLSEEEVEEMVREADVDGDGQINYDEFVKVMMAKRRNKRIQERKASGKKSTAGPGPSDAKRGQKCVIL* >Brasy1G146800.2.p pacid=40056274 transcript=Brasy1G146800.2 locus=Brasy1G146800 ID=Brasy1G146800.2.v1.1 annot-version=v1.1 MADQLSDEQIGEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFSEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISASELRQVMTNLGEKLSEEEVEEMVREADVDGDGQINYDEFVKVMMAK* >Brasy1G127900.1.p pacid=40056275 transcript=Brasy1G127900.1 locus=Brasy1G127900 ID=Brasy1G127900.1.v1.1 annot-version=v1.1 MSLSSLPRALARSSRSRQGSLLGGHGGFRAPLPLPPPPPLLDSGSGGLGFVRRYLTSALVRRSAAVNASGKVGDWRFLLANSQSRRLFCNQSKKNYDKSCPNGKEEPKGDGSDKSEPKQKFNSGSQWNFQEKLDKLFRFLAPVFLLGLILSTLPLSTAQHEISFQEFKNKLLEPGLVDCIVVSNKEVAKVYVRSSPLTKQNQDSDIHITTNHLPGKEAPSIYKYYFNIGSVDSFEEKLKEAHEALGIDRHDYIPVTYGPAEVSWFQEILKLAPTVIIIGLLYVVGKGMQSGFSIGGGSGKGGRSIFNIGKVQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGASSERESTLNQLLVEMDGFGTTSGVVVLAGTNRADILDNALLRPGRFDRQISIDRPDINGRDQIFRIYLPKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEEKLITMQHFESAIDRVIGGLEKKNKVISKMERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVQNDNLLMTKEQLFDMTCMTLGGRASEEVLIGKISTGAQNDLEKVTKMTYAQVALYGFSEKVGLLSFPQRDDGFEMNKPYSSQTASIIDTEVREWVAKAYKHTIELIKKHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKTVEPTNYDRFKHGFIDADNNKSTEVDPSSSIGEAVPT* >Brasy1G295700.1.p pacid=40056276 transcript=Brasy1G295700.1 locus=Brasy1G295700 ID=Brasy1G295700.1.v1.1 annot-version=v1.1 MDRPEESPKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPSGRVTEIPGETSVLDAVRILSEHNIRAAPVLNPEPGEPANWKGRYLGIIEYSAIILWVLDNADLAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTADKGVAKDGVTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYPWSPFVPVTLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSFDEVITVNSDDLILEAFKCMKDNKIGGAPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLSVLEFMKTLGSTLPASGDDGLVKPPLTCAPDASMGSVIDSIGSRITHRIYVVDGDFEVVGVVTLRDVISCFIHEPPGFCDDYLASAVEKLGDEGTAGSAGNS* >Brasy1G295700.2.p pacid=40056277 transcript=Brasy1G295700.2 locus=Brasy1G295700 ID=Brasy1G295700.2.v1.1 annot-version=v1.1 MDRPEESPKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPSGRVTEIPGETSVLDAVRILSEHNIRAAPVLNPEPGEPANWKGRYLGIIEYSAIILWVLDNADLAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTADKGVAKDGVTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYPWSPFVPVTLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSFDEVITVNSDDLILEAFKCMKDNKIGGAPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLSVLEFMKTLGSTLPASGDDGLVKPPLTCAPDASMGSVIDSIGSRITHRIYVVDGDFEVVGVVTLRDVISCFIHEPPGFCDDYLASAVEKLGDEGTAGSAGNS* >Brasy1G196900.1.p pacid=40056278 transcript=Brasy1G196900.1 locus=Brasy1G196900 ID=Brasy1G196900.1.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.11.p pacid=40056279 transcript=Brasy1G196900.11 locus=Brasy1G196900 ID=Brasy1G196900.11.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.9.p pacid=40056280 transcript=Brasy1G196900.9 locus=Brasy1G196900 ID=Brasy1G196900.9.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.3.p pacid=40056281 transcript=Brasy1G196900.3 locus=Brasy1G196900 ID=Brasy1G196900.3.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.5.p pacid=40056282 transcript=Brasy1G196900.5 locus=Brasy1G196900 ID=Brasy1G196900.5.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.8.p pacid=40056283 transcript=Brasy1G196900.8 locus=Brasy1G196900 ID=Brasy1G196900.8.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEAGNDDV* >Brasy1G196900.6.p pacid=40056284 transcript=Brasy1G196900.6 locus=Brasy1G196900 ID=Brasy1G196900.6.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.12.p pacid=40056285 transcript=Brasy1G196900.12 locus=Brasy1G196900 ID=Brasy1G196900.12.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G196900.4.p pacid=40056286 transcript=Brasy1G196900.4 locus=Brasy1G196900 ID=Brasy1G196900.4.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEAGNDDV* >Brasy1G196900.10.p pacid=40056287 transcript=Brasy1G196900.10 locus=Brasy1G196900 ID=Brasy1G196900.10.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEGGI* >Brasy1G196900.7.p pacid=40056288 transcript=Brasy1G196900.7 locus=Brasy1G196900 ID=Brasy1G196900.7.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEDL* >Brasy1G196900.2.p pacid=40056289 transcript=Brasy1G196900.2 locus=Brasy1G196900 ID=Brasy1G196900.2.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLCGSAARAASRACPPPLLRRFPASAPRVLLRSPAEMTSFCVESLMPMHSATASALMTSLLAAPARTGFGWLSEDG* >Brasy1G081000.1.p pacid=40056290 transcript=Brasy1G081000.1 locus=Brasy1G081000 ID=Brasy1G081000.1.v1.1 annot-version=v1.1 MGTEMMPRAQPTQPPPPRAMMLADHNTSTRRRAMARITRRPLNPTLPPPSLPQFRWSPSTPPLDAEQHCQGASVSCEEKVSNLKAALVHVAQKMPQNALAHFMFVLMYQRLGQAQKVNDAHFIFGCPFGIGVFWSVMHASIQGFSARDNCKKFIFPLVLCCHPP* >Brasy1G418900.1.p pacid=40056291 transcript=Brasy1G418900.1 locus=Brasy1G418900 ID=Brasy1G418900.1.v1.1 annot-version=v1.1 MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPCSSSSAGGGPSGRDGGQGAAAAAMMMKKQQQASVDAAEIILYTVV* >Brasy1G189600.1.p pacid=40056292 transcript=Brasy1G189600.1 locus=Brasy1G189600 ID=Brasy1G189600.1.v1.1 annot-version=v1.1 MGRLRACSRLYRLLEKRPPPPSPTPPRVPHLCPRASPFSSAVAVAPAATHDARDSGLGSSAYWAWIRAAAEAAPAPAPPQEEEEEGLARYIPVKAYFLSTSIDLKSMQAEHGADVVPPSTRSLNYIALRYSEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDMIRNHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRIMEKTGDFTMKRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNVHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIIKDSTMMS* >Brasy1G189600.2.p pacid=40056293 transcript=Brasy1G189600.2 locus=Brasy1G189600 ID=Brasy1G189600.2.v1.1 annot-version=v1.1 MQAEHGADVVPPSTRSLNYIALRYSEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDMIRNHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRIMEKTGDFTMKRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNVHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIIKDSTMMS* >Brasy1G063500.1.p pacid=40056294 transcript=Brasy1G063500.1 locus=Brasy1G063500 ID=Brasy1G063500.1.v1.1 annot-version=v1.1 MARRGRVELRRIEDRTSRQVRFSKRRAGLFKKAFELAVLCDAEVSLLVFSPAGRLYEYASSRYIHARRSPVRP* >Brasy1G122800.1.p pacid=40056295 transcript=Brasy1G122800.1 locus=Brasy1G122800 ID=Brasy1G122800.1.v1.1 annot-version=v1.1 MAMAAASPFLLVQPPAPKPHLPFGPLHSSLFRTSHLRCSPNGAAVPEPPKPTPRRGRKKVSESGSSSPKPKGTRRKTKKAEQGSDSEGEEEPAKRTSRRTRKLKQEEASPLEEAKQSQEEAEVKSRAAEEPKREAPEEDVGSDGDDGVDFPYEWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSSWLHLQWEPPEFARTPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNRERVQTRAIKFDDSADTAVARMKVGFRDREDGMGTSLVAETVKSSAEDSLLEDEINADVLKEAKMLHFNSEVLLTPSMHNTLFRTIELSKKFGSKIFFDLNLPLPLWRSRDETKEFINRAWNEADIIEVSRDELEFLLDQKYYEYKRATPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAIVAAAIRKLITCPEMFEDQDTLERGLRFSVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW* >Brasy1G122800.4.p pacid=40056296 transcript=Brasy1G122800.4 locus=Brasy1G122800 ID=Brasy1G122800.4.v1.1 annot-version=v1.1 MAMAAASPFLLVQPPAPKPHLPFGPLHSSLFRTSHLRCSPNGAAVPEPPKPTPRRGRKKVSESGSSSPKPKGTRRKTKKAEQGSDSEGEEEPAKRTSRRTRKLKQEEASPLEEAKQSQEEAEVKSRAAEEPKREAPEEDVGSDGDDGVDFPYEWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSSWLHLQWEPPEFARTPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNRERVQTRAIKFDDSADTAVARMKVGFRDREDGMGTSLVAETVKSSAEDSLLEDEINADVLKEAKMLHFNSEVLLTPSMHNTLFRTIELSKKFGSKIFFDLNLPLPLWRSRDETKEFINRAWNEADIIEVSRDELEFLLDQKYYEYKRATPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAIVAAAIRKLITCPEMFEDQDTLERGLRFSVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW* >Brasy1G122800.3.p pacid=40056297 transcript=Brasy1G122800.3 locus=Brasy1G122800 ID=Brasy1G122800.3.v1.1 annot-version=v1.1 MAMAAASPFLLVQPPAPKPHLPFGPLHSSLFRTSHLRCSPNGAAVPEPPKPTPRRGRKKVSESGSSSPKPKGTRRKTKKAEQGSDSEGEEEPAKRTSRRTRKLKQEEASPLEEAKQSQEEAEVKSRAAEEPKREAPEEDVGSDGDDGVDFPYEWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSSWLHLQWEPPEFARTPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNRERVQTRAIKFDDSADTAVARMKVGFRDREDGMGTSLVAETVKSSAEDSLLEDEINADVLKEAKMLHFNSEVLLTPSMHNTLFRTIELSKKFGSKIFFDLNLPLPLWRSRDETKEFINRAWNEADIIEVSRDELEFLLDQKYYEYKRATPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAIVAAAIRKLITCPEMFEDQDTLERGLRFSVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW* >Brasy1G122800.2.p pacid=40056298 transcript=Brasy1G122800.2 locus=Brasy1G122800 ID=Brasy1G122800.2.v1.1 annot-version=v1.1 MAMAAASPFLLVQPPAPKPHLPFGPLHSSLFRTSHLRCSPNGAAVPEPPKPTPRRGRKKVSESGSSSPKPKGTRRKTKKAEQGSDSEGEEEPAKRTSRRTRKLKQEEASPLEEAKQSQEEAEVKSRAAEEPKREAPEEDVGSDGDDGVDFPYEWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSSWLHLQWEPPEFARTPGSAASNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNRERVQTRAIKFDDSADTAVARMKVGFRDREDGMGTSLVAETVKSSAEDSLLEDEINADVLKEAKMLHFNSEVLLTPSMHNTLFRTIELSKKFGSKIFFDLNLPLPLWRSRDETKEFINRAWNEADIIEVSRDELEFLLDQKYYEYKRATPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAIVAAAIRKLITCPEMFEDQDTLERGLRFSVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW* >Brasy1G432400.1.p pacid=40056299 transcript=Brasy1G432400.1 locus=Brasy1G432400 ID=Brasy1G432400.1.v1.1 annot-version=v1.1 MSTAAAQGGEKPALRKPVFVKVDQLKPGTNGHTLVVKVVSANPVPGRTRPGAPASSSRPPRIAECLVGDETGTIIFTARNDQVDVLKPGATAILRNAKIDMFKCSMRLAVDKWGRVEAAESASFTVKEDNNLSQVEYELVNVAE* >Brasy1G503400.1.p pacid=40056300 transcript=Brasy1G503400.1 locus=Brasy1G503400 ID=Brasy1G503400.1.v1.1 annot-version=v1.1 MKARFWDSAWLENQTLKDFAPLIFSISKKKNRSVHNAILGHAWINDLNLQRLSTVAHIEEFVRLWNHLQPVTIDPAVEDSISWKLSPSETYSAASAYKAQFFGSTLSSLPIRVWSPWAPPKCKLFSC* >Brasy1G264800.1.p pacid=40056301 transcript=Brasy1G264800.1 locus=Brasy1G264800 ID=Brasy1G264800.1.v1.1 annot-version=v1.1 MAAAAISGGHLVLSSPASSQRLQPLPLQHPSARPIASSAASAARRGVAAAAVSSPAAFSSAGKDAKLIPKDFLHINDFDKDTIMKILDRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDIIMARLFAHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMVEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPLHFVCACPKGFEPDAKTVEIARSAGSKIEITNDPKEAVKGADVVYTDVWTSMGQKEEAEYRKQVFQGFMVDEALMEIAGPKAFLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRVHAQNAIMLHVLGA* >Brasy1G118900.1.p pacid=40056302 transcript=Brasy1G118900.1 locus=Brasy1G118900 ID=Brasy1G118900.1.v1.1 annot-version=v1.1 MPTLRSATSSPVQAATVSPTAATTPRSAKRRLTSRRAAESPDSPQFTSPGISPLAGFGTICTPKMLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMQAVKEALHVGTVPSCGLVCRDDEQMRVFDFCKACVEQERAGSLYVCGCPGTGKTLSINKVKESVSCWADKMGIETPDDLSINCTSLGKTSDIFIKILEKLQVRKKASGKLSPLQQLQRMFSHKESAPRRMLLVIVDEMDYLITRDRAVLHDLFMLTTQQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISNIINHRLKVLEYNVFEPLALEFCARKVAAASGDMRKALGVCRSAVEIFESKLQDSSDQEFGVVTFDHMDIALSKVFKSPVVDSILCLPQHQQMVLCALANTFQHCKKKVTTLGELNKSYIEICRSTQVPGIGMLEFSNMCMILSDQGYLKLGQSKEDKLRRVTLQIDISDITFAFKGNRFFQKCLEQPKF* >Brasy1G118900.2.p pacid=40056303 transcript=Brasy1G118900.2 locus=Brasy1G118900 ID=Brasy1G118900.2.v1.1 annot-version=v1.1 MPTLRSATSSPVQAATVSPTAATTPRSAKRRLTSRRAAESPDSPQFTSPGISPLAGFGTICTPKMLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMQAVKEALHVGTVPSCGLVCRDDEQMRVFDFCKACVEQERAGSLYVCGCPGTGKTLSINKVKESVSCWADKMGIETPDDLSINCTSLGKTSDIFIKILEKLQVRKKASGKLSPLQQLQRMFSHKESAPRRMLLVIVDEMDYLITRDRAVLHDLFMLTTQQFSRCILIADRFLPKLESLNCKPLVVTFRAYSKDQISNIINHRLKVLEYNVFEPLALEFCARKVAAASGDMRKALGVCRSAVEIFESKLQDSSDQEFGVVTFDHMDIALSKVFKSPVVDSILCLPQHQQMVLCALANTFQHCKKKVTTLGELNKSYIEICRSTQVPGIGMLEFSNMCMILSDQGYLKLGQSKEDKLRRVTLQIDISDITFAFKGNRFFQKCLEQPKF* >Brasy1G089200.1.p pacid=40056304 transcript=Brasy1G089200.1 locus=Brasy1G089200 ID=Brasy1G089200.1.v1.1 annot-version=v1.1 MARDEKVAGDVCTGGQAQVEVVVGVGVDGKGAIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPTKCCSDEMSMDLSRQSWVGRIDPHDSHFLAIAIAEQQLLHAEFDDCVSSNSSGVTCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQV* >Brasy1G089200.2.p pacid=40056305 transcript=Brasy1G089200.2 locus=Brasy1G089200 ID=Brasy1G089200.2.v1.1 annot-version=v1.1 MARDEKVAGDVCTGGQAQVEVVVGVGVDGKGAIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPTKCCSDEMSMDLRQSWVGRIDPHDSHFLAIAIAEQQLLHAEFDDCVSSNSSGVTCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYAFQHRRRRQV* >Brasy1G135300.1.p pacid=40056306 transcript=Brasy1G135300.1 locus=Brasy1G135300 ID=Brasy1G135300.1.v1.1 annot-version=v1.1 MAPMPTLAASFLLGILLLFYPTAGAAVHHSVTATTGLSAYDIPAVFAFGDSTLDTGNNNALPTAVRADHAPYGREFPGGAPTGRFSDGKLLTDFVVEALGIKDLLPAYRSGSGAGLAVDAAATGVCFASGGSGLDDATAANAGVATFASQLDDFRELVGRMGESKASKVVGKAAFLVSAGTNDMMMNYYMLPSGRSKYTLDQYHDLLIGNLRSHIQSMYDLGARRILVAGLPPVGCLPLQLTLAALRQPPIPEGCIAEQNAAAESYNGKLQRMLAGFQSVSPGARAVYADIYSPLLDMVNHPDKYGFSEVSKGCCGSGLMEMGPLCTDLVPTCAKPSEFMFWDSVHPTQATYRAVADHFLRSNILRFDN* >Brasy1G259800.1.p pacid=40056307 transcript=Brasy1G259800.1 locus=Brasy1G259800 ID=Brasy1G259800.1.v1.1 annot-version=v1.1 MSLLAVVPSRAAAVRPPRASAASGEAAAAPDLPASRRPVKIILPKKKPQKWSTGMEPGEYGGGPTTVKPRKYWMGKEDRDPVGNTDDFIWNKNFLPHMERVIANGGTDTPPTIPRLTPVDEDADSGFLSINRAMSLDSVEVDLSKELLAPTESILKTQVNAARRGRSNSAEAVNRPTFIRWKLAPTRREQEQWDRATRATTGGIDVILKESKSKVQQQGDPKVLAAEAREQYLKLKERLQLLTLGIGGIGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMYNRWNAILVPDYGFMHLELIPMLLGFFTYKIAMFTQAIQESIPDVGKREV* >Brasy1G259800.4.p pacid=40056308 transcript=Brasy1G259800.4 locus=Brasy1G259800 ID=Brasy1G259800.4.v1.1 annot-version=v1.1 MSLLAVVPSRAAAVRPPRASAASGEAAAAPDLPASRRPVKIILPKKKPQKWSTGMEPGEYGGGPTTVKPRKYWMGKEDRDPVGNTDDFIWNKNFLPHMERVIANGGTDTPPTIPRLTPVDEDADSGFLSINRAMSLDSVEVDLSKELLAPTESILKTQVNAARRGRSNSAEAVNRPTFIRWKLAPTRREQEQWDRATRATTGGIDVILKESKSKVQQQGDPKVLAAEAREQYLKLKERLQLLTLGIGGIGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMYNRWNAILVPDYGFMHLELIPMLLGFFTYKIAMFTQAIQESIPDVGKREV* >Brasy1G259800.2.p pacid=40056309 transcript=Brasy1G259800.2 locus=Brasy1G259800 ID=Brasy1G259800.2.v1.1 annot-version=v1.1 MSLLAVVPSRAAAVRPPRASAASGEAAAAPDLPASRRPVKIILPKKKPQKWSTGMEPGEYGGGPTTVKPRKYWMGKEDRDPVGNTDDFIWNKNFLPHMERVIANGGTDTPPTIPRLTPVDEDADSGFLSINRAMSLDSVEVDLSKELLAPTESILKTQVNAARRGRSNSAEAVNRPTFIRWKLAPTRREQEQWDRATRATTGGIDVILKESKSKVQQQGDPKVLAAEAREQYLKLKERLQLLTLGIGGIGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMYNRWNAILVPDYGFMHLELIPMLLGFFTYKIAMFTQAIQESIPDVGKREV* >Brasy1G259800.3.p pacid=40056310 transcript=Brasy1G259800.3 locus=Brasy1G259800 ID=Brasy1G259800.3.v1.1 annot-version=v1.1 MSLLAVVPSRAAAVRPPRASAASGEAAAAPDLPASRRPVKIILPKKKPQKWSTGMEPGEYGGGPTTVKPRKYWMGKEDRDPVGNTDDFIWNKNFLPHMERVIANGGTDTPPTIPRLTPVDEDADSGFLSINRAMSLDSVEVDLSKELLAPTESILKTQVNAARRGRSNSAEAVNRPTFIRWKLAPTRREQEQWDRATRATTGGIDVILKESKSKVQQQGDPKVLAAEAREQYLKLKERLQLLTLGIGGIGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMILVPDYGFMHLELIPMLLGFFTYKIAMFTQAIQESIPDVGKREV* >Brasy1G323900.1.p pacid=40056311 transcript=Brasy1G323900.1 locus=Brasy1G323900 ID=Brasy1G323900.1.v1.1 annot-version=v1.1 MSRAGPREVSKTVRRPGLPAHYESSPRILISILQSNSTTPERKEAEVAVQRRRVSASASVWEGWKMASRLWRWYADRQFHKWEKTVLWDMVEPYRPPRSFAPLVGTYVAAFYTAVVAAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVVNGEVPRFIQTPDEAKPA* >Brasy1G051300.1.p pacid=40056312 transcript=Brasy1G051300.1 locus=Brasy1G051300 ID=Brasy1G051300.1.v1.1 annot-version=v1.1 MPLVSCLQQVLPHLSDKRDGQFLAQLVNMWSNYCTMVKCVSGFFSYLDRCFIEQRKLPSLEDTAATSFFAPVFNFFSNEITDALLTLIRQEREGSKVDMDLLRGIMCGICRSEVRTAMKNTVLEDTYSYYSRKSSEWIVLYPLPDYLAKVQDTMEKEIERLMHYLCITER* >Brasy1G181600.1.p pacid=40056313 transcript=Brasy1G181600.1 locus=Brasy1G181600 ID=Brasy1G181600.1.v1.1 annot-version=v1.1 MEAGGFLRRHPRLELALFLSMLGCYLFVGCWMIRGPPEFSATVTSFEGLDRSTGAAAAPAFRVALRAESGSLLWQYCFKPAADGAVTVAYDGVPLARAGHGGFCVPARGVVRVPVVATGDGLGLPARLYDSLESRRQRGERVPLDVRLRLDEEHVVPHNWNMPMLLRCAAMLDGGPTEGQPSRCSLFRMLEPGLTARGGGSLMPGYAMHTQPFVQSVSTCVFPVKK* >Brasy1G509200.1.p pacid=40056314 transcript=Brasy1G509200.1 locus=Brasy1G509200 ID=Brasy1G509200.1.v1.1 annot-version=v1.1 MAPFFFLLLLLGQILHLCTAVDTINSTTPLSGTQRIVSRGSKFTLGFYSPPLGSSISGSYYIAIWYSNIPQVTTVWTATTDVPVSDPITASLRIASDGNLVLLDQAKNRQLWSTNVSIISNSTMATIKDTGSLELTDASNSSILGLNKITRASQRLVPWKNSADPSPAGLFSLELDPNGTTQYFVQWNESIRYWTSGVWNGAYFSLVPEMTANFRYNFRFVDTETETYSTYSIKDDSVISRFIIDVTGQIKQLAWVDSAQQWIMFWAQPRTQCDVYALCGAYGSCSLSALPYCNCIKGFSHKVQSDWDLQDYSGGCKRNVPLQCQANSNSAKTQPDKFYTMGGVRLPDNAQSALATSSEECKAACLKNCSCNAYTYNSRGCFVWPGELVNLQDGYSGNGVGTLFLRLAASELQLQGPKKSKAAIIGAVVGGVAAVLTILAIVLFFLFQKCRRDRTLRISKTAGGTLIAFRYSDLQHVTNNFSEKLGELPDSTAIAVKRLDGLHQGEKQFRAEVSTIGTTQHVNLVRLLGFCSEGSKRLLVYEFMPKGSLEVQLFPGEKTALSWATRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLNDSFVPKVSDFGLAKLLGRDFSRVRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHGEEGRPSFFPTLAASKLHEGDLHTLLDPRLNRDANPDELTRVCKVACWCIQDDESTRPTAGQIVQILEGCLDVNMPPVPRS* >Brasy1G578800.1.p pacid=40056315 transcript=Brasy1G578800.1 locus=Brasy1G578800 ID=Brasy1G578800.1.v1.1 annot-version=v1.1 MEVALSMAQWVVGKALAPVADGMLEAWESSRNLGLNIEALRTELLLVQATLETASRKEIGGPAMEQLLQKLRDLAHSAEDLLDELDYFRIHDELHGSYAAADQHAKGVVHDLVLNARHTAKAVGKLACLPSCWSGASPADPGQEEASQKVNCCARPRAKHRARGNSSLAPNTNQADDEEVRGCMRKLVAPQRKHEEETMLGFNRVDISQRMKNIVEELQPVRKDVSGILQSCDRRSVPDIAKSRPITSGQSIEPKLYGRDHIMNTIIGDMTNGKYCGKDLTVLPIVGPGGIGKTTMIQHIYQNQEVRNYFEIVVWVCVSLNFNLNKLLQEIKDCIPKVEGEQGGKPEELIEQRIKSKRFLLVLDDIWKFNNEDDWKRLLQPLKRSQQKGSMILVTTRFPAIAQVVGTTGHSIELEGLESKYFRELFHAFAFNDDQSRREDDLLLETGDKIMEKLKGSPLAAKTVGALLRKDLSLRHWGRVLESREWESQTGTNDIMPALKLSYDYLPFHQQQCFSYSALFPEDHKYSRTELINLWIGLDILQAGGRNQMLGDIGSSNLNDLVTHGFFREVEINGQLHYVMHDLLHDLALKVTSHECLTVHCSNVRLVEIHPSIRHVSIIIDGADTVSLENFKNQLRKLKTRLKVKQLHTLMLFGEMDESFADIFGDLFREANALRVLRLVNMRSSVESVLYQFSALAHLQYLCLGIHYRKEPMDLPLAISRFYHLKILDLARYGCSGLPKDMSNLAKLHHFYTRSDELYSCISNVGKLELLEELKVFRVNKESEGFESKQLEHLTELRELGIYNLEKIHSKEEAAEAKLIEKKYLERLTLDWDSKRANTESDVEAAVLESLRPHRYLQKLSIRGHRGPSCPTWLGDELAVKGLQSIHLSGVSWGVFPSLGKMCDLREIRLEHIAKMKDFVMDQSFCRLIRLTLIDLENLEKWVTAGDARHLFPVLQVLVIQGCPKLLEFPFANHIVYPPEQDWNMDWFPKLQELKIENCPEFFLIAPIPWTETLHQVDIRDVKLLEVLYYSVTSYRAELKIVGKDDLHSLDQVLAFSNLTKVEELTLTKCPPLELKHLLMLTSLKTLVIESSDALVGPLGGRGDVEWQLPVEQLMVYRLRGASGKELTVLLTHLPRLSKLEIRDCKTITQLAVGLGLQETTSAAAAGSEVEQEKEEDGLLLFPPHLSDSLRELIICDCPELVLVDQSTFLPLRDGHSNNKKETRRGGLQALRSLQKLEIEFCPKFLSACLSSSPSLHLFPSSLQSLDLWLVKGMGTLEPLSNLTSLTGLYLSGCGENLRCEGLGPLLTTGGQLSELTVCDSPRFFAGWDPNPRRVLQDEEGGKKQQLQLVPPPPSSSKLQDLWTDDAAGFLAAPICSLLSSSLTKLTLYGTKEMERFSKEQEDALLLLASLQELEFRDFYKLQHLPAGLHKLTNLKKLEVMQCPAVRSLPKDDLPKSLQELYVRYCGNEELKQQCRGLVGTIPEISVD* >Brasy1G355000.1.p pacid=40056316 transcript=Brasy1G355000.1 locus=Brasy1G355000 ID=Brasy1G355000.1.v1.1 annot-version=v1.1 MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGVQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGSDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSFEALESVIKETFDKLVEEGNIPPVAEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIERGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFSKQEIDEIIEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK* >Brasy1G525900.1.p pacid=40056317 transcript=Brasy1G525900.1 locus=Brasy1G525900 ID=Brasy1G525900.1.v1.1 annot-version=v1.1 MAAKVAGGRKRGTTAACLGGSGGDDPFFFALKRGRCSPEADVAADLGVSLEFDPVDALQLIFPGADPQLLQGYYEASGNVLDAAIRGFKDYLASGSVTTNDDAASSGGTVKSDVPAIDTPTNGSEWAELVVKEMYSAKNLIDAKDRAFRILELFDKSTANCNTPDEKQKMHEEHKILKQMLGGLLHQNGVLKRAFLIQHNRLKDYQNMVRERSQFKEIVDKYQQQIKALEDRNYALSFYLEQSKQGNSICGYRNPDVF* >Brasy1G190700.1.p pacid=40056318 transcript=Brasy1G190700.1 locus=Brasy1G190700 ID=Brasy1G190700.1.v1.1 annot-version=v1.1 MAPALLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKTIKVPDGFDYELYNRNDINRILGPRSSCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDIDALAKHIQNLLCPSTPLFFNTLYDPYAEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNGRYVDAVMTIPKGALFPMCGMNLAFRRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQAVTLSKDCDTVQKCYVALSQQVREKLGKIDPYFGKLADAMVTWIEAWDMLNSPSKDADANGKAKGK* >Brasy1G455100.1.p pacid=40056319 transcript=Brasy1G455100.1 locus=Brasy1G455100 ID=Brasy1G455100.1.v1.1 annot-version=v1.1 MERPQVLTPAAAMELAAIVAEVASAVLEWAPAIAAHVKVQFGGMAYSSSPMLLHSVLTLFVQFPDAFGADDECRMAQRLASAACEAHRPLPVRLLALHWLLGSGRFRDWVPGLAQWFYPGLFDPLALKAKKLDCLAFVAAGIDGDKVGGRHSQQTSKLIDDGLVCVSAFRWLPAWSTETGVAFRALHRVLVGAAPHSTNDTGCSGAGELLNSTTFHHFQAMLVDLTSEHRGLVPVIADFINRLLACTTHRWVGEQLLRTVDECLLPRLEPGYLLASYYPLFEKIAQNETVPQLRLIELLTKQMVSLAKKHDTDTALKSWSQGSKVVGICRIMLKYHHSSHIFFPLSHLLVLTVESFPDLEIRDHARICLRMLSCIPGKKLRNLMGIGEQPTPSHPGSMFDVPSPHPAHDPDSMPSLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGHILSIQDVSITPPEQEKPPEPTIERIGYTQEALRVMDSKGAETLEILRRHFSCIPDYKHLSGLKIKIYCTFRFDSEPFSCAWGSDSTVSCSEGADELPALFAVTITFSSSAQFGEIPPCRVPFLLGEPPGSGVDIVPIDNGHQEESSYRASVVIELEPREPSPGLIDVGIAANTENCQVISGSLQPITVGIEDMFLKASIPPDTPKEGVPAYYQDLFKALWEACNSSSNTGRETFPLSGGKGLAAISGTRSVKLLEVTPKVLIGAVERYLAPFVVAVAGHSLVTILRGNGVIKNVVWEESDSDATVGADALVPYSMDNNLQLQRIDDDEIDIGVQRYGHLSKRDMGIVRVLIFLPPRYHLLFLMEVGSASTLVRIRTDHWPCLAYVDEYLEALLQ* >Brasy1G455100.2.p pacid=40056320 transcript=Brasy1G455100.2 locus=Brasy1G455100 ID=Brasy1G455100.2.v1.1 annot-version=v1.1 MTRVAPELAMLVDLTSEHRGLVPVIADFINRLLACTTHRWVGEQLLRTVDECLLPRLEPGYLLASYYPLFEKIAQNETVPQLRLIELLTKQMVSLAKKHDTDTALKSWSQGSKVVGICRIMLKYHHSSHIFFPLSHLLVLTVESFPDLEIRDHARICLRMLSCIPGKKLRNLMGIGEQPTPSHPGSMFDVPSPHPAHDPDSMPSLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGHILSIQDVSITPPEQEKPPEPTIERIGYTQEALRVMDSKGAETLEILRRHFSCIPDYKHLSGLKIKIYCTFRFDSEPFSCAWGSDSTVSCSEGADELPALFAVTITFSSSAQFGEIPPCRVPFLLGEPPGSGVDIVPIDNGHQEESSYRASVVIELEPREPSPGLIDVGIAANTENCQVISGSLQPITVGIEDMFLKASIPPDTPKEGVPAYYQDLFKALWEACNSSSNTGRETFPLSGGKGLAAISGTRSVKLLEVTPKVLIGAVERYLAPFVVAVAGHSLVTILRGNGVIKNVVWEESDSDATVGADALVPYSMDNNLQLQRIDDDEIDIGVQRYGHLSKRDMGIVRVLIFLPPRYHLLFLMEVGSASTLVRIRTDHWPCLAYVDEYLEALLQ* >Brasy1G157100.1.p pacid=40056321 transcript=Brasy1G157100.1 locus=Brasy1G157100 ID=Brasy1G157100.1.v1.1 annot-version=v1.1 MSQTAEPEDRDAFTCGTLLMCLCLPGFSKKKKPEQQTGKSRQPATTDEQVQGQPAEHAQEPDPQTQLESFSLYSGSNIVFDFLVEPGEGLQGYYCPSPCFDLPVAALIRAGERCGAVVAGSETPVTSAALAFDGYRGDDALKRMASCLPPSGIPTAGVVEAPPAHLVRFLSASGSRPQVT* >Brasy1G012800.1.p pacid=40056322 transcript=Brasy1G012800.1 locus=Brasy1G012800 ID=Brasy1G012800.1.v1.1 annot-version=v1.1 MALLRLHLLRPPPRPLPALSSPWGRALPATAVAAPAAASSRLLCSNSNHPAAAPSPSIVGGLLDYLNESWTQFHATAEAKRQLLDAGFKLLSENDDWDLQPGGLYFFTRNMSCLVAFAVGEKYRVGNGFNIIAAHTDSPCLKLKPKSASFKSGHRMVNVQTYGGGLWHTWFDRDLTLAGRVILKAADGSFKHKLVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLAPLLATKCEESTVNSDDKKGSSSTKIVHHPLLLQVLSEEIGCGADEIVGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMSEELSNEKAIRMVALFDNEEVGSNSMQGAGAPTMFQAMRRIVDSLMHQSMGEGALERAITSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLIIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDIDTAYKHFKAFFEMFSDIDQKLNVDF* >Brasy1G254400.1.p pacid=40056323 transcript=Brasy1G254400.1 locus=Brasy1G254400 ID=Brasy1G254400.1.v1.1 annot-version=v1.1 MIGEEDLDLVLVPLGLAVLAAYHLWLLYAILRHPTRTVVGLNALARKRWVAAIMANPEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGATAGGRSSPSSSAPLQLGVYGSKSGQAFAAKYMAISLCFMLAFVCNVQAIRLYAHASFLLGLPSWAGPEEEEAEGPAAAREEWGAYVARTVNRGSHAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCALLYFLDTTSTSEYAKGVQQHIHGQRSAADKDGTV* >Brasy1G231500.1.p pacid=40056324 transcript=Brasy1G231500.1 locus=Brasy1G231500 ID=Brasy1G231500.1.v1.1 annot-version=v1.1 MAFASLLLAPPLPPPPAAAAWWRRARERPRCLIMVASSRGGDPAPPTFERLREQLLQLHAEADLTQSKANSSRVRLVRLTEAAENLKKRAVVSVRMGRENEAVDLLVQKKKLTKALENIKERIELLDKLSAKISEAISVKQNMLIEHALHSGMPRGEESSEEIRVFSGEVDDGAGETSYHVPKSVEKQSSEMKSVIYSNLAVQSEQSELQMADSSILSQDSGPPNSIKDCSAYDDFVHHIGSQLNSLEYEIEQYISLQQTEEVDIQQSINGKWNKLSAILKLITETRERIAKISETTVSETGSDGLR* >Brasy1G532000.1.p pacid=40056325 transcript=Brasy1G532000.1 locus=Brasy1G532000 ID=Brasy1G532000.1.v1.1 annot-version=v1.1 MARALLLYFFFVVIVSRIPAPLVLAADDACRPKTCGNLTVSAPFGLVVEGTSEENKCGLQMGFQVQCTDHVPYLAYYGREFRFRILEIFYGDFSLLVSDVHKLGDFNHSSKEGCHVPKADTAYKIAQPFSISPLNQNLVFYNCTKPPEPETVSRDGLVDTVCHNNTFVRAGGRYNGDEVGGYELEGCNYTAVPVMGKSGRVNASDYLELISEGFLLTWQPQAAGRGGKHTRQIKLEYSTIVLFLLEVHSS* >Brasy1G048800.1.p pacid=40056326 transcript=Brasy1G048800.1 locus=Brasy1G048800 ID=Brasy1G048800.1.v1.1 annot-version=v1.1 MEIGGMIASAVGEQIATKLGELVKDEIALLWGFRDDVEGIEEKMKDLEAVMHDADDRLRRGERDGAAVGRWLMKFKDVVYDVEDVLDELDANELINKTQSKLKLFFSRNNQLLQRITIAHNMKSVKGKISKIEIEAGSPTLNLVRREAHAEGSRSEETFAAIGDQGIKTGMVGRGAEKEKIVNLLLNGEASENISVIPIVGLGGLGKSTIAESVLADERVNVFNFQAWVHVSEQFDLRKIASSIMKSINSSINVDNCTLQFLHDKIKIELATTRYLIVLDDLWEEDGNKLEELKRMLQYGCKGSKIIVTTRNQSVVEKMSTGVIANQGIVRPVPESDQIKLGILSSDDCWQVMKQMIFGPYDDQSGLEEIGKEIAAKCGGVPLIANSLGRVLSELRTVKEWEDIRDTKIDLGSRDQKDTLERLMLSYYFMKQEFKMCFTYLAAFPKGFVMDGDRLIQQWIALGYIHAKDDGERCIKYLLGMSFLQISRSSSVSPSPAHAKPQELTTHDLVHDLASTITASEFVVLDANEPEPSNWSKSRYCRHAQLINYQNQSKGFQRSAN* >Brasy1G114700.1.p pacid=40056327 transcript=Brasy1G114700.1 locus=Brasy1G114700 ID=Brasy1G114700.1.v1.1 annot-version=v1.1 MPIFPVTASLQNLPSLLCLGLIRAVLQKHARKVGCRGVVSTLEAKTHGAGEDKTHMNLLQLAVSEVCSQLLLPGRVIFQFLPFDFHLKTKNIFSHYLNELLHFINFPPRVRRRRQRVVGQLPDDARLLLLGDPGAGLLRVVPRRHGRGGRDGQEGRHRAPQLLHQRLRGRRRPVRPHRHAPVPQPRQARRRRRLRHPRQDPLRPPQLAQRLQAHRPQPPRPTRRCFLLWRAGADQGAAGTRPGFLKKDQHKIRVPQGEFLVLQSIQPQEVFFVLFCFAETMLI* >Brasy1G114700.2.p pacid=40056328 transcript=Brasy1G114700.2 locus=Brasy1G114700 ID=Brasy1G114700.2.v1.1 annot-version=v1.1 MPIFPVTASLQNLPSLLCLGLIRAVLQKHARKVGCRGVVSTLEAKTHGAGEDKTHMNLLQLAVSEVCSQLLLPGRVIFQFLPFDFHLKTKNIFSHYLNELLHFINFPPRVRRRRQRVVGQLPDDARLLLLGDPGAGLLRVVPRRHGRGGRDGQEGRHRAPQLLHQRLRGRRRPVRPHRHAPVPQPRQARRRRRLRHPRQDPLRPPQLAQRLQAHRPQPPRPTRRSVPPNSLTPLPPNYNSLLPFSIFRHPYFETHIGGLR* >Brasy1G114700.3.p pacid=40056329 transcript=Brasy1G114700.3 locus=Brasy1G114700 ID=Brasy1G114700.3.v1.1 annot-version=v1.1 MNLLQLAVSEVCSQLLLPGRVIFQFLPFDFHLKTKNIFSHYLNELLHFINFPPRVRRRRQRVVGQLPDDARLLLLGDPGAGLLRVVPRRHGRGGRDGQEGRHRAPQLLHQRLRGRRRPVRPHRHAPVPQPRQARRRRRLRHPRQDPLRPPQLAQRLQAHRPQPPRPTRRCFLLWRAGADQGAAGTRPGFLKKDQHKIRVPQGEFLVLQSIQPQEVFFVLFCFAETMLI* >Brasy1G214200.1.p pacid=40056330 transcript=Brasy1G214200.1 locus=Brasy1G214200 ID=Brasy1G214200.1.v1.1 annot-version=v1.1 MGVLGALARHLDSLVGPGIMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKALQWLPLWPYVKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPGYSERQRKVLQMMSLDARKSVERFIETHGPGALEKIIQAAEQEAKRA* >Brasy1G266600.1.p pacid=40056331 transcript=Brasy1G266600.1 locus=Brasy1G266600 ID=Brasy1G266600.1.v1.1 annot-version=v1.1 MSRSRTVRIFWDDPDVTDSSGEEEGCGGARRVARMVRELPPAPAPALLVQAGFTAAGFPEQCIVGEDDDQARPVGGACTGPRKRSAKGGSAAAGTNKFRGVRRRPWGKYAAEIRDPWRGVRVWLGTFDTAEEAARVYDSAAIQLRGASATTNFSTSGNSSEGATQDPAGGEYESGAESSQAVSSPTSVLRKVPSMSSLAEDRGDDSEAYQGDNGGSSSLAVLEELGEFVPFEDAPVYSSSSFWDFEPQAGFLYAEPSSPEEASWGDASAGEPWAQDNNDYFFQDLRDLFPLNPPPAIF* >Brasy1G133300.1.p pacid=40056332 transcript=Brasy1G133300.1 locus=Brasy1G133300 ID=Brasy1G133300.1.v1.1 annot-version=v1.1 MPPKKAPSASKADVAKKQKVLEDKTFGLKNKNKSKNVQKYVQSLQQSGQPKPDPTKAAAKKKKEEEKAREKELSDLFKVAVSQPKVPVGVDPKSILCEFFKAGQCQKGFKCKFSHDLNVQRKGEKIDIYSDKRDGEDTMDDWDQETLEKVIASKGAEYQQNKPTDIVCKHFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESQKVAIEDEIEDQRKKVQTTTPMTTELFMEWKRKKAEEKEAGLAALRADRAKNDRMSGRELFMADASVFVDDAEAYEVYERREESQASQEQGKKTQDEGPSSSTSNGMEAEEDPDDEDIDDDDDLDMDELNELEASLSRTSIQIREPGEGTSS* >Brasy1G428700.1.p pacid=40056333 transcript=Brasy1G428700.1 locus=Brasy1G428700 ID=Brasy1G428700.1.v1.1 annot-version=v1.1 MASLAMMDIVLDDEDDYLLDLTTEPEDEELEESESEEELEFEEGDDELEEEESEEEDLEFEEEEEGQEGTSNYLQFDDMGVLHHLEPHPATNTGGRDREDEDPMAAAVAAALIKEAESDGRGCPICLLDDDDDDRHMGAWKEMACGHRFHGRCVETWLRTKGSCPMCRRQLVTLPMPMPLYRHRRASIWT* >Brasy1G000800.1.p pacid=40056334 transcript=Brasy1G000800.1 locus=Brasy1G000800 ID=Brasy1G000800.1.v1.1 annot-version=v1.1 MIIYFTLDALSRRQTQKSSLVQHSSSALHPLHCYCFRLDLLMEAAEDTSLEPAIGWLVETILASPLIGGKPEAWIRQAGLAKDVERLRSEVERVELVVSAVKGRAIGNRPLAKSLSRIKELLYDADDAVDELDYWRLQQQLEGGTLHDPDETDGQRAPRIERSRENADVLSSSVDTLPPESWGHFYITEFDENRGPVKARCKYCHAVVGCTTDKGTSVLRKHLRSKGCKKKRGASDSSSAGDASANASLVSIGGDPSTRDMRWDEAEKPTYTWDKDNFSNRIQDIVHQLQDIRVDVEGVLKIHGPDSGATSNRYWISTSHPRLRTSSVVQRKVYGRGDEKKSIIEQIKKHKSCVDVTVLPIVGIGGVGKTALAQLVYNDPALESQFDHRIWISVSNNFDEMRLSREMLDFVSQRTHEGLCSFAKLQEVLKAHIKSKRVLLILDDVWDDMNDCQWNQLLAPFKSGDAKGNMILVTTRKPSVAKRRGTTEPITLDALRFDDFWILFKACAFDDEDYEVRDCLIDLVSQILEKLKGNPLAAETAGALLREHLTIDHWSNVLENEDWKSLQLSGGIMPALKLCYDRLPYHLQQCFLYCSIFPYNHPFLAEELVRIWISQGFVKCNNSSKRLDELGQCYLADLVNLGFFRQIKRGSPWGSQTCYVMYNLMHDFARVVSRTECATMDDGLRCNVMSPTVRHLSIVTLFRSRSEEFEEKLRNTVTTVRNLRTLVLFGQYDPFFFQCFQDVFRKAHNLRMLQMSATYADFHSFLCVLGNPTHLRYLNLTYPKHQDRLVGQGDLPQILNRFFHLQVLDVGSYSDASRDSLQMVHLKNCAEWRILPSLQSLPFLTKLKLSNLRKIREVSVPSLEELVLAEMPELERCSCTSLEGLHSSLRILEIRSCPALKVFDLFEKSHLFAKGHFYDFGQKSWLPCLRILTIIDCPHLLVPCPLPPSTTICKLHINGVSTLQMEGSSSEKLEISSSSPFGESTDETMRLDDKILAFHNLRELKYLEIWSCANLTSISFKVLCQLPSLEGLRVAACKKLFSSAVLPEDTAFGESSNLKSLYISSCGITGEWLSLMLKCMSTLKELSLNYCPQFKQLGFDALTSSSSDGLLHIPSTLTSSLRRIDIFQIPYLTFKGTKEGFARFTSLEELRVWDCPELLSSLVHNDVQGNRKWLLPQSLGQVVISNYCQETLSLCFLGNVSCLKKLEVLESPCLRSLQLHSCTSLEDLRIQTCKQLAAVEGLQCLVILRNLTVLNSPGLWSYLERLLGQGYELCPRLESLVIDDLSLLTMLFCNGHTCLQCLQLKKLGVTRLTDEQERALLLLRSLQDLVFWECENLVQLPVGLHSLPSLKSLMIACCDDIPRLPKEGLPPSLESLVIYDCSDELRQQCRLLATGKLKVEISD* >Brasy1G000800.2.p pacid=40056335 transcript=Brasy1G000800.2 locus=Brasy1G000800 ID=Brasy1G000800.2.v1.1 annot-version=v1.1 MIIYFTLDALSRRQTQKSSLVQHSSSALHPLHCYCFRLDLLMEAAEDTSLEPAIGWLVETILASPLIGGKPEAWIRQAGLAKDVERLRSEVERVELVVSAVKGRAIGNRPLAKSLSRIKELLYDADDAVDELDYWRLQQQLEGGTLHDPDETDGQRAPRIERSRENADVLSSSVDTLPPESWGHFYITEFDENRGPVKARCKYCHAVVGCTTDKGTSVLRKHLRSKGCKKKRGASDSSSAGDASANASLVSIGGDPSTRDMRWDEAEKPTYTWDKDNFSNRIQDIVHQLQDIRVDVEGVLKIHGPDSGATSNRYWISTSHPRLRTSSVVQRKVYGRGDEKKSIIEQIKKHKSCVDVTVLPIVGIGGVGKTALAQLVYNDPALESQFDHRIWISVSNNFDEMRLSREMLDFVSQRTHEGLCSFAKLQEVLKAHIKSKRVLLILDDVWDDMNDCQWNQLLAPFKSGDAKGNMILVTTRKPSVAKRRGTTEPITLDALRFDDFWILFKACAFDDEDYEVRDCLIDLVSQILEKLKGNPLAAETAGALLREHLTIDHWSNVLENEDWKSLQLSGGIMPALKLCYDRLPYHLQQCFLYCSIFPYNHPFLAEELVRIWISQGFVKCNNSSKRLDELGQCYLADLVNLGFFRQIKRGSPWGSQTCYVMYNLMHDFARVVSRTECATMDDGLRCNVMSPTVRHLSIVTLFRSRSEEFEEKLRNTVTTVRNLRTLVLFGQYDPFFFQCFQDVFRKAHNLRMLQMSATYADFHSFLCVLGNPTHLRYLNLTYPKHQDRLVGQGDLPQILNRFFHLQVLDVGSYSDASRDSLQMVHLKNCAEWRILPSLQSLPFLTKLKLSNLRKIREVSVPSLEELVLAEMPELERCSCTSLEGLHSSLRILEIRSCPALKVFDLFEKSHLFAKGHFYDFGQKSWLPCLRILTIIDCPHLLVPCPLPPSTTICKLHINGVSTLQMEGSSSEKLEISSSSPFGESTDETMRLDDKILAFHNLRELKYLEIWSCANLTSISFKVLCQLPSLEGLRVAACKKLFSSAVLPEDTAFGESSNLKSLYISSCGITGEWLSLMLKCMSTLKELSLNYCPQFKQLGFDALTSSSSDGLLHIPSTLTSSLRRIDIFQIPYLTFKGTKEGFARFTSLEELRVWDCPELLSSLVHNDVQGNRKWLLPQSLGQVVISNYCQETLSLCFLGNVSCLKKLEVLESPCLRSLQLHSCTSLEDLRIQTCKQLAAVEGLQCLVILRNLTVLNSPGLWSYLERLLGQGYELCPRLESLVIDDLSLLTMLFCNGHTCLQCLQLKKLGVTRLTDEQERALLLLRSLQDLVFWECENLVQLPVGLHSLPSLKSLMIACCDDIPRLPKEGLPPSLESLVIYDCSDELRQQCRLLATGKLKVEISD* >Brasy1G000800.3.p pacid=40056336 transcript=Brasy1G000800.3 locus=Brasy1G000800 ID=Brasy1G000800.3.v1.1 annot-version=v1.1 MRWDEAEKPTYTWDKDNFSNRIQDIVHQLQDIRVDVEGVLKIHGPDSGATSNRYWISTSHPRLRTSSVVQRKVYGRGDEKKSIIEQIKKHKSCVDVTVLPIVGIGGVGKTALAQLVYNDPALESQFDHRIWISVSNNFDEMRLSREMLDFVSQRTHEGLCSFAKLQEVLKAHIKSKRVLLILDDVWDDMNDCQWNQLLAPFKSGDAKGNMILVTTRKPSVAKRRGTTEPITLDALRFDDFWILFKACAFDDEDYEVRDCLIDLVSQILEKLKGNPLAAETAGALLREHLTIDHWSNVLENEDWKSLQLSGGIMPALKLCYDRLPYHLQQCFLYCSIFPYNHPFLAEELVRIWISQGFVKCNNSSKRLDELGQCYLADLVNLGFFRQIKRGSPWGSQTCYVMYNLMHDFARVVSRTECATMDDGLRCNVMSPTVRHLSIVTLFRSRSEEFEEKLRNTVTTVRNLRTLVLFGQYDPFFFQCFQDVFRKAHNLRMLQMSATYADFHSFLCVLGNPTHLRYLNLTYPKHQDRLVGQGDLPQILNRFFHLQVLDVGSYSDASRDSLQMVHLKNCAEWRILPSLQSLPFLTKLKLSNLRKIREVSVPSLEELVLAEMPELERCSCTSLEGLHSSLRILEIRSCPALKVFDLFEKSHLFAKGHFYDFGQKSWLPCLRILTIIDCPHLLVPCPLPPSTTICKLHINGVSTLQMEGSSSEKLEISSSSPFGESTDETMRLDDKILAFHNLRELKYLEIWSCANLTSISFKVLCQLPSLEGLRVAACKKLFSSAVLPEDTAFGESSNLKSLYISSCGITGEWLSLMLKCMSTLKELSLNYCPQFKQLGFDALTSSSSDGLLHIPSTLTSSLRRIDIFQIPYLTFKGTKEGFARFTSLEELRVWDCPELLSSLVHNDVQGNRKWLLPQSLGQVVISNYCQETLSLCFLGNVSCLKKLEVLESPCLRSLQLHSCTSLEDLRIQTCKQLAAVEGLQCLVILRNLTVLNSPGLWSYLERLLGQGYELCPRLESLVIDDLSLLTMLFCNGHTCLQCLQLKKLGVTRLTDEQERALLLLRSLQDLVFWECENLVQLPVGLHSLPSLKSLMIACCDDIPRLPKEGLPPSLESLVIYDCSDELRQQCRLLATGKLKVEISD* >Brasy1G317200.1.p pacid=40056337 transcript=Brasy1G317200.1 locus=Brasy1G317200 ID=Brasy1G317200.1.v1.1 annot-version=v1.1 MGSSPHVNLLKMVSRLVVNNFLVAVVAVTGAAAMLIRRDIVGQMPPVHALMVLLLAAGVAAKMRRRREVYLVEYGCFGPKSCYRTPFATCLEHAHLMPYLVDEESVAFAMRLLERSGLGEETCVPDAYHYMPPDRSLRASREEAELVIFSAVDDVFAKTTTVIKPCDIDVLIVNCSIFTPIPVFADIVVNRYKLRDDVKSVNLSGMGCSAGLVSVGLAKNILHAAPLGTRVLVVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPEEDQARFRLCRVVRTVTAARDADYRCVFQEEDDKGNTGIRLSKDLATTAGYALKNNIAAFGPLVLPASEQLLVALSLLKRKLLSSGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVFYELAYMEAKGRMSKGDRLWMISFGAGFDCNSVAWECVKPKQPGDAHGPWADSIARYPVQLPEIDKDM* >Brasy1G191800.1.p pacid=40056338 transcript=Brasy1G191800.1 locus=Brasy1G191800 ID=Brasy1G191800.1.v1.1 annot-version=v1.1 MRTQAWARGAVARLMTPFNCPTAHASSTSTHLLLRSSSYCLHLPSRFRRHFASDSSSVDSTRQNCQTFMEVVQEVFKHGSEHGTRVAIRADQKSYSLGHLISSALDVYNILCRKNDGIKDSPIKGINGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEVELLHVMNDSDISMILSTKEHHDIMESISTKCSARYSLLPDVESIPPKIDSQEPLSSDVTSSVSRLMADIDRSNKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILTEAWGYQSEDQFLHCLPLHHVHGFFNALFAPLYSGSVVEFMPKFSVRGVWQRWRESYPNDASKNDEAITVFTGVPTVYTRLLQGYDGMDPDQQSASSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGVRREGTVGKPLPRVEAKIIMEDGTETKAGVGELCIRSPSLFKEYWKRPEVTAESFIDGGFFKTGDTVTVDDDGYFIILGRTNADIMKVGGYKLSALEIEAVLLEHDTVLECAVLGLPDEAYGEIICAIIVPKEDVKKRAEQGSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLEA* >Brasy1G211100.1.p pacid=40056339 transcript=Brasy1G211100.1 locus=Brasy1G211100 ID=Brasy1G211100.1.v1.1 annot-version=v1.1 MSSASILSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRHGGAAGGGGHFTGAFTPTSGSSHLSITVPRVLFVAEESESPDAYSSATAAASSPVGLDPAAIASYPKAPFSRSRAAAADADAMCSICLCEYRDGEMLRLMPECRHRFHVMCLDAWLRRSASCPVCRSSPIPTPVATPLATPLSELVPLSHYAADRRRSR* >Brasy1G498200.1.p pacid=40056340 transcript=Brasy1G498200.1 locus=Brasy1G498200 ID=Brasy1G498200.1.v1.1 annot-version=v1.1 MAEAAAAAAALDLDGIIHRLTTTTSAPPKPPPVTRAEIKALCAAAKELLLSQPTLLELPAPINICGDIHGQFPDLLRLFAPGAGGPPSPANRYLFLGDYVDRGTQSVETVCLLLAYKLKHPDAFFLLRGNHECAAINKAYGFHQECMNRRLILPGRWDCWAEFNLVFACLPLAALVSGDRKGNDKNKKMFCVHGGLSPELETMGQIRALRRPLPVEVPEKGLVCDLLWSDPAADEDDWGWGEPRRGASCTYGADVVEEFCERNGVELVCRAHEEKQAGYEVAAGGKLVTVFSAPDYCGTTGNDGAVMVVDGGLACSFRIIKPAARPAGSFLVLDLDPNAPPVAHEN* >Brasy1G215100.1.p pacid=40056341 transcript=Brasy1G215100.1 locus=Brasy1G215100 ID=Brasy1G215100.1.v1.1 annot-version=v1.1 MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHYLAPTVPSVKEFVERYEAATQTKVWCDIRQERRAELENVGKTCDLLEKELRFMTVDDGEQYTVPSLAALEHNLEAAMRKVRSEKDRKIGGEMSYLENMIRGKQAERYGLCDKLAQAQSLKAVEGGSTSLNNGLDLKLGFN* >Brasy1G000600.1.p pacid=40056342 transcript=Brasy1G000600.1 locus=Brasy1G000600 ID=Brasy1G000600.1.v1.1 annot-version=v1.1 MGKNKGRASSSGLAASLLPDAQGAAVPTVGFGGYHGASRVEPAALPSSSADTDAPIRLPPDVDGEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGEEVVQIVPQWAFEYKRLLLDYNRDVRRATNDTMSSLVTAVKKGLAPHLKSLMGPWWFSQFDPAAEVAQAARRSFEAAFPQSDRRLDALMLCVKETFVHLNDNLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNYGDDSANTESKCHSKVRSTTLSSAETAFSMHKYFLDFLKSKSAVIRSATYSLLTSYIKYVPHVFNEEAMKILSSTVLGAFHEKDPLCHSSMWDTILVFSRRFPEAWSYCNIHKVVLNRFWHFLKNGCYGSKQTSYPLIVQFLDSIPSKAVATEQFAFDFLQNLWAGRNQRQLSAADSLSFFTAFKQIFLWLLKNVPRHSGGDSSGDIHIKLIVNVLAKIAWSDYLQLSLSENLDTSPSLFSEEATTDDCQLPHKKSLFVSNMRQPTYYYQDLGRCIIEILDAISITETHLLDVACESLLRDYLDVVHQGEKLSKFQDHVDQVASFFRSLDMLVVHNGGTWPLESLARPLVEKSLPAIKSMDTPSLVKLLLILVEIFGPAPLFLKNSQKIDDKSNVEPYLKVFNGDFIPWCLDGKYSTCSSKIDLLLSLFQEECFFDQWCLVIEYTSAKQKCSVDNKSSQTSDQYELLALILQKVRERITGERLRNLQKNGSLPEHWRHDLLDSAAVSVFCNLPTTESHVRFLCDALGGSSQDDQICFLSAEAVCKIRGSILKSLASVLITTTFEWTKSAHFLLSPAEPEHCVNLLEGQSLSANIETAQFALEVFEHSLFALRINEEDSTFSYILSTLFIIEWECSMGITLAEENALKYHDDEISAKASTCSSSDDHLDETMLLKASLAERIHAFRQRLSPSFWNDLHSGTLTRLVNILAQSVRYAVFQTQDLRTDRTAVLCSEWVVDMLRLICLDHIKLQSFFDLLLSEGEYWPLWVKPSLQNGHASVIQCDPITADEVEPKHHRFVAFVDKLVLNLGFSQVILGVPGNQQCGTSPSMDVTSPVCSFSRAWVAGEMICTWKWKGGSALSTFLPALVQYMKTESCLEVSIVPLLLDTLLEGALMHESSDWVLFNAWHISDNEIEKIQDRFLRALVALLYTTYVKDCIWRESDALVFFEQLLSNLSIGSTVNRKCVRTLPFIMCTIIKPLTEKMRLNEASPYSDLVGKSILSWLDEAISRLSLNPSEVTQQDIEDWIQVVLSCFPLKITGGAPKLLVKFERQISETEASLLLSLFLRYQTFYPSTDPLLSSSGTKLSKTIELLGVKLIAVMVGYCWTKLGENDWRFVFRTLRKWIESATLLVEEITDGINDAVINQKPEDTLEKLKLTTCTVDELTFICAESALVTLCNLNHLDSLRATENSQAIHLIGSGEYAECNDKMMESILRLFLASAVSEAIAKSFSEEVSSIIASTRLVYLHFWELVASFIVYASPQIRRSALESMKLWGLAKDSVSGLYSILFSLQPIYHLQFAAYSLLMSEPLCQLSLVKACSLEEKSPPCQESHMGQSNESLPDSEKTLYIRDELSALIEMPTSELLKTDLTAQNRVDVFVAWAMLLSHLQLLPSSSTTREKILQYIQDKISPCILDCIFQHIPLRTAAPSGKKKDIGLVAEAEAAAKASKNAIITCSLLPYVESLWPVGVLQMASLAGSLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLVDEFSQVKDFVYADENFSVSVNRSAYEIIATYKKEDTGIDLVIRLPSCYPLRHVDVECTRSLGISDVKCRKWLLSLTSFVRNQNGAIAEAIRTWKNNFDKEFEGVEECPICYSILHTSNHGLPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF* >Brasy1G365100.1.p pacid=40056343 transcript=Brasy1G365100.1 locus=Brasy1G365100 ID=Brasy1G365100.1.v1.1 annot-version=v1.1 MDEPKLLDPESNDWSYDDCLSQSGTLEESVKGQLVTSRYSEYRSYLPRNNQDIAIPTWELTDHDLEELDKAYIIAKDFSSDEMKKRIKNLMYPITDQVLEGRGFCFKIPNKGVDEMEYDSDVCFPLLKRGKEVNRNFYYKNCTHTAAMTTWALKAIFETLDSELVVTLRDLFYRRCHLFRNQGASDGIVTDICVMFGCTRRSLNLTSEEKGWVCGKMSIHLKDGRILDCSHKTHIPDESKIESCTFDQDPKYILVLEKGTIFNYLERLEFHDTNKCIIMTSNGFPDISSRRFLKYYSQGSVNLAQENFNLAVPSLMWVGISLFDIEELRIELSEYNSQKLTEKDVKTLDSLLNDKYTKLTPRWKAAVEKMRAANRKASFEMMHEQGYSYVADCLLPKLINRAMGIKEQATPQ* >Brasy1G182300.1.p pacid=40056344 transcript=Brasy1G182300.1 locus=Brasy1G182300 ID=Brasy1G182300.1.v1.1 annot-version=v1.1 MGQAVDLEQAAYHAIPADEAPVLGHAPPASAEGKSKGEGRELLIVCGASFLFTMLTMGPFDYIKSANMPSFSVGLAGFDGIDPARPGPVASPAFNLTLRMNKTCVDRAELTVAYSGVALGWGHVEPGDCTSRQPWGKAVEILARADGVGLSTPLRQRLASEWRSGSVQLDVDVMVYNDNRRGVSAHDRVMMQCKVMTDGLQAESLPCTWYCLRPYHYGIV* >Brasy1G409700.1.p pacid=40056345 transcript=Brasy1G409700.1 locus=Brasy1G409700 ID=Brasy1G409700.1.v1.1 annot-version=v1.1 MPCSHSGYSWKVQTHCDWSGDPWQIQKLYNWPDDKWKVQTPSNLHCLTVRRANHSEDLIIQSSCVHHSDL* >Brasy1G513300.1.p pacid=40056346 transcript=Brasy1G513300.1 locus=Brasy1G513300 ID=Brasy1G513300.1.v1.1 annot-version=v1.1 MDHNVETTTFEDLDNRPLKKGKCSETSVLDDLLSSPSISASSLVSECSETKSTVSEYDDDKQTVSADDDKQPDVPQHTNDRTYDYLPQDYTLTELDQCAHLLIEDSSEKEILMKIDQVYVKQCDLMCLLDGAKWLNDDVISAYIYCIKEVHEQNKNDHIVYFENTILAGLLKQDGKIGIHEATFMTKIVGNYLKHDMIHLPININHSHWYLACVNVEKSEIQVLDSLCWEHNRVDLINTLQGLQYHLDILKTQNNLSNHNWKDLDVTKWTIIEQLHNPIQEDSSSCGLFMLKFMEYWTGHTLTHPITQENIINFRYKLAAILLCWKTNTAQSTEVIEESDYSEGDPDDVMMLEGLDDENQPKPLNSLSIEKRYQSLISVVSNMNVHELEGGLCNYIKSINSAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFWMITDFGRHPNYRKKLDVEQLAYSVRS* >Brasy1G020800.1.p pacid=40056347 transcript=Brasy1G020800.1 locus=Brasy1G020800 ID=Brasy1G020800.1.v1.1 annot-version=v1.1 MGCSTSHDAFAAAASRAAHERGRRRPFSSPSSSSRRAGGADPAEVCRERAALIRAAADRRFALAAAHAAYFRSLAAVGGALRRFAAAALLPTPPPPPPSPVLTLPPSPAKPAATSTLPPSPASSSTVSPLSHSLSDDSDSDSDHLHQLDHARRHARPPPPATEPASSPAPRPHHHHHYMRNSGTVPRVVYENPYAQYAQGETSYGYGYPYGPSGEVVAEERPPPPPPSPPVADASPWAFFDPFIPYDQFLEDYARGGGSLPTGSPNYSEVRRMEGIPELEDEAALPAEASKPSTSAVEEDINVKGKSHAVPIPTNAGSKGESSDGKLQRKGSGADGHGEKPVVVPRNDSVPSNASSQSKKGDKSDMASLKGAGRDDIDGGSSTSGKKKGVAFDDGDDDGLIVDADGSGGSHDKSVQSAVATSSSDSSSSQLHDGNVMEAMEEVKERFDEALNCGAEVSRMLEVGKMPHRTTPRVLRYFSSRVVDPLSLTVPSSYCLPKHHSRLPSSKAGTSASSVNSRRNNVGDLSSTLEKLCVWEKKLYQEIKDEEKLRILYDKKYKRLKSLDERGAEPDVIDSTRLSVRSLRSRIAINIRTANAFSSKIEKIRDEELYPQLVNLIRGLRRMWKEVLECHEKQLLALKGSRIDMLKALTISQSSAAAMATLELERELTKWYRCFNKWISSQRSFVEALNGWLRKWFPEVQEEQDTPDGVPPFSPGKLGAQPIFIISNDWFRAIDVVPKNDALKAIDHFSKLVHELKKIQEGEHRQKRRADQASRDYNRRREVLQRELGVSTSAEMVAVMENAPPRHDDRVTELQKARKIRENEMARHGDVVKHVHLAAAATLPVGLVPMLEQMASFFQGNLQVYKSIRIKGN* >Brasy1G254700.1.p pacid=40056348 transcript=Brasy1G254700.1 locus=Brasy1G254700 ID=Brasy1G254700.1.v1.1 annot-version=v1.1 MTTSPPGSKTIRSPNGRDPSLHGELLFDEILTRLPAAAAVRARAVCRAWNAALTSDNFIAAHSARAAAARQPEILFFPPSGSASAATSFYTCSLGGAPPPAAARELLTVGNLTGEHVVLLSRKTCGGLTLFWDVRESEYYVCNLSTGDHAVLPACEPAAEITAPFTTRVPRPWFPPELSSAGLGFDPATGKHKVFRLFRNGSGQQKCQVYAVLPGSGGRGWRPCASDPPPGVTSHVDGIGTPPVALHGRLYWLVHPQSLTGDDGGSSSSRGDNDAPVLSLHVGAERFAWVHTPPRLSRRIRHLTDLDGALCAAVRDRNVLVLLTWTPSSAWWAMRCRIDLDGLPRPMMTDELTGKRLQVVPLCSAGVEEKKKKILLATSSHEVYAYDTDLRSAETVFSMQDYVDVPRRLREAPRFINIGLHEERIADAGGTASSAGGKRLRVKLGGDKVVGKREAPAEVYQEQKYHAGDDRHRIMTELINQFVFPN* >Brasy1G416400.1.p pacid=40056349 transcript=Brasy1G416400.1 locus=Brasy1G416400 ID=Brasy1G416400.1.v1.1 annot-version=v1.1 MSGDEGDKAKAGGGGGEYGTFRGPPSYPPPRPPVVGYPQPAPPPGLYGQREPYSRNRGGYQAGTAQDHEAGIRGHRHDHLPCCGIGIGWFLFIIGFFLGAIPWYVGAFLLWCSKVDYREKPGYVACTIAAALATIAVIIGATAGASVY* >Brasy1G580300.1.p pacid=40056350 transcript=Brasy1G580300.1 locus=Brasy1G580300 ID=Brasy1G580300.1.v1.1 annot-version=v1.1 MPSWPISSTPLRFTVPPPSSRGRRCLPTEAGLVCVCVEREAAIGGGAGLSVAPPCPARSSRGSHGAAHKAGHGPPPPARRPPRFLPRGPARRSRRYCYTSSLSLTVRELHKGSRILRALLKNGSSLIFVLKLGAANSPGLAWSRNALLSVLEFRFKQSDISQLMVSI* >Brasy1G581600.1.p pacid=40056351 transcript=Brasy1G581600.1 locus=Brasy1G581600 ID=Brasy1G581600.1.v1.1 annot-version=v1.1 MPSGLSLQISLHLSNVALCAEVIDAFYKARAQVSATQENLCLVRRRSRREIIWYLDCNELRCCLNYCCAAIVQICLIRARRSSTNRHLVMPKSETHLEVGVYPACLDHDGDEAMEFRSV* >Brasy1G145600.1.p pacid=40056352 transcript=Brasy1G145600.1 locus=Brasy1G145600 ID=Brasy1G145600.1.v1.1 annot-version=v1.1 MMSDGRVHPAAATSSDFSGEMNHSATYSSSDPSSSPLYSFHFEKPVPPPPNAHAPPQPQQQPPHKPQPGTYVVQVPKDKVFRVPPPENARLFQHYTRRAKRRRSGCFSSCCLCLFLALLSLAILLAALAGVAYLALQPQKPSYAVQSLAVSGIGNASVALSPRFDATIRAENPNGKIGVRYGAGSGSGMSVSYGGVSLATGAWPAFYQGPRSATVFVATAKGAGVRLSERARGQMAAAERLRSVPLDVEVKVPVRLQIGALKTWTMPVTVRCAVAVDRLAVDAKVVSAGACNVDARSLFWRN* >Brasy1G390100.1.p pacid=40056353 transcript=Brasy1G390100.1 locus=Brasy1G390100 ID=Brasy1G390100.1.v1.1 annot-version=v1.1 MLLISRVKKFLLNYIMMENWAAWRVHQVKVNSMNLSTLLLNSQMLLSSFHQNLE* >Brasy1G404800.1.p pacid=40056354 transcript=Brasy1G404800.1 locus=Brasy1G404800 ID=Brasy1G404800.1.v1.1 annot-version=v1.1 MDHPPACPLCEQAPETINHLRMGCVVTREIWCRILTCYKQQWQPDFIHLRRLVKHRNRIVFDGATPGANAILSKICSEALTWQQAKLLSERDFGSANP* >Brasy1G243500.1.p pacid=40056355 transcript=Brasy1G243500.1 locus=Brasy1G243500 ID=Brasy1G243500.1.v1.1 annot-version=v1.1 MAAFLSTPVSPGNWSTCLPPQDARSSEVLTPDHEKDAQSSEVLTPEHEKDARSSEVLTDPDNQKVHDTGSVLPQSSDASSRSALPEQQSQITVPNKNAAGDPAVQSSADSFSSSALAEQQSQITVPNKNAAGDPAVQSSADSSSSNALAQQHSQITVPNKDAAGVPDVQSSAASSSSSALAEQHSQITVPNKDAVGVPDVQSSAASSSSSALAEQNSQITVQNNGAAGVPAVQSSSSTSVRPNKFPDMMAVQMGLLRALFDPDQEVASKVFHQSPDAVKNHYARDVELAYTLGQVDPIFNLASGLESRDSVFGSLLHRIKYPGEQPIRIINLKGQVPALSVHPVGSRFITKKLDIATTGEIVMLYNEITPQVPTLVYNVFANSAIMKLLDYGPDTYRNRLIRNLIGHVLALSVHQYGHQVIEKAFEIGHIDHQIEIAKELNTNLMRCVRDQHGNHVVQKCMERVPEQYIHFIYRSIRGKAKTIASHQYGCRLIQKVLDFCKDPPLLYPIAAEIVENVDELSANRFGTYVVQHMVQNGGPSDRQTILMKFVGRFVELSHQKYSANVIEKLLMYGSYQDRKIIITEFLCAGDGQTADHLVSMMIHETATYVVQKMIDAADEWEFGVFAEAVRRNADTLNKNALGKRLVTHVNNLLKRTPTFFAAGPPPPPPPPPHFG* >Brasy1G344000.1.p pacid=40056356 transcript=Brasy1G344000.1 locus=Brasy1G344000 ID=Brasy1G344000.1.v1.1 annot-version=v1.1 MRKEEERKMKGGLTLADAGCGPCAISHSRPSRRHRRRQRSRRRLGFGGRRRRSTSGRRRRGRSGTSREVLYKRRLQHGRAQPGVQPMAEIHGAARQGSSLLLAPAPSLEDQPVAPVPLEEEERKTERGARVT* >Brasy1G339600.1.p pacid=40056357 transcript=Brasy1G339600.1 locus=Brasy1G339600 ID=Brasy1G339600.1.v1.1 annot-version=v1.1 MAMVTPLLLLLLPLAAAQVWQDCGSSGNYRANSTYEANLRLLSSTLPKKAASNTTLFATGTAGHGPDAVYALALCRGDTKASDCEDFVATAFQDGQQLCAYNKGATLYYDSCMLSFSDQNFLATNDNDQLLLLMNTQNFTISADSARLFLFTLLNSTTQAAVNSPRRFTTSRLDVGYNFPTLFCLVQCTPDLTANECAACSQYFPQLTLQYLDGKQGGRVLGRRCSMRYEQYPFFTGDPMLRIINLVSAVPAANNTTPGTPVTVYPQPQAQSNTSVGAPPPEAQTTVQEQQGRNSSKLWVIGIAVPLLLILLCSIFAIVWIRRWRKGKANLQNQAAANRVGEDALVWRLEEKSSDFTLFDFSEISDATGNFSEENRLGQGGFGPVYKGQLPGGMEVAVKRLASHSGQGFTEFKNEVELIAKLQHNNLVRLLGCCIQGEEKILVYEYLLNKSLDFFIFDGKRTTLVGWSKRRSIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDSHGSTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFHQYGEFLNLLGYSWQLWIAGSWLELVEADIAEEIHTTEARRYINIALMCVQENADDRPTMSDVVAMLNSESVVLPEPNHPAYFNLRVSKVQESAIVVDPCSINGVTITREVHGR* >Brasy1G196100.1.p pacid=40056358 transcript=Brasy1G196100.1 locus=Brasy1G196100 ID=Brasy1G196100.1.v1.1 annot-version=v1.1 MARTRLVFFMCLVLLLSAAVHSESVRVLRERPGAAQGLPDVSHGGAAEADMKVSGEGQQGRGNAVGSTAYESKRLSPGGSDPQHH* >Brasy1G256100.1.p pacid=40056359 transcript=Brasy1G256100.1 locus=Brasy1G256100 ID=Brasy1G256100.1.v1.1 annot-version=v1.1 MKPWERSGGESMKKPKISPVDLPDMASLASAALVCKSWGRVACLPAIFRRFLSLRRPPLVGFILTDRSSIHVPCDCPNYRFISAKSRNPNLTSAAADGDFLFQHLPDIDYSSYNGDWEYYDDEWRLRGCDGGLLLLSRGSYALDLAVYDPLARTAIYFSTPPDMYSTNLVRYAIVVDEADASFRIIAIEGLMCMSASSAVFSSRTRKWDMIDSLALRNFPQCKTDGLPAGRFVYWRTNAKKSWYSSNKDKILVLDTQTMVWSVIKAPIPIGESYCIADMAEHGGLCILSSKEQCVQLWVHNNNDEWIIKEVQLMREFGFLKNLCCDEWMERVHILAMKAGYVYMEFWSNKKPNSYFLVLNLNTIKLEMYVNNSSEPFRGHGFPFFMRLSPVPAPDDDKELQSA* >Brasy1G290600.1.p pacid=40056360 transcript=Brasy1G290600.1 locus=Brasy1G290600 ID=Brasy1G290600.1.v1.1 annot-version=v1.1 MEERTKWLEQVQQLPKTLPNTKFRGKRLKNDVQQIPDSLPDISASPIQPSFSPMYWGDRALPYPREEQPLVPPATTRPPPGTSPPSFLRAAGGGAARFGVGGHLAVGRRCRGQMWRRRRRPSRGWPEVPRPDVAAATISRAGGGQHAGGRTEPSTGRCTAAAPWGRGGAGGAGPGARCAAGEEDGSGRWRRGAAAASTRRRGAAASTRAAAARSGRWRRGAAASTREADEEEEEEEEVGCSVHAGGG* >Brasy1G146400.1.p pacid=40056361 transcript=Brasy1G146400.1 locus=Brasy1G146400 ID=Brasy1G146400.1.v1.1 annot-version=v1.1 MEAMGVDGKKPYVVAVIIQVIYAGMFVVSKAAFDQGMNTFVFILYRQTAGSLLLLPLAILLERKNARSMSFRLLLKLFLYALIGTTFSLNVYNVSLKFTSAPVGSATSNSMPVITFFLALLLRMEVVKPKSPSGIAKLSGIALCLAGVLVIAFYAGLSLSPINHRRAFNVRTNARTGDADHALWNKGTFLMVLANVTWSLWIILQAALLREYPNKLLVTATQCVFSTAQCFLVAVVAEGDFSRWRLRFDVTLLAVVYTGFVVTGVSYYLQAWCTEMRGPVFLAAWNPLCFVLTIFCSSFLGEIVHLGSILGGALLVGGLYAVLWGKSKEDRIVPCGEMSTVDGSESAEVEKNKQETRENVRDKKTMLSSGAEEV* >Brasy1G557900.1.p pacid=40056362 transcript=Brasy1G557900.1 locus=Brasy1G557900 ID=Brasy1G557900.1.v1.1 annot-version=v1.1 MGQLFFTGHGCFYVPSLGQNQPPAAEGYSRIRGMEQGRQPPPPNVVSPASWKFFKIFCRTLLVPGVLPASSSNLPSAAWQELPPWAGASIPAACATAAAHLRHPAQPYLLRRHALLSQSPSQPPCATASSPLRPRAAVAAPTVSTPTSVALRRISISCAASPSPAPFSASTASFAAAALTLQPSPD* >Brasy1G428400.1.p pacid=40056363 transcript=Brasy1G428400.1 locus=Brasy1G428400 ID=Brasy1G428400.1.v1.1 annot-version=v1.1 MDESVPPPPPPPPGISAAARRQRPASLLRSSPQAKYPQALLRSMYRRRPRSPEMSPPSESSSSSHIQLLHRPPLLKSQTQASLPASPIEAQ* >Brasy1G378900.1.p pacid=40056364 transcript=Brasy1G378900.1 locus=Brasy1G378900 ID=Brasy1G378900.1.v1.1 annot-version=v1.1 MEAAAALSPPRVAALDARALFSPPTALPSSRLRPAARPRALAASPSSVAATPRQRFLTPHPDPAAGRGARDVVAMVIPFLRGHAFEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYEDAEKPIYMYINSTGTTKNGEKLGYETEAFAVYDAMRYVKIPIFTLCIGNAWGEAALLLAAGAKGNRAALPSSTIMMKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPMDEIARDIRRPKYFSPSEAVDYGIIDKVLQNVKSQTDAGMVSEVKKELI* >Brasy1G037100.1.p pacid=40056365 transcript=Brasy1G037100.1 locus=Brasy1G037100 ID=Brasy1G037100.1.v1.1 annot-version=v1.1 MASASMFAIVALLIGAFASVPTSVQSIGVCYGVIGNNLPSRSDVVSLYRSKGINSMRIYFADAQALSALRNSGIALILDIGNDNLAAIASSGSNAATWVNNNVKPYYPAVNIKYIAAGNEVLGGATGSIVPAMRNLNAALASAGLGDRIKVSTSIRFDAVADSFPPSKGVFKDAYMSDVARLLASTGAPLLANVYPYFAYRDSPSAIQLNYATFRPGTQVRDDGNGLVYTNLFDAMVDAVHAAMEKAGAGGVKVVVSESGWPSAGGFAANADNARAYNQGLIDHVAKGTPKKPGPLEAYIFAMFNENQKDGDAVERNFGLFKPDKSPAYDIRF* >Brasy1G474200.1.p pacid=40056366 transcript=Brasy1G474200.1 locus=Brasy1G474200 ID=Brasy1G474200.1.v1.1 annot-version=v1.1 MSSNGKPNPQPPAAASNGAAGGPPKMYQRPIYRPQGPSSKGRRGGRGRSCPFSCCCCFFWTILVVLLLAFAAAVAGGAFYLLYRPQRPAFTLSLARVNKLTLSNSSAAPALTDSIDFTLTARNPNKKLVYLYDDFTITAATAANAVPLGDAAVVGFAHEAGNITVIKATVSASALSVDPAAASSDIKKSGSFAITLDLETKAGVRVGGLRTKKIGIQVHCDGIKVAAPAPAPAPAAKKKGVVKLTVSKAPAPAAAAGAVEAPEPSAGADDATAAPPAAATTMAPRVCQVRIRVKIWKWTF* >Brasy1G303500.1.p pacid=40056367 transcript=Brasy1G303500.1 locus=Brasy1G303500 ID=Brasy1G303500.1.v1.1 annot-version=v1.1 MGACVRENSILAVTDAPSKFAAIPASSFRRKSSLVYSRVFPYFRFPCFIRGPTILKISPPIPVSSQFPCVVSSSPLRRRLDLFDAVALCLAAAFELA* >Brasy1G509100.1.p pacid=40056368 transcript=Brasy1G509100.1 locus=Brasy1G509100 ID=Brasy1G509100.1.v1.1 annot-version=v1.1 MQACPLLLSLLTATEGPRRFAGVGFRMDGKPVEEEQAPARSYLSVAAPAKGYKASRCFGSERQARQPLLLEGRRWAAVRRRRRSLLRPSLPDRCTLCRAQAKTEIRTVSLIQHEPIEVLFCFDEFF* >Brasy1G540300.1.p pacid=40056369 transcript=Brasy1G540300.1 locus=Brasy1G540300 ID=Brasy1G540300.1.v1.1 annot-version=v1.1 MEKHEVETNHVTMGRLEHFKVHENLVKLVEEHKRKDKIVLDKELELQKIMLDRQALEIEVKQLQGQVEVMKMMPGEEDSKKKILEDLRAKLEEKREEKEGMESLQQDMITRQADLNNELQPARKKLINGFLELDVKSFVNACTKKLSKEDAEFTAAILCSKWEAEIENRSAMVDGKSTEVISEDDEMLRELKDEYGEEIYALVAKALRGINEYKPQAEGRGGGREIYGVPELWNY* >Brasy1G243800.1.p pacid=40056370 transcript=Brasy1G243800.1 locus=Brasy1G243800 ID=Brasy1G243800.1.v1.1 annot-version=v1.1 MATSPEELSEPAPISPSVFLDLPPTTYGDPKQPQDDLSLSLAYISRMLVEEDIVDKFSYQYTDHQKLLQTEQPFAQILSAAATTSSIAQESSTPGMLSSALMPSQDNNKDIIVSGFLFSEVQDPAFLNGTDTVECNSTLYPSESSNSLDMLPNMAFFKGMKEANMFLPKDNRMVDVRRRRNRFDMDGETEAGTGRSSKQIAVLVHTDSEEEAELKKMLDQLILNGDDMYASEMKKVLITLDKENKGAQKSFRMRGRRGLKQPVMNDLEMMLIRCAEAVASNDHCSTSDLLERIKRHSSPKGNARQRLAHYFAQGLEARLAGTGSELYHSLFGTCTITVELMKAYHLYRTTCCFIKVAFLFSNKTIYNAVAGRRKLHIVHYGINTGLQWPDLIWWLADREGGPPEVRMTSITGPQPGFRTSEQIKEAGHRLCNYASKFGMSFKFHTIAVKTDAVQAEDLHIDPDEVLVVNSLFQFRALMDKSLTFDSINPIDTVLDTIRKMKPYVFVHAVINGPYSGPFFMSRFRHALYNFTALFDMMETMVPQDYHVRLQLERDLFARCAMNMIACEGADRVERPQSYREWQTRNNRAGLRQLPLDPDIVLTLKGKVKDQYHTHFMINEDHRWLLQGWKGRPLYALLTWAADDAGGSELT* >Brasy1G101000.1.p pacid=40056371 transcript=Brasy1G101000.1 locus=Brasy1G101000 ID=Brasy1G101000.1.v1.1 annot-version=v1.1 MDKDAAAAAEDVETGGEHERKGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPDPVTGARNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCVHRDGQGARCDSSGTGLMLAFSLVQVVLSQFPGLEHVTWLSIVAAIMSFAYSFIGLGLSAAEWASHGGHADGRIQGAAAASSSKKAWDVLLALGNIAFAYTFAEVLIEIQDTLKSPPSEHKTMKKAAMYGIGATTVFYISVGCAGYAAFGSDAPGNILTAPGLGPFWLVDIANMCLILHLIGAYQVYAQPIFATAERWIVSRWPDTKFISSAYTVSIPLMERGSVTVAPYKLVLRTAVVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQGKIKGSKSYLLQCLSMVCLMISVAVGIGSVTDIVDSLKVSSPFKTVS* >Brasy1G278800.1.p pacid=40056372 transcript=Brasy1G278800.1 locus=Brasy1G278800 ID=Brasy1G278800.1.v1.1 annot-version=v1.1 MKLVQSNFARDAPGYAKLLPEVDDDLWDAYNLILPGDTVEAVTFRKIARSGGRDAERVKLSLEIAADSAEYDKDGSVLRVRGRNLTKNEHVQVGQFHTLELELKRPFVLRKELWDWPALDTVRQSCDDTGASADLAVLLMQEGLAQLFLVGRSVAASRARVEAPVPRKHGAAAASAYDAALRGFFERVLEAFLSHVDFEVVRCVVVASPGFTKEQFHGYMLLEAERRGLRALLQNKARVVLARAPSGYAHSLQEVLASPGVKAMVKDTRLAQEAPALEEFFAMVTKDSDRACYGPKHVEVAHERLAIQTLLLTDTMFRNRDVAARRKCVDLAEAVKKHGGTVRVFSSMHVSGNQLEQLTGIAAILRFPLPELDEIEM* >Brasy1G529300.1.p pacid=40056373 transcript=Brasy1G529300.1 locus=Brasy1G529300 ID=Brasy1G529300.1.v1.1 annot-version=v1.1 MAAAATAPAPAVAAAVSTLLRLNTALRASRTRCLSADASAEAAPAPSRRGGHAGTRLEEAVPAGEGRSRVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSQTVKGGDTVSCTVSELQPLRAEAEDIPLDIVYEDEHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLTRNSNDDECPESSDDDIDVFDVDQFNGEVSSEVRDSIVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRIYISLTCGVPNPNSARIEVPISRDPNNRIRMIAAPGSGHRYARHAASRYKVREVFCGGGSALVEWKLETGRTHQIRAHAKYLGYPLLGDETYGGSKSMALSLLRPRTPSRYHGDLSNLISKIDRPCLHAALLGFKHPHSGKMLEFSCPPPDDFAEVLDELRRATPTSDGQDGGADQFCN* >Brasy1G529300.2.p pacid=40056374 transcript=Brasy1G529300.2 locus=Brasy1G529300 ID=Brasy1G529300.2.v1.1 annot-version=v1.1 MAAAATAPAPAVAAAVSTLLRLNTALRASRTRCLSADASAEAAPAPSRRGGHAGTRLEEAVPAGEGRSRVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSQTVKGGDTVSCTVSELQPLRAEAEDIPLDIVYEDEHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLTRNSNDDECPESSDDDIDVFDVDQFNGEVSSEVRDSIVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRIYISLTCGVPNPNSARIEVPISRDPNNRIRMIAAPGSGHRYARHAASIK* >Brasy1G049300.1.p pacid=40056375 transcript=Brasy1G049300.1 locus=Brasy1G049300 ID=Brasy1G049300.1.v1.1 annot-version=v1.1 MPAEVGGMIASAVGNRIAAKLGDLVSDEIALLWGFQDEVEGMKEKMQDLEAVMLDADDRMRRGERDGKAVGRWLAKFKAVAYDVEDVLDELDANELINKTQSKLKLFFSRNNQLLQRSTMAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.6.p pacid=40056376 transcript=Brasy1G049300.6 locus=Brasy1G049300 ID=Brasy1G049300.6.v1.1 annot-version=v1.1 MPAEVGGMIASAVGNRIAAKLGDLVSDEIALLWGFQDEVEGMKEKMQDLEAVMLDADDRMRRGERDGKAVGRWLAKFKAVAYDVEDVLDELDANELINKTQSKLKLFFSRNNQLLQRSTMAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.2.p pacid=40056377 transcript=Brasy1G049300.2 locus=Brasy1G049300 ID=Brasy1G049300.2.v1.1 annot-version=v1.1 MPAEVGGMIASAVGNRIAAKLGDLVSDEIALLWGFQDEVEGMKEKMQDLEAVMLDADDRMRRGERDGKAVGRWLAKFKAVAYDVEDVLDELDANELINKTQSKLKLFFSRNNQLLQRSTMAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.9.p pacid=40056378 transcript=Brasy1G049300.9 locus=Brasy1G049300 ID=Brasy1G049300.9.v1.1 annot-version=v1.1 MPAEVGGMIASAVGNRIAAKLGDLVSDEIALLWGFQDEVEGMKEKMQDLEAVMLDADDRMRRGERDGKAVGRWLAKFKAVAYDVEDVLDELDANELINKTQSKLKLFFSRNNQLLQRSTMAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.8.p pacid=40056379 transcript=Brasy1G049300.8 locus=Brasy1G049300 ID=Brasy1G049300.8.v1.1 annot-version=v1.1 MAHHHGRSLVGTHCAVPRIGGGDRFIWKTWALFCVWLKLFFSRNNQLLQRSTMAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.7.p pacid=40056380 transcript=Brasy1G049300.7 locus=Brasy1G049300 ID=Brasy1G049300.7.v1.1 annot-version=v1.1 MAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.10.p pacid=40056381 transcript=Brasy1G049300.10 locus=Brasy1G049300 ID=Brasy1G049300.10.v1.1 annot-version=v1.1 MAHNMKRVRGEIGKIENEGLQTLNLVSREARAERSGNGETFAAISTQGMKAGMVGRDTEMKKIITLLGRSEASEDISVIPIIGLGGLGKSTLAESVLADERVNIFNFKAWVHVSKQFDLRRIAGSIMKSINSSINLENCTLQFLHDNLKTELATTRYLIVLDDLWEEDGKKLEELKRMLQYGCRGSKIIVTTRNRSVVAKLSTGVLANQRIIRPVPDSDQIKLGVLSTDDCWEVMKQMVFGPDDDHSGLEEIGREIASKCGGVPLVANSLGRVMSELRTVKAWEDIRNTKIFLGSRDQKDTLECLMLSYYYMKLEFKMCFTYLAAFPKGFIMDSDRLILQWIALGYIHAKDDGERCINYLLGMSFLQISWSSSVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.3.p pacid=40056382 transcript=Brasy1G049300.3 locus=Brasy1G049300 ID=Brasy1G049300.3.v1.1 annot-version=v1.1 MVILVHDLQVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.4.p pacid=40056383 transcript=Brasy1G049300.4 locus=Brasy1G049300 ID=Brasy1G049300.4.v1.1 annot-version=v1.1 MVILVHDLQVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G049300.5.p pacid=40056384 transcript=Brasy1G049300.5 locus=Brasy1G049300 ID=Brasy1G049300.5.v1.1 annot-version=v1.1 MVILVHDLQVSPSPVHDKTPRELTTHDLVHDLASTITANEFLVLDANTLEPRTWNKARYVRHAQLINYKNQSKVFRYLPAKVRSLHFRDSGKQQLPQVAFSRFKHIRVLDLNGHSVRGQSTPRTFDLGGCSLEGQSTPRNIVLPSSIHQCKLLRYLDATALPIAALPKSFHTLKYMQTLILSKCSLETLPDNICSLHKICYLDLSGNSSLDKLPASLGKLSELSFLNLLGCYILQELPESICELTCLEHLDMSECRAIQKLPDEIGSLPKLIFLSLSGCSKLTKLPDIVRLESLEHLNLSNCHELESLPKDFGNLQKLGFLNLSDCYRVSVLPESFCQLIQLKDLDLSDCHHLSELPDYFGDLSGLDSLNLTSCCKLQLLPESFCKLFKLRYLNLSYCMRLGKLPSSIGDLKLRILDISCASSLHFLPDNISNMTSLTQLEVTSALPRVFQKVQDIKRDLNLARLIVHNVHKINKEGCSSIVNLTQLTCRELRVVELQNVRHPEDAERAKLRDKSDLRVLLLRWRLQRKEDNRHKAVLENLVPPRTLEQFLLNCYMSKDFPDWMSHISSYLPSLTYLNLSDLGTCDTLPPFGRLPNLRNLVMKNIPNIRKIGKDFYGEDGTCTKLRRIQLKSMRNLVEWWTTRSGEDNGDFLIPNLHRVELIDCPKLKFLPYPPKVMLWYLENSGEVLPEGGFGKLSSSTLPFSLKIVNCIFSPEKWDRLQHLPTLEIFQVQSCRSLRALPEAIQCCTSLRNLYLSSLKNLELLPEWLGHLTSLEELVIGDCPIVTFFPESMKNLTALKVISLRDCKGLDILPEWLGQLISLQEFYIIRCANLISLPESILNHSTLKKLYIWGCSSLVERCQGEYAYRISHIPTVTLNNEPWMSSEQAGEERGGGGGSNKES* >Brasy1G525000.1.p pacid=40056385 transcript=Brasy1G525000.1 locus=Brasy1G525000 ID=Brasy1G525000.1.v1.1 annot-version=v1.1 MGSSGGSSEYFLRQLSSSDGAPPGTHQHHHPEEWECGGGGRRGSRRWSRKKARARTHRRGGGFCGREQEPAAAAGRKRVIVVVDQSSGTKHAMMWALTHVASKGDFLTLLHVVPHGRGGEDASALANSLGSLCKACKPEVEVEALVIQGPMLTTVLSQVKKLEASVLVLSQRRPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA* >Brasy1G525000.2.p pacid=40056386 transcript=Brasy1G525000.2 locus=Brasy1G525000 ID=Brasy1G525000.2.v1.1 annot-version=v1.1 MGSSGGSSEYFLRQLSSSDGAPPGTHQHHHPEEWECGGGGRRGSRRWSRKKARARTHRRGGGFCGREQEPAAAAGRKRVIVVVDQSSGTKHAMMWALTHVASKGDFLTLLHVVPHGRGGEDASALANSLGSLCKACKPEVEVEALVIQGPMLTTVLSQVKKLEASVLVLSQRRPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA* >Brasy1G293900.1.p pacid=40056387 transcript=Brasy1G293900.1 locus=Brasy1G293900 ID=Brasy1G293900.1.v1.1 annot-version=v1.1 MYLIVYPFIKISNSMYFRFKEKITRSKIKTITASLCPHCDGDNKINTIPYLSIATKSMEITKSKQQRQIRMRMGAEATRG* >Brasy1G054600.1.p pacid=40056388 transcript=Brasy1G054600.1 locus=Brasy1G054600 ID=Brasy1G054600.1.v1.1 annot-version=v1.1 MRRRNGQRWVNGFRSERDREVGAPVPVWLLRPLRGRRPAATSVRSSGLSAGGVQQQPHAGGVEQQGRAGGVEQQGRAGGGQQQPHAGGVEQQGRAGGGQQQPHAGGVEQRGRAGGVQQQPHAGGVEQRGRAGGGQQQPHAGGVEQQVRAGGGQQQPHAGGVEQRGRAGGGQQQPHAGGVEQQVRAGGGQQQPHAGGVEQQGRAVGVQQAASKLRRATAQAKEFARSGHGCGAASARLHACWCGRQGGGAVGDLV* >Brasy1G098000.1.p pacid=40056389 transcript=Brasy1G098000.1 locus=Brasy1G098000 ID=Brasy1G098000.1.v1.1 annot-version=v1.1 MSNSGRLWRAVPRPPPCCTVQHAAARAPCSNTTVASNMSGRGKKRKLEEEDEEEGKDGAAYEATSIPFISGDCGPRNRGTAFPPSRGTRQHRPDHEFGWHLCKFRGVEIQPRIRTQSSDTCILSAELAAAEMEIARNVAVAYPGRPNPMVSFNEEHFRCQYEQSQEGISLQERDNSKTSLEIFKKHGVAATSNEWRGFRQLRISGYKTELDFTFCDLEGIIASGRPVLGVIPNAKELASLGPNDIFEFSPVGVLRKSEGSHMVVFIGAGRRNRRSYLVFQNSWGPTFGKNGIGRIYFDQIFRIYFLLRILLPPPQPSDDEPGFPGAGPPDDDDDASGAPEGSKPLTYSIPGYAPSLSCKTGSQ >Brasy1G404000.1.p pacid=40056390 transcript=Brasy1G404000.1 locus=Brasy1G404000 ID=Brasy1G404000.1.v1.1 annot-version=v1.1 MSRIQRLSPRASRTKKTILTFLNPTKISKHQHLARRIPRRGEELGADAGGLCRARSSTSRVGVARAPPSPVRPRGFKSLAAATPSPEPLPSSLPPPKPTELSRVGVLLLLTLCWTGVE* >Brasy1G372100.1.p pacid=40056391 transcript=Brasy1G372100.1 locus=Brasy1G372100 ID=Brasy1G372100.1.v1.1 annot-version=v1.1 MGRAVWPLSGLPTAFFPLFSPRAPPLPSPDRIPFVPGVLCSSPTPSSPMDGTRRPNPAVPRRPPSPPRALAAMDGAPRPPAASHRRPSPPHALAAMDGAPRSYAPAVVHADAARRLPSPSPSTASRRLPSPPRALDAMDE* >Brasy1G486000.1.p pacid=40056392 transcript=Brasy1G486000.1 locus=Brasy1G486000 ID=Brasy1G486000.1.v1.1 annot-version=v1.1 MMLPEGDGLHPGHGKLRGYIRFFNLSTGAIVRVLLPLFSDHCVLDSVDGLLLLQRDHDTAIRLLHPFTGDIAEFPPLDTLMRLPKANLDVRRTWKYFRTIGATSFSVSADGVITVMIVFSKLSMFASATSRDHQWNVPTWRPSPFRSPVSFRGKLYMLDNTPLNGPRDVQIYQIDPPQYEGMPSGSCPMPTQKLVATCRVSKMRSPSYLAECDSEILVIGYRDGFFRHPLVYRLSDLILDRVLPVTSIGDNVLFIDQRILSVSPSAVNIAGDSIVAVHPKEKYLAQCQLSSCTWSPAADGRIAIHGAVSRPYSLIYHIYTSCHRASWNKGAIRCQYRSQLTW* >Brasy1G569300.1.p pacid=40056393 transcript=Brasy1G569300.1 locus=Brasy1G569300 ID=Brasy1G569300.1.v1.1 annot-version=v1.1 MLRSVSLLLLLVVVAMASSSFTANLPMAADDDASALLAFKAAAVGGGDYGTLASWNGSTGMCSWEGVTCHRRRVVALRLPSYGLAGMLSPAVGNLSSLRTLNLSSNWLRGGIPASLGLLRHLRTLDLSVNALSSALPHNLTSCISLTTLAVNSNKLIGAIPAELGDTLMRLHLLALDNNSFTGPIPASLANLTSLRYLNLRINSLNGAIPGDLGRRMPQLRFLDVCKNNLSGTLPPSLYNMSSLREFDVAMNTLHGTIPADIDKKLPRVEFFALFYNQFGGAIPPSISNLTALENLQLAGNRFHGSVPSDLGKSRALRILLLDGNQLGAGAGENGGHEEEGWGFIISLANCSQLQRLGISDNSFTGQLPASLANLSVTLQELYLDDNRISGSIPSDIGNLVGLRVLQIIGTWVSGSIPESIGKLANLTDLGLYNNSLSGPIPSSVGNLTKLIKLFAQHNSLEGQIPASLGKLSSLFALDLSRNRLDGSIPKEIMELPSLSWYLNLSRNSLSGPIPPEVGRLANLNALSLSGNRLSGEVPSSIRDCVVLEVLLLDDNSLQGSVPQSLGDIKGLRVLNLTMNRLSGTIPDAIGSIRNLQELYLAHNNLSGPIPAVLQNLTLSELDVSSNNLQGEVPKEGAFFGNLTRSSIAGNDELCGGAPQLHLALCAFHRATKNRGWSSRHLALALATTGGVILCVVLALSLFTWLMCKKKKEGSKTGELLSPDSPPIIEELFERVSYKALSNGTDAFSEENLLGKGSYGVVYRCNFHGKGTMVAVKVFNLEQSGSARSFVAECKALRRVRHHCLVKIITCCSSINHQGQEFKALVFEYMPNGNLNTWLHPKSAMLTKSNTLSLAQRMDIAVDIMDAMDYLHNHCQPPIVHCDLKPSNILLAQDMSARVGDFGISRILPERASKTLQDSNSTIGIRGSIGYVAPEYGEGCVASTLGDVYSLGILLLELFTGRSPTGDMFSDSMDLRGFSEAAATAPHARILEITDPAILLHDTTDDGTARSRVQECLVSVVRLGLSCSKKRPGERMPIQDAATEMRAIRDANLMFLGPLVVEHGGEEREAWMLH* >Brasy1G257100.1.p pacid=40056394 transcript=Brasy1G257100.1 locus=Brasy1G257100 ID=Brasy1G257100.1.v1.1 annot-version=v1.1 MATATSPLFSLSSLSASLPSPSRAPASLSLRAISPQARLSTSYAAFPIGGFGAWASTGRRRGLEVVCAAEPPKTGRQPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVKVGAMHRNTGDHRKSRLARRKTAIEILRGWYVPNAEPAAAA* >Brasy1G202600.1.p pacid=40056395 transcript=Brasy1G202600.1 locus=Brasy1G202600 ID=Brasy1G202600.1.v1.1 annot-version=v1.1 MAACNGLFLYHILGLASLLAVFYFSLLGEVDLRAPLLPSSPAGSHNASSSPQPPFVERRGTQLVLGGRPFYINGWNSYWLMDQAVEPSSRHRVSAMFRAAAGMGLTVCRTWAFNDGTYNALQLAPGRFDERVFRALDRVLAEAPRHGVRLVLSLANNLEAYGGKTQYVRWAWEEGIGLSSSNDSFFFDPAIRDYFKVYIKALLTRKNHLTGVLYKDDPTILAWELINEPRCITDPTGNTLQRWIEEMAAYVKSIDRKHLLTVGTEGFYGPTSTPDKLNVNPGHWFNNYGLDFIRNSMVSDIDFASIHLYPDTWLLDAKLEEKIKFVGQWMNSHFEDGEKELGKPVLLTEFGLSHMIRGFEQSHRDALYRAVYDIAYASAERGSAGAGAFVWQLAAEGMEDYHDGFSIVPSERPSMRALLKEQSCRMAALRHGEAAEAKRILRTVCG* >Brasy1G550500.1.p pacid=40056396 transcript=Brasy1G550500.1 locus=Brasy1G550500 ID=Brasy1G550500.1.v1.1 annot-version=v1.1 MTAWVAQSGGRGRSSWKQGWAARALATASPPPARLLAFFSIVVFFLAVSSYVDYRAIERRAEIGARVFAAPLALTAAFLLVAALGSCRRSRHGGWTLRRRHAAAAPASEAAQGGSPWGVAAAVAVLLVMVSFQPAVHSLWFRPLWGLQKTEHGTCFWDLAQ* >Brasy1G372000.1.p pacid=40056397 transcript=Brasy1G372000.1 locus=Brasy1G372000 ID=Brasy1G372000.1.v1.1 annot-version=v1.1 MADDLISLLPDCVLGSIVSLLPLKDAARTMLLSRRWQPIWPSIPLDLDLDSAMIPADGRSISRVLSSHGDDGGHIQRFRATTTRADKTTRGWLKTLARRRIHDSLVLEFDDGGARQVIPVDLLLACGGAALRHLELHWCHLVDPHPRRAPLVALSCLVHLRLSSVKISSTSSLHRVIAGCPALRELHLWSVRGLRRLVPNSRTLIDVHLKPHARLDEVSFADTPNLRTIALPYVDVWRLCPTIITEAASNSKLEAVRVNLALPMLDFPITPKITALVLNIKFADGQELRKAADMLRLFPSLRYLTIWCLNFPREDRLDEFGPWLPAADTYTIACLNKHLKHVRLLGYCGTRGELEFARFLMARAKVLMLMDIVHAINWSKEHVNEHRNLICKCGKASPQVQVSFKRNNGIETGARLSVFFHLQPPEACLCLLCVESGHGRECRVEREQQKGRASGAHLVRLLVARDHPRHRRRREARVRPEARARPGARAAAARGQGVARGTRGRRRATMESGCTGLELGLGPEGARARGWRREGGGERPGAQACGGERRRKTT* >Brasy1G413500.1.p pacid=40056398 transcript=Brasy1G413500.1 locus=Brasy1G413500 ID=Brasy1G413500.1.v1.1 annot-version=v1.1 MAFEKIEVANPIVEMDGDEMTRVIWKWIKDKLIVPFLDLDIKYFDLGLPNRDATGDKVTIESAEATLKYNVAIKCATVTPDEGRVKEFNLKAMWRSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYQATDTIIRGPGKLKLIFDGMDEQIELDVFNFSGAGGVALSMYNTDESIRAFAEASMNVAYQKIWPLYLSTKNTILKKYDGRFKDIFQENYEAKWRGKFEDAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSTGLAHRAKLDDNKRLLDFTQKLQAACVGTVESGKMTKDLALLIHGPNVTRDKYLSTVEFIDAVAEELRTRLSVKSNL* >Brasy1G413500.2.p pacid=40056399 transcript=Brasy1G413500.2 locus=Brasy1G413500 ID=Brasy1G413500.2.v1.1 annot-version=v1.1 MAFEKIEVANPIVEMDGDEMTRVIWKWIKDKLIVPFLDLDIKYFDLGLPNRDATGDKVTIESAEATLKYNVAIKCATVTPDEGRVKEFNLKAMWRSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYQATDTIIRGPGKLKLIFDGMDEQIELDVFNFSGAGGVALSMYNTDESIRAFAEASMNVAYQKIWPLYLSTKNTILKKYDGRFKDIFQENYEAKWRGKFEDAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLGKAR* >Brasy1G531200.1.p pacid=40056400 transcript=Brasy1G531200.1 locus=Brasy1G531200 ID=Brasy1G531200.1.v1.1 annot-version=v1.1 MSMSAAATLLFSCIYVLIWSKKGKILRFLLCKRAISCTKSNYEAIQVSYGSLAPERYKYSEVTKITSSLNSKLGAGGYGVVFKGKLHDGRLVAVKFLHDSKRKGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGWEKLYIIAIGVVRGLEYLRHSCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCSTKESKLSMTGARGTIGFITPEVLYRNFGVVSTKSDVYSYGMMLLEIVGGRKNAKSITGKSSENCFPDWIYDHFDQGGLQACEVTSEIDEIARKMALVGLWCILPAQRPTITEVLEMFERSSDELDMPPKQNFSQLFEDSAHNINVHRPSSTGPGKAQQVLSGVLQVEETSLVN* >Brasy1G302000.1.p pacid=40056401 transcript=Brasy1G302000.1 locus=Brasy1G302000 ID=Brasy1G302000.1.v1.1 annot-version=v1.1 MARAHYCRLYSFLVSFHLLLAAQVSLVMAATTQVIRVGVILDLTSPVGHKRRTGIQMAAEDYYAAHPSSATEVELRFRDSAGDVLRAASAAVDLIKNAQVQTIIGPPTSAEEEFVSHIGDRARVPILSYSATSLALSAEQTPFFVRAAANNSFQAAPVAAVLGAFKWRAAAVLYEDSPYGAGILPALADALQGVGAKTMDRAAVPRDAADDRIDAVLYRLMAMPTRVFVLHMLYPLAARLFRRAKKAGMMSQDYVWVATDGVGSFMDRFSPEDIDAMQGVVSLQPYVQTTDAVKNFTARFKARTRRENPGDVDVADSTLMRLWAYDTVWAIASAVEAAARVPSPAPFQTPQGGSTALTELDSLGVSATGTALLKAVLATTFDGIAGTFKLVDGQLQLSSAYEVVNIIGKGARTVGFWTPESGISQELMMISAKQGRLKQILWPGEPRSTPKGWAVSPNAPTLRVAVPMKGGFKQFVDISEKSSTTGETKITGYAIDVFDAVMKDLPYPVSYRYVPNNASLESYDKLVDVVRHQEADIIVGDVTITASRMAEADFTMPFTESGWSMVVAVQKDGSSNMWVFVHPLSTSLWLASLAFFCFTGFVVWVIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVTIWVFVVLILTSSYTASLTSMLTVQKLQPTAGDRREGTPETWTSHRVPGRQLHRIISPKDGLPRGQDESVQLGGRVRRRAVQRLGERRGHGGFRRDPLPEALPVAVLRRLHDGRPRLQDRRPRICVPHGFSDDRGCVAGDTGVGGRGEAVQYREGVFQRARRLPEPEQRNRLIQQPEPPELRRLVHHNRHCLWPHAPRLPGHLRLPRARRAPGSGAHHRRRLGRERVAAEAARVFAALRQEGPPVPHFQDVER* >Brasy1G066400.1.p pacid=40056402 transcript=Brasy1G066400.1 locus=Brasy1G066400 ID=Brasy1G066400.1.v1.1 annot-version=v1.1 MPWVGTPTPCDATDLELFAAATRVTIGDGNTASFWNSNWLNGSPLRLAFPLLYGHARRKCRSVAQALDQHRWTLDLHGAYSARSAYGIQFEGRLETSTHLFVVCPAARAIWHDVAQWMNCSGAATASFEEPSSVAEFRDQMILASPSTHRKGMCSLFILVCWAIWRERNARIFRDKETSLRQLVYSIKDEAREWAFAGAKALRKLLWEPP* >Brasy1G073000.1.p pacid=40056403 transcript=Brasy1G073000.1 locus=Brasy1G073000 ID=Brasy1G073000.1.v1.1 annot-version=v1.1 MGSFGQNSDRGGNFGFGGRSSSGFMDGFDSLNDDGMNEKLDDAARTFQMTEEADDEDYDFRPDVDCRRGSTYSPGKREISSSLGFHYPRITEQHRKVYFILYKHSDLDLTRPAAPRNPPRPQFETSTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTKISAKAQRKVAREIKTSRALGLMPFTTMGKRPFIFGRSVEEDASEEENGYEFVEQEARPEDIVNDAVPDVETA* >Brasy1G373400.1.p pacid=40056404 transcript=Brasy1G373400.1 locus=Brasy1G373400 ID=Brasy1G373400.1.v1.1 annot-version=v1.1 MEAAADGQKSPEIQATDGENVVELRVTIYKLVVRVCRFVAKLDDGSERQFDNHDLEFEINIRGFSVAKLLAMLRSKIVWGASQEVQFFCLDKHFGTLERISTYWEMLTVINDRWQEKEMLVLAEIVDIAKNAASACSQTIPSNMIMDNIDCSTSRPKQSNINIHHV* >Brasy1G537200.1.p pacid=40056405 transcript=Brasy1G537200.1 locus=Brasy1G537200 ID=Brasy1G537200.1.v1.1 annot-version=v1.1 MMRGPREPDAGRHLSAGALNKETGSGFSATGRGVFLSFRVVARRSRRGAAPTDSTLMKLGPALTRTPHVSEWCGWEESFWFRGWRRIEGVVVHVHVIPRGWEPGSEDDGRREIFSRFLYFFSFFVFIYARLGGIDVAAVSVDKFSSPSTPHVFLLYSCS* >Brasy1G376900.1.p pacid=40056406 transcript=Brasy1G376900.1 locus=Brasy1G376900 ID=Brasy1G376900.1.v1.1 annot-version=v1.1 MKNEKEGLKLQFVHLSDHGVLGRLLNINPKTKNPDEDLGLKWTKETHAATSAFSITNLGMVKSVC* >Brasy1G291500.1.p pacid=40056407 transcript=Brasy1G291500.1 locus=Brasy1G291500 ID=Brasy1G291500.1.v1.1 annot-version=v1.1 MCTPTKQLACTVDSNSPMTRSRKKQLGLDATMRKVSEVAAKPKATKKTARKMDVKKAKK* >Brasy1G474300.1.p pacid=40056408 transcript=Brasy1G474300.1 locus=Brasy1G474300 ID=Brasy1G474300.1.v1.1 annot-version=v1.1 MEEQDDATAVRKRKRDGEAGVVSVGGGICYEKGLVLEEEEEECEAGIDEESVEEVMRWLEKEIYSSGDNKGEAEGFVTINGNEESCGPSFSAAASSVMAAVDTRAAAPPPPTPIPWPWPEPDAAAAIAREDDDEQAASGLSADDYYEEEWLVELLASDPALELWSLE* >Brasy1G385300.1.p pacid=40056409 transcript=Brasy1G385300.1 locus=Brasy1G385300 ID=Brasy1G385300.1.v1.1 annot-version=v1.1 MALSATREVLMLEAPPAEAAGVPWRSPPDAEVVDALPYIDGDYGDPSVKREVDRLVEEEMRRAHRKPADFLRDLPPVPAFGFENNPMLAKEYDRVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLQHQIIRIENLELMLKHGVEVWKLQNRKMESILSRMQKMAVEYNEKIEIVNRERKFHQQNTGGQLHALTIEWQELCQKNIAIQAACVDLQNQIDQLKLEAKEQGMPMDDSTETNPQAQI* >Brasy1G099900.1.p pacid=40056410 transcript=Brasy1G099900.1 locus=Brasy1G099900 ID=Brasy1G099900.1.v1.1 annot-version=v1.1 MQAHAIVRVPAHHHHHHLPPLSRRQCGRVSAAAVAPPPPTQRRVTHSMPPEKAEVFRSLQGWATRSLLPLLKPVDDCWQPADFLPDSSSEMFEHEARELRARAAGLPDELYVVLVGDMVTEEALPTYQTMINTLDGVRDETGASACPWAVWTRSWTAEENRHGDVLNKYLYLSGRVDMRMVEKTVQFLIGSGMDPRTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDTLARACGTIAADEKRHETAYARIVEQLLRLDPDGAVLAVADMMRKRITMPAHLMRDGRDPGLFGHFAAVAQRLGVYTARDYADIVEFLVRRWKLEALEGGLSGEGRRARDYLCGLAPRMRRAAERAEDMAKKDEPRKIKFSWIFDRELVV* >Brasy1G008400.1.p pacid=40056411 transcript=Brasy1G008400.1 locus=Brasy1G008400 ID=Brasy1G008400.1.v1.1 annot-version=v1.1 MEGDDKWKLSKKGRSRSGRNYFSSDAGAGTSGTGGGLSRSFSASVAGTRDPGASSSSNKEGQQGQEQQGRRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY* >Brasy1G428300.1.p pacid=40056412 transcript=Brasy1G428300.1 locus=Brasy1G428300 ID=Brasy1G428300.1.v1.1 annot-version=v1.1 MDVYEKEEEDRGEEEARTWGEAEKGRGEAAGLWGAAAARRGPGARRPSARRGPGARRPSGEGRGRGGRAERAGGAAAERAERAGGAAAERRRPGARRPSGRASPPET* >Brasy1G118800.1.p pacid=40056413 transcript=Brasy1G118800.1 locus=Brasy1G118800 ID=Brasy1G118800.1.v1.1 annot-version=v1.1 MKGERENSLAKRASRNGMVQRQDPPISSENRMKGERENISSENRMKGERENSSSRMKFRNGLLRAPRRSGSSSGSPHDFSFLFCFSSFVSFLLFFHFAVFSSSCLRANLVIISTC* >Brasy1G342500.1.p pacid=40056414 transcript=Brasy1G342500.1 locus=Brasy1G342500 ID=Brasy1G342500.1.v1.1 annot-version=v1.1 MAAKALPERPAAAGMPSSSSGSHAQEAGSPEPLFSVDLAAAACRLLAFLRSAPAHGGAVGPPSVRRYEELWLPLAAEEAMLVPPPDVQLVWLCLCFHHESYAAYCASRFGRLIDRPLILDADNEEYASDRCRDVWTARYPLEPFDLDNSDFYGNKLAGIDNNNANGEIVKLLRAYGSLAAHFASPFISEGVYLVAAKRRYMRFLDLVNKGVSTTREDTRLVPSLDILLMWLAHQSFPVSYSRDMTAMGIRDNVAKVVVGYGEVVSEEVVEKTRVLWEEAYNEPYDMAGSDVDTAKLAFYWQAAATEEDVNRLYKGLQPRFLMEVLVFLKGEFDSEHIGKEFLRLRTQRGHRSLKLNKPMSTLSCKTWQKTWHLYCEFATRALTIEFRRSTSGCFRNSKLLKNVSFSWNDMLHEKSLVLTEDINVSMRVMVSITPPIQAPYLLKCVPDRVTDDGGAMVSDVILRMRNYRPQEGRWLTRTVLDYGGKECFVIRIRIGRGIWRRGPETPMAVKWEDRSIEVREGTWSYIASATSVGYAPEKVVGTAIPSKDQQENKVVWSFSTGDVLTVQFGDDLNFMLQNENSYEEARLLVGRRLSYSINKDSTSNNRSEEEQYLTLVRTSPDHPDVKATMLLNWKLLAVEFLPEEDAVFVLLLCMAIARTMTEIRREDVAGLLVRRRIREGRVGQRDWGSVMLPNSPSLDPHLQPWYQNAASVLSSTETVPNGVVPIRYSPADGKDELYRHALIP* >Brasy1G140900.1.p pacid=40056415 transcript=Brasy1G140900.1 locus=Brasy1G140900 ID=Brasy1G140900.1.v1.1 annot-version=v1.1 MDPTARKTKRTPSSLLFRISDICKVHSVGVAPTVREKPKADSTATGGSSEDGAHLKVHPHQVSDSECLSESSPARCQGAVVDQLLDAISGLKVVYVNLQQAHVPYDPEKITIADERFVSELEETAVLKNLYVHVDEWSNPRYLRHISSRIQEHQKLVMELQANICKKESRIGWLRPELDELERKNMALEDKIGRDALYREGCFTIRRGMSAEMFMDLYERSSKGIQDFAKFIVSWTKVSGWNLDQSTFPIDNHVVYQNRADKKYAVEAYFACVMLMGDREDCFPLDSFDRIMSFKDPFDALMNAPDSSFGRYCKAKYLVAVPQGMEDSFFGNLDHRTFVESGGHPRTTFYQKFVTMARNTWALLTVARSSNPRAEMFYVKAGVQFRKEHMESTAAKMTTEEENTIVGYTVMPGFKIGYSVIRCRVYLSTVKAKDF* >Brasy1G213300.1.p pacid=40056416 transcript=Brasy1G213300.1 locus=Brasy1G213300 ID=Brasy1G213300.1.v1.1 annot-version=v1.1 MDIVPSILLPLLLLISSAAATPSDISPAVAPAADEHTSGPGIYIVFVSRADYVDSLDYDVRLLASVVGSTEEAKTAVVYHYSGLGFAARLTGNQADKLSTEKEGVATFKDKTYRVQNDGRLPRFYEENI* >Brasy1G112300.1.p pacid=40056417 transcript=Brasy1G112300.1 locus=Brasy1G112300 ID=Brasy1G112300.1.v1.1 annot-version=v1.1 MAASLQASSSSVRSRLLSSSAAWSPWRLLLSSIHSDASHQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRTFSRTLASQLRDRFPSDEWRMVLVDLRNHGNSARIKGLCPPHDMSSAAKDLADLVKAKGWSWPEVVVGHSMGGKVALDFAQSCSRGDYGESAALPKQLWVLDSVPGQVKIDNSDGEVERVLQTLASLPSSLPSRKWVVDHMISLGFSKSLSDWIGSNLKKDNEHVTWGFDLQAAIDMFNSYRERSYWGLLENPPKGLEISIVQAEQSDRWHPDDVQRLKALSRRGSKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSTGQN* >Brasy1G563300.1.p pacid=40056418 transcript=Brasy1G563300.1 locus=Brasy1G563300 ID=Brasy1G563300.1.v1.1 annot-version=v1.1 MAAEAPPSSSGARAPAASGGAASPETYLGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGIQIPASDKVYEYILFRGSDIKDLQVKSSPPPPQPQPAPLHNDPAIIQSHYPQAPSTSSSLPSAAGAVLPDLSSQAAQYGIQRPSFQSNIPLYQPGNVQWGSSGPPPAGSVSALSVPPMYWQGYYAPPGLPPHLQQPPFLQSTPGLSVPQTLQYPGLNPSLPSGQQKLSELQPPLQPSASGQGPTSSITPATTAPSASLLGPDTSKPLLPNMVPLFTPPVPSHGAPLPLASQPIPMTETSATASQSLASLVSSKTAVAPGSTLSKTAVVPGSTLSKTAVVPGSTLPKTAVAPGPTLSYQTASQAISSTVASSTPAGMEMPAPLLASSGQLLQNAPSMLSSSQSMQTPLQMSNSIGKPVDPKPKAAEPLLPDPPARALPENNEPILPLPKQTPQKYNGAGSHSQQQQHNFRGRGRGRGSAFSQSVTKFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGELGDDVFDEDLQYEETDNPELDVKPVYVKDDFFDSLSGGTFGRGGQNGRPRFSEQRRMDTETFGDFPRHRQPYRGGGRGYRGGGGRSRGYYGGRGYGNMGRGGGQENYYGGGGYGNMGRGGQDNSYPQRGSYGRG* >Brasy1G555900.1.p pacid=40056419 transcript=Brasy1G555900.1 locus=Brasy1G555900 ID=Brasy1G555900.1.v1.1 annot-version=v1.1 MNCYTHWRRRICLPVHLGDNQFGYSSWKYDIEKERERSVRKNWRGREAHTSGRPDRPDPLAPGQRKAGSGVPAAAEQLRPSMAAAGEDGRAGAASGAAARALHGGGWRERIRWQGASGVPDPASGRRRKGVGEAAQGRGAACGGGGAEGAIVGRPRGKGRRGEWRPARDLGENRGERERERRGGGARGEGENEA* >Brasy1G080200.1.p pacid=40056420 transcript=Brasy1G080200.1 locus=Brasy1G080200 ID=Brasy1G080200.1.v1.1 annot-version=v1.1 MVRVATYFAMTFGAFLFWESMDKVHVWIALHQDEKQERMERELEIKKMQAELMAQAKESES* >Brasy1G118500.1.p pacid=40056421 transcript=Brasy1G118500.1 locus=Brasy1G118500 ID=Brasy1G118500.1.v1.1 annot-version=v1.1 MASSFAFGSSGAAGSTASPFSFSTAPSAFSSSPAPAFGSSPAPAFGSYPFPSSAAASSTPTFGSSLFGSAPASAAAPTTASSPSPFGFGSTGFGIAQPASSSASTLFGAPAASAAATTSSLFGSATTNPSPFGAPAASAAATTPGLFGTTSTAVTPGLFGATPAASTTPSIFGAASSAASTPSLFSTPATGFGFGSSASGATTATTAAPTPSFGFGINSAAAPSSTAATSAPAPGFGAPTGSALFGSTPAAPLFGNIAASSPATTATTVPSVGFSLPAATTASAPSFGFTPSSGSTTTGSTTPSLFSSAPSASAFSIPNNASAAPTTPASAPTSGFSLATSQAASAPSLFSNTGAAASSSASSLSFPFGASVATPTPTFASVSATSASTVPPTTAASTTSGSLFSVPAPASSSSGFSFTVPPSSSSSAAATTTITSPSTSAATTTAPSTTTSAFPSFSLQATTPASASTLATQSALFAASTSATSTTTSQATSSALQASSTGPTTTAITPAASQAPKLPSEIVGKCVEEIIRDWNNGLQDRTAKFRKHATAISEWDRRILQNRNVLIRLEADVAKVVETQTSLERQLELIETHQREVDKALQSMEEEAEQIFQDERVLLREDEAASARDTMYEQAEIVENELQHMTEQVKSIIQTLNSTQGGELEATDSMTPFDVAVRILDNQLRSLMWIDEKANEFSNRIQRLPNNSAAAERDSGIPRFWLN* >Brasy1G502800.1.p pacid=40056422 transcript=Brasy1G502800.1 locus=Brasy1G502800 ID=Brasy1G502800.1.v1.1 annot-version=v1.1 MAESPEKFRGQSRLPRFAEPLRYDLILRPDLAACTFSGSAAIAVAVSAPTRFLVLNAADLAVDQSSIRFQDSAPTKVVQFEEDEILVIGFDQELPLGEGVLTMNFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLQVPSELVALSNMPVVKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIESSTLEGTKVRVYTQVGKTNQGNFALDVAVKSLNLYKDYFDTPYPLPKLDMIAIPDFAAGAMENYGLVTYREAALLYDEQLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGADRFQKALASYIKKYAFSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIYAKLNGQDLELEQAQFLSDGSAGPGMWIVPVTSCHGSYDVQKKFLLKGKTDKMHIKDFVASQSADRGTGESFWIKLNIDQTGFYRVKYDDELAAGLVNAIKAKKLSLMDMIGIVEDSYALSVACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLGVNKISADATPDLSRDIKQLLIKLLLLAAKRVGWDPKDGESHLDVMLRSVLLIALVKLGHDETINEAIRRFHIFLEDRNTPLLPPDNRKAAYLAVMRTVSTSSRAGYDALLKIYRETAEAQEKSRVLGSLSSCPDKDIVVEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHIVKTWPSSSLISDFVSSIVSPFTSEEKAAEVSEFFATRAKPSFERSLKQSLERVRISARWIESIRSEPSLAQTVHELVQ* >Brasy1G183000.1.p pacid=40056423 transcript=Brasy1G183000.1 locus=Brasy1G183000 ID=Brasy1G183000.1.v1.1 annot-version=v1.1 MQISAELRTADPVTKSWRTWRVSVSSPAPAPPSASSPAIWSSTRTIPMAKAASNPGLTLSTNTSRPITTRAVSSTFLLISATTVSSPSPLATTRQQRRAKEPSTRSSAAAAAEEGRTSTTAPRAAPPRMEDLRAEKRGFWPSARAPRKPSQRWCRDGRRRRAVRRGSPAGPSSASSPAEAAPPPWPRP* >Brasy1G439300.1.p pacid=40056424 transcript=Brasy1G439300.1 locus=Brasy1G439300 ID=Brasy1G439300.1.v1.1 annot-version=v1.1 MSSSGKGEDGEASVVVSGKGEVIKRRSCAVEYPIPCVRRLRERRLIAYLCKQGFYNTFKSLIQETAVYFSVLHMQRLVKEGLWEDALMYLNSYLPAHNRPRSFRARIFHNFLLLHCRFANVVAGNKDKHLDMNYGRHARSSSHADLRLRSIIYSILASDQIRASLDWEQVREHASFLILRLVRLTPELRYSTSLPPGRMMSHDVLPIGSGLCRGRRRVKKQGPQPRKTEAILCALRSEGYLIHPRNVGVGSLDEAKEFLADFLDESLQAGVRRGCRLSYALQPTGNEGAPSSQTMLDMLTKNAKDSATSSVKISGDPVSQAMFGCFTDPAKHSEIFSVKSAGSPVCKAISCIWTDHAKNPGFSSPTNAGTNKHLKEVGSTKNPRDGLTTVEEDFYPKKQRTTGAFGEASSVPAFGSQESTGTVVKNFSPKLSRVCSV* >Brasy1G439300.2.p pacid=40056425 transcript=Brasy1G439300.2 locus=Brasy1G439300 ID=Brasy1G439300.2.v1.1 annot-version=v1.1 MSSSGKGEDGEASVVVSGKGEVIKRRSCAVEYPIPCVRRLRERRLIAYLCKQGFYNTFKSLIQETAVYFSVLHMQRLVKEGLWEDALMYLNSYLPAHNRPRSFRARIFHNFLLLHCRFANVVAGNKDKHLDMNYGRHARSSSHADLRLRSIIYSILASDQIRASLDWEQVREHASFLILRLVRLTPELRYSTSLPPGRMMSHDVLPIGSGLCRGRRRVKKQGPQPRKTEAILCALRSEGYLIHPRNVGVGSLDEAKEFLADFLDESLQAGVRRGCRLSYALQPTGNEGAPSSQTMLDMLTKNAKDSATSSVKISGDPVSQAMFGCFTDPAKHSEIFSVKSAVCKAISCIWTDHAKNPGFSSPTNAGTNKHLKEVGSTKNPRDGLTTVEEDFYPKKQRTTGAFGEASSVPAFGSQESTGTVVKNFSPKLSRVCSV* >Brasy1G365500.1.p pacid=40056426 transcript=Brasy1G365500.1 locus=Brasy1G365500 ID=Brasy1G365500.1.v1.1 annot-version=v1.1 MANVRMMQALVLLVLMMAGQLQHTAAEAETSSRAGDRVLHQTLKPGDKYEMEQANEHRRGCNAHSRCRG* >Brasy1G541400.1.p pacid=40056427 transcript=Brasy1G541400.1 locus=Brasy1G541400 ID=Brasy1G541400.1.v1.1 annot-version=v1.1 MKKRSMATTNGSIDLDVLNCTICMDPLQPPVYQCAVGHAICASCHGSLPSKDRCHSCSAAGGYNRCNAMEKILDSISVPCPNAAFGCAAATRYHDADAHRRSCPHAPCFCPEPGCGFTGSTAALLRHLTGDAHGWPATETTTTYGSDVRMQVQEGKTRVLHHGGGEGAPLFLVSFTPAPPFGTAVSVVCVDPHPDAAERKFRCRLSCARWKKTCEFQVGSTDLSSGGLPAAAEDGGCAFVLVPKASRNITVSIIAKSPKIKRANEFRPKRLRQTVLPFAIVNASSSSATRSN* >Brasy1G374900.1.p pacid=40056428 transcript=Brasy1G374900.1 locus=Brasy1G374900 ID=Brasy1G374900.1.v1.1 annot-version=v1.1 MQLAVGRNGRRHLVQPITLILLLLLQRAKKTCSASVVSGNETDREALLAFKHAVSAGPAGPLRSWNDSLPLCQWRGVSCQPRHAGRVTALSLASLGLAGSIPAVLGNLTFLSSLELSDNGLTGAIPPSIGGMRRLRWLDLSGNQLGGAIPAEAVALLTDMTHLNLSSNQLVGNIPPELGRVAALVHLDLSRNHLTGSIPPSLAALSSLQSINLGSNNLGGTIPPSLFANLTALVAFGVNSNNLHGSLPEEIGMSRSLQYIVASLNNLDGELPASMYNVTSIRMIELSYNSFTGSLRPDIGDLLPDLYFLSMFGNQLAGGVPASLANASAMQIINLGENNLVGLVPANLGGLRDLLSLSLSFNSLQAATPSEWQFLDALTNCSKLNTLHMFHNDLSGELPASVANLSTELVWLSLSYNRISGTIPSGIGNLARLATFRLQANNFSGAIPESVGLLANMVDLLVFGNRLTGTIPLSLGNLTKLTELELSENELVGEVPPSLAGCRSLGYLSVGGNRLTGTIPPQIFTITAMSYILNMSNNFLSGDLPMEVGHLQNLQTLDLANNRLTGAIPATIGQCQILQRLDLHGNLFTGSVSPSSFGSLKGLEELDMSGNNLSGEFPGFLQDLPYLRLLNLSFNRLAGEVPVKGVFANTTAVQVAGNGDLLCGGITELHLRPCTIDTTVPATDRLLAVKLAVPIACIAVVLVISVSLVVARRRGKRAWPKVANRLEELHRKVSYVELSNATDGFSSDNLIGAGSHGTVYRGTMLQEDGAELAVAVKVFGLRRQRGALATFAAECEALRHARHRNLARILMVCASLDSRGEEFKALVYGYMPNGSLERWLHPDPETGGGGTLTLVQRLNAAADVALALDYLHNDCDVPIAHCDLKPGNVLLDDDMVARVGDFGLARFLDGAEPCARQACSVVLMGSIGYIAPEYGMGGQACASGDVYSYGILLLEMLTGKRPTDAVFRDGLTLAGFVGEAADSGGDDDGVLSVVDPRLLGAGRNRGHRPLVQGAEAEERCLVSVARIGVSCASELPMERPGMKQVANEIGKLRVSLLDSLLLKT* >Brasy1G136300.1.p pacid=40056429 transcript=Brasy1G136300.1 locus=Brasy1G136300 ID=Brasy1G136300.1.v1.1 annot-version=v1.1 MAALLRSLSRTSGLRGCASSFYHRNPYSPFSAAAAAAEAVARDAVVGRGLAGLGPTAKGEKPRVVVLGTGWAGSRLMKDLDTTGYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLGRIQPAVSNSPGSYFLLARCTGVDPDAHTIECETVTEGEKDTLKPWKFKVAYDKLVFGCGAEASTFGIHGVTEHATFLREVYHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKERYSHVKDYVHVTLIEANEILSSFDVRLRQYAINQLVKSGVRLVRGIVKDVLPDKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSPGVRIGVDEWLRVPSAPDVFAIGDCSGFLESTGKEVLPALAQVAERQGKYLASLLNHVMKAGGGHANSVVEIDLGPKFVYKHLGSMATVGRYKALVDLRQSKDSRGVSIAGFASWFIWRSAYLTRVVSWRNRLYVAINWLTTLLFGRDISRI* >Brasy1G222600.1.p pacid=40056430 transcript=Brasy1G222600.1 locus=Brasy1G222600 ID=Brasy1G222600.1.v1.1 annot-version=v1.1 MAVAAAAAAAGTAAYRYHPHAAADVVACRSMAFPPYSSASAASTFCPAPFSGVQQQLDVLDYFSDDGGPPAVPGTFDPPLQLPQRAPTEAPVVPDVGYFTAHPRSAAAAVAAGTTDKIAFRTRSKEEVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDRDDANYVLTMYEGIHNHASPGTVYYAAQDAASGRFFVAGTYLSGP* >Brasy1G060400.1.p pacid=40056431 transcript=Brasy1G060400.1 locus=Brasy1G060400 ID=Brasy1G060400.1.v1.1 annot-version=v1.1 MSAANGTGNGDSLSPAAMAAAAGATTPRSRLPRWTRHETLVLIQAKRATEQRAAAAAQGQPVRLKWAVVSGYCRRHGVERGPMQCRKRWGNLSWDLKKILAWEKKNTKTSMEQEGEEEEEEKKKGEGQDESSSSRPSFWEMRGEQRRARQLPSSFDREVYDALVGAAAAFKLPPAESGEEMELDEDDDDDGGHQHPMPIPDQTPPPPPVLVMPISARMYVPPASSQHECSDPATESAKKPGSDKNSTSQQDSEATFGAGVGAEGTATAAGTATTSMIGRQVTEALERGNRMLAEQLEAQRASSESDREHREALLAAVTRLADAVSRIADKL* >Brasy1G299900.1.p pacid=40056432 transcript=Brasy1G299900.1 locus=Brasy1G299900 ID=Brasy1G299900.1.v1.1 annot-version=v1.1 MHLVTFFTNIIGVVTIGLVSIVSILGLICLSRSIYFQLWIKRSGYQRLSYFNGPWLTRITLILVAFWWGIGEVLRLTFVNGEGRFTSDLSWQENICKFYIISNLGFAEPGLFLLLAFLLNAALQKQELGTLNQKWNQKTIRAMFLICIPSLVWEACVVFIGPHVASNNDQTSKLANYWFSASAVHNGNIACTYPLLSSIFLGAFYITLTIYMMSVGNQMLSLVINKGLRQRIYMLIFATTILLPRVILLGLSIVPWPGEIAHESLVFISFMILMLAAMVGIVILVYFPVADTFAIADQEHVEMQASHTIII* >Brasy1G302600.1.p pacid=40056433 transcript=Brasy1G302600.1 locus=Brasy1G302600 ID=Brasy1G302600.1.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELQLKKDPYVVEFDQREDGGEIQDALSDMVGRRTVPQVFVRGKHLGGSDVGSSLNF* >Brasy1G302600.2.p pacid=40056434 transcript=Brasy1G302600.2 locus=Brasy1G302600 ID=Brasy1G302600.2.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELQLKKDPYVVEFDQREDGGEIQDALSDMVGRRTVPQVFVRGKHLGGSDGM* >Brasy1G302600.5.p pacid=40056435 transcript=Brasy1G302600.5 locus=Brasy1G302600 ID=Brasy1G302600.5.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELQLKKDPYVVEFDQRGIIFNIYCYVLYFRKYRRLCLSVLRIW* >Brasy1G302600.3.p pacid=40056436 transcript=Brasy1G302600.3 locus=Brasy1G302600 ID=Brasy1G302600.3.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELQLKKDPYVVEFDQRGIIFNIYCYVLYFRKYRRLCLSVLRIW* >Brasy1G302600.4.p pacid=40056437 transcript=Brasy1G302600.4 locus=Brasy1G302600 ID=Brasy1G302600.4.v1.1 annot-version=v1.1 MATVLGRRFGMAAAAAAFLALAAFGSASESSSKAAFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELQLKKDPYVVEFDQRGIIFNIYCYVLYFRKYRRLCLSVLRIW* >Brasy1G061800.1.p pacid=40056438 transcript=Brasy1G061800.1 locus=Brasy1G061800 ID=Brasy1G061800.1.v1.1 annot-version=v1.1 MAMPMAGGGVLGLPNGFRFLPTDEELTVHYLCKKAFSLPLPNNIIPVANLARIHPADLPGNEAHGDKFFFSRPVPRCGRRARGAAAPGAAGVWKASGAEELVLVSPRRMPMALKQTLVFFSVDGRGVARTRWAMHEYRLHPDVLLSVANNGRAVEDWVVCRVFQKATRAQRRGGGNPPSPVSSCVTGEIGDEEIAS* >Brasy1G433300.1.p pacid=40056439 transcript=Brasy1G433300.1 locus=Brasy1G433300 ID=Brasy1G433300.1.v1.1 annot-version=v1.1 MANARTGAYVDDYLEYSSNLAGDLQRILSTMGELDERADGIMAQTKEQIKDLLGVPSPDDEAASEKMKRDIESSQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGHFAEDLKQEGKIPPDEPHILPPMPVGGKNDKRRHGLRTRQATKKFREREWERGMDFDLMPPPGSNKETVTSKDADQIIDPNEPTYCICHEVSYGDMIACDKENCEGGEWFHYPCVGLIPETGLKGKWFCPTCRNLQ* >Brasy1G571000.1.p pacid=40056440 transcript=Brasy1G571000.1 locus=Brasy1G571000 ID=Brasy1G571000.1.v1.1 annot-version=v1.1 MDGGDVASSSLEGDMEVDLITAAFGPMGRLLRRLNSFEASSGHRQPEWISADEIRLLTEGLQGLYNLLKDLPEADLGASFTPKWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRITSKRKQSRPQIAQGFLELMARVVDARERCKSFHLAQETATEPNSRQASVSRHTPVSAASISDVHMDNGSRRVVGFEEPKKKLVNLLGFGDMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQGYFDGLVRRGLVQPVDTNYNGEVLSCTVNHMVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESIRMSHVRSLLFSGFSKCVPSIPDYGLLQVLILYIWADQDDTNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLRYLETLQLDARLSAVPSDIGHLEKLRHLRLPSQADVRDLGRLTNLQDLHLTFCKVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCSVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLCVLKIAVRELSNSDIDILKGLPALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLAGLKEIFAKIRGAGAAGPESALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQEGIIEEQGEVLEENTDGVTQCEYKEEDGNSRPDSGVSTLLESSPVTSRRPLGRQYGTRIFSTWVRATLKARPRLTWKANSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDHFRIESSLPLGTFIGVFDGHGGPEAARFAADHLFPNLQEASGGQGMTTKTIEKAFEDTEESFIALVSRLWMTKPNLASVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKAQHPNDPQIVVLKHGVWRVKGMIQVSRSIGDAYLKYQQFNREPLYAKFRVPEPFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLSNQEAVEIVPRYERAGIARRLVKAALRKAARKRDMPYRDLKKIERGVRRHFHDDIAVVVLFIDYDLLAEDHAQERSLSIGSTLENPSLKK* >Brasy1G571000.2.p pacid=40056441 transcript=Brasy1G571000.2 locus=Brasy1G571000 ID=Brasy1G571000.2.v1.1 annot-version=v1.1 MDGGDVASSSLEGDMEVDLITAAFGPMGRLLRRLNSFEASSGHRQPEWISADEIRLLTEGLQGLYNLLKDLPEADLGASFTPKWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRITSKRKQSRPQIAQGFLELMARVVDARERCKSFHLAQETATEPNSRQASVSRHTPVSAASISDVHMDNGSRRVVGFEEPKKKLVNLLGFGDMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQGYFDGLVRRGLVQPVDTNYNGEVLSCTVNHMVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESIRMSHVRSLLFSGFSKCVPSIPDYGLLQVLILYIWADQDDTNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLRYLETLQLDARLSAVPSDIGHLEKLRHLRLPSQADVRDLGRLTNLQDLHLTFCKVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCSVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLCVLKIAVRELSNSDIDILKGLPALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLAGLKEIFAKIRGAGAAGPESALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQEGIIEEQGEVLEENTDGVTQCEYKEEDGNSRPDSGVSTLLESSPVTSRRPLGRQYGTRIFSTWVRATLKARPRLTWKANSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDHFRIESSLPLGTFIGVFDGHGGPEAARFAADHLFPNLQEASGGQGMTTKTIEKAFEDTEESFIALVSRLWMTKPNLASVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKAQHPNDPQIVVLKHGVWRVKGMIQVSRSIGDAYLKYQQFNREPLYAKFRVPEPFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLSNQEAVEIVPRYERAGIARRLVKAALRKAARKRDMPYRDLKKIERGVRRHFHDDIAVVVLFIDYDLLAEDHAQERSLSIGSTLENPSLKK* >Brasy1G571000.3.p pacid=40056442 transcript=Brasy1G571000.3 locus=Brasy1G571000 ID=Brasy1G571000.3.v1.1 annot-version=v1.1 MDGGDVASSSLEGDMEVDLITAAFGPMGRLLRRLNSFEASSGHRQPEWISADEIRLLTEGLQGLYNLLKDLPEADLGASFTPKWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRITSKRKQSRPQIAQGFLELMARVVDARERCKSFHLAQETATEPNSRQASVSRHTPVSAASISDVHMDNGSRRVVGFEEPKKKLVNLLGFGDMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQGYFDGLVRRGLVQPVDTNYNGEVLSCTVNHMVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESIRMSHVRSLLFSGFSKCVPSIPDYGLLQVLILYIWADQDDTNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLRYLETLQLDARLSAVPSDIGHLEKLRHLRLPSQADVRDLGRLTNLQDLHLTFCKVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCSVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLCVLKIAVRELSNSDIDILKGLPALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLAGLKEIFAKIRGAGAAGPESALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQEGIIEEQGEVLEENTDGVTQCEYKEEDGNSRPDSGVSTLLESSPVTSRRPLGRQYGTRIFSTWVRATLKARPRLTWKANSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDHFRIESSLPLGTFIGVFDGHGGPEAARFAADHLFPNLQEASGGQGMTTKTIEKAFEDTEESFIALVSRLWMTKPNLASVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKAQHPNDPQIVVLKHGVWRVKGMIQVSRSIGDAYLKYQQFNREPLYAKFRVPEPFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLSNQEAVEIVPRYERAGIARRLVKAALRKAARKRDMPYRDLKKIERGVRRHFHDDIAVVVLFIDYDLLAEDHAQERSLSIGSTLENPSLKK* >Brasy1G571000.5.p pacid=40056443 transcript=Brasy1G571000.5 locus=Brasy1G571000 ID=Brasy1G571000.5.v1.1 annot-version=v1.1 MDGGDVASSSLEGDMEVDLITAAFGPMGRLLRRLNSFEASSGHRQPEWISADEIRLLTEGLQGLYNLLKDLPEADLGASFTPKWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRITSKRKQSRPQIAQGFLELMARVVDARERCKSFHLAQETATEPNSRQASVSRHTPVSAASISDVHMDNGSRRVVGFEEPKKKLVNLLGFGDMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQGYFDGLVRRGLVQPVDTNYNGEVLSCTVNHMVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESIRMSHVRSLLFSGFSKCVPSIPDYGLLQVLILYIWADQDDTNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLRYLETLQLDARLSAVPSDIGHLEKLRHLRLPSQADVRDLGRLTNLQDLHLTFCKVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCSVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLCVLKIAVRELSNSDIDILKGLPALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLAGLKEIFAKIRGAGAAGPESALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQEGIIEEQGEVLEENTDGVTQCEYKEEDGNSRPDSGVSTLLESSPVTSRRPLGRQYGTRIFSTWVRATLKARPRLTWKANSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDHFRIESSLPLGTFIGVFDGHGGPEAARFAADHLFPNLQEASGGQGMTTKTIEKAFEDTEESFIALVSRLWMTKPNLASVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKAQHPNDPQIVVLKHGVWRVKGMIQVSRSIGDAYLKYQQFNREPLYAKFRVPEPFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLSNQEAVEIVPRYERAAIKIVVC* >Brasy1G571000.4.p pacid=40056444 transcript=Brasy1G571000.4 locus=Brasy1G571000 ID=Brasy1G571000.4.v1.1 annot-version=v1.1 MDGGDVASSSLEGDMEVDLITAAFGPMGRLLRRLNSFEASSGHRQPEWISADEIRLLTEGLQGLYNLLKDLPEADLGASFTPKWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRITSKRKQSRPQIAQGFLELMARVVDARERCKSFHLAQETATEPNSRQASVSRHTPVSAASISDVHMDNGSRRVVGFEEPKKKLVNLLGFGDMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQGYFDGLVRRGLVQPVDTNYNGEVLSCTVNHMVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESIRMSHVRSLLFSGFSKCVPSIPDYGLLQVLILYIWADQDDTNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLRYLETLQLDARLSAVPSDIGHLEKLRHLRLPSQADVRDLGRLTNLQDLHLTFCKVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCSVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLCVLKIAVRELSNSDIDILKGLPALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLAGLKEIFAKIRGAGAAGPESALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQEGIIEEQGEVLEENTDGVTQCEYKEEDGNSRPDSGVSTLLESSPVTSRRPLGRQYGTRIFSTWVRATLKARPRLTWKANSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDHFRIESSLPLGTFIGVFDGHGGPEAARFAADHLFPNLQEASGGQGMTTKTIEKAFEDTEESFIALVSRLWMTKPNLASVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKAQHPNDPQIVVLKHGVWRVKGMIQVSRSIGDAYLKYQQFNREPLYAKFRVPEPFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLSNQEAVEIVPRYERAAIKIVVC* >Brasy1G308200.1.p pacid=40056445 transcript=Brasy1G308200.1 locus=Brasy1G308200 ID=Brasy1G308200.1.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSHMEHGACVTCLDCTLMK* >Brasy1G308200.6.p pacid=40056446 transcript=Brasy1G308200.6 locus=Brasy1G308200 ID=Brasy1G308200.6.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSHMEHGACVTCLDCTLMK* >Brasy1G308200.9.p pacid=40056447 transcript=Brasy1G308200.9 locus=Brasy1G308200 ID=Brasy1G308200.9.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSHMEHGACVTCLDCTLMK* >Brasy1G308200.4.p pacid=40056448 transcript=Brasy1G308200.4 locus=Brasy1G308200 ID=Brasy1G308200.4.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSIDLYSLRWKGRANSHT* >Brasy1G308200.7.p pacid=40056449 transcript=Brasy1G308200.7 locus=Brasy1G308200 ID=Brasy1G308200.7.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSIDLYSLRWKGRANSHT* >Brasy1G308200.3.p pacid=40056450 transcript=Brasy1G308200.3 locus=Brasy1G308200 ID=Brasy1G308200.3.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSIDLYSLRWKGRANSHT* >Brasy1G308200.5.p pacid=40056451 transcript=Brasy1G308200.5 locus=Brasy1G308200 ID=Brasy1G308200.5.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSTNS* >Brasy1G308200.8.p pacid=40056452 transcript=Brasy1G308200.8 locus=Brasy1G308200 ID=Brasy1G308200.8.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSTNS* >Brasy1G308200.2.p pacid=40056453 transcript=Brasy1G308200.2 locus=Brasy1G308200 ID=Brasy1G308200.2.v1.1 annot-version=v1.1 MASAKRGLQIEWQCLSKNKSSTSNLCLQSFAFLNLVTSSHAKFRTINLLQPMQSHYQLVIFASDGLWEHLTDPEVVEIVGSTSHSTNS* >Brasy1G434700.1.p pacid=40056454 transcript=Brasy1G434700.1 locus=Brasy1G434700 ID=Brasy1G434700.1.v1.1 annot-version=v1.1 MSSVCSLIMTWEGLPWRFLPAFLCCFYFRDSKFPHFCDLLVSLEQCSLFLECQCSFVARTGLEVHAMSSACSSTRTPEGLPWPSQGYSKT* >Brasy1G441300.1.p pacid=40056455 transcript=Brasy1G441300.1 locus=Brasy1G441300 ID=Brasy1G441300.1.v1.1 annot-version=v1.1 MEMELVAVERNQPRSTKNAVRAEELSFFLSLRNRLIDAEKRYYNLDVDRIESVDSPICKLHDMSYLDTNMIIPSNSTIRRQRRFAERQIKRLRKSISSAEIKAAETSIPELATKISSIPEHGEQSFSKDSDDDAEANPLDHADSVHEEEYDVSCAKSAMKELVPMIDPKLKLEIKSDPVMDAHPGTNQASRFKSEKLAVETRGTPTNIIPELEEDDLIIPSFIMPDDDDYYADGAVDEMDRGKPDAQLAIEKDEMAYMESNITQYRSYWETIWGKTCSSFSDITTLSSMQFTHLTPEGTSRYDVRTETTLQIFTIKLTEIKGGFRWPLSVYGLVAARDHVDGNRNLLFFCDSTKPQELSKDDPFLRLIGPSRAIVLTDPVDFEIQLKVKRSAKPDRTLITDIWHYTERCPGVSTFSFKNCFCTAEVCLEQVKRSVQATILGVRVVKCGSWPSEHGCRVAAYPSTRKDVSSDIDGITNHANPSSGLILLLDSKDGKLTKSSDGYLNLSRQVVSVQLAGKLEVVVESYLPSGVIAERGLVCFKAKDSQISQDNCLLGDAVIEITVAWSLLVEEKQDIAAPDFLDGF* >Brasy1G380400.1.p pacid=40056456 transcript=Brasy1G380400.1 locus=Brasy1G380400 ID=Brasy1G380400.1.v1.1 annot-version=v1.1 MPAAAAAAPAPPPAEPSPKPPDSIPPAEEEEADAGGTPGRADRVGTVNWGTGTLVGVFTGLLYGGSKEANANVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIENRGVHDVFNIAGAGLATAAAFGLILPGPPMWRARNVLVGSVLGAGICFPLGWVQLKLAEKANLEISKSQSDLAKEHGNQSRVGAAIDRLEGSLRK* >Brasy1G317500.1.p pacid=40056457 transcript=Brasy1G317500.1 locus=Brasy1G317500 ID=Brasy1G317500.1.v1.1 annot-version=v1.1 METPARCSRRLRRSTGEDCGRDLFSTLPDEILGEIICLLPTKEGARTRILAQIRVLTPRIVKSHPGPVCRCRVAGDWVIEHLGMNDLLMSCLFNGRQEFAFQLSPNVPNAPYRAGCFCFRYSSTLRVLSLGRCKIHDDCTRRLLFPLLTQLVLAWVNISEHSLRTLVAACSVSDSVKIEVCSGFHSLQITSCTLSSMVINNDKYEEIGLEELVIQSAPSLELFHHLRRKKLNVRILSMPKLENLGHASYTKLFLGPAVQGSSLATVSSTVKTLIICIENINLDMAIALLRRFPCLQNLKIFLPKWMPLHTQMKTIEVYFYDGRELDISFVSFFLINATLLESMTIRCWSTDEEFRKQQDRALRLEEKASGRSKVRFAHMGSFRDSYSTDAFVLCPSRRFVYLQGGRYGKYAANRRCMMQ* >Brasy1G370500.1.p pacid=40056458 transcript=Brasy1G370500.1 locus=Brasy1G370500 ID=Brasy1G370500.1.v1.1 annot-version=v1.1 MASPRRRSLPLPLLLLIFPVSLSVLLLLRRSSNNAPLLPTDSTGPAPDPRHFSLLIKLLAYDRPAPLLRCLRSLAAADYAGDRVALHVLLDHRPPNSSAALLAASHEILTAVDAFRWPHGEKRVHYRTANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYGFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGKKIQLDSQTRLFLYQMVGTWGQLLFPKPWKEFRLWYDEQKAKGAKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGRSVGPDSTLLDGNNLDFNIRELQPLKKLKWYDFCFAEILPGRVVNKYSELGSVLNSVQLENSVVLISLYSVEHRIMRNLICHLEKAGMRNYIFLGNNSEFLDDLAHRGHAVIDAIGLIQSIIMSSSMNSDGFIMEILAKSYVIQNCLDLGYNLWVLNGNMIPLGSKLIEPSDQSVDFFAADSMDLMFIRSSQGSKKTWNEHIMSSVSDSVMSSKGGFAASLKHVNFVDILTGVLENNGGTRLAKLNEWIMAVELEPNISNKSLSDGQSKVLFWSHNMASNSVQRQLGNVNLWLIDSDLSCGAVVCSQKQN* >Brasy1G370500.3.p pacid=40056459 transcript=Brasy1G370500.3 locus=Brasy1G370500 ID=Brasy1G370500.3.v1.1 annot-version=v1.1 MASPRRRSLPLPLLLLIFPVSLSVLLLLRRSSNNAPLLPTDSTGPAPDPRHFSLLIKLLAYDRPAPLLRCLRSLAAADYAGDRVALHVLLDHRPPNSSAALLAASHEILTAVDAFRWPHGEKRVHYRTANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYGFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGKKIQLDSQTRLFLYQMVGTWGQLLFPKPWKEFRLWYDEQKAKGAKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGRSVGPDSTLLDGNNLDFNIRELQPLKKLKCKLIEPSDQSVDFFAADSMDLMFIRSSQGSKKTWNEHIMSSVSDSVMSSKGGFAASLKHVNFVDILTGVLENNGGTRLAKLNEWIMAVELEPNISNKSLSDGQSKVLFWSHNMASNSVQRQLGNVNLWLIDSDLSCGAVVCSQKQN* >Brasy1G370500.2.p pacid=40056460 transcript=Brasy1G370500.2 locus=Brasy1G370500 ID=Brasy1G370500.2.v1.1 annot-version=v1.1 MASPRRRSLPLPLLLLIFPVSLSVLLLLRRSSNNAPLLPTDSTGPAPDPRHFSLLIKLLAYDRPAPLLRCLRSLAAADYAGDRVALHVLLDHRPPNSSAALLAASHEILTAVDAFRWPHGEKRVHYRTANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYGFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGKKIQLDSQTRLFLYQMVGTWGQLLFPKPWKEFRLWYDEQKAKGAKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGRSVGPDSTLLDGNNLDFNIRELQPLKKLKWWFCCFPETCEFC* >Brasy1G094600.1.p pacid=40056461 transcript=Brasy1G094600.1 locus=Brasy1G094600 ID=Brasy1G094600.1.v1.1 annot-version=v1.1 MRRTKSGGGLAGRSHKSTTPPNGKGEATDLVTSFIEKIRRTKRSGDDFTRRSHKSIRGCNGSGKTTESMALLGDLHEENWTRLSDDDFARRLHKSIRGRNGSGKITESMALGDLHGDSNRYDWTRLSDELKSSLSRSVASLAPCNGDTVLFACSSIAIDCQENHTSGDKRKFHDNLKIKVRHEGNGVYEGVLSEYDLDHNFAIVIINTSLDVHVGLFKHRVENLPHGMVLALGRGISGTLVPTNVILASEDNEAPLCQMSEVLEGGPLITFDGNFVGMNLFLVIVKAYFISRSSILDWLNCKSLQKKTHLPRSKSLKADRYFRLELAAPAARCNISLCHPPPSVHTKQDVGY* >Brasy1G095800.1.p pacid=40056462 transcript=Brasy1G095800.1 locus=Brasy1G095800 ID=Brasy1G095800.1.v1.1 annot-version=v1.1 MGERIPPPSHLQYSPSPVHSSPHHQFNSLRNSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSMQPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWPGMQPGHMGGMQASPMSWNGAPTHSGPPVVKKLMRLDVPVDKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEEKLRDKPGYEHLNEALHVLVEAEFPADIIDARLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSLSPFNSTGMKRAKTGR* >Brasy1G302700.1.p pacid=40056463 transcript=Brasy1G302700.1 locus=Brasy1G302700 ID=Brasy1G302700.1.v1.1 annot-version=v1.1 MAPPPPPLPWAWRLALPLVAFFSVPFLLPLTLPFILFLRPGASSSPNPLSFHRLAWLASPQIPSPPPPATKATAYPPPPTEMTSPSPPPPPPLPNPPAIEKKTPCDIYDGTWVRATAASRPLYAAGTCPYVDEAYACAANGRPDSAYTRWRWAPRRCALPPFNATDFLSRLRGRRLVLVGDSMNRNQFESMLCVLRQALPDKSRLVETHGWRISKGRGYFVFKFLDYGCTVAFVRSHFLVREGVRVNRQGSTNPTLQIDRIDKTAGRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDTLYPQFDSTEAYRRALTTWARWVDKNMDPDKSVVFYRGYSTAHFRGGEWDAGGSCSGETEPAFRGAVVESYPEKTRIAEEVIARMRFPVRLLNVTRLTSFRKDAHPSVYGKAGAPEGRRRKKKQDCSHWCLPGVPDVWNELIYASLVMEPSPSSWNHR* >Brasy1G579500.1.p pacid=40056464 transcript=Brasy1G579500.1 locus=Brasy1G579500 ID=Brasy1G579500.1.v1.1 annot-version=v1.1 MACTKARALRCEMRKNWDLSDEGCFKYTGPDWLLIMLANISKDSRGLVLSVLWRSWHLRNDVVHEKGDSTIESSTVGWSAPAENWIKINVDASFVESLGDCSTGCMARSHDGNCIHDANRSSIILESDNALAAIKNKNQGMSRLWHIFKEIDVIQASCLRFAAVKIGRESNQAAHELAAVARRNVQNNFWLGCVPPAIANIVAAESEI* >Brasy1G301100.1.p pacid=40056465 transcript=Brasy1G301100.1 locus=Brasy1G301100 ID=Brasy1G301100.1.v1.1 annot-version=v1.1 MEDEGMPTGGEEGEASAVGSPCSVTSDCSSVASADFEGVGLGFFGAGVEGGAVVFEDSAASAATVEAEARVAAGAKSVFAVECVPLWGFTSICGRRPEMEDAVVSVPRFFGLPLWMLTGNTIVDGLDPISFRLPAHFFGVYDGHGGAQVADYCRDRLHAALVEELNRIEGSVSGANLGAVEFKKQWEKAFVDCFSRVDDEIAGKTGRGAGGGVGTSGVAVAAVSDPVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVMSNEEVCDVARKRILLWHKKNGVNSSSAQRSGDSSDPAAQAAAECLSKLALQKGSKDNITVIVVDLKAQRKFKNKT* >Brasy1G350300.1.p pacid=40056466 transcript=Brasy1G350300.1 locus=Brasy1G350300 ID=Brasy1G350300.1.v1.1 annot-version=v1.1 MERTYKGGINAYWKRRGYYRLDAAEAQRRRRPLPTAELGGGSARSASARRQRRRGWRVRRGGLALGGRLLRALSPRRWLARLRDAYVSAMLRMASSPAVGFGAGTPYCPCPEAFPRPAPQLKEYDEKVLVEIYRSILARGGQIAVVGEGTPAAATLRLSTAV* >Brasy1G524800.1.p pacid=40056467 transcript=Brasy1G524800.1 locus=Brasy1G524800 ID=Brasy1G524800.1.v1.1 annot-version=v1.1 MAVVTVVALAVLAACAWAVAAVPAGGLFEEEGGEGRVGRVATYDGRALVLNGTRRILFSGEMHYTRSTPEPKAQPMFAT* >Brasy1G380300.1.p pacid=40056468 transcript=Brasy1G380300.1 locus=Brasy1G380300 ID=Brasy1G380300.1.v1.1 annot-version=v1.1 MEAKLAFPQDSPPLSIVSAAKIAGVPLTIDPTLASGSVPTLHLSSGDFIHGVNTILRYIARAASVSSFYGQDAIQAAHVDQWLEYAPLILSGSEFEAACSFIDGYLASRTFLVGYGLSIADIVVWSNLTGTGQRWESLRKSKKYQNLVRWFNSIAVDYSDALDEVTTAYVGKRGIGKSPAPSLKEKVPGLKENISGHEIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESKCRNSTVQENLLLWSEMVKGTQRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRVLQDMGLRRVEVYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKEQRVLLTLTNGPEEPFVRILPRHKKYEGAGKKATTFTNRIWLEYADASVISVGEEVTLMDWGNAIIKEVKTDNGAITQLVGELHLDGSVKMTKLKLTWLADIEDLVSLSLVDFDYLINKKKLEEDDNFLDNLNPCTRREALALGDPNMRNIKQGEVIQLERKGYYRCDVPFVRSSKPVVLFAIPDGRQKSTSIVSGA* >Brasy1G288900.1.p pacid=40056469 transcript=Brasy1G288900.1 locus=Brasy1G288900 ID=Brasy1G288900.1.v1.1 annot-version=v1.1 MADPPPPDAQPEQTPAAEKPPAAPLTPEPEDAAEIAEQAEESEVDEEYVSDPDDALPEMRRREASDDEGSEEGRRPPRARIEPDHDDDGQGAPEDYEDEEEEEYYDDLLEEEVGEGLEEEYDGRAVPPKEVTAGQGEEGEKAGEEGAEAEADGEEKKEQEPFAVPTSGAFYMHDDRFQEDGRGRRRRMLGGRKLWDAKDDQAWVHDRFEELNVHEERYEDKRMSRGRFRGRGGGRTRGTARGSSRGGRYCSYHEDGDNQNRSDNHNRSDNQNRSDNHNRSDNQNRPQKVVRGRGPRRYDTIAKNNREVVGFQRKQPTRSREPASHSTVVRELGQISNAHSEAAPATKNVVNSSLNSASPPFYPSGASNHAGAQRREVQAGGSNKVFPSENMKLQSGPAVRGRTATDYGGRDRFHAEGPVRPSPARNAGASSNSSGFPASAGQSPSVRAQGGNASIGVPSQNQSTSSFHQTSRISTQQQNHNSVMHQKSGQVPTQLAMRMPSQQLNHRIGNSSPTAQQLPARSTESGENGSYSSSNQSKTLSSEVEKTNKETGRGSFMYGGAQVIGAAGAVGLAQGEQNFPGTPALLPVMQFGGQHPGGHGGPTVGMALPGYVAQQQLGMGNNEMAWLPLLAGAAGAFGGSYPPYIALDPSFYSRSSGQTSSSIPSREANVSKGAKSPPPNDVVTEELDQRQNKPRRYSEMNFSQ* >Brasy1G266700.1.p pacid=40056470 transcript=Brasy1G266700.1 locus=Brasy1G266700 ID=Brasy1G266700.1.v1.1 annot-version=v1.1 MADNESGGQNLAVLEALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPLENIEKGKPGTLPVNVNNMVIGVALVGTLMGQLVFGYYGDKLGRKRVYGITLVLMAACAIGSGLSFGYTHRAVIGTLCFFRFLLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMVVSGLFLHYNPAPAWSKVDPTLSNQTPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIEGNAKQASNDMQKVLEIRIDDEQDKLAKFRAANEYPLLSMEFARRHGMHLIGTTTTWFLLDIAFYSQNLTQKDIFPAINLTDPPETMNALKEMFVISRAMFLVALLGTFPGYWVTVAVIDKMGRYLIQLLGFFMMSVFMLVMGVKYEYLKDNNHILFAVLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGALVAAYGVQSLTLKGDVKHITKALIILSVTNMLGFFFTFLVPETMGRSLEEISGEDGNGAGAGAVSMNAADVSKDGKFPASSTEWQPPSMQA* >Brasy1G139400.1.p pacid=40056471 transcript=Brasy1G139400.1 locus=Brasy1G139400 ID=Brasy1G139400.1.v1.1 annot-version=v1.1 MTTSSSGSIETSANSRPGSFSFANASFTDILGGSAGVGGASGGYKAMTPPSLPLSPSLMSPSSYFNMPAGMNLADFLDSPVLLTSSIFPSPTTGAFASQQFNWRPEAPAPSAEQGGKDEQQRQSAYSDFSFQTALQGKNEEQAAQTTTTTFQPPVPLAPQQGEEAYRGQQQQPWGGYQQPAAMEASANNPASFSAAPPLQATSSEMAPHAQGGGVYRQNHSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVETSLEGQITEIVYKGTHNHAKPLNTRRNSGGASAAQVLQSGAGDTSEHSFGGVSGGAPVTTPENSSASFGDDEIGGASSPRAGNDLDDDEPDSKRWRKDGDGEGIGVGGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASQDLRAVITTYEGKHNHDVPAARGSAALYRPAPRPDMAGAAAASSHFMANNQPPAMPYQLTTNNAGTQYAPRPDGFGGQSQGSFGFGGGFGFSGSGFDNPTGSYMSQHQQQQRHNDAMHASAGAKEEPREDMFFQHPSQY* >Brasy1G005100.1.p pacid=40056472 transcript=Brasy1G005100.1 locus=Brasy1G005100 ID=Brasy1G005100.1.v1.1 annot-version=v1.1 MGHGLSCGRDGDEHEFFRAAQSGDADAMDGLLAGDPSLARRATIYDRLTPLHVAAANGRLEAVSLLLDRGRAAPDALSRTKQTPLMLAAMHGKLDCVLRLLHAGANILMFDSVHARSCLHHAAYYGHGDCLAAILAAARTAPVAASWGFARFVNVRDEHGATPLHLAARHGRAGCVHSLLDAGAIVSAPTGSYGFPGSTALHLAARGGSLECVRELLAWGADRVQRDSAGRIAYAVAVKRRHGGCAAALDPGAAEPMVWPSPLKLVADLDAGARALLQAALADANKKILTRLKASDAAAGREEEEEEEEEDDEELCSICFEQACSMEMEDCGHRMCAACTLALCCHSKPNPATLTANPPACPFCRTCISRLVVAESKAKAPAPVAIAGDELDEKAAAMAGSPRLSRRRSSSFKGLSSAVVGSLSCKIGRAASGRLAGDSNGGGGFLDKPEHDP* >Brasy1G087200.1.p pacid=40056473 transcript=Brasy1G087200.1 locus=Brasy1G087200 ID=Brasy1G087200.1.v1.1 annot-version=v1.1 MPYLRRFLRPPPLLLVPILLLASCSVNGAVVPATARRQLHQPFVPDQSSAPPSSQTPPAPAPPFFPTLQAPPPPPGTPTSPEQPTYPALVLPNTAPGTGGTAPPDGGGSKKSKSNSSSKLVPAILLPLLTVAVLGLSIGFFFKHRRGNAGRGVGGGCVGGGASKFSHPERASLFARDEFGGSGAPPVMAAAASSAEFLYVGTLAGRGDDGKSSDTTSSGDEASRSSGAGGSPELRPLPPLGRQSGPLGSRNSGGSVGGSSPASSGEEEFYSPRGSSTGAKTSSSQRTLAAAVHAAVAARDRSRTGSPGSAVSTPSYPSSPGATASPAPSSPPLFSSPGQSGRRSVKARSDVFGLPPTPPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLEDTSAVRSTTDTMSRNPFITLPPTPPPRSAHGQPPPPPPPPPPPVGYWESRVRKPCTSKETRSPALSPPPQAANFRSVPPTDAFPGRLSENADHGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAPPKEAAKRPALPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTQNFGADLLETLLKMAPTKEEEINLREFKEETSPIKLGPAEKFLKAVLDVPFAFKRVDAMLYIANFESEVKYLKNNFDILEAACDELRNSRLFLKLLEAILKTGNRMNVGTNRGDAHAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRTEGSRLSASNSSTPRTVANPLRDELECKKLGLQVVAGLGNELSSVKKAAAMDSDVLSSYVTKLAGGIEKITEVLRLNEELNSRDDAWRFHDTMQKFLKKADDEILRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLAVLNQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSVASSP* >Brasy1G035900.1.p pacid=40056474 transcript=Brasy1G035900.1 locus=Brasy1G035900 ID=Brasy1G035900.1.v1.1 annot-version=v1.1 MQEQGSSIAATSSSSQPPEAVAHDTTETSKNSSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDVGGPFQSLQEANNAIDRHLHARRVPKMCIEQAKVSKREMAIRTIIYWPDGTRKKCSQSHAIEKIRDDNCQFVQALVDNYNKDHNLFGDIAYELKDVVHHKLFVENHMVYHHFNFMAKNKGADDFDGTSGNLFFAEVQQCKRQGEHEELVVSCFCMIKPIDNGHCYGCTTYGSVDMKHPNKSGAYTGGHLYACKKITFKREWSDEDEETEEARVRRMCKGTDDPHFLKKLRRFRKEQRRLKSRE* >Brasy1G035900.2.p pacid=40056475 transcript=Brasy1G035900.2 locus=Brasy1G035900 ID=Brasy1G035900.2.v1.1 annot-version=v1.1 MQEQGSSIAATSSSSQPPEAVAHDTTETSKNSSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDVGGPFQSLQEANNAIDRHLHARRVPKMCIEQAKVSKREMAIRTIIYWPDGTRKKCSQSHAIEKIRDDNCQFVQALVDNYNKDHNLFGDIAYELKDVVHHKLFVENHMVYHHFNFMAKNKGADDFDGTSGNLFFAEVQQCKRQGEHEELVVSCFCMIKPIDNGHCYGCTTYGSVDMKHPNKSGAYTGGHLYACKKITFKREWSDEDEETEEARVRRMCKGTDDPHFLKKLRRFRKEQRRLKSRE* >Brasy1G035900.3.p pacid=40056476 transcript=Brasy1G035900.3 locus=Brasy1G035900 ID=Brasy1G035900.3.v1.1 annot-version=v1.1 MQEQGSSIAATSSSSQPPEAVAHDTTETSKNSSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDVGGPFQSLQEANNAIDRHLHARRVPKMCIEQAKVSKREMAIRTIIYWPDGTRKKCSQSHAIEKIRDDNCQFVQALVDNYNKDHNLFGDIAYELKDVVHHKLFVENHMVYHHFNFMAKNKGADDFDGTSGNLFFAEVQQCKRQGEHEELVVSCFCMIKPIDNGGN* >Brasy1G035900.4.p pacid=40056477 transcript=Brasy1G035900.4 locus=Brasy1G035900 ID=Brasy1G035900.4.v1.1 annot-version=v1.1 MQEQGSSIAATSSSSQPPEAVAHDTTETSKNSSTGSLFWARRRNDWGLRFYIRVDLQGSFHTYPDVGGPFQSLQEANNAIDRHLHARRVPKMCIEQAKVSKREMAIRTIIYWPDGTRKKCSQSHAIEKIRDDNCQFVQALVDNYNKDHNLFGDIAYELKDVVHHKLFVENHMVYHHFNFMAKNKGADDFDGTSGNLFFAEVQQCKRQGEHEELVVSCFCMIKPIDNGGN* >Brasy1G517300.1.p pacid=40056478 transcript=Brasy1G517300.1 locus=Brasy1G517300 ID=Brasy1G517300.1.v1.1 annot-version=v1.1 MDSSGAESSPPPKYPSFGPLPLIRCSSCGFRVVRRFTSKTPANMNRDFVKCINHGPKRGGCDFWYWIDEYACLLLDMGFLPPGSPLLLPNWAAVEPCSAAATGGGEGAGPARRHDAAPAHDLFLLLLLILPLLLLHGFLVGFFLDKDRLDLGVGDADSLLPRVLVCLGC* >Brasy1G146700.1.p pacid=40056479 transcript=Brasy1G146700.1 locus=Brasy1G146700 ID=Brasy1G146700.1.v1.1 annot-version=v1.1 MHENGRFLHNPEGSFLLHKTRRSSLPPLINAFELYSLVRMDNLTTDSDDVNYMKLVKKHYNLARINWNGDPCSPREYSWEGLICDYSKGTQNPKIVRVDLSNSGLEGELTVSFLGMVSLENLDLSHNNLTGRIPDYPLKSLKVLDLSNNQLDGPIPNSILQSFQADLLDLRLEGNPICSKVKAAYCSNKKSPRQTLLIAVIVPVVLVLLLVVMCILWKLCWKGKSGDHEDYAMYEEETPLHIDIRRFTYAELKLITKDFQSVIGKGGFGTVYYGILENGDEVAVKMLMETSIAESTDFLPEVETLSKVHHKNLVTLEGYCQNKKCLALVYDFMPRGNLQQLLRGGGDYSLNWEQRLRIALDSAQGLEYLHELCTPSIVHRDVKTANILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGKSPVLMDPQTVHLPNWVRQKIITGSVHDVVDKRLMDQYDASSLHCVVDLAMNCVENAAIDRPTMTEVVSRLKVWLPAVSSEKQSAFATPQRRNYMNIEIPRQFQWTVSGERNEGSSFHSDFTGGMSEASLISGR* >Brasy1G018300.1.p pacid=40056480 transcript=Brasy1G018300.1 locus=Brasy1G018300 ID=Brasy1G018300.1.v1.1 annot-version=v1.1 MVQASNLYGEAMLGNGDDTEDEDIESEDDSGEELQAEPSKKAIHNKERLLEKLDDIAWPENVDWVHKLAIDHDQGKKVDVNGDLNRELAFYTQALDSTRRAFEKLLHSKVRFLRPADYYAEMVKTNSHMHRIKGRLLSEKKKIEEAEERKKARASMKRAKEVQAEKMKERANEKKESIESVKKWRKQRQQGGFSKEGKDDGPDLNFEGDEGFKQSRKKKRPGVAPGDRSGGLAKRGKLGKNNRAKDSKFGHGGRKGMKKQNTAETTNDFRGFNQRGEPQNKKRKMF* >Brasy1G567600.1.p pacid=40056481 transcript=Brasy1G567600.1 locus=Brasy1G567600 ID=Brasy1G567600.1.v1.1 annot-version=v1.1 MNPNANLQALSNQPKKKKALSNQNIFRLLFLSLNPSSSFPSYPDRPPPPFPVRLACCCLGPFLLLHSMDQTIPAYAVPPPVPMAAPVVPVDLTVVKKRFGSSGDMAVHDASGGLAFRVAAADGGGRGSGGRALLDASGRTLVTVRSSKGVWQAFRGVSSEQKEVIFTTKVVCASSNRKEVHAFVPPGSTFEDPKPNYILVGNTFQRACTIINGNSIVAQANLPYKLNKAIYSRHKFRVTIYPGNDNILIMAMIMTFFVQK* >Brasy1G567600.2.p pacid=40056482 transcript=Brasy1G567600.2 locus=Brasy1G567600 ID=Brasy1G567600.2.v1.1 annot-version=v1.1 MDQTIPAYAVPPPVPMAAPVVPVDLTVVKKRFGSSGDMAVHDASGGLAFRVAAADGGGRGSGGRALLDASGRTLVTVRSSKGVWQAFRGVSSEQKEVIFTTKVVCASSNRKEVHAFVPPGSTFEDPKPNYILVGNTFQRACTIINGNSIVAQANLPYKLNKAIYSRHKFRVTIYPGNDNILIMAMIMTFFVQK* >Brasy1G080300.1.p pacid=40056483 transcript=Brasy1G080300.1 locus=Brasy1G080300 ID=Brasy1G080300.1.v1.1 annot-version=v1.1 MARRVPAVPWIGPTRPTCLPCHLRLARRDDAAARGTDHRSPWRCLPSRPYKTPGKLLCESRQIDRSSTHRRLAPRAVHCNRPHPVPGKNKRKTSRFPLFFPWLGLAWLVRLAVALCLVRTSPRGDGGSEESEIEEELEKHAEQALVLAHAYGVAWLKRRCQESIGSRLNPATVVDALQLAALCDAPELHLRCMRLLAKEFRAVERTEAWRFLRDNDPWQELEILRHLHDADMRRRRWRRKRAEQGTYMELSDAMDCLQHICTEVGPVGQAPAKSPCPGYATTCRGIQLLIRHFSRCHARATCPRCQRMWQLLRLHAALCGLPDGHCNTPLCTQFKRKEQQKEAAATMVAKAGDGDGRWGLLVKKVKAVRVMASLGKRRSPPQC* >Brasy1G080300.2.p pacid=40056484 transcript=Brasy1G080300.2 locus=Brasy1G080300 ID=Brasy1G080300.2.v1.1 annot-version=v1.1 MPEAPRDDGAAAADVDVVTAAGRRRIPAHFSVLGSASPVLERILERRMQKIRDSGKPGRAVVRIRGVTDDVAAAFVRLLYAGRDGGSEESEIEEELEKHAEQALVLAHAYGVAWLKRRCQESIGSRLNPATVVDALQLAALCDAPELHLRCMRLLAKEFRAVERTEAWRFLRDNDPWQELEILRHLHDADMRRRRWRRKRAEQGTYMELSDAMDCLQHICTEVGPVGQAPAKSPCPGYATTCRGIQLLIRHFSRCHARATCPRCQRMWQLLRLHAALCGLPDGHCNTPLCTQFKRKEQQKEAAATMVAKAGDGDGRWGLLVKKVKAVRVMASLGKRRSPPQC* >Brasy1G137900.1.p pacid=40056485 transcript=Brasy1G137900.1 locus=Brasy1G137900 ID=Brasy1G137900.1.v1.1 annot-version=v1.1 MATADGDSGARARRGGLVCVTGGSGFIGSWLVRRLLDNGYTVHATVKNLQDEGETKHLQALDGADARLRLFQMDLLDPASVRPAVEGVHGVFHLASPVIVHPTQDPENELLLPAVNGTLNVLRAAKDCGVSRVVMVSSQSAMVPNPDWPADKVVDEDSWADVELLKKLQLWYNVSKTLAEKAAWDLAAEEGLHLQLVLNPSLVLGPTLTPSATASLRVLIQLLGGQRLDMEPYHIGCVDVRDVAQSLMVLYENPSAQGRHLCLESTERLVDFVHRLASLYPEFPVHRIQEDKQGWVVRSKVASKELIDLGVRFTPVDKTIRDTVDCLRGKGDI* >Brasy1G074100.1.p pacid=40056486 transcript=Brasy1G074100.1 locus=Brasy1G074100 ID=Brasy1G074100.1.v1.1 annot-version=v1.1 MKLLSWNCQGLGKGTAVRALLDIHGRLKPHVLFLSETHLSKVRAENLRKMMNFDCSLMSESDGRSGGLLLLMVDLPWVAVGDFNEIMAGNEKDGGALRPQRCMQAFRDALEDCNLFDLGFVGDIFTWRRGRIRERLDRAVCNHRWNDLFSMVGVVHEDFSKSDHRPVLVDTGYLENVQVQRPRGKKMFDARWLMEEKIDDVVSVAWEQACLLPGASLSDKTRHVHAALCSWDKRELKDPEHRKRLLQDELNTVMAGPLSDEATAQQQAIQLKIENISEQEEMKWIQRSCADWMRRGDRNTAFFHNFANARKK* >Brasy1G038700.1.p pacid=40056487 transcript=Brasy1G038700.1 locus=Brasy1G038700 ID=Brasy1G038700.1.v1.1 annot-version=v1.1 MEIAMGAIGPLLPKLGDLLAGEFTLEKRVRKGIESLLTELTLMHAALHKVARTPPEQLDEGVKVWAGSVRELSYQMEDIVDGFVVRVQEDDGDQPETQLNSVKKILKKTVKLFKNGRGLHRISGALEEAAGQAKQLAELRQRYEQEMMRRDGTGGAGVDPRVSALYTDVTELVGIEETREELINMLIEGDDWVKRPLKTVSVVGFGGLGKTTLAKAVYDKIKPQFDCGAFVSVSQNPDVKKVFKDVLYELDKGKYGGIHNATRDEKQLIDELNEFLTKKNEFLRRKRYLVVIDDLWDEKVWRLIKCAFPKDSLGSRLITTTRIVSVSEACCSSSDDIYRMKSLSGDISRRLFYKRVFSSESGCPHELVQVSEDILKKCGGIPLAIITVASLLASNRRIKTKDQWHALLHSVGRGLTEDRSVEEMRKILLFSYYDLPSQLKPCLLYLSIFPENFKIMRVELIWRWIAEGFVHSEKQETSLYELGDSYFNELINRSMMQPIGVDDEERVEACRVHDMVLDLIRSLSTEENFVTILDGTTTRKVPNSQSKVRRLSIQNSKVDVATTSMAHVRSLTFFTDGTVDKVPLDTAWSFQVLRVLDLQGCNVTDFGYMRNLLHLRYLRLINAQVMELPMEIGKLQFLQTLDLRRARGIKELPSSIVRLRRLMCLYVHEDIKLPSKMGNLTSLEVLDGLLIGQLSSGNFNGDIVKELGHLTKLSVLRFKWICLDDSLNEALVESLSNLHGLQILDISAHGGRQVDLMLEAWIPPPQLRRFQFRGTTCSFLTLPRWINPSLLPLLSCLEIWVEEVRPEDIRLLGRMPALRYLCLVRDTIFSGGRAVEMSVVAADAFPCLTECYFFGIAAVPSIFPRGAMPRVKQFRFGFPARWISRGDFDFSMGHLPTLKDVHLEVLCEEATPAEANEAQEGHPEYVVTLVRAALEGHPAHPRLYVYRW* >Brasy1G388200.1.p pacid=40056488 transcript=Brasy1G388200.1 locus=Brasy1G388200 ID=Brasy1G388200.1.v1.1 annot-version=v1.1 MAPGLAAKPPPPMSRPPTKPGHMLVLGTGFVGRYVSERLLSQGWRVSGTCTSVAKKMELEKLGMSASVFDATRSNLANLDALEDATHLLISIPPIPGVGDPQLLSSHADLQRTLADGNLQWLCYLSSTSVYGDCGGAWVDEDHVVNPKSESAKLRYAAEEGWLDLVDELDLSAFILRLGGIYGPGRSALDTIAKGNKSLSSRQKLRESKQFTARIHVADIYQAIRVSMSIRSARKIYNVVDDDPAPRADVFAFAQSLIERRYPDLAMDPARSNIHDRIIAAEKRVSNARLKQELGVRLLHPSYRSGLQSIFDSWLTEKN* >Brasy1G234800.1.p pacid=40056489 transcript=Brasy1G234800.1 locus=Brasy1G234800 ID=Brasy1G234800.1.v1.1 annot-version=v1.1 MKLISWNCRGIGNSPAVRGLLNLQKSEDPDILFLAETKMCTEKMQRFRCRLGLTNMWARSCDGKGKGGLAMFWKASLNVNLHNYSKYHIDVEIKEEDGSKWRFTGIYGESRTEKKHLTWKLLKILHHQMDIPWLVAGDFNEILYAHEKEGGRRRGQNFMENFRQALEECELADLGFEGDMFTWRNNSHTSEGYIRERLDRAVASSSWRNKFNEYKVVNGEPYHSDHRPVIINTEKPLKIPWRRSGGEDFKFEARWLSEPDCEKVVAESLEEARRLGNRKIMDGFKRASSKLKAWDQNVLGDLDKRIKNLNWELEACRREQISPQMVARESYLKEKLERLEDQRDMHWRQRAHKNWLQNGDRNTAYFHASVKERRWKNYIKRLKIDQGSRA* >Brasy1G291400.1.p pacid=40056490 transcript=Brasy1G291400.1 locus=Brasy1G291400 ID=Brasy1G291400.1.v1.1 annot-version=v1.1 MAAPEREAALLARVAANHLFLAQFEPMRAALLSLRRRADPDLAADFLRAVVASGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLILLQPVADDPTTGAEAQGTLAKLLDLGVVRLKREVDVQGKAGVGVEEVPVSEEDLRGLSTVVLDNAELFDALCVGVSRQIGLDGGFGANVLLWLRRSVQLAHLDAVKALVMAGDVESAIGHLRFLCLENGVEEDEYKLVLGDLVRKCWERASNYSGTWFESRNRITKMYGATLQSGSPQLVQLIQIILDGILSEEFEDHSVSDAHWMPLPFKKFLETFWLERDADSDDRTILTAAITSCKKDLYHYSRLSGKHVLEVVMETALSLIKREQLQEAVNVVSLFPLLQPLVAVLGWDILKGKTSLRRKLMQLFWTSKSQALRLQEYSHYRTNIDETSCEEYLCDLLCFHLDLACFVSSVNSGHPWSLRNSLLFSQQEKDSDVSAETLDPFVENMILERLAVQTPMRVLFDVVPGIKFQDAIELIGMQPLSSTTAASKRMHDIELMHMRYALQSVAFALGEMEKCAGHGNEHHYQMALSYLKEMQNFMDAIKNTPRKIFMVGIVLSMLHMDDSIKLSQAAPSDSSVRHDYGDSNTEPEGKNMVISFVGLLLDILRHNLQLKGSGIDQLSSTGLSPAGRQALEWRLKHAKHSIEDMDWRLSVLQRLPPLSERQWSWKEALVVLRAAPSKLLNVCMQRANYGIGEEAVQRFSLPAEDKASLELAEWVAGAYKRVLVEDAVNRATDNTSAAQESDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKIGPTYWDQIQEVAIISVIKRILQRLSGIVDLDGHPYLQVVFTEVSASLSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDKTYSKEGTKLEKKDVLISEKAVVLGYGLRILKQASRTDPTASSVPESSQEHKGSTNRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFEHGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGQGWACIPILTTLSNIISENRSTVPKPLPADQGWSPNDSSLSSRRGPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNESSPTYVKDAMQAPEVERSFYEFALEQSERYPTLNRWIQMQSNLHRVCESSVAVQTENEVALYQSKGKFSMKRAREPDSDAESELEDVVIHGNAASSRPESPKRDDAKLEPTAFISFDWENEGPYEKAVERLINEGKLTDALAVSDRCLRNGASDKVLQLLIDQREERSLGTGQFRAYGSRTLGSNTWQYCLRLRDKKLAAQLALKYLHNWDLDAATNVLTMCICHLPENDPMRSKVLHMKQSLQRYGHIMSADDHYTAWQEVEVDCEDDPEGLALRLAAKGAVSAALQVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSSLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLVLKEFPSLRDDKLILSYATKAISVNVNSTIREPRLAISGSRAKQKKAAAPAKTNFVQSFGNFQREARKAFSWVPRDGGTKTPPKDIPRKRKSSGSGGDRSSWEAMPGLQEERTPVYPSEGQDRIPFVSAPEEWVLTGDPDRDNTTRSCHRYESSPDITLFKALLSLCNDESVAGKGALELCISQMKVVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKRLVGNSDLSSGSERSRDADDVSVDAGSSGTGSQYQDELSDLLAQADTWLGRAELLQSLLGSGIIASLDDIANKESSTSLRDRLVGDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYAQARVKFKQALQQYKGDAPAVVLDIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADSYLNVLYMPSTFPRSERSRQSRDSADSQFSSANSYLEDGPRSNLDSVRYAECIHYLQEYARPQILAFMFRHGHYADACSLFFPSNQPTAEGETSLSSNPRSDPLTTEYGTIDDLCDLCLGYGAMSILEDTILAITQSPSYRDTTVIQYMNAVLTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLFVNSMSQEESLKHLGHAKTHFEEVLSVRDRTIEATKLVSRTARNKSASEKLTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPVVDIYAGVAASLAERKKGGQLTEFLKNIRGTIEDDEWDQVLGASINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM* >Brasy1G289700.1.p pacid=40056491 transcript=Brasy1G289700.1 locus=Brasy1G289700 ID=Brasy1G289700.1.v1.1 annot-version=v1.1 MYVFTTVPARASSSSQQSLLRLPLPRPPRQGRDVDAYMIHMHLSLILRYNFGWGHGKDKL* >Brasy1G208000.1.p pacid=40056492 transcript=Brasy1G208000.1 locus=Brasy1G208000 ID=Brasy1G208000.1.v1.1 annot-version=v1.1 MEEEAARIEQRQGRGDRIQRLRGRVGRIHRRRRQGSGGRRQCREAARRGWAGSSVVLGADKRWPASGRSQRGEAEAGVGAVAARRRPVAAARWRPPSGGAAEAAVGRPSAASWGGRRGEGLWGLGRERERERERERERERESQEGEAKGVAAWGGRK* >Brasy1G216700.1.p pacid=40056493 transcript=Brasy1G216700.1 locus=Brasy1G216700 ID=Brasy1G216700.1.v1.1 annot-version=v1.1 MRKAAVLAAAAVVAAAAAMVVRQRLREAKRWARAAAVLCDLQERCAAPAARLRMVADGMDVEMRAGLASEDGSKLKMLVTYVDFLPSGDEKGLFYALDLGGTNFRVLRVQLGGKEQRVIKQESVGVSIPQHLMSGSPHELFDFIAAALAKFVASEGVDYHLPEGAQRELGFTFSFPVKQTSISSGTLIKWTKNFAVHEMVGKDIVAELNEAIKRQGLVMKVSTLVNDTVGTLAAGRYVDNDTIVAVILGTGTNAAYIEHVHAIPKWHAPLPKSADMWGNFKSGHLPLTEFDQALDAESLNPGEQIYEKLTSGMYMGEIVRRILLRMAQEAALFGDHTPPKLETPYILKTFHMLTMHHDTSSDLKTVSLKLKEILEIESTSSKTRKLVVDVCEVVARRGARLAAAGIYGILKKLGRVTGSSDNRRSVIAVDGGVYKYYTFFGQCMESTLRDMLGEEMASSVVIKPVNDGSGIGAALLAASYCQHLQDDDN* >Brasy1G501700.1.p pacid=40056494 transcript=Brasy1G501700.1 locus=Brasy1G501700 ID=Brasy1G501700.1.v1.1 annot-version=v1.1 MRLDTFCVSISAAAASSPLSAAATPFSPASVSREELSAPRDLGEFQMACRRSPEAVLPIPPPCSPVACKGGRLQRPAPKPVRRSARVANRRRAGSSVSRQQKLLISRLGLANEVETIGDEALAAYIRLFEKPLSGEHMAAIVALFGWEPDSLPLIGEDQPELMVCIQETKLQCISLDVVRQCLGNEFFNFYFLPAEGTRGAEVRDLHVGPWAVMGDFNLIVNLEDKNNFRLHRGLMSRFRRLLSELELKELYLNGRRFTWSSERSNPTLERLDRVFTSVDWDSMFPNAYLAAFSTATSDHAPLILDLEADFQVGRRFHFETFWPKVEGFFQVVEEACVWLHGCAVLRSV* >Brasy1G518500.1.p pacid=40056495 transcript=Brasy1G518500.1 locus=Brasy1G518500 ID=Brasy1G518500.1.v1.1 annot-version=v1.1 MTSSSPDLLPRVLIVSRRTVRKNKFVDFVGEYHLDLLVGYGAAPVIVPRVSGVHAMIDAFEPIHGVLLCEGEDIDPSLYAGVGDEDDNGPLSPEQMEAVRRRHPSDAAMADHEKDSIELLLARRCLEKGIPFLGICRGSQVLNVASGGSLYRDLDIELGGHGHGGVVVRHIDYGDYDAHRHPVRVLPGTPLRDWFAMEDETIMVNSYHHQGVRRLAPRFAPMAFAPDGLVEAFYDPASYSPGEGKFAVGLQFHPERMRKQCASAGAGEEDEFDYPGCPVPYREFVRAAAAYQAKQQPKLKPNPMPMPARALDAPGHAQAKPEKQRRVILRSFSLAKSLCYTFGRGGGNGCSRTEEELDAGAGFMEPEPTAAALSAQQEKRLKQMGATVRNASGYMDRVKAQQSEEREGAARALMAKMSASQLSSLAAFYRAMGSICAEVLDTKLAASSSLQPAA* >Brasy1G568500.1.p pacid=40056496 transcript=Brasy1G568500.1 locus=Brasy1G568500 ID=Brasy1G568500.1.v1.1 annot-version=v1.1 MVTLYGRADQLTNCNTGCGHWSAHRDTLKPLRKSLASVVLLIARLGAVDRNACVFDNAGPDTRILLETIKMETAAWTSAGATGLSVVVPN* >Brasy1G351700.1.p pacid=40056497 transcript=Brasy1G351700.1 locus=Brasy1G351700 ID=Brasy1G351700.1.v1.1 annot-version=v1.1 MAHVGDMAAFYEAWVAREEEIVANLTAALSLSACCRREEALAPLVDAAMDHVATYYEHKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFVDDAGLGLVPAQQRRGLEDLRASTAAAEREVEREVAAVQESLVGPRVLAALRRQLHSPRNGEADEAVAAVGRSLRVLLAAGDALRERTVRGVVGLLALEQAAAFVVALLRFHLGVRRAGRGWSSGPGGGQRGL* >Brasy1G263300.1.p pacid=40056498 transcript=Brasy1G263300.1 locus=Brasy1G263300 ID=Brasy1G263300.1.v1.1 annot-version=v1.1 MSTPVWSTDDYDQMAVLGRGSFGLVIKTLTETTQHGHDELLREAELLLACRGHRAVVQLRAVSVSSDGPLKLSAVVMEYVGPSLRHVLSELRRGRPFQEPQARSFMRQLLSGAAHMHGNGVIHRDIKPENVLVCPDSVSVKICDLGLAMSVRSSTPPYGRCGTAGYAAPEVLGGKPDYDHKVDCWSLGCVMAELLTGRRLFRAGVAGDSDQMLRDFPPPGIPIANRLRRMLPEDRLSGQGLHLLSGLLSCS* >Brasy1G525400.1.p pacid=40056499 transcript=Brasy1G525400.1 locus=Brasy1G525400 ID=Brasy1G525400.1.v1.1 annot-version=v1.1 MAEETKQETAAAAAAEVVVSEPEKKAEEVVEKVDEAAAAAAAEEAEEEKKIEEAEAEAGADEAAVIEGSTGSFKEESNLVSELADPEQKALAQLKELVAAALASGEFELPPPPAQPEKATPAAEEAKTEEAAKSDAAPEGEEPKAEEAEVSEPKTEAPATEEPKTDAPAQEEPNTVEPTKEEPNTEAPVVAAAEEPKAVVPAEEAKPAEPTPETEEKTVVVTEAESTKTVEAIEETAVPAASEPETAPAMEPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKSFGIDALLGTDLGVPELENVVFYRGADREGHPVCYNVYSEFQDKELYEKAFGDDEKRERFLKWRIQLLERGILELLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALQLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFTFCSPAKTAETLFRYIAPEQVPVQFGGLFKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSTVVWELRVLGWEVSYGVEFTPDAEGGYTVIVQKTRKVPANEEPIMKGNFKVTEPGKVVLAVNNPTSKKTKLLYRFKVKSSTESA* >Brasy1G207900.1.p pacid=40056500 transcript=Brasy1G207900.1 locus=Brasy1G207900 ID=Brasy1G207900.1.v1.1 annot-version=v1.1 MSTSSICTICNAAIDSWRHSLLECNMAKCVWSLRDDDLVTPLIVDETTDPKLWLMTLCRSLRQQEFIEVLVTLWSIWWARRRAIHEEEFQSPLSTHIFIRKYLDELGIYNGRATTGAVHCRPVVPRWIPPPEGMLKINVDAAVARGSPKGAFAAVCRDALGTFVGTSAVVIDGLSGPHILEAFACDEALAL* >Brasy1G319000.1.p pacid=40056501 transcript=Brasy1G319000.1 locus=Brasy1G319000 ID=Brasy1G319000.1.v1.1 annot-version=v1.1 MKLKSAATRLGQDDQFETIEADVATRFILNIIHPQSVTCMYKQLLHCHTLTSTILQISK* >Brasy1G361800.1.p pacid=40056502 transcript=Brasy1G361800.1 locus=Brasy1G361800 ID=Brasy1G361800.1.v1.1 annot-version=v1.1 MAHVLLVALILYCALESGDATLDPMALNLLSPSEDQDGFGTIAYPVKWSGKGEEPPSSGKNGFAASAYPVKWSGKGYFAPPPSDVPNSPASHHHIRVQTGMLFLKRSLHVGVILPEGTMFAGAHVPKSENSFSTPLELKYLGTILSIFKIQHNSCFLWRSEMATFASEALGISHTRAAITIIHGEENPSSRYVVAAISQISSDVVACHLMPFPYELFYCHRPRNVLSLRMQLKGADSTMVVTAIVMCHMDTSNWDKEYFDLLGGELGEPICHYMPDNYVTFY* >Brasy1G353200.1.p pacid=40056503 transcript=Brasy1G353200.1 locus=Brasy1G353200 ID=Brasy1G353200.1.v1.1 annot-version=v1.1 MVRAVLARRGEAVEVPEFLFCAMANMIGQAAVGRRVLDDAGVEATREFKEMVVELMTSAGLVNIGDFVPAVAWMDLQGLVRKMRRLWTRLDRVWARLLSEHEEAMAARQKEGTRRLDLVDRLIACRGEAGEFGVTDLNIKALLNNMFTAGTDTSSSTIEWALAEMLLNPAIMRRAQAEMDSVIGRDRLLRDSDTPNLPYLHAICKETFRKHPSTPLNLPRVSTEACNVQGYHVPKGTRLIVNIWAIGRDPAAWPDPARFDPERFMTEQGRKVEPMGSHFELIPFGAGRRMCAGARMGVTLVHHMLGALVHAFDWEMPEGAAGVMDMEEEFGLALQKKVPVRAVARPRLAASAYE* >Brasy1G421800.1.p pacid=40056504 transcript=Brasy1G421800.1 locus=Brasy1G421800 ID=Brasy1G421800.1.v1.1 annot-version=v1.1 MSRARAQRFLYMVVGRSRHPLQSNLYMVHRINPCSLFFPIKDYDDAQRRRPQAQPAAAAAAAAAEIKASLPPNPILTLYPSAGDLPMNFVLTGRGKDRIVAMDYLGRAFLYDDTLRAVSPLPTMSEPKYDPVCLAAGDDLFVMSNPPESHGRSAEALADQTDRLRRSELHWRPVPTPPFTKLQPVVSNFGGGGGGSDRSGFIVDAYTVVGGASVWLSIGQHGTYSVDMEKIAYGIHGNDICHGEWRKEGGWALPFSGRAVYAPERDLWLGFSASDQTVLCAADLRQREGKGRPPVVRHRWEGFGVPKEHCQAPVQSFLVHLGGTDGRFCVAKFSNDADGHRTARLTGVEVARCVDGEDLCLVKHKTYHYGGFGDDDDPVCLL* >Brasy1G203700.1.p pacid=40056505 transcript=Brasy1G203700.1 locus=Brasy1G203700 ID=Brasy1G203700.1.v1.1 annot-version=v1.1 MTLELIECKEMNLWTCRIENKHLLARLISKSTRFPKTFKEEIEKLRVRSEWLDHVCAFYGTESASGTGTFLLMEVYEESMQTRLQREGLTSKEIQKYGSDIICGVGQLHNAGIICGVVEPSTILIDEKERAHISIYGINSIENLPADVSSIGHNYAAPETWNSNENGKPFSKTSDVWSMGCTLLFMCSGMMLWDGLSDIKISEYVHQKILPLHLDGLKEPLFSIISGCLQYKVEKRPTLNEIQEKFLGARQEICKLAYPLSFISRRDASSFVSEPVNRSFFLRFCRNLQKNDLFESSWTNPHTRDTESGGDGDEDYGEDDSHGASKVFPIESDVISACKKGSLEDLEKLLKTGAKLCDEGALCFAVSLKRWHDKEGRQGTEIVQSLLQSGIDPSCKDDKGQTPLHIAASMDDIELLKMFIQAEVDVNILNIQNETPLYVALKNHAGLPCIEYLIHNGAKHTLKDNDGNNGLHIAAQAALSCKNLDAMLKMLNSDASPAVNANYRGYTLKDLLKRLPTNMISQELKSILEKEGISLSLEGEPDQSDSGPHGKLDALNIANLDDAHMAGGNKSLDCTLILTEGRTANKFATSGKKNGKKEWAQNEQVKSIFKALGLELSDDGCRYVFDKKSLRYGHVLMMMDQDSDGNHIRGLLIHLFNSLCPELLELPLFLHDFRTYLYKVTNVETNQTKSFRFLNDYQAWSLGVEQEKWTTQYLKGIASNSDDEVKQYFEHFEDHIRYFVWHGILDSNYIRRAFSRSTSNVRKKWILEYEDGLYDNSPSSRDRTISYHEFIQKELVPFFHYSVKRAIPSMMDGFKISQRKIMFTVLEKEGASGVPLVNTLATDVSRCTAYHHGETSLASAIISMAQDFCGTNNLNLLTPEGQFGSRNEGPDVNPETRYLKVNISPIAHLIFRTEDMDLLDYDWEDGKQVQPKWYFPIFPLILVNGARGIASGFSTSVLNYDANVIIRNLERLMDNMQTEEMMPSYRDFKGTVAKVKDSDSYTTTGIWELDDNRTTLTISELPVSLWYNDFEKHLRTLKRDNGIESFEIIKKAPSGEAVHWYKILLPEAMDREKIESTFKLKKTFPSHIYLYNAQGCLQSYTSPDRVLHEFYTERLKIYEKRKELLSEKGKILQDVTAKDLWRKDLQDFKEAYSKLQDFKEACSK* >Brasy1G008600.1.p pacid=40056506 transcript=Brasy1G008600.1 locus=Brasy1G008600 ID=Brasy1G008600.1.v1.1 annot-version=v1.1 MSGRGGLTCRRIWSARSPATYKPPPTWSASTPSASHGATHDGATRCHPPGPPPRPSCSWLLAPPPPPADSKDPLIRFRCVFSKSSYRALPPPALQVPVGPRMKNWVAADDGTALRYLTVDRRLPILTDPLSVSSAADYHLPLFPEEEDRGCGNWPWDEDQDPHGVVYSDGAVFLYGISPHADGDTAVRFTAALFRPGAGASRWTLVRRTLATLAWHKAFCFCAAYHRGKILVTVDARQWRVVVSPDDADDVLVRMPRPWDYSRQYSYALESRGELLWASVHVGVYHTYHKGELREHHASVSVYALEESEPDDSPPEPERKKRKQQLRWVLQDDGESLADRVLFLGYPNSFAVDASRLGIGGGCAYLLYHGRAKGLPGSRHQAGVFRYDFADDGAEIVERLPTGWAGCQDPLLLVSSFSYPPSILQLAAYSSESVLPALNRRSAIRRP* >Brasy1G388800.1.p pacid=40056507 transcript=Brasy1G388800.1 locus=Brasy1G388800 ID=Brasy1G388800.1.v1.1 annot-version=v1.1 MGANCCIAAKERPQPSIASAEVSAYRTRQSPSWSFRWDNRTHIEDIMENTALFSNHNGGNIRPELKGGSSAPTEGHSNEDSLSDVFRGVKWQKSDKKMEASKHLKADPRAVQSNASNSTSEVNSCKSLDRLTAASDIKTSKSLPSTPPLVSRADPSSSRCHSLHMDSFSMRKARRSPGHQLYRQISDSKIPSLKSFSESSYAEGRPSSSMLSACSNDLFAGGSQHGGSSDGWSNRTFSELVVSSQRERWSVDSELFGSITSKIARSNDSQSTAFSSDQGICKLCSKLLKERSTWSAHDLGVVAVLFCGHAYHANCLDNITAESEKYDPPCPVCTHGEKRTAKLFGKLDSKIKNRKSKNVMSDTDTDRSSKHQKKKLREPRLGTSSSMKDSFRRPFLKRHFSIGSRPPRSFLGNEPRGKKGFWARHWRE* >Brasy1G135600.1.p pacid=40056508 transcript=Brasy1G135600.1 locus=Brasy1G135600 ID=Brasy1G135600.1.v1.1 annot-version=v1.1 MGGGRSGAREAEEGEWEVRPGGMLVQRRDGDDGPAVRLRVSHGPTLRDVVVPAQATFGELKRVLSQATGLEPERQRLFFRGKEKSDDDFLHAAGAKDGSKLLLLEKHIPANVEQKVEPVMMDESMMRACEAVVRVRSEVDKLSAKVCDLEKSVLAGRKVEDKEFVVLTELLMVQLLKLDGIEAEGEARAQRKAEVRRVQNLVETLDKLKARNVNPFSDSNKSVSVTTQWETFDNGMGSLNAPPPRFSSTQNDTDWEQFD* >Brasy1G557400.1.p pacid=40056509 transcript=Brasy1G557400.1 locus=Brasy1G557400 ID=Brasy1G557400.1.v1.1 annot-version=v1.1 MELPLGAVLLLLLLSASASSAAATLAITAPPPASPAPAPAGPAHAPPQAPLGAEGLLINGNFETAPRKTNKTLIVGRHSLPGWTLRGHVEYVSAGPQPGGMFFAVPHGVHALRLGGRSSAAQNVSVRPGSLYALTFAATRTCAQDEALRIAVSPSLSAPADVAVRTLYSADTGADTWAWGFRASAQLTQLTFSNPGVQEDPACGPLLDAVAIKELPTPYPTKENLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRYIDAAHFSVPSGNYAVELVAGRESAIAQVVRTVPNRAYNVSFAVGDAKNGCHGSMLVEAFAGNVTLKVPFESAGKGGFKTASLRFVAGGVRTRVTFYSSYYHTKATDGVSLCGPVLDQVKIQPVKL* >Brasy1G532600.1.p pacid=40056510 transcript=Brasy1G532600.1 locus=Brasy1G532600 ID=Brasy1G532600.1.v1.1 annot-version=v1.1 MTPEEVTAKLPTSNPEAASMVDRLLRVLASYSVVSCAVEEAKDGSLSRRYGPAPVCKWLTPNEDGVSMAPFCLLAQDKVFTETWCYMKDAILEGGDGAFSKAFGTTWFEHAGVDTRFNNLFNEAMKQHSVIITKKLFELYTGFEGIRPSRALTSTSPHVVAEAPAYPGGRVQHVGGNMFEKVPSGDAIFMKWILNCFSDKECATLLKNCYDALPAHGKVINLECIMPVNPDPTHSAQGLISVDVSLLAYSPGGKERYLKEFEKLAKGAGFAAVKATYIYADFWAIEYTK* >Brasy1G532600.2.p pacid=40056511 transcript=Brasy1G532600.2 locus=Brasy1G532600 ID=Brasy1G532600.2.v1.1 annot-version=v1.1 MTPEEVTAKLPTSNPEAASMVDRLLRVLASYSVVSCAVEEAKDGSLSRRYGPAPVCKWLTPNEDGVSMAPFCLLAQDKVFTETWCYMKDAILEGGDGAFSKAFGTTWFEHAGVDTRFNNLFNEAMKQHSVIITKKLFELYTGFEGIGTLVDVAGGVGATTHAITSRYPTIKGVNFDLPPRRRRGTGLPRRARAARRWQHVREGALW* >Brasy1G282600.1.p pacid=40056512 transcript=Brasy1G282600.1 locus=Brasy1G282600 ID=Brasy1G282600.1.v1.1 annot-version=v1.1 MVSADYARNIVGIIGNIISFGLFLSPVPTFWRIIKAKDVEEFKVDPYVATLLNCMLWVFYGIPIVHPNSILVVTINGIGLLIEGTYLVIYFMYSPNKKRLRLMAMLGVEAVFMAAVICGVLLGAHTHEKRSMIVGILCVIFGAIMYASPLTVMGKVIKTKSVEYMPLPLSVVNFLNGCCWTAYALIKFDLYVTIPNGLGAIFGLCQLILYGCYYKSTPKKEKNVELPTVVNNNTVAGGNVSVTVER* >Brasy1G490500.1.p pacid=40056513 transcript=Brasy1G490500.1 locus=Brasy1G490500 ID=Brasy1G490500.1.v1.1 annot-version=v1.1 MGAVDGVLPGPQMRAAVAAMREAGYTEGTEDFVDELSPDARIPYAVDDAEGEGRDSEEEDGDEDRFRGTKETLKPWLDPRELARALEGWDPKEVAELEAAGIVWTPRLVCKLLRVFKKAATAWEFFCWVACHPGGGGGFAHDRHTVARMVTILARAGHVDLVDRLLAKVRADGILLPFATARLVVDFYGLSKKPDAAVRVFRDADASCGPVSKPNLALLCSSLLRTMAKCRRGRDAVVLLEEMMAAERGVVPDLQTFSGLMQSLAGAGDLSGVHRLFGLVRQCELQPDAHMYCVLVRAYCKRERAALALKLFDEMRGAGVAPDVGTKALLVKSLWKEGKLREAALVEERCEEIVAAGGKDGLPKAAPGHVWTVSATDLDKVYGIYSGCFGQPDTEALTEMNKP* >Brasy1G018900.1.p pacid=40056514 transcript=Brasy1G018900.1 locus=Brasy1G018900 ID=Brasy1G018900.1.v1.1 annot-version=v1.1 MPKKYITYAKLNVFPKIHYADLDKISHVYAELRRESSHGQGVPIIVRHIESIIRMSEAHAKMQLRSYVSQGDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLCTLVKDALHFEEIVSGSTTRLTHIEVKVDDLKNKAQEYEIYDLKPFFSSAHFSDNSFVLDEGRGIIRHPIAA* >Brasy1G299100.1.p pacid=40056515 transcript=Brasy1G299100.1 locus=Brasy1G299100 ID=Brasy1G299100.1.v1.1 annot-version=v1.1 MANRGTGFGGGGDFAGGGGGYNNYPAGRGGYGRGGFNSGNNFGGGRGGFDPTGGNPGYGQDRNFRGGGGFPSSREGPYDGGRGGGYEGGHEAGGYEDGRGGGFEAGREFAGYEGGRDGGGGFGGGRGSGSFDGSCGGSYGDYDGSGFHLGRGNSRNYDRHGGGGAGYHNKRRYEFRGGRNDGGRGRGSSTARGRGRGSAPGAHAQPGNQASTVGAVTAATESTPAAAASSSVAARDASVALGIVAAAPNPTSTAVGGVAAAAGSGELGVSPILATMHAVKAAVPAVAAAGPASRIAASSSAGRAAATTPALVGTGAAPQTAASAPTGGASSSGGVADLSAEGGGAGNPLKRRARCYRCNLSGHVSLDCETILCDFCESAEHASVVCPLLSAPKPQRC* >Brasy1G316300.1.p pacid=40056516 transcript=Brasy1G316300.1 locus=Brasy1G316300 ID=Brasy1G316300.1.v1.1 annot-version=v1.1 MVEQSRSRADELEGAEDLEQRSGLGWPWASQVASKEMWRWLSSCRRRLALDQELSSTKRDALRQGGGATNRGRRVEAGRRRRHKSPHAAATARNSRADALR* >Brasy1G265900.1.p pacid=40056517 transcript=Brasy1G265900.1 locus=Brasy1G265900 ID=Brasy1G265900.1.v1.1 annot-version=v1.1 MEYKRSSHVEEEDEMEEEDEMEEDDDEEEEEEDEEEEEMKGRHHHHHQHHYAPAATGGAPPPQLHAHGHVLVSSAPASSPSSLLESAAFSRPLLPPNSSLVSSPPGFLSPHHHHQQQQQQPHRRRAERERERTVESTGEQQRQRQQEPARNGVLGGGSSGPMPPPAAPAALALVTGGGAAAAGEPLPWRYRECLRNHAARLGAHVLDGCGEFMPSAPGEGSAAALACAACGCHRSFHRREPVVAAAAASPSPSPASAVVSPSATPRGANSNSRLMRLLLAPPHMQQKRRPPAPVVVAPGSSPMSAPAALAVAKSSSEELRPPPQHPPHPPHAQAAMAGSASAPPAPGKKRFRTKFTPEQKDRMLEFAHRVGWRIHKPDGGAVDAFCDQVGVSRRVLKVWMHNNKHLAKTSTSPSQPLPPPHHDPPAPPPHHHHHPAQHHQQHDA* >Brasy1G514000.1.p pacid=40056518 transcript=Brasy1G514000.1 locus=Brasy1G514000 ID=Brasy1G514000.1.v1.1 annot-version=v1.1 MAKWRQQGNGCMLFLLAALERKRVRTSEREKRVREGEGRLTSGRPWRRRRIRGGDGAGGDRIRGAVADDRRILSGEKTVARGKPWPGRRRRWRRARRMGCVPRRPASSCTSRTKTAAPRARTRRRVALERAADEGGGRARAEPWRGETESGEEEEEGGGGLGAGQCDGAVVLAGLQGSDPAAAAGRGRELGYAGSGGGEVRL* >Brasy1G100500.1.p pacid=40056519 transcript=Brasy1G100500.1 locus=Brasy1G100500 ID=Brasy1G100500.1.v1.1 annot-version=v1.1 MGQSQAKPTNPDAATHATRHPRGDQEETEAAGRRRSLTKPAAAMDTFFLSHGSPMVSIDETIPARKFFQSWLPAAVAGPGTPHAILVVSAHWETATPAVNVIRGKNDTIYDFGDFPRPMYQLKYPAPGAPDLAKKTKELLEQAGFGPVKEDHTRGLDHGAWVPLMFMYPEADIPVYQLSVQTRRDGAYHYNLGKALAPLREEGVLVLGSGSATHNLRKMGPSGSPVPKWASDFDTWLKDSLLDGRHEDVNRYEEKAPSAKVAHPSPEHFYPLHVALGAAGAECKAELVHHSWDEGSLSYASYRFTPKN* >Brasy1G178500.1.p pacid=40056520 transcript=Brasy1G178500.1 locus=Brasy1G178500 ID=Brasy1G178500.1.v1.1 annot-version=v1.1 MLAFLTFNSFMAVYRSKHDAATVVFVVTSYLDLVLLFCCLWLHDRATPGSAWRDRLKASVWTLTTLLTFSFAYMVVGTAAGLTLPVALLVWIIAAATGIGAFSAFFDRQLARANQPLDGLMLPPV* >Brasy1G276800.1.p pacid=40056521 transcript=Brasy1G276800.1 locus=Brasy1G276800 ID=Brasy1G276800.1.v1.1 annot-version=v1.1 MEPVPISRRLCAGLLALVAAATAMAAQGEAGLTMAARHEQWMAKFGRVYTDANEKARRQAVFGANARYVDAVNRAGNRTYTLALNQFSDLTDDEFAKTHLGSYREFRPETASIPKGVAPGNYGLAGAIPKSFDWRTKGAVTEVKSQGECGCCWAFAAVAATEGLVKIATGNLISMSEQQVLDCTAGNNTCEGGYMNDALSYVFASGGLQTEEGYGYKAEKGACRRDVAPNPAASVGHAEYMPLDGNEFLLQKLVARQPVVVAVESNGKDFKNYGGGVFTGSPSCGQKLDHFFTVVGYGFADGGKQKYWLVKNQWGTSWGEGGYMRIARGNSARNCGMTDTYVYYATMDPY* >Brasy1G027200.1.p pacid=40056522 transcript=Brasy1G027200.1 locus=Brasy1G027200 ID=Brasy1G027200.1.v1.1 annot-version=v1.1 MTVVAAAESRFHVLAVDDSVIDRKLIEMLLKTSSYQVTTVDSGSKALEVLGLRDEGNSSASSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLRRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLRRKQPQPQQKPEQAPDKPAEEAAAAAEVTVSGSSKKRKAAAAAMDQEGLGSPERTKPRLSSSSLAVET* >Brasy1G193300.1.p pacid=40056523 transcript=Brasy1G193300.1 locus=Brasy1G193300 ID=Brasy1G193300.1.v1.1 annot-version=v1.1 MRAGRVMAEGGNNNRIDLGAPLRSGRLPYHKADLKSGPVSHPGAVPFVWEQSPGQPKSVRTRRPPPASSSPPHPSVNGGGASPYHDALGERDRAAPYGVAAAAASRNGTAGRWVEAEAKKESVAELLQREEEEDVGDDDERFSDALDTLSRTESFTVNCSVSGLSGMPDRPAGAAAGAEAGGRGFMMDRFLPAAQAVAVGSPQYTFRKAGAAGATGNSGREHARAASANCRTGNDDDHARRAPVQLPYQHLPPNYLSCNYPRREELGQEVEEEDDDDCDVHSTRGFASKGCGLLPGLCVKSSLLLLNPMPVMKRGKVRGRGRGRGVPSKGRSQNAPSPLARSSQNNHPGCDSDRQSWEEVYKHKLEQKYIHQGEDRRSKLTSESNHLTFWSDSQTGDGSSPFHHSIGGDTSPYCKDIVLSPSRKADESFRMEDKDDKMSRSNGSSSLGKDHDHSSLVGSDHSSLKGSSSMSSGPDRRAHEDSENTHLALLLDTKLSLNSRYDSQLGGRQIVGNTIVEGQDVDPLTERITQVPEPALLMSSGNSESVKLDDRKTSTRDTSQHVQLHSKDNNVVKKQNMPLQYLLPLPVPKSPSESWLSRNLPSAKNKPPMPSFLGMQVQPKKQAPWASMHPKENDLKPSRPRQIRFADVVERPNYLDSEI* >Brasy1G231900.1.p pacid=40056524 transcript=Brasy1G231900.1 locus=Brasy1G231900 ID=Brasy1G231900.1.v1.1 annot-version=v1.1 MDATAAASGTSSGEARHHGDQQGKDGRPEKDAAGKKVPLLGMFRYADRLDVLLMVVGTVGAIGKGVSEPLISVLFGNVINSFGESTSSTVLRSVTKDVLSFIYLGIGTTVASFLQVSCWTMAGERQSAHIRSFYLKSVLRQDIAFFDTEMTTGEAVSRMSSDTVIIQGALGEKAGKLVQLSSGFIGGFIIAFTKGWLLTLVMLTSLPLVAIAGAVSAQLLTRASSKRLTSYSDAGDIVEQTIGSIRTVVSFNGEKKAMAMYNNFIKRAYKTVIEEGLINGFGMGSVFCILFSSYGLAFWYGGKLIIDKGYTGGTIITILFAVLTGATSLGNATPSVSAIAEGQSAAYRLFETIERKPDIDSDDTSGIVLENIKGDVELKDVYFRYPARSGQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVMIDGINIKNLRLDWIRGKIGLVSQEPLLFMTTIKDNIIYGKEDATLEEIKRAAELANAANFIDKLPDGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERVVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHYELVKATNGAYSQLIRLQETRGDKRHKIQDSGVPKSLSKSTSLSIRRSMSKDSFGNSNRYSFKNPLGLSVELHEDENTDVQKKDELTDGKALKKAPIGRLFNLNKPELPFLLLGSIAAAVHGLIFPIFGILMSRVIKSFYEPPDKLRKDSSFWALISVVLGIASLISIPAEYFLFGIAGGKLIERVRTLSFQNIVRQEVAWFDNPSNSSGALGTRLSVDALNVRRLVGDNLAIIVQSIATLITGFVIAFSADWRLAMVITCVIPLVGAQGYAQVKFLKGFSEEAKEMYEDASQVATDAVSSIRTVASFSAEKRVVTTYNKKCEALRKQGIRSGIVGGIGFGFSFLVLYLTYALCFYVGAQFVRQGKMTFADVFKVFFVLVLAAVGVSQASALASDATKARDSAVSVFSILDRKSKVDSSSDEGLTLENITGNIDFSSVSFKYPSRPDVQIFSDFTLHIPSRKTIALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKSIRISWLRDQMGLVGQEPVLLNDTIRANITYGKHGEVTEEEIMTVAKAANAHEFISSLPQGYDTSVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESEHIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHDALMRIKDGVYASLVELRSNSE* >Brasy1G347700.1.p pacid=40056525 transcript=Brasy1G347700.1 locus=Brasy1G347700 ID=Brasy1G347700.1.v1.1 annot-version=v1.1 MAADAASYPTATAGVDFDVIVVGAGIMGSCAAHAASSRGARVLLLERFAPLHSLGSSHGESRTIRDAYAKAHYPPMVRLARRLWRDAEAGAGRRVLTPTPHLTLGPRGDPALLAAVRNGGAAEADLAQKWGSAFRVPDGWVAAVSELGGGVLNATKAVAMFQSLAVNKGAIIKHNVEVVGLIRKEGETGIWVKTSDGEEFHAAKCIVTVGAWTRKLVGSVTGGALDLPIQPLHMLVLYWKIKPGRERELTAEAGFPTFSSYGDPHVYSTPSLELPGLIKINYDHGPPCDPDDGCREEWSSGGAAERVAGWIEEFMPGHVETAGGPVVQYSCLYSMTPDKDFVIDFLGGEFGEDVVIGAGFSGHGFKMGPAVGVILSEMAIDGEAKTAAEAGVELRHFSISRFQSKATPPETPTKA* >Brasy1G482000.1.p pacid=40056526 transcript=Brasy1G482000.1 locus=Brasy1G482000 ID=Brasy1G482000.1.v1.1 annot-version=v1.1 MVEAEKQRKPGDQAFWPKVVLKKWLNLRSKDAKFNADEEDDIEDGDQEDNCGCDGVETPGESFASVSPPFSSCDRRDDDSRPTAPYRLRRRNSETMRAQYIDTRELRIFVGTFNAAGVPPPPSSGPDIAEWLDIDIAGGGELADVYVLGFQEVVPLNAGNVFGAEDVGPAMAWEELIRDTLRRAGPRPRPRYRSQPATPARSFDAGAAELLFRGGAGTDTEDDDDDEEFGFPVLRAEEEEYVAVTPRKVGAMEDDPEDGDREEQRQQQQRALVKTLSKTDRIGLAWPEPPLDLLAKQHALTTASSFKSSRVAAEELAAAMADDPDDLDLGNCNKTKNTKGGGRSAYVRIVSKQMVGIFLTVWVRRELRKCVQNLRVSTVGVGAMGYIGNKGAVSASMSIYQTMFCFVCTHLSAGERPADLLKRNADVHEIHRRTRFATSDAAGLELPREIHDHERIFWLGDLNYRIEVPYERAHGLVAAMDWAGLAEKDQLKRELRKGRAFEGWAEGVLEFAPTYKYEIGPPAPAPGKKGQKSRYIGDDGKGGRRTPAWCDRVLSYGKGVRLLRYARSEMATSDHRPVAATYGAEVEVFCGRKLQRALTLTKAEVERGEAIVVVPPPPDLDF* >Brasy1G482000.2.p pacid=40056527 transcript=Brasy1G482000.2 locus=Brasy1G482000 ID=Brasy1G482000.2.v1.1 annot-version=v1.1 MVEAEKQRKPGDQAFWPKVVLKKWLNLRSKDAKFNADEEDDIEDGDQEDNCGCDGVETPDDDSRPTAPYRLRRRNSETMRAQYIDTRELRIFVGTFNAAGVPPPPSSGPDIAEWLDIDIAGGGELADVYVLGFQEVVPLNAGNVFGAEDVGPAMAWEELIRDTLRRAGPRPRPRYRSQPATPARSFDAGAAELLFRGGAGTDTEDDDDDEEFGFPVLRAEEEEYVAVTPRKVGAMEDDPEDGDREEQRQQQQRALVKTLSKTDRIGLAWPEPPLDLLAKQHALTTASSFKSSRVAAEELAAAMADDPDDLDLGNCNKTKNTKGGGRSAYVRIVSKQMVGIFLTVWVRRELRKCVQNLRVSTVGVGAMGYIGNKGAVSASMSIYQTMFCFVCTHLSAGERPADLLKRNADVHEIHRRTRFATSDAAGLELPREIHDHERIFWLGDLNYRIEVPYERAHGLVAAMDWAGLAEKDQLKRELRKGRAFEGWAEGVLEFAPTYKYEIGPPAPAPGKKGQKSRYIGDDGKGGRRTPAWCDRVLSYGKGVRLLRYARSEMATSDHRPVAATYGAEVEVFCGRKLQRALTLTKAEVERGEAIVVVPPPPDLDF* >Brasy1G482000.3.p pacid=40056528 transcript=Brasy1G482000.3 locus=Brasy1G482000 ID=Brasy1G482000.3.v1.1 annot-version=v1.1 MVEAEKQRKPGDQAFWPKVVLKKWLNLRSKDAKFNADEEDDIEDGDQEDNCGCDGVETPGESFASVSPPFSSCDRRDDDSRPTAPYRLRRRNSETMRAQYIDTRELRIFVGTFNAAGVPPPPSSGPDIAEWLDIDIAGGGELADVYVLGFQEVVPLNAGNVFGAEDVGPAMAWEELIRDTLRRAGPRPRPRYRSQPATPARSFDAGAAELLFRGGAGTDTEDDDDDEEFGFPVLRAEEEEYVAVTPRKVGAMEDDPEDGDREEQRQQQQRALVKTLSKTDRIGLAWPEPPLDLLAKQHALTTASSFKSSRVAAEELAAAMADDPDDLDLGNCNKTKNTKGGGRSAYVRIVSKQMVGIFLTVWVRRELRKCVQNLRVSTVGVGAMGYIGNKGAVSASMSIYQTMFCFVCTHLSAGERPADLLKRNADVHEIHRRTRFATSDAAGLELPREIHDHERIFWLGDLNYRIEVPYERAHGLVAAMDWAGGCWSSRRLTSTRSGRRRRRRGRRGRRAGTSGTTGRAGGGRRRGATGCCPTGKGCGCCGTRARRWPRRTTAPWRRLTAPRWRSSAGGSCSGR* >Brasy1G482000.4.p pacid=40056529 transcript=Brasy1G482000.4 locus=Brasy1G482000 ID=Brasy1G482000.4.v1.1 annot-version=v1.1 MVEAEKQRKPGDQAFWPKVVLKKWLNLRSKDAKFNADEEDDIEDGDQEDNCGCDGVETPDDDSRPTAPYRLRRRNSETMRAQYIDTRELRIFVGTFNAAGVPPPPSSGPDIAEWLDIDIAGGGELADVYVLGFQEVVPLNAGNVFGAEDVGPAMAWEELIRDTLRRAGPRPRPRYRSQPATPARSFDAGAAELLFRGGAGTDTEDDDDDEEFGFPVLRAEEEEYVAVTPRKVGAMEDDPEDGDREEQRQQQQRALVKTLSKTDRIGLAWPEPPLDLLAKQHALTTASSFKSSRVAAEELAAAMADDPDDLDLGNCNKTKNTKGGGRSAYVRIVSKQMVGIFLTVWVRRELRKCVQNLRVSTVGVGAMGYIGNKGAVSASMSIYQTMFCFVCTHLSAGERPADLLKRNADVHEIHRRTRFATSDAAGLELPREIHDHERIFWLGDLNYRIEVPYERAHGLVAAMDWAGGCWSSRRLTSTRSGRRRRRRGRRGRRAGTSGTTGRAGGGRRRGATGCCPTGKGCGCCGTRARRWPRRTTAPWRRLTAPRWRSSAGGSCSGR* >Brasy1G023300.1.p pacid=40056530 transcript=Brasy1G023300.1 locus=Brasy1G023300 ID=Brasy1G023300.1.v1.1 annot-version=v1.1 MAATVTTCRLQDTNKAEPDWSSLPDDLIGRISQCFLKTGDLDYYVGFRNAVCRDWRRATWIMLDNSIFDDGNITFVNLGTGRFLHKNVSHVINAFFFVGVTTGGLFVLGEREPPYQTRVLNPFTGAMVHFRAPIPAERVSAMAVTSSPTMMRVFVSGLDGDSVSWADQSSESFGDGHEEFVPARGNMRFPCMVPFAGDVYIGDRFEDGPIVSGKDVAAATAADEEEGVRLDQVQQLTTILGPDFPEACRMNLYYLVESEGELLAVMSNLPIYQNGPVVYKLDAVNKEIIPVSSIGSRALFVGSYRCLSVDAGKFPGVQAGSIYYVKDDYSLVKDHLLTDDCRTIEYWELEMLPPYGEDGDYGYYEYYPDGDEWVIRNEGWIQ* >Brasy1G300800.1.p pacid=40056531 transcript=Brasy1G300800.1 locus=Brasy1G300800 ID=Brasy1G300800.1.v1.1 annot-version=v1.1 METETPPTCQAVIPSKFSKDPWSVGVVAPAPSPTAAYAIVDWITRAPASTMARVWIRHRHPRLLQIDGTYIRQQIVGSQPLSHDMCALLVRRLCQIDAFSSKKSSGMRWRKFIEPDFIVYALANLDATKLVSTHNSFMDDASTFAVTSCRMYYMFAQLEDGWVAYGFDMLEKIIHVLDPVVGTGGFSNSRVQLHTVCSTRLITALFRSIKSFYDNWSCGTEGWQRRFPILMTEDFRR* >Brasy1G044600.1.p pacid=40056532 transcript=Brasy1G044600.1 locus=Brasy1G044600 ID=Brasy1G044600.1.v1.1 annot-version=v1.1 MVGVLSNRVDREDVAAGNHIYSWRAAYIYAHHGIYVGDGMVIHFTRAAGHEIGTGTFLDKFLFSSSPSTVDGPPCQKCGHLIKPQGVIMSCLDCFLDGGNLYLFDYAVSPSFFLAKARGGTCTMAPSDAADLVIHRAQHLLNNGFGMYSLFKNNCEDFAIYCKTELLVETSYSVGRSGQLASLTAAFSAVASSPLRFLTTSASGLAIVTSSMYCMGRYVSDMGVRRDVMKVPVERLVEHWVDNVVAQGATQMEAATPGGSSELPKELAQKESVL* >Brasy1G396600.1.p pacid=40056533 transcript=Brasy1G396600.1 locus=Brasy1G396600 ID=Brasy1G396600.1.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMEDFIDAKCLAAQLVLDILASVLLETFDIDLKDMAHALYGSELDFGDYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLVYLAMKAGYMEKVDELCQRYSLEGYANSLVSYVMFKAISVHRF* >Brasy1G245100.1.p pacid=40056534 transcript=Brasy1G245100.1 locus=Brasy1G245100 ID=Brasy1G245100.1.v1.1 annot-version=v1.1 MAGGATPEEEFLRQSFLARLEPPSPSLFLDLPPTPRAAAGEAGSSSSSFDDMVLPYISQLLMEEENMADHFFYLYPEHPALLRAQLPFAQILQENSSAGSAPTPSSSDSSSPADCRPELRAVQSSALPDGLLPGDQDMLNLAFLKGMEEARKFLPPNTGLPPVVAVAAEDGLLQKKRGNSEPEEAGRASKLMAPELEEEADGARELFDEMMFDEKEICMKGVQNLQVAEPVKKTRKGTGQRGRPRKAAADDEMVDLHTLLLQCAQAVSTDDQQGAGELLKKIKQNSSPTGHAAQRLAHYFSIGLEARLAGRGSTLYESLMARRTSVVDVLKANQLYMAACCCRKVSFLFSDKTIYNAVAGRSRLHIVDYGINLGLQWPALLRMLAAREGGPPEVRITGIDLPQPGFRGAYHIEDTGRRLSNFARVFGVPFKFRGIAAKRETVRPEDLNIDPDEVLVVISLCHFRHLMDENLGFDSPSPRDQVLNNIRKMRPNVFIHGILSGSYGATYFLTRFREALFHYSAHFDQLDVTVPRDNNGRLLLERDIFGPSALNVIACEGADRVERPETYKQWQLRNHRAGLSQLPLNPEVVKLVLDKVKDNYHKDFVVDEDQRWLVQRWKGRVLYALSAWVADDAA* >Brasy1G063300.1.p pacid=40056535 transcript=Brasy1G063300.1 locus=Brasy1G063300 ID=Brasy1G063300.1.v1.1 annot-version=v1.1 MGEVAALRQLVGQVQELWDLYGAVHAHGPLPRWYLLDFEHGSIKDDYCGGRTGYNSELLKIMEANQSPPRKRSRRDRNREKASCLNSAEAMNPDIWREFPEDLFQTVITRLPVAAIFRFRTVCRKWSSLLGSDNFSQQYSEVPHGMPWFFTITHENAINNVAMYDPSLKKWHHPSVPLAPANIVIPVASAGGLVCLLDLSHRNFYICNPLTQSLKEIPPRSVEAWSRVSVGMVLTGRTSNEGYKVMWLRNDGNHEVYDSVQKMWSQPGNFPPSINLPLALNFRSQPVAVGSTLYFMCSEPEGVLSYDVSTGIWIQFIIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMRMTCLGNSGLLMLSLKAKRMNRLVTYNLQSKEWQKVPDCMLPCSRKKQWIACGTVFSPCPSALA* >Brasy1G342200.1.p pacid=40056536 transcript=Brasy1G342200.1 locus=Brasy1G342200 ID=Brasy1G342200.1.v1.1 annot-version=v1.1 MDGAGSGRTSALPAPDLASLIWSRLGPPRSRFWRPRAPWPAASGTPPPSVAPAGRDGQQALRWRGRWCLLAGTCFAC* >Brasy1G580800.1.p pacid=40056537 transcript=Brasy1G580800.1 locus=Brasy1G580800 ID=Brasy1G580800.1.v1.1 annot-version=v1.1 MKLSCLVLVSLAAAALPSEGEKTCVYTVYVRTGSAWKAGTDSTIGVSLLGSDGTGIQIGDLERWGGGGGLMGYGHDYYERGNLDIFSGRGPCMARAPCWANVTSDGAGAHHSWYCNYVEVTVTGPHMGSAQQLFTVDQWLATDASPYRLYAVRDTCSSGQRRGWNFYLGSAFLAFFLGGFGLFLYH* >Brasy1G120700.1.p pacid=40056538 transcript=Brasy1G120700.1 locus=Brasy1G120700 ID=Brasy1G120700.1.v1.1 annot-version=v1.1 MARQALKRLSTGPREAKDEFIRLCSSGRLKDALRHPFHDVLWSDASIFAHIFRACRAIPLLRQLHAFAATSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEVVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVDKVVPVITSLVHMYSRCGCLGESKRVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMMNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPIRVDEVIWKTLLSACKTQKNFDMAERIAERVIESDPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVTRFHHFRDGTRSCGDYW* >Brasy1G120700.2.p pacid=40056539 transcript=Brasy1G120700.2 locus=Brasy1G120700 ID=Brasy1G120700.2.v1.1 annot-version=v1.1 MARQALKRLSTGPREAKDEFIRLCSSGRLKDALRHPFHDVLWSDASIFAHIFRACRAIPLLRQLHAFAATSGAAADRFTTNNLLLAYADLGDLPTARHLFEGIPKRNVMSWNILIGGYIKNGDLGSARELFDKMPKRNVATWNAMVAGLTNVGLDEDSLQFFLAMRREGMHPDEFGLGSVHAYVVRSGMDSDMCVGNSLAHMYMRCGCLAEGEVVLQALPSLTIVSFNTTIAGRTQNGDSEGALEYFSMMRGVGVAPDVVTFVSAISCCSDLAALAQGQQVHAQVIKAGVDKVVPVITSLVHMYSRCGCLGESKRVYDGYCGLDLFLLSAMISACGFHGQGHKAVELFKQMMNGGAEPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYNCIVDLLGRSGCLDEAEALILSMPIRVDEVIWKTLLSACKTQKNFDMAERIAERVIESDPRDSAPYVLLSNIRATSKRWGDVTEVRKIMREKDVRKEPGVSWVEHKGQVHQFCTGDKSHPRQGEIDEYLKEMMGKIRQCGYAPDMTMVFHDMEDEEKEVSLTHHSEKLAIAFAFLNLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVTRFHHFRDGTRSCGDYW* >Brasy1G363400.1.p pacid=40056540 transcript=Brasy1G363400.1 locus=Brasy1G363400 ID=Brasy1G363400.1.v1.1 annot-version=v1.1 MATPLIAGLTVAAAALAGRYSIQAWQAYKARPIVPRMRKFYEGGFQATMTRREAGLILGVRENVHPDKIKEAHKRVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSAF* >Brasy1G336800.1.p pacid=40056541 transcript=Brasy1G336800.1 locus=Brasy1G336800 ID=Brasy1G336800.1.v1.1 annot-version=v1.1 MATITVPQVVPSPVEDADALMKAFQGWGTDEQAVISILAYRDAEQRKQIRLAYQEKYDESLLQRLRSELSGDLQTAMCHWVLDPAERQAAMANAATKCIHEEYPVIVEIACASSPAELLKVKQAYHALYKRSLEEDVAASAPTGNLRSLLLALVSTYRYDGDEVDGGLARSEAELIQEAVKNGENGKADDGELIRILGTRSKAQLGATFSCFIDEHGTTLTKALRRGSDPTGYARALRTTVRCVWDANNYFVKVLRNAMHESAGTDEDSLTRVVVTHAEKDLKDIKDEFRKATSVALEQAVAKETSGDYKTFIVALVGSQ* >Brasy1G537900.1.p pacid=40056542 transcript=Brasy1G537900.1 locus=Brasy1G537900 ID=Brasy1G537900.1.v1.1 annot-version=v1.1 MGEQQAGAPWRLSASDFQFQIPERPKEVPPFPTSVFLRAHGTWASALLLRYYLHWHCIISFLAAFHPLPGLLLR* >Brasy1G007200.1.p pacid=40056543 transcript=Brasy1G007200.1 locus=Brasy1G007200 ID=Brasy1G007200.1.v1.1 annot-version=v1.1 MTFANRFGPRHSIPLVLDWAIRHGSCPPAQSGGDNESVPHGACISTHSHCVNASSGALGYFCNCSQGYAGNPYIPNGCTNINECESKDLFPCSGSTCHDEIGDYKCKCHFGRRGDGKSPKGCEAILPTAAIAVIGTIGAMALLAVLLIFLHTKREKRKLRDHFNKNGGQLLKSIKIEIFTEEKLKHITKNYRSSIGEGAFGKVYKGTTDDNTRVAVKRSIAINKDRQKDFANEITIQSKISHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLSLKTRIDIAINSADALTYMHSQASQKILHGDVKSGNIMLDDGFTPKVSDFGTSRLMSIDKVHTNWIIGDISYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYDKNNSLPINYVKASTAGSTKEMYDADIIVSGAEEDMKCLEEIGLVAVHCLEDDVNDRPTMSEVAEKLKMCKSRWLERHGQASELCT* >Brasy1G321700.1.p pacid=40056544 transcript=Brasy1G321700.1 locus=Brasy1G321700 ID=Brasy1G321700.1.v1.1 annot-version=v1.1 MDTEPLRIVICPWLAFGHLLPYLELAERLALRGHRVYYVSTPRNIARLPPLSPAAAPCVEFIKIPLPRVDGLPEGAESTHDVPTDKRDLHWKAFDGLGAPFTEFLAATCADEGRRPHWIITGLFNHWTAAAALDHKVPCAMLLPTAAMLAAFWLPSEQPAASACALPSYERVVKANAFTDDAASGMSVGQRYSLTLQRCTLGAIWSCIEWEPETFPLVAQLFGKPIVPLGLLPPSPEGGRADGTHATVRWLDAQPPNSVVYVALGSEVPLPVGRVHELALGLELAGTRFLWALRKPSGVPDDADVLPPGFLERTRGHGLVTMGWVPQISVLAHQAVGAFLTHCGRNSLVEGLLFGHPLIMLPIFGDQGPNARQMEGKKVGLQVARDDKDGSFDRQGIASAVRAVTLDQEARKVYVANALKMQEIVADIKLQDRYIDQFIEHLRSYINSDGNLKTTAAPTSG* >Brasy1G223500.1.p pacid=40056545 transcript=Brasy1G223500.1 locus=Brasy1G223500 ID=Brasy1G223500.1.v1.1 annot-version=v1.1 MGEEKMTPKPEAVELPGFVMSAEEAERAAAAAGVGTVEDLLPLLIPSAMRRARPPISRFPVGAVGLGESGRVYAGVNLEFRGLPLSQSVHAEQFLIANAAAAGETALRSIAVSHMPCGHCRQFLQEIRGAAGIRILVTSDAVDGCEPEWRTVASLLLRPFGPHDLLPKDAPLVLEPHDNPLGDPVEAAVANGFAAGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVHAGGCLESAAYNPTLGPVQTAIIAMVAAGGGPAGDVVAAALVEKDGAVVAQEAMARIFLAAVAPQASLHVYNYRSSDV* >Brasy1G036200.1.p pacid=40056546 transcript=Brasy1G036200.1 locus=Brasy1G036200 ID=Brasy1G036200.1.v1.1 annot-version=v1.1 MAMAQGSLVPAAAVLHIHMALLLGLAALASIPARAASVGVCYGMSGNNLPPASTVVGMLRDNGFTSVRLYAPDTAALTALAGTGIGVIVGAPNDVVPSLSTSAAFAAAWVRDNIAAHPYVSFKYLSVISGENTQHLVPAMENVLAALNAAGLGARVQVTTAISQATIAVHTPPSAGAFAEDAKPFLLPVLRFLARTGAPLLANLYPYFAYTYRAAGGIDVSFALFTADQGTVVQDGEYAYRNMFDATVDAVHAAMEKLLGGEEPSGGVNLVVSETGWPSAGGEAATVENARTYNQNLVDHVRKGTPRRPWTKMETYLFAMFNENLKEGGVEQNWALFYPSTDRVYPIDFGA* >Brasy1G117700.1.p pacid=40056547 transcript=Brasy1G117700.1 locus=Brasy1G117700 ID=Brasy1G117700.1.v1.1 annot-version=v1.1 MSSSSGALPQPRRTRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESTSTASAPNSGQAPLPRPAEPPADLESGPPARPNSALPPPPAAAATNAKPQQEQQQRPPPAPPARVRRRDSDGGRPNGQPVAAPLPQLPEEEDAPERLAHVKYELRDTPGAFPLVIYGFQHYISMLGSIILVPLVIVPAMGGSADDMAAVVSTVLLVSGITTLLHTLFGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGVFQVLLGYTGLMSLLLRLINPVVVSPTVAAVGLSFFSYGFTKVGSCIEIGVLQLMMVIIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGAYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHVLRASPWFRFPYPLQWGTPVFNWKMGLVMCLVSVIATVDSVGSYHASSLFVATRPPTAGIVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGSRKAVSFGAIVLLLLSLIGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIVVGLALFLSLSVPSYFQQYGLHPNTNSSVPTYFQPYNVASHGPVRTGSGGVDYVLNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSEAEEATGETSFVKDYALPFKIGRAFRWVKCMGL* >Brasy1G117700.2.p pacid=40056548 transcript=Brasy1G117700.2 locus=Brasy1G117700 ID=Brasy1G117700.2.v1.1 annot-version=v1.1 MSSSSGALPQPRRTRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESTSTASAPNSGQAPLPRPAEPPADLESGPPARPNSALPPPPAAAATNAKPQQEQQQRPPPAPPARVRRRDSDGGRPNGQPVAAPLPQLPEEEDAPERLAHVKYELRDTPGAFPLVIYGFQHYISMLGSIILVPLVIVPAMGGSADDMAAVVSTVLLVSGITTLLHTLFGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGVFQVLLGYTGLMSLLLRLINPVVVSPTVAAVGLSFFSYGFTKVGSCIEIGVLQLMMVIIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGAYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHVLRASPWFRFPYPLQWGTPVFNWKMGLVMCLVSVIATVDSVGSYHASSLFVATRPPTAGIVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGSRKAVSFGAIVLLLLSLIGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIVVGLALFLSLSVPSYFQQYGLHPNTNSSVPTYFQPYNVASHGPVRTGSGGVDYVLNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSEAEEATGETSFVKDYALPFKIGRAFRWVKCMGL* >Brasy1G117700.3.p pacid=40056549 transcript=Brasy1G117700.3 locus=Brasy1G117700 ID=Brasy1G117700.3.v1.1 annot-version=v1.1 MSSSSGALPQPRRTRPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESTSTASAPNSGQAPLPRPAEPPADLESGPPARPNSALPPPPAAAATNAKPQQEQQQRPPPAPPARVRRRDSDGGRPNGQPVAAPLPQLPEEEDAPERLAHVKYELRDTPGAFPLVIYGFQHYISMLGSIILVPLVIVPAMGGSADDMAAVVSTVLLVSGITTLLHTLFGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGVFQVLLGYTGLMSLLLRLINPVVVSPTVAAVGLSFFSYGFTKVGSCIEIGVLQLMMVIIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGAYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHVLRASPWFRFPYPLQWGTPVFNWKMGLVMCLVSVIATVDSVGSYHASSLFVATRPPTAGIVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGSRKAVSFGAIVLLLLSLIGKVGAFIASIPDVMEAPGTVL* >Brasy1G164000.1.p pacid=40056550 transcript=Brasy1G164000.1 locus=Brasy1G164000 ID=Brasy1G164000.1.v1.1 annot-version=v1.1 MTDIALGLFGKFIEFYKLGGLGLPNLDAFGRALRLRWLWYEWTAPDRPWVGTPVPCDISDREHFAAATSVTIGNGTKASFWNSNWLDGTPLRSAFPLLYNHSRRKARSVAQAITNHQWVRDLRHDLTPPLLKDFIKLWSLIHDAPPLRANSPDSIRWKLTPDGSYSAKSAYLLHFLGRLKRPPPYRVRSLCLFVLVPGSTH* >Brasy1G093300.1.p pacid=40056551 transcript=Brasy1G093300.1 locus=Brasy1G093300 ID=Brasy1G093300.1.v1.1 annot-version=v1.1 MARALLRTALFCPRLPARLPSRASLPQLRFLSVSFSASAAPTSSHGPSSDGGKGEDDGKGGSPYDDYLGMSDDELMGQCDMGTFKASGPGGQHRNKRESAVRLRHHPTGIVAQAVEDRSQHKNRSSALSRLRTLIALKVRRPINLEDYTPPVELLQILPLKSTIRAKDVGNQIGPNNPKFSPGMQALLDLLFAVEGSVSEAAKILGLSTGALSRLILSDDSLRAAANELRASKGLKPLR* >Brasy1G093300.2.p pacid=40056552 transcript=Brasy1G093300.2 locus=Brasy1G093300 ID=Brasy1G093300.2.v1.1 annot-version=v1.1 MARALLRTALFCPRLPARLPSRASLPQLRFLSVSFSASAAPTSSHGPSSDGGKGEDDGKGGSPYDDYLGMSDDELMGQCDMGTFKASGPGGQHRNKRESAVRLRHHPTGIVAQAVEDRSQHKNRSSALSRLRTLIALKVRRPINLEDYTPPVELLQILPLKSTIRAKDVGNASFIRSSICC* >Brasy1G132300.1.p pacid=40056553 transcript=Brasy1G132300.1 locus=Brasy1G132300 ID=Brasy1G132300.1.v1.1 annot-version=v1.1 MDKPSSGPYQPSASGDHPRSSSSGSSWNYSVDNSNQNAAYYDPQRDVSVSGSTQNVTNGVPHVIQPVMGTTNATNTYEHYSNSVQPGYNATQYPSYYYPQSANSSSVQQGVNQSSGAVYQPLTSFQNSGSYVGPTSNTYYNAGADQTTPGYATNNNYYYQNNAWAGGSSGDIHAQTYQTYTPSDTNAVQSSTSLPTNSIHYPQQYNQWSHYYDQSAQNSGGIAVSGSSASDTKASSAGSGYAYPSTQPPPPGTTQWKGDGVAPTAPPPQAAGITGFQSQYINQVPGASGFQSQHVNQAPCAPGFQNQHVTQAPGAPRFQNHQVNPEPGAPGFEYHYTNQATAVPVFQNQYVNQAPACQQSSANYSQLPLSNQADQQKPLHAQGPSSNVHSVNHVYENSQPILQGSATSVTSRVNKVQIPTNPRIAPGFPMAIPKVEKKNLVADSSIKPAYVGVSMPKNDVKAAQDGHGATMEGSFPVSLCTYVERNLARSKDDAQRSAAKSILKEIITKATADGTLHTKNWDIEPLLALPENVTGTNMTSTLKDSNPFSFSTSRRSPSRRTKSRWEPVAEEKITDEVEMVSKDSAKSNVSSTWETAKRPGNSWDLRKCPQSRQAPLSQWNQGPSKKQRIGGNSNLTKNGNASSDSDKEQDLTKYYASSIALNNSPEEKKRREHRSKRFERGQGAASKSRSSIPQKDGIANVYARRAMSMVLNRSNGDGASLAVEDLDWDALTIKGTCQEIEKQYLRLTSAPDPATVRPEDVLEKALHMVETSEKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYEIHARLALQAGDLSEYNQCQSQLKRLYGEGIQGCHLEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKLDGPVKHALAVHSAVSSGNYVMFFKLYKKAPGLSSCLMDLYVERMRFEAIKCMSKSYRPTVPVRYAARVLGFTRVDEVCEAKVADGLEDCTEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARAS* >Brasy1G501900.1.p pacid=40056554 transcript=Brasy1G501900.1 locus=Brasy1G501900 ID=Brasy1G501900.1.v1.1 annot-version=v1.1 MTSSSSAASSLHITITTNPSSSTITNSTNANNPRPHHPHHHHHPSQGSARPAAANNNSGGGGGGGGGSSSTNQACAACKYQRRKCNPDCPLAPYFPADQQRRFLNAHRLFGVSNILKTLRRIKPELCDAAMNALIYQAEMRAIDPVGGCCRIILDLENTFELESAELAALLHHLELCRQAAAASGVPPPDVMDAADLDLEVTSSNLQPSLAMDAVVDIDTLYVGQEAIRDNNADHGNNNNSSPQDHGGEQHQQQQQLYDYFYYETTNNGAGAGEDASSNKPGSVDINVDVMQHFDYDSGCDAVDDHSHKLAVELAPMMSSGGLGQHHQLEEEHYGQIDQKEYEMKVASFVDAFDVNADIAATVKEELDQEEDANNNMALAEESQLAESSHCRLGLGFSSL* >Brasy1G334000.1.p pacid=40056555 transcript=Brasy1G334000.1 locus=Brasy1G334000 ID=Brasy1G334000.1.v1.1 annot-version=v1.1 MDSPPGSAGGGGLTAGIGGRSTATHSPSASSPRLLRFARVPPTHASFLPPAAGAPPAPASPAAAGAPPNPGPPAVAGAHPPPTPASRALIPRLPALGPPVRPHHRNPPPPGAPGDYWIVLPSLLLRLRERWRREANGDDLAVMETLRAKCMGAGVLPAAAPGDDEVAYVRRCFEYFRQISVSRAPHDQSPLRPPSRLRLPARARSAATPLRPHDLAPPRPRSRPHARARTATPSRPPRRSRSRRHVLAPPRRDPSPSRPNHTDEIAIVAAGKGDVDHAVRDEVEAEEEPEVSLGPTPVLSPVWVEKRRQRLNFFLIQRLLATTSHPRVLLLFNQSQGS* >Brasy1G350400.1.p pacid=40056556 transcript=Brasy1G350400.1 locus=Brasy1G350400 ID=Brasy1G350400.1.v1.1 annot-version=v1.1 MLQCLVVWYCGARDGDSWVESGHGAVGRVEHGGSHRVWRANRARASATATLSFDVVVWNSNGNLFICLSPDPSRYDFAYVIVNQTYLLDIITYDRLCIPFYLFSRDLDIRPR* >Brasy1G127200.1.p pacid=40056557 transcript=Brasy1G127200.1 locus=Brasy1G127200 ID=Brasy1G127200.1.v1.1 annot-version=v1.1 MASAFDSSPDGVASAGLLGMNRGALSFVSQAGTRRFSYCISDRDDAGVLLLGHSDLPTFLTLNYTPLYQPSLPLPYFDRVAYSVQLLGIRVGSKPLPIPASVLAPDHTGAGQTMVDSGTQFTFVLGDAYAALKAEFSRQSTPFLRALDEPGFAFQGAFDTCFRVPRGKSPPARLLPSVTLRFNGAEMVVGGDRLLYKVPGERRGGAKAEDAVWCLTFGNADMVPIMAYVIGHHHQMNLWVEYDLERGRVGLAPVRCDVASQRLGLML* >Brasy1G559300.1.p pacid=40056558 transcript=Brasy1G559300.1 locus=Brasy1G559300 ID=Brasy1G559300.1.v1.1 annot-version=v1.1 MNLLDNKLTISRDKAPKLTNDKANRGRLVDVDFSRHRLHSSRRRLEEPTQAGDIGRRLKLLQPRAVVDLDAAASTRGQLHPGDRGTRSQKSPLVGNSRKRRRLSPEPTPSSISIRPLPAAASPSLGPHRPLAAALRPLNRATVTSGIRRSSLAGTDATLGLDLAAPASFVPESPPTPASSAAGSAASGLLNRPDSPPGRPPGLLNRPESPAGRPPATCFTVRFNS* >Brasy1G043200.1.p pacid=40056559 transcript=Brasy1G043200.1 locus=Brasy1G043200 ID=Brasy1G043200.1.v1.1 annot-version=v1.1 MGRMSYNHPVLFYDNTTGEVASFSTRFTFAISLHNDDGTRGDGMAFFLASYPSTIPAGDAGGGNLGLHIGEGSANGTSRFIAIEFDTFNNTFDPIGVVDHIGVDIDTVRASVITTSLPTFSLNGTMTATITFNSSTRMLAATLVFDERPDLGTVGVRSKLPSPLTSLLPSEVAVGFSAATGVTFELHQILSWSFNSTLIPQLPPPASNSSSPPPSFPPPKSNSPPQSFPPPKSNSPPSLPPPKREGISIAGHIISRLVLIITVCAIVGAVVAVAAAWSRSCIVWRLRKTEDDSSMAELVAIAGPRRFRYRDLSSATRKFSERNKLGEGAFGIVYKGTFSQDGEEEQLAVKKMKARHKRAPPGFRAELVTAGRTRHGNLVKLEGWCYRRNRNFIDFMCWSKQRKNLELFLVYELVPNGNLHEHLHTKDEVLSWASRFKIAKGIARALHYLHHEFDRYILHRDIKPCNILVDNDFNAKLADFGLSRVADEGNGTMEITAEGTVEYMDPECKRDDIEKVRFNRRCDVYSFGLVLLKIACTGRSKERVRELLQGRNSGAAAADAELLNEVADPRLNGDFDVAQMHRVTVLGLCCSFPNGAQRPTMQAVMNVLEHDDAPLPDLTPLV* >Brasy1G249400.1.p pacid=40056560 transcript=Brasy1G249400.1 locus=Brasy1G249400 ID=Brasy1G249400.1.v1.1 annot-version=v1.1 MADGADGRRSSRRRRRKMMLSKLYTFAACARRPSAVDDEGSRIGGPGFSRVVHANDAEAAAEAAAAGGYRSNYISTTKYSAVTFVPKSIFEQFRRVANIYFLVSACLAFTPLAPFQGATAVAPLVVVILAAMVKEAVEDWRRKQQDIEVNNRKAKVFQDGAFQHTKWTNLRVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEVTSHLQNDESFAGFGAVIRCEDPNAHLYSFVGNIEVEEQQYPLSPQQLLLRDSKLRNTDYVYGTVIFTGHDTKVMQNATSAPSKRSKIEKKMDWTIYLLLSGLVLISVIGSVFFGIATKDDMLDGRMKRWYLRPDDTTIIFSPNKAATAAALHFLTAMMLLGYFIPISLYISIELVKLLQALFINNDIHMYHEESDTPARARTSNLNEELGQVYTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKKKGSPLIADMEIGVEGFQPEGKTAVKGFNFTDERVMDGNWVNQAHSDVIEMFFRLLATCHTCIPEVDEESGKISYEAESPDEAAFVVAARELGFTFYQRTQEGVSLHELDPLSGEHVDRSYRILHVLEFNSTRKRMSVIVKDEEGRTFLFSKGADSVMFERLSSSASSYREATQQHINEYADAGLRTLVLAYRQLEENEYAKFDRKFTAAKNSVSADRDELIEEAADLLERELILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQITITLDTPHIVALEKGDDKAAVTKASKDSVVNQINEGKKLINASASESFALIIDGKSLTYALKDDTKGMFLDLAICCGSVICCRSSPKQKALVTRLVKACTGKVTLAIGDGANDVGMIQDADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGLTLFLYEAYTSFSGQAFYNDWSMSLFNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRILGWMLHGVVSAVIIFFLTTASLKYQAFRRGGEVIDLSTLGATAYTCVIWAVNIQMAITVNYFTLIQHICIWSGIALWYLFLLAYGAITPSFSTSFFMVLTEALGGAPSYWVVTLLVSTAALVPYFTLSVVKTWFFPDYHNKIQWLQHKAPADDPEAELGRVLRQFSVRSTGVGVSARRDAKLVRLNSKIYHDNSSQADDHFT* >Brasy1G202200.1.p pacid=40056561 transcript=Brasy1G202200.1 locus=Brasy1G202200 ID=Brasy1G202200.1.v1.1 annot-version=v1.1 MTTLLRRILCTTPALSHSIPFSTSSRRTPHRFRRSHRAPSRPPSAEAVSAAIASLPSRLTPAVLASSLASTSDARLLFPLLTHSLGLPTFRPDPAPFLVAIKRLGTADLYHEFDRTCALFFSLLPSLPSPGPLLRAALYFYCQFRKLGKAFHVYTLMRSSADPAARPAADTYHALFTALVSRGGNDTMVHYMYMDTVSALFRQMLEEGIPPDTRSLNVLVRGYAQSLHLNDALRVFHQMGPSYGCEPDAFTYSYLVHGLSAQGRTKNARELFEEMRGRGMVPTEPSCNAFVSALAVAGEVGEAERVMWEMARAGTVVDRITRTALVEELGRAGKREDADRVVREMEEMGIVGVAERRALLCSVHDEDGGEERLDVDESSARGGHWRRRSG* >Brasy1G395000.1.p pacid=40056562 transcript=Brasy1G395000.1 locus=Brasy1G395000 ID=Brasy1G395000.1.v1.1 annot-version=v1.1 MAAPPPVLTVVVEKGPRAGETRHCRAGCALRVGRVVKGNDLAVRDAGASQQHLAIRFLPPPAAAAVWAVSDLGSSNGTFLNGVPLVPSVPSPLSHGDLIKVGDSTVLAVFIAPDSDPNPVANPSQRRSSRRAAAVVPVVVEEKPSVVTRRGSRKKAPQAAEPPRVEKEEQDAAAVVVVDERPQVVTRRGGRKKLVEEGPLGDREDTAEAPRLGEQKKAEEPSEPENDDEEKEEATVVTRRGLRKKAVETPKPKEEPAVVTLRGLRKKAVETPKPEKEEATVVTRHSLRKKAIETPEPAKEEAPVVTRRGGRKKNEVIVAPLPLPPRTRSTRSRGTEDSARNTVLEDASEEQGGNELAESRAQPARPLASTAVNDDKEQMRDKMAAWDGQVEVIAEALEEKVPKRRVCAQYAASDNCTNGAAAEPSEEMEEFAEATCRGRQNKAIEPEREEKEEATVVLRRGRQKKAVEAPEETEGKEVLEVTHRGGRKKNGAAVAPVPLPSKRRPRRVQRSVTSSSAMKTVLADDEVVQGGNELAASRARAGNPLTSMTANGGEEQKGDKEAAGHGGVEGTGRTLEEQVPKGRASAKLAASNNGVYAAVAELTEEIELAAEAPRRGRQKRTVEPSVHEKEEMDAVAVTHHDGQKKAAVVRRHVGRKKDAAIVAPPPLPPKMRSEKGQGRVTRASARNNVIEEEDKVEQEGNGVAAAREQAGNPSIVTSVHGGVDKEVKGSTDVLEDEASKGRASVQHVSSDNRGQEEQGGAHRSSRDDSGSNGIPNTTSKYREDRKLKAYSTPFDVRLDRALKKYSA* >Brasy1G395000.2.p pacid=40056563 transcript=Brasy1G395000.2 locus=Brasy1G395000 ID=Brasy1G395000.2.v1.1 annot-version=v1.1 MAAPPPVLTVVVEKGPRAGETRHCRAGCALRVGRVVKGNDLAVRDAGASQQHLAIRFLPPPAAAAVWAVSDLGSSNGTFLNGVPLVPSVPSPLSHGDLIKVGDSTVLAVFIAPDSDPNPVANPSQRRSSRRAAAVVPVVVEEKPSVVTRRGSRKKAPQAAEPPRVEKEEQDAAAVVVVDERPQVVTRRGGRKKLVEEGPLGDREDTAEAPRLGEQKKAEEPSEPENDDEEKEEATVVTRRGLRKKAVETPKPKEEPAVVTLRGLRKKAVETPKPEKEEATVVTRHSLRKKAIETPEPAKEEAPVVTRRGGRKKNEVIVAPLPLPPRTRSTRSRGTEDSARNTVLEDASEEQGGNELAESRAQPARPLASTAVNDDKEQMRDKMAAWDGQVEVIAEALEEKVPKRRVCAQYAASDNCTNGAAAEPSEEMEEFAEATCRGRQNKAIEPEREEKEEATVVLRRGRQKKAVEAPEETEGKEVLEVTHRGGRKKNGAAVAPVPLPSKRRPRRGGNELAASRARAGNPLTSMTANGGEEQKGDKEAAGHGGVEGTGRTLEEQVPKGRASAKLAASNNGVYAAVAELTEEIELAAEAPRRGRQKRTVEPSVHEKEEMDAVAVTHHDGQKKAAVVRRHVGRKKDAAIVAPPPLPPKMRSEKGQGRVTRASARNNVIEEEDKVEQEGNGVAAAREQAGNPSIVTSVHGGVDKEVKGSTDVLEDEASKGRASVQHVSSDNRGQEEQGGAHRSSRDDSGSNGIPNTTSKYREDRKLKAYSTPFDVRLDRALKKYSA* >Brasy1G389100.1.p pacid=40056564 transcript=Brasy1G389100.1 locus=Brasy1G389100 ID=Brasy1G389100.1.v1.1 annot-version=v1.1 MAEGVLASGIVKAVLSKFGSSVWDELALLRSFRADLKAMEDEFATIRGVLADAEARGGGGDSAVRDWLRRLKDLAHEIDDFLDACHSDLRAARRRRGRGNPACGSTADRCIFRSVVMAHRLRALRRKLDAVAAGRDRLRLNPNVSPPAHPAAPPKRETISKVDEAKTVGRAADKEKLMKLVLDAASEEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSVDFSLRRLIQPIVSASKLKRDLTSLEAIAGFLSETFTGKKYLLVLDDVWSENQEEWERLKLLLKDGKRGSKIIVTTRSRKVGMMVRTVPPFVLKGLSDDDCWELFKGKAFEDREDDLHPKLVKAGKEIVRKCGGVPLAAKALGSMLRFKRNEESWTAVKDSEIWQLDKEETILPSLKLTYDQMPPGLKQCFAHCAVFPRNHEFYRDKLIQQWIALGLIEPAKYGCQSVTDKANDYFEHLLWMSFLQEVEEHDLSKKELEEDGNVKYKIHDLVHDLAQSVAGDEVQMINSKNVKGHTEACHYASLADDMGVPKVLWSMLHRVRALHSWGYALDIQLFLHFRCLRVLDLRGSQIMELPQSVGRLKHLRYLDVSSSPIRTLPNCISRLHNLQTIHLSNCTNLYMLPMSICSLENLETLNISSCHFHTLPDSIGHLQNLQNLNLSFCHFLCSLPSSIGELQSLQTLNFKGCANLETLPDTVCGLQNLQFLNLSRCGILRALPENIGNLSNLLHLNLSQCSDLEAIPKSIGCITRLHTLDMSHCSSLSELPGSIGGLLELQTLILSHHSHSLALPITTSHLPNLQTLDLSWNIGLEELPASIGNLHNLKELILFQCWNLRELPESITNLTMLENLSLVGCEELAKLPEGMAGITNLKHLKNDQCRSLERLPGGFGKWTKLETLSLLIIGDGYSSIAELKDLNLLAGFLRIECCSHKNDLTTDAKRANLRNKSKLGNLALAWTSSCSFDDLKNVETFIEVLLPPENLEVLEIDCYMGTKFPSWMMKSMESWLPNITSLSLGNIPNCKCLPPLGHIPYLQSLELRCISGVRSMGSEILEKGQKNTLYQSLKELHFEDMPDLEIWPTSLAMDSEDSQQEVFMFPVLKTVTASGCPKMRPKPCLPDAIADLSLSNSNEILSVGGMLGPSSSKSASLLRRLWIRQCYVSSNDWNILQHRPKLEDLTIEYCERLHVLPEAIRHLSMLRKLKINNCTDLEVLPEWLGDLVAIEYLEISCCQKLVSLPEGLRCLVALEEFIVSGCSSVLIENCRKDKGKDWFKICHIPSILIS* >Brasy1G389100.2.p pacid=40056565 transcript=Brasy1G389100.2 locus=Brasy1G389100 ID=Brasy1G389100.2.v1.1 annot-version=v1.1 MAEGVLASGIVKAVLSKFGSSVWDELALLRSFRADLKAMEDEFATIRGVLADAEARGGGGDSAVRDWLRRLKDLAHEIDDFLDACHSDLRAARRRRGRGNPACGSTADRCIFRSVVMAHRLRALRRKLDAVAAGRDRLRLNPNVSPPAHPAAPPKRETISKVDEAKTVGRAADKEKLMKLVLDAASEEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSVDFSLRRLIQPIVSASKLKRDLTSLEAIAGFLSETFTGKKYLLVLDDVWSENQEEWERLKLLLKDGKRGSKIIVTTRSRKVGMMVRTVPPFVLKGLSDDDCWELFKGKAFEDREDDLHPKLVKAGKEIVRKCGGVPLAAKALGSMLRFKRNEESWTAVKDSEIWQLDKEETILPSLKLTYDQMPPGLKQCFAHCAVFPRNHEFYRDKLIQQWIALGLIEPAKYGCQSVTDKANDYFEHLLWMSFLQEVEEHDLSKKELEEDGNVKYKIHDLVHDLAQSVAGDEVQMINSKNVKGHTEACHYASLADDMGVPKVLWSMLHRVRALHSWGYALDIQLFLHFRCLRVLDLRGSQIMELPQSVGRLKHLRYLDVSSSPIRTLPNCISRLHNLQTIHLSNCTNLYMLPMSICSLENLETLNISSCHFHTLPDSIGHLQNLQNLNLSFCHFLCSLPSSIGELQSLQTLNFKGCANLETLPDTVCGLQNLQFLNLSRCGILRALPENIGNLSNLLHLNLSQCSDLEAIPKSIGCITRLHTLDMSHCSSLSELPGSIGGLLELQTLILSHHSHSLALPITTSHLPNLQTLDLSWNIGLEELPASIGNLHNLKELILFQCWNLRELPESITNLTMLENLSLVGCEELAKLPEGMAGITNLKHLKNDQCRSLERLPGGFGKWTKLETLSLLIIGDGYSSIAELKDLNLLAGFLRIECCSHKNDLTTDAKRANLRNKSKLGNLALAWTSSCSFDDLKNVETFIEVLLPPENLEVLEIDCYMGTKFPSWMMKSMESWLPNITSLSLGNIPNCKCLPPLGHIPYLQSLELRCISGVRSMGSEILEKGQKNTLYQSLKELHFEDMPDLEIWPTSLAMDSEDSQQEVFMFPVLKTVTASGCPKMRPKPCLPDAIADLSLSNSNEILSVGGMLGPSSSKSASLLRRLWIRQCYVSSNDWNILQHRPKLEDLTIEYCERLHVLPEAIRHLSMLRKLKINNCTDLEVLPEWLGDLVAIEYLEISCCQKLVSLPEGLRCLVALEEFIVSGCSSVLIENCRKDKGKDWFKICHIPSILIS* >Brasy1G389100.3.p pacid=40056566 transcript=Brasy1G389100.3 locus=Brasy1G389100 ID=Brasy1G389100.3.v1.1 annot-version=v1.1 MAEGVLASGIVKAVLSKFGSSVWDELALLRSFRADLKAMEDEFATIRGVLADAEARGGGGDSAVRDWLRRLKDLAHEIDDFLDACHSDLRAARRRRGRGNPACGSTADRCIFRSVVMAHRLRALRRKLDAVAAGRDRLRLNPNVSPPAHPAAPPKRETISKVDEAKTVGRAADKEKLMKLVLDAASEEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSVDFSLRRLIQPIVSASKLKRDLTSLEAIAGFLSETFTGKKYLLVLDDVWSENQEEWERLKLLLKDGKRGSKIIVTTRSRKVGMMVRTVPPFVLKGLSDDDCWELFKGKAFEDREDDLHPKLVKAGKEIVRKCGGVPLAAKALGSMLRFKRNEESWTAVKDSEIWQLDKEETILPSLKLTYDQMPPGLKQCFAHCAVFPRNHEFYRDKLIQQWIALGLIEPAKYGCQSVTDKANDYFEHLLWMSFLQEVEEHDLSKKELEEDGNVKYKIHDLVHDLAQSVAGDEVQMINSKNVKGHTEACHYASLADDMGVPKVLWSMLHRVRALHSWGYALDIQLFLHFRCLRVLDLRGSQIMELPQSVGRLKHLRYLDVSSSPIRTLPNCISRLHNLQTIHLSNCTNLYMLPMSICSLENLETLNISSCHFHTLPDSIGHLQNLQNLNLSFCHFLCSLPSSIGELQSLQTLNFKGCANLETLPDTVCGLQNLQFLNLSRCGILRALPENIGNLSNLLHLNLSQCSDLEAIPKSIGCITRLHTLDMSHCSSLSELPGSIGGLLELQTLILSHHSHSLALPITTSHLPNLQTLDLSWNIGLEELPASIGNLHNLKELILFQCWNLRELPESITNLTMLENLSLVGCEELAKLPEGMAGITNLKHLKNDQCRSLERLPGGFGKWTKLETLSLLIIGDGYSSIAELKDLNLLAGFLRIECCSHKNDLTTDAKRANLRNKSKLGNLALAWTSSCSFDDLKNVETFIEVLLPPENLEVLEIDCYMGTKFPSWMMKSMESWLPNITSLSLGNIPNCKCLPPLGHIPYLQSLELRCISGVRSMGSEILEKGQKNTLYQSLKELHFEDMPDLEIWPTSLAMDSEDSQQEVFMFPVLKTVTASGCPKMRPKPCLPDAIADLSLSNSNEILSVGGMLGPSSSKSASLLRRLWIRQCYVSSNDWNILQHRPKLEDLTIEYCERLHVLPEAIRHLSMLRKLKINNCTDLEVLPEWLGDLVAIEYLEISCCQKLVSLPEGLRCLVALEEFIVSGCSSVLIENCRKDKGKDWFKICHIPSILIS* >Brasy1G362700.1.p pacid=40056567 transcript=Brasy1G362700.1 locus=Brasy1G362700 ID=Brasy1G362700.1.v1.1 annot-version=v1.1 MEDAWRRTAMAAAVHSLAVCYRMAEEAADGPMDGARMKLLAAAAAARTHFLDLNVAGHDTGAEQAVAAAMAATARIGLAVGRMKRADNVRTACGSVFSLCAPHVGAAPRWHEWRHHRTAASGHASMALRRLHSAWSRGLAAMEILFMLQDREPLSLVWVAWGPAALQLQRLAQEELRKAQDAVRLMQRALILQFAAAQRLLLPAD* >Brasy1G502300.1.p pacid=40056568 transcript=Brasy1G502300.1 locus=Brasy1G502300 ID=Brasy1G502300.1.v1.1 annot-version=v1.1 MALSSGGLFFKILVFLCFIRLSQGRNNSATQDLPPLRKASSFSEMQHETYDYIIVGGGTAGCPLAATLSKKYKVLLLERGGSPYGNRNISYLENFHICLADESPDSPSQGFISTDGVINARARVLGGGTCINAGFYSRANQRFVQDAGWDEELVNQSYPWVEERVVHWPNIAPWQAALRDGLLEAGVSPYNGYSYDHLSGTKVGGTIFDETGYRHTAADLLAAGNPANLRVLLHASVNKIIFKMSEGHRPNAIGVQFKDENGGDQQAFLIQKRRSEVIVSAGAIGSPQLLLISGIGPRSELKKHNISVVLHSEHVGKGMSDNPMNSVFIPTENPPKQSLIETVGITDNGVFIEASSGFSQTADSIHCHHGIMSAEIGQLSTIPPNERSLEAVRKYVQNKNSLPKEVFHGGFILSKIDGPLSTGNLVLVDTDANSNPNVTFNYFKHPQDLRRCVYGIKTIERIMHTNTFSNFTPKGAQYPMEKLLNMSVTANINLIPKHTDDSTSFEQFCKDTVVTIWHYHGGCHVGKVVDQHYRVIGTSGLRVIDGSTFSRSPGTNPQATVMMMGRYMGLKILRERLGQAAGV* >Brasy1G337300.1.p pacid=40056569 transcript=Brasy1G337300.1 locus=Brasy1G337300 ID=Brasy1G337300.1.v1.1 annot-version=v1.1 MREEQHPSTQSLSPLYSSTAVDHPPPPALTNLPRSSTRQADTHAAASPPQEPFPGSIGRTPPKDRIVRTLILVAAPMGCGQSKISQEEAVCRCRDRKRLMGDAVQARNAFAAAHSSYTVLLKSTGGALSDFAHGESPDPGMMASNSHQAAVAAAAAAASVSAPPPPTTAAVLIPPSPPPPPFMDFSQASLQRSSSTPNIPMPDPKNRPPAGAAIREEEEGEEDDGHIMTDSDDDDDDDEDDDDDEDDDDDDHHEHDDFSVDDLVHGQPQKRGVMDSVGSSPVTPPPPPRANPSPQTPACATPPPPMPESQVGTWDYFFGPTPTPPPTLEQQAQETWMDRRERESVPEVKAPVMKPLVSEPAAPSRADEERLLQTAQEKAKAIDELAANLPPSKPIIRKPPKAPGPPPEVHHQHAASMGAVETRKGKVMMVSASLLQIVAQLDDYFLRSSESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDQEDLGGHFEVDENVETHATVLDRMLAWEKKLFDEVKAGEHMKIDYQKKVDLLQKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVELVEGLANMWGSMHRYHKSQFLIISGIRAFEVPPVPRETTDVHHKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKNLLHAWHDQLEKLPIELAKTAIKSFAEVISNIVVLQEEEINLRRRCDDTRRDLVRKKAQFQEWHLKYMERRASLGEEPNPETAETPSVDPVNERKMAIVEVEIRLKEEEGHHHRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKYAVLPRDK* >Brasy1G337300.2.p pacid=40056570 transcript=Brasy1G337300.2 locus=Brasy1G337300 ID=Brasy1G337300.2.v1.1 annot-version=v1.1 MREEQHPSTQSLSPLYSSTAVDHPPPPALTNLPRSSTRQADTHAAASPPQEPFPGSIGRTPPKDRIVRTLILVAAPMGCGQSKISQEEAVCRCRDRKRLMGDAVQARNAFAAAHSSYTVLLKSTGGALSDFAHGESPDPGMMASNSHQAAVAAAAAAASVSAPPPPTTAAVLIPPSPPPPPFMDFSQASLQRSSSTPNIPMPDPKNRPPAGAAIREEEEGEEDDGHIMTDSDDDDDDDEDDDDDEDDDDDDHHEHDDFSVDDLVHGQPQKRGVMDSVGSSPVTPPPPPRANPSPQTPACATPPPPMPESQVGTWDYFFGPTPTPPPTLEQQAQETWMDRRERESVPETAQEKAKAIDELAANLPPSKPIIRKPPKAPGPPPEVHHQHAASMGAVETRKGKVMMVSASLLQIVAQLDDYFLRSSESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDQEDLGGHFEVDENVETHATVLDRMLAWEKKLFDEVKAGEHMKIDYQKKVDLLQKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVELVEGLANMWGSMHRYHKSQFLIISGIRAFEVPPVPRETTDVHHKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKNLLHAWHDQLEKLPIELAKTAIKSFAEVISNIVVLQEEEINLRRRCDDTRRDLVRKKAQFQEWHLKYMERRASLGEEPNPETAETPSVDPVNERKMAIVEVEIRLKEEEGHHHRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKYAVLPRDK* >Brasy1G337300.3.p pacid=40056571 transcript=Brasy1G337300.3 locus=Brasy1G337300 ID=Brasy1G337300.3.v1.1 annot-version=v1.1 MREEQHPSTQSLSPLYSSTAVDHPPPPALTNLPRSSTRQADTHAAASPPQEPFPGSIGRTPPKDRIVRTLILVAAPMGCGQSKISQEEAVCRCRDRKRLMGDAVQARNAFAAAHSSYTVLLKSTGGALSDFAHGESPDPGMMASNSHQAAVAAAAAAASVSAPPPPTTAAVLIPPSPPPPPFMDFSQASLQRSSSTPNIPMPDPKNRPPAGAAIREEEEGEEDDGHIMTDSDDDDDDDEDDDDDEDDDDDDHHEHDDFSVDDLVHGQPQKRGVMDSVGSSPVTPPPPPRANPSPQTPACATPPPPMPESQVGTWDYFFGPTPTPPPTLEQQAQETWMDRRERESVPEVKAPVMKPLVSEPAAPSRADEERLLQTAQEKAKAIDELAANLPPSKPIIRKPPKAPGPPPEVHHQHAASMGAVETRKGKVMMVSASLLQIVAQLDDYFLRSSESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDQEDLGGHFEVDENVETHATVLDRMLAWEKKLFDEVKAGEHMKIDYQKKVDLLQKQKKRGVKLETLEKTKAAVSHLHTRLANMWGSMHRYHKSQFLIISGIRAFEVPPVPRETTDVHHKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKNLLHAWHDQLEKLPIELAKTAIKSFAEVISNIVVLQEEEINLRRRCDDTRRDLVRKKAQFQEWHLKYMERRASLGEEPNPETAETPSVDPVNERKMAIVEVEIRLKEEEGHHHRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKYAVLPRDK* >Brasy1G337300.4.p pacid=40056572 transcript=Brasy1G337300.4 locus=Brasy1G337300 ID=Brasy1G337300.4.v1.1 annot-version=v1.1 MREEQHPSTQSLSPLYSSTAVDHPPPPALTNLPRSSTRQADTHAAASPPQEPFPGSIGRTPPKDRIVRTLILVAAPMGCGQSKISQEEAVCRCRDRKRLMGDAVQARNAFAAAHSSYTVLLKSTGGALSDFAHGESPDPGMMASNSHQAAVAAAAAAASVSAPPPPTTAAVLIPPSPPPPPFMDFSQASLQRSSSTPNIPMPDPKNRPPAGAAIREEEEGEEDDGHIMTDSDDDDDDDEDDDDDEDDDDDDHHEHDDFSVDDLVHGQPQKRGVMDSVGSSPVTPPPPPRANPSPQTPACATPPPPMPESQVGTWDYFFGPTPTPPPTLEQQAQETWMDRRERESVPETAQEKAKAIDELAANLPPSKPIIRKPPKAPGPPPEVHHQHAASMGAVETRKGKVMMVSASLLQIVAQLDDYFLRSSESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDQEDLGGHFEVDENVETHATVLDRMLAWEKKLFDEVKAGEHMKIDYQKKVDLLQKQKKRGVKLETLEKTKAAVSHLHTRLANMWGSMHRYHKSQFLIISGIRAFEVPPVPRETTDVHHKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKNLLHAWHDQLEKLPIELAKTAIKSFAEVISNIVVLQEEEINLRRRCDDTRRDLVRKKAQFQEWHLKYMERRASLGEEPNPETAETPSVDPVNERKMAIVEVEIRLKEEEGHHHRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKYAVLPRDK* >Brasy1G281000.1.p pacid=40056573 transcript=Brasy1G281000.1 locus=Brasy1G281000 ID=Brasy1G281000.1.v1.1 annot-version=v1.1 MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGNVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLVKAREQQQQQQLLLPQQRQQQPQHIQMQQMLVQRAAQQQLQQQQQQQQQQQQQQQQQQRRDGSHLLNGTSSGLSGNNPLMRQNQSTANVMATKMYEERLKLPSQRESSEEASIKQRYGENAGQLLDSNETSLLKAAASGQSSGQTLHGTIGGLPGTMQQIQARSPQLPGHAQQSIKTEMNPILTPRAAGPEGSFMGLQGSNQAGNNLTLKGWPLTQGLEQLRSGILQQKSFLQNQHQLQQQIQFLTPQQQQQLAFQAQQNMASPTSSDVDSRRLRMMFNNRNIVLGRDGQTTSGGDIIPNIGSPSQSGSDIDMLIKKKIAHAHQQQQQQQQLLQQQSNSQQQQHQAVSSQQSHSSNQLLQQEKPGTGNMPVDGGMLNSFAVTEQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMPVPQLQQNGVSAKPLVMFGSDGTGSLTSTANPLGDVDRLLEEGLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEIAKARASASKVVCCHFSSDGKLLATGGHDKKVVLWCTEPLKPKSSLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDIICSCDSDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQNACRSPLQGHTKNIQSVCWDSAGDYLASVSEDAVRIWSFASGHDGEFMHELNCSGNKFQTCVFHPAYPSLLVIGCYESLELWDIREKNAITLNNAHDGLIAALAASSATGKVASVSHDRLVKLWK* >Brasy1G541800.1.p pacid=40056574 transcript=Brasy1G541800.1 locus=Brasy1G541800 ID=Brasy1G541800.1.v1.1 annot-version=v1.1 MEGGISNKRQVEARRDGQSSHKRQNVTIGMESLDCPICYLPLRPPIYQCSVGHFVCSSCRPKLLAKKCHLCSVETSFKRCIGMERLLDSVTVPCSNAMYGCAKKITYYQKEEHEKACPYAPCFCPESTCGFGGPTAALLDHLISHHKWPSTTITYSDRVDFRVHPGLHVLRTDDGHIFLLNMALEPFGHAISVICIQPVTGSDVKCKFECEMYHRCSVTRYFQSSTYKIRSSSLSDGLPTGYNFILPKGEISDEGDDREGILLTVTIRDSEPKVRKTICLKPIQGA* >Brasy1G481800.1.p pacid=40056575 transcript=Brasy1G481800.1 locus=Brasy1G481800 ID=Brasy1G481800.1.v1.1 annot-version=v1.1 MGFFWSFLLSYPEVFLAIICFFCLSLFRLIRQCQESAIPVNWPVVGMLPFLVRNLYNIHDKVADMLREAGCTFRIIGPWFLNMNFLATCDPATVNHCFNTNFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRVAMLVFASRAFRSFSMSTITRKAGTALLPYLDHMAKHGSEVELEGFFMRFSLDVSYSTVFATDLDCLSVSRPIPVFGQATKEVEEGMLFRHVVPPSLWKLLRVLKVGSEKKMADARVVIDQFIYEEIAKRKAQVNKESQGDVLSLYMKWPMDPNMSEQQKTQFLRDTVVGFIFAGKDLVAVTLTWFFYMMCKHPHVEARILEEIKSLQSTRWPGNLSVFEGDMLRPAIYLQAALLETLRLFPATPFEEKEALDDDVLPNGTRVSKGTRIIFSLYAMGRIEGIWGKDCAEFRPERWVSKSGRLRHEPSYKFLAFNTGPRSCLGKDLGLSNMKIAAASIIYNFKVELVEGHAVTPESAVILHTRNGMMVRLKRREPAD* >Brasy1G263600.1.p pacid=40056576 transcript=Brasy1G263600.1 locus=Brasy1G263600 ID=Brasy1G263600.1.v1.1 annot-version=v1.1 MACSFSPSSATRLQALEAAAATAGGKNARIPLKASVSPALRLLGSCKAARQEFEGATQDPSVSVSSARTQLDLLEQLTSSPTSDGIESGAPAESRPLTTIREQLSALYGERGGEFTLPMGKRLKEGLKALNSMTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP* >Brasy1G354500.1.p pacid=40056577 transcript=Brasy1G354500.1 locus=Brasy1G354500 ID=Brasy1G354500.1.v1.1 annot-version=v1.1 MATLLSLSPRLFLRLLPSKTLLLPRTFSHDLTFPSPFSSEDPAAGTGSFRIECLVPAPPLYTVKPWELRFLRRWPGRCGRRLVPPAPLVFEQKGPLGVARRHSGDSGTLADRKTIKIMTYNVWFREDLELQRRMHALGNLIQHHSPDLICFQEVTPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G354500.2.p pacid=40056578 transcript=Brasy1G354500.2 locus=Brasy1G354500 ID=Brasy1G354500.2.v1.1 annot-version=v1.1 MTSPSPPHFPVRIPPLELGASGLSVSSQRHPCTPSNLGSSDSCDAGLAAVAGASFLPLHWCSSKRGRSASRDAIQVCADEGLGSKEGHKPPAQKGDSGTLADRKTIKIMTYNVWFREDLELQRRMHALGNLIQHHSPDLICFQEVTPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G354500.3.p pacid=40056579 transcript=Brasy1G354500.3 locus=Brasy1G354500 ID=Brasy1G354500.3.v1.1 annot-version=v1.1 MRLSCIMPVKIEFVFQTVTNFSYASGLPWPMVAISTGDSGTLADRKTIKIMTYNVWFREDLELQRRMHALGNLIQHHSPDLICFQEVTPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G354500.4.p pacid=40056580 transcript=Brasy1G354500.4 locus=Brasy1G354500 ID=Brasy1G354500.4.v1.1 annot-version=v1.1 MRLSCIMPVKIEFVFQTVTNFSYASGLPWPMVAISTGDSGTLADRKTIKIMTYNVWFREDLELQRRMHALGNLIQHHSPDLICFQEVTPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G354500.5.p pacid=40056581 transcript=Brasy1G354500.5 locus=Brasy1G354500 ID=Brasy1G354500.5.v1.1 annot-version=v1.1 MLPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G354500.6.p pacid=40056582 transcript=Brasy1G354500.6 locus=Brasy1G354500 ID=Brasy1G354500.6.v1.1 annot-version=v1.1 MLPDIYLLLQKFDWWREYKCSLSCEMAMERPYYCMQISKLPVPSFDCIPFSNSKMGRELCIADVNNDGVTMVLATSHLESPCPGPPRWDQMYSNERVAQAKESLKILGDHRNVIFCGDMNWDDKGDGPFPLPEGWVDAWAELKPDEDGWTYDTKANAMLSGNSKLQKRLDRFVCKLADFKVDSITMIGKEAIPGISYLKVKKVRGVVRELELPVLPSDHFGLLLEITCQAGSTLSE* >Brasy1G538900.1.p pacid=40056583 transcript=Brasy1G538900.1 locus=Brasy1G538900 ID=Brasy1G538900.1.v1.1 annot-version=v1.1 MAPMLLLLFLLAVVSPLPAPAHGWGVDGHLMICQIAQGRLSGAAAAAVKALLPPDAGGNLSSLCSWADNVRFRYHWSAPLHYIDTPDNLCSYTYDRDCKDEEGVKGRCVAGAINNYTSQLLTYGSSSSYSSSSAQYNLTQALLFLSHFMGDIHQPLHVGFTSDRGGNTIDVHWYKRKTVLHHVWDASIIETAEDDYYDRGTAEFVDALTKNITGEWSEKVQGWEECSKNQTACPDIYASESITAACDWAYKNAAEDSTLGDAYFGTRLPVVSLRLAQGGVRLAATLNRIFGQTKTK* >Brasy1G538900.2.p pacid=40056584 transcript=Brasy1G538900.2 locus=Brasy1G538900 ID=Brasy1G538900.2.v1.1 annot-version=v1.1 MIWLCLMHYDECGWQGRLSGAAAAAVKALLPPDAGGNLSSLCSWADNVRFRYHWSAPLHYIDTPDNLCSYTYDRDCKDEEGVKGRCVAGAINNYTSQLLTYGSSSSYSSSSAQYNLTQALLFLSHFMGDIHQPLHVGFTSDRGGNTIDVHWYKRKTVLHHVWDASIIETAEDDYYDRGTAEFVDALTKNITGEWSEKVQGWEECSKNQTACPDIYASESITAACDWAYKNAAEDSTLGDAYFGTRLPVVSLRLAQGGVRLAATLNRIFGQTKTK* >Brasy1G332700.1.p pacid=40056585 transcript=Brasy1G332700.1 locus=Brasy1G332700 ID=Brasy1G332700.1.v1.1 annot-version=v1.1 MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYCIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGFPTEFASFFHYCRSLRFEDTPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRIMVPPAGQSSGMAPIANNNRQSATEEGRRSGWSDMDGMRRQVPPPAINAGSLAKQKSPIRHDQSTSKEAVFSSSTFLGRSSGSSRRPAVSSSREPSTDADQTRSRTTDASPGAFQRSALPRWSPQMADSSDTRRSSSGRHPSSSAKNYESTVRGIQGLNFDGDDRNHY* >Brasy1G121700.1.p pacid=40056586 transcript=Brasy1G121700.1 locus=Brasy1G121700 ID=Brasy1G121700.1.v1.1 annot-version=v1.1 MVGMYQKQLLDGPFALNGHCSDQPRHAPTTSKSASSSSSSGVAASPQAQADGPGKPRRLFEALVGEILQRGAGGRSGGVPGDFEGLVRWARDVAADPCAARPGGKAMKRQMLALRRARYLRMEDVTDAAELPSFSKKRKYRTHNNHSERPRKGSVNAPTRKSERLAKRMILMTSVLLTQRKKIGVGDHFQAEIPDWTGPPSEVELSRYRNDPNISKMLGTRTWPPEGIALQTDKVVAGQGRPVSCSCPYPGSFFCRQHHINEARDQLRFEIGQAFTEWRFDSMGEEVSKMWSSEEQLKFNALERLVPVLDSKTFWGVALKHFASKTRMDLVQYYLNVFLMRRVLSQCRLSLLEIDSDEDEAEDEEDEDQSDGSNTSQRNQDVQDVKRIS* >Brasy1G470800.1.p pacid=40056587 transcript=Brasy1G470800.1 locus=Brasy1G470800 ID=Brasy1G470800.1.v1.1 annot-version=v1.1 MDLAARESPVARPPASSWSSGPRAAQAHLGPGVLRGGRGFPPAGRGRSRDGVVPPLPPAPACRHSQSPTARSASRTWAASSCPSTSPLGAGDGPAAAAAAGGCGAGGGLNLR* >Brasy1G033500.1.p pacid=40056588 transcript=Brasy1G033500.1 locus=Brasy1G033500 ID=Brasy1G033500.1.v1.1 annot-version=v1.1 MNRVACLRLRLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASTTAGIYLSSFLSLPSPHEQIPPFSSPFHLLNIRQFVTEAKIKVGRSPYLALPGPPPLSQGCFMSTTVDLQLDYESDPPIDDAKSLEKESTLNVAVSQLASDFDRESNLCLERFSRTRRASVIPTGSLKLDLALGIGGLPKGRMVEIFGKESSGKTTLALHVIKEAQKSGGYCAYIDAENAFDPSFAEAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIHTNYGETQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRSSKEISGLYKEVACGGNALGFYAAIRVRTSRKELRYTDDQATGIGISVQIIKNKLAPAVLKEAGIDITFGKGIAYESEILEMASSHGVIVKEGCGYWINGEFLTDKAAAEKFLLENVAVADDICSTMRSQLFER* >Brasy1G033500.2.p pacid=40056589 transcript=Brasy1G033500.2 locus=Brasy1G033500 ID=Brasy1G033500.2.v1.1 annot-version=v1.1 MNRVACLRLRLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASTTAVDLQLDYESDPPIDDAKSLEKESTLNVAVSQLASDFDRESNLCLERFSRTRRASVIPTGSLKLDLALGIGGLPKGRMVEIFGKESSGKTTLALHVIKEAQKSGGYCAYIDAENAFDPSFAEAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIHTNYGETQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRSSKEISGLYKEVACGGNALGFYAAIRVRTSRKELRYTDDQATGIGISVQIIKNKLAPAVLKEAGIDITFGKGIAYESEILEMASSHGVIVKEGCGYWINGEFLTDKAAAEKFLLENVAVADDICSTMRSQLFER* >Brasy1G033500.3.p pacid=40056590 transcript=Brasy1G033500.3 locus=Brasy1G033500 ID=Brasy1G033500.3.v1.1 annot-version=v1.1 MLPSLNLQVISIESLIYVWSGSLGQGVHLSFLPVLLSLTLLSALEDYQRMVEIFGKESSGKTTLALHVIKEAQKSGGYCAYIDAENAFDPSFAEAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIHTNYGETQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRSSKEISGLYKEVACGGNALGFYAAIRVRTSRKELRYTDDQATGIGISVQIIKNKLAPAVLKEAGIDITFGKGIAYESEILEMASSHGVIVKEGCGYWINGEFLTDKAAAEKFLLENVAVADDICSTMRSQLFER* >Brasy1G033500.4.p pacid=40056591 transcript=Brasy1G033500.4 locus=Brasy1G033500 ID=Brasy1G033500.4.v1.1 annot-version=v1.1 MLPSLNLQVISIESLIYVWSGSLGQGVHLSFLPVLLSLTLLSALEDYQRMVEIFGKESSGKTTLALHVIKEAQKSGGYCAYIDAENAFDPSFAEAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIHTNYGETQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRSSKEISGLYKEVACGGNALGFYAAIRVRTSRKELRYTDDQATGIGISVQIIKNKLAPAVLKEAGIDITFGKGIAYESEILEMASSHGVIVKEGCGYWINGEFLTDKAAAEKFLLENVAVADDICSTMRSQLFER* >Brasy1G033500.5.p pacid=40056592 transcript=Brasy1G033500.5 locus=Brasy1G033500 ID=Brasy1G033500.5.v1.1 annot-version=v1.1 MVEIFGKESSGKTTLALHVIKEAQKSGGYCAYIDAENAFDPSFAEAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIHTNYGETQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRSSKEISGLYKEVACGGNALGFYAAIRVRTSRKELRYTDDQATGIGISVQIIKNKLAPAVLKEAGIDITFGKGIAYESEILEMASSHGVIVKEGCGYWINGEFLTDKAAAEKFLLENVAVADDICSTMRSQLFER* >Brasy1G246700.1.p pacid=40056593 transcript=Brasy1G246700.1 locus=Brasy1G246700 ID=Brasy1G246700.1.v1.1 annot-version=v1.1 MIRYSIVQLKSLLVVLLLNVLSKLLQLVTLVGWADLPTDLLVNIFGILSDHMAVSKVCIHWRRALLMLPRPVLLRPVVDSQPPDPLPLAPVDMELLVPSNLKPPNAVLQMSTVQRDSPFCYSYIAVSSPSLDSDLRFVGASSGVPLFVDDLRRQLEVRRLVTLPGAQGTSAEPTVLTVALPEGLQPLDIYLGSMVVSTGNWPPAGITSILLVTRSEFLGIMADNRDALSADKPLWFRLKLQGERRTFVSILVKKNADADYYILDASKRLSMISLCSSASGCMIKLKEMPVEGGLRDELLSGALIKTWLLYLPKWDHSGYDVVCLAYRLFDLYGCFVSFDLVEETPRHWAERISIGAGFCVFLGWGPGAAAFGYRQPRRIGLRTNTIYDCQSLAAYRMGWNYTTLGSNPLGIMPPTTALGWPFPMWLEPAYPSYPWWSPPVLADDALEEPVNGNSPLLLGDSDIQGP* >Brasy1G484700.1.p pacid=40056594 transcript=Brasy1G484700.1 locus=Brasy1G484700 ID=Brasy1G484700.1.v1.1 annot-version=v1.1 MASSAGPRYAPPDPTLPKPWRGLIDGTTGYLYFWNPETKAVQYDRPTGPPPAPAPLPPPVAQPAYPEERARNRDAPEPQAQAAASRPQSAPADHLERRSEAAGNRVQNVPFAEHKPRSDPSLEPRYSGGVHPTAVTPTNQVSQAANGNHISPEAYRAKHEITIIGNESPAPFMTFQSTCFPPEILREVQQAGFSAPSPIQAQSWPITLKGHDIVAVAKTGSGKTLGYLLPGFILVKNLRNNSRDGPTVLVLSPTRELATQIQDEAVKFGRSSRISSTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRKVSLHQVAYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLTNPVQVNIGNTDQLVANKSITQYVEVISPMEKQRRLDQILRSQEPGSRIIIFCSTKRMCDQLSRNLSRQYGASAIHGDKSQAERDSVLSEFRNGRCPILVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGLAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGSRPPRRWASSNDSYGGQGSFGSQSRNGSSFQSSAYNSSSGNPFGSTPSFHSSSSNNNQSSGNPSFPASGSNNQSGDGLSFHERFYSSRGADQNRTSNDGFRARSRSPPKAVGVSNW* >Brasy1G238900.1.p pacid=40056595 transcript=Brasy1G238900.1 locus=Brasy1G238900 ID=Brasy1G238900.1.v1.1 annot-version=v1.1 MATPTPMAGEGSLAAVMPRSPSPPPAAAAAGSAAEAPMLIFLYFHKAIRAELEGLHGAAVRLATERAGDVEALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFTQLLALLQMDIQNDDGLRRELASCTGAIQTCLTQHMSKEEEQVFPLLTKKFSYEEQSELVWQFLCNIPVNMLAEFLPWLSASVSSDEHEDIRNCLCKIVPEEKLLQQVVFTWIEGKSTKVMLPSSVDAISERSHSCNDASSVDQGKKLIYAHEESNVGNREYEESNDSQADRHPIDEILYWHNAIRKELNDIAEDTRRMRQSGDFADISAFNARLQFIADVCIFHSIAEDQVVFPAVNSELSFVLEHAEEERRFNNFRCLIQQIQKAGAKATAVEFYSELCSHADQIMEAIEKHFGNEETKVLPQARVLFSPEKQRELLYRSLCVMPLKLLERVLPWLVSKLSDEEASSFLQNMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYICLTSGAARCLLDDVDELKKCQSFCPCASRSNAVVPLHLQNENGPRPGKRGSDAECVRGTNGTHCSQIADTEARPCSKKPCCIPGLRVETSNLGIGSLASAKSFLSYNSSAPSLYSSLFSWDTDAALSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESREPLHNVSHSYTLDHKQEEQLFEDISNVLCELSQLHDILNEPHNEANEAEKNYLNSSNGIDSTRKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALNQEEQNKMLDTWKQATKNTMFGEWLNEWWKGVPTPSDSSAETSSAPEDSHLQDKVDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPDPRSEECSEGAGIPGCSPSYRDQEKLIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCERKGRSQFHWLYHKCGSCGSYNTRVIKTDTADCSTPN* >Brasy1G272200.1.p pacid=40056596 transcript=Brasy1G272200.1 locus=Brasy1G272200 ID=Brasy1G272200.1.v1.1 annot-version=v1.1 MGRAMAPVLVLAVLAVMAATTAAADGDVKCGDCPIVYPSPPPPALPPPPPYYYYSPPPPASYPGVSNCPPPPGGYIEIGGSPPGQGRLYPQDPGFMPSSAPARHGSRALPFTVCALAILWAGFL* >Brasy1G124500.1.p pacid=40056597 transcript=Brasy1G124500.1 locus=Brasy1G124500 ID=Brasy1G124500.1.v1.1 annot-version=v1.1 MRNGIQSMMHARTPRLEVRPYPRPRFRSAADIITPSKSKPQRPGRRRWLAAGSPRILWIDVKPAAGLRASIHTARFSPAIFLRPFTQPAFPATGSDERRAKPHALVAQ* >Brasy1G040000.1.p pacid=40056598 transcript=Brasy1G040000.1 locus=Brasy1G040000 ID=Brasy1G040000.1.v1.1 annot-version=v1.1 MHCQTNVPSKTSYTRFTSDDHNRKQKGKISDDGGIQNMWVRRIRKMWARRHPRQPVKAT* >Brasy1G271600.1.p pacid=40056599 transcript=Brasy1G271600.1 locus=Brasy1G271600 ID=Brasy1G271600.1.v1.1 annot-version=v1.1 MRYLYFRPNTTSPARAYRDQLLAHLDACASRANLAELHGRLIRAQLGSDPSVAGRLVTLLASPVARHDMPYARKVFDRMAQPTAFVWNCMIRGYNSCDAPMDALALFRAMLRRGASPDNYTMAAVVSSSAAFAISKWKATGDAVHALVQRIGFASDVFVMSGIINYYGAFKSVEDARKVFEEMHQRDVVSWTLMISAFAQCGQWDNALRSLAEMQSEGTKPNKITIISLLSTCGQVRAVDKGRWVYARVDEYGIDADVDIRNALISMYVKCGCMSDALKTFQGMPIRNTKSWNILIDGFVQNGKHKEALTMFEEMLSDGVIPDLITLVSVLSTYAQLGDLQQGRYLHSYIKDHEIHCDIILQNSLINMYAKCGDMAAAEIIFENMAQRDVVSWTAMVCGYVKGLQFRTAFNLFEDMKVRDIMASEMALVSLLSACSQLGALDKGREIHSYIKEKSVRTDMWLESALVDMYAKCGCIDTAAEIFSRMQHKQTLTWNTMIGGLASQGQGKEAVGLFEQMLKLRDPKPDAITLKVVLCACAHVGMVDEGLRYFYLMLTLGIVPDNEHYGCIVDLLGRAGLLDEAYNFIQKMPIQPNPVIWGSLLAACRVHHRMELGKIIGQHIIDLAPNDVGAHVLISNLHAEEGQWDDVEQVRGMMGSRRVEKSPGHSSIQV* >Brasy1G393300.1.p pacid=40056600 transcript=Brasy1G393300.1 locus=Brasy1G393300 ID=Brasy1G393300.1.v1.1 annot-version=v1.1 MEIFIKSVYIPNTPKIPAHHVGLPPSTNPQARSLSRLRRRNARSRFQQGCRRLCRHPRLRIRQRARLQIRHLLLPRIWRPARSFFLFHESGGQIRSKGFFPESGRPTAPPYIPSTERPLHSILRTTPPPLPHSILTSLKFAAAPSHGRDLVGEFSVGGGCMVEFFVGVLGQEAPTWASTPSSTCGLPSSTPSNSGPAPAGHHSGPRGVRAGRACLRADSVQPWLPRRVRGGSRAPPVEGGEAARGRGNALNSALPPPTSSLELQRSGRACARRRGRRRREAGSPATDREPAAVGLAGGAGVAFGRSRSCVVAGSGLRRGKGRGGRLRGRGMATK* >Brasy1G125400.1.p pacid=40056601 transcript=Brasy1G125400.1 locus=Brasy1G125400 ID=Brasy1G125400.1.v1.1 annot-version=v1.1 MRELAGSPGSWSGLALRLSQFAFAAASVCVMASARDFANYTAFCYLIASMGLQALWSLGLACLDGYALKLKKDLRSAVLVSLFVVGDWVTSILSFAASCSAGAVVVLFDRDAFFCSRDPHLPCGTFELATAFAFLSWAFSGTSALVMFWLLASP* >Brasy1G315500.1.p pacid=40056602 transcript=Brasy1G315500.1 locus=Brasy1G315500 ID=Brasy1G315500.1.v1.1 annot-version=v1.1 MRKKVDERIRTLIENGVRQRQRSMFIIVGDKSCDQIVNLNYMLSKSRVKSRPSVLWCYRNKLDISSHRKKRAKQIKKLMQRGLMDPELADPISLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTLAAARFNERFLLSIALCKACVVMDDELNILPISSHMKFIQPVTNKEDSEGLSERERELKDLKDQFREDLPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGLNALEYKEHLHYDVVKSADPELRKATIQINVHKQHRQTIQYLRPQDHAKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSVPSDRSSSSRLFKKIELNESIRYACGDPIETWLNELLCLDLANSIPNISRLPPPGDCELYYVNRDTLFSYHKESEVFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISKKSAMKSLNEGHAPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVSLLTSYYQGKFIPFAEDKEDVEEPETKITEAAEKASLLEESIKPRANLPPLLVNLRDRRPEKLHYLGVSFGLTQELFRFWRKHSFYPFYVGQIPSAVTGEHTCMVLSSLNCDDIEANVSNKCDFLEPFYQDFRHRFRRLLGTSFRHLNFKLAMSVLASKIDFSHHEPSDYDTNITSKLLKDVLTPHDMKRLEAYSNNLVDYHLILDLVPILAHEYFSEKLPVTLHGAQASVLFCMGLQDKDISATKEELGIEREQVLSNFIKTMRKLYGYLHNTAGKEIEATLPRLKEIEMAPLSRSMDEDLDEAAEEVKEKRRAADEATVDPKILHKYAIDNDDFEVEKALQNGKLSASGVISVKSSKTSADKKGKRKEMEKSRRKGTDSGKSKSKKKRT* >Brasy1G387600.1.p pacid=40056603 transcript=Brasy1G387600.1 locus=Brasy1G387600 ID=Brasy1G387600.1.v1.1 annot-version=v1.1 MAYPLLFARSRRKGRSVAQALEDNRWVLDLRRDFSTPLLLEFIHAWRELHQANTVLQPGVPDSICWTMTDSGQYSARSAYLLHFIGRTNSDLLQATAHHLFVECPFTTRLWLEAAMWPNCRGVAAALLSFTVSVPNFRESLMLETDAAHRQGMSSLFILICSSIWRERNERIFRDKESSLRQIISFIKDEAQAWAFAGARALRKLLWEPP* >Brasy1G136700.1.p pacid=40056604 transcript=Brasy1G136700.1 locus=Brasy1G136700 ID=Brasy1G136700.1.v1.1 annot-version=v1.1 CQVNCACLPAHPHLAARLSVPSCQVKCACLPAHPHLAARLGVPSCKVKCACLPAHPHLVARLNVKYHFQ* >Brasy1G157200.1.p pacid=40056605 transcript=Brasy1G157200.1 locus=Brasy1G157200 ID=Brasy1G157200.1.v1.1 annot-version=v1.1 MGSFQKYASFHASFKRGARVADDEDRGEKASVDAGRAGRFGRGSRVGSSVTDISSNASSINYRRSPPRHDRFGSESFWCGAFCMHLPGLASRRRATMQQQQSMSLSEPDARASSTAPAEEVTLTRASVVSKAASMERFKHSSSSSGVAFDRAVDGEEEEEDDEEVSAYFDLPLELLRSSSVDMESPVTAAFVFDSGRGGQGLLKKSVLPDQLPNLDFSFEFPAPPAFTTPSWPRS* >Brasy1G214000.1.p pacid=40056606 transcript=Brasy1G214000.1 locus=Brasy1G214000 ID=Brasy1G214000.1.v1.1 annot-version=v1.1 MSSSNQNPAPTPASGTAGGAAAPPPGRPTTVSSQAMDMGAQVLQPLKPVRQMKQHACSFALYAHDVRRQLEAHHFVSRLNQDVLQCAAYDSDKPSARLIGVEYIVSDGIFETLPAEEQRLWHSHAYEVKAGLWTDVGVPEMLQTSEMARMAKTYGKFWCAWQVDRGDRLPLGAPALMVSPQAVEPGLVRAELVRSRDERFRVDSSARGLKGARVEMEEPEWINPNADYWRTHGKGFAVDVRASEMKRHAPFP* >Brasy1G372300.1.p pacid=40056607 transcript=Brasy1G372300.1 locus=Brasy1G372300 ID=Brasy1G372300.1.v1.1 annot-version=v1.1 MASTPGPSRPTTMGERWLVSSPSSDEDDSPRSSRAGGHDPAPSGVVSGDSEGGWYRAGKKKKKRGRLAPLPAVAVRDELPQELAGREGHVARLCPEKRSSSVSPPGRRLRGPPPPARAGQQALIPGGRKPGTALLARLGPGQPPVAGAPAPRTAAPIPASGAAAAGARQVMADGLPAAPAGCSSLGPPASSPVLEPRPVVLPWGAASSRPRVETCIIPRTRAIEEEEAALQWSLVVWVIGNRPRVSLSSVSTAICDRFPALEGSFSTHLFWPDDFLVVFRSREGRDAVLAEEVLGGRGFNLSFAPWNRFRQAVGRSQRFRVHLELEGVERGDRGVGVGSACSIERLGTCTENRDDMGRFRVFVWTSNPNLIPKEKIHQIMEPPAPVDADGDLLDEDLLVLPEQCIPQVVDLLEYRVIIHLLQVEEAGESTDRSSHGEWPSDGDSDSGILDFSLGRGPRRNSFACSHGRIDYDDYGDRYGRHADAGGRVHAGENTVVGWSRLSAAAPAFTLAHLARPVVEEPCFSLPEDREFAVMGDCDPMRVEASLYLTQGGVESFIRVTPCASLTDSDEVPQGLVGELSEPASDSSRRMGDLVEMLTSQDVLGLDQPALSTFEVSVSQVRQPEGPASPEVDSRMVEEEIDISRFCASVRRATSQILPRPSVKRARRRVSTPQSPRRSRRLAGKGAPSSGIKRQQSVLIQRLGLAREGERIGDDALQAYLRLFDKPLSSEHLGAILALFGWESQALPLEEGDVVATAS* >Brasy1G347600.1.p pacid=40056608 transcript=Brasy1G347600.1 locus=Brasy1G347600 ID=Brasy1G347600.1.v1.1 annot-version=v1.1 MRSAAVPPCSLSSANPNLDASPPRPMTPRVPPFRHHPAHLILTDAVAAWHPFHKKPCLSDRSTAPPSVPLGDAATAETPTPPPSAAGSGGSFRWLGLRKRRRRGGVSRSVSGRSSDRRRSGTCSDFHVTCGPGGGGATDSSGEMWASDVGELRARDVPMAPEFAPAPVGGAGSGAGGMGTGAEAAAAESGYGSEPGYRGDVELGYGDEIDEEEEDGRQQVFFWGGEIGDCIADMDKMVIVGDSNFGEQKSHHRCRRKKHDVRMLDALR* >Brasy1G347600.2.p pacid=40056609 transcript=Brasy1G347600.2 locus=Brasy1G347600 ID=Brasy1G347600.2.v1.1 annot-version=v1.1 MRSAAVPPCSLSSANPNLDASPPRPMTPRVPPFRHHPAHLILTDAVAAWHPFHKKPCLSDRSTAPPSVPLGDAATAETPTPPPSAAGSGGSFRWLGLRKRRRRGGVSRSVSGRSSDRRRSGTCSDFHVTCGPGGGGATDSSGEMWASDVGELRARDVPMAPEFAPAPVGGAGSGAGGMGTGAEAAAAESGYGSEPGYRGDVELGYGDEIDEEEEDGRQQVFFWGGEIGGDGRA* >Brasy1G278600.1.p pacid=40056610 transcript=Brasy1G278600.1 locus=Brasy1G278600 ID=Brasy1G278600.1.v1.1 annot-version=v1.1 MVRPRGKPSTGRHRIEMSPIANRSSRQVTFSKRRSGLFKKGSELAILCGARVVLVVFSEVGNVFALGSPSADAVLGDDGGAVSDEGEREALEGMCRAREEAAERVAAETARMDSIGDKVAQAQAGRRSWWEADVGMLGEAELPEFARALTRFRDDVRRHADKLLSSAPQAAAPVAAPAAVSPGTLGDPSSSNSWDY* >Brasy1G318400.1.p pacid=40056611 transcript=Brasy1G318400.1 locus=Brasy1G318400 ID=Brasy1G318400.1.v1.1 annot-version=v1.1 MKTLGLVRRNALDQEHKLAQSFTNRVNRIMKKHSGFGVKTFQLETCGDDFTPSDLDRWLQIAVTPGIEEVKISHTVPFGYYNFPCSLLFNGSGNSIQQLCLIRCAFHPMAGLACLRRLRLCYVHITGDELGCLLSNSFAMEELVLNGCSKITSLKIPCMLQKLNYLQVFGCKALKVVENKAPNLCSVCIDGALVQFPPGDLFQVKDLVVSLDIYKSNLVHYARTRLPSSMLNLETLKLSSAGEMFNTPTIPVKFLKLKNLDISLNGGENRGFSPAYDYCSLVSFLDGCPVLETFKLRVSQTRVKHELISEDSDLRHLPGHRHGNIKNVKIVGFCSAKSMVELTCHILENATSLECLTLDPVNDNGCEDAVRSCVQKPFGCQPVLGRHMITQAHRGLWAIERYVVGKVPSTVKLNVKKPCSHCHTVK* >Brasy1G541900.1.p pacid=40056612 transcript=Brasy1G541900.1 locus=Brasy1G541900 ID=Brasy1G541900.1.v1.1 annot-version=v1.1 MDGSYSSKRTVEAQQEGERCAKRLNVTVGMETLDCPICFDPLKPPIFQCSLGHFICSSCRGKQLDKKCPSCSIKTSFERCFGMEHVVQSATIPCSNAKYGCAVKVTYYHKEEHEKACPNTPCFCPESGCGFAGPTMALLDHFTTQHKCPSTTFPDSGPVYLCLQPGLHLLRCSKSGASNFFLFSMASEPFGHAISVICVQPNVTKPKSCIMKYVCCTTGYCESSSCRIRSSSLSDGLPTGYDLILPKGKVSDDRNGIMLRITIVEPFSFSLTTSCLHGKGPTPALQGKPLIEGRPLAARLNNVRPLAATRIPDLSDSDDEPLISLKRNLKALQEKPLIQGRPLAARLNDVRPLAATRIPDLSDSDDEPLIYLKRKFNLVPDDGFEC* >Brasy1G415800.1.p pacid=40056613 transcript=Brasy1G415800.1 locus=Brasy1G415800 ID=Brasy1G415800.1.v1.1 annot-version=v1.1 MISIGGSSSSSSSSSSAAAAAAGVRMGGAKRRGKPAGAAAAAGPAQTKWRSGTQERIYGRRLLDALRATRSGASPQSQPQPRAVKAAADSALALTARGQSRWSRAILLAGAASCRRRVLVKAGGKIRRHRRPQARAALEASKAAAAASAGEPPVLKERKVKDRLRVLGRLVPGCRKLQAPDLLEETADYMAALEMQVKAMRALADALAAAQLSSPQPPSQAHEASAAGDEAEMER* >Brasy1G047100.1.p pacid=40056614 transcript=Brasy1G047100.1 locus=Brasy1G047100 ID=Brasy1G047100.1.v1.1 annot-version=v1.1 MRACIRWSYSVEQQVIAANNLYYVFVTGCCMLDLLHALTRRRRRKLLMKPVRSRQGNGQQDLQKRAPRQEKSSPAQLQGPPRPHQCSDECGTTWELLIGLIPAACTLLRAYHNFHAAFLLGASKGRQELITGVAQVVICLVFVVVAKIREVQRASVPQQPTFILPACPANSHICRDTVGIVIKSYVLLLDISLSYLYLAVFPAVAIAFIYALCVKLCPPAAAGCSSELPLNSGNNGRDDRTSTKGALKAMAAVPLLALLLMAQLKEDAANRFAISQFLLFLSTMLGALAYMMMRLPACGVALASELLHKAFLLLFLVTVHAVVAEALGEEAVIMACAQEILPVVIWFGIHLDRDSSSSALIVAKPRGNVLVVLGAGAAALLAYLATSIDEPGLSWCTKILVSSAVSGILTYYIVFMLRQWPGRQAGSEEAGRLLELWAKFLLISAAAALLLVYVVARRLGLQQPQIGDTLCRNFQQTMKFH* >Brasy1G261500.1.p pacid=40056615 transcript=Brasy1G261500.1 locus=Brasy1G261500 ID=Brasy1G261500.1.v1.1 annot-version=v1.1 MKIRELREGADGWEDFDEEGWDWEEVEAEKQSGEADEGREKGKEVGYDGGSSVEVDSWDWEWEEVSGSCIGGEAVPDGRDGMRRVGGLRGVGKQREEVRGGEVAPDEHVGSSGEADWEWEEVSGSCIGGDVVSDEPDGMRFFGGLSGVGKQREEVRGGGVGGEVIPDEHGRSSGLRGKLVPYAKRAAAEVCARALFYPTLVLNIGRNVIDPDYHWIDRIDQDIFLGAVPLPGNVPLLKELGINAVVSLTESYKTLVHTSTYQAHRIQHLEMPTTDYLYAPPQEEICEALNFIHKNARDGGTTLVHCKAGRARSTTVVLCSLVKYRKMTPETALQHVMSIRPQVKLATSQWKARNLTLQLYTS* >Brasy1G155300.1.p pacid=40056616 transcript=Brasy1G155300.1 locus=Brasy1G155300 ID=Brasy1G155300.1.v1.1 annot-version=v1.1 MMMIALWAAASGLLLFCSSRPGVEGIGVNYGLIGDNLPSPDKVVSLYTAHNITDVRLFHPDTAVLDALRGSGLGVVLGTLNSDLAPLASDASYAASWVASYVAPFASSVRFRYINAGNEVVPGEGSEHILQAIKNLESALSAAGLGGGSVRVTTAVATSVLGASFPPSHGAFSDAAMPVMAPIVSYLAERGSPLLVNAYPYFAYAADPSSVPLDYALLSASAAPAVTDDDGVQYANMFDAIVDAVHAAAGKVTGDQGNGVELVVSETGWPSGGGGYGASVENAAAYMNNLVRHVGSGTPRRPGKAVETYIFAMFNENQKPEGVERYFGLFQPDMTEVYHVDF* >Brasy1G333500.1.p pacid=40056617 transcript=Brasy1G333500.1 locus=Brasy1G333500 ID=Brasy1G333500.1.v1.1 annot-version=v1.1 MKSKIFGDGKYSEMTMKTPLWILELKERLRGIKNPNSLYLEWIQFY* >Brasy1G158300.1.p pacid=40056618 transcript=Brasy1G158300.1 locus=Brasy1G158300 ID=Brasy1G158300.1.v1.1 annot-version=v1.1 MATQAAAASEIAAVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDPAALSRAVASISSSLGRLVAKGQISQAACDDSIKRIKCVSDVRELQGVDLVIEAIVESEDVKKKLFVELDKITKPSAILASNTSSISITRLASATSRPSQVIGMHFFNPPPIMKLIEIIRGADTAEEVFSAVKCFSERIGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGQGVYSYGRGVYSYGGSSPSVKPKSSL* >Brasy1G038100.1.p pacid=40056619 transcript=Brasy1G038100.1 locus=Brasy1G038100 ID=Brasy1G038100.1.v1.1 annot-version=v1.1 MASRALAALSVQPRLGAGLALGGRPIIRVAARPACRGRRRRSMVVRAGGPPSTNVLILAFVLPLSLFVGTLVAAARVADDLDERFLREMEINKAILEENEAASEEAGETEDDGGENDGDQEVVQPAVEKEQVLVTAGGRRSRNRPKRVVY* >Brasy1G022200.1.p pacid=40056620 transcript=Brasy1G022200.1 locus=Brasy1G022200 ID=Brasy1G022200.1.v1.1 annot-version=v1.1 MSIRRSAAPFRRLGLAMALQGQAVATGPAAPVAMVAIVRGFSKATGPLPRLALPKAGHPSVGTEPPAIMVSRRSISKTPGDSKTPGDMVPPVAAGGGLPPPPPAGGFPHPTGDWWQKAWTAFDLAERIRTTWKTIGFVGVVFASAASIVKGWADDRVGKLIEEAVSVERDTFKEFEKRATLAHLEFVRQTSDRYGNMQEQIHNLRSEGLDQQEKSLEQQRILAAALARARILEKKVETLEKAYGKELLSEDVEADEMLSGDSDGNRGTDH* >Brasy1G416300.1.p pacid=40056621 transcript=Brasy1G416300.1 locus=Brasy1G416300 ID=Brasy1G416300.1.v1.1 annot-version=v1.1 MGGSGGGGKPPAWEFHATGPRNLSNPSWRDLIRSTSTWTDPNYRRIAISCFVQAAYLLEFDRQENRTGEKASAPNWWKPFKYKLVCPLIDSRDGSIYGALLEWDQLAALSGLIMLRPNGAPKAVLALRGTVLKQLTVARDLEDDLRFFARESLRSSVRFAGALEVLKSAIDKHGSNNVCIAGHSLGAGFAMQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKADKVLKRYISGSSSNAGEISRPREKGEIASEIGEEKLIKEVKRWVPNLYINNCDYICCFYLDRSGEATVAAEKRDGSHSDARAKVFVIAKGPQKFLKAHGLQQWWSDDSELHLAVHDSKLMYRHLKSLYVKES* >Brasy1G208900.1.p pacid=40056622 transcript=Brasy1G208900.1 locus=Brasy1G208900 ID=Brasy1G208900.1.v1.1 annot-version=v1.1 MPPRYQSLPLAPSETDQGERLHLLTQKGCRRAGKSPPLSRRAMPCFRFAGWEREQGKEAEEEERQGPARSQSARSNSSISGSECCSLNVSSEIGGESFGRYRQLSLSQRADTLRVFAFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSIDVAIKQLGRKGLQGQKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGVQLLLVYEFMPHGSLADHLSTRSPRPVSWGMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVMGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSGAKKFETIMDPRLEGNYSLKSAARLASLANKCLVRHARHRPKMSEVLEMVQKIVDSSDLGTPEHPLINHSKELASDEKKRKGLNLKRRIADIKAGEGRWFTWQKWTPKLVRTQ* >Brasy1G208900.3.p pacid=40056623 transcript=Brasy1G208900.3 locus=Brasy1G208900 ID=Brasy1G208900.3.v1.1 annot-version=v1.1 MPPRAGKSPPLSRRAMPCFRFAGWEREQGKEAEEEERQGPARSQSARSNSSISGSECCSLNVSSEIGGESFGRYRQLSLSQRADTLRVFAFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSIDVAIKQLGRKGLQGQKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGVQLLLVYEFMPHGSLADHLSTRSPRPVSWGMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVMGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSGAKKFETIMDPRLEGNYSLKSAARLASLANKCLVRHARHRPKMSEVLEMVQKIVDSSDLGTPEHPLINHSKELASDEKKRKGLNLKRRIADIKAGEGRWFTWQKWTPKLVRTQ* >Brasy1G208900.4.p pacid=40056624 transcript=Brasy1G208900.4 locus=Brasy1G208900 ID=Brasy1G208900.4.v1.1 annot-version=v1.1 MPCFRFAGWEREQGKEAEEEERQGPARSQSARSNSSISGSECCSLNVSSEIGGESFGRYRQLSLSQRADTLRVFAFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSIDVAIKQLGRKGLQGQKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGVQLLLVYEFMPHGSLADHLSTRSPRPVSWGMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVMGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSGAKKFETIMDPRLEGNYSLKSAARLASLANKCLVRHARHRPKMSEVLEMVQKIVDSSDLGTPEHPLINHSKELASDEKKRKGLNLKRRIADIKAGEGRWFTWQKWTPKLVRTQ* >Brasy1G208900.2.p pacid=40056625 transcript=Brasy1G208900.2 locus=Brasy1G208900 ID=Brasy1G208900.2.v1.1 annot-version=v1.1 MPCFRFAGWEREQGKEAEEEERQGPARSQSARSNSSISGSECCSLNVSSEIGGESFGRYRQLSLSQRADTLRVFAFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSIDVAIKQLGRKGLQGQKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGVQLLLVYEFMPHGSLADHLSTRSPRPVSWGMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVMGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSGAKKFETIMDPRLEGNYSLKSAARLASLANKCLVRHARHRPKMSEVLEMVQKIVDSSDLGTPEHPLINHSKELASDEKKRKGLNLKRRIADIKAGEGRWFTWQKWTPKLVRTQ* >Brasy1G208900.5.p pacid=40056626 transcript=Brasy1G208900.5 locus=Brasy1G208900 ID=Brasy1G208900.5.v1.1 annot-version=v1.1 MPCFRFAGWEREQGKEAEEEERQGPARSQSARSNSSISGSECCSLNVSSEIGGESFGRYRQLSLSQRADTLRVFAFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSIDVAIKQLGRKGLQGQKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGVQLLLVYEFMPHGSLADHLSTRSPRPVSWGMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVMGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSGAKKFETIMDPRLEGNYSLKSAARLASLANKCLVRHARHRPKMSEVLEMVQKIVDSSDLGTPEHPLINHSKELASDEKKRKGLNLKRRIADIKAGEGRWFTWQKWTPKLVRTQ* >Brasy1G122900.1.p pacid=40056627 transcript=Brasy1G122900.1 locus=Brasy1G122900 ID=Brasy1G122900.1.v1.1 annot-version=v1.1 MGLTLGKIMLGKIVVETPKHEVLHTGAGYEIRKYPPCVAAEVVYDPKDMKGDPDGGFQVLASYIGVFGKPQNTRPEKIAMTSPVITSSADGKAEEIAMTAPVITSSSAAEPEPVAMTAPVITAAEGGNNSKVTMQFLLPSKYGKAEEAPKPTDERVVLRDVGERKYGVVRFSGLAGDKVAAEKAGGLKAALEKDGHVVKGPFVLSRYNPPWTLPPLRTNEVMIPVE* >Brasy1G094900.1.p pacid=40056628 transcript=Brasy1G094900.1 locus=Brasy1G094900 ID=Brasy1G094900.1.v1.1 annot-version=v1.1 MFPAAAVCSKKPGAVVSSSPNDRPCGVVQGQQGGDSGLVLTTDPKPRLRWTVELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHSSVKDAMEMQRNAASSSGMMGRSMNDRSAHMNEALRMQVEVQRRLHEQLEVQKHLQMRVEAQGKYMQSILEKAYQTLASGGDCATWPAAGYRSLGGASLDVGSSMSFQDLTLYGSGSSHLDLQQQMEIRPTMAPSMDSFLAFNESCIGRRSPADAGGSCYGRAAGKSPMMMMWAGDDQQAKSCGTDGDDQQLLQMAPASTMMMEAGGVDAMDPIMSMSGDSLGSNKGFDRGPELQA* >Brasy1G552100.1.p pacid=40056629 transcript=Brasy1G552100.1 locus=Brasy1G552100 ID=Brasy1G552100.1.v1.1 annot-version=v1.1 MFQGLIAALLFSVLNCGICRATASGDGDFFQNCTPSRCSEGTIEIRFPFRLATSPKSCGAPGMELSCSREADTILLHPILGLCKVTAIYYSYGILNVIPLEESWTRCPLQKITTTNLSTSVYMPQARNTATLVRCSRELVPEEKNTSGKTGRGAISIVGPISCLSNTSQFVYLMDGIASISVLPLDCTVVSNGISMPMDDDITNFVFFAEKAKTIITLAATTLT* >Brasy1G426500.1.p pacid=40056630 transcript=Brasy1G426500.1 locus=Brasy1G426500 ID=Brasy1G426500.1.v1.1 annot-version=v1.1 MGIPYKRFHPQITLFSNSNISNGTERRRAELQVARPPALLHNYGSRTSASPAPFSFKSSGGRGRRIRGKIQREGHFRLVVAG* >Brasy1G426800.1.p pacid=40056631 transcript=Brasy1G426800.1 locus=Brasy1G426800 ID=Brasy1G426800.1.v1.1 annot-version=v1.1 MPPLHLRLLRVSHTPLPSSRHLASSITPGLVTARPFSLHRASSSSSRRRAPPVLRNTQGDAAAAVGPEGFEEELDRLMELLPGELRRRVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDELHHATAKVGDFGGDNRAGISRTLHRISAIRNRKGDIVGLTCRVGRAVPGSAILLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQEMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVASAHGVTIENLTMNPSLEMLVGGIQSVTLGDEEANRRRVQKTVLERKGPSTFTYAVEIVSKTELRVHRSLEATVDALLAGRPPNVEIRKLGSNMSVQKEVAVQKEQFHRGSFQIGPEFEADSLNNARTSLDSAFHLDSAEGHIEKSNESEEGFNIYAYGISEETALQAIKQLELEDMVTLTYNISEADAVIALQSKLKKNSQIQAAVKSQDIPVFFVKSNSLVQITRALRVLVDDLMDELIDFEDKEEVRSSEEADALEEARLAVEQVVIPKGESVLLLPRPRAIISSQANLVESFKLKWEVIGQEPNVCLKILPQFADTEEGTSTKLTDSSSSDGMDRAEDVVTRLPFLPE* >Brasy1G426800.2.p pacid=40056632 transcript=Brasy1G426800.2 locus=Brasy1G426800 ID=Brasy1G426800.2.v1.1 annot-version=v1.1 MPPLHLRLLRVSHTPLPSSRHLASSITPGLVTARPFSLHRASSSSSRRRAPPVLRNTQGDAAAAVGPEGFEEELDRLMELLPGELRRRVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDELHHATAKVGDFGGDNRAGISRTLHRISAIRNRKGDIVGLTCRVGRAVPGSAILLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQEMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVASAHGVTIENLTMNPSLEMLVGGIQSVTLGDEEANRRRVQKTVLERKGPSTFTYAVEIVSKTELRVHRSLEATVDALLAGRPPNVEIRKLGSNMSVQKEVAVQKEQFHRGSFQIGPEFEADSLNNARTSLDSAFHLDSAEGHIEKSNESEEGFNIYAYGAVLDIRGNCLASD* >Brasy1G556200.1.p pacid=40056633 transcript=Brasy1G556200.1 locus=Brasy1G556200 ID=Brasy1G556200.1.v1.1 annot-version=v1.1 MQHRAPNHQISPAPAPNHGRMLRSVHPPSSPTAAPPPTTKSRRRPPPTTRSAPPARKEGRKQEAGVPAWSASSGLRRRRGRRGGTAWPEHPNRRGSTVTGEARSRLHRRGDAAELRSAAVLERER* >Brasy1G474700.1.p pacid=40056634 transcript=Brasy1G474700.1 locus=Brasy1G474700 ID=Brasy1G474700.1.v1.1 annot-version=v1.1 MQAVASGVRRGLTIDPAGEEEPPAARFGRLVREIPVVVFARRGCYMAHVMRSLLAAVGAHATVIELDGAAEELAAAEGGAAGVPALFVGGAPVGGLEGLMGLHLSGRLVPRLREAGAIADYP* >Brasy1G510300.1.p pacid=40056635 transcript=Brasy1G510300.1 locus=Brasy1G510300 ID=Brasy1G510300.1.v1.1 annot-version=v1.1 MQTDARVGVVLDSRAGAATMARRQEQQRHIGTAAHLAAGGIAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSVWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKLLRMVPVLDDPNYVSVVRLLGGGLAGVTAASVTYPLDVVRTRLATQKTTRYYKGIFHALSTICRDEGGKGLYKGLGATLLGVGPSIAISFCVYESLRSHWQMERPNDSTAVVSLFSGSLSGIASSTATFPLDLVKRRMQLHGAAGTVPIDKSSIAGTIRQILRKEGPRGFYRGIVPEYLKVVPSVGIAFMTFEVLKSLLSSIDKDDDN* >Brasy1G573500.1.p pacid=40056636 transcript=Brasy1G573500.1 locus=Brasy1G573500 ID=Brasy1G573500.1.v1.1 annot-version=v1.1 MEMNSGDSLSKIPEPPRVTSMRARSVNDLPVGITRDCCDFSSNHCQPSPIPSGIGRIEWGLNGQTRKRQTRKRCCAVEMMSSLGLIVLICTLFYLDCTKAALLVLALTLGLPCLLFLVLVLVYDQYCKTKRVLASTPWDIVPAFYSFEDFVSDSSTQDHQRRLYNSSRVPQIEKNSFMRRRLGGRGQSSPAATTSSSRGSLPFLREHSRDGLKQTRSGCPVSILQSPRIIRDASCYVSHQKLRRPCGREQSSPAATSSSSSSSQAVIQNVANVVSWIFDTGASYSMTGDRANLLGVHGIAPVSVCVANGQNMYSNQCGRAYGAVNLSGVMYFPGASNLISSGHLTRLGCETSMKELDLVLTKAGRLVGYGRLLENNIYSLDFLDDSILGTICSCCPYPHAHASGAIQL* >Brasy1G372200.1.p pacid=40056637 transcript=Brasy1G372200.1 locus=Brasy1G372200 ID=Brasy1G372200.1.v1.1 annot-version=v1.1 MDVNPRQGTEHLHHIILDNIPTRHVEASGKAIGPRSLIQLHGAHHLPNILLREVALQLTEVAGRHLKRIEVQREIPVWSRSKEGEEEVVHRQRLGVMVSHHSPIHQQLANKVLPSAQLRVSHKAVAPALESLRHHPKPVKAHKRIVASGTFTPGSPNHIKEPLHLGPEALEDEPSAPKELGLQRKKKRAMVRGGRAKSRQEGVRVTRLPIHRREHPVELLKRHVFPLIGPSEPSAVQI* >Brasy1G468200.1.p pacid=40056638 transcript=Brasy1G468200.1 locus=Brasy1G468200 ID=Brasy1G468200.1.v1.1 annot-version=v1.1 MGDSSNANVQKAEELKLKANDAFKANKFSQAVDLYDQAIDLNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKAYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGDTERRSIADSLDYHIIEVEPQYTGARIDGDTITLDFIKQMLDNFKQQKCIHKRYAFQIVLQARDLLRSVPSLVDVDVPNGSHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVKSKLSDTFVELFAEVFCCLPLAHVINKKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCEILWSDPQPQLGRGPSKRGVGLSFGADVTKRFLEDNNLDLVVRSHEVKDEGYEIVHDGKLITVFSAPNYCDQMGNKGAFIRFSAPDLKPDIVSFSSVPHPDVKPMAYANNFLRMFQ* >Brasy1G552700.1.p pacid=40056639 transcript=Brasy1G552700.1 locus=Brasy1G552700 ID=Brasy1G552700.1.v1.1 annot-version=v1.1 MSGPTREEFYTIVDTAREEILKYLPSLGKVIYFDGWDGLGASAVLKSIAERLQSRRTTPELCFDRIIYIDCSAWKSDREMQRVIAEELRLERSVMAYFDKQDEEDDFDGVNEGSRGVILSVSEVIYETLTGHRFMMIFLNGSDDEVDVTRFGIPQFTDFCKNIMIWTFNRRCLAMHLDCSEVANKQGCTMELTSSEFRGLLHREAATIVSRNPLMLDINPRIIVDCCLYELFLHFSFHKATKFDWVPHGSNYWICDTIIQGDRTRDVINALQREINWKCDAPQLDYVHEMFKQNRESQFLVIKDDDVYVEGPYRWISVTSRNTEVHGMQTIPATASSFFLAFERSDHPQTLPNGFFKDASKLGVLILYCCISWSRQLYG* >Brasy1G126500.1.p pacid=40056640 transcript=Brasy1G126500.1 locus=Brasy1G126500 ID=Brasy1G126500.1.v1.1 annot-version=v1.1 MAEICCQEAKSTPATAAAVATVSASAAAVASSVMDRRRRRLEMRRFRLASDLEESAAEYARAGKRQRLARTGSGPPCPRAPGPVPQRPDFGPRYGFSSVCGRRREMEDAVSVRPNFLPGSAESHFFGVFDGHGCSHVATTCQDSMHEAVADEHSKAAGSSEEAPAWKGVMERSFARLDEKARNWATNRSGEEPKCRCEQQMPSRCDHVGSTAVVAVVSPTQLVVGNAGDSRAVLSRGGVPIALSVDHKPDRPDELERIQAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTERSDDDECLILASDGLWDVVTNEMACGVVRACFRSNGPPEPFAEAEPGNDGASSSSSGKGVSKAESHKACSDAAMLLAKLALARRSCDNVSVVVVDLRRGL* >Brasy1G266500.1.p pacid=40056641 transcript=Brasy1G266500.1 locus=Brasy1G266500 ID=Brasy1G266500.1.v1.1 annot-version=v1.1 MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYESTARTWTQRYAM* >Brasy1G266500.2.p pacid=40056642 transcript=Brasy1G266500.2 locus=Brasy1G266500 ID=Brasy1G266500.2.v1.1 annot-version=v1.1 MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYESTARTWTQRYAM* >Brasy1G266500.3.p pacid=40056643 transcript=Brasy1G266500.3 locus=Brasy1G266500 ID=Brasy1G266500.3.v1.1 annot-version=v1.1 MFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYESTARTWTQRYAM* >Brasy1G134300.1.p pacid=40056644 transcript=Brasy1G134300.1 locus=Brasy1G134300 ID=Brasy1G134300.1.v1.1 annot-version=v1.1 MAREDAALLLLLLVLLVSVGTADQESDRIRELPGQPPNVGFSQYSGYVTVNLARGRALFYWLVEAAPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNTSSDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAKLIYEKSKGIQNPIMNLKGFVVGNAVTDDYNDYLGTFEYWWSHGLIADSTYHNLKATCIFESSEHPSPECVKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYANVYYNLPEVQTALHANTTGIQYPWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIKALKLPTLMNWYPWYDHGKVGGWSQVYKGLTLVTVTGAGHEVPLHRPRQALILFRHFLKDTPMPTQ* >Brasy1G007800.1.p pacid=40056645 transcript=Brasy1G007800.1 locus=Brasy1G007800 ID=Brasy1G007800.1.v1.1 annot-version=v1.1 RKLQGYGNNGRERSKGGEKENSRFLFLFANLHHEFFLDPLVLLGGWVLGGLLDLVAVPEFHTNRAVFPGREVCCAASPLFGFLIGFGEICVAVSARTDRIMPQEFIFGGNSFVMF* >Brasy1G023700.1.p pacid=40056646 transcript=Brasy1G023700.1 locus=Brasy1G023700 ID=Brasy1G023700.1.v1.1 annot-version=v1.1 MAPLRRLSGPCLLLSMWMCLLILAVVLASGDGEVKDGPCYRTAIGVPKWCGGEFIQALFSNYKFKVSDKCCVLLACVEERSCVPELGRVCPPPATGPVMPHECPPK* >Brasy1G335300.1.p pacid=40056647 transcript=Brasy1G335300.1 locus=Brasy1G335300 ID=Brasy1G335300.1.v1.1 annot-version=v1.1 MTLDGPAERRDEGQQAAMANGNGMAPLPPRMSGQPSGAAPHSDQRLRLHPNTEHEPQDYTDVRGEYAPAVYSALELHLPPSLLEADRDDKLQFMQNILSRYWPRGERNKVQRHKEYRQKILHLYKPLHEELYSMHASAFFLPTFLEAVRTNTEESIASIMTEPIPGVFSFPMLQPKFCDMLFEEVDNFESWVHAMKFKIMRPNTMNKYGAVLDDFGLETMLNDFMEKFITPISKVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGVRCENHVNSETQHEEMYDYPHVPGRAVLHRGRHRHGARPTSSGLRMNLLLWCRSSVFREMKKYQTDFSNWCGECQREKTERQIQSVKATKLAFLRGVGGAMI* >Brasy1G062000.1.p pacid=40056648 transcript=Brasy1G062000.1 locus=Brasy1G062000 ID=Brasy1G062000.1.v1.1 annot-version=v1.1 MAGGGSSSDAEAELRRGFKTLAVTRPDPSAAVYEVRLNRPAQRNALSPDSFAEIPRAMALLDRLPAARAVVLSAAGPHFCAGIELGGPGNPLTASSGAADPVAAAEGLRRAILDMQAAMTAVELCRKPVIAAVHGACVGGGVDLVAACDIRYCSKDATFVLKEVDMAIVADLGALQRLPRIVGYGNAAELALTGRRITAVEAKEMGLVSRVFDSKQEMDAAVAKIAKDISEKSAWAVMGTKAVLLRSRDVTIEQGLEHVATWNAGMMRSSDLMEAIKAFLEKRKPVFSKL* >Brasy1G165800.1.p pacid=40056649 transcript=Brasy1G165800.1 locus=Brasy1G165800 ID=Brasy1G165800.1.v1.1 annot-version=v1.1 MRAKALSLLLFFVATTFFSDSIAIDSIDKTASITGNSTLVSARGVFRLGFFSPAGSSDGRTYLGIWYGAIPVQTIVWVANRQNPVLRSPGILKLSTEGRLVLIDGQNTTVWSSAAPTRNITTTHGATARLLDSGNFVVSSDGSGSPQSVAWQSFDYPTDTQLPGMKIGVDRKNRITRNITSWSSATDPAMGSYTFKLVTGGLPEFFLFRGPTKIYASGPWNGAMLTGVADLNSPGYRFAVVSDPEETYCTYYISNPSVLTRFVVDGTATAGQVQRYVWAHGEWNLFWYHPTDPCDSYGKCGPFGFGFCDASQTPQCSCLPGFEPREPEQWSRDGSGGCVRRTSLSCGAGDGFWPVNRMKLPDATNAMVHAHMTLDECREACLGNCNCRAYTAANASGGVSRGCVIWAVDLLDMRQFPAVVQDVYIRLAQSEVDALNAAADAAKRRRRRVVIAVASTISGALLLAVVVCLCFWKNRARRKRQHQAETAPGSQDNVLPSRVRKYPDLSSAQDQRLGENKMRGQEDLDLPVFDLAVILVATDNFAPECKIGEGGFGAVYLGRLEDGQEVAVKRLSKRSAQGVEEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEFMHNNSLDTFIFDEGKRKLLNWNKRFEIILGIARGLLYLHEDSRVRIIHRDMKASNVLLDRNMIPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGLFSMKSDIYSFGVMVLEIVTGKKNRGFYDVNLDLNLLGYAWMLWKEGRSTELLDEAMMDDGCDHNQVRRCIQVALLCVEVQPRNRPLMSSVVTMLAGENATLAEPNEPGVNIGRDTSDTGSSQTQTELTMTATETR* >Brasy1G240000.1.p pacid=40056650 transcript=Brasy1G240000.1 locus=Brasy1G240000 ID=Brasy1G240000.1.v1.1 annot-version=v1.1 MSDGASRRFGEKDFELMLSKSDVRESSYRKHSLWMAHWTRDGNSAEPLNAKSCPFEEIDDVGYSKDCGNLPFELMKARVAERLMVGVSHGGASSGSTRQLSSNMWGVAHDVSQEVQYKNTDQFDRPFESLNVQKNVNLYAAKTVVSGRFSVHKPSDLSVDSHKLLSSDSLSSEWSHFPMFAINRKIDSILNPRRSSLATSSDKIFVPQNTLKANISTSNVMAFSSKEYQFQTHQVSDENMTHCKSAGVILSHLDDDVGLNSDRAGRKLKGPLSIEESCSCSKDETNSACSLLADELRSSHSKGSPFWSGKDKFMFEASRKENEIVEDFFLQQKLGKSEGCQKEQDFEVVFHEPALGSEYQMKSVNASSISKGIDVDINGHAVTFADLLQGERHHPSTQNGDSPVNLTDSSKLPDTIEKISTMKSKGEALACRKPPKQKLTHSKQKGSCLFEMLTLPCKSHVTCSKDPTCSGNSCSNKGRCSLGTQKQFSAKTDTYADTYHASKSTAGFASMPIQKDRGCSDSGKTERLVSCEGNETINMCSEHQNSSSKAACASNQDWSLPKTSSKNLDLVLFQISRMRNPISKALTESPASSDPSDKWLKRLKCDISDSNVSCSKRLKIGDGPATGGTCAMFGEVLDYKRDRTCMIKHVKEDQLMHETSMEQENQDVSSISAKSLNHWIGRWCQGGTPSFHGTSSLGKQSRKSTSPPDLLEGQFPSIAAMAMMGRVMNKLRPCELQKKGPSVVWNTEGL* >Brasy1G240000.2.p pacid=40056651 transcript=Brasy1G240000.2 locus=Brasy1G240000 ID=Brasy1G240000.2.v1.1 annot-version=v1.1 MSDGASRRFGEKDFELMLSKSDVRESSYRKHSLWMAHWTRDGNSAEPLNAKSCPFEEIDDVGYSKDCGNLPFELMKARVAERLMVGVSHGGASSGSTRQLSSNMWGVAHDVSQEVQYKNTDQFDRPFESLNVQKNVNLYAAKTVVSGRFSVHKPSDLSVDSHKLLSSDSLSSEWSHFPMFAINRKIDSILNPRRSSLATSSDKIFVPQNTLKANISTSNVMAFSSKEYQFQTHQVSDENMTHCKSAGVILSHLDDDVGLNSDRAGRKLKGPLSIEESCSCSKDETNSACSLLADELRSSHSKGSPFWSGKDKFMFEASRKENEIVEDFFLQQKLGKSEGCQKEQDFEVVFHEPALGSEYQMKSVNASSISKGIDVDINGHAVTFADLLQGERHHPSTQNGDSPVNLTDSSKLPDTIEKISTMKSKGEALACRKPPKQKLTHSKQKGSCLFEMLTLPCKSHVTCSKDPTCSGNSCSNKGRCSLGTQKQFSAKTDTYADTYHASKSTAGFASMPIQKDRGCSDSGKTERLVSCEGNETINMCSEHQNSSSKAACASNQDWSLPKTSSKNLDLVLFQISRMRNPISKALTESPASSDPSDKWLKRLKCDISDSNVSCSKRLKIGDGPATGGTCAMFGEVLDYKRDRTCMIKHVKEDQLMHETSMEQENQDVSSISAKSLNHWIGRWCQGGTPSFHGTSSLGKQSRKSTSPPDLLEGQFPSIAAMAMMGRVMNKLRPCELQKKGPSVVWNTEGL* >Brasy1G421400.1.p pacid=40056652 transcript=Brasy1G421400.1 locus=Brasy1G421400 ID=Brasy1G421400.1.v1.1 annot-version=v1.1 MATSHSQEAMMFSASPLVLVLLLLLLSISSSIAHGAGAGGDEERRPQRFTVVQTSHLQPQSICSGLKVIPSGQNRTWVPMHRPYGPCSPSPSPSLPEILQWDQVRTASVRRRAMSASGPGSDDDDVLMQVRVRQMDFLLKAPFGIGGSGSGAGAGGSRRLMADGDPTAQTMAIDTTIDVPWIQCRPCPIPQCYPQRDALFDPTRSSTAAPVRCGSRACRALGSNYANGCSRSRRRSRRSSSSNSSRSDCNYRVAYSDGRVTAGTYMTDTLTISPGITFPNFRFGCSHSVRGRFSGQTSGTMSLGGGRQSLLSQTARAYGNAFSYCVPQPSASGFLSLGGAIGSETSGFASTPLVASANPSFYVVRLQGIDVAGRRLNVPPAVFNGGALMDSSAVVTQLPPTAYRALRRAFRNAMRRYRRINARNGSAGKILDTCYDFVGLDNVTVPAVSLVFSGGAVVRLEPMAVMMEGCLAFVPTPLDSDLGFIGNVQQQTHEVLYNVGARNVGFRRGAC* >Brasy1G013600.1.p pacid=40056653 transcript=Brasy1G013600.1 locus=Brasy1G013600 ID=Brasy1G013600.1.v1.1 annot-version=v1.1 MAPMAQAWAFSFLLLLALFSSFLICSSNGERVFLYPQSPKVSSIVSKRYRTAYHFQPPKNWINDPNGPMYYNGFYHEFYQYNPNSSLWGNIVWGHSVSTDLVNWIRLEAAIERDTPSDINGCWTGSATILTGDQPVIIYTGADTENRQVQNIVLPKNQSDPYLREWIKAGDNPVIQPVGPGLNSSQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFLSWTRVDHPLYSSKSFSMWECPDFFAVLPGNKNGLDLSAAIPNGSKHVLKMSLDSCDKYMIGVYDLKLDTFVPDTVPEDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDGDGKQLLQWPVQEIESLRTDKISHQGIELKKGDMFEIKGTDTLQADVEIDFELTSINNTDPFDPSWLLDTEKHCRVADASVHGGVGPFGLVVLASDNMAEHTTVHFRVYKSQQKYMILMCSDLRRSSLRPGLYKPAYGGFFEFDLEKERKISLRTLIDRSAVESFGGDGRVCIMARVYPVALVDDSGARMYAFNNGSSTVKVPQLRAWSMTRAQVNVNKV* >Brasy1G500900.1.p pacid=40056654 transcript=Brasy1G500900.1 locus=Brasy1G500900 ID=Brasy1G500900.1.v1.1 annot-version=v1.1 MGGEPLLTSLSMENNSNNSHPCTLLSMDTAGSHPASAESSGGGGVIVNGSAAGAGGGDRELFVIPRHESVRRHGPPDINLPLSADPSPPPTSWSLDPFDILDVGLGTQTYESEVALTLPPKSSGNGGSAAGDSIWGAWFFFDHYFRPALVEKPKGKVTRDASGSISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDSALGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVNSPNLSAVPEADMKRWAELTGREINFSIPPEASDFESWRNLPSTDFELDRPQPPSSKTVAHSSHNHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDLFGHCAEEDCVMANNSCSDREQEVEVHTVAGEPSWMHDFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRMFKLTDPAPEHCPPGEFVREIPLATRIPENAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDSDLVLS* >Brasy1G269000.1.p pacid=40056655 transcript=Brasy1G269000.1 locus=Brasy1G269000 ID=Brasy1G269000.1.v1.1 annot-version=v1.1 MVAGRVKAAMGFHSNPKAPKSPAPAEGHTQTPARAPPSSSSSPATASKASSAFARSLGAYFPRSSSQVQPARASPEVAGLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSGELEKCKETAARLESENLRLCAELDAAVLEVTSRKQRIVQMENEMAELRKQQQEALAADADDCCSSSASASVGSSSAAANPAGSAQLGAEPSAPPPMQRQTPAFISKSYSVSLQASPPSSPSSSPSSSMSTSPMNSGSSSDTAAAPARARVPELSKLPPIPPPRPPLVPAPCSLSVSSSPTSSLGVCGSAGAAPPPPPPPPPSRRTFRACSPVKSGSCVRRVPEVVEFYHSLMRRESKRDGGGGGDTANGGGAAATRDMIGEIENRSAHLLAIRSDVERQGDFIRFLIKEVEGAAFANIQDVVTFVKWLDNELSRLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLEAEASSFRDDARQPCAAELKKMQALFEKLEHGVYNLARGRDGATSRYSRFQIPWDWMQDTGIVSQIKLQSVKLARKYLERVSSELEAIKVGPDEEELMLQGVRFAFRVHQFANGFDADTMRAFQELKEKASMCRFQRQKQNRHLRQQRLVART* >Brasy1G002100.1.p pacid=40056656 transcript=Brasy1G002100.1 locus=Brasy1G002100 ID=Brasy1G002100.1.v1.1 annot-version=v1.1 MASSSSSSSSEGGWAKLSLLLAFFGLQAFIYALIAQDKKPLLGRGSMAGNGYAMCEFPRASVALGSVSILSLLLTIITGHAAVFYKSPLAVPRRALFRSTILLVFFLVAETVSASAVAMLVGATMADHDSLRYYYQLPKDGGGSISCPPTAPGGRFGGGALLALDATLMWFVCQLLALEARANYLDRLHQDDGSKRNKTKKGDDAHAHHLQEASALP* >Brasy1G352500.1.p pacid=40056657 transcript=Brasy1G352500.1 locus=Brasy1G352500 ID=Brasy1G352500.1.v1.1 annot-version=v1.1 MSKRQCMLQPCCHTAKRRPHQPRQHVYLVVDDWERGYSVRKVDVDVFDSYAGADLDEQAEPLPDPPVMRFDGPHCRLDHFGGHGTNILAMPVSSTADSAFPVFDTKTLGLSLCAHPNGEKVWRKPVFASVAGKLYMMVGSCVCVLGAPPCRDIIDEHTQWSWTTCSALAPLQSAHIVSYAVHPDGRSLFVSEVASTFCLDTGNLGWTCQGKWVMPFKGQAYFDGELDAWVGLCRHKGGVGYVCSCDVPPVATAAKCGSMPAWKLGKDQLFSADNESLVHKDDQPFELCHGPRRRVLYMTRFVLKYDKNGDLRTTRLRVHSYDMTEAHVFNDICSTPVAFWM* >Brasy1G288400.1.p pacid=40056658 transcript=Brasy1G288400.1 locus=Brasy1G288400 ID=Brasy1G288400.1.v1.1 annot-version=v1.1 MAAAHILQLLYPCLPETPFTGDFLPYDAGSSSSSDADGGGGEDRISALPDDLLRNIISRLPVKDAARTTTLSPRWRGLWRSTPLALEDRHLFRLSYMSDDGIDWSTLAADVSRVLVSHPGPFRWVHLSWNFMGDREKTLAKWLRLFAAKGVESLVLVNRPWPLDVPLPATILRCASLRRLYLGVWHFPDTSRLPRGPGVFPHLQELGICHTIMQECDLEYLFDCSPDLQIFGLILSYGFPSSVRIGSESLLCMIFWMSMAEELAVVAAPHLQRLILHSAGTSRHTMKVKIGNASELTVLGYLDTATHMLEIGNTIIKAAVTNVTPNATVPSVKVLALKVGFGDAEEVKTLLSFLRCFPNVETLHVMVSSRGASDDENEEEEEKDDNKDGDENEENKEQDDNKEGGEGIGELSSMIGQEVGPIECLESHVKKLVLDQFSFGVNEFAFLKLVLGRAQMLQNVELVLGLLTGGKPSVDAAEAMGMLRMLGTMKWANEECELAVRASRGHAWSYRRASDLSLSDPFLG* >Brasy1G239000.1.p pacid=40056659 transcript=Brasy1G239000.1 locus=Brasy1G239000 ID=Brasy1G239000.1.v1.1 annot-version=v1.1 MPWTLRERKRRPSARGSAAAAASQATTAAATARDDGERAPFALALEAEEIEEDIYALTGARPRRRPRKRPRIVQRQLDSLFPGLWLTEITADAYRVPDD* >Brasy1G029000.1.p pacid=40056660 transcript=Brasy1G029000.1 locus=Brasy1G029000 ID=Brasy1G029000.1.v1.1 annot-version=v1.1 MGAKEVKVVESCLVKPGEETPTHGLWLSPLDLEQVGGGHTPTIYFYRSEPGSAGDFFDVARLKAAMAKALVAFYPLAGRLSVDELRKIFVPHIDDSSAIVSGIQVTFLKCGGVALGTALHHVAVDGISAFHFFQTWSAFSRNNGDNVIAPSELPCHDRTLLRGRCPPIVHLDALNVFYPFKLNPCEPLGAITNKIFVISNNQVHALKRACGGVSTFCAVTTHVWRCVCAARQLPPDTMTRLALMANVRGRMRPPLPACYFGNAIIWLTSTCKVHDVVSPSEEVMASVASRIKGTIRQMDDEVVHSAIDYLELQEMGSQPAPPTGNSLPKTELWVVSWLGMPVYDADFGWGKPLMMLRAVVLRAGVVYLMDGRQGDGSVQIVVCMYGDCNSQRVRTTAICNVLVSSWRCRDR* >Brasy1G135400.1.p pacid=40056661 transcript=Brasy1G135400.1 locus=Brasy1G135400 ID=Brasy1G135400.1.v1.1 annot-version=v1.1 MKGRGLDQWLILHLVSALVYHLPKWESGRHNLC* >Brasy1G041800.1.p pacid=40056662 transcript=Brasy1G041800.1 locus=Brasy1G041800 ID=Brasy1G041800.1.v1.1 annot-version=v1.1 MGAFASGSCRSVKTSIYVVSLVVAVLFYIGVQILVFVCEPVKVLIHDCQEALESYLQFKRLGEQIHQHQQQQSRVAFEHRGNGDAAAYQILRKSRMYLLLLGILAASVTYQAGLNPPGGFWQGDAADGIHHYQAGDPILHITYPRRYLAFFYCNATAFVASLVILILLLSNIFSTHGIKYCALQVAMILDLFGLIGAYAAGSCRQASKSVYVAVIVVPVFLYVGIHVVVFMVKSFPALAAWREAARVAMERRAPERLKEVFERRPEGDEAMERKLEKRRKLLLLLAILAASLTYQAGMSPPGGFWQENVSGHVVGNPTLSDNYRRRYLAFFYCNATAFVASLAIIMLLVNRRLSSRGIRCYALRVCVILDLFGLMGAFAAGSCRKVSTSIYVIALILAVLLCVALQVAFALSETARGLVEKLMSMIGELELEDDANAGYVLPSTAGEEAPRGLWDDKLPKYLLLLAALAAAVTYQAAMSPPGGLWGDGGHGGHHVAGDPVLASVHPHRYKAFFYCNATSFMASLVVTVLLLIRKVSDTPPALLALHAAMILDLLGLMGAYAAGSCRRQKTSAYILALVVGVSAYITVLVVVSVGVARWLKSVMDKLAARLTWCFSLHDL* >Brasy1G181000.1.p pacid=40056663 transcript=Brasy1G181000.1 locus=Brasy1G181000 ID=Brasy1G181000.1.v1.1 annot-version=v1.1 MSRASWLLTPWAPWAALVSLAAAITTLLVLSLASSSSSFLQDAAPAYDYDLGGLYGPDVAAGAPAAVLPRRGPGYPPVLAYYISGGRGDSVRMTRLLKAAYHPRNRYLLHLDAGAGAYERARLAGHVRASFHEFGNVHVVGKGDPVDGRGASAVAAVLHGASVLMRVGADWDWLVTLAASDYPLVTQDDLLYAFSSVPRGLNFIDHRMDFDSPQEIVLDQNLLQSTNAGISISSGQRPKPDAFELFRGSPWTILSRAFVEHCVLAPDNLPRTLLMYFSNALNPMEFYFQTVMANSPHFKNSTVNHSLRLDVPDGPPPPHDANGNRSRYDALVSSGAAFAGRFGDGSGDEALLQRIDEEVLRRPLDGVTPGQWCAGSDEEPASGDECSVGGDVDVVRQGEAGRRLASLMAGLVGAR* >Brasy1G242600.1.p pacid=40056664 transcript=Brasy1G242600.1 locus=Brasy1G242600 ID=Brasy1G242600.1.v1.1 annot-version=v1.1 MDPRDRNFTNHTIPHSPKSHSENLNIALSQYSQGYSQNYSPEQPKQEIESDASSPEEDGRKGVRINWNDEENVRLASSWIENSNDPVEGNAKKSEFYWRSVTEDFNKNRPTNGTIRTAKQCKSHCSTLNKGIAAFIGVYERAKSAYSSGQCDKMLKTKTREWHKAENNQKAFTMEYLWDRVKDNPKWRRIYVKDDKSKRTNISETGAYASSSNPGEETTRERRPEGQKAAKARRKAKAKSEGQVAIASATNERTKFNKMSKYLDMMEKDTSSLSEERLKRHNQALDQMQSGPWF* >Brasy1G433800.1.p pacid=40056665 transcript=Brasy1G433800.1 locus=Brasy1G433800 ID=Brasy1G433800.1.v1.1 annot-version=v1.1 MLFPVRLPPPVVAAAASILAAVDLRRYLYSQPRESTSMATSAHRYTTSAAVTLLVLSGKSPEDQQLLASSAGSISLEEGESGGGGVTVSLAPATGVDAGFDAGAYMGALRARRFGRWMLWSPRMGSTHDLVSQNFAKLPVGVVCVADVQFKGRGCLMFSFTSQMSDARKLPLMQYVVCLAMTEAIKELTCAKGLSELDVRIKWPNDLYLNGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKKLNADSPGLKQEDILASFFNKFEDLFEIFTNHGFKALEERYYNSWLHSGQRVIVQDAPEGQGRSVDSVVTIQGLTPSGYLHAIGDDGKSYELHPDGNSFDFFRGLVRRKLKA* >Brasy1G518600.1.p pacid=40056666 transcript=Brasy1G518600.1 locus=Brasy1G518600 ID=Brasy1G518600.1.v1.1 annot-version=v1.1 MFSTSTSSSASRTNSTAPSRLPTTAAAPTTPGTPPTPLPSRSSASLSLLLPLVPPPPSHASRDWENEVLEAPPKPPPRRTGSRHRPTREPPPHSDVEGSAAATERERERETERETERRDVD* >Brasy1G249700.1.p pacid=40056667 transcript=Brasy1G249700.1 locus=Brasy1G249700 ID=Brasy1G249700.1.v1.1 annot-version=v1.1 MGRSGLESEIAGRRGCRLWASASFCFFLSTETAAPLIVICFFSVSFVCSGAMASMMLCSSYSMPAAAAARRGSGAASLGFATSQLAGVSLNLAASSATTAVVVKHTIVARRICPFLEKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRYVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK* >Brasy1G515500.1.p pacid=40056668 transcript=Brasy1G515500.1 locus=Brasy1G515500 ID=Brasy1G515500.1.v1.1 annot-version=v1.1 MGLLQRPCLGAGQRARNGACARFPWGLRFRSASACMIEPSALVFLFASFLLVSVEDFIGEAFRFRLDMSTMAMRMMRSMGTHGRRLPAMQVDGAAAGGCSLLPCRWRSSLPQLDPVDRSDEDSNGGDIDWDNLGFGLTPTDYMYVMRCSRGEAGFSRGELSRYGNVELSPSSGVLNYGQGLFEGLKAYRRSSDRGGYTLFRPEENARRMQTGAERMCMPAPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGAILGLAPAPEYMFLIYAAPVGTYFKEGLAAINLLVEEEIHRAMPGGTGGVKTIANYAPVLKPQMDAKSKGFADVLYLDPVHKRYVEEASSCNLFVVKGGAVATPATAGTILPGITRKSIIELARDRGYQVEERLVSIDDLVSADEVFCTGTAVGVTPVSTVTYQGTRYEFRTGQDTLSRELYTDLTSIQMGLAEDKKGWTVAID* >Brasy1G515500.3.p pacid=40056669 transcript=Brasy1G515500.3 locus=Brasy1G515500 ID=Brasy1G515500.3.v1.1 annot-version=v1.1 MGLLQRPCLGAGQRARNGACARFPWGLRFRSASACMIEPSALVDGAAAGGCSLLPCRWRSSLPQLDPVDRSDEDSNGGDIDWDNLGFGLTPTDYMYVMRCSRGEAGFSRGELSRYGNVELSPSSGVLNYGQGLFEGLKAYRRSSDRGGYTLFRPEENARRMQTGAERMCMPAPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGAILGLAPAPEYMFLIYAAPVGTYFKEGLAAINLLVEEEIHRAMPGGTGGVKTIANYAPVLKPQMDAKSKGFADVLYLDPVHKRYVEEASSCNLFVVKGGAVATPATAGTILPGITRKSIIELARDRGYQVEERLVSIDDLVSADEVFCTGTAVGVTPVSTVTYQGTRYEFRTGQDTLSRELYTDLTSIQMGLAEDKKGWTVAID* >Brasy1G515500.2.p pacid=40056670 transcript=Brasy1G515500.2 locus=Brasy1G515500 ID=Brasy1G515500.2.v1.1 annot-version=v1.1 MAVLSSSAKRVLPWASCNGRALARVSGLGTVDGAAAGGCSLLPCRWRSSLPQLDPVDRSDEDSNGGDIDWDNLGFGLTPTDYMYVMRCSRGEAGFSRGELSRYGNVELSPSSGVLNYGQGLFEGLKAYRRSSDRGGYTLFRPEENARRMQTGAERMCMPAPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGAILGLAPAPEYMFLIYAAPVGTYFKEGLAAINLLVEEEIHRAMPGGTGGVKTIANYAPVLKPQMDAKSKGFADVLYLDPVHKRYVEEASSCNLFVVKGGAVATPATAGTILPGITRKSIIELARDRGYQVEERLVSIDDLVSADEVFCTGTAVGVTPVSTVTYQGTRYEFRTGQDTLSRELYTDLTSIQMGLAEDKKGWTVAID* >Brasy1G272500.1.p pacid=40056671 transcript=Brasy1G272500.1 locus=Brasy1G272500 ID=Brasy1G272500.1.v1.1 annot-version=v1.1 MSMMSLQLLAPILGLPARNGCASRRLRVTVVSCKHNQSTDVQGASLTSRISRRGALSYVSCAFLATFLVTDRAEARTSRQENKRKVMDKLEKIREKALGPKEKNEGTGEEKGHVANLLIPPTLVDAYI* >Brasy1G084800.1.p pacid=40056672 transcript=Brasy1G084800.1 locus=Brasy1G084800 ID=Brasy1G084800.1.v1.1 annot-version=v1.1 MATLLRRASLRRAIASASAAAASSCPESYRQVICGSTFHCRDFASKAKKKTKSSGTDSGEENMSKKDLALHQAIDQITSSFGKGAIMWLGRSEGHREVPVVSTGSFSLDLALGIGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGGGYCAFIDAEHALDPSLAESIGVDTSNLLLSQPDSAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRAKVSTFGGFGGPTEVTSGGNALKFYASVRLNIRRVGLVKKGEETIGTQVNVKIVKNKHAPPFRTAQFELEFGKGISRSSELVELGLKHKLVKKTGGAYYSFNEKAFHGKDALKSFLTGNESIAKELEMELRRLIETEAPIKQEAEDDLLSDLPEETVRSEASSEEDLAAVIEA* >Brasy1G114600.1.p pacid=40056673 transcript=Brasy1G114600.1 locus=Brasy1G114600 ID=Brasy1G114600.1.v1.1 annot-version=v1.1 MLTKFETKSNRVKGLAFHPRRPWILASLHSGVVQMWDYRMGTLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIISASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQAVRVWDIGALRKKSSSPADDIMRLTQMNTNMFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDMVFYVKDRFLRFYEYSTQKEVQVTPIKRPGSISLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDTKKGAGGSAVFIARNRFAVLEKSSNQVLVKSLKNEIVKKSPLPIATDAIYYAGTGNILCKAEDRVAIFDLQQRLVIGELQVPAVKYVVWSSDMESVALLSKHAVVIANKKLVHRFTLHETIRVKSGAWDENGVFVYSTLNHIKYCLPNGDSGIVRTVDVPIYITRVTGNNICCLDRDGKNNIIMVDASEYIFKLALLRKRYDHVISMIKNTQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLLTGYLDKVGFMSKIAGQNNNLMGQFHNALYLGDVRKRVEILESAGRVPLAYVTAATHGLTEIADRLASELGENVPSLPEGKDRSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAEQDEDYEDAGGDWGDEDLEIVDASNVVENGDIIGHVEESETNEEVGGEEGGWDLEDLELPPEAETPKATGPSRSALFVVPTPGMPVSQIWTQKSSLAGEHAAAGNYDTAMRLLSRQLGIRNFAPLKALLLDAHMGSHTFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFSFSQMDDKLKAAYKATTEGKFPEALRQFLNILYTIPLLVVDSRREVDEVKELIEIVREYVLGLKMEVKRKELKDDATRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENSPNEAQAKKARQVLQACGDRKDGHQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCASRFVPSVEGQLCSICELSVVGADASGLLCSPTQSR* >Brasy1G156100.1.p pacid=40056674 transcript=Brasy1G156100.1 locus=Brasy1G156100 ID=Brasy1G156100.1.v1.1 annot-version=v1.1 MPLPQHLAAGELLDALRRAARPSSALHLYSLLRIRLRPTDPSSFAWRAALLALKPLSAASCFPLLSHFHAHLLRSNLLAYPHVASSLLHSYSLLSPSVAHHMFDQIPPATCNLVVVNVMLASVCRSSDLASARLFFDGIPDKDVVSWSTMLDYVSLVTVLTGCAPAGLLPPFCRSVHGYAVRRCVPANRHLGTALIDCYAKAGRLDYASRVFARVPSRSVMHWTAMICGMAMHLRSDEAIRLFEKMRWQGVQPNKMTFTAVLGACGHAGLVDQAREFFKLMVKKYGLEPTIHHYGCMVDVFAKAGQLEDAYDVIKTMRMEPNIIIWTSLLAACKKFKNFDIAVEGMEKVLAMEISDENGGVYTLISDLYAMGGRWDDVMKVRRLMEEHNVRKKRGSSSIKAGANPGA* >Brasy1G132800.1.p pacid=40056675 transcript=Brasy1G132800.1 locus=Brasy1G132800 ID=Brasy1G132800.1.v1.1 annot-version=v1.1 MSPVHQKEREVFETRTTRETRYGNDWYHRTDIGSVRRSALLARTLLPSLHGTTYFLSPSLLLLLGRNPFLHLLCYFLLCATSRISVAEFMYGQTIYSHRSVTSISDFAPT* >Brasy1G051000.1.p pacid=40056676 transcript=Brasy1G051000.1 locus=Brasy1G051000 ID=Brasy1G051000.1.v1.1 annot-version=v1.1 MEVDRRRLLKAAVLLCSLLVVCSGREPSIKHHPSTSIYNSTLAKTLVEYASAIYTADLTQLFTWTCARCRDLVEGFEMIQIIVDVENCLQAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTLRDGVVNGIQKTRRLYGDVPIMITGHSMGGAMASFCALDLVANYGFDGVRLMTFGQPRIGNAAFASYFKRYLPHAIRVTHAHDIVPHLPPYFTFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPTCSRSVSGNSVLDHITYLGVSMHAEAPSSCRIIGNHAALRYEMDLRGAAWPVRGAKTQYAVNPCKDVASIYSQ* >Brasy1G159900.1.p pacid=40056677 transcript=Brasy1G159900.1 locus=Brasy1G159900 ID=Brasy1G159900.1.v1.1 annot-version=v1.1 MASLSQLIARPTTVQCHPWSPCSNSSSLKPRRAVRRVRCTLSTDATGGRAEHDGSTRLDRRDVLLRLGTLGASATAGLLSPRLAGAAPVSTPDISSCGKPDLGLPPNANLLTCCPPPSNALPVDFSPPDPSTPLRTRPAAHSVGADYVAKFNRAVAAMKALPAEDPRSFAAQASIHCAYCNGSYGVEGFPDADLQVHNSWLFLPFHRCYLYFFERILGSLIGDPSFAMPFWNWDAPGGMRMPAMYVDPKSPLFDPRRDARHAPPELINLDYNGREPTFTDRQQVDHNLRVMYRQMVSLSPTPSLFFGGAYRAGDDPDQGPGPMENIPHGPVHIWCGDPNQPAGEDMGNFYSAGRDPLFYAHHGNIDRMWSVWKGLDPRRHRDLTDPDWLDSSFLFYDETPKLVRIRVRDVLDTNRLRYRFQDVPMPWTTARPTVTPRARSFGTPTAVAASAKKATKFPITLDSATSVTVRRPVSSKRSKLEKSAKEEVLVIGGIEVDMDVAVKFDVFVNAGDDHAAVGSGGRELAGSFVSVPHRHRHDKKEKRIKTKLRLALNEQLEDLDAEGDESVVVTLVPRQGKGKVKIGSVKIELID* >Brasy1G033900.1.p pacid=40056678 transcript=Brasy1G033900.1 locus=Brasy1G033900 ID=Brasy1G033900.1.v1.1 annot-version=v1.1 MMRRRWHYLLWAAAGALLVLAAAALDLGSSAEHHRLPPPLRFPSCGRFKAALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNVAIRNASLYWDRALSAARRRGVPWATVFGNHDDMPFEWPPEWFSPAGVPPLRCPCPPATATAPSSVSECCSFRGTPRIELMAADTDNGLSHSSSGPAELWPGVSNYVLQVLSREEEEEDDPALLMYFLDSGGGSYPEVISGAQLKWFQTQSNFLNPDGRIPELIFWHIPSTAYSKVAPKAKTRIRKPCVGSINKEDVAPQEAEWGMMDAVAKRPSVKAVFVGHNHGLDWCCPYEELWLCFARHTGHGGYGDWPRGARIVEITEKPFSVVSWIRMEDGTTHSRVILSS* >Brasy1G432500.1.p pacid=40056679 transcript=Brasy1G432500.1 locus=Brasy1G432500 ID=Brasy1G432500.1.v1.1 annot-version=v1.1 MSTPTRSGSAWAPRSTACLPVVTTHHHVPAPSNAFRAALKRARAHGCSRRGRSLRLAESAGKVERLVASVLVDPSVDRVACRAVIADHADAVSSVSSDTTTTDPPHPVVKEIGTLAGGRRRQPSSLGDIRPLQLVLLDGRVCCESQASQAKSGTAEVVSTPTTATVSRLLRRYHDTLPSRPTHRSSGRTRTSCMCGALELRVPWHRAIVPGISAAVLRCRSGMMRWARGKPTSSSQRMTSTWLLFGGRDDDGGNLVARELARLVFGSYREFTALPVPDGRSIITPIHSDKQLVAIKSERSADNDGGGGGYPELGEMLFEVIRENPHRAVSLEDVIVVLMTSEVVDSGSSVVLSPRMKRPGIGRESRGEEDGTHREVRSRRFSFDLNASAEDGKEEEDDLADEEAGIMDVVDGVFFFN* >Brasy1G441200.1.p pacid=40056680 transcript=Brasy1G441200.1 locus=Brasy1G441200 ID=Brasy1G441200.1.v1.1 annot-version=v1.1 MSDKSFLTELAALSRLRHKNLARVVGYAWEREAGNGRMMKALVLEYMDNGDLDAAIHGGRGAPRWATLAERLRVCVSVAHGLVYLHSGYGGSPVVHCDVKPSNVLLDADWEAHVSDFGTARMLGVHLTDAPAQETATSSAFRGTVGYMAPELAYMRSVSPKADVFSFGVLAMELLTKRRPTGTIEDGSGMPVTLQQLVGNAVSMGVEAVAGVLDPGMSKAATDADLCAAADALRVACSCAAFEPADRPDMNGALSALLRISNACGPGKSRIVH* >Brasy1G370000.1.p pacid=40056681 transcript=Brasy1G370000.1 locus=Brasy1G370000 ID=Brasy1G370000.1.v1.1 annot-version=v1.1 MSASKKRNQKRRTLLCFSKEKGCRDEDGVNKSHRQRFLSAAATAGPSIPPPSWLRFASPFRFHDSDIQARDGGDHEEGEGDARAGLLRRRRPPPPQPPSPSAPSPSSSPPASTEGGGKTTRKGEIAKAIRDRLSSLSPSRTTRFSCWGNAVATRQPIRRSRSGGEQGTPVAVTATAAMPSRCACVNGDACGCCARTSKGKAVAAAAGARSLVERNDFYCDECNPHK* >Brasy1G404500.1.p pacid=40056682 transcript=Brasy1G404500.1 locus=Brasy1G404500 ID=Brasy1G404500.1.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKVENRDIIVRDNSRFHHFVKGGCSCGDYCQYQCGRCNYSLGMEQRVVTYCSYDQRDHDLWKARKFFH* >Brasy1G404500.5.p pacid=40056683 transcript=Brasy1G404500.5 locus=Brasy1G404500 ID=Brasy1G404500.5.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKVENRDIIVRDNSRFHHFVKGGCSCGDYCQYQCGRCNYSLGMEQRVVTYCSYDQRDTM* >Brasy1G404500.3.p pacid=40056684 transcript=Brasy1G404500.3 locus=Brasy1G404500 ID=Brasy1G404500.3.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKVENRDIIVRDNSRFHHFVKGGCSCGDYCQYQCGRCNYSLGMEQRVVTYCSYDQRDTM* >Brasy1G404500.4.p pacid=40056685 transcript=Brasy1G404500.4 locus=Brasy1G404500 ID=Brasy1G404500.4.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKSVPMWALQLFLRNGTESCDLLLLRSKGYYVRSITLQSALLFAYE* >Brasy1G404500.6.p pacid=40056686 transcript=Brasy1G404500.6 locus=Brasy1G404500 ID=Brasy1G404500.6.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKSVPMWALQLFLRNGTESCDLLLLRSKGYYVRSITLQSALLFAYE* >Brasy1G404500.2.p pacid=40056687 transcript=Brasy1G404500.2 locus=Brasy1G404500 ID=Brasy1G404500.2.v1.1 annot-version=v1.1 MYSKSGLLVEACRVFDQMPQKDAVAWTAMIDGYAKNGNLEAAVLAFRDMRREGLVGADQHVLCSVLSASGGLKDGWLARAIHSCVMKSGFELEVAVRNALTDMYAKAADMDNAARVVKMDQGSLNVVSATSLIDGYIETDCVEKALRMFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAEVIKTSLISDSFVSSTLLDMYGKCGLISLSIQLFKEIEYHTDIAWNAAINVLAQHGHGREAIRAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDHHGIEPKGEHYSCIIDMYGRAGRLDEAEKFIGEMPVKPNAYGWCSLLGACRMRGNKKLGEIAADNIMKLEPDNTGVHVSLSGIYASLGQWEDVKAVRKLMRDNRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQEKIYEKLEELYERIKEEGYVPDTRFLPCNLEDTAKLRILRYHSERIAVAFALISMPGTKPIIVKKNLRICVDCHAALKFISKSVPMWALQLFLRNGTESCDLLLLRSKGS* >Brasy1G124000.1.p pacid=40056688 transcript=Brasy1G124000.1 locus=Brasy1G124000 ID=Brasy1G124000.1.v1.1 annot-version=v1.1 MRVVAYFLPVGRAGSPVTQHARVLGTSTRDFFLGVARHSARWPLQRRVRVDLARRAARLGQKYFGLLNSVFPFVRICVVPGLQGERLEIITCQRFRGKKESVDGARATKRLVDGTKAAARTARRGWRPVGEGGARARLRGGGGGATTVEGLGGTAAGAALGDTRRQGPRLAAAALSGRRAQGRGWGTGAREYSLASLQNESTPSPSPPVYALAPRHAPRRRRRPRAQPIDPSVTLCPARLAAADAPPHPAAHHAAVACRPAVIAARPPVASRRRRRRRATRRPGRGSPPLITPPSRDAPHAPPPSQPGPRHVTPSSPSCPTCPVPPSRDTAPRPR* >Brasy1G268600.1.p pacid=40056689 transcript=Brasy1G268600.1 locus=Brasy1G268600 ID=Brasy1G268600.1.v1.1 annot-version=v1.1 MKLHFLVKLFFGPVPVYFSALAILILFTNAQYFGLVGVGVPHATKLVSSAPVVSVMKYCDIFRGEWVPDTEAPYYNHKTCYMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFARHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTDQNKVYHYRAYNFTINMFWSPFLVRAREPDHDDPAHTGHYSLYLDEPDDKWVSQVPRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRKAWRVSLQAINALQGKVKGRVIVRMLSPMSHFENGTWDQGGNCRRTEPLRSNQTVMEGRDLQFYTAQMEEYRAAEKAARAKGIRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDLLFQMILV* >Brasy1G385600.1.p pacid=40056690 transcript=Brasy1G385600.1 locus=Brasy1G385600 ID=Brasy1G385600.1.v1.1 annot-version=v1.1 MTSIHTPRLSASPTFPNLTDRFPIYPHHSCSSLGRTIIPARRPSPVPPASTSIPDRRRHGWHAALFRNQKKKKLLGISSRGVAHARGAGDPSLCCLSRNSNRSEHPQPIERGWIGSPFAPED* >Brasy1G201000.1.p pacid=40056691 transcript=Brasy1G201000.1 locus=Brasy1G201000 ID=Brasy1G201000.1.v1.1 annot-version=v1.1 MENEETSKVTEATGKLDSMEFKESSKADEASKVEAHEVIGQKRYGFVEGKVDWRGRPAVRGRHGGVGNSFFILVNFGLEGLASLSLAVNLIMYFMLIMHVGLADASNLLTNYMGTSYMIAVLITVFADTVIGRYQTVIISSLIELVGLLLLTLQAHSPKLKPPECIFPSPTCQKVSGDNAVHLYVGLYLVAIGSAGIKAALPAHCADQFDEKDPKEKRQMSSFFNWLLLSICIGGAFSVTVFVWIQNKRGWDKGFGAATGVMGLAVIAFVIGLPRYRISTVQGSSALLEIFRVYVAAIRNRNLQLPENPDELYEISRSKASPETEFVAHRDKPFRFLNKAAIVQTTTGETPNPWRQCRVTQVENARTMLAMVPIFCCAIIMGTCLAQFQTFSIQQGATMDTRIAKHVQIPPATLPIIPLGMLICAVPIYERLFVPYARRITGHPNGILYLQRIGVGLVLSIVSMCIAAVVEMYRKRVATRHNMLDAIPQLQMLPISCFWLAPQYGVFGIADMFTFIGLLEFFYSQAPPALKSMSSAFLWCSMSLGYYFSSIIVRAVNVATKNSTASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLYWSRWYKYVKSQDQAEHVVVPMEQQQV* >Brasy1G474000.1.p pacid=40056692 transcript=Brasy1G474000.1 locus=Brasy1G474000 ID=Brasy1G474000.1.v1.1 annot-version=v1.1 MDSNSWINAYGNITTGAGGNSGFMCGYAAGLQCKDGDEQHELLVSSQMQHHLNQISMQMSMDDESALYNVPSGDGAALGVQHAVDDDFFLPSHHASGVLPASSSTSSSFRSASLSCSPENSSAQILTAPATGCLQFPEVSSNVPLMVLPYDDHQHQYVNFNFHGDTVAAMAAPGGDGMSTTTPSAFKRYARHLGPRHRRPKPACGQRMFKTAISVLTKMHMAMRHQSYYYQQAAAAEPLQPSGNQLQHMISERKRREKLNDSFHALKTVLPPGSKKDKTSILITAREYVNSLKSKVCELEEKNQALQAQLAQRANSDNTGEDTETKAGEKVEIEITRDDSTADQEDQVCTVKIAVIRSECSGNMTNVVLGTLQRLKDEVGEDVTLVAMSSTDVDGEGQAPRASLTMQLKSASGAKWEEAAVREAVAKAVADALPVSRSQSAEMMTAPRRGETGRTRARSALAKLSD* >Brasy1G269400.1.p pacid=40056693 transcript=Brasy1G269400.1 locus=Brasy1G269400 ID=Brasy1G269400.1.v1.1 annot-version=v1.1 MASRWRELHGSGSWAGLLDPLDADLRRSLITYGEMAMATHEAFIGERRSPNAGMCRYRRADLFRRVEVSHPGWYAVTRYVYATACADVLHGETLLRPLCRDGRARECNWMGYVAAATDEGAARLGRRDIVVAWRGTQRALEWVADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADQGSELSKQSARMQVLTEIARLMDKYKDEETSITVIGHSLGATLATLNAVDIAANSYNRSALSRRTATPVTAVVFGSPRTGDRDFRDAFHRVSGLRMLRVRNRPDRIPLYPPVGYADVGVELLIDTRRSPFLKPHGSESQSHDLECHLHGIAGWHGQHGAFELVVDRDVALVNKFDDCLADEYPVPVGWKVNHNKNMVKGPDGRWVLVDHEPDDDCGDDDGGGN* >Brasy1G358800.1.p pacid=40056694 transcript=Brasy1G358800.1 locus=Brasy1G358800 ID=Brasy1G358800.1.v1.1 annot-version=v1.1 MGVMVMSFLIWSLAAALMIAMVSAGDEAALLAFKAQLSNGSSHTLASWNDSAHFCSWEGVTCSHRRPDRVVALSLNGSGLTGALSPALGNLTFLRALDLSFNWLNGEIPASLARLRRLQRLYLNDNSFSGMFPANLSSCISMFILGLHNKKFSGHIPAELGQKLKFLAAISLSNNSFTGPIPASLANMSYLQNLDLSNNQLVGPIPPELGSIKRMWRFNLFGNNLSGILPPSLYNWTSLQLFQVGVNKLYGSIPDDIGNKFPKMKYLGLSVNRFTGAVPSSLPNLSDLVRLDLSWNRFSGYAPPTLRRMGALQYLDLAENKLEANDKGEHLSLGGDSFGGKLPGSIVNLSMTLQKLYLMDSRVSGSIPSDIGNLVGLTLLAVANTYISGVIPQSIGKLQNLIELGLYNNSLSGLIPSSLGNLSQLNRLYAYYGNLEGPIPASLGELKNLFVLDLSTNYKLNGSIPTEIFKLPGLSWYLDLSYNSLSGTLMKLLSGKIPNSIQNCIVLEWLVLDNNMFEGSIPQSLKSIKGLSKLNLTLNKFSGNIPDDLGSIGNLQELYLAHNNLSGSIPAVLQNLTSLSKLDVSFNNLQGEVPNGGVFRNITYIAVAGNTNLCGGTPQLRLAPCSTSPLSKNKKRMTKPLIISLAITGAIMLSLSFILVLWVFTKKHNESQKTTEENSIVEDQYERIPYDALSRGTNGFSEVNLLGRGSYGAVYKCVLDTKERTLAVKVFNLGQSRYSKSFDVESEAMRRIRHRCLIRIITCCSGIDHQGQEFKALVFEFMPNGNLDGWLHPKAQKLTAKNTLSLLQRLDIAADILDAVEYLHNHCQPLVIHCDIKPSNILLAEDMSARVADFGISRILQEDTSKRMQKSHSSIVIRGSIGYVAPEYGEGSEVSTSGDIYSLGILLLEMFTGRSPTEDMFRDSLDLHKFVEDALPDKILEIADPTIWLHNEPRDIVPTSRIQECLVSVFSLGIACSKQQPRHRMMIRYATVEMRTLSLLQNFGFGS* >Brasy1G202300.1.p pacid=40056695 transcript=Brasy1G202300.1 locus=Brasy1G202300 ID=Brasy1G202300.1.v1.1 annot-version=v1.1 MLAAITDTISAHSRPNPSVCFLRLSHPHRVRIHPSSHRRNTRARAMASSVPPPQQLTITRPDDWHLHLRDGEVLAAVLPHSARHFERAIVMPNLKPPVTTTARAVEYRKEILRALPPGSSFVPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQDGVTDIMGKCLPVLEEMIRQEMPLLVHGEVTDPHVDTFDREKVFIETILAPLVQKLPELKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSSQYFLGTDSAPHDKRMKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRRSAWKVPTTYTYGSGVIVPMSTGNTLEWLPSDHSDE* >Brasy1G133000.1.p pacid=40056696 transcript=Brasy1G133000.1 locus=Brasy1G133000 ID=Brasy1G133000.1.v1.1 annot-version=v1.1 MEYGYPCSSCNNKEKTQKRPPLKRGQVKLQIARTLSSLIMPGAKISFRR* >Brasy1G329300.1.p pacid=40056697 transcript=Brasy1G329300.1 locus=Brasy1G329300 ID=Brasy1G329300.1.v1.1 annot-version=v1.1 MNADYGASTELAGPLQQRRALYQPRLPPCLQGATVRVEYGDATTTIDLAGAPEVARAFPRTYGQPLVNFLAAAADKAAGERPPIRVGVVFSGRQSPGGHNVVWGLHDALKAHNPHGALYGFIGGTEGLFANKTLEITNDVLASYKNQGGFDLLGRSIDQIRTTKQLSAAMATCTNLNLDGLVIVGGVTSNSDAAQLAETLVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLIMGEEVALSKLTLMEIINKICDGVVARAELGKYHGVLLIPEGLIQSIPEMYALIQEISILHNNNVPVAEMSSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMTKRTKEGRYKGRKFSSVCHFFGYQARGSLPSNFDTDYAYALGHISLHMIAAGLTGYMATVANLKDSVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGSDAKPITLTIEDQDYIGDIEILKQYLDKVKVIVKPGCSRDILKAAISSMVSVTDVLTVMSHPLNCEMPLFHFN* >Brasy1G024100.1.p pacid=40056698 transcript=Brasy1G024100.1 locus=Brasy1G024100 ID=Brasy1G024100.1.v1.1 annot-version=v1.1 MSRCGDPDKPQETNFENLIDHYTAPLYIHTHTLSSTSQARVPKNHWYPNLLTMAAQQQLRFLFVFLLVSVVSFASGAAGAQTPGGDSCSKTATGVPRWCSEKFLKSLSTDYESPVDDNCCLLLGCVREESCADVLQGMCMHLPRHCLFKPPL* >Brasy1G176600.1.p pacid=40056699 transcript=Brasy1G176600.1 locus=Brasy1G176600 ID=Brasy1G176600.1.v1.1 annot-version=v1.1 MAIQHILLIAFVASILYDVSSATTAYDVLEQNNLPRGLLPQGVQSYKLHDGALEVILPKVCDFSVSVAGKEYKFRYGRSVGGAIQSGSITQVYGVRVQVEFAWLGFNRVERAGDQLKLQLETSVVSFPVSDFAQSPKCN* >Brasy1G476600.1.p pacid=40056700 transcript=Brasy1G476600.1 locus=Brasy1G476600 ID=Brasy1G476600.1.v1.1 annot-version=v1.1 MAISTDSRSEEKAAQQSQEEKRWVLSDFEVGKALGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGVQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPAKPFVSPDAKDLISQMLVKNSARRLPLNKVLEHPWIVQNADPSGVYRG* >Brasy1G280000.1.p pacid=40056701 transcript=Brasy1G280000.1 locus=Brasy1G280000 ID=Brasy1G280000.1.v1.1 annot-version=v1.1 MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSRMHDPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKV* >Brasy1G182800.1.p pacid=40056702 transcript=Brasy1G182800.1 locus=Brasy1G182800 ID=Brasy1G182800.1.v1.1 annot-version=v1.1 MLRRAAPSAATALLRRALSTSRPRSPSAATAVASSSAVNSILLRSLKEHYLEVSKMTPPPKISPPKPYTIVKGALDQPSGPVLHRGYGETGEEISISVARLANIMPPGADSDFDSDGGSGGGASESISQLFLHVDISRPESSKSLQFLCGLYPDAVGIHSVCLRSKTAASRAAAAAAATKGGGEYQGRIFQELDEKVRDAFHLYIEARGINEKLFPFLQAWLYVKDHRNLVRWFKSVGTVISEPKSE* >Brasy1G045400.1.p pacid=40056703 transcript=Brasy1G045400.1 locus=Brasy1G045400 ID=Brasy1G045400.1.v1.1 annot-version=v1.1 MPRSRVRRQKIDFAVENYQHFGVHLLLPSKLYWSDHLKLVVWYILGPCCKDSVVEAKNDGVNQQCCCLVSLCVLFSFKIHL* >Brasy1G252200.1.p pacid=40056704 transcript=Brasy1G252200.1 locus=Brasy1G252200 ID=Brasy1G252200.1.v1.1 annot-version=v1.1 MADASAALSARSKVQAFLEAACSGDLGSLRKHGAALDEEGKGAAAVAAAVCDANKRTALHFAAREGQTDVCAFLVNQLGLPVDPKDDDGETPLIHAARQGRLETVKYLLKHGADPSVASSSMGATALHHAAGIGNVDLMKLFLDKGVDVESESDAGTPLVWAAGHGQEDAVKLLLEHNAKPNTETADGITSLLSAVAAGSLPCLEILIQAGANPNVIAGGATPLHIAADSGNMDIIKCLLQAGGDPNTSDDDGLKPIQVAALRNNLEVVEHLLPLTSPIPGVSNWTVDGIVEYTLSKMAEEKVQLKEGTFDKAASLQSSQPIEVSPEAKKRSLEAKSRGDDAFRRKDYLEAVDAYSQAIEFDPNDAVLLSNRSLCWLRAGQGERALEDARACRELRPDWAKACFREGSALRLLQRFHEAANAFYEGVTLDPENKELVSAFREAVDEGRKLHGTDKPTTTTTNPTQ* >Brasy1G252200.2.p pacid=40056705 transcript=Brasy1G252200.2 locus=Brasy1G252200 ID=Brasy1G252200.2.v1.1 annot-version=v1.1 MADASAALSARSKVQAFLEAACSGDLGSLRKHGAALDEEGKGAAAVAAAVCDANKRTALHFAAREGQTDVCAFLVNQLGLPVDPKDDDGETPLIHAARQGRLETVKYLLKHGADPSVASSSMGATALHHAAGIGNVDLMKLFLDKGVDVESESDAGTPLVWAAGHGQEDAVKLLLEHNAKAGANPNVIAGGATPLHIAADSGNMDIIKCLLQAGGDPNTSDDDGLKPIQVAALRNNLEVVEHLLPLTSPIPGVSNWTVDGIVEYTLSKMAEEKVQLKEGTFDKAASLQSSQPIEVSPEAKKRSLEAKSRGDDAFRRKDYLEAVDAYSQAIEFDPNDAVLLSNRSLCWLRAGQGERALEDARACRELRPDWAKACFREGSALRLLQRFHEAANAFYEGVTLDPENKELVSAFREAVDEGRKLHGTDKPTTTTTNPTQ* >Brasy1G179400.1.p pacid=40056706 transcript=Brasy1G179400.1 locus=Brasy1G179400 ID=Brasy1G179400.1.v1.1 annot-version=v1.1 MDAFFSTASSAYGGASGGWGYDSLKNFREISPAVQSHLKLVYLTLCFALASSAVGAYLHIALNIGGMLTTLGCVGSIAWLFSVPVYEERKRFGLLMAAALLEGASVGPLIELTLDFDPSILVTGFVGTAIAFGCFSCAAIVARRREYLYLGGLLSSGLSIMLWLQFATSIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHRGDMDYIKHALTLFTDFVAVLVRILVIMLKNAGDKSDDKKKKKRRS* >Brasy1G456400.1.p pacid=40056707 transcript=Brasy1G456400.1 locus=Brasy1G456400 ID=Brasy1G456400.1.v1.1 annot-version=v1.1 MMNRRFLHLNGPGNPCTHSLHSINATSFFYRARQNIPRATRTMEEGLLPPPVMSFHRPSSPPEYYGSMHFMSLGRNGKDILGVDHKGNTVLYDTALHAVRTMPAMKARKYFPISLTAGNGLYVMNSRFGTLHEHTFEALTHGCSISQGWFWRNLPPPPYVHDPGYYDDEPGYGYEVDQPYEITAYTVVAGSNIWISTAARGVWSKLGNWKLPLIGSVEYAPEHNLWFGLSPEEDKLCSCDLREGLARLQNVWRLAGYKYDTCEKFAVITGVEVEHINGIGLDMMEHRSKCYSLGDGAKIVDKVI* >Brasy1G554100.1.p pacid=40056708 transcript=Brasy1G554100.1 locus=Brasy1G554100 ID=Brasy1G554100.1.v1.1 annot-version=v1.1 MPMLRRRVRRRKTEEDRLSALPDDLIRVIINKLDTRAALATAVLGRQWAHISRELPALDLRVSDILPPHYNRTVALRQRHLPRDEAMATMLDALMSRCESLAMRAFVDGVTNLMILPEHGRRRTKTLGLEFFQTSDAVNRLIAAAVGAWGVTDLEFVVLRSCRNDIQTPPAVYSFIFPDDCGCLLKSRKRSLSLGNHCSAPPPGLHSYGALTTLRHLS* >Brasy1G447800.1.p pacid=40056709 transcript=Brasy1G447800.1 locus=Brasy1G447800 ID=Brasy1G447800.1.v1.1 annot-version=v1.1 MVKAEAALRKEAEEVQCLEKDGHYELALARVDELAARHAGSALVLHLAGSTHHAAASRASAASDEVAAAHHAAAAERYLAEAKRLVPNCVGISALLARVLFERGEMDEAYQEASRAIRIRNPADPADNNVVCTVNAREKNQRVERCRESARDVLRSIVASSVREVLDLDTDQSEGMTLAIEKATELANRRPYSSRAQLLCAYMKLQFARGLDATMDRRPILDPIRCDMNEAAKRFDGSLVLAMFHAKLCFVLGLYEPAVRECHRAFSLRQPVDPKLEDVPPGSVNGGVYDDRLSSIYQDLLRLEQRLLLVAKARWCLMTSEKQDRFLSVRLDELHKYCDEICEDVHWDTRTISDALTCVKKTGSWRFWICPYCIDKKLPDTHSLLEHMCNKHVSETDLRSVLHLDPKLSDEASVDDNSLDEISVCHDSDDQYFIAEARPFAEIQEERCREGKEILQKLKQKLKNLPKNKLSAEFDKARLEIQGLLHDFLVISALDYRIVILTLMKSFLWTKLLKSLSEDNATSKSIDNDDINSIFPEVAVVCVIRVEDRRSGAMVNKKMPASYINMAEYRNKIAQKLGRFEKDICSIIARDKPGSCSHSSEETPSMFYYRRSSFVLYQEQDDIFSLHSIIQILWNLRPFRDDFLKRQPVCSQSCHDAPCIAQILYIIFSAWEKKYYQKISYPLISLRNAICQVLSCSNSFQNVGENISYIVATILSRLHMPNVFMHNFSKLLIDGNTGELVSQPVNPAKICYREGQLVTFARAGDKWLVYENNEGLQVIALFISVSRSLLSFDPRPFGDLRLCLECGTLLDSCRNLFLV* >Brasy1G276500.1.p pacid=40056710 transcript=Brasy1G276500.1 locus=Brasy1G276500 ID=Brasy1G276500.1.v1.1 annot-version=v1.1 MDPFSKKRKPDENGAVAASPAAGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRTIFSAYGDLEEAVVISDKSTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGPSGGTAGAGGAPAADVSLRKIFVGNVPADMSSERLLAHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPDGAQASLVDSIKTIEGHQLVCKLAIEGKKGKQQPQQSGPAGQQQPQMLQGGPQEMPGSGLGLGGPQLGGYGGGPGSGMSSFGAFGGVGTGLGGPNPYGNLPSSMGGGVAAGLGSMGNQMPSGMGGAGPGAFGPGGLGGGSFGGSSQFGVGGLGPYDGLGMGGASSLYRMQQGSGGMPSGFGEGGNYPLPGSGFRGQDPQGGMSPGRGGRAPPMYPNVPPYF* >Brasy1G279300.1.p pacid=40056711 transcript=Brasy1G279300.1 locus=Brasy1G279300 ID=Brasy1G279300.1.v1.1 annot-version=v1.1 MARFSSSGLLALAVVATLFIGVWCAPKVTFTVEKGSDPKKIVLDVKYDKEGDSMAEVELKQKGSNEWLAMSKCKDTGVWKYESPEPLKCPLNIRFQSEKGMRNVFDDVIPENYKVGSTYAPQEY* >Brasy1G244500.1.p pacid=40056712 transcript=Brasy1G244500.1 locus=Brasy1G244500 ID=Brasy1G244500.1.v1.1 annot-version=v1.1 MLMEDDIVDKFSYQYPDHQKLLQAEQPFAQILTATASTSPDAEESTAPNTISSALLPNKVHDPAFVSNGTRVVESISILSPNESSINVNKMSSMAFFKGMEEAKMFLPRDNAMLDGRGRKNRFDMDGETEAGMGRIRKQIAVHTNLEEEATTLAMLDRLILSAYDMYPSEMQEVHVTINRKNKAAQKSICQRGRRGARQTMVTDLETLLTRCAEAVSNNNRRNASELLERIKRHSSPKGDARQRLAHYFAKGLEARLAGTGSHLYRSLMGTHNCTVELMKAYHLYVTTSCFVKMAVLFSNKTIYNAVAGRNKLHIVHYGIDTGSQWPKLIRWLADREGGPPEVRITNINTPRPKCHLSEKIEEPNRRLSNYASNFGVSFKFHAIAAKPEAVQAEDLQIDPDEVLVVSSLFQSRLLMDETLTFGGVNPRDMVLNTIRKMKPSVFIHAVVNGSYSAAFFMTRFRQVLYYFTALFDVIETTIPRDNDKRLLVERDIFAQCAMNIIACEGADRVERPQNYREWHTRNQRAGLRQLPLDPDIVLMLKDEVKEHCHKHFMINEDHQWLLQGWKGRVLYALSTWAADNSSGSEMP* >Brasy1G368100.1.p pacid=40056713 transcript=Brasy1G368100.1 locus=Brasy1G368100 ID=Brasy1G368100.1.v1.1 annot-version=v1.1 MASSTRVFDEMPRRSVASWNALLVGYARNGMYLEALRVFRELAAQGRNVLLDQVSMSSALSACTGASAVNFGRQVHACIVKVGLDRNAVCVTNALLDMYTKCGCSQEALVLFDSVNCRDVFTWNIVILGCIHENCFEKACARFRSMVRAGVLPDDVSFTTALQASVCLAEWALGLSLHASVVKTGVLVSQGVATSLITMYSKSGRLDDACSVFDVAENHLSIMSWTAMITALQQHGQGGQAIDLFETLLEKDIMPDHITFGSVLSSCSHSGFVEQGRRYFSLMTQVYKLTPWSEHYSCMVDMFGRAGLLGEANQFIDNMQVKPDASVLGALLAACLNCGNLELGKEVAENLFEIEPGNAGNYVLLANIYASHGRFEEAKEMRMRMVSLDARKEKGCSLVNIENDRMDDG* >Brasy1G464700.1.p pacid=40056714 transcript=Brasy1G464700.1 locus=Brasy1G464700 ID=Brasy1G464700.1.v1.1 annot-version=v1.1 MVVLAKGELEQIALPAAQPPLANVQVVDLSSASSSGPARAAAARVLVAACEEQGFFKVTGHGVAPALVRALDAAAAAFFALPQAEKEAAAGRPVGYASKRIGTAGDLGWIEYLLLCVAPGAGGALPVPPSASFSTLPCAAAAAASMAGSSCPLRELLEEYAAALRRMACEVLELMAEGLGLVPSDAISRLVSDAGSDNMLRVNHYPPRPELQGKNQVLTGFGEHTDPQIISVLRSNGTSGLEIASPRDGAWASVPPDADSFFINVGDVLQVLTNGRFRSVKHRVVVNSERPRVSMIFFGGPPPGERLAPLRELLGDDGGRSRYREFTWKEYKSTGCRGRLAEDRLCLFEN* >Brasy1G174900.1.p pacid=40056715 transcript=Brasy1G174900.1 locus=Brasy1G174900 ID=Brasy1G174900.1.v1.1 annot-version=v1.1 MSTPAASLQPPFSSSAAAPLPDLLESATFAPPAPPPPPPTPSAILSAWSHLRSPPTPSSTLAAIETLHVHRRSLRLSSAHLELLLPLLPLHPRLVAPLLAASPPHLLRASSLPLASLPLSPRILLLGALAGAKSSQNPTTSNTAASSGTPAATKNLGGGEHDADDPVAAVSRVMENMEQGSESCDDFDHLALAGIGYALASADEVRFGRIIGSLVRVCGRIGNLGVAVRVFKLVEWLVLGFVESRRMRMVQVLLELISPEKCETQGYVLFPVVMAACGALRALRVAAVRHRLDFDPRLKEAPEQTVRFAAARATVEGRQDDDRRRVLIQCVALGLARCGQVAFDESVLQCVCVALLEELLPLPRLLRMSVKSSDGISAELVKQHQDSVLFKEAAAVTTLLCNQYSFADEKVRNHVETCVCEYAQELYCHLRAAVLLQQAKQNDLLAEIHKIAEAAFLMVVVFAAQVMKKRLDANSSGGFQPEVAVRILVAFSCVEHLRRMRLPEYTEAIQRAVVVNQENAAASALFIESMPSCAELTSKPDLLTLDGTRYIWYRDEVQTSRILFYLRVAPTCISLIPAHIIRDKLAPVMFLYIQHPSDKVTRAAHSLMVSFLSSGNDTEQDDQEALKEQLAFDYVRKSLEAYPGLTPFEGLASGITALVRHLPAKSPAILFCVHSLVVKAKDLCNTSMVQDRSLWKSWEDSTEPCKKISDLLLRLIFLVDIQSFSYLLKELAEFVTWLPKEGQDVLLDDMHAHVAESDDVVRKPVLVSWLQSLSYISSLATVHESSNKAGNAHSAGGVELSLNRTVARL* >Brasy1G412600.1.p pacid=40056716 transcript=Brasy1G412600.1 locus=Brasy1G412600 ID=Brasy1G412600.1.v1.1 annot-version=v1.1 MARLCSSSLFLVAVAVALVLAAAPTTLAGDPDYLQDICVADLNSELKVNGFPCKANATAEDFFTGILAKPGATNTTTGSAVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANRLFARTISQGDVFAFPRGLVHFQKNTGDKPAAVISAFDSQLPGTQSIAMTLFGASPEVPDDVLAKAFQIGAVEVDKIKAKFAPKKS* >Brasy1G385800.1.p pacid=40056717 transcript=Brasy1G385800.1 locus=Brasy1G385800 ID=Brasy1G385800.1.v1.1 annot-version=v1.1 MAGHTAPELPKRCHHCAGPLSKDMETSSWTVTPLVRDSFSMIGSAVGGTAGAFYAFNHAMPVVRRYIKGPMWIHFLVGAPPVIVFSSACAGLAGGTIPALAQLVSSSCHAAMSSPSFARSTSHDDMHKSRGSTPL* >Brasy1G374600.1.p pacid=40056718 transcript=Brasy1G374600.1 locus=Brasy1G374600 ID=Brasy1G374600.1.v1.1 annot-version=v1.1 MAGKACCSVPLVMLLLALLSCVFLVHAAAAAGANRRMLLPGQEDAVAAAADAVVVVSGGGQSGKTLLLADDEEMMMVARRLDLQTEDYPGSGANGRHDPRNPH* >Brasy1G180700.1.p pacid=40056719 transcript=Brasy1G180700.1 locus=Brasy1G180700 ID=Brasy1G180700.1.v1.1 annot-version=v1.1 MAFMAALEADLRALSAEARRRHPAVKDAAEHATLKLRSLSNPSEIAQNGDILRMFLMACSVKSVKLSAIGLSCLQKLISHDAVASSALKEILATLRDHAEMTDESLQLKTLQTMLIVFQSHLRPESEEDMSQALGICLHLLESIRSSDSVRNTAAATFRQAVALVFDNVVLAESLPTGKASPARLSSRASSVANNVTHSFGQTTLSLACNYGELTMRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKSDSADKVKQEVLRGLGDLYVQAQSLFDDAMYLRLMAVMHLMIKSSMNSTDSDSELGSIPAVQRGILEIIPMLRPTTILSSMWPPLLLELLCYFNGHESPLHRNSKEIRGQNSDTLVNGTKNASVERGHINGSGTKGDNMVGCGWGTLFIEKLVPIMVNLFLEAPPNERCSASPEIIQALGR* >Brasy1G180700.2.p pacid=40056720 transcript=Brasy1G180700.2 locus=Brasy1G180700 ID=Brasy1G180700.2.v1.1 annot-version=v1.1 MAFMAALEADLRALSAEARRRHPAVKDAAEHATLKLRSLSNPSEIAQNGDILRMFLMACSVKSVKLSAIGLSCLQKLISHDAVASSALKEILATLRDHAEMTDESLQLKTLQTMLIVFQSHLRPESEEDMSQALGICLHLLESIRSSDSVRKSLACNYGELTMRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKSDSADKVKQEVLRGLGDLYVQAQSLFDDAMYLRLMAVMHLMIKSSMNSTDSDSELGSIPAVQRGILEIIPMLRPTTILSSMWPPLLLELLCYFNGHESPLHRNSKEIRGQNSDTLVNGTKNASVERGHINGSGTKGDNMVGCGWGTLFIEKLVPIMVNLFLEAPPNERCSASPEIIQALGR* >Brasy1G180700.3.p pacid=40056721 transcript=Brasy1G180700.3 locus=Brasy1G180700 ID=Brasy1G180700.3.v1.1 annot-version=v1.1 MTDESLQLKTLQTMLIVFQSHLRPESEEDMSQALGICLHLLESIRSSDSVRNTAAATFRQAVALVFDNVVLAESLPTGKASPARLSSRASSVANNVTHSFGQTTLSLACNYGELTMRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKSDSADKVKQEVLRGLGDLYVQAQSLFDDAMYLRLMAVMHLMIKSSMNSTDSDSELGSIPAVQRGILEIIPMLRPTTILSSMWPPLLLELLCYFNGHESPLHRNSKEIRGQNSDTLVNGTKNASVERGHINGSGTKGDNMVGCGWGTLFIEKLVPIMVNLFLEAPPNERCSASPEIIQALGR* >Brasy1G180700.4.p pacid=40056722 transcript=Brasy1G180700.4 locus=Brasy1G180700 ID=Brasy1G180700.4.v1.1 annot-version=v1.1 MRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVKSVLDIYELVLQTSPNYKSDSADKVKQEVLRGLGDLYVQAQSLFDDAMYLRLMAVMHLMIKSSMNSTDSDSELGSIPAVQRGILEIIPMLRPTTILSSMWPPLLLELLCYFNGHESPLHRNSKEIRGQNSDTLVNGTKNASVERGHINGSGTKGDNMVGCGWGTLFIEKLVPIMVNLFLEAPPNERCSASPEIIQALGR* >Brasy1G180700.5.p pacid=40056723 transcript=Brasy1G180700.5 locus=Brasy1G180700 ID=Brasy1G180700.5.v1.1 annot-version=v1.1 MRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKARNCNLRSLLVEFTTFRILPCQRT* >Brasy1G180700.6.p pacid=40056724 transcript=Brasy1G180700.6 locus=Brasy1G180700 ID=Brasy1G180700.6.v1.1 annot-version=v1.1 MRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKARNCNLRSLLVEFTTFRILPCQRT* >Brasy1G180700.7.p pacid=40056725 transcript=Brasy1G180700.7 locus=Brasy1G180700 ID=Brasy1G180700.7.v1.1 annot-version=v1.1 MRENLTDIGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVAVFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRLLFQTFDMNPTNTNVVENMVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCELDHMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQTCGVLRAIEPLNSFLASLCKFTINNPNEGEKRSILLSPGSKKAEILMDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSIQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNLQLRNMALDSLDHSICSVVGSEKFEGISSAPHQLKEHQLVQESATGSFEYAVLSPLVVLYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPGILHMLRAVTDASEKDIISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFVVKGLIGKSVEKANHMNEEVQSGASGGDIPSSERHIKQNPLKQVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSKSMWEDCLWIYVFPMLERVTHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWALLLAFVKNSILNGSKEVALAAINCLQTFVGSNCPKARNCNLRSLLVEFTTFRILPCQRT* >Brasy1G144900.1.p pacid=40056726 transcript=Brasy1G144900.1 locus=Brasy1G144900 ID=Brasy1G144900.1.v1.1 annot-version=v1.1 MTANKKPRRGAVAAPTTPLSPVSSSSATSSWGSRIVPSSCPARSHKKKARARSGIGDAVLVHPMRGSSVYRGVSRHRCSGKYEAHLWDKRARDRRGRQGSYHTEEAAARTYDLAALKYWGSHCGLLNFPAETYKQECEKMQRMTREEYIASLRRMSSGFTRGVSKYRGVAKHHQNGRWEARIGYARGRKYLYLGTFDTQEEAARAYDLAAIQRRGLGAVTNFDVRCYTDEHLQVQPRPCGCKAEPDPEPEPEPAAAAARPCPAPLPLLQPKIEPGRLDDVEPAAPALRGDMECEDVDRAIAVVLRALCVDRADFEARYPPRRGGVIWPSAPDDVRDLPGHVGFEDDIESALFADAAATISSLASGRRRLTDLHDRPTDASCWCLPVHAHSHWLPSVSAQPCKYNSELA* >Brasy1G144900.2.p pacid=40056727 transcript=Brasy1G144900.2 locus=Brasy1G144900 ID=Brasy1G144900.2.v1.1 annot-version=v1.1 MSPLVYLGSYHTEEAAARTYDLAALKYWGSHCGLLNFPAETYKQECEKMQRMTREEYIASLRRMSSGFTRGVSKYRGVAKHHQNGRWEARIGYARGRKYLYLGTFDTQEEAARAYDLAAIQRRGLGAVTNFDVRCYTDEHLQVQPRPCGCKAEPDPEPEPEPAAAAARPCPAPLPLLQPKIEPGRLDDVEPAAPALRGDMECEDVDRAIAVVLRALCVDRADFEARYPPRRGGVIWPSAPDDVRDLPGHVGFEDDIESALFADAAATISSLASGRRRLTDLHDRPTDASCWCLPVHAHSHWLPSVSAQPCKYNSELA* >Brasy1G454400.1.p pacid=40056728 transcript=Brasy1G454400.1 locus=Brasy1G454400 ID=Brasy1G454400.1.v1.1 annot-version=v1.1 MHPNPSPKPTPPPLNVNSSGSASNYSGGGENRPLQSPLANALSFSKSTFTYEELAAATDGFSDANLLGQGGFGFVHKGVLNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISEDKRLLVYEFVPNNTMEFHLHGRRGPTMDWPARLRIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDFRFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVSSKQAHMDDSLVDWARPLMTRASEDGNYDALVDPHLGTEFNENEMERMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLHEGVRPGHSRFVGSHTSSEYDTGQYNEDLKKFRKMALGSSGLQSSQLTPSSEHGQNHSGGSSDSHHQTQEIEMGTEKSDNGGSVHGGGESQASMR* >Brasy1G322500.1.p pacid=40056729 transcript=Brasy1G322500.1 locus=Brasy1G322500 ID=Brasy1G322500.1.v1.1 annot-version=v1.1 MASTGVMGSVLRKLGGMLTDEYKLLKNVRRDVEFLKDELEVMHAFLLEMADVQEPLPQAKLRANAVRELSYEIEDKIDKFMLLVDKESSSNSDGRSMKKIMRKSIKKIGNLKIRHKIAKDVKDIKSHVKDVTERYARYKIDESSRTRNEKVDPRLCEVYKDASKLVGMDGPTNELVKWMSCEKDESAREVKLASIVGCGGLGKTTLARQVYNKLGANFECRAFVPISRSPDMMKIFGSILSEISNGNVHARSTYQQIIDQIRDFLKDKRYFIIIDDVWDIQTWKTLYCALVKNDRGSVIMTTTRINDVAESCCSSNGHLVYKVQPLGVADSKKLFFKRIFGCEEKCPPNLKEASEDILKKCGGLPLAINAISSLLATRKTKEEWERVRCSIGFAQGRNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDYEIARKRLVLRWISEGFIHGEDGEDLVELGEMYFYELINRSLIQPVGIGYDGKASSCRVHDTVLDFLIYKSTEENFCTYLSSHSKPDSKIRRLSFMKHGDKGTIEQFDLQHARSLAVFGKADQLPSLVKSNALRVLDLQDCDGLEKHHIKDIGRLFQLRYLKVSWSSLSELPRQIGDLVYLETLDASSSHLVELSESVTRLKRLARLFVPGRTKFPDRIGNMEKLQELGDGIYIFKQSVKFVEELGKLINLRKLGVYLYYGGSDKAIYEKKEILVSSLRKLDTCKLHNLSIEFSFGGKDVGALIAGDPFFIPALKSIREITLRSGPLFCITKWILSLANLEKLTLCRQSIDQQDVEMFGGIPSLIEFTRPSFSKPVTISSSSGGFQQLQVFEVSLYVREFMFEAGAMPKVWKLALFINLKAIKSASGGRCGFDDIGIHHLSSIAELRVDIECYRVRAADVEAVEAAFKSMAEAHPNRPKLKMTRSWAHKMLKDDAE* >Brasy1G109900.1.p pacid=40056730 transcript=Brasy1G109900.1 locus=Brasy1G109900 ID=Brasy1G109900.1.v1.1 annot-version=v1.1 MDTKSGSGGMHVVMLPWLAFGHILPFTEFAKRVARQGHRVTLFSTPRNTRRLIDIPESLAAHIRVVDITLPRIERLPEHAEATFDLPSDDLRPCLRRAYDAAFQRELSRLLQEETPRPPDWVLIDYAAYWAPAVAARHGVPCAFLSLFGAAALGFYGTPDALMGTGDNARTEPAHFTVVPEYVPFPTTAAYRGYEAREMFEPGMVPDDSGVSEGYRFAKSIQGCQLVGIRSSVEFEPEWLQLVGQLYQKPVIPVGLFPPSPQQNIAGHEATLRWLDGHAPSTVVYAAFGSEAKLTSAQLRRIALGLEESGLPFIWAFRAPADGADGCCLPEGFEERVSGRGVVCRGWVPQVWLLAHGSVGGFLTHAGWNSIAEGLARGVRLVLLPLIFEQGLNARHLVDKKVGVEVARDEQDGSFAAEDIAAALRKVMVDDEGEVSGAKVRELAQVFGDDEVNDQCVRDFLSHLSEYSRKEEG* >Brasy1G066900.1.p pacid=40056731 transcript=Brasy1G066900.1 locus=Brasy1G066900 ID=Brasy1G066900.1.v1.1 annot-version=v1.1 MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDFFIQVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATIEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVGHILPVIVSFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPDWAMQYIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPIVINSSKDRVPNIKFNVAKVLQSLVPIIDQSVAEKTLKPCLVELSEDPDVDVRYYANQALQACDQIMMSS* >Brasy1G066900.2.p pacid=40056732 transcript=Brasy1G066900.2 locus=Brasy1G066900 ID=Brasy1G066900.2.v1.1 annot-version=v1.1 MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDFFIQVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATIEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVGHILPVIVSFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPDWAMQYIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPIVINSSKDRVPNIKFNVAKVLQSLVPIIDQSTLKPCLVELSEDPDVDVRYYANQALQACDQIMMSS* >Brasy1G552800.1.p pacid=40056733 transcript=Brasy1G552800.1 locus=Brasy1G552800 ID=Brasy1G552800.1.v1.1 annot-version=v1.1 MTNIRELNIEGIRCWQYATLLQGRLSNLQRLRIIKPTCRSEASKDVDNSFMDKTSMEILDLSGNCEMKSLPISLSKASSLEMLVLDGCDGLENVDAPSQLPPSLKSFSFDGYGPASQWTQTAELPPKQFRPSSRTDNKDIRISMISLEGCTHLENLFLRGLSNLVELDLSGTAIKILDFKTMVVEVPRLKRLFLIGCKHLRAIIWLGDSGFNTEPNLELLCMDTRPATMCQRPSVDKNKSFRLQVHAVIVDARIIRSLWVLLQFYTSEDVYLNIHLTSSPAYDGVVISEEVNKNKIDTIDQGRLQQPIPASRYSDVLGMVGAAPMEAFPQPPTRELDRHVEIAEGSCYVESEVDNSLGRLMLSYNQSLHLHDVAVRAIIPQGYWWGQLRHCCVERCCKLDTIFPWKTRGFDKLETFWASDLLMVRSIWSKRSHLHDTESFQYLHHLHLRSCPRLQFVLPAWVSSFPSLETLHIIHCGDLSHIFILDEEYPKGITTNGVPFPKLATIHLHDLPKLQKICESFNMVAPALESIKIRGCWSLRRLPSVAARGQGILKKPAVEIEKDVWDALEWDAGHRRDQFEAPVHSRYYKKKMPRGSVLR* >Brasy1G344900.1.p pacid=40056734 transcript=Brasy1G344900.1 locus=Brasy1G344900 ID=Brasy1G344900.1.v1.1 annot-version=v1.1 MNGQTTMGLAAAAAAAVRPCSRRLLSSVSAGGAKASATPLFPRCPHPQHHRHGRRLPFLASAASQTSHSTPTPTPTPTPTPVNSDPRSAVAGNLPFFDRVLFPGSFPPVETPPVEEPAPPTDEGLASPVREESETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTEVANYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYSLQERVDVQTGIKLILSLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMITVNDGSKHLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFSLGNLWAISSSLTTPTQAEGILSLIEEKWDDLVANMPLKICYPAMEDNEWRIVTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAVAVAEEQLSADKWPEYYDTRSGRFVGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKRTRCSRRVTKEDIVE* >Brasy1G527000.1.p pacid=40056735 transcript=Brasy1G527000.1 locus=Brasy1G527000 ID=Brasy1G527000.1.v1.1 annot-version=v1.1 MEPNEPENAIQSVSENPAESACFTTHGMTRPTDPEVAVVSRRPWFNSINPPLPQPLLPFYMSSIQGQVLELRVTGCRKLRDTEFFSRQDPYVVVEYANTKLRTRTCTDGGRNPTFDDKFHIPLIEGLRELNIIVWNSNTLSNDDFIGSCRVQLHKALTSGYDDSSWPLQTRHMKSAGEVRLIMHFDVSAMKNKMAGKSAAASSAHSVPPMPAPLPYAASSPSYPAPTAYPAAPPHQAYPTPGHAPYPTPSAYASPPPQQPCPHHAYPPTSQPPPQPYGQPYPPQPYAQPYPPQPYGQPYPPQPYGQPYPPPSAAQSPYPPAPYPGAYPPPPPY* >Brasy1G527000.2.p pacid=40056736 transcript=Brasy1G527000.2 locus=Brasy1G527000 ID=Brasy1G527000.2.v1.1 annot-version=v1.1 MSSIQGQVLELRVTGCRKLRDTEFFSRQDPYVVVEYANTKLRTRTCTDGGRNPTFDDKFHIPLIEGLRELNIIVWNSNTLSNDDFIGSCRVQLHKALTSGYDDSSWPLQTRHMKSAGEVRLIMHFDVSAMKNKMAGKSAAASSAHSVPPMPAPLPYAASSPSYPAPTAYPAAPPHQAYPTPGHAPYPTPSAYASPPPQQPCPHHAYPPTSQPPPQPYGQPYPPQPYAQPYPPQPYGQPYPPQPYGQPYPPPSAAQSPYPPAPYPGAYPPPPPY* >Brasy1G530200.1.p pacid=40056737 transcript=Brasy1G530200.1 locus=Brasy1G530200 ID=Brasy1G530200.1.v1.1 annot-version=v1.1 MGCVFSRRHDGEAEGEEGARPRSIHHQYQYQQQQRSPLGPAYDARRGRYGPGDYDSGELAIPPPPRKHLPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSGIASLNHGSGFLYGGTNRGNKVDILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILISSNSSELLHIAAVDKREELAIFSREVIRFGDLCKDPIWHNLGRYFDKYASEKLMADFTPQDHSKEHMETTVQHLITLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSKPLEDIVEKLVDIVIFLHKQIRDAFGEAVPEGTDFIDYEQVQNRRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRARLQSCNTEEERTVSQIKAEMQKTLRWILPVAENTARAHQGFGWVGEWANFGSDLNEKSSPRHSVTRVQTLHHADKAKTEQHMLELVILLHHLVVQVKNRGYGHKSAKQGRSRSRKGPSEMQSETQHNTSPINNNNGGSTCPSPLSDSERETLDHLSFRRTTSYGRSKSCEPPPDRGNRAHRSWDSCRSHGSSPAREFGKNSASRRDQARDLDVIDGLDILRTSSFC* >Brasy1G530200.2.p pacid=40056738 transcript=Brasy1G530200.2 locus=Brasy1G530200 ID=Brasy1G530200.2.v1.1 annot-version=v1.1 MGCVFSRRHDGEAEGEEGARPRSIHHQYQYQQQQRSPLGPAYDARRGRYGPGDYDSGELAIPPPPRKHLPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSGIASLNHGSGFLYGGTNRGNKVDILAFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILISSNSSELLHIAAVDKREELAIFSREVIRFGDLCKDPIWHNLGRYFDKLMADFTPQDHSKEHMETTVQHLITLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSKPLEDIVEKLVDIVIFLHKQIRDAFGEAVPEGTDFIDYEQVQNRRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRARLQSCNTEEERTVSQIKAEMQKTLRWILPVAENTARAHQGFGWVGEWANFGSDLNEKSSPRHSVTRVQTLHHADKAKTEQHMLELVILLHHLVVQVKNRGYGHKSAKQGRSRSRKGPSEMQSETQHNTSPINNNNGGSTCPSPLSDSERETLDHLSFRRTTSYGRSKSCEPPPDRGNRAHRSWDSCRSHGSSPAREFGKNSASRRDQARDLDVIDGLDILRTSSFC* >Brasy1G096300.1.p pacid=40056739 transcript=Brasy1G096300.1 locus=Brasy1G096300 ID=Brasy1G096300.1.v1.1 annot-version=v1.1 MHLTREAADAFGVVTIALFALFLALGLFCIFQSIYFRFRIRKGTFLSLGYFNGPWVTRIALILITIWWGVGEIVRLSFLKRKLFSSLGWQQIVCDAYILSNLGFAEPSIFLAFAFLLHGSLQKRELGTLNQRWNWKTMGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRRKIAKYFIRTSMPVGDTNVCTYPLFGTIFLGLVDAILMSYVSYVGSRVLSLVINKALRRRVSLLIMSVLFFLPIRVLLLGFSVLPQPGDVAFEVIVFLSFLMMLSCTTVGVLLLVYYPVADSLALREIGHREISEMVPYDDYYYEGTSLVTNQSFRETERNSDTSTKRGSISFRTMIREDQLPQDGIDEIGFSSRSGVQIGSSSPSGSSPSAAMPMLPLKEVPRY* >Brasy1G083900.1.p pacid=40056740 transcript=Brasy1G083900.1 locus=Brasy1G083900 ID=Brasy1G083900.1.v1.1 annot-version=v1.1 MWLPTAAPYPPRPLNPSTGTTNRRAVLPKPTKMATTATFLPLLPPPGFRPKPALRPRLRRLSLSVSPSGPDEIPADDPPVLPSILIKNTEPEDVARRRSWVEHGWAPWEELMSPEVAFARHSLNEGEEVPLTSPESLEAFRMLTPAYRDKVESEPGYIERLVAPRQTPEPLETTWAGELPLRLVPPRDWPPPGWEVDRDELEFIREAHRAASERLDMEAAAAAGVTNVRKVEDGPQDLALDRYKVFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGKDYREDMLELPFFYPGQICYGQVISIHLHQGAFVDIGGVHDGWVPIKGNDWYWIRHHVKPGMKVYVEILAKRDPYRFRFPLEMRLVYPNIDHLIFNRFDFPPIFHRKEDTNEEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWEWHNAEQMILDHEDENPDKFKDTTYESTVDTSSFDEGNRVEHTEAPFKETMLKMKVLNINIKELDLEAARAERQMIKKQKEEAEERGEEHKIGKLRRNKEMDEYDLLQWRRSFEEREALLRDICCRKALGLPIEEPGRYDVDETEVFGKDYYDPSKPMYRYDYWGEPKNTEKTKLERDVELHNQQIVGDAKKWCDISYDDYVRKKVRAEAAEARARQMKALEPQEEEEEYDDGMDVDFEKMMDPLAPHNRFYVTK* >Brasy1G317100.1.p pacid=40056741 transcript=Brasy1G317100.1 locus=Brasy1G317100 ID=Brasy1G317100.1.v1.1 annot-version=v1.1 MAADVARQFQKIQIQRDGTTFDVYVVGKENAPGVVVLQEWWGVDYEVKNHAIHISQIGDGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAIKDIQASVKWLKENGSAKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSCGLPHEVHIYPGCSHAFMNASPEALKRRKGMGLADENLGAIDLAWSRFSAWMGRFLGSA* >Brasy1G170200.1.p pacid=40056742 transcript=Brasy1G170200.1 locus=Brasy1G170200 ID=Brasy1G170200.1.v1.1 annot-version=v1.1 MGPVNRIGAVAVACAVLLLGVAFPGGHAGQTSEYQRQLGHAIDMPLDADVFRAPAGHNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSQDNLNCSAKGKHTQYTFYNYTSGYIHHSTIKNLEFDTKYYYAVGTEQTVRKFWFRTPLKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNLAYQPWIWTAGNHEIDFAPELGETKPFKPYSNRYPTPYKASGSTAPYWYSIKRASAYVIVLASYSAYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDVVFAGHVHAYERTHRISNVAYNIINGLCSPIPDQSAPVYITIGDGGNQEGLATNMSEPQPRYSAFREASFGHAILDIKNRTHAYYAWHRNQDGSAVAADSLWFTNRYWMPTDDSLDDSR* >Brasy1G433500.1.p pacid=40056743 transcript=Brasy1G433500.1 locus=Brasy1G433500 ID=Brasy1G433500.1.v1.1 annot-version=v1.1 MAVITAPPHPPLAVFASTAPRRGARFRRPPLIRVASSSSSFPRRPCSSSNSSASFSSSSSSSYSGGGDGGGGGGGGEIHYVSPPPPPAAPPGAPVYVTLPADAVGSGGRVARRRAMAASLAALASAGVTGVAVELWWGVVERGGPGEYDWAGYLELAGMARRYGLRMRAILAFHQCGAGPHDSFWVPLPQWVLEEMDNMPDLSYTDRYQRRNKEYISLGCDILPLLKGRSPMQAYSDLMRSFRDTFKEYLGAIVTEVQVGMGPGGELRYPSCPTEKLYQPGSSSELGEFQCYDKFMQASLSAHARILGIQEWGEGGPAGTDAMRQNPEETNFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCTIADAIFCGTGVTISGKVSGIHWHYYTCSHPSELTAGYYNTLLRDGYLPIAQMFSRYKAALCCSCFDLRDAERNNSQSSPEGTLRQLMAAAKICNLPLIGENSVTRLDDTSLSQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFQNWNRFTKFVRKMSDARTFLARLNFRRGHQCLPSVSVVWVVSRASVYT* >Brasy1G194900.1.p pacid=40056744 transcript=Brasy1G194900.1 locus=Brasy1G194900 ID=Brasy1G194900.1.v1.1 annot-version=v1.1 MQPQHRLQIELAMASPPAWTKRSWGARCFFPCYDGGRSSGSSGCNELLPSLGATTAQQQSSELVSRKYLVSPYDPRYKVWERFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDIILTFFVPYVDKKSYLVVDDPKKIALRYLSTWFIFDACSTVPFHSISHLFNKHEHSLGFKFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPDFREDGLWVRYVTCLYWSITTMTTTGYGDLHAENAREMLFGISYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAASEFAARNQLPQQIEGQMVNHLCLRYKAEGLKQQETLDILPKAMRSSISLYLFFPVVQGTYLFKGVSTSFIQQLVTEMQAEYFAPKEDIILQNEYPSDLHLLVTGAVDIVASLDGTEQVYAKAAEGELLGEIGVLCNKPQPFTFRTTKLSQVLRISRSKLMDIIQENAEDGEIIRINLQQVNS* >Brasy1G324100.1.p pacid=40056745 transcript=Brasy1G324100.1 locus=Brasy1G324100 ID=Brasy1G324100.1.v1.1 annot-version=v1.1 MYRAAAAAISGSSSALRRQLARGEQRQWARGYAAAKEVTFGVGARAAMLRGVNDLADAVKVTMGPKGRNVIIERAHRSPQVTKDGVTVAKSIEFEDSAKNVGASLVKQVADATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRIGINKAINAITSHLKSKAWMINSPDEIHQVATISANGEKEIGDLISKAMEIVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDQKTKKCEMENPLILIHDKKISNMNSLLPALQISIQNRRPLLIFAEDIEGEALSMLVMNKHRAGLMICAVKAPGFGENRRANLDDMAVLTGGQVVSEDQGLDLGKVKLQMLGTAKKVTVSLDDTIILDGGGDRQQIEERCQQLRESFESSTAMFDKEKAQERLSRLSGGVAILKIGGASEAEVGEKKDRVTDALNAARAAVEEGIVPGGGVALLYATKELDKISTSHEDEKIGVQIIKNALKAPLMTIAANAGVDGAIVIGKLLEQDNPSLGYDAAKEEYVDMVKAGIIDPVKVIRTALQDAASVSMLMATTEAAVSEPPATKARLASRMPQMSGMGF* >Brasy1G417800.1.p pacid=40056746 transcript=Brasy1G417800.1 locus=Brasy1G417800 ID=Brasy1G417800.1.v1.1 annot-version=v1.1 MCPCPFQKQIKFACIVPILFFFRVWYCFSISHLLEQLQVRLFMLRCMQDSFDKMKWNFMEPYIYIYTRIVDRIQFKAEFE* >Brasy1G082200.1.p pacid=40056747 transcript=Brasy1G082200.1 locus=Brasy1G082200 ID=Brasy1G082200.1.v1.1 annot-version=v1.1 MAAAIAGAVAATLLLLLPAMVPAGAAAEEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAPDQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLEHSPEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILVMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G082200.6.p pacid=40056748 transcript=Brasy1G082200.6 locus=Brasy1G082200 ID=Brasy1G082200.6.v1.1 annot-version=v1.1 MCRYPAINKPAGVVHWLEHSPEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILVMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G082200.3.p pacid=40056749 transcript=Brasy1G082200.3 locus=Brasy1G082200 ID=Brasy1G082200.3.v1.1 annot-version=v1.1 MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G082200.4.p pacid=40056750 transcript=Brasy1G082200.4 locus=Brasy1G082200 ID=Brasy1G082200.4.v1.1 annot-version=v1.1 MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G082200.2.p pacid=40056751 transcript=Brasy1G082200.2 locus=Brasy1G082200 ID=Brasy1G082200.2.v1.1 annot-version=v1.1 MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G082200.5.p pacid=40056752 transcript=Brasy1G082200.5 locus=Brasy1G082200 ID=Brasy1G082200.5.v1.1 annot-version=v1.1 MHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPYPREVEMMEPDQNVKHGLYLSIECINTLNEGLLLHHASAGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKAEKKVTVQHVALSESKHPKIHTLFSTECSTYFDWQTVGLMHSFRLSGQPGNVTRLLSCTDEELKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIEDLRRFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLSGAKYKVFHYGLRFGVGDWSFDKADWRSVDMVNRCWAKFPEPPDPSAITKEGQNANARERDLLSIECGRALNKALYLHHKRRNCTRRSRSTTIGNISKKIEKVSTSNMDVKRHQTVKRAADTIAHVHRSRRLARSSKMWIIAVWAVSVVVFLVVISMFFGDRKRSVSRSRSSRSQKAHV* >Brasy1G187400.1.p pacid=40056753 transcript=Brasy1G187400.1 locus=Brasy1G187400 ID=Brasy1G187400.1.v1.1 annot-version=v1.1 MRARVAYLAPALQFLTNACVVLFLIQSADRLILCLGCLWIRLRGIKPVPNTTGGKASDDVETGVEEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQEDFLKKTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFAPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVENEKQSKQQRVGSAPNLDCLAKEESRPKEDSKKKKHNRLYRKELALSSLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE* >Brasy1G187400.2.p pacid=40056754 transcript=Brasy1G187400.2 locus=Brasy1G187400 ID=Brasy1G187400.2.v1.1 annot-version=v1.1 MNCSYVKDYEFVVIFDADFQPQEDFLKKTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFAPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVENEKQSKQQRVGSAPNLDCLAKEESRPKEDSKKKKHNRLYRKELALSSLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE* >Brasy1G187400.3.p pacid=40056755 transcript=Brasy1G187400.3 locus=Brasy1G187400 ID=Brasy1G187400.3.v1.1 annot-version=v1.1 MERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFAPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVENEKQSKQQRVGSAPNLDCLAKEESRPKEDSKKKKHNRLYRKELALSSLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE* >Brasy1G492000.1.p pacid=40056756 transcript=Brasy1G492000.1 locus=Brasy1G492000 ID=Brasy1G492000.1.v1.1 annot-version=v1.1 MGNSLRCCLACVLPCGALDMVRVVHLGGRVDEYYYGVSAADVLAAHPDHVLTKPCASSSSSSSTSTPTRILIVSPGSELRRGEIYFLVPAASVLSASDAKTKTKTSADAAIGGGAGGRRRNHHVRSKSEGSGGASSSSPPPESEKKDSEAGQQQHRRRMSTGSHAAPWHPHLARIAEDPPLNT* >Brasy1G005600.1.p pacid=40056757 transcript=Brasy1G005600.1 locus=Brasy1G005600 ID=Brasy1G005600.1.v1.1 annot-version=v1.1 MRRRDASSKRATAAGSSSSSRHHYGAGVAGSSSSSAALAAAAAAAAASVAPPQRPPRSMSTAPSFSGVSSARKPPEPLRRAVADCLSPPAPHTHGPPAAAASAATEASRTLRDYIANLSTIDMAYNVLIDHAVAERDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIVKYDPVASHRTSSFGQTLVSSAALPNSSHVAPPISNFASASLVKSLNYVRSLVARHIPKLSFPQSVISNPTKQSLPSLSSFLNKSLVSQLTPEVITNREHLESQESHTPSDLISSASEKVDGGEHGYDIKYISFDILNWRWHVHGERQAPNSAKESNEFAGLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSTASTYASSQGHLKAITASKRMKSGPSQVWMNIPANTYQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEITSNANQFNAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDPEDAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPPVEKGETANNSYPNEYGPSMDEQKAAEPEEEQRISPAIDQFESWLLKILFEVLLLLVQMEEQQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVTDETQSSALDTQFAPERIDLLGGVDYICLEYSRANSAEEKRDLFFVLFDYVLHQINETCLAGSLSTYTYDDAQPLASLLASADAPEAFYISVKHGVEGVGDMLTKAISAALSQSAEYEQLNVLLEKVIGKIDATVSTFSRIDNEFTYMIPVTKSFKCFSSIKEESEDGDLAHRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKVQKLQVEIKVAGSQDVSCSEVSLPVCLLCGLLKSKHNFIRWGFLYVLEKFLMRCKLLLDDSDMQDQSVAYHSKNRLDKAFAVIDIMSSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSTNVIQLGGLQSLGQLFGCTTKNIESPLETLASQQNTGTKNLCRNETLQDISMNNQSTLLCETSMAALLLRGLAIAPMQLVTRVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNIPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ* >Brasy1G005600.2.p pacid=40056758 transcript=Brasy1G005600.2 locus=Brasy1G005600 ID=Brasy1G005600.2.v1.1 annot-version=v1.1 MRRRDASSKRATAAGSSSSSRHHYGAGVAGSSSSSAALAAAAAAAAASVAPPQRPPRSMSTAPSFSGVSSARKPPEPLRRAVADCLSPPAPHTHGPPAAAASAATEASRTLRDYIANLSTIDMAYNVLIDHAVAERDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIVKYDPVASHRTSSFGQTLVSSAALPNSSHVAPPISNFASASLVKSLNYVRSLVARHIPKLSFPQSVISNPTKQSLPSLSSFLNKSLVSQLTPEVITNREHLESQESHTPSDLISSASEKVDGGEHGYDIKYISFDILNWRWHVHGERQAPNSAKESNEFAGLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSTASTYASSQGHLKAITASKRMKSGPSQVWMNIPANTYQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEITSNANQFNAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDPEDAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPPVEKGETANNSYPNEYGPSMDEQKAAEPEEEQRISPAIDQFESWLLKILFEVLLLLVQMEEQQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVTDETQSSALDTQFAPERIDLLGGVDYICLEYSRANSAEEKRDLFFVLFDYVLHQINETCLAGSLSTYTYDDAQPLASLLASADAPEAFYISVKHGVEGVGDMLTKAISAALSQSAEYEQLNVLLEKVIGKIDATVSTFSRIDNEFTYMIPVTKSFKCFSSIKEESEDGDLAHRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKVQKLQVEIKVAGSQDVSCSEVSLPVCLLCGLLKSKHNFIRWGFLYVLEKFLMRCKLLLDDSDMQDQSVAYHSKNRLDKAFAVIDIMSSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSTNVIQLGGLQSLGQLFGCTTKNIESPLETLASQQNTGTKNLCRNETLQDISMNNQSTLLCETSMAALLLRGLAIAPMQLVTRVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNIPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ* >Brasy1G005600.3.p pacid=40056759 transcript=Brasy1G005600.3 locus=Brasy1G005600 ID=Brasy1G005600.3.v1.1 annot-version=v1.1 MRRRDASSKRATAAGSSSSSRHHYGAGVAGSSSSSAALAAAAAAAAASVAPPQRPPRSMSTAPSFSGVSSARKPPEPLRRAVADCLSPPAPHTHGPPAAAASAATEASRTLRDYIANLSTIDMAYNVLIDHAVAERDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIVKYDPVASHRTSSFGQTLVSSAALPNSSHVAPPISNFASASLVKSLNYVRSLVARHIPKLSFPQSVISNPTKQSLPSLSSFLNKSLVSQLTPEVITNREHLESQESHTPSDLISSASEKVDGGEHGYDIKYISFDILNWRWHVHGERQAPNSAKESNEFAGLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSTASTYASSQGHLKAITASKRMKSGPSQVWMNIPANTYQPRARPLFQYRHYRYMMDPEDAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPPVEKGETANNSYPNEYGPSMDEQKAAEPEEEQRISPAIDQFESWLLKILFEVLLLLVQMEEQQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVTDETQSSALDTQFAPERIDLLGGVDYICLEYSRANSAEEKRDLFFVLFDYVLHQINETCLAGSLSTYTYDDAQPLASLLASADAPEAFYISVKHGVEGVGDMLTKAISAALSQSAEYEQLNVLLEKVIGKIDATVSTFSRIDNEFTYMIPVTKSFKCFSSIKEESEDGDLAHRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKVQKLQVEIKVAGSQDVSCSEVSLPVCLLCGLLKSKHNFIRWGFLYVLEKFLMRCKLLLDDSDMQDQSVAYHSKNRLDKAFAVIDIMSSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSTNVIQLGGLQSLGQLFGCTTKNIESPLETLASQQNTGTKNLCRNETLQDISMNNQSTLLCETSMAALLLRGLAIAPMQLVTRVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNIPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ* >Brasy1G545500.1.p pacid=40056760 transcript=Brasy1G545500.1 locus=Brasy1G545500 ID=Brasy1G545500.1.v1.1 annot-version=v1.1 MSSSSLSFPATMRPALPARRAAAARSRTRCGAAFGREQYGGALVDSGMAVLRRRIREARMAETNYEAPAGWAGWEKRYYPAYVSDVSALAGALQLLAMGTRPTVAAAVAAVLLAGVPVSAAVLFHLLGVAAEAALHHVS* >Brasy1G499200.1.p pacid=40056761 transcript=Brasy1G499200.1 locus=Brasy1G499200 ID=Brasy1G499200.1.v1.1 annot-version=v1.1 MALLCFLLDLRNIPPPLLRLLKQCLLHIANLYAAIPSAVPLPDRLALCYVHQAPAASSSSSRSSPELKIAYRPGEKFSLRDFHHAVENLPLDGFLPEQHGSVPTGDVSLTNLFSNRAIYSWATDDISKKVIAICMSAQNTESLRRSLMDAAEQCITVEFVILETEAVSMYDDVSENSNSFIHRISDLENCVIRRYSPETQVLHGLVKRWLEELKDDKEDALQAVFVFRVPIIKSVNQISCSIYPSVNQIIDGFPSCQICRCHGHPIDLVTTNKAKWMCPTTSCQLAASDVTNTAVKIGEQTVLFLPTSESGPNMRRVSTSISFDVIERTELASVNEGVIMGKSHVVIPSSNDEIGLTDESLDQNTQIFYGLCETLFKLDQGLVCSSACNTETMKIGTFECYYLLLPSEKGPMLLRRLAGSEEILPLPDVNRPRNSTCTKEMKNSIETSLLKIVLKEYNPLQHERGFHSKLNSLVNDSLQFGSIAPAYGPKDANHLDSFSEPQIPTFQAPRENMFMSQEEKGADPVHIHSFSEPQTPSFRAPKDKLPSQSKEKLPSQSKEKASPSISEEWEKLIIIDDTDDFCTRATSSRRVITKPASSKSPSPVKALDEKTSRILERLEAPKAKMQRASKPPSTMTPSASSHGASSTQIKKPLLPFQPSASQPLKPTFNRLRRKPGA* >Brasy1G499200.2.p pacid=40056762 transcript=Brasy1G499200.2 locus=Brasy1G499200 ID=Brasy1G499200.2.v1.1 annot-version=v1.1 MALLCFLLDLRNIPPPLLRLLKQCLLHIANLYAAIPSAVPLPDRLALCYVHQAPAASSSSSRSSPELKIAYRPGEKFSLRDFHHAVENLPLDGFLPEQHGSVPTGDVSLTNLFSNRAIYSWATDDISKKVIAICMSAQNTESLRRSLMDAAEQCITVEFVILETEAVSMYDDVSENSNSFIHRISDLENCVIRRYSPETQVLHGLVKRWLEELKDDKEDALQAVFVFRVPIIKSVNQISCSIYPSVNQIIDGFPSCQICRCHGHPIDLVTTNKAKWMCPTTSCQLAASDVTNTAVKIGEQTVLFLPTSESGPNMRRVSTSISFDVIERTELASVNEVFYGLCETLFKLDQGLVCSSACNTETMKIGTFECYYLLLPSEKGPMLLRRLAGSEEILPLPDVNRPRNSTCTKEMKNSIETSLLKIVLKEYNPLQHERGFHSKLNSLVNDSLQFGSIAPAYGPKDANHLDSFSEPQIPTFQAPRENMFMSQEEKGADPVHIHSFSEPQTPSFRAPKDKLPSQSKEKLPSQSKEKASPSISEEWEKLIIIDDTDDFCTRATSSRRVITKPASSKSPSPVKALDEKTSRILERLEAPKAKMQRASKPPSTMTPSASSHGASSTQIKKPLLPFQPSASQPLKPTFNRLRRKPGA* >Brasy1G499200.3.p pacid=40056763 transcript=Brasy1G499200.3 locus=Brasy1G499200 ID=Brasy1G499200.3.v1.1 annot-version=v1.1 MALLCFLLDLRNIPPPLLRLLKQCLLHIANLYAAIPSAVPLPDRLALCYVHQAPAASSSSSRSSPELKIAYRPGEKFSLRDFHHAVENLPLDGFLPEQHGSVPTGDVSLTNLFSNRAIYSWATDDISKKVIAICMSAQNTESLRRSLMDAAEQCITVEFVILETEAVSMYDDVSENSNSFIHRISDLENCVIRRYSPETQVLHGLVKRWLEELKDDKEDALQAVFVFRVPIIKSVNQISCSIYPSVNQIIDGFPSCQICRCHGHPIDLVTTNKAKWMCPTTSCQLAASDVTNTAVKIGEQTVLFLPTSESGPNMRRVSTSISFDVIERTELASVNEGVIMGKSHVVIPSSNDEIGLTDESLDQNTQIFYGLCETLFKLDQGLVCSSACNTETMKIGTFECYYLLLPSEKGPMLLRRLAGSEEILPLPDVNRPRNSTCTKEMKNSIETSLLKVHCSSLWPEGCQPS* >Brasy1G305300.1.p pacid=40056764 transcript=Brasy1G305300.1 locus=Brasy1G305300 ID=Brasy1G305300.1.v1.1 annot-version=v1.1 MDPNGSYHNYAIFSESESNISPLNEALRGTTAMPMLDELVSPTLQPPPHFDSMRNTPPTTNALEVPMPPKNQSNLQDQTNVPSTQPPVLPHTNTYHSPSITSLLQGDPIASVHAHLNTIGGLDDGPIFENPTSLFTGVSSPLGYVSSLLNHYPFSSNTIYTQHIQHGALYNEDLYGVRTPSGPFTTFPSPPREDTTLIDGQTASFASDVMQDPMRSGHRCRFCNATFNSHRAYGGHMSHHSKQNKKNLQG* >Brasy1G285500.1.p pacid=40056765 transcript=Brasy1G285500.1 locus=Brasy1G285500 ID=Brasy1G285500.1.v1.1 annot-version=v1.1 MEPQASCGVSISALQALMASSGVDAQTLDLGVNLPHYALHDHVPKALVSPAAPLALSGASWVPDGVDRISRLPDVVLRNIVSRLPAKDAARTAAIASRWRPLWLSAPLTLVDDHLLPDGGEWNITSTVSRVLAAHPGPFRCVHLSCSNMDEHRNELERWFVLLAAKGVQELIFVNRPLPVDLCLPATLFSCASLTRLCLGIWKLPDTAAVPRAATFLNLRDLLLSFTVMEDRDLAFMLERSPVLEILTIVGSQSGAPARLRLVSHSLRCVQLGLTRLEEIHVMDTPNLERLLQWNAVGKHHVSSILGKKGRSRIKIGHAPKLRLLGYLEPGDDEIEISNTVIVAETRENIAPSVKILAIRVKFGVRNALKKVPAYLRCFPNLETLHVQSQKHVEPTGKVNFKFWQEGGPIKCVLQTMKKVFFYEFRGSRSEVGFLKFIAERAHVLEKMVIMVASECFSSGDDVSPKLRPLASAKWISKVCKVKLCRSPFSEGGDPGFSHWLATDLSCPDPFGQVNYQEPLYSAGFGE* >Brasy1G285500.2.p pacid=40056766 transcript=Brasy1G285500.2 locus=Brasy1G285500 ID=Brasy1G285500.2.v1.1 annot-version=v1.1 MEPQASCGVSISALQALMASSGVDAQTLDLGVNLPHYALHDHVPKALVSPAAPLALSGASWVPDGVDRISRLPDVVLRNIVSRLPAKDAARTAAIASRWRPLWLSAPLTLVDDHLLPDGGEWNITSTVSRVLAAHPGPFRCVHLSCSNMDEHRNELERWFVLLAAKGVQELIFVNRPLPVDLCLPATLFSCASLTRLCLGIWKLPDTAAVPRAATFLNLRDLLLSFTVMEDRDLAFMLERSPVLEILTIVGSQSGAPARLRLVSHSLRCVQLGLTRLEEIHVMDTPNLERLLQWNAVGKHHVSSILGKKGRSRIKIGHAPKLRLLGYLEPGDDEIEISNTVIVAETRENIAPSVKILAIRVKFGVRNALKKVPAYLRCFPNLETLHVQSQKHVEPTGKVNFKFWQEGGPIKCVLQTMKKVFFYEFRGSRSEVGFLKFIAERAHVLEKMVIMVASECFSSGDDVSPKLRPLASAKWISKVCKVKLCRSPFSEGGDPGFSHWLATDLSCPDPFGQVNYQEPL* >Brasy1G047700.1.p pacid=40056767 transcript=Brasy1G047700.1 locus=Brasy1G047700 ID=Brasy1G047700.1.v1.1 annot-version=v1.1 MKRAQRTKYTRSTVESVRTPTADACTALHFTRVCTRPTVSVLSWAGLDTSPQTLSFYLCPVSPKNKNKNIWGSRERQRASERTRNATPQATAGLCRTAARLLYCPIPPPPPPTARSGICARRRPSTAGADSPSGPGVRPSPTRRLLRLPESPNFSNPQVDGGAVQSSSPLLQRCLLSFPNLRPPPPPTPAARLCPRLARPPPPSLPLRPPPPPPPAALLSPPRPLPTPSLPSFSTPAAVAPALLPPPALQPLQRPRSRAAPLAPPSHRPAPAVSVASRRRRTGPPARPAVSVAIHTRFTKEVI* >Brasy1G304300.1.p pacid=40056768 transcript=Brasy1G304300.1 locus=Brasy1G304300 ID=Brasy1G304300.1.v1.1 annot-version=v1.1 MEHGFCNDMILSESAWNSGDGGAGAAGDLVNVVDGGSGMTVLERLVLDEALAAAILELQGIQVPCNKAVLPAMIAGGGVEAFGATNMGTAGAYGDADADAVVVQRQQHRHPGAMGMPAADYDLVPAPAAARAVTLAATAPSFANANTTTTAFDRVDAAVFSAGNDAAPKPVLAKTSATATTTTSQWELGVAGNGSTGAKRQRRSSRKRKATAEPPPCTDDLENPLCSLLASTSARGNANGGGIQIAFSNNNNNSGSSSTTNACKRTKPSPVTTLSSASSSISFDGGRASFANEQGQQYEPDTEALAQVKEMIYRAAAMRPVTLGCNGAGGDEDTERAPAAPGRRNVRISSDPQTVAARQRRERISERLRVLQRLVPGGAKMDTASMLDEAASYLRFLKAQIRDLQTLDRRNYPPPSNHNAAADHLNNCTAAVPPMGYNNGMPNFAAFTPAAAAAETTLGGGGGEDQPLFMCSRFR* >Brasy1G116400.1.p pacid=40056769 transcript=Brasy1G116400.1 locus=Brasy1G116400 ID=Brasy1G116400.1.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDVSSVILSDYTQKRH* >Brasy1G116400.10.p pacid=40056770 transcript=Brasy1G116400.10 locus=Brasy1G116400 ID=Brasy1G116400.10.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDVSSVILSDYTQKRH* >Brasy1G116400.8.p pacid=40056771 transcript=Brasy1G116400.8 locus=Brasy1G116400 ID=Brasy1G116400.8.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDVSSVILSDYTQKRH* >Brasy1G116400.9.p pacid=40056772 transcript=Brasy1G116400.9 locus=Brasy1G116400 ID=Brasy1G116400.9.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDVSSVILSDYTQKRH* >Brasy1G116400.7.p pacid=40056773 transcript=Brasy1G116400.7 locus=Brasy1G116400 ID=Brasy1G116400.7.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDQLSS* >Brasy1G116400.4.p pacid=40056774 transcript=Brasy1G116400.4 locus=Brasy1G116400 ID=Brasy1G116400.4.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDQLSS* >Brasy1G116400.2.p pacid=40056775 transcript=Brasy1G116400.2 locus=Brasy1G116400 ID=Brasy1G116400.2.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDQLSS* >Brasy1G116400.6.p pacid=40056776 transcript=Brasy1G116400.6 locus=Brasy1G116400 ID=Brasy1G116400.6.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDQLSS* >Brasy1G116400.3.p pacid=40056777 transcript=Brasy1G116400.3 locus=Brasy1G116400 ID=Brasy1G116400.3.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDLSS* >Brasy1G116400.5.p pacid=40056778 transcript=Brasy1G116400.5 locus=Brasy1G116400 ID=Brasy1G116400.5.v1.1 annot-version=v1.1 MGWGISRLIGLKAVVLFSAAYFVHGLGMKVLSLPLVYACMIALLISIASHPSVDLPLLLGKASNGSFPLWSWIMFSPFLLFIHLFVLLRRFAKNEPLYTELADGVYVGGWPSSVEHLPPGDPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSHIESAVRWAVRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKSAEQMIREKRPSISMNTLHRKSLEEWSKHLFSPSKRSGESDLSS* >Brasy1G126200.1.p pacid=40056779 transcript=Brasy1G126200.1 locus=Brasy1G126200 ID=Brasy1G126200.1.v1.1 annot-version=v1.1 MPDVVPAVAGQIRRPRPRIRRLPWQIREELPHKPVPPPAAAPPCLWSPLLMAVALRGHSTGRRSRAGCSGPLLRSGPPRSVAPGLDPARVTVRRRPGHHEAPPRPVRSCPWSFGGANRAGGFDCPPRPMLLFRLLSAAPAAGPLLLLQASCGTGSL* >Brasy1G219600.1.p pacid=40056780 transcript=Brasy1G219600.1 locus=Brasy1G219600 ID=Brasy1G219600.1.v1.1 annot-version=v1.1 MTMTGLFMDRLPSSWVVGKEETSSAQEQAMEDCTNRNLAQRSTIPTGFFGVPGLFVRLSSKGLNEVDPNSVCSPISPLDFKNLPSNNTVRTNLKPPRLHRIEADLKLRMSPPRVGLGLVNALTAHESKLHSGFGRVNALTAHEGKLHSGFKNPFLALGLPRVATDASPQNNGSIGITMNGIIDFALSEEYTCVIQHGPNPKTTHILGGETLEVHKGVRDGSKRPIFTIKPTGEQSWPPSLAGVTSVLCSCCRKRLRKARDIYMHVGEKTFCSKECRKGCTEAETEEAKLTYAGCWQLLCSFLVKLVVVARKCCPQVYRLVLFGLKG* >Brasy1G523200.1.p pacid=40056781 transcript=Brasy1G523200.1 locus=Brasy1G523200 ID=Brasy1G523200.1.v1.1 annot-version=v1.1 MRLRDTGEASWKHTTRRRIKKLQTDRTEGPYSQWPGPAPRPRLYKRAPAPSIGFLPPPKPPHFSLPIDQTAALNPSPSFSPIVLLASLRPPRF* >Brasy1G327800.1.p pacid=40056782 transcript=Brasy1G327800.1 locus=Brasy1G327800 ID=Brasy1G327800.1.v1.1 annot-version=v1.1 MARLASIALTAVVVLLAAAVGISAAEAEGKARVLISPDQLVNAGAAKPADYILAQESRKLAADTRLVAMNGARPADYILAQRKIGAALVNPAAVNLNIQACDQLAAYKRACYTLARLPGVTTPRELLEAAVRVSLGRARSAKVMFERAKQQSKAGNPMASILSSCEENYDDLVNALQEAQRSIQKHAGSATVVSKLSAASTFAGDCDNWFEERSIASPFEVMQRHVAHVVSVGLGIASNAKQI* >Brasy1G133400.1.p pacid=40056783 transcript=Brasy1G133400.1 locus=Brasy1G133400 ID=Brasy1G133400.1.v1.1 annot-version=v1.1 MAAKQLHLLFSYSTLLATLVSLHVPCAQTENITSGASLPAVAGAGWSSPSGRFAFGFYATDGGLAVGVWLTTTPNVTVTWTANRNDTPATSGALRLTYDGRLLWTGARSQDRPIAVPPGPAVAGAMRDDGQFVLYGADAGIVWSTFASPPTDTLLAGQDLVPGAQLFSSVSRTNRATGKYRLTNQQNDGNLVMYPVGTSNVAAAAYWDTGTFQIGFPLTLRLETSGVLYQVNSNGSYTNNLTRPVTAKAGEEAYYRVTLDPDGILRLYRHAFVAGAGGASKTSVRWIGPSDRCLVKGVCGFNSYCLLDRDAQPSCLCPPGFDFVDASNAALGCAVSSRAGECKEEQQQDAASFFSMADTQNMSWADTAYAVVGAGMSMADCQAACMGDCFCAAVLLNTNDGTCTKQQLPLRYGHEGGGYTLSVKTGRAGTADPASGDRGGGTGNNRVGRANTIALVCIGIIACVALSAFVASARLLWVYRGIVRRPSALATADPDAAEGLEEEAAPLSLRSYTYEELEHATYCFRDPLGRGAFGTVFKGASRNGNKAIAVKRLEKLVEDGEREFQREVRAIGRTSHRNLVRLLGFCHEGAHRLLVYELMSNGSLADLLFKGVSCPVPWVDRLRIALDVARGLHYLHDELDSRVIHCDVKPQNILMDESGTAKIADFGLAKLLQPDQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLEVVTCRRSMEMEEAGEERTLMELVYEWLLRGEVERALNSDEVVEAAAVERAVKVGMWCVQGEPESRPSIKSVILMLEGHLEVPFPPHPAS* >Brasy1G530400.1.p pacid=40056784 transcript=Brasy1G530400.1 locus=Brasy1G530400 ID=Brasy1G530400.1.v1.1 annot-version=v1.1 EGNPPEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIFSLIVSLFRVLRYSE* >Brasy1G485300.1.p pacid=40056785 transcript=Brasy1G485300.1 locus=Brasy1G485300 ID=Brasy1G485300.1.v1.1 annot-version=v1.1 MGGFVRCRWVKLLALLSLLVPLALRAGSLLAPPAPSRPAAFTAGATSIDSASAHSVNEVGQRRRRTEGSALSAALGTTTTRFRHGFGDDKRMAPSGSNPLHNLRR* >Brasy1G561000.1.p pacid=40056786 transcript=Brasy1G561000.1 locus=Brasy1G561000 ID=Brasy1G561000.1.v1.1 annot-version=v1.1 MHAGHAAVSSVLLVLLLALSILTLLPHTPASPRSFFRPRPRLIDGSTTKVHPEAVDGGCDYSNGAWVRDADPTAVYKEDCPFLDPGFQCTRNGRTDSSFWHWRWHPRGCTLPKFNASEMLERSRNGRIVFAGDSIGRNQWESMVCMLASAVPAGDGSRIYERSGKPISRHKGYLSMFFADYNLSVEYYRAPMLVVIDRFAPAASKINDNGAAARGAIRLDALPRHADRWATADVLVLNTGHWWNQHKTIKAGNYFMVGKRLNVTTDIKEAFRLSLQTVTDWELSSARLSKSYFFFRSYSPSHYRNGTWDTGGSCADQQEPLTTTGGDQSSEEYEYSWMNAMISGATRGLRRKGRNARFLNITYMTELRRDGHPSRHREPGTPPDAPEDCSHWCLPGVPDAWNQALYAHLLALGYDTRTKTEHR* >Brasy1G561000.2.p pacid=40056787 transcript=Brasy1G561000.2 locus=Brasy1G561000 ID=Brasy1G561000.2.v1.1 annot-version=v1.1 MLERSRNGRIVFAGDSIGRNQWESMVCMLASAVPAGDGSRIYERSGKPISRHKGYLSMFFADYNLSVEYYRAPMLVVIDRFAPAASKINDNGAAARGAIRLDALPRHADRWATADVLVLNTGHWWNQHKTIKAGNYFMVGKRLNVTTDIKEAFRLSLQTVTDWELSSARLSKSYFFFRSYSPSHYRNGTWDTGGSCADQQEPLTTTGGDQSSEEYEYSWMNAMISGATRGLRRKGRNARFLNITYMTELRRDGHPSRHREPGTPPDAPEDCSHWCLPGVPDAWNQALYAHLLALGYDTRTKTEHR* >Brasy1G561000.3.p pacid=40056788 transcript=Brasy1G561000.3 locus=Brasy1G561000 ID=Brasy1G561000.3.v1.1 annot-version=v1.1 MLERSRNGRIVFAGDSIGRNQWESMVCMLASAVPAGDGSRIYERSGKPISRHKGYLSMFFADYNLSVEYYRAPMLVVIDRFAPAASKINDNGAAARGAIRLDALPRHADRWATADVLVLNTGHWWNQHKTIKAGNYFMVGKRLNVTTDIKEAFRLSLQTVTDWELSSARLSKSYFFFRSYSPSHYRNGTWDTGGSCADQQEPLTTTGGDQSSEEYEYSWMNAMISGATRGLRRKGRNARFLNITYMTELRRDGHPSRHREPGTPPDAPEDCSHWCLPGVPDAWNQALYAHLLALGYDTRTKTEHR* >Brasy1G311300.1.p pacid=40056789 transcript=Brasy1G311300.1 locus=Brasy1G311300 ID=Brasy1G311300.1.v1.1 annot-version=v1.1 MAHEPPGNENAPPPPFAHGGLARWHPPAAARGRGEEGALGGGARVPTTREGATQGPVLKQGHFGCGSQRQGYYKNRSFLDVRCVFNEQIRPNVPRRALDPRVALLWRHGGNPSLPTQGRLPPLLRAAAAGGAPAKPCAPWDGGGGVSFSFLRCVLAARGGGARYRAAVPARGRRVRRAGAGASLARCCVLGGILRSRLAAPGRGGRGCGGGVVWIWAGVPRSVRCWPVSRRGCLRGGAGSGVCGLRAAGVGRI* >Brasy1G247500.1.p pacid=40056790 transcript=Brasy1G247500.1 locus=Brasy1G247500 ID=Brasy1G247500.1.v1.1 annot-version=v1.1 MNVALGMAQWVVGKALAPLTDGLLEDWEATKNLGLNIEALKMELVLVEATLKTASHKEIGGPAMEQLLQKLRDSAHSAEDLLDELDYFRIHDELHNTCDAADKHAKGGVNDFALHVRHTAKTFGKPACCARPRTRHRAPGNSSIVPNANQDDEVVSGCMPKLGKLLPCSSSPHVHDDDADKSNDRAAPQRKHVEESAILGFNRVDVSKRMKQFVEQLQHVRKEFTMILQSCNCRTVTNIAQSRPITTGRSIEPKLYGRDRIMDTIIYDITKGKYCEKDLTVLPIVGPGGIGKTSLIQHTYHNKEVRNHFQVVVWVCVSLSYNLNKLLEEIKECIPKVDGEKEGKPEELIEQRLKSKRFLLVLDDIWECNNWEDWERLLLPLKQSQEKGSIILVTTRFPAIAQIVKTTNLPIELEGLELQDFRELFQTFVFGDEQCRRDHDFLLKTGDKIMEKLKGSPLAAKTVGRLLKKDLSLRHWISVLESKEWERQTGINDIMPALKISYDYLPFHQQQCFSYAALFPEDHSYSSRQLIDLWIGLDILQPDGMNQTLEPIGLSTLNDLVTHGFFREVETNGRPGYVMHDLLHDLALKVASHECLSLHHSNVGSVEIESSIRHLSIIIGDVGDDNAAEKFRSELRKMKTRLKVEHLQTLMLFGGLDESFGHIFCDLFREANALRVIHLPNMRCPVESMLHNFSALVHLRYLCLGKNYYSELDLPFDISRFYHLRILDIESWHGNCVLPKDISNLAKLRHFIVPRDELHSDICNVGKLVLLEELKVFKVNKENEGYEPKQLEDLTQLREIGMYNLENIHTKEDAAAAKLIEKRYLERLTLNWDRDRVNIEPDLEAVVLENLQPPRYLQVLSIRGNGGPSCPTWLGDDFAVETLQSLHLSDVSWETFPSLGKAWDLRELVLNRIAIMEEFVIEQSFCRLTRLKFVSLGTFERWVQGAAHPFPLLQELIIMHCPKLLELPVSDHIVCPPDKNWNIDWFPKLQELEILNCPELLLVIPIPSTKSLCSVKIQEVKLLEKFEYSNSSNGILLNITGKDDLHSLDEVLVFNKETGLEKLTLEKCPPLELKHLLMLTSLKKLFVESSDAIVGPLGGPGDVELQLPVEHLVVKKLRGTSGKKLTGFLTHLPRLSKLEIEDCKTITQLAVRVDLQQATSSSAADSKVEQEKEEDGLLLFPPHLSYSLQELIIRRCPELVLVPSSAFLPHSSNNKTETRGGLPTDKNWDIDWFPKLQELEILDCPELLLVIPISGNKSLRSVKIRDVKLLEEFAYSNSSHGISLNIVGKDDLHSLDEVLVFNKETGLEKLTLTKCPPLELKHLLMLTSLKTLVIESSSALVGPLGGLGDVEWQLPVEQLMVYRLRGASGKELTQLLTHLPRLSKLIIEDCETITQLAVGLGLQETTSAAAAGSEVEQEKEEDGLLLLPPHLSDSLRELIIAYCPELVLVNPSTFLPLRDGHSNNKKETRRGGLQALRSLQNFEIWWGCPMFLSACLSISPSLHIFPSSLQSLTLWDLEGMGTLEPLSNLTSLTSLDLRRCGENLRCEGLGPLLTTGGQLSELTVCDSPRFFAGWDPNPRRVLQDEEGGKKQQLQLVPPPPSSSKLQELWTGDAAGFLAAPICSLLSSSLTKLTLYGTKEMERFSKEQEDALLLLASLQELEFQNFYKLQHLPAGLHKLTNLKKLEVDSCPAVRSLPKDDLPKSLQELDVRYCGNEELKQQCRGLVGTIPEISVDKYQ* >Brasy1G511800.1.p pacid=40056791 transcript=Brasy1G511800.1 locus=Brasy1G511800 ID=Brasy1G511800.1.v1.1 annot-version=v1.1 MRLQRRTRCPGPTKLLGSGSTSKQTRMTSIQIMESKKWGCFGRPVSQREMYPKPSRAEQDQHGWHPIWRSISPYEFDHLGGEEKAHVYREFNEHYQGLEAPVAQVHGAPDTQVQETIADVLND* >Brasy1G353300.1.p pacid=40056792 transcript=Brasy1G353300.1 locus=Brasy1G353300 ID=Brasy1G353300.1.v1.1 annot-version=v1.1 MASADAATGGRRRCIVVAVDESEESIYALSWCLANVVSTQDTLVLLHARRPRPVYAAMDSAGYMMTSNVLASMETHANAVSAAAVDKAKHICATTLPDVKVETAVEGGDPRNVICDTTDKMGADLLVMGSHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPKE* >Brasy1G102000.1.p pacid=40056793 transcript=Brasy1G102000.1 locus=Brasy1G102000 ID=Brasy1G102000.1.v1.1 annot-version=v1.1 MATVLRRPDGESETALLDVVCFGAGSLDEVGSRLDRLNLAVSPALIRRVVDSCSESSDSGRRLLRFLAWCRSKDLQGLGDEEHDRAIVVLARMGDLTAMRIAVGDSEKDGRRMAPETFTAVVEALVKAGKEDEAVRLFRGLEKQKLLPQQGVGAGGEGIWSSSLAMVQTLCMKGYAREALGVVWHHKRELSAEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSGCPVGLPSFNDFLNCVCHRNLKFNASALVPEAMDILTEMRSYGVTPAASSFNILLSCLGRARRVKEAYRILYLMKEGKEKCSPDWVSYYLVVKVLYLTGRIVRGKRLVYGMLESGVIPTVKFFHGLIGILCGTEEVDHALDMFKIMQSCELVDTRTYDLLIEKLCRNGRFKLGKELWDDATKSGLLLGCSEDLLDPLKTEQQDFGVLLKQGAEGRVFVSTFVGRKCVTKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLLHTLTFEYVDGLCVKDILLGFGSNGINEERLNDIATQIGNAIGKLHDGGLVHGDLTTSNMMIKNGTNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVMEKILSAYRKASKQWCSTTNKLAQVRQRGRKRAMIG* >Brasy1G229000.1.p pacid=40056794 transcript=Brasy1G229000.1 locus=Brasy1G229000 ID=Brasy1G229000.1.v1.1 annot-version=v1.1 MVKDTAYYDTLGVSTGASAAEIKKAYYLKAKVVHPDKNPGNPDAARKFQELGEAYQVLSDPVKKDSYDKHGKEGLPHDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEDSDSPEASVRIQEKIKELQNERERKLIQSLKFRIQPYVDGRHKEFGDWASAEAQRLSQAAFGEAMLHTIGYIYVRQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAIALIQLQEGMKKIEGDNKEEQIKSIEEKKDAMLSSLWKINVVDIESTLWRVCRAFLRENNVSKDVLMLRTKGLKKLGSIFQGAKSHYQRENSLRVESGTSEAAPSP* >Brasy1G229000.2.p pacid=40056795 transcript=Brasy1G229000.2 locus=Brasy1G229000 ID=Brasy1G229000.2.v1.1 annot-version=v1.1 MVKDTAYYDTLGVSTGASAAEIKKAYYLKAKVVHPDKNPGNPDAARKFQELGEAYQVLSDPVKKDSYDKHGKEGLPHDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEDSDSPEASVRIQEKIKELQNERERKLIQSLKFRIQPYVDGRHKEFGDWASAEAQRLSQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAIALIQLQEGMKKIEGDNKEEQIKSIEEKKDAMLSSLWKINVVDIESTLWRVCRAFLRENNVSKDVLMLRTKGLKKLGSIFQGAKSHYQRENSLRVESGTSEAAPSP* >Brasy1G289300.1.p pacid=40056796 transcript=Brasy1G289300.1 locus=Brasy1G289300 ID=Brasy1G289300.1.v1.1 annot-version=v1.1 MEFARTASWDRQFPQTLAPACSFVSPVRSSRRRRPPPPERGASSAGSPGSSAPAPTASPGSSPHPPRPPSHPSSSPPAAAAAPAGDRRRRPPRVRGASSAGSPGSSAPTLPSYPVSSAHPHSDGVFHHAPPPLAPTASSDPPPERVASSAGSPDAKCWIAGLGSMQDAATPDSIARDQEKH* >Brasy1G412300.1.p pacid=40056797 transcript=Brasy1G412300.1 locus=Brasy1G412300 ID=Brasy1G412300.1.v1.1 annot-version=v1.1 MACRQALGWSEGEVMRPESKPCSRLMRHTAGIFSVGGALAFWVLCRLHYGPRITVPRSLRWASCGAISTSSTSALLVRLFSPECEPQNIAAYDRPEYKPA* >Brasy1G541100.1.p pacid=40056798 transcript=Brasy1G541100.1 locus=Brasy1G541100 ID=Brasy1G541100.1.v1.1 annot-version=v1.1 MCLISHASIKRSTCTIVNLLGNARNVIPPKLYLHLPELAPSWDAAQDRSTPRLERPMACCSSTSAPPLAPNSHRGRLESAASLPPPARPAPPRGSGSEGGGVADQEEAGRKGQAARRREEGEGREGGGGAGRGRWREGCGCGSRGRGWRRRPDGIDEDREEGGANRGILRVRAWRWEDARWGTDDARFYDGFSDRGWGRRT* >Brasy1G137700.1.p pacid=40056799 transcript=Brasy1G137700.1 locus=Brasy1G137700 ID=Brasy1G137700.1.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYTPLGSCLLLPVVSSSGEGNGWPISWPERLNMRYSSRSDNFSTQFSQEKIDSDTKQWSGLVSEVYFSGFAIDWSSIRNVMDMNAGFGGFAASLIDRPLWVMNVVPFDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLQMSYLLQSLTNRCDIVEVAAEIDRILRPGRWFVLQDTIGVIRKMDRVLRSLHYKTAIVKQQLLVARKSFWRPGSTGS* >Brasy1G137700.2.p pacid=40056800 transcript=Brasy1G137700.2 locus=Brasy1G137700 ID=Brasy1G137700.2.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYTPLGSCLLLPVVSSSGEGNGWPISWPERLNMRYSSRSDNFSTQFSQEKIDSDTKQWSGLVSEVYFSGFAIDWSSIRNVMDMNAGFGGFAASLIDRPLWVMNVVPFDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLQMSYLLQSLTNRCDIVEVAAEIDRILRPGRWFVLQDTIGVIRKMDRVLRSLHYKTAIVKQQLLVARKSFWRPGSTGS* >Brasy1G137700.4.p pacid=40056801 transcript=Brasy1G137700.4 locus=Brasy1G137700 ID=Brasy1G137700.4.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYTPLGSCLLLPVVSSSGEGNGWPISWPERLNMRYSSRSDNFSTQFSQEKIDSDTKQWSGLVSEVYFSGFAIDWSSIRNVMDMNAGFGGFAASLIDRPLWVMNVVPFDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLQMSYLLQSLTNRCDIVEVAAEIDRILRPGRWFVLQDTIGVIRKMDRVLRSLHYKTAIVKQQLLVARKSFWRPGSTGS* >Brasy1G137700.3.p pacid=40056802 transcript=Brasy1G137700.3 locus=Brasy1G137700 ID=Brasy1G137700.3.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYTPLGSCLLLPVVSSSGEGNGWPISWPERLNMRYSSRSDNFSTQFSQEKIDSDTKQWSGLVSEVYFSGFAIDWSSIRNVMDMNAGFGGFQP* >Brasy1G137700.5.p pacid=40056803 transcript=Brasy1G137700.5 locus=Brasy1G137700 ID=Brasy1G137700.5.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYTPLGSCLLLPVVSSSGEGNGWPISWPERLNMRYSSRSDNFSTQFSQEKIDSDTKQWSGLVSEVYFSGFAIDWSSIRNVMDMNAGFGGFQP* >Brasy1G137700.6.p pacid=40056804 transcript=Brasy1G137700.6 locus=Brasy1G137700 ID=Brasy1G137700.6.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNAMVTLTKSICWRTVVKSKDVNKIGVVIYQKPASNSCYIERKNNEPPLCTARDDHSPWYIFFKCSFVISHTVQICNLY* >Brasy1G137700.7.p pacid=40056805 transcript=Brasy1G137700.7 locus=Brasy1G137700 ID=Brasy1G137700.7.v1.1 annot-version=v1.1 MAISSALSFLSDRKRPIAIAVILFILLSSLFLLLSPAHSALPFFYSPTARFSSSLSPIGASAPPQTPIPVSADASPPQTPVGAFGGRSSNSADPPRADTAAPHGDATADVLQQPDHSPPPPAAAGVGASVDKKEIATVGSGERGGDEGGGGGAGAEEVLSWELCEVGKRVEPADYIPCLDNVKAVKALKSTRHMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQINWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAAIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEEDWNGSTLSVCTPSIIRRPRLHAFRDSILTINTAKL* >Brasy1G300700.1.p pacid=40056806 transcript=Brasy1G300700.1 locus=Brasy1G300700 ID=Brasy1G300700.1.v1.1 annot-version=v1.1 MAEYQKQPLDFPVKKQTVRRRAHSSAFTPRACMGSAAIVDRTPMTRKRRLADLNDSTIRAESAQSSDSKKAKIRDLVRSTILGEGVKLRNEVSEVMEGFRPYSHRHAQMKDVEFHNVMGTVGHLLQKFEKVLERRCMYKARRSGVAAETDTGVGSGGPLFSREGSGVEARFSFSQLAV* >Brasy1G125900.1.p pacid=40056807 transcript=Brasy1G125900.1 locus=Brasy1G125900 ID=Brasy1G125900.1.v1.1 annot-version=v1.1 MAASARSLPCFFLRPVFLHGAHLLLALAVSGRWLFAGPGKDSDTAVGSATATNGVGGFRRYVAAARCTAWALAAFDLLLAAYSCYLDSGTGWPLDAVADQEDAVVRAAAWLLLAAYLQFEFGRRRREGRFPAPLKLWWALFLLLSLLTVAVHAATSLDGLPVPAHSWALDFVSVLAAVLLLVAGLLGERGTGDSASEEPLLDGASETAGENSSAYAGSTFTGAGFLSALTFSWMGPPQEDHRPRRHQPRPWLRWHVAVTALCALVYNVATYVGPYLIDSLVRYLNGDERYATKGQLLVLTFVAAKVFECLSQQHSCFRLQQAGIRVRSALVAVVYEKGLALSSRSRQVRSSGEMINIISVDADRVGNFSWYIHDLWLVPLQVGMALFILYSTLDLASLAALGATVVVMLLNVPPGKVQEKFQRKLMECKDVRMKATSEILRNMKILKLQAWEMKFLSKIIDLRKTETNWLKKYLYTSTMVTFVLWSAPTFIAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYSLPDRISATIQTKVSLDRIASFLCLEELPIDAVQRLPSGNSDVAIEVSNGCFSWEASPELPTLKDLNFQVQQGMRVALCGTVGSGKSSLLSCILGEVPRLSGVVRTCGTMAYVSQSAWIQSCKVQENILFGRQMDSVKYDKVLESCLLKKDLENFPFGDQTVIGERGINLSGGQKQRIQIARALYQDADVYLFDDPFSAVDAHTGSHLFKECLLGALASKTGVYVTHQVEFLPAADLILVIKDGRIAQAGRYNEILGSGLEFMELVGAHQDALAAFDAIDGANGANEAFASGGMATAILSRSLSSAEKEHIGNVESGQLYLTLAYGGALVPFVLFAQILFEALHIASNYWMAWAAPVSKNIEAPVSMSRLIYVYVTLALGSSLCLLVRALFLVSAAYRTATLLFNKMHVSIFRAPMSFFDSTPSGRILNRASTDQSQVDTSIANKMGSIAFSIIQLVGTVAVMSQVAWQVFAVFIPVIAVCFWYQRYYIDTARELQRLVGVCKAPIIQHFVESISGSTTIRSFRKENQFISTNSMLMDTYSRPKFYNAGAMEWLCFRMDMLSSLTFAFCLVFFINLPTGLINPGLAGLAVTYGLNLNIMQVTLVSSMCNLENKIISVERILQYLRISEEPPLSTSENKLTHNWPSEGEIQLNNLHVKYAPQLPFILKGLTVTFPGGMKTGIVGRTGSGKSTLIQSLFRIMDPTVDQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRNNIDPLGEYTDNQIWEVTSFFTWKKDLKLDSLAWRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQRTLRQQFSGVTVITIAHRIVMHVLVGTVVMIYIMELIINLLFSKLLNFPTRITSVLDSDIVLLLDNGMAVEHETPAKLLEDRSSRFSQLVAEYKMRST* >Brasy1G356100.1.p pacid=40056808 transcript=Brasy1G356100.1 locus=Brasy1G356100 ID=Brasy1G356100.1.v1.1 annot-version=v1.1 MGTRALFSGPEARVPRPCSCRSQAPAPAGLDAPSSRPLLRHRSPRPPPLLLQIPSPSSRPPLLLQIPSPSSRRPRRPQPPPAPTPPAPTPPLPRSTATVAAGRSRPLQTDGNCHPPARCSAILRLPPPRREPDPLCSTARHPISSISDCAPSDPAALHAAPQLAGYLHSDVSRHANRLPLCHHTPLCLPPCPTRPASLPPTNAALPLHLILYSRGSSTSPLPVQQQKSKIC* >Brasy1G078100.1.p pacid=40056809 transcript=Brasy1G078100.1 locus=Brasy1G078100 ID=Brasy1G078100.1.v1.1 annot-version=v1.1 MARRLPPLGALLILLAAVVAPLSYAVRPVSDAHRSAAAELFAASPDGSLGDLESTYEAVSTFKILGVQTDKKLAGKACKLATDTLSASSSPAKDLFHAARIGGVLGCSVDAGVYDGVASRLKAVIKDTNSLLEFYYSVGGLLSLKEQGHSVVLSDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLSDAEVDPSMIGVVKNDIAKLFGSIKSYDDGTFYFDEKHVDASEYKGPISTSASVVRGVTSFANVVSGKLNVPGEKILGLAKFFLGIGLPGSGKDCFNQIESLSLLENNRVFVPLILSLPSNVLSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVVTTDSKELQFDLDNSVHYLDIAPLKIDVGKYSLFFEISLQDQEHETVYTTGGRNAETIVVTGLIKVDKAEIGIAENDAGTVESVEKLGLLKDTQVSLSANHLQKLRLSFQLATPLGRTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIVLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFILDLGHLELDLPEAPEKAPRPPAQAVDPFSKFGPKKEINHIFRVPEKRPPKEVSLAFTGVTLLPFIGFLIGLMRLGVNLKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWVKLDLFTTLKYLGFLAVFLVFVGHRALSYLSSTSAKQKTA* >Brasy1G524400.1.p pacid=40056810 transcript=Brasy1G524400.1 locus=Brasy1G524400 ID=Brasy1G524400.1.v1.1 annot-version=v1.1 MARNPGCAVFIGNLDEKVPERVLYEILVQVGRVVDLHIPRDKETNRGRGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKPSSNANMPVTPKMNPIPLPNPPQLMRSSDTPVSQQRVVNGRVAGYGAPASHSNDFHAQASSGVASRGLSDGTYEYSRRVFGSVLNDVSRRAPTQPVPYPSY* >Brasy1G544300.1.p pacid=40056811 transcript=Brasy1G544300.1 locus=Brasy1G544300 ID=Brasy1G544300.1.v1.1 annot-version=v1.1 MFESWVTRWTPFYKKDPLFLPSPEPALLTFSLVPHRATPFSSHSGESKSRLRPLLRNSHATPAPPWPHGPSGRSCCNSHAPKLLARDLLPDNRRRVALPICFQSSVAAARSPSRASSAASQSKPTPPRASPARRVASFTSAWISCSPKPSSSPWPASRRRSRSAANVADDLALSLWERFFFNVHADPRLASACPRRHRYVAASSPPGPTNLPRGPEAHHGRPPCDALPPAAAHHHRLPSYIKVLVEEPQIAGDALDQERKSNPIEQRKRRNH* >Brasy1G213700.1.p pacid=40056812 transcript=Brasy1G213700.1 locus=Brasy1G213700 ID=Brasy1G213700.1.v1.1 annot-version=v1.1 MNTTARMPLSLAIFPCLLLSMAAAAAMDPTERETLFLVMDAVSSDRDWRAESPDPCSAPWPGLECKPAAPPGSNAAAPLHVTRLDFGVEPNPSCKDTATFPPQVLALPHLQSLFFVDCFKNPAGIATLVLPSSANLSCSSLQQLSIRANPSLSGVMPPQLARLKSLQVLTVSQNGLIRGKIPQGIGELRSLVHLDLSYNSLSGPVPSQITELRALVGLDLSYNALSGPIPGRIGELRQLQKLDLSSNNLTGAIPDAVANLTSLTFLALSNNGLTGRFPPGLSGLRNLQYLIMDNNPMGVPLPSELGGLARLQELRLAGSGYSGPIPEAFGQLASLTTLSLQDNNLTGAIPAGLSRLHRMYHLNLSNNGLGGAVPFDGAFLRRLGRNLDLSGNSGLCLDGTNVVRGVGIGVGACRSGGGDGSLARDTVGSAAGRGVTNRFRLFGPVCVVVVSCILSICP* >Brasy1G295500.1.p pacid=40056813 transcript=Brasy1G295500.1 locus=Brasy1G295500 ID=Brasy1G295500.1.v1.1 annot-version=v1.1 MAAGGPPPQQMVRKLAVEVVDARDLVPKDGLGTSSAYAVADFDGQRKRTRTVPRDLNPQWHERLEFAVPDPATMHAEALDVSLYHDRRFNPSGGGGKNNFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPAVPPPPPPEDKPPEGGDNAPPPEVPPEAPRELPPEVPEPTEAAVEVQQPQLQPPVIIVEEAPMHGPHGPMMHGPMMMPPMHGPYGPMMPPMMPPPVMHARMMPPQPEPEPEPEPQREPGGPDGADQHGAYPPEVRKTRMASSTERVRLPRHPSGGGFGPPDYYAASPRVISGRFVSTGEAVEPVQSTYDLVEPMRYLFVRIVRVRGIRPCEGPYVKIQAGPHCLRSRHGRDVSGTGNPEWNQVFAISHAKPEPTLEISVWDGGAPSPADAFLGGVCFDLSDVPVRDQPDGPLAAQWYRLEGGEPGMVTGDIMVSVWIGTQADDVFPEAWNTDAPYAAYTRAKVYQSPKLWYLRASVIEAQDLRVPTPPPGLPFDVRVKVQLGFQSARTRRSVASSSGSAFAWAEDLMFVASEPLDDTLVLLVEDRSMIKEPSLLGHATIPVSSVEQRLDERQLVASRWFNLEGGMGHGHGHGDAGDHPHGQPAGFYSGRLHLRLSLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIVGACGLLPMKTKGGSKGSTDAYCVAKYGKKWVRTRTVTDSFSPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDERQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVAAWLARSEPPLGPEVVRYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLERWLDGVRRWRNPSTTVLVHVLYLVLVWYPELVVPTASLYVFLIGVWYYRFRPRAPAGMDARLSQADTVEGDELEEEFEAVPAPDVLRLRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVATGFYYLRHPMFRDPMPAAAVNFFRRLPSLSDRML* >Brasy1G388900.1.p pacid=40056814 transcript=Brasy1G388900.1 locus=Brasy1G388900 ID=Brasy1G388900.1.v1.1 annot-version=v1.1 MEINKSSCNYLVAFAFAALILSSMVAGAQRKLLDKDQVGSMETSESSSMEQPQQEDEEVLLVAVQGGRILRQVKTTNDYGTYDPSPTMAKPHFKDIPN* >Brasy1G176900.1.p pacid=40056815 transcript=Brasy1G176900.1 locus=Brasy1G176900 ID=Brasy1G176900.1.v1.1 annot-version=v1.1 MAFAGVSLVSDGKLCASTVSRVDAGADRGYHLLVVQGYTRTTKFTPTGHYIQSRPFTVGGIHLARHYYPNGLHEVVKDYISVSLCQGKFRKYGHVCKNFVRRDVLDRSRHLKNDSFTIRCDVVVSKVEINTEERPTPFFQMPPPNMQSNFRDLFLTEKGADITFEVGGKKLAAHRCFFGAGTMNEGATTTSVVKIDGIKQSVFRGLLTYVYTNAMPYMISDSMEEDEGEEEDVGEEEEGNSMVLDEAEEKAACEEYNMWLLHLLEAADRYDLQGLKMNCEEQLARFISESTVVDIIVAAEQTRCRWLKEVCLDFIRSHTSLHTVFTPEGFEQMTRTCSTSGLKVLISKFAS* >Brasy1G371800.1.p pacid=40056816 transcript=Brasy1G371800.1 locus=Brasy1G371800 ID=Brasy1G371800.1.v1.1 annot-version=v1.1 MPPSLPSPASPIPNPASRAATLEIDQARERWWRSDPPPAWSVGGGGPRSAVAQPAGERLDPLPLVPPRGSVGGGGAAPPSRRYGRARPDRSPRCTRRAAGSRAAAKPSRSNPDPIPNLRSFVFSHRRAGPLVVAMQRLVPPPSSSILLTPRPLRTRASARPQRGVPVCSSARPRRGALVRSLGGRG* >Brasy1G156400.1.p pacid=40056817 transcript=Brasy1G156400.1 locus=Brasy1G156400 ID=Brasy1G156400.1.v1.1 annot-version=v1.1 MGRKLALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLVDRDSSGPQPTGANIRRALARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFKELVQKVPDGCIFTIVSDSCHSGGLLDKAKEQIGNSTRQNQTQSREYEERSDSGTGFRSFLKETVRDVFESHSRHGQSQYGGEDQDEAYAQPTGDGRTKNRSLPLSTLIEMLKEQTGKDDIEVGSIRMTLFNVFGEDASPKIKKFMKVMLGKFNEGGSGQQGGVMGMVGSLAHEFLKAKLEGNEEETFKPALEQDVQSAGEVYAGTKAWAPNNGILISGCQTSQTSADATTPQGSSFGALSNAIQTILADKHGKVTNKDLVMKAREALSKQGYTQQPGLYCSDEHVHVAFIC* >Brasy1G539200.1.p pacid=40056818 transcript=Brasy1G539200.1 locus=Brasy1G539200 ID=Brasy1G539200.1.v1.1 annot-version=v1.1 MAPPSLETDAHEPSPSPSPRLLRLRCGVQHYGWGRLGAASLVARLAAANDPDAGAEIDPARPYAELWMGTHPAAPSTVLLPCGDLLLCDWLARNPAALGPAVAARWAGDLPFLFKVLSVGKPLSIQAHPDKDLAELLHAMRPATYKDSNHKPEMAIAITEFRALFGFAGTEELKDVIRTVPEVVGLIGHEDAGKLMAIKGYHEANDVKSSLQSAFAKLMTASKQAVSEAIAKLKVRLDDESKIRTLTEKEHLLLSLERQYPEDVGVLSALFFNYVKLSPGEAIYIGSNEPHAYLSGDCIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQIFPEILRGVHVQPYVRRYTPPSDEFEVDCCLLPPGEVVVMSPAPSPSIFLVMTGEGEIQVDSMLEGEKAKEGDVFFVPAYTEARLSACGHESMKLYRAGVNSRSLYSRNTVVVGKMC* >Brasy1G152100.1.p pacid=40056819 transcript=Brasy1G152100.1 locus=Brasy1G152100 ID=Brasy1G152100.1.v1.1 annot-version=v1.1 MASGGYGSPYSYYQPAPASPYHYSYVQNPARGVPGGGGGPRLSSVPVFLLLATLLLLAAATLYAWFEAAVESLLGQLRPLLVLSPLLLIVAAQLWVASAGDRRGGFAYLLWQMAAGDDQHQTCYDGRWDGGASSSSPWGVALALALVLLLVSCQSSFPEWRSPLLRRR* >Brasy1G269300.1.p pacid=40056820 transcript=Brasy1G269300.1 locus=Brasy1G269300 ID=Brasy1G269300.1.v1.1 annot-version=v1.1 MWFLGGHFSLALAVSCALLGLGDGASSALGCYSRVFSFGDSLTDTGNYVRLTAKNPSPYGAPPYGRTFFGHPTGRASDGRLVIDFIAQEFGLLNITAIQVGTAPADFQHGANFAIISATANNGSFFAGKGMTINPFSLDTQMLWFRAHLQQLTQQNPAGINVLSGALVALGEIGGNDYNFAFGSPGMTRERVRAFVPAVVDKLAAAVEELIAMGARAFLVPGNLPFGCTPLYLRRFGRAASAGDYDPRTGCLAWFNAFAEYHNRVLNARLDELRLRHPDVAIVYADWYGAMMSIFQSPGKLGFTNALLSCCGNQTVPCGQPGCTVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPHASPLPLAKTCPPS* >Brasy1G269300.2.p pacid=40056821 transcript=Brasy1G269300.2 locus=Brasy1G269300 ID=Brasy1G269300.2.v1.1 annot-version=v1.1 MWFLGGHFSLALAVSCALLGLGDGASSALGCYSRVFSFGDSLTDTGNYVRLTAKNPSPYGAPPYGRTFFGHPTGRASDGRLVIDFIAQEFGLLNITAIQVGTAPADFQHGANFAIISATANNGSFFAGKGMTINPFSLDTQMLWFRAHLQQLTQQNPGINVLSGALVALGEIGGNDYNFAFGSPGMTRERVRAFVPAVVDKLAAAVEELIAMGARAFLVPGNLPFGCTPLYLRRFGRAASAGDYDPRTGCLAWFNAFAEYHNRVLNARLDELRLRHPDVAIVYADWYGAMMSIFQSPGKLGFTNALLSCCGNQTVPCGQPGCTVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPHASPLPLAKTCPPS* >Brasy1G092200.1.p pacid=40056822 transcript=Brasy1G092200.1 locus=Brasy1G092200 ID=Brasy1G092200.1.v1.1 annot-version=v1.1 MPPKAKAAAAAAEPVDVEDLFTALHRHIEAEEFPQAVKVADQVLAVAPGDEDAVRCKVVAHIKSDAMDKALAAIRAAERLPFDISYYKAYCYYKQNKLQEALEILNGQEETAGVLQLESQICYRLGRMNDCLNSYDKLQKYKIESPMELKINIIAALVAAGRASEVQSTMKAQKTDLTTRALRDARSFELAYNSACTLIEIKKYSEAKEQLDLAKRIGKEELMVEDYAEDEIEYELAPVSAQLAYVQQLQGQTQEAMEAYANMTNKKSGDPSSLAVATTNLISLKGTKDVADGLRKLDRLVEKSTSPNQPQLIESLEFKLSLRQKEALYSARVLLLLHANKIDQAHELVSGLLGMFRDSIFPVLLQAAVHVREKKVQKAEEVLSRYAEKHPENSKGALLALAQIAANTNHFQIAADSLSKIPDIQHMPATVATLVALKERLNDSNGASSVLDSAIKWWKNAMTEDNKLDVFMREAATFKLNHGRDEEACQLYEELVKSSGSTEALAGLVATSARTDLEKAEQYEKKLKPLPGLKGINVESLEKTSGARHVDQAMKVEVPEEVKKQKAKKRKRKPKYPKSFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVTREKHDPSAANAGGASSKASHATTSAKAPEQSKASSKSRKKKSRS* >Brasy1G481700.1.p pacid=40056823 transcript=Brasy1G481700.1 locus=Brasy1G481700 ID=Brasy1G481700.1.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKNSRAFKNEWISGDLLQDSKQDVWTGLSDGLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTANLVRALEATTKHHDDLKIQVRLDGTKLYDGYMAGYDLDNDFSVVEVYNVRDVQVGPFQSALESLPHGEVLAVGRDTSGEIMVETVELNGDSRVSEDHRDLDCKISKPWEGGPLLSVDGDMVGMNLFFTNRRAIFLPWGTTLKHYLTFMQKKTVLSVYQSKYLLTSGMYHIFAVVLYYVFLISCANVLFLVGMCIMIMPEAFIGEKSNSRPGVHGDFLNQEQLGLNSMAGMILVNSFEDPFGDIYGEGVWRKFSRRASILNRNIVALASFNGKKRFFACTVFFIEWNGSKMILTSASLVRDSGDENKIDENLTIKVLLNNQHKEGKLEHCNLHYNIALVSVKYRALRPLNTSFDSESSSRVAAVGRCFNSGTLMATGGCLVPWTGTLDCEFLARSTCKITKAGIGGPLVNLDGNVIGMNFYDTRIGTPFLLCYEICKILASFETKSESGGDIGNASGACFWKMPRDVKNKVNRWPVPKPRWCCPEDVESDDDDRLAFDHIGQLQYSYILGRKVKLLEPGVDPFAQRKQKKKWVEKQGKNRLENLKDAAKVGALPSHIQLAAKSLPITGTKADLPKKSRKEDLENVAGMGSVTASGGKFDVKLPGEKPPKHPGKHRKFLPVAEWKGMGNLGKQQNDKILKSLLARNSEQLDAITMYKVKKEKQRRKDREMSSKSDKLKPQKKPFKKSSKKKA* >Brasy1G219400.1.p pacid=40056824 transcript=Brasy1G219400.1 locus=Brasy1G219400 ID=Brasy1G219400.1.v1.1 annot-version=v1.1 MANSPCYLLYHLIDPCSQITRRPPSPPQNHQTFRRPRFHVLSCGFLCLHGWPWEEERAVHVPVCARASFSSPICLPRPPSRPGALGGLVLLQRIAPNN* >Brasy1G212600.1.p pacid=40056825 transcript=Brasy1G212600.1 locus=Brasy1G212600 ID=Brasy1G212600.1.v1.1 annot-version=v1.1 MGTELPETTADAGKLQAGADTALTDAWDYKGRPASRASTGGWGCAAMICGAELFERMTTLGIAVNLVPYMTAIMHLGSAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAVFSAFQATGVMVLTISTVAPGLRPAACGDRRGRAASACQRNGTQLGVLYLGLYMTALARAGSSRACRGSGRTSSTSRTTGSGRKMMRFFNWFYFFVSIGALLAVTVLVYVQDNVAAAGATASAPSASSPAGRVLVGDKEVPVQKLVGSPLTQTSRASRKLPHSKGCRFLDHAAVVDRESSPAKASSNWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVEQATVMDRGVGGSGFLIPAGSLTVFLIGSILLTVPLYDRLAAPLARRATGDPQGLSPLQRVFAGLSLSVAGMAAAALVERRRLASSANGAALTVFLLMPQFLLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLIVTVVHKVTGHGPRGSGGWLANNIDQGRLDYFYWLLAVMSAVNLVFFTVAARGYVYKEKRLADAGIELADEEAVVVGH* >Brasy1G522300.1.p pacid=40056826 transcript=Brasy1G522300.1 locus=Brasy1G522300 ID=Brasy1G522300.1.v1.1 annot-version=v1.1 MPPAWYDDGQDAAPSPRPARPATVVDPDFSGFEIEGDVGCHHGLYAARKVSFEGTNIGRRFLACGEEEERDDDCGFIQWVDPEWPPILRRCITQLRDEISDQRHDLQLEKEDYDYQKRVLDLDEALVKMERHSLNKERDGLAAADLAMKAAIAECVLARDAAISKNKTTTMYLMCAVAVSSALAIMLAYVVAVG* >Brasy1G017200.1.p pacid=40056827 transcript=Brasy1G017200.1 locus=Brasy1G017200 ID=Brasy1G017200.1.v1.1 annot-version=v1.1 MAAHKEMLQSAAETAIRSIGLGYDVVSDLRLKFCKQRGSPDPSLIELDHDGAQDIVLPGNLTVSGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNNMFEFTGCWQKDAASTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIVVGIKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDRRFLDANGQSDISFRDAYGKDKSDRREHRLRFVESSPLNSYTTTEDLVMMPKRRGGRDKDIMSHIEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQSKRRSSASLPVNFIGPKLYVCTNMVDVGKRPITGLRLFLEGKKSNKLAIHLQHLCSLPQIIQLEDDPYNHQSPEAYDRKYLEPIGSWKRFSHVCTAPVESEDSSIVTGAQLEVINQGFKKILFLRLHFSKVLNASTVRQPEWEGSPNLIQKSGLISTLISTHFSTAAQKPMPRPTDVNINSAVYPGGPPAPVQAPKLLKFVDMTEMMRGPQDFPGYWVVSGAKLNLERGKISLRVRYSLLTAMLPDDEYQLDEEEL* >Brasy1G098600.1.p pacid=40056828 transcript=Brasy1G098600.1 locus=Brasy1G098600 ID=Brasy1G098600.1.v1.1 annot-version=v1.1 MGESVVATYYYPSAAMEVSAAELGQGKQQPGSKGGYDDDDRPSRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGFKVKVCGLLQYANIVGVAIGYTIAASISMLAISRANCFHRKGHADPCRLSSVPYMLVFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGVAQVVANGGVQGSMTGISIGAGVTPMQKVWRSTQAFGDIAFAYSYSLILIEIQDTIRAPPKSTESKVMKRATLVSVAVTTVFYMLCGCMGYAAFGDRAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKYAGQRWPDSRYVTGEIELSSFGGFRVNMFRLTWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQRKVPRWSTRWVCLQMLSGGCLVISVAAAAGSIAGIKSDLKVYHPFKT* >Brasy1G098600.2.p pacid=40056829 transcript=Brasy1G098600.2 locus=Brasy1G098600 ID=Brasy1G098600.2.v1.1 annot-version=v1.1 MGESVVATYYYPSAAMEVSAAELGQGKQQPGSKGGYDDDDRPSRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGFKVKVCGLLQYANIVGVAIGYTIAASISMLAISRANCFHRKGHADPCRLSSVPYMLVFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGVAQVVANGGVQGSMTGISIGAGVTPMQKVWRSTQAFGDIAFAYSYSLILIEIQDTIRAPPKSTESKVMKRATLVSVAVTTVFYMLCGCMGYAAFGDRAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKYAGQRWPDSRYVTGEIELSSFGGFRVNMFRLTWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQRKVPRWSTRWVCLQMLSGGCLVISVAAAAGSIAGIKSDLKVYHPFKT* >Brasy1G098600.3.p pacid=40056830 transcript=Brasy1G098600.3 locus=Brasy1G098600 ID=Brasy1G098600.3.v1.1 annot-version=v1.1 MGESVVATYYYPSAAMEVSAAELGQGKQQPGSKGGYDDDDRPSRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGFKVKVCGLLQYANIVGVAIGYTIAASISMLAISRANCFHRKGHADPCRLSSVPYMLVFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGVAQVVANGGVQGSMTGISIGAGVTPMQKVWRSTQAFGDIAFAYSYSLILIEIQDTIRAPPKSTESKVMKRATLVSVAVTTVFYMLCGCMGYAAFGDRAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKYAGQRWPDSRYVTGEIELSSFGGFRVNMFRLTWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQRKVPRWSTRWVCLQMLSGGCLVISVAAAAGSIAGIKSDLKVYHPFKT* >Brasy1G037600.1.p pacid=40056831 transcript=Brasy1G037600.1 locus=Brasy1G037600 ID=Brasy1G037600.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVNRIFSGITTSKDQDKTDEAIGGGLERLEMARIKIEAALETSNKWQITDTPLLHWRKKLKRAALDCENAAHKCRLLSQEDGDREQMVSQSSFHRRIAHRTKAFISSFVGHNNDHCSDNIAAVRRFERFADGATEFMKFVQFGGTPRHHLFFNPLTGHVFAGKSIRYMALHTGGQYHSFTIQPVPSEERGLEARLSFVYEDPKVPKNSFCLAFMMRISESTNIIGTIVKCLRLVTPHFKSTADVVIKEITQLPTQDFSCLPPKVASGNMEHYWNEIHTTFAGWFRPDPLCCQGYGHNNAPSCHGDESNSGNKLILSSIFPEPVCGLFLQRRISLSEYSNLQGSDIKYDLSSLENYSPLKLGIMFMPHDSLEKPKSTGEYSVIEAIDGEKQHLTHVNVHLDQLDEMLLPKAIDHLYHNVEAMTYEICYRSNHGSAHLCVDKTSAARMSGSRTASSRQGRNKSSEVLHEMLQEQMKSVQWKEVARGNLKLWIIRSSERVQSMFTAWLKR* >Brasy1G443100.1.p pacid=40056832 transcript=Brasy1G443100.1 locus=Brasy1G443100 ID=Brasy1G443100.1.v1.1 annot-version=v1.1 MVSSPVEVFDTAVRQLLNATGNYAAANSSRRFATGEEGFDSSNPTIYGLVQCTPDMSPPDCRSCLGGIIALMPRYVSGRLGGRIIGVRCNFRYEVTPFFSGGPMLQLPAPAPPPVPANTTPPTATAGRTGIKTGIVLAIALPIVAALLAISLIGLCIRRRRRRTERTRLPLHSTDTDDIESIDSLILDLSTLRAATDNFSESNRLGEGGFGAVYKGILPDGQEIAVKRLSKSSAQGIGELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDKILFDPEKRKELGWEKRLKIINGIARGLQYLHEDSQMRIIHRDLKASNVLLDSDHTPKISDFGLARLFGADQTRDITNRVIGTYGYMAPEYAMRGHYSVKSDVFSFGILMLEIVTGRSSSDSFNFDESTDLLSLIWEHWTTGTVAEIMDSTLRSHAPGDQMLKLFHIELLCVQDNPADRPAMSTVNIMLSSNTVSLPSPSKPAFFISNSGTYSSPYSESYPTASTKYSL* >Brasy1G310900.1.p pacid=40056833 transcript=Brasy1G310900.1 locus=Brasy1G310900 ID=Brasy1G310900.1.v1.1 annot-version=v1.1 MDTGLVHMDPWGGPDSGRPPPPLPQGRAWSQVQERGRRHRSVCREEGRRWGDGTRGGASGATGWEGSRHTGRRRRRQGGRWLRPNVYLLPESRFAPLIFAKAPPAGGAAALFPEFGPPLFCPFPALARRLVACGERGKQIIFFLSSFSLARSPAAPSELVLIRPDYRDQDQHIHESVSDLTRGNRGKDGCDYNFLEGSSMSKVDTENEVREISRINHIWS* >Brasy1G059400.1.p pacid=40056834 transcript=Brasy1G059400.1 locus=Brasy1G059400 ID=Brasy1G059400.1.v1.1 annot-version=v1.1 MVPTTTRRNLPRHDSGNKNNSKLQQHCSQYYIAAQLEAAAAHGAGAGDLKPSRRFPRLQAMAAADDDAEAAAGTSSRSCGGDGGGKDWLQLGLATASSSASTSSSAASAGGDNDGPALAPAPAGGPMEMDQFAGNQSARMKMTTRPPLNALPIRSYHYQYGHGRYHPPPQSASGSIPTTAPFLPFARPLRSFSGDLAMRVVGPPPPRAAEAAGLWLTLQAAPNQIREPILPQIPKSYLRIRDSNMKVEVVMKYMADKLGLTQSHQVELTCRGHLLPPFLLMKYVRDCIWCGGSPAAAAAAGDEDQEELPRRRSGPPATTDHVMTLFYSTKCRDR* >Brasy1G402900.1.p pacid=40056835 transcript=Brasy1G402900.1 locus=Brasy1G402900 ID=Brasy1G402900.1.v1.1 annot-version=v1.1 MAKLMCLLLVVLSIAVTTWAEGCDKDREDMISECYKYEKWPAEPKLAPSEACCAVWKRANIPCLCKLITKEIEKVCCMEKVGYIAKYCNKPFPSGYKCGSYTFPSVK* >Brasy1G576800.1.p pacid=40056836 transcript=Brasy1G576800.1 locus=Brasy1G576800 ID=Brasy1G576800.1.v1.1 annot-version=v1.1 MPPAISPGGSMNSIYSIPGNTSNMSFSIDNPEFHYKPDHIESEFTSKNIREMRTLVDRLSEMQKGLIRSVEWGGMLKFPHLDKLDRLFSTYTVTHVRTDARLMHDGHSTVAHMSVESVRSIMGVPSGHNPVPNVTTRAEIENQPLTPHFTRLMSRVQTKEYLDYVAGKEMSFAEAEDFVRAFIAYSLSTALTPCCELPVGTVLALADVRSISSFNWAELVLDHLVKMAAITNADIAEKKPRITLHGCLLYMQVFYLEMIRGGRDAVDTEIYPRMEAYHADVVKNMIASTKNSSSAGIYRRYGRRQFVRIEIPPEVPGTAALQTQSSVQPQCHGDCRGKVPQLFEDDNSDADSTSTMKANAIARITARLIADATKESLESFTQIIEKAANKRLFGTGASSSRSCFPLFFDHDDTPAQQGP* >Brasy1G562200.1.p pacid=40056837 transcript=Brasy1G562200.1 locus=Brasy1G562200 ID=Brasy1G562200.1.v1.1 annot-version=v1.1 MVPALYVALSLLLVIQQPSVSLGADPLDPTKTWHIPHDIISVNFSSALVTGRDYLAFLAHVQQLVTAHSRNAAYNVPVLSPQTPDMKPYRWLMLDVAGRGQDRTMIALRQDNLYIAAFTDMNGQWYVFQNRAKLNLIPGATVLGIEDGYKDLVGGYKMLGAVPLGREPTLTALHTLATYRHPPPSDDAAVKNAVAVMALTLAEASRLRPISANVASRWVPGLTIGLQANLVVNWKEVSCALMVSAQNNNRWGKTKHTSWAATRCGFKICTKLSASRVFCSGPRTASVPRRSPGWSIETGRQIFFLV* >Brasy1G026900.1.p pacid=40056838 transcript=Brasy1G026900.1 locus=Brasy1G026900 ID=Brasy1G026900.1.v1.1 annot-version=v1.1 MATAEPATKRFAHRLLGLHRAVKERHIAGLLRRPSPSPTTTAAMTAPIVVNETTVAAAIVDADALLLAGKAPSAAALFPPFFLVAVEAGSFARGLLLLALYPFLCLVKLEACARAMAMVAFCGLRRDEAARIGRAVLPRYFSRSTSIPSPSPGKMKAAVSSSFPTVMVEAFLKEYIGFDAVVGREVRKAGRYYYSGFMEENDEDEKEARFVAATKGAAEKSKSESNNRSQQCYPKPMVFHDGRLAFTPTPARALAMYAYLPLAVLLAAVRMAIYTLLPRRLINPAAALAGVRVIVTSSGNTTTPTSATTSNGGRLYACNHRTLLDPIAIASALNKPVSAVTYSLSRVSELLSPIPLLRLTRRREEDRARMSSLLARGDDVVVCPEGTTCREPYLLRFSPLFAELADEVSPVAVDERSAVFYGTSTSPEAKCLDSVYFLMNPRPEYSVRFLEPVRTGGGKSSIEVANQVQGAIADALGFQPTAMTRKDKYLLLAGNEGVVATKA* >Brasy1G133100.1.p pacid=40056839 transcript=Brasy1G133100.1 locus=Brasy1G133100 ID=Brasy1G133100.1.v1.1 annot-version=v1.1 MEYVYPCNSCSNKEKTQKRPPLKRGQLKLQIARTLGSLVVPSAKNSFRR* >Brasy1G138900.1.p pacid=40056840 transcript=Brasy1G138900.1 locus=Brasy1G138900 ID=Brasy1G138900.1.v1.1 annot-version=v1.1 MASASAPQPLLPVTNPSSGGGGGGSAPSSGNGLSDSALATPAFRLFLSRVSDTARRSLADRRPWTELIDRTAISRPDSLSEATSRLRRNLGYFRVNYAAVVAFSLAASLLAHPFSLLVLLSILGAWCFLYVFRASDQPVVLFGRTFTDRETLLGLVVASMLAFFLTSVASLIISGLLVGGAIVAVHGAFRMPEDLFLDDSSAVSSGNTTNRLLSFLASPGSGV* >Brasy1G058200.1.p pacid=40056841 transcript=Brasy1G058200.1 locus=Brasy1G058200 ID=Brasy1G058200.1.v1.1 annot-version=v1.1 MSTSDYVLLHGDAFRGSALHWNETTAHADNSRNWAIEVSLSCPERPLVPTILFVNVPGINFTEDPPPPPPHHYFIYRPVGEKGPSLKLIPHTAAAFPDEDVGLLRHKEERHYIIAALTPSDMVSFPYGKEIPSKSERLLFHLTSTVIPIGGQGGTMGWVDLWHGILLCDVLSREPSLRGVPLPFGTHLGCPKSIRGITCTLIHKEPCLKFIHLGVTAVPVDDNGEQEWQMLDWTITTWSNTKMTTSWKDWHEDCKVKASGTSISSSMLNSKMRKYGLLSPGGGADPERAFNNILVSFPAPSIIDDGVVYLQARLKFNDLKVFVLALDTRDNELLGAVEFATKRARYVVVVSRQMP* >Brasy1G525800.1.p pacid=40056842 transcript=Brasy1G525800.1 locus=Brasy1G525800 ID=Brasy1G525800.1.v1.1 annot-version=v1.1 MSAVVCCGKRSSSIFADELLPPTSPSSSPNHHHAAKRSRYSPPPHRAFGRRDALLHQLRAFFPDMDPQLLERALEASGDDLDSAIKSLKELNLESTQAILSATGCNSESGLHTAVQPSVEAIVTNGGVDTATEHPSAAASYQTSNSGPEWVELFVREMSSASDLDDARARASRALEAFKKSIEERAGAESAQSLHQENMVLKEQMTAILSQNAVLKRAVAIQHERQKEFEERSHEVQGLKQLVLQYQEQMRTLEMNNYALTMHLKQAQQNNSMPGRYNPDVF* >Brasy1G527500.1.p pacid=40056843 transcript=Brasy1G527500.1 locus=Brasy1G527500 ID=Brasy1G527500.1.v1.1 annot-version=v1.1 MDGKKRKSAAFEKQPKSGRLPLGADADAVADAGKRRRSGAAKRHQAEEEASVPSSISAKILREALKQQQEEGLAEPAAATAPAVVAPAGASSSFAVPVEAGDDDDEDVDEFGGFDAQSEYDGGVAEIDEEDEKALAAFMSKDTSSKRTLGDIILQKIREKDATVSAEGRPPVKLDESVVEIYKEVGKLLSRYTSGKIPQSFKRIPSLVCWAEVLQLTEPEHWSPNAVYQATRLFSSNMNAKNAVRFYDAILLPRIRNDIKQNKRLHFALYQSIKKSLYKPAAFFKGILLPLCQEGNCTLREAVIIGSIIQKVTIPPLHASAALMKLADMEYCGTTSYFIKLFLDKKYALPYRVLDAVFAHFMRFLDDERNMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRSSANRGEKATDMSICSPVSVITKPIEEDRWDVPEVPMEED* >Brasy1G240200.1.p pacid=40056844 transcript=Brasy1G240200.1 locus=Brasy1G240200 ID=Brasy1G240200.1.v1.1 annot-version=v1.1 MFRISKSRLASLPGLLLLLACLSYPPVPSLPLLPLRIVISPLLSFLRPWRKQWSLGRPTSKELIYSRMADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.8.p pacid=40056845 transcript=Brasy1G240200.8 locus=Brasy1G240200 ID=Brasy1G240200.8.v1.1 annot-version=v1.1 MFRISKSRLASLPGLLLLLACLSYPPVPSLPLLPLRIVISPLLSFLRPWRKQWSLGRPTSKELIYSRMADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.7.p pacid=40056846 transcript=Brasy1G240200.7 locus=Brasy1G240200 ID=Brasy1G240200.7.v1.1 annot-version=v1.1 MFRISKSRLASLPGLLLLLACLSYPPVPSLPLLPLRIVISPLLSFLRPWRKQWSLGRPTSKELIYSRMADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITVRDRKPEPTC* >Brasy1G240200.2.p pacid=40056847 transcript=Brasy1G240200.2 locus=Brasy1G240200 ID=Brasy1G240200.2.v1.1 annot-version=v1.1 MADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.3.p pacid=40056848 transcript=Brasy1G240200.3 locus=Brasy1G240200 ID=Brasy1G240200.3.v1.1 annot-version=v1.1 MADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.9.p pacid=40056849 transcript=Brasy1G240200.9 locus=Brasy1G240200 ID=Brasy1G240200.9.v1.1 annot-version=v1.1 MADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.6.p pacid=40056850 transcript=Brasy1G240200.6 locus=Brasy1G240200 ID=Brasy1G240200.6.v1.1 annot-version=v1.1 MSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITLLMLRTAGIVIPIYIILISVVTLLHRFNQHQGVHEATPVLAPGGAEDLQGLQPVPPQQHVISIQ* >Brasy1G240200.4.p pacid=40056851 transcript=Brasy1G240200.4 locus=Brasy1G240200 ID=Brasy1G240200.4.v1.1 annot-version=v1.1 MADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITVRDRKPEPTC* >Brasy1G240200.5.p pacid=40056852 transcript=Brasy1G240200.5 locus=Brasy1G240200 ID=Brasy1G240200.5.v1.1 annot-version=v1.1 MADHFTLITGRMITEATVQSAIRDAFDVPSVKAACDHHDPSAPDDVQDGRTMSGIVVECRICQEEGDEAYMETPCSCKGSLKYAHRICIQRWCNEKGDTICEICLQKFTPNYSAPLKLFRIGRHSISFSRTAGETSGNLNANHGQENVLQTADHAVGTSSFGSEGSNPKGATCCRVIAIALMVLLVFRDAISLVLGGPEVYSMALITVRDRKPEPTC* >Brasy1G579300.1.p pacid=40056853 transcript=Brasy1G579300.1 locus=Brasy1G579300 ID=Brasy1G579300.1.v1.1 annot-version=v1.1 MASNAALLAAFAVLVAAAMSSSGRLGAAAATACNDCASTCNSMCSSINFGEASCSGICSPVEDCVQKCKAAGLAQCTNGCRNACYPSCRNTGCSPGYCTDYCNKSCKNHEYGSGCKCCPSPQECQQRKNAVIQQCKAYCSTSCKSNCVNA* >Brasy1G570100.1.p pacid=40056854 transcript=Brasy1G570100.1 locus=Brasy1G570100 ID=Brasy1G570100.1.v1.1 annot-version=v1.1 MALSWQRSRISVASYMARLSSVTHSCTRSSVIFFLSASTRKFLRSLSLSSILSISTETPSSMCTSSAAISVSMPCR* >Brasy1G148900.1.p pacid=40056855 transcript=Brasy1G148900.1 locus=Brasy1G148900 ID=Brasy1G148900.1.v1.1 annot-version=v1.1 MSTTTEVEAARCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVSDEVRRGAGGITTAEALDRQRAFARAAPRAPGRAAEDLVAAVSRLLRRCLDSPPASPAQGRRKVAAAGTGCCADAEDA* >Brasy1G432700.1.p pacid=40056856 transcript=Brasy1G432700.1 locus=Brasy1G432700 ID=Brasy1G432700.1.v1.1 annot-version=v1.1 MNDHGSLVAAIKEHGEVVICAIGHGRPEELDGQLNVIEAIKEAAGYVKRFVPSEFGCDVEHAERTLEPAKSMVASKLRVRRAIRDAGIPHTFVCSYWAIGLLLCRLVDSGENGPLSDGVNLFGDDKSQVIFVDEKDMSMLAIRAVEDPRTLNKVMYVRPRTNMCSFGQLVHLLEKKTGRTLERHYVSEHELAKKIQVN* >Brasy1G397000.1.p pacid=40056857 transcript=Brasy1G397000.1 locus=Brasy1G397000 ID=Brasy1G397000.1.v1.1 annot-version=v1.1 MKETPAGTPICGYVGPGGDRLQTFFPEINDFCSFLANDGHLPGLDQVLPGHEEQSARSRFGSAHGAAPEVAAKELQGVGGGDCSEIDPAENTSPASDLAGNKHPLSKAEGPVAWKKRVRVGSAPEERETNPNSPCALELSVRSYAERKSEVVVNPSVGTAFDSLEEAYCFYNLYSWEIGFGVKYAKSRLNVKRKKCMQEIVCGCAGKPMKENSRSTRCGCPAMIRLLRSSDNGWYICEHRDKHNHPLSTTCGEKLHWPSHRHIDKYTKELVKHLRENNVNLGKVYSIIGSYFGSMEKGL* >Brasy1G559800.1.p pacid=40056858 transcript=Brasy1G559800.1 locus=Brasy1G559800 ID=Brasy1G559800.1.v1.1 annot-version=v1.1 MMKPSVAIVLVLVVAEYASLATAAGPKVIIVGAGMSGISAGKRLSDAGISDFMILEATDRIGGRIHKTKFAGVNVEMGANWVEGVNGKEKNPIWTMANSTGGLNLRTFRSDFDHLARNTYKQDGGLYDDKFVEKIIERMDEVEESGTKLAGTLHLSGQEDMSVMAMQRLNDHMPTGPARPVDMVIDYYQHDFEFAEPPRVTSLQNTVPLPTFDNFGDDVYFVADQRGFESVVYHVAGQYLKLKTDDKASTGAIVDPRLKLNTVVREITHFPSGVTVKTEDNKVYKADYVMVSASLGVLQSELIRFRPQLPSWKILSIYQFDMAVYTKIFLKFPRSFWPVGPGREFFLYASGRRGYYPVWQQFEKQYPGSNVLLATVTDDESRRIEQQPDNQTMAEAVAVLRKMFPGADVPDATEILVPRWWSNKFFKGSFSNWPIGVNRYEYDLIRAPVGRVYFTGEHTSENYNGYVHGAYLAGIDSADILINCAKKKMCKYHVRGKHD* >Brasy1G559800.2.p pacid=40056859 transcript=Brasy1G559800.2 locus=Brasy1G559800 ID=Brasy1G559800.2.v1.1 annot-version=v1.1 MMKPSVAIVLVLVVAEYASLATAAGPKVIIVGAGMSGISAGKRLSDAGISDFMILEATDRIGGRIHKTKFAGVNVEMGANWVEGVNGKEKNPIWTMANSTGGLNLRTFRSDFDHLARNTYKQDGGLYDDKFVEKIIERMDEVEESGTKLAGTLHLSGQEDMSVMAMQRLNDHMPTGPARPVDMVIDYYQHDFEFAEPPRVTSLQNTVPLPTFDNFGDDVYFVADQRGFESVVYHVAGQYLKLKTDDKASTGAIVDPRLKLNTVVREITHFPSGVTVKTEDNKVYKADYVMVSASLGVLQSELIRFRPQLPSWKILSIYQFDMAVYTKIFLKFPRSFWPVGPGREFFLYASGRRGYYPVWQQFEKQYPGSNVLLATVTDDESRRIEQQPDNQTMAEAVAVLRKMFPGADVPDATEILVPRWWSNKFFKGSFSNWPIGVNRYEYDLIRAPVGRVYFTGEHTSENYNGYVHGAYLAGIDSADILINCAKKKMCKYHVRGKHD* >Brasy1G200600.1.p pacid=40056860 transcript=Brasy1G200600.1 locus=Brasy1G200600 ID=Brasy1G200600.1.v1.1 annot-version=v1.1 MATAISASALLSSAFTGDRRHRRAARPAPRRAVPAGLAVRCEQSDKQKRQPLAALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDAEDKILGRLASTIAVHMRGKNEPTYTPSVDMGAFVIVINAEKVAVSGKKRSQKLYKRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGSVHPHVAQKPVPLPIRDKRIQKSA* >Brasy1G124300.1.p pacid=40056861 transcript=Brasy1G124300.1 locus=Brasy1G124300 ID=Brasy1G124300.1.v1.1 annot-version=v1.1 MEPKKSSPQPQSRTAVTKKSSPPRAAADTAAAGAESPLSSLFHPSSHGVNSKEQDLCAILFKGQNGSAQASVAGNGKSQWSPAKNRMTYAKDNKHSCDPVDTSSCFGSSVHYGGRDYYGSCSAPKQATEYNDYKVDNKDPTTDSHGDWWQGSFYY* >Brasy1G124300.2.p pacid=40056862 transcript=Brasy1G124300.2 locus=Brasy1G124300 ID=Brasy1G124300.2.v1.1 annot-version=v1.1 MEPKKSSPQPQSRTAVTKKSSPPRAAADTAAAGAESPLSSLFHPSSHGVNSKEQDLCAILFKGQNGSAQASVADGKSQWSPAKNRMTYAKDNKHSCDPVDTSSCFGSSVHYGGRDYYGSCSAPKQATEYNDYKVDNKDPTTDSHGDWWQGSFYY* >Brasy1G185400.1.p pacid=40056863 transcript=Brasy1G185400.1 locus=Brasy1G185400 ID=Brasy1G185400.1.v1.1 annot-version=v1.1 MASRSNKQLAGLAMLLLPAVLCLQMPAPSRAQLQVGFYNTTCPNAEALVRQAVTAAFANNSGIAAGLIRLHFHDCFVRGCDASVLLTINPGGGTTEKDSPPNNPSLRGFEVIAAAKALVEQSCPRTVSCADILAFAARDSVNLTGTNSFYQVPSGRRDGNISKEDDALNNLPGPNSTADFLITRFATKNLTAEDMVVLSGSHTLGRSHCDAFLFKNRERLASGTISPAYQALLEALCPPTSGQFTLITTEIDLSTPVVLDNNYYRLLPLNLGLHFSDDQLIRNGTLNAFVNQFIANETLWKQKFLAAMIKMGNIEPKTGAQGEVRLNCSLVNPASSSSAGVIEMPLPDNVDGEVATS* >Brasy1G037900.1.p pacid=40056864 transcript=Brasy1G037900.1 locus=Brasy1G037900 ID=Brasy1G037900.1.v1.1 annot-version=v1.1 ATANAFLPPQGSLARSSLPLASHLLALLHRNLSAAPRRTATAEAVNWMNSDWRDHRFAYSELLQPDFLIPKTHRPIKPQNPLLVQHVRLWKFNGSCDACVTLIQIAIDKHMLKLNDTFFVCFLQEATSLHKSKFLSCLIFLVYLTGRTEMRSDRQTSIDNEGWTSAVTWTSIILQ* >Brasy1G138700.1.p pacid=40056865 transcript=Brasy1G138700.1 locus=Brasy1G138700 ID=Brasy1G138700.1.v1.1 annot-version=v1.1 MWRCSYMYLSAAIDTQYLAYPISEFHQDDWTRFHNPILSLARRIQYLRGSYIHR* >Brasy1G002300.1.p pacid=40056866 transcript=Brasy1G002300.1 locus=Brasy1G002300 ID=Brasy1G002300.1.v1.1 annot-version=v1.1 MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKKKKGDDPGGIGGAKDTAAPIINKENAREEISRLQKAILVMQTEKEFIKNSYESGIAKYWDLEKEINEMQGQVYHFQDKFDESAVIEDDEARALMTATALKSCEDTIVRLQEQRKTSANQALDESERVKVLREKLKPILNEHGKSLPDLSDKSIRKNHVTEMGDVYHVKLGELEMETIVGKIKEHFERDCNISMSDITEQIDELVNKVVDLELMVSSQTSQIDRMRRENDELENTVKSLEEENPDLVNGSSELDEKLKQVEEELIRVQALESSFHKDESTVRSNFTEAISRFSDFADMLQSPVCEHQAGAMHTSEEAHPPEPSSKDCDDGIKEEEEEGPQEQKEEKKDTVMASEEAHAVPAASRDHTAAPDDVANKTEAPAAAEAAASSDGSAADGSKSSDNGQDNKILRPGSLARLRHISSDNQGEEQEGANKQGSVDGAGDMKKLQERLMDGLEDKEKVLLTEYTSLLEDYKDAKRRLLEVEKKNQGCLNEIKSLRDQLVGDKERNCSSGEMRPGRGHRRTPSYGYHQRRHSLSSISKLIRMGSAVQEAAGSNESNDGADVGMEDMRLPTIAEVEKPSPLEEKFRRDIDTLLEENLEFWMKFSSSLQRVQEFQTKYDDLQRVTVADGSDGEKKLRALKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALENQEAGEEDEEEEEEGAPRFTSYSAGKFQGEVLNMQQENNRVSDELQSGLDHVKTLQTEIEKKLNVGLSSASASAEESLDPNASAVAVLARVPSKAKVPLQSFLFPAKPKKPSLFARVTPAMLHKQQVDMKFLARLPRPM* >Brasy1G497200.1.p pacid=40056867 transcript=Brasy1G497200.1 locus=Brasy1G497200 ID=Brasy1G497200.1.v1.1 annot-version=v1.1 MLRRWRGEQQQVACPRRPLLEPVAAGARQRAAGKGTGRRGEGTGGKSRGRWRRHLWVTCSPVAGGDWGRRRTNSGGGRAQEEMEGGGDAADGEVRCQGREGHAGRRRWAGGGGRRGRWAGGNGGRRNRAREEKEQRADE* >Brasy1G467200.1.p pacid=40056868 transcript=Brasy1G467200.1 locus=Brasy1G467200 ID=Brasy1G467200.1.v1.1 annot-version=v1.1 MSSLERPTSMIISYGWPAVSLPSAAGGWRQHRETLTPPLSLAEENCPAEFNFKVGRMEAATSGLLGTKRGRQIWTVHFQSTVHPPSTCPLRSQPRRRSAPTARVEKLVSSPPTRAMSETEAAAGAASTERKPRRLRGHKKGAVTCCVASSARPGVVVSSGEDGCLCWFDLRTKDVLLTIEATNKAISSVCFKAGNEDFVYVSAGNEILSFDVRMGSQSKPLETYNYNREEINQIAVSSKGFLAAADDSGDVKIVNTMQKCLYKRLREAHTSICSSVQFIPWRPWTAITGGLDSKLAVWDFSKGRTLFSIDYGSPELQNHSSSASGGQCLNPAFVHSVAVSEEGIFGGLYKVCAVARGDGAVDVVDLEYELAPAKSKGPSRAGGSAMSSKGTDLGNGSSNQSQQKRILLDYTMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKLWDWSKGFSSETNSNSELVLDIDVKKKVNWLCTAPTDSDNLIVCDTSKVVKVYNFP* >Brasy1G467200.2.p pacid=40056869 transcript=Brasy1G467200.2 locus=Brasy1G467200 ID=Brasy1G467200.2.v1.1 annot-version=v1.1 MSSLERPTSMIISYGWPAVSLPSAAGGWRQHRETLTPPLSLAEENCPAEFNFKVGRMEAATSGLLGTKRGRQIWTVHFQSTVHPPSTCPLRSQPRRRSAPTARVEKLVSSPPTRAMSETEAAAGAASTERKPRRLRGHKKGAVTCCVASSARPGVVVSSGEDGCLCWFDLRTKDVLLTIEATNKAISSVCFKAGNEDFVYVSAGNEILSFDVRMGSQSKPLETYNYNREEINQIAVSSKGFLAAADDSGDVKIVNTMQKCLYKRLREAHTSICSSVQFIPWRPWTAITGGLDSKLAVWDFSKGRTLFSIDYGSPELQNHSSSASGGQCLNPAFVHSVAVSEEGIFGGLYKVCAVARGDGAVDVVDLEYELAPAKSKGPSRAGGSAMSSKGTDLGNGSSNQSQQKRILLDYTMGGHTAAVSCVW* >Brasy1G204000.1.p pacid=40056870 transcript=Brasy1G204000.1 locus=Brasy1G204000 ID=Brasy1G204000.1.v1.1 annot-version=v1.1 MAELEATVAASGGGSARLRKAFGGVLCAFTLLLIGILAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKSGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSINIPLSVETVCVFTAPIFSANASWATYLLTNEAKGHGAGLMAATILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALSYFYMVSMFMGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFSSFLVFIILHVVALVYYIKGLLTPRLFKVATTYVITVGLALCFAAVAMLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFLVFYLVTSVYFSGVMVRLMLVLAPAACILSGIAPSEAFNVLTRSMKFQRSRSFLLDSTPGSSSAAATITSSTENDNMTNEKAENVSKERPSNKNRKKEKESVGSSAVRHEKEEKLCVLPSEASAVGILSLIILCGLYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLSHNTEVEDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGIAMSSPEKAAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEQDYLKDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRARRYEIGRKHFKLTHFEEAFTTHHWMVRIYKLKSQKNRVRGVLKLKSSSKTSSMHKGGRKKNPWQ* >Brasy1G312500.1.p pacid=40056871 transcript=Brasy1G312500.1 locus=Brasy1G312500 ID=Brasy1G312500.1.v1.1 annot-version=v1.1 MRREGRQRGWVRVYNLDLLIDQEAEAEGQHKQRRVSAHTTVAGTSTVANGGYVRAPRKPTNHSKPSCGGSICKELSGRGVGSSASGKGRRKFWHDELRTIYLEVQADAVDGRFDYGYHDMD* >Brasy1G420900.1.p pacid=40056872 transcript=Brasy1G420900.1 locus=Brasy1G420900 ID=Brasy1G420900.1.v1.1 annot-version=v1.1 MASMGAGRGGRGDGAARGRGGGRGDGGGRGPGGRGGAGAGGVGSPQPHGRGNGGGRGPGGRGPGGYQQQPPARVDPGGRGPAAPRVVPAASTSSAPAPSSSSAAASAHALARDMGRMTVMVPAAPSAAIPAPAATATPAPGAPAPAAATPAPPPQASAAVGAGSQPALPAAAPVSSKALMPPARPGFGRAGQKITVRANHFLVRVADKDVCHYDVAINPEPKARRINRVVMSELLKIHRASSLGGLLVAYDGSKSLYTAGELPFKVMDFSIKLGKERREIEYKVTIRFAARANLYHLQQFLSGRQRDCPQDTIQALDVVLRETPSQNYATFSRSFFSYSFGRCDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTSFYKPISVIKYVQECLKNVDTRRPLSDRDRLKIKKALRGVRVETTHQQGKRSSYKITGITSVPLIQLNFSLDEGTQMTVAQYFLERYKYRLEFTSWPCLQSGNDSRPIYLPMEVCTIIEGQRFSRKLNEKQVTGILRATCERPPLREKNIRQMVERNNYAADRMAREFGINVANQMVNVQARVLPPPTLKYHESGKDKACEPSVGQWNMINKKMVDGANVQSWTCLNFSRMPINGVRMFCDDLVSMCNAIGMVVNVSPADKVCSASANNIEGALKDVHKRCPDLQLLIVILPEVTGHYGKVKKVCETDLGIVSQCLKPDKVNKANKQYLENVALKINVKAGGRNTALQQALLRQIPLVSDKPTIIFGADVTHPAAGEDSSASIAAVVASMDWPEITKYKAVVSAQPPRQEIIQDLFWTGKDEKGTPVHGGMIRELLISFLKKTNFKPQRIIFYRDGVSEGQFAQVLLHEMDAIRKACASLEDGYMPPVTFVVVQKRHHTRLFPEVHGKQCDRSGNILPGTVVDTNICHPTEFDFYLCSHAGIQGTSRPTHYHVLFDENHFSADGLQLLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEPMEGSDGGSVVSVGSRASAATGAGAAGAPAAFRRLPQIKDKVKDVMFYC* >Brasy1G341900.1.p pacid=40056873 transcript=Brasy1G341900.1 locus=Brasy1G341900 ID=Brasy1G341900.1.v1.1 annot-version=v1.1 MRRREELDKLSDSLVFGASVETNGYKMIKFSYNDLPRDYKTCLLYLAIFHKDEKIGRTRLIGWWVAEGLVTRQDWPSSVSQAERCFDVLADLWLVCPSDVDAGGKVKSITLHPLVYSFITKMARKEHILDTRLSRHLARHFSILSNIRFRPSDSIVDFLKQPSNASSQLKLIKVLDLEGCASLRHNQRWLRNMCTLLILLKYLSLRNTDVTELPKEINRLQQLEVLDIWRTPMNASAIKQLMLLNLKRLLAGQSACSNDTGGGDASILSSVEMPHKLRKMTDLEVLSHVQASKHHATELREIGQLWQLRVFGVVIYDWKAQLDNLLQGISDLNECLVSLSTEIKALPASEAVATPPDVDAISAHCKNTPKILESLSISGVTMYGRLLSFFAKGCQKLAKVTLHNTLLDQDDMESLAGLPNLRGLRLRHVKLHTESKLIIQTNGFQNLKYLLVEGGGITDIDFETGETPKLETIVWLIDEIKSLSGINNLPKLKKMVFSDGIRLPDQVKQAIEAHPNFIDDNGIWC* >Brasy1G221200.1.p pacid=40056874 transcript=Brasy1G221200.1 locus=Brasy1G221200 ID=Brasy1G221200.1.v1.1 annot-version=v1.1 MADLYEKPSETYAKKRPRYPKEWFSMLASLTAGHHRAWDAGCGSGQASVSIAEHYESVVATDVSEAQLRHGIAHPRVRYLHTPEGLTEDALVALVGGERSLDLVTVATAIHWFDVPLFYAVVSRALKKPGGVLAVWGYNYDIRPFEDKLQGRLYAAARPYMDPRTRLAMERYRGLPFPFEPVGVGREGEPADVDMEVEMTLDDLAGFVMTGSVATTAREQGVDLEALVKDVMEEVEEEWGDQPTVPRKLVFKAFMLAGKLKE* >Brasy1G370400.1.p pacid=40056875 transcript=Brasy1G370400.1 locus=Brasy1G370400 ID=Brasy1G370400.1.v1.1 annot-version=v1.1 MDDRTRWPDLPPDLVREISAHIQAAADLPLLPWLLAPTPADIKEYPIRFRCVFTKSSYRAPPSPAPVSRMMKNWVAAVDGTAVRYLTVERLGPILNDPLAAATHNHHLPLFPEDDERGGNPWPWDEDQDPRGIVYGDGTVLLYGISPGPTGDTAVRFTAALLRPADGARWTIVRRTLPTSTWHSKFCFCTAYHGGNILVTVNASQQWRAVVVSSAPADEDDVLVPSPWTRTYYQYHPFSYQHSYALESRGELLWALVHVQVECSFRKGELREHRVSVSVYALEEESPTPEKKKKKLRLVRKEGRSLADRALFLGWPSSFAVDASRLGIDGGFAYLVHHDGKALPREQVGVFRCSLVDDRGKGELLERLPEGWDGGERFTWLVPQPAIASPSPTRGSSMIRIERHHAPCFRVMVRNLPLTVKSSQLRLFFSKHGRVAEAEVVRYKKTKASQGIAFVTIATLHSNREDAVDALDGLLLDGISLQVCSVKPKDGQR* >Brasy1G470400.1.p pacid=40056876 transcript=Brasy1G470400.1 locus=Brasy1G470400 ID=Brasy1G470400.1.v1.1 annot-version=v1.1 MEVATATEASAPAASGGATRRSHPFPLLDLAISEPFYFLHLVAFFSYFAARTTAPSAEDDVEFHSRLIRREIQAVLVFLVLFTVKIVKEENWETLIADSLLYAKGLLLAVIMVIDYWLALCYLLGFVVIYAVAQQPPYDGLGHTHHMTPLQLESLLTEEPTTRFWLVEFRTSFLGKCIQASSVMPELSNIYSNKNISFGIIDIGHFPNAAAKFGISMWDHHPTYILFDKVTEVARFPEIANETKVFMPKVTKKLLCQHFDLDRRLIEYQSTLST* >Brasy1G470400.2.p pacid=40056877 transcript=Brasy1G470400.2 locus=Brasy1G470400 ID=Brasy1G470400.2.v1.1 annot-version=v1.1 MEVATATEASAPAASGGATRRSHPFPLLDLAISEPFYFLHLVAFFSYFAARTTAPSAEDDVEFHSRLIRREIQAVLVFLVLFTVKGLLLAVIMVIDYWLALCYLLGFVVIYAVAQQPPYDGLGHTHHMTPLQLESLLTEEPTTRFWLVEFRTSFLGKCIQASSVMPELSNIYSNKNISFGIIDIGHFPNAAAKFGISMWDHHPTYILFDKVTEVARFPEIANETKVFMPKVTKKLLCQHFDLDRRLIEYQSTLST* >Brasy1G305800.1.p pacid=40056878 transcript=Brasy1G305800.1 locus=Brasy1G305800 ID=Brasy1G305800.1.v1.1 annot-version=v1.1 MDPNGSYRKDARSSESESNISPLQEALRGTPAKPMLDEFLAVMTQPPYSHASQVPTMISPKNESELQNQTNVPLAPLHVLPHTNSYNISPGRTITSQPIIMESSQKNQEMFSQQDFLRASTTVYALTTPIENYFNPSQQMQILSMESPSITSLLQGDPIASVHAHLNTIGALDHGPIFEDPTRLFTEHIQHGALCNEDPYGIPTPSGPFATFPSFPREGEPPVGGPNENFGGDVLQGSMSSGYRCKLCNATFNSSQAYGGHMSHHSKAKKKNMQG* >Brasy1G276400.1.p pacid=40056879 transcript=Brasy1G276400.1 locus=Brasy1G276400 ID=Brasy1G276400.1.v1.1 annot-version=v1.1 MATGSDQTQAEAEADAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGGLKVVSKEEECIIKLEDKSTGELYARAFLREGEPHPVEAVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERTEAYDFQAALHDHMKYLNKKKTAEEMVQHYENTSSVDYSLKEGETLVLQLKNKETGTKTKSAFFEQGLNKLSFNEKANNKEATVSLKLPPPPPSPVSPTDSGVPFSPFKAEFPSQEQPDTDSGVAVPFKAEFPSQEQPAADTVDSSPSKAEPAPEEQPAAAEKTKQGSVDDDFGDFQAAG* >Brasy1G245600.1.p pacid=40056880 transcript=Brasy1G245600.1 locus=Brasy1G245600 ID=Brasy1G245600.1.v1.1 annot-version=v1.1 MAATREELSGVVEPAPGPGSQQPQNDMSLAYISRMLMEDDMVDTSPDRYLDHPELLQAEQPFAQILSAANTTSAPRALAFTLLPSRDNNNSTLFPGEDSSTSKAFFKGMEDASMLLPRFNAVLDGRGHKKRFDMDDKMEAGRGRGRSRTDSEEEDTAVKMLDRLILNGYDMYPGEMQEVLITLDKEKKTSQKSIRRRRRGGTRQTQTAVTDLDTLLIRCAEAVSRNDRRSASEVLERIKRYSSPRGDARQRLAHYFAQGLEARLAGTGSELYRSLVGKHTSTLELVQAYHLQMATCCFVNVASLFSNYTIYNAVAGRRKLHIVHYGVITGYKWPELIQRLADREGGPPEVRITAINNPQPGFRPAELIKEAGHRLSTCASKFRVPFKFHAVAAKLESVQAEDLHIDPDEVLVVHSLFQFRTMLDDNLTGDKVNPRDMVLNTIRKMKPSVFIHAVVNGSYSAAFFMTRFRQALYYFTALFDMMDTAIPRGNDKRMLVERYSLAWSAINIIACEGTDRVERPQNYREWQAQNQRAGLRQLPLDRDIVLSLKDEVKNKYHTHFMVYEDHRWVLQGWKGRVLCALATWTVDDTSDSEVT* >Brasy1G162700.1.p pacid=40056881 transcript=Brasy1G162700.1 locus=Brasy1G162700 ID=Brasy1G162700.1.v1.1 annot-version=v1.1 MANFQMKAYSGPSLENGQIDFPSLYLRRLDSGDKKNQHVVIDGFGSTDLGLTSIVDCGIYDGAGDKGKLVARAKGMRMNADHSWCNLFIIVFELDGFKGSTLAVMGATMEKAGALEEKGEWAIVGGTGEFAMARGLITRKGRQVVDGGEILELSLEAYCRTTKVPPVPAVPATPPAPAVRATKEYGPKGHPGANPNNFDPKRSLRLKSVRTYHYFGVNGFKFTYTDKLDGKDYDCLIGNLGFQSSTVQTVLELLPNEWVTKVSGTYGSHMDSHHGRTKAIYSLILETNLGRKLEATGSGRSDKGDGATFSIVVDGNETTLGFFGQNNGYGPVNIGIYTARP* >Brasy1G517400.1.p pacid=40056882 transcript=Brasy1G517400.1 locus=Brasy1G517400 ID=Brasy1G517400.1.v1.1 annot-version=v1.1 MEIPKVSYWRLIPLVNLFGLLRQDLASSICKSYENKIISWRDRWIWGFVLYIPCQTHWIG* >Brasy1G179900.1.p pacid=40056883 transcript=Brasy1G179900.1 locus=Brasy1G179900 ID=Brasy1G179900.1.v1.1 annot-version=v1.1 METKAASGRILDDSSKRHRHQLASGQIPFRGVKRARDLPGYHLHRCSSAPVGSAKRARGGPPLPVSSPSHPKWRDWSGLGDGPAGLIAELLLADDVADYIRFRAVCRPWRQWSTDPRAHGILDRRFHPRKWIMLREAVDAPNRRRLLNFSTGQCIRVHLPELRGHHVFGPTTEGLLVLLHTTSFLVRLLNPLTGQVTDLPPATKLLPRLTRYRNAADALEVSSAGLADDSTFAVFFCGVKTLAVAKPGDECWTLVDQGNYLAPAISFQGRFYCITRRGVMVVKTSADDKPPRLVVAAKLAKMFSQIAGTVHLANNDGELTLVYRLLGQDCQGKNHIKYEAYRVDLKARRTKPVYGLGGRALFIGLSRALSVSPLLFPSIKNDSVYLGWDNMIGKSFESTQPYHLVDGTTKLCAGFVEDSEMSQPFDGEFGPWGIDDYLCCYVTGSRDDSEDS* >Brasy1G563100.1.p pacid=40056884 transcript=Brasy1G563100.1 locus=Brasy1G563100 ID=Brasy1G563100.1.v1.1 annot-version=v1.1 MADMTLLPVAGGVEGEGQQDGGGGVGSKKKKTMKSLYLTFFEAGSDGKTRTCKLCDKSYCLTTATGNLGKHLNNRHPGYTQLADMQGNDPTQSTISSMFARNKKPHAPIRARTQAQQPQSQAQVQVQAQAKASAQPRAKPAVDVDYVNWLLLRWLISSSFPPCALEDRTFVDSCKYLNPSVRLWPKEKTQEITLQVFKSMKDDVKASLQHVRSRFSIALDFWTSYEQIVYLSVKCHWIDENWVSQKVLLDVCRIQYQCTGSEILRVLLTVLQEFNIGSKILACTHNNSQHAIHACHELSLELESRKLPFCYIPCAARTLGTIIEDGLKNVKTVLSKIREFILEINSNQEMMEDFKHWTEVYQEGSWKLPFDHLANWNGNYNMLDVVKKTPNAMDNTVKKFDDIFGPRDWVLSAEEKSVVNSLHSYLEPFYKTTTNLCTCKLPTLGLVFFFMDHVFELVNVCHDSSHQKLFENIARDMSKTARDFTSQAYNIYTFTAAILDPRIKGELIPETLNSTSNLEDARDHFVRDYSTIFQGTGNGYSTQDSTEDGGAFSFAEEIIRKRRRVSMSTAADELTQYLAEPPAPISTDALEWWRGHSSRYPRLSLMARDFLAIQGTSLDPEELFTSKGDSIHKQQYCLPLSSMQATMCIKSWMQSGYQFNFQSTIIDFERLVESATAPDAVDGPECS* >Brasy1G459100.1.p pacid=40056885 transcript=Brasy1G459100.1 locus=Brasy1G459100 ID=Brasy1G459100.1.v1.1 annot-version=v1.1 MCIHEEEKMRWMGGGGGGRGEAIGTSLVGGGGVFLPSGEDSRGEEVFGSALVPGEIWSGSSAVDLRHVVGGIGCSPRMVDLLMLYDQFGEGSQSFPQISNEKKFLEPISNGLRTTNKGDILIYIREPEVATELKFRIDSDGHLATVGSTMWKEFVFRADLKEKNHISFLIFKETSRLVVCVKKL* >Brasy1G016900.1.p pacid=40056886 transcript=Brasy1G016900.1 locus=Brasy1G016900 ID=Brasy1G016900.1.v1.1 annot-version=v1.1 MKITALLVLRSPGDSSSSASSSAATAGGGGEQQQQAVVLANASDVTQFGFFQRPAAREFIVFVARTVALRTPARSRQSVQHEEYMVHCYNQNGLCAVAFTDDHYPRLSAFSLLNQILEEYVKNFGESWRAANDNPTQQWQYLNDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLQRGEKLDKLVEKSSDLSAASQMFYKQAKRTNSCCTIL* >Brasy1G016900.2.p pacid=40056887 transcript=Brasy1G016900.2 locus=Brasy1G016900 ID=Brasy1G016900.2.v1.1 annot-version=v1.1 MKITALLVLRSPGDSSSSASSSAATAGGGGEQQQQAVVLANASDVTQFGFFQRPAAREFIVFVARTVALRTPARSRQSVQHEEYMVHCYNQNGLCAVAFTDDHYPRLSAFSLLNQILEEYVKNFGESWRAANDNPTQQWQYLNDALTKYQDPAEADKLLKIQRDLDETKIILCAAKRREIG* >Brasy1G289600.1.p pacid=40056888 transcript=Brasy1G289600.1 locus=Brasy1G289600 ID=Brasy1G289600.1.v1.1 annot-version=v1.1 MYRLTLIFWAIWPLSDPILLAHDCLLPSPDRFFLTSPDRFSSFSSSHHPTRPRPPYLALLGPPPMSTNIRRLDHLLPPPCVGYTIPAPRVWPGSSSARSDAFPRAPPPTPSPRNLRGGAHRCYPRWPDLADAVARPPLMSAETRGASSYSYGWSVAVLAEIFRVLLDRISLEGRELGFLDGDVRSWSGGG* >Brasy1G087900.1.p pacid=40056889 transcript=Brasy1G087900.1 locus=Brasy1G087900 ID=Brasy1G087900.1.v1.1 annot-version=v1.1 MSSYSALQAMQEARRAGYVAIRVPLAGPRRRRIMSPFEKYFTRGFAVGSGITFTVWVLLFKGVPLWMKILFTVTFLFVPVSLLFRSTLQSDADPQEDYTAG* >Brasy1G482200.1.p pacid=40056890 transcript=Brasy1G482200.1 locus=Brasy1G482200 ID=Brasy1G482200.1.v1.1 annot-version=v1.1 MPTPLTKCSSHATMCCIHLTTAAAVLVLLFPITILLDRRPPHRLRAPASCTGAWQSAGATSADLPAGTRSADLEAAASSTDASRRAAATWADSRATEVARRHTSSSVASRARAWPWSPPYRRPPHRLRARKHRRIAERSGDLGGLAHGDALGRGFLSRSGALGGRDVEHPNTAEGSGFLGGLACGGAFRRGLSHGGAPACGTARGQGRRSGLVRVAVKEEGSRALRPEGWGRRSGRRRVGGGGSPTFFLLSGHRHLARRRRDRSSEPTRVDCGSTWN* >Brasy1G054400.1.p pacid=40056891 transcript=Brasy1G054400.1 locus=Brasy1G054400 ID=Brasy1G054400.1.v1.1 annot-version=v1.1 MAEGVSRKLYGYALSSNSVRIAALLNEKGLEYDLVAVDLDNKTPEFLVISPFGQIPAFQDGDDTLFESRAISRHIATKYRTSGPDLLPTPSAKLEVWLEVESQHFYPAVADLVYELRVRPRLPVPAGGGGAPAPDKVDELARKVAGVLDIYDAHLAQNKYLAGDQFTLADVNHMAQLFVMSLTPRAAELVAARKHVKAWWDEISARPAWKKTVAALPLPPA* >Brasy1G562500.1.p pacid=40056892 transcript=Brasy1G562500.1 locus=Brasy1G562500 ID=Brasy1G562500.1.v1.1 annot-version=v1.1 MAQQLVVFFFFIIFFFSVVVAMVAVADDGGEKCTGGCGSPCGIPCTYSSPPPPVPEPVYYPPPPVYSPPPPAPVYSPPPAPVEYPPPTPSANCPPPPYGGGGYEPAPTTPSGGYNPSPSGGGYNPTPSGGWFSPPNMPSYLTPPGTLYPQDPGFRPNAAPAGSRGRLSWRAAALAAIAGALLLAS* >Brasy1G518300.1.p pacid=40056893 transcript=Brasy1G518300.1 locus=Brasy1G518300 ID=Brasy1G518300.1.v1.1 annot-version=v1.1 MRFALALLLLTLALLMLTPDANEEQCTTVTKKKFGGCLQGACEDDCHELEKRHYPCPPNYSCDYDAEGASAPSADILGGKK* >Brasy1G449900.1.p pacid=40056894 transcript=Brasy1G449900.1 locus=Brasy1G449900 ID=Brasy1G449900.1.v1.1 annot-version=v1.1 MPLSKPSSKPTGQQHLYLIFDDWELGYSIRELDLSNAAGADLPPPFIRLEATRGCPEFFAAVGTKILATHPRGVGFFGVPGGILPIVDVRSRGVNFAPGELYPQHPIYLPAGDDDEELFALDMDTFKTLSMKPLWPPRLEYEYRHQIGECSWRDLPMPPAFKRTDVTSYAVDSDGRTILASTAAATFAFDRLHHEWEKRVEWPLPFSGRANFVHGLDVFVGLPKDVDASGGHLCFCRWSGDDKHVWFSKENLSSKDPAESHVGTTLVYLGETRFCLVECVSNGDAEAVDKWLEEWDELHQTEEWEDCPLSSRCRLTTFSLSSDMNGGLTAAKTAVQCYKVPVEASYNVNPVAFWL* >Brasy1G541200.1.p pacid=40056895 transcript=Brasy1G541200.1 locus=Brasy1G541200 ID=Brasy1G541200.1.v1.1 annot-version=v1.1 MARRLLARHLTPHLRLAARCPAAPSSSPALAVTRFRTGGPTSQPPAWAAPQGTRFFADDRSHYDLFGKRRPGDEEFRKAWQENVDEEDCLWTASEDEEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICPESDEDDMNLWSGSEEDDDNDIPTEAHPNERSDSYIDKVFEFDETPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPKKKWQKAQARKRKNDKR* >Brasy1G513800.1.p pacid=40056896 transcript=Brasy1G513800.1 locus=Brasy1G513800 ID=Brasy1G513800.1.v1.1 annot-version=v1.1 MLRKHTYADELRQGLLRGHGAGARVRTPAWARQVLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRRASQDSQQTPEPEKTTGAGIGTGNKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLGAGDAIVFSCSSAYGEKQFFIDCKKNGKLVSMPTATSAAARCASATKGEEVEEEERRVVRLFGVDIGAGEKRSAAAGEQGGVFKRQCVEAHRQQQSPALGAFVCL* >Brasy1G506700.1.p pacid=40056897 transcript=Brasy1G506700.1 locus=Brasy1G506700 ID=Brasy1G506700.1.v1.1 annot-version=v1.1 MEVEVASPRVRRLKSVVGRSSNVESGAGGGSPGSARGTEYTSLRDMLAESGGEHHQNHHGHGHGGYRAGSWREYEAFDASNIHEFDASNIGIRNQLLKHAASAYLQSAVVVAGPGGGAREGGWGICFLGRLWERIGSGGDRRGRGRVLMRACSLRGCVDDSTELCAALVARVAAFFTSMWT* >Brasy1G451200.1.p pacid=40056898 transcript=Brasy1G451200.1 locus=Brasy1G451200 ID=Brasy1G451200.1.v1.1 annot-version=v1.1 MSAFVSALRSAGRQQLTASTIARRQATGSHLLRIEGYKEHVRDMAPNGEYITSGTFSVGGHQWQLNLYPNGEDMEKPNGCMAIYLYHASRAKTGDATAGFKFSLLDQAGKPWHSRNVERHHFVRRDSYPGWGFGNFVKIEDLDEEKHLKDDCLNVLVEVTVDHGLKSEDYVEVAPEPVDAPPPFDVSGQDDAGTTWNKHEADVKIEVGGETFAGHRWALERSPIFKELISSGTGELRIDDMDADVCKALLQFMYTGSPPAIEESTMAERLLVAANRHKQEKLKQICEETLCKRVDMGTVGATLAFAERHRCPVLREACMQFLSVPGNLKAIMETDGFELLKTGCPSSLMELMVKHMP* >Brasy1G135900.1.p pacid=40056899 transcript=Brasy1G135900.1 locus=Brasy1G135900 ID=Brasy1G135900.1.v1.1 annot-version=v1.1 MHFAAGRRKSSLVGAAGAGAGAGTTLDPFGAAKHAASSPLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSVTLEPLARAGPSPPLVSGGHRAATPRREAISLPDQKVPAASTTTSSLPRDVHEKQPKHTVTTAAAAQQEAPPRNKVAADGSKIIKKPKKASKKPRKQRAAKKTVVAAAGALGVPETCDLSKGEWVFDNASYPLYREEECTFLTSQVTCMKNGRRDDTYQKWRWQPNDCSMPRFDSKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAVSPDKKYVTWEDQRVVFHAWEFNATVEFYWAPFLVESNSDDPKIHSIQHRIINAESIAAHAENWRGADYLVFNTYIWWMNTLNMKLKRPGGENWEDHDELVRIEAYRKVLNTWASWVDENIDPARTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLNWRGPIWLGTDWDMFKVASNVTRAAAPRVPITFVDITTMSEMRKDGHTSVHTIRQGQVLTPEQQADPGTYADCIHWCLPGVPDIWNSLLYTRIMSRPERGVATTR* >Brasy1G254800.1.p pacid=40056900 transcript=Brasy1G254800.1 locus=Brasy1G254800 ID=Brasy1G254800.1.v1.1 annot-version=v1.1 MATPLAGLQDHLKHARDYALEGLYDTSIIFFEGAIAQINKYLSTLDDALIRTKWLNCKKAICEEVEIVKQLDAQLKSFKEAPGTTRSSSPPIRSNKSFLFQPLDEYPTSSPPTFDDPDVWAPPRDSSNRRSTRGQSSARKSSQDGAWARGSSKTGTPSRGAKPNGSKASSAVRSATASSTGGRKGKSSSSKADSASSDAEEGKSKKVQYEGPDGDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFELARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTNEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKSLININLRTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISKDPVAMCDFEEALVKVQKSVSPSDIERHEKWMAEFGSA* >Brasy1G334400.1.p pacid=40056901 transcript=Brasy1G334400.1 locus=Brasy1G334400 ID=Brasy1G334400.1.v1.1 annot-version=v1.1 MAACPSGAVPLLFKPLAACLASPDPRASTAAAAAFCELSAPPADPAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLSRSSAMSLTIECIRTVLTALPAHAAAVALGIGKVKEFLGSSDDPNLRYLGLLALGMLSPAYVSTVNESRDVIVLSLGDPDSNIRREALHLMMGMVDDNNVQDIAGMLASHAARSDPEFANDIIGAVLAACGRNVYELVSDFDWYVSLLADIAKSLHCAQGDEIGRQLVDVGLRVKDARPELVQSARTLLIDPALLGNQLLSPVLSSAAWVCGEYINFVKDPVELVEALLQPRTGLLPMSVRAVYIQAVLKVITFCCNLYCERLNDSNKELDLVFDESAIDQTVGRACEAEIRPGEEEILMASTTEKDPFSQKSIVYMISLIEATIGPLVECKEVEVLERAHNLMGFVHLLREIRELKDMKVGDHTKHNRAKELVENMQTIFSQELNPVSVNALKKVSPPEDLVLNENLAELADVVSEDDTTPSTSIFLSSRGSHSAETGDESATSVGSSSLLSEHRKRHEIFYLPTGKAEEDANNYPCANDPLLSADNRIATEDKSEAVQLVSDWKKLKSTRSRPKVVKLDGEDFLSSMMANANIAKENSLSCTVHGVLMGRDAKPLSSPKASDRTAGGMRKKMDSGESSSQWIENIDDGIGSHSRTSKPQNNEKENSTLSLGSDGKEARKHRTSGRSGQRQGKQKNRERPSTQPNVVPQAPVIQDFLL* >Brasy1G430000.1.p pacid=40056902 transcript=Brasy1G430000.1 locus=Brasy1G430000 ID=Brasy1G430000.1.v1.1 annot-version=v1.1 MHSVLTPNHISDRPIDTPSHIRSSPHKSNTTPRSFLPSKNLMQAPKAPAPAPQLTAAAMAAADVRRAVEEKPVVVVGRRGCCMAHVARRLLLGQGANPAVLEVGDDVVADPAALLVELRPPGAAKDAAAAAAATFFPAVFIGGRLVGGLDRLMAMHIAGELVPVLKQAGALWL* >Brasy1G386300.1.p pacid=40056903 transcript=Brasy1G386300.1 locus=Brasy1G386300 ID=Brasy1G386300.1.v1.1 annot-version=v1.1 MAGALKVFGIMTARGCQVDDRVCSAIVSGFSRAGNDKAGLEFYDRVRKEVCGFEPGLVTLTAVVNLLGREGKIGEVAELVSEMEQKGMTGDAVFYSSLVHGYMTGGLLMEGLREHRLMLDKGIAADVVNYTTVIDGMCREGSVDKVMGFLDEMERSGAKPNLITYTSLVGGFCKRNRLEDAFSVVRKLEQTGVVVDEYVYSILIDSLCKMGHLDKAFCLLEEMEGKGIKAGTVTYNAVIDGLCKAGDTNKAIEISEGVAADNFTYSTLLHGCIKGDDTTGVMAIKSRLESSGIAVDVVTCNVLIKALFMVNKVDDACGLFHRMHDMGLSPNTVTYHTIINMMCKLGDIGRAVELFDEYKKDTSLSSTDVHNVLIRALCNERKVTIADQIFYDLIHKKLRPDSCTYRKLIRANFKEGGEQGVLNFIRKLEGSEMDLLSSICNYASTFLSTRDCCQAALDVYKMLRVQAFPVTSKTFYKLVKSLLRNGYDQIIQPLLGQFTKIHGLHEPRMINMLSCHLSKNDVGAAIRLSSYLDNGSVPVGVLRGAVYALKKEGEILDAYNFLEQAEQSGLSVDLAMYSIVVEGLCRGGYLEKALDLCERMEKEGIHPTIIIHNSVLSGLCQHGCFTEAFRLFDYLERSNILPTIITYAILIGALCREGFLDDAYQLIQKMSNKGIRPTTRVYNLLISGYCNYGLTEKALGLMSQIEEHFLLPDAFTLGSVISGHCMKGDTEVALSFFNEYHCKEMVPDFVGFMSLVKGLYAKGRMEESRGILREMFQCKEVVDLINSVGNEVQTESLVALLSSACEEGRIDEVVTILNEVGLMSVSSSDSSNCNTLSQLKKLQRIDNACDPRTDSGQVLSSVSSDVSSSCLNGSSIGTSQPMIERADNTCTTSDDTDNGNGDLLGKSFYDDFDTYYPAIASLCSKGELLKANKAIEAMIQNSV* >Brasy1G341100.1.p pacid=40056904 transcript=Brasy1G341100.1 locus=Brasy1G341100 ID=Brasy1G341100.1.v1.1 annot-version=v1.1 MGTLPSFIAVLLLLLSSALALTADEAAAPRRQLLLGPGPEKRHVDQVHIDINIQIKISNPRLQSAHTALHALKRALYSDPGNFTGNWDGPDVCAYNGVFCVPSPDDPSASVVATVDMNGVDVAGHLPKEIGLMSDLAVLHLNSNRFCGVIPEEIKNMSQLYELDASNNRFVGPFPDVVLRVPKLSYLDIRFNDFDGPIPPELFLRPYDAIFLNNNRFTAGIPDTIGKSKASVIVLANNELGGCIPRTIGDAAATLDEFIFVNNSLTGCLPVETGMLHTTTVVDVSGNALTGPIPPTLSGLAKVEQLDLSGNRFTGELFKGVCELLALANLSVSYNFLAKEDGVCSAAGSPPEDKYSFEDQGNCMGEARPLQRSAAESTTLRMARAATATTRILFSPTTGTGTISATAATRVLASTAGPGTIPTTAAPRILSSATGTHTIPATATATAGIISSTTGTGTISAAAAAHLLPSATGTGTIATATARLPAPATGRIATAAVS* >Brasy1G048200.1.p pacid=40056905 transcript=Brasy1G048200.1 locus=Brasy1G048200 ID=Brasy1G048200.1.v1.1 annot-version=v1.1 MAENGEKAAEGGGGGVGAGEGEEQEVEESVKLFVGQVPKHMTEPELLAMFREVAAVDEVTVIKDKATRASRGCCFLICPSRDEADKAINAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVEDTELTDLFSEYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLPSPNMPNGGPMPQSSVFGALQMGYLPQYNGFSYQPPGTYGLMQYPLSPMQNQAAFQNMVQPVNQGNSIRGVNPELSPNAVSRSFNAMHLGSPYPGVPGMQYTGSYPGGPMSNRPFGNSHNPLKVPSANVNSIAYSPSSNGGGQTQTEGPPGANLFIYHIPQEFGDQELSDAFQRFGRVLSAKVFVDKATGASKCFGFVSYDSPASAQSAIGMMNGFQLGGKKLKVQLKRDNSKHSKPF* >Brasy1G048200.2.p pacid=40056906 transcript=Brasy1G048200.2 locus=Brasy1G048200 ID=Brasy1G048200.2.v1.1 annot-version=v1.1 MLPKNVEDTELTDLFSEYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLPSPNMPNGGPMPQSSVFGALQMGYLPQYNGFSYQPPGTYGLMQYPLSPMQNQAAFQNMVQPVNQGNSIRGVNPELSPNAVSRSFNAMHLGSPYPGVPGMQYTGSYPGGPMSNRPFGNSHNPLKVPSANVNSIAYSPSSNGGGQTQTEGPPGANLFIYHIPQEFGDQELSDAFQRFGRVLSAKVFVDKATGASKCFGFVSYDSPASAQSAIGMMNGFQLGGKKLKVQLKRDNSKHSKPF* >Brasy1G318200.1.p pacid=40056907 transcript=Brasy1G318200.1 locus=Brasy1G318200 ID=Brasy1G318200.1.v1.1 annot-version=v1.1 MVCSDARSPAPGHRPTPSQFPLLCTQGGGVGQLGGHRDQEAAASACRRGREAARCAGQRQGAPGRQRPRRRLRRNGPPPVGGRARRGRRCEARAAAARAGRLSLRRAPAAAGGREIKARGGIQKMRQQGESRVR* >Brasy1G318200.3.p pacid=40056908 transcript=Brasy1G318200.3 locus=Brasy1G318200 ID=Brasy1G318200.3.v1.1 annot-version=v1.1 MGGGVGQLGGHRDQEAAASACRRGREAARCAGQRQGAPGRQRPRRRLRRNGPPPVGGRARRGRRCEARAAAARAGRLSLRRAPAAAGGRYVADSSLFFHFLLLVTGLSVVIRN* >Brasy1G318200.4.p pacid=40056909 transcript=Brasy1G318200.4 locus=Brasy1G318200 ID=Brasy1G318200.4.v1.1 annot-version=v1.1 MGGGVGQLGGHRDQEAAASACRRGREAARCAGQRQGAPGRQRPRRRLRRNGPPPVGGRARRGRRCEARAAAARAGRLSLRRAPAAAGGRYVADSSLFFHFLLLVTGLSVVIRN* >Brasy1G318200.2.p pacid=40056910 transcript=Brasy1G318200.2 locus=Brasy1G318200 ID=Brasy1G318200.2.v1.1 annot-version=v1.1 MGGGVGQLGGHRDQEAAASACRRGREAARCAGQRQGAPGRQRPRRRLRRNGPPPVGGRARRGRRCEARAAAARAGRLSLRRAPAAAGGREIKARGGIQKMRQQGESRVR* >Brasy1G552400.1.p pacid=40056911 transcript=Brasy1G552400.1 locus=Brasy1G552400 ID=Brasy1G552400.1.v1.1 annot-version=v1.1 MNKRRRRRESRTATRIIAVGDRIEAGRRRRARERRCWTRAAKSSVISSSPALKQRGSRDREASDGARRARTRPAAARPSHRWKHDFFFLRG* >Brasy1G129600.1.p pacid=40056912 transcript=Brasy1G129600.1 locus=Brasy1G129600 ID=Brasy1G129600.1.v1.1 annot-version=v1.1 MASSPKLLLLLATLLPSCGLLLADFAPMTLTVVNNCPYPIWPGIQANAGSEVLEGGGFYLPALSHHSFPAPTHAWSGRIWARTGCAPAGAQQQLRCATGDCGGRLQCGGLGGAAPATLAQVSLHHGNDQSSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQVRAGAGAGVVACKSGCQAFGTDELCCRNMYNSPQTCRASKYSQFFKGECPQAFTYAHDSPSLTHECSAPRELKVIFCH* >Brasy1G480900.1.p pacid=40056913 transcript=Brasy1G480900.1 locus=Brasy1G480900 ID=Brasy1G480900.1.v1.1 annot-version=v1.1 MRHPRRTVRQKLDSSPSPAPRATDAASPMSSPSPSPRSPGSATPPPTSAPAVIVPPDWASATEFISSEISPPVVLVCGPTNCGKSTFSRLLLNSLLPRHGRVGYLDTDVGQPEFSPPGCLSLHVVDEAIADLQNPVLRQAERCFFFGDTSSKRDPETYLNSLFLLYTYFVEKYQCPGSEVLPLIVNTPGWVKGTGFDMLVEMLRYICPTIVVQIRTRRQRKNLPDGMFWLDDEQTGPKVVKIDAACHDSLSISSLKRKDGGGMRERRLVEYFKQCFSSDISVATNKELAYALASLPPYEVLFSDVKVIHLHCEVPRMEIWDSLNATIVGLAISSDTPEIAHAIPWCVGLGIVRGIDVQRGLLYVITPVPIERLQSVDLLLQGLIQIPRSLLQVKGCVSPYMSTNVRDKITAKDLYAKNLNSPLVRENGKSDSEADM* >Brasy1G339000.1.p pacid=40056914 transcript=Brasy1G339000.1 locus=Brasy1G339000 ID=Brasy1G339000.1.v1.1 annot-version=v1.1 MVIKGRLVVLRLAYLSRCSLHGKGKAAIGIIRATVGCTARRRRSFLFIL* >Brasy1G349400.1.p pacid=40056915 transcript=Brasy1G349400.1 locus=Brasy1G349400 ID=Brasy1G349400.1.v1.1 annot-version=v1.1 MEQRGARQEEVEKEQEAPPIPPTRENQEERDFKISSRVVSLLFGGGEISTPAQTFEKWVSLVRKRSGTFRPSGFPRRSSRIEVMPSGSFSLFSTAELSEQVVRAEPARKEENPLMFDQPPELSLWERLGNASALDIESSDFSWRMLSSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSLGNNGLPKEEASVIKFAASKMATQAELLGYEFARLLGVHTPQARVVHNSSMEWQEIKHAAENARAVAVSNNDEIGEMTCSELLEALELSRCLLLMSYVHGSPLLESSKAFSSRQTACVTSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPSMDRFEDSKNTSESSNPLISDIFQREKWFHSANGRLDSPDLDVMSGKADALINVRGNAESTSGTFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELILNNSDYSSNILWEISGGKLGLPGPDEAITSADSCCSLSDEDNAAAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEESDHNDIAISDFPSPGGSYSTPCPPSKQLNNELYGESGMLKSAMKSSSAGSRGSSDSVSPMSRDSWSNKHLKGSTDAPRSLRMTMRLRDFYKTPKVDLDPEFLKEIEHWNEVFKTDVIRFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALVSDSANTERPSLVIDNLFIGGALAARSKYTLQHLGITHILCLCSNEIGQSDTQFPELFQYKNFSISDDDDANISDLFEEASGFIDQVDHVGGKVLIHCFEGKSRSATVVLAYLMLRKGFTLLKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQQKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSQGSVDSAMTMEIQKSIQSLRISRGGSLSPSQKLAKAFADELSF* >Brasy1G349400.2.p pacid=40056916 transcript=Brasy1G349400.2 locus=Brasy1G349400 ID=Brasy1G349400.2.v1.1 annot-version=v1.1 MEQRGARQEEVEKEQEAPPIPPTRENQEERDFKISSRVVSLLFGGGEISTPAQTFEKWVSLVRKRSGTFRPSGFPRRSSRIEVMPSGSFSLFSTAELSEQVVRAEPARKEENPLMFDQPPELSLWERLGNASALDIESSDFSWRMLSSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSLGNNGLPKEEASVIKFAASKMATQAELLGYEFARLLGVHTPQARVVHNSSMEWQEIKHAAENARAVAVSNNDEIGEMTCSELLEALELSRCLLLMSYVHGSPLLESSKAFSSRQTACVTSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPSMDRFEDSKNTSESSNPLISDIFQREKWFHSANGRLDSPDLDVMSGKADALINVRGNAESTSGTFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELILNNSDYSSNILWEISGGKLGLPGPDEAITSADSCCSLSDEDNAAAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEESDHNDIAISDFPSPGGSYSTPCPPSKQLNNELYGESGMLKSAMKSSSAGSRGSSDSVSPMSRDSWSNKHLKGSTDAPRSLRMTMRLRDFYKTPKVDLDPEFLKEIEHWNEVFKTDVIRFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALVSDSANTERPSLVIDNLFID* >Brasy1G349400.3.p pacid=40056917 transcript=Brasy1G349400.3 locus=Brasy1G349400 ID=Brasy1G349400.3.v1.1 annot-version=v1.1 MEQRGARQEEVEKEQEAPPIPPTRENQEERDFKISSRVVSLLFGGGEISTPAQTFEKWVSLVRKRSGTFRPSGFPRRSSRIEVMPSGSFSLFSTAELSEQVVRAEPARKEENPLMFDQPPELSLWERLGNASALDIESSDFSWRMLSSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSLGNNGLPKEEASVIKFAASKMATQAELLGYEFARLLGVHTPQARVVHNSSMEWQEIKHAAENARAVAVSNNDEIGEMTCSELLEALELSRCLLLMSYVHGSPLLESSKAFSSRQTACVTSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPSMDRFEDSKNTSESSNPLISDIFQREKWFHSANGRLDSPDLDVMSGKADALINVRGNAESTSGTFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELILNNSDYSSNILWEISGGKLGLPGPDEAITSADSCCSLSDEDNAAAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEESDHNDIAISDFPSPGGSYSTPCPPRIVGAINI* >Brasy1G349400.4.p pacid=40056918 transcript=Brasy1G349400.4 locus=Brasy1G349400 ID=Brasy1G349400.4.v1.1 annot-version=v1.1 MEQRGARQEEVEKEQEAPPIPPTRVVSLLFGGGEISTPAQTFEKWVSLVRKRSGTFRPSGFPRRSSRIEVMPSGSFSLFSTAELSEQVVRAEPARKEENPLMFDQPPELSLWERLGNASALDIESSDFSWRMLSSLHHTEHSSSSEHSEDEMSKALEVTVNSGGVVFFALFSSLGNNGLPKEEASVIKFAASKMATQAELLGYEFARLLGVHTPQARVVHNSSMEWQEIKHAAENARAVAVSNNDEIGEMTCSELLEALELSRCLLLMSYVHGSPLLESSKAFSSRQTACVTSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSSPSMDRFEDSKNTSESSNPLISDIFQREKWFHSANGRLDSPDLDVMSGKADALINVRGNAESTSGTFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELILNNSDYSSNILWEISGGKLGLPGPDEAITSADSCCSLSDEDNAAAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEESDHNDIAISDFPSPGGSYSTPCPPRIVGAINI* >Brasy1G017500.1.p pacid=40056919 transcript=Brasy1G017500.1 locus=Brasy1G017500 ID=Brasy1G017500.1.v1.1 annot-version=v1.1 MAAYQQHGHGGRAMSYSNTVDECFDGGRTDECYDGGRHGHGHGSAHWAPPLNTTDDCFDGGRTNMYSNTTDVECYDDGRHGYGGGHGGGYYKKEEKTHKNRERVGEVGALASGAFALYEGYEAKTDPAHARKHEIEAGVAGAAALGAGGYAYHEHREQLSYGGAAREQQHRVPSYNQYCN* >Brasy1G344700.1.p pacid=40056920 transcript=Brasy1G344700.1 locus=Brasy1G344700 ID=Brasy1G344700.1.v1.1 annot-version=v1.1 MAAAAARRLLPHKQQQQQIILALVLLLASQSLHCCSAGHSEQEDDRVAFLPGQPRTPRLSQFSGHVTVSKQNGRALFYWFFEAQALPSHKPLLLWLNGGPGCSSVGYGAASELGPLRVSRFAAGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLDNLNDGFVAEDAYSFLLNWLERFPQYKDRDFYISGESYAGHYVPQLADRVYEGNKDKRANTYINLKGIIVGNPITDDYYDSKGLAEYAWSHAVVSDEVYERIKKVCDFRASKWTNDCDKAMGTIFRQYQEIDIYNIYAPKCNVAQTSVASAVDEALKYSNHERFRKRIRMFSGYDACYSSYAQQYFNKADVQRAFHANGNGMLPGKWQVCSDSILRTYNISVLSVLPIYSKLIKAGLRVWIYSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLNKQVAGRFVEYDGITMVTIRGAGHLVPLNKPAEGLTLIDSFLLGKQLPTHL* >Brasy1G006600.1.p pacid=40056921 transcript=Brasy1G006600.1 locus=Brasy1G006600 ID=Brasy1G006600.1.v1.1 annot-version=v1.1 MEDVAVPAAQMGGGGRAVPAAAAQGLGEAGEAEQQQEIPKEGGREDEGEAEGGGAMPIEAAQGGNESPGVAAHRSGEGEAEERQEIHRDLLCAAISGDSESMKAMVQHSRDPSILLGTTPSGNTCLHISSIHGHQGFCQDVITPEESLLSKYNLEQETPLVVAVTLGHTSLASLLLGRCHELGLRQAILEQDRDGFNALHHAIRNGHQGLALELIKVEPALSQGVSKCNQSPRVLDG* >Brasy1G205300.1.p pacid=40056922 transcript=Brasy1G205300.1 locus=Brasy1G205300 ID=Brasy1G205300.1.v1.1 annot-version=v1.1 MKPGAGGGCPRRGPADVEGLCCGVAPCVGAPGEDGFALAAVAAQSSWRIRRGRDAPERARGGGGTSNRRWGWNSRAPARALATPMSSRSRVRGSASALLGASRSSQSGRARLAGEGEDEKERDFGSSGHVGD* >Brasy1G537000.1.p pacid=40056923 transcript=Brasy1G537000.1 locus=Brasy1G537000 ID=Brasy1G537000.1.v1.1 annot-version=v1.1 MAPLSQHQIRLRKALAKKKPKTKMLSGFGVKPSAAFFKPQPPPPPQPAPSALPPRRRVRVLYDDPYATDSDTDDDEEEAAPAASSKRCYEVYLGKAPPKPVAKPVTLTATAAAATCASRMNAESYRGVRLRKWGKYAAEIRNPFSGKRVWLGTFDTAAAASVAYQAASRSFIEEKRRRRGEALGSPAASAASTPTASSSSSNSAAPFAHPSPSSVLEATKPAAAEALSPEPTPIPAVASTEAGQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDSLDVSGAPAYLDGEQDLLLGDFADEDLELDIDLDDIDDDFLEMPGVDFGRGMDEFLQTVDFCV* >Brasy1G204800.1.p pacid=40056924 transcript=Brasy1G204800.1 locus=Brasy1G204800 ID=Brasy1G204800.1.v1.1 annot-version=v1.1 MDYSNLRRQAASMKRGLFDQGYLDEQFRQVEDLQDEASPNFVEEVVVLFFKDSAKLMSNLEQALEKYPRDFNRWDAYMQQLKGSCSSIGASRMKIECLSFRDNCGQGNVEGCMGSLQKLKREHAILRQKLESYFQLLRQAGPAGAATRPVM* >Brasy1G549800.1.p pacid=40056925 transcript=Brasy1G549800.1 locus=Brasy1G549800 ID=Brasy1G549800.1.v1.1 annot-version=v1.1 MAMPTALRALAVMSVLLVLIIDHVEGRDHRRDCPSFSCGRLRNVSYPFRQASDPPDCGYQSYELVCSDSTATILIHNATYYVSGINYSDKTFWVVDADLDLYNSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGMYMPVACLSTSRSFVYVLTSLGIGCMENLEPCCGYLAMTPLGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.7.p pacid=40056926 transcript=Brasy1G549800.7 locus=Brasy1G549800 ID=Brasy1G549800.7.v1.1 annot-version=v1.1 MAMPTALRALAVMSVLLVLIIDHVEGRDHRRDCPSFSCGRLRNVSYPFRQASDPPDCGYQSYELVCSDSTATILIHNATYYVSGINYSDKTFWVVDADLDLYNSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGMYMPVACLSTSRSFVYVLTSLGIGCMENLEPCCGYLAMTPLGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.5.p pacid=40056927 transcript=Brasy1G549800.5 locus=Brasy1G549800 ID=Brasy1G549800.5.v1.1 annot-version=v1.1 MLKGGIIGVTVLLSRAADSETYHTHFVSDKTFWVVDADLDLYNSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGMYMPVACLSTSRSFVYVLTSLGIGCMENLEPCCGYLAMTPLGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.6.p pacid=40056928 transcript=Brasy1G549800.6 locus=Brasy1G549800 ID=Brasy1G549800.6.v1.1 annot-version=v1.1 MLKGGIIGVTVLLSRAADSETYHTHFVSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGMYMPVACLSTSRSFVYVLTSLGIGCMENLEPCCGYLAMTPLGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.3.p pacid=40056929 transcript=Brasy1G549800.3 locus=Brasy1G549800 ID=Brasy1G549800.3.v1.1 annot-version=v1.1 MLKGGIIGVTVLLSRAADSETYHTHFVSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGCMENLEPCCGYLAMTPLGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.4.p pacid=40056930 transcript=Brasy1G549800.4 locus=Brasy1G549800 ID=Brasy1G549800.4.v1.1 annot-version=v1.1 MLKGGIIGVTVLLSRAADSETYHTHFVSDKTFWVVDADLDLYNSCPLPRWKRNQPRGQVSTMFGKFGDQRSLITVAELSPELAPAAYNEANFVKCSQEVKGNGGKETRGSVPLQNASYGDVVTFMRHGFSLQFPFRPRWGNIKECMMYRMHSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G549800.2.p pacid=40056931 transcript=Brasy1G549800.2 locus=Brasy1G549800 ID=Brasy1G549800.2.v1.1 annot-version=v1.1 MLKGGIIGVTVLLSRAADSETYHTHFVSSASPGNWIDSISQVDVLFLSCAADAVPRPFDICLYVIQLASVYFKMIVALCRFVLVPLTILIFLANKYWKTRITIDAVEKFLQMHQMLGPMRFGYTDIIAITSHFRDKLGQGGYGSVFKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDRYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVGEISIVDDMHELEKKLCIVGLWCIQMRSHDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEDE* >Brasy1G001400.1.p pacid=40056932 transcript=Brasy1G001400.1 locus=Brasy1G001400 ID=Brasy1G001400.1.v1.1 annot-version=v1.1 MSCFSCFKPPAAEDKDKAAPLPRRPSSRRSSSSSVRRSSSGAGGGAGRPSNNITSCSARSFTYAELAAATADFRADCLLGEGGFGRVYRGRLADGQLVAVKQLDLNGVQGNREFVVEVLMLSLLHHDNLVSLVGYCADGQQRLLVYEYMALGSLADHLLLLDNKDPNAMAMDDDKAPATTTATKPGLSWETRMRVALGAARGLEYLHETAHPAVIYRDLKSSNVLLDDAFCPKLSDFGLAKLAAAGERSPRVMGTYGYCAPEYIRTGHLSVKSDVYSFGVLLLELVTGRRAVDSARPGCEQVLVNWARPMFKDSKRYHELADPLLGGEFPDKDLSQAVAVAAMCLQDQASARPCMSDAAVALSFLAEAAAAATQPQSLPLPHDDDMKAS* >Brasy1G265700.1.p pacid=40056933 transcript=Brasy1G265700.1 locus=Brasy1G265700 ID=Brasy1G265700.1.v1.1 annot-version=v1.1 MSSSSSWTKRQNDLFEKALDVFNKDTPDRWQNVARAVGDGKSVEDVMRHYEELEKDVKDMESAGGRQGSDNSVSGASSSNNNSWGSANEDRRGRNPNLQ* >Brasy1G062800.1.p pacid=40056934 transcript=Brasy1G062800.1 locus=Brasy1G062800 ID=Brasy1G062800.1.v1.1 annot-version=v1.1 MISSITWVPRGAAKSVPIEAEPPTQEEIDEAIKSIALGEGSDADDAEGDDDDAEMELDGADGEEVDEVAQAKAAAKALGKSGSGAIGDVTDGLAELNMDAYDDEEEGLEIFSKGLGDLYYKNNDDDPYIIKNKDDDGDDSDSEIEDMTIKPTDLVIVCAHNEDEFNSLQVSIVEELEDGEPNMFVHHEVPLSDFPLCTAWMDFNLKDGDKGNFIAVGTMDPAIEIWDLDIVDEVEPHVVLGGHSKKLKKVKGKKVKKYRKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSAGKCVVTLQHHDDKVQSVAWSRQSPELLLSGSFDKSVAMNDLKDGGQNCHKWSVEADVESLAWDPHNEHSFMVSLENGMVQAFDKRTASSNSNSGQPMFTLHAHEKAASSISFSPSTRNFLATGSTDKMVKLWDLSNNQPSCVASLNPKLGAIFSVSFSDDNPFLLACGGAKGKLKVWNTITEPAVANKFGK* >Brasy1G161600.1.p pacid=40056935 transcript=Brasy1G161600.1 locus=Brasy1G161600 ID=Brasy1G161600.1.v1.1 annot-version=v1.1 MELAVGASEATIKSLLTKLGSLLAEEYALIRGVRGDVQFISDELASMQAFLSNLSRGGGTEGHDDQTKDWMKQVRDVSYDIEDCVDDFSNGLRPDRQGNGLWSKICRALYEIQTSSKRHSIATQISELKERAQHVGERRTRYGVRDPDTSKKKSTLDRYVAADHQETTHRLIGIKNPVGVEEDMKDLEKWITSSGGDQDTLILSIYGFGGVGKTTAAMALYRKCGVDFKRRAMVTVSHNTDPLVILRDILRQVKTQVKGPQAENSTVRTFEKKHLAVLAPLQMLSRVSQISLCCRNPDEDSRTLEHDAITRDLREHLGNDRYLLLIDDVWSSSMWQTILNGLPRNHARSRIIVTTRFEAVAKTSLTDHDRFHQMNTLSDVDAESLFEKSLSECRGTEGSQNGQVPAKRVWEMCGGLPLPIVTMAGLVASNKRMSREEWVEVCKTLFPEKQVCRKPEEFMRIINYCYNVLPSDLKTCCLYLSIFPKGRKISKKRLIRRWISEGFVSEKQGLSVEDVAETCFNQLIKRQIIRPVEHSTDGKVKSCQVHDMVLEYIISKAAEENFVTVVGGQWSMPTRSNKVRRMSLHSSGSKHASKADRMNLSHVRSLTVFGSLYQQRFKSFKTGIVQVLDLEGCSGFKAKHASASDICEMTLLKYLNLRGTDISELPSNIGYLKYLETLDIRQTRVEELPKTVVLLERISNILGGDKKKRKALKLPKEIKKGALKTLRVLSGIEITDGSTAVSNLHNLTGLRKLAIYKLHKSGQMFADLLSSIQYLCGYSLQSLVIDDGSSEFHQKLCTMSTPPAYLNALELSGKLLELPAWIPKLRDIVKLTLSATVLRSENLECLQGLKTLFSLTFSTCAAKHDLHIVSILQKNKSEHGGEIFVPAGGFDNLKLFRVFVPLLPSLNFSEGAMPALERLELSFKRLEGLHGIGKLERLHDVILKVDGEAENTTKSIMDDLKKAADGKYALDINQ* >Brasy1G136100.1.p pacid=40056936 transcript=Brasy1G136100.1 locus=Brasy1G136100 ID=Brasy1G136100.1.v1.1 annot-version=v1.1 MGGGSCDVCKEAPSKYKCPTCRTPYCSVTCFKKHKDEFCQKIIPQEEISKSLLEEEVARSSVLLEDGISSGDKDQLPSLPPDTTCSTLSPNTVSACSTKALEVEDPSWLVDRNRLRSLVESNEIQDALKDPKLQKLVLQIDGSSEPEKELEKLLEGPAFQQFTNKILDIVSPQK* >Brasy1G136100.2.p pacid=40056937 transcript=Brasy1G136100.2 locus=Brasy1G136100 ID=Brasy1G136100.2.v1.1 annot-version=v1.1 MGGGSCDVCKEAPSKYKCPTCRTPYCSVTCFKKHKDEFCQKIIPQEEISKSLLEEEVARSSVLLEDGISSGDKDQLPSLLSACSTKALEVEDPSWLVDRNRLRSLVESNEIQDALKDPKLQKLVLQIDGSSEPEKELEKLLEGPAFQQFTNKILDIVSPQK* >Brasy1G136100.3.p pacid=40056938 transcript=Brasy1G136100.3 locus=Brasy1G136100 ID=Brasy1G136100.3.v1.1 annot-version=v1.1 MGGGSCDVCKEAPSKYKCPTCRTPYCSVTCFKKHKDEFCQKIIPQEEISKSLLEEEVARSSVLLEDGISSGDKDQLPSLPPDTTCSTLSPNTVSACSTKALEVEDPSWLVDRNRLRSLVESNEIQDALKDPKLQKLVLQIDGSSEPEKILDIVSPQK* >Brasy1G136100.4.p pacid=40056939 transcript=Brasy1G136100.4 locus=Brasy1G136100 ID=Brasy1G136100.4.v1.1 annot-version=v1.1 MCARRRRPSTSAPLAARPNEFCQKIIPQEEISKSLLEEEVARSSVLLEDGISSGDKDQLPSLPPDTTCSTLSPNTVSACSTKALEVEDPSWLVDRNRLRSLVESNEIQDALKDPKLQKLVLQIDGSSEPEKELEKLLEGPAFQQFTNKILDIVSPQK* >Brasy1G136100.5.p pacid=40056940 transcript=Brasy1G136100.5 locus=Brasy1G136100 ID=Brasy1G136100.5.v1.1 annot-version=v1.1 MCARRRRPSTSAPLAARPNEFCQKIIPQEEISKSLLEEEVARSSVLLEDGISSGDKDQLPSLPPDTTCSTLSPNTVSACSTKALEVEDPSWLVDRNRLRSLVESNEIQDALKDPKLQKLVLQIDGSSEPEKILDIVSPQK* >Brasy1G140300.1.p pacid=40056941 transcript=Brasy1G140300.1 locus=Brasy1G140300 ID=Brasy1G140300.1.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.5.p pacid=40056942 transcript=Brasy1G140300.5 locus=Brasy1G140300 ID=Brasy1G140300.5.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.2.p pacid=40056943 transcript=Brasy1G140300.2 locus=Brasy1G140300 ID=Brasy1G140300.2.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.6.p pacid=40056944 transcript=Brasy1G140300.6 locus=Brasy1G140300 ID=Brasy1G140300.6.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.7.p pacid=40056945 transcript=Brasy1G140300.7 locus=Brasy1G140300 ID=Brasy1G140300.7.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.8.p pacid=40056946 transcript=Brasy1G140300.8 locus=Brasy1G140300 ID=Brasy1G140300.8.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.9.p pacid=40056947 transcript=Brasy1G140300.9 locus=Brasy1G140300 ID=Brasy1G140300.9.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGLTECSTSEGNQVSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.3.p pacid=40056948 transcript=Brasy1G140300.3 locus=Brasy1G140300 ID=Brasy1G140300.3.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.4.p pacid=40056949 transcript=Brasy1G140300.4 locus=Brasy1G140300 ID=Brasy1G140300.4.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G140300.10.p pacid=40056950 transcript=Brasy1G140300.10 locus=Brasy1G140300 ID=Brasy1G140300.10.v1.1 annot-version=v1.1 MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLRTGQAVAIKMINKDRVTKVGLMEQIKREISIMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKGGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSSGVILFVLVAGYLPFHEANLIEMYRKISKADFRCPRYLSAELKELLHKILDPDPTTRISISRIKRSAWYKGPVDVNAKKNEPESTHNTFSGEAATSGFNLSGFFEDEYGRREERFTTRQPVTTVLSKLKELAKRLKLKVKKKENGVLKLAAPKEGRKGFLELDAEIFEVAPSFLLVELRKINGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQSALPTQQPHDQLIPPLQQQERQDQLHPSVAQQEQ* >Brasy1G246600.1.p pacid=40056951 transcript=Brasy1G246600.1 locus=Brasy1G246600 ID=Brasy1G246600.1.v1.1 annot-version=v1.1 MRKKPRRCPTCPPPTPDPSSSARTATAPISLYQRRRLLLAHTRPDPPPPPRTRPALIRLLLVLARGRWARGGGRDARRGRRPLGREPPPPRGGGLLAAAAAPSKTDARKAVRVAASAPKVTEAELVRRREEEQVRLERAEAAKKRAARTAKEEYEGTIIVTNTNRDNSIIDYRGVLSRRGHRQEAALPADRHPERCLKASSKVVHFEYLTKV* >Brasy1G505300.1.p pacid=40056952 transcript=Brasy1G505300.1 locus=Brasy1G505300 ID=Brasy1G505300.1.v1.1 annot-version=v1.1 MGRKFFVGGNWKCNGTTDQVDKIVKVLNEGQIASTDVVEVVVSPPYVFLPIVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGIPWVILGHSERRSLLGESSEFVGEKVAYALAQGLKVIACVGETLEQREAGQTMDVVAEQTKAIAAKITDWTNVVVAYEPVWAIGTGKVATPAQAQEVHANLRDWLKTNVSPEVAESTRIIYGGSVTGASCRELAAQPDVDGFLVGGASLKPEFIDIINAATVKSA* >Brasy1G129700.1.p pacid=40056953 transcript=Brasy1G129700.1 locus=Brasy1G129700 ID=Brasy1G129700.1.v1.1 annot-version=v1.1 MATAASQASLLLLKQLRDLTKHPVDGFSAGLVDDSNVFEWQVTIIGPPETLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDEFKKKVRRAVRKSQEML* >Brasy1G205800.1.p pacid=40056954 transcript=Brasy1G205800.1 locus=Brasy1G205800 ID=Brasy1G205800.1.v1.1 annot-version=v1.1 MAAAPCRASLLLLLLLLLLCCLLHSVAAQPATTAADERQLLIQIKDAWSKPPALAAWSGSGDHCAWPYVKCDAPSGRVTSLSLANTDIAGPVPDAIGGLSNLTHLDLYNNSIRGAFPASVLYRCASLRHLDLSQNYLAGELPAGIGRDIGQNLTSLILSGNNFSGPIPTSLSRLRNLQRLSLDYNSFAGTVPAELGDLTSLRRLELANNSFAAGELPSSFKKLTGLTTFWAARCNLVGDFPSYVADMPELEMLDLSVNALTGSIPPGIWSLTKLQTLTIFANNLTGDVVVDGAFGAVNLVTVDLSSNHRLSGPIPEGFGRLQSLVTLNLFYNNFSGEIPAGIGRLQSLETLKLFGNRLNGTLPPDLGKMNSSALLSIEFDDNELTGTIPEGLCDNGKFQSLTAKNNSLSGSIPAGLAGCATLVNLQLDNNQLSGEVPEALWTAAKLWYVFLRNNRLSGSLPATMYDNLAILRIENNRFGGNIPAAAVGLREFSAGNNNFSGEMPANFGSGMPLLQSLNLSGNRLSGGMPRSVAKLGSLTQLDLSRNQLTGEIPAELGAMRVLNALDLSSNKLSGDIPPPLARLQLNSLNLSSNQLGGRVPAGLAIAAYDRSFLDNPGLCTAGSQGSGYLAGVRSCSAGSKADASSSGAVSPALRTGLLAAGGALLLLIVAFAFFAVREFKKRKRAAEGGGWKMTPFQTDLGFREENVLRALNEENLVGSGGSGRVYRVAYTNRYNGSAGAVAVKQIRSAGKVDEKLEREFESEAGILGGVRHRNIVRLLCCLSRADSADKLLVYDYMENGSLDVWLHGHARPHAAMAARAMSARREANLDWPTRIRVAVGAAQGLCYMHHECSPPIVHRDVKTSNILLDSELRAKVADFGLARMLVQVGTPDTMSAVAGSFGYMAPECAYTRKVTEKVDVYSFGVVLLELTTGRAANEGGEHGSLAEWARHHYQSGGSIPDATDTRIRYAGCSEEIEAVFRLAVMCTGASPSSRPAMKDVLQILLKCSEQTLQKGKTGHRREHEAAPLLLPQRGSRRKQLSDSSGSDSEEKSDFDSVV* >Brasy1G205800.2.p pacid=40056955 transcript=Brasy1G205800.2 locus=Brasy1G205800 ID=Brasy1G205800.2.v1.1 annot-version=v1.1 MAAAPCRASLLLLLLLLLLCCLLHSVAAQPATTAADERQLLIQIKDAWSKPPALAAWSGSGDHCAWPYVKCDAPSGRVTSLSLANTDIAGPVPDAIGGLSNLTHLDLYNNSIRGAFPASVLYRCASLRHLDLSQNYLAGELPAGIGRDIGQNLTSLILSGNNFSGPIPTSLSRLRNLQRLSLDYNSFAGTVPAELGDLTSLRRLELANNSFAAGELPSSFKKLTGLTTFWAARCNLVGDFPSYVADMPELEMLDLSVNALTGSIPPGIWSLTKLQTLTIFANNLTGDVVVDGAFGAVNLVTVDLSSNHRLSGPIPEGFGRLQSLVTLNLFYNNFSGEIPAGIGRLQSLETLKLFGNRLNGTLPPDLGKMNSSALLSIEFDDNELTGTIPEGLCDNGKFQSLTAKNNSLSGSIPAGLAGCATLVNLQLDNNQLSGEVPEALWTAAKLWYVFLRNNRLSGSLPATMYDNLAILRIENNRFGGNIPAAAVGLREFSAGNNNFSGEMPANFGSGMPLLQSLNLSGNRLSGGMPRSVAKLGSLTQLDLSRNQLTGEIPAELGAMRVLNALDLSSNKLSGDIPPPLARLQLNSLNLSSNQLGGRVPAGLAIAAYDRSFLDNPGLCTAGSQGSGYLAGVRSCSAGSKADASSSGGGGWKMTPFQTDLGFREENVLRALNEENLVGSGGSGRVYRVAYTNRYNGSAGAVAVKQIRSAGKVDEKLEREFESEAGILGGVRHRNIVRLLCCLSRADSADKLLVYDYMENGSLDVWLHGHARPHAAMAARAMSARREANLDWPTRIRVAVGAAQGLCYMHHECSPPIVHRDVKTSNILLDSELRAKVADFGLARMLVQVGTPDTMSAVAGSFGYMAPECAYTRKVTEKVDVYSFGVVLLELTTGRAANEGGEHGSLAEWARHHYQSGGSIPDATDTRIRYAGCSEEIEAVFRLAVMCTGASPSSRPAMKDVLQILLKCSEQTLQKGKTGHRREHEAAPLLLPQRGSRRKQLSDSSGSDSEEKSDFDSVV* >Brasy1G516200.1.p pacid=40056956 transcript=Brasy1G516200.1 locus=Brasy1G516200 ID=Brasy1G516200.1.v1.1 annot-version=v1.1 MCNTGSSPPPSPPQLPPPLTAFKNSSQLLRPKDDVDDDGHLGHAPVLSKVAGEARAIGCLSVPMAVTGLVMYSRSLISMLFLGQLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKVLALALHRTVLLLLAVALPISLLWVTSTGRILRLLGQDEAVSAAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVSRLGMGVAGVALAVALTDLNLLLSLLCFLVISGAHRDSWVGPTADCLRDWAGMLRLAVPTAAAVCLEWWWYELMIVLSGLLANPRAAVASMGILIQATSLVYVFPSSLGQGASTRVSHKLGGGRPAGARRAAGAALAIGLVVGAVASAFMVSVRNHWGRMFTSDSEILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGLVLAFGASLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVSRANELTKSTTTASHAAECNTGSSSVVATSTKTAAIGTTTTSSSSSAVGYVPISEGSNDDALEKLEEGLMGMASSGVGVSSGTNALDNKRSSSGGGCTTTSEGKEQRRGEVPETERAPLIRVGDDEEEHDGDGDGRGGGPGQV* >Brasy1G154700.1.p pacid=40056957 transcript=Brasy1G154700.1 locus=Brasy1G154700 ID=Brasy1G154700.1.v1.1 annot-version=v1.1 MSNRATHWCYACRRPIRLRGQDIICPNCNDGFIQEISEIGDTLNTYGIFGPRLEDRQDRRFGMMEAMSAIMRQQMAEMDSNPVFDIRGTQGASTVPVRRPSIGPRLILGSNMPADTSESSGLNVFVRGGRRTGADRPNFSGFLVSPSLEALFEQLLLQNDSTRHGPPPAPQSAIDSMPVVKINRRHLHDDPHCPVCTDKFEVGSEAREMPCKHLYHATCIIPWLVQHNSCPVCRHPLPPLGGRPSDNATSTLPSTQHHEATTGHGVTGTDLGPVASSDDGGSNSFSFLWPFGSSSPGPSSYQFEGGVGESAVYGDDPSQITYSEWHYDP* >Brasy1G328400.1.p pacid=40056958 transcript=Brasy1G328400.1 locus=Brasy1G328400 ID=Brasy1G328400.1.v1.1 annot-version=v1.1 MHRGFAEVFRLVIVESNIKYVTSLCYYVNSGSNIICEPIGPDDDKKPVSSWDDYKCPPRAGARTAASVVVEFFWGGLGTNTGGSHSRDKDRHMDDDEHDMYGGEEDEVLKTMEISKVITKMMIEYSCKVKI* >Brasy1G315700.1.p pacid=40056959 transcript=Brasy1G315700.1 locus=Brasy1G315700 ID=Brasy1G315700.1.v1.1 annot-version=v1.1 MATASTSTAMRRRRDELGQTLASRRLPEGVAEPGERVPEALAPEVMPFVRAADMIEPANPRVAFLCRKYAYKKVQRMDPSSIQRGVRQFKTYMSVKLDQDDTPVPVNDAKEIQQFYKDYCDYLTRTSTKINFAELARHYQVASALYEVLRDVTNNKVDSEVIKRARVVEEKSGPFKHYKYNIVPLNFPGSSEAVLELPEIKWAIDAISNIDGLPKPHMSSMHREGGKSIRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTPGQEGHPLIDTVNDLWEKIFGNYESWCRYLHVSSRIMIKYEYDDAELKKQQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHNMAKQLNQMVEENYFQPPPGFEEEGSFLKIVIEPIYKVLQKESQKSKGGTAGHSAWRNYDDLNEQFWSEKCFMKLGWPWEDRADFFYQAGHTARKPKTNFVEVRTFLHLFRSFNRMWMFFLLAFQAMLIVSWSSSGSLSGIADATVFRSILSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYILKLLVSIAWTIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMVPNILAALLFLLPQLQNAMERSNWRAVILLMWWIQPRLYVARGMHEDILSIFKYVFFWVVLLTCKLAFSFYVEISPMIEPTKFILNQVVGNYEWHEIFPFMPHNLGVVITIWAPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHAATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSASNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKTIVYSLLLDYNDRRIVEDIDKIVQNSMQNNTLLEDFEMAEIGKVSNTLAKFLQLLKCEPTDVTSERKIVNALQDFMEITTRDFMKDRHGILKDENERKQSFTNLNMNVVKVDSWREQCVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPKVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMINEQADLSGDEPARSMAIADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLARGGISKASKVINLSEDIFAGFNSTLRQGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMGNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELILSLRLLIYQYGIVYHLHIVHENKNFMIYALSWLVIAIVLVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVLLFVGFDLAISDVGASILAFIPTGWFILLAAQLCGPLFRRLVIEPVGVLCCSCCPGGACRGRCCAKFRQRGKAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTKRD* >Brasy1G315700.2.p pacid=40056960 transcript=Brasy1G315700.2 locus=Brasy1G315700 ID=Brasy1G315700.2.v1.1 annot-version=v1.1 MSACLYGGGVTGLDNGGRKYAYKKVQRMDPSSIQRGVRQFKTYMSVKLDQDDTPVPVNDAKEIQQFYKDYCDYLTRTSTKINFAELARHYQVASALYEVLRDVTNNKVDSEVIKRARVVEEKSGPFKHYKYNIVPLNFPGSSEAVLELPEIKWAIDAISNIDGLPKPHMSSMHREGGKSIRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTPGQEGHPLIDTVNDLWEKIFGNYESWCRYLHVSSRIMIKYEYDDAELKKQQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHNMAKQLNQMVEENYFQPPPGFEEEGSFLKIVIEPIYKVLQKESQKSKGGTAGHSAWRNYDDLNEQFWSEKCFMKLGWPWEDRADFFYQAGHTARKPKTNFVEVRTFLHLFRSFNRMWMFFLLAFQAMLIVSWSSSGSLSGIADATVFRSILSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYILKLLVSIAWTIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMVPNILAALLFLLPQLQNAMERSNWRAVILLMWWIQPRLYVARGMHEDILSIFKYVFFWVVLLTCKLAFSFYVEISPMIEPTKFILNQVVGNYEWHEIFPFMPHNLGVVITIWAPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHAATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSASNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKTIVYSLLLDYNDRRIVEDIDKIVQNSMQNNTLLEDFEMAEIGKVSNTLAKFLQLLKCEPTDVTSERKIVNALQDFMEITTRDFMKDRHGILKDENERKQSFTNLNMNVVKVDSWREQCVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPKVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMINEQADLSGDEPARSMAIADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLARGGISKASKVINLSEDIFAGFNSTLRQGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMGNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELILSLRLLIYQYGIVYHLHIVHENKNFMIYALSWLVIAIVLVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVLLFVGFDLAISDVGASILAFIPTGWFILLAAQLCGPLFRRLVIEPVGVLCCSCCPGGACRGRCCAKFRQRGKAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTKRD* >Brasy1G315700.3.p pacid=40056961 transcript=Brasy1G315700.3 locus=Brasy1G315700 ID=Brasy1G315700.3.v1.1 annot-version=v1.1 MLACHCRQRLAIHDRLLIWQMAKQLNQMVEENYFQPPPGFEEEGSFLKIVIEPIYKVLQKESQKSKGGTAGHSAWRNYDDLNEQFWSEKCFMKLGWPWEDRADFFYQAGHTARKPKTNFVEVRTFLHLFRSFNRMWMFFLLAFQAMLIVSWSSSGSLSGIADATVFRSILSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYILKLLVSIAWTIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMVPNILAALLFLLPQLQNAMERSNWRAVILLMWWIQPRLYVARGMHEDILSIFKYVFFWVVLLTCKLAFSFYVEISPMIEPTKFILNQVVGNYEWHEIFPFMPHNLGVVITIWAPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHAATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSASNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKTIVYSLLLDYNDRRIVEDIDKIVQNSMQNNTLLEDFEMAEIGKVSNTLAKFLQLLKCEPTDVTSERKIVNALQDFMEITTRDFMKDRHGILKDENERKQSFTNLNMNVVKVDSWREQCVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPKVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMINEQADLSGDEPARSMAIADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLARGGISKASKVINLSEDIFAGFNSTLRQGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMGNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELILSLRLLIYQYGIVYHLHIVHENKNFMIYALSWLVIAIVLVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVLLFVGFDLAISDVGASILAFIPTGWFILLAAQLCGPLFRRLVIEPVGVLCCSCCPGGACRGRCCAKFRQRGKAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTKRD* >Brasy1G315700.4.p pacid=40056962 transcript=Brasy1G315700.4 locus=Brasy1G315700 ID=Brasy1G315700.4.v1.1 annot-version=v1.1 MWMFFLLAFQAMLIVSWSSSGSLSGIADATVFRSILSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYILKLLVSIAWTIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMVPNILAALLFLLPQLQNAMERSNWRAVILLMWWIQPRLYVARGMHEDILSIFKYVFFWVVLLTCKLAFSFYVEISPMIEPTKFILNQVVGNYEWHEIFPFMPHNLGVVITIWAPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHAATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSASNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKTIVYSLLLDYNDRRIVEDIDKIVQNSMQNNTLLEDFEMAEIGKVSNTLAKFLQLLKCEPTDVTSERKIVNALQDFMEITTRDFMKDRHGILKDENERKQSFTNLNMNVVKVDSWREQCVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPKVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMINEQADLSGDEPARSMAIADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLARGGISKASKVINLSEDIFAGFNSTLRQGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMGNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELILSLRLLIYQYGIVYHLHIVHENKNFMIYALSWLVIAIVLVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVLLFVGFDLAISDVGASILAFIPTGWFILLAAQLCGPLFRRLVIEPVGVLCCSCCPGGACRGRCCAKFRQRGKAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTKRD* >Brasy1G315700.5.p pacid=40056963 transcript=Brasy1G315700.5 locus=Brasy1G315700 ID=Brasy1G315700.5.v1.1 annot-version=v1.1 MWMFFLLAFQAMLIVSWSSSGSLSGIADATVFRSILSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYILKLLVSIAWTIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMVPNILAALLFLLPQLQNAMERSNWRAVILLMWWIQPRLYVARGMHEDILSIFKYVFFWVVLLTCKLAFSFYVEISPMIEPTKFILNQVVGNYEWHEIFPFMPHNLGVVITIWAPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHAATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSASNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKTIVYSLLLDYNDRRIVEDIDKIVQNSMQNNTLLEDFEMAEIGKVSNTLAKFLQLLKCEPTDVTSERKIVNALQDFMEITTRDFMKDRHGILKDENERKQSFTNLNMNVVKVDSWREQCVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPKVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEAVKGCMDDIRIWASYRGQTLARTVRGMMYYRRALELQCYEDMINEQADLSGDEPARSMAIADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGDDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKIRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHLARGGISKASKVINLSEDIFAGFNSTLRQGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMGNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELILSLRLLIYQYGIVYHLHIVHENKNFMIYALSWLVIAIVLVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVLLFVGFDLAISDVGASILAFIPTGWFILLAAQLCGPLFRRLVIEPVGVLCCSCCPGGACRGRCCAKFRQRGKAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTKRD* >Brasy1G268300.1.p pacid=40056964 transcript=Brasy1G268300.1 locus=Brasy1G268300 ID=Brasy1G268300.1.v1.1 annot-version=v1.1 MAPASQQIRPRKPRCPSQPLQSLSPALVTLLLLVPFLYLLLLHHSSCSPVFTHLTANARSSSSLTDFSGDLRDIEFSWNHLPFTPSKPPLAKLKIAVFSRKWPVATAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPPASPDGPQLHFLDGTPGQWRCDEAWKLYEAEGENDPFDVIHSESVAVFHRYALGVPNLVVSWHGISLEALHSGIYQDLARGEDEPMSPQLNQTLSQSVHRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPGRRVHVILNGVDEAQFAPDLELGRAFREEIGVPKSADLVLGVSGRLVKDKGHPLLYEAFSKLAMRHPNVYLLVAGKGPWESRYMDLGRNAKVLGAVPPGKLRAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVKDEFGYMFAPNVESLLERLEAAVEDGARRAAERGRACRDYAKSMFAATKMALAYERLFLCVKNETFCGYPSEFD* >Brasy1G114000.1.p pacid=40056965 transcript=Brasy1G114000.1 locus=Brasy1G114000 ID=Brasy1G114000.1.v1.1 annot-version=v1.1 MLRPLLIAAFLFFLGPALSASKDHKPGHSTEFVRPASDFTQDDCSHVESRSSKIHVDPILAVKVDILSDSYAKFIKDLRAELIGADPAGKVKQRPILAKQTGEDNPPPKWIEVELVGKGGAKPKVAIRSDNVYVFAFSNADGNWYKLTEAKTAVLPDALPLGFEGNYNKLVGGAANLANLKFGKFSTSSAAVILWNHGKKKPYDDAELKRALATICVVLSEAARMAPVYNAVNGGWETPAGGTITAGDIKYYITDWGDLSRQLQKWKGDDYKNDDKWFKKFVPAGIHSGEEALEVVELLLNQTEKLGLLAWLKQHLCGDSW* >Brasy1G331800.1.p pacid=40056966 transcript=Brasy1G331800.1 locus=Brasy1G331800 ID=Brasy1G331800.1.v1.1 annot-version=v1.1 MRGGAGCSRRGAGNVGKKWKGGIIKKAKTGSDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRERVRAAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGGETAPRPSDSDHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPWKDRNGIAYSYNLPVEELQIEPSPELNADDGPVPIDSSCHDANAPGVSYRRAAAPECSGSTSANAIAEIDQLLAKAVELSRLVPTTEDRLSKLSGLFPIGHGPSPDHVKQATEREASVIDCFKTALTYLRKGFADMAANQDLMCAGFEREAVIIEKQIREEATDRVQASTEHVLGQDAAAAEEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSAYIESPPQDYSEETHERSTGDGFGVDSTQVDAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHHDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGAPAADVDKQSSDIPDGDGGVGCTPDFPPSINLSTQDTDKYPVKCFYHTYRIHATSRAKDKTSV* >Brasy1G371000.1.p pacid=40056967 transcript=Brasy1G371000.1 locus=Brasy1G371000 ID=Brasy1G371000.1.v1.1 annot-version=v1.1 MGIVEEAHNLRVVGRGKRGPWEAPGAAPRRRTTASSSSTPWAPARPNPDYFDFARYATLEGYALDLLAILQELGVASCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRY* >Brasy1G201500.1.p pacid=40056968 transcript=Brasy1G201500.1 locus=Brasy1G201500 ID=Brasy1G201500.1.v1.1 annot-version=v1.1 MDEFHEADILWPDTAPSSLMQQQQRGLEVLLVPPEILYDLASSSSSGGAFGRSDQGLFLSSAGAPSMAGASSAAEDEGEDWQEADVLWPETVRALADEPRVGWLRRDFVVARAGRRAKKPGAAAVRIEGWRAAAASSPIDIPAKVAARCR* >Brasy1G473800.1.p pacid=40056969 transcript=Brasy1G473800.1 locus=Brasy1G473800 ID=Brasy1G473800.1.v1.1 annot-version=v1.1 MSKYGTIPTSSSSAAAGVPQFGGASPLDFISRAKARGATALATRRPWRELADLHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWKPVSLIVFLVCMIAWLVLYFLRDEPIVLFGRVVGDGAVLAVLAVVTLGLLLLTGATTNILSSLLIGFVLVVLHAALHKAEDNVDEEVGRWYAPVPPPPSH* >Brasy1G473800.3.p pacid=40056970 transcript=Brasy1G473800.3 locus=Brasy1G473800 ID=Brasy1G473800.3.v1.1 annot-version=v1.1 MSKYGTIPTSSSSAAAGVPQFGGASPLDFISRAKARGATALATRRPWRELADLHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWKPVSLIVFLVCMIAWLVLYFLRDEPIVLFGRVVGDGAVLAVLAVVTLGLLLLTGATTNILSSLLIGFVLVVLHAALHKAEDNVDEEVGRWYAPVPPPPSH* >Brasy1G473800.2.p pacid=40056971 transcript=Brasy1G473800.2 locus=Brasy1G473800 ID=Brasy1G473800.2.v1.1 annot-version=v1.1 MSKYGTIPTSSSSAAAGVPQFGGASPLDFISRAKARGATALATRRPWRELADLHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWKPVSLIVFLVCMIAWLVLYFLRDEPIVLFGRVVGDGAVLAVLAVVTLGLLLLTGATTNILSSLLIGFVLVVLHAALHKAEDNVDEEVGRWYAPVPPPPSH* >Brasy1G151200.1.p pacid=40056972 transcript=Brasy1G151200.1 locus=Brasy1G151200 ID=Brasy1G151200.1.v1.1 annot-version=v1.1 MAGGGGSGSGARPSDKTARAPTIHSTIQSIKEVVGGHSDADIYDALRESNMDPNETAQKLLNQDPFHEVKRKRDKKRESAGHKSVAEAATQVDNSSQRTKPHTQKVGIDQRRAYNQGQTYGPSREFRVVRDNRHGVVENRSELGHKGSTYTQVSDRSGVVVQTDQNRPPATTSEGQIRHQTGKNSHNSSMLQVNREAQATAQRHAKPYKNSQNEHHLPYSDPSYASSNYKAAGGSVGANRREAGVVNAPRQYSGRPGSQLHVSSGTYHANIQRENFASAGPSSRPSAFMSRNAPPNHRHALDTVSRGRSVGRPFVNHNINKYHQVPASNQKAVKEWKPKLTTKSSTNDTDNSRTDAVPPLDNKTESADVLDVNSLCDKTSHANLHEMEHVIIPEHLRVPEYEQTRLRFGSFTPGFDSDQLPALTSPESEQPVQLGEPLPELVVEEDAFGGDDQAGSQLTNSTATAEISLSPSEDSEQMSGQEVEDDDGLGIVQSDTPLGATDDHNIHSTSSLAAFSTYTHEDSNSDAQLYGLVQPSVHQQVLASSSQGYTSVNPEADSVQAFRMPESNVHSQVLPSTSEALSSQLVSSSPIAISSQQQHISQQQQTTQMYPPIHVQHYPNFMPYRHPLYPPVYVPPMAMPNYSTNVPYASNGNNYLQMPSGGSHLTAGQVKYGVSQYKPVPTGNPSGYGNYTHPAGFTISSPGVIGAAVGVDDVNRMKYKDNNIYAQTPQVEASDIWIQTPREMPTLQCPPYYNISGQATPGAYMANPGNASYNATAQSSHAQFAGMYHQQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQPQLGHMNWRQPNF* >Brasy1G151200.2.p pacid=40056973 transcript=Brasy1G151200.2 locus=Brasy1G151200 ID=Brasy1G151200.2.v1.1 annot-version=v1.1 MAGGGGSGSGARPSDKTARAPTIHSTIQSIKEVVGGHSDADIYDALRESNMDPNETAQKLLNQDPFHEVKRKRDKKRESAGHKSVAEAATQVDNSSQRTKPHTQKVGIDQRRAYNQGQTYGPSREFRVVRDNRHGVVENRSELGHKGSTYTQVSDRSGVVVQTDQNRPPATTSEGQIRHQTGKNSHNSSMLQVNREAQATAQRHAKPYKNSQNEHHLPYSDPSYASSNYKAAGGSVGANRREAGVVNAPRQYSGRPGSQLHVSSGTYHANIQRENFASAGPSSRPSAFMSRNAPPNHRHALDTVSRGRSVGRPFVNHNINKYHQVPASNQKVKEWKPKLTTKSSTNDTDNSRTDAVPPLDNKTESADVLDVNSLCDKTSHANLHEMEHVIIPEHLRVPEYEQTRLRFGSFTPGFDSDQLPALTSPESEQPVQLGEPLPELVVEEDAFGGDDQAGSQLTNSTATAEISLSPSEDSEQMSGQEVEDDDGLGIVQSDTPLGATDDHNIHSTSSLAAFSTYTHEDSNSDAQLYGLVQPSVHQQVLASSSQGYTSVNPEADSVQAFRMPESNVHSQVLPSTSEALSSQLVSSSPIAISSQQQHISQQQQTTQMYPPIHVQHYPNFMPYRHPLYPPVYVPPMAMPNYSTNVPYASNGNNYLQMPSGGSHLTAGQVKYGVSQYKPVPTGNPSGYGNYTHPAGFTISSPGVIGAAVGVDDVNRMKYKDNNIYAQTPQVEASDIWIQTPREMPTLQCPPYYNISGQATPGAYMANPGNASYNATAQSSHAQFAGMYHQQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQPQLGHMNWRQPNF* >Brasy1G137000.1.p pacid=40056974 transcript=Brasy1G137000.1 locus=Brasy1G137000 ID=Brasy1G137000.1.v1.1 annot-version=v1.1 MWLPWVKTRSSSPSPSSTCSSTALSAASPRLSFSSPSLKDLQALLHSEPAAPSPSPPPPHTAPCSPSARVFHRVRVAASALRALRTLQAPPAAAEADRRVVLYYTSLHVIRTTYEDCRAVRAILRGLRASVDERDLAMDPLYLKELAALLPRGRRVTLPQVFVGGRHLGGADELRRLHESGELRRVVAGAASLAACGRCGGERYVMCGSCDGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPAV* >Brasy1G136400.1.p pacid=40056975 transcript=Brasy1G136400.1 locus=Brasy1G136400 ID=Brasy1G136400.1.v1.1 annot-version=v1.1 MATSASTSLFAPCALASKLGSGHPSYGPRRRVDARRGRLSVVAVQTGPQKPSPSPAEAESEALQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVEPTWSDNEYSPVDLQSLCFYSAPKTKPKLNSLDEVDPELLKTFDRLGIPLGEQKRLSNVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLIRRYIGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADARSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG* >Brasy1G273700.1.p pacid=40056976 transcript=Brasy1G273700.1 locus=Brasy1G273700 ID=Brasy1G273700.1.v1.1 annot-version=v1.1 MASQHAPTPPPAKLPTAAPTTIHALDEDLLCEVFVRLPSLPSLVRAALTCSTFLHVVRSSPAFRRRFKELHRSPFLGLFTQRRDADIPSFAAHHRRSRDLAAVVRGGDFSLAGLPDPDCVGDNRGVDEDNEYSRDDDNQDDDNEEDESEEEEEDLGQWEKDEAFPSWEIERCCDGYVVLFNRRAELLAAYNPLTRALHLFPLPPHVIPNSFYFEFHIVFSKQDPNSFRVVCFSFGLSISYDFSFGSSRPRVAVISSDSTKWQIFPMTANMGTTGKMVNGSVYWTHPRKPYMTVFNTATLQFSQMELAPLLEDRHGNFVVGNTKDGRLCIVSPDMWGDGSLDDFFGHGRMMVSRSGR* >Brasy1G324600.1.p pacid=40056977 transcript=Brasy1G324600.1 locus=Brasy1G324600 ID=Brasy1G324600.1.v1.1 annot-version=v1.1 MASLASSPLPTTAARFLPALASRRGVRFPRLLSQSPPSVSPLFSSASARFPPSRRAARGRRRDTVRACSQAGAAGPVPLSKTLSDLKDSCWRFLRPHTIRGTALGSTALVARALIENPQLIDWRLVFKAFYGLVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVVSFAVVGFSIVVSNFGPFISSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKFKISTLATKLGVRNIAFLGSGLLLANYVAAIVVPFLIPQAFRSIVMVPFHAALAVALIFQTWVLEQAKYSKDAISQYYRFIWNLFYAEYIFFPLI* >Brasy1G324600.2.p pacid=40056978 transcript=Brasy1G324600.2 locus=Brasy1G324600 ID=Brasy1G324600.2.v1.1 annot-version=v1.1 MASLASSPLPTTAARFLPALASRRGVRFPRLLSQSPPSVSPLFSSASARFPPSRRAARGRRRDTVRACSQAGAAGPVPLSKTLSDLKDSCWRFLRPHTIRGTALGSTALVARALIENPQLIDWRLVFKAFYGLVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVVSFAVVGFSIVVSNFGPFISSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKFKISTLATKLGVRNIAFLGSGLLLANYVAAIVVPFLIPQAFRSIVMVPFHAALAVALIFQTWVLEQAKYSKDAISQYYRFIWNLFYAEYIFFPLI* >Brasy1G553000.1.p pacid=40056979 transcript=Brasy1G553000.1 locus=Brasy1G553000 ID=Brasy1G553000.1.v1.1 annot-version=v1.1 MSPSEWPFYSASVDTAREEMILKYLPRTANRMVIYFDGWNGFGASAVLRSVAEVLQSRTTTPGLCFDRVIFIDCSEWKNRRALQRAIAEELKLDRSVMASLDKQDEDDDFHGVDESSRNEIDSVGKLIHQTLGGTKFIIIFLNGSAAEIDLDSFGIPHLTKFDENMVIWTFNRRCLTMHYPRVVLEKLRYTECFVCWYRQIQELTSSQFEAILHAEAANTVARNPCVLDIDPKTVGDCCLYELFLHYNFHSATKSDWVAHASNYWMCDGIIRGDRPTDITNALHREINWDCDPSLLDDVLNEFMKYLKPAFMAVKDDDVYEEGPYCWISVTSRNTKVHGMQTIPETSSSFFLAFEGFNPPMTLPYELFRYSSKLGVLVLCSCAFSFASPPFRKCHSLRFLGLDHCSDDKTITGEDHTDWLCLYSLWVLDLRYTDWNEILSEEKMDLMKNIRELNIEGIRCWQYASLLQGRLSNLQRLRIIKPTCRSEASKDVDNSFMDKTSMEILDLSGNCEMKSLPISLSKASSLEMLVLDGCDGLENVDAPSQLPPSLKSFSFDGYGPASQWTQTAELPPKQFRPSSRTDNKDIRISMISLEGCTHLENLFLRGLSNLVELDLSGTAIKILDFKTMVVEVPRLKRLFLIGCKHLRAIIWLGDSGFNTEPNLELLCMDTRPATMCQRPSVDKNKSFRLQVHAVIVDARIIRSLWVLLQFYTSEDVYLNIHLTSSPS* >Brasy1G568600.1.p pacid=40056980 transcript=Brasy1G568600.1 locus=Brasy1G568600 ID=Brasy1G568600.1.v1.1 annot-version=v1.1 MDDGVRLDLDKLPIKRLEAIDEAGNEHYPPDTSSEEQRLAAIRRVDFSWVIERDAKKAKKAAEDTAQQAWQWQGLMESLQQAQQELTVVLDLISTVEANDAVAVTTTSKPKSLPNEVLDDMAVSAATKLQRLRHLGRYFKQSAKTMEQQFQKEARFYGSLIRLQQNWKVKRQRFGASGPGSDSFMFDVVDTSQLDTAAMPRMSSMSLVPIDQDSSGTLSVQVPQKSCRFLSLQLRGDNASSVESYACKMKGISSTTSAVENDALENDDVNKSVKHAHSILRNIHKSIFEEQVFDMVIRETFVPSQGINVTGMLEDFLQLAIGQENLLCLSLEHSGLDTDSEMEGLEETTDSEGASNLVLATINGKQVPSKKDASGLNPKSLEIYLLHMFHENILRKVREKYRNIVRYPSPAQAAGDDCDLLGHFCMTVAHRIFSNKVHLELESVVSRVPYLHLQSLPTWHSRTSSWSLCLRVPQPILAADRVTKPLDNDEPKYKSRSQFNTKVILKDGQICLMGEGSPSIAGSLTRKPSDGYLINSYNCDLEDLPTMLLQQVASQVINWLHEEALVLGMNVTRDFLCLYFDLDQGDTLGLVAHVDPDDAYGCISWYLTIDHPAKDGKMSTDNPEFEKRRFLGYLSLEVLYSTLMDLINLCSTGVHH* >Brasy1G130000.1.p pacid=40056981 transcript=Brasy1G130000.1 locus=Brasy1G130000 ID=Brasy1G130000.1.v1.1 annot-version=v1.1 MPYRDVVAATAAIGALTRGSRHRDAVTLFSRVLSEGIPPNEFTFGTVLRSATALRDLRVGTQLHACVAKFGLCSNVFVGSALVDHYAKMGAMAEAQSALDDTHEPNVVSYTALIAGFLKSGMFDDAHRLFQCMPERNVVSWNAMIGGCSQAGLNEEAAGLFLEMCRQGVRPNESTFPCVLTSVANAGALGVGRSVHASAIKLLGKLDVYIGNSLVSCYARCGSLEDSVLAFRKMKQKNLVTWNALICGYAQNGRGQEALGAYETMRAMGLKADNVTLLGLLFGCNHAGLVDEGYSLFKTAQVEQPGILKPEHYACVVDLLSRAKRFDDAKKFLQELPFEPGIGFWKSMIGGCQIHWNKDLAESVAERIQALDPKDTSSYILLSNVYSAAGSWQSVSMIRRQIKEKGLKRITGCSWIEVQNDVHVFFNGDSRHTQCNEIYMMLEACLGTHEDEHCLV* >Brasy1G321100.1.p pacid=40056982 transcript=Brasy1G321100.1 locus=Brasy1G321100 ID=Brasy1G321100.1.v1.1 annot-version=v1.1 MDQPPPSGPSPQVAALIPRFFWPANFALEGFDWLDDVICQC* >Brasy1G555500.1.p pacid=40056983 transcript=Brasy1G555500.1 locus=Brasy1G555500 ID=Brasy1G555500.1.v1.1 annot-version=v1.1 MPALLLVICYAALLAAAVSGASPPPQAPGQNCPTKCGDVDILYPFGIGPGCSLPGFNLTCDTTTSPPSLLTGNVKVVNITLETAQMVAYTFLTYTCSFPVSKNESIRTTKDMALKLDSPLVLSPADNVFTAVGCSSIAVLQGRGRGRGRSRHYNRSEYVTGCITSCGSVNDTGEDGTPCRGHGCCEAPLTPGLSQVSLTWNKGFRRVTDNWCQYAFIAAKGWYKYSKKDLIGNKTFADKLGPSNVIPVVLDWAIRNGSCPSTPSGGDMESVPYGACISTHSNCVNASSGTLGYFCNCSRGYAGNPYILNGCTNINECESKDLFPCSGGTCLDEIGDYECRCHFGRRGDGKSPNGCEAIISTTAVAVIGTISAMALLAVLVIFLHTKREKRKLRDHFNKNGGQLLKSIKIEIFTKEKLDHVTENYRYIVGKGAFGEVYKGTIGDNAHVAVKRSIAINEDRQKDFANEITIQSKISHRNLVQLLGCCLETKVPMLVYEFVPRGSLYDVLHRKRDALPLQTRLDIAINSADALAYMHSQASENVVLHGDVKSGNILLDDEFVPKVSDFGTSRLMSIDKDHTNWVIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLEIITRKKARYDGNNSLPINYVKASMDGKTKEMYDAEIVASGLEEDVKCLEEVGLVAIQCLADEVNERPTMTEVREKLKMCKYQWLQSYGQASGICP* >Brasy1G515000.1.p pacid=40056984 transcript=Brasy1G515000.1 locus=Brasy1G515000 ID=Brasy1G515000.1.v1.1 annot-version=v1.1 MQLPLLLFLLAMASPAPALARRHAPPDTTTETLDVAASLSLARAAVVPTADQLLHHSSLATATASEAFNGDLTGKNNRLTLHLHSRDFLPSSSMAPRHATYKSLIHARLRRDSARAAAISARASLSSEGLTISSAGGLIPSDQTPIFSASAASAAALLQGPVVSGVGQGSGEYFSRIGIGSPARQLYMVLDTGSDVTWLQCAPCADCYAQSDPLFDPALSSSYAAVPCDSPRCRALDASACHNNANNGNSSCVYEVAYGDGSYTVGDFATETLTLGNDGSAAVHDVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATEFSYCLVDRDSPSASTLQFGTSDNSGSSTVTAPLMRSPRSNTFYYVAMTGISVGGETLSDIPPAAFAMDEQGSGGVIVDSGTAVTRLQSSAYAALREAFVRGTQALPRAAGVSLFDTCYDLAGRSSVQVPAVSLRFEGGGELKLPAKNYLIPVDGAGTYCLAFAATGGAVSIVGNVQQQGIRVSFDTAKNTVGFTPNKC* >Brasy1G297100.1.p pacid=40056985 transcript=Brasy1G297100.1 locus=Brasy1G297100 ID=Brasy1G297100.1.v1.1 annot-version=v1.1 MDAKSRVSSLLFLAVLLLSCNGMTRAARYLEEKVPKEEVPKMPDLPHPVVPEVPKKPEEPHPVVPELPKPELPHPVVPEVPKMPEVPHSSIPEVPKPEIPHLVVPEVPKAPEVPHLTVPEVPKLPEVPHLTAPEAPKVPEVPHPTLPGVPKMPELPHPVMPEVPKVSEVPHPAVPEVPKMSEVPHPTVPEVPKASELPHPVAPEVPKMPEVPHPIVPELPKMPEVPHVTMPEVPKMPEVPHMPMPEIPHQTIPEVPKHELPPVPKVEVPPKPEGVPHYPKPEAKP* >Brasy1G523900.1.p pacid=40056986 transcript=Brasy1G523900.1 locus=Brasy1G523900 ID=Brasy1G523900.1.v1.1 annot-version=v1.1 MVEVPVIDLRLAGAAPEESARLRDACERLGCFRVCGHGVPAALQADMKAALRALFDLPDDAKRRNTEVVIAGSGYVVPSAANPLYEAFGLWDAAAPADVDAFCACLDAPPHAREAVKSYAEKMHELIVDVAGKVAASLGVESHPFHFQEWPCQFRMNRYNYTEATVGSPGVQIHTDSSFLTVLQEDDCVGGLEVLLDPAAGEFVPVHPLPGSFLVNIGDIGTAWSNGRLHNVKHRVRCVAAVPRISIAMFLLAPKDDRVCAPEAFVDADHPRRFKPFNYGDYRKLRLSTGEHAGEALARMSA* >Brasy1G434500.1.p pacid=40056987 transcript=Brasy1G434500.1 locus=Brasy1G434500 ID=Brasy1G434500.1.v1.1 annot-version=v1.1 MHERSASDVVEGQTTTESEPVAQSPPALSAAERIRAPASQRVLLPVYLVEGHHTPRQRCRHQPRRLLNAIAASWSTKAIEGPRKTAPRQLLSPDLENQIKVFHPQREPVPQEAWSRSSGPAAGAAERSRKRSIGRGGQSKPPARFAPPKLHGQLRTGRGGASWWPGRRRMVTRAGSRPGATDLRLWISEEQCPERWRGKPSADGPWRPGAEEGPAAACP* >Brasy1G262300.1.p pacid=40056988 transcript=Brasy1G262300.1 locus=Brasy1G262300 ID=Brasy1G262300.1.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLQRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G262300.2.p pacid=40056989 transcript=Brasy1G262300.2 locus=Brasy1G262300 ID=Brasy1G262300.2.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLQRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G262300.5.p pacid=40056990 transcript=Brasy1G262300.5 locus=Brasy1G262300 ID=Brasy1G262300.5.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLQRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G262300.6.p pacid=40056991 transcript=Brasy1G262300.6 locus=Brasy1G262300 ID=Brasy1G262300.6.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLQRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G262300.4.p pacid=40056992 transcript=Brasy1G262300.4 locus=Brasy1G262300 ID=Brasy1G262300.4.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLQRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G262300.3.p pacid=40056993 transcript=Brasy1G262300.3 locus=Brasy1G262300 ID=Brasy1G262300.3.v1.1 annot-version=v1.1 MAYRMACTPQVIDLESDRGHPHVLSDSSNRNVNELSDQGGQHAVRVVGNAWNVGSSDMRGYYNMNMNHPHQPVHNSLPNLGVDSGFVFPSSMYNPCMSTSMNRYVSHPQNFGLPLNQVGPGSMDESSRNENVIEAAGGFIKRKNVAVAGSCHFVNGFGSSSSSSHASQNPTHMQWDPSFESNGLPNVTSFNPSEYHSHSPWASVEGSSITATNGFSSVSAHPESAQHGNYAFPSSHASPCFQPASNTWVSQAANGIAEGVPQWAYFNAMTSVPGRYVHLGPTEIANGGFHEYQNGPSSICQGPLPYFHQHAVHTMLAHNPLDHTRMQVPYQQCHNNGVLHTGANHPGNRLHLGPRSPVLISNSERTFGPPQHPFLANPVNHRNIRVLPPLRGTIMDFSRLHEVSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLNTSLYVPGTSCMSDQSSESSMQGDACIICQEEYRSEDCIGILDCGHRYHAECIKQWLTVKNLCPICKTTALSAGRRSG* >Brasy1G431200.1.p pacid=40056994 transcript=Brasy1G431200.1 locus=Brasy1G431200 ID=Brasy1G431200.1.v1.1 annot-version=v1.1 MPSPLVRPAGQMKTELPPPPPPQQWAILPRVPQVSRIDLSREDFSLRLAAPSDLSELSISSTIAEVSFSAGFIPFVLAADPSGLLLVSDAYGRATDPLSGGERPAYFVWDAANVVTHRLLAHEEAVNHSGNVGFIVTPTKHGRYHDFTVAELLPVAVGESVTILCYDSLSCLWEKKTLPFTMPHYPWSSANVFSHDRKLCKRRCVNLSDGKLRFVEMAGSARKQWVIAMWTLLDAEAYNWNLD* >Brasy1G188500.1.p pacid=40056995 transcript=Brasy1G188500.1 locus=Brasy1G188500 ID=Brasy1G188500.1.v1.1 annot-version=v1.1 MASSADPPPKKRKLVEAQTPSPLSTLLTRPPPPLCPVPLPTPPPPQTLAAAAHSSPPPPPQSPPPSSEEIVRKRRNQEDLRKLFECYRRIRLCVERKDARLMPELEQVYLALITASRGCTSVQHILANLIPQYASYCPTALEAAAKVSINMYKWNLAIVTTGDDVDGVAYRTCRACSIGLVDICSTASSEAPKSAVITGICSAVYMSVLTFLVSTFDGKDIYHIGSRRLSKLQDPVELLDILKEESGGRNQPAHDCLFELRALSFLCIFLLFPRNLLEACFVLIASAEIDHVKRGLYCLNQLTCHLNNGTSNDALDNNADEASQCIDMEIDMDMSDTEEIADSKPSDVSGVSGSSMAESNECYITMAISRHPSLRGWIVRRYKKLCDSCRSAVLSEVSSCLKVLGSLSELDEDKSHMDCELSVLEKLDKSAGEKTDSIDSYGGKSVQMVHSDDVKTEKLADAKTGGCKGGTAVQVARPDLYVASVSSDVISVSKELWVGSLGNNAAEALVRSKFEEFGPLTKFLFYPSKDFALVEYGNIMHAVQAVGYMQGSSIWGGGLQMRYLDRLIGSKGFVGGIAVGESCHIYVAKVKNQKEKDDVFDELKSAGLKRPCGFIDISSENALLLEFETSVDAAVAKAHIRRQADSNVCSQDNDTSAYQLFVQNMDKSIPDSEFINAFSRFGEISKWKFNRLDGNCFIDYKLHSAARCAKSQMHGARFGLKSISVESRACSAGSVHDKTLSPVIPMSAQSASDSSSYHETRNPRVSGYHAGYTVQGDRPIFGPPPPNAKQLWLYKEPESNRTPQGILPCPPVSTHRASVIPPPPPIQTSFVRPVYPGPGSPWENTTPIPPFSRVSPRMMPGNNFRINPPAPLPFIPSSITPLTQLPGGSAQHPEKMPPPMPNVASPSFTPLDIQPPPRSPPPLPISRPPSVPPPPNSPPVHPATDPSNSQKPDSHPQWQGSLTKSGLNYCKIYASRIELDACRYENAVSEPAEWPSRLDVTKRTHIQHVKTIFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKEKEYAGVIKIPPVKPRLSRLLFILPPTSSVFGMLGVAPHPAECLIAVILLKEATSEVS* >Brasy1G188500.2.p pacid=40056996 transcript=Brasy1G188500.2 locus=Brasy1G188500 ID=Brasy1G188500.2.v1.1 annot-version=v1.1 MASSADPPPKKRKLVEAQTPSPLSTLLTRPPPPLCPVPLPTPPPPQTLAAAAHSSPPPPPQSPPPSSEEIVRKRRNQEDLRKLFECYRRIRLCVERKDARLMPELEQVYLALITASRGCTSVQHILANLIPQYASYCPTALEAAAKVSINMYKWNLAIVTTGDDVDGVAYRTCRACSIGLVDICSTASSEAPKSAVITGICSAVYMSVLTFLVSTFDGKDIYHIGSRRLSKLQDPVELLDILKEESGGRNQPAHDCLFELRALSFLCIFLLFPRNLLEACFVLIASAEIDHVKRGLYCLNQLTCHLNNGTSNDALDNNADEASQCIDMEIDMDMSDTEEIADSKPSDVSGVSGSSMAESNECYITMAISRHPSLRGWIVRRYKKLCDSCRSAVLSEVSSCLKVLGSLSELDEDKSHMDCELSVLEKLDKSAGEKTDSIDSYGGKSVQMVHSDDVKTEKLADAKTGGCKGGTAVQVARPDLYVASVSSDVISVSKELWVGSLGNNAAEALVRSKFEEFGPLTKFLFYPSKDFALVEYGNIMHAVQAVGYMQGSSIWGGGLQMRYLDRLIGSKGFVGGIAVGESCHIYVAKVKNQKEKDDVFDELKSAGLKRPCGFIDISSENALLLEFETSVDAAVAKAHIRRQADSNVCSQDNDTSAYQLFVQNMDKSIPDSEFINAFSRFGEISKWKFNRLDGNCFIDYKLHSAARCAKSQMHGARFGLKSISVESRACSAGSVHDKTLSPVIPMSAQSASDSSSYHETRNPRVSGYHAGYTVQGDRPIFGPPPPNAKQLWLYKEPESNRTPQGILPCPPVSTHRASVIPPPPPIQTSFVRPVYPGPGSPWENTTPIPPFSRVSPRMMPGNNFRINPPAPLPFIPSSITPLTQLPGGSAQHPEKMPPPMPNVASPSFTPLDIQPPPRSPPPLPISRPPSVPPPPNSPPVHPATDPSNSQKPDSHPQWQGSLTKSGLNYCKIYASRIELDACRYENAVSEPAEWPSRLDVTKRTHIQHVKTIFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKEKEYAGVIKIPPVKPRLSRLLFILPPTSSVFGMLGVAPHPAECLIAVILLKEATSEVS* >Brasy1G188500.3.p pacid=40056997 transcript=Brasy1G188500.3 locus=Brasy1G188500 ID=Brasy1G188500.3.v1.1 annot-version=v1.1 MASSADPPPKKRKLVEAQTPSPLSTLLTRPPPPLCPVPLPTPPPPQTLAAAAHSSPPPPPQSPPPSSEEIVRKRRNQEDLRKLFECYRRIRLCVERKDARLMPELEQVYLALITASRGCTSVQHILANLIPQYASYCPTALEAAAKVSINMYKWNLAIVTTGDDVDGVAYRTCRACSIGLVDICSTASSEAPKSAVITGICSAVYMSVLTFLVSTFDGKDIYHIGSRRLSKLQDPVELLDILKEESGGRNQPAHDCLFELRALSFLCIFLLFPRNLLEACFVLIASAEIDHVKRGLYCLNQLTCHLNNGTSNDALDNNADEASQCIDMEIDMDMSDTEEIADSKPSDVSGVSGSSMAESNECYITMAISRHPSLRGWIVRRYKKLCDSCRSAVLSEVSSCLKVLGSLSELDEDKSHMDCELSVLEKLDKSAGEKTDSIDSYGGKSVQMVHSDDVKTEKLADAKTGGCKGGTAVQVARPDLYVASVSSDVISVSKELWVGSLGNNAAEALVRSKFEEFGPLTKFLFYPSKDFALVEYGNIMHAVQAVGYMQGSSIWGGGLQMRYLDRLIGSKGFVGGIAVGESCHIYVAKVKNQKEKDDVFDELKSAGLKRPCGFIDISSENALLLEFETSVDAAVAKAHIRRQADSNVCSQDNDTSAYQLFVQNMDKSIPDSEFINAFSRFGEISKWKFNRLDGNCFIDYKLHSAARCAKSQMHGARFGLKSISVESRACSAGSVHDKTLSPVIPMSAQSASDSSSYHETRNPRVSGYHAGYTVQGDRPIFGPPPPNAKQLWLYKEPESNRTPQGILPCPPVSTHRASVIPPPPPIQTSFVRPVYPGPGSPWENTTPIPPFSRVSPRMMPGNNFRINPPAPLPFIPSSITPLTQLPGGSAQHPEKMPPPMPNVASPSFTPLDIQPPPRSPPPLPISRPPSVPPPPNSPPVHPATDPSNSQKPDSHPQWQGSLTKSGLNYCKIYASRIELDACRYENAVSEPAEWPSRLDVTKRTHIQHVKTIFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKEKEYAGVIKIPPVKPRLSRLLFILPPTSSVFGMLGVAPHPAECLIAVILLKEATSEVS* >Brasy1G070700.1.p pacid=40056998 transcript=Brasy1G070700.1 locus=Brasy1G070700 ID=Brasy1G070700.1.v1.1 annot-version=v1.1 MAAVFFHHVVGDLTVGKPEIAELHDADTLATAARAIAASPEGAVPVWRAREAPDEPPSGARFVGMISAVDIAAFIATAADGDRAMRDAAVGEVVQPNPELLREVDPGTRLIDALELMRNGVKRLLVRKNGSYTGLTKRFSMLYDGKWIKNSDSASASSPCSSSMQLCSPVTVSSVDRFCCLAREDILRFLIGCLGALAPIPLSRICTLGAINPNYCHVEASAPAMEAIQKIPRDPCGVAVVETMPDGVRKIIGDISAYKLWKCDYVAAAWALANLSAGQFVIGADENGSTPISAFLEVPVSSSIVEEAEPGRSPRLKRFSSRSIGFLNSQANQARSMYRGRSAPLTCRNTSSLAAVMAQMLSHRATHVWVTDADAEEDGVLVGVVGYIDILGAVTKNV* >Brasy1G387100.1.p pacid=40056999 transcript=Brasy1G387100.1 locus=Brasy1G387100 ID=Brasy1G387100.1.v1.1 annot-version=v1.1 MDTATMEEEYYGVASWVVSGVAFWSTAFLALRALLPKRSYDFCNRAVSTMHAVAGVGLGCLSVQDWASPVSPVASPSSPRQMRALAVTLSYMIYDAACCHLSGDARLDNALHHLISIVGLAAGLLYRRCGTELVACLLVTEISGPLLHLREMLKELGIKDTDLNLLVDILFAVTFSVARMVGGTYVTYRTVTADNPILIKTMATSLLLVSAYWFLRILRMVRHKLGKRRPAKLAAKAK* >Brasy1G035500.1.p pacid=40057000 transcript=Brasy1G035500.1 locus=Brasy1G035500 ID=Brasy1G035500.1.v1.1 annot-version=v1.1 MGSATCAGAASVGVCYGMSGNNLPPASTVVGMLRDNGFTSVRLYAPDAAALAALAGTGIGVLVGAPNDVVPSLSTSASFAAAWVRDNIEAHPYVSFKYLAVGNEVSGSDTQHLVPAMENVHAALAAAGLGDGRVQVTTAISQATIAVHTPPSAGAFAEDAKPFLLPVLQFLARTGAPLLANLYPYFAYTYRAAGDIDVSFALFTGDQGTVVWDGEYAYRNMFDATVDAVHAAMEKLLGGEESSGGVNLVVSETGWPSAGGEAASVENARTYNQNLVEHVLKGTPRRPWKVETYLFAMFNENLKEGGVEQNWGLFYPSTDRVYPIDFGA* >Brasy1G034300.1.p pacid=40057001 transcript=Brasy1G034300.1 locus=Brasy1G034300 ID=Brasy1G034300.1.v1.1 annot-version=v1.1 MFPWYATAPPGTTSATTTTCKPGAGATAAWLDPVVENLNTWSFRIAVIISLSSHLYLVAFADIRRRHSYGLLRGILWLAYQLTEWAPGYVLGNLYLAGRTPRELITAFWVPFLLLNVRPDNIGAYSPEDNQISGRKLLSVGLQSLGAIYVLVKHVITGTAGTLRPASIIMYLYGFAKYLEDPLALWLGDLKSIRKSSEDERQLPRPRSFCIGPDLDYEQARLVAHDLLQFLKGAFSDFAVGKDALEDYVRIKISSYGWKDMYKVVQMELQLIYDLMYTRAAVVYTWHGYAIHLASPSLTAAALVLFAFHCKEGHDRADLIITYILLITTLLLDVMWLAIALGSTWTFAYLKDRPGCWFHHEVNRGGGWFKLRRIVLSLDPMRLFAQEPSSYRKCQDTIRRECRMLAMARTDHETKPNFFSRLVKMVASEDVWKAWQYRHLDGKKVSEEVKGFVFSRIWGSLRSAYLTAGPMNTPATDAGWPPRRRALDDALQLGIEVQEATLSWHAATDMFMSCSKISKQHLSYVTAIKALSEYVMYLAVVRPEMLPGAKVNSLCQATQDTLRDIRSKKHSGRGDYESQDKELLEILFKMNRTPRDKQPHKRSPGSSEEISFKVQKNPCNRNCHGMGENPCDWTSHYHCHGMRENPSNCSCQCHGIGENPCNWSCQCHGMEENPYNGSCPCHGMDMEENPCNCWNNDETGDTNCPSKNGFDEEDPRDHDHENNLYELSSILSDGTSYAEVLMHAAEGTPDWRKYLAKMGPKSKDIVCAVDAGVI* >Brasy1G034000.1.p pacid=40057002 transcript=Brasy1G034000.1 locus=Brasy1G034000 ID=Brasy1G034000.1.v1.1 annot-version=v1.1 MSSSSLPDEVLARASAVCRDWRRVLSDPGFLRGRPAVTMGFFHNFGESTRRFEPVHAGLHGPASFSFDVHGLRTPWTFLDCRHGRVLLRHGAQNRFLVWHPMTGHRSLVLARELNAAHGAPREDDRFSAALLCGCHDDDVDQGVKRAAAACHASPFRIAALFNHRQSGSLRGSVFSSQTGRWIPTTAELPPTCVVRHVPMGLQSANQSVQNSTHSSA* >Brasy1G280100.1.p pacid=40057003 transcript=Brasy1G280100.1 locus=Brasy1G280100 ID=Brasy1G280100.1.v1.1 annot-version=v1.1 MTSSMAEEDLQSVLEQMLQLRLDSPQDSDDSGVTAHPDGAADQVQAQSAAPGAADNPSVEWAEIIVNEMMGATSLDDGRSRAARILEAFGASVIGSRAAKAMGDKDRELGAALRQNTILKRAVIVQHRRQLEGEGKTAELQGMVAEYREKVRQLEISNYALSMHVRNAGPGSSVPGPYHPEVF* >Brasy1G341300.1.p pacid=40057004 transcript=Brasy1G341300.1 locus=Brasy1G341300 ID=Brasy1G341300.1.v1.1 annot-version=v1.1 MVRAGGGNAETGDGARRRRRGRPGRRNSTSASLLTRDLREPPSGTSQADDATPKSVGPPGSGRIHRDRTSLPLPGSDPTASAWIRRDHLTAASARVCRDWTSPLLPRSSMPFGPRRSEVSHVSRDLAAPEVVVAVAAAAQRGGLRGTDAGGGVCGGVRHGRRDRERGNHGRDCAWREKSGWWEKEIR* >Brasy1G432300.1.p pacid=40057005 transcript=Brasy1G432300.1 locus=Brasy1G432300 ID=Brasy1G432300.1.v1.1 annot-version=v1.1 MAAVSGVRPQDHQPPAQAATIAAVNDDNNDEGPSVELAFAGQQPPPWWRQVTARSVATSVVLGAVLSFMSMRIGLTAGVGPSFNIVASLLGFFAVKSWTRLLARCGVASQPFTRQENVVLQTCIISCATLSFYGMSMPCSNATGTGPCKHKQTNIDRNRSICGFTTYLLAMTETVAKSAGGTGTGKDVYTLHTGKIIAFLSLVSFASLFCTLPLRKLMILDYKLMYPTGSAIAGIVNSFHTPAGAATAKLQVLAMSKAMVGSFMWAFFQWFYTGGSGCGFQDFPMFGLKAYKQKFYFDFSASLVGVGMICPVLINFSMLFGSIITSFIMWPTLQSKKGQWYTDPSPTNFKGINGYKVPMGIAMVLGDCLFQLGAITIRAANHFHKNRQEQKLSGTSIPDDMNSDEQTSLSYDDRRRNKIFLNEGMPDHVAIAGYILFAAISTIFVPCIFPQIGYYHVAFLYTVAPILAFCNSYASGLCDWSLASVYAKLAIFIVGAWVGEASGGAIAGLAACGVMLMIIGNAAELMHDFKTGYLTLTSPLSMFISQAIGTLLGCLINPLVFMSFQKLVGKEHLGEAGSVFSAPLATAYRGLAVLSVEGIKILPKHSIKFCVAFFFVAFCLDCLTALAKAKKWKVKNLIPNAMALAIPFLIGPNIAIDMAMGSLLLVIWKKADKKNANTLAVVVASGLICGDGLWALPSAILSIFQIEPPICMKFLSSYQTEEIQEHFLPKLATSSQ* >Brasy1G432300.2.p pacid=40057006 transcript=Brasy1G432300.2 locus=Brasy1G432300 ID=Brasy1G432300.2.v1.1 annot-version=v1.1 MAAVSGVRPQDHQPPAQAATIAAVNDDNNDEGPSVELAFAGQQPPPWWRQVTARSVATSVVLGAVLSFMSMRIGLTAGVGPSFNIVASLLGFFAVKSWTRLLARCGVASQPFTRQENVVLQTCIISCATLSFYGGFTTYLLAMTETVAKSAGGTGTGKDVYTLHTGKIIAFLSLVSFASLFCTLPLRKLMILDYKLMYPTGSAIAGIVNSFHTPAGAATAKLQVLAMSKAMVGSFMWAFFQWFYTGGSGCGFQDFPMFGLKAYKQKFYFDFSASLVGVGMICPVLINFSMLFGSIITSFIMWPTLQSKKGQWYTDPSPTNFKGINGYKVPMGIAMVLGDCLFQLGAITIRAANHFHKNRQEQKLSGTSIPDDMNSDEQTSLSYDDRRRNKIFLNEGMPDHVAIAGYILFAAISTIFVPCIFPQIGYYHVAFLYTVAPILAFCNSYASGLCDWSLASVYAKLAIFIVGAWVGEASGGAIAGLAACGVMLMIIGNAAELMHDFKTGYLTLTSPLSMFISQAIGTLLGCLINPLVFMSFQKLVGKEHLGEAGSVFSAPLATAYRGLAVLSVEGIKILPKHSIKFCVAFFFVAFCLDCLTALAKAKKWKVKNLIPNAMALAIPFLIGPNIAIDMAMGSLLLVIWKKADKKNANTLAVVVASGLICGDGLWALPSAILSIFQIEPPICMKFLSSYQTEEIQEHFLPKLATSSQ* >Brasy1G075300.1.p pacid=40057007 transcript=Brasy1G075300.1 locus=Brasy1G075300 ID=Brasy1G075300.1.v1.1 annot-version=v1.1 MSLPGRKASAGAGGIRRWLSTVVVSALALVLILVVISLSVGSSLPGSSLHEYLTIRAKDPKGNDTAMGVPLPGEEFQGGKEPLVDHSAKSGALNSSEASLNTTEVDEMVPDPVAVDNKVDPVDVENEVQDPVATDDTTPKLDEGTIPELSDSSNEFQRADQGTCDLYRGEWVFDSSGPLYTNNSCPLITQMQNCQGNGRPDKDYESWRWKPEQCILPRLDAKKFLELMRGKTVAFVGDSVARNQMESLLCILWQVETPINRGSRKMSRWVFRSTSTTILRIWSSWLVHRSIEAVGFAPKGLDKVFLDIADETFMDFVPTFDVLVLSSGHWFAKRSAYILDGNVVGGQLWWPRQAGKMQINNIDAFGVSVETCLTAVATNPNFTGIAVLRTYSPDHYEGGAWNTGGSCTGKVKPLDQVVRNGYTDTMYGKQVAGFRKAVQNSGEHSSKLKLMDITEPFALRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLFETIRREFEGDGS* >Brasy1G283100.1.p pacid=40057008 transcript=Brasy1G283100.1 locus=Brasy1G283100 ID=Brasy1G283100.1.v1.1 annot-version=v1.1 MGFFVHSKLDRWSPLSKRGKSGTRCENKKKSGNPLSRATQSNEEEREEDPGGTATTVIYQPPELIFVDATAVKEKEGPKRWRPALHGLMMCASHHHVVSLMLLSMRGDCEG* >Brasy1G473400.1.p pacid=40057009 transcript=Brasy1G473400.1 locus=Brasy1G473400 ID=Brasy1G473400.1.v1.1 annot-version=v1.1 MSRFDGRAADQGSYHDRRSEGAFGGGTRAFAPPSRADADAAAAAAELDGLPRFEKNFYVEVPSVAGMTADEVEAYRRRREITVDGHDVPKPVCDFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQETTKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAIRQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGATGTAYTFFTAANARFAKDLINILVEAGQKVSPELANMGRGAPPPSLGYRDRYRGHGGGRSWS* >Brasy1G127000.1.p pacid=40057010 transcript=Brasy1G127000.1 locus=Brasy1G127000 ID=Brasy1G127000.1.v1.1 annot-version=v1.1 MQPCTRPGPYIHRHAHNCLHLMDSTSTTSTMASNGGDACFWCHTCTRLHRTRAGEAAEAVAGCPICETPRSASSSEQIIDVVHSGTFLHGCHPATAPPPAAHACPEPLPLVTIRDAGLSCPICLDELLERAAVETPCCKNAYHNDCLAPWLEAGGTCPLCRQAGPATTAPSYSSSPDGLILCDLRRNGDFVGNMP* >Brasy1G542900.1.p pacid=40057011 transcript=Brasy1G542900.1 locus=Brasy1G542900 ID=Brasy1G542900.1.v1.1 annot-version=v1.1 MADERTICSLEQMKSLFAEATAVPVRASGGTVEILCVDELRHAGEMAALMDGLIHLGWEFKGGVVLRRLARADSLLASIADGPLNNFCENPHAPNLSREVRRQGWKLSNASRIHGHRARAPGVPVPRLPILSRLGNSLRRLARYTYTRMKTVEYLKRRCRLLIDNDIPTSRASKVVLTYPTLPLPLGATTVSGSSASTSTGSSSSTSGSSTGSLSSCNSNCSDCLEGRPHRTPYHMMTCSSSSPSTDPSSGSSAAADF* >Brasy1G520200.1.p pacid=40057012 transcript=Brasy1G520200.1 locus=Brasy1G520200 ID=Brasy1G520200.1.v1.1 annot-version=v1.1 MLVESSPHGLSPIHEVTITLLVLLLLASFLRLPRPASAACSPKACGDLTVDYPFWLEDGGGWPRCGSPSFQFQLSCNGGQAFFSHSIYTQVVRVFVENSSFVAVDHNLLVPPAGCPQRWFNISDSIGLGPYTISKKNRELLVLYNCTRQQPTPEGFLPTPCLNGSFYHVGGEYGSHREQGGLPPACQLSVVPFLGFMDQDAYLGSMRQGFLLEWKLASGDCPKCTASGGQCRYGNNGTGFSCDCSGALYPEKCGELTKLTACPPNLPAQFVVLSTCMLVFP* >Brasy1G329200.1.p pacid=40057013 transcript=Brasy1G329200.1 locus=Brasy1G329200 ID=Brasy1G329200.1.v1.1 annot-version=v1.1 MSNPQGKKGMRSSGAGAPPRSAAGQGGQGQGGMKFARRTASGRYLSLSREDIDMEGELAAEYGNYTVHIPPTPDNQPGMADNDPSSVAMKAEEQYVSSSLFTGGFNSVTRAHLMDRVIDSEVTHPQMAGARASRCAMPACDGRAMRDERGDEIDPCECRFKICRDCYLDAQKDGCVCPGCKEHYKIGEYADEDPGDGANRLHLPAPGSHNSNKSLLARNQNGEFDHNRWLFESSGTYGYGNAYMPKGGMYDDDLDEDGLGGGGGDGGLPDLNQKPFKPLTRKMPMPASIISPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPINRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRNPDSYFNIKGDPTKGKRRSDFVKDRRKVKQEYDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLRPPSPDLLYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAVREAMCFMMDRGGERICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTSEYTGWLFKKKKVTMFRADPESDTQSLKTEDFDAELTAQLVPRRFGNSSAMLASIPVAEFQARPIADHPAVLHGRPPGSLTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYWISKRDAFLGTAPINMTDRLHQVHYPTFLSQPAINDHEQQNCLLRGHHSYDQVLRWATGSVEIFFSRNNAFLASRKLMFLQRVAYLNVGIYPFTSIFLLTYCFIPALSLFSGFFIVQTLNVAFLFYLLTITITLIALGVLEVKWSGIELEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKATAEDNEDIYADLYVVKWSSLLIPPITIGMVNIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITVSLLWVAISPPDANSSGGVRGGSGFQFP* >Brasy1G382100.1.p pacid=40057014 transcript=Brasy1G382100.1 locus=Brasy1G382100 ID=Brasy1G382100.1.v1.1 annot-version=v1.1 MSDDAPGGGGSGSGGGAAEPLLKRARYHPNCPGCRVDRRKEESEGFPFTELSCMWLVTICSTLPIQSLFPFLYFMIRDLRIAKEEEDIGFYAGFVGASYMCGRALSSVIWGVVADKYGRKPVLVITLFSVIIFNTLFGLSSSYWMALATRGLLGLFSGMLGPIKAYATEVSRKEHSHLALSLISSSRGIGLIVGPAIGGYLAQPADKYPGIFSQNSIFGRFPYFLPCLCISLLAIAALIACFWLPETLHKHKDTISDNSVEAAEESLSDPNAEENCGGCLSLFTNGPLISAITVYCIFSLQDMAYAEVFSLWAVSDRKYGGLSFSSQDVGSILATSGLFLLIYQILIFPSVAKSIEPIALVRTIAILTIPLLSSYSFMPALSGFFLQLVVNCASFLKNAFSVTTITVFNILMNDAVSQDLRASANGLSVTLMSIFKAIAPAIAGVIFSWAQRRQTAPFLPGDHLVFFMLNAVTVVGITLTFRPFFARSGTKH* >Brasy1G382100.3.p pacid=40057015 transcript=Brasy1G382100.3 locus=Brasy1G382100 ID=Brasy1G382100.3.v1.1 annot-version=v1.1 MIRDLRIAKEEEDIGFYAGFVGASYMCGRALSSVIWGVVADKYGRKPVLVITLFSVIIFNTLFGLSSSYWMALATRGLLGLFSGMLGPIKAYATEVSRKEHSHLALSLISSSRGIGLIVGPAIGGYLAQPADKYPGIFSQNSIFGRFPYFLPCLCISLLAIAALIACFWLPETLHKHKDTISDNSVEAAEESLSDPNAEENCGGCLSLFTNGPLISAITVYCIFSLQDMAYAEVFSLWAVSDRKYGGLSFSSQDVGSILATSGLFLLIYQILIFPSVAKSIEPIALVRTIAILTIPLLSSYSFMPALSGFFLQLVVNCASFLKNAFSVTTITVFNILMNDAVSQDLRASANGLSVTLMSIFKAIAPAIAGVIFSWAQRRQTAPFLPGDHLVFFMLNAVTVVGITLTFRPFFARSGTKH* >Brasy1G382100.2.p pacid=40057016 transcript=Brasy1G382100.2 locus=Brasy1G382100 ID=Brasy1G382100.2.v1.1 annot-version=v1.1 MIRDLRIAKEEEDIGFYAGFVGASYMCGRALSSVIWGVVADKYGRKPVLVITLFSVIIFNTLFGLSSSYWMALATRGLLGLFSGMLGPIKAYATEVSRKEHSHLALSLISSSRGIGLIVGPAIGGYLAQPADKYPGIFSQNSIFGRFPYFLPCLCISLLAIAALIACFWLPETLHKHKDTISDNSVEAAEESLSDPNAEENCGGCLSLFTNGPLISAITVYCIFSLQDMAYAEVFSLWAVSDRKYGGLSFSSQDVGSILATSGLFLLIYQILIFPSVAKSIEPIALVRTIAILTIPLLSSYSFMPALSGFFLQLVVNCASFLKNAFSVTTITVFNILMNDAVSQDLRASANGLSVTLMSIFKAIAPAIAGVIFSWAQRRQTAPFLPGDHLVFFMLNAVTVVGITLTFRPFFARSGTKH* >Brasy1G511000.1.p pacid=40057017 transcript=Brasy1G511000.1 locus=Brasy1G511000 ID=Brasy1G511000.1.v1.1 annot-version=v1.1 MPRPPSIVSFRPDALAPSPRPVFPEWVLLNKTGLISVDRNETTAECTTSEGQPVAVSFWLVDAPDVSSFSVDCPGIPEKDLHSHPPFIICAEGPFVLFCVVLDVPSWSSFHLFLYTASEEPSLQLLPEPADHVVEDFENQYFAILPSCGDHHRDYAVAFLEWEWQSADIAYPQYYAYVFSSKKRSWTRKKVLLNLHKSEKALFVDAHDITKQMAVGSTSLAWVDLKRGVILLSNVFDQQPVIKYIPFPASRVRIPDDDSRTPSIAVEYVCDVICCKDLIKFVEIECDECRSNGSTWKAIIWSKKVSWDNWRKLYTVDVDDISIDSSYAALLPELLEKNTDQLKLKNLISLVPTLSMQDDNLLYMMSKVKFEEGTSWIIAVDMEHVAVKAVAPVSTKTSCTFPMYFPCSFPKHLNYMTPEADVADPFVLLSPLQITAPTVTAEGSCQIGGLEKPGYTKGYNLHEEKEGADMVNPAIVPQVPQQKKRRRGVRRRRRRRRRIQRRFWMTDFGCISISSSAY* >Brasy1G069300.1.p pacid=40057018 transcript=Brasy1G069300.1 locus=Brasy1G069300 ID=Brasy1G069300.1.v1.1 annot-version=v1.1 MAKSGTEEWRRNADTHKMSPEEVRAAGVEASMRPPGRAGGGGGPGEVLHQRGGRLPYGPGTMALLGFGIVGVIGYAVLYQKARPGTPATEVAKVAVGHGDPAVARRDASAAEKRQDAPPPPPRQGK* >Brasy1G236000.1.p pacid=40057019 transcript=Brasy1G236000.1 locus=Brasy1G236000 ID=Brasy1G236000.1.v1.1 annot-version=v1.1 MGGMESGPDPRRWSTNYMQAALTGFLSFFFLLLPRAVGRLVVGGGVSGLVWLPRRGKDLVRAPPACGWAQPLSTRADCSAQAGCQGGQFNEKLPAVRCARAAEHHVPTQAEQMQFQSKLSKRVAVSCHRGSQKQLEKHARRRGRSLFHRSLEIFSWLANGSIRSESDS* >Brasy1G267200.1.p pacid=40057020 transcript=Brasy1G267200.1 locus=Brasy1G267200 ID=Brasy1G267200.1.v1.1 annot-version=v1.1 MSTETTSKRDHEASLRELLAGGDKQLMTVARSARRRRLELRRLGRTASVAAEDEGAKRVRPAGPLDSCSSDSAKVAPEPQLPVCVSHGAVSVIGRRREMEDAVAVAAPFLGVVEGKEEGRDREEGFFAVYDGHGGSRVAEACRERMHVVLAEEVQRLRLRGIQQQRGSGSGGDEEDVIAGWKEAMAACFARVDGEVGIEDEAETGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVPVPLSDDHKPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVIDRTDKDEFLVLASDGLWDVVSNEVVCKIARNCLSGRAASKYPESVSGSTAADAAALLVELAMSRGSKDNISVVVVELRRLRSRTAAVIKENRRY* >Brasy1G267200.2.p pacid=40057021 transcript=Brasy1G267200.2 locus=Brasy1G267200 ID=Brasy1G267200.2.v1.1 annot-version=v1.1 MSTETTSKRDHEASLRELLAGGDKQLMTVARSARRRRLELRRLGRTASVAAEDEGAKRVRPAGPLDSCSSDSAKVAPEPQLPVCVSHGAVSVIGRRREMEDAVAVAAPFLGVVEGKEEGRDREEGFFAVYDGHGGSRVAEACRERMHVVLAEEVQRLRLRGIQQQRGSGSGGDEEDVIAGWKEAMAACFARVDGEVGIEDEAETGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVPVPLSDDHKCQAVFLSASCQRRVLLAGCLDTTACFVEQEGSSVHRLTKLGEVT* >Brasy1G158800.1.p pacid=40057022 transcript=Brasy1G158800.1 locus=Brasy1G158800 ID=Brasy1G158800.1.v1.1 annot-version=v1.1 MVGDPEMEEAVPGSGGGIRSVLPLGSLISPSGTEVQLPELEGKIIGLYFAANWYPKCEAFTPALAAAYQQLRERGAGFEVVFVSCDEDRPSFERFHRGMPWPAVPFGDLRCKKSLSEAFQVEGIPRLVVLAPGGAEVMCSDAVELVHRYGDPAFPFTPARVAELEADEQSKFASQTLEKLFSVSYVNGSNQQVPISSLVGKTVGLYFSAHRCAPCVKFTARLAAIYGNLKGKAEEFEIVYIPMDKEEEGYLRSCGDMPWLALPYDAGGASSRALARYFDVREIPTLVVIGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYAPSLRHTGHRHELSIVSGKSGGGPYICCECDEQGSGWAYQCIACGYEIHLRCGRDVESGSAGAG* >Brasy1G527700.1.p pacid=40057023 transcript=Brasy1G527700.1 locus=Brasy1G527700 ID=Brasy1G527700.1.v1.1 annot-version=v1.1 MGAAALGTGLGGSGARDGTGAAALGTGTGEAALGTGTGEAVLGTGTGAMALETGMGGGDAQDGHGRRRRRLPSRRFFRPDMCASSSSSANSSAIDLSRGSSGEPGMMEPLGSEEVGGGSAGEGTRGGAGDGVGGGDAWDGVAGAGQRQGCRWSRGEEDAAGLVKCVFLGNAKVGDLLGSRLGFGAWRLCVAWTTWRRCRQGRRLAAPGAHRDGAQRWWRA* >Brasy1G030000.1.p pacid=40057024 transcript=Brasy1G030000.1 locus=Brasy1G030000 ID=Brasy1G030000.1.v1.1 annot-version=v1.1 MDPSSQQTTGIHAINTSMPIAHHPHCHTAAVLARRRSLTLSTAALRRAPLPLRPAAPVFRNIGVAGSPCLSAPCLDKNVSLLLAAVGLALVAAEAGSSSLSLRSSPQ* >Brasy1G087500.1.p pacid=40057025 transcript=Brasy1G087500.1 locus=Brasy1G087500 ID=Brasy1G087500.1.v1.1 annot-version=v1.1 MEKTRRGRQLLLSLCFLCLAGALLAAAAAAPSAGRKRRRAGFDHYVLALQWPGTVCRQTNHCCSSNGCCRSNPLNWFTIHGLWPQYSYGGWPSCCRPVTTFNMNKIAMLTPILEKYWPSLYCGDSSTCFGGRGSFWVHEWETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIYPRNGRKYEVAHIVAAIDHAFGRLPHLVCKNGSVQELRLCFHKDYQPLDCGSEAEEAWPSRRSHCPRYVTLPSYKPQAMANGTEGLRRRSSRAESDAEPRAYGRRL* >Brasy1G417400.1.p pacid=40057026 transcript=Brasy1G417400.1 locus=Brasy1G417400 ID=Brasy1G417400.1.v1.1 annot-version=v1.1 MGLRDVRDLHVGPWAVVGDFNLIVNPEDKSNPRLHRGMMGRFRRVLSDLELKELYLAGRRFTWTNERSLPTLERLDRVFSSADWELLLPNAYLSAFSSATSDHCPLILDLAADLQVGRRFRFETFWPKVPGFLQVVQEAWLADERWIANPFQRVDSRLRRTARKLRSWSDSFIGNIKLQILVATEVIFRLDVAMEARALSVEERQLRLLLKKKLLGLCSLERTIARQRSRL* >Brasy1G247300.1.p pacid=40057027 transcript=Brasy1G247300.1 locus=Brasy1G247300 ID=Brasy1G247300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIAFGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G362200.1.p pacid=40057028 transcript=Brasy1G362200.1 locus=Brasy1G362200 ID=Brasy1G362200.1.v1.1 annot-version=v1.1 MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGTKILNDRMSTQNYKSVKQTVKRLEEAAVSSRGDERVQVLRRWLRALQEIEAALGGLNGAVPQNVPSSEPNTSKSPLARVLFYDADIGGSPMNFRDVFLYSQALEGITLSMILEAPNEAEVSLLLEIFGLCLTGGKESNNAIMSSIQDLAKSFSVYHDEVLVKREELLQFTQSAISGLKRNADVVRIDAEATELWKKLDEKEALRVQSTQGPEKVSENTALAIVESFKEALAEVRFCSRMEDLLMKKKIISAGDSPDVHSQKVDKLKVLASSLANSSSKAEKRILDHRRQKEEALNFRAKKENEVCAVEKELTAEISELEKQRDELEAQLKKVNISLNSAVGRLKVTREERDQFDEANNQMIFSLKAKENELSKTIASCNVEAGVVKTWINFLEDTWQLQSSYDEQKEKKTNDELERCTGNFMKLTKFHLSTFKEVLNPSIERIHTYVDNLAVLNSRDESTELEDEEISEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGASSRKDDPEIRNLFSEIEKLREAFESVERPTLDIEVRRAKVPTKERSESSSSPVQAPSTPKATPVDSPKSPVKSKQTPDPDLDLADLELEFGKSNRYSPEDISGWEFDELEEELRADISKSSNTK* >Brasy1G102700.1.p pacid=40057029 transcript=Brasy1G102700.1 locus=Brasy1G102700 ID=Brasy1G102700.1.v1.1 annot-version=v1.1 MMDGELGEDLLTEVLVRLPHKSLARFQCVSTTWRALISGDYLRRRLPLITSGVLFHDGPPRPGKQAYTYACASPSSSAQDGVEGGGGGGGGVEETDMGFFPCHATSSIIDGCNGLLLYYAAHPAPAAFHVVNPTTRRWAALPAPRQRTLLSVLAFDPCASQHYKVVCFARWLPRGACVEVFDSESGAWRELELDFGIDTDAMSATMHCSGSAIHVLAYSGHVVRVDLAGAAMACAVTALPAPVSYRARAGHCRGRLRYASSDGARLSLWELRDAEGSQSQWALKHELGVRDLVPCDANGTCQSNTTFLFMAFHPEKEVVYLWTPGKLIAFDLEQRCVVGESVFGSDREGAQLVQIWLFPFSRHLASCLA* >Brasy1G240300.1.p pacid=40057030 transcript=Brasy1G240300.1 locus=Brasy1G240300 ID=Brasy1G240300.1.v1.1 annot-version=v1.1 MAGIRLSPEEPEVPHGTPPRPQLPPAVAGAGVAAGGGGCGGLEMASDDERSVAADSWSVRSDYGSTLDDDQRYADAADVLAAAAAAANFPSAASDYCSDKDDQDSGEVEGSMLGLQSYWDASYSEDLANFQEHGLAGEIWFGTDVMDTVAVWTKKLCASITQSGTSSDNDNIKCEVSEDFVNYPVLDLGTGNGLLLQALAKQGFSDLTGTDYSEGAIELARNLAARDGFTAINFLVDDVLETKLDRKFKIITDKGTLDAIGLHPDGRAKRVIYWESVSNLVEPGGLVVITSCNHTKEELLQEVEDFSKRIFGKEDVDEGAGNVPQIFQYIDHVQTYPTIMFGGVEGSQVCTVAFQRA* >Brasy1G240300.2.p pacid=40057031 transcript=Brasy1G240300.2 locus=Brasy1G240300 ID=Brasy1G240300.2.v1.1 annot-version=v1.1 MAGIRLSPEEPEVPHGTPPRPQLPPAVAGAGVAAGGGGCGGLEMASDDERSVAADSWSVRSDYGSTLDDDQRYADAADVLAAAAAAANFPSAASDYCSDKDDQDSGEVEGSMLGLQSYWDASYSEDLANFQEHGLAGEIWFGTDVMDTVAVWTKKLCASITQSGTSSDNDNIKCEVSEDFVNYPVLDLGTGNGLLLQALAKQGFSDLTGTDYSEGAIELARNLAARDGFTAINFLVDDVLETKLDRKFKIITDKGTLDAIGLHPDGRAKRS* >Brasy1G460400.1.p pacid=40057032 transcript=Brasy1G460400.1 locus=Brasy1G460400 ID=Brasy1G460400.1.v1.1 annot-version=v1.1 MARGADPDYIDSLLLMDGFDMGIRFDGFGENMKKFMELPIKYLDSAHDKAVGLVEDIQAMIYAPFPDDELRCEGQDPSSNSVVNGSSTTSVEVEQVNSNEELSSSSSSLITAEDSSLSTADNDPHETESVSSKNPDSSASEDTISLERTVGTKEEYMLGNSENLSDSCAPKDTISPRTVSGENEVVLCNSENLSDSCAPEDTILLGKTVSSEDEIILWNPGSSVNPPQPHEQATIFQDYVSQEAKPDKVMDQVGLHSSGHSDKLFRTSFQSFLFSRGWSESSGCNGEILLGRISADGKEKSEIYSTDSPEKSRKHGNIMDLCGGTISHHLRADASSDANDPNMFVDEATYFVDIDLRDGEEQMKNNKAEASSVPQPKNASFKKIVIRSLSNKLRWSKKTSPIRPQNAANVHYEVISSSEDLEDDWELL* >Brasy1G460400.2.p pacid=40057033 transcript=Brasy1G460400.2 locus=Brasy1G460400 ID=Brasy1G460400.2.v1.1 annot-version=v1.1 MARGADPDYIDSLLLMDGFDMGIRFDGFGENMKKFMELPIKYLDSAHDKAVGLVEDIQAMIYAPFPDDELRCEGQDPSSNSVVNGSSTTSVEVEQVNSNEELSSSSSSLITAEDSSLSTADNDPHETESVSSKNPDSSASEDTISLERTVGTKEEYMLGNSENLSDSCAPKDTISPRTVSGENEVVLCNSENLSDSCAPEDTILLGKTVSSEDEIILWNPGSSVNPPQPHEQATIFQDYVSQEAKPDKVMDQVGLHSSGHSDKLFRTSFQSFLFSRGWSESSGCNGEILLGRISADGKEKSEIYSTDSPEKSRKHGNIMDLCGGTISHHLRADASSDANDPNMFVDEATYFVDIDLRDGEEQMKNNKAEASSVPQPKNASFKKIVIRSLSNKLRWSKKTSPIRPQNAANVHYEVISSSEDLEDDWELL* >Brasy1G460400.3.p pacid=40057034 transcript=Brasy1G460400.3 locus=Brasy1G460400 ID=Brasy1G460400.3.v1.1 annot-version=v1.1 MARGADPDYIDSLLLMDGFDMGIRFDGFGENMKKFMELPIKYLDSAHDKAVGLVEDIQAMIYAPFPDDELRCEGQDPSSNSVVNGSSTTSVEVEQVNSNEELSSSSSSLITAEDSSLSTADNDPHETESVSSKNPDSSASEDTISLERTVGTKEEYMLGNSENLSDSCAPKDTISPRTVSGENEVVLCNSENLSDSCAPEDTILLGKTVSSEDEIILWNPGSSVNPPQPHEQATIFQDYVSQEAKPDKVMDQVGLHSSGHSDKLFRTSFQSFLFSRGWSESSGCNGEILLGRISADGKEKSEIYSTDSPEKSRKHDLRDGEEQMKNNKAEASSVPQPKNASFKKIVIRSLSNKLRWSKKTSPIRPQNAANVHYEVISSSEDLEDDWELL* >Brasy1G460400.4.p pacid=40057035 transcript=Brasy1G460400.4 locus=Brasy1G460400 ID=Brasy1G460400.4.v1.1 annot-version=v1.1 MARGADPDYIDSLLLMDGFDMGIRFDGFGENMKKFMELPIKYLDSAHDKAVGLVEDIQAMIYAPFPDDELRCEGQDPSSNSVVNGSSTTSVEVEQVNSNEELSSSSSSLITAEDSSLSTADNDPHETESVSSKNPDSSASEDTISLERTVGTKEEYMLGNSENLSDSCAPKDTISPRTVSGENEVVLCNSENLSDSCAPEDTILLGKTVSSEDEIILWNPGSSVNPPQPHEQATIFQDYVSQEAKPDKVMDQVGLHSSGHSDKLFRTSFQSFLFSRGWSESSGCNGEILLGRISADGKEKSEIYSTDSPEKSRKHDLRDGEEQMKNNKAEASSVPQPKNASFKKIVIRSLSNKLRWSKKTSPIRPQNAANVHYEVISSSEDLEDDWELL* >Brasy1G133500.1.p pacid=40057036 transcript=Brasy1G133500.1 locus=Brasy1G133500 ID=Brasy1G133500.1.v1.1 annot-version=v1.1 MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS* >Brasy1G219000.1.p pacid=40057037 transcript=Brasy1G219000.1 locus=Brasy1G219000 ID=Brasy1G219000.1.v1.1 annot-version=v1.1 MGRMLGLDKVLDCFSLALCANTCVCIHSVEDEDEENEGRALVSAQLDELVKLKDFAGGAKTLAFHLEPKTVELRVSMHCHGCARKVQKHISKMEGVLSFEVDLENKKVVVTGDITPYEVLESVSKVTKFAELLVAPKSSPAPSR* >Brasy1G038000.1.p pacid=40057038 transcript=Brasy1G038000.1 locus=Brasy1G038000 ID=Brasy1G038000.1.v1.1 annot-version=v1.1 MLLTYVDALPNGNEEGIYYAIDLGGTNFRVLRVEVGMGSVISQKVEDHTIPEELMGSSEDLFNFVALALKNFIEREDGKDDKRALGFTFSFPVRQNSVSSGSLIRWTKGFSVGDTVGKDVAQCLNDALAMCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTSYDISLDDETQNRNDQGFEKMISGMYLGEIVRLVLHRIAEESDVFGDAAHSLSTPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPSAPLKTRRLVVKICDIVTRRAARLSAAGIVGILKKLGRDGSSGPASSSGRTRDQAKKTVVTIEGSLYQGYPVFREYLDEALVEILGEEVARTVMLRVTEDGSGSGAALLAAVNSSNRQQQQGGSI* >Brasy1G095600.1.p pacid=40057039 transcript=Brasy1G095600.1 locus=Brasy1G095600 ID=Brasy1G095600.1.v1.1 annot-version=v1.1 MIETEGNTENIFVDCVCSISSIAISFIFFTQPIFCYCLAPVRHYRTREKFVLAAYQFCYWPVFSLQFLPFPETSHPGVFGASLALSLSD* >Brasy1G091900.1.p pacid=40057040 transcript=Brasy1G091900.1 locus=Brasy1G091900 ID=Brasy1G091900.1.v1.1 annot-version=v1.1 MGSVTGPSKPSLRVAAFCGSLRNGSWHRGLIRAAEELCEESIPGLLIDYVDISGLPMCNPDLETDGGYGFPPDVEAFRARVRDADCFLFASPEYNYSLTSTLKNALDWASRGGNCWGDKAAAIVTAGGDFGGGRAAFHLRQVGVFLDIHFINKPELYVRAYEQPPKFDDDGNLTDAKVRDRLRQVLLSLQAFALRLHK* >Brasy1G552000.1.p pacid=40057041 transcript=Brasy1G552000.1 locus=Brasy1G552000 ID=Brasy1G552000.1.v1.1 annot-version=v1.1 MERSSSSSSSGAPAAVAILAIICCSLTCSVAIQVHPDGHRQGASPPPPRASPGHGPQPRPCPGCPLPSPHRKTLRQQQIHTIN* >Brasy1G194000.1.p pacid=40057042 transcript=Brasy1G194000.1 locus=Brasy1G194000 ID=Brasy1G194000.1.v1.1 annot-version=v1.1 MAAIHRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKVPDEVKEERRLGMTHRESTTGIILDYGLPLTVEEYSEAIYPLYMKRWQRANPLPGVKRLLKHLHKNGVPLALASNSIRRNIDHKILKLEELKDCFSVVLGGDQVPHGKPSPDIFLEAAKRLGVHPSSCLVIEDSLVGAQGAKASGAKVVAVPSHQSQRQCYSSADLILYSLLDFHPEMWGLPPFDDRIQGALPMEPLLSNAQIGDVILNNTHMIVAGEHTYDSIPDQISGIFFGWAKLEAYGVSKVVVSIGWDLSLRNVERAMHICFLDSRCKAKTAEPLELLLIGYIRMLQSTENISQALSITDEDKATAGDALDLLAFSEYAKASSTPKLIDKKHDGGYNVSSSKMCKNCWERRKSCRNKVASH* >Brasy1G194000.4.p pacid=40057043 transcript=Brasy1G194000.4 locus=Brasy1G194000 ID=Brasy1G194000.4.v1.1 annot-version=v1.1 MAAIHRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKVPDEVKEERRLGMTHRESTTGIILDYGLPLTVEEYSEAIYPLYMKRWQRANPLPGVKRLLKHLHKNGVPLALASNSIRRNIDHKILKLEELKDCFSVVLGGDQVPHGKPSPDIFLEAAKRLGVHPSSCLVIEDSLVGAQGAKASGAKVVAVPSHQSQRQCYSSADLILYSLLDFHPEMWGLPPFDDRIQGALPMEPLLSNAQIGEHTYDSIPDQISGIFFGWAKLEAYGVSKVVVSIGWDLSLRNVERAMHICFLDSRCKAKTAEPLELLLIGYIRMLQSTENISQALSITDEDKATAGDALDLLAFSEYAKASSTPKLIDKKHDGGYNVSSSKMCKNCWERRKSCRNKVASH* >Brasy1G194000.2.p pacid=40057044 transcript=Brasy1G194000.2 locus=Brasy1G194000 ID=Brasy1G194000.2.v1.1 annot-version=v1.1 MAAIHRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKVPDEVKEERRLGMTHRESTTGIILDYGLPLTVEEYSEAIYPLYMKRWQRANPLPGVKRLLKHLHKNGVPLALASNSIRRNIDHKILKLEELKDCFSVVLGGDQVPHGKPSPDIFLEAAKRLGVHPSSCLVIEDSLVGAQGAKASGAKVVAVPSHQSQRQCYSSADLILYSLLDFHPEMWGLPPFDDRIQGALPMEPLLSNAQIGDVILNNTHMIVAGEHTYDSIPDQISGIFFGWAKLEAYGVSKVVVSIGWDLSLRNVERAMHICFLDSRCKAKTAEPLELLLIGYIRMLQSTENISQALSITDEDKATAGDALDLLAFSEYAKARNALDFLALSEYAEPRHGRLLA* >Brasy1G194000.3.p pacid=40057045 transcript=Brasy1G194000.3 locus=Brasy1G194000 ID=Brasy1G194000.3.v1.1 annot-version=v1.1 MAAIHRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKVPDEVKEERRLGMTHRESTTGIILDYGLPLTVEEYSEAIYPLYMKRWQRANPLPGVKRLLKHLHKNGVPLALASNSIRRNIDHKILKLEELKDCFSVVLGGDQVPHGKPSPDIFLEAAKRLGVHPSSCLVIEDSLVGAQGAKASGAKVVAVPSHQSQRQCYSSADLILYSLLDFHPEMWGLPPFDDRIQGALPMEPLLSNAQIGEHTYDSIPDQISGIFFGWAKLEAYGVSKVVVSIGWDLSLRNVERAMHICFLDSRCKAKTAEPLELLLIGYIRMLQSTENISQALSITDEDKATAGDALDLLAFSEYAKARNALDFLALSEYAEPRHGRLLA* >Brasy1G211000.1.p pacid=40057046 transcript=Brasy1G211000.1 locus=Brasy1G211000 ID=Brasy1G211000.1.v1.1 annot-version=v1.1 MTAAAWVLLAAVGFEVILFFLAYAFRSPTKASAPSSHGVDNPFGDKSRCDQPGEYKHSIHLAYLEYFLAVCMLGLVLSIHLIKQVVDADAEVEKQSIEVTKETATHAASIAHEKAVAAKHAFQAAEKDITDARTEKNQRDAAFLHAMTVGSQSSSEVRAAAAAAVAAAVALEKIATVALQLEIASYDAQKLFPTAQRRFLQLMAILVNLLSLWCSILGIITFTDALDYKIGCKDAFDSPTVFLAIIILGFITLFFHGRMIYLASWED* >Brasy1G004000.1.p pacid=40057047 transcript=Brasy1G004000.1 locus=Brasy1G004000 ID=Brasy1G004000.1.v1.1 annot-version=v1.1 MKQTSKQQQQQLGKTKKMAIEAAGKKKEEYSSGVVRRKGPWTEQEDMKLVWFVRLFGERRWDFLAKVSGLNRSGKSCRLRWVNYLHPDLKRGRMSPDEERLVVELHGKWGNRWSRIARSMPGRTDNEIKNYWRTHTRKLKHKHQHQHQHQQEEEEEETKVATSASPSTSTSAATSPSSMSSSSDGAHGEVVQEAASKEDEQQQLLYAGMDDGQLLWNDDGIDAYASWSGGLGATSSMMMVPSSPVWQWDDHYCCSTDSLWGHDEAFEYKKMLHSSS* >Brasy1G214900.1.p pacid=40057048 transcript=Brasy1G214900.1 locus=Brasy1G214900 ID=Brasy1G214900.1.v1.1 annot-version=v1.1 MKLCIAAAAVDGDDVKKPKAPPPVARRSYRSVVVTGLLAGVLLFRAALLAIEAGASLCPSTTGCLGWRTGFADWLYGGDGDATEEFMREWKRSHTEASLLDPVVVEAAPDSLDGLMAEMDTILASYDRLDMEAVVVKIMAMLLKMDRKVKSSRIKTLFNRHLASLGIPKSMHCLALRLAEEFSVNSEARSPVPLPEHAPRLTDASYLHVCLVTDNVLAAAVAVASAVGSSADPSRLVFHVVTDKKSYVPMHSWFALHPAPPAVVEVKGLHQFDWRDGDAVDSVMRTIDEVQRSSLDYHHCECDGSVAREYRRLEASKPSTFSLLNYLRIHLPEFFPELGRVILLDDDVVVRKDLAGLWEQDLGGHVMGAVGAPGAADGGICIERTLGEHLNFSDAAVTSMAPSLGLHGSQCAWSWGVNIVDLQAWRRTNVTKTYRSWLQKNRESGFRLWKMASLPPALLAFHGRVRAIEPLWHLPDLGWHMPDAQLLQASAVLHFSGPRKPWLEVAFPELRDLWLGHLNVSDGFLRGCSVVE* >Brasy1G429800.1.p pacid=40057049 transcript=Brasy1G429800.1 locus=Brasy1G429800 ID=Brasy1G429800.1.v1.1 annot-version=v1.1 MGGETVGNERIAGEIDICGGAARTRRKTSDGARGLGRSAAVAALARGGRQATWLGRRGARQRDGPGQGQAKQRKGKNAYLPMDTRPGCIYPMGPSLSPPSSSLKKNLNRSTHVNRGHPSNSRPFPVLFPVWQGAAAEGLTPDRVGAGEAGAGAKVQSTGAAGARRRRDSRRGEGQRTTARRRRRLMGGCQIRCSALA* >Brasy1G577300.1.p pacid=40057050 transcript=Brasy1G577300.1 locus=Brasy1G577300 ID=Brasy1G577300.1.v1.1 annot-version=v1.1 MAEIVILLAIKKVGIALANGAADQASALFSKYTRQLVELQGSMGRVARELHILHDVLCQMDIRNRNNQVYEGWLEGVRKVAHVMEDNVDEYLYLVGREHDIGCCFYLKTGFRKPRSLLSLNQIASNVKEIEKDLTHLSEMKNRWVPMINDGDTSSTNYIIKRSQDLANISRSLDEEDLVGVDKNREKLEKWLSGDDLGCSVIALLGMGGLGKTALAANVYKKEREKFQCYAWVSISQTYSIENILRNIIEELFKDKVGIPYNVASMDITCLEETLKRFLVVLMPNDKGSRLIITTREGDVAALASQGHILTLEGLPDDNAWDLFSRKAFSGETSHECPAELKPWSEEIVSKCKGLPLAIVSIGSLLCVREKTIQEWRRINNQLGWELLNNSRLDHIRNVLNLSFIYLPTHLKSCFLYCSLFPEDYLFRRKKLARLWIAEGFIEERGVSTLEEVAEGYLKELVDRNMLQLVERNSFGRMKRFRMHDILRELAIDLCQRDCFGFMYEEDKCGGSLEIDGRRLVVHKVKKYIPELFSTVHRLRTFITLDNSTTSFTLLPQLSNKSRYMTVLELSGLPIEKIPDAIGDLFNLRHFGLRDSKVKLLPKSVEKLLNLLTLDVCSSEIEELPGGIVKLKKLRHLFAEKDNDPTWRNFQCSSGVCIPNGLGSLTNLQTLQSLEAQDESIGKLGELRQLRSLRIWNVKGFYCECLSESLVQMRFLSYLSVNASNEDEVLLLSVLPPNLQKLSLRGQLVEGALDESPLFQAVAEQQNLYSLGLYWSQLREDPLPSLSRLSNLTKLLFTRAYNGEQLAFLTGWFPKLKSLHLTDLPNLKRLEIQQGAMATLEEFVLTNLSSMTEVPDGLEFLMHLQYVGFVEITDEFLTSLSDCSRLDGMRWWYSPRNSTAGSRWGN* >Brasy1G297500.1.p pacid=40057051 transcript=Brasy1G297500.1 locus=Brasy1G297500 ID=Brasy1G297500.1.v1.1 annot-version=v1.1 MAFKIATMTTLAAFLVALLLLSRGGTGVDAARRLEEAPLDVPKPDLPAPLPTPDVLPKPDLPAPRPEPGVLPKPDVPAPRPEPGVLPKPDLPAPLPQPDVLPKPELPPPLPTPDAPPKPDLPPPLPTPDVPPKPELPPPLPTPDVPPKPDLPPPLPTPDVPPKPELPPPLPTPDVPPKPELPPPLPTPDVPPKPELPPPLPTPDVPPKPELPPPLPTPDVPPKPELPPPLPTPDMLPPPLPTPDALPKPELLPPLPAPEVLPKPELPPKTEEPPKPELLPPLPAPEVLPKPELPPKTEEPPKPELPPLPTGDLPPKPEPELPPKPELPPLLTPGELPPKPDLPKVEQPAIPDVRDPEPEPAEPKP* >Brasy1G539800.1.p pacid=40057052 transcript=Brasy1G539800.1 locus=Brasy1G539800 ID=Brasy1G539800.1.v1.1 annot-version=v1.1 MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPADEAKVGFLWDLEGADERLQLVRADLLVEGSFDDAVSGVDGVFHTASPVVVSYDDGEDAQAKLVDPIVLGAANVLRSCARATPAPPRRIVFTSSCSCVRYSSHHPPSLNETHWSDTGYCQSYGLWYAYAKTVAEKEAWRLVKQHGLDLVVVNPSFVVGPVLVPAPTSTALVVLALLRGELGKYPNTTIGFVHVDDAVLAHILAMEDGRAKGRLVCSGDVAHWSEVLGALRERYPQYPIPTECSGQKGDDRAHKMDTGKILALGFPPFLSIRQMFDDCIKSFQDKGLLPLI* >Brasy1G003600.1.p pacid=40057053 transcript=Brasy1G003600.1 locus=Brasy1G003600 ID=Brasy1G003600.1.v1.1 annot-version=v1.1 MNKSYMECVYRLLSFLSLSFEFLLGLLAWLTYDKSVSPTHIDQEEHLERLFIFGEARRASNSWRWSRCLLALGTCPSSPWTHPSGRCRRCSMISIAFRKRFAIYASPKALILESFLLIDLLLHHALAWQNVEEVKQMLAAATAELEAARQEVRRKEQNIAALVELLHRTAEERDQLRRQQQHLLLARDLAAVVTPSSSDSDWSFTPSSSSPVAVDSSTSFQPTSASNADVNMPAPLELLATKRPLPQQGRLLQAVMEAGPLLQNLMVAGPLPRWRNPPPAQALPSPVIPALAGSCAPMG* >Brasy1G003600.4.p pacid=40057054 transcript=Brasy1G003600.4 locus=Brasy1G003600 ID=Brasy1G003600.4.v1.1 annot-version=v1.1 MALEPLPPGFGDMSIITMDASFWAVPPLLHDLHCLPQEVRHNVEEVKQMLAAATAELEAARQEVRRKEQNIAALVELLHRTAEERDQLRRQQQHLLLARDLAAVVTPSSSDSDWSFTPSSSSPVAVDSSTSFQPTSASNADVNMPAPLELLATKRPLPQQGRLLQAVMEAGPLLQNLMVAGPLPRWRNPPPAQALPSPVIPALAGSCAPMG* >Brasy1G003600.2.p pacid=40057055 transcript=Brasy1G003600.2 locus=Brasy1G003600 ID=Brasy1G003600.2.v1.1 annot-version=v1.1 MALEPLPPGFGDMSIITMDASFWAVPPLLHDLHCLPQEVRHNVEEVKQMLAAATAELEAARQEVRRKEQNIAALVELLHRTAEERDQLRRQQQHLLLARDLAAVVTPSSSDSDWSFTPSSSSPVAVDSSTSFQPTSASNADVNMPAPLELLATKRPLPQQGRLLQAVMEAGPLLQNLMVAGPLPRWRNPPPAQALPSPVIPALAGSCAPMG* >Brasy1G003600.3.p pacid=40057056 transcript=Brasy1G003600.3 locus=Brasy1G003600 ID=Brasy1G003600.3.v1.1 annot-version=v1.1 MALEPLPPGFGDMSIITMDASFWAVPPLLHDLHCLPQEVRHNVEEVKQMLAAATAELEAARQEVRRKEQNIAALVELLHRTAEERDQLRRQQQHLLLARDLAAVVTPSSSDSDWSFTPSSSSPVAVDSSTSFQPTSASNADVNMPAPLELLATKRPLPQQGRLLQAVMEAGPLLQNLMVAGPLPRWRNPPPAQALPSPVIPALAGSCAPMG* >Brasy1G003600.5.p pacid=40057057 transcript=Brasy1G003600.5 locus=Brasy1G003600 ID=Brasy1G003600.5.v1.1 annot-version=v1.1 MALEPLPPGFGDMSIITMDASFWAVPPLLHDLHCLPQENVEEVKQMLAAATAELEAARQEVRRKEQNIAALVELLHRTAEERDQLRRQQQHLLLARDLAAVVTPSSSDSDWSFTPSSSSPVAVDSSTSFQPTSASNADVNMPAPLELLATKRPLPQQGRLLQAVMEAGPLLQNLMVAGPLPRWRNPPPAQALPSPVIPALAGSCAPMG* >Brasy1G139100.1.p pacid=40057058 transcript=Brasy1G139100.1 locus=Brasy1G139100 ID=Brasy1G139100.1.v1.1 annot-version=v1.1 MMAAESSATDNYYADGHLETLALDSSASASTDPLLHPPPSPSSTSSPTAPANDDAFIDEDGEDDFPQDLPPPDTPVISREPSPELYRITVSEPRKHEEPATGAAGVIPGSGSYFSYLVTTQVGDGGKFVVRRRFRDVVALADRIASTHRGLFIPPRPDKSIVEGQVMQRHDFVNQRCAALQRYLCRLAAHPTVGRSVDLQAFLTEASGIPTSEGESPRCSPTSIAATSTVVTTPTTPSKGGRDFFGMFKDLKQTVSNGLMAVRTLPLEEETDTKFVAHKAKLEELEQQLAATSQQVVALVKAHEDLRETTAHLGMTFIKLAKFEKGQSSCSSQISRAADISNFASAVVKVSRSRTKLNAEIVKHLGTIHEYLETMSSVHNAFSDRSNALLHVQSLSADLNLLHNRVAKLESVSSRGIDQERSRYQKVEELKETIRATEDAKIRARKEYELIKENNMKEIKRFDKEIHHDLLEMMRGFVTNQITCADQIANIWARIAEETKGYAGRSS* >Brasy1G559100.1.p pacid=40057059 transcript=Brasy1G559100.1 locus=Brasy1G559100 ID=Brasy1G559100.1.v1.1 annot-version=v1.1 MTIRKKKYELFVSHPIWSSATSRPAGHPFSRRHQRPWPPLSSVAPLSPSHLRRPAPNSPLLAKARPWRQRFPTWRAPRSRLPDGGRGRGWSGAAVVVDVGLE* >Brasy1G466400.1.p pacid=40057060 transcript=Brasy1G466400.1 locus=Brasy1G466400 ID=Brasy1G466400.1.v1.1 annot-version=v1.1 MIASLTILIMATVAAFVSAAAAVLSLDGQAGALLVWKATLDNQSQLALHSWGHMSSAPCSWRGISCGAHTTHHHPRRPLVTSISLRGMRLRGTLESLDLSALRSLTSIDLSHNELAGSIPSSIEVLMELRALLLRGNQIRGSIPPSLARLIKLRSLVISDNQISGEIPSQIGQMSDHLVSLNLSNNHLVGPIPHEIGHLKHLSILDLSKNNLSNSIPINVGDLAKLSRLYLDQNQLSGHIPIGLGYLVNLEHLAFSNNSFTGSIPKNLCNLTKLVSLYLWNNRFSGHIPRELGYLVNIQYLELHENTLIGPIPNSLGNLTKLTKLYLNHNQLSGYLPRELGHLVNSQTMLLYNNKLSGPVPNSLGNLTKLRTLYLYDNQLSGQIPDELGNLVNIRKMELDNNNLTGHIPYSLGNLTKLMTLYLYDNQLFGHIPHELGYLVNLKEMALQNNKLTGPIPYSLGNLTKLSILNLFHNMISLHIPRELSHLVNLEVMRLHENTLMGSIPESLGNLTKLTTLCLYNNQLSGHLPQELGNLVNLEHLRLSCNKLIGSIPNILGNLTRLTTFYLVSNQLSQSIPKEIGNLVNLEELILSENKLSGSIPNSLGNLTKLKTLYLVENQLSGSIPQEISKLMSLVELELASNNLSGVLPSGLCAGGRLKNFVVAENNLIGPLPSSLLSCTSLVRVRLDQNQLEGDITQMGFHPNLVYFDMSSNKLFGELSHRWGQCYKLTMLNASNNNIAGVIPPSIGKLSALGILDVSSNKLEGQIPEEIGNIMVLFNLNLCGNLLQGHIPQEIGLLNNLECLDLSSNNLSGSIPRQVTNFSNLRSLKLNHNHLNGTIPMELGMLVSLKDLLDLSDNSFEGAIPSPLSGLQMLEALNLSHNALSGNISPSFRGMSSLLALDVSYNKLEGPVPQSRFFEEAPIEWFVHNKRLCGVVKGLPPCDLIQSGGHERKYKTILLAIIPTFVSFLVITILVALQCRKNIPKAASTNDPCQINLFSIWNFDGEDVYKNIVDATENFSDSYCIGVGGNGSVYKAQLPTGEIFAVKKIHAMEDDELFNREIDALMHIRHRNIAKLFGYCSSTQGRFLVYEYMDRGSLAISLKSQETAIELDWTRRLNIARDVAYALSYMHHDCFAPIVHRDITSSNILLDLELRACISDFGIAKILDIDASNFTTVAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGEFLSSLSSTARKSALLGDLLDTRLPTPEVAITSAIFKVITVAFRCIEANPSLRPMMQDAIKVFSTTEGPSDLDYLHTGIAIPVCWL* >Brasy1G224500.1.p pacid=40057061 transcript=Brasy1G224500.1 locus=Brasy1G224500 ID=Brasy1G224500.1.v1.1 annot-version=v1.1 MDGSDVVMPAWSLVRGYLSPATLFLLLNVVIGTIVLSSRSQRRRPHHGDGDHIHHQQPQHHHQQQQQGYSNDQYAPAQPPAPLARTSSVMERLRSLGLYRFRSGDFPPEYNHGLASANIDDSSNQQQAQYARSRSEPSVRPPAKKTGNEGEKVARAKAPKKPGSEVKRLERAPAPAPARLVQRAPRAPPAARAAVATPARQEEDAGARPEAAAAGCVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGT* >Brasy1G465800.1.p pacid=40057062 transcript=Brasy1G465800.1 locus=Brasy1G465800 ID=Brasy1G465800.1.v1.1 annot-version=v1.1 MEKKAAILMNRYELGRMLGQGTFAKVYHARHLASNQSVAIKVIDKEKVLRVGMIEQIKREIAIMRLVRHPNVVHLHEVMASKSKIYFAMEYVRGGELFGKVARGRLKENPARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDEHGNLKVSDFGLSALKECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGIILFVLLAGYLPFQDSNLVEMYRKISKGDVRYPHWFTSDVRKLLCKLLDPNPSTRITIEKLVEHPWFKKGYKPAVMLGQPHGSNSLTDVQAAFGTDQKDNKAEQPDSPLKPVSLNAFDIISLSKGFDLSGLFDDQEQKANSRFMTQKPTSAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFYVVEVKKSAGDTLEYERFCKKDLRPSLNDICWNGQSDEKLRSLADSSPLTQSSKSTTRNAI* >Brasy1G547400.1.p pacid=40057063 transcript=Brasy1G547400.1 locus=Brasy1G547400 ID=Brasy1G547400.1.v1.1 annot-version=v1.1 MNKKLERSFFLLTFSPNSVALLHHAQSPRVAAPQAPPPSLVATAAGTALVTAPDRNHNPRRRLVLPRPRPPPPTAAAALAAVSFAPDPDRRPRPQLQRSPPSRSPPTPTPTASPDRSRSASPESLLRRRRFFAMRRRRPPESMLRRHHPESLLLHHAQSPRVAAPQAPPPSLAATAAGTALVTAPDRNHNPRRRLVLPRPRPPPPTAAAALAAVSFAPDPDRRPRPQLQRSPPSRSPPTASPDRSHSASPESLLRRRRFFAMRRRRHPASMLRRHYPESLLRLGPASS* >Brasy1G020000.1.p pacid=40057064 transcript=Brasy1G020000.1 locus=Brasy1G020000 ID=Brasy1G020000.1.v1.1 annot-version=v1.1 MACATHLPAIFILIQLYLLAASASRAPGNATASSLCHPDQAAALLQLKESFIFDYSTTTLSSWQPGTDCCHWEGVGCDDGISGGGHVTVLDLGGCGLYSDGCHAALFNLTSLRYLDLSMNDFSRSRIPAAGFERLSELTHLNLSQSSFYGQVPSTIGNLTSLISLDLSSLNDIDPFETNNMNDVLYGGNDLELREPSFETVLANLTNLRELYLDGVDISSSREEWCSGLGKSVPSLQVLSMVGCNLYGPIHSSLSSLRSLTVINLNSNSNISGVIPEFLSEFHNLSVLQLKYNRFSGLFPPKIFLLKNIRVIDVSQNVQLSGNLPEFRNGTSLETLNLYYTNFSSIKLSSFRNLMNLRRLGMDVDGRSISTMEPTDLLFNKLNSLQSLLLSFVQFSGELGPFFSWISNLQNLTSLQLTDYYSSKIMPPLIGNFTNLTSLEITRCGFSGEIPPSIGNLSKLTSLRISSCDFSGTIPSSIGNLKKLRSLDITSNRLLGGPITRDIGQLSKLMVLKLGGCRFSGTIPSTIVNLTQLIYVGLGRNDLTGEIPTSLFTSPTMLLLDLSSNQLSGPIQEFNTLNSQISAVYLGGNQITGQIPSSFFQLTSLEILELGSNNLTGLIQLSSRWKIRKLGYLDLSNNKLSILDEEENKPTVPLLPKLFRLELASCNMTRIPRFLMQVNHIRTLDLSRNKIQGTIPKWIWETWDDSLIIMDLSHNIFTDTSNMLPSRLEYLDISFNELEGQIPMPSLLTAFSSFSQVLDYSNNRFSSVMSNFTAYLSKTVYLKLSKNNINGHIPHSICDSINLQVLDLSYNNFSGVIPSCLIADSQLLILNLRENNFEGTLPHNVSEDCKLQAINLHGNKFHGQLPRSLSNCADLEVLDIGYNQMVDTFPSWLGRLSRFSVLVVRNNQFYGSLAYPSRDDKFGEYFSELQIIDISSNNFSGNLDPRWFERFTSMMEKFDDPGKILQHTIVHGPSYYQDTVAIANKGQYMTFEKVLTTLTAIDFSNNSFHGEIPESTGRLVSLRILNMSHNAFTGRIPTKMGEMRQLESLDLSWNELSGEIPQELTNLTFLSTLKLCGNKLYGRIPQSGQFTTFENTSYEGNAGLCGPPLSKPCGDSSNPNEAQASISEDHTDIVLFLFIGVGFGVGFTAGILMKWGKISKWFRIGDALVIQN* >Brasy1G113400.1.p pacid=40057065 transcript=Brasy1G113400.1 locus=Brasy1G113400 ID=Brasy1G113400.1.v1.1 annot-version=v1.1 MVIHVDQIVAVKFDILSDSYAKFIEDLRAKLIGADPAGKVKQRPILAKQTGKEQPPPKWIEVELVGKGGANPKVAIRSDNVYVFAFTNADGNWYKLTEAKTAVLPDAAPLGFEGNYNKLVGGSTNLANLKFGKFSTSSAAVILWNHGKKKPYVDAELKRALATICVVLSEAARLAPVYNAVNGGWETQAGGTIKAEDIKYYINGWSDLSKQLQKWKGDDYKNDDKWFGQFMEAGIHSGEEALKVVELLLNETQKLGLLAWLKQHLWGLRVKKIDQ* >Brasy1G191400.1.p pacid=40057066 transcript=Brasy1G191400.1 locus=Brasy1G191400 ID=Brasy1G191400.1.v1.1 annot-version=v1.1 MELQQESSDVGALVSAPSRNLSSSSSTFVSANQSPFFTPRSLSARRPEHAYPEDNNSSRGIALKISDILSSDTLKQQEQLPSASTRLLQYDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATSSNCVRSTRKEKQKRQAAIYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWDKHGGELWMLYGGMEDEWRESVDALSRVVDVQLEANDSNWRGCILQAVFLLATKLGRRSVVDRVSRWRARVEKEEFPFSRNGDFVGRKKELSELELILFGDVSGEGEKKYFELKTKQRRKGPVSGCSVNNCEQLNAADIKGKEPVLWKETEEGIEMQRMGSPLQHGRQPRMKNGGRYGRKKKTRKILYGKGIACISGESGIGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLALRTLLEVDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRVIMDLLPQFGAETHFIITTRLPRVMNLEPMKLSYLSGAEAMTLMKGGMKEYPLMEIDALKVIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLNRPLPIRDFSWNEREVISLKNHEILVRLLDVCLSIFEHADGPRSLAIRMVQVCGWFAPSAVPVHMLALAAHKIPKKHWRGPRWRKWWRTLTCGLATSRMQRSEAEAAAMLTRFGIARCSAKSEYVQFHDMIRLYARKRGGTRTAQAVVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSELMFFVKQIVMPLAINTFITYSRCNPALELLRLCTDALDRAAESMLAHAGKWRETSFFCFRPAQSEAQYTYLWQEIALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTNVHLS* >Brasy1G191400.3.p pacid=40057067 transcript=Brasy1G191400.3 locus=Brasy1G191400 ID=Brasy1G191400.3.v1.1 annot-version=v1.1 MELQQESSDVGALVSAPSRNLSSSSSTFVSANQSPFFTPRSLSARRPEHAYPEDNNSSRGIALKISDILSSDTLKQQEQLPSASTRLLQYDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATSSNCVRSTRKEKQKRQAAIYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWDKHGGELWMLYGGMEDEWRESVDALSRVVDVQLEANDSNWRGCILQAVFLLATKLGRRSVVDRVSRWRARVEKEEFPFSRNGDFVGRKKELSELELILFGDVSGEGEKKYFELKTKQRRKGPVSGCSVNNCEQLNAADIKGKEPVLWKETEEGIEMQRMGSPLQHGRQPRMKNGGRYGRKKKTRKILYGKGIACISGESGIGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLALRTLLEVDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRVIMDLLPQFGAETHFIITTRLPRVMNLEPMKLSYLSGAEAMTLMKGGMKEYPLMEIDALKVIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLNRPLPIRDFSWNEREVISLKNHEILVRLLDVCLSIFEHADGPRSLAIRMVQVCGWFAPSAVPVHMLALAAHKIPKKHWRGPRWRKWWRTLTCGLATSRMQRSEAEAAAMLTRFGIARCSAKSEYVQFHDMIRLYARKRGGTRTAQAVVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSELMFFVKQIVMPLAINTFITYSRCNPALELLRLCTDALDRAAESMLAHAGKWRETSFFCFRPAQSEAQYTYLWQEIALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTNVHLS* >Brasy1G191400.4.p pacid=40057068 transcript=Brasy1G191400.4 locus=Brasy1G191400 ID=Brasy1G191400.4.v1.1 annot-version=v1.1 MELQQESSDVGALVSAPSRNLSSSSSTFVSANQSPFFTPRSLSARRPEHAYPEDNNSSRGIALKISDILSSDTLKQQEQLPSASTRLLQYDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATSSNCVRSTRKEKQKRQAAIYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWDKHGGELWMLYGGMEDEWRESVDALSRVVDVQLEANDSNWRGCILQAVFLLATKLGRRSVVDRVSRWRARVEKEEFPFSRNGDFVGRKKELSELELILFGDVSGEGEKKYFELKTKQRRKGPVSGCSVNNCEQLNAADIKGKEPVLWKETEEGIEMQRMGSPLQHGRQPRMKNGGRYGRKKKTRKILYGKGIACISGESGIGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLALRTLLEVDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRVIMDLLPQFGAETHFIITTRLPRVMNLEPMKLSYLSGAEAMTLMKGGMKEYPLMEIDALKVIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLNRPLPIRDFSWNEREVISLKNHEILVRLLDVCLSIFEHADGPRSLAIRMVQVCGWFAPSAVPVHMLALAAHKIPKKHWRGPRWRKWWRTLTCGLATSRMQRSEAEAAAMLTRFGIARCSAKSEYVQFHDMIRLYARKRGGTRTAQAVVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSELMFFVKQIVMPLAINTFITYSRCNPALELLRLCTDALDRAAESMLAHAGKWRETSFFCFRPAQSEAQYTYLWQEIALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTNVHLS* >Brasy1G191400.2.p pacid=40057069 transcript=Brasy1G191400.2 locus=Brasy1G191400 ID=Brasy1G191400.2.v1.1 annot-version=v1.1 MELQQESSDVGALVSAPSRNLSSSSSTFVSANQSPFFTPRSLSARRPEHAYPEDNNSSRGIALKISDILSSDTLKQQEQLPSASTRLLQYDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATSSNCVRSTRKEKQKRQAAIYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWDKHGGELWMLYGGMEDEWRESVDALSRVVDVQLEANDSNWRGCILQAVFLLATKLGRRSVVDRVSRWRARVEKEEFPFSRNGDFVGRKKELSELELILFGDVSGEGEKKYFELKTKQRRKGPVSGCSVNNCEQLNAADIKGKEPVLWKETEEGIEMQRMGSPLQHGRQPRMKNGGRYGRKKKTRKILYGKGIACISGESGIGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLALRTLLEVDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRVIMDLLPQFGAETHFIITTRLPRVMNLEPMKLSYLSGAEAMTLMKGGMKEYPLMEIDALKVIEEKLGRLTLGLGIVGAILSELPITPSRLLDTLNRPLPIRDFSWNEREVISLKNHEILVRLLDVCLSIFEHADGPRSLAIRMVQVCGWFAPSAVPVHMLALAAHKIPKKHWRGPRWRKWWRTLTCGLATSRMQRSEAEAAAMLTRFGIARCSAKSEYVQFHDMIRLYARKRGGTRTAQAVVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSELMFFVKQIVMPLAINTFITYSRCNPALELLRLCTDALDRAAESMLAHAGKWRETSFFCFRPAQSEAQYTYLWQEIALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTNVHLS* >Brasy1G565500.1.p pacid=40057070 transcript=Brasy1G565500.1 locus=Brasy1G565500 ID=Brasy1G565500.1.v1.1 annot-version=v1.1 MGFSLIKPAECHAVLQRPAATVSVELERAEHEWLLLGHLDVPQPSPADPHAPVHFRLPVPAPVALEHASARQLDETGLRSVTEGVGADGPEGQVHPPQLATPGHRLLVRHQSPPPSRELARRALHNLPLPCFREKPEHEAQGSLHLGHGVPVRWPVPPGISLREEAQAEAGQDLLRALDFRETGRYLAVVRVEDVVRHAGVLAEVGEAGDLANMVAALLGALPSEDGSRDADADVLDVEEEEAVLAGAAGLGDEHPHHVVIGVRQREAAAVLGEVFGHADELGEARRAGERHGRAPRRPGGPRRPRQQVPCPPAPPARICGFCGRGEGAAEGAGGGSC* >Brasy1G215600.1.p pacid=40057071 transcript=Brasy1G215600.1 locus=Brasy1G215600 ID=Brasy1G215600.1.v1.1 annot-version=v1.1 MGSLGNGRNGSEPGIQMPVMGGNAVLEPTTSSVPRCPRLEMAMMATRVAALVMALLSMLLMISAKQRGILIIFGIEIPLYANWSLSDSLEFLVGISAAAAAYSLAQLLSIAHKALKKVPVVPSRRYAWMLLAGDQVFGYAMMSAGSAAAAVANLNRTGIRHTALPNFCKPLPRFCDFSAASIVCAFIGCVFLATSALIDVIWLSNL* >Brasy1G575500.1.p pacid=40057072 transcript=Brasy1G575500.1 locus=Brasy1G575500 ID=Brasy1G575500.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLREMAAEEVHMLLGVSVEIDKMSDKLGDLKNFLADADRRNITDESVQGWVTELKRAMYDATDILDLCQLKGMERGESTVDAGCCNPFLFCTRNPFHAHDIGSRIKALNERLDAIKQRSAAFSFIKLGSYEDHGRNVHASRPGNPSRETSGELDRSAVVGKKIEEDTRALVARILEKGEGVNNNIMVFAIVGVGGIGKTTLSQNVFNNESIQSEFEKKIWLSINQNFDKTDLLRTAITLAGGDHRGEKILAVLQPILTEALKGKKFLLVMDDLWSHGAWEGVLKTPLVNAAASGSRVLITTRHEAVA* >Brasy1G321600.1.p pacid=40057073 transcript=Brasy1G321600.1 locus=Brasy1G321600 ID=Brasy1G321600.1.v1.1 annot-version=v1.1 MEKFLVSASTGVMGSVLKKLGAMVSDEYKLLKNVRRDVEFLKDELEVKHAFLLEMADVQEPHPQAKLRANAVQDLSYDIEDKIDKFMLLVDDESSSSFDGFRELFSKTMKKIADLKTRHKIAKDVKDIKSQVNEMAARYARYKIDESSRTRNKKVDPRLCAVYKDASELVGIDGPTDKLVKWLSDKDSEAAHHLKVASIVGCGGLGKTTLARQVYNKLGANFEYRAFLSISRSPDMTEILSSMLSQLLNQDYAHAGARDPQLIIDKIRDFLEDKRYFTIIDDLWDEATWRILKCAFANNSYGSGVMTTTRKNDVAKSCCSSQGNLVYEIKPLSDSDSKKLFFKRIFDSEEKCPPDLKEASEDI* >Brasy1G438000.1.p pacid=40057074 transcript=Brasy1G438000.1 locus=Brasy1G438000 ID=Brasy1G438000.1.v1.1 annot-version=v1.1 MMMIARGLRRSNASSQASQLLKYVTSSGSLQGHADSLSDASFRHFSSAPSPKSNSTEEDGFKGHGMLAPFTAGWQSNDVHPLVIERSEGSYVYDTNGNKYLDSLAGLWCTALGGSEPRLVKAATEQLNKLPFYHSFWNRTTKPTLDLAGDLLSMFTARKMGKAFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEDFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIVDEVITAFGRLGTMFGSDMYNIKPDLVTLAKALSSAYVPIGATLVSPEIAEVIDSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERNIPDHVKQIAPRFQEGTKAFAGSPIVGEIRGVGLILGTEFANNKSRDDPFPAEWGVGAIFGAECQKRGMLVRVAGDSIMMSPPLTMTAGEVDELVSIYGEALKSTEERVAELKLKKN* >Brasy1G128200.1.p pacid=40057075 transcript=Brasy1G128200.1 locus=Brasy1G128200 ID=Brasy1G128200.1.v1.1 annot-version=v1.1 MAAIFRSSLLLMAALMVLSINLAEGDTVEYQFDVETMNVTRLCSSKSIVAVNGQFPGPTVFAREGDLVVVRVVNNAQYNMSIHWHGVRQLRSGWADGPAYITQCPIQPGQSYVYKFTISGQRGTLWWHAHISWLRATAYGPIVILPKLGVPYPFLEPYKEVPLMFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRIINSALNDELFFSIAGHPLTIVDVDAVYVKPFTVETLLITPGQTTNVLLTAKPSYPGANYYMLASPYSTAASGTFDNTTVAGILEYEREEYPGSSASFDKNLPLFKPTMPRINDTSFVSNFTAKLRSLASEDYPADVPREVDRRFFFTVGLGTHPCAGANGTCQGPNGSRFAAAVNNVSFVLPTTALLQSHFTGMSNGVYESNFPVVPSSPFNYTGTPPNNTNVSNGTKLVVLSYGESVELVMQGTSILGAESHPFHLHGFNFFVVGQGFGNFDPMSDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVQDGSLPNQKLLPPPSDLPKC* >Brasy1G313300.1.p pacid=40057076 transcript=Brasy1G313300.1 locus=Brasy1G313300 ID=Brasy1G313300.1.v1.1 annot-version=v1.1 MEELMKDIPTLFSRGPTVKDSQVFVSTLQRLLAASGNEYHTANTRCWATPQRWLLAVDMASLCTTLWKPSTQEKVELPSVEKELPKNCKCLLSGEPICPECVVLVVDADEPVIWFCHVGGKEWTRHEYRIAVEKTRFSLVKLSKRQSGQERGKLCFHEETSAEYILKDSCNGKRTRISEDGNGTVVGDLSERFQIKSIAAIEGKFYFDISSSKLGVLEFVPSPIFTAIEFQKLHVAFDCWELAFPHLVESRGRRTRF* >Brasy1G231300.1.p pacid=40057077 transcript=Brasy1G231300.1 locus=Brasy1G231300 ID=Brasy1G231300.1.v1.1 annot-version=v1.1 MDAAAKGRDGEEQAEHGKKVSFAGLFRYADGTDLLLMLVGTVAALANGVSQPLMTVIFGDVIDAFGGATTANVLSRVNKAVLSFVYLGIGTAVVSFLQVSCWTITGERQATRIRSLYLKSVLRQDISFFDVEMTTGKIVSRMSGDTVLVQDAIGEKVGKFLQLVASFLGGFIVAFVKGWLLALVMLACIPPVVIAGGAVSKVLSKISSKGQTSYSDAGNVVEQTIGAIKTVVSFNGEKQAIATYNKHIHKAYKTAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVLSKGYTGGQVITILMAIMTGAMSLGNATPCMTAFAGGQSAAHRLFTTIKRKPEIDPDDKTGKQLEDIRGEVELKDVYFSYPARPEQLIFDGFSLHVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLDSIRGKIGLVSQEPLLFMTSIKDNITYGKENATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAITRAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVVNPDGAYSQLIRLQESREEEEQKVDRRISDPRSKSTSLSLKRSINRNSSGNSSRHSFTLPFGLPGTVELTETNDSYGKNQNEQDGDCEIPKKAPMGRLALLNKPEVPILLLGSIAAGVHGVLFPLFGVMISSAIKTFYEPPEKLKKDSSFWGLMCVVLGVVSIISIPAEMFLFGIAGGKLIERIRALSFRSIIHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALTVQIISTLITGFIIAVVADWKLSFIILCVIPLVGLQGYAQVKFLKGFSQDAKMMHEDASQVATDAVSSIRTVASFCSEKRITSIYDHKCEASMNQGVRTGIVGGIGFGFSFLMLYLTYGLCFYVGAQFVRHGKSNFGDVFQVFFALVLATVGVSQTSAMATDSTKAKDSAISIFALLDRKSEIDSSSNEGLTLDEVKGNIDFQHVSFKYPTRPDIQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIKSLNINWLRDQTGLVSQEPVLFNDTIRANIAYGKDGEVTEEELIAAAKASNAHEFISSLPQGYDTTVGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQAALDHVMVGRTTVVVAHRLSTIKNADIIAVLKDGAIVEKGRHEALMNIKDGMYASLVELRSASS* >Brasy1G253100.1.p pacid=40057078 transcript=Brasy1G253100.1 locus=Brasy1G253100 ID=Brasy1G253100.1.v1.1 annot-version=v1.1 MPPPPPPSAFLLLFFFFFLLQTTSATHNRRLLQSAGIPPPPPPPHRHHHTPPRPRAPPPPSSTSLPPPPPTNPVSSPPTSAPAPTTPPPPPPTPKFTSSSTPTPTPPDNGGYPFTNNPFFPTASPPPPPPADQQQQPASGNNGGFPTFPANISTLQSPDSSSSSSNHGRFPLLQALLLAFLSLCLLLLSALLSIHLFRRLRPHSQPSSSSAASSSHRRNGDEEEEEEGRSLKPPPMPTSSSNPSTEFLYLGTLATPPANTNLRPGSPELRPLPPLPRVGPPSGEFASRTSASTAPRDAASSSSLSPSSPSATSPSLGSSPVSIRPPSIPQPRGRAPPHNPSPPKRRPPPPPPPNAWNPFLAAAPQAAAAAASSSPSDDDSSIAAAEEMRKSRPLHSHKLKPGSLHMKDEMIQLYLNNSAAVAAAAAREVCLLGAPRCHGIGMLLGSLGVSEDQLRDALLEGNAHGLGVEALRMLSQLVLTNEEELKLRYFKDDPPAKLCVVDAFLKTILDIPFAFKRVDAMLYVSNFYLEVNQLRMSYATLEAACQELRNSRLFHKVLAAVLNFGNLMSINTSSPNSHALEPNTLLKIVDVKGADGKAALLQFVIQEILKPEGHNQNPMNTMGRSVTCKTNASTLPYNVDSRKHGLQVVSKLAAELTNTKKAASIDIMSLSRNVSELGVGLGKVHDVLRLNGMAASTESARRFHNAMSTFLRQAEEEILKLQAQESACLSSVKEITEYFHSDPASDEAQMSRIFVGVREFLAMLDRICKEAGEIDGGRCIGSTTTMNWMAAPMGMTP* >Brasy1G253100.2.p pacid=40057079 transcript=Brasy1G253100.2 locus=Brasy1G253100 ID=Brasy1G253100.2.v1.1 annot-version=v1.1 MPPPPPPSAFLLLFFFFFLLQTTSATHNRRLLQSAGIPPPPPPPHRHHHTPPRPRAPPPPSSTSLPPPPPTNPVSSPPTSAPAPTTPPPPPPTPKFTSSSTPTPTPPDNGGYPFTNNPFFPTASPPPPPPADQQQQPASGNNGGFPTFPANISTLQSPDSSSSSSNHGRFPLLQALLLAFLSLCLLLLSALLSIHLFRRLRPHSQPSSSSAASSSHRRNGDEEEEEEGRSLKPPPMPTSSSNPSTEFLYLGTLATPPANTNLRPGSPELRPLPPLPRVGPPSGEFASRTSASTAPRDAASSSSLSPSSPSATSPSLGSSPVSIRPPSIPQPRGRAPPHNPSPPKRRPPPPPPPNAWNPFLAAAPQAAAAAASSSPSDDDSSIAAAEEMRKSRPLHSHKLKPGSLHMKDEMIQLYLNNSAAVAAAAAREVCLLGAPRCHGIGMLLGSLGVSEDQLRDALLEGNAHGLGVEALRMLSQLVLTNEEELKLRYFKDDPPAKLCVVDAFLKTILDIPFAFKRVDAMLYVSNFYLEVNQLRMSYATLEAACQELRNSRLFHKVLAAVLNFGNLMSINTSSPNSHALEPNTLLKIVDVKGADGKAALLQFVIQEILKPEGHNQNPMNTMGRSVTCKTNASTLPYNVDSRKHGLQERVGARGRSREGPRRAEAERHGSFDRERPAVPQRDEHVSEAGGGGDPEAPGSGERLPVFGEGDHGVLPQRPSQ* >Brasy1G460800.1.p pacid=40057080 transcript=Brasy1G460800.1 locus=Brasy1G460800 ID=Brasy1G460800.1.v1.1 annot-version=v1.1 MHAACYKLCSSRARGSNRHPFRIPCTADKRKEIKSMDPDSVHGEPTPAPAAAAPTITRRASQPCYIYRSLLTLPTPLPSQEPPRPAAQSSTSSFSERTMMKHRVLISAAVVALLLAACTAAAAAAAITITRKQQQHKKPVAASAGCDVFGAGSWVTDESYPLYDSASCPFIRAEFDCKRFRRPDTAYLKYRWQPSPPCSLPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCLIHAAVPGATTTVSPRSGKIDPSTTVTFQEHNVTLVYYLTHYLVDLVNEKAGRILKLDSIDQARNWLGADVLVFDSWHWWPRTGPTQPWDYMEEDGKLVKDMDRSLAFTKALNTWARWVDANLVQTSIKVFFQGISPSHYKGQEWGASAKKTCMGETEPLNSTAPYPGGPIPQQAILRSALAGMAKPVYLLDFTFLSQLRKDAHPTKYDGGIFGGDCTHWCIAGLPDTWNELFYAALLGQS* >Brasy1G460800.3.p pacid=40057081 transcript=Brasy1G460800.3 locus=Brasy1G460800 ID=Brasy1G460800.3.v1.1 annot-version=v1.1 MDPDSVHGEPTPAPAAAAPTITRRASQPCYIYRSLLTLPTPLPSQEPPRPAAQSSTSSFSERTMMKHRVLISAAVVALLLAACTAAAAAAAITITRKQQQHKKPVAASAGCDVFGAGSWVTDESYPLYDSASCPFIRAEFDCKRFRRPDTAYLKYRWQPSPPCSLPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCLIHAAVPGATTTVSPRSGKIDPSTTVTFQEHNVTLVYYLTHYLVDLVNEKAGRILKLDSIDQARNWLGADVLVFDSWHWWPRTGPTQPWDYMEEDGKLVKDMDRSLAFTKALNTWARWVDANLVQTSIKVFFQGISPSHYKGQEWGASAKKTCMGETEPLNSTAPYPGGPIPQQAILRSALAGMAKPVYLLDFTFLSQLRKDAHPTKYDGGIFGGDCTHWCIAGLPDTWNELFYAALLGQS* >Brasy1G460800.2.p pacid=40057082 transcript=Brasy1G460800.2 locus=Brasy1G460800 ID=Brasy1G460800.2.v1.1 annot-version=v1.1 MDPDSVHGEPTPAPAAAAPTITRRASQPCYIYRSLLTLPTPLPSQEPPRPAAQSSTSSFSERTMMKHRVLISAAVVALLLAACTAAAAAAAITITRKQQQHKKPVAASAGCDVFGAGSWVTDESYPLYDSASCPFIRAEFDCKRFRRPDTAYLKYRWQPSPPCSLPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCLIHAAVPGATTTVSPRSGKIDPSTTVTFQEHNVTLVYYLTHYLVDLVNEKAGRILKLDSIDQARNWLGADVLVFDSWHWWPRTGPTQPWDYMEEDGKLVKDMDRSLAFTKALNTWARWVDANLVQTSIKVFFQGISPSHYKGQEWGASAKKTCMGETEPLNSTAPYPGGPIPQQAILRSALAGMAKPVYLLDFTFLSQLRKDAHPTKYDGGIFGGDCTHWCIAGLPDTWNELFYAALLGQS* >Brasy1G426300.1.p pacid=40057083 transcript=Brasy1G426300.1 locus=Brasy1G426300 ID=Brasy1G426300.1.v1.1 annot-version=v1.1 MGNQVGGRRRRPAVDERYTRPQGLYPHPDIDLKKLRRLILEAKLAPCHPGSDDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKMLNYAVEYRGVKTKEEKGVEQLEEQRVIEAQIRMRHQELKDDAERLKNKQTATLSDVITTPQVECCEAGGTSTPAASSAQGNDALLSQVQHSELLLNNSERLKQMRENNFDVDLEEVMLMEAIWLSVQDASGNPGIAGAAPPTIPPRSYDTSVTASAEAAPSGGFACAVAALAEQQHMLIGSSISATCQASRHDTLSRSDRSFTEDPSIAGSSSSGTRVDEPSNNRTRQTREGAEHSNNDRWSEVADASTSCAGSDIMREAGAANLVASDGSSIGSGNIPDSFEDQMMLAISLSLVDARAMASSPGPGLTWQ* >Brasy1G364700.1.p pacid=40057084 transcript=Brasy1G364700.1 locus=Brasy1G364700 ID=Brasy1G364700.1.v1.1 annot-version=v1.1 MKFCRTGETLIVQDKELKASIEKKGIKCRCDGYDVDEVIWGIKNVLHAFICEEERNITQEYCLPVSKGLQEALQYYVVNIPPRMVDKTFITKFGFLCYLDMNLEGFPKELSRSFDEYVGIGDTIKDGLDYAKVLAMALVPDLVEKCGFSKTFSHDLESKLHQAKLFQANRKDDLTMHDLEKIMGYLDCLLYVPQLRDKIIMDVKLMEATLLRSLNAVQRVNVWEPLDFNKMKFRRVLLETPSGFAIFNVREDLFCNPKDIWTYFTDIYGAREIVFAIGFVSVDEKSVTRNSHDGPGDKLEQLILRFCNAKRELVVQDTQLKAVIESKLDVKCWTGDQVVEEVIWGLKYVMPHFILEERNKITDNYFLPLSKQLLEDLQTNRINVSTSELTAGIINLFGYVKVLDKILKKLSKALCTKCDCYFSDIMVSEDDMTYASMIAKVLFPEFKEEIGLPEIAGGLGSKLMDLPKGAGKAIANSDKQEILESLKRLSHVCKEKRRAFQKLLEIEAQIGVARMAVRNEAVGKDDEGKTNTKGSNKDGGSGKKCETEVTNKGKTETVGSRKDDRFANNGETKPMENGRSANKGKRVNEGKTKANTARKSVVHPKIV* >Brasy1G483500.1.p pacid=40057085 transcript=Brasy1G483500.1 locus=Brasy1G483500 ID=Brasy1G483500.1.v1.1 annot-version=v1.1 MASPAKLLSPSKPPEDAPAEEPRLLLRRRWELAAVLNFFMVFEPVIQGNLGLSAEEIETALISNNRDLARIHISLLKGIPPVKKNLNVNDGWVRATAKELSNWWSWVAEGTNPFKRNPGKEVETYKEQDPISRLFILKALCEVRSEQKDAVCYVHEELDKGVDISNFRREKFGSASDGTTYWYVGDSTIGHRLYKENVTVEFKEDWKGEDGHLTKPVTNIHWETVAANLDEFLDISEKLCSKGRSESAIGEHLKENIVPAVEKFQKSKERGLKGQQKKTEGLAFADDFKSRSLRERKTVNYSDSDYDRSIEEAIKLAAAKEKESDSRKDAGKKEEHAKYLEVSSDDNDDDDDEVSDYNDKDDSSSSSDGDSDASDPHKGSSDEEDVVITHRMTRLASRHGLDSMPRQGLRRSRRNIKDSE* >Brasy1G123800.1.p pacid=40057086 transcript=Brasy1G123800.1 locus=Brasy1G123800 ID=Brasy1G123800.1.v1.1 annot-version=v1.1 MGERSIGVAMDFSASSKKALRWAADNLLRKGDILVLLHIEHRGRDEAKHVLWSHSGSPLIPLEELRDTAVRQRYDIPEDAEVFDMLDTVEREKELAVVFKLYWGDPREKVCEAAGDLQLDSLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKEK* >Brasy1G115300.1.p pacid=40057087 transcript=Brasy1G115300.1 locus=Brasy1G115300 ID=Brasy1G115300.1.v1.1 annot-version=v1.1 MLQQTPQFYRRTPSPSTQRRSCKQRLVALSHRRSAAMARTTKGWARGVAAFAAVALAVAAGRRYGWDGEAAVAAFRRGKCALGPWAAPAYVAVHALTLALCPPYAIFFEGAAALVFGFLPGVACVFSAKILGASLSFWIGRAIFNYFTSAMEWLQRNKYFHVVVKGVEKDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTIVGCLPMILQNVSIVSLAGAAVASTTGSKKSHIYSYLFPILGIVSSILISWRIK* >Brasy1G077700.1.p pacid=40057088 transcript=Brasy1G077700.1 locus=Brasy1G077700 ID=Brasy1G077700.1.v1.1 annot-version=v1.1 MASAPAPSSSSTAVASSREASRQAGKKALEEFRKKKKKAAKKAAPVADQATPAVSTVVDTPLPNANNLSAGAGLMSDVDSSIASTSSVPSASYENGPISSSRGTEYPSNTPVVVNASASVSYVGLPQDAVGDGGSKFYGNLSFSDLVNGHHEDWRGNATRNRVELSPDKDAPLTSKPSAFGNTNSGSHPAEVLSNWGRNSSLSQVHGTEQSSLYSSSSLFGKSERTYSQDYSPENDIFGRLRATSKDSSQVDHSAYASNWEYGSSFDSSETAVGADHDTSIGMLGNASGSTPSNFDKQDPFLSTAYPTTYSRSRPSFLDSIGVQRAPPSQVPYAEPSRANNTLFSNSDSESSSFQQPNQQSTQSNGVDNSVRPGRQEYNNEKDPYDTSIPTDSLISKDEQSLQHGNHMFQNFTTHDKDDGFATLEQLIEDLTTEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAHVISQLTSDIERLQDEIQAQLLALESIRTEYGNAQLECNAADERGKVLAAEVILLEDKALKLRSNELKLEKEVQGLNSEISSYRRKVSSLEKERHHLQSTVEALQEEKKLLYSKLRNIPMTEKVDVIQKPPDDKKDASTATEDLDTGESSSSETMTTIDTLQEAGTSVLRANNMYDFPSFGEVSSSIPVDQLRMIDNINSLMSELAVEREELMRALRIESSNCSKLKELNKDLTHKLEIQTQRLELLTSQRMANENGLARQIDTRSIDDATMYADEGDEVVDRVLGWIMKLFPGGPKRRTSKLL* >Brasy1G077700.2.p pacid=40057089 transcript=Brasy1G077700.2 locus=Brasy1G077700 ID=Brasy1G077700.2.v1.1 annot-version=v1.1 MASAPAPSSSSTAVASSREASRQAGKKALEEFRKKKKKAAKKAAPVADQATPAVSTVVDTPLPNANNLSAGAGLMSDVDSSIASTSSVPSASYENGPISSSRGTEYPSNTPVVVNASASVSYVGLPQDAVGDGGSKFYGNLSFSDLVNGHHEDWRGNATRNRVELSPDKDAPLTSKPSAFGNTNSGSHPAEVLSNWGRNSSLSQVHGTEQSSLYSSSSLFGKSERTYSQDYSPENDIFGRLRATSKDSSQVDHSAYASNWEYGSSFDSSETAVGADHDTSIGMLGNASGSTPSNFDKQDPFLSTAYPTTYSRSRPSFLDSIGVQRAPPSQVPYAEPSRANNTLFSNSDSESSSFQQPNQQSTQSNGVDNSVRPGRQEYNNEKDPYDTSIPTDSLISKDEQSLQHGNHMFQNFTTHDKDDGFATLEQLIEDLTTEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAHVISQLTSDIERLQDEIQAQLALKLRSNELKLEKEVQGLNSEISSYRRKVSSLEKERHHLQSTVEALQEEKKLLYSKLRNIPMTEKVDVIQKPPDDKKDASTATEDLDTGESSSSETMTTIDTLQEAGTSVLRANNMYDFPSFGEVSSSIPVDQLRMIDNINSLMSELAVEREELMRALRIESSNCSKLKELNKDLTHKLEIQTQRLELLTSQRMANENGLARQIDTRSIDDATMYADEGDEVVDRVLGWIMKLFPGGPKRRTSKLL* >Brasy1G077700.3.p pacid=40057090 transcript=Brasy1G077700.3 locus=Brasy1G077700 ID=Brasy1G077700.3.v1.1 annot-version=v1.1 MSDVDSSIASTSSVPSASYENGPISSSRGTEYPSNTPVVVNASASVSYVGLPQDAVGDGGSKFYGNLSFSDLVNGHHEDWRGNATRNRVELSPDKDAPLTSKPSAFGNTNSGSHPAEVLSNWGRNSSLSQVHGTEQSSLYSSSSLFGKSERTYSQDYSPENDIFGRLRATSKDSSQVDHSAYASNWEYGSSFDSSETAVGADHDTSIGMLGNASGSTPSNFDKQDPFLSTAYPTTYSRSRPSFLDSIGVQRAPPSQVPYAEPSRANNTLFSNSDSESSSFQQPNQQSTQSNGVDNSVRPGRQEYNNEKDPYDTSIPTDSLISKDEQSLQHGNHMFQNFTTHDKDDGFATLEQLIEDLTTEKFSLQRTLEKSQELAQTLATDNSALTDKFNQQAHVISQLTSDIERLQDEIQAQLLALESIRTEYGNAQLECNAADERGKVLAAEVILLEDKALKLRSNELKLEKEVQGLNSEISSYRRKVSSLEKERHHLQSTVEALQEEKKLLYSKLRNIPMTEKVDVIQKPPDDKKDASTATEDLDTGESSSSETMTTIDTLQEAGTSVLRANNMYDFPSFGEVSSSIPVDQLRMIDNINSLMSELAVEREELMRALRIESSNCSKLKELNKDLTHKLEIQTQRLELLTSQRMANENGLARQIDTRSIDDATMYADEGDEVVDRVLGWIMKLFPGGPKRRTSKLL* >Brasy1G522100.1.p pacid=40057091 transcript=Brasy1G522100.1 locus=Brasy1G522100 ID=Brasy1G522100.1.v1.1 annot-version=v1.1 MAACFFLLLALLSSLFSGMGMAADQCHDDDHAALVAIDSALGSPYHFASWTPDSACCDWHDVDCDAATGRVVGLSVSQDTNISGAIPDAIGNLTYLQTLTLHHLPAISGAIPVSLAALTNLSQLTISYTGVSGPIPCFLGALTQLTLLDLSYNSFTGAIPASLAELPNLSGLNLRRNLLTGTIPPRLLSNSPDQASLWLSHNNLSGAIPSEFSTVNFTHIDISRNALTGDASCLFGAGKPLQYLDVSRNALNFNLSGVEFPVQLTYVDLSHNAIRGGIPAQVAALSGLQQFNMSFNRLCGTVPTGGNMAKFDRYSYLHNKCLCGAPLTACRQRPINRN* >Brasy1G236300.1.p pacid=40057092 transcript=Brasy1G236300.1 locus=Brasy1G236300 ID=Brasy1G236300.1.v1.1 annot-version=v1.1 MVMWVFGYGSLVWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGATCWGVAYKISREEDKQTALEYLEVREKQYDEKVYLDLYTDSSPKTPAVQNMMVYLATTNKEANVNYLGPASLEEMAKQIYLAVGPTGPNKEYLFKLEDALNKIGVVDEHVQDLANAVREYSGTALP* >Brasy1G218600.1.p pacid=40057093 transcript=Brasy1G218600.1 locus=Brasy1G218600 ID=Brasy1G218600.1.v1.1 annot-version=v1.1 MAHPNHVLALLGLLLISSSSSHATLQPPTTLHIPVVHRDTVFPPPPDVKCASLLSHRLAADAALVASLIIGSLTARDLNGDHLHSPVISGLPFASGEYFASVGVGTPPTPALLVIDTGSDVVWLQCKPCVHCYRQLSPLYDPRGSSTYAQIPCSRPQCRNPQACDGTTGGCGYRIVYGDASSTSGNLATDTLFFSNDTSVGNVTLGCGHDNEGLLGSAAGLLGVARGNNSFATQVAGSYGRYFAYCLGDRTRSGSGSSYLVFGRTEPEPAATVFTQLRSNPRRPSLYYVDMAGFSVGGEPVTGFSNASLSLDPATGRGGVVVDSGTSITRFARDAYAALRDAFDARAAKEGMRKVGRGISVFDACYDLRGVAMADAPGVVLHFAGGADVALPPENYLVPEESGRYHCFALEAAGGDGLSVIGNVLQQRFRVVFDVENERVGFAPDGC* >Brasy1G440200.1.p pacid=40057094 transcript=Brasy1G440200.1 locus=Brasy1G440200 ID=Brasy1G440200.1.v1.1 annot-version=v1.1 MAMAAEVGSIARLLRGEAGKRGGARPEMVTMDLLGGCGGDAVSSGDDEVVDLEVKVPAGWERRLDLTSGKTFLTPHHQGVQGGGGRKDLSLAPPPPAAAVTTSAAVCTLDMVRSALERATAVRSAASQPTPSSSSASTSSSSSSVGKRLRSPPATTTASPPSMRAAACPSCLTYVLIVEADPKCPRCHAMVPPLAAKPADASGKKPRIDLNAAADETE* >Brasy1G308500.1.p pacid=40057095 transcript=Brasy1G308500.1 locus=Brasy1G308500 ID=Brasy1G308500.1.v1.1 annot-version=v1.1 MRKLRSKAHQSRRPRQASPPPQRKLNKLYVLLLSSCCGVACLLGCFKASTYFFFHV* >Brasy1G301800.1.p pacid=40057096 transcript=Brasy1G301800.1 locus=Brasy1G301800 ID=Brasy1G301800.1.v1.1 annot-version=v1.1 MWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPIWLKYVPGISFRTDNEPFKAEMQKFTTKIVQMMKSERLFEWQGGPIILSQIENEFGPLEWDQGEPAKDYASWAANMAMALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLREPKWGHLKELHRAIKLCEPALVAADPILSSLGNAQKASVFRSSTGACAAFLENKHKLSYARVSFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGLTWQSYNEEINSFSELESFTTVGLLEQINMTRDNTDYLWYTTYVDVAKDEQFLTSGKNPKLTVMSAGHALHVFINGQLSGTVYGSVENPKLTYIGKVKLWSGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGKRDLTWQKWTYQVGLKGEAMSLHSLSGSSSVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMNSMGKGQIWINGQGIGRYWPGYKASGTCGHCDYRGEYDETKCQTNCGDSSQRWYHVPRPWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSVCADVSEWQPSIKNWRTKDYEKAEVYLQCDHGRKITEIKFASFGTPQGSCGNYSEGGCHAHRSYDIFKKNCINQERCGVSVVPEAFGGDPCPGTMKRAVVEVTCS* >Brasy1G301800.2.p pacid=40057097 transcript=Brasy1G301800.2 locus=Brasy1G301800 ID=Brasy1G301800.2.v1.1 annot-version=v1.1 MWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPIWLKYVPGISFRTDNEPFKAEMQKFTTKIVQMMKSERLFEWQGGPIILSQIENEFGPLEWDQGEPAKDYASWAANMAMALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLREPKWGHLKELHRAIKLCEPALVAADPILSSLGNAQKASVFRSSTGACAAFLENKHKLSYARVSFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGLTWQSYNEEINSFSELESFTTVGLLEQINMTRDNTDYLWYTTYVDVAKDEQFLTSGKNPKLTVMSAGTVYGSVENPKLTYIGKVKLWSGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGKRDLTWQKWTYQVGLKGEAMSLHSLSGSSSVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMNSMGKGQIWINGQGIGRYWPGYKASGTCGHCDYRGEYDETKCQTNCGDSSQRWYHVPRPWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSVCADVSEWQPSIKNWRTKDYEKAEVYLQCDHGRKITEIKFASFGTPQGSCGNYSEGGCHAHRSYDIFKKNCINQERCGVSVVPEAFGGDPCPGTMKRAVVEVTCS* >Brasy1G077300.1.p pacid=40057098 transcript=Brasy1G077300.1 locus=Brasy1G077300 ID=Brasy1G077300.1.v1.1 annot-version=v1.1 MATTAAALSCSCSCSHSPSPSSTLLRRTVSGFHRTPNAPRRLRLSPLHVVDDSKEVEAAAGGERSEADRLIDGMDFGELCDDFECISSPYVESTARQIVRDILEIREGNRALSCYAVAVKYKDPLRSFVGREKYKRPLWITEALENPTVTVQEMSMQSTSTLTIKWTLRGKPSNQIFSAIGGELIIRVDSQFVLNQISGQVLEHVESWDLSGSSPPAQAYFWLSRRVYSTVEAGKDTVEAAKDLASRLSENKDQNLEVYPDPSGDPTKFFTRPDDLNQDVYQIALFLAVLYFIVQFLKSTI* >Brasy1G293100.1.p pacid=40057099 transcript=Brasy1G293100.1 locus=Brasy1G293100 ID=Brasy1G293100.1.v1.1 annot-version=v1.1 MLLAVEGGGFFSSSASEYSHGLALLLLGWKEEEKPVKVLPWNQYRLVDGDAEQVYQLTSRKNQAPVKCAPFISFGCTASGSRGHLLRNCVQRTHWALWKSHLVQRRSEGEEPCESVEEVQALKAGIERRKVQWTDTCGKEPFEIREFEASDGGLPDDELENEGFRKCECVIQ* >Brasy1G280600.1.p pacid=40057100 transcript=Brasy1G280600.1 locus=Brasy1G280600 ID=Brasy1G280600.1.v1.1 annot-version=v1.1 MAAAVVPRAAVLVAVVASLACLAWAEPPASERSALLAFLTATPHERRLGWNASTPACGWVGVTCDAAKSTVVQLRLPGVGLVGAIPPATIGRLTNLQVLSLRSNRIFGAIPDDLLQLSSLRSLFLQNNLISGAIPSGVSKLAALERLVLSHNNLSGPIPFALNSLTKLRAVRLEGNRLSGKIPSISIPGLTAFNVSDNNLNGSIPQPLARFPADSFTGNLQLCGKPLPACTPFFPSPAPAPGMSPGDEPVPGSGKKRKLSGAAIVGIIVGAVVAALLLLALIVCCVVSRRRRAAAGTREGPKGTAAAVGQTRGVAPPASGDGTGMTSSSKEDMGGGTSGSVAAAAVAAGAGTGEPSRLVFLGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPTGSLSAMLHGSRGSGRTPMDWDARMRSALSASRGLAHLHSAHNLVHGNVKSSNVLLRPDYDAAALSDFCLHTIFAPTSSRAGAGGYRAPEVVDTRRPTFKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGQTTTEESARGTTSEEERSRGTPPAAPTP* >Brasy1G349700.1.p pacid=40057101 transcript=Brasy1G349700.1 locus=Brasy1G349700 ID=Brasy1G349700.1.v1.1 annot-version=v1.1 MSWEQPEEEEEHRYVRMPPEGDAHATASSSSFRLPESARVFDELPRARIIGVSRPDAGDITPIMLSYTIEVQYKQFRWLLYKKASQVLYLHFTLKRRAFLEEFHEKQEQVKDWLQNLGIGEHMPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKANTGIYQDVFLCVPNDHIHSRYQFRQTLTLWKEKIGHTTIDLGVALEKTEILEEDGEPVVVGTDPMGRLQAVRGNLVSFPLEFLCQEDLRPFFSESEYYTSPQVFH* >Brasy1G349700.2.p pacid=40057102 transcript=Brasy1G349700.2 locus=Brasy1G349700 ID=Brasy1G349700.2.v1.1 annot-version=v1.1 MSWEQPEEEEEHRYVRMPPEGDAHATASSSSFRLPESARVFDELPRARIIGVSRPDAGDITPIMLSYTIEVQYKQFRWLLYKKASQVLYLHFTLKRRAFLEEFHEKQEQVKDWLQNLGIGEHMPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKVPISANLNSLEGENWPHDHRPGCCPRENRNS* >Brasy1G349700.7.p pacid=40057103 transcript=Brasy1G349700.7 locus=Brasy1G349700 ID=Brasy1G349700.7.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKANTGIYQDVFLCVPNDHIHSRYQFRQTLTLWKEKIGHTTIDLGVALEKTEILEEDGEPVVVGTDPMGRLQAVRGNLVSFPLEFLCQEDLRPFFSESEYYTSPQVFH* >Brasy1G349700.3.p pacid=40057104 transcript=Brasy1G349700.3 locus=Brasy1G349700 ID=Brasy1G349700.3.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKANTGIYQDVFLCVPNDHIHSRYQFRQTLTLWKEKIGHTTIDLGVALEKTEILEEDGEPVVVGTDPMGRLQAVRGNLVSFPLEFLCQEDLRPFFSESEYYTSPQVFH* >Brasy1G349700.4.p pacid=40057105 transcript=Brasy1G349700.4 locus=Brasy1G349700 ID=Brasy1G349700.4.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKANTGIYQDVFLCVPNDHIHSRYQFRQTLTLWKEKIGHTTIDLGVALEKTEILEEDGEPVVVGTDPMGRLQAVRGNLVSFPLEFLCQEDLRPFFSESEYYTSPQVFH* >Brasy1G349700.9.p pacid=40057106 transcript=Brasy1G349700.9 locus=Brasy1G349700 ID=Brasy1G349700.9.v1.1 annot-version=v1.1 MSWEQPEEEEEHRYVRMPPEGDAHATASSSSFRLPESARVFDELPRARIIGVSRPDAGDITPIMLSYTIEVQYKQFRWLLYKKASQVLYLHFTLKRRAFLEEFHEKQEQVKDWLQNLGIGEHMPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQDIKVYTRVGQWDTHTTHTCTSSSQQPHFLSEDLMLPF* >Brasy1G349700.5.p pacid=40057107 transcript=Brasy1G349700.5 locus=Brasy1G349700 ID=Brasy1G349700.5.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKVPISANLNSLEGENWPHDHRPGCCPRENRNS* >Brasy1G349700.6.p pacid=40057108 transcript=Brasy1G349700.6 locus=Brasy1G349700 ID=Brasy1G349700.6.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKVPISANLNSLEGENWPHDHRPGCCPRENRNS* >Brasy1G349700.8.p pacid=40057109 transcript=Brasy1G349700.8 locus=Brasy1G349700 ID=Brasy1G349700.8.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRHWEAGKFSLSLRLSLWTEHLGLRAGEVSQIMDPVADLTYKNIWMATAKVPISANLNSLEGENWPHDHRPGCCPRENRNS* >Brasy1G349700.11.p pacid=40057110 transcript=Brasy1G349700.11 locus=Brasy1G349700 ID=Brasy1G349700.11.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQDIKVYTRVGQWDTHTTHTCTSSSQQPHFLSEDLMLPF* >Brasy1G349700.10.p pacid=40057111 transcript=Brasy1G349700.10 locus=Brasy1G349700 ID=Brasy1G349700.10.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQVIRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDLVGSKAHDYISFYGLRAHGRLGDGGPLVTNQDIKVYTRVGQWDTHTTHTCTSSSQQPHFLSEDLMLPF* >Brasy1G349700.12.p pacid=40057112 transcript=Brasy1G349700.12 locus=Brasy1G349700 ID=Brasy1G349700.12.v1.1 annot-version=v1.1 MSWEQPEEEEEHRYVRMPPEGDAHATASSSSFRLPESARVFDELPRARIIGVSRPDAGDITPIMLSYTIEVQYKQFRWLLYKKASQVLYLHFTLKRRAFLEEFHEKQEQVKDWLQNLGIGEHMPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQIPSTGDQALSVVLKLFSVNI* >Brasy1G349700.13.p pacid=40057113 transcript=Brasy1G349700.13 locus=Brasy1G349700 ID=Brasy1G349700.13.v1.1 annot-version=v1.1 MPVVHEDEEADDVHVPSQQDDHSVKNRNVPSSAVLPVIRPALGRQQSISDRAKIAMQQYLNHFFGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIDTNSKERCCSSSCFSFCNSSWQKVWAVLKPGFLALFQDPFDPKLLDIVIFDVSPQMHRNEEGQITLAKQIKERNPLHFGFEVSSGARTIKLRTGSSAKVKDWVTAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSIVQWFIDGQAAFNAIASSIEQAKSEIFITDWWLCPELYLRRPFHYHGTSRLDILLESKAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQICYIGGLDLCFGRYDTPEHKVVDSPSSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGKSKETNGEIESKQTRDQDIKIRRLSSLPTASSCQDIPLLLPQDPDHQALPNGDLGLNDSDINHYLLDHPNKTDLKQSLSNRKAKQDLSLQDLQLKGFVDNLGSPDISLVTHRDTSKPNVHHMDKEWWETQERGSQVASVLDVGEVGPRTTCRCQIPSTGDQALSVVLKLFSVNI* >Brasy1G543000.1.p pacid=40057114 transcript=Brasy1G543000.1 locus=Brasy1G543000 ID=Brasy1G543000.1.v1.1 annot-version=v1.1 MRTPSLLQLFVVVSFQRDTAANDEYPPGPEKVTKLHFYLHDTLSGKDPSAVLALTGDPTPISPVYATDDVLTEGPQRASRVVGSTQGLYVSSGRKQLTDHGNASSFVVFSRNPVLAGDGRELAVVGGRGKFRMARGFALLRTQCLDTGNGDAIVEYNVTLFHH* >Brasy1G001100.1.p pacid=40057115 transcript=Brasy1G001100.1 locus=Brasy1G001100 ID=Brasy1G001100.1.v1.1 annot-version=v1.1 MPARDVVAWNAMLAGYALHGMYGDTIACLLRMQDDHAPNASTLVALLPLLAQHGELSRGMAVHAYSVRAGSLHDRKDGVLVGTALLDMYAKCGHLVYTSRVFEAMAVRNEVTWSALIGGFVLCGRMLEAFRLFKHMLAQGLCFLSPTSVASALRACANLSDLCLGKQLHGLLAKSGLHTDLTAGNSLLSMYAKAGLIDQATALFDQMVVKDTVSYSALVSGYVQNGKADEAFRVFKKMQACNVQPDVATMVSLIPACSHLAALQHGKCGHGSVIVRGIASETSICNALIDMYAKCGRIDLSRQIFYVMPARDIVSWNTMIAGYGIHGLGKEATALFLDMKHQACEPDDVTFICLISACSHSGLVTEGKRWFHMMAHKYGITPRMEHYIGMVDLLARGGFLDEAYQFIQGMPLKADVRVWGALLGACRVHKNIDLGKRVSSMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRIIQKEQGFKKSPGCSWIEINGSLHAFIGGDRSHAQSSEIYQELDNILVDINKLGYRADTSFVLQDVEEEEKEKALLFHSEKLAIAFGVLTLSEDKTIFVTKNLRVCGDCHTVIKYMTLVRKRTIIVRDANRFHHFKNGQCSCGDFW* >Brasy1G001100.2.p pacid=40057116 transcript=Brasy1G001100.2 locus=Brasy1G001100 ID=Brasy1G001100.2.v1.1 annot-version=v1.1 MPARDVVAWNAMLAGYALHGMYGDTIACLLRMQDDHAPNASTLVALLPLLAQHGELSRGMAVHAYSVRAGSLHDRKDGVLVGTALLDMYAKCGHLVYTSRVFEAMAVRNEVTWSALIGGFVLCGRMLEAFRLFKHMLAQGLCFLSPTSVASALRACANLSDLCLGKQLHGLLAKSGLHTDLTAGNSLLSMYAKAGLIDQATALFDQMVVKDTVSYSALVSGYVQNGKADEAFRVFKKMQACNVQPDVATMVSLIPACSHLAALQHGKCGHGSVIVRGIASETSICNALIDMYAKCGRIDLSRQIFYVMPARDIVSWNTMIAGYGIHGLGKEATALFLDMKHQACEPDDVTFICLISACSHSGLVTEGKRWFHMMAHKYGITPRMEHYIGMVDLLARGGFLDEAYQFIQGMPLKADVRVWGALLGACRVHKNIDLGKRVSSMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRIIQKEQGFKKSPGCSWIEINGSLHAFIGGDRSHAQSSEIYQELDNILVDINKLGYRADTSFVLQDVEEEEKEKALLFHSEKLAIAFGVLTLSEDKTIFVTKNLRVCGDCHTVIKYMTLVRKRTIIVRDANRFHHFKNGQCSCGDFW* >Brasy1G056500.1.p pacid=40057117 transcript=Brasy1G056500.1 locus=Brasy1G056500 ID=Brasy1G056500.1.v1.1 annot-version=v1.1 MELRFASPWQRSNESLAAAAYGRTDDSQRREQRGPTKGAVGHGGRDGGECLYARRAACGAGPRRHATGRRAATACGRTGGRTAAGVAARGWSSKQEQGRCVGKGEEEEQFFRQRHMGPACQCGLGTNI* >Brasy1G105100.1.p pacid=40057118 transcript=Brasy1G105100.1 locus=Brasy1G105100 ID=Brasy1G105100.1.v1.1 annot-version=v1.1 MAGRGSRSRRAAEAKAVGLACSPSSSSPSRYHRQIPLSELRQPPAREEQEGNQPPPPKGEQERNEAWTQADKKNMRRSLLSLIHR* >Brasy1G218800.1.p pacid=40057119 transcript=Brasy1G218800.1 locus=Brasy1G218800 ID=Brasy1G218800.1.v1.1 annot-version=v1.1 MGKISSQKDLFEVSGPNYLTYVNWNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWKFFHFELREALVDAADSSIFGAVYAFQPPYHLADPAAAAGAPHFVVAFRGTITKKGSAYRDLELDLQLVRNGLEHTSRFRAAMQTISNVVAWAGHGRLWLAGHSLGSAISTLGGKAMARAGVVLTTFLFNAPFLSAPVEKIPHKGVKQGIRIAKSFVTAGVATVLQKGAGGGDDAFAALARWVPHVLVNPADPISAEYVGYFDHRKKMEDIGAGAVGRLATRNSVRDLLLGIGKAGGCEPLHLFPSAVLTVNRNPSPDFKTAHGIHQWWRPDLALECSAYSYA* >Brasy1G218800.3.p pacid=40057120 transcript=Brasy1G218800.3 locus=Brasy1G218800 ID=Brasy1G218800.3.v1.1 annot-version=v1.1 MGKISSQKDLFEVSGPNYLTYVNWNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWKFFHFELREALVDAADSSIFGAVYAFQPPYHLADPAAAAGAPHFVVAFRGTITKKGSAYRDLELDLQLVRNGLEHTSRFRAAMQTISNVVAWAGHGRLWLAGHSLGSAISTLGGKAMARAGVVLTTFLFNAPFLSAPVEKIPHKGVKQGIRIAKSFVTAGVATVLQKGAGGGDDAFAALARWVPHVLVNPADPISAEYVGYFDHRKKMEDIGAGAVGRLATRNSVRDLLLGIGKAGGCEPLHLFPSAVLTVNRNPSPDFKTAHGIHQWWRPDLALECSAYSYA* >Brasy1G218800.2.p pacid=40057121 transcript=Brasy1G218800.2 locus=Brasy1G218800 ID=Brasy1G218800.2.v1.1 annot-version=v1.1 MASLVQGVYVLERDRQWNRQGPDARAPAWWKFFHFELREALVDAADSSIFGAVYAFQPPYHLADPAAAAGAPHFVVAFRGTITKKGSAYRDLELDLQLVRNGLEHTSRFRAAMQTISNVVAWAGHGRLWLAGHSLGSAISTLGGKAMARAGVVLTTFLFNAPFLSAPVEKIPHKGVKQGIRIAKSFVTAGVATVLQKGAGGGDDAFAALARWVPHVLVNPADPISAEYVGYFDHRKKMEDIGAGAVGRLATRNSVRDLLLGIGKAGGCEPLHLFPSAVLTVNRNPSPDFKTAHGIHQWWRPDLALECSAYSYA* >Brasy1G284600.1.p pacid=40057122 transcript=Brasy1G284600.1 locus=Brasy1G284600 ID=Brasy1G284600.1.v1.1 annot-version=v1.1 MTGELPIWIGNMSSLSILLLPDNMITGTVPQGIGTLGNIITLDLSYNNFIGPVPEGLGSLHKLASLDLSNNKFNGVLLKEHFSGLLSLVYLDLSYNSLKLDIEPNWVAPFRLKVAGFRSCQLGPRFPEWLRWQTDIDVLVLGNAHLNDTIPDWFWVTFSRASFLQASGNMLCGSLPTNLQHMSADHIYLWSNKLTGQVPLLPINISHLNLSSNYFSGSLPSELKVPLLEELLLANNKITGTIPSSMCQLSGLKRLDLSGNNLSGDVMQCWKESNNANSAAQFGSIMLSLALNNNDLTGEFPRFLQSASQLMFLDLSHNRFSGRLPMWLPEKMPRLEILRARSNMFSGHIPKNLSFLESLRYLDIARNNLSGTIPWSLSNLKAMTATPEDTEDYVFEESIPVITKDQARDYTFGIYKLLVNLDLSGNSLTGEIPVNINLLVALNNLNLSSNQLIGTIPNQIGDLKQLESLDLSYNEFSGEIPSGLSALTSLSHLNLSYNNLSGEIPSGPQLQALDNQIYIYIGNLGTTYT* >Brasy1G536500.1.p pacid=40057123 transcript=Brasy1G536500.1 locus=Brasy1G536500 ID=Brasy1G536500.1.v1.1 annot-version=v1.1 MWQPKTTLLVLVLAAISLLACTGTAEPSGKPLTAAVNFDPSTNLYTSPLNSGRPLLLDLSSTAILTPCSTPTTTNTKVTISAFETDGKKLLEQVSFPAPAFCAAPPSGSGVSGVAGLGPSPLSLSSQVASLQKIPNKFGLCLSRDPNSPGAAIFGGGPLFSPEVITTRLTRRPAEILDVTKIISAEIPLRLPPRAGTLHQVSARNDGGVAVDGELVAAAGAGKGRFPIDIGLSTRTPYTELRDEVYGPFREAYERALGPKAAGAKVPPTAPFELCYDLRRLDVYVLPRVDFMLERGQNWTIADKADLYDMAHGGLQPVSGNNNAACFPYVRMKQSGGMPAVVIGGFHMANRVVVFDEDKQKFSFTPSFISDPPSLGCGHST* >Brasy1G168000.1.p pacid=40057124 transcript=Brasy1G168000.1 locus=Brasy1G168000 ID=Brasy1G168000.1.v1.1 annot-version=v1.1 MAGRYDRNPFEEDDVNPFAGGSVPPASNSRMPPLPHEPAGFYNDRGATVDIPLDSNKDLKQKERDLQTKEADLNKRERELKRREEAAARAGIVIEKKNWPPFMPIIHHDISNEIPIHLQRMQYLAFCSLLGLTVCLFWNVIATAAAWIKGAGVMIWLLAIIYFISGVPLAYVLWYRPLYNAMRTESALKFGWFFLLYSIHIIFCIWSAVSPPFPFKGKSFAGFLPAIDVISSNVIVGIFYFVGFGLFCLESLLSIVVIQQVYMYFRGSGKASQMRQEAARGAMRSAF* >Brasy1G001900.1.p pacid=40057125 transcript=Brasy1G001900.1 locus=Brasy1G001900 ID=Brasy1G001900.1.v1.1 annot-version=v1.1 MEPDKVVVADPDDCTASGEPKACTDCNTTKTPLWRGGPTGPKSLCNACGIRYRKRRRQAMGLDPEVKKKPKKEDAAATNTKAAAGAADQEGKDKEKEKKEPRTHTVELHMVGFAKDVVLKQRRRMRRRKPSCQGEEERAAILLMALSSGVIYA* >Brasy1G422100.1.p pacid=40057126 transcript=Brasy1G422100.1 locus=Brasy1G422100 ID=Brasy1G422100.1.v1.1 annot-version=v1.1 MATVVRSAAALVTPARPTPGGVLPLSSIDRSIVGGLQAHSVQLFDRPHPSTCAVEALREGLARALVPYYPVGGRVTPCGGAVDCTGELGVWLVEATASGCDADGVLDGRVPGELLLPGGEQLASGAVLAAQVTRLSCGGVAVGVSFRHAVLDGRGAGQFLWAVGEHARGVAPSVAPVWARDALIPDIPRSRPRGPPPLPEPEPKPEPEPELKGFVYQVADISPESIARVRKEYLQLKQKPCSVFDAVTAVVFRCRALALLATTTELGEELRLGFAVGTQHLVLPGVAGYYGNCGNLARVRILPASASASASASASASASASASLGELVAAIREGKEKMEARVAGWLRGRCGYFDPAPFPVEDYGTVAVSDVRHLGLTEVDYGFGEPRYFFPPTHHLGFPNVHYVRPPKPKPGVRLLLRCVREPHAAAFAAHLAEFARGRDQVYTCRSRI* >Brasy1G105800.1.p pacid=40057127 transcript=Brasy1G105800.1 locus=Brasy1G105800 ID=Brasy1G105800.1.v1.1 annot-version=v1.1 MAGRGNRRRRAKVEVQAVELAYSRSSHLKTPLSKLRQPPTREGKEGNRPPAKKEQEGNEGWTQPDRKAMRRSLLSLIHRYYLDAISRLPTADLRATLARGLLVAGHCYGPFHPLHNIILNSVWYVSAFPLRDSVWVDVDMISNDGIVQACHRSLDGLVASLRHFCPSLSTGDALWNLMSADADLSDAVALAKRVSKSSAQAAMRSQARAAFRAAAKAARHPNPAAFRLFASSVLPTVKRDIVPFLRVKHMLSSMDIEHLVKSLAPDSPKELLQPPLMTAPDVHDAIVSHKKLEEAVVEVVRVALFKHTLQSEEQFVLHSVCGVNLLKNESLDNCYHINFFAHRKESGPVLGAPLLFFTEAIVPAVDESSIRLCVVVDPLTEIGSCFACETNKKMIVHPTYDEYLGGRDFQLDDVDSDGDFPNPLDLDHNLFLC* >Brasy1G534000.1.p pacid=40057128 transcript=Brasy1G534000.1 locus=Brasy1G534000 ID=Brasy1G534000.1.v1.1 annot-version=v1.1 MGCARCTMPCCAPQHEVVFDANLIKNSTLEDGLAGWAPLGACTKLSARVEEPAMVPTETINDGCKPSGRYILASGRGCEEDGLCQAISASALKPRVTYRVAGWISLGAGADNGDAVVRVSIRLDGNGVGGEDEEGEKKCLVVEGGAVCAEAGKWTELKGAFRLKASPAAGAMVQVQGAPPGVDVKVMDLQVFATDRKARFKKLKKKTDKVRRRDVVLKFGGAGSGSASSAISGASIRVMQMDTSFAFGACINPAVIQDQGFVDFFTKHFDWAVFENELKWYHTEPAQGQLNYADTDALLDFCDAHGKPVRGHCIFWAVDNVVQQWVKALDKDGLNAAVQARLNSLLTRYAGRFPHYDVNNEMLHGSFFQARLGDDINAFMFKETARIDPGATLFVNDYNVEGGMDPNATPEKYIAQINALQDKGAPVGGIGLQGHVTNPVGEVVCDALDKLATTDLPIWLTELDVCESDVDLRAEDLEVVLREAYAHPGVEGVIFWGFMQGHMWRQDACLVNADGTVNDAGERFIDLRREWTSHARGKIDSDGHFKFRGYHGSYVVQLSTATGKMHKTFSVEKGDTPLVLDMDV* >Brasy1G565800.1.p pacid=40057129 transcript=Brasy1G565800.1 locus=Brasy1G565800 ID=Brasy1G565800.1.v1.1 annot-version=v1.1 MMGGGDASPVVESFLHHHDPYDADYYSSDNCSPDNSSSIALFTLTGGGNMSSDELRSRSNDVPAGVDLDLFGHCTANTRCLDGSNIVG* >Brasy1G500500.1.p pacid=40057130 transcript=Brasy1G500500.1 locus=Brasy1G500500 ID=Brasy1G500500.1.v1.1 annot-version=v1.1 MSSDYANYTVFMPPTPDNQPGAAPSAAPGGSTKPDDLPLPPYSSASKLVNRRGGDDGAAEGKMDRRPSTARVATPSKTLLLRSQTGDFDHNRWLFETKGTYGIGNAYWPQDGTAYANDDGSTRGGGGSVRMEDLVDKPWKPLSRKVPIPPGILSPYRLLVMVRFVALFLFLIWRATNPNPDAMWLWGISIVCEYWFAFSWLLDQMPKLNPINRAADLAALREKFESATPSNPTGRSDLPGLDVFISTADPYKEPPLVTANTLLSILATDYPVEKLFVYISDDGGALLTFEAMAEACAYAKVWVPFCRKHSIEPRNPDAYFNQKGDPTKGKKRPDFVRDRRWIKREYDEFKVRINDLPEAIRQRAKAMNAREHKLARASSSEASPSTVKATWMADGTHWPGTWLDSAPDHGKGDHASIVQVMIKSPHLDVVYGDAGDRTYLDLTNVDVRIPMLVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYVYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRATEYHGVVGQTKVPIDPHVSVRPGESSGPMLEHPDHEAPQRFGKSKLFVESIAVAEYQGRPLQDHPSVRNGRPPGALLMPRPSLDAATVAEAVSVISCWYEDTTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALLASRRLMFLQRMSYLNVGIYPFTSIFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLISITLILLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKITAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAIIGINIIAMVVGVSRCVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDRITQGGIQV* >Brasy1G320700.1.p pacid=40057131 transcript=Brasy1G320700.1 locus=Brasy1G320700 ID=Brasy1G320700.1.v1.1 annot-version=v1.1 MSREEMMCAHLSCGHLEDISHPFRRQGDPVECGVGAYELTCSSNGEATIHIDTRTYYVTAINHTDACFSVMDANFYSNSSCSLPMWNYFNHYNCRYNGNDAEFRNLGTRALYSACFANCSRAITNNSVYKPVDCLSANNSHVYVWVSERRCSVDELEPYCGFLALSPLRDNHCYDITQLIRKGFVVHFPIDCGIWRSSVSKTINLCRNNSIR* >Brasy1G173400.1.p pacid=40057132 transcript=Brasy1G173400.1 locus=Brasy1G173400 ID=Brasy1G173400.1.v1.1 annot-version=v1.1 MAETVISLAITKIGIALAREATRQAISQFAKYATQLTQLHGSMGRVMRELRVMHDSLCQMDIRNRGNQVYEGWLEEVRKVVHVIEDMVDEYLHLVGQERHVRWSSYMKNGFKKPKTRLSLNRIASMVKETEANLAHISQTKDRWVPMINNVDNTNSSYIVQRSQDLASISRSLGEDLVGIEDNKQKLSQWFVDDELVRSVIVLQGMGGLGKTVLAATIYKKEREKYDCHAWVSISQTYTREDVLRRLTFELFREKQNVPSNIATMEFQAIQEILYKFLEQKKYLIILDDVWKPEAFTDLFGALPNLMGSRIIITTRIADVAHLAFQDRTLTLEPLLENESWKLFCNKAFMNSECPAELETLSKQIVGKCEGLPLAIVSIGSLLFVRDKTREEWQRLHDQLSWELNNNPRLDHVRNVLYLSFIYLPTYLKSCFLYCSLFPEDYLLKRKHLSRLWVAEGFIEKRGESTLEEVAEGYIKELIQRNMLQLVQRNSFDRMKSFRMHDIVRELAVDLCHKYCFGVTYEEDKCGGSLEKDGRRFVVHKQKKDIHQFISSTYQLRSIITLDNSMPSSTLLPLIAETSRYMSVLELSGLPIEKVPNAIGDLFNLRHLGLRGSKVKLLPDSIKKLSNLLTLDLCDSSIHELPEGLVKLNKLRHLFAERVNDPYWRDLPCRSGVCIPKGLEKLTNLQTLEALEAHDESVTRLGELRQLRSLRVWNVKEIYCGRLCESLSEMRFLSYLSVNASDENEVLRLSVLLPNLQKLRLRGRLAEDMFEKSHFFQEAGQHLKSLRLDWSQLRGDPLPSLSRLSNLTDLDLTQAYVGEQLIFLAGWFPKLKSLDLIDLPNLDRLEIEQGAMVNLERLRLINLSSMVEVPTGIEFLVHLKYLLFGQIVPHFLELLHQCSRIGGMRWWYSLQD* >Brasy1G173400.2.p pacid=40057133 transcript=Brasy1G173400.2 locus=Brasy1G173400 ID=Brasy1G173400.2.v1.1 annot-version=v1.1 MAETVISLAITKIGIALAREATRQAISQFAKYATQLTQLHGSMGRVMRELRVMHDSLCQMDIRNRGNQVYEGWLEEVRKVVHVIEDMVDEYLHLVGQERHVRWSSYMKNGFKKPKTRLSLNRIASMVKETEANLAHISQTKDRWVPMINNVDNTNSSYIVQRSQDLASISRSLGEDLVGIEDNKQKLSQWFVDDELVRSVIVLQGMGGLGKTVLAATIYKKEREKYDCHAWVSISQTYTREDVLRRLTFELFREKQNVPSNIATMEFQAIQEILYKFLEQKKYLIILDDVWKPEAFTDLFGALPNLMGSRIIITTRIADVAHLAFQDRTLTLEPLLENESWKLFCNKAFMNSECPAELETLSKQIVGKCEGLPLAIVSIGSLLFVRDKTREEWQRLHDQLSWELNNNPRLDHVRNVLYLSFIYLPTYLKSCFLYCSLFPEDYLLKRKHLSRLWVAEGFIEKRGESTLEEVAEGYIKELIQRNMLQLVQRNSFDRMKSFRMHDIVRELAVDLCHKYCFGVTYEEDKCGGSLEKDGRRFVVHKQKKDIHQFISSTYQLRSIITLDNSMPSSTLLPLIAETSRYMSVLELSGLPIEKVPNAIGDLFNLRHLGLRGSKVKLLPDSIKKLSNLLTLDLCDSSIHELPEGLVKLNKLRHLFAERVNDPYWRDLPCRSGVCIPKGLEKLTNLQTLEALEAHDESVTRLGELRQLRSLRVWNVKEIYCGRLCESLSEMRFLSYLSVNASDENEVLRLSVLLPNLQKLRLRGRLAEDMFEKSHFFQEAGQHLKSLRLDWSQLRGDPLPSLSRLSNLTDLDLTQAYVGEQLIFLAGWFPKLKSLDLIDLPNLDRLEIEQGAMVNLERLRLINLSSMVEVPTGIEFLVHLKYLLFGQIVPHFLELLHQCSRIGGMRWWYSLQD* >Brasy1G173400.3.p pacid=40057134 transcript=Brasy1G173400.3 locus=Brasy1G173400 ID=Brasy1G173400.3.v1.1 annot-version=v1.1 MAETVISLAITKIGIALAREATRQAISQFAKYATQLTQLHGSMGRVMRELRVMHDSLCQMDIRNRGNQVYEGWLEEVRKVVHVIEDMVDEYLHLVGQERHVRWSSYMKNGFKKPKTRLSLNRIASMVKETEANLAHISQTKDRWVPMINNVDNTNSSYIVQRSQDLASISRSLGEDLVGIEDNKQKLSQWFVDDELVRSVIVLQGMGGLGKTVLAATIYKKEREKYDCHAWVSISQTYTREDVLRRLTFELFREKQNVPSNIATMEFQAIQEILYKFLEQKKYLIILDDVWKPEAFTDLFGALPNLMGSRIIITTRIADVAHLAFQDRTLTLEPLLENESWKLFCNKAFMNSECPAELETLSKQIVGKCEGLPLAIVSIGSLLFVRDKTREEWQRLHDQLSWELNNNPRLDHVRNVLYLSFIYLPTYLKSCFLYCSLFPEDYLLKRKHLSRLWVAEGFIEKRGESTLEEVAEGYIKELIQRNMLQLVQRNSFDRMKSFRMHDIVRELAVDLCHKYCFGVTYEEDKCGGSLEKDGRRFVVHKQKKDIHQFISSTYQLRSIITLDNSMPSSTLLPLIAETSRYMSVLELSGLPIEKVPNAIGDLFNLRHLGLRGSKVKLLPDSIKKLSNLLTLDLCDSSIHELPEGLVKLNKLRHLFAERVNDPYWRDLPCRSGVCIPKGLEKLTNLQTLEALEAHDESVTRLGELRQLRSLRVWNVKEIYCGRLCESLSEMRFLSYLSVNASDENEVLRLSVLLPNLQKLRLRGRLAEDMFEKSHFFQEAGQHLKSLRLDWSQLRGDPLPSLSRLSNLTDLDLTQAYVGEQLIFLAGWFPKLKSLDLIDLPNLDRLEIEQGAMVNLERLRLINLSSMVEVPTGIEFLVHLKYLLFGQIVPHFLELLHQCSRIGGMRWWYSLQD* >Brasy1G471000.1.p pacid=40057135 transcript=Brasy1G471000.1 locus=Brasy1G471000 ID=Brasy1G471000.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASSKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEETSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKRYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy1G269500.1.p pacid=40057136 transcript=Brasy1G269500.1 locus=Brasy1G269500 ID=Brasy1G269500.1.v1.1 annot-version=v1.1 MSKMSSLRGFGNIAKRWRELNGANYWKGLLDPLDVDLRKNIINYGELSQAAYTGLNRERRSRYAGSCLFRRKDFLSRVDVSNPNLYEITKFIYAMCTVSLPDGFMIKSLSKAAWSKQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRILEWMDDLDISLVPASEIVRPGSADDPCVHGGWLSVYTSTDPGSRYNKQSARYQVLDEIKRLQDVYKQEETSITITGHSLGAALATISATDIVSNGYNQSCPVSAFVFGSPRVGNSDFQKSFDSAEDLRLLRVQNSPDVVPKWPKLGYSEAGTEVMIDTGESPYIKTPGNPLTWHDMECYMHGIAGTQGSNGGFELEVDRDIALVNKHEDALKNEYSIPSSWWVMQNKGMVKGKDGRWHLADHEDDD* >Brasy1G269500.2.p pacid=40057137 transcript=Brasy1G269500.2 locus=Brasy1G269500 ID=Brasy1G269500.2.v1.1 annot-version=v1.1 MVRITGRVYLIHSMSTSVRISSTTVSSHRQLTLGSTEREDQVSLPDGFMIKSLSKAAWSKQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRILEWMDDLDISLVPASEIVRPGSADDPCVHGGWLSVYTSTDPGSRYNKQSARYQVLDEIKRLQDVYKQEETSITITGHSLGAALATISATDIVSNGYNQSCPVSAFVFGSPRVGNSDFQKSFDSAEDLRLLRVQNSPDVVPKWPKLGYSEAGTEVMIDTGESPYIKTPGNPLTWHDMECYMHGIAGTQGSNGGFELEVDRDIALVNKHEDALKNEYSIPSSWWVMQNKGMVKGKDGRWHLADHEDDD* >Brasy1G448700.1.p pacid=40057138 transcript=Brasy1G448700.1 locus=Brasy1G448700 ID=Brasy1G448700.1.v1.1 annot-version=v1.1 MVIPAAAGASATLRKEAEAAVMLFRDGRHEEALARADELAAAHTGSSLVLYTAGLLHHDHARRAKGVADKEVAAHHFNNAEVYLTEARRLVPNCIDISALLARALFEASKHDEGEAEILRAVGIPFPVDPAENNVMYDEDTCASTTKDQRVENSRERALEAVQAMREWMCNNHVPKVVRKVLEVELDADDRKGAAEALRTANDLAKRCHYSSCAQLFCAYMKLNFARGLDATMDRRPFLDRIRSDMTEAVSLFDRSMVLSMFRAKLCFVLGFYDDAYLECGRAFTIKKAIDPKLEDVPPGSVIGQERADRLLSINKEFGRLIQRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTICDALSFVKKTRSWRFWICPYCVGKKLPDTASLLRHMCTKHPAEKDLLVLQTLLDPNQNHDTSVDDNSSDDITVGQDSEDNYFFCFKKTDQIFERLFILPSTVTNAKPFAEIREKKCKEGTEILEKMKQKLKNLPTAKLSAEFDKARREIEGLWCDFLSTSVLDYRVVLLPLAESFIWTKLIKSTSEDKASSKSIDNSDIDTMFPDVVHAPGSEMVLEYMLKYLEGNKNHKSGDDQETENMKPSGLEMSLVDDQKNEESEALVEDGNSGTILDKKSSDPVVDMDEIDVWKIAARIANVELDKKGTSGQSAGDMASSSSCQPSVDIYENNNADKVLFSLRVIIQSLCNLKNFRDKLLTEALKWNPYSENPCIADILCGIFFAWERYEPYPAFDILTSVKNILCRLEDDSSIYEKVGESFASKTVITILIELHMLETSLSFSSNTGSERKVVNPITCGDCICPTHSLFGINFDAQMRCSCGKCSDKYLYRTLFHTLDAGSAQTKKIKSFAELQYILDEQFSEGNTCKHCGTIENVGLFLSNTPHCFTIVLNWASGSESQDTLSEVLAGITSLLDAEFFCRSSHSATKYIVASMICYADERYVCFARDEDNWLIYDSETVKKVDTWEHLLESFKDCKLQPEVLFFEVIK* >Brasy1G266000.1.p pacid=40057139 transcript=Brasy1G266000.1 locus=Brasy1G266000 ID=Brasy1G266000.1.v1.1 annot-version=v1.1 MPPRRKAAPRASPSLDPTGSQVSLGAQSVGEKSKAETTMPSRRSGARSTGSLPTAAESPPTTKNETLPPPPQPHSRTGRSRPKDGCRVAATPPQPRHLSPQRRDSQPLGRPKKPPRRQLPRAPAHRAAQHRRTAGSGSGDPERGSAQGAASARPVRGPPGPRLSRAADPATAPGHRRPQRQTSPLHLATTPAAPGPAAASAYEDRAGRGAAAKPPRPPPWPPQAHPPESAATTENPRDPSERGARRHCGRGGQQRWRLGGDEEEALAAAAAARVSPRCRPLRSDTGLLGRYKQSHPERAPGVRLSEALSACAGARRIEKRVSTNQGLLLLPRPPPAPPGARLAVAARCPGPGSARDATIAPKKTYVQSADQAAGAFPAGQPAHCADAAQGQS* >Brasy1G059300.1.p pacid=40057140 transcript=Brasy1G059300.1 locus=Brasy1G059300 ID=Brasy1G059300.1.v1.1 annot-version=v1.1 MYCSWGLIALHGTKGRSTLCLSLSGQTAACSSTKQYAGKKLAGFSLG* >Brasy1G464100.1.p pacid=40057141 transcript=Brasy1G464100.1 locus=Brasy1G464100 ID=Brasy1G464100.1.v1.1 annot-version=v1.1 MGSQAIEANREGAEVYRGAALCQEKSVELLAETNMPLGLLPLAEMEEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYAAEVTAYVEDRKMKRMTGVKTKELLIWVSLCDMYIDKDDRSKITFKTPSGLGRTYPVSAFAKEGGDAAADAKAKAPAAANGSETAVAK* >Brasy1G095300.1.p pacid=40057142 transcript=Brasy1G095300.1 locus=Brasy1G095300 ID=Brasy1G095300.1.v1.1 annot-version=v1.1 MNNPRHQHFPLPPSIVSSVQQHPSQSQLSSPTPAAPAPTAEISMDPRVWRRLPPPLVDRVLACLPTPSFLRCRAACRRFYHLLFSSPFLHSHLLLSPHLPFFAFLPHHQQPPSSHLLLFNPTAQPQAQPWSLLPLPLPAAGRAFFAPAAASGGLLAFVSSAPGHKTLLLVNPITRLLAALPLCPGQRLSPTVGLAAGPTSIVAVVAGDDLVSPFAVKNISADTFVADAGSVPSSGFWRAPASLLPRLSSLDPRHGMAFASGRFYCMSEAPYAVLEYDVAGNAWRKLQPPMRRFLRWPALVELGGHGHGREGCSSSRVGLVACVEKSRLSVPRSVRVWTLRNGTGTGTGNAWSEVARMPEEIHARFAAAEAGRGFECAAHGDFVVLAPRGGNTGAAGTEVLVFDAPSEEWRWAPPCPYVVGGGGGFRVVPYEPRLATPAVGLLDAATPVALQHGMHG* >Brasy1G473500.1.p pacid=40057143 transcript=Brasy1G473500.1 locus=Brasy1G473500 ID=Brasy1G473500.1.v1.1 annot-version=v1.1 MSVLAAALPWPAPASCAAAVALLLATAAALWLLYLRLLPVTARKRRTTEARLPPGSFGWPLVGETLDFVSCAYSPQPESFVDNRRLRHGSAVFRSHLFGAATVVSADAEVSRAVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLAGAFFKSPRLKRQVTADMQRRLAPALAAWRAQGPGARLRIQDHAKTIVFEILVRGLIGLEAGPEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQRIIQEKRKRRIVAGEDEAPRDAIDVLMGDGSGELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIISGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDELYEDPYKFNPWRWKEKDMMSSSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDRIVNFPTVRLKGGLPIRVTSKD* >Brasy1G473500.2.p pacid=40057144 transcript=Brasy1G473500.2 locus=Brasy1G473500 ID=Brasy1G473500.2.v1.1 annot-version=v1.1 MSVLAAALPWPAPASCAAAVALLLATAAALWLLYLRLLPVTARKRRTTEARLPPGSFGWPLVGETLDFVSCAYSPQPESFVDNRRLRHGSAVFRSHLFGAATVVSADAEVSRAVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLAGAFFKSPRLKRQVTADMQRRLAPALAAWRAQGPGARLRIQDHAKTIVFEILVRGLIGLEAGPEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQRMARLIQRIIQEKRKRRIVAGEDEAPRDAIDVLMGDGSGELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALQQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRMGNIISGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDELYEDPYKFNPWRWKEKDMMSSSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDRIVNFPTVRLKGGLPIRVTSKD* >Brasy1G091800.1.p pacid=40057145 transcript=Brasy1G091800.1 locus=Brasy1G091800 ID=Brasy1G091800.1.v1.1 annot-version=v1.1 MASSSASSVPAPGAVITLAAAAAAANGGVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAVISILQRNLRQLQQDLARAKFELSKYQSAAGQNGQQAAMAEFIGSAVPNGFINVGHSAALGGFGQDQQFAAVQMLSRSYAEGEPIARLGLNGGYEFGYSAAMAGAGSVSGGLGMLGGSPFLKPGIAGTDDRAGAGQ* >Brasy1G011900.1.p pacid=40057146 transcript=Brasy1G011900.1 locus=Brasy1G011900 ID=Brasy1G011900.1.v1.1 annot-version=v1.1 MFAVIAFLVCIVCMVVIGIFNLAEGLTAFPPEAAAEGVGLMAGAGAAVTAAAVAMLAGFFLCCYCCGRKEAL* >Brasy1G343600.1.p pacid=40057147 transcript=Brasy1G343600.1 locus=Brasy1G343600 ID=Brasy1G343600.1.v1.1 annot-version=v1.1 MKRNKDAGIVALARPEPESGQGNPRSRQRQDDGGRRRALQGERAHRCAGEDAACGGGAGGATGDIGCGGRVGQYQSRIRGSNVYDRPKKVMNDDCKGKEKYKKLKDRRKRPMSPSAQWWWRLLRLPRVQGHGRFGAHRCCCPVRCRSDLHPAKVPSQTIAMVKLQVVLRE* >Brasy1G042400.1.p pacid=40057148 transcript=Brasy1G042400.1 locus=Brasy1G042400 ID=Brasy1G042400.1.v1.1 annot-version=v1.1 MEDAGALQLLAAATTAVAVVVVVALRWLLARRAAGGWKQRPLLPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSITTLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLDTMRHWEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESLRREYVKLIDGFFSIPFPFASLLPFTTYGQALKSRKKVAGALREVIRKRMEERGEESGMKDEAEAKREKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTVAVKFLTETPAALAQLKEEHENMTNMKGEDQPLEWSDYKSMTFTQCVINETLRVANIISGVFRRANTDIHFKGYTIPKGCKIFASFRAVHLNNDHYENARTFDPWRWQSNHKLQNEVGANLFTPFGGGPRLCPGYELARVVISVFLHHLVMRFRCHSDPWCILANQFAAGKQLRKIGLSSFPPHEP* >Brasy1G042400.2.p pacid=40057149 transcript=Brasy1G042400.2 locus=Brasy1G042400 ID=Brasy1G042400.2.v1.1 annot-version=v1.1 MEDAGALQLLAAATTAVAVVVVVALRWLLARRAAGGWKQRPLLPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSITTLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLDTMRHWEPAATVRLLDEAKKITFNLTVKQLVSIDPGPWTESLRREYVKLIDGFFSIPFPFASLLPFTTYGQALKSRKKVAGALREVIRKRMEERGEESGMKDEAEAKREKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTVAVKFLTETPAALAQLKEEHENMTNMKGEDQPLEWSDYKSMTFTQCVINETLRVANIISGVFRRANTDIHFKGYTIPKGCKIFASFRAVHLNNDHYENARTFDPWRWQSNHKLQNEVGANLFTPFGGGPRLCPGYELARVVISVFLHHLVMRFSWEAAEEDRLVFFPTTRTLKGYPINLRQRS* >Brasy1G490100.1.p pacid=40057150 transcript=Brasy1G490100.1 locus=Brasy1G490100 ID=Brasy1G490100.1.v1.1 annot-version=v1.1 MIPHGETKISPEFHLLGSVYVSVWRSALPQKRDYQEGVEDAHPDDPEQPEVDGHVLYTKGGGLPHGRLLIGDGAVRKVDVIAAAKGRKSRPSTSDSYQHLSEENHQLRRANEGLTQHNVHLTQQQEVDHELIMGAPGSSHAGSQSIHNDGMDGAGTSANGNNNGVSVEINDTAAIGLDNNRGDNVAGENGSDDDSEALYANSDIDASHDDDARAGREADHDAS* >Brasy1G237000.1.p pacid=40057151 transcript=Brasy1G237000.1 locus=Brasy1G237000 ID=Brasy1G237000.1.v1.1 annot-version=v1.1 MQLFSGRSKKNGGSGKSQSDTSTANAKHKDGGSRCRALCCGASTRLSVSSSSSASCSSCLDAPDQPRGHLPSLAHGMVQARLQSMIDAAGNDNDSRSSARRGTTTTEPAERRRWPPCSCSCASGGGGYYEKKKSSAPKPKPKPARERKPPCVVLVAVDKRTYAPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSCKGN* >Brasy1G389600.1.p pacid=40057152 transcript=Brasy1G389600.1 locus=Brasy1G389600 ID=Brasy1G389600.1.v1.1 annot-version=v1.1 MGSPEATPFARAHLRRLIQYGSAESHSKMAGRMTSKEGNRSSVIIMDEPSGKTMQSLPDTLSSLKGFNKYLTPSWIESVSNIIKELTPTKPQKVTEEKAQNIFEHDETESDTKIAKIQDEMDSLNAHLKQITVQKRESLNNYLDLKGSIRVFCRIRPFSHEESYSYRTMFTLAESNVFLKVAETKTKQYKFDKVFDPCSTQGDVFSEVEPVIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQVLFNRASESKNRFLFTFSMLEIYMGNLRDLLVPGSKTNGFTNAPSLSIKTDPDGGIEIENLVAITVNNFQEVKRLYGMGTRLRSTASTMANSTSSRSHCLIRISLTSFNAPERKQARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDFCETICTLGFATRVRSIRLESEESPEVKARKEHLLMELEQKVSDLEQECEDITRKVKKLEETMEHLKGPQPSFSTNLIISHPSTEQLKIDILKNVRSLKNRGEVSSRLPRFMKPTAASQQRIGLNNSIPSINRMKPPVPPKRRPSSVYAESVRLPLNANTWQSECSSECSLSMTSDMNWTPSILDGTECSQEASEYEIKQVIFSEHEKPSQGQPISFKECQLTESGNMKNKTEDRGIIDIDKWIHQQILENTGTCQSKMVLTVPKVTEEETPSIPSPIKMEKTEGCKQVQDEGSEIALRYPPYHLKDIKHTANHLAAVELCSPPSKEFYSNDESKKHKSGTLAYHGRSRRSLQEELNECMLKPEKESKADPSTHPGIRFQEEEHNIGKLTKFFQALQTAWVGVLLGLGTVSLGFEHDFFQSLML* >Brasy1G389600.3.p pacid=40057153 transcript=Brasy1G389600.3 locus=Brasy1G389600 ID=Brasy1G389600.3.v1.1 annot-version=v1.1 MGSPEATPFARAHLRRLIQYGSAESHSKMAGRMTSKEGNRSSVIIMDEPSGKTMQSLPDTLSSLKGFNKYLTPSWIESVSNIIKELTPTKPQKVTEEKAQNIFEHDETESDTKIAKIQDEMDSLNAHLKQITVQKRESLNNYLDLKGSIRVFCRIRPFSHEESYSYRTMFTLAESNVFLKVAETKTKQYKFDKVFDPCSTQGDVFSEVEPVIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQVLFNRASESKNRFLFTFSMLEIYMGNLRDLLVPGSKTNGFTNAPSLSIKTDPDGGIEIENLVAITVNNFQEVKRLYGMGTRLRSTASTMANSTSSRSHCLIRISLTSFNAPERKQARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDFCETICTLGFATRVRSIRLESEESPEVKARKEHLLMELEQKVSDLEQECEDITRKVKKLEETMEHLKGPQPSFSTNLIISHPSTEQLKIDILKNVRSLKNRGEVSSRLPRFMKPTAASQQRIGLNNSIPSINRMKPPVPPKRRPSSVYAESVRLPLNANTWQSECSSECSLSMTSDMNWTPSILDGTECSQEASEYEIKQVIFSEHEKPSQGQPISFKECQLTESGNMKNKTEDRGIIDIDKWIHQQILENTGTCQSKMVLTVPKVTEEETPSIPSPIKMEKTEGCKQVQDEGSEIALRYPPYHLKDIKHTANHLAAVELCSPPSKEFYSNDESKKHKSGTLAYHGRSRRSLQEELNECMLKPEKESKADPSTHPGIRFQEEEHNIGKLTKFFQALQTAWVGVLLGLGTVSLGFEHDFFQSLML* >Brasy1G389600.4.p pacid=40057154 transcript=Brasy1G389600.4 locus=Brasy1G389600 ID=Brasy1G389600.4.v1.1 annot-version=v1.1 MGSPEATPFARAHLRRLIQYGSAGRMTSKEGNRSSVIIMDEPSGKTMQSLPDTLSSLKGFNKYLTPSWIESVSNIIKELTPTKPQKVTEEKAQNIFEHDETESDTKIAKIQDEMDSLNAHLKQITVQKRESLNNYLDLKGSIRVFCRIRPFSHEESYSYRTMFTLAESNVFLKVAETKTKQYKFDKVFDPCSTQGDVFSEVEPVIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQVLFNRASESKNRFLFTFSMLEIYMGNLRDLLVPGSKTNGFTNAPSLSIKTDPDGGIEIENLVAITVNNFQEVKRLYGMGTRLRSTASTMANSTSSRSHCLIRISLTSFNAPERKQARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDFCETICTLGFATRVRSIRLESEESPEVKARKEHLLMELEQKVSDLEQECEDITRKVKKLEETMEHLKGPQPSFSTNLIISHPSTEQLKIDILKNVRSLKNRGEVSSRLPRFMKPTAASQQRIGLNNSIPSINRMKPPVPPKRRPSSVYAESVRLPLNANTWQSECSSECSLSMTSDMNWTPSILDGTECSQEASEYEIKQVIFSEHEKPSQGQPISFKECQLTESGNMKNKTEDRGIIDIDKWIHQQILENTGTCQSKMVLTVPKVTEEETPSIPSPIKMEKTEGCKQVQDEGSEIALRYPPYHLKDIKHTANHLAAVELCSPPSKEFYSNDESKKHKSGTLAYHGRSRRSLQEELNECMLKPEKESKADPSTHPGIRFQEEEHNIGKLTKFFQALQTAWVGVLLGLGTVSLGFEHDFFQSLML* >Brasy1G389600.2.p pacid=40057155 transcript=Brasy1G389600.2 locus=Brasy1G389600 ID=Brasy1G389600.2.v1.1 annot-version=v1.1 MGSPEATPFARAHLRRLIQYGSAGRMTSKEGNRSSVIIMDEPSGKTMQSLPDTLSSLKGFNKYLTPSWIESVSNIIKELTPTKPQKVTEEKAQNIFEHDETESDTKIAKIQDEMDSLNAHLKQITVQKRESLNNYLDLKGSIRVFCRIRPFSHEESYSYRTMFTLAESNVFLKVAETKTKQYKFDKVFDPCSTQGDVFSEVEPVIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQVLFNRASESKNRFLFTFSMLEIYMGNLRDLLVPGSKTNGFTNAPSLSIKTDPDGGIEIENLVAITVNNFQEVKRLYGMGTRLRSTASTMANSTSSRSHCLIRISLTSFNAPERKQARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDFCETICTLGFATRVRSIRLESEESPEVKARKEHLLMELEQKVSDLEQECEDITRKVKKLEETMEHLKGPQPSFSTNLIISHPSTEQLKIDILKNVRSLKNRGEVSSRLPRFMKPTAASQQRIGLNNSIPSINRMKPPVPPKRRPSSVYAESVRLPLNANTWQSECSSECSLSMTSDMNWTPSILDGTECSQEASEYEIKQVIFSEHEKPSQGQPISFKECQLTESGNMKNKTEDRGIIDIDKWIHQQILENTGTCQSKMVLTVPKVTEEETPSIPSPIKMEKTEGCKQVQDEGSEIALRYPPYHLKDIKHTANHLAAVELCSPPSKEFYSNDESKKHKSGTLAYHGRSRRSLQEELNECMLKPEKESKADPSTHPGIRFQEEEHNIGKLTKFFQALQTAWVGVLLGLGTVSLGFEHDFFQSLML* >Brasy1G494400.1.p pacid=40057156 transcript=Brasy1G494400.1 locus=Brasy1G494400 ID=Brasy1G494400.1.v1.1 annot-version=v1.1 MMNDYKPTRSRWRMTGCSSSWLNSVGLMKVWSIDAHNLFDSVLCIDYSIDADFLSR* >Brasy1G437300.1.p pacid=40057157 transcript=Brasy1G437300.1 locus=Brasy1G437300 ID=Brasy1G437300.1.v1.1 annot-version=v1.1 MATNASARGETSDDVLWEVFARLPGLQDLLRCAATCKRWRRLVTDRDFLRRLGFWPDTARRPSALVGIFSQHAVPAVPAALSRRKPAYPPQFLSLQAGHAARLAFNSFVADSKEGLFHLASPLASRRGLLLARVLLPGDYGQGQKLHLAVCRPLVDKRSTRLLPSPPADMAILIQNVTGYALLTGADHGDDDSELLPAFHVLLIYAVGGGADRGFVCASTYSSATGIWGAPIRCCGASGLTRCGRSAGVVARGTVHWLFRGEANFCTLNISITCPTRVSLTKIPIKHPETVPRYPSPVPCIAGEDGTLSFVYIRGNGVLELWTNQEQDHGGLEGGWSRSELTDLGSKRINLVFFAESRRALLVEQGGVFFTVDLKSKEKTPLVYLRDEETGHAKGQCWFPAQTCTSSWCRGDGRGTMCQDMPPPVLYEMDWVFSRRMFLTSSDY* >Brasy1G017600.1.p pacid=40057158 transcript=Brasy1G017600.1 locus=Brasy1G017600 ID=Brasy1G017600.1.v1.1 annot-version=v1.1 MACATHLLAIFILIQLYLLAASASHAPGNSTASFFCHPDQAAALLQLKESFIFDYSTTTLLSWQPGTDCCHWEGVGCDDGVSGAGHVTFLDLGGCGLYSHGFHAALFNLISVRHLDLSMNDFGRSRIPAAGFERLSKLTHLNLSCSGLYGQVPIAIGKLTSLISLDLSSLHGVDPIQFNNMYDVLNAYNYLELREPKFETLFANLTNLRELYLDGVDISSGEAWCSNLGKAAPRLQVLSMVNCNLHGPIHCLSSLRSLTVINLKLNYWISGVVPEFLSDFHNLSVLQLSDNDFTGWFPQKIFQLKNIRLIDVSNNFKLSGHVQNFPNGSSLEILNLQYTSFSGIKLSSFSNILSLRELGIDGGSISMEPTDLLFNKLNSLQKLQLSFGLFSGDLEFTGCVFTGQIPPSIGNLSKLTSLRISGGGFSGAIPSSIGNLKKLRILEISYSGSLAAITRDIGQLSKLTVLVLRGCGISGTIPSTTIVNLTQLIYVDLAHNSLRGDIPTSLFTSPAMLLLDLSSNQLSGPVEEFDTLNSHLSVVYLRENQISGQIPSSLFQLKSLVALDLSSNNLTGLVQPSSRWKLRKLGFLGLSNNRLSVLDEEDSKPTVPLLPKLFRLELVSCNMTRIPRFLMQVNHIQALDLSSNRIPGTIPKWIWETWDDSLMVLNLSHNIFTYMQLTSDDLPNSRLKSLDLSFNRLEGQIPMPNLLTAYSSFSQVLDYSNNRFSSVMSNFTAYLSETVYLTMSRNNINGHIPHSICDSSNLQILDLSYNNFSGVMPSCLIEDSHLAILNLRENHFEGTLPHNVSEHCKLQTINLHGNKLHGQLPRSLSNCADLEVLDVGNNQMVDTFPSWLGRLSHFSVLVVRSNRFYGSLAYPSRDNKLGEYFSELQIIDISSNNFSGTLDPRWFEKFTSMMAKFEETGDILDHLTIINPYYQDTIAITNKGQYMTFEKFLTTLTAIDFSNNSFHGDIAESTGMLVSLRILNMSHNAFTGRIPTKMGEMRQLESLDLSWNELSGEIPQELTNLTFLSTLKLCENKLYGRIPQSGQFTTFENTSYEGNAGLCGPPLSKPCGDSSNPNEGQVSISEDHTDIVLFLFIGVGFGIGFTAGILMKWGKIGKWFRIM* >Brasy1G297800.1.p pacid=40057159 transcript=Brasy1G297800.1 locus=Brasy1G297800 ID=Brasy1G297800.1.v1.1 annot-version=v1.1 MGCEVEKGERGSGSRERRRWGLRIRRQGSRGRRRPCGCAGRGNGGGVEDAVAGDERTAAGVEGAAAGVEDAGAAGRRGRGKGGHGGPQRSSALRQLRSAAHVGKGNRRTWF* >Brasy1G280800.1.p pacid=40057160 transcript=Brasy1G280800.1 locus=Brasy1G280800 ID=Brasy1G280800.1.v1.1 annot-version=v1.1 MAPLPHPLPATPYPPKPHEAPRSASLHAALASLSQQGGDNGSLREAFALVSRAERQSSPAVAVAVGPEVYVSLLQCCVAAGSLRAGRQVHAAAVKRGPYYCRHAYIGTKLAVFYARCGALADAERVFDALPKKNAFAWAAVIGLWSRAGLHARALAGYVDMLEAGVPADNFVVPNVLKACAGIGMIETGRALHAYAWKAGFGECMYVLSSLVDFYGKCGEVDDAREVFDAMPETTVVTWNSMLMGYINNGRIDDAVDLFYQMRVEGVLPTRVSVLSFLSASVDLEAADGGRQGHAVAVSSGLEMDVILGSSVINFYCKVGLVEAAEAVFKQMVERDTVTWNLMIAGYLQYEQIDKALITCQRMLQSGLRFDCVTLACIIMAYRQSCNMEMGRAAHGYAVRNNLESDRAVACGLIELYMSTERTEYARRVFEVMSRRDIVMCKTMISAYEDHGMSTEARKLLYQMQLEGISPTAACWDSVISVFMKNGQIDEALQIFNEMLLTKTRPNLRTWSLLISGLSQNGMHREVMNLCCKMQEVEQAPSPTIFSAALLAMKTAASNVYSSLALLGGIVRRHDIV* >Brasy1G061000.1.p pacid=40057161 transcript=Brasy1G061000.1 locus=Brasy1G061000 ID=Brasy1G061000.1.v1.1 annot-version=v1.1 MGATGRPSAVLLVVVAACCTALAAAAAPQQQRKHVRISGNAGDVLEDNPVGKLKVFVYEMPRKYNQYLLEKDNRCLYHMFAAEIFMHQFLLASAVRTMDPEEADWFYTPVYTTCDLTQQGFPLPFRAPRMMRSAVQYIAATWPYWNRTEGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRNHVCMQEGSITIPPYANPQKMQAHLISPGTPRSIFAYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDMSTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDQISVFVPEADVPRLDSILASVAPEDVLRKQRLLASPAMKQAVLFHQPAQPRDAFDQVLNGLARKLPHREGVFLRPGQKVIDWNAGQYDDLKPW* >Brasy1G571400.1.p pacid=40057162 transcript=Brasy1G571400.1 locus=Brasy1G571400 ID=Brasy1G571400.1.v1.1 annot-version=v1.1 MHIYVKNPAGKTIRLNVLESDTLYTIKSRIQEQPRLAFDGVQLDQDNLTLADYNIEEGYTLDPQEKMQIYVMETLAGKTIDLEVYDMYTIDKVKSRIQDRFGFPKSQQCFIFGNKQLDDDELTLADHNICKESTILLVLHSSPPPRGTSMCIYVSTLGLKTLTLEVESSDTVDDIKMKMYEKDGIPPKQKRLVYNGKSLEDNRTLADYGIREDSTLKWWLA* >Brasy1G371300.1.p pacid=40057163 transcript=Brasy1G371300.1 locus=Brasy1G371300 ID=Brasy1G371300.1.v1.1 annot-version=v1.1 MSCLSLNCRGLGNRAALRELRSIAKEKAPTLLFVMETKIRGNRVERMVNSLGFGGSFAVDSMGLSGGIGLFWKADAIVELKSYNSNHIDVIVKSIGDDIAPWRFTGFYGEPRKEDRHQSWTLLRRLSGALAYPWLCAGDFNEVLFSSEHFSSSDRSEGQMRAFRDCIEDSNLSDLGWSDHCAVYVSLQRASRPATRNRSFRYEEVWQTHAEYDCIVQKLWSSNVVDGILQGLNNTLKKMQSGLDKLGAQEFGNFKHKLAQLRQKLERLRMSSMGHGPTAEVSSQINTVLGQEETWIRQGSRVQWLKAGDRNSSYFHAHAAQRKRMNRISMLQRDDGSTCEEINVRCTCGSTTVFPEFIHFARCA* >Brasy1G331000.1.p pacid=40057164 transcript=Brasy1G331000.1 locus=Brasy1G331000 ID=Brasy1G331000.1.v1.1 annot-version=v1.1 MEGDDSDCPSRWPVPEPCWLRPDDLVEDESENDDEWGIQYGYSSGRKVLLL* >Brasy1G113100.1.p pacid=40057165 transcript=Brasy1G113100.1 locus=Brasy1G113100 ID=Brasy1G113100.1.v1.1 annot-version=v1.1 MRKPSSKDRLTNGSKADSLQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIAPRSLEQTGQNNKIELYYELKKDNRA* >Brasy1G174200.1.p pacid=40057166 transcript=Brasy1G174200.1 locus=Brasy1G174200 ID=Brasy1G174200.1.v1.1 annot-version=v1.1 MEVASAMLPVPSSKLEMGSKAGTMDVQILSSKLVKPAGSANAEPGTEPEYVPLSVFDKVTYQIQMAIIYAFAPPAPTTAALKEGLAAVLSEYRGFAGQLISELPGGGGPAVLLNDHGARVMEASVDADLVDVVPPKPKPELLRLHPDLEEEGGLDAVVVVQLTRFRCGSLVVGFTANHATTDGRGTSSFLVAWGRATRGLPMGIPPVYSHNDMFMPRPSPRIEFDHRNREYYLPPPPVAAAVDKESIVIHKAHFTKDWIARLRATASEGCGGRSFSRFETILAHLWRTVTKARNLSRNETTTIRLSVDGRDRLGVPPEYANNLVLWAFPRTTVSDLLTKPLRHAAQLIHDEVARVADGDYFRSFVDFATSGVVEEEGLAPSAALNLRDVFWLTFPFYDLDFGTGTPSYIMPSYFPVEGLIFLMPSYIGDGSIDAFVPVFKDNLEAFKQCCYSIWSSKLGTP* >Brasy1G228200.1.p pacid=40057167 transcript=Brasy1G228200.1 locus=Brasy1G228200 ID=Brasy1G228200.1.v1.1 annot-version=v1.1 MEGESKPAAMDVEATSKAKFGIPVDSDNKATEFWLFSFARPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDNLGLTAKDIGNAGIASVSGAVFARLAMGTACDLVGPRLASAAIILLTTPAVYCSAIIDSASSFLLVRFFTGFSLASFVSTQFWMSSMFSSPKVGLANGVAGGWGNLGGGAVQLIMPLVFEAVRRIGSTRFTAWRVAFFIPGVMQTFSAIAVLAFGQDMPDGNYHKLHKTGEMHRDSFRNVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFGVNLHTAGLIAASFGMANVVSRPGGGLMSDWLSARFGMRGRLWGLWVVQTVGGVLCVVLGVVDYSFGASVAVMILFSLFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFHGSRYKTETGIMYMGIMIIACTLPITLIYFPQWGGMFAGPRPRATAEEYYSLEWTEEERKKGYNAATERFAENSVREGGRKAASGSQSKYTVPVDGSPAADV* >Brasy1G053700.1.p pacid=40057168 transcript=Brasy1G053700.1 locus=Brasy1G053700 ID=Brasy1G053700.1.v1.1 annot-version=v1.1 MHLAAYDDLVVAVDTEGNNWRLIVWVLEDYNSDKWTLKHNVSALELFGTKLSACDYKVISFHPDRNMIFIVCGHKNTLMSYEMDRRKARTIHELGSDCQPDLYENDKIPYFPYVPLFTESLA* >Brasy1G546900.1.p pacid=40057169 transcript=Brasy1G546900.1 locus=Brasy1G546900 ID=Brasy1G546900.1.v1.1 annot-version=v1.1 MPSEKKVISSFTVKVGLVLFAGCILAPISLMTVFRLAVPLQTLRLLFSVGSASSVTLEEKMGSADGGALRCDMSSPRSDFCELKGDIRVFLPNATVVFLHPTIRRRSWRMKPHARKNDRHALSGVTEVSLSIVASSSSRHAPSGCTAESAATAVIFSAGGYAGNMFHDFTDVLVPLFITASRFHGEVHLLISDAPSWWLDRYQPLLRMLSRHAVIDMDRRSSEVLCYRHVIVGLRFHKEMSVDAAKTVGGRYSMADFARLARTSYGLERDTTTMRLPHNGGGGGVKSLHRPRLLIMSRKATRAFTNVDAIARTASIMGYNVAVGEAGRQSGLAALARLVNSCDVLVGVHGAGLTNLVFLPAGAVVVQVVPLGVLEAAAMEAFGAPARDMGLGYVQYAIAVEESSLAARDHRVLADPPAVRKEGRLALRSAYLVGQNVTLDVARFRGALSRALELLRH* >Brasy1G335400.1.p pacid=40057170 transcript=Brasy1G335400.1 locus=Brasy1G335400 ID=Brasy1G335400.1.v1.1 annot-version=v1.1 MIRRENQKGESRRGDNSNKIGRARAATEQRRRRGRGLRRWGAPEGEAEQRMEGRSRGAAREREAEQRGGAGKRSRAARERENQRGDGARERRGGRRGKENQRDAEEREAGEGGLPEAAVKFGGRRRLLLSPVIPSPSRPNLFCLVASLSLSRLISFTSHMCAPITPIPHDSPPISPLPRGSPVNCPPIPSGRATQRRQSTPRRVGRRLFPLGARLTQKTWASLPVVLPRAPGRHSGV* >Brasy1G422200.1.p pacid=40057171 transcript=Brasy1G422200.1 locus=Brasy1G422200 ID=Brasy1G422200.1.v1.1 annot-version=v1.1 MVQSPAMRKSEQVSTDYTRGSGGGGRGGGWRGRRPGWVEGVGGRGRRGGWGEPGGSRPGWGREGGGGRGGGGWGEPGWGGEGGGRRGGWGGAGVDGGEAGGAGRVGGEPGAAGRAGGGGGAGRRGAVEEVAEEGGSGGGGGGDEAEAGRRRGGARRGGGGEGAAGMRRGGARRGGARRRRGGGGEEAGGMRRRRGGGGGGGRRG* >Brasy1G351200.1.p pacid=40057172 transcript=Brasy1G351200.1 locus=Brasy1G351200 ID=Brasy1G351200.1.v1.1 annot-version=v1.1 MSVPGVASRAADRFYCPPPRRHHLFQQQQQPPPVADEKTARPTPVLQRDPSMPAGETNLESFIASTAVRVPARRLPRTSAAYYELTDLWEAFREWSAYGAGVPLVLNGADGVVQYYVPFLSAIQLYASRPPLSSKTFRQLGENSDGDSVEDTSSDVSSECDHEQPIRIKGSCLAKNISADQEGFSSDDSESHNQESQPVFQYLEHEAPYGRQPLVDMISILTSRFPDLKRYRSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHALSTPPEGTLTGHPETNDLHNMEIADVRGKITLPLIGLASYKFSGSFWTSNQQYEQQLTASLLKDADQWLCQRQVHHPDNRFFLLH* >Brasy1G475500.1.p pacid=40057173 transcript=Brasy1G475500.1 locus=Brasy1G475500 ID=Brasy1G475500.1.v1.1 annot-version=v1.1 MGPAKGSRTISKITIKGFDDRQHNEDPTSSSKVKLKKRKMSDLGPEWSKDELLRFYEAYRRHGKNWKKVSAAVGGKSADMVEALYSVHRTFLSLPERDGTAMGFITLVTGHHNVLNESPRHKESDQKVKASGKARRRGDAAEQKENAAPHPQHDYQDMRICGFSFAFKKRYFGELVRYIKRNPVAKRTPRVPVMVPSDINATDNCTPQIKNPSTKKANGEINNDGNFFEMNDCSPDGSSVITEANKVVQGQTFLEMKGSGGTDISQTHQCLKKRRIEQSIDKDQFSKVEHVTTIVAEEGQNIADYQSQLFSPDEIMVLDVLESLLTVPSKMPQAKTIPSGTLGTNISASSHRTDEEPSPVDQSKERKQVIECSASKARKKRRKKLLDEEVLAEGQSNSGNTSVLPEAPQVLAEEQSNSGNTSVLPEAPQVDTTKQPALNSDFERGAIDLPVSTANTSAEVSPDVRMEIDPEINMSRKRKRKYKMQSRTKYASCNEGADDLQARKMLHCLSSESLRRWCTYEWFYSAVDYPWFLNNEFVNYLDFANLSHLSRLTRSEWSTIRSSLGKPRRFSGHFLAVEKEKLEDYRENVRKYYAELSDDLRDSLPADLARPFSVGQHVIVRHPNSRELCDGKVVRTERDSYKVQFDRPDLGVALVKDTDCMPVNWLDNLPDDLKKKRSLSNNAGRKVDVVHIPEHTPKESFGHIIPGFSVSEPSRSPHIESDERLKAESAVASEMLPSKSNVTRYAPLPLQSVQSLDDTLQSRGRSSNSSGHNDELDSYITVFVQNSLSQAKQMVGEAIQAISENCNEERTCISNQATHCSLESEDFLHDAQLPSDLILKCVATVLAIKDLSECRYPPANVAGVLDHAFSMLRPSCSENLTIYNEIESCISVIKNQILGLVPTILPC* >Brasy1G475500.2.p pacid=40057174 transcript=Brasy1G475500.2 locus=Brasy1G475500 ID=Brasy1G475500.2.v1.1 annot-version=v1.1 MGPAKGSRTISKITIKGFDDRQHNEDPTSSSKVKLKKRKMSDLGPEWSKDELLRFYEAYRRHGKNWKKVSAAVGGKSADMVEALYSVHRTFLSLPERDGTAMGFITLVTGHHNVLNESPRHKESDQKVKASGKARRRGDAAEQKENAAPHPQHDYQDMRICGFSFAFKKRYFGELVRYIKRNPVAKRTPRVPVMVPSDINATDNCTPQIKNPSTKKANGEINNDGNFFEMNDCSPDGSSVITEANKVVQGQTFLEMKGSGGTDISQTHQCLKKRRIEQSIDKDQFSKVEHVTTIVAEEGQNIADYQSQLFSPDEIMVLDVLESLLTVPSKMPQAKTIPSGTLGTNISASSHRTDEEPSPVDQSKERKQVIECSASKARKKRRKKLLDEEVLAEGQSNSGNTSVLPEAPQVLAEEQSNSGNTSVLPEAPQVDTTKQPALNSDFERGAIDLPVSTANTSAEVSPDVRMEIDPEINMSRKRKRKYKMQSRTKYASCNEGADDLQARKMLHCLSSESLRRWCTYEWFYSAVDYPWFLNNEFVNYLDFANLSHLSRLTRSEWSTIRSSLGKPRRFSGHFLAVEKEKLEDYRENVRKYYAELSDDLRDSLPADLARPFSVGQHVIVRHPNSRELCDGKVVRTERDSYKVQFDRPDLGVALVKDTDCMPVNWLDNLPDDLKKKRSLSNNAGRKVDVVHIPEHTPKESFGHIIPGFSVSEPSRSPHIESDERLKAESAVASEMLPSKSNVTRYAPLPLQSVQSLDDTLQSRGRSSNSSGHNDELDSYITVFVQNSLSQAKQMVGEAIQAISENCNEERTCISNQATHCSLESEDFLHDAQLPSDLILKCVATVLAIKDLSECRYPPANVAGVLDHAFSMLRPSCSENLTIYNEIESCISVIKNQILGLVPTILPC* >Brasy1G475500.3.p pacid=40057175 transcript=Brasy1G475500.3 locus=Brasy1G475500 ID=Brasy1G475500.3.v1.1 annot-version=v1.1 MGPAKGSRTISKITIKGFDDRQHNEDPTSSSKVKLKKRKMSDLGPEWSKDELLRFYEAYRRHGKNWKKVSAAVGGKSADMVEALYSVHRTFLSLPERDGTAMGFITLVTGHHNVLNESPRHKESDQKVKASGKARRRGDAAEQKENAAPHPQHDYQDMRICGFSFAFKKRYFGELVRYIKRNPVAKRTPRVPVMVPSDINATDNCTPQIKNPSTKKANGEINNDGNFFEMNDCSPDGSSVITEANKVVQGQTFLEMKGSGGTDISQTHQCLKKRRIEQSIDKDQFSKVEHVTTIVAEEGQNIADYQSQLFSPDEIMVLDVLESLLTVPSKMPQAKTIPSGTLGTNISASSHRTDEEPSPVDQSKERKQVIECSASKARKKRRKKLLDEEVLAEGQSNSGNTSVLPEAPQVLAEEQSNSGNTSVLPEAPQVDTTKQPALNSDFERGAIDLPVSTANTSAEVSPDVRMEIDPEINMSRKRKRKYKMQSRTKYASCNEGADDLQARKMLHCLSSESLRRWCTYEWFYSAVDYPWFLNNEFVNYLDFANLSHLSRLTRSEWSTIRSSLGKPRRFSGHFLAVEKEKLEDYRENVRKYYAELSDDLRDSLPADLARPFSVGQHVIVRHPNSRELCDGKVVRTERDSYKVQFDRPDLGVALVKDTDCMPVNWLDNLPDDLKKKRSLSNNAGRKVDVVHIPEHTPKESFGHIIPGFSVSEPSRSPHIESDERLKAESAVASEMLPSKSNVTRYAPLPLQSVQSLDDTLQSRGRSSNSSGHNDELDSYITVFVQNSLSQAKQMVGEAIQAISENCNEERTCISNQATHCSLESEDFLHDAQLPSDLILKCVATVLAIKDLSECRYPPANVAGVLDHAFSMLRPSCSENLTIYNEIESCISVIKNQILGLVPTILPC* >Brasy1G475500.4.p pacid=40057176 transcript=Brasy1G475500.4 locus=Brasy1G475500 ID=Brasy1G475500.4.v1.1 annot-version=v1.1 MGPAKGSRTISKITIKGFDDRQHNEDPTSSSKVKLKKRKMSDLGPEWSKDELLRFYEAYRRHGKNWKKVSAAVGGKSADMVEALYSVHRTFLSLPERDGTAMGFITLVTGHHNVLNESPRHKESDQKVKASGKARRRGDAAEQKENAAPHPQHDYQDMRICGFSFAFKKRYFGELVRYIKRNPVAKRTPRVPVMVPSDINATDNCTPQIKNPSTKKANGEINNDGNFFEMNDCSPDGSSVITEANKVVQGQTFLEMKGSGGTDISQTHQCLKKRRIEQSIDKDQFSKVEHVTTIVAEEGQNIADYQSQLFSPDEIMVLDVLESLLTVPSKMPQAKTIPSGTLGTNISASSHRTDEEPSPVDQSKERKQVIECSASKARKKRRKKLLDEEVLAEEQSNSGNTSVLPEAPQVDTTKQPALNSDFERGAIDLPVSTANTSAEVSPDVRMEIDPEINMSRKRKRKYKMQSRTKYASCNEGADDLQARKMLHCLSSESLRRWCTYEWFYSAVDYPWFLNNEFVNYLDFANLSHLSRLTRSEWSTIRSSLGKPRRFSGHFLAVEKEKLEDYRENVRKYYAELSDDLRDSLPADLARPFSVGQHVIVRHPNSRELCDGKVVRTERDSYKVQFDRPDLGVALVKDTDCMPVNWLDNLPDDLKKKRSLSNNAGRKVDVVHIPEHTPKESFGHIIPGFSVSEPSRSPHIESDERLKAESAVASEMLPSKSNVTRYAPLPLQSVQSLDDTLQSRGRSSNSSGHNDELDSYITVFVQNSLSQAKQMVGEAIQAISENCNEERTCISNQATHCSLESEDFLHDAQLPSDLILKCVATVLAIKDLSECRYPPANVAGVLDHAFSMLRPSCSENLTIYNEIESCISVIKNQILGLVPTILPC* >Brasy1G475500.5.p pacid=40057177 transcript=Brasy1G475500.5 locus=Brasy1G475500 ID=Brasy1G475500.5.v1.1 annot-version=v1.1 MGPAKGSRTISKITIKGFDDRQHNEDPTSSSKVKLKKRKMSDLGPEWSKDELLRFYEAYRRHGKNWKKVSAAVGGKSADMVEALYSVHRTFLSLPERDGTAMGFITLVTGHHNVLNESPRHKESDQKVKASGKARRRGDAAEQKENAAPHPQHDYQDMRICGFSFAFKKRYFGELVRYIKRNPVAKRTPRVPVMVPSDINATDNCTPQIKNPSTKKANGEINNDGNFFEMNDCSPDGSSVITEANKVVQGQTFLEMKGSGGTDISQTHQCLKKRRIEQSIDKDQFSKVEHVTTIVAEEGQNIADYQSQLFSPDEIMVLDVLESLLTVPSKMPQAKTIPSGTLGTNISASSHRTDEEPSPVDQSKERKQVIECSASKARKKRRKKLLDEEVLAEEQSNSGNTSVLPEAPQVDTTKQPALNSDFERGAIDLPVSTANTSAEVSPDVRMEIDPEINMSRKRKRKYKMQSRTKYASCNEGADDLQARKMLHCLSSESLRRWCTYEWFYSAVDYPWFLNNEFVNYLDFANLSHLSRLTRSEWSTIRSSLGKPRRFSGHFLAVEKEKLEDYRENVRKYYAELSDDLRDSLPADLARPFSVGQHVIVRHPNSRELCDGKVVRTERDSYKVQFDRPDLGVALVKDTDCMPVNWLDNLPDDLKKKRSLSNNAGRKVDVVHIPEHTPKESFGHIIPGFSVSEPSRSPHIESDERLKAESAVASEMLPSKSNVTRYAPLPLQSVQSLDDTLQSRGRSSNSSGHNDELDSYITVFVQNSLSQAKQMVGEAIQAISENCNEERTCISNQATHCSLESEDFLHDAQLPSDLILKCVATVLAIKDLSECRYPPANVAGVLDHAFSMLRPSCSENLTIYNEIESCISVIKNQILGLVPTILPC* >Brasy1G109700.1.p pacid=40057178 transcript=Brasy1G109700.1 locus=Brasy1G109700 ID=Brasy1G109700.1.v1.1 annot-version=v1.1 MRSSSAPDSSLSGPDAGPFGNHRFGSATGSLRPDMVLEGMVGNGPADPGHDMHTAADASTIDLAMLYSKFLNNQQPGNNNGSLGAVTPESAGHVDDGFDTFSASSDVLSPGVLAAPGGHQFDMSPQDGFGEWSGPLSSTGAADPASATSTTSTMLCTDASVQAALGELNFVMDQSCFDALGLPMPAEDGAASSVGNDLSSWCSIVPSLSTWEEPKYDSLDSFPDDALSLHDGMLAADHDWSADCQGLEALYMP* >Brasy1G423200.1.p pacid=40057179 transcript=Brasy1G423200.1 locus=Brasy1G423200 ID=Brasy1G423200.1.v1.1 annot-version=v1.1 MPWPRWFCPSRCWPVCGRRPAAPRLSLHSFSLQLSFFQIPIRPALPTSTSSCRGLPPPSLEAASSRSGAERPPLLDPVMAAAASIDGRRSRSGSAVPVVPPPSARIRPPVEPPTRIQPATRPRFPSNCPLLHILAAFPDPSPGRSRSHRPCLQQPPGRRRRPMADLPAPLAGAGRGTISTSSTSVPRNCSHLQITDGHWDAGGEGFLVLYLPICSLRSIIRVSVLDLGSTW* >Brasy1G164100.1.p pacid=40057180 transcript=Brasy1G164100.1 locus=Brasy1G164100 ID=Brasy1G164100.1.v1.1 annot-version=v1.1 MALDVVLVRLLIIAAVASVLPARGRADGAAGLSIGFYNETCPAAEDLVLEEMRGIVHEDRTLGPALLRLLFHDCFVRGCDASIMLKSRSKKGERDAMPMSHSLRGFDEVERIKAKLEEACPLKVSCADIIIMAARDAVYLNNGPRFPVETGRRDGKVSNCVDAENDLAPPNANIVDLKTYFSVKNLSWKDLVVLSGSHTIGSSQCAAFAGDRLYNNSGKGMQDPTLNKTYAPDLRMMCEAGNETDTTPVSMDPRSPYEFDLSYYRDVYSNKGLFVSDQALLDDKLTHDYVARMAAASSPDEFFLDYAAAMINMGRMEVLTGRNGEIRKICGAYVD* >Brasy1G207100.1.p pacid=40057181 transcript=Brasy1G207100.1 locus=Brasy1G207100 ID=Brasy1G207100.1.v1.1 annot-version=v1.1 MPMARRHVAGHVAFLFLLLVGHCRGGKTGICYGRNADDLPAPDKVVQLIQKQSIKHVRIYDTNIDVIKAFANTGVELMVGVPNADLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEITESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAPFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSTQSQGVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITETGWPNKGAAKETGATTDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSSIYSLDWSGRGNVDIMTGGNLTNSNGTWCVASTNVSETALQNGLNWACGPGNVDCSAIQPSQPCYQPDTLVSHASYAFNSYYQQNGANDVACGFGGAGVRTTKDPSYDTCVYMAADSKMSTMNSTTPPARSSSGPSPLARSFTLLLPMLLSVIAAGIL* >Brasy1G207100.2.p pacid=40057182 transcript=Brasy1G207100.2 locus=Brasy1G207100 ID=Brasy1G207100.2.v1.1 annot-version=v1.1 MPMARRHVAGHVAFLFLLLVGHCRGGKTGICYGRNADDLPAPDKVVQLIQKQSIKHVRIYDTNIDVIKAFANTGVELMVGVPNADLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEITESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAPFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSTQSQGVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITETGWPNKGAAKETGATTDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSSIYSLDWSGRGNVDIMTGGNLTNSNGTWCVASTNVSETALQNGLNWACGPGNVDCSAIQPSQPCYQPDTLVSHASYAFNSYYQQNGANDVACGFGGAGVRTTKDPSYDTCVYMAADKMSTMNSTTPPARSSSGPSPLARSFTLLLPMLLSVIAAGIL* >Brasy1G207100.3.p pacid=40057183 transcript=Brasy1G207100.3 locus=Brasy1G207100 ID=Brasy1G207100.3.v1.1 annot-version=v1.1 MPMARRHVAGHVAFLFLLLVGHCRGGKTGICYGRNADDLPAPDKVVQLIQKQSIKHVRIYDTNIDVIKAFANTGVELMVGVPNADLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEITESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAPFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSTQSQGVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITETGWPNKGAAKETGATTDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSSIYSLDWSGRGNVDIMTGGNLTNSNGTWCVASTNVSETALQNGLNWACGPGNVDCSAIQPSQPCYQPDTLVSHASYAFNSYYQQNGANDVACGFGGAGVRTTKDPSYDTCVYMA* >Brasy1G328500.1.p pacid=40057184 transcript=Brasy1G328500.1 locus=Brasy1G328500 ID=Brasy1G328500.1.v1.1 annot-version=v1.1 MRKASRRRMRGGLGKEREAKKRSLAEDRTVMFAAFENEKIQSEAKLDRVDDDVVESSTEESSNPPSPLLYRPYIPDELADRADVRAAFQKAMLESEADIDRRSAVFSMDLCSTRSCLSKDRRLLHIRESAKDAVLLAANSIISLSSYLDDEPLNRCCGLWIQRDDKEKTALVLTSAHLIRANRTTDPDMLNQWLFEWTGKYHRDASVTVHFLDGTTALASLVYLQEHYEFALYEVVVDKPVQLSTFNDNVHYGQDVLRLGRDESLDLSITHGRVDYRIPSSHQRCHYMYFIHDGPDLFHDDGGPVIDLEGKVLGMVNNQCVESFLPSSILHKCLDLWRKFKCVPRPHLGMTFISIKILDPICIERMRRKHNIQSGLIVAKVSKESNAEKLGIRRGDIIERFNGEYISTTIELEKMLLDIGGDHFHQAKVLDAEVDIRIQIFRATELYRRARNLTVIVSDCGEDIIEGTYPITVGFGNEIN* >Brasy1G298200.1.p pacid=40057185 transcript=Brasy1G298200.1 locus=Brasy1G298200 ID=Brasy1G298200.1.v1.1 annot-version=v1.1 MASKYTSIFLVLFFSCVAMSGAARVLEEVAAPSKDEAHLPELPTLPKVELPPFPEVHLPPKPELPKVELPPFPEFHLPSKPDLPKVDLPPFPEFHLPSKPELPRVELPPKPSVPEFHFPEPEAKP* >Brasy1G513100.1.p pacid=40057186 transcript=Brasy1G513100.1 locus=Brasy1G513100 ID=Brasy1G513100.1.v1.1 annot-version=v1.1 MPAMISASSSSPSSSSSSYRCCCHRLPLASSAVPARRSGASRLTLALPPLAAGPRRFRSRRVACQAVDEPEPLPASNGDEEKKEVVDDANSSPSVGSVAEATGVTEIDSSADNTKDESPSAELLNSSNTVQNVDGDATAASDSDVQEQVEVVDVASGSPLPGMKQQLDESVTIPKATIDILKDQIFGFDTFFVTSHEPYEGGILFKGNLRGVPAKSFEKITTRLQNKFGDEYKVFLLINPEDEKPVAVVVPKQTLEPTTGAIPEWAAAAVFGVVTIFTLLLRNVPILQDNLLSTFDNLELLKDGLSGALVTALIVGVHELGHILAAKDAGVKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPVAGFALGFVLLLLGFILPPSDGLGLVVDPAVFHESFLLGGLAKLILGDALKEGTQLAINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSVTIGLLGLSSLFSDVAFYWVVLIFFLQRGPIAPLSEEITEPENNYIGIGVAILFLGLLVCLPYPFPFDPSQLTDFDL* >Brasy1G513100.2.p pacid=40057187 transcript=Brasy1G513100.2 locus=Brasy1G513100 ID=Brasy1G513100.2.v1.1 annot-version=v1.1 MMLIHHLQLVVLQKQLELQRLTPVQIIFPMPRQTKDESPSAELLNSSNTVQNVDGDATAASDSDVQEQVEVVDVASGSPLPGMKQQLDESVTIPKATIDILKDQIFGFDTFFVTSHEPYEGGILFKGNLRGVPAKSFEKITTRLQNKFGDEYKVFLLINPEDEKPVAVVVPKQTLEPTTGAIPEWAAAAVFGVVTIFTLLLRNVPILQDNLLSTFDNLELLKDGLSGALVTALIVGVHELGHILAAKDAGVKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPVAGFALGFVLLLLGFILPPSDGLGLVVDPAVFHESFLLGGLAKLILGDALKEGTQLAINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSVTIGLLGLSSLFSDVAFYWVVLIFFLQRGPIAPLSEEITEPENNYIGIGVAILFLGLLVCLPYPFPFDPSQLTDFDL* >Brasy1G351500.1.p pacid=40057188 transcript=Brasy1G351500.1 locus=Brasy1G351500 ID=Brasy1G351500.1.v1.1 annot-version=v1.1 SSSSSSAARAPGSSCSAAAALAPSLSPIKASFFSDPRSLSLSLSLSLRRTGSRRSTVGRPARCAGDQRPTRARDRRRSFPVLSASSWGTCIRSGEGGQAIPMSEQFNQELSLSGKISSGLFNNMFEFTG* >Brasy1G468300.1.p pacid=40057189 transcript=Brasy1G468300.1 locus=Brasy1G468300 ID=Brasy1G468300.1.v1.1 annot-version=v1.1 MKVLCSACEAAEARVVCCADEAALCARCDRDVHAANRLAGKHHRLPLLSPSAAPQSSASAPNCDICQEGHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLQPADDQEPEPEPEPEPELQPPPCKKRRSPTPPLYSDDDMGWAAGGITGALPDWSAVDEQFSSSPPPAPPLRAPAAEEPVVNIKTPPKRSPRTTAPVSAALYGGSMPDWPLDEFFGFADFNSGFGFADNGTSKADSGKLGSTDGSPNRRSLSSSSSGGAAATQNAQEFFGQVPEVQWSSAPELPSPPTASGLRWQGDPHYGDTAAVFVPDICSPDNAFRCFASGGGGGGTQAEPLKRRRGC* >Brasy1G468300.2.p pacid=40057190 transcript=Brasy1G468300.2 locus=Brasy1G468300 ID=Brasy1G468300.2.v1.1 annot-version=v1.1 MKVLCSACEAAEARVVCCADEAALCARCDRDVHAANRLAGKHHRLPLLSPSAAPQSSASAPNCDICQEGHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLQPADDQEPEPEPEPEPELQPPPCKKRRSPTPPLYSDDDMGWAAGGITGALPDWSAVDEQFSSSPPPAPPLRAPAAEEPVVNIKTPPKRSPRTTAPVSAALYGGSMPDWPLDEFFGFADFNSGFGFADNGTSKADSGKLGSTDGSPNRRSLSSSSSGGAAATQNAQEFFGQVPEVQWSSAPELPSPPTASGLRWQGDPHYGDTAAVFVPDICSPDNAFRCFASGGGGGGTQAEPLKRRRGC* >Brasy1G177000.1.p pacid=40057191 transcript=Brasy1G177000.1 locus=Brasy1G177000 ID=Brasy1G177000.1.v1.1 annot-version=v1.1 MRPRRSVEAEPLRVRDDGGFVWLAAGLLLFLTFNSVMALYHSKGDEAIVAFVATSYLNLVLLFGCLWLYKRTAHGSPRRNWLKASVWILTTLLTFSFTYVVTGNAAGLTLSMTLLVWAMAAGTGVGAFSAFFEQARINQQPDDDPSMV* >Brasy1G091100.1.p pacid=40057192 transcript=Brasy1G091100.1 locus=Brasy1G091100 ID=Brasy1G091100.1.v1.1 annot-version=v1.1 MAQALRPLLVLLAMGSCIAADHIDLWPMPKSVTHGAQRIYVSKDATMSMVGSTYSDEKAILKDAFQRMLDLMKLNHNADGTNRSSFVLTGVNIVVHSPEDELSFGVDESYNLTVPTIGDPLHAQVEAQTVYGALHALQTFGQLCYFDFTSRLIELNSAPWMITDAPRFPYRGLLIDTSRHYLPLTTIKGVIDAMTYSKLNVLHWHIIDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAVDIVRYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSDSCKEALDVSNNFTFEVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTKTPHIKEWLNNNHMNASDAYRYFVLRSQKIAIAHGYDVINWEETFNDFGEKLDRKTIVHNWLGGKVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLKGIDNPEQQRLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKLAEDPRSATSRLSRFRCLLNQRGVAAAPLAGDGRTAPYEPGPCVRQ* >Brasy1G113300.1.p pacid=40057193 transcript=Brasy1G113300.1 locus=Brasy1G113300 ID=Brasy1G113300.1.v1.1 annot-version=v1.1 MCSATGSNHSLPTTTAPRYSGSKRPHELTLTLAVCEDPAAAEQRANAAASPSSEPDAAALVPFTASPSRPPLPREPISAVPLAFAAPNEERAEPWWLRTKLFQHLHLRFDLPVHFIAEKAVTVTDLDRHQNRFRLPSDGVMRNLRPVLTPLELACANLLHEEAPCRPRPPKHQQPQPQPLDDPDNVPAAAGEIREKKRKRKGKKHGGLPVLVVDSSAGIRELQLSRWDSSGGTIIKGEGYLDFIAQCSFTVDDVVEVWAFKDRTYHYFGVDLCVESPLICSDHQERTDATPAPV* >Brasy1G261100.1.p pacid=40057194 transcript=Brasy1G261100.1 locus=Brasy1G261100 ID=Brasy1G261100.1.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.2.p pacid=40057195 transcript=Brasy1G261100.2 locus=Brasy1G261100 ID=Brasy1G261100.2.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.5.p pacid=40057196 transcript=Brasy1G261100.5 locus=Brasy1G261100 ID=Brasy1G261100.5.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.7.p pacid=40057197 transcript=Brasy1G261100.7 locus=Brasy1G261100 ID=Brasy1G261100.7.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.6.p pacid=40057198 transcript=Brasy1G261100.6 locus=Brasy1G261100 ID=Brasy1G261100.6.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.9.p pacid=40057199 transcript=Brasy1G261100.9 locus=Brasy1G261100 ID=Brasy1G261100.9.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.3.p pacid=40057200 transcript=Brasy1G261100.3 locus=Brasy1G261100 ID=Brasy1G261100.3.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.10.p pacid=40057201 transcript=Brasy1G261100.10 locus=Brasy1G261100 ID=Brasy1G261100.10.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.8.p pacid=40057202 transcript=Brasy1G261100.8 locus=Brasy1G261100 ID=Brasy1G261100.8.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.4.p pacid=40057203 transcript=Brasy1G261100.4 locus=Brasy1G261100 ID=Brasy1G261100.4.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G261100.11.p pacid=40057204 transcript=Brasy1G261100.11 locus=Brasy1G261100 ID=Brasy1G261100.11.v1.1 annot-version=v1.1 MTWCNSFNDVRAVENNLASAAAVAAAAKKQQQQQASSHVSLVRTCPACGHHAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFFQTQPRQCGGSTAAAAARSSIKSGAAAVAPEHHHRQNDGGNNNTNNSMLKEAAGMVDFYSPALIGYSSQAAPPNNRAAAAAAAHLMPNFEVHTGGTGFGP* >Brasy1G474600.1.p pacid=40057205 transcript=Brasy1G474600.1 locus=Brasy1G474600 ID=Brasy1G474600.1.v1.1 annot-version=v1.1 MVESETGEHLKKGSDVGKTEKPQAAATKSGVTRNVLRKCIMQFIILSPLVRLNINLVLMDLRFALVFFMLFTVPSVLVCSWEPRFEESDEEEYVIVGGHVRQK* >Brasy1G204300.1.p pacid=40057206 transcript=Brasy1G204300.1 locus=Brasy1G204300 ID=Brasy1G204300.1.v1.1 annot-version=v1.1 MGFIGEQVESIRSMQVRQVLTQIISLGMIVTSALIIWKGLMLATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAQGQQWLQQHHIMGRAAGYLPYVGWVTIVMTEQPIIKYILIGALGLLVITSKE* >Brasy1G246100.1.p pacid=40057207 transcript=Brasy1G246100.1 locus=Brasy1G246100 ID=Brasy1G246100.1.v1.1 annot-version=v1.1 MLMEDDIVDKFVYQYPDQPKLLQAEQHFAQILSTTATTSYDAQESSAPDALASALLPSKVQDPAFFSSGTVELSGTLFPVECSTSMNKMSHMAFFKGIEEGKMFLPRDNVMVDSSGCRNKFDMGGVTEPAMGRSSKRTAVMVQNDPEEDDMLKKMLDRLILNGYDRYPGEMQDLIITLDKENIRRRRRPGDRQMVVTDLEMLLIRCAEAVASNDRSSASELLERIKWHSSSTGNARQRLAHYFAQALEARLAGTGRQFYQPLIGTRTSTVEYIKAYHLYSATFCFVKVALLFSNKTIYNAVAGRRKLHIVHYGMNTGLQWPDLIRWLADREGGPPEVRMTSIDRPQPGFRQSEQIEEAGHRLTNYASKFGVSIKFHAITAEPEAVRAEDLHIDPDEVLVVNSLFQFRNVMDESLAFDRVSPRDKVLNTIRKMKPSVFVHCISNGSYGSAFFMTRFRHALHYYTAMFDVMETTIPRNNDKRLQLERDFFARSAMNMIACEGADRVERPQNYREWQMRNHRAGLRQLPLDPDVVLMLKEEVKNKYHKHFMINEHHQWLLQGWKGQALYALSTWAADDAGGSELT* >Brasy1G424200.1.p pacid=40057208 transcript=Brasy1G424200.1 locus=Brasy1G424200 ID=Brasy1G424200.1.v1.1 annot-version=v1.1 MASAVAGATVEKVPASFEPSVWDNFFVDYEPQPLQRSEEWMKLRADKLKDDVSMLFKTCSSTVGRMNLVDAIQRLGIDHLFDEEIDAALSNIHGSEFISSSLHDVALRFRLLREHALWVSPEVFYGLMDEDGRFTKNITNEEPRGLLSLYNAAYLFVSGEPQLEEAISVARQHLESMRADLKSPLGEQVERALYLPLTRTYKRKEAVHYMSEYGEEEGHNPSLLELAKLDFNLLQHVHLKELNAISKWWKDLYGSVKLSYSRDRIVEAYFWSYSVFYEPCFARARMIFGKIIALFTFMDDTYDIHATIEECRQLNAAIQRWDESAVFLLPEYLKSFYSELLSNIAEFQGELAIDNYKIAYAKKAFQNQSTYYLQEAEWSHQNHKPSFEDQVTLSTMSSVVPMLSVIIMICMGDAVEEGAAEWALGIPEVIIASAKIGRFMNDIAAFKHGKNRGDVASSVECYINEYGVTGEVAIAKINSLIEDEWKAMNQARFKCTGMPQAVKRVINFTLSWPVFYDDMKDGYTFGEHLQETIESLFVKPVPI* >Brasy1G396200.1.p pacid=40057209 transcript=Brasy1G396200.1 locus=Brasy1G396200 ID=Brasy1G396200.1.v1.1 annot-version=v1.1 MAAAPATSSAPSFSTPTRPASDPSSVRFTRAWGKRTTTAGPRRMSAVRAEAVDASISPTVNALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPASIAEAGMNAIRDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGAAPVILPTNISENFLLSPEILAEKISEKSRLLILCSPSNPTGSVYPKDLLEKIADIVKKHPRLLVLSDEIYEHIIYQPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVNGFKEIPGVKISEPKGAFYLFIDFSSYYGSEVEGFGTISDSESLCIFLLEKAQVALVPGDAFGDDTCVRISYAAALSTLQTAMAKIKEAVALIKPRVAA* >Brasy1G250700.1.p pacid=40057210 transcript=Brasy1G250700.1 locus=Brasy1G250700 ID=Brasy1G250700.1.v1.1 annot-version=v1.1 MKKIFGAKKNQDPPPSIQDATDRIYKRGDTVDEKIKKLDAELARYKDQIKKTRPGPAQEAVKARAMRVLKQRKMYEGQRDMLYNQTYNLDQVAFASEGIKDAQQTMTAMKAANKELKGMMKTVKIEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESSSVPSYLQPDKETDLDSELNLPAAPSGHAAVPPNRQQEDELGLPTVPNASIRS* >Brasy1G245300.1.p pacid=40057211 transcript=Brasy1G245300.1 locus=Brasy1G245300 ID=Brasy1G245300.1.v1.1 annot-version=v1.1 MAATAPEGFMRLIRERDNHRGAAAFRLAAHDSEEEPPMSPSLFLDLPPTPAPAPAPVDQELEFISRMLMEEDIDDRFFYQYPDHPAILSAHRAFAQVISDGRSTTTSSSSAAATTGPKSGTTTLSPSSADSPDSPDALSATWPCESPTELFSRPIQSPPSADLSVDSHFGAVDQRGTASSVAFSTNEGAAEKNGSKLLPTTTFSAGGSDGAFTASAFFNGGGGGGEMDDMLSLAFRKGMEEANKFLPRFTTTGAGAGQAEKEDATADGLGKLMFGSTNGRGRKNRHPDDDDETEAEGGRSRKLMAPEPEEVGMDARQIFDEIMLHGYDSCMKGMEDLRLAMGSEAEKNIRKGTSGATSRKAARRGKRGAASEPEVDLRTMLIHCAQAVATGDHRSSGDLLRQVKQHCSPKGAATQRLAYCFAEGLEARLAGTGSQVYQSLMAKRTSVVEYLRAYKLYMAACSFKKVNMAFVGKTIVDAMAPGKGRNRLHIVDYNVQFGFQWPGLLQWLSTREGGPPEVRITGIDLPEPGFRPAFQIEETGRRLTDCAREFGVPFKFHGVAAKWETVRAEDLNIDPDEFLVVTSQCGFGNLLDESVVMDREDIPSPRDMVLSNISKMRPDVYIDCVVNGTYGAPFFVTRFREALYYYSAQFDMLDATIPRDNDERLLIERDIFGRCALNVVACEGADRVERPETYKQWQVRGHRAGMRQLPLCLEVVKVVRDKVKNYYHKDFVIDVDNRWLLQGWKGRVLYAMSTWVAADDDKSRF* >Brasy1G499800.1.p pacid=40057212 transcript=Brasy1G499800.1 locus=Brasy1G499800 ID=Brasy1G499800.1.v1.1 annot-version=v1.1 MMASSSSSSDLSAADHHHLILQGDLPWRPPSSSLPLTPALQHAIGGTHQWNQPLILDQLSPDELELLLQSAQAQLHNNHSHSHLLAAASPLTAAVAPHQLSSLLMMQELGFQWSSAVTETTADTSSSSQQHQDAVLKDDEEAPKLAAAGPLINSCRHRSSPMTVDYNDIVVDHGGGGAVVLPSVNVSLMQKPCPVVGGEAFEMLCKSGQLAMLPTSGTPLLGSEHVVYDYGPPAHHHRLLQGPSSSYKMGTVPAALLSGNGNVSSCHDEQQLQIAAAVSSISSKKKSTRLRPSTTIIPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYINFLQDQVETLSGPYMKSSKHKKARTTQQRGPSNTGDQKEEAKLDLRSRGLCLVPLSCTSYVTNENGIWAPPNFRGN* >Brasy1G385900.1.p pacid=40057213 transcript=Brasy1G385900.1 locus=Brasy1G385900 ID=Brasy1G385900.1.v1.1 annot-version=v1.1 MAQPRQQQQRRLSSGQFGGLRFLAVVALLALLSLSCLARLHAAAAASSASIDPIAGAGAAVEKAAGAGQDQEAGRGLTAPAAEEEEWPAARRVEMEVTTDDYPSSGANARHNPQAPHP* >Brasy1G366300.1.p pacid=40057214 transcript=Brasy1G366300.1 locus=Brasy1G366300 ID=Brasy1G366300.1.v1.1 annot-version=v1.1 MSCLAAGRLPPSELPFSAGACSRCSASRLPPALAAGRAAPWSAALLRAPVWPRCSTPRRRSAPRPAAARGRARHVSGVAAQAPERARRGEGSGAWRPGRNRRRPISGGQQSRPSPSSTTAAAQVGRGCRRSSGSTSGCCSSGRGKAAGRYAGARRSVRRHGGAAVARAAAAPGL* >Brasy1G555100.1.p pacid=40057215 transcript=Brasy1G555100.1 locus=Brasy1G555100 ID=Brasy1G555100.1.v1.1 annot-version=v1.1 MQERRLQRRRCTTPSSCSWAPACSWACCFSPPPATGFLRVGGSCDYRRCFLEFAVLLVLPPSVIYKIKLPGNAILGEGENQNCTFIFTRGERLQTIDMNQAYEKCFQNLHYMQ* >Brasy1G328900.1.p pacid=40057216 transcript=Brasy1G328900.1 locus=Brasy1G328900 ID=Brasy1G328900.1.v1.1 annot-version=v1.1 MGSLEPFNRLVKLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKSLKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQPGTKEGEEKVKLHTHSYCCLDYAQICDVVRYRIHRMKKTLKDELDSRNTVQHYICPNCKKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLNDMQQRIDEQLKPLQAQLKRVKDLPAPEFGSLQSWERLNIGAFAHGDPSAADSSRNAQGQYNGTPMPYLGETKVEVALSGSGAKEEGAESGTDGTVMKVLPPWMVREGMNITKEQRGETSNTTKGDEKSEGKDEKKQDSKGDEKSIQDEYIKAYYEALKKRQEEEDAKRMQEEGHTLSSESHAKRLLGRKTKREDGDVEDEGVEWEEEQLAGNTAETYKFVDLNAEAPESGDEEDDIDWEEG* >Brasy1G040200.1.p pacid=40057217 transcript=Brasy1G040200.1 locus=Brasy1G040200 ID=Brasy1G040200.1.v1.1 annot-version=v1.1 MAYLRKKSMEFLKSFEVPAKNPSEDAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALYVQKAALQFIDAARRTAEQHPLPELARQCGFSISAEELASVVRGHDGKSLRHHKGVDGVARKVNVSLSAGVKADDAGVRAEVYGSNTYAEKPARTFWMFLWDASQDTTLMLLALCAVVSVVIGVATEGWPGGVSDGAGIMLTIALVVAITAASDYKQSLQFRDLDKEKKKIDIQVTRDGLRQKVSIYDIVVGDVVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSENNRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLAKANNTAAGGLLAWGMEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWLAGAATTVSTAKGFEELVTASALSSEGFTKVLLEGVFHCSGSEVVRGKDGKTSIMGTPTETALLEFGLGVEKRTGVDHGAAATKHRVEPFNSVKKTMGVVIASPSAGGRPRAFLKGASEVVLRRCSAVVNDRHGGVETLTEKNAKRVAGAIDAFACEALRTLCLAYQDVPVGAENAAEIPGEGYTLLAVFGIKDPLRPGVREAVRTCHAAGINVRMVTGDNINTAKAIARECGILTEDGVAIEGPEFRQMSPDQMREVIPKIQVMGRSLPLDKHTLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALIVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPSDAMMRRPPVGRGDNFITKVMWRNIVGQSIYQLLVLGVLLFRGKTLFHMDDAGDGELLNTFVFNTFVFCQVFNEVNSREMEKVNVFSGVFSSWVFSAVVGATVAFQAVLVELLGTFAGTVHLSGQLWIMSVLIGSVSLPVGALLKCIPVGSGDGASSDRHDGYQPIPTGPSAV* >Brasy1G064200.1.p pacid=40057218 transcript=Brasy1G064200.1 locus=Brasy1G064200 ID=Brasy1G064200.1.v1.1 annot-version=v1.1 MLEPAYEHIVLVHYRDILEGSISVSVLNDSSTSNQNGSASRADAYGSPGLTSELIGQRLNSCSPGSGEEVSSQIATINNETTNASQFDWLRMLEMQLSLENKERHDVNTEEVLPNDPIPVHDIRNEELDTCTNNVDIFNRLEPIQDNQVEGSHLYPNAIDVLKNTDTWLDEDQLESILQSAPVTVNESQWFHIHEVCPEWAFCSDSTKVVIAGDFLCNPSKSSWAILFGDVKVPVENVQEGVIRCHTPPDLGAGKVRMCMVDENEKPCSEVREFEFVEKPIKSTIDGNGKSCSESREFEFQQKPGISGDGLSLLLNYVQMLFDGHGCGLFSKFRLPLPDVQCGFQVNPSDIINRTCEKLDHETTVNCVMEVMLNNKFEDWLSSKSEQNSEGNYLLPKKYHCVIHTIAALGYDWALKPLLSSGVPINYRDANGWTALHWAARFGREEMVGVLLAAGAAAGALSDPTSEDPVAKTPASIASAYGFNGLSAFLSETQLTTHLHSLESKENGNPIDHTLGEGISNAVVRISDKCAHVDGGTDDQLALQDSLGAIRNAVQAAGRIQATFRVFSLKKKHKMVLREAGAASRAMLDKAAMSIQKNFRCWKKRKEFRKVRKYVIKIQARVRAHQERKKYKELLQSVGILEKAMLRWFRKGVGLRGFNTTAMPIDEDEGEDIARVFRKERVETAVNEAVLRVSAIVGCPIARLQYRRMLEIHQQAKHAHEK* >Brasy1G170400.1.p pacid=40057219 transcript=Brasy1G170400.1 locus=Brasy1G170400 ID=Brasy1G170400.1.v1.1 annot-version=v1.1 MDITKRPVGTLGYMSPEYAYCGHVSTKSDMYSFGVIVLEMVTGRRNNRSLEDAASRSLLSHVWEMWSAGSTEEAVDPTLHGRYPESEVLNCVLIGLLCVQENPGARPDASEVVVMLDSRTASSMRVPSRPAFCFEQTGVASAGGYRDAETSGQLPAPISGNDVTISDLQPR* >Brasy1G067000.1.p pacid=40057220 transcript=Brasy1G067000.1 locus=Brasy1G067000 ID=Brasy1G067000.1.v1.1 annot-version=v1.1 MELYLTCFGSRNSRSCSSYCGGLTDLKTGNHSGSNLYLLVPLISVIFSTFIKAYKLQTCT* >Brasy1G140800.1.p pacid=40057221 transcript=Brasy1G140800.1 locus=Brasy1G140800 ID=Brasy1G140800.1.v1.1 annot-version=v1.1 MVELASIGIILGVAALVAVILFAIGCVVCCCAKASGDPAKEEDAAVVGRHEGLLNKEVVVDVPAGEQLCAICKGPLAAPGAGPCRRLRACGHVYHAECVDLWLQRKTICPLCRASVVVSRTDIVDAVV* >Brasy1G306100.1.p pacid=40057222 transcript=Brasy1G306100.1 locus=Brasy1G306100 ID=Brasy1G306100.1.v1.1 annot-version=v1.1 MEAASMPLAAPRSLSPPAAAASCSRPKNLPFVRAAPQTLEARAAPKTQRPSPRRNAVADVKAAADPVAALTRLEDVLQTQDCNIILRHYGDIRKWDALSKVFRWMQEHEMLNVASYSSYFKYLGLSRNAARALQVYGAIQDESIRVHVSVCNSVLGCLVKNGRYDSTFKLYDEMIREGLSPDLFTYSTLLSGCIKLKQGYTKAMELINELNYRGLQMDSVIYGTLLAICASHNYCEEAEVYFQKLKDEGHNPNLFHYSSLLNAYSENSNYEKAELLMKDLRSSKLTPNKVILTTLLKVYSKGGLFEKAKELLNELEASGFAQDEMPYCILIDALAKGGKIWEATMLFNEMKEKGVKSDGYAFSIMISALHRAGYREEAKQLAKEFEDQNTTYDLVMLNTSLRAYCNTNDTESVMRMLKKMDELNISPDHITFNTLIRYFCKGKVYHLAYKTVEDMHTKGHQLNEELCSEVMLQLGEAGFPSEALSVYNMMRYSKRTVCKSLHEKVLSILVPAGLLKDAYIVIKDNAELISPRSLEKFAIQFMISGNINLINDVMKALNHSGWRISQDTFGRAIQRYIQKPDKKQLLLSLLDWMTGQGYSVDSSSRNLLLKNAQLFGEKQLIAEILSKQQAASRTTGPRTKIITD* >Brasy1G090400.1.p pacid=40057223 transcript=Brasy1G090400.1 locus=Brasy1G090400 ID=Brasy1G090400.1.v1.1 annot-version=v1.1 MPGFTAYVGFYEVCSPKKGDFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGFEAAFNYKEEPDLMAALKRYFPEGVDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQHGMTDTVGIRNLFCLVSKRIRMQGFIQSDYLNLFPQFLDDMAKHYRDGKIVYAEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSQE* >Brasy1G508300.1.p pacid=40057224 transcript=Brasy1G508300.1 locus=Brasy1G508300 ID=Brasy1G508300.1.v1.1 annot-version=v1.1 MASSKNRSALFLAAALTLVVMATLLVSSCNAEDKCFESTPTPSCDLVECRRRCSNDSTPYCYMSLPRTKDIQPTGLSACCCRKND* >Brasy1G402800.1.p pacid=40057225 transcript=Brasy1G402800.1 locus=Brasy1G402800 ID=Brasy1G402800.1.v1.1 annot-version=v1.1 MDTTISSGAAHNATTALFLGTGAGGLAGMLPEVQTVEVLVAVSMFVIIHSLRQRRSLGLPCWPAVGMLPSLLLGVRGDMYEWITGVLNARAGTFTFKGPWFTNLHCVVTADPRNLEHLLKTKFGSFPKGPYFRDNVRDLLGDGIFGADDDTWRRQRKAASLEFHSAEFRALTASSLVELVHGRLLPVLAETEASGEAVDLQDVLLRLTFDNVCMIAFGVDPGCLQKGLPEIPFARAFEDATEATIVRFVTPTTVWRAMRALGVGHERVLRRSLAGVDEFAYDVIRKRREELAAAAEDADSSSAARSDLLTVFTKMRDPDHHGGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLGKNTAVEGKILEEIERIVAARSHGGGEEEEEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYSMGRMESIWGEDCREYRPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYRVNVVEGHPVVPKMALTLYMKHGLKVTLAKRNKAN* >Brasy1G085600.1.p pacid=40057226 transcript=Brasy1G085600.1 locus=Brasy1G085600 ID=Brasy1G085600.1.v1.1 annot-version=v1.1 MRSSGRMTWLPPKIGPTCQDMTRLCHLTETPFFSPHSLLCLCSSSRRWPEAVAPPPRVIELIAGRDLLPSLRPLVPPSSRPRRRRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSLSPHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGSSAFVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARSPGPACISAQHHGLRPPGPVHPAAPHPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy1G446900.1.p pacid=40057227 transcript=Brasy1G446900.1 locus=Brasy1G446900 ID=Brasy1G446900.1.v1.1 annot-version=v1.1 MRRLWRWYQQCLSSHPVRTQVVSSGILWALGDIGAQAVTHNSAGARSHHQADNPQDKDKEFKVDWKRVGITSSFGFAFVGPVGHYWYEYLDRFVRRRYQPSSFKFVATKVAADGLLFGPLDLALFFSYVGLASGRSIEQVKDDVKRDIIPALVLGGAIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDAAWKQWFTSFQKKIEDQKSKV* >Brasy1G226800.1.p pacid=40057228 transcript=Brasy1G226800.1 locus=Brasy1G226800 ID=Brasy1G226800.1.v1.1 annot-version=v1.1 MSWSDTDAALFAAVLGKDAAHHLATTPPQLDGPASASASSSAELQARLQDLVERGGAWTYGIYWQESHDGAGRPVLGWGDGHCREHDPAAPEEEEAGAANNTSLERKRVLLRLHALHGGGEEDEEGADYALRLDRVTGAEMYFLASMYFSFPEDAGGPGRALASGRHAWVAVDDPRRPAGWCVRASLAQSAGLRTVVFLPCKGGVLELGSVAAIRENPNVLRAIQSAFRVDPPAPPNDYMRIFGTDLSRGAQQTGRDAPWAAPLRLGGQAMAATRPATKRELAKAKCVNFTKQPDAQKQAGGGDERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGAGPTTPSVEVKALQDEVVLRVTTPLDAHPVSGALSAIRDSHLSVVASDMAVAGETVTHTLVVRSAGPDRLTAEAVLAAMSRGMMSNTPSP* >Brasy1G472700.1.p pacid=40057229 transcript=Brasy1G472700.1 locus=Brasy1G472700 ID=Brasy1G472700.1.v1.1 annot-version=v1.1 MSYLSLTQTRAAPAPAASVAPSLPAAVGEALAQLEERLGQSADLDARQRLAGLGEAAAARVLRKIGESRSPVQRLSGFIRYMADKEMKEVMARNARGIPPAESAACSSGPSLGDESVSGPQYHNNDQMDVQSPYCGEIPLCLSNHARVESGSPNQLRHIGSQDHGGSYREIACVVPNLTRIATEIPSGRDALRNHDNTQINSTIRAMTPAMMPVPTLPWMAGENPSAWIPLLNLGAIAPLGSNQIPVHINSPIRARRSDVTMQGGNPGHCFPAGLHNHMGTNSPIQNVIHTPPRNISTPSPVRDLSRRVQGMFVPVWAMAPSPALESSPQMQALEEFEFRKIFIIFAYLSGNKIEDELSVDVIRSLKSLSMIDFESRIWTAFGRKYIKPSDRTKNLDSDPGATRVYHCNIEIRGDSAVKVFKGPYVENKRTLLQKVIGDDNVLVVKFMGESSKTGTDFLPYHKVAEDGIVLGLRRYRFLLYKDGGKEEKKKEEKRNGENKKCTSGVRCYFVRTESGWKMDEPYILSGKTVDQARKLFMHIHTAPTLAKYMSRLALILSKTITLEVDLSVVHVIQLDDKPCSNENGPIFDRDGEPLIHTDGTGLISQDLAMKCPMSVSKRNSLKSKDVATCDETLTPPPCAKRHRSIATEPPLLMQFRMFYKGSVVKGTALVDKRLPPRTILIRPSMIKIKSDPILCGLPSVNSLELIKMRTGLELYGVQSVNSFEIVTTSNQPKKTLTSKYLIALLHYGGVKAEYFMELLHNAIEVVENARYDLDAALNIAFVYADMDDLISVRMILSGIPLEDAYLQHRLAIFAQQERIGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDKGQYSGNVLVYKPPGIHFGDIHVLTARYIKDIEEVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINAQLLEQFKPSNPWAWGLNKPNKPQQKGPLDLDESELERNLFHEFLKARFARSSALSTAADCWLVYMDRLLTDEIDEDEKKVLKKKMAKLVDLYYLALDASKTGIKINVPAELTAKKYPHFMDREECYQYRSTSILGKIYDEAGKVQSENVGPTEIPMDQRFMERAVSLELEQFWTRCYQEYLTDSGALSGIQDMEEKDLMFRELYHKYKHVLYDAAEFEQSPKNLNDVFDGACAIYQIVYKMASARKQAGKCAFVWKVAGRALCQFYALGSEGDKVLVPLTVARNLLKKGRR* >Brasy1G472700.2.p pacid=40057230 transcript=Brasy1G472700.2 locus=Brasy1G472700 ID=Brasy1G472700.2.v1.1 annot-version=v1.1 MSYLSLTQTRAAPAPAASVAPSLPAAVGEALAQLEERLGQSADLDARQRLAGLGEAAAARVLRKIGESRSPVQRLSGFIRYMADKEMKEVMARNARGIPPAESAACSSGPSLGDESVSGPQYHNNDQMDVQSPYCGEIPLCLSNHARVESGSPNQLRHIGSQDHGGSYREIACVVPNLTRIATEIPSGRDALRNHDNTQINSTIRAMTPAMMPVPTLPWMAGENPSAWIPLLNLGAIAPLGSNQIPVHINSPIRARRSDVTMQGGNPGHCFPAGLHNHMGTNSPIQNVIHTPPRNISTPSPVRDLSRRVQGMFVPVWAMAPSPALESSPQMQALEEFEFRKIFIIFAYLSGNKIEDELSVDVIRSLKSLSMIDFESRIWTAFGRKYIKPSDRTKVYNLDSDPGATRVYHCNIEIRGDSAVKVFKGPYVENKRTLLQKVIGDDNVLVVKFMGESSKTGTDFLPYHKVAEDGIVLGLRRYRFLLYKDGGKEEKKKEEKRNGENKKCTSGVRCYFVRTESGWKMDEPYILSGKTVDQARKLFMHIHTAPTLAKYMSRLALILSKTITLEVDLSVVHVIQLDDKPCSNENGPIFDRDGEPLIHTDGTGLISQDLAMKCPMSVSKRNSLKSKDVATCDETLTPPPCAKRHRSIATEPPLLMQFRMFYKGSVVKGTALVDKRLPPRTILIRPSMIKIKSDPILCGLPSVNSLELIKMRTGLELYGVQSVNSFEIVTTSNQPKKTLTSKYLIALLHYGGVKAEYFMELLHNAIEVVENARYDLDAALNIAFVYADMDDLISVRMILSGIPLEDAYLQHRLAIFAQQERIGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDKGQYSGNVLVYKPPGIHFGDIHVLTARYIKDIEEVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINAQLLEQFKPSNPWAWGLNKPNKPQQKGPLDLDESELERNLFHEFLKARFARSSALSTAADCWLVYMDRLLTDEIDEDEKKVLKKKMAKLVDLYYLALDASKTGIKINVPAELTAKKYPHFMDREECYQYRSTSILGKIYDEAGKVQSENVGPTVAVLKMLIELRSYNMHIWNSWPLES* >Brasy1G472700.3.p pacid=40057231 transcript=Brasy1G472700.3 locus=Brasy1G472700 ID=Brasy1G472700.3.v1.1 annot-version=v1.1 MSYLSLTQTRAAPAPAASVAPSLPAAVGEALAQLEERLGQSADLDARQRLAGLGEAAAARVLRKIGESRSPVQRLSGFIRYMADKEMKEVMARNARGIPPAESAACSSGPSLGDESVSGPQYHNNDQMDVQSPYCGEIPLCLSNHARVESGSPNQLRHIGSQDHGGSYREIACVVPNLTRIATEIPSGRDALRNHDNTQINSTIRAMTPAMMPVPTLPWMAGENPSAWIPLLNLGAIAPLGSNQIPVHINSPIRARRSDVTMQGGNPGHCFPAGLHNHMGTNSPIQNVIHTPPRNISTPSPVRDLSRRVQGMFVPVWAMAPSPALESSPQMQALEEFEFRKIFIIFAYLSGNKIEDELSVDVIRSLKSLSMIDFESRIWTAFGRKYIKPSDRTKNLDSDPGATRVYHCNIEIRGDSAVKVFKGPYVENKRTLLQKVIGDDNVLVVKFMGESSKTGTDFLPYHKVAEDGIVLGLRRYRFLLYKDGGKEEKKKEEKRNGENKKCTSGVRCYFVRTESGWKMDEPYILSGKTVDQARKLFMHIHTAPTLAKYMSRLALILSKTITLEVDLSVVHVIQLDDKPCSNENGPIFDRDGEPLIHTDGTGLISQDLAMKCPMSVSKRNSLKSKDVATCDETLTPPPCAKRHRSIATEPPLLMQFRMFYKGSVVKGTALVDKRLPPRTILIRPSMIKIKSDPILCGLPSVNSLELIKMRTGLELYGVQSVNSFEIVTTSNQPKKTLTSKYLIALLHYGGVKAEYFMELLHNAIEVVENARYDLDAALNIAFVYADMDDLISVRMILSGIPLEDAYLQHRLAIFAQQERIGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDKGQYSGNVLVYKPPGIHFGDIHVLTARYIKDIEEVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINAQLLEQFKPSNPWAWGLNKPNKPQQKGPLDLDESELERNLFHEFLKARFARSSALSTAADCWLVYMDRLLTDEIDEDEKKVLKKKMAKLVDLYYLALDASKTGIKINVPAELTAKKYPHFMDREECYQYRSTSILGKIYDEAGKVQSENVGPTVAVLKMLIELRSYNMHIWNSWPLES* >Brasy1G472700.4.p pacid=40057232 transcript=Brasy1G472700.4 locus=Brasy1G472700 ID=Brasy1G472700.4.v1.1 annot-version=v1.1 MSYLSLTQTRAAPAPAASVAPSLPAAVGEALAQLEERLGQSADLDARQRLAGLGEAAAARVLRKIGESRSPVQRLSGFIRYMADKEMKEVMARNARGIPPAESAACSSGPSLGDESVSGPQYHNNDQMDVQSPYCGEIPLCLSNHARVESGSPNQLRHIGSQDHGGSYREIACVVPNLTRIATEIPSGRDALRNHDNTQINSTIRAMTPAMMPVPTLPWMAGENPSAWIPLLNLGAIAPLGSNQIPVHINSPIRARRSDVTMQGGNPGHCFPAGLHNHMGTNSPIQNVIHTPPRNISTPSPVRDLSRRVQGMFVPVWAMAPSPALESSPQMQALEEFEFRKIFIIFAYLSGNKIEDELSVDVIRSLKSLSMIDFESRIWTAFGRKYIKPSDRTKNLDSDPGATRVYHCNIEIRGDSAVKVFKGPYVENKRTLLQKVIGDDNVLVVKFMGESSKTGTDFLPYHKVAEDGIVLGLRRYRFLLYKDGGKEEKKKEEKRNGENKKCTSGVRCYFVRTESGWKMDEPYILSGKTVDQARKLFMHIHTAPTLAKYMSRLALILSKTITLEVDLSVVHVIQLDDKPCSNENGPIFDRDGEPLIHTDGTGLISQDLAMKCPMSVSKRNSLKSKDVATCDETLTPPPCAKRHRSIATEPPLLMQFRMFYKGSVVKGTALVDKRLPPRTILIRPSMIKIKSDPILCGLPSVNSLELIKMRTGLELYGVQSVNSFEIVTTSNQPKKTLTSKYLIALLHYGGVKAEYFMELLHNAIEVVENARYDLDAALNIAFVYADMDDLISVRMILSGIPLEDAYLQHRLAIFAQQERIGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDKGQYSGNVLVYKPPGIHFGDIHVLTARYIKDIEEVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINAQLLEQFKPSNPWAWGLNKPNKPQQKGPLDLDESELERNLFHEFLKARFARSSALSTAADCWLVYMDRLLTDEIDEDEKKVLKKKMAKLVDLYYLALDASKTGIKINVPAELTAKKYPHFMDREECYQYRSTSILGKIYDEAGKVQSENVGPTVAVLKMLIELRSYNMHIWNSWPLES* >Brasy1G232000.1.p pacid=40057233 transcript=Brasy1G232000.1 locus=Brasy1G232000 ID=Brasy1G232000.1.v1.1 annot-version=v1.1 MDTTAAPGTGTSIGEARHRGDQQGKDGRPEKDAARKKVPLLSMFRYADCLDVLLMVVGTVGAMGNGVSEPLISVLFGNVINSFGESTSSTILRSVTKVVMSLMYLGIGTAVACFLQVSCWTMAGERQSARIRSLYLKSVLRQDIAFFDTEMTTGEAVSRMSSDTVIIQDALGEKAGKLVQLSSGFIGGFIIAFTKGWLLTLVMLTSLPLVAIAGAVSAQLLTRTSSKRLTSYSDAGDTVEQTIGSIRTVVSFNGEKKAMAMYNNFIKRAYRTVIEEGLINGFGMGSVFCISFSSYGLAFWYGGKLIIDKGYTGGTIITILFAVLTGATSLGNATPSVSAIAGGQSAAYRLFETIERKPDIDSDDTSGMVLENVKGDVELKDVYFRYPARPGQLILDGLSLQVASGTTMAIVGESGSGKSTIISLVERFYDPQAGEVMIDGINIKNLRVDWIRGKIGLVRQEPLLFMTTIKENIIYGKEDATLEEIKRAAEHANAANFIDKLPNGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKIILLDEATSALDVESERIVQEALNRIMIERTTLVVAHRLSTVKNVDCITVVRQGKIVEQGTHHALVKDTNGAYSQLIRLQETRGDKRHKIQDFGVPNSLSKSSSLSIRQSMSKDSLGLSVELHEDENTGGQKKDELTDGKALKKGPIGRLFYLNKPELPFLLLGSIAAAVHGIIFPLFGILMSSVIKSFYESPDKLRKDSNFWALISVVLGIASLISIPAEYFFFGIAGGKLVERVRTLSFQNIVRQEIAWFDNPSNSSGAIGTRLSIDALNVRRLVGDNLAIIMQSIATLITGFVIAFSTDWRLALVITCVIPLVGAQGYAQVKFLKGFSEDAKEMYEDAGQVATDAVGSIRTVVSFSAEKRVVRTYNKKCEALRKQGIRSGIVGGLGFGFSLLVLYLTYALCFYVGAQFVRQGKMTFSDVFKVFFALALAAVGVSQASALASDATKARDSAISVFSILDQKSKVDSSSGEGLTLENITGNIDFSNVSFKYPSRPDVQIFSDFTLHIPSRKTIALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKSIRISWLRDQMGLVGQEPVLFNDTIRANITYGKHEEVTEEEIMAVAKAANVHEFISSLPQGYDTLVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKRADTIAVLKEGKIAEKGKHEALMQIKNGVYASLVELRSNSE* >Brasy1G155000.1.p pacid=40057234 transcript=Brasy1G155000.1 locus=Brasy1G155000 ID=Brasy1G155000.1.v1.1 annot-version=v1.1 MFALRRTLLHGRLPAPPATAASRISSFLRSLSSSPGGEGGPEEWGSSLRSHSSSPGGEGGAEEWGSSWSTGITKDHFDGSASAVGRPAPSPSAAVSPELAAVRSMDEEEEIIRAVELDNKESKEYVDSWKGRFRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWAYMVEKLGPQGRRGTGGGWKFISLPDGSSRPLNDMEKMYVKRETPKRRRRIIAPYK* >Brasy1G565000.1.p pacid=40057235 transcript=Brasy1G565000.1 locus=Brasy1G565000 ID=Brasy1G565000.1.v1.1 annot-version=v1.1 MKRARDEALMGSQQQQLKRPNPARSDPTAPPQAMPVSGSASAAAAPPPQAAAAAPAQPSSSLAGQKLTTNDALVYLKAVKDKFQDNRAKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGFAIRLQEEKKPVDFMEAINFVNKIKIRFQRDEHVYKSFLDILNMYRKDNKSIQDVYQEVAVLFNDHKDLLEEFQHFLPDTSVSPQAASAPRGGLVNRDDKTTIMPPVSRNEKPRAYPSHADRDFTVDRPDVEHDRQRRQKEKERKAERDKRDYERDEKDGEHDSKEPDIGQRKRRPFTSANPTGAETHQEGFPENHGINTASASSYDNNDVLKSAYPQEFQFCNKVKEKLEHDAYQEFLKCVHIYSQEIITRSELKNLVNDILQHYPDLMNGFNEFLEHCENIDGFLAGVFSKKQPGRLVKTEDKERDKEHEREDRDRDRDKEREKERERLNPKEGPSQKTSIFKEKYLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPDSPIRIDEHLTPLNLRCVERLYGDHGLDVMDVLRRNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKSLLTEIKEINEKKRKEDDVLIAIAAGNRRPIVPNMSFEYVDSNIHEDLYKIIKYSCGEVCSSSDQLDKVMRIWTTFMEPILGVPPRSNGTMDVDPIKPKNGITKPSILTVGESNTTPAGTGAKQGHGDESIPQEQAPSAVVRLVKGVAAHSQNGFHDADRTARTGVELPNAALNGRVQAAASATGEISAVNTQNISTERSAENIPVPRTEQHSHIKGNLDTTSGVNASRSSNVGAGSAAGARAGKETLPSVEGGETGRSVSSLNGGSTSEGKKGGLFNEATASHNISKIEREEGELSPNGDFEEDNFVPLEEDGAVEGASKTKEVSTSRFKAGTCEVGPCAEAAGENDADDEGEESTQRSSEDSENASEAGEDASGSESGEQCSREDHDEEEEDMDHDDHDAKAESEGEAEGTTETHDVEGGMSLPLSERLLYSVKPLAKHVPTSLLDREDKSSHIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFIDALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHDESMYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIEPNFSSYLFSEYLSSSSDTMLSDGVFLGRNKRKHSNNDEPSDSLKTMDGIKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRLSPGENVPDKSLTSKQNAAKLQRFHMFLSKPLGS* >Brasy1G517600.1.p pacid=40057236 transcript=Brasy1G517600.1 locus=Brasy1G517600 ID=Brasy1G517600.1.v1.1 annot-version=v1.1 MCLPWCRPRQHDYGRNRKDSGNQERPENQLDHDGAGHKAPPTPPHLQPPAAGGGHGYSQDKVDEGTTLKQQLLQLPASHNNKVSGAAPDDHREKNPMDFNHHYHH* >Brasy1G253900.1.p pacid=40057237 transcript=Brasy1G253900.1 locus=Brasy1G253900 ID=Brasy1G253900.1.v1.1 annot-version=v1.1 MATILMSVRSGDPDTPMSPFEMGLNHHVLDVSDARKFHAMVLAASDSELERTWFRHLSPHDIGITGYGIRSMFGQDAAWGDKQMDAATRLFTELEDGMMDGIAEPRWRHLLSPLFARVHLSGLPEYSSIKNVLPMFTGCKYNYDINKCRMIMCPVHLASAWCLYVFDRVARQLSVLDPTYTGHDADTYGVKHFNTIACLFAALRSVGSHLGGGWELDPATWKTKFNTNMHAPCTRALSGNLVMHYAKTYNGDDLLQIPTEGELNEVTMSTMYGIIGMKGNLAEKPICLGNKIVSSINPCCLQKLRLSYPT* >Brasy1G443500.1.p pacid=40057238 transcript=Brasy1G443500.1 locus=Brasy1G443500 ID=Brasy1G443500.1.v1.1 annot-version=v1.1 MNCRCSFSAFFAALIPYMILALDGSQAAIATDTLFPGQSISGSETLVSKNGVFELGFFSPDPGDTRLYLAIQYKNLAAIHPVRFRLGDRVPITRFPNVMLRLVAGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGEAINHKADVYSFGIVLLELISGRRTAGNTRYGNHVYFPLYAAAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.10.p pacid=40057239 transcript=Brasy1G443500.10 locus=Brasy1G443500 ID=Brasy1G443500.10.v1.1 annot-version=v1.1 MNCRCSFSAFFAALIPYMILALDGSQAAIATDTLFPGQSISGSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGEAINHKADVYSFGIVLLELISGRRTAGNTRYGNHVYFPLYAAAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.8.p pacid=40057240 transcript=Brasy1G443500.8 locus=Brasy1G443500 ID=Brasy1G443500.8.v1.1 annot-version=v1.1 MKLLCSSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGEAINHKADVYSFGIVLLELISGRRTAGNTRYGNHVYFPLYAAAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.3.p pacid=40057241 transcript=Brasy1G443500.3 locus=Brasy1G443500 ID=Brasy1G443500.3.v1.1 annot-version=v1.1 MNCSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGEAINHKADVYSFGIVLLELISGRRTAGNTRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.4.p pacid=40057242 transcript=Brasy1G443500.4 locus=Brasy1G443500 ID=Brasy1G443500.4.v1.1 annot-version=v1.1 MNCSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSGEAINHKADVYSFGIVLLELISGRRTAGNTRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.9.p pacid=40057243 transcript=Brasy1G443500.9 locus=Brasy1G443500 ID=Brasy1G443500.9.v1.1 annot-version=v1.1 MKLLCSSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.6.p pacid=40057244 transcript=Brasy1G443500.6 locus=Brasy1G443500 ID=Brasy1G443500.6.v1.1 annot-version=v1.1 MKLLCSSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.5.p pacid=40057245 transcript=Brasy1G443500.5 locus=Brasy1G443500 ID=Brasy1G443500.5.v1.1 annot-version=v1.1 MNCSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.7.p pacid=40057246 transcript=Brasy1G443500.7 locus=Brasy1G443500 ID=Brasy1G443500.7.v1.1 annot-version=v1.1 MNCSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G443500.2.p pacid=40057247 transcript=Brasy1G443500.2 locus=Brasy1G443500 ID=Brasy1G443500.2.v1.1 annot-version=v1.1 MNCSETLVSKNGVFELGFFSPDPGKLHIEELGSVLWNSSSEKDGSASATAVLHNNGNFVLRDQTGHSKVIWQSFDHPADALLPGARLGFDMVSRANISLTVYRDPYNCTLMIDQSSKMGFVMFIDGLHGHEHLGTFPDWMFTYEEGSLVRLNDPRNPNDLEFLRLRVGHVSLLRWIDNATITGWQPLWSYPSSCKISAFYCGAFGVCTSTGTCGCIDGYQPSDTDEWKLGHFVSGCSRITPSNCRDGISTDSFILSGNLQELPDQPKDTRAETSQDCEATCLSNCQCVAYSYDHSECKIWYEKLFNLTSANNTLQAKIYIRIGTSHGKRLRHIQLVILVIGSISIALLIMLVLIWVYNRSSRQTEVEGFLAVYSYAQLKRATRNFSDKLGEGGFGSVFRGTIAGSTDVAVKKLNGLGHRDRDKNFRAEVQTLGMIQHTNLVRLLGFCTEGTRRLLVYEYMPNGSLDSHLFPERSILSWHLRHRIAIGIAKGLAYLHEGCRHCIIHCDIKPENILLDAQLCPKIADFGMAKLLGRDFNAALTTLRGTIGYLAPEWVSAKVNEGDVLCLLDGRLGGDGNVRELDVTCRVACWCIQDDEIHRPSMGQVVCMLEGVVDTELPPIPSSFQHLMDGDDSGIYPAEG* >Brasy1G447000.1.p pacid=40057248 transcript=Brasy1G447000.1 locus=Brasy1G447000 ID=Brasy1G447000.1.v1.1 annot-version=v1.1 MTRCMLARPLLASLLLLALAGVASAYEKGPGGFVVTGRVYCDPCRAGFETNVSKNIGGATVAVDCRPFNGGDSKLKAEATTDQYGWYKIDIDQDHQEEICEVLLARSPDPACSEIEEFRDRARVPLTRNNGLKQQGTRYANPIAFFRKEPLKDCGGILQAYDLKDAPETP* >Brasy1G452900.1.p pacid=40057249 transcript=Brasy1G452900.1 locus=Brasy1G452900 ID=Brasy1G452900.1.v1.1 annot-version=v1.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGIVKMIPTKPMVVETFAMYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK* >Brasy1G116600.1.p pacid=40057250 transcript=Brasy1G116600.1 locus=Brasy1G116600 ID=Brasy1G116600.1.v1.1 annot-version=v1.1 MEGEVVAASPFIEWLKPPTSSSSSSPSSSSSPSSSSTASRQQDRADPAGKARGGAEEDGGSSSSSSSIVACLPLLRMLEEGKAGDHREQPVKEEIMSSAGASGSVLGPGVDLNIGLPVGEPCTDYTMELGEEGEEEEEDEEEWNPTAHGGCKVEGEEKEEHGEEVEGSDHNISMGEFVGVTAAESGVAMSCRYWIPTPAQILVGPVQFVCHVCNKKFNRYNNMQMHMWGHGREYRKGPDSLKGTAHSATLALLKLPCYCCAAGCKSNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHFPVVVEAAAAPKAEDRIIRFQR* >Brasy1G148700.1.p pacid=40057251 transcript=Brasy1G148700.1 locus=Brasy1G148700 ID=Brasy1G148700.1.v1.1 annot-version=v1.1 MATRNQNVVAAPQLQQNRAIGGGVHALGKQKVAMAGRPDAKNRRALGDIGNVVNVRAAEGKQQLQEQPAHRPVTRNFGAQLLKDAQAKAKKNPGARPAARLTRKEAPAKFVPPPAELVIDISSDSEVSTRKQSKGSVSSVRKGSRKEVINTLTSVLTARSKVAAGIIDKPLEVDIDKLDGDNQLAVVDYIEDIYSFYKVAENECRPCDYIESQVEINSKMRAILADWIIEVHQKFDLMPETLYLTMYIIDQFLSMQPVLRRELQLVGVSALLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKGILNRLQWNLTVPTAYVFLVRFAKAASSFDLKNDKEMENTSFFFAELALMQYQLVQFKPSIVAASSVYAARLTLKRTPLWTDTLAYHTGFTESQLMDCAKILVAAHATAPESKLRVVYKKYSNEKLGGVSLRPPALEFCK* >Brasy1G285600.1.p pacid=40057252 transcript=Brasy1G285600.1 locus=Brasy1G285600 ID=Brasy1G285600.1.v1.1 annot-version=v1.1 MRCHPGCTRFVHAIGRAATTAVAVEEHAADCMVCVLRRRYRVQFNDISWSRLNRCHRRHVMTRRTLRTMFARYGDALEKARLMEKGWQESLVDLKAAREVCTEISSKGGDSLEPAEEPTGHAETLFGVHSLGAWVQERLDEGNAKLSVAKAEGSP* >Brasy1G252000.1.p pacid=40057253 transcript=Brasy1G252000.1 locus=Brasy1G252000 ID=Brasy1G252000.1.v1.1 annot-version=v1.1 MGDYTIQISTKLIDQLARDDEKVKRKSRKPKPKKVTPLQEEPKDNGRELPSEPKGSPAPGWPLPPPMYLPVTPAPPPPPPAIQEVEAIRAVLAESENVLEKLQKEEAGMRQELSKRAKELHDKEFKLPYQNPVPCTDERASCLECYKSNVEDPLKCAEAVKRFKACVRMARQSGHVKAAQ* >Brasy1G459400.1.p pacid=40057254 transcript=Brasy1G459400.1 locus=Brasy1G459400 ID=Brasy1G459400.1.v1.1 annot-version=v1.1 MHLLLPMCYCFPCTSSPQQLPPLPLPRPQPLRPPSRSASPRVGLSLPLKAVVAPAMRRRGRRRAAPPPPTAAAGDASTDSSGPGGEEKRDGTDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSSFTGTALAFALTLLNAVVDLVSFSNILYGIYPPLFIVLVVYSLGGTTISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAYQNLTELLVASRNLEFFTNGYRYLIQILPAAVVAPMFFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDANEPSLSSRRDSIDGINIFFKSGSPSVLSSNGSVMQSDPGIVLEICNLTLLTPRSENILLTDFSMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGNIVYHARDYAQLQTSNLGSDKPSNVKPEGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEKIHQSPINDAQKTDLPFLSEVNTSDGVDAKSEMPSTKELIRVLEAVRLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDTNEVHLYSQIEAAGITYISVGHRKTLHRFHNKVLYISKSDSTTSSLRNWELKPTDQKSIEESSPFAS* >Brasy1G144600.1.p pacid=40057255 transcript=Brasy1G144600.1 locus=Brasy1G144600 ID=Brasy1G144600.1.v1.1 annot-version=v1.1 MNSTKNRAVHKSHAQFPNLQKDHHGYGKTSKSKHSTAMSVAAADWLPTATVTASGRPVLFAGEIERHLLPLADLEPEENPSRAPLRGCLLALTSHRLIFLHEPSLSARALPLTSIVHPYPPHRRHNHNPLRSIFSSSSSSSSHHPRIRLQISLPPSRSEVVAVVVTCKADVDVFYGRLLAAMRARAWEVAPASVPASGASAAGGSAPAVEEDIAIRMPVVGVSGILRKEQETWESTGQNLQDAFQDLNALMNKAKEMMELAEKMRQKLLTNPSTQSNSGDEEMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSKQLADFVRIPVEKAGGMIALVDVYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKSHSDDEVFARISSLAQKTDALQKGISPSDAALTLGITPALAKEHLLNAENKGLLCRDVSPDGFRFYINLFNEIDPKDIYLSKTYGLYHTWISVTTAAH* >Brasy1G108200.1.p pacid=40057256 transcript=Brasy1G108200.1 locus=Brasy1G108200 ID=Brasy1G108200.1.v1.1 annot-version=v1.1 MSHNHGDTIPLNASSAQSDMDEIESLIYAAPSATVLPARPTSPPRASIPVSTSPLPPPAKPPLPASSIPIFVPQAPPASSAATPASVSVAIASDGFGSPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDSVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID* >Brasy1G108200.3.p pacid=40057257 transcript=Brasy1G108200.3 locus=Brasy1G108200 ID=Brasy1G108200.3.v1.1 annot-version=v1.1 MSHNHGDTIPLNASSAQSDMDEIESLIYAAPSATVLPARPTSPPRASIPVSTSPLPPPAKPPLPASSIPIFVPQAPPASSAATPASVSVAIASDGFGSPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLPQSSWLLFVSSGCWSPNLHAEG* >Brasy1G108200.2.p pacid=40057258 transcript=Brasy1G108200.2 locus=Brasy1G108200 ID=Brasy1G108200.2.v1.1 annot-version=v1.1 MSHNHGDTIPLNASSAQSDMDEIESLIYAAPSATVLPARPTSPPRASIPVSTSPLPPPAKPPLPASSIPIFVPQAPPASSAATPASVSVAIASDGFGSPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLSSWLLFVSSGCWSPNLHAEG* >Brasy1G495300.1.p pacid=40057259 transcript=Brasy1G495300.1 locus=Brasy1G495300 ID=Brasy1G495300.1.v1.1 annot-version=v1.1 MAQQAKKAPELQDPEVREELDRRAREEGETVIKGGTGGKSLDAQERLAQGRKKGGMSRATESGNERAEKENKDEEGGGVLIEPDEKLLKEVKKDLGRKN* >Brasy1G516700.1.p pacid=40057260 transcript=Brasy1G516700.1 locus=Brasy1G516700 ID=Brasy1G516700.1.v1.1 annot-version=v1.1 MYNFMHTLLVYYFLWCKKINIFGNRLGVHRLMEWGLLPPDEAREVLRKKQGQKLKSPVKTVSTQRKTSTPTKNQPRLAKETKSAAKDTGKTTAQKKRRAASDTDDDNDDFVLSKTKTKRQKISS* >Brasy1G237200.1.p pacid=40057261 transcript=Brasy1G237200.1 locus=Brasy1G237200 ID=Brasy1G237200.1.v1.1 annot-version=v1.1 MASVVPEVALRSGNARPMPAIGMGTAKFPVVPETTRDAVLAAVELGFRHFDTASMYSTEKPLGEAMAEATRRGLVASREEVFVTSKLWCTQCHPHLVLPSLRESLQNLQMEYVDLYLIHWPISLKPAPPVFPAKPEDAVPFDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDKLLAAATVPPAVNQVEMNPVWQQRTLRKYCAEKGIHVAAYSPLGGQNWTGEGNAVLDSEVLAEIAQARGKSVAQVALRWIYEQGVTPIVKSFSKERLKENLEIFDWGLTDDDLLKISQIPQKKVVKAIGILFPTEGEFTSVDPSDIDIVEE* >Brasy1G200800.1.p pacid=40057262 transcript=Brasy1G200800.1 locus=Brasy1G200800 ID=Brasy1G200800.1.v1.1 annot-version=v1.1 MASSDEKPVPTPASTGSGDGGPPPGKPTATTSVLLDKGAAALQSLRPVKQMKQHVCTFALYAHDPHRQLETHHFVSRLNQDVLQCAVYDSDDKNARLIGVEYIVSRKIFESLPGEEQRLWHSHAHEIKEGLWTTQRVPGLLSKTEMGMMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQGEREGAVRPDLVRKRDTKYGFSTEELKAERADVEAPADPRPGQADYWVRHRKGFAVDVVPHDMKRHAPFP* >Brasy1G358300.1.p pacid=40057263 transcript=Brasy1G358300.1 locus=Brasy1G358300 ID=Brasy1G358300.1.v1.1 annot-version=v1.1 MAESKPEQEVSSPWPDLLPELLGLVLSRMPSHADRVRLGAVCRPWRSSSTNQPLLPWLALRDGTFLSFPDGEVYRLPVPADVYHRVSAGGIIFLVHADGKCSLMTNPFTGETAPQHMDPDVLWFQMTILTPRHLVAHFNIAKVVVSDHIVAIKGGRGAKPRICARGPPQTCTPPVVELELYADDIEFFQGMLYVVGTKDVYSPTVEFVHHHHRELHVFEFTRDPPVLCIPGTTIAHSTGHYQLYYYLVVSGDRLLLVEREIELSVVSSKPIRTRRLEVFEATGLHNGAGNGRWTKVDNLMGHALFVSQDCSRSLPVANDQYGAQQDCVYFLSEHSLNDNYFKGRKPEDDFLDSGVYNIRDQTLAPLPTEMAPMKTAVVSHSGKGSLSWLFLPQN* >Brasy1G297000.1.p pacid=40057264 transcript=Brasy1G297000.1 locus=Brasy1G297000 ID=Brasy1G297000.1.v1.1 annot-version=v1.1 MMTMPFSSPLCGLLVVLVALLPVLSVSAAGKLSNYTGVLSFGDSLADTGNVLAHTGGGVGSQLPFGETFFGRPTGRASDGRIVLDFIVEALGLESPKPYFAGKTAADFQRGANFAYGGATALDPEFLRSRGLTPFVALSLVNQTAWFRQVLRLVGSVDAQRELMAKSLVMVGEMGINDYLVAFDAMRTPTEVEPLVPDVIRAIRSLVNEVISAGAKTVVVRGMIPLGCQPQLLALFEGSVSAEEYDKKTGCLTRLNDLARLHNLKLFRMVLETRLESVGRGVGVFYADQFIPVDNIVKAPSKYGFGEKPLVACCGGGGGKYNFGFITFCGAPGATLCGDPSKYVSWDGIHMTDAANGRVAAAVLRSTGILRPKSFGVASA* >Brasy1G337800.1.p pacid=40057265 transcript=Brasy1G337800.1 locus=Brasy1G337800 ID=Brasy1G337800.1.v1.1 annot-version=v1.1 MVTVKSIRITFSLVCIYGDPYHRLTGSIWDSIESFVYDNLGSPIFCMGDFNNILSPMDKSNSCINHSRMNSFDLSVKRCGLMDLGFNGPAYTWSNKRFTSHPVFERLDRCFANAEWCDKFPNTNVYNLPIILSDHAPIRASTDSTFKHPKLNFKFEHWWILENDFQEVAKDCWSKTVNKDFVSRTSNLAGTLRKWWKKKKPLNQQLAAIEEQIAYIQSQPLQCQNYTLEKQYRQRAKKQWAKHGDRITSFFHHSIIKRRRKNRIISIQDSSGMIQHDPEIIAKTFINYFTDLFHSDNANNIDHSSASRPPHASDDFTYSIPDKDEILQVLKQLKRNASPGPDGFNVAFYLAAWNWIAEDVFFLVRNFYP* >Brasy1G063600.1.p pacid=40057266 transcript=Brasy1G063600.1 locus=Brasy1G063600 ID=Brasy1G063600.1.v1.1 annot-version=v1.1 MSSIGGTYDRYQRFAGGRRNLNDGDSSSNNDEDPSNIQSRLGEIASWSIQNNADDSDANKLEKLETLLKDALRSTKSKILAKQNSGASTSGSGENSSEPKGQEGGRA* >Brasy1G176700.1.p pacid=40057267 transcript=Brasy1G176700.1 locus=Brasy1G176700 ID=Brasy1G176700.1.v1.1 annot-version=v1.1 MMQPSGGADGIGMSDGGGGGFSRTLAASLLAFLTFNSGMAMYRSRNDASIVAFVGTSYLDLVLLFCCLWFFKRAAPGSPRRSRLKASVWTLTTLLTFSYCYMVLGTAAGLTLHVALLVWLIPVATGVGAFYAFFDECSR* >Brasy1G243300.1.p pacid=40057268 transcript=Brasy1G243300.1 locus=Brasy1G243300 ID=Brasy1G243300.1.v1.1 annot-version=v1.1 MSTAPGARGFRYLAYICLSKYLMSLPYCVRRGNGVDGGRLLRPAAGTSSCLPGAMLRNLVRYIVLCNPSFHLLPCPV* >Brasy1G479300.1.p pacid=40057269 transcript=Brasy1G479300.1 locus=Brasy1G479300 ID=Brasy1G479300.1.v1.1 annot-version=v1.1 MRAPPSKTKNKPQNRELRSPHAPSRFYSRALPPSTRAEQIFSPPVRPRARSRQSPIRRGRTMESSGGAPPAGAAEERRQFAASLTARYSDWVLEELDELPGSFLLTDPAMPGHPIVYASRGLASLTGYRPRDVLGRNARLFQGAATDRAAVAGVREAVRCQRAHQVAILNYRRDGAPHWVLLHVAPVFHAGDGRVLHFLAVQVPIAAAATRRGAPCRPPVFAACREEARVEEELPCASHAGEAFVDIDKRGLEAEEPRVASGCDKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSAGIPALSSSLNLSLGRIKQSFVLTDRHLPDMPVVYASDAFLSLTGYSREEILGCNCRFLNGPGTSLEVLEEINRNICCEQACTVHLLNYRKDGSAFPDLLHVAPIRNASGKVAFHVWVHLDESIKHDFNGLSPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ* >Brasy1G479300.2.p pacid=40057270 transcript=Brasy1G479300.2 locus=Brasy1G479300 ID=Brasy1G479300.2.v1.1 annot-version=v1.1 MRAPPSKTKNKPQNRELRSPHAPSRFYSRALPPSTRAEQIFSPPVRPRARSRQSPIRRGRTMESSGGAPPAGAAEERRQFAASLTARYSDWVLEELDELPGSFLLTDPAMPGHPIVYEARVEEELPCASHAGEAFVDIDKRGLEAEEPRVASGCDKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSAGIPALSSSLNLSLGRIKQSFVLTDRHLPDMPVVYASDAFLSLTGYSREEILGCNCRFLNGPGTSLEVLEEINRNICCEQACTVHLLNYRKDGSAFPDLLHVAPIRNASGKVAFHVWVHLDESIKHDFNGLSPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ* >Brasy1G212500.1.p pacid=40057271 transcript=Brasy1G212500.1 locus=Brasy1G212500 ID=Brasy1G212500.1.v1.1 annot-version=v1.1 MTAIGNPWVFAVGILGNILSFLVILAPVPTFHRVYKRKSTESFQSAPYAMALLSAMLWLYYALLTADLLLLSINAVGCVVEIAYLAVYLAYAPKRARAFTVKLVSVMNVALYGAMVAFLQLYVRDGDRRVAIAGGLGAAFAFAVFVAPLAIIRQVIRTKSVEFLPFWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALHLVYKNPKKKGVVSEAGQAVVAADGEKQNQLEHQQQHQQPAAAIINADGEVVNDEDASKQQSMTTVVVDIPLPPPEEHPALPPLEHPAPMPPPMRTAVEVV* >Brasy1G299700.1.p pacid=40057272 transcript=Brasy1G299700.1 locus=Brasy1G299700 ID=Brasy1G299700.1.v1.1 annot-version=v1.1 MLEIARCIQRGDGSWGRWEFWDTVNYRGFFASPVCNPVFHRGLLYVLGEDGRLAVYDERCHDKGFEILDSPTGFGPGLDFEDSYLLDSDQGELMAVLVGRRGTPVDVVKLNEQTMEWDKVESLEGRTLFTGTLATMIKKTDVKSMHNKVFLPRLYEWPETIRVDLVERGGEFAFVPKSGCVDATVKDGDHTFGAKMCSYELGQNEKAKEFWWTERLNYSIWLDFDSAT* >Brasy1G467800.1.p pacid=40057273 transcript=Brasy1G467800.1 locus=Brasy1G467800 ID=Brasy1G467800.1.v1.1 annot-version=v1.1 MALPRKVAIALVFLAFVASSSPLVVRARTMPADDGGQERMGSVIAANTDSATTPSTPFTQQADRLLLQRTPPRPLLMSPPTKTTFSSVFTRRSSSRMLDSVPSPGVGH* >Brasy1G499900.1.p pacid=40057274 transcript=Brasy1G499900.1 locus=Brasy1G499900 ID=Brasy1G499900.1.v1.1 annot-version=v1.1 MPPAPAYAVLAAAFRTKRTLFVPRWFGGLGACTPTVWRNALEVLPDTTLPPRFHPIVVPRGYVPVSLRLCHTSASEDSRDVCIDEVLRILKSSDGDADLGKVLHRFAGEMDEDVVLRVLQKQRSNWQVALLFFNWAAGLPAYAHGPRTYTEMLDILGRMKKIKLMTQLFDEIPEERRGLVVTNRMFAVLLNRYAGAHKVQEAIEMFYKRKDYGFEVDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLPDAKRIWNQIIASKLKPDLFTYGTFINSLTKAGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKKIPEVLEIFREMNDRGCQADVATYNTLIKHFCKIRRTDKVYELLDDMENKGCSPNNMTYSYILKTTEKPKDVIHLLQRMEKSGCQLDSDTYNLILNLYVSMKYEKGVQQVWDEMERNGSGPDQRSFTIMVHGLHSQGRLDQALQYYTTMKSRGMTPEPRTRILVKAIHMKKDGPAVEDQPPIMIGENLKLDPLSGLFRVRK* >Brasy1G424600.1.p pacid=40057275 transcript=Brasy1G424600.1 locus=Brasy1G424600 ID=Brasy1G424600.1.v1.1 annot-version=v1.1 MARYCWRAAAAPCAAAEHESPACRGAPFACRRAPCAAAIAAAPGDKEGEERQEKGRPEKGRPEKGRPEKGRPEKGRPGAREGEERPEKGRPGAREGEETGEVERRRRRYREPTRPTRRRPTREAES* >Brasy1G453200.1.p pacid=40057276 transcript=Brasy1G453200.1 locus=Brasy1G453200 ID=Brasy1G453200.1.v1.1 annot-version=v1.1 MSSTSGSDNMSWIFERQRATRWARWEGRCISEFVIAIAGKPQGSSREENEVGMERSGLMSSLRPKSSKGSRRIPARRRPVQQTGSAAHAAGAPSAVGAARSSAGSTRARCRKHAATRRTPTSSPTGQPPAGPGRICSSMSFCRRPCACLPQGLPCRRCRPRRWWWTRCSRRRRSNPGGSRATADPPPPSPSPISRAVYDRSGRRHHLRGIGEEDDEEV* >Brasy1G451600.1.p pacid=40057277 transcript=Brasy1G451600.1 locus=Brasy1G451600 ID=Brasy1G451600.1.v1.1 annot-version=v1.1 MSLSAAGAGRLCRSASSVVVKAASGFHLLRIDGHSQTKNIPPDQKLSSLGFSIGGHTWRLDYYPTGLAAAPNPAGSAVSVYLQLTTHHEKRLLQARYSFSILDQAGAAAYELPAATGTFASVHGNAKYGSCVVQDDGQHEGGGHHPGCGHEEFITREELERREGLVRDDALVLRCDVHGVTQIAAGLLAHDDLQIQGSSDDCGSDSEDDGYAAGLPGYGAPRHRRRRRRQRRPDDGEYVRWCLAQRPGEEPRRRH* >Brasy1G556800.1.p pacid=40057278 transcript=Brasy1G556800.1 locus=Brasy1G556800 ID=Brasy1G556800.1.v1.1 annot-version=v1.1 MEPVPPPPIPPSVLAHLRTLLSAASSALSSLPSPLLPCSTTTAISSSTHPTPPSTTPLPLLPSSPTSSIPFPLPAAHAPYYDCPAVVRTTNPPPAVSSLPSFLAAECADFSSSTTVPTLSSPPRILPSELCLLRREVDSWGSHHLPGAYSYPASRVVAALRLGSLQWGSELRRWLLVSSPRYGVAIDAVTRDHVFVLVMLCLKAAAAEAWCSLEQPLKGESMEFRLDPRAVRFDCPRLVGGVSWLAVQLEILYGEGNGRFFTIMAVKEAILRLGSFLAVGVGVGGTAGEEGSELRDTGPGPIFVSQVAAAVTALHERLSLEEKIRSLQAPHPSKYQRLLEYSQVLQRGHEERCKRPNYRAVLEYDGILSRRVENQEFGRSKTRDELLAEERDYKRRRMSYRGKKMKRNPTEILRDIIDEHMEEIKQAGGVDCFEEAPGDIAKDMLTSNSHEGAYQGSFYPTDSSCDKAVLGSRLPGRENSPFTDSFGRFSSRSHDTRDSYGNMRYEHRGHHCQNASGQEKGGTKESESAMYQGYSDQQENDAYKRNTNDERKSDYHSESSGRSARSTRKPGSSEREYDSMSGDGSNGRNRTAQNQHRSMPVNPDQFSDRYDPWNRYSDEDPPTSTCYDV* >Brasy1G567800.1.p pacid=40057279 transcript=Brasy1G567800.1 locus=Brasy1G567800 ID=Brasy1G567800.1.v1.1 annot-version=v1.1 MTVDQRSAAAAPLEIPALQPGRTCGAEAYTRSHVSVESIAGSCTLPCNECALSAQQTPKGDAPVASILRKKRPRRSRDGPNSVSETIRRWKEVNQQLEHDPEGAKRARKPPAKGSKKGCMQGKGGPENTRCEFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAETAARAYDEAARAMYGPLARTNFPIQDVPTPPVDIPVVVQRLLPGGSTSCESTMTSDHSGIVASSRVLEISSLLKQSDVGSEHDQRSNQYSSPQAGSSVARSTADDLFEPLEPIANLPDGEDDGFDIEELLRMMEADPVEAAEPMVEGSWTGFQDVGANTVVDFGQQEPLYLDDFDPSMLEGMLQLAEPLPTCISEDRVMFNPGLQDAELSEFFEGL* >Brasy1G567800.4.p pacid=40057280 transcript=Brasy1G567800.4 locus=Brasy1G567800 ID=Brasy1G567800.4.v1.1 annot-version=v1.1 MTVDQRSAAAAPLEIPALQPGRTCGAEAYTRSHVSVESIGSCTLPCNECALSAQQTPKGDAPVASILRKKRPRRSRDGPNSVSETIRRWKEVNQQLEHDPEGAKRARKPPAKGSKKGCMQGKGGPENTRCEFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAETAARAYDEAARAMYGPLARTNFPIQDVPTPPVDIPVVVQRLLPGGSTSCESTMTSDHSGIVASSRVLEISSLLKQSDVGSEHDQRSNQYSSPQAGSSVARSTADDLFEPLEPIANLPDGEDDGFDIEELLRMMEADPVEAAEPMVEGSWTGFQDVGANTVVDFGQQEPLYLDDFDPSMLEGMLQLAEPLPTCISEDRVMFNPGLQDAELSEFFEGL* >Brasy1G567800.2.p pacid=40057281 transcript=Brasy1G567800.2 locus=Brasy1G567800 ID=Brasy1G567800.2.v1.1 annot-version=v1.1 MTVDQRSAAAAPLEIPALQPGRKKRPRRSRDGPNSVSETIRRWKEVNQQLEHDPEGAKRARKPPAKGSKKGCMQGKGGPENTRCEFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAETAARAYDEAARAMYGPLARTNFPIQDVPTPPVDIPVVVQRLLPGGSTSCESTMTSDHSGIVASSRVLEISSLLKQSDVGSEHDQRSNQYSSPQAGSSVARSTADDLFEPLEPIANLPDGEDDGFDIEELLRMMEADPVEAAEPMVEGSWTGFQDVGANTVVDFGQQEPLYLDDFDPSMLEGMLQLAEPLPTCISEDRVMFNPGLQDAELSEFFEGL* >Brasy1G567800.3.p pacid=40057282 transcript=Brasy1G567800.3 locus=Brasy1G567800 ID=Brasy1G567800.3.v1.1 annot-version=v1.1 MWSRGIYPESCFRRINRKKRPRRSRDGPNSVSETIRRWKEVNQQLEHDPEGAKRARKPPAKGSKKGCMQGKGGPENTRCEFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAETAARAYDEAARAMYGPLARTNFPIQDVPTPPVDIPVVVQRLLPGGSTSCESTMTSDHSGIVASSRVLEISSLLKQSDVGSEHDQRSNQYSSPQAGSSVARSTADDLFEPLEPIANLPDGEDDGFDIEELLRMMEADPVEAAEPMVEGSWTGFQDVGANTVVDFGQQEPLYLDDFDPSMLEGMLQLAEPLPTCISEDRVMFNPGLQDAELSEFFEGL* >Brasy1G415300.1.p pacid=40057283 transcript=Brasy1G415300.1 locus=Brasy1G415300 ID=Brasy1G415300.1.v1.1 annot-version=v1.1 MTPPPSAASGPDDWGSIGCASGCDSWAPHHVRLNGESCRLCSSCVLLCNPDAYCCACLLLLVPGAYAAAFPREPRVDFSPPGPTAACSRCGVFVAHLSCVADPYSFVCPPCAAALDRRPFSYEHDPAGRGQCTLLDGRYARVLLAAALLAHDSALRAAALAREKAERSVQEAVVARKQARAMLDAAFRAAEAEEYRDAKEQATPSAAALELLTVTPSVELVRKTTPKSGEPKKKTPKSNEASRSRDTLLKFNSMQQQPALAFAATAAADAASSMPMPSSRSDQNQVNQEAGGSADIIEATGDYRELFGTLPW* >Brasy1G254600.1.p pacid=40057284 transcript=Brasy1G254600.1 locus=Brasy1G254600 ID=Brasy1G254600.1.v1.1 annot-version=v1.1 MGKGRVMGMAYPAYRRQRESPTRHSPTHFPAVQQPLQVSNCPTPMAAAVPVANQAQAVLRKRLCDPAFVHSPLKSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKGAVLDMVLEDLKKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHELSFSKMASSDDNTEFMIDMLRECGLAHKTVIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDTQRLTEHLLMLDQASGLPANYVTEYNSRLTSIFSHKKFKGILNSLTDADATTSNILRFLFRVVSYMDKESGLLSIDCFTNALSCMQRQPKMDSLQDLSILELYVLVCMNRLEDKEQNSYNFNTIMKEYKSIQDAYKTSDKYATTVCFRAFEHLLDRELITFTDTKGKNVALEYRPVKLLISSRELAESLKLNTTCPTVLQKLLDRERYM* >Brasy1G254600.4.p pacid=40057285 transcript=Brasy1G254600.4 locus=Brasy1G254600 ID=Brasy1G254600.4.v1.1 annot-version=v1.1 MGKGRVMGMAYPAYRRQRESPTRHSPTHFPAVQQPLQVSNCPTPMAAAVPVANQAQAVLRKRLCDPAFVHSPLKSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKGAVLDMVLEDLKKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHELSFSKMASSDDNTEFMIDMLRECGLAHKTVIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDTQRLTEHLLMLDQASGLPANYVTEYNSRLTSIFSHKKFKGILNSLTDADATTSNILRFLFRVVSYMDKESGLLSIDCFTNALSCMQRQPKMDSLQEYKSIQDAYKTSDKYATTVCFRAFEHLLDRELITFTDTKGKNVALEYRPVKLLISSRELAESLKLNTTCPTVLQKLLDRERYM* >Brasy1G254600.3.p pacid=40057286 transcript=Brasy1G254600.3 locus=Brasy1G254600 ID=Brasy1G254600.3.v1.1 annot-version=v1.1 MGKGRVMGMAYPAYRRQRESPTRHSPTHFPAVQQPLQVSNCPTPMAAAVPVANQAQAVLRKRLCDPAFVHSPLKSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKGAVLDMVLEDLKKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHELSFSKMASSDDNTEFMIDMLRECGLAHKTVIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDTQRLTEHLLMLDQASGLPANYVTEYNSRLTSIFSHKKFKGILNSLTDADATTSNILRFLFRVVSYMDKESGLLSIDCFTNALSCMQRQPKMDSLQGF* >Brasy1G254600.5.p pacid=40057287 transcript=Brasy1G254600.5 locus=Brasy1G254600 ID=Brasy1G254600.5.v1.1 annot-version=v1.1 MVLEDLKKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHELSFSKMASSDDNTEFMIDMLRECGLAHKTVIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDTQRLTEHLLMLDQASGLPANYVTEYNSRLTSIFSHKKFKGILNSLTDADATTSNILRFLFRVVSYMDKESGLLSIDCFTNALSCMQRQPKMDSLQDLSILELYVLVCMNRLEDKEQNSYNFNTIMKEYKSIQDAYKTSDKYATTVCFRAFEHLLDRELITFTDTKGKNVALEYRPVKLLISSRELAESLKLNTTCPTVLQKLLDRERYM* >Brasy1G254600.2.p pacid=40057288 transcript=Brasy1G254600.2 locus=Brasy1G254600 ID=Brasy1G254600.2.v1.1 annot-version=v1.1 MVLEDLKKEHPDAISVIRLNGMLHSDDNCAMKEIARQLCLEHELSFSKMASSDDNTEFMIDMLRECGLAHKTVIFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDTQRLTEHLLMLDQASGLPANYVTEYNSRLTSIFSHKKFKGILNSLTDADATTSNILRFLFRVVSYMDKESGLLSIDCFTNALSCMQRQPKMDSLQEYKSIQDAYKTSDKYATTVCFRAFEHLLDRELITFTDTKGKNVALEYRPVKLLISSRELAESLKLNTTCPTVLQKLLDRERYM* >Brasy1G165200.1.p pacid=40057289 transcript=Brasy1G165200.1 locus=Brasy1G165200 ID=Brasy1G165200.1.v1.1 annot-version=v1.1 MPEAPSAKPAPASGYAPGAHREALEFIEHVTANAGKVQRRVLAEILAQNAPAEYLRRYGVSCSLDAVGSFRRCVPLVTYEDLQPDILRIANGDTSPILTGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPHDPYTAYTSPNEAILCVDSYQSMYAQLLCGLVHRTDVLRVGAVFASGLLRAIRFLEKHWPRLCHDIRTGELDPEITDRPVRDAVGRILRGAANRAALADEIEAECRKPSWEGIVRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPGDVAYTLIPTMCYFEFLPVHCGSNTNAKLSHHDLVDLVDVKLGHYYELVVTTFSGLCRYRVGDVLRVAGFKNEAPMFSFVRRQNVALSIDSDKTDETELHTAVSSAVQHLAPFGASLVEYTSYADTAAIPGHYVLFWELRAGSTAVPASVFEDCCLSVEEALNSVYRQGRASDRSIGPLEIRVVSEGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVQAKYFSPKCPKWSPGNKQWNNANELASNGSGA* >Brasy1G167800.1.p pacid=40057290 transcript=Brasy1G167800.1 locus=Brasy1G167800 ID=Brasy1G167800.1.v1.1 annot-version=v1.1 MAAANGGCAALFSEEELRGLSGVRTGENFVEVTCGCTSRRFGDAGGRLRIYASGELEIRCDCTPGCREEKLTPSAFERHSARETAGKWRNTVWVMVEGEKVPLGKTALLKYYDLSHKSANGGRNGRQFHRDEFIRCTRCGKQRRFRLRSKEECRIYHDSVAKHNWTCADLTTNRITCDDDEERAPRMELKGCSRNASCDGCVKCVCFGCEICRFTDCGCQTCVDFYRNL* >Brasy1G167800.2.p pacid=40057291 transcript=Brasy1G167800.2 locus=Brasy1G167800 ID=Brasy1G167800.2.v1.1 annot-version=v1.1 MAAANGGCAALFSEEELRGLSGVRTGENFVEVTCGCTSRRFGDAGGRLRIYASGELEIRCDCTPGCREEKLTPSAFERHSARETAGKWRNTVWVMVEGEKVPLGKTALLKYYDLSHKSANGGRNGRQFHRDEFIRCTRCGKQRRFRLRSKEECRIYHDSVAKHNWTCADLTTNRYLHRIVCTTRGGGRSVEPNKFSNICGTGKNSY* >Brasy1G440400.1.p pacid=40057292 transcript=Brasy1G440400.1 locus=Brasy1G440400 ID=Brasy1G440400.1.v1.1 annot-version=v1.1 MTTAPFVSPSLSRLPSARASLVPKSSGISGTAVAFSARPHVSSLRLRSPVMAAATGVGGNGSPAVSDDFEVSSRLGEVKRVTKETNVHVKINLDGTGVANCSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRHGTIPSSKGVLSRS* >Brasy1G283400.1.p pacid=40057293 transcript=Brasy1G283400.1 locus=Brasy1G283400 ID=Brasy1G283400.1.v1.1 annot-version=v1.1 MLENQLAIPLLLAGRAALEYEQQCVTVKDEPLKKPQHWTPPMGDILKVNIDGSFSDGSGGWGFVIRHCDGDVVMSGRVPSLQDALQAEAEACLHALHTAQDWGISRVQVETDAQLLVHAR* >Brasy1G219200.1.p pacid=40057294 transcript=Brasy1G219200.1 locus=Brasy1G219200 ID=Brasy1G219200.1.v1.1 annot-version=v1.1 MGPAAGGDGDRQQYAMHHGSAADQRVNLAARRPFAEALRSGLAETFFPDDPFRGFGALPPAKRAWGALKYFVPALEWAPRYGMGKFKYDLLAGVTIASLAIPQGISYARLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLMLASIVEEEVNPDDNPELYLKLFYTSAFFTGIFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIIMQQIKGMLGMKHFTPKTDIISVVGSIFRYRHEWRWQSAVLGICFILFLLSSKHLRKKMPNLFWVSAIAPFMVVIIGGVFAFLVKGDEHGIPIVGDLKKGLNPLSISQLTFEAKHVEIAVKAGLMSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIIGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLALLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFIHLYKIDKFDFCICMVAFLGVVFFTMVIGLSASVGLSVLRTLLYVARPATCKLGSIGGTEIFRDVMQYPYAKSFPNILVLQLGSPIYFINAGYLRERILRWVEDEENICKVHGQDLQHLILDLGGVTSIDNTGIGMLVEIHKSLDRKGIRIVLANPRLQVTEKLVLSGYIKDTVGEESVFLTVKDAIASCRYALQTSRSKEGEIEV* >Brasy1G219200.3.p pacid=40057295 transcript=Brasy1G219200.3 locus=Brasy1G219200 ID=Brasy1G219200.3.v1.1 annot-version=v1.1 MLASIVEEEVNPDDNPELYLKLFYTSAFFTGIFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIIMQQIKGMLGMKHFTPKTDIISVVGSIFRYRHEWRWQSAVLGICFILFLLSSKHLRKKMPNLFWVSAIAPFMVVIIGGVFAFLVKGDEHGIPIVGDLKKGLNPLSISQLTFEAKHVEIAVKAGLMSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIIGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLALLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFIHLYKIDKFDFCICMVAFLGVVFFTMVIGLSASVGLSVLRTLLYVARPATCKLGSIGGTEIFRDVMQYPYAKSFPNILVLQLGSPIYFINAGYLRERILRWVEDEENICKVHGQDLQHLILDLGGVTSIDNTGIGMLVEIHKSLDRKGIRIVLANPRLQVTEKLVLSGYIKDTVGEESVFLTVKDAIASCRYALQTSRSKEGEIEV* >Brasy1G219200.2.p pacid=40057296 transcript=Brasy1G219200.2 locus=Brasy1G219200 ID=Brasy1G219200.2.v1.1 annot-version=v1.1 MGGTAMIIIMQQIKGMLGMKHFTPKTDIISVVGSIFRYRHEWRWQSAVLGICFILFLLSSKHLRKKMPNLFWVSAIAPFMVVIIGGVFAFLVKGDEHGIPIVGDLKKGLNPLSISQLTFEAKHVEIAVKAGLMSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIIGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLALLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFIHLYKIDKFDFCICMVAFLGVVFFTMVIGLSASVGLSVLRTLLYVARPATCKLGSIGGTEIFRDVMQYPYAKSFPNILVLQLGSPIYFINAGYLRERILRWVEDEENICKVHGQDLQHLILDLGGVTSIDNTGIGMLVEIHKSLDRKGIRIVLANPRLQVTEKLVLSGYIKDTVGEESVFLTVKDAIASCRYALQTSRSKEGEIEV* >Brasy1G219200.4.p pacid=40057297 transcript=Brasy1G219200.4 locus=Brasy1G219200 ID=Brasy1G219200.4.v1.1 annot-version=v1.1 MGGTAMIIIMQQIKGMLGMKHFTPKTDIISVVGSIFRYRHEWRWQSAVLGICFILFLLSSKHLRKKMPNLFWVSAIAPFMVVIIGGVFAFLVKGDEHGIPIVGDLKKGLNPLSISQLTFEAKHVEIAVKAGLMSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIIGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLALLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFIHLYKIDKFDFCICMVAFLGVVFFTMVIGLSASVGLSVLRTLLYVARPATCKLGSIGGTEIFRDVMQYPYAKSFPNILVLQLGSPIYFINAGYLRERILRWVEDEENICKVHGQDLQHLILDLGGVTSIDNTGIGMLVEIHKSLDRKGIRIVLANPRLQVTEKLVLSGYIKDTVGEESVFLTVKDAIASCRYALQTSRSKEGEIEV* >Brasy1G006500.1.p pacid=40057298 transcript=Brasy1G006500.1 locus=Brasy1G006500 ID=Brasy1G006500.1.v1.1 annot-version=v1.1 MASNTSTTPSSSGGQGQPRIDRRLLQAATSGDSGSMKAMASQDPSILLGTTPLGNNVLHISSVHGHEGFCKDVLELEESLLTAVNSDKETPLVASMRSGRVSLASVLLRYCRSRQLSDAILRQDKDGCNALHHAIRSGHRELAMELIAAEPALSKGVNKYGESPMFIAVMRNFTRIFEELLKIPDSSYAGRNGKNALHAAARNGDKDITTKIMATRPEMAARADNSDCTPTRLAVIFNKTDVLRVLLEHDCSLGYELDKPGFPLLNAAAFRGHVDVAREVLNKCPDAPYGKVDGNRWTCLHTATFGNHTEFVEFILATPQLRKLVNMQTRKGETALHMAVQKCNPKTVAALLSHEDIDPTIIDNEDDQAAWSLPQTSNQAKTLNWNEVSMLMLRAVPQQDTSFYNLHKSTKQRATDASRRDAKSLTKTYTSNTSLVAILIATITFAAAFTLPGGYSSDAENEGLPIMSKKFAFQAFLISDVLAMCSSFAVAFICIIARREDYEFLLYYRSFTKKLMWFAYVATTTAFSTGLYTVLAPHLHWLAIAICVLVALLPILTKLLGEWPVLKLRIRLGKTFNSDLLDMV* >Brasy1G145200.1.p pacid=40057299 transcript=Brasy1G145200.1 locus=Brasy1G145200 ID=Brasy1G145200.1.v1.1 annot-version=v1.1 MASTAFAAAKFLPSAHLDSSPRLSPLRAAPTANLSFSPLPTSSSSSSLLTLRSPCPSGPSGPGGKLPPPPRSYGGGGGSGDAADSGGDGGRGGILGMFLAGWAARVAADPQFPFKVLMEELVGVTACVLGDMSSRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGVSAAVSALPSHMFEAGPYSVGSRVATLVSKGTTFAAVGFGAGLLGTAISNGLISLRKRMDPAFETPNKPPPTMLNAATWALHMGISSNLRYQTLNGVEYLLGKAAPAPVFKVSVIALRCMNNVLGGMSFVMLARLTGAQKSDKPATSVSEAKERLIAEGDAIAANVGAEGRDGEGK* >Brasy1G361400.1.p pacid=40057300 transcript=Brasy1G361400.1 locus=Brasy1G361400 ID=Brasy1G361400.1.v1.1 annot-version=v1.1 MERAADLFDSEGPTSQSNTIKQIVAEIANRTLLFCSAALPTLLWVAGFVGLRWPLLGVVASTLTLRSQSCTRPTHREPSPPVRRTSPASNPTPCTSSSRRIIKL* >Brasy1G498600.1.p pacid=40057301 transcript=Brasy1G498600.1 locus=Brasy1G498600 ID=Brasy1G498600.1.v1.1 annot-version=v1.1 MEPPSPPPAAAKEIKSPPPPPVLPDPSLHRKKLSFHFMDSDDRRFPPPGPGSTTPLNIRGSPIPAADLPRTGGWTAAFFIFGNEAAERMAYYGLSVNMVVFLFKVMHLPFAASAGAVNTFLGISQASSVLGGFLADAYLGRYWAIALFATLYLLGLAALTLCATLPALVPAQDGCDKLLLLLGGCAKASSWQMGCLYAALYVTAFGAAGIRPCVSSFGADQFDDRDGNYKRRLDRFFNVFYLAVTVGAIAAFTVVVYVQMNHGWAAAFGALALAMGASNALFFAGTPLYRHKAPGGSPLTRVAQVIVAAFRNRNADVAETGFVGLYEVAGPKSAIRGSGKLEHTDRMAWLDKAALMTPEAVGEEAAPADPWRVCTVTQVEEVKILLRLMPVPACTVMLSVVLTEFLTLSVQQAYTLNTDLAALHLPVTCMPVFPCIAIFLVLALYYNTFAPIARRLTGHPHGASQLQRVGLGLFFSIVSVAWAGVFERYRRRYAVEHGYLGLFLTPMPGLSAYWLLIQYCLIGIAEVFCLVGLIEFLYQEAPDAMRSVGSAYAAVAGGLGCFFATALNDAVDAATGDVANGTPSWLAQNINVARFDYLYWLLAVLSTINLAVFIYFAKIYKYRSSSFRPDNSNSSNKLEISISDHK* >Brasy1G142600.1.p pacid=40057302 transcript=Brasy1G142600.1 locus=Brasy1G142600 ID=Brasy1G142600.1.v1.1 annot-version=v1.1 MYSHERGCRAKKQVQQQDSSSDHRPTFLITYVNEHTCQQLHPTENTSTTNTTARNGRFDPPPQHVGTSTELENKIMAKCLASVVIGGAAAPSLPSSPTSSMLPPPPVEENMSEMMEIYSYFLRGPPFPPASA* >Brasy1G383200.1.p pacid=40057303 transcript=Brasy1G383200.1 locus=Brasy1G383200 ID=Brasy1G383200.1.v1.1 annot-version=v1.1 MEEPQMQSTKKLLTQVAGPVASAVLAVLLVAVSTYGRRCRHPVLRLILWGASTAFIPLTSTVISALLSRRDALKDSPCKTKNCPEVASGKRSPEVQTMWTLLLWTLLILIIKGNADTAAATSAAASASPSSGDTSGVDGQNVRTPVVLVVTYVILGGLIVLCFPEARWLGVTGKAIFLALCVLGFAKFVLKVAVFFMASDSYAVGKNARLVSGYMAQLVEDGAEEGYGHVPPYLVTGESKEHVEETAQGFRVKGEALEKDKPGSLVTLSRVWRLSDHGDGLLAKRRELRDLCLSFSLFKSLRRRLSGYPLAEEGSSNALDFVLRGMDSSSSAGDGSKGNAADADRVFRVLVDELWFASDFYYSPLPLCSFRGWCAVLNYVLSVLVVAVAIGVGWVFTVYRVIVFTEAPDVPGEPKLPVPTPAQQAYFVITWFLLLATVLTETWEIIAGLCSNWTKMALLGDYITREYYSPGGQKALEAVLRFRPASRWSDKIGQNSVLEPRRFGRRSGLFSDKLYGRAGLMRSVRVSAAVKDAVLRSLKSGYGGMNKGISTGARRVGLGGKVDWAWPRPSDSFAEKGSALGSTTEHILACHIGTRLFEMRYSHVAGAAAAADMAAASQLSFYCAYLVAAEPGLLPDNRAWTEKRYKEVVDGVKAALGTGKDDGGASESTTQRYDRYLKELKDSRNEVLRRGADLGKSLADAYEEDEAAAWRFLADFWSEMVVFVAPSENVKGHVEAMGRGGEFLTLVWALLLHAGVTDRPQAPDSIP* >Brasy1G373300.1.p pacid=40057304 transcript=Brasy1G373300.1 locus=Brasy1G373300 ID=Brasy1G373300.1.v1.1 annot-version=v1.1 MGEGTKSTLIYIALWAVVLSGIVILGHYFPDVEPEDERIKRVWRVPMAEYHSPSYVPKGMVSAWKVRNELSKKKWWMQDVKWPIGRKM* >Brasy1G211900.1.p pacid=40057305 transcript=Brasy1G211900.1 locus=Brasy1G211900 ID=Brasy1G211900.1.v1.1 annot-version=v1.1 MRAEQSKLTDEAGVRFSFFEDKMQGGGCGDRELGFRGVMEEEVASASERTSRQRRRRRWGTEADDGYSASSTGSSGSGSFGCDSPLAGFVRADGDMDTDLETNDGLAASSSSNASAAFTEPHDDEEEVQCGSKEEWPHARQEPAKSPAGGAIQECQNQRRCQTEAVFLHGRKGLKQRPASLDFGSQGFNGTPFSPGFVVGGAGFVRKGPVSSNIISGVFRSPGTPSYTHRQRPSVLGYQKGWSSERVPLPSKGNNRRYPASSMAFPFNNGRTLPSKWEDAERWIFSPNFSDALAKTSSPHARRPKAKSGPLGPPGRLGGQYSSVSSSVSLFDSGRVGHLTANSPFLAGVLIPEHYRGEKSNVGKSTSGTAGDDFGIGIVGRSSLANSSSPAIQSTRVRRRLDTAVESFASLPSTQESVRDEQIDSSEDSAPIIIPVISRKDTATQTSPELSRSSSPGARPSFIRSFSMQQAKERESCISDLEIRDVQMDDRVTLTRWSKKHVMQDSNKNSANILEWNKKTVDSKSPSWKSTEAAYISKVEREEAKIAAWENLQKTKAEAAIQKLLMKLEKKRSSSLDRILSTLRSAQRKAQGMRDAATASQDDQLSRKTKKTPHVTKNGQIRSLSGCFTCHAF* >Brasy1G211900.3.p pacid=40057306 transcript=Brasy1G211900.3 locus=Brasy1G211900 ID=Brasy1G211900.3.v1.1 annot-version=v1.1 MRAEQSKLTDEAGVRFSFFEDKMQGGGCGDRELGFRGVMEEEVASASERTSRQRRRRRWGTEADDGYSASSTGSSGSGSFGCDSPLAGFVRADGDMDTDLETNDGLAASSSSNAFTEPHDDEEEVQCGSKEEWPHARQEPAKSPAGGAIQECQNQRRCQTEAVFLHGRKGLKQRPASLDFGSQGFNGTPFSPGFVVGGAGFVRKGPVSSNIISGVFRSPGTPSYTHRQRPSVLGYQKGWSSERVPLPSKGNNRRYPASSMAFPFNNGRTLPSKWEDAERWIFSPNFSDALAKTSSPHARRPKAKSGPLGPPGRLGGQYSSVSSSVSLFDSGRVGHLTANSPFLAGVLIPEHYRGEKSNVGKSTSGTAGDDFGIGIVGRSSLANSSSPAIQSTRVRRRLDTAVESFASLPSTQESVRDEQIDSSEDSAPIIIPVISRKDTATQTSPELSRSSSPGARPSFIRSFSMQQAKERESCISDLEIRDVQMDDRVTLTRWSKKHVMQDSNKNSANILEWNKKTVDSKSPSWKSTEAAYISKVEREEAKIAAWENLQKTKAEAAIQKLLMKLEKKRSSSLDRILSTLRSAQRKAQGMRDAATASQDDQLSRKTKKTPHVTKNGQIRSLSGCFTCHAF* >Brasy1G211900.2.p pacid=40057307 transcript=Brasy1G211900.2 locus=Brasy1G211900 ID=Brasy1G211900.2.v1.1 annot-version=v1.1 MDTDLETNDGLAASSSSNASAAFTEPHDDEEEVQCGSKEEWPHARQEPAKSPAGGAIQECQNQRRCQTEAVFLHGRKGLKQRPASLDFGSQGFNGTPFSPGFVVGGAGFVRKGPVSSNIISGVFRSPGTPSYTHRQRPSVLGYQKGWSSERVPLPSKGNNRRYPASSMAFPFNNGRTLPSKWEDAERWIFSPNFSDALAKTSSPHARRPKAKSGPLGPPGRLGGQYSSVSSSVSLFDSGRVGHLTANSPFLAGVLIPEHYRGEKSNVGKSTSGTAGDDFGIGIVGRSSLANSSSPAIQSTRVRRRLDTAVESFASLPSTQESVRDEQIDSSEDSAPIIIPVISRKDTATQTSPELSRSSSPGARPSFIRSFSMQQAKERESCISDLEIRDVQMDDRVTLTRWSKKHVMQDSNKNSANILEWNKKTVDSKSPSWKSTEAAYISKVEREEAKIAAWENLQKTKAEAAIQKLLMKLEKKRSSSLDRILSTLRSAQRKAQGMRDAATASQDDQLSRKTKKTPHVTKNGQIRSLSGCFTCHAF* >Brasy1G000300.1.p pacid=40057308 transcript=Brasy1G000300.1 locus=Brasy1G000300 ID=Brasy1G000300.1.v1.1 annot-version=v1.1 MPAASPPTGHPPHPHRDALLRRRRTRLRLRRRRGRPPARCPCRRAAPAWLSLQLQTQEAVIVVAVEVKREGMLAGLKKMLVFCLGRVPRGEKTPWILSSAAVEIGPDRAPGRRTGGRGPATPFHRPKKQSRAASGPWIAAASPFSARGGARGRPEKTMRRRPPLPSTPSSPCLPSPPINGFVEILGSDASAQVLQLAVLPSSPPTTRAPFRRSPCRLPASTWLCQDPVLKT* >Brasy1G112600.1.p pacid=40057309 transcript=Brasy1G112600.1 locus=Brasy1G112600 ID=Brasy1G112600.1.v1.1 annot-version=v1.1 MASCTRLCVFLALAAAVLAAPGMTMAEAPAGRRHLAPAPAPTGRSSAPSPSTAHAPAVSSARSPVAGSPGPQSPEGPTTAPPSEDGQPDSPAVDSSSATAGVSAAGKAAALSVAGVMMMTMMLF* >Brasy1G443800.1.p pacid=40057310 transcript=Brasy1G443800.1 locus=Brasy1G443800 ID=Brasy1G443800.1.v1.1 annot-version=v1.1 MEESACDCDKLLFAACTNIQIGDGRKVSFWDDRWLQGNAPKEFAPDLYRLARKKQIRVSAAIQEGKWLHGLQRISSSQEIDQLTELWNRLQPEHLNARPDCISWKFSTDGNYSARSAYMAQFLQAIPQPIINSVWSIKVEGKVQFFMWLILQNRL* >Brasy1G336100.1.p pacid=40057311 transcript=Brasy1G336100.1 locus=Brasy1G336100 ID=Brasy1G336100.1.v1.1 annot-version=v1.1 MKADGNGQFSVDFHARLSALQAFSVCISLLHCSEASSAIGIEKFKHKLHSSSLKMLLKEEVKQIVESVTGKEKKNVKRTKRKKTPSFSFIAQAFGFCITSKLGRP* >Brasy1G169200.1.p pacid=40057312 transcript=Brasy1G169200.1 locus=Brasy1G169200 ID=Brasy1G169200.1.v1.1 annot-version=v1.1 MDTYKAGGGGGGAGEVRRINVVYFLSRGGRTDHPHLFRVSHLHRAGVRLRDVKRWLSEVRGKDMPENFSWSYKRKYKTGYVWQDLMDDDLVTPISDNEYVLKGCDVRGTPPGADAPKASSLAGEKKLNRKDEKAQKEVPDQKQSEEASPGSNDNESFPKLPPIDQDSPGGPPFRIVLPQERKRRQGTERAGADQQQQAVVPARAAAPGGKKRPVGRAGRMRVARALHSILTCGAADADDAALRPVVPRRSAVDDGDDDWTGTPVCPGIDGCGIHASRKATRPRRGGKDKAKREGVVAAHKPASLPRCSQCGKEFKPQALHSHMQSCRGFRERMRNSASTRVGVDRSQRNSTARRADDHSSSPERPTTAFLLTES* >Brasy1G169200.2.p pacid=40057313 transcript=Brasy1G169200.2 locus=Brasy1G169200 ID=Brasy1G169200.2.v1.1 annot-version=v1.1 MDTYKAGGGGGGAGEVRRINVVYFLSRGGRTDHPHLFRVSHLHRAGVRLRDVKRWLSEVRGKDMPENFSWSYKRKYKTGYVWQDLMDDDLVTPISDNEYVLKGCDVRGTPPGADAPKASSLGEKKLNRKDEKAQKEVPDQKQSEEASPGSNDNESFPKLPPIDQDSPGGPPFRIVLPQERKRRQGTERAGADQQQQAVVPARAAAPGGKKRPVGRAGRMRVARALHSILTCGAADADDAALRPVVPRRSAVDDGDDDWTGTPVCPGIDGCGIHASRKATRPRRGGKDKAKREGVVAAHKPASLPRCSQCGKEFKPQALHSHMQSCRGFRERMRNSASTRVGVDRSQRNSTARRADDHSSSPERPTTAFLLTES* >Brasy1G004400.1.p pacid=40057314 transcript=Brasy1G004400.1 locus=Brasy1G004400 ID=Brasy1G004400.1.v1.1 annot-version=v1.1 MAPPAEVAAATTGQQKSKTPALNERILSSISRRSVAAHPWHDLEIGPEAPTIFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCDDSDPIDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYRHFNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSNDAYEAIQHSMDLYATYIVEGLRR* >Brasy1G392000.1.p pacid=40057315 transcript=Brasy1G392000.1 locus=Brasy1G392000 ID=Brasy1G392000.1.v1.1 annot-version=v1.1 MSGVWVFKNGVVRLVENGPGSEQLPAVRRKALLHTPSGQVVSSYASLEAKLTALGWERYHEDPALYQFHKRGCMDLISLPRDFHQFSSVHMFDVVIKNRDSFRVVDS* >Brasy1G031100.1.p pacid=40057316 transcript=Brasy1G031100.1 locus=Brasy1G031100 ID=Brasy1G031100.1.v1.1 annot-version=v1.1 MSEEDAAVKLLGSFGSPFTHRAEAALRLKGVPYEFIHEDLDNKSALLLTRNPVHKKVPLLLHGESAICESLLIVEYVDEAFPAGPPLLPAGPLARASARFWAHFASDKCSRTMFKALWAEEGSAREGFVAETKANLALLEGRLQQGGRFFSGGDAPGYLDIAVSAFAAWLPVLEELAGRAGSGLMGEEEFPALCRWREDYISDEAVKQCLPSREELVAYYAGKKDGFVLKGKSM* >Brasy1G387000.1.p pacid=40057317 transcript=Brasy1G387000.1 locus=Brasy1G387000 ID=Brasy1G387000.1.v1.1 annot-version=v1.1 MAKPPPSAAASAAGGRGSGPHRTRLLLLLLVAVAASASTAGYLLRGALAPPCDAQGDPVVLAAHPTAVAAASPLGFMRSKIVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQQPEGTKDASYSLEHKMLNHGVQILPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHIPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLNIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.4.p pacid=40057318 transcript=Brasy1G387000.4 locus=Brasy1G387000 ID=Brasy1G387000.4.v1.1 annot-version=v1.1 MLVLLEILLFDAGGPLLLMELAFLLRQVGSQVVWITNQQPEGTKDASYSLEHKMLNHGVQILPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHIPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLNIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.5.p pacid=40057319 transcript=Brasy1G387000.5 locus=Brasy1G387000 ID=Brasy1G387000.5.v1.1 annot-version=v1.1 MLNHGVQILPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHIPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLNIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.2.p pacid=40057320 transcript=Brasy1G387000.2 locus=Brasy1G387000 ID=Brasy1G387000.2.v1.1 annot-version=v1.1 MLNHGVQILPARGQEAIDTALKADLVILNTAVAGKWLDAVLKDHIPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLNIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.3.p pacid=40057321 transcript=Brasy1G387000.3 locus=Brasy1G387000 ID=Brasy1G387000.3.v1.1 annot-version=v1.1 MECSIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.6.p pacid=40057322 transcript=Brasy1G387000.6 locus=Brasy1G387000 ID=Brasy1G387000.6.v1.1 annot-version=v1.1 MECSIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G387000.7.p pacid=40057323 transcript=Brasy1G387000.7 locus=Brasy1G387000 ID=Brasy1G387000.7.v1.1 annot-version=v1.1 MECSIQMPQTYVVHLGNSEELMEVAEDKVARRVLREHIRESLGVRSEDLIFAVINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPKMHAVVVGSDMNAQTKFETQLREFVAKNGIHDRVHFVNRTLVVPPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGSTGLLHPAGKEGVMPLAKNIVRLASHVEQRISMGNRGYARVKERFMEHHMADRIAVVLKEVLQKSRQHSHS* >Brasy1G097400.1.p pacid=40057324 transcript=Brasy1G097400.1 locus=Brasy1G097400 ID=Brasy1G097400.1.v1.1 annot-version=v1.1 MVLQPAQQQQPSSQLPYPPHCSERSPAAMDTAPPLVLSPSAPSITDTSTAKAKQSAAAVFDLRREPKIPAPFVWPQAEVRPTTAEELGMPVVDVSVLRNRNGDAAGLRRTVAQVAAAGATHGFFQVSGHGVDAALARAALDGASDFFRMPLADKQRARRVQGTVSGYTSAHADRFATKLPWKETLSFGFQGVAEAKPIVVDYFTSTLGQDFEPMGRVYQEYCEKMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRQQERRSLAFFLCPREDRVVRPPPAVVSSSAPRRYPDFTWADFMRFTQRHYRADTRTLDAFTQWLAPSSPAPAPAAPPPVASTAQEAA* >Brasy1G097400.2.p pacid=40057325 transcript=Brasy1G097400.2 locus=Brasy1G097400 ID=Brasy1G097400.2.v1.1 annot-version=v1.1 MVLQPAQQQQPSSQLPYPPHCSERSPAAMDTAPPLVLSPSAPSITDTSTAKAKQSAAAVFDLRREPKIPAPFVWPQAEVRPTTAEELGMPVVDVSVLRNRNGDAAGLRRTVAQVAAAGATHGFFQVSGHGVDAALARAALDGASDFFRMPLADKQRARRVQGTVSGYTSAHADRFATKLPWKETLSFGFQGVAEAKPIVVDYFTSTLGQDFEPMGRVYQEYCEKMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMGRGCSVLSVQKG* >Brasy1G122000.1.p pacid=40057326 transcript=Brasy1G122000.1 locus=Brasy1G122000 ID=Brasy1G122000.1.v1.1 annot-version=v1.1 GTRRQEAEPKVLRTVKPEPTAGKEADADYINVKVTSQNSPDVFFRIKRNARLQRLIDWYCGNQSLDPRAVVFLNDEGIRLRAAQTADEAGLEDGGTIDVLMAQDGGFTPSITSVQI* >Brasy1G053900.1.p pacid=40057327 transcript=Brasy1G053900.1 locus=Brasy1G053900 ID=Brasy1G053900.1.v1.1 annot-version=v1.1 MATPNPSSFLDDPLPPEPVVREPKVREPEVEDPEPPEPESPLPAASIEPTPSGEDDSDDSSSVSSVSSTPAAAAAGEGGGIERPFPAAKDLLHISFNQDYGCFAAGTKTGFRIYNCDPFREIFRRDLGGEDDVGGAGGQGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFKSPVRGVRLRRDRIVVVLESKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGTQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIFNAAEGTLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHMSPSFSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMQQLECHNFLKPSDQL* >Brasy1G053900.2.p pacid=40057328 transcript=Brasy1G053900.2 locus=Brasy1G053900 ID=Brasy1G053900.2.v1.1 annot-version=v1.1 MATPNPSSFLDDPLPPEPVVREPKVREPEVEDPEPPEPESPLPAASIEPTPSGEDDSDDSSSVSSVSSTPAAAAAGEGGGIERPFPAAKDLLHISFNQDYGCFAAGTKTGFRIYNCDPFREIFRRDLGGEDDVGGAGGQGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFKSPVRGVRLRRDRIVVVLESKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGTQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIFNAAEGTLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHMSPSFSFIKASTDASLTQSMEEKCSSLSATIS* >Brasy1G380200.1.p pacid=40057329 transcript=Brasy1G380200.1 locus=Brasy1G380200 ID=Brasy1G380200.1.v1.1 annot-version=v1.1 MGFCASLGLIWPWIWASFLFGCWIRGVLLPFSDLAVVTFVVRLLFPDSDGGCHGS* >Brasy1G232700.1.p pacid=40057330 transcript=Brasy1G232700.1 locus=Brasy1G232700 ID=Brasy1G232700.1.v1.1 annot-version=v1.1 MDAAAAVISVNGGLEKLEEEREAQKKYWEEHSRDLTVEAMMLDSHAADLDKEERPEILSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHYKNASFMCADVTSPDLVIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHAIDQSGSSSELSLLTCKCVGAYVKNKKNQNQICWLWQKIESTEDRGFQRFLDNVQYKTSAILRYERVFGEGFVSTGGIETTKEFVDLLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVVGIDLSINMVSFALENSIGRKCAVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIEQRGYDLHDVQAYGQMLKNAGFHDVIAEDRTDQFLTVLQRELAEVEKNKDEFLSDFGQEDYDDTVNGWNAKLERCSAGEQRWGLFIATK* >Brasy1G249100.1.p pacid=40057331 transcript=Brasy1G249100.1 locus=Brasy1G249100 ID=Brasy1G249100.1.v1.1 annot-version=v1.1 MADTGGARRQATFTKIDELRPSTHGHNLIVKVLNSKPITFQRPQPRQMRVAECLVGDETGVVVFTARNEQVDVMKAGAIVEVRNAKVDMYKGSMRLAVDKWGIVKAAESPSELTVKEDNNLSLIEFEMITLVV* >Brasy1G494500.1.p pacid=40057332 transcript=Brasy1G494500.1 locus=Brasy1G494500 ID=Brasy1G494500.1.v1.1 annot-version=v1.1 MKTHPRPLLLLLLLQIQLVPAVYSLLDSAGEYTNHTVLLPCLPDQASALLRFKRSFSTNNESIAAFRSWRAGTDCCHWEGIRCHDANGRVTSLDLSNWGLQSGGLDPALFDLISLKYLNLAYNDFNGSRLPSTGFERLVKLTHLNLSSSDFDGQVPIGIRQLTNLVSLDLSTGFEIVEVLSDGYKVVVQSIHDSRLVETNFEALIAKLDKLRELNLGFVDLSGNEARWCDALAKSTPNLHILSLPFCGLSGPICTSLSSLHSLAIIDLEHNHLSGPIPDFLTNLSSLRVHQLQRNKLKGWLSPAIFQHKKLVTINLYYNPGVSGHLPNFSTSNSLEHLDVSRTNFSGTIPSSISNLKSLKRLGLGAPGFFGELPSSIGKLKSLSVLQISGLGLAGSIPSWVANLTSLEILQFFDCGLSGSIPPFIGEFKKLERLILCNCSFSGEIPSHISNLTQLQILMLYSNHLFGTVELTSLKKLPHLEVFEVSDNNLVVIDGKDNSSLASYPKISILGLSECNISKFPNLLRHQSQMSTLDLSYNQMHGAIPQWAWEIGTNFVLFILSNNKFTSTSYTPLLPFSVLVLDLSNNMFEGPIPIPRGSASVLDYSNNKFSYVPSNFGSHLSDTILLMASQNNFSGDIPSFFCGATSIQLLDLSYNNFNGSIPPCLMSKVNGMQSLNLRQNKLNGKFPDNISEGCSLEALDFSGNWIEGQLPRSLVACKNLELFDVGNNQISDSFPCWMSVLRRLEVLVLKSNRLFGHVGQSLVEDKTTCAFPSLRIVDLSSNNFSGTLPQDQWFKELKSMIVRGSNTSLIMDHGLNQTRLTYTYTTAVTYKGHDTSFAKILRTLVFIDVSNNTFHGGIPEAMWELVLLHGLNLSHNFLTGTIPSHVGHLDQLEALDMSSNELSGVLPQEITSLDFLTMLNLSYNKLDGRIPESPHFLTFSNSSFMGNDGLCGLPLSKECGNTTVPSVLPLTSKKDSLDIMLFLFVGLGFGVGFAAIIVVTWVLPIKKSHERVLTC* >Brasy1G346200.1.p pacid=40057333 transcript=Brasy1G346200.1 locus=Brasy1G346200 ID=Brasy1G346200.1.v1.1 annot-version=v1.1 MDGEEAAANSKAVAPATIRLVNFVSEEQLDEAKRSRGERVEDGTAQRDKPLFQILQENKDKKDAEFNERFKHRPPKALDEDETEFLDKLALSRREYEQQVANEEAEQLRSFHEAVAAQTNLAHELDETPTVSRPEESKPKPPTKRSQPALLRNIIVSVKPQAKKAKVEAESKPIPKELSSNGHDAVHKPPDVAKSVLSSLVAYGDEDESGDDDDAQ* >Brasy1G485600.1.p pacid=40057334 transcript=Brasy1G485600.1 locus=Brasy1G485600 ID=Brasy1G485600.1.v1.1 annot-version=v1.1 MEVMGAPPGYLMFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPWCAAEASIEEWSEVGASGSVEEVDDIEFTASSRRSTNLRCTLLCSCTGRKCCGR* >Brasy1G485600.3.p pacid=40057335 transcript=Brasy1G485600.3 locus=Brasy1G485600 ID=Brasy1G485600.3.v1.1 annot-version=v1.1 MEVMGAPPGFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPWCAAEASIEEWSEVGASGSVEEVDDIEFTASSRRSTNLRCTLLCSCTGRKCCGR* >Brasy1G485600.4.p pacid=40057336 transcript=Brasy1G485600.4 locus=Brasy1G485600 ID=Brasy1G485600.4.v1.1 annot-version=v1.1 MEVMGAPPGYLMFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPWCAAEASIEEWSEVGASGSVEEVDDIEFTASSRRKKMLR* >Brasy1G485600.5.p pacid=40057337 transcript=Brasy1G485600.5 locus=Brasy1G485600 ID=Brasy1G485600.5.v1.1 annot-version=v1.1 MEVMGAPPGFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPWCAAEASIEEWSEVGASGSVEEVDDIEFTASSRRKKMLR* >Brasy1G485600.2.p pacid=40057338 transcript=Brasy1G485600.2 locus=Brasy1G485600 ID=Brasy1G485600.2.v1.1 annot-version=v1.1 MEVMGAPPGFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPWCAAEASIEEWSEVGASGSVEEVDDIEFTASSRREAM* >Brasy1G376800.1.p pacid=40057339 transcript=Brasy1G376800.1 locus=Brasy1G376800 ID=Brasy1G376800.1.v1.1 annot-version=v1.1 MVASTKKAKKSGDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHYHGNNVDLGTACGKYFRVCCLSIIDPGDSDIINTTPGGQ* >Brasy1G414400.1.p pacid=40057340 transcript=Brasy1G414400.1 locus=Brasy1G414400 ID=Brasy1G414400.1.v1.1 annot-version=v1.1 MVATSVAAAAACHFSAPTSQRSRPPPPLLLACLQRCHCSANTPAFRRRGLLRRPDLWVAPPRLAPLMRFEGCTARLALTAPLLQHRRVPAPSPAPPRPQTPKPAPAMPPTVGEEETREKYQGRRAAPLPSRVS* >Brasy1G150500.1.p pacid=40057341 transcript=Brasy1G150500.1 locus=Brasy1G150500 ID=Brasy1G150500.1.v1.1 annot-version=v1.1 MMLRGGQGGGGRCLFTASQWRELEQQALIYKYMAAGSQVPHELLLPFRHAAAVDTAPSLACFPPPSHPSLGWGCYGPAAAAAMQYGRRASDPEPGRCRRTDGKKWRCSREAFGESKYCDRHMHRGKNRSRKPVEPPTPPSSSSSSYRPSALSISPPTPADAAPNSNSSYGHQFLRPGPSNVSPLQLHLDAPSPPPSYHRYAPQYATSSFFPPAGAGHGYGCEFKAPIMLEHEEAMARRRLGADLSLDKPDAASTEGEKTKPLRRFLDEWPRGDGGGGDGRSWMDETQLSMSIPAASPPADLAVAASRYHHHNGNE* >Brasy1G488000.1.p pacid=40057342 transcript=Brasy1G488000.1 locus=Brasy1G488000 ID=Brasy1G488000.1.v1.1 annot-version=v1.1 MVPSPRCSISVRRPLLRRPLPPVLLHAELPRLLLLLRRARAVASTAQDPRPSPVPRARSSSPSPAETPPLLIRVPAAFRASPPCAGAAHRRHECEAALSRARGCPELPLCSALPPAVPFVVAKHVPRIPRFNDDCRSWCRPRLDESAAGTATRRVRAGVPHGAGAMRAAAAVTPTKAGGALRAAAAVPVDQGGGRAAGGGSGRADQCGGRAAGGRGRARGPRRGHAAGGGGGRPRLGRKETSSGALDFGHGRWLRQRGAQVAPTGD* >Brasy1G451800.1.p pacid=40057343 transcript=Brasy1G451800.1 locus=Brasy1G451800 ID=Brasy1G451800.1.v1.1 annot-version=v1.1 MAFPLTILKLLFLLPATVLADRSLHRSNNTDLAALLAFKAQCSDPLGILGANWTTNTSFCHWFGVSCSRHRQRVMTLVLPGVPLQGVVTPHLGNLSFLHVLNLTNTSLTDSIPSDIGRLSHLVALDLSLNSLSDIIPRTIGNLTKLQALLLGDNHLSGEIPQYLQNLNNLRHIHLGANRLSGLIPEQLFNNTPLLNYLDFGNNSLFGPIPPNIASCPMLEYLNFHTNQLSGPVPPTIFNMSRLQLMDLSYNQYLTGPIPSNQSFALPMLRFVFLHMNNFAGQIPLGLASCKYLEKVSLLENFFVDFIPTWLGKLSHLTVLSLAGNGLGGSIPGELSNLTMLQFLELSDCNLSGYIPAKLGEMSQLTLFHIAENQLIGTFPAFIGNLSELYLFDVRSNQLTGSVPHTIGNLRSLKYLDIGENLLTGTLDFLASLSNCRQLIRLGIETCSFTGSIPAYVGNLSRTLRQFGAHENHLTGALPATIANLSGLTLIKLSHNNLSGIIPESITLLENLEALDLTGNSIFGPIPTQVGTLTRLHGLFLEHNKFSGPIPNGVGNLSMLEQIAIGYNQLSSTIPESIFNLRNLVILFLSHNSLTGQLHSNFGSMEEIDTVDISVNSLVGSLPTSFGQRGLLTYLDLSHNALQGSIPASFKGLLNLGVLNLSFNNLSGAIPKYMANFTSLTSLNLSFNNFQGEVPDGGIFSNISAQSLMGNARLCDAPRLGFSPCLGNSHPTNKHLLIFVLPAVTITIGAIAMLLYLLIRQKSKKQPDVTICIDMAEVISHRIVSYHDIIRATENFKEENLLGVGSFGKVFKGQLNNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLMRILNTCSNLDFRALLLEYMPNGSLDTHLHSENSEPLGVSKRLDIMLGISEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLHVDDNSMVSASMAGTIGYMAPELVYIGKASRKSDVFSFGIMLLEVFTGKRSTNPIFTGESNLRHWVSQASIIY* >Brasy1G451800.4.p pacid=40057344 transcript=Brasy1G451800.4 locus=Brasy1G451800 ID=Brasy1G451800.4.v1.1 annot-version=v1.1 MAFPLTILKLLFLLPATVLADRSLHRSNNTDLAALLAFKAQCSDPLGILGANWTTNTSFCHWFGVSCSRHRQRVMTLVLPGVPLQGVVTPHLGNLSFLHVLNLTNTSLTDSIPSDIGRLSHLVALDLSLNSLSDIIPRTIGNLTKLQALLLGDNHLSGEIPQYLQNLNNLRHIHLGANRLSGLIPEQLFNNTPLLNYLDFGNNSLFGPIPPNIASCPMLEYLNFHTNQLSGPVPPTIFNMSRLQLMDLSYNQYLTGPIPSNQSFALPMLRFVFLHMNNFAGQIPLGLASCKYLEKVSLLENFFVDFIPTWLGKLSHLTVLSLAGNGLGGSIPGELSNLTMLQFLELSDCNLSGYIPAKLGEMSQLTLFHIAENQLIGTFPAFIGNLSELYLFDVRSNQLTGSVPHTIGNLRSLKYLDIGENLLTGTLDFLASLSNCRQLIRLGIETCSFTGSIPAYVGNLSRTLRQFGAHENHLTGALPATIANLSGLTLIKLSHNNLSGIIPESITLLENLEALDLTGNSIFGPIPTQVGTLTRLHGLFLEHNKFSGPIPNGVGNLSMLEQIAIGYNQLSSTIPESIFNLRNLVILFLSHNSLTGQLHSNFGSMEEIDTVDISVNSLVGSLPTSFGQRGLLTYLDLSHNALQGSIPASFKGLLNLGVLNLSFNNLSGAIPKYMANFTSLTSLNLSFNNFQGEVPDGGIFSNISAQSLMGNARLCDAPRLGFSPCLGNSHPTNKHLLIFVLPAVTITIGAIAMLLYLLIRQKSKKQPDVTICIDMAEVISHRIVSYHDIIRATENFKEENLLGVGSFGKVFKGQLNNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLMRILNTCSNLDFRALLLEYMPNGSLDTHLHSENSEPLGVSKRLDIMLGISEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLHVDDNSMVSASMAGTIGYMAPELVYIGKASRKSDVFSFGIMLLEVFTGKRSTNPIFTGESNLRHWVSQASIIY* >Brasy1G451800.5.p pacid=40057345 transcript=Brasy1G451800.5 locus=Brasy1G451800 ID=Brasy1G451800.5.v1.1 annot-version=v1.1 MAFPLTILKLLFLLPATVLADRSLHRSNNTDLAALLAFKAQCSDPLGILGANWTTNTSFCHWFGVSCSRHRQRVMTLVLPGVPLQGVVTPHLGNLSFLHVLNLTNTSLTDSIPSDIGRLSHLVALDLSLNSLSDIIPRTIGNLTKLQALLLGDNHLSGEIPQYLQNLNNLRHIHLGANRLSGLIPEQLFNNTPLLNYLDFGNNSLFGPIPPNIASCPMLEYLNFHTNQLSGPVPPTIFNMSRLQLMDLSYNQYLTGPIPSNQSFALPMLRFVFLHMNNFAGQIPLGLASCKYLEKVSLLENFFVDFIPTWLGKLSHLTVLSLAGNGLGGSIPGELSNLTMLQFLELSDCNLSGYIPAKLGEMSQLTLFHIAENQLIGTFPAFIGNLSELYLFDVRSNQLTGSVPHTIGNLRSLKYLDIGENLLTGTLDFLASLSNCRQLIRLGIETCSFTGSIPAYVGNLSRTLRQFGAHENHLTGALPATIANLSGLTLIKLSHNNLSGIIPESITLLENLEALDLTGNSIFGPIPTQVGTLTRLHGLFLEHNKFSGPIPNGVGNLSMLEQIAIGYNQLSSTIPESIFNLRNLVILFLSHNSLTGQLHSNFGSMEEIDTVDISVNSLVGSLPTSFGQRGLLTYLDLSHNALQGSIPASFKGLLNLGVLNLSFNNLSGAIPKYMANFTSLTSLNLSFNNFQGEVPDGGIFSNISAQSLMGNARLCDAPRLGFSPCLGNSHPTNKHLLIFVLPAVTITIGAIAMLLYLLIRQKSKKQPDVTICIDMAEVISHRIVSYHDIIRATENFKEENLLGVGSFGKVFKGQLNNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLMRILNTCSNLDFRALLLEYMPNGSLDTHLHSENSEPLGVSKRLDIMLGISEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLHVDDNSMVSASMAGTIGYMAPELVYIGKASRKSDVFSFGIMLLEVFTGKRSTNPIFTGESNLRHWVSQASIIY* >Brasy1G451800.2.p pacid=40057346 transcript=Brasy1G451800.2 locus=Brasy1G451800 ID=Brasy1G451800.2.v1.1 annot-version=v1.1 MAFPLTILKLLFLLPATVLADRSLHRSNNTDLAALLAFKAQCSDPLGILGANWTTNTSFCHWFGVSCSRHRQRVMTLVLPGVPLQGVVTPHLGNLSFLHVLNLTNTSLTDSIPSDIGRLSHLVALDLSLNSLSDIIPRTIGNLTKLQALLLGDNHLSGEIPQYLQNLNNLRHIHLGANRLSGLIPEQLFNNTPLLNYLDFGNNSLFGPIPPNIASCPMLEYLNFHTNQLSGPVPPTIFNMSRLQLMDLSYNQYLTGPIPSNQSFALPMLRFVFLHMNNFAGQIPLGLASCKYLEKVSLLENFFVDFIPTWLGKLSHLTVLSLAGNGLGGSIPGELSNLTMLQFLELSDCNLSGYIPAKLGEMSQLTLFHIAENQLIGTFPAFIGNLSELYLFDVRSNQLTGSVPHTIGNLRSLKYLDIGENLLTGTLDFLASLSNCRQLIRLGIETCSFTGSIPAYVGNLSRTLRQFGAHENHLTGALPATIANLSGLTLIKLSHNNLSGIIPESITLLENLEALDLTGNSIFGPIPTQVGTLTRLHGLFLEHNKFSGPIPNGVGNLSMLEQIAIGYNQLSSTIPESIFNLRNLVILFLSHNSLTGQLHSNFGSMEEIDTVDISVNSLVGSLPTSFGQRGLLTYLDLSHNALQGSIPASFKGLLNLGVLNLSFNNLSGAIPKYMANFTSLTSLNLSFNNFQGEVPDGGIFSNISAQSLMGNARLCDAPRLGFSPCLGNSHPTNKHLLIFVLPAVTITIGAIAMLLYLLIRQKSKKQPDVTICIDMAEVISHRIVSYHDIIRATENFKEENLLGVGSFGKVFKGQLNNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLMRILNTCSNLDFRALLLEYMPNGSLDTHLHSENSEPLGVSKRLDIMLGISEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLHVDDNSMVSASMAGTIGYMAPELVYIGKASRKSDVFSFGIMLLEVFTGKRSTNPIFTGESNLRHWVSQASIIY* >Brasy1G451800.3.p pacid=40057347 transcript=Brasy1G451800.3 locus=Brasy1G451800 ID=Brasy1G451800.3.v1.1 annot-version=v1.1 MAFPLTILKLLFLLPATVLADRSLHRSNNTDLAALLAFKAQCSDPLGILGANWTTNTSFCHWFGVSCSRHRQRVMTLVLPGVPLQGVVTPHLGNLSFLHVLNLTNTSLTDSIPSDIGRLSHLVALDLSLNSLSDIIPRTIGNLTKLQALLLGDNHLSGEIPQYLQNLNNLRHIHLGANRLSGLIPEQLFNNTPLLNYLDFGNNSLFGPIPPNIASCPMLEYLNFHTNQLSGPVPPTIFNMSRLQLMDLSYNQYLTGPIPSNQSFALPMLRFVFLHMNNFAGQIPLGLASCKYLEKVSLLENFFVDFIPTWLGKLSHLTVLSLAGNGLGGSIPGELSNLTMLQFLELSDCNLSGYIPAKLGEMSQLTLFHIAENQLIGTFPAFIGNLSELYLFDVRSNQLTGSVPHTIGNLRSLKYLDIGENLLTGTLDFLASLSNCRQLIRLGIETCSFTGSIPAYVGNLSRTLRQFGAHENHLTGALPATIANLSGLTLIKLSHNNLSGIIPESITLLENLEALDLTGNSIFGPIPTQVGTLTRLHGLFLEHNKFSGPIPNGVGNLSMLEQIAIGYNQLSSTIPESIFNLRNLVILFLSHNSLTGQLHSNFGSMEEIDTVDISVNSLVGSLPTSFGQRGLLTYLDLSHNALQGSIPASFKGLLNLGVLNLSFNNLSGAIPKYMANFTSLTSLNLSFNNFQGEVPDGGIFSNISAQSLMGNARLCDAPRLGFSPCLGNSHPTNKHLLIFVLPAVTITIGAIAMLLYLLIRQKSKKQPDVTICIDMAEVISHRIVSYHDIIRATENFKEENLLGVGSFGKVFKGQLNNGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLMRILNTCSNLDFRALLLEYMPNGSLDTHLHSENSEPLGVSKRLDIMLGISEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLHVDDNSMVSASMAGTIGYMAPGNGVMQDEKTGELSRIS* >Brasy1G495400.1.p pacid=40057348 transcript=Brasy1G495400.1 locus=Brasy1G495400 ID=Brasy1G495400.1.v1.1 annot-version=v1.1 MADRPPSPRLADEEDREFNASPSRNAAPVRSGEKRPFGDLDDDEEDVFASKKGKTKVEESAPGAATGMILSLRENLQNCKDNLASSQVELENAKSEIQKWHSAFQNTPAVPAGTNPEPVSVLTYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLAEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTQNKELRNQFDVLYKHMDGLTNDVERSNEMVLILQEVLEAKDVELARLKEMVSQREATEGKEAAEAIDEAATDTNASPESQPTKAES* >Brasy1G140600.1.p pacid=40057349 transcript=Brasy1G140600.1 locus=Brasy1G140600 ID=Brasy1G140600.1.v1.1 annot-version=v1.1 MDFLHDRPRRAPPLRQMRPVQCHAPAFRFQGGHRARRRGGVTGASSGPPVPHLSRSNARPSRRRERPDLRPRVDRPVVRLRQVNVPQDGASLGQSGARAQQVPQESHLQVVPGERRGHGSLRGRQGRAGPGGGREQGGARGGAHDGVVPREEALRLLLPRGGQPRRARDPAALQVRLGEPRVRRRGRSRASARAPALLRGRRAPAQRRDRAAQALDPRGQQEAHHARRGRRGGGHPHHGLRHNVARQGDRGRHGGQLGVRAFVPPQARPEPLSRGKARASRARRPAEHEERCAGRAAVAGGREGERREARGRWRR* >Brasy1G357400.1.p pacid=40057350 transcript=Brasy1G357400.1 locus=Brasy1G357400 ID=Brasy1G357400.1.v1.1 annot-version=v1.1 MLQRKNLCVPECTCVLCGSLLYETRDRLFFNCQFAKASGITSAPNIQLRGDPFNDIVEIKRESWEFLSVSRSSCSHPSKKRSIIFKGIKPSLYIDPPSMD* >Brasy1G319700.1.p pacid=40057351 transcript=Brasy1G319700.1 locus=Brasy1G319700 ID=Brasy1G319700.1.v1.1 annot-version=v1.1 MMSLQIPARSSGLRLFLLLGGESGIRRPYSTGDRRRRMIREARDEEEDEAFLRTLNFGADPENNPLPPPPRREGGAPDSSSAPFPTDILRRAGRKQQPPPEGSPQKAIGESLMEKLKLGDATTAAASPGNSAEGQHAEHEPGQLQQSEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGAIPEVVIYTAVVEAFCKAAKLDDAVRIFRKMQGNGVIPNAFSYWLIIQGLYTGNRLDDAVGFCVEMFEAGHSPNAATFVGLVDAVCKSKGVEEGEKLVKSFQDRNFAIDEKSIREHLDKKGPFTPVVWEVIFGKKKSSRPF* >Brasy1G027000.1.p pacid=40057352 transcript=Brasy1G027000.1 locus=Brasy1G027000 ID=Brasy1G027000.1.v1.1 annot-version=v1.1 MGNGLSPCTHMPATATPAVARLVYWGGQTRLLPVTDDEDDNGGCSSSFTAELAAEHIVCAAESFFVGLPIPVVAPAERLLPGRAYFVLPAARFSASTRLTAATLASLAPPGTKKKTKNAVAVRIAGPGQCPFEYIKGAEDGAAPLIRVLPEFIEKVITCSDLNDSGGNGNGNGAAAGGRRGRSKSRGAAVASAAAETDELCSTPELKRHYAQLVGPRSRPWSPALETISERGKRSALWSPARLLLSSR* >Brasy1G033400.1.p pacid=40057353 transcript=Brasy1G033400.1 locus=Brasy1G033400 ID=Brasy1G033400.1.v1.1 annot-version=v1.1 MAPVPRSVAVSVAPVPLSVAVSVAPGGDEDMAAGVDADQARLHQLGYKQELKRGLSVVSNFAFSFAIISVLTGVTSTYNTGLRYGGPASMTLGWLVVASFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKKRAPLASWVTGWFNIMGQWAATTSVDFSLAQLIQVMVLLGTGGANGGGYLASKYVVLAIYAAILVLHGLINSLPIRCLSWFGHLGAFWNAAGVFVLVIMIPAVAKERASIEFIFTNFNTENGTGIHGKPYILAVGLLMSQYSVIGYDTSAHMTEETKNAARSGPIGIITSVGLATIFGWIYLVALTSIVTDIPYLLSTENDSGGYAIAQALYSTFQARYGSGAGGVACLGIIAVAMFLCGVACITSNSRMGYAFSRDGAMPYSHVWHRVTKKEVPLNVVWLSVVVAFAMALTSLGSEVAFQAMVSIATLGLYISYALPIFFRVTTARKSFVPGPFHLGRYGIFVGWAAVLWVAFVTVLFSLPVAYPVAKDTFNYTPVAVGGVLVLSLVAWVFHARFWFEGPIVNVDID* >Brasy1G322300.1.p pacid=40057354 transcript=Brasy1G322300.1 locus=Brasy1G322300 ID=Brasy1G322300.1.v1.1 annot-version=v1.1 MAWDSQGLGTRTVILLVSSGKNSRIMVFSEDLFFIYLLPHIFSMQGCTHLVNLNPGFVKKFISKLSHHLTDWAAASWIRHCQPPPADLEPPSGGRRLAALIPHECPFFVREGFHLFYSRANKIFAHLNIINPNMGP* >Brasy1G322300.2.p pacid=40057355 transcript=Brasy1G322300.2 locus=Brasy1G322300 ID=Brasy1G322300.2.v1.1 annot-version=v1.1 MAWDSQGLGTRTVILLVSSGKNSRIMVFSEDLFFIYLLPHIFSMQGCTHLVNLNPGFVKKFISKLSHHLTDWAAASWIRHCQPPPADLEPPSGGRRLGRFPLYSSTLSFPLFQLWL* >Brasy1G301700.1.p pacid=40057356 transcript=Brasy1G301700.1 locus=Brasy1G301700 ID=Brasy1G301700.1.v1.1 annot-version=v1.1 MFLQYTPSRQRHTRPERTRSMDMEIPVIDLSGLNGDATERSQALARLHEACKDWGFFWVEKHGVDGALMEEVKSFVYSHYDEQLKDEFYASDLAKDLHAAPAGRPEDSSKHVSDQAVDWETAYFVRHHPNSNVADFPEIPPATREVLDVYIGQMVSLAEQLAQGMSLNLGLKGGPNHIRDTFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDVVGGLEFLRPTGARGEEWVPVGPTKGGRIFVNVGDQVEVMSGGAYRSVLHRVAAGTEGRRLSVATFYNPGPDAVVAPAAREAEEEELCRCYPGPYRFGDYLQYYQGTKFEDKAARFQAVKKLFG* >Brasy1G301700.2.p pacid=40057357 transcript=Brasy1G301700.2 locus=Brasy1G301700 ID=Brasy1G301700.2.v1.1 annot-version=v1.1 MDMEIPVIDLSGLNGDATERSQALARLHEACKDWGFFWVEKHGVDGALMEEVKSFVYSHYDEQLKDEFYASDLAKDLHAAPAGRPEDSSKHVSDQAVDWETAYFVRHHPNSNVADFPEIPPATREVLDVYIGQMVSLAEQLAQGMSLNLGLKGGPNHIRDTFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDVVGGLEFLRPTGARGEEWVPVGPTKGGRIFVNVGDQVEVMSGGAYRSVLHRVAAGTEGRRLSVATFYNPGPDAVVAPAAREAEEEELCRCYPGPYRFGDYLQYYQGTKFEDKAARFQAVKKLFG* >Brasy1G354600.1.p pacid=40057358 transcript=Brasy1G354600.1 locus=Brasy1G354600 ID=Brasy1G354600.1.v1.1 annot-version=v1.1 MAPLAPSAGARLLRRFLVTAAEVAPEAAPPVTKNARKAAHPIGKKVPSETAQPAPKVASEAAHPVAGEANKAVTKDARPLYRRLSALGNAGEGSVSRVLNKWVREGREARSVDLERYVKELRKYKRHDQALELMDWMVHTKGMNMSYTNHAIRLDLVYKVRGIEAAEKYFDGLPDPAKNHRTYGALLNCYCSLKKEEKATDLYRKMDDLGIASSALPFNNLMSLYMKLAQHKKVAGLFEEMKAKNVKPDNLTCCVLMTSYAALNKIDAVEEVLKEMKERNAALGWSTYSTLASIYVSAGLVEKAESALKKLEGLVQPRDGRQPFDFLMSLYASVGNLSEVNRVWDVVKATFPKVTNTSYFSMLQALYKLNDADRMKQIFEEWESNHECYDVKLTNVMTRAHLKNGLTKEAELLWEKAKAKGADFDSKTCELFLDHYMGTEDMKSALHWVENVTKLPKKAGKLDQEKIQKFSKYFEEQKDVQGAEKLCSCLRTLGCIDGKVYESLLRTYLAAGETNRSLRQQIKDDKIEICYDIGKLLKRMGDKGR* >Brasy1G025600.1.p pacid=40057359 transcript=Brasy1G025600.1 locus=Brasy1G025600 ID=Brasy1G025600.1.v1.1 annot-version=v1.1 MVHAATTTAGNFSSVFSAIDRDADGKISAAELRLCLKASLGLGDDDGDVSAEEAEALVASADADGDRLLDEEEFLRLMVPMRPAVTAGAEDEDDERSRGLKEAFGMYEAEEGEAGRITAASLKRMLSRLGTRHEIDECVAMIRRFDLDGDGVVSFDEFKVMMDA* >Brasy1G473600.1.p pacid=40057360 transcript=Brasy1G473600.1 locus=Brasy1G473600 ID=Brasy1G473600.1.v1.1 annot-version=v1.1 MVIFLQPFRTSTHGFHRVFTERVISTLDSPNFFYLCVARSSFVFLMQSCKDRSSSRSKRVNKRSYKGPQKILGLSVFKLYHHIIL* >Brasy1G224600.1.p pacid=40057361 transcript=Brasy1G224600.1 locus=Brasy1G224600 ID=Brasy1G224600.1.v1.1 annot-version=v1.1 MKERGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQDSRIIATHTDSPDVLIWDVDAQPNRHAVLGASDSRPDLILRGHQENAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISGLGDSSKNESSPGASGSKQSGKTANDKDSPKVDPRGVFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHGGDVHCVDWNFHDVNYILTGSADNSVRMWDRRNLGPGGVGSPVHKFDGHKAAVLCVQWSPDKSSVFGSSAEDGFLNVWDHEKAGKKKNPNSPAGLFFQHAGHRDKIVDFQWNSSDPWTIVSVSDDGETTGGGGTLQIWRMSDLIYRPEEEVLSELENFKTHLASCTPRT* >Brasy1G526100.1.p pacid=40057362 transcript=Brasy1G526100.1 locus=Brasy1G526100 ID=Brasy1G526100.1.v1.1 annot-version=v1.1 MCFVTRLMEAGGCGDELTVAMGKCHPNHVGEDPNDVQACVRATTALRKCMASNKALFKGYIRILDEEERRRSGEPAYQDEESPHRFKWWAGMRTS* >Brasy1G409900.1.p pacid=40057363 transcript=Brasy1G409900.1 locus=Brasy1G409900 ID=Brasy1G409900.1.v1.1 annot-version=v1.1 MLANGEMMTCHQRKGRLLMEHNIICQAIPYFNILLRKRSITSFNMYKMIQQYEEILPCLLSLLNKIWTLPDWRSKYLHHESGLTCLFSDGQFLNIVYYLFRFCEVELKRSRIEVLTGLNSCEHDILTILPLILPLLLELLQCIHGLWCTSDAYNVKQDEEGSQEKETRALMEGIRVGKYKVIGLCASIEGAFPWLLNGSICTFGKLIKHAVIPLIKNCPREFWEEWVDVFLQHLLRHCGNILYQSWFRLLYHGCAGVPHYFGELCGLEGTMNTLERDILLEFTRDFSGLLQVVALPEQNRALSLLDVAQDIDSMRPTSLFRYLVMNDFFGKLRMSLFDDKLPCAIWGLKCELNSSASNVSSDKLNSSATNNVHRGLVVLCQELYTRAYALWSDRNSCNAETSFEVWLRCLKENFRAKAYSATAKEVPLGNPWHWELEYECERYLSAYIDMLRVLDETDHFAERKFYSMSVVIRNRKIRNLVHKLIKLKPYIEGSDRAYDVVSRLKRTSEIPPELSKYVAPSVEPVAPLIRDFPFHLQPYAHALIKSKLEESVCMAEFFFFLKFTVLC* >Brasy1G275400.1.p pacid=40057364 transcript=Brasy1G275400.1 locus=Brasy1G275400 ID=Brasy1G275400.1.v1.1 annot-version=v1.1 MQRDPTAFTGNPSFAYGDEANGCMTNGPLGGQCSSRVSVSPAFGVPAGMTVPQLPNQLGGFEFQPSKVFPRNFIIFDHNEDKGRIMYHPALVNKLDAANINAFPSYDQAVCRSCGKDNGNLEENSSSFKEDTREIDVLLSSSEESDEDDVVSTGRTPCAFESVSLDSSSPLNSKKMRCSSEKSSGIHGSMEDVTHESMRKMIAVLRGVIPGADQLDTPAVLEEAVRYLKFLKMEAKKLGVEDLDN* >Brasy1G225300.1.p pacid=40057365 transcript=Brasy1G225300.1 locus=Brasy1G225300 ID=Brasy1G225300.1.v1.1 annot-version=v1.1 MGETTDADAAPAAAPTSRFGRICVFCGSNSGNRPVFGDAALELGQELVTRGIDLVYGGGSVGLMGLIAQTVLDGGCRVQGVIPRALMPLEISGASVGEVKIVTDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHEKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCREIIVSAPTGHDLLTKMEQYTRSHREVASRTSWEMTELGYGKAPEES* >Brasy1G553700.1.p pacid=40057366 transcript=Brasy1G553700.1 locus=Brasy1G553700 ID=Brasy1G553700.1.v1.1 annot-version=v1.1 MAMAVAVSGLHGLSSRRIGPPRADRPRDPAGAPRPRSTSTTAGPRTRSEPPAARHLLVRRSSPPRHPAPTSSAATSSVFSQSRLGHVAEMPCAGDHQEGTSRRNSTSWISWSYGTRCFPASLFLDMGLFRGNQVNSFSKISQLQEEIKDLYRC* >Brasy1G172200.1.p pacid=40057367 transcript=Brasy1G172200.1 locus=Brasy1G172200 ID=Brasy1G172200.1.v1.1 annot-version=v1.1 MKDDVAAVVPRLVAPHAHEIEMFSHYVAKQIGFDDPNECPHLCTMAYYYLKKSEGYEQNLLAFFHNNMNPDALLVKFIEELDRCILGYFSFHWKCATQVITQVLTAEQPRRKLKSMVLEATRKMRFERVTRELKVTRLFSTLMEELKVIGVSCCHENQPHSPAGTDVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWSGAAANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTVAMARAVHRQRYRMGVGYKVGADGATTEQYWEPVEEEAGHEQQHGARATKPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCDLVDSARLYSTNAIGAAKLIGWKDGSCSRLLVDVEEIELLDRVSRINEEADCVHELYPDGHPTGGAGSVWEDLVASPLRASIQRELKAAILDSEACFPSP* >Brasy1G356800.1.p pacid=40057368 transcript=Brasy1G356800.1 locus=Brasy1G356800 ID=Brasy1G356800.1.v1.1 annot-version=v1.1 MAATHAPTSPQMSVPHHHLNPVLARSRSQPVTDGEGRRRAKPEEHAHRRRRPTGGIDGAQGRAGRGDRDGRVRERRPAVVSEAESCDETLVRASRTGPWTRRSGLRPDAPHRQSSAMDGHERSCIAIATAILPSMYCYIVSRSRSLRFGNVGRTMCSSSSTRSSMWCSTTAAADSAPSCWTSS* >Brasy1G581400.1.p pacid=40057369 transcript=Brasy1G581400.1 locus=Brasy1G581400 ID=Brasy1G581400.1.v1.1 annot-version=v1.1 MTSPCSERSSSYSLNATCVVWALENWLLGICLPGVWLFGVCLLGVCLLGICLLGVGLLGIFLLGICLLGICLLLPGSVGGLSNDGICWLRYYAAHWICKIADFVRSRQEPAVTLWDFKDLTEYTQRSPPSIRLMRTKLCSTRSSRSRRQGYTDAVGGQTTKGNGGYSRKSAAIVCQPGLDLNSLGETPGHRHTEGRPVCSGGPDHPKAVHRSSTTVTKTPEAVVWLIASSAAQHMTGNVNQLTDYKPAPDDLVVQVPNMGPMRAHGTGSVRTATMSLHNVLHVPGLDKNLVSTSQLAKLGYTITLGPSGCRVTKDEIVVGEAHFTHEYLFQIDFIRVPA* >Brasy1G300300.1.p pacid=40057370 transcript=Brasy1G300300.1 locus=Brasy1G300300 ID=Brasy1G300300.1.v1.1 annot-version=v1.1 MFPSFQVGTPLCIPSKDFVSIGKIASIQNGRKQVDVARKGQSVAIKVRLLGAIRMSKREVLAGALGLMMNWLAVSQESPLTFSKRSIGVT* >Brasy1G439600.1.p pacid=40057371 transcript=Brasy1G439600.1 locus=Brasy1G439600 ID=Brasy1G439600.1.v1.1 annot-version=v1.1 MARHEAAARVEFDLPDEILAVIPTDPYEQLDIARKITSMAIASRVSRLEADVARLRRDLADRDRGEADLRARLADSDARLLAALDENAKLVKERDTLAVTAKKLSRNLAKLEAFKKQLMKSLSEDNLLQLSETGEDRDVDAENNWTARSPSWKDEVSSSRASSNTSSRSTITESAQGHQFSLTPYVAPRITPGSTPIISSSVGSPLAYSTGPSSPKFLSGPTSPTRSLSESPSTFSSWNGSSLHQYSAPTSPPQRRSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLLKAEEIFGTEHKDLYISFQNMLNRNQS* >Brasy1G126700.1.p pacid=40057372 transcript=Brasy1G126700.1 locus=Brasy1G126700 ID=Brasy1G126700.1.v1.1 annot-version=v1.1 MQCTSGSAPHGGMAPAASQVLISRHQSSLFLHATLARLLQKVGALSSPIPLDLHHLQQPWADGGLPEPAEVVVGRGWNCGVAGHGRRWKAAGREQQPHQAQVSSGRRRRAQQQAALHRRREEARGAAAGGVPTPPTSGSSGRRREAQGSGRGERREGRGERREEAWGEAGGGGEWASGGQTGGERAEMVAARREWRVLRLGKSGAVGMGGGATNVPVGETASGGGRRGKRREEKK* >Brasy1G506000.1.p pacid=40057373 transcript=Brasy1G506000.1 locus=Brasy1G506000 ID=Brasy1G506000.1.v1.1 annot-version=v1.1 MDDFFDEDGEQLMDPDARDLSPEPQAQPYDDLEDDLGDDGGAGWNRDRSPTPVHGDDGAGSSSRPRKRLLKKGGGGGGGGVPGDELEDWGEEAAGLADDGLEDHDADAARKRKGSSSLRDLARGGGKEKHEKKRRKEDRVVERERRGSSSGGKGGGGGGDEQEDGEREIQELWDTIAGAGSEDDQEGVRTADDDNFIDDTGVDPADRYDNDNGGHSPRHYAQAEEAEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVASEEDANLNRQSKPAINKLMKLPLLIEVLSKKNLQQEFLDHGILTLLKNWLEPLPDGSMPNMNIRTAVLKLLSDFPIDLEQFDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFQKSTRFEDMRRYDDERAPYRRPQMKKPSSSSGMESRDDDLDADFSQRKSGQSGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL* >Brasy1G506000.2.p pacid=40057374 transcript=Brasy1G506000.2 locus=Brasy1G506000 ID=Brasy1G506000.2.v1.1 annot-version=v1.1 MDDFFDEDGEQLMDPDARDLSPEPQAQPYDDLEDDLGDDGGAGWNRDRSPTPVHGDDGAGSSSRPRKRLLKKGGGGGGGGVPGDELEDWGEEAAGLADDGLEDHDADAARKRKGSSSLRDLARGGGKEKHEKKRRKEDRVVERERRGSSSGGKGGGGGGDEQEDGEREIQELWDTIAGAGSEDDQEGVRTADDDNFIDDTGVDPADRYDNDNGGHSPRHYAQAEEAEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVASEEDANLNRQSKPAINKLMKLPLLIEVLSKKNLQQEFLDHGILTLLKNWLEPLPDGSMPNMNIRTAVLKLLSDFPIDLEQFDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFQKSTRFEDMRRYDDERAPYRRPQMKKRKSGQSGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL* >Brasy1G015000.1.p pacid=40057375 transcript=Brasy1G015000.1 locus=Brasy1G015000 ID=Brasy1G015000.1.v1.1 annot-version=v1.1 LACATAVLKVKASGLEKNINTVNRLQKEVTSQEIKAAPSELPEGDARRTNNKATTDNMHCSVETQTDVPSNIVNHEAPPDKTKKRTSVSRRTNKEKLESCEGTKCTGTVQQSCKPRLQSTGPSHHEDQRHTLRRRSARLNAGSCELAEVSGKTLDEDTAVASSSSCSVTELHEPNCGKDTQKETQDELLCIAAGHQACGLDSQPNVMTLTL* >Brasy1G018500.1.p pacid=40057376 transcript=Brasy1G018500.1 locus=Brasy1G018500 ID=Brasy1G018500.1.v1.1 annot-version=v1.1 MAEEQGGREPPPAAGSSMEEEQGERDPSAPPKSAAASSSGPAPGEKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACSGKRPRTDPVPLSQFDDDRLLSDYNLLEETSQVRESAHRLISGFGRNYGGGGGAQLPTWLFFLRKAAQRRGVRLFFQPRGMTRREQNRSRHYQRKDCIYWTLEWRFNSTDVVLTDHEIDEHASLLSLLEKHLSPTPCKDQLTPYRNAELRDLKLFIQKSAKDSKSPYRQLNIEEPLRSQLRGILVVEYPTINVFLPSDSYDFKVENFVNKISRNEHPPGNTNDSPPLEGTEFHEEEIEEGELTSETQVIDLKDCGTSHATSLSSVKVTSEPKIDSKTDSSVLSYIRSLALNQPSKVAPNTISGAPKTKSCMKVYPLDSEERADGGSTLESPATELKDHVTSHLGNIAPAKGTIIPGTDCPTDSSVPGDAHEEYGWQSKLTPNVTPEALKRKTNMKVYPVDTEETQGLVSEMSNLEFELDMKDSFEDLFGDMNPDDFLNFDTEIMDEDESGEIRSPFNIWDDLEEGEIPSPLW* >Brasy1G018500.2.p pacid=40057377 transcript=Brasy1G018500.2 locus=Brasy1G018500 ID=Brasy1G018500.2.v1.1 annot-version=v1.1 MTRREQNRSRHYQRKDCIYWTLEWRFNSTDVVLTDHEIDEHASLLSLLEKHLSPTPCKDQLTPYRNAELRDLKLFIQKSAKDSKSPYRQLNIEEPLRSQLRGILVVEYPTINVFLPSDSYDFKVENFVNKISRNEHPPGNTNDSPPLEGTEFHEEEIEEGELTSETQVIDLKDCGTSHATSLSSVKVTSEPKIDSKTDSSVLSYIRSLALNQPSKVAPNTISGAPKTKSCMKVYPLDSEERADGGSTLESPATELKDHVTSHLGNIAPAKGTIIPGTDCPTDSSVPGDAHEEYGWQSKLTPNVTPEALKRKTNMKVYPVDTEETQGLVSEMSNLEFELDMKDSFEDLFGDMNPDDFLNFDTEIMDEDESGEIRSPFNIWDDLEEGEIPSPLW* >Brasy1G018500.3.p pacid=40057378 transcript=Brasy1G018500.3 locus=Brasy1G018500 ID=Brasy1G018500.3.v1.1 annot-version=v1.1 MNEHASLLSLLEKHLSPTPCKDQLTPYRNAELRDLKLFIQKSAKDSKSPYRQLNIEEPLRSQLRGILVVEYPTINVFLPSDSYDFKVENFVNKISRNEHPPGNTNDSPPLEGTEFHEEEIEEGELTSETQVIDLKDCGTSHATSLSSVKVTSEPKIDSKTDSSVLSYIRSLALNQPSKVAPNTISGAPKTKSCMKVYPLDSEERADGGSTLESPATELKDHVTSHLGNIAPAKGTIIPGTDCPTDSSVPGDAHEEYGWQSKLTPNVTPEALKRKTNMKVYPVDTEETQGLVSEMSNLEFELDMKDSFEDLFGDMNPDDFLNFDTEIMDEDESGEIRSPFNIWDDLEEGEIPSPLW* >Brasy1G018500.4.p pacid=40057379 transcript=Brasy1G018500.4 locus=Brasy1G018500 ID=Brasy1G018500.4.v1.1 annot-version=v1.1 MNEHASLLSLLEKHLSPTPCKDQLTPYRNAELRDLKLFIQKSAKDSKSPYRQLNIEEPLRSQLRGILVVEYPTINVFLPSDSYDFKVENFVNKISRNEHPPGNTNDSPPLEGTEFHEEEIEEGELTSETQVIDLKDCGTSHATSLSSVKVTSEPKIDSKTDSSVLSYIRSLALNQPSKVAPNTISGAPKTKSCMKVYPLDSEERADGGSTLESPATELKDHVTSHLGNIAPAKGTIIPGTDCPTDSSVPGDAHEEYGWQSKLTPNVTPEALKRKTNMKVYPVDTEETQGLVSEMSNLEFELDMKDSFEDLFGDMNPDDFLNFDTEIMDEDESGEIRSPFNIWDDLEEGEIPSPLW* >Brasy1G277400.1.p pacid=40057380 transcript=Brasy1G277400.1 locus=Brasy1G277400 ID=Brasy1G277400.1.v1.1 annot-version=v1.1 MESGSGGGARVRRQLQAVGRVAAYLGGGFLLLSAASTAAVRSLRYLSDANQRKFAMPCGACEGKGTYACRLCKGSSTVEWSPLYDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA* >Brasy1G277400.2.p pacid=40057381 transcript=Brasy1G277400.2 locus=Brasy1G277400 ID=Brasy1G277400.2.v1.1 annot-version=v1.1 MESGSGGGARVRRQLQAVGRVAAYLGGGFLLLSAASTAAVRSLRYLSDANQRKFAMPCGACEGKGTYACRLCKGSSTVEWSPLYDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA* >Brasy1G524700.1.p pacid=40057382 transcript=Brasy1G524700.1 locus=Brasy1G524700 ID=Brasy1G524700.1.v1.1 annot-version=v1.1 MYRDVALAVVLVLLTACSAAAAGRHGRVEEEGEDAGRGEVTYDGRALLLNGTRRMLFSGEMHYTRSTPEMWPKIIAKARKGGIDVIQTYAFWNVHEPVQGKYNFEGRYDIVKFIREIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPNITFRTDNEPFKQHMQGFVTHMVNMMKNEGLYYPQGGPIIISQIENEYQMVEPAFGPDGPRYVQWAASLAVGLQTGVPWMMCKQNDAPDPIINTCNGLICGETFVGPNSPNKPSLWTENWTTRYPIYGNDTKLRSTGDITFAVALFIARKGGSFVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLKELHAAVKLSSEPLLYGTYSNFSLGEEQEAHVFETKLKCVAFLVNFDKHQRPTVVFRNISLQLAPKSISILSDCRTVVFETGKVNAQHGSRTAEVVQSLNDAHTWKAFKESIPQDISKAAYTGKQLFEHLSTTKDETDYLWYIASYEYRPSDDGHLVLLNVESQAHILHAFVNGEFVGSVHGSHGARGYIILNTTISLKEGQNTISLLNVMVGSPDSGAHMERRSFGIHKVSIQQGQQALHLLNNELWGYQVGLFGEGNRIYTQEGSHSVEWTDVNNLTYLPLTWYQTTFATPIGNEAVTLNLTSMGKGEVWINGESIGRYWVSFKTPSGQPSQSLYHIPRHFLKKTDNLLVLVEEMGGNPLQITVNTVSITTVCSNVNELSAPPVQSQGKDPEVRLRCQKGKHISAVEFASYGNPAGDCRTFAIGSCHAESSESVVKQACIGKRSCSIPVSPDSFGGDPCPGIQKSLLVVANCR* >Brasy1G239300.1.p pacid=40057383 transcript=Brasy1G239300.1 locus=Brasy1G239300 ID=Brasy1G239300.1.v1.1 annot-version=v1.1 MRSLIGRIGTGFRSPMASAAVAVGGGGPHKRLRRLSVRMERTRAGGGCSCSCSGNKGEAAERGEERTRLVVIVGATGTGKTKLSIDAAQELGGEVVNADKIQLYAGLDVTTNKVMPADRRGVPHHLLGALRADAGELPASSFRALAASTAASIAARERVPVVAGGSNSLIHAFLADRFDDEAPRDPFAAAAEGYRPKLRFPCCLLWVDVDEAVLDEYLDRRVDDMLGEGMVEELRQYFATTSPSERASQAGLCKAIGVPELGDHFAGRKSLAAAVDEIKANTRVLAAAQVRKIRRMADGWGWPVRRLDATATVLARLAGAGAGRSAAEAAAWERDVRGPGLAAMRQFLGDQGLSSSGRDDADDEDGLVGRRQCRGMVG* >Brasy1G253000.1.p pacid=40057384 transcript=Brasy1G253000.1 locus=Brasy1G253000 ID=Brasy1G253000.1.v1.1 annot-version=v1.1 MAGFRALAPKTKNLVVAGGLTGFVFGVYYYTMRAVGGTDELQVAIDKFEDLKKKDAAASAATNSSTPGSS* >Brasy1G374400.1.p pacid=40057385 transcript=Brasy1G374400.1 locus=Brasy1G374400 ID=Brasy1G374400.1.v1.1 annot-version=v1.1 MPFYSTPRPAAAHHPPALAPETAATARLAETGAHPAMAAIRGRGDAAIKLFGRTIPLLDAAAAAEVVTKLRIDENKNDAMPCVSDKLLNVKATPFCSKNSEENDLQALSRHSGILGTDSKSEDTKTESDELGQDKVLKKPDIIVPCPRCNSMETKFCYFNNYNVSQPRHYCRNCQRYWTAGGNIRNVPVGSGRRRNKHASHFRHAMMRHDNNIGAAEDVSNVIHHLPLPLVAPVLPGPIKENETAKEFGSEVPVCKSMATVLDNGEQKDIYLVPLVSGDNKEEQSCASSAAVLGCSENMTLDGIVKKESGTVSGYCNGMTLPQSHVQSYPNGPALVFPWSPGWNNIAVMAASQCSTEPVHGLEIAKHSLLSWAPPSMMTAPGICAPVVPFPLMPPFWSCLPGWPNGTWSSPWPGSSGSPNKITCSENNSPTLGKHSREVADMQEEEKRENTLWVPKTRRIDGTAEAAKSSILDTLSIKHDEKGLFKSFQRKVPKNDKTPDSPLALQANPAAFSRSQSFQERT* >Brasy1G140100.1.p pacid=40057386 transcript=Brasy1G140100.1 locus=Brasy1G140100 ID=Brasy1G140100.1.v1.1 annot-version=v1.1 MSTERERLDEIGKKIKREPDGAAAAGGFVVASSASPVEHHVSRRLVLGGAPNTATPCAACKLLRRRCAHECPFAPYFSPHEPNKFSAVHKVFGASNVSKMLLEVPEAERGDAANSLVYEANLRLRDPVYGCMGAISVLQQQVSALEAELEAVRAEIFKHRYRQASSLMAGTDDDVHVHAAAASFMQAPAMPMPPVHAADDDAVSVAAAAGGQDVVAGIPGTPPTVYAATGQPQPSSSTAADDYGSLNTSEHDAYFG* >Brasy1G195200.1.p pacid=40057387 transcript=Brasy1G195200.1 locus=Brasy1G195200 ID=Brasy1G195200.1.v1.1 annot-version=v1.1 MHMPLRRETGRPVGPVTPPIDLAIGTHTQLAASHLPRSPAIKRFALGHTILLLEHIPSPTNKRNKKSLRMECIVEQRHVHEQILEAPGIDGAELLVELLDASLAAEEQSAATEPGRRSNQQLGLFPADDDGWHGSLLLEELSYSSIHAHLQEEEGCEDCGLDGILLQSGLDGCRCSASPAPYVNEPVEHWTEEMALRPFDGECVGEWYMDGMAMGLEWDDGASYYSFCYPSYGGEACAEQFYSSPLWE* >Brasy1G127100.1.p pacid=40057388 transcript=Brasy1G127100.1 locus=Brasy1G127100 ID=Brasy1G127100.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAQAQAQALAAAQEVAKAAAAAGVNVDTVGLSGLGGRPRSSAPPRTLVQRQAARSWRGGGSGVEEAGGGQIVARSRRISRLAGRFSSSSGYHVGLAAGEREKGDSRQADLTRSKPAAARSWRGAAGSGVEEAGGSNDERWDGRV* >Brasy1G401300.1.p pacid=40057389 transcript=Brasy1G401300.1 locus=Brasy1G401300 ID=Brasy1G401300.1.v1.1 annot-version=v1.1 MALSSTAEQKTAAEVVAALDLHRHPDGGFYLETFRDPSVALPKSALPSRYKVDRAVSSAIYFLLPAQEIARLHRIPCAETWHYYMGEPLTVFEVHDGGQVKMTVVGPDLQHGQRPQYTVPPNIWFGAFLTHDIETFTEDGSVFVKTLGRDPDLHYSFVGVTCAPAFQFEDDELATRDDMKALAPKAEAFINYLIPS* >Brasy1G155400.1.p pacid=40057390 transcript=Brasy1G155400.1 locus=Brasy1G155400 ID=Brasy1G155400.1.v1.1 annot-version=v1.1 MAAERRTSYRNRDRDRTALGEPGRYWRAPRSQAHGGGNFSVPLWEKKFCTDACAIPWGKLCETKRLMSLYKNIVDWKDSAAFEAFNDAKARFCALYHDQPCDIPLPDPNMFIDTVNPDEHVDPELVADLERSRRAVPKMDIAAADCWDSFIFTDKPVPATGWGDGETSNTAGQQCSVNWDNHEEQLIEVHCRQSSVNWDNYGPDNYASQPAQTFVQQSSGNWDMYVEQRGQTSSWGAPILPSTSNWDMNGESLHAWNGDHCWGPAAIQTDSDNHRDNVSDSQGRSYGHWRRRNNESGRTSSRNRDRGGPISSKVMKSKYQADEHNNSSNGWRHCRVRDNMHYSYEHPGYTNQSLAM* >Brasy1G094500.1.p pacid=40057391 transcript=Brasy1G094500.1 locus=Brasy1G094500 ID=Brasy1G094500.1.v1.1 annot-version=v1.1 MSSTTFDLQVDAAGGDHHIDDGDDDDDDDSTTIQQQIARSLWAVSSLTLVADVSTMLYQPPKGAVFANHGAAYYGILAAILAVVALEMATAYCLQQSSHGRRIIVLTFAKGLLLFAGVLLLVVLAVSGFALTVKGAP* >Brasy1G032500.1.p pacid=40057392 transcript=Brasy1G032500.1 locus=Brasy1G032500 ID=Brasy1G032500.1.v1.1 annot-version=v1.1 MSGGGGVLGAGSSYHRFVHSALEQTRLRTALAPHPSQEKFKCIKANDDSTVFGALSFSAPKVRLLRSLTIEKENSVQVLDFAAFSEPEYDLPIFCANAFTSPVQSIVVLDLNPLYDITVYKDYKEKYFRNLMPLIQKYSELLPWGGKITSESLRFFSPVVIWSIFEPTEQNHHVLYSALMDYYKVWLELADQAIKENNASKIAHNREAQHRYLTWRAEKDPGYPLLKKLIGERHAKDLVTEFLFEGVNSLGSKSFLDYFPEYARDDGTVNKKRSMIGKSFETRPWDADGEFIGGDDAG* >Brasy1G257400.1.p pacid=40057393 transcript=Brasy1G257400.1 locus=Brasy1G257400 ID=Brasy1G257400.1.v1.1 annot-version=v1.1 MALPALFWYYVNLQTCSLWMSSGVHVTALAGILFQTASFVSFMLVSYDYCIMYECLSITERRTTAGLGCLLCLSLVGSYKVATPYFTVFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNRKYTMFKRFQGTLQVAAVAFIMAYMRVDDRPDNYWFHVLVREWGQLCIFMYIGWNFRIPEASLNLPVTPLVKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVRTCHDNLCCSSQPLLVLVQNPSPKVSRTTIASGFS* >Brasy1G257400.2.p pacid=40057394 transcript=Brasy1G257400.2 locus=Brasy1G257400 ID=Brasy1G257400.2.v1.1 annot-version=v1.1 MALPALFWYYVNLQTCSLWMSSGVHVTALAGILFQTASFVSFMLVSYDYCIMYECLSITERRTTAGLGCLLCLSLVGSYKVATPYFTVFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNRKYTMFKFQGTLQVAAVAFIMAYMRVDDRPDNYWFHVLVREWGQLCIFMYIGWNFRIPEASLNLPVTPLVKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVRTCHDNLCCSSQPLLVLVQNPSPKVSRTTIASGFS* >Brasy1G257400.3.p pacid=40057395 transcript=Brasy1G257400.3 locus=Brasy1G257400 ID=Brasy1G257400.3.v1.1 annot-version=v1.1 MALPALFWYYVNLQTCSLWMSSGVHVTALAGILFQTASFVSFMLVSYDYCIMYECLSITERRTTAGLGCLLCLSLVGSYKVATPYFTVFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNRKYTMFKRFQGTLQVAAVAFIMAYMRVDDRPDNYWFHVLVREWGQLCIFMYIGWNFRIPEASLNLPVTPLVKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVPLLVLVQNPSPKVSRTTIASGFS* >Brasy1G460000.1.p pacid=40057396 transcript=Brasy1G460000.1 locus=Brasy1G460000 ID=Brasy1G460000.1.v1.1 annot-version=v1.1 MSTGPSAPVPETLSDAFAAAVLLSSNDKPDTLPPGRLSPISPLPPSSKHLTPSSSSGSFGSISRASAFALASAGFAPRRSHSSEIPLPSEGTPQGGRPGLRRTGSGPLIFTSGSSSFSSSATSPLTKALPTGNICPTGRFGKPLPSLAPPRSIRHNVLGSGTANYGHGSIMRSRSGGGIPVKEDAQVRRAMSSTDPEELKRVGNDQYEKGHFGEALRLHDRALAMCPDNAACRTNRADALTGLRRFGEAIKEYEEAVRIDPSYGRAHQGLVSLHIRLGHIEDAQKHLSLATQQPDLLELHKLQTVEKHLGRCLDSRKVGDWKSVLRECDAAIEAGAASSALLFASRAEALLRLNLLDEADMAIDSASKLDCSSSCTSYTKFCGFLANAYLYYAHAQVDMALRRFDRAVSSIDKAMEIDPKNAEVIAMHNNVKGVARARYLGNELFRSGQFSAACLAYGEGLKYEPVNPVLHSNRAACRFKQEQWEKCIADCNEALKIQPNYTKALLRRAVSYGKMERWAECVKDYEILRKVLPGDNDVAQAYFDAQDALKSYRGEEISNLKFGGEVKAITGMEHFQMATSLPGVSVVYFMTPSNERCCKISPFVNALRTRYPSVNFLKVDVTESTDVARAQNVRALPTFKIYRNGIRVKEMVCPSQQLLEYSVKHYGT* >Brasy1G099500.1.p pacid=40057397 transcript=Brasy1G099500.1 locus=Brasy1G099500 ID=Brasy1G099500.1.v1.1 annot-version=v1.1 MEHVARFFFGVSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGAGSVIEAIYVIIFLVFAERKSRLRMTGLLGLVTSIFTTVVLVSLLALHGQARKVFCGLAATVFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNNKGPGAGGKAVDEVEDAKKATAAMEMAETKVAVDESAAVDKVAAQV* >Brasy1G391000.1.p pacid=40057398 transcript=Brasy1G391000.1 locus=Brasy1G391000 ID=Brasy1G391000.1.v1.1 annot-version=v1.1 MNNFHVYEAIGRGKHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYAWYETSAHFWLVLEYCVGGDLKGLLEQDEKLPESAMHDLAYDLVKALLFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTTPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECFAGRPPFVGSEFTQLVKSILSDPTPLLPDNASRSFQNLINCLLMKDPAERLQWSELCEHNFWRSSMPMIPLPPQPAFDKMVGLSITPYLAERNGDKHSRQLTPPKTREYNGLRKKDENSGQGFTTPVKNVQSGKKNSAKPSCKADGGLKGVNVLRMSRIAKINLQRERDKENYRRAPTETYENEAEVKIENNDMELDFGENPEGDAPDDNDGSDNPASTADEKQMAQGADGNEENCMINQVDMLTDECSVKPDTILKTEQNCSEVLDVVATPPSFGMRKARAKITSGAATGSEPSNIFEAFWHPADLAVKPVMPSKKGDKATEMVPVLPFEALPAGDYIKLPREQMNAFNSQIIQSLSGTFQVSEKQNIIRYLEMLSMNSDAANIITNGPIMSLLIKMLRLSKTSVLRVQVASLMGLLIRYSTILDAELASSGIVNALSDGLRDRHDKLRRSCMATLGELLFYISTQSDEDNKESNAQESPLKDNKSAASWQVPSAVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVIGHLCYIYKATGKQENTRHIALSCLSRLARFSPSCIHLILEKLSFKDMACTLIKGNPREQQTNLNLLNSALVNSHNIANMNRYILSLTEEKQLVPGLISLIEQGTDVLRGKALLFVALLSKNSRRWLPHFFCNAKLLSAVDRLGKEKVGFIHQCMEAFVQLVASLVPGILETVSSDIQQVMGGKRHGPVTALTGRSHPKSTIHLFPVILHLLGSVSFNHRVVTSHVLLQLANLMKIMETPFQARDDFQMTLLRVLEAATEEPSVILKEHKIFTTRFLPSLSILYKGNKDCDARFLCLKILSDVIIVIFSDSSLTVDEQTVADLKTISHKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVSDILNEATVSQCFEFLLGDLSNANVSNVKLCFALASAPDMDTNILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSNRSIALTKEPALLVDSAFSMSIAVDQQSCIMDICDLGGSMGIFLEVVGNSDPQISDLASDCLVLLLKAAPREATMGLLTNLPKLSAVLDALKNDACLRLTRLLYGLAFSCRQYLAQGMILSISVPALMRVEVLVLAFKGAHDSRLVDAASYLGAELQRLPRCG* >Brasy1G077600.1.p pacid=40057399 transcript=Brasy1G077600.1 locus=Brasy1G077600 ID=Brasy1G077600.1.v1.1 annot-version=v1.1 MSPAYLVIPALRRAALISRWAPAALFSSGIVAGDKPVLVRDFVRSALYDPSHGYFSKRSGPVGVLDSSIRFHQLEGRSAYMKHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSIPLKIYEIGGGSGTCAKCVLDYMMLNAPPKVYNNMKYISVEISSSLAEKQLEIVGEVHSHLSKFTVEHRDATDRAGWGLKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNSSSQVSEVYKPLQDPLVSRCIEIVNMNEQNPSLREKLSFAAKGIFSKVFPKPRRAWLPTGCLKLLDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHRNYLDAQGDADIFFPTDFWLLEQIDHHCSGFSKEQKNRGAFKPVKSRRTIILDSAAFMEEFGLPLKTKTKDGYNPLLDDFRNTKFYLSVPTHNRK* >Brasy1G077600.2.p pacid=40057400 transcript=Brasy1G077600.2 locus=Brasy1G077600 ID=Brasy1G077600.2.v1.1 annot-version=v1.1 MSPAYLVIPALRRAALISRWAPAALFSSGIVAGDKPVLVRDFVRSALYDPSHGYFSKRSGPVGVLDSSIRFHQLEGRSAYMKHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSIPLKIYEIGGGSGTCAKCVLDYMMLNAPPKVYNNMKYISVEISSSLAEKQLEIVGEVHSHLSKFTVEHRDATDRAGWGLKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNSSSQVSEVYKPLQDPLVSRCIEIVNMNEQNPSLREKLSFAAKGIFSKVFPKPRRAWLPTGCLKLLDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHRNYLDAQVCRATIFVYSCIRS* >Brasy1G350800.1.p pacid=40057401 transcript=Brasy1G350800.1 locus=Brasy1G350800 ID=Brasy1G350800.1.v1.1 annot-version=v1.1 MLFLAGKKRTGNYKSDVPRARPRQTRRLRSPTQRQRRLIQIQREASPTSARHRPPLPSADQTPGHKPVKTQARRPHGHRADLGRTALSLYSPESPMAVDTTPAAPSHPEGGDGGGEAVRPLLSGAPAEEEGEEDLDVRYAPYARRDAYGVMGRGPLSPAQVARLALAAAFLLPLRLVAGVFLVVAYYLVCRICTLFVDGLEEGRPRLQGWRREAVLRAGRGLSRAMLFVFGFYWIPMSDRSVPNAEDVHQDQSAELERPGAIVSNHVSYVDVLYHMSASSPSFVAKNSVSKLPLIGLISKCLGCIFVQRESKGSDSKGVSGAVTERVQEVSQDNNSPMVLLFPG* >Brasy1G486300.1.p pacid=40057402 transcript=Brasy1G486300.1 locus=Brasy1G486300 ID=Brasy1G486300.1.v1.1 annot-version=v1.1 MPPIQDDSAIEIGTTAPDQTLLLPHKGRAVDQVDPLKQPECQQTRREKDQAQKRKNFVRRLGTSAPVPDTDLPAPVPIPAAGPRRRRSPPSFPALTCSPQSLPAAVKLEHATGRRRSPPSQALPNPRQRPLRSSTQRAAAPVRPQAPSNSSIAAAFPTARPHPPTPSPDSDQRRSSGEWSRAAEQGAEQKAAAMREVSRRRAAAQQVEEEQTEKDLESATKMGSIALGEHEEEEADGVVEDEDARGEEERAGGAGSGGGGRG* >Brasy1G537300.1.p pacid=40057403 transcript=Brasy1G537300.1 locus=Brasy1G537300 ID=Brasy1G537300.1.v1.1 annot-version=v1.1 MAANLLAFDGRNQGREELSDNASMTTTTPTTKGCRSSVRLPVMMKRMVVAHNLWSGSPQACTLYLQTFPFKIRHHPIYIMTNPLKQHLSLNHLSAETLSLINRVKSILNLVLVDLV* >Brasy1G327900.1.p pacid=40057404 transcript=Brasy1G327900.1 locus=Brasy1G327900 ID=Brasy1G327900.1.v1.1 annot-version=v1.1 MAGAVSALLLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDAEVHSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRLIDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEATILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDIIGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLTRFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIEITVKARSQFKERSTATNVEIEVPVPDDSTNPNIRTSMGSAAYAPERDALVWKIKSFPGGKEYMCRAEFSLPSITSEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKVIEKSGYQALPWVRYITMAGEYELRLI* >Brasy1G374100.1.p pacid=40057405 transcript=Brasy1G374100.1 locus=Brasy1G374100 ID=Brasy1G374100.1.v1.1 annot-version=v1.1 MFPTTASVNPDQKNCYVIGNRRVSMLIKRTVMLYSSYVNLQYIVLAPSSFGRSTIWREPRDLQAGAKAFIGSSAASLPSRNHQSKRCCRYKRNQVSISSLLKNCVSAFKKSVYLKCRRGNTTKSCNQYGGNGGGAGPRVDKEPRL* >Brasy1G270800.1.p pacid=40057406 transcript=Brasy1G270800.1 locus=Brasy1G270800 ID=Brasy1G270800.1.v1.1 annot-version=v1.1 MAPALKVMGHLAQNGGIASYAVYAAAPCDACCGGSRHRKAETDGDDDDDDYDCAPAA* >Brasy1G068400.1.p pacid=40057407 transcript=Brasy1G068400.1 locus=Brasy1G068400 ID=Brasy1G068400.1.v1.1 annot-version=v1.1 MELLEWVDIVKTARFKELPPNDPDWYYIRAASIARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGITDVDPKGGRIITSQGRRDLDQVAGTVAPEV* >Brasy1G387200.1.p pacid=40057408 transcript=Brasy1G387200.1 locus=Brasy1G387200 ID=Brasy1G387200.1.v1.1 annot-version=v1.1 MARALLALAAILVLVAASWPAVSLAHRAGKKQKRQQPPILARGLSLDFYSQSCPRAESIVRDLIKDAVRRDIGLAAGILRLHFHDCFVQGCDASVLLVGSATGPQDQMGEQRAPPNLRLRPSAIKAISDIRDRLEQECRAAVVSCSDILALAARDSVVVSGGPDYKVPLGRRDSPRFATMQDVLAGLPAPSSTVPALMAVLNKINLDATDLVAISGAHTVGLSPCSSFEDRLYPRQDPNMNPPFAARLRQICPAKGVNRSTVLDVSTPNVFDNRYYVNLVNREGLFVSDQDLFTNPATRPIVTRFAQSQRAFFDQFGVSVAKMGQINVLTGSRGQVRRNCSVRNPGTV* >Brasy1G256600.1.p pacid=40057409 transcript=Brasy1G256600.1 locus=Brasy1G256600 ID=Brasy1G256600.1.v1.1 annot-version=v1.1 MAAADPPTQPPAPHPSVAPLLFLLGKWRGEGEGTFPTINPFRYGEEILFSHNPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVIAQSTGLVEVQKGSYDAENKTVTLQSELVGNASKVKQITRAFQMVDGELSYVVQMATITNSLQPHLKALLKRI* >Brasy1G262400.1.p pacid=40057410 transcript=Brasy1G262400.1 locus=Brasy1G262400 ID=Brasy1G262400.1.v1.1 annot-version=v1.1 MAVAYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLDTRSTIGVEFATKTVQVDGKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHITFENAERWLAELRDHTDANIVVMLVGNKADLRHLRAVPPEEARAFAERHRTFSMETSALESTNVDGAFTEVLGEIYRVVSKKALDIGDDPAAPPRGKTINVGAARDEVSPVNTGGCCSA* >Brasy1G068000.1.p pacid=40057411 transcript=Brasy1G068000.1 locus=Brasy1G068000 ID=Brasy1G068000.1.v1.1 annot-version=v1.1 MSRHSLIQNIYATDVDEAREHIFQCVRRMPHKAIYFDGWNGFGASVVLRSIAEVLPSRRTTPELCFDRVIYIDCSEWKNRRAIQRAIAEELKLDSSVVGILDRQDEDDDFGGVDTGSWYEIDSVGQVIYQALKNSKFMMIFINGSDDEVDMGAFGIPPFTKFSNNTIIWTFKRSFLTMRRHQYEIEDKLRYTTFFLYSILVTSSQFQAILGEEAATIVARNPCMLGIDPTMVTECCLHKLFLHCNFHPATRFDWVAHASNYWICDRIMQWDIARDIIHALHREINWKCDPSLLDDVLQKFMKQLSPPFLVVKDDDVYEEGTYHWISVTSRNTKVCGLQTIPADTSSFFLAFEGPYYPSTLQNGLFEHSSKLGVLVLYCSVFNFASPPFLKCHSLRFLGVDRCEDGNTDEGEDHTDWTCLYRLQVLDLRYTDWNEILSQERMVLMTDIRELNIEGVDCWQYAAHLQGCLPNLQRLRIIKPRCKRQISKDVDNSFVDKTRMEILDLSGNSDMKILPRSLAKAGSLQMLVLDDCDELEKVVLPDGLPPSLNTFSFDGYGPASQWTQTIELPPNHCRLPTAVDNEKDISVSKISVSKISLAGCTQLENLFLRGLPNLVELDLSGTAIKVLDFETTVVHVPRLKRLFLIGCVHLHAIKPWCKRGNSEMPPNLELLCIDTRAGIGCSRPSINKNKSFSLQVHAVVADARLARSLEFLIDFMGDCEDVYFNIHINSSPMYAGVSQLEASCKGKIGASDQVSMQQLVPAGCYDDVLSMVGDAPISAPMQTFTQPPTVMLDRHIEIAQGSRCVESELDGCLGQLIDYFTESLHVHDVSVSAIMPELHWGRALKHCCVERCPKLDPVFPSYSAFDSLETLWVSDLLTARWICSKPISRYRSLFGNLQHLHVSSCPSLQFGLPAMFSFPRLETLHIIHCGDLKHVFILVRSAQRK* >Brasy1G068000.2.p pacid=40057412 transcript=Brasy1G068000.2 locus=Brasy1G068000 ID=Brasy1G068000.2.v1.1 annot-version=v1.1 MSRHSLIQNIYATDVDEAREHIFQCVRRMPHKAIYFDGWNGFGASVVLRSIAEVLPSRRTTPELCFDRVIYIDCSEWKNRRAIQRAIAEELKLDSSVVGILDRQDEDDDFGGVDTGSWYEIDSVGQVIYQALKNSKFMMIFINGSDDEVDMGAFGIPPFTKFSNNTIIWTFKRSFLTMRRHQYEIEDKLRYTTFFLYSILVTSSQFQAILGEEAATIVARNPCMLGIDPTMVTECCLHKLFLHCNFHPATRFDWVAHASNYWICDRIMQWDIARDIIHALHREINWKCDPSLLDDVLQKFMKQLSPPFLVVKDDDVYEEGTYHWISVTSRNTKVCGLQTIPADTSSFFLAFEGPYYPSTLQNGLFEHSSKLGVLVLYCSVFNFASPPFLKCHSLRFLGVDRCEDGNTDEGEDHTDWTCLYRLQVLDLRYTDWNEILSQERMVLMTDIRELNIEGVDCWQYAAHLQGCLPNLQRLRIIKPRCKRQISKDVDNSFVDKTRMEILDLSGNSDMKILPRSLAKAGSLQMLVLDDCDELEKVVLPDGLPPSLNTFSFDGYGPASQWTQTIELPPNHCRLPTAVDNEKDISVSKISVSKISLAGCTQLENLFLRGLPNLVELDLSGTAIKVLDFETTVVHVPRLKRLFLIGCVHLHAIKPWCKRGNSEMPPNLELLCIDTRAGIGCSRPSINKNKSFSLQVHAVVADARLARSLEFLIDFMGDCEDVYFNIHINSSPMYAGVSQLEASCKGKIGASDQVSMQQLVPAGCYDDVLSMVGDAPISAPMQTFTQPPTVMLDRHIEIAQGSRCVESELDGCLGQLIDYFTESLHVHDVSVSAIMPELHWGRALKHCCVERCPKLDPVFPSYSAFDSLETLWVSDLLTARWICSKPISRYRSLFGNLQHLHVSSCPSLQFGLPAMFSFPRLETLHIIHCGDLKHVFILVRSAQRK* >Brasy1G068000.3.p pacid=40057413 transcript=Brasy1G068000.3 locus=Brasy1G068000 ID=Brasy1G068000.3.v1.1 annot-version=v1.1 MSRHSLNIYATDVDEAREHIFQCVRRMPHKAIYFDGWNGFGASVVLRSIAEVLPSRRTTPELCFDRVIYIDCSEWKNRRAIQRAIAEELKLDSSVVGILDRQDEDDDFGGVDTGSWYEIDSVGQVIYQALKNSKFMMIFINGSDDEVDMGAFGIPPFTKFSNNTIIWTFKRSFLTMRRHQYEIEDKLRYTTFFLYSILVTSSQFQAILGEEAATIVARNPCMLGIDPTMVTECCLHKLFLHCNFHPATRFDWVAHASNYWICDRIMQWDIARDIIHALHREINWKCDPSLLDDVLQKFMKQLSPPFLVVKDDDVYEEGTYHWISVTSRNTKVCGLQTIPADTSSFFLAFEGPYYPSTLQNGLFEHSSKLGVLVLYCSVFNFASPPFLKCHSLRFLGVDRCEDGNTDEGEDHTDWTCLYRLQVLDLRYTDWNEILSQERMVLMTDIRELNIEGVDCWQYAAHLQGCLPNLQRLRIIKPRCKRQISKDVDNSFVDKTRMEILDLSGNSDMKILPRSLAKAGSLQMLVLDDCDELEKVVLPDGLPPSLNTFSFDGYGPASQWTQTIELPPNHCRLPTAVDNEKDISVSKISVSKISLAGCTQLENLFLRGLPNLVELDLSGTAIKVLDFETTVVHVPRLKRLFLIGCVHLHAIKPWCKRGNSEMPPNLELLCIDTRAGIGCSRPSINKNKSFSLQVHAVVADARLARSLEFLIDFMGDCEDVYFNIHINSSPMYAGVSQLEASCKGKIGASDQVSMQQLVPAGCYDDVLSMVGDAPISAPMQTFTQPPTVMLDRHIEIAQGSRCVESELDGCLGQLIDYFTESLHVHDVSVSAIMPELHWGRALKHCCVERCPKLDPVFPSYSAFDSLETLWVSDLLTARWICSKPISRYRSLFGNLQHLHVSSCPSLQFGLPAMFSFPRLETLHIIHCGDLKHVFILVRSAQRK* >Brasy1G575000.1.p pacid=40057414 transcript=Brasy1G575000.1 locus=Brasy1G575000 ID=Brasy1G575000.1.v1.1 annot-version=v1.1 MAMEEKDTNIGQLTLVNVYPGLGQTNESPQLTYGGYGYPPHDYCPLHLPSSRMEDTDTGLLMTTAPLHLHNSRMADTDTGLLMTTAPLHLHSSRMEDTDTGLLMSLYTAAAVPWRGSKMLPVDSAAGVADMVLVTAMAMRTHHGKLKSNEACMMGQTSSQVRSVPSPPSQYWWHDDGRGGFQEHHIPGHPS* >Brasy1G160100.1.p pacid=40057415 transcript=Brasy1G160100.1 locus=Brasy1G160100 ID=Brasy1G160100.1.v1.1 annot-version=v1.1 MAFLKTLNPLFRRNLAPFPNPKPLLSLNPLLPPSLTAACTAPAPFGAATHPHVLVRSGGPLFLSSAPWMLSQPASPLTTAAAAFRAKLHRARTIAGGGAQAVVDAVRWEPRRVYSSEAAGAGGGERFLNLPNLVSIGRMVSGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLICSVAVAMVENELLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVEPLFISKVNTVFQLMLVAGALLQPEFGTVETQNYITYLSLLVATTTVASTVGYGVKYYQIRPRT* >Brasy1G258800.1.p pacid=40057416 transcript=Brasy1G258800.1 locus=Brasy1G258800 ID=Brasy1G258800.1.v1.1 annot-version=v1.1 MAPIGVGSSLPDGQLAWFDENDQLQQVSIHALAAGKKVILFGVPGAFTPTCSNQHVPGFISQAEQLKAKGVDEILLVSVNDPFVMKAWAKTYPENKHVKFLADGAGKYTNALGLELDLTEKGLGLRSRRFALLADDLKVTVANIEEGGQFTVSGAEEILKAL* >Brasy1G307600.1.p pacid=40057417 transcript=Brasy1G307600.1 locus=Brasy1G307600 ID=Brasy1G307600.1.v1.1 annot-version=v1.1 MAICTSLIGGPAQLFFFSSGLSLTSLAVSHPCSVLFPHFRAERSRGRRGILLVAPAWWLRVGAGAGEGEVLLVALGGDSARQPFDGLHDGADERAVPVLGVILLVSRSMDCTTARTSGPATGRQAAPGIVSGSRLRAGARVFSDLQALVGNLSSSLDQEERSKCSYSILLSGNDFWARGRQSSSARRRRSLRARSCGNGDYHFVGGCDFDLFDPFFGFKSTGWLVLDLFLCSWVVLPHCFWN* >Brasy1G118100.1.p pacid=40057418 transcript=Brasy1G118100.1 locus=Brasy1G118100 ID=Brasy1G118100.1.v1.1 annot-version=v1.1 MLRPSPLMAAAPAAPVRVSMRMFHGDVFLGEAEVFSIKPGPEGTLPFPSNEIRISHLSPASERCPPLAILQTIAPFSVRCKLQAKPLPPHPSLHRLYITCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRAGLYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEGRIEMLSRRMDVEDDPVRVAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIIGTQQEEVQPMPGVQERLVRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEIWRLLDPEANLISLNNLSERVVCVKAGSKKSLQHVFRDGGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYAPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLKKVFELLYENELLDLPYAPDVGDYLVCEDTNFVPSNKDPPPIPEGMSGAEVEKRLNGRAYQGDQRQISSSTRSADDVRVPIRGTLSGSNVQPNGGSLAITPSTFVTVLQEIGRLCNSKVEFRSTVSTSKITQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALRNLESNYLSFVAPIGGVLNKDTSKSPRGGNGFLEDVMDSDGDISMQEPSGSTSEQKDHSNNVDRLSSVISLIRELCLEDQHVVFRDQIQNPGSATNEEYHFQVELAGQILGNGVGVNKDFAKLQAAEEALRFLRTTSDPQIKKHLRPIRCNS* >Brasy1G016200.1.p pacid=40057419 transcript=Brasy1G016200.1 locus=Brasy1G016200 ID=Brasy1G016200.1.v1.1 annot-version=v1.1 MVAYSPPAMSPAGRRASYGPPSPTLVRAMTAHISPSPSTGGAAMAGRRASYGAASSSSTATSPHLLQQLMMLAGWGSPSSSPRLPPWLQSFPQQSAHQPPFSPGTGPARAAGGRGMMPPFVPRGMPPGASSGRGGNGGAVAAQSATGGGNGSPRPRGGGAGRGKPGNGRPLQIAGAGAGPGKSNGVPPVAGGGNGSAAVQVLGPVLAMPTTAGAIAKGKKKAGAGAAAKSPNSRVRKPRAPKGSSSAPARPSKKNAAAASVSVSGAGEPPPPLPPPPSTTTRNRKRKTPAAATASASPSSSLVTRRTNPSSTAKKHTILTWLIDAGVLKEREQLFYVPGPSDSGENEKAAAVVSGFVTKSGVHCDCCRTTMPLPSFSSHSKTTMSSKPQQQNKSPPWEKLVLMSGKPLLRCVQEAWEKETVKSFQEQEKARTAMAEQQEREKKSGAAAKKKKPPQALARKKGGAAAREGINGGGGRDRSDDACGVCADGGQLLCCDSCPATFHPECLGVMVPDGSWVCHYCCCKLCLANGGGSLDTCHQCSRKYHQHCRGSIGPYCSKTCKKMAEKLSGMVGAMNTSDQDGFSWSLLKIQKDTEALECNAKLAVALGVLDECFNPVRDRRTGIDLLHQAVYSLGSEFKRVSYEGFYTMVLEKDAEIVSVALLRFHGGKLAEMPFAGTLPAHQRRGMMRRLVRAVEQVAAAVGVERLVIPAVAGLVAMWQSSFGFAAMEMEPRLREETKLLSLVVVTGTTLLHKPISNGRLPPAPEVVVVGDEEEEEAAAEGMTEDEVAFMEMSWPLCSFTDLVDGIASAAPGPRPAFCGPLALAVHGPPGGVGGSLGTASPGSSRRGCGGGGNVFPQPSYASGPRGS* >Brasy1G054200.1.p pacid=40057420 transcript=Brasy1G054200.1 locus=Brasy1G054200 ID=Brasy1G054200.1.v1.1 annot-version=v1.1 MAAAAAAAAMKLHGMALSQNVLRVATVLNEKGIDFEIVQVSLLTGAHKHPDFLALNPFGQIPALQDGDEVLYESRAINRYIAEKYRSSGTDLLPVAPSAKMEVWLEVESKHFYPAAQPVVYELLIKPMLGLPPDPAVVDKHSAELAKVLDVYEAHLADNKYLAGEQFTLADANHMCYLFSLCKTAQAGLVESRPRVKAWWDEISARPAWVKTAAAIPFPPGGRLV* >Brasy1G420700.1.p pacid=40057421 transcript=Brasy1G420700.1 locus=Brasy1G420700 ID=Brasy1G420700.1.v1.1 annot-version=v1.1 MVFDAGSGGGPAAKAPHPLRVILTPAFARQVAVGRWFTVFASFAILTASGATYIFGIYSKTLKSSLGYDQQTLNTISFFKDLGANLGVFSGLINEVTPPWVVLAIGAAMNLVGYLMVYLAVDGRTSRPPVWLVCLYIFVGANSQSFANTGALVTCVKNFPESRGIVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAISVVFVHTIRIMPYPRRRGGQETSGDPFFCFLYISIALACYLLVMIVVQKQFAFSHGAYAIAASALLIVLFLPLCVVIKQEYKIHRERELDRANEPPPTITVAAAADDPAAQVQMSTTNGSDSKREQTQQQQIQASSSSSSSCMGSLGGCVKNMFRPPARGEDYSILQALVSIDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLISIWNYAGRVTSGFASEILLERYKVPRTLMLTGVLLLACVGHVLIALGVPQSLYAASVVIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVRVAGRMYDAEADRQPGGGFAAGGRDKVCLGVECFKRSFLIITAATVFGALVSLVLVWRTWAFYKGDIYARFRDGGDRSLHDGRLPVEQRRPAPGKEEEESTPVKATKG* >Brasy1G234100.1.p pacid=40057422 transcript=Brasy1G234100.1 locus=Brasy1G234100 ID=Brasy1G234100.1.v1.1 annot-version=v1.1 MAASCRAAAARILVATTAGNCGEAAAACRGRSAGSPEECGLYLGQKMYCHGRDSGEMRRRHGEKEQHKRRKKRVGRSIRVEDNGSVSLKWHWWVNISNLG* >Brasy1G024400.1.p pacid=40057423 transcript=Brasy1G024400.1 locus=Brasy1G024400 ID=Brasy1G024400.1.v1.1 annot-version=v1.1 MAFADWPSLPSELLSRIADCFLATSDIDYYTDFRAVCHSWRDATDDPKSTPDPRFRPRHWVTVDRVFWTGTCLFLNTATGRFLHKELPVLCNYYVTGTTTDGLLVLMAKTSPHAVCVLNPFTGYLIPFRVTLPDEIVLEVASLSSGSSPSLALLCNRSLVAYVDDPDSLFRSLPRKVYKADPCSECFAMYEDSHACPLTRLADRGIYANGDIAVAQMVFSLARYFNADPVEMSDEEDSVMSEDEAVWNFWIGEDNRFFIQESAGEVLIIIKLKDSMEVFKVDTERYIVEHLENIGNRAIFLGDYKCMVVAADKFPSVCANCIYYVKRPDFSNDIIKYNLVSGRGEIISKGRSDASGPSTIIELLLYKSVVFHSL* >Brasy1G557500.1.p pacid=40057424 transcript=Brasy1G557500.1 locus=Brasy1G557500 ID=Brasy1G557500.1.v1.1 annot-version=v1.1 METKLEVSMSSLTDDLIVEILSRLPVKSFCRFQCVCKSWLAFSSNPHYRQKLPRTPVGFLYQKYDKDAFHLARLPSSDREIDTILGFVCPECPLDLVDCSNDLLLCYPPDAKPYITVISDAFVCNPATQEWMALPNTVLGPAVIENNLILCFDPLWSQHFYVFNFQRTRTSLDGYSTEVKVFFSEDSTWSCCLWETEDIFCGDSCFINGVLYVRHFWDHELLALNAPDVCTQWLNDRIIQLPGFPYVPEMFDCFDECICPSSGVLCYAQQELDGCMLRIWSLEDPDKWVVKHRLSMVNVFGRDMSLRANEEGLWYFDYDIQAFDLERELVILVDRIEDKVISFSISTGKGSQIQKIPSNSKIYQRRFYVPYYGKIPALFRREEDRLFRFGALWGSV* >Brasy1G489500.1.p pacid=40057425 transcript=Brasy1G489500.1 locus=Brasy1G489500 ID=Brasy1G489500.1.v1.1 annot-version=v1.1 MEEVVVLIVGAGPAGLATAACLSQFSIPYIIVERENCSASLWRNRAYDRLKLHLAKGFCELPHMSYPVDAPTYIPKNQFVKYLDDYIERFNIQPKYLNVVESSTYDIDGKFWSIMVRDMTKCTIVNYMAKYLVVASGENSAAKIPMFPGQETFPGVAIHSSSYKSGGSYSGRNVLVIGSGNSGMEIAYDLATHGANTSLVIRSPIHVLTKELIRLGMTLALHLPLNMVDHLLVVMADFVFGDLSKHGIMRPKKGPLVLKSETGRSAVIDVGTVGLIKKGTIKVQGRVTKIKGKTIEFQGGNEASFDAIVFATGYKSTATMWLKNCESMLNSDGLPDKEFPNHWKGENGLYCAGLARRGLAGIAIDAKNIANDIKSNLDSMSLSG* >Brasy1G146300.1.p pacid=40057426 transcript=Brasy1G146300.1 locus=Brasy1G146300 ID=Brasy1G146300.1.v1.1 annot-version=v1.1 MPPSATTARFLISVAALSFILLPFPGTLAASAAAEPCAAAAESDAASGEVPLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL* >Brasy1G581500.1.p pacid=40057427 transcript=Brasy1G581500.1 locus=Brasy1G581500 ID=Brasy1G581500.1.v1.1 annot-version=v1.1 MPHSCGAHCQFCTPVSSNFVTPNLKELERLNCLSSFELFSCMPNIRSGGSDIKLVSWRYPHFPRVDVSFVCKD* >Brasy1G221800.1.p pacid=40057428 transcript=Brasy1G221800.1 locus=Brasy1G221800 ID=Brasy1G221800.1.v1.1 annot-version=v1.1 MPPIPRRLAPPRSPASTLLPSLTAASSQPHLREIHARLLVSGRLALHKDHAAFLTSLVSSNHLSYARRLLPQRPASLIVHNALLRALARGPRPDAAFAAFRELPLAPDHYSFTFLVRAATSLAAAASAAPVVPTTEVGVSLLAGSVHAAALRRGHAADPHVQSGVVSMYATVGDVGAMRAAFAEILNPDVVCVTAMLGALAVGGDVDTARELFDGMPERDHVAWNAMIAGYVHVGRSWEALRLFDEMQNAGAAVGEATLVSVLTACAQIGALERGMWVHSYVRSRGIRVSVTLGTALMDMYSKCGIVETAMEVFETMSERNVYTWTSALSCLAMNGMGKECLELFKRMEGAGVEPNGVTFVSVLRGCSMAGLVGEGRACFDSMKDKHGVEPWLEHYGCMVDLYGRAGRLDDAVDFINAMPVEPHDGVWGALLNASRIHNNIELGKHAMDKLTAIESKNDAAHVLLSNMYAETQNWKGVSKVRDMMKAKGVRKVPGCSAIEVDGKVHEFFVEDKSHPRYNEIMTMLAEMNHRLRLQG* >Brasy1G447700.1.p pacid=40057429 transcript=Brasy1G447700.1 locus=Brasy1G447700 ID=Brasy1G447700.1.v1.1 annot-version=v1.1 MPTPHPKLRPSPSGSALDLASRVTTRVGREREVAGGGGEARELGGGGQWRRWQRRGAVEEGAAGMRRRRGGGGEGRGGGGEEAGRRRGGGGRDEAEAGRRRGGARRGGGGGDEAGRGEAGRGEAEAGRRRGGGGGDEAEAGRRRRRRAAGMRRRRGGGGEGRIRPWRRRIRPGRRRRRRRRRRGGGGREKERERGPARARE* >Brasy1G485000.1.p pacid=40057430 transcript=Brasy1G485000.1 locus=Brasy1G485000 ID=Brasy1G485000.1.v1.1 annot-version=v1.1 MVMDTTEPDYWLNWRFLLCATWVYSCVALAFFLIWKYEGPGSPACNDEGVDREEARPPRIGPGVVYLDDCWKPCLEQIHPGWLLAFRLVSFFSLASLLTYDVIVDGWTIFLYYTQWTFLLVTLYFGLGSVLSVYGCYQLACKTDKSDADHGSYVIAPTGESRYDDSIKRSFSSKTHDGREIAGFWGYLFQIMFQTNAGAVIITDLVFWFILYPFLAYNQYDMNFLLIGTHSINVVFIIGDTALNSLRFPWFRIAYFMLWTGIFVTIQWIVHANVSIWWPYPFLDLTFPRAPVWYLVVALLHFPCYALFALVLRIKQSLLESWFPHSYTYVK* >Brasy1G330400.1.p pacid=40057431 transcript=Brasy1G330400.1 locus=Brasy1G330400 ID=Brasy1G330400.1.v1.1 annot-version=v1.1 MNRPVPALPRSPGPNPAYSASEAGKKPAPSSTTAGKGLIAPVPASGSSAHQLPYYNKPGEAPSPSAGQTTGPAKSESTHVYTLPTCPSFMLTDLYIGRIIINLCMISKPKR* >Brasy1G206300.1.p pacid=40057432 transcript=Brasy1G206300.1 locus=Brasy1G206300 ID=Brasy1G206300.1.v1.1 annot-version=v1.1 MSRLAREANARAGEDIGGNRLLLPPKKRAASDHSTDGGVSASLIPPKKKRWMDSEGASSSPTLLGVPEVQTQHDRTSNSNAITVASTSSEQQPQEKLMQDAIPSVGPPAVEHLFMEAVRRRRRLAPAGNTNDGMAEAGMKKANENKNRKESKPAAQDEKHTRPHAGMPRRLRERLMSTHGATEPRFVYWKELHMSDVDRNQNRLLFSCKPEIIFAHRITEIFTAREMRYLLRNVPTVERDANGRPVLNQKGKPKRNDDAGLTVSAFDGRGGQYRIKLRYLTSNGGYRFIGQQWRAFLDANNLHPEQEKLLSRDVRVEMWAFRSRQLPELPPLQPADAKEQKCAELEDVYDDLEQLRDEAHPDGELGIAFVLYEGGRYVGEELNNCEEERRNICRRSKKNRIRRTKRALPVHETEREASPEAVQEEEERPADARPADQGQAMADGMTLVETASVLAAGDQDMANPLMGLLMLTCFDLDQKTDK* >Brasy1G413200.1.p pacid=40057433 transcript=Brasy1G413200.1 locus=Brasy1G413200 ID=Brasy1G413200.1.v1.1 annot-version=v1.1 MAASRFHIACALLLIGAVLLGQDGKGMEAVACPQYCLEVEYTTCPSSGPEKLPARCNCCMAPKGCTLHLSDGTKQTCR* >Brasy1G408400.1.p pacid=40057434 transcript=Brasy1G408400.1 locus=Brasy1G408400 ID=Brasy1G408400.1.v1.1 annot-version=v1.1 MELSLVSAKPSPSPRAAASALLFAPLKPFPLLRFPPRRTAPFARLRSQRFQFRRAAGSGDGEGESDTPAQGEEVFGGRREEVFGGRRELTGVQPLVESLPPAARTAAELAVAAAAVAAGYGIGLRLGGGSRAAAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLETSEVEAIASKYGVSTQDAAFKAELCDLYASFLYSVLPPGDEDLKGNEVEVIIKFKSALGLDDVDAANMHMEIGRRLYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASAFLLPWKRLFGITDSQIDIAMRDNAKNLYTSQLKSIGRDLDIGTLIEVRRAQLAYKLSDEIAADMFREHAKKLIQENISSALDILKSRTKAANSPTQVIEEVKTVLAFNSLLTVLSKHPQGDRFVCGLGPISLAGELDHDRRAGDLKILYRAYATEVLSDGPLDNEKLIPLNELRNIFGLGKREAEAIISDVKSQVYRKRLAKCFNTELAAAPSKASFLQNLCEELEFDPELASKMHEDIYRQKLQQFVADGELSKDETEALMAFQVRLCIPQETVDAAHTDICGQLFEKVVKEAIVSVDGYNADRRDAVRKAAQGLNLKKEAAMTIFSKAVRKLFLNYIQRAKAAGNRIEQAKELKKLISFNTVVVSELLADIKGEPTATAEPATSSTTSEPETTESEGEEDYEWESLETLKKTRPDKELKEKLDKSSQKEITVKDDLPLRDRAELYQTYLMFCITGETTNVSFGTAISTKKDNSEYLMLKQLGNILGLTRKEAQDVHIKLAEKAFVQQAEVVLADGKLTESKADQLAKIQKQVGLPDEHAQKIIKSITTTKLSSAIEASIARGQIGIQQVRGLKEANFQLDSLIAEPLRETIYKKSAEEIFSSGTGEFDEEEVYVKMPADLIISAEKAKSIVQDIAKVRLENALVQAVALLRQKKKDGVISSLNDLLACDAAVPASKPLSWPSPGELDDLYCLYMKSIPKPEKLSRLQYLLDISAEKAGQLRDAASAGTLPVASQEEEELAF* >Brasy1G413000.1.p pacid=40057435 transcript=Brasy1G413000.1 locus=Brasy1G413000 ID=Brasy1G413000.1.v1.1 annot-version=v1.1 MASTTSTTTPRHAWLKGLGTKLHACASRLRRHSFFFFFPAATAPRSVVLLPALAVALLVCGTVLSRVVVFFLPLVVSTSVCCAAVCLLVADASEEPEEAKEVVLRCGGDGRAQPEVGLLEVYGGANASKYGGRRDVVAGCFLLRRPGGGWTKRGVHDHEDGEAVVFAGRVVAAGSGDELRDGGVTALEEELVALRVDRLAEGVWDRYFGGCSRWNCVTADESEEREASRS* >Brasy1G101500.1.p pacid=40057436 transcript=Brasy1G101500.1 locus=Brasy1G101500 ID=Brasy1G101500.1.v1.1 annot-version=v1.1 MARNFATTTAALLLVALVSLVSSCAAAQIKTSATQWGLHLPLPSGVTGAESLAFDAKGAGPYTGVSDGRVLKWGGSAAGWTTFAHNDNYRKLPLCVWSVVPSEETESLCGRLLGLAFHESSGNLYIADAYKGLMRVGPDGGEAEVLATGADGTAFNFVNGIDVDQSTGDVYFTDSSLTYPRRFNTEIMMNADATGRLLKYDARTKNVTVLKENLPYPNGVAISHDRSYVVVAHTVPCQAFRYYLKGPNAGRYELFADLPGYPDNVRRDSSNGYWVALNQEKAHPNATAPVKHLVGVRLNADGVEVEELTAAKGVTLSEVTERDGKLWLGSVELDYVGIVS* >Brasy1G488500.1.p pacid=40057437 transcript=Brasy1G488500.1 locus=Brasy1G488500 ID=Brasy1G488500.1.v1.1 annot-version=v1.1 MDAGSTEGFCLHVLLVILRSLGCSGVAKVRHRVIICVRGGSQARTAVVGRLRRCSWLVSVANGDSAGARSTGHSCRAELAGADVGERWPACQSHARPGPAPGLPYPLQSLYPVVPCFRITGYAMSTGFNLIKWTDARGLWRESGSSSRRLVGAQARIHGTATVERTSIAPVHVVVLVFWCTGARAWLSPIWLQAYSAAARLFQTVVYRLARLTLGRLADRLPRHPWLAPTKIFWSLHASLRTPLLQRASGARWRRHSAFAMSAGSLLVHSMSF* >Brasy1G520800.1.p pacid=40057438 transcript=Brasy1G520800.1 locus=Brasy1G520800 ID=Brasy1G520800.1.v1.1 annot-version=v1.1 MQTRNVEYILPPSTHQQKEACKMYDEAIQELSDPMKQPMHITFKEGSQDGVSCADITRILPSDQHFFKVEYIKNGKRHFSINKNIFS* >Brasy1G153800.1.p pacid=40057439 transcript=Brasy1G153800.1 locus=Brasy1G153800 ID=Brasy1G153800.1.v1.1 annot-version=v1.1 MGCYGDFFEKAKPYIAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSSFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLRKVRCQAKVAGTVVTVAGAMLMTLYKGPLMQMVWTSHAQPHGSGGGEGPAAAAVDPTGREWFLGSLFVIIATLAWASLFILQAHTLKQYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIVVAVMGSFILAEKIYLGGVLGSVLIVIGLYSVLWGKHKETQEESRALAMELPMAVAASKLGDADAAFVKETAAAVNGHDSECAGKADGVKASSNGHGGASAV* >Brasy1G246000.1.p pacid=40057440 transcript=Brasy1G246000.1 locus=Brasy1G246000 ID=Brasy1G246000.1.v1.1 annot-version=v1.1 MAIAPAVSVSLFPSMAGHPLARRTPSFAGSYKKKRRITVKRVCCSTDDEEEVDDLGVNVALSMLKFYKREISPFLPSSCRYMPTCSEYSMQAYKRYGVAKGTILTAWRLCRCNPLGGQGYDPPRWFDEEELPEE* >Brasy1G410600.1.p pacid=40057441 transcript=Brasy1G410600.1 locus=Brasy1G410600 ID=Brasy1G410600.1.v1.1 annot-version=v1.1 MRAKLAVLAAGPTPPRFSSPSRRRKPAGPGRLRLPRPARVLQNGGGGRRPLGPAWPAVSAALFGAGFLLGPLLDGIHSRVGLQLYRNGALDLGPLHTHILVPPLLGAFYCTVGMLQLFLDERAAPPARSKATGSPRDAATSLIVLALFIELSAELYAAGVASNVEAYVLFAAAEFVWLFLEGSWLGFALACLVGVACPLAEVPLIKLLQCWSYPNADVQLFGTGVMSWTTTCYFVYTPFLVNLARLLKSRLVAEGKEDAAAP* >Brasy1G575700.1.p pacid=40057442 transcript=Brasy1G575700.1 locus=Brasy1G575700 ID=Brasy1G575700.1.v1.1 annot-version=v1.1 MWISEGFLHGTSDDLEELGNKYHKELILRNLLEPDTLHVDQTVCNMHDVVRSFAQYVARDEALAAYSGQTSIISKLSAQKFLRLSIESEVGWSSLHAQKALRTLISVGHINTKPADSLVNFPCLRTLHIDSTNVAILVKSLDELKHLRYLSIQKSDVSSLPDNIGNMKFLQHISLAGCQQFVKLPPSIVKLGQLRYLNFLGTGINCIPRGFCALTNLRKLFGFPAQVDGDWCSLEELGPLSELRFLGLKALEKASVISSTTKARLGENVHLTYLSLSCSSRLGDDGLIKEEECISEDEKSQIEKVFDELCPPPSLEYLFMQGYFGQQLPRWMMSTSASSLKSLRILFIEDLACCTQMPDGLSQLPCLKFIQIRRAPFIQRVGPEFLQPYHHHSPHPSQAVVVFPRLRRMNLGGMVEWEEWEWAEQVEAFPVLEELLLTNCKLRRVPPGLASSARALKRLSIQYVQRLSYLENFASVIDLEVYESPNLDRITNLPTLQKLTMVMCPKLKVLEGVRALQRLVLEDYDMETLPEYMRGINPRHLQLDCSLALLSAIAAGQSALSGTSSATLSMSRHMQMMDQTIQESGL* >Brasy1G039900.1.p pacid=40057443 transcript=Brasy1G039900.1 locus=Brasy1G039900 ID=Brasy1G039900.1.v1.1 annot-version=v1.1 MATDGSDQRICSSPSSSPRSSSWHEGLAVWSKRPAGRTKFKETRHPVYRGVRHRGAGAGRWVCEVRVPGAHGKRLWLGTHLTAESAGRAHDAAMLALLPSGAACLLNFPDSAGTPTPSCAAALVAVAGFLSREEAAAAIVSVSVVIPVAVVPVDDSAAFLGVLLEVPTMGSGMLELELDTASEMDLGLYYADLAEGPLMEPPQDTGASCWESGDYGNDAGAESDLWSY* >Brasy1G134000.1.p pacid=40057444 transcript=Brasy1G134000.1 locus=Brasy1G134000 ID=Brasy1G134000.1.v1.1 annot-version=v1.1 MLSLNKMHFLNLYSSSTAVRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.7.p pacid=40057445 transcript=Brasy1G134000.7 locus=Brasy1G134000 ID=Brasy1G134000.7.v1.1 annot-version=v1.1 MLSLNKMHFLNLYSSSTAVRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.2.p pacid=40057446 transcript=Brasy1G134000.2 locus=Brasy1G134000 ID=Brasy1G134000.2.v1.1 annot-version=v1.1 MLSLNKMHFLNLYSSSTAVRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.4.p pacid=40057447 transcript=Brasy1G134000.4 locus=Brasy1G134000 ID=Brasy1G134000.4.v1.1 annot-version=v1.1 MLSLNKMHFLNLYSSSTAVRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.5.p pacid=40057448 transcript=Brasy1G134000.5 locus=Brasy1G134000 ID=Brasy1G134000.5.v1.1 annot-version=v1.1 MQTAKTRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.6.p pacid=40057449 transcript=Brasy1G134000.6 locus=Brasy1G134000 ID=Brasy1G134000.6.v1.1 annot-version=v1.1 MQTAKTRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G134000.3.p pacid=40057450 transcript=Brasy1G134000.3 locus=Brasy1G134000 ID=Brasy1G134000.3.v1.1 annot-version=v1.1 MQTAKTRNGSVEHPTRASPNGTNKTSRPTRFGGPDSAADTPATKSPTGRSPKVERRTTMSAEREKRRPVMKLSELESQLTQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAASASAQARDSEAQLAELSSVEETRIFELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLARADRKPQEAAEAQLATVDELRAKLKESEEAEAQTRSLHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKAFEEETSAAKAAGESCDCSDSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQLKMESDLRHGKLAAALGSAKSEVIFLKASLFDKESELRRAQDAAKKLQDDTRTNRDISADELKEQLRGALLENGQLKTELQKHESEEKVPVKSEAEAAAETAKKGETEAELRRLRVQAEQWRKAAETAMALLAVGKGGNGKVMDRGDSLDGGKYAGLYDELDDDAAARKNGNVLRRISGMWKK* >Brasy1G565700.1.p pacid=40057451 transcript=Brasy1G565700.1 locus=Brasy1G565700 ID=Brasy1G565700.1.v1.1 annot-version=v1.1 MGLSEFPTIKTLGELLAKDILSDEQYFICFMAVYLSSFLCPNSNTYPSTKYLAALLVPDQVRDYNWALFGFNWYMTSVKKYLKDKSKAMYSKSNLTVGGCSYYPSVVYLDFVDFGLRSPGRCFPRVKVWINDMIKEFVRLDLKQGHEYGLHNVLKDICNKFNIFYSSSDPLAASRAGHLVLDVLNCVRAASENFDASNSCESSGHMYADVSDSRVVPEEPHLGESVLRQGECTGNDRVLDDGDCLNQSTEKIGDPYAIPDLNLVANSDTPTVVLNSVTSDSAALGNFDWLCYCSPQSPDVQITGQRIFGKECNHLSNEADIVYNNLLDTTSVGFNMGSSSGQYKKQSYVPKRHVAPSHFHLSPFEDPRLKSHVTSHGVKYHATIVKLSEDEDVKYNTAIDFNVRVSVFSLGQSFKTRGRVDFFTMNAFCRKLGLDAPASRSKKIFFFSTLVTKSFDYVRSVIESCRLIFVPCLFRVHWFVFVVDLPGESFLILDSYFKEGSEYHKYTKNHLIKNFSKVCSQHNTLLQVDFSKFRFQHPIVPMQNNADDCGIFCMKYMQLFNPRSHLKDKFSYRDINNFRVQFANDMLLSRCLYSM* >Brasy1G331700.1.p pacid=40057452 transcript=Brasy1G331700.1 locus=Brasy1G331700 ID=Brasy1G331700.1.v1.1 annot-version=v1.1 MEKEKGVRFGSTARAMVSASTGAMKSVLAKLTALSADEDDMLLKGVKKKKITTMRDELASMNGLLEKMADKEDLDPLVKGWMKQVREMTFDIEDSIDDLSKNLPSSSSDADCGLKLLFPGKSRNRFAIIIEELNARVQEAAARRSRYNLDAVENPASELGSAPMPRDIVPIDPRLQLSPVTTCPVGTDGRRDEFIQLLDLSAHQEMKAVSVVGCGGIGKTTLAMEVYQSIKHRFDFHAFVLMPQRYDPSMVFRSILAEEQDPSAGLDDLIEKLTAFLASKRDIVLFQNDECECTIGQVAKAFHPNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCRQLFEGNYQSRRLQKLFSIHSEERLDKKEIILFATFDPPDPLVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEYV* >Brasy1G316100.1.p pacid=40057453 transcript=Brasy1G316100.1 locus=Brasy1G316100 ID=Brasy1G316100.1.v1.1 annot-version=v1.1 MPTRTRSQEALVETAAAELAQKEAEDARAAEDAIKDRLLREVLNIQDKLLHQQDSLDLLQDRPVPTGPQIRVQLFSPSALSPPSCTTHRSAGDDELRPVSPRSASSPASPPATPRSAPPWPSSLTSPRAGRRPRRQQPRDNRLEEAGPASSEHGREEEPESGGIPSTSATIPIAQSWCETGGGGSWLPSPPTDRIPFHLHSFRPLLVLAIPGNPSAVPVFMMVFLFLCRQPPNLILSTEPNPGGGGGGRDGGFPWRRERQRRPSRPPIGGRGTAAGEAGAEARRGGGDGPQGRRRRGAKETSGVGARSGGDGGGAGNGGRDDGMGRRQRRTGEAGTAAWAAGGGGRGRPGRRGSLRRRPAGAGAGPAVEAETAAHAGGGRGYLVPRADPEVGVSVRERWETDAQRDERWGVSVRERWEGIANH* >Brasy1G021200.1.p pacid=40057454 transcript=Brasy1G021200.1 locus=Brasy1G021200 ID=Brasy1G021200.1.v1.1 annot-version=v1.1 MGHSASRHNGCPAGAGEPPLPSRFARFRSRLRLRHRHSARDRDRDGATADSGSRPAVAADEFAGIARIRIVKADMRLKDKFFACLSLGERTYRTETSDNTHRPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFDLLTKQDLDKEHIEDLALLDPSSSTNTMGTISISCHIEDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNGLAVNKIEELFRQADTNGDDIVDMDELAALLADQQEKEPIISNCPVCGESLGKYDNINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWANFSSYDVGLRSGSTASHILVFDRRTKRLVEEAIDGKIVLSMRALYQSKVGLTLIDTGVKDILKNLSEKQGKKMNSPESAKDIPKFLELFMGQINMDETKDPIESFKTFNDFFVRQLKPSARPIAFNDQDNIATCAADCRLMAFSSVDESTRFWVKGRKFSIEGLLGADAHYNELNNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSITFLKEKGDYVHKGDEFGYFSFGGSTVICVFEKDVIQFDADLLANSERSLETLVQVGTTLGVSKRKKELQVPELQK* >Brasy1G553800.1.p pacid=40057455 transcript=Brasy1G553800.1 locus=Brasy1G553800 ID=Brasy1G553800.1.v1.1 annot-version=v1.1 MDFKTQGSSLKVLVIEDSEVQSMILLAMLRRFSCQTTRAKNGREAVDLYLEGKKFDIILCDKEMPIMKGPEAIVKIRSMGSTEVKIVGMSADSNATEEFMSAGADMFVPKPMDFEVLEAIIKEVINKKNNGVV* >Brasy1G347300.1.p pacid=40057456 transcript=Brasy1G347300.1 locus=Brasy1G347300 ID=Brasy1G347300.1.v1.1 annot-version=v1.1 MAETPKNDAAEAAKMDLLEDDDEFEEFEVDQEWDDKEDGDEAVQQWENDWDDDDVNDDFSLQLRKELESYSSKN* >Brasy1G041500.1.p pacid=40057457 transcript=Brasy1G041500.1 locus=Brasy1G041500 ID=Brasy1G041500.1.v1.1 annot-version=v1.1 MVLDQFGLMAAYTAGSCRDAAMSAYVLALVALVAAYVTAHVLFFLLFCTLRKPPPAAHADADAGAGDDKAAAPGAGRKDSVDRARKHLLIFATLAATVTYSAGLSTPGGFWPGSTPGEHLAGDPMLRLHHPDRLMVFFYANTTAFVASLVVIMLLMSSTLSRHGLRSSALWVCAGAAMAGLMGAFASGSCRSVKTSIYVVSLVAAVLFYIGVQILVFLCKPVENLIHDFQETLEKYLQFQKLEEQIQHQQDLQQQQSRVAFDDAAYQILRKSRMYLLLLGILAASVTYQAGLNPPGGFWQGDAADGIHHYQAGDPILHITYPRRYLAFFYCNATAFVASLAILILLLSNIFSTHGIKYCALQVAMILDLFGLIGAYAAGSCRQASKSVYVAVIVVPVFLYVGIHVVAFMVKSFPACCAAWREAAREAMERRAPEWLKEVFERRPEGNEAMEWKLEKRRKLLLLLAILAASLTYQAGMSPPGGFWQENVSGHVVGNPTLSDNYRRRYLAFFYCNATAFVASLAIIMLLVNRKLSARGIRCYALRVCVILDLVGLMGAFAAGSCRKVSTSIYVIALILVVLLCVALQVAFAMSETARALVLRLMSKIGLGKLEHDAHAAGVLPCTAGEEVLRGLWDDKLPKYLLLLAALAAAVTYQAAMSPPGGLWGDGGHGGGHVAGDPVLASVHPHRYKAFFYCNATSFMASLVVTVLLLIRKVSDTPPALLTLHAAMILDLLGLMGAYAAGSCRRQKTSAYILALVVGVSAYITVLVFLSVGVARWLRSVMDKLVERLTWCFSPHDL* >Brasy1G079900.1.p pacid=40057458 transcript=Brasy1G079900.1 locus=Brasy1G079900 ID=Brasy1G079900.1.v1.1 annot-version=v1.1 MGGSQSRSSSRDGSGHGRYAHSGSFQQPAPQQQQWGAGGYPYGGDPQQGGYYGGVPQQGGYAAPYPAYPAPAATHTPARAGGAASKPRLDRRYSRIADDFQSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSYHGRSLHHISSVPNPYEQAISIIGQTMSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALERYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSLKAPERVPLPPPTGSHDAYSYGTKSFSKPSYPQSSTSSSSYPPYETAQTATPAAPSSAYDNQVCPICLVNPKDMAFGCGHQTCCDCGQTLQSCPICRTPISTRIKLY* >Brasy1G434200.1.p pacid=40057459 transcript=Brasy1G434200.1 locus=Brasy1G434200 ID=Brasy1G434200.1.v1.1 annot-version=v1.1 MATTVNPAGDPEALAAVDEAKKPRGPISLYLIARARNNLTFPSVWSELNGAMGDLGTYIPIVLSLALSRDLDLGTTLVFTGAYNFVTGLLYGVPMPVQPMKTIAAVALSDPSFAVPEMMAAGILTSGFVFLLGATRLMRLVYWLVPLPVVRGIQLAQGLTFAMAAVKYVRYDQDLARSKSLGRRPWAGLDGLVLALAALVFIVLVNGAGDENVVAVQEEEEAEDISTSTSNNNRSAFSCSSRWRRWSRRLPSAVIVFAVGVVLAVIRHPAAVRELRAGPSRMRVVRIPREAWKQGFVKGAVPQIPLSVLNSVVAVCKLTRDLFPGEEGKAASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGACVAALGAMKLAVGVVLGGSVLRVLAAFPAGLLGVLLLFAGVELAMAARDMASKAEAFVMLVCTAVSLVGSSAALGFLCGMVAHGLLLLRAYAVSV* >Brasy1G132500.1.p pacid=40057460 transcript=Brasy1G132500.1 locus=Brasy1G132500 ID=Brasy1G132500.1.v1.1 annot-version=v1.1 MFRHSATAPSSYGGDAFMQSFSSAAALATVPTQIPRSGAGGYLDGGNVGGAFPPFAAAASSPPSSTYSSSLPSSYYNNIHRSISSHSLPLHLHQLGDALNGGGAFFSSSSPSPHQLSSLAPMSSSPSSSCHSDLFDFASSCSVRRVFSTGDLQQGMNGSSPAPSGDSCGGQDVGGGPFSQKVGRYSAEERKERVERYRVKRHQRNFTKKITYACRKSLADSRPRVKGRFARNGETEAENDDHRPETSDNSYDYCGGYSNNGSCQHSCKVDNAATAAATFVGDNGEWWWRAPGAAVAEEEEAAERQVGFDVDEELWATLGDMLSVNLAS* >Brasy1G132500.2.p pacid=40057461 transcript=Brasy1G132500.2 locus=Brasy1G132500 ID=Brasy1G132500.2.v1.1 annot-version=v1.1 MFRHSATAPSSYGGDAFMQSFSSAAALATVPTQIPRSGAGGYLDGGNVGGAFPPFAAAASSPPSSTYSSSLPSSYYNNIHRSISSHSLPLHLHQLGDALNGGGAFFSSSSPSPHQLSSLAPMSSSPSSSCHSDLFDFASSCSVRRVFSTGDLQGMNGSSPAPSGDSCGGQDVGGGPFSQKVGRYSAEERKERVERYRVKRHQRNFTKKITYACRKSLADSRPRVKGRFARNGETEAENDDHRPETSDNSYDYCGGYSNNGSCQHSCKVDNAATAAATFVGDNGEWWWRAPGAAVAEEEEAAERQVGFDVDEELWATLGDMLSVNLAS* >Brasy1G443700.1.p pacid=40057462 transcript=Brasy1G443700.1 locus=Brasy1G443700 ID=Brasy1G443700.1.v1.1 annot-version=v1.1 MLPNGGGAARPLDLDLSPPKPAALAFLCGGDFWAGTASAADTILPGEPISGNQTLVSKSGAFELGFFPPGSGIHYFLGVRLRNMAGDSPTFWVGDRVVITDLPSASLEVFGDSLYIKQGGASLWWSPPAGNGSTPAAAVAVLLDSGNLVVRDRGNSSLVLWQSFDYPGDALLPGGRLGFDGDTGKNVSLTFRDFSHNGSLAVDASRRNGFVLTTDGHDHRGTFPDWMVYSRDNGSSLLLNHPESPDATEFLQFHLGQVSLMRWSESDPAAGNGSAAGWVARWTFPSGCKSGGFFCGDFGACTSTGKCVCVDGFAPSYPIEWGLGYFVTGCLRSLPLSYESGGQTEHDDSFAPSGNLQGFPYNAQDEAAGTDEECRAACLSKCYCVAYSYGHGHGCKLSYHNLYNLSLAAIPPYSKIYIRLGSKLRNKEGLQTKGILLLVAGSVAIASLILVLVLIWRFRRNSSAAKKFEVEGPLVVYPYAHIKKATMNFSDKIGEGGFGSVFKGTMQGSTVVAVKNLKVLGQAEKQFRTEVQTLGLIQHSNLVRLLGFCDRGNRRLLVYEYMPNGSLDAHLFADKSGLLSWNVRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFNSALTTIRGTMGYLAPEWISGLPITKKADVYSFGIMLFEIISGRRSTEMMKFGNHRYFPLYAAAQVNEGEVLCLLDGRLKADANVKQLDVTCKVACWCIQDEENDRPSMGQVVHMLEGLVNTEMPPIPASFQNLIEGDNSAIYSE* >Brasy1G376200.1.p pacid=40057463 transcript=Brasy1G376200.1 locus=Brasy1G376200 ID=Brasy1G376200.1.v1.1 annot-version=v1.1 MAPPSSSSSAPPSSWVILGSIPRVSGPADEGAEVSVALTAPPRVSILTVSPRVFPEPATPHHFPFVLAADPSGLLLLQANLGCPATREVVDRPNNQSVTWRISTSRYFVLDAAADSAFHLPDPEPAHTILHQAMLGLLASPAGDGNYMVAELQPIIGSDKADLLCFSTEVGEWVEKPVHYPLPPRPLAPICVVSHRGRLWWVDLSWGVIHCDPFAVKPVLGFVPFPPGRVLECREAWGVTDKYRYVGVSGGKLRFVDTYMSQRARGGAPTVSVWTLADPDSTVWTLEHEATFADIWADKSYKATRLPKKIPVLALIHPKNSCVVYFFLEKQLFGVDLRARKVVECKVYGLVAPPSCYIANRFVRAWELPQPLPSGMLNWCKGINLAEKAKGRPTQPPSPGDYHLVGHTRQTFVG* >Brasy1G049500.1.p pacid=40057464 transcript=Brasy1G049500.1 locus=Brasy1G049500 ID=Brasy1G049500.1.v1.1 annot-version=v1.1 MREDMCAQAKLPPNNNPTNHAFHRVIVLSSLLALLLLSLSTAPASAEEPPTAATKPPLISRLAKDPETSLYTISIKADRSPLVVDLAGSLVWSTCPPPLAPHGTVPCHKCTGAGDEPFNPVTRECFSSTGGILTSFPMSANATDGATELYPPEEPFAITGKCAPRRLLRSLPASATGVAGFSRRPLSLPSQLSSHRGFGNKFSLCLPFFATFGDTPVFLSTPDPRGYIDYTSSIPYTPILTNPANPGGYYIPVKAISVSWHGVVSRASLPTNALNLDLTTGHGGVVLSTATQYGHMRRDVFDAFAAAFDDAITRGKLPGTTVERVAPAPGEPFELCYRGGFPMLKRPAVLDVPRIDLELGDGATGNWTLFNGNYMVQTESGLCVGILPMDDDAAGRRGGMHVEGEPAVVLGGKQLENNLLVFDLEKNVLGFSMLLDFRLSGCMSSKFFRN* >Brasy1G496000.1.p pacid=40057465 transcript=Brasy1G496000.1 locus=Brasy1G496000 ID=Brasy1G496000.1.v1.1 annot-version=v1.1 MSTVVEPAPQHDVKLYNRWTYDGVTVNDISLNDYLAVTLEKHYTYLPHSAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKIMAVRIIKHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR* >Brasy1G362400.1.p pacid=40057466 transcript=Brasy1G362400.1 locus=Brasy1G362400 ID=Brasy1G362400.1.v1.1 annot-version=v1.1 MEQTPPGTGKKARKPYTITRPRERWSPDEHERFLDAMLRFGRDWKKIEEHVRTKTTVQIRSHAQKYFLKVQKLGLAAGLPPQHPIRSLGAAASVAVPGPMMVLHGQPQECPPGALLQSSIGWSCPGLVPASNDMQSLDWGGSLGASAWASHGSQTETAAATHPGGSSSSSMGAPSCGNTSMDWAGTSSATDAEDDTIPLPLSPDDMHFAQVYRFVGDVFDPATPCQIEAHLQRLKDMDAVTVKTILLVLRNLEANLTAPQFGRLLSRYDPGRGLSGQL* >Brasy1G264500.1.p pacid=40057467 transcript=Brasy1G264500.1 locus=Brasy1G264500 ID=Brasy1G264500.1.v1.1 annot-version=v1.1 MARVGGGSSRRGLEVFAGRGDPVNMLPGTRREAATWWTPVEASLNGMSKWLVAGGFAFAAVWKHDAEVMWALMGAVLNKALSSILKKMLNHQRPDQALRSDPGMPSSHAQSMFYAATFLVLSLFKWLGTNYLAMIIGATTMASASYLSWLRVLHRQHTLNQILVGAAVGSAFGTLWFVLWRSLVQEAFASSLWVKITVLLGPVAFCIAVILQMIHDRRKV* >Brasy1G097500.1.p pacid=40057468 transcript=Brasy1G097500.1 locus=Brasy1G097500 ID=Brasy1G097500.1.v1.1 annot-version=v1.1 MNAEQSNGKEKMHPRDSTPEESLVHTCKRPRPPVSEPKPQDVPEQQNPHGYWKMRDKKGWEHGIMIDENRQHWKCKYCYMVGYSGGVSKLKRHLVGDRGVKKCPAVSAQVCVEIKHLMDAKKERRQRLAALKGGNNVESRSFSGDDKDNMDLLAPDSDALTGVNTHFLEEATNETNAELQNPVQEGRALVLTLSNISTTGCCKICGGSEEYNKRFLVCCDTLCGSKYYHVRCLNFNQIASDSDRDKPCWYCPSCLCRVCHSNTDDDQIILCDDCDEGYHLYCFTPPYTSVPEGEWYCPFCTTEREKEGTEYGEILLKLHRKDDPMTQVVDLMLSAAEELSAQEQSTK* >Brasy1G482500.1.p pacid=40057469 transcript=Brasy1G482500.1 locus=Brasy1G482500 ID=Brasy1G482500.1.v1.1 annot-version=v1.1 MSASNGKWIDGLQYSSLFWPPPHDAQQKQVQILAYVEYFGQFTSDSEQFPEDVAQLIQSCYPAKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRHGSPFNSFISLFTQTSEKEYSEQWALACGEILRVLTHYNRPIFKVAERNSTSDQATTSYSVQEKANDSPGNEPDRKPLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGMAADTAAALLFRILSQPTLLFPPLRHVEGLEVQHEPLGGYVSSYKRQLEVPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSEASEPSRKPRSQSKNLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEATEDSRLTNGRNRAKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKNGTHSNIKDSIKIIMPGKTNGISNELQNSISSAVIHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLKRCKWDAEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTSVRKDDGQHIEENNVSSSDSVDLGKKNVLASHKKNGFSRPLLKCAEEAIRNGGVASTSGKVIATLQVEASDLANFLTMDRNGGYGGSQTLLRSVMSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNSGPINPTKIIQGEQRNGVQSPTYQCAAASMVNWQADMERCIEWEARSRRATGMTLAFLSAAANELGCPLPC* >Brasy1G482500.2.p pacid=40057470 transcript=Brasy1G482500.2 locus=Brasy1G482500 ID=Brasy1G482500.2.v1.1 annot-version=v1.1 MSASNGKWIDGLQYSSLFWPPPHDAQQKQVQILAYVEYFGQFTSDSEQFPEDVAQLIQSCYPAKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRHGSPFNSFISLFTQTSEKEYSEQWALACGEILRVLTHYNRPIFKVAERNSTSDQATTSYSVQEKANDSPGNEPDRKPLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGMAADTAAALLFRILSQPTLLFPPLRHVEGLEVQHEPLGGYVSSYKRQLEVPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSEASEPSRKPRSQSKNLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEATEDSRLTNGRNRAKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKNGTHSNIKDSIKIIMPGKTNGISNELQNSISSAVIHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLKRCKWDAEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTSVRKDDGQHIEENNVSSSDSVDLGKKNVLASHKKNGFSRPLLKCAEEAIRNGGVASTSGKVIATLQVEASDLANFLTMDRNGGYGGSQTLLRSVMSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNSGPINPTKIIQGEQRNGVQSPTYQCAAASMVNWQADMERCIEWEARSRRATGMTLAFLSAAANELGCPLPC* >Brasy1G482500.3.p pacid=40057471 transcript=Brasy1G482500.3 locus=Brasy1G482500 ID=Brasy1G482500.3.v1.1 annot-version=v1.1 MSASNGKWIDGLQYSSLFWPPPHDAQQKQVQILAYVEYFGQFTSDSEQFPEDVAQLIQSCYPAKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRHGSPFNSFISLFTQTSEKEYSEQWALACGEILRVLTHYNRPIFKVAERNSTSDQATTSYSVQEKANDSPGNEPDRKPLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGMAADTAAALLFRILSQPTLLFPPLRHVEGLEVQHEPLGGYVSSYKRQLEVPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSEASEPSRKPRSQSKNLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEATEDSRLTNGRNRAKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKNGTHSNIKDSIKIIMPGKTNGISNELQNSISSAVIHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLKRCKWDAEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTSVRKDDGQHIEENNVSSSDSVDLGKKNVLASHKKNGFSRPLLKCAEEAIRNGGVASTSGKVIATLQVEASDLANFLTMDRNGGYGGSQTLLRSVMSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNSGPINPTKIIQGEQRNGVQSPTYQCAAASMVNWQADMERCIEWEARSRRATGMTLAFLSAAANELGCPLPC* >Brasy1G482500.4.p pacid=40057472 transcript=Brasy1G482500.4 locus=Brasy1G482500 ID=Brasy1G482500.4.v1.1 annot-version=v1.1 MSASNGKWIDGLQYSSLFWPPPHDAQQKQVQILAYVEYFGQFTSDSEQFPEDVAQLIQSCYPAKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRHGSPFNSFISLFTQTSEKEYSEQWALACGEILRVLTHYNRPIFKVAERNSTSDQATTSYSVQEKANDSPGNEPDRKPLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGMAADTAAALLFRILSQPTLLFPPLRHVEGLEVQHEPLGGYVSSYKRQLEVPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSEASEPSRKPRSQSKNLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEATEDSRLTNGRNRAKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKNGTHSNIKDSIKIIMPGKTNGISNELQNSISSAVIHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLKRCKWDAEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTSVRKDDGQHIEENNVSSSDSVDLGKKNVLASHKKNGFSRPLLKCAEEAIRNGGVASTSGKVIATLQVEASDLANFLTMDRNGGYGGSQTLLRSVMSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSTTIRCLSHPSAHVRALSMSVLRDILNSGPINPTKIIQGEQRNGVQSPTYQCAAASMVNWQADMERCIEWEARSRRATGMTLAFLSAAANELGCPLPC* >Brasy1G373900.1.p pacid=40057473 transcript=Brasy1G373900.1 locus=Brasy1G373900 ID=Brasy1G373900.1.v1.1 annot-version=v1.1 MNLPFSSPSPANLTGASRPAAALLRGGRRRHTASCGEGGGTRLRGGRPRAASRSGWEAGGGAWLLGRRPRAASRSGWEASGGMRLPGGRPRVAAGRPAAARGCGRPAASSGRVAGGGARMHLMSETLTYLGPSRPSSLPRAPLLHSPASGPARPARASTTDGWDPPVRVFFSKQLRGSPAAAGATTCLPPFYVAFEARDLALDSPARAYPLAALRAAIRAPKPPRGRRRRLIPAVRLAVDADRLVPIVFPILPSSLRTQSAPSHAAVATAAHRPRHHVREIRRTGLKLQKIPWTPRRRLQRDRRRLPQLRPPPRRRRPSAPSRASPTSLLA* >Brasy1G203000.1.p pacid=40057474 transcript=Brasy1G203000.1 locus=Brasy1G203000 ID=Brasy1G203000.1.v1.1 annot-version=v1.1 MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALTDLKATLAELKESNEQGAEIGEAESTITEVETVYTPTED* >Brasy1G223400.1.p pacid=40057475 transcript=Brasy1G223400.1 locus=Brasy1G223400 ID=Brasy1G223400.1.v1.1 annot-version=v1.1 MPPHPAWATICLLAISLLLCTSNLCTDAGRPSYALQLLDDDEDADGGDGSTSFSFSSPQTTPGGLAFGFYDGTCPGAEETVASAVRELYAADSNVAAALVRLFFHDCFVHGCDASVLLGRVDGAAKSERDAPPNRSLRGFGAVDKIKARLEKECPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAGSFYRDVNVPPPNATYAMTLDSFARHGFTERETVALLGAHSIGKVQCRFFRDRIYNFAGTGEPDDSIDRDMVGEMRAVCGGDGRAPMEMGYYRQGREVGFGAHYYAKLLAGRGILRSDQQLTAGSTVRWVRMYASERGEEAFREDFAHAMVKLSALAPLTGSAGQVRISCSKAAV* >Brasy1G400200.1.p pacid=40057476 transcript=Brasy1G400200.1 locus=Brasy1G400200 ID=Brasy1G400200.1.v1.1 annot-version=v1.1 MVQLQVRMVHRQPPTTSSDRQPPITSSDRQPPPPVPTATPPPVPAPPASTAAPPAPPASTAVPPAPPAWTAVPPAPPAWTAVPPALPAWTAIPQPLTLTLTLNPTPPPDAGFDHIGWGNIHQLQNMNAFGPQHVAVGALAKFTNDQYACLLLNHQSFHLPDVLGPLHAAVICRADGNGNAADNFQWRTTKTRTMNMAGGFVMTIVDRKQTGGSWTKKIIKTTRHGYEQIVLTHYLQMKSDLMKANRTVEGYDEDGIPVSLLYKRRARRLRKLMARIVSDFDEMMLEILPLLQQVDQQP* >Brasy1G400200.2.p pacid=40057477 transcript=Brasy1G400200.2 locus=Brasy1G400200 ID=Brasy1G400200.2.v1.1 annot-version=v1.1 MVQLQVRMVHRQPPTTSSDRQPPITSSDRQPPPPVPTATPPPVPAPPASTAAPPAPPASTAVPPAPPAWTAVPPAPPAWTAVPPALPAWTAIPQPLTLTLTLNPTPPPDAGFDHIGWGNIHQLQNMNAFGPQHVAVGALAKFTNDQYACLLLNHQSFHLPDVLGPLHAAVICRADGNGNAADNFQWRTTKTRTMNMAGGFVMTIVDRKQTGGSWTKKIIKTTRHGYEQIVLTHYLQMKSDLMKANRTVEGYDEDGIPVSLLYKRRARRLRKLMARIVSDFDEMMLEILPLLQVDQQP* >Brasy1G206400.1.p pacid=40057478 transcript=Brasy1G206400.1 locus=Brasy1G206400 ID=Brasy1G206400.1.v1.1 annot-version=v1.1 MPPRRRLLLFLLFLALACSGRGADAETAPGNATSPPLACSELSRVCTAFLAFPAAGAAANASVLESMFDASPGDLTADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAPPNATAAEVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGADGDTVESLSSRFGASMDAIEATNDMAGPDPINTGKVYYIPLNSVPGQPYAEKSPAPAFAPAPQNTLSEISDHHPARFPYGWVIGSMGVAFALIAIALLVVVMCKFFQYNHQVPDNQRKSPDQPMSHDFRLLKSGSFCYDSGRYLCCQFGNAKQSRKGAGDHRINVPKGMVVDVFDREKPIVFTYEEILESTDLFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATNAKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLAVRSNDAEASVTKVVGTFGYLAPEYLRDGLATSKCDVYAFGVVLFELISGKEAITKTDAISAGSNSERRSLASVMLTALRNCHNPACVGTLKDCIDPNLMDLYPHDCIYQMAMLAKQCVDEDPVLRPDMKQAVITLSQILLSSIEWEATLGGNSQVFSGLVAGR* >Brasy1G403100.1.p pacid=40057479 transcript=Brasy1G403100.1 locus=Brasy1G403100 ID=Brasy1G403100.1.v1.1 annot-version=v1.1 MATWEVLWPIDRLPPVRDQGYRPSCVLESSISMYAAQVVRNTNIRNGLLVPPLTTFHHESFIVDYELSTNTFLEEWDKLYYPEQELRIHEYVCMGHPVLGTFGVRPDYIRFPSGCIYELSPPVTDLDYTHMVMICGCGELMGRAYVVYLNTFGWWWGYWGLGRVYWDQILQFPGTQHCLWTIEMDGIQVPVPPVDPPSPPPYPPPSKRTRYSHHPRPFPPGYAPQSPPPPGSTANFSGLKSPPALLLCTEAAQNILLPPDGTASKAADWQYKICDSSENQIALEASNFTSQTRGKLKGSKIILERVVEGMVKAKGEHLKGYDRYIKEFHKRNNQIHQEKLDMTQWEKLNLLKINEAEGYLSIVQDAKCDHVMQLRRETEKCLSRLQVDSSLDGSGLYIFLKKVEDEIYEPQICSVLNSVMELTNTCNQTHYSQLHIEEIEGYLHSHYLPFVVRLAEKIERLDKFISKLKATGHRVEDYAPGLYLPSVVRLGEKLEMLETLVSKLKAAAHKSDYLHFQEALRKCISLYNAATTSSRAVLILEQCRLKEEKHAELLPIEWTDNI* >Brasy1G169000.1.p pacid=40057480 transcript=Brasy1G169000.1 locus=Brasy1G169000 ID=Brasy1G169000.1.v1.1 annot-version=v1.1 MAAQLKAKILAAAAVAVVVASSLVGTASAADGPAPAPASGATGSAPAFAAVSVAAAAFGYLFC* >Brasy1G570300.1.p pacid=40057481 transcript=Brasy1G570300.1 locus=Brasy1G570300 ID=Brasy1G570300.1.v1.1 annot-version=v1.1 MSWWHGWGRVLGIFSVDSASLGGGRPIQASRRRGSLLTPRFQERCESSSSPRRGLLDCWIRGASPRRIFSGPGHTKDVRLSWLLGDPGVGRDHTRSVQLQLFCG* >Brasy1G234900.1.p pacid=40057482 transcript=Brasy1G234900.1 locus=Brasy1G234900 ID=Brasy1G234900.1.v1.1 annot-version=v1.1 MGWCCGASGAKGEDCTAAAAPASPRPAAGRRPRRRVGQGLEYSAPPRCPEGGPMVPRPRLLPRSPLGPGGGIGEAEALEALAKPGTAAGASSPGAHLDQRRRPGAERKAAGAPWPRAEGRPRGLLVLEQRPAAWSCWGRCGGGAATCWPEQVDRRRVVEAGVRHGSPALREIGLPALAGGRRELRLSPAARG* >Brasy1G003500.1.p pacid=40057483 transcript=Brasy1G003500.1 locus=Brasy1G003500 ID=Brasy1G003500.1.v1.1 annot-version=v1.1 MDPNPSSSSPLDSSHPEAMDPDPNPTPDDKGLAALSLRAPAALPPDFAAAAPEIEEEEEDDDDDEGYFTAASRGGSSSTAAWKEEMEGLEEEDDDKDGPPSPSSSGYAGERGSSLASSAAGGGIDEPDHDDVDAAQPHAPGAEDWARDKKHADEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENSADHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIRAFSWNPATFLHAYTCLPLAQATRRAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYTYVHFFDENTYLTLLTTRPDAFYDLKDSRARIQDVLLKANVLLEVQRSLHENALRVEDVPVDLSSQSTSLPAQSSQGNSQSLSSEMAIGGPAGLWHFIYKSVYLDQYVSSEFASPIRNPKQQKRLYKAYQKFYASMHDKASGPHRTQFRRDEDYVLFCWITQDFELYAAFNPLADKTQAIKICNRVCQWIRDLENTIFVYGESTLAWQYHHCWGDI* >Brasy1G003500.2.p pacid=40057484 transcript=Brasy1G003500.2 locus=Brasy1G003500 ID=Brasy1G003500.2.v1.1 annot-version=v1.1 MDPNPSSSSPLDSSHPEAMDPDPNPTPDDKGLAALSLRAPAALPPDFAAAAPEIEEEEEDDDDDEGYFTAASRGGSSSTAAWKEEMEGLEEEDDDKDGPPSPSSSGYAGERGSSLASSAAGGGIDEPDHDDVDAAQPHAPGAEDWARDKKHADEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENSADHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIRAFSWNPATFLHAYTCLPLAQATRRAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYTYVHFFDENTYLTLLTTRPDAFYDLKDSRARIQDVLLKANVLLEVQRSLHENALRVEDVPVDLSSQSTSLPAQSSQGNSQSLSSEMAIGGPAGLWHFIYKSVYLDQYVSSEFASPIRNPKQQKRLYKAYQKFYASMHDKASGPHRTQFRRDEDYVLFCWITQDFELYAAFNPLADKTQAIKICNRVCQWIRDLENTIFVYGESTLAW* >Brasy1G003500.3.p pacid=40057485 transcript=Brasy1G003500.3 locus=Brasy1G003500 ID=Brasy1G003500.3.v1.1 annot-version=v1.1 MDPNPSSSSPLDSSHPEAMDPDPNPTPDDKGLAALSLRAPAALPPDFAAAAPEIEEEEEDDDDDEGYFTAASRGGSSSTAAWKEEMEGLEEEDDDKDGPPSPSSSGYAGERGSSLASSAAGGGIDEPDHDDVDAAQPHAPGAEDWARDKKHADEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENSADHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIRAFSWNPATFLHAYTCLPLAQATRRAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYTYVHFFDENTYLTLLTTRPDAFYDLKDSRARIQDVLLKANVLLEVQRSLHENALRVEDVPVDLSSQSTSLPAQSSQGNSQSLSSEMAIGGPAGLWHFIYKSVYLDQYVSSEFASPIRNPKQQKRLYKAYQKFYASMHDKASGPHRTQFRRDEDYVLFCWITQDFELYAAFNPLADKTQAIKICNRVCQWIRDLENTIFVYGESTLAW* >Brasy1G176500.1.p pacid=40057486 transcript=Brasy1G176500.1 locus=Brasy1G176500 ID=Brasy1G176500.1.v1.1 annot-version=v1.1 MAFAGVSLIVDGKLCPYTESPVDAHSDSGYHLLVVHGHNRTTKDTPNGRCIKSRPFIVAGHRWILSLYPNGEHEGVKEFISISLDLCEDKDVLQPVLAKYVISYIDQTDLQVPTRIKSRQAFDFSRLNIVCSNFVRRDVLERSRHLKNDSFTIRCDVIVDTNAERATSTHRAPPFIQMPPPKMDSHFSDLLLTKEGTDITFEVGGENFAAHRCVLAARARSTVFKAQLFGNGILEAGATVKIDGMEAKVFSLMLTFIYTDAMPYMVRDRRLKEDEGEEEEGNSTGKDGSGEEGAETDEEEAETDEDEAETQEIVMWRLHLLEAADRYDLQGLKMSCEESLARFIRVSTVADIIVAAEQRQCRWLKEVCLEFIRSHTSLHAIFTPEGFEQMTRTCSTSGLKELTSGLKELISKFVS* >Brasy1G305200.1.p pacid=40057487 transcript=Brasy1G305200.1 locus=Brasy1G305200 ID=Brasy1G305200.1.v1.1 annot-version=v1.1 MSEVFEGYERQYCEISASLSRKCTAASSLLGEKLKQNASEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKLREYKSDLNNLKGTLKRITTGNAQQGTREELLESGMADTLVVSADQRSRLLKTTEKQNQTTDRIRDSHRTMLETEDLGVSILHDLHQQRQSLLRANDTLHSVDDNISKSRRIMGAMVRRMDRNKWIIGLIITLLVFAILVVLYFKFVH* >Brasy1G167900.1.p pacid=40057488 transcript=Brasy1G167900.1 locus=Brasy1G167900 ID=Brasy1G167900.1.v1.1 annot-version=v1.1 MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRLISEYHAPSDGAGAGGMCTLQLDDIPGGAKAFELAARFCYDVKIELSAQNVVCLRCAAEYLGMTEDYAEGNLIAQAESVLARDVLASWKDAIRALETCEGAVLPAAEDLRIASRCITALATKACATDASAASASACAWAPKKSASLDCGRVDPALWNGIGSGDHTPRAASAAAGNSVDWWYEDVSFLSLPMFKRLIQAMEAKSMRPESIAGAIMFYAGRFLPGLLRPNTSSGFSNAAAAAADCISITTPRAGGANGLSEGEQMQFLEEIVALLPARKGVASTRFLLGLLRTAMLLHASPLCRENLERRIGAQLEDASLEDMLVPNLGYTVDTLYDIDCVQRILDYFMSSTDGVGTGYTTPALAEEGSLLGVPQACTPSAQSPIAMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARTVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVADNDGGAGAHPQHPGNNAGAIVPKGGSAADSGQADPVASEGKGSEAMSDVKARVSELEKECMIMRQEIRRLGKPRRSWSMLTRKCGFGAKVQQTHPAMRGK* >Brasy1G465400.1.p pacid=40057489 transcript=Brasy1G465400.1 locus=Brasy1G465400 ID=Brasy1G465400.1.v1.1 annot-version=v1.1 MPASSAPRTLLLCSCFLTLLFHSTAASQRDLRFSADDIDAAALPASHGASSSKAKSTFFEVSRPIHPPRGSRGPCSTLLLSHSFASTFNKPPVTAAYSPPACLPASAGDVSFAVLEWRAECHGVQYGRTYGVWLGGVELLRGSTAEPRPGGVTWSVHKDVTKYSSLLSAGNSTLTVYLGNLIDDNYNGVYDASLTLHLYFRGSAVAADRSPSPAAQPPADLILPVSRSLPVDDGLWFVVQNTTDIESAPVAVPANAYRAVLEVCVSSHAFDEAWYMNTPAENGPFREVTVLLDGDVVGAVWPFPVIYTDGINPLIWRPITGIGSFDMPTYDIELTPFLGKLLPDGSGKAHEVGFAVTNAQRSWYVNANLHLWLDPKSSFTTGGLVSYDAPKLAGSIVGHSKDGFDGEYRASASRNISATGWVMSSTRGNVTTTFTQRLVFANTNVVSNKGDAQDINQTIDARTEVAGEYYAQRVHQSFPLYIFQGGDGSGTGNQRLTRRVEIGFVETRAGPARTSTLRNKQTAEAEVVMRDSKEAGASWRMHQVYSYGASNGACYVRNVTSVGYDVLFDHYDASCGGASRR* >Brasy1G009500.1.p pacid=40057490 transcript=Brasy1G009500.1 locus=Brasy1G009500 ID=Brasy1G009500.1.v1.1 annot-version=v1.1 MASSCCSSVSHGRLRLPLPTTASSTSPASLRLVSRSRRPLPISSSSSSEPPAPDAYADDQRNGWAAATEEEQEEDRDERYGFEIEVRKLPEPKRNRRVVRARVRVGAPLEAVWATLTDYEGLAGFIPGLSECRLLHQDAAFARLYQVGEQDLALGFKFNAKGTIDCYEGEMEVLPAGARRREIAFNMVEGDFKVFEGKWSVEEVEDSLDEGGEIPTGQEFQTTLSYAVELEPKLWVPVRLLEGRICKEIKTNLISIREEAERIQRLQKE* >Brasy1G478300.1.p pacid=40057491 transcript=Brasy1G478300.1 locus=Brasy1G478300 ID=Brasy1G478300.1.v1.1 annot-version=v1.1 MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVHLTSPPVASMKKSASMSCIASSLGGGSGGSSPAAGAGGGGGGARGGGEVAPGYVSDDPMHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPTGAEEFMVCSTQDESSSSNKLSLFHLGQHKEAEFDKDVPTLHLRQHKESEFADPSLEAPDLEMNHDVSFKAATVPTMPAFYPTLVPVPLTLWPQNVANVEVAGTTHEILKPTPVNGKEAVKGDEVVSMSKLSIGEGSSGSLEPSALSLQLTGSSNTRQSAFHVNPPMTRTDLSKGNNSPIHAV* >Brasy1G478300.2.p pacid=40057492 transcript=Brasy1G478300.2 locus=Brasy1G478300 ID=Brasy1G478300.2.v1.1 annot-version=v1.1 MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVHLTSPPVASMKKSASMSCIASSLGGGSGGSSPAAGAGGGGGGARGGGEVAPGYVSDDPMHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPTGAEEFMVCSTQDESSSSNKLSLFHLGQHKEAEFDKDVPTLHLRQHKESEFADPSLEAPDLEMNHDVSFKAATVPTMPAFYPTLVPVPLTLWPQNVANVEVAGTTHEILKPTPVNGKEAVKGDEVVSMSKLSIGEGSSGSLEPSALSLQLTGSSNTRQSAFHVNPPMTRTDLSKGNNSPIHAV* >Brasy1G165300.1.p pacid=40057493 transcript=Brasy1G165300.1 locus=Brasy1G165300 ID=Brasy1G165300.1.v1.1 annot-version=v1.1 MEMDEDESGDGRERLLSSILKENGKAKATKAPAGKTKATLASGVAAATTRSKSNPTIVLIDKRTTTPGQRRRAPPPRPLPTPEPARNRKGAAASGSTSTGCISKTKTKASSNLSM* >Brasy1G111000.1.p pacid=40057494 transcript=Brasy1G111000.1 locus=Brasy1G111000 ID=Brasy1G111000.1.v1.1 annot-version=v1.1 MTSHSAAQGAGPERRAGNGVVGTRRGADRSDAWDLAAGVGSRSECLVAWWGSAAAVPGRMGGSAAAARGWRSAATAGERELRGRVEAGRGVGGGGGARGGREGGGGGVRGCRGEEKKK* >Brasy1G176300.1.p pacid=40057495 transcript=Brasy1G176300.1 locus=Brasy1G176300 ID=Brasy1G176300.1.v1.1 annot-version=v1.1 MIAAGKTSWPELVGTPAAEAAMTIFGERPDLGVLVLPVGTTALSSPGFDSARVCVFFDARDKLGRVAAIPTVGCVVEESPSFSTSI* >Brasy1G190600.1.p pacid=40057496 transcript=Brasy1G190600.1 locus=Brasy1G190600 ID=Brasy1G190600.1.v1.1 annot-version=v1.1 MSKRQGPPKHQNTYAWKPNLGRKINETEPGGRFRPLSEITGVCQRCRDQIDWKRKYGKYKPIVEPTKCQKCSKRNVRQAYHNVCTGCSKDLGICAKCCTSVKQLVGRDAEEEDTERKALEEAIRYARERERRTLVRLMNKSKDGESGPSVPKIADRDREGDLFPVASLDEYAEQAIQKDDSDEEARDFVED* >Brasy1G065600.1.p pacid=40057497 transcript=Brasy1G065600.1 locus=Brasy1G065600 ID=Brasy1G065600.1.v1.1 annot-version=v1.1 MDMASMNDPERLFFFDLACKTAKATYEENPLDADNLTRWGGALLELSQMQNGEDSLKCLEDAESKLEEALKIDPSKADALWCLGNAQTSRGFFTADTFQANECFEKATGCFEKAVDLDPANDLYKKSLDLSSKAPELHLEIHRQMASQAAAGPSTSSARQPRRKKKESDFWYDVGGWVILGIAIVGWVAMSKNQAPPPPPPPHM* >Brasy1G199800.1.p pacid=40057498 transcript=Brasy1G199800.1 locus=Brasy1G199800 ID=Brasy1G199800.1.v1.1 annot-version=v1.1 MALAPCARYSVRSPTRLRGIASGSGRTGGGVREGGREGEAKRAGGWMRGDIAIAARWCGAGRRPVGGVGASASYRRVEDGKNGSKGLGAWAGMGWEEEGRRAADVSRGIFAGFRSEGDRGEGGRELQGGRVGGRGRRLVRRGCGGGGSPRAVRARTGKKDGRNRSR* >Brasy1G376400.1.p pacid=40057499 transcript=Brasy1G376400.1 locus=Brasy1G376400 ID=Brasy1G376400.1.v1.1 annot-version=v1.1 MVLLLGLLLLLGLSGSVASPPPNPVVCARGGTSDCTVTNAYGSFPDRTICRAANITFPSNERELVAAVAAPAAARRKVKVATGHSHSFPKLACPGAGRGDGALVSTERLNRTVSVDAARGLMTVESGMVLRDLIRAAADAGLALPHSPYWGLLATGAHGSSLRGKGSAVHEYVVGMRIVTPAPAAQGFAVVRELGIGDPELDAAKVSLGVLGVVSQVTLTLQPMFKRSLTFLKRDDSDLAKKAVTWGNLYEFGDMAWLPAQGQVIYREDNRVAVTTQGNGLNDYLGFRSKPTLELIAVRATEELLDITSCQAAQALALEFESQAYGFTNDGNVLTKYPVVGFQHRIQASGTCISSPEDGLTTSCPWDPRIPGLFFYSSGFSIALSNASAFISEMQQLRDLDPHAFCSVDAKLGVLLRYIKASSAYLGKSEDSIDFDVTYYRSHTEGRRARRARADGVAQYGTIPHWGKNRNFAFDGAIGKYPNAGKFLKVKRSYDPDEIFSSEWSDQVLGIKGSPIIVKERCGIEGLCVCSDDSHCAPELGYLCRPGKVYEEARVCSA* >Brasy1G052500.1.p pacid=40057500 transcript=Brasy1G052500.1 locus=Brasy1G052500 ID=Brasy1G052500.1.v1.1 annot-version=v1.1 MAAAGADRLSDLPDDLRRRTRVEDYDLLSPEADALYSSRRDAFVSAAGAALDAADAPVTRLALRLEIHCSSHYFIHKFLYRHVRDSDGSRSDDEDAVVAAVLSHKAARRVEELQIAAEPRRRRDYTRDTGNNEMTREWWLGIYKLGLQHLPSDTLRVLELTNCTPILPAAAVEFPFLSSLRLRHCAVRLDDLQSVIDSAPALAAVCLDSVLIDLHSTAAPVPPRPSKDAVQLCPFRCLAAAVLVLDSCRWCRGKEASPDAISLEIEAPRLRRFGYKGLLRPLRLSPRPPELTRADLHFFRDRHHDRDPWYHLEAFWRVLDSFAGAKEMKLRVRHLEDAALLSEAWRAALLPAFGNLERLELQGMHRPKGKTAAVAIANLLRCCPVLSDLRINLTAAHHHNVGHRHDLHDLIARRFQSDRDRSMDLLNRCGSSEPTIGDDSEVSEIPGLSRRSFGCLQSSLRRVGLQFRMEESNDNCFGAKLVRFFAENAMVLEEMHIDSGNGKLCEHMNGKVERWIASSSRRRNSGATSFVVLPLKR* >Brasy1G219500.1.p pacid=40057501 transcript=Brasy1G219500.1 locus=Brasy1G219500 ID=Brasy1G219500.1.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.7.p pacid=40057502 transcript=Brasy1G219500.7 locus=Brasy1G219500 ID=Brasy1G219500.7.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.8.p pacid=40057503 transcript=Brasy1G219500.8 locus=Brasy1G219500 ID=Brasy1G219500.8.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.2.p pacid=40057504 transcript=Brasy1G219500.2 locus=Brasy1G219500 ID=Brasy1G219500.2.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.3.p pacid=40057505 transcript=Brasy1G219500.3 locus=Brasy1G219500 ID=Brasy1G219500.3.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.6.p pacid=40057506 transcript=Brasy1G219500.6 locus=Brasy1G219500 ID=Brasy1G219500.6.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.4.p pacid=40057507 transcript=Brasy1G219500.4 locus=Brasy1G219500 ID=Brasy1G219500.4.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.9.p pacid=40057508 transcript=Brasy1G219500.9 locus=Brasy1G219500 ID=Brasy1G219500.9.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.5.p pacid=40057509 transcript=Brasy1G219500.5 locus=Brasy1G219500 ID=Brasy1G219500.5.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G219500.10.p pacid=40057510 transcript=Brasy1G219500.10 locus=Brasy1G219500 ID=Brasy1G219500.10.v1.1 annot-version=v1.1 MGAMDVQLESVAKLNVEVLAQGSLLFDGNHTAQKINGLNSDDCERFEKGSMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNEAKNSIGIDTMRRHEIPRHEVHQVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHGCGICRIGGRENFFHCSKCGCCYSTVLKNSHSCVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHENCLKEMEEHCQFSCPLCSKSVCDMSKAWERLDMELSSLSDSCDNKMVRILCNDCGAVSEVQFHLIAHKCQNCKSYNTRQI* >Brasy1G160300.1.p pacid=40057511 transcript=Brasy1G160300.1 locus=Brasy1G160300 ID=Brasy1G160300.1.v1.1 annot-version=v1.1 MRALICLRLRSRLYLPLNPKPFSSSGTDEIPTVYSFLQPSIFARRPKPQPPPPPPPPAPNTAPKALPVADAVALEEGIFAAVAEDRSDDAWLAFKSLAAASLSPSPPAAAALVSHLVAENQRLGLKRAFAAVVFLLEKSPHTSPLPEAALETLFSSLVTAGSAAPALALARSLIRCGRRLPAFSAWGRQLIDLTRTDTGSFAALLKVFDEACRLMVEEKPPSVIAVMRPDLAACNAVLDGCCRRLGSITDAERVLEIMSAVGVSPDVESFGCLAFLYAWRDVPSRVDELDKLLEALGFSKKIFFKNLVSGYLKCCSFESVSSIILRTLKERRAGDGNAFDDECYTEVAQCFVDNGRIRELAQLIIQAQEIELTQQLLVVDDSVGFGIVNACVGLGLLNKAHSILDEMTAQGASVGLGIYSPILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFTLFKDMREARVPNLRTSYLTIMTGLTENNRPELMASFLDSVVDDPRIEIATHDWNSIIHAFCKLGRLEDARRTYRRMVFLIFEPNNQTYLSLVNGYLSAEKYFNVLILWTEVRRKGANFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFVDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNS* >Brasy1G486500.1.p pacid=40057512 transcript=Brasy1G486500.1 locus=Brasy1G486500 ID=Brasy1G486500.1.v1.1 annot-version=v1.1 MSSSMYRKRRPAKAACSMERPSGLTACGSSKRPRLIPSAAVSDESSSSWASLPADLVRLIGWRVLASDLRDYVRFRAVCPHWRSSSVCPRGRGVVDPRFHPRRWMMLPEGDGLHPGHGKLRGYIRFFNLSTGVIVRVLLPLFRDHCILDSVDGLLLLQRDQDTAIRLLHPFTGDIAELPPLATLMRLPKANLDVRRTWDYCRTIGATSFSVSEDGVITVMIVFSKLSMFAFATSRDHQWNVPTWRPSPFRSPVSFRGKLYMLDNTPFNGPRDVQIYQIDPPQYEEMPSGSCPMPTQKLVATCPVSKMRSPSYLAECDSEILVIGYRDGFFRHPLVYRLSDLILDRVVPVTSIGDNVLFIDQRILSVSPSAVNIAGDSIVAVHPKEKYLAQCQLSSCTWSPAADGRIAIHGGVSRPYSFVYHIYTSCHRASWNKGAIRCQYRSQLTWKVKKKWREGA* >Brasy1G180300.1.p pacid=40057513 transcript=Brasy1G180300.1 locus=Brasy1G180300 ID=Brasy1G180300.1.v1.1 annot-version=v1.1 MLCTTATAWYSMAQSQSWHNATRPHTHYTWPATSAAPPPPRQREKSKTRTRTHCVPKPLFSLTLSSKAQRDRGAGSGELLMAMATSTFSPPHQLPLKPQLGPKPSLLLAPFPRLRASPRLRLAAAAGEAPVEAPPKPAAADPSPAASNGAAAAVKIEESASPRFNDSRWVNGTWDLDLFGRKAGAVDWDAVIDAEARRRKWLEDFPEASSSDEAVVFDTSIIPWWAWIKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIDSLKKLIDESTFYDKQWQSTWQEDSPSVPKK* >Brasy1G114900.1.p pacid=40057514 transcript=Brasy1G114900.1 locus=Brasy1G114900 ID=Brasy1G114900.1.v1.1 annot-version=v1.1 MYESTTTSSSSSVSALSADLPPLLHCCFQLLPCLDHDLATRCVSRLCSFLHSILSGDPDPAFVPALETQPQKHDPRRGWPAAGVEPARRPTERLDGSGGRRNCGHVGGPRHAAAAATSPPRHSPAAPKPAASFPPCSSSAAVEDDHQSPSSCCFRIRKRSSSRGVPGAGNELLELLTPAAIEDNHLPLCLFHVPLPDLEDPHMSSASDSFLTT* >Brasy1G269800.1.p pacid=40057515 transcript=Brasy1G269800.1 locus=Brasy1G269800 ID=Brasy1G269800.1.v1.1 annot-version=v1.1 MGRSKHQHYSISLPVLLLVSAVLLLNAHLGSCGCFKRIFSFGDSIIDTGNFGHAAVKNPGPIMELPYGMTFFHHPTGRVSDGRVIVDFYAQALGLPFLPPSMVGEESGQFPTGANFAVYGALGLSPDYYMRRYNFSMPMPWCLDTQLDSFKKVLARIAPGPGATKSLLGESLVVMGEIGGNDYNFWFFSTKTSRDRETPGQYMPDVVARIGACVQEVIGLGAKTILVPGNFPIGCVPRYLSNFRSNNPADYDEFHCLRWFNDFSKKHNRMLVQEINRLKSRNPGVKIIYADYFAAAMEFVKNPHKYGIDDPLTACCGGNGPYHTGKDCDKNAKVWGNPANFASWDQLHMTEKAYNVIADGVLNGPYADIPLLHAC* >Brasy1G232300.1.p pacid=40057516 transcript=Brasy1G232300.1 locus=Brasy1G232300 ID=Brasy1G232300.1.v1.1 annot-version=v1.1 MAAEPAEDTAATFRRVYETLKADLLRDTSVNFNEDAVQWLDGMLDYNVLGGKFNRGLAVIESYKLLKAGSEPSEEEVFLACILGWGIEWLQAYFLVLDDIMDNSQTRRGKPCWYRLPKVGLFAINDGLVLRSQISQIFKRYFYGKTYYVDLLDLFNEIEFKTTSGELLDLITTNEGREDLSKYTLEVYQRIVEYKTAYYSFYLPVACALLLSGWSLDDYVQVKHILVEMGVYFQIQDDYLDCFGDPEVMGKIGTDIQDFKCSWLFVQALERIDGKQKDILFENYGKSNAACVAKVKDLYKELNLERVFCEYETEIYEKMISDIDTQPNEALQAVLKSFLHKIYRRRK* >Brasy1G376000.1.p pacid=40057517 transcript=Brasy1G376000.1 locus=Brasy1G376000 ID=Brasy1G376000.1.v1.1 annot-version=v1.1 MARPLSWSAPPTSSWVILGSIARVSSQADEEGEASGAADEGRDISIALTAPPRVSILDVSPRVFPEPATPQHFPFVLAADPSGLLLLQANLACPRHREDIELPVPDHYWSYCWRSSKSRYIVLDATTASAFHLPDPRRPILHQAMLGLLASPDGGGHYMVAELQPFIGGDTATLLCFSTEVGEWVYKPMDYPLPPRQLAPSCVVSHHGRLWWVDLSWGLITCDPFADEPVLAFVPFPRGTVLECNEGFGITDSVWTLEHEATFADIWADKSYKAAKLPKEIPVLALIHPENPCVVYFFLDRQLFGVDVRVRKFVHCKVYGLVAPPSWYVASRFVQAWVLPPALSSGNATHHASSLYF* >Brasy1G541600.1.p pacid=40057518 transcript=Brasy1G541600.1 locus=Brasy1G541600 ID=Brasy1G541600.1.v1.1 annot-version=v1.1 MATTNGSIELDVLDCSICMDPLQPPVFQCAVGHAICSSCHGRLPRKDRCHACSAGGGYNRCNALEKILESFRVPCPNAAYGCAAATRYHEAEDHRGSCPHAPCFCPEPGCEAFAGGSTAALLRHLVGDHGWPATEMTTYGGERLTQPVPEGTTTTRVLHTGGGGGPLFLVRFTPAPPFGAAVSVVCVDPHAMAAGERKFRCRLSCAGADMAWQQTSDFQVWSTDLSGGLPPPEAAAKAEDGGCAIVVPGAGRITVGIARIMRDKRGNEIQLKRVRQSSSLPFAIANLLSRGHLH* >Brasy1G444900.1.p pacid=40057519 transcript=Brasy1G444900.1 locus=Brasy1G444900 ID=Brasy1G444900.1.v1.1 annot-version=v1.1 MGLEQYKYSHYRFTWRNRTIRRDGGRVPVMGPCKDQTKEKDRGRCTYFATFGVLGAHIRLKGYPATEYITLSCTN* >Brasy1G159200.1.p pacid=40057520 transcript=Brasy1G159200.1 locus=Brasy1G159200 ID=Brasy1G159200.1.v1.1 annot-version=v1.1 MGNCAASRHGAESWTDDGEWEWEEAASSEEEDDHHASEVTIRITKRQLHELMQRKAAAHGGRSGESRQQLLADIMNSGEVHYHDPHREEHWRPALQSIPEAVES* >Brasy1G315000.1.p pacid=40057521 transcript=Brasy1G315000.1 locus=Brasy1G315000 ID=Brasy1G315000.1.v1.1 annot-version=v1.1 MADYEQEQEMELEALQAILMDDIKEIDPSESGINTTSRCFEILLSPQDDDFDEAAHVPVQMALIFAHTEKYPDEPPLVNVKSVRGIKPEDLTSLKEKLEQEANENLGMAMVYTLLDSAKEWLTEKYGQNAVDEESEETDEPAEEVIIPHGEVVTKESFTAWRERFEAELALQRAKLLPESALTAPKEKKLTGRQYFESGRHIMKGSSTVAEEDEEEEEDIELDDDFEDDEEDMLEHFLAEQTGKSSA* >Brasy1G499400.1.p pacid=40057522 transcript=Brasy1G499400.1 locus=Brasy1G499400 ID=Brasy1G499400.1.v1.1 annot-version=v1.1 MTIEVQQQQRRGMGMAALPAGFRFHPTDEELIVHYLRKRASSGSSAPWPGVIAEVDIYKLDPWDLPSSRSSPSNGHGGDECMYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPIMSSSSTAGGRGDHGVVVGVKKALVFYQGRPPKGIKTNWIMHEYRLADARPHAYRPPPMRSSSSAASMRLDDWVLCRIYKKPNPQLSQLLLRHDHDHQPSSSSMDSQQHPQHQQDQYDSSSSTSQMPMSMSVSMPMPRPPSISDYLEDYSAVSHLFDAGFDEASTTRKRDTSDGGIDHTLRASKRSMFGPDQLTMNSDFSMYGPDQLLPTNSSKDRPDVIDACRLPAN* >Brasy1G406200.1.p pacid=40057523 transcript=Brasy1G406200.1 locus=Brasy1G406200 ID=Brasy1G406200.1.v1.1 annot-version=v1.1 MATGPLSYALLALTTLLVLPSSLATAGGFPARALLQTCNPSGTIRGKSGTCNPDNGSECCVDGQRYRTFACSARVTGRTRAVLTLNSFAEGGDGGGRSECDEEFHADSEPVVALSTGWYDGGGRCGKRVVIRAASTGKATTAKVVDECDSTRGCDGEHNFEPPCANNVVDGSPAVWKALGLDTDDGVVPITWSDA* >Brasy1G306800.1.p pacid=40057524 transcript=Brasy1G306800.1 locus=Brasy1G306800 ID=Brasy1G306800.1.v1.1 annot-version=v1.1 MSLGKNKSPSRREAADRKADEEFLEGRDSGGGEGEGAVVQRKESGAGGKKAADQRRYARCFSGLDLSIGPGPLRDVDAGKLKGQIRKWAKAVVAYARQLSFGSPRSSSGSAGATPRSATFRSKSGHGGARPEQPPP* >Brasy1G508100.1.p pacid=40057525 transcript=Brasy1G508100.1 locus=Brasy1G508100 ID=Brasy1G508100.1.v1.1 annot-version=v1.1 MASSKNRSAIFLVTALTLVVVATPSHKCFEPTPTPTCNLVECRKRCGKDATAYCYMSLPPTKDIQPMGVSACCCRPNHAPTISKTAAAPAMAPSRKLRS* >Brasy1G118300.1.p pacid=40057526 transcript=Brasy1G118300.1 locus=Brasy1G118300 ID=Brasy1G118300.1.v1.1 annot-version=v1.1 MASRFGQAPLLHVPSKSMGNHHHHHQQQQQQQRGVFVRKVGAGNLAEELKTIRSYLTFPSPEVREEDLPATARYDVARTNIGALHVLQLGITLFDSRGSLPVLRTPGGGASSPTVWQVNFCDFDVRRDPCSVPSVEYLRSQGLDFDELRACGVSARAFGAALVESGIICGPNRAMLTWVAFGGIYDYGFLLKMLTGGKPLPDTREKFMAELAERVGVEVYDAKYVAASAGVRGGLMKVAKVLAGPGAPRTIVAPASERRQAGEKSLVACQVFVAMRRFFARSDIKVPVARIDGLC* >Brasy1G340100.1.p pacid=40057527 transcript=Brasy1G340100.1 locus=Brasy1G340100 ID=Brasy1G340100.1.v1.1 annot-version=v1.1 MLRSFPQARRLLRRMGFEKGDAYFFKQMGKGMLCTYALFGAAWFWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSGNMQKQLQSKKFDQEAQKLWFGMRNEVVQELQEKGFDVE* >Brasy1G438700.1.p pacid=40057528 transcript=Brasy1G438700.1 locus=Brasy1G438700 ID=Brasy1G438700.1.v1.1 annot-version=v1.1 MSSNSYPAAAAATFTTPPGAHPLPLDEDAFRRQGRQVLDFIADYYDRIDEYPVRPNVSPGFLAAQLPDAAPSWPDEPEPDAALASALRDVRDLILPGLTHWQSPRHFAHFAATAGNAGALGELLAAGLNINPFTWAASPAAAELEAVVTDWLGKALHLPEKLLFHGGGGGTLLGTSCEAMPCTVVAARDQKLLKIGEERIGDLVVYCSDQTHFSFKKAARVAGIRRGNCRVIPTRSEDGFALSPAALAAAVRDDVAQGKVPLFLCATVGSTATGAVDPVRELCAALAGHGGGVWVHVDAAYAGAAGVCPEFRHVAQGAEEADSFSTNLHKWLLANMDCCALWVRRPEVLVAALGAGEDEDAILNKAPQATRGMPALMVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGVVRGHVRMAAAFEAMVRADPPFEVPVPPEFALVCFRLRPLAHPGSSAGSGIIDEVNERLLEAVNATGRAYMSGALVGGAYVLRCAVGNSLTEDRHVAEAWSVVQEQADAILAAAAARSDDDDRSCTDQIQTEMELARPLAAADVFA* >Brasy1G306400.1.p pacid=40057529 transcript=Brasy1G306400.1 locus=Brasy1G306400 ID=Brasy1G306400.1.v1.1 annot-version=v1.1 MKGESPPPWLELLLATQFFTICTIHVSSSRNECNLFCIDCEAPQAAICNYCRSCHHSSHRIIQIRRSSYQSVVKVADLEDILDVSDVQTYVINSATVVFLHERPQPRAGVRTSSALYNCEICNRGLLDGFRFCSLGCGLKGIKEDTETTVGTRNISDCAKKDDEPGCSNEIANTRNNECNGNNDDCSKERPPPARVIRHRRKGIPRRAPFY* >Brasy1G035000.1.p pacid=40057530 transcript=Brasy1G035000.1 locus=Brasy1G035000 ID=Brasy1G035000.1.v1.1 annot-version=v1.1 MNERHHRHNRCPGRVDYDLRRRSPSLMSGAPEEEDGRSRARRPALCTAAARDGPASGSRRRKRGIRRCAAAFMPPSDQRPHASSVCRCAPLFSLANHGASPPPPTKPPLPLPLAPVPISGRGSRRWRSPPDPVLSPPPVP* >Brasy1G113200.1.p pacid=40057531 transcript=Brasy1G113200.1 locus=Brasy1G113200 ID=Brasy1G113200.1.v1.1 annot-version=v1.1 MAPIRRGAPRPDFSSHPTDLELIDNYLRPWVTTGDRPWKFIHDADVYAANPEDLARTFSPALAQRRPRGVSRRGQRKVAHGGIGDDGCWHSERAAKPLFSGIVHSRQIGYRQAFSFTTKVDGRLVRSGWLMAEIGLTPGGSSEEELVLCKVYRTPREAPPTGSTAAARRVGAKIGRGKAGECSASSEENASGAGPAKASVYSAQPARASSSTDSAATESDSEQDSSSHAIIDTSLSAAPIRTQIQRVIAGDAVLTPRAEACRPPQVPFLPSSPIRRTSAAPRVAARPDFAKHPSDQDLIKSYLIPRISSGEHPCKFTHDVDVYAADPAVLSGQYSPGTASDGEKAWYFFTTLPAKRIRGQRRPRTVGSGQGCWHSEAGVREVVDGDRQIGCRQFFSFMKKTRSSGKSLRTGWIMVELGLNYGEHKGSSDELVLYKVYRSPRAGPATDAQQSTAATESSGRKRKASDDNSGAEIPARGTKKSTAPAASGRKKKTDDKNSGAAACPPQLCTRCRIETAESHSGAADEEDETRGGSETGLLENDSLTDESAAPRGHERGESSGSGRTFYHFV* >Brasy1G464500.1.p pacid=40057532 transcript=Brasy1G464500.1 locus=Brasy1G464500 ID=Brasy1G464500.1.v1.1 annot-version=v1.1 MAPVETPQEQPYASKPAADGRSYWRWHKDDFFPEPSFSSWGAYRSALAATPARLRDRFTGRSTDAIELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASFINMPVSKLRIHTSLAEGYNELDPVAVVVIAVTATMAMLSAKGTSRINWVASAVHVVVIAFVIVAGFIHAKPRNLTPFLPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITVIYCLMALVLSMMQPYTAIDRSAAYSAAFSSVGMHWAQYVVALGALKGMTTVMLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVNATILIAVLAACIAFFSSLDVLASLLSISTLFIFMMMATALLVRRYYVRGVTTRAHALRFVAFLMVIIASSAGIAAYWGAAPERWEGYVVLVPAWVIGTLGIQLMVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFVRFGVCTAVMLVYYVLVGLHATYDVAHDDECGEDEQLGYRDATAVADAADGKAGATPGDVEKASVGPGGEGGPR* >Brasy1G337100.1.p pacid=40057533 transcript=Brasy1G337100.1 locus=Brasy1G337100 ID=Brasy1G337100.1.v1.1 annot-version=v1.1 MAMTSRRQARRDISIEGMTRPVAVDHRISLPYYFRIADNLLRQANIYREEKNLLDLYIILLRYSSLLSETIPKHRDYHAFKSREKEFLKKGPHNSDKLLNVISELESLKPVVKRQIAELTRGGAEEPDELYGTYAANSASSMMDQLAPSPYMAQPLFGSSAGSLQKSFSRGNHQGASLQGVEPDRQLVKSYANLPRPKEETLSRHSILGPNGLHGQWTGPVTGVKIQYPSYPELTQSDIPSLVPAILNENGSYGPSTSTTSQDGPANNNGDMQSVLSLDDGRWSLPIEEPASVSPSGFEAEFSQLNIRQPAPPPVLAQVHPERRPISPSKVADPRPGLATCDTGRFQNLHVPVALMESFLRLAEANTANNLETCGILAGNLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLFTLGWIHTHPSQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLRQV* >Brasy1G418000.1.p pacid=40057534 transcript=Brasy1G418000.1 locus=Brasy1G418000 ID=Brasy1G418000.1.v1.1 annot-version=v1.1 MIRSKPGPKFLAGCSPLPLPAAARAAHRCCSPLLSRFRLPRASPTTASRLPRLARQSRSPPPPPPRRARRRYRGGRAEGGAAAARLQPEDAAPACPVLPTARLPWRRRALLHLPRRSKPGQRERERWRESTRRWARHLLPRAPRPAAAAPSPDAVDHSRCSASPRRPARSRRASPRRGHAPAVGKKREEELKERGGVGGRRERRWRERGAGGRRRRAGVGVGGRLEDVLVGKRRSERDKAGGRKDALGEGGRRQTEMCGLDGSTPSIRGSVTRIGDVANMPLPEAGRRPPAKTI* >Brasy1G106800.1.p pacid=40057535 transcript=Brasy1G106800.1 locus=Brasy1G106800 ID=Brasy1G106800.1.v1.1 annot-version=v1.1 MGSAEVVRSREAEGVDAVRCPGGLGGGGGGGGGMDKYETVRDIGSGNFGVARLMRNRETRDLVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVVLTPTHLMIVMEFAAGGELFERICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSAAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYNIPDHVHISTECRQLMARIFVNSPGRRITMKEIKSHPWFLRNLPRELTEAMQAMYYRRDNTVPSFSEQTSEEIMKIVQEARTMPKSSRPSYGWGDEGSEDEEEKQEEDRPEEEEEEDDEYDKRVKEVHASGELRMSSLHI* >Brasy1G571600.1.p pacid=40057536 transcript=Brasy1G571600.1 locus=Brasy1G571600 ID=Brasy1G571600.1.v1.1 annot-version=v1.1 MGKFSGRRATKSRSRRLRRSGLSARKASLRILLVSLPVRNPRSAADHGDGGPGAATASEEPVAKRTRSRSAVAPAIAVLPLDLLLGEILARLPSAAVLRCRAVCRSWRRLSSDPEFLLAHHRRQPSVPLFTLSTSYDHGGTTPAGPDRGQPLLAFDVDHRGRFTLHASCDGLLLISNGSRSFTICNPATRQHAPVPGLNAAAFGGGANIEALYAHHPSGEYRVLYWHDHYFRHKTHSVCYVLSLPRAREPRRVALPAAYLGMVGRVDPRPPPVMSRGCLHWGPNSYSSLAGTGAVAVFDTVAESFRSMRLPAAALAATSCCTLLHDMDGMLGLSCFDVNGKVAKVWVLDDYQREVWSLKYKINFSSESMCSLAKRHLVLSHEGDMLLYSNNSNSGSHMVHYDGKFLEKFQWESWASTLTGHLFKESLVNHAFFPKPCSAPTVKHRCLFTRL* >Brasy1G205600.1.p pacid=40057537 transcript=Brasy1G205600.1 locus=Brasy1G205600 ID=Brasy1G205600.1.v1.1 annot-version=v1.1 MPELPELHLGSGPRGGSGMAMAAERSEVGSPTPAQPPAPKRRKIEPTRRDRPSQVSLDKDKTAASSNSLAAGTPPARVDINKVREAKRFAVLQAQHEGCLGSYISFDSFFGNYLVPVTPSNEFFEQIVKK* >Brasy1G205600.2.p pacid=40057538 transcript=Brasy1G205600.2 locus=Brasy1G205600 ID=Brasy1G205600.2.v1.1 annot-version=v1.1 MPELPELHLGSGPRGGSGMAMAAERSEVGSPTPAQPPAPKRRKIEPTRRDRPSQVSLDKDKTAASSNSLAAGTPPARVDINKVREAKRFAVLQAQHEGCLGSYISFDSFFGGRFCSTVLLDILTMQSSA* >Brasy1G402700.1.p pacid=40057539 transcript=Brasy1G402700.1 locus=Brasy1G402700 ID=Brasy1G402700.1.v1.1 annot-version=v1.1 MWPASKAQMHMFSLCRLDQPSCLVIGSARSINASRPKELSQNALKSREIENRLLRVRRSATTGRPEALTRFNHPIQFQHARPPPAPAHGAHQGKKMAAAAAVRRAEELVEREMSGRDASHDAAHALRVRDLALSLAAEQGLSSPDRLLIVELAALLHDIGDYKYTKDNMEDMSIVQRFLEDVGLEKGQREEIVSIITGMGFKNEVSGKAAEPTLEFAIVQDADRLDAIGAVGVARCFTYGGSKNSALHDPKILPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHRFMEDFVAEFYEEWNGRA* >Brasy1G410700.1.p pacid=40057540 transcript=Brasy1G410700.1 locus=Brasy1G410700 ID=Brasy1G410700.1.v1.1 annot-version=v1.1 MLLVRTRCLLLLLQLLLLLSSAWTGHGGDEGALLAFKAKISGHSGVLDSWNQSTSYCNWEGVTCGKRHPWRVVALDLSAQGLTGTISPAIGNLTFLLSLNLSTNALQGEIPPSIGSLRRLQRIDLSENMLTSVIPSNISRCISLRELYMYSNLGVQGSIPAGIGNMPSLSVLMLFNCSITGTMPPSLGNISRLTKLSLSMNYLEGSIPGGIGNNPYLAVLQLSVNNLSGLLPPSLLSNLSSLYYFYVAENKLHGHLPSDLGNGLPSMLQMGIGGNQFTGPLPLSITNLSRLHKLYAGFNSFTGTVPSELGRLQDLQVFVLDYNMCEANNEQEWEFIASLTNCSRLQMLSIGGNRFAGKLPSSLANLTSNLQWLRTPSNYISGVIPSEIGNLASLANLDFDDNILTGAIPESIGKLTQLIQLYLYSNNLSGRIPSSIGNLTALSELNASGNSLEGSIPPSIRNLRKLSALDLSNNKLTGVIPNEIMELSSITISLSLSYNLLEGPLPSEVGNLVNLKQLSLSGNKLSGEIPDTIGNCRVLEILTMDDNSFQGSIPATFRNMAGLTILNLTNNKLNGSIPSNLATITNLQELYLAHNNLSGVIPELFGNSTALLCLDLSFNNLQGKVPTNGVFRNIAGLSIVGNTALCGGVPQLRLPKCPNSSATKDNKDMTRSLTIAIPTVAALLLILSGLVWAGSLYRKLFKTTPKEEVAAQFIERELPIVPYKDILKGTDGFSESNVLGQGRYGTVYRGTLENEATVIAVKVFNVWQSGSYKSFQAECEALRRVRHRCLVKIITCCSSVNHHGQDFRAIVFEFMANGSLDRWIHSNFEGQNGQVTLSLSQRLDIAVDIVDALDYLHNGCKPPIIHCDLKPSNILLNQDMRARVGDFGIAKVLDEATIKYHAYSSSTIGIRGSIGYIAPEYGEGLAVSTSGDVFSLGITLIEMFTGKSPTDDMFRDGVSLHFYAKAALPYKVMEIADSNMWLHDGAISNDNDTWHITRTRECLSAVIHLGVLCSTQLPKERMSMSDATAEMHAIRDKYICTKKN* >Brasy1G505000.1.p pacid=40057541 transcript=Brasy1G505000.1 locus=Brasy1G505000 ID=Brasy1G505000.1.v1.1 annot-version=v1.1 MDRMRGGLGDRRSPPRLGGRSPPRPPYPRGGGFGGRGRGQARTDWRDNPELRLREERRREADLLRVERRNEERRLEALRREEERRLVVERCRQEDIRRGEEIRRLEALKLEREEEERMREAAFARRRDAEEEISNHDRLGHRKEIRQTAASSSSLPSSMPSAAPRGAGAPSHDVSTSSANLPVTEPRYGMGVAITSSAGSSGHKRVAEEARGHDTEMLVRDESPPVLVRCEGCDALGHEIMQCPGRKPWDYVAQFVGTPEFGQGFFSIPVHRAKIVNREKIIHGKVTVVNGTVTGKDIEAELKGWAGPDCSWRFFARAISDSEFLVRFPTEKLLAEVSYFTSVNMRSVKGGTITVEKWRDGVHSKAKLQVAWFRVSGIPAEFRTREIAFYVGNLVGKVKTLDRCSLHNEAFVRIQIACRDVSLIPATREGEIEEEIYEFQYTREIPGCMTGNVMNHTVAVGDNASASATPKRQRIGESTSGQNGGTGGGGGGGGSNSGVSEISLSAVEEMQVESGGINICEIQDEAILSSEATDDSQQDLPISQEVHEDAFVEGDGAPLAPVVLPPIRASERLRQQSVGHARVESRASELQAIRNLEDDVDITAKALEVGIKADSLSLETIHVMKDLEIARHNLAQKVAVVSEEGVVVADGQVIILDWEKDHVDEEGFTPVISRKHKKKLKSVVKVSGTGGLQAGGDGEMIRQGAAIIRGEALRHHPAQVQVDTPRLTDQADASRH* >Brasy1G556400.1.p pacid=40057542 transcript=Brasy1G556400.1 locus=Brasy1G556400 ID=Brasy1G556400.1.v1.1 annot-version=v1.1 MGCPLQGNRAAAPGVDASSSSPGSVPRQPPPPELVQVAADLLLLRQVRPPELVHCRGPTSVLPGSHAREDCRRRDLELLFGLLAAGFLLPRRRRSRQPALTEPPKAVPTISPRCFLPLSTATATSSLQMSLMCSRDVVDVFFRSSDTSVLQMWWICSSDKRVIMCFPLI* >Brasy1G079100.1.p pacid=40057543 transcript=Brasy1G079100.1 locus=Brasy1G079100 ID=Brasy1G079100.1.v1.1 annot-version=v1.1 MMQDLRFWGVVVKPGETVKCDPGESHYHISQIALEADNVKENVEVFVNVGGKRIMLGTLSVDKHPQVVTDQVFDKEFELVHSSMTSNICFTGYQFKIVKRSNSSTKEASDVSGDDSDEEVPLAIPLDSNTDDYKNNQATHGVNKLTAPRPADAPSSIPKAAVQETDSLEEPEGDHKDKTGAQDPSDDNDSKGSDQDMDHTPKKMKGNKRPVETPLKTPSVKKTNIASPTVGKKTGSCAGKKSGHVHVATPYPAKQARKISEHVHVATPHPAKHARKTPENSDKSKQSAGYFCNSCNRTFNSSMGLQDHSRMKHGAAK* >Brasy1G079100.2.p pacid=40057544 transcript=Brasy1G079100.2 locus=Brasy1G079100 ID=Brasy1G079100.2.v1.1 annot-version=v1.1 MMQDLRFWGVVVKPGETVKCDPGESHYHISQIALEADNVKENVEVFVNVGGKRIMLGTLSVDKHPQVVTDQVFDKEFELVHSSMTSNICFTGYQFKIVKRSNSSTKEGDDSDEEVPLAIPLDSNTDDYKNNQATHGVNKLTAPRPADAPSSIPKAAVQETDSLEEPEGDHKDKTGAQDPSDDNDSKGSDQDMDHTPKKMKGNKRPVETPLKTPSVKKTNIASPTVGKKTGSCAGKKSGHVHVATPYPAKQARKISEHVHVATPHPAKHARKTPENSDKSKQSAGYFCNSCNRTFNSSMGLQDHSRMKHGAAK* >Brasy1G369000.1.p pacid=40057545 transcript=Brasy1G369000.1 locus=Brasy1G369000 ID=Brasy1G369000.1.v1.1 annot-version=v1.1 MERIRSHKHTAGTGRLAKEEGEEDAVALVVIEAGLQVQIQVVRATSRPLPQQRAYMAGPAERKTASQSSALMGVGSGAAEDGRSGTTWRAGSVVQHRRRLRRRLAAAAVAEALGRRAAVADGISARRTSTGSPPLEWLRLLLSGHRALPVLAACCHRSRVVVQTKTKERIRPKLEREDKRRKERGWDPQTRLPPPKESHESSTGRIFFFSAGVFAQHRTQRGVPAQETHLDTCASPKLF* >Brasy1G380500.1.p pacid=40057546 transcript=Brasy1G380500.1 locus=Brasy1G380500 ID=Brasy1G380500.1.v1.1 annot-version=v1.1 MAIAVGHCHDLKPPPAARGRHYRPHSVAQLDHHETNPNADAATGGSLSPQRRSLSSPCFTTAVAPAADNDDHAANNDDKANMLPRVEVVAGRHAPGVHELIAEAAGAMASGTRLVPAQGGLGGALLLNDGRSGEHVAVIKPFEDDASSKDGVGGGYESEAVLREVAAFLLDHGGFASVSPTALIRISRPDMDATTMASIQRFVAHSHDAGELGPSRFSATSVHRVGILDVRLLNIDRHAGNILVKNTTANGCWAAEPPLELVPIDHGLCLPERLEDPYFEWLHWPQASMPFSAEELRYIASLDAPRDAEMLREELPALTEPAIRILTLCTVFLQRAAAAGLCLADIGGMMTREFSSAEEGASVSELEALCNEAVDDGDRRAEEPPPIRHVSFGELSAEEWAAFLEKFEQLLPPAFEAKMKKL* >Brasy1G559200.1.p pacid=40057547 transcript=Brasy1G559200.1 locus=Brasy1G559200 ID=Brasy1G559200.1.v1.1 annot-version=v1.1 MEDAMMLVVTVLLLSPALVASDFCVCRLEESTAVLQKAIDYSCGQGADCTAIQQDGACYNPNDVASHCSWAANSYFQKYRSSGATCDFTGAASLSSTDPSFSGCTFPSSASAAGTSTGTGTTTGGTFSPGFNGTGLGGSLSPTTGTGSMDGTAAAAGLLPSRKLTASLAILLPFLALP* >Brasy1G461300.1.p pacid=40057548 transcript=Brasy1G461300.1 locus=Brasy1G461300 ID=Brasy1G461300.1.v1.1 annot-version=v1.1 MMRCRLSACVLVILVAVAQQAAARKYAAIFNFGDSLVDAGNLVVDGIPEYLATAKLPYGMTYFGYPTGRCSDGRLVVDFIAQELGMPLLPPSKAHNATFHHGANFAITGATALDTSYFVAKGLGKTVWNSGSLHTQIKWLQDMKASICSSPQECKDLFRRSLFIVGEFGGNDYNSPLFAFQPLEEVHKFVPDVVNSIGEGIEKLIAEGAVELVVPGVLPIGCFPVYLSIFKKQPEMYGPRSGCIRDLNTLSWVHNVALQRKIAELRKKHAGAGVRIMYADYYTPAIQFVLHAEKWGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIARGWLYGPFADPPIIEARHH* >Brasy1G396800.1.p pacid=40057549 transcript=Brasy1G396800.1 locus=Brasy1G396800 ID=Brasy1G396800.1.v1.1 annot-version=v1.1 MRVMLKLKNDLLPMSKVKDGLGVEEREKNLLQVTMDGPTSDEPEAEGIRVDGGPEVRGVIVSEPPKRRKAGRPTNRRDKSPYEEGVKRTRFCSICRLSGHKNTTCPRRGDIPTAPRKQPRCSNCGVSGHRKTNCSNPRILLM* >Brasy1G251600.1.p pacid=40057550 transcript=Brasy1G251600.1 locus=Brasy1G251600 ID=Brasy1G251600.1.v1.1 annot-version=v1.1 MSDWGPVVIAVVLFVLLSPGLLLQLPGKHHFVEFGNMHTSAMAILVHAIIYFALIALFVIVIGVHITTD* >Brasy1G528100.1.p pacid=40057551 transcript=Brasy1G528100.1 locus=Brasy1G528100 ID=Brasy1G528100.1.v1.1 annot-version=v1.1 MDPAPPYDHHHHRRGGGHHYADQQQHHHFAGGSISGGATVRSRHEYGSYEPLPPAPYGHLHHHHHHHHNPPRHQQQQLPPPPPLPPPPSQQTHRYDGPSYAPPLPAPPPEPYSTPPPPPQQQYSTPPPPPPTYHSPAPHHHYHHAHQRHGDEEIRRAAGHHHHHNPQHQHHHQHNHQQQQQQLQWEEAEDDRRRYAPTHQLRMSPPGPRKRQRCALHDGGGGDLESTSSSGPPTSRYLRQQPHPNYAPHVDSFVDRSPVHLGYSHESYSTHSDSRKVQMPSQTTLSGSPRATIVAHPRRTPQKVAPRRLSVWQRIEESPAASTRQLPKDTHISPSKSNNTGSASKELASVISVDCKAKSAGSNDGNSSKGVKKDAGKKIGKVLASVLVKPSPESKEKKEAVKKISEKHDSVQKSVAGSTSKDLGLAARPATGVKKVKKIVIKKIVRKIGGKDQQTSSSIVSGNRDSIDNANTCEKEEGEIIMSSAEKDVVSIHNSVSTSDTAGAGNGVDVQTDENNNVTNPRKRKIASIIESVTVSNPAGVSVSEHPGNEDDRRSMGPADYNTASAANTRRTEHPGKDDRSSMDSAARNAAFFFKNDNYQEEEEEEGEILSLSGEMSVAVASNPVGTSDQCKDSSMGESKVCKDVSEKNNTCMDGVIANHDTPEVCGSKDTRREGNDILIGTNKEDVLRVSSFIAGPSTTEVTNCESEGAQKNEDMILTGSVGKSVPCLGETLGTPGATEVDVSQDAREEEGNMLTHPSQKGFVYVNSRGTLHTTEVSASENIQDKEGRMPMVSSEGNVSVTHHVKVSNSRELSLNKDTRKKESQFPIESCQTSTLEIMQHLEAPSTTEAIMSKIVGEELGMSPTGSNDRHPGTSNSTSTLEFNLAGGTKDSHMEDLLDDETALNETDAPRQVEGRDFFNLPSSRNIGSTNVPSLDDDPMEDSTSDIILNNGVERGATTHVAELINLHRGHLSPEIDFVLAHSRESSSVSGNSEQSVPTALTLGSNFYFSTTESEGQPEENHELLNGQQGLDVATVTEFDSLITRKVVADDDLIGAGVQNWLTLPPTVNNIAIPGKLLANDATVTKDRIGLDQSVDDATLVSQDHDITQDMYQCGSMDAFSSQVNSIRLSGSDMPQSDPLTPKVISEDVDNDDGITLSGLHSVSSINVLDQSGHPMVDVPTGNPIDTALRALESTDVMDAELVSPQVSVEPDHTYDSNTEGPVVDSSTNQESLSSWIGSIVSEAKKEHQPCKSTLPSISLPDKILAPEEDSRRAVLDSVAKSVVKSPQTNFTSSLPPKVVPKQVIIPSLSREPPRSSQNARHKTWHRGDMASSTSSHGSQPSGLPPKQPPRRNGKIQNSYIRKGNALIRNPATGSVPASSSNPDTQNKLNKPVMRRSMNFVRKVDSNDSMARSNLSVERPKTPPLPLHAKFINSTMNLSEQLPQTLHKQHSPETEKEESVGKLNSGVDFPSIKSAQKSETSDTSKVVYVRPKSNQLVAAQRQDADDSINSAMDKVLSLQPHTSSDFYLKNRKNQIILSSSSLDGQSTKEITTSKNSNSGENKGVHIASSNNSITVFKDIPRKALQTTNNMGSFSHVWTLNGQQPQRKVSVGTGYMKASRRILPWKRKIYYKNFRSSHPQNVSSSRIVRRLLQAKKRDMVYTVSTDGFTIRKSAVSSVGGSSLKWSRSLEKRSQKVNEEATRAVSDVQRMRREKWKQLTERSDQYSVSVEGNKLMNNNQASSDLRRSSTCNEYVRVSKGNQLVRNPKKVIRMLANEKVRWSLHTVRSRRLPKKPQYCQFFTRFGECKKPEGQCRYIHDRAKVTICTKFLKGLCSDTSCKLTHQVLPERMQDCSYFLRGLCTNTACPYRHVKVNSNAPACEDFLKGYCADGDECRKKHTYVCLVFEATGECAQQSSCKLHHPKKLIKSKRSRPDTPQNSSWGRYFDTQNSSWGRYFDTNIGHDSETSKASSDQDHLQKLQHVFSGGDFVDFIGLDSDGDDGVAEDGASDGVQLMELDSEDLNAQADSIDALIKPIRIMRTARV* >Brasy1G528100.2.p pacid=40057552 transcript=Brasy1G528100.2 locus=Brasy1G528100 ID=Brasy1G528100.2.v1.1 annot-version=v1.1 MDPAPPYDHHHHRRGGGHHYADQQQHHHFAGGSISGGATVRSRHEYGSYEPLPPAPYGHLHHHHHHHHNPPRHQQQQLPPPPPLPPPPSQQTHRYDGPSYAPPLPAPPPEPYSTPPPPPQQQYSTPPPPPPTYHSPAPHHHYHHAHQRHGDEEIRRAAGHHHHHNPQHQHHHQHNHQQQQQQLQWEEAEDDRRRYAPTHQLRMSPPGPRKRQRCALHDGGGGDLESTSSSGPPTSRYLRQQPHPNYAPHVDSFVDRSPVHLGYSHESYSTHSDSRKVQMPSQTTLSGSPRATIVAHPRRTPQKVAPRRLSVWQRIEESPAASTRQLPKDTHISPSKSNNTGSASKELASVISVDCKAKSAGSNDGNSSKGVKKDAGKKIGKVLASVLVKPSPESKEKKEAVKKISEKHDSVQKSVAGSTSKDLGLAARPATGVKKVKKIVIKKIVRKIGGKDQQTSSSIVSGNRDSIDNANTCEKEEGEIIMSSAEKDVVSIHNSVSTSDTAGAGNGVDVQTDENNNVTNPRKRKIASIIESVTVSNPAGVSVSEHPGNEDDRRSMGPADYNTASAANTRRTEHPGKDDRSSMDSAARNAAFFFKNDNYQEEEEEEGEILSLSGEMSVAVASNPVGTSDQCKDSSMGESKVCKDVSEKNNTCMDGVIANHDTPEVCGSKDTRREGNDILIGTNKEDVLRVSSFIAGPSTTEVTNCESEGAQKNEDMILTGSVGKSVPCLGETLGTPGATEVDVSQDAREEEGNMLTHPSQKGFVYVNSRGTLHTTEVSASENIQDKEGRMPMVSSEGNVSVTHHVKVSNSRELSLNKDTRKKESQFPIESCQTSTLEIMQHLEAPSTTEAIMSKIVGEELGMSPTGSNDRHPGTSNSTSTLEFNLAGGTKDSHMEDLLDDETALNETDAPRQVEGRDFFNLPSSRNIGSTNVPSLDDDPMEDSTSDIILNNGVERGATTHVAELINLHRGHLSPEIDFVLAHSRESSSVSGNSEQSVPTALTLGSNFYFSTTESEGQPEENHELLNGQQGLDVATVTEFDSLITRKVVADDDLIGAGVQNWLTLPPTVNNIAIPGKLLANDATVTKDRIGLDQSVDDATLVSQDHDITQDMYQCGSMDAFSSQVNSIRLSGSDMPQSDPLTPKVISEDVDNDDGITLSGLHSVSSINVLDQSGHPMVDVPTGNPIDTALRALESTDVMDAELVSPQVSVEPDHTYDSNTEGPVVDSSTNQESLSSWIGSIVSEAKKEHQPCKSTLPSISLPDKILAPEEDSRRAVLDSVAKSVVKSPQTNFTSSLPPKVVPKQVIIPSLSREPPRSSQNARHKTWHRGDMASSTSSHGSQPSGLPPKQPPRRNGKIQNSYIRKGNALIRNPATGSVPASSSNPDTQNKLNKPVMRRSMNFVRKVDSNDSMARSNLSVERPKTPPLPLHAKFINSTMNLSEQLPQTLHKQHSPETEKEESVGKLNSGVDFPSIKSAQKSETSDTSKVVYVRPKSNQLVAAQRQDADDSINSAMDKVLSLQPHTSSDFYLKNRKNQIILSSSSLDGQSTKEITTSKNSNSGENKGVHIASSNNSITVFKDIPRKALQTTNNMGSFSHVWTLNGQQPQRKVSVGTGYMKASRRILPWKRKIYYKNFRSSHPQNVSSSRIVRLLQAKKRDMVYTVSTDGFTIRKSAVSSVGGSSLKWSRSLEKRSQKVNEEATRAVSDVQRMRREKWKQLTERSDQYSVSVEGNKLMNNNQASSDLRRSSTCNEYVRVSKGNQLVRNPKKVIRMLANEKVRWSLHTVRSRRLPKKPQYCQFFTRFGECKKPEGQCRYIHDRAKVTICTKFLKGLCSDTSCKLTHQVLPERMQDCSYFLRGLCTNTACPYRHVKVNSNAPACEDFLKGYCADGDECRKKHTYVCLVFEATGECAQQSSCKLHHPKKLIKSKRSRPDTPQNSSWGRYFDTQNSSWGRYFDTNIGHDSETSKASSDQDHLQKLQHVFSGGDFVDFIGLDSDGDDGVAEDGASDGVQLMELDSEDLNAQADSIDALIKPIRIMRTARV* >Brasy1G528100.3.p pacid=40057553 transcript=Brasy1G528100.3 locus=Brasy1G528100 ID=Brasy1G528100.3.v1.1 annot-version=v1.1 MDPAPPYDHHHHRRGGGHHYADQQQHHHFAGGSISGGATVRSRHEYGSYEPLPPAPYGHLHHHHHHHHNPPRHQQQQLPPPPPLPPPPSQQTHRYDGPSYAPPLPAPPPEPYSTPPPPPQQQYSTPPPPPPTYHSPAPHHHYHHAHQRHGDEEIRRAAGHHHHHNPQHQHHHQHNHQQQQQQLQWEEAEDDRRRYAPTHQLRMSPPGPRKRQRCALHDGGGGDLESTSSSGPPTSRYLRQQPHPNYAPHVDSFVDRSPVHLGYSHESYSTHSDSRKVQMPSQTTLSGSPRATIVAHPRRTPQKVAPRRLSVWQRIEESPAASTRQLPKDTHISPSKSNNTGSASKELASVISVDCKAKSAGSNDGNSSKGVKKDAGKKIGKVLASVLVKPSPESKEKKEAVKKISEKHDSVQKSVAGSTSKDLGLAARPATGVKKVKKIVIKKIVRKIGGKDQQTSSSIVSGNRDSIDNANTCEKEEGEIIMSSAEKDVVSIHNSVSTSDTAGAGNGVDVQTDENNNVTNPRKRKIASIIESVTVSNPAGVSVSEHPGNEDDRRSMGPADYNTASAANTRRTEHPGKDDRSSMDSAARNAAFFFKNDNYQEEEEEEGEILSLSGEMSVAVASNPVGTSDQCKDSSMGESKVCKDVSEKNNTCMDGVIANHDTPEVCGSKDTRREGNDILIGTNKEDVLRVSSFIAGPSTTEVTNCESEGAQKNEDMILTGSVGKSVPCLGETLGTPGATEVDVSQDAREEEGNMLTHPSQKGFVYVNSRGTLHTTEVSASENIQDKEGRMPMVSSEGNVSVTHHVKVSNSRELSLNKDTRKKESQFPIESCQTSTLEIMQHLEAPSTTEAIMSKIVGEELGMSPTGSNDRHPGTSNSTSTLEFNLAGGTKDSHMEDLLDDETALNETDAPRQVEGRDFFNLPSSRNIGSTNVPSLDDDPMEDSTSDIILNNGVERGATTHVAELINLHRGHLSPEIDFVLAHSRESSSVSGNSEQSVPTALTLGSNFYFSTTESEGQPEENHELLNGQQGLDVATVTEFDSLITRKVVADDDLIGAGVQNWLTLPPTVNNIAIPGKLLANDATVTKDRIGLDQSVDDATLVSQDHDITQDMYQCGSMDAFSSQVNSIRLSGSDMPQSDPLTPKVISEDVDNDDGITLSGLHSVSSINVLDQSGHPMVDVPTGNPIDTALRALESTDVMDAELVSPQVSVEPDHTYDSNTEGPVVDSSTNQESLSSWIGSIVSEAKKEHQPCKSTLPSISLPDKILAPEEDSRRAVLDSVAKSVVKSPQTNFTSSLPPKVVPKQVIIPSLSREPPRSSQNARHKTWHRGDMASSTSSHGSQPSGLPPKQPPRRNGKIQNSYIRKGNALIRNPATGSVPASSSNPDTQNKLNKPVMRRSMNFVRKVDSNDSMARSNLSVERPKTPPLPLHAKFINSTMNLSEQLPQTLHKQHSPETEKEESVGKLNSGVDFPSIKSAQKSETSDTSKVVYVRPKSNQLVAAQRQDADDSINSAMDKVLSLQPHTSSDFYLKNRKNQIILSSSSLDGQSTKEITTSKNSNSALQTTNNMGSFSHVWTLNGQQPQRKVSVGTGYMKASRRILPWKRKIYYKNFRSSHPQNVSSSRIVRRLLQAKKRDMVYTVSTDGFTIRKSAVSSVGGSSLKWSRSLEKRSQKVNEEATRAVSDVQRMRREKWKQLTERSDQYSVSVEGNKLMNNNQASSDLRRSSTCNEYVRVSKGNQLVRNPKKVIRMLANEKVRWSLHTVRSRRLPKKPQYCQFFTRFGECKKPEGQCRYIHDRAKVTICTKFLKGLCSDTSCKLTHQVLPERMQDCSYFLRGLCTNTACPYRHVKVNSNAPACEDFLKGYCADGDECRKKHTYVCLVFEATGECAQQSSCKLHHPKKLIKSKRSRPDTPQNSSWGRYFDTQNSSWGRYFDTNIGHDSETSKASSDQDHLQKLQHVFSGGDFVDFIGLDSDGDDGVAEDGASDGVQLMELDSEDLNAQADSIDALIKPIRIMRTARV* >Brasy1G528100.4.p pacid=40057554 transcript=Brasy1G528100.4 locus=Brasy1G528100 ID=Brasy1G528100.4.v1.1 annot-version=v1.1 MDPAPPYDHHHHRRGGGHHYADQQQHHHFAGGSISGGATVRSRHEYGSYEPLPPAPYGHLHHHHHHHHNPPRHQQQQLPPPPPLPPPPSQQTHRYDGPSYAPPLPAPPPEPYSTPPPPPQQQYSTPPPPPPTYHSPAPHHHYHHAHQRHGDEEIRRAAGHHHHHNPQHQHHHQHNHQQQQQQLQWEEAEDDRRRYAPTHQLRMSPPGPRKRQRCALHDGGGGDLESTSSSGPPTSRYLRQQPHPNYAPHVDSFVDRSPVHLGYSHESYSTHSDSRKVQMPSQTTLSGSPRATIVAHPRRTPQKVAPRRLSVWQRIEESPAASTRQLPKDTHISPSKSNNTGSASKELASVISVDCKAKSAGSNDGNSSKGVKKDAGKKIGKVLASVLVKPSPESKEKKEAVKKISEKHDSVQKSVAGSTSKDLGLAARPATGVKKVKKIVIKKIVRKIGGKDQQTSSSIVSGNRDSIDNANTCEKEEGEIIMSSAEKDVVSIHNSVSTSDTAGAGNGVDVQTDENNNVTNPRKRKIASIIESVTVSNPAGVSVSEHPGNEDDRRSMGPADYNTASAANTRRTEHPGKDDRSSMDSAARNAAFFFKNDNYQEEEEEEGEILSLSGEMSVAVASNPVGTSDQCKDSSMGESKVCKDVSEKNNTCMDGVIANHDTPEVCGSKDTRREGNDILIGTNKEDVLRVSSFIAGPSTTEVTNCESEGAQKNEDMILTGSVGKSVPCLGETLGTPGATEVDVSQDAREEEGNMLTHPSQKGFVYVNSRGTLHTTEVSASENIQDKEGRMPMVSSEGNVSVTHHVKVSNSRELSLNKDTRKKESQFPIESCQTSTLEIMQHLEAPSTTEAIMSKIVGEELGMSPTGSNDRHPGTSNSTSTLEFNLAGGTKDSHMEDLLDDETALNETDAPRQVEGRDFFNLPSSRNIGSTNVPSLDDDPMEDSTSDIILNNGVERGATTHVAELINLHRGHLSPEIDFVLAHSRESSSVSGNSEQSVPTALTLGSNFYFSTTESEGQPEENHELLNGQQGLDVATVTEFDSLITRKVVADDDLIGAGVQNWLTLPPTVNNIAIPGKLLANDATVTKDRIGLDQSVDDATLVSQDHDITQDMYQCGSMDAFSSQVNSIRLSGSDMPQSDPLTPKVISEDVDNDDGITLSGLHSVSSINVLDQSGHPMVDVPTGNPIDTALRALESTDVMDAELVSPQVSVEPDHTYDSNTEGPVVDSSTNQESLSSWIGSIVSEAKKEHQPCKSTLPSISLPDKILAPEEDSRRAVLDSVAKSVVKSPQTNFTSSLPPKVVPKQVIIPSLSREPPRSSQNARHKTWHRGDMASSTSSHGSQPSGLPPKQPPRRNGKIQNSYIRKGNALIRNPATGSVPASSSNPDTQNKLNKPVMRRSMNFVRKVDSNDSMARSNLSVERPKTPPLPLHAKFINSTMNLSEQLPQTLHKQHSPETEKEESVGKLNSGVDFPSIKSAQKSETSDTSKVVYVRPKSNQLVAAQRQDADDSINSAMDKVLSLQPHTSSDFYLKNRKNQIILSSSSLDGQSTKEITTSKNSNSALQTTNNMGSFSHVWTLNGQQPQRKVSVGTGYMKASRRILPWKRKIYYKNFRSSHPQNVSSSRIVRLLQAKKRDMVYTVSTDGFTIRKSAVSSVGGSSLKWSRSLEKRSQKVNEEATRAVSDVQRMRREKWKQLTERSDQYSVSVEGNKLMNNNQASSDLRRSSTCNEYVRVSKGNQLVRNPKKVIRMLANEKVRWSLHTVRSRRLPKKPQYCQFFTRFGECKKPEGQCRYIHDRAKVTICTKFLKGLCSDTSCKLTHQVLPERMQDCSYFLRGLCTNTACPYRHVKVNSNAPACEDFLKGYCADGDECRKKHTYVCLVFEATGECAQQSSCKLHHPKKLIKSKRSRPDTPQNSSWGRYFDTQNSSWGRYFDTNIGHDSETSKASSDQDHLQKLQHVFSGGDFVDFIGLDSDGDDGVAEDGASDGVQLMELDSEDLNAQADSIDALIKPIRIMRTARV* >Brasy1G205200.1.p pacid=40057555 transcript=Brasy1G205200.1 locus=Brasy1G205200 ID=Brasy1G205200.1.v1.1 annot-version=v1.1 MPPPHGDDESCAQTPLIPPPPLPRRATARLHPFPLLVAATFAAYYHLLAAPAPSYYQSLFLSLGSNDTAAAHLRALTIRPHLAGTPANALAAEHVVSTLSSLSFPTRVTPYSVLLSYPVHRSLSLSAPGRATTAFALVQDTYPDDPSAAASAEAVPTFLAYAASGSAVAKVVYANYGRAEDYAYLASRGVNVTGKVALARYGKVYRGDIVKNAHEAGAAAAVIYTDAKDYALGKTYPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSVAEAMATDDMPGIPALPVSGRDGEEILQLIGGDVAPEDWQGSDSAPVYHLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPDRYVILGNHRDAWTFGAADPNSGTATLLELAQRLSELQKKGWRPRRTIILCNWDAEEYGLIGSTEWVEENRAMLTSRTVAYLNVDIAVSSAGFDVSATPQLDQLLKQASKQVQNPDNGTESLYDLWMASDGSPLIGRLGGGGSDYSAFVQHIGIPSIDISIGSGYAVYHSLYDDFAWMEKFGDPLFRRHVAASSIWGLVALKLSDEEILPFNYSSYVAELENGAVDINERVLGMPVSVSPLHKSIKEFNRAVIKVDSELKVLQTWKLWSPWRNNPMRVRDLNDRLMMTERAFTDREGLFGRPWYKHMIYAPSLYNDYGAQVYPGADDAIQTAKRTNTSESWQSVQHEIHRIARVINQAALVLSGGLT* >Brasy1G205200.2.p pacid=40057556 transcript=Brasy1G205200.2 locus=Brasy1G205200 ID=Brasy1G205200.2.v1.1 annot-version=v1.1 MPPPHGDDESCAQTPLIPPPPLPRRATARLHPFPLLVAATFAAYYHLLAAPAPSYYQSLFLSLGSNDTAAAHLRALTIRPHLAGTPANALAAEHVVSTLSSLSFPTRVTPYSVLLSYPVHRSLSLSAPGRATTAFALVQDTYPDDPSAAASAEAVPTFLAYAASGSAVAKVVYANYGRAEDYAYLASRGVNVTGKVALARYGKVYRGDIVKNAHEAGAAAAVIYTDAKDYALGKTYPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSVAEAMATDDMPGIPALPVSGRDGEEILQLIGGDVAPEDWQGSDSAPVYHLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPDRYVILGNHRDAWTFGAADPNSGTATLLELAQRLSELQKKGWRPRRTIILCNWDAEEYGLIGSTEWVEENRAMLTSRTVAYLNVDIAVSSAGFDVSATPQLDQLLKQASKQVQNPDNGTESLYDLWMASDGSPLIGRLGGGGSDYSAFVQHIGIPSIDISIGSGYAVYHSLYDDFAWMEKFGDPLFRRHVAASSIWGLVALKLSDEEILPFNYSSYVAELE* >Brasy1G538100.1.p pacid=40057557 transcript=Brasy1G538100.1 locus=Brasy1G538100 ID=Brasy1G538100.1.v1.1 annot-version=v1.1 MLPQPTGKRARRSPAAGRARHDQLLLLLLLVVIIACLASARLSGSNNNVILLADAGPVRRLGAAAAAYDVGSRPVPEEEEEEEEEEEEAPPSTSQSTTMPSSDARFADDKRPIPSCPDALHNR* >Brasy1G126000.1.p pacid=40057558 transcript=Brasy1G126000.1 locus=Brasy1G126000 ID=Brasy1G126000.1.v1.1 annot-version=v1.1 MEKRMNDILSVIKPVEDDRNKRLRAIQEILNSIYSVGALRAFWILASNLYAKSGDLDVSVELCTDSNFHTSKKKKQDVLRELMTALEVRGVATYMHFIPTVRVPVLQYVSKLFGISCDISINNYPGRIKSRILYWVNTIDERFGDVVLLVKEWAKSQNVNDPKNGTLNSYSLSLLVLFHFQTCEPAILPPMKEIYDVNIAGDITGMAFYNERHLDEVCMANIAKFRRQNAGKRNESSLLQLITTFLLKFSHLDSYSSDVISTYAGQIKRIQDNPHWMDKSYCLFVRIPFT* >Brasy1G200500.1.p pacid=40057559 transcript=Brasy1G200500.1 locus=Brasy1G200500 ID=Brasy1G200500.1.v1.1 annot-version=v1.1 MDPGGGAASLPPFLTKTYEMVDEPATDAVVSWTPSGTSFVVASQADFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEEFIRGQRHRLKNIHRRKPIFSHSSHTQGAGPLVDSERRDYEEEIERLKCDNAALTSELEKNAEKKIDMEKRMQALEDKLFAVEDQQTNLICYVRDIVKEPGFLSSFVQQSDHSRKKRRLPKPISFHEDTSTQGNQIMHRNLTNSPAHELSRESFDKMESSLNSLENFLREATEAFGNGISYDCDVPGPSSAVVLTELNLSGESDPHAPSPPSMMHTSSAGVGDSHSSRGIAESTSCPESPPLPQTHSRADSRAKVSEIDVNLEPAVTETGTSRDQPGQDPPAAAAAGANDGFWQQFLTEQPGSSVAHQEAQSERRDREADQAKTGDRANCWWGKKNIEQMTEKLGHLTSAEKT* >Brasy1G200500.2.p pacid=40057560 transcript=Brasy1G200500.2 locus=Brasy1G200500 ID=Brasy1G200500.2.v1.1 annot-version=v1.1 MDPGGGAASLPPFLTKTYEMVDEPATDAVVSWTPSGTSFVVASQADFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEEFIRGQRHRLKNIHRRKPIFSHSSHTQGAGPLVDSERRDYEEEIERLKCDNAALTSELEKNAEKKIDMEKRMQALEDKLFAVEDQQTNLICYVRDIVKEPGFLSSFVQQSDHSRKKRRLPKPISFHEDTSTQGNQIMHRNLTNSPAHELSRESFDKMESSLNSLENFLREATEAFGNGISYDCDVPGPSSAVVLTELNLSGESDPHAPSPPSMMHTSSAGVGDSHSSRGIAESTSCPESPPLPQTHSRADSRAKVSEIDVNLEPAVTETGTSRDQPGQDPPAAAAAGANDGFWQQFLTEQPGSSVAHQEAQSERRDREADQAKTGDRANCWWGKKNIEQMTEKLGHLTSAEKT* >Brasy1G091600.1.p pacid=40057561 transcript=Brasy1G091600.1 locus=Brasy1G091600 ID=Brasy1G091600.1.v1.1 annot-version=v1.1 MARAGTQVLPALVSVLFAMASLAAPQGAVLRAGPADTLTADRPFSGTSRPLVSKTGKFALGFFQPDNSSQNWYLGIWYNQISKHTKVWVANRNTPITDPASSQLSISSDGNMVLLDGDAKSPVWSTNVTNMGSRATVGVILDTGNLVLADASNTSIVLWQSFDHFGDTWLPGGKLGRNKLTGEVTRMFAWKGYSDPTPSKFSLELDPAGTTQYLLNWNNSRQYWTSGNWTGHMFTAVPEMTATNAYPISLYTFGYVDAENESYFVYDVKDDAVVTRFVVDVTGQIKFLTWVESAGDWMLFWSQPKLQCDVYALCGAFSACTETSLPSCRCLRGFRQRQPRAWMQGDHSAGCARDAELQRQCGGVVQGATTTPKGKNDGFYTMPDVKLPSDAQGVAAAASAGECELACLGSCNCTAYSYDGSCWVWHGDLINLQDKSNTGTGDGTAILIRLAASEFSSNGNNRKLNVGVIAAATVVAAVTVIVLVSIFVLRRRKVKSRRRVVEGSLIAFTYRDMQYVTKNFSEKLGGGAFGSVFKGSLHYAAATTHVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSEGAQRLLVYEHMPNGSLDMHLFGPRLQGILNWKTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLNDSFVPKVADFGLAKLVGRDFSRVLTTMRGTAGYLAPEWIAGTAITTKTDVYSYGMMLFEILSGRRNVRQRQDGTVDFFPLLAARMLTEGESNRLVDSRLDCVMDLVEVERICKVACWCIQDDESARPAMATVVQILEGLIDVNVPPIPRSLVLLTDMSSSFLSCRRNEVDFCQKPLGKHVVHVAREFCSSR* >Brasy1G258900.1.p pacid=40057562 transcript=Brasy1G258900.1 locus=Brasy1G258900 ID=Brasy1G258900.1.v1.1 annot-version=v1.1 MWEMDALGYCVLVVLALHCVVGGCSAINLEGSALLKFSSRVEEDPHGAMAGWSLQDGHPCSWNGVRCADGRVVMLNLKDLSLRGTLGPELGILSHLTALVLSNNMFSGPIPKEIGSLAVLEILDLSNNNLTGEVPQEIAEMPSLKHLLLSNNRFQWPVIQNPYGNFDQETDFDIYDHSGRGNMNQRADDGFGSGSSTEENKKDTSNLSARLPLQFVARNPAAQLSRRKLLQDSNLAAAPSSANAPVPAAVPVPSTGSGSFSAFIPNNAPPPAVKTPISPPIHSDTPSQAVSKPRSKKWLYAIVIPLIALLIIGITCMLCLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVLASSKDWSKHSEGIFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRIIMGVGYCIQHMHELNPSITHPGLQSSAILLSEDGAAKIADTSVWQEVVSKEKMPKNDDVSEHHEPMPADPAGNVSSFGLLMLEIISGKPPYSEDKGSLVNLALECIKDDRSISCLLDPTLKAHKENDLEIICELIQDCIQSDPKRRPSMREVVTKLREVLAISPEAATPRLSPLWWAELEILSVEAT* >Brasy1G021000.1.p pacid=40057563 transcript=Brasy1G021000.1 locus=Brasy1G021000 ID=Brasy1G021000.1.v1.1 annot-version=v1.1 MEARVSGVPEDEESGLLPRQSAAAAAGRRPSSSSSAFPRRAPPPAVWATVDGPLGMPLEDAEGHARRFFLWGFACLPFLWAINFCYFWPVLRSPAASSPPAFASIRPYVVRSAIGFTIFAAVLLTWATTFIVGGERLFGPAWNDLVMYNVADKLGLTGFMG* >Brasy1G329900.1.p pacid=40057564 transcript=Brasy1G329900.1 locus=Brasy1G329900 ID=Brasy1G329900.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >Brasy1G193400.1.p pacid=40057565 transcript=Brasy1G193400.1 locus=Brasy1G193400 ID=Brasy1G193400.1.v1.1 annot-version=v1.1 MPCSIGYLLSRRELLKIISSVTYIQAPFSPHPSTFLCFHSFFDLKNHPSLQPFRCWFVLDVCLYLDVNFT* >Brasy1G293700.1.p pacid=40057566 transcript=Brasy1G293700.1 locus=Brasy1G293700 ID=Brasy1G293700.1.v1.1 annot-version=v1.1 MGAPKQRWTAEEEAALKAGVAKHGPGKWRTILRDPDFSAVLCLRSNVDLKDKWRNLSVTAGGYGSREKARMALKQGKRAPKLITGPMDVDADNLDNAQNTIISAKPLAIAVESRQHESRQHENSSEKSVARLDDLILEAIKKLNEPSGSNKTAISTYIEEQYWPPTDFQRLLSTKLKALVATGKLTKVNQKYRIAPSSVSLGGRSTKVYCTKDNGENISIKQLTKPQVDAELDMMTHMTKEEAAAFAAKAVAEAEVANAEAEEAARVAEAAEAEAEAAKAFLDAVMLTVQNRNTASAVNFLC* >Brasy1G293700.2.p pacid=40057567 transcript=Brasy1G293700.2 locus=Brasy1G293700 ID=Brasy1G293700.2.v1.1 annot-version=v1.1 MGAPKQRWTAEEEAALKAGVAKHGPGKWRTILRDPDFSAVLCLRSNVDLKDKWRNLSVTAGGYGSREKARMALKQGKRAPKLITGPMDVDADNLDNAQNTIISAKPLAIAVESRQHESRQHENSSEKSVARLDDLILEAIKKLNEPSGSNKTAISTYIEEQYWPPTDFQRLLSTKLKALVATGKLTKVNQKYRIAPSSVSLGGRSTKVYCTKDNGENISIKQLTKPQVDAELDMMTHMTKEEAAAFAAKAVAEAEVANAEAEEAARVAEAAEAEAEAAKAFLDAVMLTVQNRNTASAILRAF* >Brasy1G293700.3.p pacid=40057568 transcript=Brasy1G293700.3 locus=Brasy1G293700 ID=Brasy1G293700.3.v1.1 annot-version=v1.1 MGAPKQRWTAEEEAALKAGVAKHGPGKWRTILRDPDFSAVLCLRSNVDLKDKWRNLSVTAGGYGSREKARMALKQGKRAPKLITGPMDVDADNLDNAQNTIISAKPLAIAVESRQHESRQHENSSEKSVARLDDLILEAIKKLNEPSGSNKTAISTYIEEQYWPPTDFQRLLSTKLKALVATGKLTKVNQKYRIAPSSVSLGGRSTKVYCTKDNGENISIKQLTKPQVDAELDMMTHMTKEEAAAFAAKAVAEAEVANAEAEEAARVAEAAEAEAEAAKAFLDAILRAF* >Brasy1G288800.1.p pacid=40057569 transcript=Brasy1G288800.1 locus=Brasy1G288800 ID=Brasy1G288800.1.v1.1 annot-version=v1.1 MGLKKALRWLPRGSSGREEDEECHERNGLLRSHRVQNQIVPVTDLDDQPPKAASAAEPKTVALKVSMHCHGCARKVEKQISKLEGVVSVKIELGIKRVTVVGDVTPAEVLENVSQVIKYAHILVAP* >Brasy1G014400.1.p pacid=40057570 transcript=Brasy1G014400.1 locus=Brasy1G014400 ID=Brasy1G014400.1.v1.1 annot-version=v1.1 MEAASSSSAPAWSDLPPELVGCVITRLRTAADCARLRAVCRSWRSAMRLHHGTPPPWLVLPAAGACFPTSSHGSPLGSTGSWLVLDAGPAAHRHSYYRLHNPFTGTTVPLPELGAAMDAAPETKRMKIRKMLVRSSGPRVVVVAVMTGDPGYPIALTRPGKGAWLYKAHADLFTSIVDIAFLGDRLYGMTRAEELFSIDAAFHDDDDMVGSLEAVGEDGECIDDISVDVEEENNVDDEGGDAVLEGMDRDDYDDDDMVLETCHHFVDDDEDASSSPLESLGLNVINWHLVESCGKLLMEKPQLQCPKGHVEFTRKVEVFELDMSAGVGVGVPVVGGLGGHALFISRSFCKSVRAGGEIEADAIYFIDRGDVFSMISQTSRAAQWVPPTWIFPPDLVV* >Brasy1G223800.1.p pacid=40057571 transcript=Brasy1G223800.1 locus=Brasy1G223800 ID=Brasy1G223800.1.v1.1 annot-version=v1.1 MVRCQLQNAWTPPAPPALSGDMRTRQGSRSSLTLGELACIALFPVLAVVDAVVLAASRCFEKCPLGLLPVLRAHARQRHRSGRLTFGELADLAHESRCFSVNEVEALYELYKKISCSIIKDNLIHKEELQLALFMTPSGKNLFLDRVFYLFDEKKNSVIEFEEFIHSISVFHPNAPMEDKIDFSFRLYDLGQTGFIEREEVKQMVVATLMESQVELSDDLVEAILDKTFEDADTDKDNRISKQEWKEFVLQHPSVIKKMTLPCLKDTTSAFPSFVFNTQVDD* >Brasy1G223800.2.p pacid=40057572 transcript=Brasy1G223800.2 locus=Brasy1G223800 ID=Brasy1G223800.2.v1.1 annot-version=v1.1 MDSSRSSSALGSRSSLTLGELACIALFPVLAVVDAVVLAASRCFEKCPLGLLPVLRAHARQRHRSGRLTFGELADLAHESRCFSVNEVEALYELYKKISCSIIKDNLIHKEELQLALFMTPSGKNLFLDRVFYLFDEKKNSVIEFEEFIHSISVFHPNAPMEDKIDFSFRLYDLGQTGFIEREEVKQMVVATLMESQVELSDDLVEAILDKTFEDADTDKDNRISKQEWKEFVLQHPSVIKKMTLPCLKDTTSAFPSFVFNTQVDD* >Brasy1G223800.3.p pacid=40057573 transcript=Brasy1G223800.3 locus=Brasy1G223800 ID=Brasy1G223800.3.v1.1 annot-version=v1.1 MVRCQLQNAWTPPAPPALSGDMRTRQGSRSSLTLGELACIALFPVLAVVDAVVLAASRCFEKCPLGLLPVLRAHARQRHRSGRLTFGELADLAHESRCFSVNEVEALYELYKKISCSIIKDNLIHKEELQLALFMTPSGKNLFLDRVFYLFDEKKNSVIEFEEFIHSISVFHPNAPMEDKIDFSFRLYDLGQTGFIEREESFYPPSSVALHPWRVY* >Brasy1G170300.1.p pacid=40057574 transcript=Brasy1G170300.1 locus=Brasy1G170300 ID=Brasy1G170300.1.v1.1 annot-version=v1.1 MPSVMASPAPALVLLLLMASFAASQAGVKCGDGSPASSPSPAPPPTSNTTTNSSSAFRANVLALLDALPQAAAPTGFAALSLGTGRDRAFVRGLCRGDSTPAECLANLRVASRDFGGRCAASRSAAGWYDKCCITYADTNASVGYEELLGEVLYDLNRVSDADSFDRNYYTLMKSLTARAAASGGGSASRMPMFATGEAVYARNDPKGTMYGLVECMRDLSAAECGRCLELAVPKFPTCCSGFQGGVVQNFNCRLRIQIYTYYDLALDAPPAAAAPPSAPSLSPAGGKRAKRRPVILAVALSIGTLLVLIAVFVWVPLQRKRIKANKESQGNAGEGMNYISLQVLRAATSNFSIQNKLGEGGYGEVFKGEMQDGKEIAVKRLSKNSARGFDELKNELVLANKLKHKNLVQLLGVCLQEKLLVYEYMPNGSLDAILFADSEKRHQLDWTRRHTIICGIARGLLYLHEESCLKVIHRDLKPSNVLLDLDMNPKISDFGLSRAFNAD* >Brasy1G383700.1.p pacid=40057575 transcript=Brasy1G383700.1 locus=Brasy1G383700 ID=Brasy1G383700.1.v1.1 annot-version=v1.1 MASALAGRARGSPAAAAALWAAARGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQAKDAIEFYGDLDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDDGKIKAFNVEKAPSDFKVSGAEAILEQI* >Brasy1G163100.1.p pacid=40057576 transcript=Brasy1G163100.1 locus=Brasy1G163100 ID=Brasy1G163100.1.v1.1 annot-version=v1.1 MKLLSDGTVLRFTPPPFPAGADYDDGRVEWKDAVYDARHNLGVRMYRPQVKYYINKPDNKQQLPVLVYFHGGGFVFGSYSWPKNHAGCLRLAAELPAIVLSFDYRLAPEHRLPAAMEDAASALSWVADRMSSASDPWLPMPAESTQIFLGGQSSGATLAHYLLVLLEKKKKIQIKIAGYILLMPPFLSEKATQSELDTPDTAFLSRATSDRYFRLMMPKGANKDDPLVNPFGPGSPSLETAHVGRMLVVAAECDMVRDKDIEYAERLRAMGKDVELAVFAGQEHAFFATRPFSPAADDLLALIKRFLLAA* >Brasy1G048000.1.p pacid=40057577 transcript=Brasy1G048000.1 locus=Brasy1G048000 ID=Brasy1G048000.1.v1.1 annot-version=v1.1 MGKEAGEEHQRRPPDGAGASGGGGGGRRGGRFCCCGRGGGGVVRLQCVAALVLGAAVLLSALFWLPPFAGRGSGSEGPDPAAGFQDDIVASFRLRKTVSELSGNTSQLELDIYAEIGLPNTTVVVNSLQPLVGSNWTNVVFSIVPYPKNLTISSTLLSLLRSSFMSLVVRQSTLHLTESLFGNSSSFEVLKFPGGITIIPVQKAFLPQKPHATFNFTLNFPIYKVQDRTDELKDQMKAGLLLNSYENLFIKLGNLQGSTVLPPTIVETYIVGVVGNHQPSVPRMKQLAQKITNSSSGNLGLNHTVFGRVKQISLSSYLTHSLHSGGYSGAPSPAPMQRHGHHGHHHHHHGHEDNRHLSPAPAPINFPVRTPRYVAPSPSGCPYGKKANNRVPVTPAAEPVANDHHSTYPVASPPHPLSPLPGHHPPHDPSKNSGSPVPSPPVLPEPPLPIVSLGHAHPPSEHATAGPSAGMSNVAPAPHSSNATLRRYCHWLLVPLTLCTLLSLL* >Brasy1G266100.1.p pacid=40057578 transcript=Brasy1G266100.1 locus=Brasy1G266100 ID=Brasy1G266100.1.v1.1 annot-version=v1.1 MVNLIEAQKPLLTGMMRLAGLRPIDIDLQPGTTMHVWAPKHHAGKHKGATTISPDLDPTATKNKQPSSSSSSRRRRRRNNGPESKPNVVLIHGFAAEGCVTFQFNFGVLVSRYNVYIPDLLFFGKSSATDSADRSPEFQARCVAAALARLGVARCDVVGFSYGGMVAFKLAEARPDLVRSLAVSGSVVAMTDAVNAETMARLGTGSAADLLMPDTLQGLKALFSVSMYRKMWFPDRMYKDYLKAMFTNRKERLELLQGLLTSNMDAKIPTFQQKIMLIWGEEDKLFDIGLARKMKEQLGENCFLQGVPKAGHLLHLERPCAYNRQLGRFLRFVNSQEDHHHQAVSSNASEGPNPINRSGSPSTR* >Brasy1G266100.2.p pacid=40057579 transcript=Brasy1G266100.2 locus=Brasy1G266100 ID=Brasy1G266100.2.v1.1 annot-version=v1.1 MVNLIEAQKPLLTGMMRLAGLRPIDIDLQPGTTMHVWAPKHHAGKHKGATTISPDLDPTATKNKQPSSSSSSRRRRRRNNGPESKPNVVLIHGFAAEGCVTFQFNFGVLVSRYNVYIPDLLFFGKSSATDSADRSPEFQARCVAAALARLGVARCDVVGFSYGGMVAFKLAEARPDLVRSLAVSGSVVAMTDAVNAETMARLGTGSAADLLMPDTLQGLKALFSVSMYRKMWFPDRMYKDYLKAMFTNRKERLELLQGLLTSNMDAKIPTFQQTQFLHTLKMPEETCNLKPWGRYISRPEESDRIGHARRDLIFSLISFHLYFFGFADH* >Brasy1G266100.3.p pacid=40057580 transcript=Brasy1G266100.3 locus=Brasy1G266100 ID=Brasy1G266100.3.v1.1 annot-version=v1.1 MVNLIEAQKPLLTGMMRLAGLRPIDIDLQPGTTMHVWAPKHHAGKHKGATTISPDLDPTATKNKQPSSSSSSRRRRRRNNGPESKPNVVLIHGFAAEGCVTFQFNFGVLVSRYNVYIPDLLFFGKSSATDSADRSPEFQARCVAAALARLGVARCDVVGFSYGGMVAFKLAEARPDLVRSLAVSGSVVAMTDAVNAETMARLGTGSAADLLMPDTLQGLKALFSVSMYRKMWFPDRMYKDYLKAMFTNRKERLELLQGLLTSNMDAKIPTFQQMPEETCNLKPWGRYISRPEESDRIGHARRDLIFSLISFHLYFFGFADH* >Brasy1G531700.1.p pacid=40057581 transcript=Brasy1G531700.1 locus=Brasy1G531700 ID=Brasy1G531700.1.v1.1 annot-version=v1.1 MRSHAPAKLLPLLLLVLVSILPWLGATTGALDASCAPAACGSLAIRYPFWLRGTHAPECGYPTFGVTCDDPTGATPPSLNESYLRVLDIRYAARSVVAFHANLADGNACLPTRFNVSATFALSLLAVSGANSQLFFSSADGGGNCSRPPGALERNCSGSSNASWFVYTGRTDGGRADSMPVPGCRFSVVPVMPGSELRNWEDYKAMVRRGFLLDWTVPGDCAACNASGGGCRYEAGANAFGCLCPNDGRMHPETCGEFVRHLLVYSFAC* >Brasy1G447200.1.p pacid=40057582 transcript=Brasy1G447200.1 locus=Brasy1G447200 ID=Brasy1G447200.1.v1.1 annot-version=v1.1 MAVSTLSLVILVPALIVSLLYLFRSAKRSPAPPQDGRQLLPPSPGRGLPLIGHLHLLGSLPHRSLRALAEAHGPVMLLRLGRVPAVVVSSAAAAEEVMRARDLAFASRPRSAVAERLLYGRDVAFAPYGEYWRQARRICVVHLLSTRRTLSFRRVREEEAAALVQRVRDASAAAMDMCELIVAYANTVVSRAAFGDESARGLYRDGDRGRELRKVFDDFVQLLGTAPVAELVPCLGWVDALRGMEGRIRGTFEALDGVLEKVIDDHRRRRPPVGSCKGDDGGVDDHKDFVDVLLDVNETDGEAGIRLDTTEIKAIILDMFAAGTDTTSTAIEWAIAELITHPDDMQKVQDEIRATVGGAGRVTEDHLDKLRCLNAVVKETLRLHPPIPLLVPREPPNDAEILGYHVPARTRVIINAWAIGHDPAAWERAEEFLPERFLLDKAAVDYRGQDFGLVPFGAGRRGCPGIEFAAPTVKMALASLLYHFDWAPAGGTLLDMRERNGLSVHLKSGLPLVATPRCP* >Brasy1G357700.1.p pacid=40057583 transcript=Brasy1G357700.1 locus=Brasy1G357700 ID=Brasy1G357700.1.v1.1 annot-version=v1.1 MWSGPPPTPRSPIICAAPITAVEPVMRMPRRRVPDRSEKQREIPVGCRLGVEEVQRVWQHTRTLNNKDMFTLPPETVHMQPATSPVQQEEVEMLCWSGPAKTPCSPIACGGQITIAEPVYRIPERWSNGVDSDRTVRLPIKKRYGTFMQSATEEEQESNTHPSQRPRVEENAGRNQGSSHMPPRDPLLHKANVTPPCPINLREGTPTAQQCYTHAPDLPENLIPVIGQHFRTLDEAFEFYNAYAKHTGFGLKRSQRNTYRSYIRCTREGKYTTSVRDGERQRDRASKKIGCKAHMRLKVNGDGGCIIKSIHFEHNHQLTLSPSMLVFLHSHKRVNPTLQDYIKDLQLSNVKHVNIMSLLTRLSGGRDKLGCHNRDVLNMKAKNARKESADDVQKLFKFFDDMTAENENFYYDVNVDEDNRLNNIFWANASCRAAYADFGDCITFDTTYKSNKYHLPLAVFVGVSNHLLSSIFGVALMGDESVDSFKWVFSTFLKCMRGKQPICILTDQCPSMAKAIPQIFPRSLHKLCRWHIMRKHKDSLGKLYKLFPDLKDQLAAVLNHPLMPTEFEAALHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCARMTSTQRSESMNHVLKKGFVREQHDLHIFAQQVNNCIQTRCESEAAEATASMPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWKHTGLFCLHVIAVFEHLRLDEIPRRYILKRYTRNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFTVGMRAFKEVNSRMDEEGIKTNAGADHHTEECCPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPPKVAKTKGSRNKNTDEAPAPAPATAAARPEPELDANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATERVKICIRNVLAKQGVGIVDKKQLLDTDEDEDYEDQTDDDDNEDDVEDYDENEDDQGGEGEEEEQCQTEVTNEQTLETTENSKQPPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNCGKIRGHNARTCKKLQLEEQLRAQMELESQKIAQERSPEEQVQPMRATRRSARLQ* >Brasy1G194100.1.p pacid=40057584 transcript=Brasy1G194100.1 locus=Brasy1G194100 ID=Brasy1G194100.1.v1.1 annot-version=v1.1 MPVARPEPQEPRVIAHLDLDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKDVCPGINLVQVPVAREKADLNIYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPESSEFIFEEATKSNILDLSSDVSNREENVRAWLCRADADYQDKLLACGAIIVAQLRIKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQGFLASLPVKKMKQLGGKLGSSLQDDLGVKTVGDILTFTEEKLQDYYGVNTGTWLWKTARGISGEEVEDRILPKSHGCGKTFPGSKALKNNASVKSWLDKLCEELSERIQSDFNSNKRVAQTLTLHARACKENECNLMKKFPSKSCPLRYGTGKIQEDAMKLFESALHDFWGSRNTGWSITSLSVTASKIFDVPSGTNSILRYIKGPSSGASSDNELPMTPIHEEHCEPSSKNEDCGNSNFAKQYCGIEEKGVPNKSSKVKGTGSILKFLSQSQSALPEKRKIDGLTCGHQGQESSSGVNKAEQHGRSAQTVDRSNINTASDHSAGNKWMFNVEDIDPAVVGELPLEIQREIQGWTRPSKQASTKSRGHTISSYFPPARPDAFMVVNN* >Brasy1G194100.2.p pacid=40057585 transcript=Brasy1G194100.2 locus=Brasy1G194100 ID=Brasy1G194100.2.v1.1 annot-version=v1.1 MPVARPEPQEPRVIAHLDLDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKDVCPGINLVQVPVAREKADLNIYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPESSEFIFEEATKSNILDLSSDVSNREENVRAWLCRADADYQDKLLACGAIIVAQLRIKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQGFLASLPVKKMKQLGGKLGSSLQDDLGVKTVGDILTFTEEKLQDYYGVNTGTWLWKTARGISGEEVEDRILPKSHGCGKTFPGSKALKNNASVKSWLDKLCEELSERIQSDFNSNKRVAQTLTLHARACKENECNLMKKFPSKSCPLRYGTGKIQEDAMKLFESALHDFWGSRNTGWSITSLSVTASKIFDVPSGTNSILRYIKGPSSGASSDNELPMTPIHEEHCEPSSKNEDCGNSNFAKQYCGIEEKGVPNKSSKVKGTGSILKFLSQSQSALPEKRKIDGLTCGHQGQESSSGVNKAEQHGRSAQTVDRSNINTASDHSAGNKWMFNVEDIDPAVVGELPLEIQREIQGWTRPSKQASTKSRGHTISSYFPPARS* >Brasy1G466300.1.p pacid=40057586 transcript=Brasy1G466300.1 locus=Brasy1G466300 ID=Brasy1G466300.1.v1.1 annot-version=v1.1 MPACCCFRGRANSSKKHLNYSPSVPASSSEPETKKFKVFKYRELRWATNNFHPSNKIGQGGFGAVYKGTLRDGSDVAVKVLSASSRQGIKEFLTEIHVIADVDHANLVDLLGCCAHGDRHRILVYDLLPNGSLHRALLSSAAANDSSKKLPAAMTWRVRRGACVGVARGLAFLHEELGVVHRDIKASNVLLDADWAPKIGDFGLARLFPDNVTHVSTRVAGTAGYLAPEYAWHGQLTKKADVYSFGVLVLEIVTGKSSSRSLHHNCLYDDDEMVLVERVWQTFEAGKLGDIIDPALVFLPETEEKEKEEAVRYMKVALLCTQAAPLRRPAMPQVVEMLERGDVRIRETEMTPPGYVVKSHHSQDGSDKKHYSINVSHSTVTEIAPRF* >Brasy1G466300.2.p pacid=40057587 transcript=Brasy1G466300.2 locus=Brasy1G466300 ID=Brasy1G466300.2.v1.1 annot-version=v1.1 MPACCCFRGRANSSKKHLNYSPSVPASSSEPETKKFKVFKYRELRWATNNFHPSNKIGQGGFGAVYKGTLRDGSDVAVKVLSASSRQGIKEFLTEIHVIADVDHANLVDLLGCCAHGDRHRILVYDLLPNGSLHRALLSSAAANDSSKKLPAAMTWRVRRGACVGVARGLAFLHEELGVVHRDIKASNVLLDADWAPKIGDFGLARLFPDNVTHVSTRVAGTAGYLAPEYAWHGQLTKKADVYSFGVLVLEIVTGKSSSRSLHHNCLYDDDEMVLVERVWQTFEAGKLGDIIDPALVFLPETEEKEKEEAVRYMKVALLCTQAAPLRRPAMPQVVEMLERGDVRIRETEMTPPGYVVKSHHSQDGSDKKHYSINVSHSTVTEIAPR* >Brasy1G113000.1.p pacid=40057588 transcript=Brasy1G113000.1 locus=Brasy1G113000 ID=Brasy1G113000.1.v1.1 annot-version=v1.1 MSPPLEPHDYIGLSAAAASPTPTSSSSCSSSPNPGAEVGPRLTLRLGLPGSESPDRDSSADVAAALTLGLASTHKAASKRAFPEASPRRGGSAAAAAAARGEEKAPAAAPPAAKAQVVGWPPVRNYRKNTLAASVSKSKGGEEGAAAQGDLSM* >Brasy1G205500.1.p pacid=40057589 transcript=Brasy1G205500.1 locus=Brasy1G205500 ID=Brasy1G205500.1.v1.1 annot-version=v1.1 MGRAMRWLKKLLTGRKDGHGGLKEIHAATDWRGAAEKETTGRWSFVKQRKSGADGGKRPSDQALVDVAEPSQARSCRCAGGVEVRAREEMAAVVIQKAFRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQADTYAVKRASYRKSTEQERIAAQDAGVKPPATPSHRRRLSDSTDSNYEQRGSPRIVEMDTCQLRSRSTRITTSGRHAYNSTPDRSSFSPQSVKQTPRLSTRRHERERDNPARHAKTAQNTPRFLFGHGPPAYEYDSPAKSDDGGGLTTPSRPLISHRDLLVSPRYMAGTASSAARMRCQNAPRQRQQGRGGEGPRASLTQVAGSRKSACTHMQAGGFCLHCSEAGATLTGCSDVSDEAARDYYLDRMW* >Brasy1G205500.2.p pacid=40057590 transcript=Brasy1G205500.2 locus=Brasy1G205500 ID=Brasy1G205500.2.v1.1 annot-version=v1.1 MGRAMRWLKKLLTGRKDGHGGLKEIHAATDWRGAAEKETTGRWSFVKQRKSGADGGKRPSDQALVDVAEPSQARSCRCAGGVEVRAREEMAAVVIQKAFRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQADTYAVKRASYRKSTEQERIAAQDAGVKPPATPSHRRRLSDSTDSNYEQRGSPRIVEMDTCQLRSRSTRITTSGRHAYNSTPDRSSFSPQSVKQTPRLSTRRHERERDNPARHAKTAQNTPRFLFGHGPPAYEYDSPAKSDDGGGLTTPSRPLISHRDLLVSPRYMAGTASSAARMRCQNAPRQRQQGRGGEGPRASLTQVAGSRKSACTHMQAGGFCLHCSEAGATLTGCSDVSDEAARDYYLDRMW* >Brasy1G205500.3.p pacid=40057591 transcript=Brasy1G205500.3 locus=Brasy1G205500 ID=Brasy1G205500.3.v1.1 annot-version=v1.1 MGRAMRWLKKLLTGRKDGHGGLKEIHAATDWRGAAEKETTGRWSFVKQRKSGADGGKRPSDQALVDVAEPSQARSCRCAGGVEVRAREEMAAVVIQKAFRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQADTYAVKRASYRKSTEQERIAAQDAGVKPPATPSHRRRLSDSTDSNYEQRGSPRIVEMDTCQLRSRSTRITTSGRHAYNSTPDRSSFSPQSVKQTPRLSTRRHERERDNPARHAKTAQNTPRFLFGHGPPAYEYDSPAKSDDGGGLTTPSRPLISHRDLLVSPRYMAGTASSAARMRCQNAPRQRQQGRGGEGPRASLTQVAGSRKSACTHMQAGGFCLHCSEAGATLTGCSDVSDEAARDYYLDRMW* >Brasy1G320900.1.p pacid=40057592 transcript=Brasy1G320900.1 locus=Brasy1G320900 ID=Brasy1G320900.1.v1.1 annot-version=v1.1 MGNPGALHRFIALQALSAFSLLAVFVADVKGGDDVCPPFSCGHLQDISYPFRRQGDPLDCGVREYELVCSSSGKATIQINTATYYVTAINYTGAYFWVMDANFDTNSTCSLPMWNYFNCCRFNAETDSDICNFCTRGYYGACFANYSRAITDNSVYKPVDCLSANNSHVYVWVSAEGCMVYELEPYCGYLAMSPFGDTHSFDWLQRQNTSYADITQLLRNGFAVSFPTEYTYGAFSVSKTINLCLNNSISYFKEQTSGASIMNWTHAFFWSEIHFLECVITSGNNYYTTRSLLVVITIVSSIAILKFIFACWAVCRLVLAPLVVWIFLAYKYWKTRITIDAVEKFLRIQQMIGPMRYAYTDITAITGHFRDKLGQGGYGSVYKGVLLPGNVHVAVKMLEGNSSCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSSEKSFFWDKLNDIALGIARGINYLHQGCDMQILHFDIKPHNILFDSNFVPKVADFGLP* >Brasy1G248600.1.p pacid=40057593 transcript=Brasy1G248600.1 locus=Brasy1G248600 ID=Brasy1G248600.1.v1.1 annot-version=v1.1 MARGTPGVCTVVELGLAERAPGVWDWPRGPPEGGVSEHENWRGTRGRKRPGSGPGREGRPMEVLLHLRRSSGGSGSRRRNRRHLPRHRQQGRMAAAARGACACGSSWDRRQQGLQSAEAAGAARCGGGVPRRGGWGRRRRRGLQPAEAAGAAARAARAHGGGSSWLLRALENASSAAMVGLAAKQLVPDDGQSERSPLLEDPMALLVRSSWPAIYSAPTPQIALLLHTQGLLRRGG* >Brasy1G292200.1.p pacid=40057594 transcript=Brasy1G292200.1 locus=Brasy1G292200 ID=Brasy1G292200.1.v1.1 annot-version=v1.1 MAAANGEKGFEVPEVEIKYTKLFINGQFVDAASGKTFETRDPRTGEVIARIAEGDKADIDLAVKAAREAFDNGPWPRMPGCARGRILNKFADLVDAHIEELAALDTVDAGKLFTMGKLVDIPGGANLLRYYAGAADKIHGETLKMTRPLHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFHAQLAKQAGVPDGVLNVVPGFGPTAGAAMSSHMDVDKISFTGSTEVGRLVMEAAAKSNLKSVSLELGGKSPIIVFDDADLDMAVNLVNMATYTNKGEICVAGSRIYVQEGIYDAFVKKSIEVAKKSVVGDPFNPHVHQGPQVDKDQYEKVLKYIEVGKREGATLLTGGKPCGDKGYYIEPTIFTDVKEDMAIAQEEIFGPVMSLMKFKTVEEAIQKANNTRYGLAAGVVTKNIDIANTVSRSVRAGVIWINCYFAFDNDCPFGGCKMSGFGKDMGMDALDKYMHTKTVVTPLYNTPWL* >Brasy1G072500.1.p pacid=40057595 transcript=Brasy1G072500.1 locus=Brasy1G072500 ID=Brasy1G072500.1.v1.1 annot-version=v1.1 MKLYSIPKSIITMGSRRFCLLILLLFLIPSSVLSESSDINTLFTLRHSIAEEKGFLRSWFDSETPPCSWSGITCLGHIVVAIDLSSVPLYVPFPSCIGAFESLLQLNFSGCGFTGELPDAFGSLQHLQLLDLSNNQLTGPIPGSLYNLKMLKEMVLDNNLLYGQLSPAISQLQHLTKLSISMNSITGGLPAELGSLQNLEFLDLHMNTLNGSIPAAFQNLSQLLHLDLSQNNLSGLIFSRINSLVNLLTLDLSSNRFVGPIPPEIGQLENLQLLILGQNDFSGSIPEEIRNLKWLEVLQLPECKFAGTIPWSIGGLVSLKELDISENNFNAELPTSVGQLGNLTQLIAKSAGLRGSIPKELSNCKKLTLINLSLNAFTGSIPEELAELEAVITFSVEGNKLSGHIPEWIQNWANVRSISLAQNLFSGPLPLLPLQHLVSFSAETNLLSGSVPAEICQGNSLRSLILHDNNLTGTIEETFKGCKNLTELNLLGNHLHGEIPGYLAELPLVNLELSLNNFTGVLPDKLWESSTLLQISLSNNQIMGQIPHSIGRLSSLQRLQVDNNYLEGPIPQSVGTLRNLTILSLRGNRLSGNIPLELFNCRNLVTLDLSSNNLTGHIPRAISNLKLLNSLILSSNQLSGAIPAEICVGFENEAHPDSEFVQHNGLLDLSYNRLTGQIPTEINKCSMMMVLNLQGNLLNGTIPTQLCELTNLTTINLSSNGLTGSMLPWSAPLVQLQGLILSNNHLDGIIPDEIGRILPKISMLDLSRNLLTGTLPQSLLCNKYLNHLDVSNNNLSGQIPFSCPMDGESSSSLLFFNSSSNHFSGTLDESISNFTQLSSLDIHNNCLTGNLPSALSDLSLLNYLDLSSNDFYGTIPCGICSIFGLTFANFSGNHIGMYSPADCAGGGVCFSNGTGHKAVQPSHQVVRLATIGVISLACIIVLVLLVVYLRWKLLRNRSMVFLPANKAKATVEPTSSDELLGKKSREPLSINLATFQHSLLRVTTDDILKATKNFSKEHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEIWLRNRADTFEALGWPDRLKICLGSARGLAFLHEGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSSTKGDVYSFGVVMLELLTGRPPTGQEEMEGGGNLVGWVRWMIAHGKGNELFDPCLPVSGVWLEQMVRVLSIALDCTAEEPWKRPSMLEVVKGLKITQTMECGPLVVTVSRGT* >Brasy1G081600.1.p pacid=40057596 transcript=Brasy1G081600.1 locus=Brasy1G081600 ID=Brasy1G081600.1.v1.1 annot-version=v1.1 MAAMAAADAMLAAASRAVCSSVAVFFQIQGCCICLLLALGWTVASFVRKKEIRKMRRKMIDGNSLAFLCDDVDELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPVEFLFVVESKDDPAYRAVSRLIVDYKDKLEAKVVVAGFSTTCSQKIHNQLIGVDKMHKDSKYVLFLDDDVRLHPGTIGALTKEMVKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGRTFFLWGGCMMMHADDFRQDLYGLVTGLRNGGYSDDMTLAAIAGQHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFATHCYLSWGFICPYAMALVHVAALLRAPYSAIVKEASDSFLGLKLVSFLLICTLTELVSMWNLTRVEIQLCNMLSPEGPKDSLRSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENRSKYTDLGGKHLYGKRTYPPGKSLFGCSFLMSLVQWHQPKKYDV* >Brasy1G075900.1.p pacid=40057597 transcript=Brasy1G075900.1 locus=Brasy1G075900 ID=Brasy1G075900.1.v1.1 annot-version=v1.1 MASGCPTTNSSSLLFFFLLSCMLISHALCNQGYHGSTSDADYGEQHYQGLPEKNIDLQENMKGLNKEKLPKYARRMLIGSIAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR* >Brasy1G317800.1.p pacid=40057598 transcript=Brasy1G317800.1 locus=Brasy1G317800 ID=Brasy1G317800.1.v1.1 annot-version=v1.1 MFPPPNAFGPPRPPQPPPWQWQQPPFQPTLPAAAPPASSFWQSNNVREHVRKLQETVAISRALIDELEEIEHARNSVDAGAQEPDSSAVELSSGSAGSSADRPHRFVELASEMKISRDTHESLATDAANYLCSQLQHLLAPISPAINQGGAWEERSAMVRLAQKLQKSKRNKRWRKRKRKHVAELFQKESADFDRVDQEADEWRARQIANDIAKRKVESMKQIAKAKANEERKRLESELELALMVEKLQELRSIRVQKLKKQGHFLPEEDDKYLERVKAAVEEEERQAATAARTDAAKDAILTAEESRKASHLITSQGDGSEQPKSGLTPEQNQGDASISERSDRASQNTQHEGQNVEIKGLGHSESVTNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSCIPGHWVQPPPPADEVWASYLVQPK* >Brasy1G218900.1.p pacid=40057599 transcript=Brasy1G218900.1 locus=Brasy1G218900 ID=Brasy1G218900.1.v1.1 annot-version=v1.1 MRTLHAAPASFVVPPAPHLVSSTPSPRGALPAKAPPSAEATIQSRARFPLSPRVARRRRCARAALSNAGTTAATVDDAKRDVLIALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPVKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPVYAGDLPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVVNPESKSILPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADEKRYYPFGQGSGAQVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLDMLERLVDVPHPATPAVVSS* >Brasy1G146200.1.p pacid=40057600 transcript=Brasy1G146200.1 locus=Brasy1G146200 ID=Brasy1G146200.1.v1.1 annot-version=v1.1 MWSVELQIYRGLLLVAAGIAHSHWTAYCVPSRNSHPRSIRHMG* >Brasy1G403600.1.p pacid=40057601 transcript=Brasy1G403600.1 locus=Brasy1G403600 ID=Brasy1G403600.1.v1.1 annot-version=v1.1 MVWALTPVDTASGLQKFYFCAAGTYKVGRKGCDILVPTDTSISRVHAEITIEKMVAWDPQSGAPATPSYVSVVDRSKYGTFVNKVQGTQGSRPRKDEEVMLADGDSVTCGTGNTTFRFSFVPIVVFFHGKKSSRIDRSLQAVMTSIGAYVTRKWSDESTHVLVDESSLLTPELLDAIITKKPIVLGNWFKAMAEKNVHTEIPSCTQYIPNLTLDGMEIKMVEIKLMENCLAGYTFILGSSEKYKFGEKLQALLESVGATYLSVDEFCANSQESGAGENDQQILLVPAKSPLEFSEIRPLFPLCKITEVKLFVAILSGRLEAAAIEPPAYIITSSNTTDETFVADSDVEIDTAISDHTVAASKSEHHIQHTCGDKTEGKLTTSENAVNFGETKVNIDIPDDLEKDEISKSMEADVQVIEKTTSHVFKAGCEDVQVINMPQGKKLENSMDKTCNAVFVSKAPKDEKLDPSTDETCHIIFSQDLIVKRVRQTARAMTTEAGGVNFKRFRKRETVSGNSFRALIPFAREPYRESDYESGTLTDFMREEKRRKQMESIAEDLFNNQKTKKKASAGSSIQTLLTGR* >Brasy1G360600.1.p pacid=40057602 transcript=Brasy1G360600.1 locus=Brasy1G360600 ID=Brasy1G360600.1.v1.1 annot-version=v1.1 MEAAWRTRATEVWVQILGWTRACHAAAAGPLASARLHLLLAENAAVNHYLNVTAPNETLPEKAIAGIDGAMTHLRPASFRVKRVVDVHAAGGQAFTICAAHLGLQLRMAPGWLAWQQHHAATAAHANTALQRLHTGMCFAIWAKVVLVGRLRDSPPLSPLWSQWGPLVLLALCLTTAYLDASTGQLRTMQRALILEMFVARRLLLP* >Brasy1G425000.1.p pacid=40057603 transcript=Brasy1G425000.1 locus=Brasy1G425000 ID=Brasy1G425000.1.v1.1 annot-version=v1.1 MAVVNGGVFRLSSYAFFLSCCLMAATLLAAAGHEPRYNAMFNLGDSSTDTGNMCPDGRLLRTGIVATFARPPYGETFFGKPTCRCSDGRVNVDFLAQALGLPFLPPSMAHGRDFRRGANMAIAGGTVRYYDTSAFPGYDVNLNGSMNNQIEDLQRLLPSICGTTQNCKNYLAKSLVLFQLGENDYNFQLLNGSTVDEVSKNMPIIVNTLASGVEKLITLGAVDIVVSNIAPLGCYPMYLYFLQSSDKSDYDDNGCLRNHNVLFNRHNAFLRRSLSKLQKKHRHTRIMYADLAGHLYQIVQDPRKFGLKTALTSCCGKADAPNRFDLGALCGMDGASVCHDTWSYLSWDGMHLSDAANKRVANGWLNGPYCHPAILK* >Brasy1G141500.1.p pacid=40057604 transcript=Brasy1G141500.1 locus=Brasy1G141500 ID=Brasy1G141500.1.v1.1 annot-version=v1.1 MQSHAEPLASKAGFRTGERRGRHRFGWAWRHRTINEREATVVSRTAHHPHAWPRAPIGFLLFFVFGM* >Brasy1G116100.1.p pacid=40057605 transcript=Brasy1G116100.1 locus=Brasy1G116100 ID=Brasy1G116100.1.v1.1 annot-version=v1.1 MEHLPVEVIGNILSHLSAARDVMVASAVCRKWREACRRHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTVGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRRLYYNIQTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLYLRHVSISALDLSLLVAACPKIESLALDVIEIVTSDSQSTMELTSHTLKSFFVKTVGVDKIILDADNLEVLHLNALNLDLFELSGKGTLQQLKIDDVSVTHLDIGERTDHLETLRFWGVVFDDEDEIVDSESIAVSFPILRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIKRCPNLKKLIIHGVLSEAKTREERQMLASFTSFIVCLMRNYVHVDVQFEYE* >Brasy1G424900.1.p pacid=40057606 transcript=Brasy1G424900.1 locus=Brasy1G424900 ID=Brasy1G424900.1.v1.1 annot-version=v1.1 MNRPAVPKSNASASFLFLVAICAEPRTAPHRTEAPFLCLCRPLPLICPRCNVTRACTPSHSLSASPDVIYTHSRIPVGLQPLKSARENLSPAEPFFLSFFLAPPILQRGLLLPSPAAADSSTPRGLLLLPSPAASLLLLLLLPSLAAGGLLLLPSPEAGVLLLLPSPAAASSSSLPLRPASSSSSLPLRRPPPPPFPCGRLHPPSSFPSTEAAAASFPSPAAYARRCLLPPRPASAQPASAQPASRTPPPHQAPGSRIVVPPIPSRPRRRSRDKMRSSRDKERQA* >Brasy1G152200.1.p pacid=40057607 transcript=Brasy1G152200.1 locus=Brasy1G152200 ID=Brasy1G152200.1.v1.1 annot-version=v1.1 MGGRIDLSGAEIRGDPAEDGGPPIFLPRQPAASPLLALDIGGTLIKLVYTASCGGEAELRFAKFERRRLDECFEFVRAEGLLGCNGTKTGSSKENMTLKATGGGAYKFGDDFREKLGVSLDKLDEMDSVVSGANFLLQNVPGAAFTHMNGKRNSVDISPDNLFPYLLVNIGSGVSILKVNGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSMKKLTDYRSEDLASTLLSAFTYNIAQISFLVASLLGLRRVFFGGSYIRGHKSTMENISYALDFWSQSQNQAVFLQHEGYLGALGALMSYGDHRDENLTLEESQEKENTEESAAPPADVTSADEHNDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVNSSKLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKKIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLASHEVIREVLLGAPYTGQFPSVPLTQEQDDGEDKTFEVEVERLRHDNAVLKAELERLQRENAELKDRLVKCGEASNL* >Brasy1G064900.1.p pacid=40057608 transcript=Brasy1G064900.1 locus=Brasy1G064900 ID=Brasy1G064900.1.v1.1 annot-version=v1.1 MMPTSALGSCRPRALAPVGSASMHRALTHGHSTAVLAPGSACRSVTQFCSLPPSCCSLGLRSLLILRESDPPIFPWLCSGGNLKEDCWAKVPGDPGSMGTEKINRHSATTKPVNAGTSVCVHAKASWAQRDAEHLIGWRFTNSTIQSDIKLWPFKLVVGPGDKPMTNVQYKDEEKQFEA* >Brasy1G022000.1.p pacid=40057609 transcript=Brasy1G022000.1 locus=Brasy1G022000 ID=Brasy1G022000.1.v1.1 annot-version=v1.1 MAAAALRSVANKLCGRPPLRLQPYFTAAVKEEQGWLLPSRLRRFSSSESPPNLINKKQLPCGGATNNAAEHTESLGLRVEEKKRELLHLLRQMEHPHAAAMEENKKLLDLLRGTPQSSSWFVPDNMFKITVFTTIVTAAVFYVETKYIMPVFGARKRSTSENRNKH* >Brasy1G299000.1.p pacid=40057610 transcript=Brasy1G299000.1 locus=Brasy1G299000 ID=Brasy1G299000.1.v1.1 annot-version=v1.1 MRSSGRMTWLPPKIGPTCQDMTWLCHLTETPFFSPHSLLCLCSSSRRWPEAVAPPPRVIELIAGHDLLPSLRPLVPPSSRPRRRRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSSSPHLELGSGVGEDSRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGSSASVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARPPGPACISAQHHGLRPPGPVHPAAPRPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy1G082500.1.p pacid=40057611 transcript=Brasy1G082500.1 locus=Brasy1G082500 ID=Brasy1G082500.1.v1.1 annot-version=v1.1 MPLPLAQMQELRDRLSDRFRPWSRSAQFWVRAVDIYGSYKVCQLRAGFVKDEDEREAMWEQQHEIGAQKLYSLCSELGGFFLKAAQIVGKPDLAPTAWVKRLVTLCDRAPSTPIEVVREVVEKQFNKSFDEIFDFFDVEPVGSASIAQVHRARLKSSKTDVAVKVQHPGAEKLMMVDIQNMQAFALFLQKHDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMISREVLVMEFVEGTPIMNLGNEMSKRGIDPGGKLAAMAKQKILTDLTLAYGQMILKDGFFHADPHPGNILICNNTEVALLDYGQVKEMPEDLRLAYANLVIAMADDDHIRTQESLREFGFKTWSIADNELEELFELSLRMFDTRLPPGVTVLSPFADDSSLNKVGVESFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWRPIAEEALLKAGRIKDVKSRRSRRSFLRRLF* >Brasy1G242400.1.p pacid=40057612 transcript=Brasy1G242400.1 locus=Brasy1G242400 ID=Brasy1G242400.1.v1.1 annot-version=v1.1 MDEAGSSEKKKRRADEVEGSEKKKRRADEVEGSEKKKRRADEVEGSEKKKRKAEGSGSVKNKRTRAQEEAPVGRIGPVIIAHAPNLPCSILCVLLEVRVKHAACSFSVNFCCDETWKAVKKTLEMIFCPPQYASPKAPAPDHLYVFTKMNDCVYFRNLKINETPASAREDASILEEIDETPSNACEDAFAFEEVGPYFCLKLVDVHRENDASQRALDVSESQIKSVQRLFLTSSVYLSLSPHIGSTLTHSLCLNGKKYFVLLENGEDLSVYEGYNISLREFLEDEPILKTKYILGSKNREECTFVRNVGKKFIRSILANLIEVFSYNKCISMEESQMLDYTMILLKGSLVKFYGVKLVKYEEGLAKQNVYHVIQLIRDCFPARLPPIDVHEMLECLEKNPLQDLRMACDDSCLLPAKDRREMIIRLHTEYFTNVKPKFGDDVTPCNQFFSNCPYLDSWIRTCERNSYLNVVAEYNLTIKNLKRDKKGQEDNIKEGDEGQRGELQFCIMRNSDVHIPEKVVEDGITPFRLSLCDYIRTAYFPRYLGFIQKRVIKYLKSTPEVCSVEGTKAMEKAGNALLEGTM* >Brasy1G196000.1.p pacid=40057613 transcript=Brasy1G196000.1 locus=Brasy1G196000 ID=Brasy1G196000.1.v1.1 annot-version=v1.1 MAKRLLPNAMVVTVASSSIQEEDLSYFVNPDEIPKEVTLDIPGPPSPGLPNPLPDGLIDVFDDLLEPEDPCPPALLSHNVLIHLDSLLILPPHQTNSSRRGRRSDGVQAYRFSWVRTRVDGTVDIVEEIQPSVFMSLLLLWLAGSSSGPRLPAPVGSRAGGARAVGGSPGLGAPAFSGAPMPSASLVPVLETLAALPPVSTLPRSPVPAAAPDVLPPPACRPAHPSSPVTPSASASSEAVGLAVVPASAPAAVDVALPGSSVALPASALSEVERLAVVPASTPAAVDVTRPGSPGALAAPASAEAVGLAAVPAKAPPPAGSPSLADFLHRVAEFVTPGLLQLARPADVPAPSRRLKKPSPKPVRRNCRLASKRLPASDDVLTRAKRLICKKLGVIFDEAASDDAAINLSEAQIAALTALAQRGAAKGKKASSVV* >Brasy1G046500.1.p pacid=40057614 transcript=Brasy1G046500.1 locus=Brasy1G046500 ID=Brasy1G046500.1.v1.1 annot-version=v1.1 MGQCCTTGVAQAAAVDAAAEAEAPAPPKSDTPRAGADAPNADANAAPNADANAKAAPNADADAKPSSSSSAPVGEVLGNPIEDVRATYTIGEELGRGQFGVTYLCTHSATGEKLACKTIAKRKLSGKEDVEDVRREVAIMRHLAGQPNIVALRGAYEDKHNVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTVVGTVQTCHARGVMHRDLKPENFLMLSRDESAAIKATDFGLSVFFKDGETFNDIVGSAYYIAPEVLKRKYGPEADVWSIGVMLYIFLSGVPPFWAETENAIFTAILRGEVDFVSDPWPSISNGAKDLVRKMLHVDPKERLTAIQVLNHPWIKEDGDAPDTPLDDVVLDRMKQFRAMNQFKKAALRVIAGCLSEEEINGLKEMFKNMDKDNSGTITLEELKNGLAKQGTKLSDHEIQQLMEAADADGNGLIDYEEFVTATVHMNRMDREEHLYTAFQYFDKDNSGFITVEELEQALQEQKLYDPSEFKDVISEADSDNDGRIDYSEFVAMMRKGTGGAEPSNPKKRRDLVLD* >Brasy1G125700.1.p pacid=40057615 transcript=Brasy1G125700.1 locus=Brasy1G125700 ID=Brasy1G125700.1.v1.1 annot-version=v1.1 MTRTRAGTSTPSPSRTFRPFPGQESPPRSSGSDDATCTVAATSASDVAYPARRKLIRPNLAASSLTLGKQVTAAPPVRPLQTNAISLPFPCGRKRAIETESETEIVAGDSAVSTEIPEEVNGGGGGGGGGGGVGEVGLGGGGEEQMDEDGVGPALGLGKEQVVLMWGYLPGVSPQRSPLLGPVPVRLPLAATGDAWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIARADAGWAHCVAITDEGVVYTWGWKECVPTGRVIADQSSVGTLERDERQGASATDQVSPRSNVSRTNSGAASGPSESRGTEDSTKRRRLSSAKQGHDSSTSSDENLSAPPCIVTFNTGVKIAAVAAGGRHTLALSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYGKDRPAAMKGNKNAESQISKVMGNCVKAIACGGRHSVVVTDSGTLLTFGWGLYGQCGQGNTDDVLSPTCLSSILGVKMEGAAAGLWHTVCISVDGDVYSFGGNQFGQLGTGSDEAETVPKLVDASCLENKNARTVSCGARHSAIITDESEVFCWGWNKYGQLGLGDSMDRNVPCIVPVEAYRALNVSCGWWHTLVLAESPT* >Brasy1G125700.4.p pacid=40057616 transcript=Brasy1G125700.4 locus=Brasy1G125700 ID=Brasy1G125700.4.v1.1 annot-version=v1.1 MTRTRAGTSTPSPSRTFRPFPGQESPPRSSGSDDATCTVAATSASDVAYPARRKLIRPNLAASSLTLGKQVTAAPPVRPLQTNAISLPFPCGRKRAIETESETEIVAGDSAVSTEIPEEVNGGGGGGGGGGGVGEVGLGGGGEEQMDEDGVGPALGLGKEQVVLMWGYLPGVSPQRSPLLGPVPVRLPLAATGDAWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIARADAGWAHCVAITDEGVVYTWGWKECVPTGRVIADQSSVGTLERDERQGASATDQVSPRSNVSRTNSGAASGPSESRGTEDSTKRRRLSSAKQGHDSSTSSDENLSAPPCIVTFNTGVKIAAVAAGGRHTLALSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYGKDRPAAMKGNKNAESQISKVMGNCVKAIACGGRHSVVVTDSGTLLTFGWGLYGQCGQGNTDDVLSPTCLSSILGVKMEGAAAGLWHTVCISVDGDVYSFGGNQFGQLGTGSDEAETVPKLVDASCLENKNARTVSCGARHSAIITDESEVFCWGWNKYGQVGISNSIFSRRSGENLV* >Brasy1G125700.2.p pacid=40057617 transcript=Brasy1G125700.2 locus=Brasy1G125700 ID=Brasy1G125700.2.v1.1 annot-version=v1.1 MDEDGVGPALGLGKEQVVLMWGYLPGVSPQRSPLLGPVPVRLPLAATGDAWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIARADAGWAHCVAITDEGVVYTWGWKECVPTGRVIADQSSVGTLERDERQGASATDQVSPRSNVSRTNSGAASGPSESRGTEDSTKRRRLSSAKQGHDSSTSSDENLSAPPCIVTFNTGVKIAAVAAGGRHTLALSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYGKDRPAAMKGNKNAESQISKVMGNCVKAIACGGRHSVVVTDSGTLLTFGWGLYGQCGQGNTDDVLSPTCLSSILGVKMEGAAAGLWHTVCISVDGDVYSFGGNQFGQLGTGSDEAETVPKLVDASCLENKNARTVSCGARHSAIITDESEVFCWGWNKYGQLGLGDSMDRNVPCIVPVEAYRALNVSCGWWHTLVLAESPT* >Brasy1G125700.3.p pacid=40057618 transcript=Brasy1G125700.3 locus=Brasy1G125700 ID=Brasy1G125700.3.v1.1 annot-version=v1.1 MTRTRAGTSTPSPSRTFRPFPGQESPPRSSGSDDATCTVAATSASDVAYPARRKLIRPNLAASSLTLGKQVTAAPPVRPLQTNAISLPFPCGRKRAIETESETEIVAGDSAVSTEIPEEVNGGGGGGGGGGGVGEVGLGGGGEEQMDEDGVGPALGLGKEQVVLMWGYLPGVSPQRSPLLGPVPVRLPLAATGDAWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIARADAGWAHCVAITDEGVVYTWGWKECVPTGRVIADQSSVGTLERDERQGASATDQDSGTLLTFGWGLYGQCGQGNTDDVLSPTCLSSILGVKMEGAAAGLWHTVCISVDGDVYSFGGNQFGQLGTGSDEAETVPKLVDASCLENKNARTVSCGARHSAIITDESEVFCWGWNKYGQLGLGDSMDRNVPCIVPVEAYRALNVSCGWWHTLVLAESPT* >Brasy1G458300.1.p pacid=40057619 transcript=Brasy1G458300.1 locus=Brasy1G458300 ID=Brasy1G458300.1.v1.1 annot-version=v1.1 MGNAMPCLVQGTRALPAPAAMKPKRRTTTYYLKPLVKALDRMKMKKTPCDDGRAPMPKIGSKSPPGAQGGGVKGVVKASGCGKASPRRAAAGHRKGVVRVKVVLTKEEAARLLSLTVGGQKTAAQIVAEIKRMEARRAAANGSWRPALESIPEESS* >Brasy1G311700.1.p pacid=40057620 transcript=Brasy1G311700.1 locus=Brasy1G311700 ID=Brasy1G311700.1.v1.1 annot-version=v1.1 MVIVFLILSGWGCTWPKGRGTGSWLPVPPSNAASLRQLLLRLAPKRREGVRREETIGARWALATSELVTVSPSPPIG* >Brasy1G488800.1.p pacid=40057621 transcript=Brasy1G488800.1 locus=Brasy1G488800 ID=Brasy1G488800.1.v1.1 annot-version=v1.1 MAATTRRRVTANGEFQENGGGQRPTAGTRRRPSSAGSSGSGSTPLWRVAVFASVALLLHHYAISHPWRHSSHAPDACVLEEPGMSEARREELGTKDRASAAMTPSTGKPAVSTDSIINLDHGDPTMFEAFWRETGPLAEIVIPGWQTMSYFSDVGNVCWFLEPEFGRQVRRLHRTVRNAAAGDEYHVVVGTGSTQLFMAALYALAPSGAGDPIGVVSTAPYYSSYPAVTDFLRSGLFRWAGDANSFKGDEYIELVCSPNNPDGAIRDAVLRSEAGKTVHDLAYYWPQYTPITAEAAHDIMLFTVSKSTGHAGTRIGWALVKDREVAKRMTKFVELNTIGVSKDSQLRAAKVLNAVSDGYDDMGSGARHRHRLFDFGRRKMVERWAMLRAAAAASGIFSLPEETSGHCNFTNDAAANNPAFAWLRCDREDVEDCAGFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYGIFVSRLASLK* >Brasy1G427600.1.p pacid=40057622 transcript=Brasy1G427600.1 locus=Brasy1G427600 ID=Brasy1G427600.1.v1.1 annot-version=v1.1 MGKRKASVRSKMEPKKKVQKLATPFACPFCNHADSVDCTIDLKLRIAEVACHVCEESYSTKAHELTEPIDVYSEWIDECEKVNEPLSDDDDDDHRDSSHDDNLV* >Brasy1G480700.1.p pacid=40057623 transcript=Brasy1G480700.1 locus=Brasy1G480700 ID=Brasy1G480700.1.v1.1 annot-version=v1.1 MAMAAAGRCLLLSRPSPLRLRILRATLSTAAPALTAPAPPPRHELLLERLRLRHLKDSSPGPPKPPPRDRARGGDRGSQHHQQQQRIVEAESFEELGLEEEVMAAMREAGISKPTEIQCVGVPAVLSGTSVVLGSHTGSGKTLAYLLPLVQLLRHDEVTLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKEGNIVYGDIKYLVLDEADTMFDQGFGEDIRKFLAPLKNRASKPGDQGFQTVLVSATMTKAVQKLIDEEFEGIVHLRTSTFQKRIATARHDFIKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLEVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLVTKKDVPLATRIEDAMKKNESLEALTSSNIRRVAANPQNPSTRGRNSTLVRRSDAPRDASQKGKRGITLSRRSPKVAIKDTSSTRKRSSTKNQPSSSRKRSPAKNQPKPKPAEAGKAKPVRSVSKGGVKVGKSSGSGPKPECRKVDALNKLGSKLSVVGFRGRSTGKSAQAS* >Brasy1G474400.1.p pacid=40057624 transcript=Brasy1G474400.1 locus=Brasy1G474400 ID=Brasy1G474400.1.v1.1 annot-version=v1.1 MSVREMRPLASAVAVVVLLASALGSRGSDLASSPAVANSPASEGQASSPPQPTFALSPITLPTAPLAPSASPPLQKGAVSPAVPTEPQNAPAPVVPPKEYNAPPPVESVPPTISDKVPPPAAPPKAAVEDPTPILPGSPALLPSVQAPTPSVPVKPNLPVAPPPSVNNQPSPVGSANGVPPYPPPKSSSPAVPPSTSEVPREHENPPTAPPIIAQAPQQQALAPNTEHNNVPSSNTPPPSYQNHDILRAPPPKEPSTAPVHKSPTRGFAPAASPLPRNTNMPTIPKNASTTSHAHPPSVGVAPKPAPISRTHPRGWVPKKGEKPSIAPSYPPLHAQGPEISRAGAPRQVGAKTQHHHAPPPMFRGHPNFPVHPPSTSPASPRGHTVTKRPRVSPTLPPIPPKEPKAPSTHPIWALPPPPPNLDCNSLSCSEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSDFAEEVGSGVNMARRQVRVMGANVAGDQPDKTVVLVDLVPMHVNFDNATAFATFQSLWSKRISLKPPSVFRDYEILYVVYPGLPPSPPSAPEGVGKGAFGNSRDGRAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICTLSAWLLIVRFRDSDDMAQRFPHSAIPKFSRSSGTCHTLLAGRCSSPSGPSGSLGSSMATYTGHAKTFKFTEIEKATNGFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADRDIAPLDWNARMKIALGAGRALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQESLVSWARPYLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMSEVVQALKLVCSEGDEVLGSASFSEELAAHTTAVYDVTGMEAERVLLSEMFGSTPVFTPAPDSGSFHKQSSSGPLMTGKNKKFWQRLRSLSRGSMSEHGASPDFETRSHCSNR* >Brasy1G474400.2.p pacid=40057625 transcript=Brasy1G474400.2 locus=Brasy1G474400 ID=Brasy1G474400.2.v1.1 annot-version=v1.1 MSVREMRPLASAVAVVVLLASALGSRGSDLASSPAVANSPASEGQASSPPQPTFALSPITLPTAPLAPSASPPLQKGAVSPAVPTEPQNAPAPVVPPKEYNAPPPVESVPPTISDKVPPPAAPPKAAVEDPTPILPGSPALLPSVQAPTPSVPVKPNLPVAPPPSVNNQPSPVGSANGVPPYPPPKSSSPAVPPSTSVPREHENPPTAPPIIAQAPQQQALAPNTEHNNVPSSNTPPPSYQNHDILRAPPPKEPSTAPVHKSPTRGFAPAASPLPRNTNMPTIPKNASTTSHAHPPSVGVAPKPAPISRTHPRGWVPKKGEKPSIAPSYPPLHAQGPEISRAGAPRQVGAKTQHHHAPPPMFRGHPNFPVHPPSTSPASPRGHTVTKRPRVSPTLPPIPPKEPKAPSTHPIWALPPPPPNLDCNSLSCSEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSDFAEEVGSGVNMARRQVRVMGANVAGDQPDKTVVLVDLVPMHVNFDNATAFATFQSLWSKRISLKPPSVFRDYEILYVVYPGLPPSPPSAPEGVGKGAFGNSRDGRAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICTLSAWLLIVRFRDSDDMAQRFPHSAIPKFSRSSGTCHTLLAGRCSSPSGPSGSLGSSMATYTGHAKTFKFTEIEKATNGFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADRDIAPLDWNARMKIALGAGRALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQESLVSWARPYLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMSEVVQALKLVCSEGDEVLGSASFSEELAAHTTAVYDVTGMEAERVLLSEMFGSTPVFTPAPDSGSFHKQSSSGPLMTGKNKKFWQRLRSLSRGSMSEHGASPDFETRSHCSNR* >Brasy1G285400.1.p pacid=40057626 transcript=Brasy1G285400.1 locus=Brasy1G285400 ID=Brasy1G285400.1.v1.1 annot-version=v1.1 MAAMTRGGEGSLRDAADGLSLPSMAARVRGGDGRRWGTAGLGLASRPWCGAGDGDGQPEMTGRPEVTGRPEAAVLQGFYPSVAGGEDGGVALVGCSGMGRSTAWGTTLREELRA* >Brasy1G286800.1.p pacid=40057627 transcript=Brasy1G286800.1 locus=Brasy1G286800 ID=Brasy1G286800.1.v1.1 annot-version=v1.1 MALSKHLVALFFAFAVVAATLQPSDARLQGFDEEAEKSKAAATAGGGSPGLPSFPGLPPLPHIPGFPSLPPLFRFLFPPLFGPHPGAPPAPPPPKECLTSLAGMAPCMDYLTNLTVTAPPSICCDGLKSVIANAPICLCHGMNGGMSKLAPKPIDPIRMLILPLRCGAVLPIQTIFQCATTPLPPLTPPTTPAPAAPTPPASPVSPAPPLMSSGSPAPASSPVSPP* >Brasy1G394100.1.p pacid=40057628 transcript=Brasy1G394100.1 locus=Brasy1G394100 ID=Brasy1G394100.1.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALRNGSNTRGYFVWSLMDMYELLSVRDTYGLYYVDFADKDLKRYPRNSKIWYTDFLKGSTSDSRQTERFSDH* >Brasy1G394100.6.p pacid=40057629 transcript=Brasy1G394100.6 locus=Brasy1G394100 ID=Brasy1G394100.6.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALRNGSNTRGYFVWSLMDMYELLSVRDTYGLYYVDFADKDLKRYPRNSKIWYTDFLKGSTSDSRQTERFSDH* >Brasy1G394100.3.p pacid=40057630 transcript=Brasy1G394100.3 locus=Brasy1G394100 ID=Brasy1G394100.3.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALSHHTRLHNWEHARKLKRNNLFGCIRAMKEWFKY* >Brasy1G394100.7.p pacid=40057631 transcript=Brasy1G394100.7 locus=Brasy1G394100 ID=Brasy1G394100.7.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALRYYAFFLVSHSLHFSLNDSAVGLV* >Brasy1G394100.4.p pacid=40057632 transcript=Brasy1G394100.4 locus=Brasy1G394100 ID=Brasy1G394100.4.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALSLATCAFTKRNGICG* >Brasy1G394100.13.p pacid=40057633 transcript=Brasy1G394100.13 locus=Brasy1G394100 ID=Brasy1G394100.13.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENAWSDCIDGCIRGQSNHSVIVV* >Brasy1G394100.12.p pacid=40057634 transcript=Brasy1G394100.12 locus=Brasy1G394100 ID=Brasy1G394100.12.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENVTIQDYTIGNMQGN* >Brasy1G394100.2.p pacid=40057635 transcript=Brasy1G394100.2 locus=Brasy1G394100 ID=Brasy1G394100.2.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGMVQILGATSCGR* >Brasy1G394100.10.p pacid=40057636 transcript=Brasy1G394100.10 locus=Brasy1G394100 ID=Brasy1G394100.10.v1.1 annot-version=v1.1 MKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALRNGSNTRGYFVWSLMDMYELLSVRDTYGLYYVDFADKDLKRYPRNSKIWYTDFLKGSTSDSRQTERFSDH* >Brasy1G394100.5.p pacid=40057637 transcript=Brasy1G394100.5 locus=Brasy1G394100 ID=Brasy1G394100.5.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGCYSVPCAGFWSSGRT* >Brasy1G394100.9.p pacid=40057638 transcript=Brasy1G394100.9 locus=Brasy1G394100 ID=Brasy1G394100.9.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGCYSVPCAGFWSSGRT* >Brasy1G394100.11.p pacid=40057639 transcript=Brasy1G394100.11 locus=Brasy1G394100 ID=Brasy1G394100.11.v1.1 annot-version=v1.1 MKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENGYPMHQDVVFDDGPRVEFLSTHLRSLVIALSLATCAFTKRNGICG* >Brasy1G394100.14.p pacid=40057640 transcript=Brasy1G394100.14 locus=Brasy1G394100 ID=Brasy1G394100.14.v1.1 annot-version=v1.1 MKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGWFLDPLYHGDYPLLMKENTGSKLPIFSRNQSEQLINSIDFLGINYYKIIYVKDDPQNGPINKRDYVADMSAKATLASDSTTGFHVLGFGLQEELEYLKQSYGNPPICIHENAWSDCIDGCIRGQSNHSVIVV* >Brasy1G394100.8.p pacid=40057641 transcript=Brasy1G394100.8 locus=Brasy1G394100 ID=Brasy1G394100.8.v1.1 annot-version=v1.1 MRLLRCVVALLLAAVSVVGSARSEGIVSRDEFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTHARAHAHPGDDPVNGDVAADGYHKYKEDIKLMKETGLDAYRFSISWSRLVPNGRGEVNPKGLAYYNNLINGLLDHGIQPHVTMFHYDLPQILEDEYDGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVALGYDSGIGPPGRCSKPFGDCSRGNSVDEPYIVAHNCLLAHSSAVSLYKRNYQAKQKGLIGINVYIYNILPFTNSTEDIAATKRARAFYTGCCKRFYHWVLLSSMCWVLVFRKNLNI* >Brasy1G393000.1.p pacid=40057642 transcript=Brasy1G393000.1 locus=Brasy1G393000 ID=Brasy1G393000.1.v1.1 annot-version=v1.1 MPLRLTATTRLSSYCRTKRNVGPQTRCSGVRARASRRPAREGHLCTVNLLLDNRPAYTLHDKEADPIDPKGASARVDAVNRAALAGAFGRTLPQLECWMRRRQWEGVAGGELTELAERAAGRRPEARQSCRSAVAAPRRL* >Brasy1G027100.1.p pacid=40057643 transcript=Brasy1G027100.1 locus=Brasy1G027100 ID=Brasy1G027100.1.v1.1 annot-version=v1.1 MCRSAFNSAQHTGGRQLRALLFSAGGANTARRFQGAPTRRLLPALLRRRASSARRFPQPGTMSLFPGMDLTKMDPPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMPPLYAITSFVGLLDIKGSKTFFTCLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILMITLQLFGLYPSWVSWTVTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGCALDVLAAVGIIQSHHFWLDVEHIQEAIQNVLVILEMVIFSVLQQYAYHVAPYSGADRAKFEKKNE* >Brasy1G303400.1.p pacid=40057644 transcript=Brasy1G303400.1 locus=Brasy1G303400 ID=Brasy1G303400.1.v1.1 annot-version=v1.1 MSLRPLNTERSFLLSPKTKSSSPKDACAAPLRSPSPRVVAPPPKRRMARGPGGLERSLSFKNWEAEEEGHEPEPAASRCINGARPESLVLQSPKTREQQLQQAGAVVVGASPRKSPVEQVMEYISPRSRSELDEAATKVQKLFKGHRTRRTMADCAIVVEELWWKAYDSASLSIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDIGAGKDEHHPKCPRNKLYSQLIMYLGPNQRADYEVIVEEGKLMYSRSGVFVNTTEDSKWIFVLSTTRSLYIGQKKKGEFQHSSFLAGAATTAAGRLVAKDGVLKAIWPYSGHYLPTEENFREFISFLEENSVDLANVKRCSVDDDEYPSFKKTSDEPTEMDRGEKPAEVEIDEILNSSQVELPEVDIVKEGVAEDGMDTEATVAKMASLPSFKWATAAGARIGCVRDYPADLQSMALEHVNLSPRVVPSPSANRLPIPSPRPSPKIRLSPRLHYMGLPTPTGRRLPIPSPEIRRSPKKQFMGFQTPAVALTLPKHKGK* >Brasy1G547000.1.p pacid=40057645 transcript=Brasy1G547000.1 locus=Brasy1G547000 ID=Brasy1G547000.1.v1.1 annot-version=v1.1 MDPQGRDDHEGVRVVGFEVPTSPDSSYSNHIPGNEDEAREPPLVPPHLQHTLLSFQPSHDDSSSLPPPQPVVLNHLYIEKENSRSVVALGITHRFKAKFVTVVLYKPVQRR* >Brasy1G295300.1.p pacid=40057646 transcript=Brasy1G295300.1 locus=Brasy1G295300 ID=Brasy1G295300.1.v1.1 annot-version=v1.1 MASKQGSSAAKATAPADFDPTYEWVDGDGIYLLRLNLPGFKKEDVRVHVDPAGRLTILGHGAGGATRVHKVFQLPSTSDLDGITGWYEGSMLALTVPKLPPPAVPSASPQEQDKQEDQDPAGKATEQQKSRISREAERLIEAARARLLGTRQAKEQSTTGRIEMPAPSKEVEEKKEEPKPKAEPSGERKGPDEKAKGATAADEQRAKVAHEAERRTEAARARLQAASERETMERQEKKGRCWNEEGFKWADAIGNNKEVIATAVAAFTLGVFVSHRLFARN* >Brasy1G355300.1.p pacid=40057647 transcript=Brasy1G355300.1 locus=Brasy1G355300 ID=Brasy1G355300.1.v1.1 annot-version=v1.1 MAIACASHVRRLLLQSGSGAGAPARSFYSQPYQAKVGVVEFLSGVGKGVETHAAKLEEAVGGDLQRLLETRTLRLKKLGVPCKHRKLILSFAHKYRLGLWKPPAKVQ* >Brasy1G108400.1.p pacid=40057648 transcript=Brasy1G108400.1 locus=Brasy1G108400 ID=Brasy1G108400.1.v1.1 annot-version=v1.1 MASSRVMASSSSPSHTASDLSRFAAGAAGRPGGSGLGSMNVEELLRGIYGDIPTPAPADRPMSPVRPAQETAARRTADEVWKEITGSGSGEEEEEEEVAPAGPAAVVVPGAAGGASEMTLEDFLARESAAKEDAVRVSGPSAALEEQVAMGFLSGADGARGGGGGRGKKRQQMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVSQLEEENAHLSREQEEQNEKRLKELKEKVTPVIIAKTSSQDLRRTNSMEW* >Brasy1G108400.2.p pacid=40057649 transcript=Brasy1G108400.2 locus=Brasy1G108400 ID=Brasy1G108400.2.v1.1 annot-version=v1.1 MASSRVMASSSSPSHTASDLSRFAAGAAGRPGGSGLGSMNVEELLRGIYGDIPTPAPADRPMSPVRPAQETAARRTADEVWKEITGSGSGEEEEEEEVAPAGPAAVVVPGAAGGASEMTLEDFLARESAAKEDAVRVSGPSAALEEQVAMGFLSGADGARGGGGGRGKKRQQMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVSQLEEENAHLSREQEEQNEKRLKETVQCSLAIWHSNELTCRPLSGLSVK* >Brasy1G034700.1.p pacid=40057650 transcript=Brasy1G034700.1 locus=Brasy1G034700 ID=Brasy1G034700.1.v1.1 annot-version=v1.1 MARQGVAASVFPAVLLVVVGVFASIPTGVRSIGVCYGVHGDRLPSPAEVVKLYRSNGITGMRLYEPDVNTLLALNGSGIGVIMDVADENVPRLASSASAAADWVKLNIQRYYPGVSFRYIAVGNEITGSATQNIVPAMKNLNTALSSAGLSGSIKVSTAVRMDVLASSSPPSAGTFRDAYMTQVARLLDSTGAPLLANVYPYFAYTGSNGGIDINYALFKPSSTVVHDNGHDYTNLFDAMVDALYYALARVNILWTVQIVISETGWPSAGGACATVANARTYNQNLIDHVRGETPRRPGKAIEAYLFAMFNENRKTGAESERHFGLFNPDKSPVYPIRF* >Brasy1G304800.1.p pacid=40057651 transcript=Brasy1G304800.1 locus=Brasy1G304800 ID=Brasy1G304800.1.v1.1 annot-version=v1.1 MSIGNGHLPASTGLPDHSSASDSEPESNTDYLPITGSAASDTGTDTDTDTDTDPDLSSHHRLGAIGNGISGLDLASDNEDANYGGEADEEEQCPAEAAAAWALAEDERRRRAPLPADAAARIVDAMRGVAFPGAPPSWADSIPDDQWLDRLRSLRGGPN* >Brasy1G262000.1.p pacid=40057652 transcript=Brasy1G262000.1 locus=Brasy1G262000 ID=Brasy1G262000.1.v1.1 annot-version=v1.1 MHQMVTGLLRGAAIQQMCYVHGLCGRNGICEYSPHLRCTCPPGYKMSDPKNWNKGCKPTFSIECGQPHEDFTFVKIPHGDFYGFDLTSNESISFKECMQICMKSCMCMSFTYKDGEGLCYTKNLLFNGQVYPYFPGDSYFKLPKISLIPKDDGISCRPKESKVMLVSANAYSKNHDNISWSYFYIFAAILGAVELLFIMTGWYVLFKVHNIPKSMEEGYKMITSQFKRFTYHELVEATGKFKEEVGKGGNGIVYRGILGDKKVVAVKKLTDVRKGEEEFWAEVTLIGKINHMNLVRMYGFCSEGHHRLLVYEFVENESLDKYFFYDSNTERLLSWSQRFQIALGAARGLAYLHHECLEWIVHCDVKPENILLTRDFQAKIADFGLSKLSKRDSSNLNFTHMRGTTGYMAPEWVLNLPIDAKVDVYSYGVVLLEIVTGSRVSSGVTVGEEVMDLMQISSGVAIGEEEMDLMQISSGTTVGREEMGLVQFVQAVKQMLTSGANLCIVDSRLKGHFNHEQATTMLKIAVSCLDERIKRPTMDQITKDLMVYNDEDFHPAYF* >Brasy1G262000.2.p pacid=40057653 transcript=Brasy1G262000.2 locus=Brasy1G262000 ID=Brasy1G262000.2.v1.1 annot-version=v1.1 MCMDCVEGMEFVSTHHISDALVHQDIKCPIQRIGTKVASQHSASSAGNHTRILLLSRFLMVYPYFPGDSYFKLPKISLIPKDDGISCRPKESKVMLVSANAYSKNHDNISWSYFYIFAAILGAVELLFIMTGWYVLFKVHNIPKSMEEGYKMITSQFKRFTYHELVEATGKFKEEVGKGGNGIVYRGILGDKKVVAVKKLTDVRKGEEEFWAEVTLIGKINHMNLVRMYGFCSEGHHRLLVYEFVENESLDKYFFYDSNTERLLSWSQRFQIALGAARGLAYLHHECLEWIVHCDVKPENILLTRDFQAKIADFGLSKLSKRDSSNLNFTHMRGTTGYMAPEWVLNLPIDAKVDVYSYGVVLLEIVTGSRVSSGVTVGEEVMDLMQISSGVAIGEEEMDLMQISSGTTVGREEMGLVQFVQAVKQMLTSGANLCIVDSRLKGHFNHEQATTMLKIAVSCLDERIKRPTMDQITKDLMVYNDEDFHPAYF* >Brasy1G543400.1.p pacid=40057654 transcript=Brasy1G543400.1 locus=Brasy1G543400 ID=Brasy1G543400.1.v1.1 annot-version=v1.1 MGKKKRNRRTYAEHAQGGGGEPPKKRNKPIEEAGGTDRSEGARASTQGSGLSAPAAASCTRREAEEHGGALDGATGRTRLCTHNTYINLSLVSPGYHRPREKPYMGRQDGHAQTDGADKLRRRRRGMFQLRPRRLNSQGGGGDGKPPVSTATTRMAPDTRPTISSHQANIFDGEEPHKAKERGGALREEPARQAAASAQGGAGFAGHGDPADHGSRTGGHLYKHAQDQPAINTNIHGTAEVTQTCIPTPTCTSGMALTTELARGQEVAINRHQHPWASPEPAVDSLPDAKELAT* >Brasy1G046600.1.p pacid=40057655 transcript=Brasy1G046600.1 locus=Brasy1G046600 ID=Brasy1G046600.1.v1.1 annot-version=v1.1 MTRRGRRATPCRGHSRVVQSSERTLLQEDPLLGIVAAACTLFCAYDNFQVAFLLDAFKGYQEWVTGVAQVVICLAFVVVKIREFPAVQWPAPPPLPSTAFILPACPANSRICRDTAVIVIISYVLLLDISLGYFWLVFFPAVAIAFIYALCSKLCPPPPAAAAAGCGEVLPNSGNGGIDRKTTKRALKTMAAVPFFALLLMAQLEDAAANTFAVSQFLLFLSTTLGALAYMMMRLPACGVASASELLHKAFLLLFLVTAHAMAAEALGEEAMVMACAPELLPVVIWFGLHLDGNSSIISLDKMKRPGKYVLGVLAPVVVALLTYLATSMGESGLSRCTTIMVPCGVSGLLTYYLVFMLRQWPGQQTAAGKIDDVAPVDISLQPKNKGGKGKSTTASSGEAAQPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAARRLGLQESQLLDTFCRNFQRLCW* >Brasy1G046600.2.p pacid=40057656 transcript=Brasy1G046600.2 locus=Brasy1G046600 ID=Brasy1G046600.2.v1.1 annot-version=v1.1 MTRRGRRATPCRGHSRVVQSSERTLLQEDPLLGIVAAACTLFCAYDNFQVAFLLDAFKGYQEWVTGVAQVVICLAFVVVKIREFPAVQWPAPPPLPSTAFILPACPANSRICRDTAVIVIISYVLLLDISLGYFWLVFFPAVAIAFIYALCSKLCPPPPAAAAAGCGEVLPNSGNGGIDRKTTKRALKTMAAVPFFALLLMAQLEDAAANTFAVSQFLLFLSTTLGALAYMMMRLPACGVASASELLHKAFLLLFLVTAHAMAAEALGEEAMVMACAPELLPVVIWFGLHLDGNSSIISLDKMKRPGKYVLGVLAPVVVALLTYLATSMGESGLSRCTTIMVPCGVSGLLTYYLVFMLRQWPGQQTAAGKIDDVAPVDISLQPKNKGGKGKSTTASSGEAAQPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAARRLGLQESQLLDTFCRNFQRLCW* >Brasy1G278500.1.p pacid=40057657 transcript=Brasy1G278500.1 locus=Brasy1G278500 ID=Brasy1G278500.1.v1.1 annot-version=v1.1 MWCERVADVAHELLALQPDVARRLLDVAGRLDDGGVAVRAQRVEEAPLGRHGGVGAEVIDQAVEVALDIPQGSHHHAQIPKLLHAGPTVRPSAGAIEQDEHGRRVAEVDLDGKPILSAVRPHELPHISE* >Brasy1G118400.1.p pacid=40057658 transcript=Brasy1G118400.1 locus=Brasy1G118400 ID=Brasy1G118400.1.v1.1 annot-version=v1.1 MAASLWRAVMGAGAPSADVDTTGGVEFWRAPERVGWLDKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRASVPRGVIPVATCLTAKGAEDVLNRKFAFELSTQRETMYFVADSEKEKEEWINSIGRSIVQHSLSATDDEILDYDSGRPTTGDKS* >Brasy1G103700.1.p pacid=40057659 transcript=Brasy1G103700.1 locus=Brasy1G103700 ID=Brasy1G103700.1.v1.1 annot-version=v1.1 MSKMCHARESEEANMDGVYNVLACSDSCCEKCGHGNKSSVINGEMERLSMQTSPCSTPYGTPRFSRESSFSSFASCLSRFTDSLIDTDSEEEIELLDTSQLHHGIFFGDESLEEGKGSLVKVEECQLSHTSVFDDSANLPIPADEDTLSCPTQLETDQGATKEAFDASNVMLDTNVSSDPHQDTLSNDQPTGTQYGVSLEYNDHKQSDMVFVEEVTSLPIPGGEIVPLNEQVMDQLDSTKENTIVYNNILNTEPDMKSDADLQSEIDYLYPPVLPSFGTDPLIWLPPEPANKEDDIDILSNHDYDSDSNDTRWGRSSFNLSFDEERSENICEDQLQKAMSEVMNGQFKILVTRFLSAEGFTFSDGGTGNIWLDIVALLSWRAALLIKPKANEGNEMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRASIKHPKILILQGAIGHSSTGLSSMNSMKQESEQLEKTLGDVIGKCQPDVILVEKAVSRNVNEYVQKQGVTLVGDMNIDRLERIARCTGSPIITLQDAHTKTNLIKQCEYLHFEKVVEEHNLTGEEGRRSIKTFLFLEGFPEPLGCTILLKGSTRENLKKIKRVLHFTVFAAYHLILETSFFADQRLFIKEKTATQKKDCLKTDSLLVPSGTSDEQYAKEFANTQKSTSLHLHDSKIKNSIDPVSQGIQSNSSLSVPDQSRNLTGDISYFNSSESTSCDGSTFTATLKEVNTQKKETFDDEMCTDTRTSINPQTILISMSSQHIRNKAVCEQSHLSRITYYGYFDTSLGRYLKDVLLSEKHNCLSCGEPPEAHMYSHTHHDGTLTVFVKSLPSEARLSGEGQGRIWMWTRCLRCGGKPTQRVIISSSARNLSFGKFLELSFSTHSSAKKLSTCGHLLHRDCLRFFGLGSKVAMFRYSSVEIYSALKPPMTLEFHNPNGKEWLDVEVKNVLLKWKLLFSEIENIIQVLTSSYSGQSMGENSNSSAYEGLFYEVSSMLTQEKNEIEVSAKAFDHIANPEACAHEILSLNWLYQQLLLGLYIWDVRLHHLLQYSKISAAPSDNSIHKSLPENEQRNSKNIAVHGDTPSVPNFGIEIADNGDTLSVPNFRMERQEATINSSNDDNSCGGIISEKGQLTEKSNAPLHLSSPDDADENGSHQIDSSFQDDNHIYSEKPNALPATNDADPAPARGDEMYCVASSKCLPAMRNLLDFTSDAGEWVWNNFSHLEMGYKKEIQKGSLDKFHLINKYTPSSSSLKQLKRQMDLMHFTVGPCGNILSVVEEEISSIIAYALAISEQQGIYSESAFVKDELLATRKLDKVAPSNLASCTSMPSSVISSNQSLGKDHDLLSNTSLLSYEDSTSGFYDSFLSAIKDMHPEICLNSEKLALKSKYTVVCIYAKQFYELRKICCPSELAYISSISRCKLWNAQGGKSKAFFAKSMDDRFIIKEIKKTEFDSFLKFGIEYFKHFGVSQVSGNPTSTCLAKILGIYQVKEIRNGKETRTNFMVMENLLFGHNILRRYDLKGALFSRYVADLQNPESVLLDQNFIEDMRTMPIYIEGKAKNFLERAIWNDTSFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA* >Brasy1G490900.1.p pacid=40057660 transcript=Brasy1G490900.1 locus=Brasy1G490900 ID=Brasy1G490900.1.v1.1 annot-version=v1.1 HISSNLVLASVLSPSPRITFALVCIYGDPYHRDDNLIWDMVESFVYDNLDIPVLCMGDLNNIMLPCEKSSNRANASRMHRFNSFVKRCGLLDLGFNGPAYTWCNKRFTSNPVYERLDRCLGNADWCASFPNTNVYNLPIILSDHAPILTITDSQFKRPRLSFKFENWWLMEDDFQTTAKSQWIATQHQNFCVRSTNLAGTLRKWCKKKKPLQQQLEEIQEEILQIQSSFLTTQDHTREEELTIKYEETLTKITEQQRQRAKKHWDASNDRNTSYFHQSVLKRRRRNRIVHIQDEEGNTHYDPEKIAKTFKDYFVSLFSSSNNVQDSRLEDNIPTTANEDPPAHDFTYSAPTKSEIFEILKSMKRNASPAPDGFNVAFYLAAWNWIGDDIFKEVTNFFNTDPLAFAMLSTKSSLRL* >Brasy1G264700.1.p pacid=40057661 transcript=Brasy1G264700.1 locus=Brasy1G264700 ID=Brasy1G264700.1.v1.1 annot-version=v1.1 MQPTVRLCEAKLELTVMVRTSSPYVPRGKISRPAPISVSFLFPLFPCRACRQHRRVRPRATRPPLRAPALCLLALPVHPSMNLLEPDPRAPPGRPSASKAYSPVPARRRFQRLGPCAPSIPASKSEPPARLPCSPLIPLARAHEPLLAGASCAPSIPAARALGGYGGDLEKSSIGTAMMGRVGDLDPLFVTTIANPYKELSCESWLLAGVPTTSGQRGRKQGLGRGGAVGGNWDYRWLSGRAGQR* >Brasy1G147000.1.p pacid=40057662 transcript=Brasy1G147000.1 locus=Brasy1G147000 ID=Brasy1G147000.1.v1.1 annot-version=v1.1 MAPPAMACQALTYAPLPLHLPAARRRVRVRVLAVAADQTPPPQASPSPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKSLPLLSSCLPSSPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGDDCPARHFDVLLYLAFQHLDPSCERTRTRHVRNGHSRLWFLGQFVLELAFSEFFLQRYPRESPGPMRERVFALIGKRMLPRWIKAASLHNLVFPYDNLDRMIRKDREPPTKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEEESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPEDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNILGYPLPMNDRIPEITDARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDLVLAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV* >Brasy1G368900.1.p pacid=40057663 transcript=Brasy1G368900.1 locus=Brasy1G368900 ID=Brasy1G368900.1.v1.1 annot-version=v1.1 MGRHSCCYKQKLRKGLWSPEEDEKLLNHINKHGHGCWSTVPKLAGLQRCGKSCRLRWMNYLRPDLKRGAFEQEEEDLIIELHAVLGNKWSQIATRLAGRTDNEIKNLWNSCIKKKLRQKGLDPNTHKPLADEADRRKAAPTMSTERTSESSDVDQSSAGALGNLSHLLTETAQSPELLPVLGKQRTRTPSLTCVKVPPKEFFLDQLASGHENLPSCHSSLPMPNFPFQQPPCYNNGFSSRDGGSKNSLCWFNQNESGGSTISTVMPPVSPSTLSTSTVLNLSPDNPHSGGTGIHSAQFYWDTANPSSSCSTRSSGNNNLGFELQSTSSLLENSVFPWAELAQDKDSQVHLEEELKWPELLHGTFPETSTAMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLQLVTDIYDKDLQRMSSPFENI* >Brasy1G486400.1.p pacid=40057664 transcript=Brasy1G486400.1 locus=Brasy1G486400 ID=Brasy1G486400.1.v1.1 annot-version=v1.1 MADLTEEAKWLALGRVLSNKPYSFSSLAATMKFAWSSAQEISVWVRIHKIPPMFCKEDLVRGLAARAGKVLSVVLRPAVAGQDFVRVRVELETSKPLTRFVLLSPEGAADIILRVTYEKVPKFCEICGCMGHVLKECGNGVWEEKDKYSGNTRAGPARGSMRGGRFGGRGGGRDQAQRQEQESRKRTSRDASLESSPAKADPPPGLLLEYKPKGDDGAKKKLELNLVEGANNLQLMVPPPPPKYTTPREKKRVNKGESTSMGDASTSNQAAFVAEDRQLQ* >Brasy1G128600.1.p pacid=40057665 transcript=Brasy1G128600.1 locus=Brasy1G128600 ID=Brasy1G128600.1.v1.1 annot-version=v1.1 MGGMAEVEHEGCVENRQPLAASSSSVSDGSSYGVGLARMSPPVSSSVNSISGLRRTSGPIRRAKGGWTPQEDETLRKAVQAFKGRSWKKIAEFFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIELVKEYGATKWSVIARSLPGRIGKQCRERWHNHLNPDIKKDAWTAEEERALINAHQTYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDVYSTSRVLAVPRLVGHNDFKDKPKLVANEGHLDLNKVTIVSSKDVPETAQRSNLNHVKDCSGFLSLSILPTDQPLTSYEVSSVVDGSAVTLAVQGLESDSVRDKGLEIPSVHERGLEVDSTLDTLAESGTTQLESVPAKGEEPSLKNEAQSSLGPLCYQIPNMEDVAPVTSPLFSEHHTMHQTSEHCRDVVLSPNGCTTPTKGTISSQLSVNSILKSAADSFPSTPSILRRRKRDKSTPASDLRFGGSNTDSFYTPAGKRPTTDTPESFKTASFLSLGSLDRLSASVRSFDVSPPYRIRSKRMSVTKTVEKQLDFSADELGTYGSKIVDSPCQNSLNTNSCTDAPMMKETELN* >Brasy1G303700.1.p pacid=40057666 transcript=Brasy1G303700.1 locus=Brasy1G303700 ID=Brasy1G303700.1.v1.1 annot-version=v1.1 MATSLSSHSQLRPCPSHAHRQTVLSRSLLPFPRRHHHGSSRRGLSARASSSSNGAAQDPSVTVRHFAAEPTKGGKLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALVEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVEGIIAQERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLSIAKDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRSGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDHSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPTLTTQMKSVGESMALGRTFQESFQKAVRSLETGFAGWGCAPVKELDWDWEKIKYSLRVPNPERIHAIYAAFKKGMRVEDIHEISFIDKWFLTELKELVDVEQFLISRNLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRARRSALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTSRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQYGGQTPLKLALPIQRHLEEMKLRSASGTGFVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLNDAIEIDIDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCLDIIRSWTTKLAKRLNVCGLMNCQYAITPTGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLIMSGVTLPELRFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLSGTVFISLNDLTKRHLAEIGRGFRELGFNIVSTSGTAKVLQLEGIPVDPVLKIHEGRPNARDMLKNGQIQVMVITSSGDDLDSRDGLQLRRLALAYKVPIITTVDGARATMDAIKSLKNKSIEILALQDYFQPADAPQDLQAARATS* >Brasy1G303700.2.p pacid=40057667 transcript=Brasy1G303700.2 locus=Brasy1G303700 ID=Brasy1G303700.2.v1.1 annot-version=v1.1 MATSLSSHSQLRPCPSHAHRQTVLSRSLLPFPRRHHHGSSRRGLSARASSSSNGAAQDPSVTVRHFAAEPTKGGKLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALVEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVEGIIAQERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLSIAKDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRSGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDHSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPTLTTQMKSVGESMALGRTFQESFQKAVRSLETGFAGWGCAPVKELDWDWEKIKYSLRVPNPERIHAIYAAFKKGMRVEDIHEISFIDKWFLTELKELVDVEQFLISRNLDQLSKDDFYQVKRRGFSDKQIAFATSSSESDVRARRSALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTSRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQYGGQTPLKLALPIQRHLEEMKLRSASGTGFVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLNDAIEIDIDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCLDIIRSWTTKLAKRLNVCGLMNCQYAITPTGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLIMSGVTLPELRFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLSGTVFISLNDLTKRHLAEIGRGFRELGFNIVSTSGTAKVLQLEGIPVDPVLKIHEGRPNARDMLKNGQIQVMVITSSGDDLDSRDGLQLRRLALAYKVPIITTVDGARATMDAIKSLKNKSIEILALQDYFQPADAPQDLQAARATS* >Brasy1G115000.1.p pacid=40057668 transcript=Brasy1G115000.1 locus=Brasy1G115000 ID=Brasy1G115000.1.v1.1 annot-version=v1.1 MVRNLFPGTISTWRLLLGMWRGSRRDLMEPNEPLCFLLGYTHYSHGFDEDFVLHHQRPPRLMVDEVLGSTAPPPFLFVLLMESDHDLPCYVFLYSQFWRLILRSLFLLFLRFIFNSEARTWIFLSVVAHAWVPSILAPSAPPICPIGGIGICCCCSPSLLPGSLSISSNWNFTCNMGCNGWSRFLPQDLSQVILEFLMRLGMAHNGTTLTFMEKLRWYRTDCQLLKLIELSILYIEQVLHSEFFTNAMVYYIIV* >Brasy1G043400.1.p pacid=40057669 transcript=Brasy1G043400.1 locus=Brasy1G043400 ID=Brasy1G043400.1.v1.1 annot-version=v1.1 MAMARHVHVALVVTLLLFLFGQQRPASALTSGDDWHYNFPSPPVVAIDVGNTNSCVAGYVANTMFQLCIPSWVAFTANGTVLVGDDAREHAAVDPGSAISGFKRLIGKRWKHMYDTEVVQRMVKEAPYKLVEKNLYPRIQVKTGYVDTGGAARTELSTEEITAMVIRKLREAAESYTGRPVRHAVLTVPRHFHDAPCTHARFAGHIAKVRVARMLDEPIAAAVAHGLHRRLPNGGVALVLHVGGATTEASLMVLQDGVFDFLGGRHDVFLGGDDFDRRVTDHFAALIKQKHGMDISNDTAALAKLRTSCEQAKKALSTRRQAQVVVESLIADGVDFAETLTRAKFEELNGDLFGKVVKLLHRAMVGADHELDRSIWDAVDEVLLVGGSAMIPEIQRLVRDYFGGRKKVAVHAGVKPDEVVTLGGALLTRADAGGYPCMGVDGRRQTGYHSDWCDHPRWY* >Brasy1G284400.1.p pacid=40057670 transcript=Brasy1G284400.1 locus=Brasy1G284400 ID=Brasy1G284400.1.v1.1 annot-version=v1.1 MMASSTKQQQLLLQRKGKAVAEKGAPAAAEKVVVAVRAATREISKTAIVWALTHVVQPGGNIILLVVIPSQSSGRKFWGFPLFAGDCASGHKTMLDQKSDISELCSQMMLKLHDVYDANKINVKIKVVSGSPSGIVAAESKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKGSCSLPPVLDGSVGKTATDAKEPRSSVRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPGTSPFCASETNGSLKSASETNDSLKKEAQAKKDKIQHSDVNISDTDSETLSPSAAFSLEPWMVDILQGSASRSLGKPPRKTRTPTADTLLDKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDSHLYGRNNKEALEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDITRPKGQQFLTEWARHLLEEHAIDELIDPRLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSGSRSWRMANDQQRYQDYSSPARQDSQRVVERKQSYDALRAAWDRDKKSISNRY* >Brasy1G284400.3.p pacid=40057671 transcript=Brasy1G284400.3 locus=Brasy1G284400 ID=Brasy1G284400.3.v1.1 annot-version=v1.1 MMASSTKQQQLLLQRKGKAVAEKGAPAAAEKVVVAVRAATREISKTAIVWALTHVVQPGGNIILLVVIPSQSSGRKFWGFPLFAGDCASGHKTMLDQKSDISELCSQMMLKLHDVYDANKINVKIKVVSGSPSGIVAAESKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKGSCSLPPVLDGSVGKTATDAKEPRSSVRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPGTSPFCASETNGSLKSASETNDSLKKEAQAKKDKIQHSDVNISDTDSETLSPSAAFSLEPWMVDILQGSASRSLGKPPRKTRTPTADTLLDKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDSHLYGRNNKEALEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDITRPKGQQFLTEWARHLLEEHAIDELIDPRLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSGSRSWRMANDQQRYQDYSSPARQDSQRVVERKQSYDALRAAWDRDKKSISNRY* >Brasy1G284400.2.p pacid=40057672 transcript=Brasy1G284400.2 locus=Brasy1G284400 ID=Brasy1G284400.2.v1.1 annot-version=v1.1 MMASSTKQQQLLLQRKGKAVAEKGAPAAAEKVVVAVRAATREISKTAIVWALTHVVQPGGNIILLVVIPSQSSGRKFWGFPLFAGDCASGHKTMLDQKSDISELCSQMMLKLHDVYDANKINVKIKVVSGSPSGIVAAESKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKGSCSLPPVLDGSVGKTATDAKEPRSSVRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPGTSPFCASETNGSLKSASETNDSLKKEAQAKKDKIQHSDVNISDTDSETLSPSAAFSLEPWMVDILQGSASRSLGKPPRKTRTPTADTLLDKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDSHLYGRNNKEALEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDITRPKGQQFLTEWARHLLEEHAIDELIDPRLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSGSRSWRMANDQQRYQDYSSPARQDSQRVVERKQSYDALRAAWDRDKKSISNRY* >Brasy1G284400.4.p pacid=40057673 transcript=Brasy1G284400.4 locus=Brasy1G284400 ID=Brasy1G284400.4.v1.1 annot-version=v1.1 MMASSTKQQQLLLQRKGKAVAEKGAPAAAEKVVVAVRAATREISKTAIVWALTHVVQPGGNIILLVVIPSQSSGRKFWGFPLFAGDCASGHKTMLDQKSDISELCSQMMLKLHDVYDANKINVKIKVVSGSPSGIVAAESKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKGSCSLPPVLDGSVGKTATDAKEPRSSVRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPGTSPFCASETNGSLKSASETNDSLKKEAQAKKDKIQHSDVNISDTDSETLSPSAAFSLEPWMVDILQGSASRSLGKPPRKTRTPTADTLLDKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDSHLYGRNNKEALEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDITRPKGQQFLTEWARHLLEEHAIDELIDPRLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSGSRSWRMANDQQRYQDYSSPARQDSQRVVERKQSYDALRAAWDRDKKSISNRY* >Brasy1G519700.1.p pacid=40057674 transcript=Brasy1G519700.1 locus=Brasy1G519700 ID=Brasy1G519700.1.v1.1 annot-version=v1.1 MLGVVTAAEEQGGGCSAPKRCGNLATSDPFWLTDWETGTSCGSPDFEVTCSNTTVVLQSSRPGSRVVIIDISYEERSLRVFELHKLEALRASSSSCHVSRWNTSAKLGLPFRIDPVANMQLIFYNCTVPAAAADQDGALVEMRCGNESNAGGRYDGTGDGEYAIEGCDATVVPVLGESSGEVNASNYVKIVREGFLLTWQLQLPPAAGHRGSVSFVCLCPAASCMRGSTCVDYLASVN* >Brasy1G511300.1.p pacid=40057675 transcript=Brasy1G511300.1 locus=Brasy1G511300 ID=Brasy1G511300.1.v1.1 annot-version=v1.1 MLQYALLHLSGARRGARRWADRLPLLPFTARGFDAGRRRRLPFTGSPQFLDPSSISSNMRRAEATWGETWSMRFRWGDAVQRFMSREPSMRTEKPPDSPFRSRSGRLSHPTYNRSSPAFPASTSSCRGLPPPSLASASSRSGAEPPPLGNSPTRSTERACASGLATLQKLSSSALPLTPISAPAAATPVASQSRCTDGLARRLLPHTGSSFSCSYRTMRRCCSLMALLRLPFPWLIGVQ* >Brasy1G362100.1.p pacid=40057676 transcript=Brasy1G362100.1 locus=Brasy1G362100 ID=Brasy1G362100.1.v1.1 annot-version=v1.1 MPRYDERDRYGGNTRLYVGRLSSRTRSRDLEDLFSRYGRVRYVDMKHEFAFVEFSDPRDADDARYNLDGRDFDGSRMIVEFAKGVPRGQGGSRDRDRGGDREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDSGHIERDCQNSPKNLRRGKSYSRSPSPRRGRVRDRSYSRSRSRSYSRSLSPRRDERRSRSPRDSRSPRRSPRDSRSPRRSPRDSRSPMKSPRDSRSPVRSHSPAKGRARSPTPNGSRSPAPRGNSRSPMRADSPSPADRERRDISPAANGRSPSPRDEDNGNHHASPSGSASPGGG* >Brasy1G108100.1.p pacid=40057677 transcript=Brasy1G108100.1 locus=Brasy1G108100 ID=Brasy1G108100.1.v1.1 annot-version=v1.1 MGEPSRVIHIRNVGHEISESDLLQVVQPFGTVAKLVMLRAKNQALVQMEDLAASVSAIQYYTTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQDEPNRILLVTVHHMLYPMTVEVLHQVFSPYGFVEKIVTFQKTAGFQALIQYQSRQSAIQACGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRQRTSQPGYNDPSVLFGFQQPGAAYAQMGQAAMVAAAFGGTLPPGVTGANDRCTLIVSNLNTELIDGDKLFNLFSIYGNIIRIKILRNKPDHALVQMADGLQAELAVHYLKGAMLLGQKLEVNFSKYPSITPAPDAHDYSNSNLNRFNSNVAKNYRHCCAPTRIIHISALPQEISEDMILNHLGEHGTIVKSKLFEAGGKTQALVQFESEEEATEALVSKHASKLEGSTIRISFSQMQNI* >Brasy1G484400.1.p pacid=40057678 transcript=Brasy1G484400.1 locus=Brasy1G484400 ID=Brasy1G484400.1.v1.1 annot-version=v1.1 MPLGTFTASIESTPSSSRHPDRSRHLAMARGSRPQQLALACFLFLCIQLVPASVSSLRPLPSRARTCWYTVQIKTSCASPALTGDAVSLAFGDAYGNEAYAARLDSAGSGSGVFSRCAKDTFKVGGPCGYGVCYLYLRRAGRSGWTPEWVRVYEPTSSSGTPSTFRYGDPLPDNVWYGFNRCPRRAGADDGSASSSSDAVQGASESAAVQAM* >Brasy1G217800.1.p pacid=40057679 transcript=Brasy1G217800.1 locus=Brasy1G217800 ID=Brasy1G217800.1.v1.1 annot-version=v1.1 MLIDWLERHYMAGGMMEQVGAVSDSVLVVSFLAAAAVLLLFTLVRHGRKQHGRLPPSPMALPLLGHLHHLIRAPPHRAFDRIIARHGPLVYLRLGPSTHCVAIGSADVARDFLKFEGSIPERPLTAVTRTLAYESAGFAFAPYGPHWRFMKRLCMSELLGPRTIEQLRPVRAAELAGVMRAAAAAAGRGGTLDMSRELIRMSNNAIMRMVASSLPGDMADAARDCAKQVAELVGAFNVEDYVALCRGWDLQGLGRRTRDVHARFDALLEVMIKTKEKERGAADEGGSKTKDLLDILMDAAADKTAEVKLTRDNIKAFVLDIFTAGSDTTATSVEWMLAELLNHPACLAKLRAELDEVVGRSRLVGEPDVAQMPYLQAVLKETLRLRPPAVFAQRETIEPVHVRGYTIPVKTSVFFNIFTIGRDPAWWEEPLEFRPERFMPGGAGAAVDPKGQHLHLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFEWEVPSPPLDMEEEAGLVTSRKQPLVLLPTLRLSPSLLA* >Brasy1G216200.1.p pacid=40057680 transcript=Brasy1G216200.1 locus=Brasy1G216200 ID=Brasy1G216200.1.v1.1 annot-version=v1.1 MEEEGKGRHRFFKVLVGDFARRLEIPRDFLCHIPDVSVRRSDTSVGSSAQVMLNKHSKGKTWPVELEKVDRRVFMTTGWSRFVEDNSLREYEFLLFRYNLDLHFMVSVFGRNACEKAIRYSGSGAQATGNLEAKLRSDICPSDEVTKHVLDNEHELRTCLLLKVPMEDDKAKTITEVMRRLHLDKVTIDLFCAILCLFKWNVDAAAEDFDICRGKPQTQSQFLKKKLVLQFDLIKIQLRRFFPLEDDCSTQICDSRNSSLDEPNLLNEPLQCDQSAVKRKLVDDHVLCDMSCKQKRRIGKLRTRQTSETPRRSPRLARLNISHDSIESLLKERPEVLESSPTSTIDRVEDRAGQAHLPNKKPGSVLQGDCENMTGSFPQDLERLKSARGEMALSEEPAHSEETFEEQIDTNALETSETLIRIGVIESSPPTNCKVSAPLKMNELNLTWKPSLHPNPVEKILLDIQRDNFVKTLSHVQEIVRSHPSDLLCADVIETVVKKEILKWDSCLDDMDAKRIVIAFLEHAKKIKEIHNFNTKIRKEEFSAKLQNQFKWQLNELESGYTCLESDYKKATSDSNIAVSTLAELKKKLHALQDEIKDMQQSLMTKEDEIQKLVHQVAEHESLVQKSLMERVRVKTVLKSYEQILVGLKDRLASAEFGVIDVEALVKVEMENMSKEIEISKGSLLHINFK* >Brasy1G237700.1.p pacid=40057681 transcript=Brasy1G237700.1 locus=Brasy1G237700 ID=Brasy1G237700.1.v1.1 annot-version=v1.1 MASMELLGRSFLQGSAGPATAAAPRGGRERGGGGLCFASVGGGRQVRSSRRTLRSKPPVGALAERVVLTPAPAERVGRPEAHSQSVAARAVVTVRRKRKEDVKERVAEQMDAYADRVGRSVLLELVSTETDPRKGGPKKSKKSRLVGWFEKRDVKAELVVYTAEFTVDAGFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVSGGAAPRVFFTNKPYLPSKTPAGLRELRRRELKELRGSGTGERRITDRAYDYDVYNDLGNPDKGAGFERPVLGGEGMPYPRRMRTARPSTITDEGAESRVEYPEPVYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPASRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQEFPPVSKLDPAVYGPPESAITEEHVIGNLNGMTVRQALEENRLYMLDYHDIFMPFLDRINSLDGRKAYGTRTLFFLTAGGTLKPIAIELCLPPMKEDCKHAKRVFTPPADATSIWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQMSAMHPVFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYDNLWRLDQEGLPADLIRRGMAVEDASQPHGLRLLIEDYPYATDGLLLWSAISRWCEAYVAAYYASDESVQSDYELQSWYAEAVRSGHPDKRDAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEHANLVADPHRFYLSALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDDDWTADPAALAAAREFAADVRRAEEEIERRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI* >Brasy1G060100.1.p pacid=40057682 transcript=Brasy1G060100.1 locus=Brasy1G060100 ID=Brasy1G060100.1.v1.1 annot-version=v1.1 MARGGGLVGKKKPTKTLAAILLLLLLAVFPRPGASQPLHSEPMPTQQPPAPSSPPPPQQQSKIPHAQPGGGAARLRRIALGVLFGSLTGFLLSLALLYAIRLTVLHARDAPAIVKGPVSFTPQISPKNLLCALPAAQPLAHGPAGTYYKLALDGGLTVAVKRLDAAANLPEASPSVLPPNGSKSDMRRVQRQLEVLARVRHQSVMSLKAYVREVDRLSLVYDFVPGGSLEDVMKRVRSQQLSLDWDTRSRIAAGVAKGLRYLHFECCPSILHCNLKPSNVMLEEGFEPILADCGVASLIDSGPVDPESFGSVYAAPEFYQNSRYTDKCDVYAFGMILGVLLTGRDPKDPFFSGEAGRGGLARWLRHMQHSGEAKEALDSSILGEEVCEEEMLMAIRVAIVCLSDLPADRPSSDELVAMLAQLHSL* >Brasy1G032000.1.p pacid=40057683 transcript=Brasy1G032000.1 locus=Brasy1G032000 ID=Brasy1G032000.1.v1.1 annot-version=v1.1 MENNRGNQQQPPPPPPGYPTLAGEQVAGGGKKKARTSSRGEKGFLEGCIAALCCCWICEMCCD* >Brasy1G291300.1.p pacid=40057684 transcript=Brasy1G291300.1 locus=Brasy1G291300 ID=Brasy1G291300.1.v1.1 annot-version=v1.1 MCRRRAHGGEGERRWAAGRYGAEEESGLGAKALGANREPQGGGGHRRGGPQRSTDGDGWRPRKVGGAGVVRDAVSRKHRRSPTPTGEGDRRGCGSRGRRAAQEGTGTRESSGKAPKDSS* >Brasy1G173900.1.p pacid=40057685 transcript=Brasy1G173900.1 locus=Brasy1G173900 ID=Brasy1G173900.1.v1.1 annot-version=v1.1 MLEFDLGRQSLAVIPLPEGIIGPRFMRAEDGGLGLLFKHDCSIEIWKRKTDSGGVASSWMLGRTIELDKVLPMETLEEGRPLVPLGFAVDNNVAVLGTVFGLYLLQIESLECKMIMESYYLKNYHPLESVYTGETCIGGGNEGAELLLGA* >Brasy1G173900.2.p pacid=40057686 transcript=Brasy1G173900.2 locus=Brasy1G173900 ID=Brasy1G173900.2.v1.1 annot-version=v1.1 MLEFDLGRQSLAVIPLPEGIIGPRFMRAEDGGLGLLFKHDCSIEIWKRKTDSGGVASSWMLGRTIELDKVLPMETLEEGRPLVPLGFAVDNNVAVLGTVFGLYLLQIESLECKMIMESYYLKNYHPLESVYTGETCIGGGNEGAELLLGA* >Brasy1G369800.1.p pacid=40057687 transcript=Brasy1G369800.1 locus=Brasy1G369800 ID=Brasy1G369800.1.v1.1 annot-version=v1.1 MSWCSSGRPNQDCFFYILMTELGHLPQSTLSKLLLEKSFFLCCRVSATACRKTHGWTCSCSSRTLWWRAATP* >Brasy1G361000.1.p pacid=40057688 transcript=Brasy1G361000.1 locus=Brasy1G361000 ID=Brasy1G361000.1.v1.1 annot-version=v1.1 MDQTPPGPGKKARKPYTISRPRERWSPDEHERFLDAMLRFGRDWKKIEEHVRTKTTVQIRSHAQKYFLKVHKLGLAAGLPPPQHPIRRLGVAQSSAVPSPTMVLHGQPYECPPGVLLQSYSIGWSCPGVLPVSNDTQSLDWAGSLVGASAWASHGSQTETAAATHPGGSSSSSMGAPSCGNTSMDWAGTSSATDAEDDTIPLPLSPDDMHFAQVYRFVGDVFDPATPCQIEAHLQRLKDMDAITVKTILLVLRNLEANLTAPQFEPIRRLLSRYDPGRGLSGQL* >Brasy1G052100.1.p pacid=40057689 transcript=Brasy1G052100.1 locus=Brasy1G052100 ID=Brasy1G052100.1.v1.1 annot-version=v1.1 MLAACRPWRAAAQRGSAPRGASPLRATPERRYRVRERSSGCRTMASRSCQARPRWAISGTPSPPPTTKTRRRSCRLRRRRRRRGACRGHQKISLHASEFVCHGAADPSAEVIALSPTTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGSGSSSGEGLLPRKRAYVCPEPSCVHHDPRRALGDLTGIKKHFSRKHGEKKWRCERCGKRYAVHSDWKAHSKLCGSREYRCHCGTLFSRRDSFVTHRAFCDALAQENNKMAQPMNMATVASALQGQGQHHHLAQAGNDMDVEIGNETAAGDDGSGFGADIKSPHLEMFSAAEDIADEGSNPLGCMLSSLGGAPSSPSPAAAFAGSKLSLLGLSGPSDSSMGFSSSGLASMSATALLQKAAQMGATTSSGYGVGFSGTGLGSLMAGHDRFPNPGSFGPGLNGPYDGLPLGGANVVGFDVGGLMPGQLYRDEAHDIGAMTRAVRSITNRESQLEPRQDGRVVDYMGVQNQTAFGGVGPFAHHLDPWA* >Brasy1G052100.2.p pacid=40057690 transcript=Brasy1G052100.2 locus=Brasy1G052100 ID=Brasy1G052100.2.v1.1 annot-version=v1.1 MCACMSGCKAYASCMPPMACGGAARECATGSFSSASNTGEAVPGPGEEFWVPYHGKPILSSSAEMGDLRYTIAAADNEDTSTVLPVAKKTKKKRSLPGTPDPSAEVIALSPTTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGSGSSSGEGLLPRKRAYVCPEPSCVHHDPRRALGDLTGIKKHFSRKHGEKKWRCERCGKRYAVHSDWKAHSKLCGSREYRCHCGTLFSRRDSFVTHRAFCDALAQENNKMAQPMNMATVASALQGQGQHHHLAQAGNDMDVEIGNETAAGDDGSGFGADIKSPHLEMFSAAEDIADEGSNPLGCMLSSLGGAPSSPSPAAAFAGSKLSLLGLSGPSDSSMGFSSSGLASMSATALLQKAAQMGATTSSGYGVGFSGTGLGSLMAGHDRFPNPGSFGPGLNGPYDGLPLGGANVVGFDVGGLMPGQLYRDEAHDIGAMTRAVRSITNRESQLEPRQDGRVVDYMGVQNQTAFGGVGPFAHHLDPWA* >Brasy1G035800.1.p pacid=40057691 transcript=Brasy1G035800.1 locus=Brasy1G035800 ID=Brasy1G035800.1.v1.1 annot-version=v1.1 MGWERTWSSDPLTIVHLAGMKTEERSTIFVGGLRQEQSSLSARNSEATLLSARNGASKPGFHRQKIQDFQYLETAPNARNSENAVANYSLDVNTEDCMLCGMDGTSVCCDWCPSA* >Brasy1G274500.1.p pacid=40057692 transcript=Brasy1G274500.1 locus=Brasy1G274500 ID=Brasy1G274500.1.v1.1 annot-version=v1.1 MIFTLDFPHNKSDFACPFIWHIFFHRNVVRGHLEILTVKDRKKRRGEKHVMPSKSPIAGYIFYAPKNHSISFHETTATLVKQSLHSTQLWFKSLRISLKTTRVSKKLMEVEVAAATALVGGVASPSPLSLLLCGLAALLVLWAASLAAERCWLRPRRLGRALQAQGLRGTAYRFPTGDLTENGKLHEEARSRPMPWCHDIAPRVVPHLHHAVREYGNICITWFGPIPKVIITEPELVREILSNKSGHIEKFTNKRLMKMIARGIASYDGEKWAKHRRILNPAFHLEKLKGLLPAFVTCCTELIGSWESKLAISNGSQEIDIWQEFQNLSGDVISRTAFGSSFMEGQRIFQLQAEQAERVMKAFQYIYIPGYLFFPMENNRRMKEINQEIEGLLRGIIKKRERAIESDGHEHDLLGLMMESNMASGTSSSRMSTEEVIEECKLFYFAGMETTSVLLTWTLIVLGMHPEWQDEAREEVLSVFGKGKPSFSGLNRLKTVTMILYEVLRLYPPAVTLNRKTSKEMQIGGITYPKGVVFELPIILVHHNPNIWGTDSLEFKPQRFAQGISKATNDRPVFFPFGSGPRICIGQNFALLEAKMVLSMVLQRFEFQLSPSYAHAPFNVMALNPQYGAQIIIKTLG* >Brasy1G012400.1.p pacid=40057693 transcript=Brasy1G012400.1 locus=Brasy1G012400 ID=Brasy1G012400.1.v1.1 annot-version=v1.1 MAGGRQLVLAALIIAVVLFVVGVAEAQQPRPALPSNSHRFQPGKRNQGFSCDDKKDHQMPCVETCNSRCPNECMVMCPSCKTFCMCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCVVSDNDLHINAHFIGKRNPTMSRDFTWIQALGVRFADHRFYMGAQKTVRWDDDVDRLEMVFDGAPIEIPTEFGEKWESAAVPGLTVTRTTAANGVKVQLAGVFEIIAKVVPITEEDSRIHNYGVTEEDSLAHFDIGFKFFDLSDDVHGVLGHTYRSDYINKLNVSASMPIMGGAPSYVSSDIFATDCAVSRFGRAAAGIAMVTSKAN* >Brasy1G008000.1.p pacid=40057694 transcript=Brasy1G008000.1 locus=Brasy1G008000 ID=Brasy1G008000.1.v1.1 annot-version=v1.1 MEHEGKYGKDQRLECDCLLFDLDDTLYPVTSGIGLDVMKNIQAYMVEKLGIEKSISLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYEKLKPDPALRNILLSLPIRKAVFTNGDKLHASRALKRLGIEDCFERVVCFETLNPTTSRAPASANEVEIFDIMKHVANPEPGVELPKSPIMCKPSIDAMLYALKLANINPKTTIFFDDSVRNIQAGKQIGMHTVLVGTSERVKGADHALESLHNMKEALPELWEEAEKDEDVRNSSKVGIETSVIA* >Brasy1G246800.1.p pacid=40057695 transcript=Brasy1G246800.1 locus=Brasy1G246800 ID=Brasy1G246800.1.v1.1 annot-version=v1.1 MAGEISPLLPSSCRYVPTCSEYSMQAYKRYGVAKGTISTAYRLCRCNPLGLPSAASAPRFIC* >Brasy1G218200.1.p pacid=40057696 transcript=Brasy1G218200.1 locus=Brasy1G218200 ID=Brasy1G218200.1.v1.1 annot-version=v1.1 MAGVVVVFDFDKTIIDVDSDNWVVDNLGATEMFDRLLPTMPWNALMDTMMGELHARGKTVHDVAEALRSAPIDPRVVAAIEAAYGLGCDLRVLSDANGFFIETILDRHGLGGCFSEINTNPSLVDADGRLRIGPHHAAPHGCGLGTCPPNMCKGQVLDRIRASSVGRRVIYLGDGRGDYCPSLRLGREDFVMPRRGFPVWDLICENPGLLQAEVHPWSDGGDMEETLLRLVRRVLVEESRMLPLDRCKLESLPVAAVQDGMPMPLGVKNV* >Brasy1G074500.1.p pacid=40057697 transcript=Brasy1G074500.1 locus=Brasy1G074500 ID=Brasy1G074500.1.v1.1 annot-version=v1.1 MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFIDERHDLVILVVNTIQKDLRSDNYLVVCAALTAASRLIGEEAIPAVLPQVVDLLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPSSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIYPNSKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLSVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMISITDHHYKAEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRILGEPKLPSSFLQIICWVLGEYGTADGKHPASYIIGKLCDVAEAHPTDDTVRGYAVSAILKIFAFEIAVGRKTDMLPEFQSLVDELSASHSTDLQQRAYEVQALLGLHKQAVESVMPSDASCEDIEVDRNLSFLNSYVQQALEKGAAPYIPESERSGVASVGNYRTHDQHETSAHTLRFEAYELPKPSLPTATSQTSISLPTTDLVPVPEQSYYKDDHQMSRPQPSGNALSGEFGTKLRLDGVQKKWGRESYSSSSTPSSSTSSQQAANGNSNSDGGGLVVSSQARESSYGSKRQQGTEVSAEKQRLAASLFGSSAAKADRKGHTGRKAAKESHSTDKVNVAHAAPQPAKDQVIPAVPPPDLLDLGEPVSSSAPSADPFSQLDGLLGPASASPVLSGTSAPSASSTPDLMSIFSDDVQTGATSGSTDATVGDVHLKNSQKGATAVAAKKGHSLQDALQKDATARQVGVTPTGNNPNLFKDLLG* >Brasy1G159800.1.p pacid=40057698 transcript=Brasy1G159800.1 locus=Brasy1G159800 ID=Brasy1G159800.1.v1.1 annot-version=v1.1 MDATPEEFLRDGGYLVDPEPLSPSLFLDLPPTPRSEDPPAAAAADLDLDFISRMLMEEDIDDKFFYQYPDQPALLAAQQPYAQIISGTTSSGSPTGTTATSSDDSVINSNTATSSSDDTNNYTWPYDPIELSQLLRSPPYDGDMGFGGLVTDSPADEDTRSSFFPGDGAPTAEFQQSAALFKGAADGGATLFGQNGGSPGTQNSAILNDPAVVEEAKPAVFHDGDGDQGALVSAFFSGQTGGDMEMLNMAFLKGMEEANKFLPTNNTLPEVISDKPALRGFTVKKEELVDATLTSGNGRGRKYRHDADDLEAETGRNSKLMMPEHEETGAREMFDEIMLEGYEMCMKGMEDLRVAMDSEAKKNNTKGTGKAARAKRGASEVVDLHTMLIHCAQAVAAGDRRSATELLKQIRQHSGLTGDATQRLAHCFAEGLEARLAGSGSQVYQSLVAKRTSVVEFLKAYKLFMAACCFRKVSFGFANLTILNAVAGKSRLHIVDFGVQYGLQWPGLMRFLAEREGGPPEVRITGIDLPQPGFRPACQIEETGRRLSNCAREFGVPFKFQSIAAKWETVRPEDLGINPNEVLVVHCQCGLSNLMDESLVTDGLSPRDLVLRNIRNMRPDVFIQCVANGTYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDERLLIERDIIGRAALNVIACEGADRVDRPETYKQWQVRNRRAGLRQLPLNPEIVKIAKEKVKNHYHKDFIIDVDHQWVLEGWKGRVLYAVSTWIAEDDS* >Brasy1G101800.1.p pacid=40057699 transcript=Brasy1G101800.1 locus=Brasy1G101800 ID=Brasy1G101800.1.v1.1 annot-version=v1.1 MRLILIDRLISHPLPRAPSKIRRERVPGKSLEKRTGTFVLDASSLDERLCLAKLEGPVREVAIHNSEGRCPSTHVFLVCVASFAPMLISLFASALLLPQQIGTPSTVQLLVS* >Brasy1G344300.1.p pacid=40057700 transcript=Brasy1G344300.1 locus=Brasy1G344300 ID=Brasy1G344300.1.v1.1 annot-version=v1.1 MEIAVGALSGMVDALPGKLGELLQQEFELLSGVRGDVAFFQAELSAMHAAVVRCEALDDPDPQTTTWIGQVRELAYDIEDWVDLFAHRVDAGRSTHDADAAGAISTRERFSRWIRRGIDRFTTIPDRHIIATELQDLRRRVVEVSDQRKRYSFGPQSVGAGSRYASAFDHRLVALYADSASLVGLEGPRDEVAEMVAGAGSAGLKVVSVVGMAGSGKTTLAREVYRLIGASFTCRGSVSVGRNPDIAKVLGDMLSQVDSEYRGRGDSGDPAQLIGRLRLHLQDKRYLVMIDDLWNTQIWGTIKYCFPDNNLGSRIITTTRIEAVAKLGNHVYKTRLLDEADAETFFFRRTFGSEGVCPHHLKDVSAQIMRKCGGLPLAIVSVGSMLASKQLTIDEFERSGLHWQENSQLQGMKQSIKLSYSNLPANLKTCLLYLSIFPENYVIEIQRLVRRWIAEGLISEQCGPSREEIARNYINELIGRNLVQPSQLNHDGTHRSCVVHPVIHDFIVCKSMEDNFVALVHAQQQDVSPGNGTIRRLSLLNSTKLDQAKAQIDGGKVSRARSITAISHTSGTPRLNELSVLRVLDLEGCEGTLCLDGLCKLLLLRYLNLTGTDISELPAQIGELRCLETLDVRFTKVKELPPSILRLEKLMHLLAGNAKLPSGIGKMKLLLTLSCNNLGENPVNVMRELGEIASLKELELFCDVTGTSGDKKQVEFPGDGFRSLEKLRIQCSLPSVTFMNGALPKLAMLELKFEKGLSVESSGASGIELLTSLRYLLIDSQHDTGAAEAMAEVRKVVHPNCQVITVSADGKSG* >Brasy1G357800.1.p pacid=40057701 transcript=Brasy1G357800.1 locus=Brasy1G357800 ID=Brasy1G357800.1.v1.1 annot-version=v1.1 MRGGAGCSRRGAGNVGKKWKGGIIKKAKTGSDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRERVRVAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPWKGRNGIAYSYNLPVEELQIEPSPELNADDGPVPIDSSCHDANAPGVSYRRAAAPECSGSTSANAIAEIDQLLAKAVELSRLVPTTEDRLSKLSGLFPIGHGPSPDHVKQATEREASVIDCFKTALTYLRKGFADMAANQDLMCAGFEREAVIIEKQIREEATDRVQASTEHVLGQDAAAAEEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSAYIESPPQDYSEETHERSTGDGDGVDSTQVGAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHHDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGAPAADVDKQSSDIPDGDGGILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLMANLVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G357800.2.p pacid=40057702 transcript=Brasy1G357800.2 locus=Brasy1G357800 ID=Brasy1G357800.2.v1.1 annot-version=v1.1 MRGGAGCSRRGAGNVGKKWKGGIIKKAKTGSDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRERVRVAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPWKGRNGIAYSYNLPVEELQIEPSPELNADDGPVPIDSSCHDANAPGVSYRRAAAPECSGSTSANAIAEIDQLLAKAVELSRLVPTTEDRLSKLSGLFPIGHGPSPDHVKQATEREASVIDCFKTALTYLRKGFADMAANQDLMCAGFEREAVIIEKQIREEATDRVQASTEHVLGQDAAAAEEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSAYIESPPQDYSEETHERSTGDGDGVDSTQVGAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHHDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGAPAADVDKQSSDIPDGDGGILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLMANLVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G336300.1.p pacid=40057703 transcript=Brasy1G336300.1 locus=Brasy1G336300 ID=Brasy1G336300.1.v1.1 annot-version=v1.1 MGSAGALLSHPPPQLGGILHRRQCLSLARLASCTPEPLHCRRRYLRCSAVDGNGGQETDSPTPQRGESPSSGLGAALQDPLPGPVENGSFGGITQEEEQSTLYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLAVGVSILGCFAFSKDIIKILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTKDERKFLGPIVLGSSVLFYLGILFSYTVLAPAALNFFVNYAEGAVESIWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR* >Brasy1G227900.1.p pacid=40057704 transcript=Brasy1G227900.1 locus=Brasy1G227900 ID=Brasy1G227900.1.v1.1 annot-version=v1.1 MDHNVETNTLEDLDNRPLKKARCCESGVLDDLPSVSASSLVSETCSESDDHIDGDPLPSPQSTTKSTISELANEEKDSDDASETIGSESADHIDGDPLLSPPSTTKSTVSELTNEEKDSDDDDKPLDEPNHTNDVIYELVDEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKQILVQIDQVYVKQCDLKCLLDSAKWLNDDVINAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTNIVGNYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEHKRVDLTNTLQGLQYHLDILKTQENLCNHNWKTSMLLNGRLQNNYIVQFRKTVHLVVYLCLNSWNIGPDIHCPITLHRKLLLNLDIS* >Brasy1G168100.1.p pacid=40057705 transcript=Brasy1G168100.1 locus=Brasy1G168100 ID=Brasy1G168100.1.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.2.p pacid=40057706 transcript=Brasy1G168100.2 locus=Brasy1G168100 ID=Brasy1G168100.2.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.3.p pacid=40057707 transcript=Brasy1G168100.3 locus=Brasy1G168100 ID=Brasy1G168100.3.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.4.p pacid=40057708 transcript=Brasy1G168100.4 locus=Brasy1G168100 ID=Brasy1G168100.4.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.12.p pacid=40057709 transcript=Brasy1G168100.12 locus=Brasy1G168100 ID=Brasy1G168100.12.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.5.p pacid=40057710 transcript=Brasy1G168100.5 locus=Brasy1G168100 ID=Brasy1G168100.5.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.6.p pacid=40057711 transcript=Brasy1G168100.6 locus=Brasy1G168100 ID=Brasy1G168100.6.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.7.p pacid=40057712 transcript=Brasy1G168100.7 locus=Brasy1G168100 ID=Brasy1G168100.7.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIVRADSPPTSSAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.10.p pacid=40057713 transcript=Brasy1G168100.10 locus=Brasy1G168100 ID=Brasy1G168100.10.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.8.p pacid=40057714 transcript=Brasy1G168100.8 locus=Brasy1G168100 ID=Brasy1G168100.8.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.11.p pacid=40057715 transcript=Brasy1G168100.11 locus=Brasy1G168100 ID=Brasy1G168100.11.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.9.p pacid=40057716 transcript=Brasy1G168100.9 locus=Brasy1G168100 ID=Brasy1G168100.9.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERHAIVSLELLLDLIKVFGPVIRSTLSASSTVRVDIQAEQRLQRCTRCFNHLQKILQALHPLIMRGGQSAQLAEELNMSLQDLVVF* >Brasy1G168100.13.p pacid=40057717 transcript=Brasy1G168100.13 locus=Brasy1G168100 ID=Brasy1G168100.13.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.14.p pacid=40057718 transcript=Brasy1G168100.14 locus=Brasy1G168100 ID=Brasy1G168100.14.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSAFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.15.p pacid=40057719 transcript=Brasy1G168100.15 locus=Brasy1G168100 ID=Brasy1G168100.15.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G168100.16.p pacid=40057720 transcript=Brasy1G168100.16 locus=Brasy1G168100 ID=Brasy1G168100.16.v1.1 annot-version=v1.1 MDPEKRGYKFQEFVAHDAEVRSLAIGKKTSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKTIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGEIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPESTGVRSTVFHPDGKTLFCGLDESLKVFSWEPIRCHDAVDMGWSNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKAIFFAELVHSMDDNPVKSNDTTVKSIRTVATPHPKNSYKVQESGIAESGVRGSHLIAASTEKIKKDKSSTIPRRPDSFVKPSTPLRHMKLVDSPSTNKKTAERNFRQRDMPLLSRTGISNSSSTTKSFHPTESVTVKDIYTTPQAVSVPVVISRDILEDKTAGNVNRGIAGRATVTDDFRTPVHVRKPFPSGGAGDGVSSVRSVLTEPDVCSEGFSGLKFSFGLNQYGKKEGSDGTDKGDITQIAEKMDRVVSLEHPVQSNDDKSFEPSCSTTEPSRVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCSDHIAEPIQGYEKDLSTVEEVMTPIDLVRNHEEFINVVKSRLTKLEMMRHVFNQSGIKGAITAVAKLQDISVQADVVSTLKGKLGLFNLDIFSSFLPVLAGLLNSRTERPNRNNAGPLEELLESLEKHYYLLKKVCCPISVRRRKRKTSPLAIESLFMGTLSFLWNYYWILLRFLDQLSVQHCQLVQLLE* >Brasy1G237300.1.p pacid=40057721 transcript=Brasy1G237300.1 locus=Brasy1G237300 ID=Brasy1G237300.1.v1.1 annot-version=v1.1 MSMSLPRGCSRSSQIPEFLAGSGGRSLPAVGFGTARFPFVAEDVKNAVLAALELGYRHLDTASLYRSEQLVGEAVAEAAQRGIIASRGEVFVTTKLWCTQCHPDLVLPSLKESLQNLEMEYVDLYLVHFPISVRPSEPHFPMKREDVVPMDLRGVWQAMEECHRLGLAKMIGVSNFITKKLQELLSIAKIPPAVIQVELNPSWQQKKLIEFCKEKGIHLTAYSPLAKAGHPIPTQYCTLKF* >Brasy1G342000.1.p pacid=40057722 transcript=Brasy1G342000.1 locus=Brasy1G342000 ID=Brasy1G342000.1.v1.1 annot-version=v1.1 MATLPVTCLLVPRGAGDTGPATQMGLRLCYTYGGEKIEADGHCSTGLDRLKSFAMEARWSGAQRASRCA* >Brasy1G244300.1.p pacid=40057723 transcript=Brasy1G244300.1 locus=Brasy1G244300 ID=Brasy1G244300.1.v1.1 annot-version=v1.1 MLMEEDITDKFPYQYPDSPKLQQAEQPFAQILSAAATASFGAQESSAPSMLSSDLMHSQDNKTDIHVSGFLSCKVQDPTFLNGIYATDPDSTLFPSERSTSMDKLSSMAFFKGMEEANMFLPRDKKMVDGRVRKNRFDMDGETEAGMGRSSKQIAVLVQTDSEEEDTLKMLDQLILNGYDKRPGEMREVRATLYKENKAPKKSIPRRGRRSGAKQTVVTDLETLLIRCAEAVACNDRRSASELLERIKRYCSPTGDARQRVAHYFSQGLEARLAGTGTQFYRLSTGTRTSTLELVKAYHMHMATCCFITVALLFSNYTIYNAVAGRRKLHIVHYGINTGYQWPKLIRRLAEREGGPPEVRITGINRPQPGFRPAGIIEEAGYRLSNYANKFGVPFKFHAIAAEPEAVRAEDLHIDPDEVLVVNSLFDFRTLMDESLTIDEVNPRDMVLNTIRKMKPSVFVHAVVNGSYSAAFFMMRFRQALYYFTALFDMMETTFPEDNNKRVLVEREIFARSAMNMIACEGADRVDRPHNYKEWQARNQRAGLRQMPLNHDIVLMLKEEVKNQYHKNFMINEDHHWLLQGWKGQVLYALSTWTVDDTSGSEET* >Brasy1G403900.1.p pacid=40057724 transcript=Brasy1G403900.1 locus=Brasy1G403900 ID=Brasy1G403900.1.v1.1 annot-version=v1.1 MSHCWSCLSIALAYFLAAFLSPCPPVHRRRLSSSLSPSHLPQPRRAMASPARIEVDKLSVEQLKALKEQTDLEVNLLQDSLTKIRTATTRLENATASLHELSLRPHGKKLLVPLTASLYVPGTLDDAEKVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELLEMATKKKNIADEMGMLLQAKLRQASPSPSS* >Brasy1G343200.1.p pacid=40057725 transcript=Brasy1G343200.1 locus=Brasy1G343200 ID=Brasy1G343200.1.v1.1 annot-version=v1.1 MAHYLFSSSEEATAQLELPLHSKKKKKKTRAPSSLQSHLLPLCPSPISDLCCSLRLTRSKVGQPASCAGAGDRGPRARRRRFAACFRRPRGRRLFARRSRATTAAARKLRFQQVSSDRLKVHLQAASSSCVVSALQTEAWTLLVACGKS* >Brasy1G233700.1.p pacid=40057726 transcript=Brasy1G233700.1 locus=Brasy1G233700 ID=Brasy1G233700.1.v1.1 annot-version=v1.1 MAALLYIRMVLLLVSLMPRAAQLALATPSNTTDLAALLAFKAQVKDPFGILAGNWSASASPCSWVGVSCDLHGKHVTGLEFDSVPLHGSIAPQLGNLSFLSSLVLSNTSLVGPVPSELGGLPRLQNLVLSYNSLSGTIPSTLGNLTRLESLYLVSNNLFGEIPNDFQNLNNLQVLRLSSNDLSGLIPHGLFNKTPNLHVIRFGSNRLTGAIPDSIGSLSKLEMLVLERNLLSGSMPPAIFNMSHLQFIAIARNNLSGPIPSNESFYLPMLEFISLGDNQFDGPIPPGLSACQNLDMLSLPGNNFTGPVPSWLAMMPNLTTIYLSTNGLTGKIPVELSNSTGLTGLDLSENNLEGGIPPEYGQLRNLRYLSIANNQITGSIPESIGNLSNLTQIDLFGNGLTGSVPISFGNLQNLRRIWLTGNQLSGNLDFLSALSKCRSLNTIVMANNAFTRRLPAYIGNLSTVLERLIADNNRITGSIPSTLANLTYLLVLSLSGNKLSGPIPTPITAMNNLQELNLANNNLSGTIPTEINGLKNLANLHLDSNRLVGSIPSSVSNLSQIQIMTLSYNLLSSTIPTGLWHQQKLTELDLSENSFSGSLPVDVGKLTAITKMDLSNNQLAGDIPASFGELQMMIYLNLSSNLLEGLIPDSVGKLLSIEELDFSSNALSGAIPKSLANLTYLTNLNLSFNKLDGKIPEGGVFSNITLQSLMGNGALCGLPREGIARCQNNTHSRSKQVLLKVILPVVVTLFILSACLCMLVRRKMNKHEKMPLSTDTDLLNYQLISYHELVRATNNFSDDNLLGAGGFGKVFKGQLDDESVIAIKVLNMQDDVASKSFDTECRALRMARHRNLVRIVSTCSNLDFKALVLEYMPNGSLDDWLHSNGGRHISFLQSLGIMLDVAMAMEYLHHRHFEVVLHFDLKPSNILLDMDMIGHVADFGISKLLAGDDNSIVLTSMPGTVGYMAPEFGSAGKASRRSDVYSFGIVLLEVFTRKKPTDPMFVGELSLRQWVSEAFPHELLSVTDSGILQDEPKYGTDMRSNPSEDYSTILTTCLVSIIELGLLCSRAAPDERMPMDDVVVRLNKIKSNYCTQLAN* >Brasy1G467600.1.p pacid=40057727 transcript=Brasy1G467600.1 locus=Brasy1G467600 ID=Brasy1G467600.1.v1.1 annot-version=v1.1 MEVQMAAAHLSQQQQQQQQKAANQLARTFTRLLRRKRADAAAARAEAAPACVAGDDDYERSMDDAPTTIPSLSKLKLSGNLAAAYSLDAFFRNAAEKKGAVGQQQQHASPALDAAADSLLASLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVSELTRLSDTKRRFLKDPVAAARDAAASGNTPLSAHAEEQRHLLKTYQITARKLESELRAKDADLDRAKGSLAAELRAERAMEVRLHPGRTLASLDELHVSGLNPTHFLTALRHAVKSIRSFSKSMLTSMQAAGWDLAAAAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLDEREFYERRRFFEEFTELKAAPAGVFLDARNARWGGFGKFLRAKYLSLVHARMETAFFGRQEQRGIVSAGPGFPESAWFAEFAEMARRVWLLHCLFFAFDGGDEEDGASIFQVRTGARFAEVYMESVNDGRTEDAFSAAAAAEDRAVGFTVVPGFRIGRTVIQCRVYLSPRPGRRP* >Brasy1G558900.1.p pacid=40057728 transcript=Brasy1G558900.1 locus=Brasy1G558900 ID=Brasy1G558900.1.v1.1 annot-version=v1.1 MIPEVLLLKRSGSLRVAAAKPELADGGGGLGQVERKHVEDVCAGRGRAVGVLDAVLTLVIGGGASHWHRGRAGGRSTGAGCCSTVAPPPTLLQPCSALPQRLHRVPPRSRLPPTSPSWLGPTQAPSAIHEFIPAGPDASSIRRPPPAAIHSPPRLCQRRCGLLLLSYGGAGGRGGRSIEIGEHNRWIDSPESQSTPHGREQVGTSPPLDF* >Brasy1G496200.1.p pacid=40057729 transcript=Brasy1G496200.1 locus=Brasy1G496200 ID=Brasy1G496200.1.v1.1 annot-version=v1.1 MNGMPSSRRKMNNRSIHRKEAKGKKKVSEIRSRLQLLLTRAHSLILLTQLPNLSIQFNSFYSSSLPRRCQLLLLLPLQIIGGVPGVYIHQQIQSYLQSHQTHRSSSGSLRDPSRFQLQFIRGEGGMEAATNLHLALLRLRAAANQRLAHQEPLLLVAAPLLALLVARAVHAAAAHVADRGLRAVVISLVMAAVKLVPGVSGYIAAQKSKVVEQLQSGSASTKKNLRTELPTVGIPDGVINDLQTLKDKDVKWQGKCSGTVYIAGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQMEAEVVQMTAALLGSKEKASGGQICGNMTSGGTESILLAVKTSRDYMQSKKGIKNPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKDFLADAKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASQYGICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISTDVHKYGLAPKGTSIVLYRNHEIRKNQFVAVTEWTGGLYVSPTMAGSRPGGLIAGAWAAMMSVGLNGYLESTGQIMEVSKKIQKGIEDIPGLFVIGKPDMTVVAFGSGVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVAIYEEFLKDLEDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTVKELLVEFMDTSC* >Brasy1G101100.1.p pacid=40057730 transcript=Brasy1G101100.1 locus=Brasy1G101100 ID=Brasy1G101100.1.v1.1 annot-version=v1.1 MSTSPLQIYFWCILLFANIGISTSLPLERDALLDIKSHLEDPEKCLHNWDEFHSPCYYYGVTCDKISGEVIGVSLTNVSLSGTISPSFSLLRRLHTLELGANSISGIIPAALANCTNLQVLNLSMNSLTGQLPDLSPLLKLQVLDLSTNKFSGAFPVWISKLSSLTELGLGENNFSEGDIPESIGVLKNLTWLFLGECNLRGDIPASVFDLVSLGTLDFSRNQMTGVFPKAISKLRNLWKIELYQNNLTGEIPPELAQLTLLSEFDVSQNKLTGILPMEISSLKNLKIFHIYRNNFYGELPEGLGVLQFLESFSTYENQLSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALNNNFSGEFPSSYSSCKTLERFRISQNQFAGSLPYGIWGLPNAVIIDVADNGFIGGISSDIGISANLNQLFVQNNNFSSELPLELGKLSQLQKLIAFNNRLSGKIPTQIGNLKQLSYLHLEHNALEGSIPPNIGLCDSLVDLNLAENSLSGNIPGALASLLTLNSLNLSHNMISGEIPQGLQSLKLSYVNFSHNNLSGPVSSQLLMIAGEDAFSENSDLCVTNISEGWRQYGTSLRSCQWSDNHHNFSQRRLFAVVIMMAFFVVLLSGLACLRYENSKLEDVSRKRDTETGDGSDSKWIVESFHPPEVTAEEVCNLDGKALIGYGRTGKVYRLELSKERGIVAVKQLWECVDAKVLETEINTLRKICHRNIVNIHGFLAGGGSNFLVYEYAVNGNLYDAIRCKFKAGQPELDWARRYRIAVGAAKGIMYLHHDCSPAIIHRDVKSTNILLDEDYEAKLADFGIAKLVENSSLNCFAGARGYIAPELAYSLDATEKSDVYSFGVVLLELLTERSPTDEQFDGELDIVSWVSSHFAGQNTAAVLDPRVSNYASEDMIKVLNIAIVCTVQVPSERPTMREVVKMLIDI* >Brasy1G101100.2.p pacid=40057731 transcript=Brasy1G101100.2 locus=Brasy1G101100 ID=Brasy1G101100.2.v1.1 annot-version=v1.1 MSTSPLQIYFWCILLFANIGISTSLPLERDALLDIKSHLEDPEKCLHNWDEFHSPCYYYGVTCDKISGEVIGVSLTNVSLSGTISPSFSLLRRLHTLELGANSISGIIPAALANCTNLQVLNLSMNSLTGQLPDLSPLLKLQVLDLSTNKFSGAFPVWISKLSSLTELGLGENNFSEGDIPESIGVLKNLTWLFLGECNLRGDIPASVFDLVSLGTLDFSRNQMTGVFPKAISKLRNLWKIELYQNNLTGEIPPELAQLTLLSEFDVSQNKLTGILPMEISSLKNLKIFHIYRNNFYGELPEGLGVLQFLESFSTYENQLSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALNNNFSANLNQLFVQNNNFSSELPLELGKLSQLQKLIAFNNRLSGKIPTQIGNLKQLSYLHLEHNALEGSIPPNIGLCDSLVDLNLAENSLSGNIPGALASLLTLNSLNLSHNMISGEIPQGLQSLKLSYVNFSHNNLSGPVSSQLLMIAGEDAFSENSDLCVTNISEGWRQYGTSLRSCQWSDNHHNFSQRRLFAVVIMMAFFVVLLSGLACLRYENSKLEDVSRKRDTETGDGSDSKWIVESFHPPEVTAEEVCNLDGKALIGYGRTGKVYRLELSKERGIVAVKQLWECVDAKVLETEINTLRKICHRNIVNIHGFLAGGGSNFLVYEYAVNGNLYDAIRCKFKAGQPELDWARRYRIAVGAAKGIMYLHHDCSPAIIHRDVKSTNILLDEDYEAKLADFGIAKLVENSSLNCFAGARGYIAPELAYSLDATEKSDVYSFGVVLLELLTERSPTDEQFDGELDIVSWVSSHFAGQNTAAVLDPRVSNYASEDMIKVLNIAIVCTVQVPSERPTMREVVKMLIDI* >Brasy1G483300.1.p pacid=40057732 transcript=Brasy1G483300.1 locus=Brasy1G483300 ID=Brasy1G483300.1.v1.1 annot-version=v1.1 MRILLRSIPEEFSDALDGRPEVFETMEQHLPLALYNAPRDVKLSFMRHILARHTPSPRALREGKLAIDQQLLCKYVVYVIQRPKLRKITSIYPLNILQPLYPQLYRMNPSAFFLPSFLRAIRGDPLESFRDVMRQDSDMLVAEVQNFYMWACTTKQRILRTNALNTSPYGVVLSDMGMQGVLDDLMKQFVSPISTVFFSEAGGGSLDSHESFVNLYHGDDNNGTGWHVDDSEVTLSVCLGKEFITGGEIYFNGRRCENHATSMAKDEEKVIHPQVHGEALLHHGRHRHSVFPTFSGFRADMTMWCRSSVFRELRKYKTDFSNWCGECKSLGVQM* >Brasy1G023200.1.p pacid=40057733 transcript=Brasy1G023200.1 locus=Brasy1G023200 ID=Brasy1G023200.1.v1.1 annot-version=v1.1 MKRKQRASAAAAAAEVDADEPSQVPLPLDDYSGDVCAALTARYGRSAAAQHKHLLATAAAIRSILADDGLPLTAASYLPAVVSALRTAGPSDPAAASALASLLVILIPHISSLPPDSASESASSLAEFLASPDASKLPTGTVRSVVKSLGHLALLLDAAADWDSVATPLDALLASSVDQRAKVRRCAQESVEKVFAYLERSGCAKKASNAAIALFETHILSVRSLANLDSDASEAKETEAVHMLGAVAVLVPYLSKKARNTVFSGACQLLSRGFSPLTRHVIRLMETLLEHLKAENVESELENLISLVLAYLPYDEKKPDDTIIAALQLMRSCLAKLSGNPKQWRKALPAAFEAVSGYLILDRKCSEDVAKLLIDCIDSHVDQSIFLTNESQLHDCDAEDSSDGAAIKSICLSINKKLRKCVSPPDSVLTIVLVLFLKLGKSSCIFMKDILLTLSRLGSKIRKEPRLRNVEECLGAAVVAMGPDKIHSLLPISFDEDWFTCSNTWLLPILDKYIYGASLQHFLEYIVPLAKSLQDASTRAKKARKCKELQAWSDQLWNLLPAFCRYPTDVYQNFGSLSKLLVEMLKSDRSLYKPACKALQQLIDGTRRLSTSDQDDEISAEISALFSSKTNNLSCVSLQRCSKKDARKSMKVLASHSADLLCTFADYFLDSSEKRPHLKDAVRSLAQLSGSANICSLFLSLVKRFGLEDNPLEAESLEPQTNDVDGKGEESIDATMEINNKRSLIMELISTLAESADEDVLDLFFGFIKSSLLLNSSKSCESKALSALSIILKGHDGYSLAWLDEIMMLLHGIKPESNKAVLEGQLVCYKHLLVHMIKVNEESTSKKAFLILNELILALKSKKESRRLAYDVLLAISTSLRSSESNNGDSDLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYNDANFCMEVPNLIPSVLVLLQNKAIEIIKASLGFVKVLVTSLQSEKLLSLQADILTGILPWSSVTKHHFKGKVVLILEILIRKCGFDAINLVTPEKYKEFVRSVEEGRKGNHNPVADGAQSKEKDPAEHTPKRRKWTDSSAEIGQKETSTGKKEFFVKGAGKAHFRGARRQHIKASGDKGDRTNFRSQSRAHSGNSQSHRGEKSQHHDKRPRSGTFNRAQNSGVKASTHPPRFKKPRTAAAT* >Brasy1G329400.1.p pacid=40057734 transcript=Brasy1G329400.1 locus=Brasy1G329400 ID=Brasy1G329400.1.v1.1 annot-version=v1.1 MVPCIEPPWIFAAIFEVVATEAENKTDVMKEKAAEVKLKMEKANKTMLLMVESNLVLADPEQPLSVNSISQAINRRTSFNYHYLCSFL* >Brasy1G303300.1.p pacid=40057735 transcript=Brasy1G303300.1 locus=Brasy1G303300 ID=Brasy1G303300.1.v1.1 annot-version=v1.1 MGRRQQPYPPSVLLPRAHELCSSDNHGGAVLGGHAPAPTDPSTPAPTSTGSFTAENLGSGDALPRLRGSLVLVPSPVRAAPARRRPVSPRTAGLPHRSVVSSPPSATWTCAPRPRLPRRPSRRPAAAAAIYALNPSFPRAINNFTPARITSISLHLCRTHSTGHSSSSVDDLRAT* >Brasy1G486100.1.p pacid=40057736 transcript=Brasy1G486100.1 locus=Brasy1G486100 ID=Brasy1G486100.1.v1.1 annot-version=v1.1 MADLTEEAKWLALGRVLSNKPYSFSSLAATMKFAWSSAQEISVWVRIHKIPPMFCKEDLVRGLAARAGKVLSVVLRPAVAGQDFVRVRVELETSKPLTRFVLLSPEGAADIILRVTYEKVPKFCEICGCMGHVLKECGNGVWEEKDKYWNRAKLQRSGSSSGNTRAGPARGSMRGGRFGGRGGGRDQAQRQEQESRKRTSRDASLESSPAKADPPPGLLLEYKPKGDDGAKKKLELNLVEGANNLQLMVPPPPPKYTTPREKKRVNKGESTSMGDASTSNQAAFVAEDRQLQ* >Brasy1G255400.1.p pacid=40057737 transcript=Brasy1G255400.1 locus=Brasy1G255400 ID=Brasy1G255400.1.v1.1 annot-version=v1.1 MGNAVVPSMCKDVKIDDAPGRVATARRRHGGGDEGGRRRRRSGTTVGQRQQAAEGGTVVMTVKLVVTRKEAEKLIARLNLNEQQRSARERKARMAELTGQLRAGSSCASSTTHGLPPIQEI* >Brasy1G385700.1.p pacid=40057738 transcript=Brasy1G385700.1 locus=Brasy1G385700 ID=Brasy1G385700.1.v1.1 annot-version=v1.1 MDRYRVAPARPVFLSSGATHHRHHVQALAPLCGAAPAGRRSDGAELDIFTAERYFNAADATKYRAASAVTAVPVDSPPAPHLAMDAAASQSGRTAASSEASWNSRSGLLASNNNNNQSASARQKHNDKAGYGGVGVVNGGVVDSARDERYHRAKKPAGQRWGLFGRVDCPCVGRKAVTVGVASEPPSPRTQLATSSAAMDQEISAIFKANRLLLPPPSPRPTQEPEPSTTKIISISTTGSCAFPLRANNNNTGILAPPAPNKGASFAAFPAPDIGRRVVVSSSGAAGFTFPVVTNVGMDEPPRESLEVFRPIDEDSVVLADPPSLLSAASFMRALVAATVEEDAMSDASSDLFDLESFAASSSYPTTCRGGRGSSRRNSREEDDDLPYASAAAAMAEPALSECMYAPSEASVVWSVATAEGFAPYDAAPSVANFSSAASACGADDFVRFVQPAAHDGFTAAMSRSAAGRKKGGGGFLNSCRCEKAVSVGPTPVRVARPRPPVPEAKTAMALESGGAARYHHGRVHMPVRT* >Brasy1G052900.1.p pacid=40057739 transcript=Brasy1G052900.1 locus=Brasy1G052900 ID=Brasy1G052900.1.v1.1 annot-version=v1.1 METAATGEQHPRRRHRFVLVHGVCHGAWCWYKVAAALESAGHRVDALDMAACGAHPARAGEVRSFEEYSRPLLDALAALPAPGEEEREKAVLVGHSYGGQSLALAMQRCPDRVAAAVFVSAAMPAAGKPMSFVPQQFSKEREPGFFEDCVIPMSPSTRHFC* >Brasy1G490800.1.p pacid=40057740 transcript=Brasy1G490800.1 locus=Brasy1G490800 ID=Brasy1G490800.1.v1.1 annot-version=v1.1 MGHVRVLHVPTAAQFADIFTKGLPTASFTDLRSSLNVVAPAWFPVPKPAPPAVTADDLAKVLKAAENARYATKRPWHRRRLVGRRPPAATSSTGRASGSGSRRWEAAPYMCRCQLLRPRARVRNPLAAIMAENIRRLELSAAALGQPAEPPYTQPPEPSLRRRPRPRPSACCAAAALHPVRGAASLALALALAPARAAALHPTRGAASLALAPACASAALHPARAADLAARCRPEEHWPRPEVCISSTPAHFASELWRAGYHMERR* >Brasy1G494600.1.p pacid=40057741 transcript=Brasy1G494600.1 locus=Brasy1G494600 ID=Brasy1G494600.1.v1.1 annot-version=v1.1 MGHGHVIPTLRASNFRLHQSQSSRSQARPAICDCVIRRMQKRSATKTGATGSAKKARSSGREEPACDDCNCNPVQQAEEEDTTNVFSLEMDTLQCDICYMPFESQVYSCKNGHAACANCCITMDRKCPCCSGSIGDFRCRATEKIIAGMARPCRYAKNGCPEEALRFAGIRAHEENACRHAPYRCPFDGCGYLGLELYGHIRDEHMPCPGGATSGMGLLRRTTVTLPKAAPFRALLHRDGESVFLLLNGGDVLAGRSLPVVQICPYPDEDEEDEEEEEDVEKMETEYKMVVKGDEPGALSLTASGTVQFVRRLEGYKAKGFLFVPDDFWGPSNSVTVTVHL* >Brasy1G042000.1.p pacid=40057742 transcript=Brasy1G042000.1 locus=Brasy1G042000 ID=Brasy1G042000.1.v1.1 annot-version=v1.1 MRHLLIPRLLRRASSLPHSNSHLQLLRPLSSSSPLPASDADLRKYAGYALLVLGCGAATYYSFPFPPDALHKKAVPFKYAPLPDDLHTVSNWSGTHEVNTRVLLQPDSLPALEEALATAHKEQRRLRPLGSGLSPNGLALSRAGMVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGAGLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHKKWLSENKHIKYLWIPYTDTVVVVKCNPPSRWKTPKLTSKYGKDEAIQHVRDLYREALKKYRTEAESNDPAIDQLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPSMKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSEEDDIFSWVPTHRFMVGIIMYLPTSDPRQRKDITEEFFNYRSLAQTSLWNDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVNAYNKARMELDPNKVLSSARLEKLFPATGTVQSAK* >Brasy1G042000.2.p pacid=40057743 transcript=Brasy1G042000.2 locus=Brasy1G042000 ID=Brasy1G042000.2.v1.1 annot-version=v1.1 MRHLLIPRLLRRASSLPHSNSHLQLLRPLSSSSPLPASDADLRKYAGYALLVLGCGAATYYSFPFPPDALHKKAVPFKYAPLPDDLHTVSNWSGTHEVNTRVLLQPDSLPALEEALATAHKEQRRLRPLGSGLSPNGLALSRAGMVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGAGLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHKKWLSENKHIKYLWIPYTDTVVVVKCNPPSRWKTPKLTSKYGKDEAIQHVRDLYREALKKYRTEAESNDPAIDQLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPSMKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSEEDDIFSWVGIIMYLPTSDPRQRKDITEEFFNYRSLAQTSLWNDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVNAYNKARMELDPNKVLSSARLEKLFPATGTVQSAK* >Brasy1G145400.1.p pacid=40057744 transcript=Brasy1G145400.1 locus=Brasy1G145400 ID=Brasy1G145400.1.v1.1 annot-version=v1.1 MHPRARIHADPALQLDQIDCLPDALVLLILNKLEDVRSLGRCSAVSKRFNELVPLVHDVYVKIDRVVTADGDADDAFNLSSPKARHIFSHFIKLMLFTIVKPFHSMRNPSGTGRPVFPQLAQHSPVQVLKNFSHVRNLRVELPSGDVGTEEGVFIKWRAMYGSTLQNCVILGGTLVDRKPVAVGHDPSTEDSGSMPESFYTNGGLKLRVVWTISALIAASTRHYLLQSIIKDHPTLRSLVLTDADGQGTISMGMEQLKEFRENQLSASACSNRTQVPTCSMKLKYAAYLELPDGLAMQGATLVVIKPSADGTSGGHSSRKETEAFVSGAFDGPFKFAAKALMKRRTYLLEMNGF* >Brasy1G273600.1.p pacid=40057745 transcript=Brasy1G273600.1 locus=Brasy1G273600 ID=Brasy1G273600.1.v1.1 annot-version=v1.1 MGGGGGVSFSLSPWSRFRRASPAKKNYKVRVCLEGVPQDAWDLKTVAPLFDCSMLLDSQDDVSYSEQETACMRLWVWMDSVEMLAKTGTLQLEEPIECSSPDLHFPEPRIFEEVPARSGPLNMLSYEIKLHLDRVIDFTPSPDSSPENHPSWPARWHYRWYLGYVDGTFPPRKPRPSVHACLRFPEDGGDDGAGAGGAEGAGGERRRRASRWDQPAAALLTRRDRRQEASSAVTPAVAGGKTRPFRARGA* >Brasy1G484900.1.p pacid=40057746 transcript=Brasy1G484900.1 locus=Brasy1G484900 ID=Brasy1G484900.1.v1.1 annot-version=v1.1 MARARTVKERAASTLGMPADRSPLYFAAFLLLADAALVALIVAFVPYTKIDWDAYMSQVDAFREGERDYTKIEGDTGPLVYPAGFLYVYSAIKTLTAGQVFPAQILFGVLYIVNLSLVMLLYVKTEVLPWWALGLLCLSKRIHSIFVLRLFNDCIAMTLLHAAMVLIIYHKWYLGLIIFSGAVSVKMNVLLFAPSLFLLMLKAMSIKGVIFALLGAAGVQVLLGVPFLLSHPVEYISRAFDLGRVFIHFWSVNFKFVPEKLFVSKELAVALLFFHLTALMVFAHYKWFKHEGGLFRFVHSRFGDATSIQQLISCKPGQSILSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWRTQFPTVVRIILFVGVELCWNIYPSTAYSSLLLLFLHIFILFGLWRSPSEYPYVDKKDKPHSNKLGKAM* >Brasy1G520600.1.p pacid=40057747 transcript=Brasy1G520600.1 locus=Brasy1G520600 ID=Brasy1G520600.1.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRIGRDLDAIE* >Brasy1G520600.2.p pacid=40057748 transcript=Brasy1G520600.2 locus=Brasy1G520600 ID=Brasy1G520600.2.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRVSPGTGYI* >Brasy1G294000.1.p pacid=40057749 transcript=Brasy1G294000.1 locus=Brasy1G294000 ID=Brasy1G294000.1.v1.1 annot-version=v1.1 MGYLWRVRLSSFAAGAAAASAAGFFLLYKDHLVARATIARQVEDVKRISEKHYEALNHRISALENRKESASDKEA* >Brasy1G280300.1.p pacid=40057750 transcript=Brasy1G280300.1 locus=Brasy1G280300 ID=Brasy1G280300.1.v1.1 annot-version=v1.1 MIRPCAAPHEMFRPFPAAPDHAVKQAPAPVPPPAELKLSDFDKVGHLGEGACGTVTKVRLRGGSSSAVFALKTAYYPDPNAEEEAEVLRRTGGSPHLVRCHAIFRGAAGKPAMLLELMDAGSLGRVLLVRRGAGLPEPALAEVAARCLVGLAHLHSRGVAHLDLKPDNLLANSRGDVRIGDFSVSRIFSRTQGERLRVSIAVGSTAYMSPERFAPDARAGPRGACAADVWGLGVTVLELFSGRRPILPDVQRPSWGMLMEAICHGEPPPVPESASSSLRGFVAACLQKDPRRRGTVAQLLAHPFVARRDAGASRRSLRETIVETM* >Brasy1G277200.1.p pacid=40057751 transcript=Brasy1G277200.1 locus=Brasy1G277200 ID=Brasy1G277200.1.v1.1 annot-version=v1.1 MDGQGPLAIKFSSCRGVSFEPKASPASPFAVDAAAACAAEPASAFSKAGRWLPPPFIRNHSTSTFFTAQSRANSHFCDLDPDDIEDDDGTDGESGDEDEEMAFASAADGVSHAPSRFRRSRACFTVYKRLFVLCVALNAAGLASAATGRFPAGRQHAAVFAMGNILALTLCRSEAVLRAVFWLVVALLGGRRVVPVAVKTGVTAILQSLGGVHSGCGVSSLAWLAYALAQARRELHVNANALGVGAAILGLLALSCAAAFPLVRHLHHNVFERTHRFAGWAALALLWAFVVLSARGHGPRATVADLAKRPELWLAAAITFFTFLPWITVRRVPVTVTAPSTHASIITFRGGVKAGLLGRISRSPLSEWHAFGIVSDDGDTHAMLAGAVGDFTRGLVSDPPSHLWVRGVHFAGLPYLIGMYGRATMVATGSGICVFLSFLMQPSSCAELSLVWVAKGIDANYGEGMSAMVANNKILAGRVIVHDTATMGRPNVAELAVGAARRWNAEVVVVTSNPEGSRDVVTACKKAGIPAFGPIWDS* >Brasy1G322000.1.p pacid=40057752 transcript=Brasy1G322000.1 locus=Brasy1G322000 ID=Brasy1G322000.1.v1.1 annot-version=v1.1 MGEIYLPDPGRGRARAAGSVGGIAEPGRIQRNRAGLVAGAGGQRRRAGLRRAERARPAGPVADGESPHSGCCSCSSFSFSCSSPAWLPCRRSSPREPSRACPASAAPCLETGYVELDDDGVRFFYYFIQSERSPEEDPILLWLTGGPGCSSLSGLVYEIGPLAFDFEGYRGGLPSMLYKPASWTKVSNIIFLDSPAGTGFSYDPTNKTTPSDTMVVRQLHTFLETWLDEHPKFLSNPLYIAGDSYSGMIVPSLTMEIVKGIESGDERLRNLKGYSAAAPSVLTAGCRPPPSSQSAAALVPPHSALPPLSLLTACSRLSPSTPPPSSQRDAALFPPDRAPPLSFLLTARRRPSPSPRRRPPSLRRSAHSAFVLQFTGC* >Brasy1G123900.1.p pacid=40057753 transcript=Brasy1G123900.1 locus=Brasy1G123900 ID=Brasy1G123900.1.v1.1 annot-version=v1.1 MTDWAPAIIASALFALLCPGGILQMPGRQRPVDFMNMKTSGVAILVHAVIYGVLLMLFVVILQAHLYI* >Brasy1G385100.1.p pacid=40057754 transcript=Brasy1G385100.1 locus=Brasy1G385100 ID=Brasy1G385100.1.v1.1 annot-version=v1.1 MSHRRVEACDSWRPPPAHAHATSLLLRAGGSPWGRSSPPPAFACLSVRHGGETVVKADEEEKERPASVAAVVEGSGTKGKLPPVAQLLKHPLAALALVPSSVALFAAGAGAGAVAKTVTAPLDRVKLLMQTHSVRVAGESTKRGIGFLEAMAEIGKEEGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVALNMLREEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTIFDAIPGIVERDGLVGLYRGFVPNALKNLPNSSIKLTAFDTVKILISTGQKELEKLMQENQDKTS* >Brasy1G475800.1.p pacid=40057755 transcript=Brasy1G475800.1 locus=Brasy1G475800 ID=Brasy1G475800.1.v1.1 annot-version=v1.1 MEPQPADPPASTPEAPDPPADITPPPEADAKPDRHSSSSSSLSSSQSRGSSAGIVLNLDAGEMSTPLVSTPPEDDDGIADAGPVATEVIVAKPDDWVSWPESPPKAVVVDASVGPATQAPGAQTMAKPENVGGTFNPDRIPASIFQAKQAEWSITSNESLFSIHGASQSQSDDFYGGAASRSHFDHFYDEAMAAGGGEQPGWRMPALEEVAEGGAVPGSAGSDASSQAKKAMAFRRHESGSAGSSSNFSFAFPILAETSPRKKESVGGYQQLRKEYDQSLPATPVDRKSAFKEMTTKEERRRRDPGWCGCGECCCGCCWIECPAWSSSSCCCCCCQWRWSCCCQWRWRCCSCSCPSFCRCTCCL* >Brasy1G358100.1.p pacid=40057756 transcript=Brasy1G358100.1 locus=Brasy1G358100 ID=Brasy1G358100.1.v1.1 annot-version=v1.1 MAVLFVCAIALYIVRCTAEDTEKEGVKVKTKVAIFNSTDGAVYQQSYGSIRLVVKIAPGGGSFGGHDFLAPTPRDLHALPNQWMRVELYNGQSLPKAALIIRLDSLYILAFVSDDGVVHYMKGYQDMFNYANAEPLDFEESYASLTNTPEGQSTVPGLAMVTLGKAAAQIATESLATYRTGSDSTRAKQAILTFSIMISESLRIKSVNTACVRLWELSGTLDMDEAKYITAWSALSQLFITGDLGSLGDRNRRKLKKLGVTTPEEAGNILDFLFRLAP* >Brasy1G483700.1.p pacid=40057757 transcript=Brasy1G483700.1 locus=Brasy1G483700 ID=Brasy1G483700.1.v1.1 annot-version=v1.1 MASPAKRANTLSPAKLQASPPPEAAPAEDPRVLLRRRWELASVLHFFMVFGPVIEENLGLSAEEIETALISNNRDLARIHIALLKGIPPIKKNLDVNDGWVIATAKELSDWWSWVAEGTNPFKRNPGKEVEMYKEQDPISRLFILKALCEVRSEQTDAVCYINDEMKKGVDISNFRKEKFGSASNGTTYWYVGDSTLGHRLYKEDVTIDFKKNWKGKDGRLTKPVTNIHWETAAANLDEFIEISEKLCSNGRSESVIGEHLKENIIPAVEKFQKRKERDLKRQQKKIESLAFADDFKSRSLRARKPVNYSYSDYDRSIKEAIKAAAKAKESESHKEVGKKEKPVPHQGDNGANVGSYIRPEHNEDRGHEHATYRDLSSDDDDDDDAAEVSDYNDKDGSSSSSDGDNDASDPHKGSSDEEDAFVTRKRTRLAVRRGLDSKPRQGLRRSRRNMKDSEETVHPEQISPEAMTKKTTRQRPTPISKQFSLSGSEDDRSQSGADSEEGSDSP* >Brasy1G042200.1.p pacid=40057758 transcript=Brasy1G042200.1 locus=Brasy1G042200 ID=Brasy1G042200.1.v1.1 annot-version=v1.1 MAVAIAETQFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGTKALEFLGIHGQDTPISLHADHLDVEVNVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRCLEEGANEFFLKPVRLSDMSKLKPHIMKSRCKEHCHHEEEDLLSNSDSNNSCNPTNNSSSDSSNPTNNSTDSANNSSGDSGNTRKRKATEDEILPKTSRSKAQLAAQL* >Brasy1G439200.1.p pacid=40057759 transcript=Brasy1G439200.1 locus=Brasy1G439200 ID=Brasy1G439200.1.v1.1 annot-version=v1.1 MATTSGNPAAGGEPAASSSAQNPPHHPKPLISHIVRTYLDLSSNPKKRRAAPKNKQPKPGGAETPAAGGHKGCGPSGVASVHPSRLLRELGIRVSRYTHEERQDIITRYMQKRGGRPGVNRAASKVPSRQALAGRRRRGAGGKFLGKDDVETANKPEEKVEEEPELPPEVVANAGGVPIVGMVFENEEKAYEYYVSYAGNVGFSVRKGLWDKTVKSASRSRAYVCSREGFRSRNDAKRPRLETRMGCPAQLAIKLASNGKYRVTEFVKDHNHQLAGPFDIGMLKSQRVLSKFQSGYRIANNIPPGYKNYLRTKSTKDMNSGDLGDLMDYFRSMKSDNPSFYYAIQVDANDKAANVFWADASSILDYHYFSDVICFDMTYKMNNSSRPLSLLLGMNHHRQMVIFGAAFLYDETAESFKWLLETFKSAMCGKQPKTILTGRSATLKEALSLTWPGTIHRSCVWQIYQNAIKCLGHLFSTSEEFAHDFSHCIFDVEDGQEFVDTWNAIIEKYNLKENEWLNELYEDRENWALPYSRQIFSGDIKSMLQAETFHVMVKEYLDCKKELSYLLKFLGSSVEKRRQEEIQADYKASQGTPGTPQPLLWQAANMYTPITFELFRREYELCMDCMVYGCGEFGSLSEYMVTVKNKTREQLVQFDSSNGTIACTCKKFETAGILCCHILKVHELRNVKEIPTQYFLKRWSKDAKMGTVDDISGFNFDTDTGSSVPERYAALCRLFYRIAAKAAENVEAFALVASQSDQLVEGVERTLQSTLADQSSVVHYIKDQLTRMVQNDYLLGSSNEVQKSIGKKKGQVARGNGLETNRRQRARKGHPDEAVAGPRDGELNVTPESMQTKPRNATDQFLPDQLMQEHYVLGHNFGVSTTQNLRDSLNQFGQASSVSTMQQQPFPGNGQVTQDYPGDMHALQFVETNPQIDSENGDQDQSSIPVWDFLRCASSHDLHTSPR* >Brasy1G439200.2.p pacid=40057760 transcript=Brasy1G439200.2 locus=Brasy1G439200 ID=Brasy1G439200.2.v1.1 annot-version=v1.1 MFFCLILQRQQPRTIFLYELFKHSQTANKPEEKVEEEPELPPEVVANAGGVPIVGMVFENEEKAYEYYVSYAGNVGFSVRKGLWDKTVKSASRSRAYVCSREGFRSRNDAKRPRLETRMGCPAQLAIKLASNGKYRVTEFVKDHNHQLAGPFDIGMLKSQRVLSKFQSGYRIANNIPPGYKNYLRTKSTKDMNSGDLGDLMDYFRSMKSDNPSFYYAIQVDANDKAANVFWADASSILDYHYFSDVICFDMTYKMNNSSRPLSLLLGMNHHRQMVIFGAAFLYDETAESFKWLLETFKSAMCGKQPKTILTGRSATLKEALSLTWPGTIHRSCVWQIYQNAIKCLGHLFSTSEEFAHDFSHCIFDVEDGQEFVDTWNAIIEKYNLKENEWLNELYEDRENWALPYSRQIFSGDIKSMLQAETFHVMVKEYLDCKKELSYLLKFLGSSVEKRRQEEIQADYKASQGTPGTPQPLLWQAANMYTPITFELFRREYELCMDCMVYGCGEFGSLSEYMVTVKNKTREQLVQFDSSNGTIACTCKKFETAGILCCHILKVHELRNVKEIPTQYFLKRWSKDAKMGTVDDISGFNFDTDTGSSVPERYAALCRLFYRIAAKAAENVEAFALVASQSDQLVEGVERTLQSTLADQSSVVHYIKDQLTRMVQNDYLLGSSNEVQKSIGKKKGQVARGNGLETNRRQRARKGHPDEAVAGPRDGELNVTPESMQTKPRNATDQFLPDQLMQEHYVLGHNFGVSTTQNLRDSLNQFGQASSVSTMQQQPFPGNGQVTQDYPGDMHALQFVETNPQIDSENGDQDQSSIPVWDFLRCASSHDLHTSPR* >Brasy1G270700.1.p pacid=40057761 transcript=Brasy1G270700.1 locus=Brasy1G270700 ID=Brasy1G270700.1.v1.1 annot-version=v1.1 MAPPAAVDPRSGYCADTGTFHSLRAPVPLPPPDLPLSFPDFAFSLLPSPLPTRSALIDSATGEAFPFPAFLARVRALSAHLRGSLSLAHGDVAFVLAPPGIHVPVLCHALMAVGAVVSPANPSLTAGEVAGLVALSKPSVAFAVSSTVGKLPPELSGSAVLLDSPRFLSFLQGPAGAHAAAVIHQSDPAAILYSSGTTGRTKAIVLTHRNLMLARTVPAPPPDDVPMLAVPMFHIYGFMFCLIAAMSAQTLVLHTARRFDARAVLAAVGRFRVTRLALAPAALMAVVRTAEEDGTVVAAASTLQTVLCGGAAVPAELIRRFPEKFPRAVVAQGYGLTETTAGFVRAIGAEEVRRIGSVGRLNWGTEAKIVDPETGDALPPGVPGELLVRGPFVMKGYHGDKEATSAILDSEGWLKTGDVCRIDRDGFLFVVDRLKELIKCKGYQVAPAELEGLLQAHRDIDEAAVVGYSDDQAGELPVAFVVRRFGSDLSEAQIKAFVAEQAVHYKRIHHVFFVDSIPRNAAGKILRKDLVKSMLHPISSKL* >Brasy1G487200.1.p pacid=40057762 transcript=Brasy1G487200.1 locus=Brasy1G487200 ID=Brasy1G487200.1.v1.1 annot-version=v1.1 MEHLLHAANKIGSVRYKFTSFWNRNHAFRALETPPSSYRANIEAEKQVRAHSLLQGQNMNVSSSRTYNIKTEDRNIERAITFEQSITFEPFINEHVLAVISISKWYASDKYSGNVREVTFRSLCHGPLCRTDTAVTELQHTSFSKDKRNLIYENKAAGTHVPFGSLRLFCTRNYMQVAHFCARRL* >Brasy1G394400.1.p pacid=40057763 transcript=Brasy1G394400.1 locus=Brasy1G394400 ID=Brasy1G394400.1.v1.1 annot-version=v1.1 MVIPPPDRAARIVSYLKPYLLRMHFSNKYVSAQVIHTPTATVACSASSQEKLLRPDLESTRDVAAAAKIGKLLGQRMLLKGIPAVSIHMKREQKYHGKVRAVIDSVREAGVKLL* >Brasy1G163700.1.p pacid=40057764 transcript=Brasy1G163700.1 locus=Brasy1G163700 ID=Brasy1G163700.1.v1.1 annot-version=v1.1 MVISSRKSESTAKASPAKPAKKAVVPYVVEDCLGVMKLLSDGTVLRFTPPPFPAGADYDDGRVEWKDAVYDARHNLGVRMYRPRHINKPDNKYKQQQQLPVLVYFHGGGFVFGSYSWPKNHACCLRLAAELSAIVLSFDYRLAPEHRLPAAFDDAASALRWLAARMSSASDPWLPMPAEAQIFLGGQSSGATLAHHLLLLDKKKIIKIAGYILLMPPFLSEKATRSELDAPDTAFLSRAASERYFRLMMPVGEANKDHPLVNPFGPGSPSLETAHVGRMLVVAAECDMVRDKDVEYAERLRAMGKDVELAVFAGQEHAFFATRPFSPAADELLALIKRFLLA* >Brasy1G086700.1.p pacid=40057765 transcript=Brasy1G086700.1 locus=Brasy1G086700 ID=Brasy1G086700.1.v1.1 annot-version=v1.1 MAAISSTSYFSSQPQLPGSTANPASGGGGRQQRRRRTGGSCVMLEAAAASGAVVGRTRSLTEEDLEELKGCLDLGFGFSYHEIPGLCGTLPGLELCYSMTRRFLDEQRAPAGPQEPATPPIPNWRISGPGDDPAEVKARLKYWAQTVACTVKLCS* >Brasy1G086700.2.p pacid=40057766 transcript=Brasy1G086700.2 locus=Brasy1G086700 ID=Brasy1G086700.2.v1.1 annot-version=v1.1 MAAISSTSYFSSQPQLPGSTANPASGGGGRQQRRRRTGGSCVMLEAAAASGAVVGRTRSLTEEDLEELKGCLDLGFGFSYHEIPGLCGTLPGLELCYSMTRRFLDEQRAPAGPQEPATPPIPNWRISGPGKTCFLHMF* >Brasy1G090900.1.p pacid=40057767 transcript=Brasy1G090900.1 locus=Brasy1G090900 ID=Brasy1G090900.1.v1.1 annot-version=v1.1 MAVKAPLLSLLAHLHAALLFLPAPGSAQVYDVTRYGARPDGVTDSAVPFLRAWADACRSPGLGLATTVHVPPGVFLLGRSTTFSGPCKARSIAFSIAGTLLAPAGYGWDSGAPGRWITFESVDRLTVSGGTLDARGASLWACKKQQLLHPLLLCPSGASSLTISNSKVVLVEGVRSVNSELFHVVVLQSHGVTLRRVTVEAPGDSPNTDGIHVHKSSHVSVYDANIRTGDDCVSIGPGNSHLWVERVACGPGHGISIGSLGKEQGMGVEAVQNVTVKTTWFTGTTNGLRIKTWGSSKRGFVSGVDFIDSTMTGVQNPIIIDQNYCPDKNGCGTHKSSSIKVSDVKYVGIRGWSTTPVAVNFDCSKSNPCRGISLQDVMLTYNQSPMVGGAAKSSCRNAQGSTLGLVVPPSCL* >Brasy1G351900.1.p pacid=40057768 transcript=Brasy1G351900.1 locus=Brasy1G351900 ID=Brasy1G351900.1.v1.1 annot-version=v1.1 MLGRFLPVRQSFPPGSSASKNPFPRDAQPPPQQQQQFAMAPPVPFSSPPAAGTGRRRGGVPRVNVCCFNDPEMKRRRRVAGYKAYAVNGKVKASLRRGLRWFKRKCSGIFHV* >Brasy1G389300.1.p pacid=40057769 transcript=Brasy1G389300.1 locus=Brasy1G389300 ID=Brasy1G389300.1.v1.1 annot-version=v1.1 MCMCKSSGMLLPIYANWFSLFPSMQNGVHSICANG* >Brasy1G070600.1.p pacid=40057770 transcript=Brasy1G070600.1 locus=Brasy1G070600 ID=Brasy1G070600.1.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGVSFPTGLLFIIHVTCTEV* >Brasy1G070600.2.p pacid=40057771 transcript=Brasy1G070600.2 locus=Brasy1G070600 ID=Brasy1G070600.2.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGVSFPTGLLFIIHVTCTEV* >Brasy1G070600.3.p pacid=40057772 transcript=Brasy1G070600.3 locus=Brasy1G070600 ID=Brasy1G070600.3.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGVSFPTGLLFIIHVTCTEV* >Brasy1G070600.4.p pacid=40057773 transcript=Brasy1G070600.4 locus=Brasy1G070600 ID=Brasy1G070600.4.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGVSFPTGLLFIIHVTCTEV* >Brasy1G089400.1.p pacid=40057774 transcript=Brasy1G089400.1 locus=Brasy1G089400 ID=Brasy1G089400.1.v1.1 annot-version=v1.1 MAGKRELVISFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGAAAFVGKLGDDEFGRMLAGILRDNGVDASAVVFDSGARTALAFVTLRADGEREFMFYRNPSADMLLTAAELNVELIKKAAVFHYGSISLIAEPCRTAHLRAMEIAKKAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTFKLLLVTLGDQGCKYYTKDFRGVVPSYKVQQVDTTGAGDAFAGALLRKIVQDPSSLQDQKKLVEAIKFANACGAITATKKGAIPSLPTEVEVLRLIEKA* >Brasy1G013200.1.p pacid=40057775 transcript=Brasy1G013200.1 locus=Brasy1G013200 ID=Brasy1G013200.1.v1.1 annot-version=v1.1 MTIDRTYPIFTVRWLAIHGLAVPTVFFLGSISAMQFIQR* >Brasy1G546400.1.p pacid=40057776 transcript=Brasy1G546400.1 locus=Brasy1G546400 ID=Brasy1G546400.1.v1.1 annot-version=v1.1 MDAKKFLQMVEEKKKRLLDKKEAPLKWQQKLEAAIKATEAKEKKLKSRKHRRRGDSSSESDSDSESDGDRKHRKRKDRRRHRKHGHSESDDARRHKRRSKRRSSDSTDESDSDEYESGSEVERRRKKHSHRRRHRRHSSRSDSDASDYSSDDEERRSTKKDHSRSRRRYRRSSDDDSEEKIRSRHRKRHRSSDEDAPSDSNHHKRHRSRSLGESSDGAAGEPEKMNDGKRSHRNGHNHRRHRHHDRHSNSAEPNDKKQVVKDGQEALEGGHAD* >Brasy1G463700.1.p pacid=40057777 transcript=Brasy1G463700.1 locus=Brasy1G463700 ID=Brasy1G463700.1.v1.1 annot-version=v1.1 SLAEWWTSLVVQGKKEKRNLNTSITLICWCLWKHRNSVVFDAATPSARRIQQMIDSEGRAWSSAGLFHSADFSLSSLVDPLWNVIG* >Brasy1G274200.1.p pacid=40057778 transcript=Brasy1G274200.1 locus=Brasy1G274200 ID=Brasy1G274200.1.v1.1 annot-version=v1.1 MTCCRSWRNVVDDGIEGRSLREAEVEGSRSCGTFVRRNNGSEGYDDPGGHRNKSSRLAAGGLWWPCWSGRRAPWRLDPQRRKRSTPAQIGKTGGRDGEGAEDGGRGGHAGRSGKLGGGRSGVEVGAVGAERRGEKERRAGGESQRGQSPEGEGDHKRVGGGGREKGIGIREETRGVTTDERVRYRSRSYVYELGLLGVGLAQHAVPIPSIDAGATNEENGAKERSVFF* >Brasy1G172300.1.p pacid=40057779 transcript=Brasy1G172300.1 locus=Brasy1G172300 ID=Brasy1G172300.1.v1.1 annot-version=v1.1 MATIIAAAATTVVARAVTTRPQALGLPQLREKSERVRCSYSKGAKEATPAASVRGAGASLLAAAGAVTASAAPALALVDDRMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSGLDEDEESGGLSL* >Brasy1G447400.1.p pacid=40057780 transcript=Brasy1G447400.1 locus=Brasy1G447400 ID=Brasy1G447400.1.v1.1 annot-version=v1.1 MAVSPLALVLLVPALVVSLLYLFRRGPAPQDGRRTRQLPPSHGRGLPLIGHLHLLGSLPHRSLRALAEAHGPVMLLRLGRVPAVVVSSAAGAEEVMKARDLAFASRPPSVVAERLLYGRDVAFAPYGEYWRQARRICVVHLLSARRTLSFRRVREEEAAALVQRVRAASAAAGAAMDLCEPLVAYANTVVSRAAFGDDTARGLYRDGDRGRELRKVFDDFVQLLGTAPMEELVPCLGWVDTVRGVDRRIRRTFEALDGVLEKVIDEHRRRRQPGRRKGDDGVDGHKDFVDVLLDVNETDGEAGVRLDPIEIKAIILDMFAAGTDTTSTAIEWAIAELITHPGSMRKLQDEIRATVGAGAGGVTEDHLDKLRYLDAVLKETLRLHPPAPLLVPRETPSDAEILGYHVPARTRVIVNAWAIGHDPATWERAEEFVPERFLLDKAAVDFRGQDFGLVPFGSGRRGCPGVEFAVPTVKMALASLLYHFDWAPAGGRPLDMRETNGIAVRLKSGLPLVAKPRLP* >Brasy1G142500.1.p pacid=40057781 transcript=Brasy1G142500.1 locus=Brasy1G142500 ID=Brasy1G142500.1.v1.1 annot-version=v1.1 MQAQSRLSSAASAADEQYYEAVVRELRRGHELTAQLQAEATRALRGQGQAEATAAFILREVSRAFTVCISIMGGDAPAPPTPPAGAVAVAAGAARRPRDDGAPRKTIETTSPNDDGYLWRKYGQKKIMKTRFPRCYYRCSHHREHGCPATKQVQEQQQQQQQHGDGADHPKTYLVIYVHEHTCRAPPSAEPAEAAAGATVDPLGRFVVRDDDVAVLDGRGAQEELERQVLVSSLACVLQGRHADSTTWGGAPAAAGGSSSQLGRISGDAGPSASLDALEAAGAMPPLTSSLGAEEEEEELDVMDYDVPDDDTALCFGGPAYGMRDDDMLP* >Brasy1G176400.1.p pacid=40057782 transcript=Brasy1G176400.1 locus=Brasy1G176400 ID=Brasy1G176400.1.v1.1 annot-version=v1.1 MSVPGKTSWPELVGSPAEPAVATIHVERPDLSIVLLPVGTAASPPGFHSTRVCVFYDSHDKHYRVGAIPAIG* >Brasy1G488100.1.p pacid=40057783 transcript=Brasy1G488100.1 locus=Brasy1G488100 ID=Brasy1G488100.1.v1.1 annot-version=v1.1 QSECNNADAKEADLRRSLRLERLGRVDDRVEDRAIHNARVKNLEIPKGNAEIKSVLASSHELLASLASKLNVNLGHNSDKIDASLDIIKSLEQSRLDLYLQASSGSGPTEVPEILTEQPYAVTEQFQELVESLDELSIDGEEEELSPRVFSNSARKKKKGTSPECFSGGAQFVWNWVPAEGRSGGILFGVRESEFDVIDVRKGEYILKVEVFHKMSQLMWSFLAVYGDAQIDGKEAFLLELVSFCRACVGPYFERNKPGGLGRWSNLFNAVIESLDLHDLALGNRMFTWSNDHEDPLFQKLDRCLMNVEWGALFPLVSVSALVRGLSDHTPLLVDTGNGVTRGRS* >Brasy1G512300.1.p pacid=40057784 transcript=Brasy1G512300.1 locus=Brasy1G512300 ID=Brasy1G512300.1.v1.1 annot-version=v1.1 MGEAAAGAEMYTQDGTVDLKGNPALKENTGNWRACPYILANECCERLAYYGMSTNLVNFMKDRLGMENALAANSVTNWSGTCYITPLIGAFLADAYLGRFWTIASFMIIYILGLGLLTTATSVHGLVPSCTGGTCSPTSGQTAAVFVALYLIALGTGGIKPCVSSFGADQFDEHDPGERRSKSSFFNWFYFSINIGALVASSVLVYVQNHVGWSWGFGIPAVVMAVAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAACRKWNVPVPLNGDGSPALHETADKESGIEGSRKLEHTPQFAFLDRAAIETDSDKKSASSSPAPASSSWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMGTLFVLQGNTLDASMGPHFTIPSASLSIFDTLSVIAWVPVYDKFLVPLARSVTGKPRGFTQLQRMGIGLVISIFAMLAAGILELVRLRSIAAHGLYGKKDIIPVSIFWQVPQYFVIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGSYLSTLLVTVVSKATTKGGNVGWIPDNLNVGHLDYFFWLLAALSVANFAVYLVIASWYTYKKTAEDGPAPAEDKLKGEDGRQHEQ* >Brasy1G512300.3.p pacid=40057785 transcript=Brasy1G512300.3 locus=Brasy1G512300 ID=Brasy1G512300.3.v1.1 annot-version=v1.1 MGEAAAGAEMYTQDGTVDLKGNPALKENTGNWRACPYILANECCERLAYYGMSTNLVNFMKDRLGMENALAANSVTNWSGTCYITPLIGAFLADAYLGRFWTIASFMIIYILGLGLLTTATSVHGLVPSCTGGTCSPTSGQTAAVFVALYLIALGTGGIKPCVSSFGADQFDEHDPGERRSKSSFFNWFYFSINIGALVASSVLVYVQNHVGWSWGFGIPAVVMAVAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAACRKWNVPVPLNGDGSPALHETADKESGIEGSRKLEHTPQFAFLDRAAIETDSDKKSASSSPAPASSSWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMGTLFVLQGNTLDASMGPHFTIPSASLSIFDTLSVIAWVPVYDKFLVPLARSVTGKPRGFTQLQRMGIGLVISIFAMLAAGILELVRLRSIAAHGLYGKKDIIPVSIFWQVPQYFVIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGSYLSTLLVTVVSKATTKGGNVGWIPDNLNVGHLDYFFWLLAALSVANFAVYLVIASWYTYKKTAEDGPAPAEDKLKGEDGRQHEQ* >Brasy1G512300.2.p pacid=40057786 transcript=Brasy1G512300.2 locus=Brasy1G512300 ID=Brasy1G512300.2.v1.1 annot-version=v1.1 MGEAAAGAEMYTQDGTVDLKGNPALKENTGNWRACPYILANECCERLAYYGMSTNLVNFMKDRLGMENALAANSVTNWSGTCYITPLIGAFLADAYLGRFWTIASFMIIYILGLGLLTTATSVHGLVPSCTGGTCSPTSGQTAAVFVALYLIALGTGGIKPCVSSFGADQFDEHDPGERRSKSSFFNWFYFSINIGALVASSVLVYVQNHVGWSWGFGIPAVVMAVAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAACRKWNVPVPLNGDGSPALHETADKESGIEGSRKLEHTPQFAFLDRAAIETDSDKKSASSSPAPASSSWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMGTLFVLQGNTLDASMGPHFTIPSASLSIFDTLSVIAWVPVYDKFLVPLARSVTGKPRGFTQLQRMGIGLVISIFAMLAAGILELVRLRSIAAHGLYGKKDIIPVSIFWQVPQYFVIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGSYLSTLLVTVVSKATTKGGNVGWIPDNLNVGHLDYFFWLLAALSVANFAVYLVIASWYTYKKTAEDGPAPAEDKLKGEDGRQHEQ* >Brasy1G141100.1.p pacid=40057787 transcript=Brasy1G141100.1 locus=Brasy1G141100 ID=Brasy1G141100.1.v1.1 annot-version=v1.1 MGRHKFRLSDMIPNAWFFKLRDMRGAAPARAGAGAGQAGSPGGASRARPPTPSTPHRASYYYTPRAGDLPLVGFSSPLQHHPRASDTQFPPLPLSPPRRSRSSTKRRQHRRRSVKLAAPPSASGSSVMSSPVSTGCRRCRRKPELVAVEAPVTPPPCRRVKFVGYNDDDSDSVEIPKTKPTVAILPEDDELQGKLITSATDIIIDLRTNKKTRPEPDTDNKLLLPPIVTKPARRKTPDDPEDKHVDILAHAPVPEQSKVIKPRRSVSSSARRLKTRANTPRVASPSKKSSSRPPPPATTDAKPGPLPLAESFAVVKSSRDPRGDFRESMEEMISENGICTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWASLADIKM* >Brasy1G498700.1.p pacid=40057788 transcript=Brasy1G498700.1 locus=Brasy1G498700 ID=Brasy1G498700.1.v1.1 annot-version=v1.1 MGAHPYAPADLDLPGYVPLRLSQAEILVAYIATSLFVLLAVWLVSGRCRRLSKADRLLMCWWAFTGLTHILIEGPFVFTPDFFTKHNPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVSPFYFWAYFIGANSSWVVIPTLIAARSWKKICAAIHQSGKVKTK* >Brasy1G042100.1.p pacid=40057789 transcript=Brasy1G042100.1 locus=Brasy1G042100 ID=Brasy1G042100.1.v1.1 annot-version=v1.1 MLRDRGYSVPEPELARTLPEFRAWWAEKPEMRRLSFSATFASDHSNKVQFVFCPPKPVNIATIREVYSRIEEENLSRLILILQGNITSQARDCIKEIFPFKVDIFQVTELLVNISKHELKPKHEVLTAEEKAELLKKYNLEDSRLPRMLENDAVARYYGLGKGTIVKITYNGELTGHHVTYRCIF* >Brasy1G298700.1.p pacid=40057790 transcript=Brasy1G298700.1 locus=Brasy1G298700 ID=Brasy1G298700.1.v1.1 annot-version=v1.1 MKRLSDATELEPREKRHCLVLERRRTARHSPPSPLSKPRHNSSSSRYRGRDRDSYFGRDIVEKDRVEKTDQAERARNKELEAIKEQYLGYASKNNKKPKTMATTKLRDRFRFDWESSEDTSRDDTNALYDYQSHASALLLYGRGFLGGIDRREQKKAAAAALQKKEAASYDDDDDMRVDRRRHWTAKELSEMTERDWRILREDLDISYKGSRSGVPPRPIRSWEESALGPPFSAPSAGYEKPTPIQMAAVPLGLQQRDVIGVAETGSGKTAAFVLPMLAYIMSRMPPPMIREADDHDEQGPYAVILAPTRELAQQIERETVKLAAACPGIKVVSVGGGQSIEEQGFRIRQGCEVKDKSRYLVLNRCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENDAEELDEKKVYRTTYMFSATMPAAVERLARKYLRNPVVVTVGSAGKATDLVTQNVIMVKDSEKMPRLQRFLTDLGDKTAIVFCNTKRSADSCAKDLDKAGFRVATLHGGKSQDQREASLDGFRNRRFHVLVATDIAGRGIDVPDVAHVINYEMPGAVDTYTHRIGRTGRAGKKGLATSFLTLRDSEIFFDLKQMLVQSNSPVPPELARHEASKFKPGYVHDRPPRRNDTDYASR* >Brasy1G388600.1.p pacid=40057791 transcript=Brasy1G388600.1 locus=Brasy1G388600 ID=Brasy1G388600.1.v1.1 annot-version=v1.1 MIKTVIGFFCSVNMGANCCIAAKQRPQPCATPVEVSSYRNIRQSPSWSFRWDNRTHIEDIMEIPTNFSNHSSGSIRPETKSGSIAPTEGFSNGGSPSDLFHKVKWHKSEKKMGTSKIAQSDPRADRSTASNSSPEAKLCRKSLDMVSVASDTKTSISVPSTPPIVSRADPSSSTGHSLPMDPDSMRKARRSPGYQLYRQVSDSKIPSLRSLNESSSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSRRERWSVDSELLGSVSSKMTRSSASNPTTLPPDQEVCKLCLKLLKERTAWNAQDLGVVAVLLCGHVYHANCLDGVTAEAEKYDPPCPVCTHGEQHTVKLFGKLESKIKNKMPQMIVDGDLEGSSKLLPKSRREPKLGASSSMKGPFSRPFLKRHFSIGSRPPRVVSESESTGKKGFWARHWRE* >Brasy1G251900.1.p pacid=40057792 transcript=Brasy1G251900.1 locus=Brasy1G251900 ID=Brasy1G251900.1.v1.1 annot-version=v1.1 MKTFLILSLLTIDTNPAMKTFLILSLLTIAVATTATGQLELTKCEEFLLQRCTPATSYQGSCQALEDRCCQQLAVVVPWQCGAIADAVHRVIKRQLEQKQQPWDGQQLTQTLKTICNVECE* >Brasy1G358900.1.p pacid=40057793 transcript=Brasy1G358900.1 locus=Brasy1G358900 ID=Brasy1G358900.1.v1.1 annot-version=v1.1 MTKTQACGAGSCYLATKTKRDMAMGIMSLLWPLVAALVTAMVSASDEAALLAFKAQVSDGGSLASWNSSVDFCSWEGVACGHRRPGRVVALGLDRRALTGVLSPSIGNLTFLRTLNLSFNWLHREIPASLGRLQTLDLSDNSFSGMLPANLSSCISMLIMALHNNKLDGRIPAELGGKLVSLEAISLSNNSFTGPIPASLANLSYLEYLDLSINQLVGTIPPELGSVKRMQIFSLYSNNLSGILPPTLYNLSLMEKFQVGGNMLHGMIPADIGDKFPRIQILALSENQFTGTIPSSIPNMSHLTNLYLGINRFSGHVPPTLGSLGALQDLILSENRLEANENKGWEFITSLANCSKLLRLSLGSNCFEGRLPTSVTNLSMTLQWLYLVDMRVSGSIPADIGNLVGLTLLAIANTSISGVIPESIGKLQNLIELDLYNNSLSGLIPSSLGNLSRLNTLSAYCGNLEGPIPASLGELKNLFVLDLSKNYRVNGSIPREIFKLPGLSWYLDLSYNSLSGPLPYEVGGLANLNQLILSGNQLSGKIPDSIQNCVVLEWLLLDDNSFEGSIPQSLKNMKGLSKLNLTMNKFSGDIPDTLGSIRNLQELYLADNNLSGSIPAVLQNLTSLSKLDVSFNNLQGEVPGGGVFRNITYIAVAGNINLCGGTPQLHLAPCSTSPLSENRKRMTKPLIITLATAGVIMLSLSVILVLSVLHKKLKERQKTIVEKSVVEDHYERISYDALLRGTDGFSEVNLIGRGSYGAVYKCVLDTDERTLAVKVFNLGQSRSSKSFEVECEAMRRIRHRCLVKIVTCCSSINHEGQEFKALVFEFMPNGNLDGWLHPTSQHLTTSNTLSLTQRLDIAVDIVDAVEYLHNHCQPLIIHCDLKPSNILLAEDMTARVGDFGISKIVQENTSKRLQDSYSSIGIRGSIGYIAPEYGEGSTVSTLGDMYSLGILLLEMFTGRSPTDDTFRDSLHLHKFTENALPDRTLEIADPTIWLHREPQDNITGGRFQECLVSVFRLAISCSKQQPQERILIRDATVEMHAIRDAYLLFAGAEGEASAQDIRNRNK* >Brasy1G358900.2.p pacid=40057794 transcript=Brasy1G358900.2 locus=Brasy1G358900 ID=Brasy1G358900.2.v1.1 annot-version=v1.1 MLHGMIPADIGDKFPRIQILALSENQFTGTIPSSIPNMSHLTNLYLGINRFSGHVPPTLGSLGALQDLILSENRLEANENKGWEFITSLANCSKLLRLSLGSNCFEGRLPTSVTNLSMTLQWLYLVDMRVSGSIPADIGNLVGLTLLAIANTSISGVIPESIGKLQNLIELDLYNNSLSGLIPSSLGNLSRLNTLSAYCGNLEGPIPASLGELKNLFVLDLSKNYRVNGSIPREIFKLPGLSWYLDLSYNSLSGPLPYEVGGLANLNQLILSGNQLSGKIPDSIQNCVVLEWLLLDDNSFEGSIPQSLKNMKGLSKLNLTMNKFSGDIPDTLGSIRNLQELYLADNNLSGSIPAVLQNLTSLSKLDVSFNNLQGEVPGGGVFRNITYIAVAGNINLCGGTPQLHLAPCSTSPLSENRKRMTKPLIITLATAGVIMLSLSVILVLSVLHKKLKERQKTIVEKSVVEDHYERISYDALLRGTDGFSEVNLIGRGSYGAVYKCVLDTDERTLAVKVFNLGQSRSSKSFEVECEAMRRIRHRCLVKIVTCCSSINHEGQEFKALVFEFMPNGNLDGWLHPTSQHLTTSNTLSLTQRLDIAVDIVDAVEYLHNHCQPLIIHCDLKPSNILLAEDMTARVGDFGISKIVQENTSKRLQDSYSSIGIRGSIGYIAPEYGEGSTVSTLGDMYSLGILLLEMFTGRSPTDDTFRDSLHLHKFTENALPDRTLEIADPTIWLHREPQDNITGGRFQECLVSVFRLAISCSKQQPQERILIRDATVEMHAIRDAYLLFAGAEGEASAQDIRNRNK* >Brasy1G414100.1.p pacid=40057795 transcript=Brasy1G414100.1 locus=Brasy1G414100 ID=Brasy1G414100.1.v1.1 annot-version=v1.1 RHYVKDPTKVPTLIYWIKHLNLEQTPCLQDQQHIKMMCQQQLLNCNEGCEDLRLEDLVLQKMLNLSLVVGNADRQWF* >Brasy1G488300.1.p pacid=40057796 transcript=Brasy1G488300.1 locus=Brasy1G488300 ID=Brasy1G488300.1.v1.1 annot-version=v1.1 MATAHLSPSLSLAISSSRRLICNHSPPQPVSAQPGRAVLLSSPLSLPSRLTAAGGGVWRVAAAVEPQTVKQEEELRGRTEGSGEAGLEGAAEASSKLVLVVGGTGGVGQLVVASLLSRKIKTRLLLRSPEKAVSLFGKQDENVMQVCEADTRNPDAFNPEMFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGVRNFVSVVPRTIKRLVLVSSTRGTWRGSRAGSPRPTRIRRCSPSRARCRFLPSPPFAGGTLVPAARRFPSVFASPGAV* >Brasy1G563400.1.p pacid=40057797 transcript=Brasy1G563400.1 locus=Brasy1G563400 ID=Brasy1G563400.1.v1.1 annot-version=v1.1 MPPAPPCSCGSLMPPAQLRRPHVASPAWLNGRLSLRRLVLAPEMCSSSAVPPSPAMEDASFASPPHYLKELCGRKNMVEFVVQEEDLKSDEALWALYERWCKAFNQKRDHDEMVCRFNEFKDTVLRRHRNHLQTKPSLPFKLSRYADGKLWKMRANRDGYEAMLAKKAGNSSVLWKLDGSFLRQVVFAEFRVVDGKLFVFTPAGERVELNTKYEVVAGKLFADLPEENELVIPNDEFILRQYCMNMH* >Brasy1G526800.1.p pacid=40057798 transcript=Brasy1G526800.1 locus=Brasy1G526800 ID=Brasy1G526800.1.v1.1 annot-version=v1.1 MAATRRSDFFYGAGGIALAAGGDEEEEDGRRRFAPARPAVVTQQLFPMTTQQQQAAEQCVMAGAAGGAGGQWARPASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVDADINFVLDDYKEEIKKMSSFSKEEFVHVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKFVYLGLYDTEMDAAKAYDKAAISCAGEDAVTNFEPEAACDDGGGGEPDLELCLGYSGSGGTTAHAAAAPPPASHRRRTSLTLETPEEEEEKTARACYYPGSGNNRSIWTRPSSTPNSATGEHRQPYPAAAGAAAAAASSGFPPELGAAAGVQQLNHHRSGYDGRQPWQ* >Brasy1G526800.2.p pacid=40057799 transcript=Brasy1G526800.2 locus=Brasy1G526800 ID=Brasy1G526800.2.v1.1 annot-version=v1.1 MAATRRSDFFYGAGGIALAAGGDEEEEDGRRRFAPARPAVVTQQLFPMTTQQQQAAEQCVMAGAAGGAGGQWARPASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVDADINFVLDDYKEEIKKMSSFSKEEFVHVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKFVYLGLYDTEMDAAKAYDKAAISCAGEDAVTNFEPEALETPEEEEEKTARACYYPGSGNNRSIWTRPSSTPNSATGEHRQPYPAAAGAAAAAASSGFPPELGAAAGVQQLNHHRSGYDGRQPWQ* >Brasy1G426400.1.p pacid=40057800 transcript=Brasy1G426400.1 locus=Brasy1G426400 ID=Brasy1G426400.1.v1.1 annot-version=v1.1 MGSGDWFKTIISKKKSKRGKSKHAKLAAQTNRANLPQQKPNGPSSSSDPEDNAALEEWAATRIQNAFRRYKARRKLRCLKGLKRLRIVGQSNPVTKQTSATLSYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQIKLDAKLQNLQVEWNGGSNTMDEILARIHLREEAAVKRERAMAYAFNHQWRARSATSQGNFNYEVGNAGWGWSWMDRWIAARPWEPRSMVHPENLKKGHAKKDSVSTNQSALKLQGAITLSNTNDRKVPKKKPSPSPDKKPSPSPDKKPSPSPGKKKPTAKAAGPPKAKSRDMKGSQEKQQQKQQQPEVPPITA* >Brasy1G426400.2.p pacid=40057801 transcript=Brasy1G426400.2 locus=Brasy1G426400 ID=Brasy1G426400.2.v1.1 annot-version=v1.1 MGSGDWFKTIISKKKSKRGKSKHAKLAAQTNRANLPQQKPNGPSSSSDPEDNAALEEWAATRIQNAFRRYKARRKLRCLKGLKRLRIVGQSNPVTKQTSATLSYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQIKLDAKLQNLQVEWNGGSNTMDEILARIHLREEAAVKRERAMAYAFNHQWRARSATSQGNFNYEVGNAGWGWSWMDRWIAARPWEPRSMVHPENLKKGHAKKDSVSTNQSALKLQGAITLSNTNDRKVPKKKPSPSPDKKPSPSPDKKPSPSPGKKKPTAKAAGPPKAKSRDMKGSQEKQQQKQQQPEVPPITA* >Brasy1G496400.1.p pacid=40057802 transcript=Brasy1G496400.1 locus=Brasy1G496400 ID=Brasy1G496400.1.v1.1 annot-version=v1.1 MAACLSPTLPRHPIHHRCCWTPKPLSSSTTSYHQRPSHRIIGTSSAAISARASPAGVVARSLDADDIRHPLDKQNTLLLKAIPGLNDIGKALLGPVSEQVMVLQNIGTSVLVSKNQLPELHQLMIEAAKTLNTEAPEFYIRQNPVPNAYTLAINGKKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFLEEQLFRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREVDEWSRSQEYRALLKKNLPQ* >Brasy1G238700.1.p pacid=40057803 transcript=Brasy1G238700.1 locus=Brasy1G238700 ID=Brasy1G238700.1.v1.1 annot-version=v1.1 MGGGGGGLREADGDDEGSGKEEALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQIKEKQKYKGKSRGSTERNTKVANDSKLTKKDTSVDNTTVDVQHTASTTEIQVDLAPSLPLRNKRKLHWGLDVKERWERKANM* >Brasy1G345800.1.p pacid=40057804 transcript=Brasy1G345800.1 locus=Brasy1G345800 ID=Brasy1G345800.1.v1.1 annot-version=v1.1 MGCFSCCGADPVGKRKKRDDPHVPAPAPGVNHGYSRGPAPTPATRTGKTRPIEVPAIPLDEMKEITKNFSNDALIGEGSYARVFFGVLKDGQKSAVKKLDSSKQPDQEFLSQVSAVSRLKHDNVVQLMGYCAVGSTRLLAYEYATRGSLHDILHGKKGVKGAQPGPVLSWMQRARIAVNAARGLEFLHEKAEPRVVHRDIKSSNILLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLGSDYPPKAVAKMAAVAALCVQYEAEFRPNMSIVVKALAPLLHTRSRPAASASASTAV* >Brasy1G345800.2.p pacid=40057805 transcript=Brasy1G345800.2 locus=Brasy1G345800 ID=Brasy1G345800.2.v1.1 annot-version=v1.1 MGCFSCCGADPVGKRKKRDDPHVPAPAPGVNHGYSRGPAPTPATRTGKTRPIEVPAIPLDEMKEITKNFSNDALIGEGSYARVFFGVLKDGQKSAVKKLDSSKQPDQEFLSQVSAVSRLKHDNVVQLMGYCAVGSTRLLAYEYATRGSLHDILHGKKGVKGAQPGPVLSWMQRARIAVNAARGLEFLHEKAEPRVVHRDIKSSNILLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLGSDYPPKAVAKMAAVAALCVQYEAEFRPNMSIVVKALAPLLHTRSRPAASASASTAV* >Brasy1G031000.1.p pacid=40057806 transcript=Brasy1G031000.1 locus=Brasy1G031000 ID=Brasy1G031000.1.v1.1 annot-version=v1.1 MSSPSPVKVIGASDSPYSHRAEAALRLKGVPYELLLEDLGNKSDLLLAHNPVHKKVPVLLHGADDNPICESLVIVEYVDEAFPGGPPILPADPQARATARFWARFIDDKCSKPFWLALWLEGEAREGFEKEFKENLALLEAELGGKKFFGGETVGLVDIAACVFAHWLGVFEEAAGVRLVADDEFPRLRRWAADYAADEKVGACLPDRQQLVKNFTAKKAMFAASAKYMLPK* >Brasy1G031000.2.p pacid=40057807 transcript=Brasy1G031000.2 locus=Brasy1G031000 ID=Brasy1G031000.2.v1.1 annot-version=v1.1 MSSPSPVKVIGASDSPYSHRAEAALRLKGVPYELLLEDLGNKSDLLLAHNPVHKKVPVLLHGADDNPICESLVIVEYVDEAFPGGPPILPADPQARATARFWARFIDDKCSKPFWLALWLEGEAREGFEKEFKENLALLEAELGGKKFFGGETVGLVDIAACVFAHWLGVFEEAAGVRLVADDEFPRLRRWAADYAADEKVGACLPDRQQLVKNFTAKKAMFAASAKYMLPK* >Brasy1G246200.1.p pacid=40057808 transcript=Brasy1G246200.1 locus=Brasy1G246200 ID=Brasy1G246200.1.v1.1 annot-version=v1.1 MAATQEELLGVTESALLPPSVLLDLPPTTDGDSKQPQDDLSLEHISRMLMEDDIVDKFTYQYPDHPKLLQAEQPFAQILSAAATSSFDAKDSSAPDALASALLPSKVHDSGFFSNGTGTVEPKSTVFPIESSTSMNVLNNMSSMAFFKGMEEAKMFLPRNNVMVDSRGCKNKFEIDGEREPAMGRSSKQIVALVHTDSEEEEDELKKRLDRLILKGYDRYPGEMQEVLITSDKENKAARKSIRMRGRRGAMQAVVTHLDTLLIHCAEAVASNDLRSASEVLQQIKRYSSPTGDARQRLAYYFSQGLEARLAGTGSQFYRSLIRTRTSTVELVKAYHLYDATFCFLKVAFLFSNNTIYKAVAGRKKLHIVHYGINLGLQWPDLIQWLADREDGPPVVRMTSINMPQPGFSPNYASKFGVSIKFQAIAGKPEAVRAEDLHIDPDEVLVVNSLFQFRTLMDESLKFDRLSPRDMVLGTIRKMKPSVAAFFMTRFRQALYSFTALFDMMETMVPRDNKQRLQVEQDFFARSAMNMIACEGADRVERPQYYKEWQMRNHRAGLRQLPLDSDIVLMLKDKVKNQNHKHLLMNKEHQWLLQGWKGRVLYALSTWVADDASSSNMT* >Brasy1G029800.1.p pacid=40057809 transcript=Brasy1G029800.1 locus=Brasy1G029800 ID=Brasy1G029800.1.v1.1 annot-version=v1.1 MGTSFVRLKFHVRAGRGRQAAWTNESAGGVAGGISGGTCSARGDGVAAAPVPEEPAAARKGRGCGGAWGPRAGDARPAKRRGRRIPAAAAPAAFGRSADGALLRLRAGAAREEQADAARPSTTASSPSRPTASPTSSSPDPARSIAQPTGSGEFRRAASVALRAANSARLMKARSFSSVSSMAPPHPPDEVLSLLSPLVVFPHPWCSSR* >Brasy1G527100.1.p pacid=40057810 transcript=Brasy1G527100.1 locus=Brasy1G527100 ID=Brasy1G527100.1.v1.1 annot-version=v1.1 MGQPTANRESTEPISSLVHGGGAGGRLPGPAMEGGRRRGCPPPKIFGTQAGASNRNRFAGASRATRRRSNRIAGS* >Brasy1G177500.1.p pacid=40057811 transcript=Brasy1G177500.1 locus=Brasy1G177500 ID=Brasy1G177500.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSAFCLPHPAHLFFFVCLISLASPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSLPGLLSPFFFPTAGAWPRQGKRAAVGRGAAARRGLRWREALRLGEGRRGEELRRLWTAASGRGAAAAGRGARGSRWRARRRALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARRRRAAGGQLRRLPVRAAAAPASSGSARRGEEHGAVAAAQSRRRGSSGSVGQGGEAATASGGVKQQPDFFCFF* >Brasy1G236400.1.p pacid=40057812 transcript=Brasy1G236400.1 locus=Brasy1G236400 ID=Brasy1G236400.1.v1.1 annot-version=v1.1 MDAVPLLFLFLLVLPAHYCLPAPRQSYHLDIARVDASDTESLNLTDHELLRRAIQRSRDRLASIAPRPLLPASSRNKVVVAEAPVLSAGGEYLVKLGLGTPQHCFTAAIDTASDLIWTQCQPCVKCYKQLDPVFNPVASTSYAVVPCNSDTCDELDTHRCARDDGDDEDACQYTYSYGGNATTRGTLAVDRLAIGDDVFRGVVFGCSSSSVGGPPPQVSGVVGLGRGALSLVSQLSVRRFMYCLPPPVSRSAGRLVLGADAAAAVRNASERVVVPMSTSSRYPSYYYLNLDGISIGDRAMSLRSRNRINATTPGPAAGAPASPVSGSGDGDGSGTGPDAYGMIIDIASTITFLEESLYEEMVDDLEEEIRLPRGSGSDLGLDLCFILPEGVPMSRVYAPPVSLAFEGEWLRLDKEQMFVEDRASGMMCLMVGKTDGVSILGNYQQQNMQVMYNLRRGRITFVKTACESVR* >Brasy1G501600.1.p pacid=40057813 transcript=Brasy1G501600.1 locus=Brasy1G501600 ID=Brasy1G501600.1.v1.1 annot-version=v1.1 MRMRKFTGITCACAIMPAKRDYMPLSRMPPIADQVVIDTSGTLMKWITNKKFAKGRSRFLCLY* >Brasy1G130300.1.p pacid=40057814 transcript=Brasy1G130300.1 locus=Brasy1G130300 ID=Brasy1G130300.1.v1.1 annot-version=v1.1 MTLTREEAQESKEMDQQSLRVHADALLSLSSPAAAKPPSTTTNTTPAGRRALAAEGVFECKTCSKRFASFQALGGHRTSHTRLQARMLLQQQEQQDQDREHERDRARVHECAVCGLEFSMGQALGGHMRRHRGEPAMPTAAPAGADASSGETQQLEVVMPDLNYPPMMEDCGGDEQDSSAGDQRSEHPLLDLLV* >Brasy1G558600.1.p pacid=40057815 transcript=Brasy1G558600.1 locus=Brasy1G558600 ID=Brasy1G558600.1.v1.1 annot-version=v1.1 MNKQRRELRPRLRRWLVVVLAVVLGSCMATTAAADVPLSELEAYRSERSGLVALRDGLRSARDLHSNWTGPPCHGDRSRWYGVSCDADGRVVALALPGAQLTGALPRDALSGVTRLAALSLRDNAIHGALPGLQGLPALRVLDLSSNRFSGPIPRRYAEQLPELARLQLQDNLLTGTVPAFEQGGLLAFNVSYNFLRGEVPDTPALRRFPVSAFAHNLELCGETLLNAPCGASSDHASAFGSGGGRRDGGPAVRPDKDKDGGGGQFSRPRFRLAAWSVVVIALIAAAVPFAAVLIFLHQTRKSRPEVRLGGRRDTPAGGGGGGGGGAAAETGTIKDKKAAVEQGKDSSSGSGGRSAKAAQLQFFRAEDGDNKAGCLGFDLDELFRSTAEMLGKGRLGITYRVTLAAPTGAVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHDNVVGVVACYHSREEKLVVYDHVPGCSLFQLLHGNRGEGTQGRTPLTWQARLSIAKGMARGLAYLHRSLPFFHRPPHGNLKSSNIIVLFSSSPNGKHHGHVVPKLTDHGYHPLLLPHHAHRLAAGKCPEASTSARGKRLSSRADVYCLGLVLLEVVTGKVPVDEADGDLAEWARLALSHEWSTDILDAEIAGERGLHGDMLRLTEVALLCAAVEPDRRPKMPDVVRMIDAIGGAGDGEEGRRELAVR* >Brasy1G550200.1.p pacid=40057816 transcript=Brasy1G550200.1 locus=Brasy1G550200 ID=Brasy1G550200.1.v1.1 annot-version=v1.1 MKLPYCPSLLSPKPELSLPLFFSSSHEEPKLCSRRALLPLLPPCGRAAPAAAVAPGRCLPCSVARKTASLCRPAAAAVPPPRSSRPGTNGDRRGKVRPWTLAFPQQPTVKDSPSRWTIAYLQPWTPACPGCLTGWTVSLASNQG* >Brasy1G050300.1.p pacid=40057817 transcript=Brasy1G050300.1 locus=Brasy1G050300 ID=Brasy1G050300.1.v1.1 annot-version=v1.1 MAEPAGAGATTPILAGLPDDIAIWEILIRLPPKPLLRCRAVCHAWRRATSAREFLLAHHGRQRCLPLLCGDGFRSGHSGSLDCLDIVTFDHQAASAQLQPVARLDDICLDVEASSSSATIPISRAYPSATRQLVRRNRTGYVFALGSCETPRQIASPEVEELRSNESVLVHGSLHWYHETLESQTVLLFDTTAESFRRMCVPVVLDFTHLFEMDGTLGMSSVNDESTIVWTFRCRVKLPVAEIRVQFGKYECLWDWHVEVMPHGELFLLVKNGDWLHKVDIDSKLVDSFHRKRLCLTEFRLKQTLVQHAFFPTLEGYVVNALPFI* >Brasy1G272300.1.p pacid=40057818 transcript=Brasy1G272300.1 locus=Brasy1G272300 ID=Brasy1G272300.1.v1.1 annot-version=v1.1 MMRRPLLWRNRYTAAPSGHSTTPVTRNATNPSVGPTTLHRHGLLLVPHCTHAGTNGIAAACSACLTLFRRIFWWMDAQGIHCSDSQMFSNCLVCGIYILLRRFEPGDAWRDVLVTGFARSCK* >Brasy1G320400.1.p pacid=40057819 transcript=Brasy1G320400.1 locus=Brasy1G320400 ID=Brasy1G320400.1.v1.1 annot-version=v1.1 MGEKAVWDEANVKHFVDICKEEVLAGNRPTTYLNNKGWKNLEDKFAAKTGKKLTKTQFKNKWDNMKQSYTWFMELKNAATGLGWIDATNIVDASKEWWDEHLRKCNNPEKGIKCNHVRFRKRGPNQLHDLDILFSNAHVTGVTAACLGDLSSDDSGDDYIMEVEKDDDIDSPKLPSVKKGKETKKRKIEQDKEEKSPFLRLYKTTCAQIGDASKKISDSVSSSSGPSSVNQIPTIAEVMKLVKECGVKEKTALMHTATFLIVKPEFREIFTLLETKEGRLDLLEREHEKQSRHM* >Brasy1G451400.1.p pacid=40057820 transcript=Brasy1G451400.1 locus=Brasy1G451400 ID=Brasy1G451400.1.v1.1 annot-version=v1.1 MSALRSAGRQQLTASTIGTRQATGSHVLRINEYSRIREKVASGKAITSSKFAVGGHNWRIRMYPNGRNKNLKGRISLYLCHASGAETGDATAESKFSILDQAGKPCLSYKVAQHNFSCSEATRGCAEFVKIRDLDEEQHLKDDCLNVLCDVTVDIGMQAEDYVEVEAVPAPVMAPPPFDVSGRYAGTIWNKHEADVKVEVGGETFAAHRWALERSPVFKELIASGTGELRIDDMDADVCKALLRFMYIGSPPATEQLEASMMAERLLVAADTHKLERLKLICEEALCRNIDLSSVAAALALAERHGCSLLKDAGIRFLSAPGNLKAVMETDGFEKLKTGCPSSLMELMVNQMP* >Brasy1G227600.1.p pacid=40057821 transcript=Brasy1G227600.1 locus=Brasy1G227600 ID=Brasy1G227600.1.v1.1 annot-version=v1.1 MGIEAELLICYGPWTLEENGIKASAPPENLAFPDGGAVYLSVSSVPETTTLANKGPFGPYEVEVGGRDLVWDDKLGGAATSGASAVRGVGATAGSATGGGQRPIGCMTWSGSEQQSVELAGTGHRSRLQAGGAGQLRSWEPLGQGSGWQPESRMKARMEAALGSGRKALPAARPPPDTAAARASPARRRCSAPLPALCPCARGPCAALPCRDRSGTGAQPLLLRRRCRLLLRRARRCRSPRQAALHPLLLLFPNLSIQHVGVQKMVDGALPDRLGRLLTLHPLRLKEQEDVIRAWR* >Brasy1G227600.2.p pacid=40057822 transcript=Brasy1G227600.2 locus=Brasy1G227600 ID=Brasy1G227600.2.v1.1 annot-version=v1.1 MGIEAELLICYGPWTLEENGIKASAPPENLAFPDGGAVYLSVSSVPETTTLANKGPFGPYEVEVGGRDLVWDDKLGGAATSGASAVRGVGATAGSATGGGQRPIGCMTWSGSEQQSVELAGTGHRSRLQAGGAGQLRSWEPLGQGSGWQPESRMKARMEAALGSGRKALPAARPPPDTAAARASPARRRCSAPLPALCPCARGPCAALPCRDRSGTGAQPLLLRRRCRLLLRRARRCRSPRQAALHPLLLLFPNLSIQRPEPGLQLPGRRSTCRTPHAARTACWSTENGGWCST* >Brasy1G376300.1.p pacid=40057823 transcript=Brasy1G376300.1 locus=Brasy1G376300 ID=Brasy1G376300.1.v1.1 annot-version=v1.1 MASAQSSREERAQAAAQKAADELAAARHEQPISPGRRTGGIFGTVQESARSLLGAVRETFSGSGGATTAAHGHDAGAMGAAGDKLGEGRDYAARTAEEGKEKAKGTADAAMGKAAETKDAAADKAEAAMGKAAETKDAAADKARETADAAADKAAETKDAEAEKARETKDAAMGKAAETKDAAAEKARAAGETVAETAKSAKDAAWDKAEGAKEYVVEMKEDARQALAGSAKERKGETNESARQQGQDVRRRAAEKAQEMRQRAHEPPEEERSKSATENILGSAQGLTEAFKEKMTMPTDVVEHKLAEARGGAGAGEGGRKGMAPTAHDEDDDVMTRVKAADQMTGTAFNDVGKMGEEGTGMKTALRAGADEEDVMLRVKAADHMTGQAFNDVGKMGRRQDGRGGHGDAVGVKDARRGEGRLRS* >Brasy1G086900.1.p pacid=40057824 transcript=Brasy1G086900.1 locus=Brasy1G086900 ID=Brasy1G086900.1.v1.1 annot-version=v1.1 MGAMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPNITEYLEQRCYKELRHENFTLAKVVPCIYRKLLRSCKEHTPLLATSTMSIVRTLLDQKSNDDLQVLGCLMLVDFLNGQVDSTHMFSLEGLIPKLCRIGQESREDDKGLRLRSAALQALACMVEYMGEHSHISMELDEVVSVIISCYEANQTLSIKEVVRLQDEDDLTMLAVSGQNSAKLASDMSSSENPAHWARVCLRNMANIAKEATTVRRILDPLFRLFDSHNYWSPENGVALSVLQEMQTLMDKSGQNGHLLLSFTIKHIDHKSVAKMPIKQISIIKVATHLAKHAKSQASVTVASAISDLIKHLRKCMYCATEASNSQADVDEWNSALYVALEECLVQLTEKVGDVGPIIDMVTVMLENLSYTATIARTTVSSVYRTTQIAASVYKSSYNQKAFPEALFHQLLLAMMHPDNKTRIGSHRVLSTIVAPSLICPWSAIGFPIPMKVNGSQSVLLLALSAFSSGNIMDELQTKSMIQESLQKNEKLKAVAGIENGYVHTEPNTRQSSGSPCFNEYRLATFKDENLKFMKLNNNQLILLLSSIWNQASLEDSSPLTFEAMNHTYNIALWCSKTKTSSHVAPVRCFQLAFSLRRMSLNQENVLQPSRRRCLYTMASAMLIFSAKVADIPQIIQFVKAAVPEKMVDPHLCLVDDCRLVITSAQSCNSEMLYGSEEDERDAQVFLSAVNKDDTRLKDIVISHFKEKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDGQSFDEEVIPCEMDDDDDIVFEHSGSQSDRRTSGSMTSSDVLNVNQLMESVHETARQVANVPVSTNPVSYDQMKSQCESLVMEKQQKMSALLSFKHSRTDSRSSTGETNEACSSSRSEPELQLTRKDHMRRSDSTSSDDRSFRLPPASPYDKFLKAAGR* >Brasy1G096700.1.p pacid=40057825 transcript=Brasy1G096700.1 locus=Brasy1G096700 ID=Brasy1G096700.1.v1.1 annot-version=v1.1 MSSWEDEDTASAAATTDVELLKRAWRNEKAAPEILRFDSPLVSRAREQIQLLEETLEDFTDNGVDDLVVSLYQMDLDRALFLLRSYLRLRLQKIEKYMIHISKSDDLMSRLSPQEQRFAKSCTEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEIVDLVADDLYVLRYKSIKGLVENGRIDLI* >Brasy1G445400.1.p pacid=40057826 transcript=Brasy1G445400.1 locus=Brasy1G445400 ID=Brasy1G445400.1.v1.1 annot-version=v1.1 MGSSIHHGAGEMCLEAAAAGGRSRRGGKKVAAAASAEQQKVPKQPQRGLGVAQLEKIRMQNQMIAAYRSGSLPPPPPSQQVHFAAAAGPPPAAAASPFQPSYLTGCFEAMDHRRMAADVQHGQYYAENLLPYGSSRPPATSPPLYVVHDVKDSSPSGHMQHTPLPPQYDYWARSSGHELSGGAGSTEELDLELRL* >Brasy1G341500.1.p pacid=40057827 transcript=Brasy1G341500.1 locus=Brasy1G341500 ID=Brasy1G341500.1.v1.1 annot-version=v1.1 MELASSTPPAPWWLTTRACDLPSADTTGFLDWAAFLFLSACSQRVMLSAVSSAFLLALFCFAAHRLLTRRRRHGDGDGAEKPLLDRGQGGEARGAVRVGAGFVVALAASALLAAFYGVLLVLSIVARRGEEVPLEPVFLALQCAAHLAAAALVGHEKRFRAAAHPLTLRLFWLASAALTALLAGASVARLASAAALLPDDALAIAALVLSLPLPLLAVSGATGITTALVLPAAASQGHGDEEDAGIKQDEKNVTPYARASWASRASWAWMNPLIKRGYRATLDLSDVPTLAPAHRPERMHQLFLSHFPSSARKDDNPVRQTLFRCFWPLFLVNAALALLRLTVMYVGPTLIQSFVSFTSAPERRPLWEGVRLVLALLAAKAVEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYMYLGPPVTSALVGVFGVMAFVLLGTRRNNRYQFALSGERDKRMKATNEMLSYMRVIKFQAWEEHFNARIARFRRLEFGWLTRFMYSISGNMVVLWSAPTVVSALVFSTCVAVGVPLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDDGAVEREPAAAAQDGGVAVQARDGAFTWDDEETEAGKEVLRGIDLEIRSGKLAAVVGMVGSGKSSLLGCILGEMRKISGKVKVCGSTAYVAQTAWIQNGTIEENILFGQPMDGERYREVMRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMKDGTIAQSGKYDELIERGSDFAALVAAHDSSMELVEGAGPASEEPAGQQPSINGQGSSSIKSNGDHAGATAAAGGSVLSAKAEKTSARLIKEEERASGHVSLAVYKQYMTEAWGWGGVALVVVASVAWQGSVLASDYWLAYETSEDNAAAFRPSLFIQVYAIIAAASVVLVTGRAFLVASIGLQTANSFFKQILHSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWLSVSMYITVISVLVVTCQVAWPSVIAIIPLLILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKGDTFFQENLNRVNSSLRMDFHNNGANEWLGFRLELVGSFVLCFTSLLMVTLPKSFVKPEWVGLSLSYGLSLNSVLFWAVWMSCFIENKMVSVERIKQFTNIPSEAEWRIKDCLPAANWPTKGDIDVIDLKVRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEEYSDVEIWKALDRCQLKEAVASKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQRIIREDFADCTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPASLIERPSLFGALVQEYANRSSDM* >Brasy1G428200.1.p pacid=40057828 transcript=Brasy1G428200.1 locus=Brasy1G428200 ID=Brasy1G428200.1.v1.1 annot-version=v1.1 MTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFNVGMQAFKEVNSRMDEEGIETNAGADHHTEECCPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPPKVAKTKGSRNKNKDEAPAPAPATATARPEPELGANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATERVKICIRNVLAKQGVGIVDKEQLLDTDEDEDYEDQTDDDDENEDDQGGEGEEEEQCQTEVTNEQTLETTENSKQPPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNCGKIRGHNARTCKKLQLEEQLRAQMELESQKIAQERSPEEQVQPMRAMRRSARLQ* >Brasy1G296300.1.p pacid=40057829 transcript=Brasy1G296300.1 locus=Brasy1G296300 ID=Brasy1G296300.1.v1.1 annot-version=v1.1 MFRSSSNMVASAPNLFGYQQFQKLSLVRRITSLPRIPCAPSHILPSPTQTDNSRSFCPPASPEMEDAVVSAGGGAINVLLCKLGTVLIQEAQLLGGIRGELQYMKDELESMTAFLQDLAERENHRKQVKIWMKQVREVAYDVEDCVDEFTHHLGSSTSGSGLPEFVHRCIRFIQTARVRRQIAKQIQELKVRATSISDRNSRYGGNHIISEGNTFAAQPALSTVISLDVRTPALFPEITKLVGIEARQKNLVNWLVDESVEQLLVISISGFGGLGKTTLAMTTYQTASASFQCRAFVTVSQKFDVRTLIKDILRQIVQPVDQNDPAPAEDPLKGIEEWDVGQLASILRGHLEDKRYLIVLDDIWTISAWEGIRFALPNSTGSRIMVTTRIKTVVQACCLHQHDRAYEIEPLTGSESSELFFMRLFGNRDNCPTVLKEISEKILGKCGGIPLAIVSITGLLASMSVHSYDRWVKIYNSLGLELETSPWLEKLKKILELSYNDLPYHLKTCFLYLSTYPEDHKIRRKGLLRRWIAERFVTEKRGLSALDVAENYFNEFLNRSIVHPVEMSFDGKVKTFRVHDIMLEIIVSKSIEDNFITLIGEQHTLAPQEKIRRLSIHGRSNKNIATSKILSHVRSLSIFADGQMLQFAWLKLLRILDLEGCGFVRNGDIKNICRLFQLEYLNLRNTYVTQLPVQIGNLKKLRSLDLRDTCIKHLPSDITNLPNLSNLLGGRRDYNYSGLSPISEFWGMHIPSKLGNLETLTTLAQIEITDSTSCYISELGKLSQLRKLGVLMFVDDDMNWMSLISAIAKLSSCLQSLLIWRPDGVMNFKILDTLSRPPMFLKSINFRGMLGQLPEWISSLVNLTELTLRATELESEEHLKVLTQLPSLLFLRLHHSAYTGTELTVSASQFPRLKLLAVHLGEYQKLNLKFQEGAAPKLHRLELSLFEDASIRRPSGINFLPSLQEVLVHAHRDHNSEVMVRSLMDEASRNPNQPTVTFKAKQWKPTGSRTDPPMDHRGNPWF* >Brasy1G194200.1.p pacid=40057830 transcript=Brasy1G194200.1 locus=Brasy1G194200 ID=Brasy1G194200.1.v1.1 annot-version=v1.1 MLSLRYGTPTFGLALERTAVPHPQKFNSVVVCGARGPRPRYPRVWKADKRIGTVSKSQKLVKCIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMRIISLYYSLGSYQKIFEVFADMEELGVRPDRSIVRMLGQVFQKLEMFDKYEKLMKKYPPPRFEYRYIKGKRIRLKIYPDNSTEEATKKDSATEELEEAESINLDKELEEAASTGMDRNVLGDAAHGDLEFV* >Brasy1G194200.2.p pacid=40057831 transcript=Brasy1G194200.2 locus=Brasy1G194200 ID=Brasy1G194200.2.v1.1 annot-version=v1.1 MLSLRYGTPTFGLALERTAVPHPQKFNSVVVCGARGPRPRYPRVWKADKRIGTVSKSQKLVKCIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMRIISLYYSLGSYQKIFEVFADMEELGVRPDRSIVRMLGQVFQKLEMFDKYEKLMKKYPPPRFEYRYIKGKRIRLKIYPDNSTEEATKKDSATEELEEAESINLDKELEEAASTGMDRNVLGDAAHGDLEFV* >Brasy1G194200.3.p pacid=40057832 transcript=Brasy1G194200.3 locus=Brasy1G194200 ID=Brasy1G194200.3.v1.1 annot-version=v1.1 MLSLRYGTPTFGLALERTAVPHPQKFNSVVVCGARGPRPRYPRVWKADKRIGTVSKSQKLVKCIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMRIISLYYSLGSYQKIFEVFADMEELGVRPDRSIVRMLGQVFQKLEMFDKYEKLMKKYPPPRFEYRYIKGKRIRLKIYPDNSTEEATKKDSATEELEEAESINLDKELEEAASTGMDRNVLGDAAHGDLEFV* >Brasy1G194200.4.p pacid=40057833 transcript=Brasy1G194200.4 locus=Brasy1G194200 ID=Brasy1G194200.4.v1.1 annot-version=v1.1 MCEIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMRIISLYYSLGSYQKIFEVFADMEELGVRPDRSIVRMLGQVFQKLEMFDKYEKLMKKYPPPRFEYRYIKGKRIRLKIYPDNSTEEATKKDSATEELEEAESINLDKELEEAASTGMDRNVLGDAAHGDLEFV* >Brasy1G194200.5.p pacid=40057834 transcript=Brasy1G194200.5 locus=Brasy1G194200 ID=Brasy1G194200.5.v1.1 annot-version=v1.1 MCEIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMRIISLYYSLGSYQKIFEVFADMEELGVRPDRSIVRMLGQVFQKLEMFDKYEKLMKKYPPPRFEYRYIKGKRIRLKIYPDNSTEEATKKDSATEELEEAESINLDKELEEAASTGMDRNVLGDAAHGDLEFV* >Brasy1G359900.1.p pacid=40057835 transcript=Brasy1G359900.1 locus=Brasy1G359900 ID=Brasy1G359900.1.v1.1 annot-version=v1.1 MAESNPEEVSAAPWPDLLPELLGLVLTRTPSHADRVRLRAVCRPWRSISANQPLLPWLALRDGTFLSFLDGEVYRLPVPADVSHRVCAGGIIFLVHGDGRCSLMTNPFTGETAPQHMDPDVLFKFQIRTSAPRCLVADSIRKVVVSDHVVAILTRRGTRPRICARGPQTRSTTGKSPCRPPVEPRFCADDIEFFQGMLYSVTTGCVHSPTHAHIHRRCQELHVLELPRGSPVLCIPDTTRDARSNGCRYLHYYYYYFVVSGDRLLMVERDIELHKVSRKPIRTRRLEVFEATDLHNGVGNGPQQDCVYFLSEMRNLEGISEGRNPEDDFLDSGVYNIRDQTLAPLPTEMAAMKTAVVSHAGPWSLS* >Brasy1G564900.1.p pacid=40057836 transcript=Brasy1G564900.1 locus=Brasy1G564900 ID=Brasy1G564900.1.v1.1 annot-version=v1.1 MSIADETLRAESRMTVVFGALTSKPEPLTFEESLRFVKKVKARNYMLYLSLFDILGRMELSRLEAYGALQLLFRDHPDLCEELEKFRPPMPTKHAANNIWPWVFVCAVPLVAVSLIPGFGNPVLWFVQQTLGEKMPA* >Brasy1G336500.1.p pacid=40057837 transcript=Brasy1G336500.1 locus=Brasy1G336500 ID=Brasy1G336500.1.v1.1 annot-version=v1.1 MARSTRPRSTADRALAKALHGSRATSSLPRTRCRPPACPGTPRAAPFRPGHCRGAARAHRQVDPVAAVDVPPLTLLVAYRRAGVRGSCDGSGGAGRTGRRRGSDSSGQGNRSRELSACALARGVRWQRRCGRSHAGVAQRRRSAVVFVAGLLGVAPGSARASEAPPRRRGATGRGGEEREVAGRQVPAGDALAAVGGDKTVTDDSACAAGPSRGRERAETMRRLGRGCSGLGNLAGAHGSGWDKNWGRNEGAEG* >Brasy1G208100.1.p pacid=40057838 transcript=Brasy1G208100.1 locus=Brasy1G208100 ID=Brasy1G208100.1.v1.1 annot-version=v1.1 MARPEQQQQGLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSQPNPGTLPPGVAAAVNGVAFCGTLTGQLFFGWLGDKLGRKSVYGMTLLLMVICSIGSGLSFAHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAAFPEPAYQDNAAASTGTEADFVWRIILMLGAVPALLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVQMEDETEKLEEMVSRGGRNDFGLFSPQFARRHGLHLVGTAITWFLLDIAFYSQNLFQKDIFTAINWIPKAKTMSALDEVFRISRAQTLIALCGTVPGYWFTVFLIDVVGRFAIQLMGFFMMTVFMLGLAVPYHHWTTPGNQIGFVVMYAFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMIGAFGFLYAAQDPHKPEAGYKPGIGVRNSLFVLAGVNLLGFMFTFLVPEANGKSLEEMSGEAEDNEDTAGAAAVQPSQMA* >Brasy1G157600.1.p pacid=40057839 transcript=Brasy1G157600.1 locus=Brasy1G157600 ID=Brasy1G157600.1.v1.1 annot-version=v1.1 MIQPIPNRLRRGSRVGGAQAMATCGDRRGGWRGADRGAGALSDGEGVLQQQCGGRRSRGLRPPPRRSRERPPPPAAREGGATGGLPRCTVRRIRTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQEGEGSTVGRRPSEEGGWARRGSAGTTGRPRYGVAARRQREEEGG* >Brasy1G165500.1.p pacid=40057840 transcript=Brasy1G165500.1 locus=Brasy1G165500 ID=Brasy1G165500.1.v1.1 annot-version=v1.1 MAVKVYVVYYSMYGHVGKLAEEIQKGVSSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDVPIITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTTVTQLTHHGMVFVPVGYTFGAKLFDMEKVQGGSPYGAGTFASDGSRWPSEMELEHAFHQGKYFAGIAKKLKGTA* >Brasy1G535500.1.p pacid=40057841 transcript=Brasy1G535500.1 locus=Brasy1G535500 ID=Brasy1G535500.1.v1.1 annot-version=v1.1 MHVYAYVFKSIRSRLCARGSRCYIYCPFSPDTAPTHAHPTTFDSISPSLSSSLLSCSMSMTSPRLKLFGFHFSAEEQEHYQMDQPTEATEPDHQNGPLCGSAGGGGGSDSSSSSTTTTTTATAAGGTGEAAAGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVVSGGGGGGALYPRMAVAGHNPMVSAFAQPAHLLGGHGGDGTGAPTSWVYFSSPRAAAVAGGAQGQQFHVSHGCVFPTSGAASPAAVFSYGAPTGAANVNNASAAVSGPYMADVDNRHGARRSSSTLHASPAVASFARYPGGMVMAAEPAVQEDALGLDLQLSL* >Brasy1G535500.2.p pacid=40057842 transcript=Brasy1G535500.2 locus=Brasy1G535500 ID=Brasy1G535500.2.v1.1 annot-version=v1.1 MHVYAYVFKSIRSRLCARGSRCYIYCPFSPDTAPTHAHPTTFDSISPSLSSSLLSCSMSMTSPRLKLFGFHFSAEEQEHYQMDQPTEATEPDHQNGPLCGSAGGGGGSDSSSSSTTTTTTATAAGGTGEAAAGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVVSGGGGGGALYPRMAVAGHNPMVSAFAQPAHLLGGHGGDGTGAPTSWVYFSSPRAAAVAGGAQGQQFHVSHGCVFPTSGAASPAAVFSYGAPTGAANVNNASAAVSGPYMADVDNRHGARRSSSTLHASPAVASFARYPGGMVMAAEPAVQEDALGLDLQLSL* >Brasy1G469600.1.p pacid=40057843 transcript=Brasy1G469600.1 locus=Brasy1G469600 ID=Brasy1G469600.1.v1.1 annot-version=v1.1 MEKENAWLRRARFSHTVYTRVGPRGVDVAPLGRDVEQKLLKFVSMGKSVSMPIDRDNEETKAALKHTASLPSIQSLLQVDKEKVNKQKVNLEIPLSPPADSRKSKGPKARSLVKSPSSMLLLSYLNKAHTIQGSSLEMADGSQQKARSKSPLPCVEPSEVFREARASGQRFTSPPPKRVGSEKSIYGKSFGRDVSDMFPSSDWCSTPVVADKHKSQKDSLWTRRYFDSGGKRRVSALDTMRGCRVSMAEAVQSTIDWTLDRSKLLVGHRFACGAYSRLYKGVYDDKPVAIKFIRQPDDDDNGKIAAKLEKQYNTEINALSHLYHKNVIKLVAAYKCQPVFYILTEFLPGGSLRSYLHSTQHHPIPLEKIISIALDIARGLEYIHSQGVVHRDIKPENILFDENFNVKIADFGIACEEKLCGLLVEDDGTYRWMAPEMLKRKAYNRKVDVYSFGLLLWEMVSGRPPYGDMTSLQVAFAVAHYNMTPILAPDCPKALRPLITQCCALHPDKRPDFWHIVKILEQFQSVLSQGGCLDTLKSSTCSDHKKGLLHWIQKLKPSHIP* >Brasy1G443400.1.p pacid=40057844 transcript=Brasy1G443400.1 locus=Brasy1G443400 ID=Brasy1G443400.1.v1.1 annot-version=v1.1 MSRHRSRHRFVCSRVRFPRRGAKRPRLPPLPCTPPPHLSWTPPPSAEASLLSPGHRLHLSPLAPLPPSPGRRPHPPSSALLEDPAEGGHHRSGLSRRRGHGLDRRIPPSQRSEAFVACAPCEVGSLCQRVGAVSVRSPYVSCALLFTRTPGLRFSSPRLLPSAGGVRLPICCCSRLLLCRVWLLVVTPALL* >Brasy1G032300.1.p pacid=40057845 transcript=Brasy1G032300.1 locus=Brasy1G032300 ID=Brasy1G032300.1.v1.1 annot-version=v1.1 MKLSIQSLARKLSPKRAGSGKKKGGGSGISRSEAPSFASSSSSEEEASSAAAPSPRSVLLPAPPPVAEISRRELEAVLRRLGHGEPSDDELDAVAAMAASGEADSEEELMDAFRVFDADGDGRIDAEELRAVMAAILGDGGSSCSLDDCRRMIGRVDADGDGFVGFQDFSRMMMMSSSS* >Brasy1G472100.1.p pacid=40057846 transcript=Brasy1G472100.1 locus=Brasy1G472100 ID=Brasy1G472100.1.v1.1 annot-version=v1.1 MRYGAGTTVAGACNGAWQGRWPAAAVRQRTMAERSARAAAGKARGGGGGGARSWCTAATAACSSRPEREQAEQLCAAAGGRPEVGAATAATRATAGGGERARWLRWRTEPIRQQASAPARAALGGPCSSRHSRGRARPLRRPSAEARHAAAAVGRAALAVASMHARRRLGARWCAAAGTSGGGTRGRGDVLMG* >Brasy1G148100.1.p pacid=40057847 transcript=Brasy1G148100.1 locus=Brasy1G148100 ID=Brasy1G148100.1.v1.1 annot-version=v1.1 MDVAGVCWPVNAAAEPLYVEIFVRSSCDVQVIVPCYGPSVDKEVVPSFSSPRVVCWPIGGVPTANATIGIAVCVSLLRRAVVAARARRTEWIWLPAPRRLDH* >Brasy1G075700.1.p pacid=40057848 transcript=Brasy1G075700.1 locus=Brasy1G075700 ID=Brasy1G075700.1.v1.1 annot-version=v1.1 MSPSPPLALSILLSRLRACTSASHALQCHALLLTSGHLAASPLRLSNLLLLALASASAASHADAVFSRLLPGPASRDAFPWNTLIRIHAPASPLKALAYFARMRRAAVDPDVYTFPAVLKACGYGAVGLLVHAEAVRRGMDGDLFTRNALVSFYCRIGDCRSGRKVFDHGARDLVSWNSMVAGYVACGELELAQELFDEMPLRDAFSWATMIDAYGKRSGGVDRARELFDEMPNRDLVCWSSMIDGYARHGRMDEVRMLFEEMPERNVISWSIVVDGYVRCGEPSEALELFQRMLRCGIIPDRVAAVGAFTACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYMKCGRLDLAKLIFGSMPDKSVVTWNVMIVGLGTHSCGLDAVKLFYQMEAEGAPMDDLSVLAVLTACTHAGLVSEGLGIFHRMRKDFGMDPKVEHYGALVDLLGRAGHLDHARHAIETMPMEPTPELWGSLLAACRSHRCVELAELSVERLASLGADDFGVYVLLSNIYADEGMWDGVFRIRRLMSAEGMKKDIGRSVIEVDGQIHEFVNGGSSHSFKDEVHLMLRNLSNMGALENSVVPKDLFLKLLGMMNICKRSSVSAFQEHEVFASNSLAYFLKDFRR* >Brasy1G309400.1.p pacid=40057849 transcript=Brasy1G309400.1 locus=Brasy1G309400 ID=Brasy1G309400.1.v1.1 annot-version=v1.1 MEPARGSTGGASSGGSSAAGSDAEDDRYCSASSALGTPSSLATLRPSSDFWDQDHHIDLLLLDDPVASFPKSHQLNRLHQAQAPSLLRLDPPAAALARPELGSGTPSLQPRTDPVQVDNLDGSDLFDDMVQEMEQILLNSGEPHESGSFMDNRVNNAHQNQHFRDGSTTASTSGTDDAFAYPHYPSNFDSVEVVGAKQKTGDVSFGERMVGVKEYTVYLLKVRSGENEWEIERRYREFYALYQQLKAFFSEKRLSLPPTWINVEKEASKIFGNASPDVVNERSSLIQDCLCSLLISNYPFGTPTPLVSFLSPGTLPCEHSFVKTFIPRSLQRLSSDLHSKDSDCNQALHKDSTSMGKTISLVVENRPQKSTRQLLELQHYNCAGCHRHLDAGRTLLQELVQTIGWNKPRFCAYTGQLFCSSCHTNDTAVLPARVLQQWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFSKVPALLNIMSIRKKIAAMIPCIHCPFRNSIFRGLGVRRYLLDGNDFFALRDLVDLSKGAFAVLPVKVQTISNRILVHITEQCLLCYDTGVPCAARQACDDPLALIFPFQEDEATRCGSCGSIFHKQCFRKISACPCGKSATSTGKKIVALEQAMHDSSNRPSTELVQPPSFSSSSGFFSDILSRARPDKIWKPRNSNPVILMGSLPDTSV* >Brasy1G536800.1.p pacid=40057850 transcript=Brasy1G536800.1 locus=Brasy1G536800 ID=Brasy1G536800.1.v1.1 annot-version=v1.1 MWQPQPKTTLLVLVLVAVSLRACTGTAEPSGKPLVTVVNFNPSTNLYDSPLDSGRPLLLDLSTPDIIYTQCNKPSRSTDVTISAFETDGKKLLQPQVSFPVKAFCNAFPEDGVTGVAGLGPNSFTLSSQAAGRQRLPNKFALCLPRDAHTPGAAIFGGGPLFSPAAITSRVTNRPQEQLDVTKLLSPEVPLRLVQSGVQPVHYVRARDGGGIAVDGKLVAVAGAGKGIDIGLSITNPYTELRDDVYYPVLDAIQKGMGPKAAGARVPPAAPFEVCYDLRLLRGNVYALPRVDFMLQGGQNWTVTGIADTGSNGLVPVSENKSCLPFVTIKDQSKLSPAVIIGGYHMANRVVVFDEDKQTLSFTPSFFGTPPSLSCGHSA* >Brasy1G131000.1.p pacid=40057851 transcript=Brasy1G131000.1 locus=Brasy1G131000 ID=Brasy1G131000.1.v1.1 annot-version=v1.1 MADDSPSSPASYIRLVQHLIEKCICYNMDKEECVKTLEKHANIMPTVTSTVWKELEKENRDFFEAYKKEQGDQEPSQKSCTPSEQEASASKSSDDSDD* >Brasy1G495900.1.p pacid=40057852 transcript=Brasy1G495900.1 locus=Brasy1G495900 ID=Brasy1G495900.1.v1.1 annot-version=v1.1 MACAAAARMFAYNATLCACDPGYYLSSANSSCVSLAAAGSGTTFADWQVGSVGASRNQSLYFLAPVLSLDAVRRLTQSQAVLLFTALVTLLSWLAFCAAARFAGRDPTGNKKLFRARFWISRLDCIFDTQHWADDQQVLRKRKTELGGMCSVAALILFTGLVTVLLYQAIRKRNIEVHRVKPANAPDLLSFVNDIEFHITTVSSMSCSQVTAPSTIAMGTPGFMDFRVLPLPTLFTYTCQNTSQGPSISLKCSGCRMPPRDHYVSWQFVDLPRQPAAAVGFQFNLTTKQTGDEQHVNFVSGTINSDNYGDRKLKTFRGRDSNVLKIQLFPQIYNKLHNLKLLQPLLQDFTPGSTFSDVTSFNASLQNPTDGVINTTLYISYLSDYIVEISNESVLGPVSILASIGGLYAFSVAIFLCFMAQCETRIKKLRDEDTRMLKILSKRRAQQNWDKVRKFVMYTYGPSNLDPTDRSGKWPEGSVMDSLHGSFHKKRKPTRRATSNVNRSKRVPTEMGIDIERVGELQQSSSS* >Brasy1G067500.1.p pacid=40057853 transcript=Brasy1G067500.1 locus=Brasy1G067500 ID=Brasy1G067500.1.v1.1 annot-version=v1.1 MEEEMPSEKELSEPGSINCASSSSNSPCNSRISSQNNPNSLVDRDDDNISTGVVVELKEGMSASELKEGVTMELKEEGASIKLKEEGVSIKLKEEGVNIEVLKEEVTTTELKKGPAIDLMEEGVTINPVPEKEGVAIDLKKKEHMDTDLKEKGEAFKESKEEGAPNELGSEKRSDHDNAIQLKRDGTRLFQRRDYEGAACAFDNAIKLLPKEHDDIAFLHCNIAACYMHMNPEDYERAIDECNSALEASPKYTKALLKRARCFEALDRLDLACKDAQKVLSLEPNNITALELFESIREEMEETDMLLENQLVVSLPEEPKSIISAKERIKRKVSRRFRNNSIVEEEVWLIHDDEMQENDQDSKEEECNEENDHHMTSNLNNEENDSEEMRSKHDEDNCRYTEQQNHVKHSQYRQGPANDECQLLHHASWDMEEMHRKQTHSQNKALKELEVRSCQQHQQEMHPKQDQISGVNKRQKHIEEEIQSTSPSKNENRIEMYERHIKEKHSERHISHGEEDKQEKRMGIKVASHGKDQQYQQHIREKKDSNKETRTVKFVFGDDIRIALVPENCSLIQLINIARCKYSPHLKAMLLKFQDIEGDLVTITSTEELRWVEDLKQGPARLYIKEVSHEREITRDIVMPSISIATLQKKHSISECGSSRHAAEEEKNSSYVDDWMVQFARLFKNHVGFDSDAYVDLRDLGTRLYYEAMEDTITSEEAQEIFHAAEAKFQEMAALALFNWGNIHMSRAKKRLVLSEDATKESVLSQVKSAYEWACAEYVKAGKKFEDTVDVKPDFYEGLIALGHQQFEQAKLSWRYANACKVDMGTEVLELFNRAEDNMEKGMEMWEGIEYLRVKGMSKSKKEKILLDKLGLDGHQQDLTADEAVEQASNMRSQLNISWGTILYERSVVELKLGLSSWEESLTEAIEKFKTGGASLADISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGSSSFRLEPLFRRQPSKLHNILEHIKYT* >Brasy1G067500.2.p pacid=40057854 transcript=Brasy1G067500.2 locus=Brasy1G067500 ID=Brasy1G067500.2.v1.1 annot-version=v1.1 MEEEMPSEKELSEPGSINCASSSSNSPCNSRISSQNNPNSLVDRDDDNISTGVVVELKEGMSASELKEGVTMELKEEGASIKLKEEGVSIKLKEEGVNIEVLKEEVTTTELKKGPAIDLMEEGVTINPVPEKEGVAIDLKKKEHMDTDLKEKGEAFKESKEEGAPNELGSEKRSDHDNAIQLKRDGTRLFQRRDYEGAACAFDNAIKLLPKEHDDIAFLHCNIAACYMHMNPEDYERAIDECNSALEASPKYTKALLKRARCFEALDRLDLACKDAQKVLSLEPNNITALELFESIREEMEETDMLLENQLVVSLPEEPKSIISAKERIKRKVSRRFRNNSIVEEEVWLIHDDEMQENDQDSKEEECNEENDHHMTSNLNNEENDSEEMRSKHDEDNCRYTEQQNHVKHSQYRQGPANDECQLLHHASWDMEEMHRKQTHSQNKALKELEVRSCQQHQQEMHPKQDQISGVNKRQKHIEEEIQSTSPSKNENRIEMYERHIKEKHSERHISHGEEDKQEKRMGIKVASHGKDQQYQQHIREKKDSNKETRTVKFVFGDDIRIALVPENCSLIQLINIARCKYSPHLKAMLLKFQDIEGDLVTITSTEELRWVEDLKQGPARLYIKEVSHEREITRDIVMPSISIATLQKKHSISECGSSRHAAEEEKNSSYVDDWMVQFARLFKNHVGFDSDAYVDLRDLGTRLYYEAMEDTITSEEAQEIFHAAEAKFQEMAALALFNWGNIHMSRAKKRLVLSEDATKESVLSQVKSAYEWACAEYVKAGKKFEDTVDVKPDFYEGLIALGHQQFEQAKLSWRYANACKVDMGTEVLELFNRAEDNMEKGMEMWEGIEYLRVKGMSKSKKEKILLDKLGLDGHQQDLTADEAVEQASNMRSQLNISWGTILYERSVVELKLGLSSWEESLTEAIEKFKTGGASLADISVMIKNHCANEKTQEGKC* >Brasy1G067500.3.p pacid=40057855 transcript=Brasy1G067500.3 locus=Brasy1G067500 ID=Brasy1G067500.3.v1.1 annot-version=v1.1 MEEEMPSEKELSEPGSINCASSSSNSPCNSRISSQNNPNSLVDRDDDNISTGVVVELKEGMSASELKEGVTMELKEEGASIKLKEEGVSIKLKEEGVNIEVLKEEVTTTELKKGPAIDLMEEGVTINPVPEKEGVAIDLKKKEHMDTDLKEKGEAFKESKEEGAPNELGSEKRSDHDNAIQLKRDGTRLFQRRDYEGAACAFDNAIKLLPKEHDDIAFLHCNIAACYMHMNPEDYERAIDECNSALEASPKYTKALLKRARCFEALDRLDLACKDAQKVLSLEPNNITALELFESIREEMEETDMLLENQLVVSLPEEPKSIISAKERIKRKVSRRFRNNSIVEEEVWLIHDDEMQENDQDSKEEECNEENDHHMTSNLNNEENDSEEMRSKHDEDNCRYTEQQNHVKHSQYRQGPANDECQLLHHASWDMEEMHRKQTHSQNKALKELEVRSCQQHQQEMHPKQDQISGVNKRQKHIEEEIQSTSPSKNENRIEMYERHIKEKHSERHISHGEEDKQEKRMGIKVASHGKDQQYQQHIREKKDSNKETRTVKFVFGDDIRIALVPENCSLIQLINIARCKYSPHLKAMLLKFQDIEGDLVTITSTEELRWVEDLKQGPARLYIKEVSHEREITRDIVMPSISIATLQKKHSISECGSSRHAAEEEKNSSYVDDWMVQFARLFKNHVGFDSDAYVDLRDLGTRLYYEAMEDTITSEEAQEIFHAAEAKFQEMAALALFNWGNIHMSRAKKRLVLSEDATKESVLSQVKSAYEWACAEYVKAGKKFEDTVDVKPDFYEGLIALGHQQFEQAKLSWRYANACKVDMGTEVLELFNRAEDNMEKGMEMWEGIEYLRVKGMSKSKKEKILLDKLGLDGHQQDLTADEAVEQASNMRSQLNISWGTILYERSVVELKLGLSSWEESLTEAIEKFKTGGASLADISVMIKNHCANEKTQEGKC* >Brasy1G370900.1.p pacid=40057856 transcript=Brasy1G370900.1 locus=Brasy1G370900 ID=Brasy1G370900.1.v1.1 annot-version=v1.1 MDKPCTLLVHFDKGSAAMANEIKADLEGSDVAAKVEAMKRAVMLLLNGETLPQLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISAIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCLCGQERAVAYLFSNAERVTEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVRLILLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHACAVEFPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSIALWILGEYSLSLSEVESAIATIKQCLGDLPFFTVSEEGETTDSTKPAQPMVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVTPGSLASTLNLRSLILSGDFFLAAVVACTLTKLILRLEEVQPSKFEANKACTGALLVMTSILQLGLSSYLPQPIDNDSYDRIVLCVRLLCNTGDGVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMTQLELEDEVQDDLKAATGGFTKETDDANRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHVIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS* >Brasy1G309500.1.p pacid=40057857 transcript=Brasy1G309500.1 locus=Brasy1G309500 ID=Brasy1G309500.1.v1.1 annot-version=v1.1 MGEAKDTEVYEDDLVDYEEEVENVVDAAAANPSVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSETKVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G309500.3.p pacid=40057858 transcript=Brasy1G309500.3 locus=Brasy1G309500 ID=Brasy1G309500.3.v1.1 annot-version=v1.1 MGEAKDTEVYEDDLVDYEEEVENVVDAAAANPSVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSETKVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G309500.2.p pacid=40057859 transcript=Brasy1G309500.2 locus=Brasy1G309500 ID=Brasy1G309500.2.v1.1 annot-version=v1.1 MGEAKDTEVYEDDLVDYEEEVENVVDAAAANPSVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSETKVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G309500.4.p pacid=40057860 transcript=Brasy1G309500.4 locus=Brasy1G309500 ID=Brasy1G309500.4.v1.1 annot-version=v1.1 MGEAKDTEVYEDDLVDYEEEVENVVDAAAANPSVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSETKVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G338400.1.p pacid=40057861 transcript=Brasy1G338400.1 locus=Brasy1G338400 ID=Brasy1G338400.1.v1.1 annot-version=v1.1 MSLVRRSAFDPFADFWDPLDVFRSIVPAAASGSGSEAAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEEGNVLVISGERSKEKEEKSDRWHRVERSSGAFVRRFRLPENAKVEQVKAGLENGVLTVTVPKAEVKKPEVKAIEIPG* >Brasy1G345700.1.p pacid=40057862 transcript=Brasy1G345700.1 locus=Brasy1G345700 ID=Brasy1G345700.1.v1.1 annot-version=v1.1 MAPPPQRPFPSRLGRANLFSSPPAPLPNRHNPKSRSVPLPPLPPRRRRHPKNHPQQPSQEQEPPMPSPRATDTSPAFRSPHLRTAYHKPVPPAAATGKGEALLAADPTDAAAGRSVVVGPSGVTFRLPGAPFDFQFSYSEAPRAAPLAIREPPFLPFAPPTMPRPWTGKAPLLTKEEKARRKGVRLHTPLGQESPQTVSSHGIMMEVRGRRQLDLGRVSPGDGRTREEVLGEPLTPAEVRALVKPHMSHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQLAPEGLTIEEAAEMRIRGQNLLPILKLAKNGIYLNLVKDVRDAFEGNDLVKINCEGLEPSDYKKIGAKLRDLVPCILLSFDNEQILIYRGKEWKSRYPKPLTLIPKVQKSDLSVSSFASSSDESTDASDNVAIREVLRPRMFKLWKRAIESSIALSLDDNEAGALTPDALLARVEEFSITSQAVEHSFPALLVGNSPEVVNAGYMEDESEDETVNPQVNQFVQSPDVSEDDPFEYDMLERLESSAPLGSLPIDSVMDQLNSE* >Brasy1G524300.1.p pacid=40057863 transcript=Brasy1G524300.1 locus=Brasy1G524300 ID=Brasy1G524300.1.v1.1 annot-version=v1.1 MMALSERQPQSEKKAPRARPMSAKAVFVLCATSFFVGLLLSGRMTTRLTASSGSGRGGSGHGSRISLFSDDCEHRRKLEESNNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKRNGGLDASVSSKAGLPKAFVVVGINTAFSSKKRRDSLRDTWVPRGEKLRRLEKEKGIVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRIYFAAAVATWDAAFYVKVDDDVHVNLGMLTSRLARYRTTPRVYVGCMKSGPVLSQKGVKYHEPESWKFGDEGNKYFRHATGQIYAISRDLASYISINQPILHRFANEDVSLGAWLIGLEVEHIDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWNFAAA* >Brasy1G443000.1.p pacid=40057864 transcript=Brasy1G443000.1 locus=Brasy1G443000 ID=Brasy1G443000.1.v1.1 annot-version=v1.1 MATRHRAAFLLAVAIFLVAPLATAQPPWQLCGDSGSYTANSTYQSNLGKLSAALPKNASRGALFSAGSAGSVPDIAYALALCRGDANASACGGCVATAFQDAQQLCAYKKDAAVFYDACYLRFSNQDFLAATTDNGNPRILLNSQNVSSPVAAFDAAVRALLNATSDYAAANSTRRFATGEESFDSANPTIYGLAQCTPDMSPADCRSCLGGIIAMAPQYLSGSLGGRVIGMRCNFRYEVYSFFSGGPMLRLSAPTAPAPAPAPAPVNVTPTATPGRKSGNKTMVVLAIALPIFAAVSAITTICLCYLRSRRRPASSEPPSYSTNHEDVESIESLIIDLSTLRAATDNFAETNKLGEGGFGAVYKGDLPDGQEIAVKRLSRSSGQGIGELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPEKSKELDWGKRLKIISGVARGLQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGADQTREVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILILEFMTGRSSGSYTFDQSVDLLSLIWEHWSTGTIAEIIDSTLRTHAPGDQMLKLFHIGLMCVQDNPADRPMMSTINIMLSSNTVSLQSPSKPSFFITKSSTNSMAYSDSYPTASQPTGKSGIVSPNEVSITELEPR* >Brasy1G456200.1.p pacid=40057865 transcript=Brasy1G456200.1 locus=Brasy1G456200 ID=Brasy1G456200.1.v1.1 annot-version=v1.1 MQFFGGSSLTSVAPEASPAPAAPPGTGTGANAQVIYVFNRNGVCLLYREWHRPLRTLARNQDQKLMFGLLFSLRSFTAKIDPTSTEHGNLGAPLLPGQGCSFHSFKTNTYKLNYMESPSGIKLILLTHPRTGDQRDALKQIYSLYVEYVVKNPLYAPGSPIKCDLFNKHLDQYVKTLI* >Brasy1G512200.1.p pacid=40057866 transcript=Brasy1G512200.1 locus=Brasy1G512200 ID=Brasy1G512200.1.v1.1 annot-version=v1.1 MGFTRSSLPIDTPPHRFAPAATLGQLPPPMCHPVLRPVCLLSAISLRPPSMCRHVLDESDSKHQGPPTRALLLRIGKVFSNFSGRSRFLRPPYPTRALLLRIGRVFSNCSGRSRFLRPPYPTRAMLGVTPSLPRDLHRQLSLPVLLSSGASTSRPPWPLPATTDALRLPPAPTSSAAVASLRFRVPCPEEEQEVKAAAADGMDNVS* >Brasy1G559700.1.p pacid=40057867 transcript=Brasy1G559700.1 locus=Brasy1G559700 ID=Brasy1G559700.1.v1.1 annot-version=v1.1 MESFTGWRCFFLALFLLSSAARGQLSPTFYANTCPGLLQIVRDRMNTAIANERRMGASILRLHFHDCFVQGCDASILLDDVAGGVVGEKTAIPNVNSVRGYEVIDTIKASVESSCPGVVSCADILALAARDGTFLLGGPSWDVALGRRDATKPASRDEALVNLPPFFASAGELITAFGKKGLTARDMTALSGAHTVGSAQCMNFRDRIWKDANIDFSFAKLRQSTCPAPAPDGGTAPGGTNLAPFDVQTELVFDNAYYKNLAVRKGLLHSDQELYNGGGPQSQAALVNQYGSNSKLFFDDFAAAMKKMGNISPLTGNAGQIRRNCRVVNSA* >Brasy1G042700.1.p pacid=40057868 transcript=Brasy1G042700.1 locus=Brasy1G042700 ID=Brasy1G042700.1.v1.1 annot-version=v1.1 MAASPAKPCCFSSLNPASSTPLARRARALSSSAKPRRYSHGLAAAAAAANPRAAALRRPVRACLAAGAAPQRPEYVPDRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPLGEDGHVPVICGLSRCNKRDIDAAWEAVRHARKPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPHEFGKLIADIKANTPGIENAIISTHCQNDLGLASANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPDDIGLVRVNEFGIVLGKLSGRHAVKTKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLGDVQATCGTLGLSTATVKLIAIDGEEKIGCSVGTGPVDAAYKAVDQIIQIPTVLREYSMTSVTEGIDAIATTRVVITGDVSDSKNALIGHSNRSFSGSGAAMDVVVSSVRAYLSALNKMSSYVGAVKASSEAPESIRSVQTAE* >Brasy1G020500.1.p pacid=40057869 transcript=Brasy1G020500.1 locus=Brasy1G020500 ID=Brasy1G020500.1.v1.1 annot-version=v1.1 MLPEVSRGRSLEMAQGSAPPRGERCAVCRGADFSVPHQANCSHWFCGHCIVGVWLHGSVLRPSDCPVCRRPITLLVPSEVASLLRDEPEIAPVMNRIEQYNGHFAGAPHSMVQWLLDQPFYIRRMLAEFRDTRQGPPLFLKVRVTLAVALSLLYLLSPIDILPEGTLGCRGLVDDVLVFVAAYAYVSAAYRAILVARHAV* >Brasy1G007000.1.p pacid=40057870 transcript=Brasy1G007000.1 locus=Brasy1G007000 ID=Brasy1G007000.1.v1.1 annot-version=v1.1 MEAAVAYFLLPPLATCAALILFLLLLLPAVKPSNKAKTVVLLPLPPSPPSIPLLWLWRARSGLEPAIRNLHRRHGPVLTLFFLSPRPAIFVSGRGITHRALVPLGPALARRPPAIAPFRVLTSAQRTVRSAPYGPLWRSLRRNLAAGVLLPSRQALFAPARRWALALLASDLEFESSSSEAVTVVEPLQRAMFALLSSMCFGRRLGGDAVGLIEAVQRELFASCIGFQVFAFCPALTTRVLFRRRWRKVLDIRRRQEELFLPLIQARRQRCSSSNGDGDVAAYCYVDTLLAHRLPKEEEGEPHGLTEGEMVSLCTEFLTASVDTTVTALQWVMANLLHDKINTAMMTSKKDHDDQAVVVSEEDLERMPYLRAVEEGEAAFLDGQYRIPAATSVNFSVADVGLDEEAWSRPEEFRPERFMDGGEGDGVDLTGSRPEVMRMMPFGVGRRICPGMALALLHLEYFVANVVLGFEWAPAPGHAVELAERPEFTVTMERPLRARVKPRRRSRQQNV* >Brasy1G087700.1.p pacid=40057871 transcript=Brasy1G087700.1 locus=Brasy1G087700 ID=Brasy1G087700.1.v1.1 annot-version=v1.1 MDNRSLLDPSVPAVPPVDSAGKEGTAPPMKSAANEEATGTAPPMKSVANEEATDTAPPMESAAAEMVPGTALPPSAEMMNHIRGFFSSVAGRSSPPQGCDPSSTTAGGSSTGTNDCVIHIWSRGRAGKKGDADATIKNGDGGATSKIGKDLTIKNGDGGATSNTWKEKDPTIKNGDGAATSKKLETGPRDSRREEFAALLERLVQFSESLRQGSSFAVPAERLLEAVQLLANAVNSKSRALAASEVEAAAAALSWAATTFISCALASGSVVMMIVLAALVVVGQFVAWRR* >Brasy1G377000.1.p pacid=40057872 transcript=Brasy1G377000.1 locus=Brasy1G377000 ID=Brasy1G377000.1.v1.1 annot-version=v1.1 MRYRLRHLITQRTTRRMRQPTPLKSVRCPTLVLSRQPRKKFALARCPGMPDLTSQRVGRPPREEVVVGRSSRVDARGRPVPHKGVLPSVLEHKTLNPRPKIQELGNGCTIQIQADVREPSSQNTPSLTVREPNTRGRTADARAG* >Brasy1G143600.1.p pacid=40057873 transcript=Brasy1G143600.1 locus=Brasy1G143600 ID=Brasy1G143600.1.v1.1 annot-version=v1.1 MDFLLGRSVETMVAVAVAVVAVAVGGAFLLRRSKKPKGCLDPESFKEFKLVEKKQISHNVAKFRFALPTPTSVLGLPIGQHISCRGQDATGEEVIKSYTPTTLDCDLGNFQLVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGRVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTSEDILLKEELDSMAEDYPDRFKIFYVLNQPPEVWNGGVGFVSQEMIKTHCPAPAEDIQILRCGPPPMNKAMAAHLEALGYTNEMQFQF* >Brasy1G073200.1.p pacid=40057874 transcript=Brasy1G073200.1 locus=Brasy1G073200 ID=Brasy1G073200.1.v1.1 annot-version=v1.1 MRINGGMKASEQLGEEDQTAAGLVAQAKSLAARRGHAQVTPLHIASAILSASPALLLLPGRPQCSRHYYSIDALGLCLGAALDRLAVASRTSAHHVPAAPSNAFLAALKRAQRKKKPQGARARSRSWGRRGSAVAGESEVERLVASVLVDPSVGRVVRDAAAASSRQADPPNPAVKEIRVGARQPSLGNAYWELQPIPNGSDSQGSQAKSGTEGRAIFATEVVARPTMVTLPPWLRRYHDTLRSRSTHRGSTNNVLQAARRRPKFTELTAQNLKILCGELELRVPWHGSIVPGISSVVLRCRSGMTTRARKKPISSLSSRTATWLLFQGSDDVGGYLVARELARLVFGSYSEFTALQGNSDIIIPTRSGKQLAAIKRQRSLGNNGSGGGGYLGERLFEVIRENPHRLILINGVDRLDRDSETHVKNAVKEGTMRGRNGEVISLEDAIVVLMSSKVVDSGCVVSSPRVKRPRIGRQSREEGDVMEREVRSCRFTFDLNTTAEDGKEEEDDLADEEEAGIADIVDGVFFFN* >Brasy1G370100.1.p pacid=40057875 transcript=Brasy1G370100.1 locus=Brasy1G370100 ID=Brasy1G370100.1.v1.1 annot-version=v1.1 MAMRRHSVLLCLLAVAVGAGCVQPMMARPSGPEARQNPNPQVQLGPQRRLQQPLPNPNPQPQPQPLPKPDDPKPNPNPQPVPKPDPNPQPQPLPKPDPTTQPLPKPDPNPNPQPMPLPQPNPNPQPLPQPDPNAPPLPLPQPVPNAPPQPLPQPLPQPDPNAPPQPLPQPLPQPDPNAPPLPLPQPGPSSNPQALPMPLPDSNTPGQQSNALQGSQPLSNGGAGALYSRGTTYIHILFVSLVLYHCFV* >Brasy1G245700.1.p pacid=40057876 transcript=Brasy1G245700.1 locus=Brasy1G245700 ID=Brasy1G245700.1.v1.1 annot-version=v1.1 MAASQEELLGVVDSAPLPPSVVLDLTPMIHGDSKRPQYDLSMAYISRMLMEDDIIDKFSYQYPDHPKLLQAEQPFAQILSADATTSSNVEESSASDVVASTLLPNKVIDPAFFSNGTGAVESSSTLFHSESSTNMDMLSRMAFFKGMEEANMFLPRGNRMVDGRGRKNRFDMDGEMEGCMGRSSKQIVLVHTYLEEEATALKMLDWLVLNGDDTCPGEMQEVLINKENVVAQKRNSGRGRGSARHMVVTDLETLLIRCAEAMSSNDRRSASELLEQIKRYSSPTGDGRQRLAHYFAKGLEARMSGTGSQLYRSCMGRRTRAVEILKAYHLYDARCCFVKMTLLFSNSSIYKAVAGRRKLHIVQYGINTGLQWPELIRWLANREGGPPELRITGINMPQPGHNLAEQIDETGCRLSNYASEFGVLFKFHAIIAPLEAVQAEDLNVDPDEVLIVNSLFQFRTLMDESLTFDKVNPRDMVLNNIRKMKPSMFVHGIANGAYSAAVFMTRFRKVLAHFTSLFDMMETIIPGNNDKRLQVERDFFARSAMNIIACEGAERVERPQNYREWQARNHRAGLRQLPLDPDIVLMVKDKVKNQYHKHFMINEDHRWLLQGWKGRVLYAVSTWTADDAGGSELA* >Brasy1G303600.1.p pacid=40057877 transcript=Brasy1G303600.1 locus=Brasy1G303600 ID=Brasy1G303600.1.v1.1 annot-version=v1.1 MCRPAPSASSSSDASSPPPSRGTADAAAAPRRIWSPSASSSPDLTALGPVGLSSIPGCAWQRRRPGSRPQSGQIWAWITCPRRPAPLRPRLARQKTSSSSTNPPTTSASPTSPPTSSSALRSGASLRILKAPCHSGAHGFSQLRQLTMFGTDMAGCDQEHLLASRLQPRSGHPRPHQNLRPCPVAYHL* >Brasy1G306600.1.p pacid=40057878 transcript=Brasy1G306600.1 locus=Brasy1G306600 ID=Brasy1G306600.1.v1.1 annot-version=v1.1 MDLLPPPSEAPAGGGAVAGRGLRRGVGFRSLKLVTVAMDEALPAEPVGVAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLDKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVTHETVRQFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKSPAAYPPPLIPEFPVPSHIEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKNYRDSLAESMFHCALNQRLFKISRRRDPPYFSCSSAADALVNPVKAYIITSSCRERGTVEALESMLLEVARARLHGFSEREISIVRALMMSEIESAYLERDQMQSTSLRDEYLQHFLREEPVVGIEYEAQLQKTLLPYISSAEVIKFAENFSTTSSCVIKIVEPRAHASLEDLKAVVLKVNTLEEQKAIPPWDEEQIPEEIVGQSPEPGNIVDQIEHPGIGATEMILSNGMRVCYKCTDFLDDQVVFTGFAYGGLSELSEEEYSSCTMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETGLQLVYQLFTTKVEPRDEEVKIVMQMAEEAIYAQERDPYTAFANRTREINYGNSYFFKPIRISDLKKVDTIRACEYFNNCFKDPSAFTVVIVGNIDPAISIPLILQYLGGIPKVKDTVQPLCRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMSSKLVDFVLEEISYLQTEGPSEEDVLTILEIEQRAHENGLQENYYWLDRILRSYQSRIYSGDVGSTFKVQDEGRLKVREVLTPQAMQMALQRVISFPCKKQYTVVILMPKSSRWNSLISLFSCSSGGFSRDAKILAAMGGALVLAVSLWRYSRGALRS* >Brasy1G306600.2.p pacid=40057879 transcript=Brasy1G306600.2 locus=Brasy1G306600 ID=Brasy1G306600.2.v1.1 annot-version=v1.1 MQDSHWALLFEGSKYAERLPIGTEKVIRTVTHETVRQFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKSPAAYPPPLIPEFPVPSHIEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKNYRDSLAESMFHCALNQRLFKISRRRDPPYFSCSSAADALVNPVKAYIITSSCRERGTVEALESMLLEVARARLHGFSEREISIVRALMMSEIESAYLERDQMQSTSLRDEYLQHFLREEPVVGIEYEAQLQKTLLPYISSAEVIKFAENFSTTSSCVIKIVEPRAHASLEDLKAVVLKVNTLEEQKAIPPWDEEQIPEEIVGQSPEPGNIVDQIEHPGIGATEMILSNGMRVCYKCTDFLDDQVVFTGFAYGGLSELSEEEYSSCTMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETGLQLVYQLFTTKVEPRDEEVKIVMQMAEEAIYAQERDPYTAFANRTREINYGNSYFFKPIRISDLKKVDTIRACEYFNNCFKDPSAFTVVIVGNIDPAISIPLILQYLGGIPKVKDTVQPLCRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMSSKLVDFVLEEISYLQTEGPSEEDVLTILEIEQRAHENGLQENYYWLDRILRSYQSRIYSGDVGSTFKVQDEGRLKVREVLTPQAMQMALQRVISFPCKKQYTVVILMPKSSRWNSLISLFSCSSGGFSRDAKILAAMGGALVLAVSLWRYSRGALRS* >Brasy1G179100.1.p pacid=40057880 transcript=Brasy1G179100.1 locus=Brasy1G179100 ID=Brasy1G179100.1.v1.1 annot-version=v1.1 MTTTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTVGIFKQEFGDDAKVWDREKVVIIPDHYIFTSDERANRNVDILREFCTEQEIKYFYDIKDLSDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLEGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVEYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPNCGACLGGPRDTYARMNEPTVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM* >Brasy1G049700.1.p pacid=40057881 transcript=Brasy1G049700.1 locus=Brasy1G049700 ID=Brasy1G049700.1.v1.1 annot-version=v1.1 MAPPSAVPALVLLALLAAVAGAQELSPTYYAGSCPADVHDISRRVIQAARAGDPRILASLVRLHFHDCFVQGCDGSLLLDDSPAITSEKNADPNKSLAISRAMSGMSVFSVVDDIKADLELACPGVVSCADILALAAEISVELAGGPSWTVMLGRKDATTANFDGANKDLPSPSEALDVLIGRFARHGLNDTDFVALQGAHTIGRAQCKFVKDRLTAEQPDPTLDPAYLSTLPGSGCGGGGHGHLFDNSYYVNILHNRGLLKSDQAMLSSSDSGADFTRPIVEQFANSQDDFFSSFAAAMIKMGNISLLTEGMGEVRTNCTLVNGS* >Brasy1G049700.2.p pacid=40057882 transcript=Brasy1G049700.2 locus=Brasy1G049700 ID=Brasy1G049700.2.v1.1 annot-version=v1.1 MAPPSAVPALVLLALLAAVAGAQELSPTYYAGSCPADVHDISRRVIQAARAGDPRILASLVRLHFHDCFVQGCDGSLLLDDSPAITSEKNADPNKSLAISRAMSGMSVFSVVDDIKADLELACPGVVSCADILALAAEISVELAGGPSWTVMLGRKDATTANFDGANKDLPSPSEALDVLIGRFARHGLNDTDFVALQGAHTIGRAQCKFVKDRLTAEQPDPTLDPAYLSTLPGSGCGGGGHGRVAERPRPAGHARLIRQQLLR* >Brasy1G549400.1.p pacid=40057883 transcript=Brasy1G549400.1 locus=Brasy1G549400 ID=Brasy1G549400.1.v1.1 annot-version=v1.1 MNLTIFLGALLMLSSLTYESHVGAASDDEDFFKKCSSQPCSKHGPKIQFPFRVSTHPPLCGVPGMQLSCSGHDTILDHPVLGSCKVTMIYYRHRVINVIPLVEPSTQCPLQKLISTNLTTDVYKQPQSSQVTTLVRCSRDFIPADLYSIAAPASCLSNNASQFWYLASFYAYISDLPRDCMAVSKGIPIPFNYDEDGPNNDRFDFSEKANRVINFGETTFTWQLNNITDACQQCEYKGQHCGFSSQRHQAFCQKHDMKYIIRIAAPSSAAAFVVLLLMVATVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKIQKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVSSENRPPVP* >Brasy1G020400.1.p pacid=40057884 transcript=Brasy1G020400.1 locus=Brasy1G020400 ID=Brasy1G020400.1.v1.1 annot-version=v1.1 MALASVQPTRSPSVHLRSRFLSPEGAAPLLVAVDGDGDPFAAARRACCSSVRVTRRSPYAAELGDMAINEAVDLAACFLSSITRVDESFGLGSITSQHLLIYTM* >Brasy1G116300.1.p pacid=40057885 transcript=Brasy1G116300.1 locus=Brasy1G116300 ID=Brasy1G116300.1.v1.1 annot-version=v1.1 MDRSLKERRLMYCCSITSVEKTMFLHFYGLSSLSRRSTSGDKRRLGPPFCVCFLYLFFTLIPFEICCLWLVDVDFCKIYIYLIILRS* >Brasy1G485800.1.p pacid=40057886 transcript=Brasy1G485800.1 locus=Brasy1G485800 ID=Brasy1G485800.1.v1.1 annot-version=v1.1 MMAWRRLASDAAAAHLRRGASSSLAPARGFSASCSPSAAANPTLLGRHALQSVWSRFRSSSSAFQACAPALLPARVPPGVRPKLPGLLKGFGTGGTAIAVMLYPRNVTYAQEEQLARRPSKDIITLSPYSKQVLAEFWSLVRRFQLPVGLILLIVYGWRKPIVLVINTLLLLYSSRPDPYSIYLFLQEIHQGKVRQNPALWKDEFIQTRKVDTEDYKFFSIGTVELKDRTELHVIGILGSWWIYHVSYAKRVELL* >Brasy1G037500.1.p pacid=40057887 transcript=Brasy1G037500.1 locus=Brasy1G037500 ID=Brasy1G037500.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSRIFSSITTSKDQEIRDEATRGGLERLEMARIKMEAALETSNKWQITDTPLLHWRKKLKRGAQDCEDAARKCRQLSQEEEEREKMVRQSSFPRRIAHTTKAFISSFAGRNNDHLSEHIAVVRRFERFADGATEFMRFVQFSGTPRQHMFFNPLIGHLFAGKSIRYVALRSGGRYHFFTIRPMAFEGRGLEAVLSFVYEDCKVPNNSFCLGFTMRVSESTDIIGTIVKCLRLVTPHFKSTADVVIKEVTHIPTQDFSCLPHEVASANAEHYWNNMLSTFVGWYRPDPLCCQGYEHDIVPSCRGKGNKLRLSSVFPEPVCHVFLERRMSLSEYSNQLQGSATRYDSSSLENYLSLKLGILFMPHDSLEEPKSVGEGSTVEAIDGEKQHLTHANFHPDQLDEMLLPKAINYLYHNAEVTTYEICWRSNHGSAHICVYKTSMTRMWGARTASTRQGRNRNTKMLREILKGQIKNVQWKEVAKNYLKLWIIHSSVRLKSMFTAWLKQ* >Brasy1G340500.1.p pacid=40057888 transcript=Brasy1G340500.1 locus=Brasy1G340500 ID=Brasy1G340500.1.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.8.p pacid=40057889 transcript=Brasy1G340500.8 locus=Brasy1G340500 ID=Brasy1G340500.8.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.2.p pacid=40057890 transcript=Brasy1G340500.2 locus=Brasy1G340500 ID=Brasy1G340500.2.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.3.p pacid=40057891 transcript=Brasy1G340500.3 locus=Brasy1G340500 ID=Brasy1G340500.3.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.4.p pacid=40057892 transcript=Brasy1G340500.4 locus=Brasy1G340500 ID=Brasy1G340500.4.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.5.p pacid=40057893 transcript=Brasy1G340500.5 locus=Brasy1G340500 ID=Brasy1G340500.5.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.6.p pacid=40057894 transcript=Brasy1G340500.6 locus=Brasy1G340500 ID=Brasy1G340500.6.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.7.p pacid=40057895 transcript=Brasy1G340500.7 locus=Brasy1G340500 ID=Brasy1G340500.7.v1.1 annot-version=v1.1 MSTNPAAASAVAAISAVMDWRSSPDARNAAFTYLESVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.9.p pacid=40057896 transcript=Brasy1G340500.9 locus=Brasy1G340500 ID=Brasy1G340500.9.v1.1 annot-version=v1.1 MIRLTSTHLLVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G340500.10.p pacid=40057897 transcript=Brasy1G340500.10 locus=Brasy1G340500 ID=Brasy1G340500.10.v1.1 annot-version=v1.1 MIRLTSTHLLVKSGDVRALASTSFLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLLSEVIGPREEWALKSQTAALVAEVVRREGVTLLNTLLPSIVSLSNSGPAEAELVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVIAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRVHACEFFKIICQRKRPVDVAISEYDAAMSNIFQVLMSVSQEFLTKSRMQPSAIDESEYEFAVCICETMVALGSSNMQCILADGARTSHFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDTTGGSSTEKEKKGVLVFITDEIYSILLDVSFKRMLKKSASSSPSPLELWNEELEGKSDFSNYRTKLLDLIRVVASQRPVIAAANVVQRISVVFGDTNEATKSPEGLDAMVGAQLGLETVVSAIFDGSGDYTKTDQEIQFQIHSTFEGLLQQLLSLKWTEPGLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDLSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMGSSSGIQQQQEVLAWLLEPLNKTWTQMEWQTAYLSDPSGLIHMFADSQFMWSIYHNVTFFEKALKRSGTKKSTAALQAAPTTTAVTGYVHPMSSHLSWILPPLLRLLRCVHALWAEPFAQSLTGETNAAKSMTIAEQASLLGETNKLTKGQVAPSDGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGETFFRSIEGSSVTLALLENVQVMEFRHLRQLMHLAVVPLVKHCPAELWHMWTVNLLQPIFVHCQQALDYSWSCLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPTLEQLGPANRIDSFLKDLESFASSSLTGFVMLNVSTALPALRITVQVFSWTDSEAVTKVVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSIESNAIISAELVGLCREIYVYLSDKDPAPKQILLSLPDMKQEDLLAFDDSLSKTASPKEQKQHMRNLLLLATGNKLRALASQKITNVITNVTTRNRSSAAHHGSRAEEDDHIGLAALS* >Brasy1G354400.1.p pacid=40057898 transcript=Brasy1G354400.1 locus=Brasy1G354400 ID=Brasy1G354400.1.v1.1 annot-version=v1.1 MIHAAKSSQCGPEWPALSMLSFYKCLKIGTVLSLYARLHASEEERLWSKLAACTGSEALMHAVRLCVCVVQPQSVAPNPDHAEFRIPGFITPSCSSLIRLFSRQEPLQIANIRRGKAES* >Brasy1G426700.1.p pacid=40057899 transcript=Brasy1G426700.1 locus=Brasy1G426700 ID=Brasy1G426700.1.v1.1 annot-version=v1.1 MSAPPPTAGNGTPAPYAAPPPKSSPRRLGLNPPPGPTLPAAAPTPPSSTRAASARPRRSAVAKQKAGSSACAPTPSPAALPASAPPPPPPMGEHEVLDEMPKGKRASSMEQGERLNPSGDNPDNRINMAQKLFMQLTSKNGKRGKPFGLQHCYDLLVHDERWRTRNDEVSTKMSESSSSSSPDVQNLDGSSDDSDSEGANTPNSEQKMRPIGRKKEKERLKEKGSSFKDSIDLMLSTRKALAAEKKEEKAQKWMEIKEMEERRIAIAERRAAIAERRAMMEERMLAIQKQKLDLQLMSVDLSKLDETGRAYFEFRRGQIMASQTMGAFTPGCFLGGGGADGSI* >Brasy1G342800.1.p pacid=40057900 transcript=Brasy1G342800.1 locus=Brasy1G342800 ID=Brasy1G342800.1.v1.1 annot-version=v1.1 MEMEAPRRRPPPALDRPCRCFHPLIRASADAKSLSALSAVIRGTGSATVSLARTSRKPNQIGLS* >Brasy1G072300.1.p pacid=40057901 transcript=Brasy1G072300.1 locus=Brasy1G072300 ID=Brasy1G072300.1.v1.1 annot-version=v1.1 MDRRRAMTLSEQLSAPDQAIVRDILKLPHDDDGDQPPAVPSSSSTLSDAIGRGMMIRWRSLRRRSASWTTMPPPAAAANSGRQPSDDREELGDKDGEAAAAVSLMALLDQADSQWDDDEEEEDAGARDDDGEDAGAGDDDDEEEEEEEMAQACCCVCMVRHKGAAFIPCGHTFCRLCSRDLWLNRASCPLCNAFIHDILHIF* >Brasy1G175100.1.p pacid=40057902 transcript=Brasy1G175100.1 locus=Brasy1G175100 ID=Brasy1G175100.1.v1.1 annot-version=v1.1 MALVVAFFFFAAAATRRWLSTRSPEVKLNCLCNETLVTVVNGQLPGPAIEVVEGDSVVVHVINKSPHGLTIHWHGVKQQLNCWADGAGMITQCPIQPNNNFACRFDVVGQEGTLRWHAHVGSLRATIHGALIIRPRSGSYPFSKPDHEIPIVIGEWWEMDLVQLDMRLRNGFLFDVPVNAALDSEYYFKIAEHMLTVVAADANYVKVYTTDVITISPRETLDALLIANAPPRGYYMVAKAYQPPKPAIQSPLFISRGIMLYDGQGKHEEDDLFDTPMVPDMPDQHDAITSFYFYGSLTSLQPLPLSRQVPTNIDEQLFFTLDAAYFCREGGSSCRNVSNVVSTINNVSFHQLPATTSLLQAHYYNNMSNIDTMRDLPDRAPRMFNNNMSLEPTLKATSVRRLRYNTTVEIVFQSPLLADSYSNPMHLHGHDFFVLAQGFGKYDAEKDVKRYNLVDPPVRNTVSMFLYLGVWYLHCHYGHHSSLGMALALVVENGPTLDTKLSLPPADFPICSNYHSGLAYE* >Brasy1G087000.1.p pacid=40057903 transcript=Brasy1G087000.1 locus=Brasy1G087000 ID=Brasy1G087000.1.v1.1 annot-version=v1.1 MTILWRSPSGSPTHRPCPSSPSTAQSPPSPIPRTPILKLSHAWSARRADSSSSSPALRQATARMSTFCTRATRSRRRSNPFRFLMMIVWTRSESSASCPADYFLVALCGASWGASHYQLSIYSSEDRAWRTEELPNPCPGVNTIAAEKVITLGEGILGWVDFFEGMLVCDSRQIPPVACHIPWPMPLPGNRENLDLFPSIARCFRDVTCTNGVVKFIEIEHRVTVQQESREIAPDTLTDPSYKDVLYDSELIIRENHKDMNIKPKKKCLMNGWRAVTWTREIDSDCWLRGRIVDVDNIVVDDSTYSVLLSGQRDKSLGGLKFRDMYSAWPTLSIDGDDLLYLNSRLKLTGRDGWMVAVDLAKKTLKVEARGVGANSLGKYVPSMQMCRPCTLSNYLNVTPGIKVPAFCQITQVGSSANDPNKKAIHVGEPDSCKSNKRPRLTKEKVNHGAQCIAPNVHVSPVRPHQIKLLPQPCINRRPSENNLLPQQCFNNRDGPSNPGYAPLAPAPQRCFNNWDGSSNPGYTPLVPARNLRSYGNYQPLLQQPPPSNQQLTPSSGFGPHQAPQPCFNNWNGANYHGYSQQLPAHNPYAYVHYQQQWPEHRPGQELPAHAASQQPPPRQRQLTPSGV* >Brasy1G087000.2.p pacid=40057904 transcript=Brasy1G087000.2 locus=Brasy1G087000 ID=Brasy1G087000.2.v1.1 annot-version=v1.1 MTILWRSPSGSPTHRPCPSSPSTAQSPPSPIPRTPILKLSHAWSARRADSSSSSPALRQATARMSTFCTRATRSRRRSNPFRFLMMIVWTRSESSASCPADYFLVALCGASWGASHYQLSIYSSEDRAWRTEELPNPCPGVNTIAAEKVITLGEGILGWVDFFEGMLVCDSRQIPPVACHIPWPMPLPGNRENLDLFPSIARCFRDVTCTNGVVKFIEIEHRVTVQQESREIAPDTLTDPSYKDVLYDSELIIRENHKDMNIKPKKKCLMNGWRAVTWTREIDSDCWLRGRIVDVDNIVVDDSTYSVLLSGQRDKSLGGLKFRDMYSAWPTLSIDGDDLLYLNSRLKLTGRDGWMVAVDLAKKTLKVEARGVGANSLGKYVPSMQMCRPCTLSNYLNVTPGIKVPAFCQITQVGSSANDPNKKAIHVGEPDSCKSNKRPRLTKEKVNHGAQCIAPNVHVSPVRPHQIKLLPQPCINRRPSENNLAPQPCFNNWNGANYHGYSQQLPAHNPYAYVHYQQQWPEHRPGQELPAHAASQQPPPRQRQLTPSGV* >Brasy1G087000.3.p pacid=40057905 transcript=Brasy1G087000.3 locus=Brasy1G087000 ID=Brasy1G087000.3.v1.1 annot-version=v1.1 MTILWRSPSGSPTHRPCPSSPSTAQSPPSPIPRTPILKLSHAWSARRADSSSSSPALRQATARMSTFCTRATRSRRRSNPFRFLMMIVWTRSESSASCPADYFLVALCGASWGASHYQLSIYSSEDRAWRTEELPNPCPGVNTIAAEKVITLGEGILGWVDFFEGMLVCDSRQIPPVACHIPWPMPLPGNRENLDLFPSIARCFRDVTCTNGVVKFIEIEHRVTVQQESREIAPDTLTDPSYKDVLYDSELIIRENHKDMNIKPKKKCLMNGWRAVTWTREIDSDCWLRGRIVDVDNIVVDDSTYSVLLSGQRDKSLGGLKFRDMYSAWPTLSIDGDDLLYLNSRLKLTGRDGWMVAVDLAKKTLKVEARGVGANSLGKYVPSMQMCRPCTLSNYLNVTPGIKVPAFCQITQVGSSANDPNKKAIHVGEPDSCKSNKRPRLTKEKVNHGAQCIAPNVHVSPVRPHQIKLLPQPCINRRPSENNLAPQPCFNNWNGANYHGYSQQLPAHNPYAYVHYQQQWPEHRPGQELPAHAASQQPPPRQRQLTPSGV* >Brasy1G234600.1.p pacid=40057906 transcript=Brasy1G234600.1 locus=Brasy1G234600 ID=Brasy1G234600.1.v1.1 annot-version=v1.1 MLKSCCGVNEINACNGFYCDAFSPNKPYKPTMWTEAWSGWFTEFGGTIHQRPVEDLTFAVARFVQKGGSFINYYYTYHGGTNFGRTAGGPFITTSYDYNAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLWNHARGWCSDISDANVGRWCLINDVGEV* >Brasy1G449300.1.p pacid=40057907 transcript=Brasy1G449300.1 locus=Brasy1G449300 ID=Brasy1G449300.1.v1.1 annot-version=v1.1 MSFLRIPGSPTVSPGPLHTKAPSKLVIHDLVHDLASIIVADEFIDLDATKSNSWNKARYCRHAQLSNYKNDPQVFKYLPSKVRSLHLRDLNGPTQLGRPNSPDRAAQPRARLPPLHVRNSQTHVSGHDFLPSNPSFPCVFLRKETTPSILTIPRTDSANQFEVSSHNRSFQKRRPECRPSTSRARSPSSANIKGLHARHFEPLPLLSHSHVESLASKPLYSRLRRRLSPPVAATIVDVQLPQKAFSRSKYARVLDLSGRSAKGSSAPSNIVLPSSIHRLKLIRYLDATGFPIKSLPKYFHTLQNMETLILCNSSLETLPDSICHLGKLSYLDISGSSSLNKLPASLGDLSELSFLNLSGCSLLQELPESICDLTFLYHLDLSDCYALQKLPDKFSSLPKLSFLNMSSCSKLATLPDNFSFPSLEHLNLSNCHELKHLPKYFGCLPQLESLSLSDRRKFAMQPESFCRLDHLKYVDLSDCHNLKELPEYLGNFSELEYFNLTSCCKLQTLPESLCKLFKLRRLYLSYCLRLKKLPSSFGDLKLQILDIDGLLGLGDLPDSIGEMNSLTKVTVMFESIKLLEKGKSILRRLNLQGCIEHDVHEIGNRGCSSIVELAGLTCYELKLRKLQNVRLPEEAESVKLRDKLDIQQLQLSWESEGDKSVLEELVPPRTLESFVLNGYMSQDFPNWMSHISSYLPSLTILALIDLETCDYLPPFGGLPNLRSLLMANIPNIRKIGKEFYGESGPCMKLRVISVKLMHNLEEWWTTKSGKESEEYLIPNLHYLSVEDCPNLRFLPNPPSSMLWVLQKSDLALLDRGFGKISSSILPSVMTITNCSFSQDKWDRLVHFSTLELFNVTSIIGLRTLPEVIQCFTSLTELYLQSLKDLETLPVWLGIRSLTALKELSIQQCPSLIARCQGEDAHKIRHIPTVYFWNQTNQVRNSKKPSR* >Brasy1G264900.1.p pacid=40057908 transcript=Brasy1G264900.1 locus=Brasy1G264900 ID=Brasy1G264900.1.v1.1 annot-version=v1.1 MIRWNSKGARPTDLEFERRPSLVFVFEPAPPPFPSHPAAEQRPSPLAPSRLPPRASAAMAGEADGNTRQTSRFPPALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKSTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDISELPKHRLQEIRRFFEDYKKNENKEVAVNDFLPAEDAINAIKYSMDLYGSYIIEGLRK* >Brasy1G264900.3.p pacid=40057909 transcript=Brasy1G264900.3 locus=Brasy1G264900 ID=Brasy1G264900.3.v1.1 annot-version=v1.1 MAGEADGNTRQTSRFPPALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKSTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDISELPKHRLQEIRRFFEDYKKNENKEVAVNDFLPAEDAINAIKYSMDLYGSYIIEGLRK* >Brasy1G264900.2.p pacid=40057910 transcript=Brasy1G264900.2 locus=Brasy1G264900 ID=Brasy1G264900.2.v1.1 annot-version=v1.1 MAGEADGNTRQTSRFPPALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKSTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDISELPKHRLQEIRRFFEDYKKNENKEVAVNDFLPAEDAINAIKYSMDLYGSYIIEGLRK* >Brasy1G422400.1.p pacid=40057911 transcript=Brasy1G422400.1 locus=Brasy1G422400 ID=Brasy1G422400.1.v1.1 annot-version=v1.1 MLDVEITSRTLVRASDPPRGFPAVLPVSNLDLIFGSFHVFFISVYPAPTAGFPAVVAAARRALPTFLSQFFPFAGRIVPNASTGIPEIHCSNAGAELVIARAAAGTRLADVDFFDADRSLGRIQVPFQRGLALSLQLVGFACGGFALTWGSDHLLLDGRGLTALPNAWAELLRTGELPWPEPRSHLSRASLFLPRSPCEYPPSLDAEFVRYDAPGSLPNPLLVATLVRRNYVVSNTAVDRLRAAASSSGLRASRLEALSAHVWKLLAAAVGGLDTHCRMAWLVDGRRVLDKAKYAGADTAYLGNVLTYASREAAVETISASPLGDVAGMAAAAIGEVLKQERYEALVDWMEEHKGAFREEGGKWTEAVGLGTGSPALVVSAFVPFRVEGDFGFGSPRFVMPWIRPGRLGSAAMTLIRSPRGDGSWLVTARLWPRLADVVDKDPEAVFRPATAERLGFAAAADTAQLHATNSVSSRM* >Brasy1G390300.1.p pacid=40057912 transcript=Brasy1G390300.1 locus=Brasy1G390300 ID=Brasy1G390300.1.v1.1 annot-version=v1.1 MVAAGNPTAAAVPFPLTICYSAVDEEKAATGWRRGGGRRSEEEHEERAAEGERGEHTPTNCISISHCIGLEPRTRRRYRAGDRGKPIQVRRGQRRREGREAQPHRGPRRPPVRRRREHAEVQFLDSEDGAPVRNSRIERSSPLHYFPNPPIPIPNISLSFPNPDPQSLTPAASAATGQPKSAVGVDAAAGRRALARGARPVLRGWGRTGALGPARARLEPAPYGGVGDSFQGGAAAESGRSSTLYSRSGRRRREQRRDPC* >Brasy1G395400.1.p pacid=40057913 transcript=Brasy1G395400.1 locus=Brasy1G395400 ID=Brasy1G395400.1.v1.1 annot-version=v1.1 MHPKDGNALFSAPLFNLQSFAVRLSRTMASHELPPPPKKKKSPTLAPTTISDLSGDLLCEIFLRLPSLPSLVRAALSCSTFLDAVRSSPSFRRRFRALHSPPLLGFFIDTTEKATPDFSPVRRRSDLDLAAAIRGADFFLTRLPDDDKDAVPNWSISDCRDGCVLLVNWSTKQMAAYNPLTRALDLFPTPPDQLCDGDLYVDFQILSYEEDRGSFRILCVCQEEWGACAVVFSSDAREWQIFPWYFDDKVWLQSGTLLNGFVYWTDESRDHARVLDIATMEFSQIDLPPLIFEGQDAFRVGETKNGKLCMTSLVQLNLFVWLWRANDQGVERWMLDNAFELKTIAEAANCSLEDNSALKVVAIVDGSVYLSTYHETDYDSPCWLLSFCLETSELNKLCPTRFHPGVSYPYIMAWPPSLVRNKLPEKDY* >Brasy1G155200.1.p pacid=40057914 transcript=Brasy1G155200.1 locus=Brasy1G155200 ID=Brasy1G155200.1.v1.1 annot-version=v1.1 MPDAVAVLCRVVSAAGLPIQPRSAAGLPIQRRSAAGLPIPRRSAAGLPIRTPAPPASPSSPAAPPASPSSAAAPPASPSRAAAPPASPSGPLRRRPPHPAPQRRRVLPIPTTAPPAPPHHNRGLPHRPPHQIRPPNQRSDHEPWREQGREGRGKTNLGASRTASAGVVAFGIPDPVAEFRASGGCFRGRLSLGRTKGALLE* >Brasy1G169900.1.p pacid=40057915 transcript=Brasy1G169900.1 locus=Brasy1G169900 ID=Brasy1G169900.1.v1.1 annot-version=v1.1 MRPPEVSLAAAFVAVAALLAGAAAAAPFPAALTLERALPHKGVPVEHLKERDGAHHARRRGLLGGAPAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEYFVQIDTGSDILWVACSPCTGCPTSSGLNIQLEFFNPDSSSTSSRIPCSDDRCTAALQTGEAVCQSSDSPSSPCGYTFTYGDGSGTSGFYVSDTMYFDTVMGNEQTANSSASVVFGCSNSQSGDLMKTDRAVDGIFGFGQHQLSVVSQLYSLGVSPKTFSHCLKGSDNGGGILVLGEIVEPGLVFTPLVPSQPHYNLNLESIAVSGQKLPIDSSLFATSNTQGTIVDSGTTLVYLVDGAYDPFINAIAAAVSPSVRSVVSKGIQCFVTTSSVDLSFPTATLYFKGGVSMTVKPENYLLQQGSVDNNVLWCIGWQRSQGITILGDLVLKDKIFVYDLANMRMGWADYDCSLSVNVTSSSGKNQYVNTGQFDVNGSPPPLYRSGLVPTGAAVILVHMLIFGILPSSR* >Brasy1G367500.1.p pacid=40057916 transcript=Brasy1G367500.1 locus=Brasy1G367500 ID=Brasy1G367500.1.v1.1 annot-version=v1.1 MGGSSWVRTMTSPFRKACTIFGPPPHKEGKKQPQPTSAMMHHVVEAERAKLHGEVMACAYEDVQVMWSMLDKAKIQEFNGS* >Brasy1G334800.1.p pacid=40057917 transcript=Brasy1G334800.1 locus=Brasy1G334800 ID=Brasy1G334800.1.v1.1 annot-version=v1.1 MANNSVSSIVCDSRVLVRCSSSGRGRRGTQLQSVAAVRVNGAAHRTPLQVGTALETSIHVSIAELQAPPTVVDDDDGCGRRQNIPTEKQDEDPFRQALIVGGGVRYRQTVVVRSYEVGPDKTATLETLLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDRYPIWGEVLEIETWVGSSGKNGMRRDWLIRGRSSGDVFVRATSTWVMMNKLTRRLSKMPEEVRGEISPWFIDSHAIQEEATDSIIKLDSSAKYVDSGLKPKRSDLDMNQHVNNVKYVRWMLETIPDQFVRHHQLSSIILEYRKECGISDVVQSICEPDQDSISPEENVTMVRGPSLLPEIINGPHSLAGALHKWPTKYTHLLQLKAGDGYGEIVRGRTTWKKKL* >Brasy1G294400.1.p pacid=40057918 transcript=Brasy1G294400.1 locus=Brasy1G294400 ID=Brasy1G294400.1.v1.1 annot-version=v1.1 MLRSPAAALLRRLAPRLSGGGVGAGSPTRRILPPPITPSVLARFSSAPTSSPPPSSVGARDEGAEDDELHGAPGDASAKLSISVDRSGLYTPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGYYMNRDVFGESGDFITSPEVSQMFGELIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALSINLVECSPTLQKVQYNTLKCEDEPVGDEKRTVSKLCGAPVYWHASLEQVPSGSPTIIVAHEFFDALPIHQFQKASRGWCEKMVNHTEGSSFRFVLSPQPTASLLFLSKRCQWASSEELEKVEQIEVCPKAMEITEQIADRISSDGGGALIIDYGKNGIVSDSLQAIRKHKFVHILDDPGSADLSAYVDFASIRHSAEEVSDDISVHGPMTQSQLLGSLGINFRVEALMQNCDEKQAESLRTGYWRLVGDGEAPFWEGPNDQTPIGMGTRYLAMAIVNKKQGPPVPFE* >Brasy1G294400.2.p pacid=40057919 transcript=Brasy1G294400.2 locus=Brasy1G294400 ID=Brasy1G294400.2.v1.1 annot-version=v1.1 MLRSPAAALLRRLAPRLSGGGVGAGSPTRRILPPPITPSVLARFSSAPTSSPPPSSVGARDEGAEDDELHGAPGDASAKLSISVDRSGLYTPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGYYMNRDVFGESGDFITSPEVSQMFGELIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALSINLVECSPTLQKVQYNTLKCEDEPVGDEKRTVSKLCGAPVYWHASLEQVPSGSPTIIVAHEFFDALPIHQFQKASRGWCEKMVNHTEGSSLQPLYFSCPSVVNGLVLRNLRRSSKLKSARKQWRSPNRLLIELAQMVEVL* >Brasy1G102800.1.p pacid=40057920 transcript=Brasy1G102800.1 locus=Brasy1G102800 ID=Brasy1G102800.1.v1.1 annot-version=v1.1 MAAPAGENVAELPPTPLATPMSAMTPMSARSVRWDVEDGGAGLERPLLRQRGANTTSQMAVVGVNVSHIESLDYEIVENDVYKQDWRSRGRIQIFQYQVMKWLLALLVGSAVGMVGFFSNIAVENIAGFKLLLTSNLMLHNRHMEAFLLYMFCNAVLAATAAALCAYLAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEAASWWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDVSSRVTAYTATDIAAVILLGILGGLLGALFNFLLGWILRTYGIINEKGAPFKIILTVAISLVTSCCSFGLPWLSPCTPCPPELPASRCPTVGRSGNFKKFSCPAGHYNALGSLFLNTNDDAIRNLFSGGTDSEFGVTTLLTFFVAVYSLGLVTYGVAVPSGLFIPVILSGASLGRMLGKLLGSLTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLIAKTVADCFNKGVYDQIVRMKGLPFLEVHGDACMRSLVAGDVVSGPPITFSSVERVGSVVHTLRRTGHNGFPVLEDEPFAPAPELCGLVLRSHLIVLLQGRIFTRDRVKTGAAEVFRKLAPFDFAKAGSGNGLKVDDLGITEEEMELYMDLHPITNRSPYTVVENMSLAKAAVLFRDLGLRHMCVVPRTPGRPPVLGILTRHDFMPQYIRGLFQNVLRE* >Brasy1G197300.1.p pacid=40057921 transcript=Brasy1G197300.1 locus=Brasy1G197300 ID=Brasy1G197300.1.v1.1 annot-version=v1.1 MGIDLNAADENRDARAPPPSPTAVCRELWHACAGPIVALPRRGSKVVYLPQAHLAAAGCGGDVAVALPPHVACRVVDVELCADPSTDEVYARLALMAEGEVFERNMGGGGNEGEDDTEDGDGERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFSPLDYQQIRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELKLGVRRAIQLKNESLFKAFSSNSSKMHTLSAVANSLKHRSVFHICYNPRDAASEFIVPYWKFLKSLNHPFCIGMRFKIQYGSEDVNERRSGMITGVNEVDPIRWPGSNWRSLLVRWEDGTDCNSQNRLSPWEIEIVGGSVSIAQSLPASSSKRTKLCSQSNLDVPTLYGTGRPDSAGTDKLPRVLQGQELMGSGTHRVTCSPQQAGVTEFKCSDGMRFIANTRSCMLSGPTSRLPARNSGFSYQSVGFGESVGFPEVLQDQEISQTVPLFPGMVSDTCSAKGRYGLHNVHTSYAVSGGLSSAIQGHSLSLSAQPPAEMASPVVTVVPQLRPLSKNKDGDANGSQPEPFGNVKASTPGDAVKIGPDGRKVARSSCMLFGFSLTEKILPTEEDCVKEGNHETDCPNPRMLDLFGYGHATPGALHALCAAPLGI* >Brasy1G197300.2.p pacid=40057922 transcript=Brasy1G197300.2 locus=Brasy1G197300 ID=Brasy1G197300.2.v1.1 annot-version=v1.1 MGIDLNAADENRDARAPPPSPTAVCRELWHACAGPIVALPRRGSKVVYLPQAHLAAAGCGGDVAVALPPHVACRVVDVELCADPSTDEVYARLALMAEGEVFERNMGGGGNEGEDDTEDGDGERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFSPLDYQQIRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELKLGVRRAIQLKNESLFKAFSSNSSKMHTLSAVANSLKHRSVFHICYNPRDAASEFIVPYWKFLKSLNHPFCIGMRFKIQYGSEDVNERRSGMITGVNEVDPIRWPGSNWRSLLVRWEDGTDCNSQNRLSPWEIEIVGGSVSIAQSLPASSSKRTKLCSQSNLDVPTLYGTGRPDSAGTDKLPRVLQGQELMGSGTHRVTCSPQQAGVTEFKCSDGMRFIANTRSCMLSGPTSRLPARNSGFSYQSEWFLIHVRQKGDMGCTMCIPRMPLVGGYRLQFRDIPSLYLLNLQQKWLLP* >Brasy1G063000.1.p pacid=40057923 transcript=Brasy1G063000.1 locus=Brasy1G063000 ID=Brasy1G063000.1.v1.1 annot-version=v1.1 MQPCLPRIPPLHAYSSPHFTPHNSKATMHPPTANNDLFIKNRAPFICSIISQKHILLVWSHNFITILVLQCMSHCHDRQIAGTNKQLCAAYLRCLRLTNSTLHLISRHLSSLAKERSWVLGEGIMGTTALH* >Brasy1G301500.1.p pacid=40057924 transcript=Brasy1G301500.1 locus=Brasy1G301500 ID=Brasy1G301500.1.v1.1 annot-version=v1.1 MGPPPPRGRYFGAPWPWRIGEQRSMSSMAEMLQGGDGVRFSLRFDPMRAGFDRSTLVSFPVSALICRLLGWSNNSSQGRSPESLVVVAAAVALYFAALLSDQQPRRRRLTASAMARPNSAARPRALPAPDDGLRILSSNDESLENVIHGASIGAGEDEPVLVARVQTRPPHAAVASADGEEAEDDQSDNEPPEEEVEPFKELWRSLQEREQRLELRLMELDSLREQEAAVRELESRVSAAAVEARLLERKAAALQEENETLRAQASELDAVRAELGRAREKLRALGARAEREQEEAQREAAALRERVTEFEKKGEEREKALAEEAAAMRKANAGLAEETRELALRLQDAEQAAASVNLVIEEEDTAEEAKKLRETNERLTRQIEQLHGDHCKHVEELVYLKWVNACLRHELRGNGDQHASSGAQQDHAGVSSSAVELSKSMSYRSSEKAKELMLRYGNLGLEGFDPALFSPLNESLYGDGHDQDHAVAVAAAAPEKRAGHGKLKFLRNIKKLLASSNRKGRGHDHKEGKKKAPAAHDEHFEKAIRWLSSHDALGAGDSSCNSTPLSSCQRTPLSSVTTVDSHAARGERGGGGRETAAEPAAAWRLPEEEEEAKLARSKSDAGASYGREATRYHALRPEHPADVGPHHALHAPEKPRRYSEELTSS* >Brasy1G301500.2.p pacid=40057925 transcript=Brasy1G301500.2 locus=Brasy1G301500 ID=Brasy1G301500.2.v1.1 annot-version=v1.1 MGPPPPRGRYFGAPWPWRIGEQRSMSSMAEMLQGGDGVRFSLRQGRSPESLVVVAAAVALYFAALLSDQQPRRRRLTASAMARPNSAARPRALPAPDDGLRILSSNDESLENVIHGASIGAGEDEPVLVARVQTRPPHAAVASADGEEAEDDQSDNEPPEEEVEPFKELWRSLQEREQRLELRLMELDSLREQEAAVRELESRVSAAAVEARLLERKAAALQEENETLRAQASELDAVRAELGRAREKLRALGARAEREQEEAQREAAALRERVTEFEKKGEEREKALAEEAAAMRKANAGLAEETRELALRLQDAEQAAASVNLVIEEEDTAEEAKKLRETNERLTRQIEQLHGDHCKHVEELVYLKWVNACLRHELRGNGDQHASSGAQQDHAGVSSSAVELSKSMSYRSSEKAKELMLRYGNLGLEGFDPALFSPLNESLYGDGHDQDHAVAVAAAAPEKRAGHGKLKFLRNIKKLLASSNRKGRGHDHKEGKKKAPAAHDEHFEKAIRWLSSHDALGAGDSSCNSTPLSSCQRTPLSSVTTVDSHAARGERGGGGRETAAEPAAAWRLPEEEEEAKLARSKSDAGASYGREATRYHALRPEHPADVGPHHALHAPEKPRRYSEELTSS* >Brasy1G191100.1.p pacid=40057926 transcript=Brasy1G191100.1 locus=Brasy1G191100 ID=Brasy1G191100.1.v1.1 annot-version=v1.1 MFCEYKGEKAQLQHVDKPATERLGATQSNKQFLTARKRHTDPGGRSDRPSPPSPRLTFPDPRASCGPGSRFHLPRPRSPNEEGARRHCLIASVGARDKTHGETRRRFPRSRLVAQA* >Brasy1G014200.1.p pacid=40057927 transcript=Brasy1G014200.1 locus=Brasy1G014200 ID=Brasy1G014200.1.v1.1 annot-version=v1.1 MSSLQLLKLTEQGRNLLSSRRKALAIVSGALLAGGTLTYAQSCRRKKHREENSHGDASTHTRNKESNGQNVVDGKLVKPRKKKNLLKSLHFLAAILLKKIGPSGTNYLLGLMLTAVIRTAIGHRLAKVQGYLFRSAFLRRVPTFTRLIIENLLLCFLQSTVYQTSKYLTGSLGLRFKKILTDLIHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLIAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGTIRKFSPSFGKLKSTEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVQHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIHELLEVSRDLSGVRDRSISQNSSVKNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYSGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWKVQDNRNGSFLPTESEFDVLKSSETDRKSDALAVQRAFRTNTKDNAFSSSKEHSYSTQVLATSPNMEIEPTEQPHLIPQLQCSPRPLPVRVAAMSKILVPKIIDKQGGQLLAVAVLVLSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGVSVMQSAANSIVAPSLRNLTSKIALGWRIRMTNHLLAYYLKRNAFYKVFNMSGMNIDADQRITRDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGSFRFMHSRLRTHAESIAFFGGGSRERAMVEARFTTLLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLHASQSNAAMPSNASSVASDEIISFRDVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLAMPSEGIFHVSQRPYTCLGTLRDQIIYPLSHEEAELKMVSLVKTSDRFTTSGSLDDHLKTILENVRLVYLLQREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYRLATDMGITVVTSSQRPALIPFHSSELKLIDGEGKWELCAINQ* >Brasy1G014200.2.p pacid=40057928 transcript=Brasy1G014200.2 locus=Brasy1G014200 ID=Brasy1G014200.2.v1.1 annot-version=v1.1 MSSLQLLKLTEQGRNLLSSRRKALAIVSGALLAGGTLTYAQSCRRKKHREENSHGDASTHTRNKESNGQNVVDGKLVKPRKKKNLLKSLHFLAAILLKKIGPSGTNYLLGLMLTAVIRTAIGHRLAKVQGYLFRSAFLRRVPTFTRLIIENLLLCFLQSTVYQTSKYLTGSLGLRFKKILTDLIHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLIAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGTIRKFSPSFGKLKSTEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVQHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIHELLEVSRDLSGVRDRSISQNSSVKNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYSGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWKVQDNRNGSFLPTESEFDVLKSSETDRKSDALAVQRAFRTNTKDNAFSSSKEHSYSTQVLATSPNMEIEPTEQPHLIPQLQCSPRPLPVRVAAMSKILVPKIIDKQGGQLLAVAVLVLSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGVSVMQSAANSIVAPSLRNLTSKIALGWRIRMTNHLLAYYLKRNAFYKVFNMSGMNIDADQRITRDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGSFRFMHSRLRTHAESIAFFGGGSRERAMVEARFTTLLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLHASQSNAAMPSNASSVASDEIISFRDVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLAMPSEGIFHVSQRPYTCLGTLRDQIIYPLSHEEAELKMVSLVKTSDRFTTSGSLDDHLKTILENVRLVYLLQREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYRLATDMGITVVTSSQRPALIPFHSSELKLIDGEGKWELCAINQ* >Brasy1G221300.1.p pacid=40057929 transcript=Brasy1G221300.1 locus=Brasy1G221300 ID=Brasy1G221300.1.v1.1 annot-version=v1.1 MATTLPTSATAAVRIFQPTFCHVPRTSHVLAPSRSVSRRFSGVACSLGIGSVAGQATPQEDTVRIVAVVGEGSISPLKDTPWEEVMRHTADRLKWVDEGFEMFIFTDKSIDCDDLRKELSRCDMLVNVAITGQESVQWLVHNSKSISNVLCFQSSPSLLNNLGGTYVQYTGEQDFFGKLANVGKPSGTEESTEVLKTISNAWERHNSDDIRFCLLVVVNAYIKPVAMLQNLRAKGLSTLSCMLRNCGPQILNCLFDPDCRKAIQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLELNAEIPSKPSVTPLSMFREQNLSHEIAEDLFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGKLVWRRRKYRVRRASIPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGQSYTGAVLVTPDGSYPDADNSPRLASALEKCGIKKWELYMVDNCSCMGAPLGTPDGSQLHYQIAPGKDAGIMQRI* >Brasy1G362000.1.p pacid=40057930 transcript=Brasy1G362000.1 locus=Brasy1G362000 ID=Brasy1G362000.1.v1.1 annot-version=v1.1 MRRPAGRARIVRLRAGIRTAAAAAARPRAAGLRQPAVRLRLRRPWAAAYPPHGGYPVPAPGAYPMNSARSHGNGIVPNRARRRLRQARPQVEVKKLSGKLR* >Brasy1G149000.1.p pacid=40057931 transcript=Brasy1G149000.1 locus=Brasy1G149000 ID=Brasy1G149000.1.v1.1 annot-version=v1.1 MKTAGPATARPNPHQISPPGWVGLCCGASASPVVERRPPLARSGPGPRSPAVTARTLKKPRGNPSSSAPPRRVPPFTSDPTRTEATSLYPTDEWDQTVYGPHATGFRCKPYTASRDGEEKGGGGRRARRSTEMTPAAKGADTVFVSPSEQISRTRSQEQQQERAAAAAPSVPSSYAVWEKER* >Brasy1G377300.1.p pacid=40057932 transcript=Brasy1G377300.1 locus=Brasy1G377300 ID=Brasy1G377300.1.v1.1 annot-version=v1.1 MESHDGVADDLPPPPPLPPSIEPLKPEQADDLPLPPPLPPNVEPIKVEDAKKPKRTLISRPGFGKNGKPIQLVTNHFKVSLKTTDEFFHHYYVNLKYEDDRPVDGKGVGRKVIDKLQQTYASELAHKDFAYDGEKSLFTIGALPQVNNEFVVVLEDVSSGKTAANGSPGNDNSPGSDRKRVRRPYQTKTFKVELNFASRIPMSSIAMALQGQESEHTQEAIRVIDIILRQHAAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATKSGLSLNIDVSTTMIVKPGAVVDFLIANQKVDNPHKIDWAKAKRALKNLRIKTSPANTEFKIVGLSERNCYEQMFSLKQRNNGNGDSEAIEISVYDYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIELCYLVPLQRYTKSLSTLQRSSLVEKSRQKPQERMSVLSDVLQRSSYDTEPMLKACGISIAQGFTKVAGRVLQPPKLKAGNGEDIFTKNGRWNFNNKRLVRASCVERWAVVNFSARCNCNDLVRDLIKCGGMKGIKVDQPFDVFEEHPSMRRAPAPKRVDAMFETVKTKLPGAPKFLLCILAERKNSDVYGPWKRKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIELSPAIPLVSKVPTMILGMDVSHGSPGQADTPSIAAVVSSREWPLVSKYRASVRSQSPKSEMIDSLFKPQGTEDDGLIRECLIDFYTSSGKRKPDQIIIFRDGVSESQFTQVLNKELDQINEACKFLDESWSPKFTLIVAQKNHHTKFFIPGSPDNVPPGTVVDNVVCHPKNYDFYMCAHAGMIGTTRPTHYHILHDDIHFTADDLQDLVHSLSYVYQRSTTAISVVSPICYAHLAAAQVSQFVKFDEMSETSSSQGGGGHTSAGSVPVQELPRLHEKVRSSMFFC* >Brasy1G578400.1.p pacid=40057933 transcript=Brasy1G578400.1 locus=Brasy1G578400 ID=Brasy1G578400.1.v1.1 annot-version=v1.1 MRGPSGRLAEPGLVRVPKRKWDGFFPLLSSQVTARNRKKRKVALDIARGRRPGAPFTSPLDVGWTGFTLEECELLKASIRKRSYYGGPAYRCRRCDSAFWYQERVVSKSAITQRRMVFNLCCKGGKVFVPPFQQPPAYLRDMLRFDGGPQFLHTVEFQKRGLPHAHILIWLQKKRADVMPFTPLSEINQASKRWEVRVFFSRLWCYRGGTDKGPIKHMDAVVLDQQGTCMYAQISAEATKRFEHILQEGKVYGLRNILVSPSKTAFRAVEAKNMINITKYTTITEMPDAETEFPLCTYNLTAFTELPKPAKAPTNFVDVIGKIVAVSQVFEVHPSNQLEPSSTRTVVCRGHEMKLILWGERAVEFDADQVVSAGAEEHVVAIFVGTLPKMVEGAKGLSGSAACRWYINEDIPDINSFKSSLGDKVLPVIVYTPPGLNLGAARARTTPKEMTVKELSGLNPFEDLGLRYFCDVTVTRLCSNQRWWFCSCITCHKSAAPGVTDYTCSNPGCASKDADPTYSIAVMASDGTEEAEFVFFDKIGRAAAGKPLMTLLRQNHPGIYPVLEVVKAARFADGTPIEISRLVGQKYRLVVSISAKSFTSAHESLSFQVNRIEHTYEQQLHSSLLGSPAASSSKSAELLGSSLTPMSALASPVVLHAVVTSPDRAIATLSPQAPIGTATASVASPVSSATPGQNVCPQRGTKRSLFGGGRGSKKSMKTSNPADAASLPAVVDGSTATVDPADTTKDGQVPVNNKRGGSGKGSGKGATPK* >Brasy1G051400.1.p pacid=40057934 transcript=Brasy1G051400.1 locus=Brasy1G051400 ID=Brasy1G051400.1.v1.1 annot-version=v1.1 MLDRGLGLYRDVRMVGTIPGVFVGDVFFYRAELCVVGLHNHVQQGIGYIPASVVSKGKPVATSIVSSGGYLDDHDGGGDVLVYTGSGGRPRNGGDHFADQKLEGGNLSLVYSCEYGIEVRVVRSHDCEASPSGKVYVYDGLYKVESSTYGPGKSGPDVCKFKLVRIPGQDELGSSVWHAARELRNALASRIRPRGYLSLDLSKGKERLRVPVCNKVDQDSSPLDFEYIAHPDFPASRVPMPVKLYKACHCGTTCGTARSSAAACKCVCVRKNGGGPVYNADGTLVRGRPVVYECGAPCGCPAASCLNRATQRGMEHRLEVFRSMETEWGVRTLDLIQPGAFVCEYSGDVVTVDDSQLTDWGCFVDPRKFPARWREWGDASAALPDKEEGHKFPEPITGPGYVLDVSRRRNFASYISHSSAPNVFVQFVIRGNEDESFPHLMVFSMDTIPPMRELSIDYGIDDQQG* >Brasy1G426100.1.p pacid=40057935 transcript=Brasy1G426100.1 locus=Brasy1G426100 ID=Brasy1G426100.1.v1.1 annot-version=v1.1 MDGGAQPPDAEMADAGAAAAVPGAGVAAGGTMENIQATLSHGGRFIQYNIFGNVFEVTVKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSLSEKFPHVHPSAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCTMPFSFDFEQHALSEEQMKDLIHQEALAFNPDYQ* >Brasy1G449500.1.p pacid=40057936 transcript=Brasy1G449500.1 locus=Brasy1G449500 ID=Brasy1G449500.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPNGVLISCPPIDSGPGCNRPPC* >Brasy1G311000.1.p pacid=40057937 transcript=Brasy1G311000.1 locus=Brasy1G311000 ID=Brasy1G311000.1.v1.1 annot-version=v1.1 MGTEEAMKRSGKTTKAPSSLPPAPAPALFHVRTRPRTTTTSKVMGVDCSPSPRRPSTPPLRSRVTVPFLWEDAPGKPKLPQQTAHRDRAPLFFFPPAAAASLALVRDHEGGGAAVPLKLPPRLQTAAEYSVVSSPRTVLHGPYYLGGKTKPPRPRPLRRSESAASRLLSWRKAAASKKGGGHDHDAPDAASCSSPPGSSASSSSSSSMSYFFDDHSRRSHWRQQAADAREESEDGEEDGGAAQGSVRITRFRRNSSLPNITTSRLWASVRKGVKQINPWS* >Brasy1G508800.1.p pacid=40057938 transcript=Brasy1G508800.1 locus=Brasy1G508800 ID=Brasy1G508800.1.v1.1 annot-version=v1.1 MSISKKNTGALCLVALMAMAALLISSCDAHNEVDDAVSGSKVKCWLNDFPNCTSEDCIQYCSMPGRPPATTAYCVNSDACCCPI* >Brasy1G258000.1.p pacid=40057939 transcript=Brasy1G258000.1 locus=Brasy1G258000 ID=Brasy1G258000.1.v1.1 annot-version=v1.1 MSCFGGAKGKKERRQRRRKRSPAQSPSGRSRHSPRKVDLDGEVISANAPLLFTLLELSFSCCTCMPLLVTLALIMDNMTTVTDM* >Brasy1G202500.1.p pacid=40057940 transcript=Brasy1G202500.1 locus=Brasy1G202500 ID=Brasy1G202500.1.v1.1 annot-version=v1.1 MDSDMSLSNMVLSFLEEGETERWPENDDGDEEEGSCGGDTAESKAFWQAQHSQLHEALAKSSTVESRIRADTEEAMEKMRAAGGVCSCARRAAAGDCRSCMLRHVAEHLRGAGYNSAICKSKWARSLDIPSGEHSYVDVVVQTRSGKAVRVVVEPSFRAEFEVARAGPGYRALVAALPEAFVGRAERLRGVVKAMCAAAKQCMKENNMHLGPWRKHKYMQSKWLGTATQREEAAASVEYAVAVGASPEKQPKFRASMLSFDFGRAPVVVV* >Brasy1G332500.1.p pacid=40057941 transcript=Brasy1G332500.1 locus=Brasy1G332500 ID=Brasy1G332500.1.v1.1 annot-version=v1.1 MAWRLALSKTGRRSSNVIYNELATTFPFRTPANGTGAGSVLKKLQERYRSSYVGSFARRIRDLDTPSDASLLKEIYRSDPERVVQIFESQPSLHSNSSALSQYVKALVSLDRLDDSPLLKTLQRGIANSAREEESRSGIPAFQNVGRLTKDGALGTAGTPIHMVTAETGQFKEQLWRTFRSIALTFLVISGIGALIEDRGISKGLGLNEEVQPSLDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKADDVDLMTIARGTPGFSGADLANLVNVAALKAAMDGAKAVSMNDLEFAKDRIMMGSERKSAVISDENRKMTAYHEGGHALVAMHTDGAHPVHKATIVPRGIALGMVTQLPEKDEHSVSRKQMLAMLDVCMGGRVAEELIFGESEVTSGPSSDLSQATRLAKAMVTKYGMSGRVGLVSYDYDDDGKTMSTQTRGLVEQEVKELLDKAYNNAKAILTTHNKELHALAGALIERETLTGAQIKNLLSQVNNSNNQQHEATEVPHETPAAPASPQAPPQSPAAATAAVAAAAAAAQQAAAKAKGAAGI* >Brasy1G056900.1.p pacid=40057942 transcript=Brasy1G056900.1 locus=Brasy1G056900 ID=Brasy1G056900.1.v1.1 annot-version=v1.1 MAQPEHDSPYTVHADPKMTQFEIRDAATVDPMDPPPWPRELLLVARIGCAVRVVCELRFTGGSVERHEHRRAPAHAAAAGEEEEEEEVIVGFAAEHLRSEAACRAVVGRGLIRQVPRLKERYGHFAAELWDAFVPPGLVHGILAAGADADADAGVDALRSGGGSSRRRLVCDVSMRVDVTNVYCEAKALLLSCAGDAGRGAGERCPICMKKLLAKEDDATSLPGCSHAFHRGAPNCPCCRRDMMQYLPHKYRR* >Brasy1G120600.1.p pacid=40057943 transcript=Brasy1G120600.1 locus=Brasy1G120600 ID=Brasy1G120600.1.v1.1 annot-version=v1.1 MITRVYALRTGKPFWVVSEGMERDVFMSADEAKAYGLVDIVGDEMIDEHCDTDPLWFPEMFKDW* >Brasy1G380100.1.p pacid=40057944 transcript=Brasy1G380100.1 locus=Brasy1G380100 ID=Brasy1G380100.1.v1.1 annot-version=v1.1 MKKNYLGREARLDEVWPGWSAADRIRPGGRGAATRAERAAEARGEQVGAGASDRGEEAAAARGEHAEAAARAERAAEAARGEPYAAAAAARGEQRGGGGGGATWEATQRGRGSSGVGRGRTEGDA* >Brasy1G408100.1.p pacid=40057945 transcript=Brasy1G408100.1 locus=Brasy1G408100 ID=Brasy1G408100.1.v1.1 annot-version=v1.1 ADPGWVCWWDTNIWWFTCGSSGAGQRGDASSSAQGSVHSGSAGNLQKQASPASSDGNRISEQQDNVVVKLCYKCEQVGHGIKDCKTVLFCVYCGKDSHLSSKCVLPTQPKATAQLVGSAADGLQMFVAPLVKKTTVESKDAMALVNVHLGEVNAEQLVNAFNRMFQWGWTWSAKAYAPGSFLMKFPSIEKISELHQFGSFWLIGEKAEVMVSRWSSETLAQFKLSSVWVKISGVPESLLNYQGFCMAGSIIGTVQEVDMISYRKHDVIRVKVGVMDHLKIPTWGPLTVDPFIYRIYFQLESVVELGGPLIGGVVIRASAMQNRQEQVDVRQQKRLKDNQGNKHVSDIAGVDDEEMVLSSQPNIDALALTDKRTSGSDCQGVGIENSDRISAVDQVNTRFAKETEQIDNLECSDDESEPKSPTQFARDCGLGTQAIDEMNAMDYNVESPENVVPIGTPPAQNEGLVRDGKGKKTIIDDDNRRRSSRNANDDKAALDKAMDRAKLKNLDSHKGNEAQNSFPTVLNSANGILQQIAFQVGVNIGNSEIDVDNNLKVIKELEEARTALYMSNLKKSNEVTKEHNAKLDSFDPESMHDLLSSSSEDDQNDLSDFFDIKIGSNSSGKKKSKVNAGFISVKPKIRGRRYNKKKS* >Brasy1G257500.1.p pacid=40057946 transcript=Brasy1G257500.1 locus=Brasy1G257500 ID=Brasy1G257500.1.v1.1 annot-version=v1.1 MQEASSSSLPALSSAYQPLPSLYLGFLAIWAASGLSWAFSSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVNLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMYERLSIKERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLNLPVMPLIKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVLATLQRTAHDDSFCSSQPLLVLVQNPSPKISRAATA* >Brasy1G257500.2.p pacid=40057947 transcript=Brasy1G257500.2 locus=Brasy1G257500 ID=Brasy1G257500.2.v1.1 annot-version=v1.1 MQEASSSSLPALSSAYQPLPSLYLGFLAIWAASGLSWAFSSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVNLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMYERLSIKERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLNLPVMPLIKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVRTAHDDSFCSSQPLLVLVQNPSPKISRAATA* >Brasy1G330200.1.p pacid=40057948 transcript=Brasy1G330200.1 locus=Brasy1G330200 ID=Brasy1G330200.1.v1.1 annot-version=v1.1 MYQPNQISSIGHNHGSPAAHDQMEQGGTSMSMVPCNGGNDNPNMASRQRLRWTNELHDRFVEAVTHLGGPDRATPKGVLKIMGVPGLTIYHVKSHLQKYRLAKYIPDPSAGDDNKAEEKDPGDLLAALEGSSGMPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRIAAAGPSRATSSDQMPDSERNNPSTPGLTSESRVHGSTKDNRNQIELTKSSPNNGPLACRQPLTPDCSRPSSPTLSPKHERPVKRQRGSDTADDTSFEDDHFVLPRTIFEPSRASEFQDCSSPYSGH* >Brasy1G330200.2.p pacid=40057949 transcript=Brasy1G330200.2 locus=Brasy1G330200 ID=Brasy1G330200.2.v1.1 annot-version=v1.1 MYQPNQISSIGHNHGSPAAHDQMEQGGTSMSMVPCNGGNDNPNMASRQRLRWTNELHDRFVEAVTHLGGPDRATPKGVLKIMGVPGLTIYHVKSHLQKYRLAKYIPDPSAGDDNKAEEKDPGDLLAALEGSSGMPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRIAAAGPSRATSSDQMPDSERNNPSTPGLTSESRVHGSTKDNRNQIELTKSSPNNGPLACRQPLTPDCSRPSSPTLSPKHERPVKRQRGSDTADDTSFEDDHFVLPRTIFEPSRASEFQDCSSPYSGH* >Brasy1G036400.1.p pacid=40057950 transcript=Brasy1G036400.1 locus=Brasy1G036400 ID=Brasy1G036400.1.v1.1 annot-version=v1.1 MMLPLPPLPPPPIAAALHRRGRRPLLPLRVPPWKLDPPPPLRLRLAPARVLGVGG* >Brasy1G344800.1.p pacid=40057951 transcript=Brasy1G344800.1 locus=Brasy1G344800 ID=Brasy1G344800.1.v1.1 annot-version=v1.1 MVMPASSASSAAACRDTASGGIPTVDMSAPWGRAELSRQMVEAFAERGFFKAVNHGVPPRAPGRLDAATAAFFARPAPEKQAAGPPDPLGYGSRSIGSHGDVGELEYLTLHANPGAVARRAVAIDREDPSRFSEAVNEYVGAVRHLACQILDLLGEGLGLDDPTALSKIITVSDSDSIIRINHYPPSSAAASSVGFGEHSDPQILSVLRANDVDGLQVLLPDGRGQDTWVQVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTSKPRLSTIYFAAPALHALISALPETVTSDTPRRYRPFTWAEYKKNMYTLRLSHNRLELYNAVNGNAGEGRDQE* >Brasy1G371100.1.p pacid=40057952 transcript=Brasy1G371100.1 locus=Brasy1G371100 ID=Brasy1G371100.1.v1.1 annot-version=v1.1 MTGPSSQPDPSHSSGLTIDRRPGEQLRKECMRRWRTTITVPASRKPDFFMVASFGRCKFRLTEVSVANLLNACTGGNPEEFRVIHLRDRTFRFSVTNKMIGFHLAKLNSFSSSAFVVYFHLWGFGGPNYLYELDCWEKEEEQSWTHSKASSARFHGGNRPPTPLLTGANIVPIGHKSAFLRLGTQDVSLPPTSPWTSEKEQDLVDAGYEAEEIAAAKVDYLARYKGKKKVSVFDRIIPQPSPATCSSPATCTEPEKNQFKTNGQLIHSIDGPHSKEGHYSAQLFGPNYCSPETTSQTVEQKDVGPQPTSATCLKPGHHFSKCWRAIHHRYFLKPGHIYRLCKARARDVKSDEDEILGKSMGFIFRPKFPSVDDFAWPAGSVATWFRRTGEMRGNNSAQGIITAPVFRNFTEFSFFLSKKPQTASSSSPAPPPKPQPEAPSHTAVAAAHTSTMANFLVDPAPFLPGLFDIVEVVGRTQQSRYHVDGPIVAKNDDTAIVTMHHPPDPATPFHLTEVGHRVDYMQWCPFGQAYMRTVATSDCDWLILNSPHHHHGTEFHFCAHNKGINWRIFTYNQEAWLMLLGFPADIWSTEKFNNAIAKWGRLVTWDQTVSNTARVIVKVRVADLRDISFSIVVTHGSDMEGESWTVPIYILSHQMLGVHAPEEDVPPEDGGNPHPLLVLPWHQHHGQHAHVPDLNAAPLDDMDEDEEDGDEDEWALSEPENPGTRDAGHPLL* >Brasy1G418700.1.p pacid=40057953 transcript=Brasy1G418700.1 locus=Brasy1G418700 ID=Brasy1G418700.1.v1.1 annot-version=v1.1 MDREAKKESFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETNRQLEELKNSKNGAPWNGNNGC* >Brasy1G001200.1.p pacid=40057954 transcript=Brasy1G001200.1 locus=Brasy1G001200 ID=Brasy1G001200.1.v1.1 annot-version=v1.1 MLRPWRMLLPLLLLHSPIVFSQEVQDNDPSTLFKRASEMMSLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRDRCSYKEAESDYNKYLELKPGNALVKKELSQLLQAQNALESAYGQSDAGDFSKVLEYVKKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLEALLLRGRAYYYLADHDVANRHYQKGLRLDPEHSELKKAYFGLKKLLKKTKSAEDNAAKSKLRVAAEDYKAALAMDPDHTLYNVQLYLGLCKTLVKLGRGKDAISSCTEALSIDGELVEALAQRGEAKLLSEDWEGAVEDLKEAAQKSPQDMAIREALMKAERQLKMSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVENREEAENMFREIAAAYEVLSDEDKRVRYDRGEDVDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFPGGGGGGGFPGGFQFNFG* >Brasy1G001200.2.p pacid=40057955 transcript=Brasy1G001200.2 locus=Brasy1G001200 ID=Brasy1G001200.2.v1.1 annot-version=v1.1 MQSSYKEAESDYNKYLELKPGNALVKKELSQLLQAQNALESAYGQSDAGDFSKVLEYVKKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLEALLLRGRAYYYLADHDVANRHYQKGLRLDPEHSELKKAYFGLKKLLKKTKSAEDNAAKSKLRVAAEDYKAALAMDPDHTLYNVQLYLGLCKTLVKLGRGKDAISSCTEALSIDGELVEALAQRGEAKLLSEDWEGAVEDLKEAAQKSPQDMAIREALMKAERQLKMSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVENREEAENMFREIAAAYEVLSDEDKRVRYDRGEDVDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFPGGGGGGGFPGGFQFNFG* >Brasy1G267000.1.p pacid=40057956 transcript=Brasy1G267000.1 locus=Brasy1G267000 ID=Brasy1G267000.1.v1.1 annot-version=v1.1 MTKTRRIRKSKHLLENKKGVDENVESILRIIEEENEMPETELDDSGNTMKKSKLSSLVKGFHEEYQYLHKHCKQLISKLENVGHSSTGSDSSDSDSEEDRSDNDVAESKVHTLNEENDWEQLTEDNQGEEQSVEAKIQKLKQKADEQAKEISNLKQLLDEALHDKEATRIDLSSDVANLSSENENLKLLVKSAEGKTGELLKTLAVVENEIRTLSSEKEIIETEREDLKISIVGLENKREDMSNQLHHTVEKCTFLSSQLEKVQLAEKEVQNLLLENMKMKNQNLMMSVEYDNLKAQQKNFDIECSQLKATLAEIKAENESLFGENHSLESKLQLSWVEIDGMTVEKEELINNLNKERAYAQEEKLRLVSEQSKYLNELETARSSVKELEKELESTKVALNDNIAELHREKNSAALELKQLEASFKKVEKEVEQQLEQISVMQKKNKDLELANSNLNNELAAVQGQKNEAVASTIDLESKMQQKNEQISNLQEAIEELSAIQTDLYNEVIVQKEEKNSALVQLQQSEADLKNLQNEMEQKQTQISVLQQANEELQTENYSLDRQLEEAKTYLQAKIILIQGEKEQALANLEQSNTSVNTLECELEQQREQNYILQLANEDLQKCNANLKKESEDAMVSLHAEIIALQEEKNKTLSELQQSEASIKNHRIELEEVREQSLILHLANEDMKNINVSLEKQLEESRNSFIEDNVALRKEKETALSELQQSHASIMNFERELKKQSGTVSALEKANEDFQRNGCDLTKQFEEVKVELQKEIKVTQEEKDTILTQLQQSEFSIKNLEYEVAQLKGDLSVQLENNSILDKQLEETRASLHADILALREEKKTALSELQQSQASMMNFERELEKQMQSISALQQANDESEKNRCALTEQLEEFKVELQKKIKVAQEEKDTLLTQLNQSESSIKNLKSEIVQLKENLSVQLKNNSSLEKQFEEAILRVSNLHEKLEKVQAEAASQISDMNSNAKDLEKIIEILSSQKRKVEGDLKVMIKTCAENMSFMNEFEDRVKQKITDHDTELAVLQQSIRGILSSCLRLQHRYDEVSTKASQLEVLKQNQIEKIDQLEEKNTEILDKHHHLEEEKLYANKENAKLQKHVQELEVQLQLAKQKLKVTDAESRCKEDSYIMKEQTSQAEIGHLEKQIQVFSGRISSLEETLMQIKESAESVVCKLASQLDELESHSSQSLAHFIARFSACSEELSVLKDKLRHHLDEQKELLKENNELAIRLKKKEKVMSEMVSSAAEADERMVQLEKIIEEKDDELAARVQEKREAIKQLSDTIDYHKNNNDDLVRYIRSQSRPRLPFCL* >Brasy1G151600.1.p pacid=40057957 transcript=Brasy1G151600.1 locus=Brasy1G151600 ID=Brasy1G151600.1.v1.1 annot-version=v1.1 MAAPHGIGIMHGAVDAFIRGPSNQPAALTSDATFRLFGRDFSSDDGAQQPPKEEDDDAAAADGILEGAAAAAGEATEARKQFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAHFLPVGAHAHHLYGALFGAVSPQPPPQYPLWAGAVPPGMYGGMPMPGLWRPSPVVAGSGAFGATGGKNDEAAAPGMVMSVVTTSLPSCLSGRSSDLGQQKEAVSLDLCL* >Brasy1G564600.1.p pacid=40057958 transcript=Brasy1G564600.1 locus=Brasy1G564600 ID=Brasy1G564600.1.v1.1 annot-version=v1.1 MAPPSTSSGHEGLNPRDVCVVGVARTPIGALLGSLSSLPATKLGSVAIQGALRRASVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTINKVCSSGMKAIMLAAQSIQLGMNDVVVAGGMESMSNAPKYLAESRRGSRFGHDVLIDGMLKDGLWDVYNDFAMGMCGELCADQHSITREEQDAYAIQSNERGIAARDSGMFDWEITPVEVPSGRGRPPVVVDKDESLAKFDPAKLRKLGPAFKKNGSVTAGNSSSISDGAAAVVLVSGEKAKKLGLQVIARIRGYADAAQAPELFTTTPALAIPKAISNSGLQTSQIDYYEINEAFSVVAVANQRLLGIPPEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKHAKFGVAGVCNGGGGASALVLESMQPSSHIRSSL* >Brasy1G053000.1.p pacid=40057959 transcript=Brasy1G053000.1 locus=Brasy1G053000 ID=Brasy1G053000.1.v1.1 annot-version=v1.1 MAQKLYQLSPPEDLALAKTLARPSRQFVDDAVMDNEEFLTAEGYGAVSRVYVVAEDDASWSPEFQRRMASWSPGTEVRGIEGADHMPMFSKPKELSELLVEIADKYA* >Brasy1G257900.1.p pacid=40057960 transcript=Brasy1G257900.1 locus=Brasy1G257900 ID=Brasy1G257900.1.v1.1 annot-version=v1.1 MAASSLRPLLPPKPFLLTPKPQAIVPITPITAVRCTAAPKPTITAPNPKPTQQEQEEQSSIPDEREPTDPEAEANANPHRIPDDETPPSAAATTSFSVVRRVPSAISTDGRLRRTALTQEEPPNFEIGWKRTKELPPLEKPKGWVVADFMEKLEGLMQRGRYGSGALLGTVAGVVTERAREEAEILVAEGGVDERVATELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL* >Brasy1G124700.1.p pacid=40057961 transcript=Brasy1G124700.1 locus=Brasy1G124700 ID=Brasy1G124700.1.v1.1 annot-version=v1.1 MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDSQAFSEKESLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASANGRSMQGTTINVAEPSTNAKGSCCSS* >Brasy1G315100.1.p pacid=40057962 transcript=Brasy1G315100.1 locus=Brasy1G315100 ID=Brasy1G315100.1.v1.1 annot-version=v1.1 MGSVGGEEEFPAGVTGADAEVGALVWVRRRNGSWWPGRILGQDELPENCVVPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKAFRCGEYEECIEKAKVLAHQHKRAYNEGKYVRREDAILHALEIERSRFPDENEVDDVVCASQSTYSAKSKNTSGTNKRSSRVARGLYDIEENSPQGLSPASFKVPQNISSSSTRYASSSRKKRKTSNKFEGNTGQGFRRMRDLVGSKKVPRQKSSAGSDGYQDLPLLESGTSFGYELSSTNGIKNSKQSHSLTKRKRSNIGQAYENSRKKDRRRPLSKLSEDSAVTVPSYSHWDLSGQSSVHYPGDKLSNVFESSKGKSALSVNVNNYSYSSGTSSAETLADASWTNHSGATKAFQLKEEVSVTTGFLNDDCSDGDEVFDTRFTMEDDDVLAEGHLHTRESCAYVKDAILKPKRRTTDYSNTSSKKQNIQVDKNLLVQQYERTIKHQEQGEDVIGLDARGASASFCKHTDPGNNMEDVIVPEAGGAGIMGQQYCESGPEHDESSETISNHSHSGMVVAASAYYKSPLQMILPEQKPDLKYATCHSIKPIKSVFRDYKLYDVELEADGTYKGHRVPLVSLDSEWNGKPVVGLPVTVKVLDDSCAAESRDVHHPSTSSLNHLLKGSKVAEPRQPRSSHASRSKQSGRKKISERDTDKCWRPHTKQSTTSSPKKMRRLSSFASSRRERKNRKPVLGKIGGPTIACIPLRLVFSRINEALSFPVRSGNPTGVALPGLCIEESP* >Brasy1G315100.3.p pacid=40057963 transcript=Brasy1G315100.3 locus=Brasy1G315100 ID=Brasy1G315100.3.v1.1 annot-version=v1.1 MHFLNSKSDWYNLEKSKRVKAFRCGEYEECIEKAKVLAHQHKRAYNEGKYVRREDAILHALEIERSRFPDENEVDDVVCASQSTYSAKSKNTSGTNKRSSRVARGLYDIEENSPQGLSPASFKVPQNISSSSTRYASSSRKKRKTSNKFEGNTGQGFRRMRDLVGSKKVPRQKSSAGSDGYQDLPLLESGTSFGYELSSTNGIKNSKQSHSLTKRKRSNIGQAYENSRKKDRRRPLSKLSEDSAVTVPSYSHWDLSGQSSVHYPGDKLSNVFESSKGKSALSVNVNNYSYSSGTSSAETLADASWTNHSGATKAFQLKEEVSVTTGFLNDDCSDGDEVFDTRFTMEDDDVLAEGHLHTRESCAYVKDAILKPKRRTTDYSNTSSKKQNIQVDKNLLVQQYERTIKHQEQGEDVIGLDARGASASFCKHTDPGNNMEDVIVPEAGGAGIMGQQYCESGPEHDESSETISNHSHSGMVVAASAYYKSPLQMILPEQKPDLKYATCHSIKPIKSVFRDYKLYDVELEADGTYKGHRVPLVSLDSEWNGKPVVGLPVTVKVLDDSCAAESRDVHHPSTSSLNHLLKGSKVAEPRQPRSSHASRSKQSGRKKISERDTDKCWRPHTKQSTTSSPKKMRRLSSFASSRRERKNRKPVLGKIGGPTIACIPLRLVFSRINEALSFPVRSGNPTGVALPGLCIEESP* >Brasy1G315100.2.p pacid=40057964 transcript=Brasy1G315100.2 locus=Brasy1G315100 ID=Brasy1G315100.2.v1.1 annot-version=v1.1 MRDLVGSKKVPRQKSSAGSDGYQDLPLLESGTSFGYELSSTNGIKNSKQSHSLTKRKRSNIGQAYENSRKKDRRRPLSKLSEDSAVTVPSYSHWDLSGQSSVHYPGDKLSNVFESSKGKSALSVNVNNYSYSSGTSSAETLADASWTNHSGATKAFQLKEEVSVTTGFLNDDCSDGDEVFDTRFTMEDDDVLAEGHLHTRESCAYVKDAILKPKRRTTDYSNTSSKKQNIQVDKNLLVQQYERTIKHQEQGEDVIGLDARGASASFCKHTDPGNNMEDVIVPEAGGAGIMGQQYCESGPEHDESSETISNHSHSGMVVAASAYYKSPLQMILPEQKPDLKYATCHSIKPIKSVFRDYKLYDVELEADGTYKGHRVPLVSLDSEWNGKPVVGLPVTVKVLDDSCAAESRDVHHPSTSSLNHLLKGSKVAEPRQPRSSHASRSKQSGRKKISERDTDKCWRPHTKQSTTSSPKKMRRLSSFASSRRERKNRKPVLGKIGGPTIACIPLRLVFSRINEALSFPVRSGNPTGVALPGLCIEESP* >Brasy1G139200.1.p pacid=40057965 transcript=Brasy1G139200.1 locus=Brasy1G139200 ID=Brasy1G139200.1.v1.1 annot-version=v1.1 MLPHAPCRNPGAFKFKPFLPTKPPLAAASSSRGSLCTAAASRRDFLLLVPSLAAASTAIQYLPLSASAADDEKPAPAPPAAPAPTPPAPAGEPEEAALSRMYDATVIGEPQAVGKDARGRVWEKLMAARVVYLGEAELVPDRDDRVLELEIARKLSDRCADAGRRFSLALEAFPCDLQEQLNQFMDGRIDGNNLRLYTSHWAPERWQEYEPLLNYCRDNGINLIACGTPLEVVRTVQAEGIRGLSKAHRKLYAPPAGSGFISGFTSISGRSLIDKTSSTHISPFGPSSYLSAQARVVDDYTMSRIIMKEITNGDPSGMLVVVTGASHVMYGSRGIGVPARISKKMQKKKQVVILLDPERQGIRREGEIPVADILWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDIQKGIDLGVVSPEILQNFFDLEKYPVVDELIHRFQGFRERLLADPKFLNRLAIEEAISITTAVLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSIADDGSGESLELLRGLLGSLPDNAFQKGIVGQNWDINQRFASVLMGGIKLAGVGYVSSIGAGVASDVLYAARRVLRPSASAEVVQIRSPIWKSAAVYSGFLGTSANLRYQVIAGLVEHRLGEYLVSYYNQPLLANVLSFVARIINSYFGTQQWIDLARSTGIQTSEEEPPSPNIPSSTEIPLLECGSAEAQNVDDTTNQSSGQA* >Brasy1G231600.1.p pacid=40057966 transcript=Brasy1G231600.1 locus=Brasy1G231600 ID=Brasy1G231600.1.v1.1 annot-version=v1.1 MARRKPPAQRGARRSAEGQSQSETATPLKRGPWTAEEDELLVRFVEREGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKREPIADDEEDLILRLHRVLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGLDPRTHMPLAPADSNTAPAVLAAPPPDPALPVQPTTAPSGAAGIGSGDDGRASAAERGGDEDLVATMSLDDAAAQPLGFEGFADQFFAEHHAARGGFDDMGCAMVDADDAFALFLDSLVNESQFVDR* >Brasy1G556500.1.p pacid=40057967 transcript=Brasy1G556500.1 locus=Brasy1G556500 ID=Brasy1G556500.1.v1.1 annot-version=v1.1 MSQAPLLHQIICRAALLLATGASLLPLAPAPAPGPNCPDRCGDLHIPYPFGVGPECSLPGFDLTCNETTSPPSLLTRNLEIANITLETAQMVVYVPLIYSCVLRDSQNNPMSPTINNVSLEFASPFLLSPVDNVFTGVGCSLIAVLRGQIHAGGQPRPAYPTGCISACARVNDTGEYGAPCRGYGCCEAPITPGLSQLLFFSLRWEEGFRRVADNWCQYAFVAAKSWYKYNKKDLTGNMTFAKKVARSHGIPLVLDWAIRNGSCPPAPLGGDKESVPYGACISTHSNCVNASSGVFGYFCNCSQGYAGNPYVPNGCTNINECERKDLIPCSTGSTCHDEIGDYKCICHFGRRGDGKRPNGCEAILSGAAIAVIGTISAMALLAVLLIFLHMKREKRKLRDHFNKNGGQLLKSIKIEIFTEEKLKHITKNYYSSIGEGAFGKVYKGTTEDGTHVAVKRSIAVNKDRQKDFANEITIQSQISHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLPLETRMNIAINSADALAYMHSQASQKILHGDVKSGNILLDDGFMPKVSDFGTSRLMSIDKDHTNWIIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYAKNNSLPINYIKASIDGTTKEMYDADIIVSGAEEDVKCLEEVGLVAVQCLKDDVNDRPTMSEVVEKLKMCKRRWFQSRGQDSEVCT* >Brasy1G383800.1.p pacid=40057968 transcript=Brasy1G383800.1 locus=Brasy1G383800 ID=Brasy1G383800.1.v1.1 annot-version=v1.1 MAKKAIQYVVVDAFTAEPFKGNPAAVCFLEDDAAAPAGDGPWMQAVAAEFNLSQSAFLSRDSSSSSPAAAAAAPRFHLRWFTPVTEVALCGHATLASAHFLFTAVLAGHHGVVQFATKSGVLTAKKVPAPPGTDEQGNKLFVELDFPASDFVGPGDELPSIPETLNGASVVSVHRSATTDDFIVEVSSGKEVADLLPNTEEIRKCAGRGVIITAPAPPGSGYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQEWNTIPGAGRCKWESANSRRSCYCHGWDTLRLAAYCHVLLMYPSKGTH* >Brasy1G383800.2.p pacid=40057969 transcript=Brasy1G383800.2 locus=Brasy1G383800 ID=Brasy1G383800.2.v1.1 annot-version=v1.1 MAKKAIQYVVVDAFTAEPFKGNPAAVCFLEDDAAAPAGDGPWMQAVAAEFNLSQSAFLSRDSSSSSPAAAAAAPRFHLRWFTPVTEVALCGHATLASAHFLFTAVLAGHHGVVQFATKSGVLTAKKVPAPPGTDEQGNKLFVELDFPASDFVGPGDELPSIPETLNGASVVSVHRSATTDDFIVEVSSGKEVADLLPNTEEIRKCAGRGVIITAPAPPGSGYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQVSPRSGTLYLELDAANGRVRIQGEAVTVMAGTLFA* >Brasy1G177600.1.p pacid=40057970 transcript=Brasy1G177600.1 locus=Brasy1G177600 ID=Brasy1G177600.1.v1.1 annot-version=v1.1 MEANPRPAVGPAPERLRNRGCFSWKPAGAAVMLSIIILSSSGMAAYRWKDDPATSTFVAYFFYVAMLLLVYCLWLKEIMRGWPACRDRLNSFLWCLTLVILLCLAYMVMGTAGLTLPMALLVWFIVGAAMGIVGALSAFFSRARIDQRRNEPVRPPV* >Brasy1G539000.1.p pacid=40057971 transcript=Brasy1G539000.1 locus=Brasy1G539000 ID=Brasy1G539000.1.v1.1 annot-version=v1.1 MSRPRKAAMEEEDSSTELRRGPWTLEEDNLLMSYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTAEEQLLILELHAKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLNVDANSTVFRDAVRCYWMPRLLDSQYMAAFQAQGQGQAAQTPESGTTMQMQQELSCCYPVEQYTSPSTATASTSGGEMAAAAAMQQQQVAVPVPCFSEIDWEVPGGGLDFDDPAAAMLGSSCLGLDGLDLGPAQAHEADSTATLLDYLSYSSLNYNHMNYYHCGGAMDDDGGADHLLHGSTGRKLGEWGSGGV* >Brasy1G047500.1.p pacid=40057972 transcript=Brasy1G047500.1 locus=Brasy1G047500 ID=Brasy1G047500.1.v1.1 annot-version=v1.1 MTMAGRGAAHHDALTSRCLAFAEGVATMASPVLLALALKKDKWQSEVQDPALPMAMLAAFAAATLFTGICPTLACCASERFPTRCVRLPSVTLGLTATVSSACLLFIACWISYLSDVSNSFVFVVGFLTGIFLLLRAVSYWLPHDQQQQLPKLNNPEKEKAQKAKEKELCGMVDKSHEFLSGVTGILFLALEGMALEGLAMASSATPAYNGPGTDHQHAVLLRPHMSISFLVCAVGVVLIFLEMVPPRGAAKVLGVMYCADAAMAAGTGALLTAVVSTAMGKRKTKAGFLFLFFPFLIFIQLVYRVTINARNSINGRRTPGELHAAENPVEEEAGGEEEARPAPMGLTKVTFTGFLVVSVKAISGGSPSGWTLCFLLFAAAAIVSGVSWRLLTHAQNKVKVGKAAADEAANVAAFCTHLCVAVATVLFAVVAWEAAAAGIDDEQQHVSAAACAHVSQVTCVHLDKILDVQNVRNMCTCIDPCRN* >Brasy1G010400.1.p pacid=40057973 transcript=Brasy1G010400.1 locus=Brasy1G010400 ID=Brasy1G010400.1.v1.1 annot-version=v1.1 MGLPMDPQVAVHGDWVSAVMPLMKLLCLTVIGLLLANPRTQIVPKATFKLLSKLVFALFLPCLIFVHLGQSVTIDNVLHWWFIPVNVLISTAIGCVLGYVVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTADHPFGPGCHRKGIAYVSFAQWVAVILVYTLVYHMMEPPMQYYEIVGEGNEIEEEPEEQISNFSRPLLHEAEWPGMADKGLEHSKTPFIARIFMSISGSSQNTFPDIDFTEEGVSGAGPSSPKSLRCLAEPKVVRRMRVVAEKTPIQHVLQPPTIASLLAIIIGMVPAFKAFVFAADAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPNDNALGIRTIIGITVARLLVLPCIGIGVVTLADKLHLLVENDHMYRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVLSLSIYLVVYFKLLSYI* >Brasy1G051800.1.p pacid=40057974 transcript=Brasy1G051800.1 locus=Brasy1G051800 ID=Brasy1G051800.1.v1.1 annot-version=v1.1 MADYHGGGGGSPEQQRRLLLEAGGGSGEHSQEGGIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFSALGFDDYVDPMRRYLLKFRELEGDRAAAAASSRGGIGIIPSGADHPAGAGASSSAAAAAGHFMFDAMDRPDNNNSGGSRQF* >Brasy1G017700.1.p pacid=40057975 transcript=Brasy1G017700.1 locus=Brasy1G017700 ID=Brasy1G017700.1.v1.1 annot-version=v1.1 MGRHSSSSKAEAAAGDHRKEEKHHKHMEQPVSHSSAPSQPEPTYAPHEKHKAKKDPEHARSHRIKEEIAAAVAAGSAGFAIHEHHKKKDAKKQTHRH* >Brasy1G083400.1.p pacid=40057976 transcript=Brasy1G083400.1 locus=Brasy1G083400 ID=Brasy1G083400.1.v1.1 annot-version=v1.1 MDLMDRQVQHFVTLRFGDLWLDVPIGPLVASVSESWRTTAASRKEPDQEKEISSVPREEFTPVLSKSAKRRLRAANKKAASLSAAKAGATQQAAKTTPTSVMKRSVAEVGQSSHHRSKNKGKSVAFNKSEFPPLVYKGKEKVVEKSTCKQPGKRGDVAAILPSASSSTGRPTNARNILRADAPEFIPSLVHKPSGECSKAMEKHSLEWKGVCLTARDRETGHVNPTSALSNPLSEDAPAMRESCEGSFDPVRHQRRQRNARRSWADLPAAVDQAATNRQRSHVSKSVKGRWEDTRPKMQVQNHDDSYVIRSICQTLRSHLPGASTSQNDVGGAIMRQAEALAKRPEYEEFIQQHPERREYVNQAARVRSRRAAYKERERAVRARSRSSRTQRAESAPSSQDSVIKRSASATRKMPAVLAPWPARKRASNNMFEVFNALPVGITEAADDSSETSSTTIHFKRKRPRKETVPGHRPVTRSLKNSSNEEGDSACSGSYNPLRKDSPPTTDSEPDAREEEPHNFDPRCVEQVLAANDLSAEERLAQLTAALQQKDDELAALRAQGVRPVTFEDLASKATDIENYRQLTARRSKPAFKVAEKSGQHDKFQAKAKPAQAMETTVANPRFRQGTAPARNPEYRASHGSQDWGQRRPKRRVLPQVMEEVSFPRFAPKAYQHTAKRVKAPSEKFSILTVGVFEDDDESLCFPEEEPVEVGQIKF* >Brasy1G084000.1.p pacid=40057977 transcript=Brasy1G084000.1 locus=Brasy1G084000 ID=Brasy1G084000.1.v1.1 annot-version=v1.1 MNRRFLYMVLNNYMHAPSSWYTLHRIDPSLLFYHPTAPSSRASQQAEDARLPEPAMSFYPPHSTRDAGSMEFALLGRDKDQILAVDQKGRAGLYDDASRAVHTLPSMVPGPPAGVPVAIGAVNRALFIDADALSGQSVEALVFDDKKPQPMAEGDEIEEDWQWRSFPAPPFAHGTGLNDGSSTVICHATDGAAGRVWASTLGHGTYWLDTADEAWSKAGDWALPFWGRAEPVFPDVWFGVSAANWRGPRAGAGPGVGRVRRARGLDAHSYLFYLGGRRFCVAKAFQSTRRKECAERSMCCCRRHDEYVGECTFAMFTGVEVDAAWHRVAKHRSVQYSLGSDMFPVCVL* >Brasy1G202700.1.p pacid=40057978 transcript=Brasy1G202700.1 locus=Brasy1G202700 ID=Brasy1G202700.1.v1.1 annot-version=v1.1 MPTYMMGFYHLNEGIHYKLDMVRVRFFWEGLGFVDSRLRNITLLSKWIMKLERGDEDLSCSILRRKYLGTKGFFQSSSSRASQFWKVLHAVKSWFSVGSAYKVGNGRSVFFWQDVWLGQVPLNVLFHRLFACSCQPNATVAEVLVGGDIL* >Brasy1G523500.1.p pacid=40057979 transcript=Brasy1G523500.1 locus=Brasy1G523500 ID=Brasy1G523500.1.v1.1 annot-version=v1.1 MQLGIGRPGETASPNSSGLNSIHPLIAVGSRIGSTCPFTNTPKMGFRQWWCVSVTLPSHMCMHTNNCYWLLAK* >Brasy1G220100.1.p pacid=40057980 transcript=Brasy1G220100.1 locus=Brasy1G220100 ID=Brasy1G220100.1.v1.1 annot-version=v1.1 MERRGIGTTPMLPAASIGTGVSTWHLPEGLGGASPPLRPNVIDPYGWRYRWWQNFLVVLVAYTAWASPFELAMERAASRPRLVADLVVDVFFGIDIAVSFFVAYLDKSTFLLVDDRSKIATRYLTRPWFVMDVASTIPVQIIYQLARGGKSNGPCGFLILLRLWRLRRASKLFARLEKDIRFSYLWTRFVKLLCVLMFVVHCAACVYLWLAFHYRVPEQTWIGSLSRDFKDRSVWFGYTSSVYWAMATLATVGYGDLHAANTGEMLFTVLFMVCNVFLMSYIIGNMTNLTAHGNTTTFRMRDMVDRVERFGNANRLPTKMRELIMASVQLRFETSVQLQEEVLSQLPKAVRSDIEQHLFKAAVQSCYLFRGVSNSLIVELVSEMKAEYFPHKMDIVLENEIPTDCYIIVSGQVFVMKIGPRGMAGEIGVMYNIPQPFTIRSKTLAHVIRISRSHLMNIVRPNTADGDTVFSNFVQVQAEDAAFASWDHILNANPDRNDIKLRGDPIRVVIHEEIPSRSSRAGKLVCLPGSLQELMRLGEEKFGKAARWVLTAEGAEVEDIRAVRDGDHLFFSC* >Brasy1G578900.1.p pacid=40057981 transcript=Brasy1G578900.1 locus=Brasy1G578900 ID=Brasy1G578900.1.v1.1 annot-version=v1.1 MILEATAFLLDVLKLNLPEHAFLQTKVLEINLVTYPTVADAILANGMFSHYDRPLIAQLCEKAGLYLRALQLWSSWCKIGARRGPYGYLYLTHFDRNCVCGNSFTMQEYQVCHLERLIGTNSPQFRDYVFWGLDKKLAQRIGPQTHGTVMILCNMVYLYSYIVMQHYAELPDIKCVVVNTHAIEPQVNSSDLGVVPKDLVSCSSPSPQLGRFGHGSGGFRLPFFPLASGRFSSANGSSLAHGRHQTKITRIEDSCTYLHPQLPDLYLSPTERGQRTEYRFARLIMRTTTRARNKDKRTAHAPQDTSTTPDSCRAVSCRRNRGQWTGPPTRGQPFSP* >Brasy1G140700.1.p pacid=40057982 transcript=Brasy1G140700.1 locus=Brasy1G140700 ID=Brasy1G140700.1.v1.1 annot-version=v1.1 MHRSNVCTCLPRIGGIALPCCVQTCIAPSAYSCSLSVPNHVFRTAGASAEGAKLAAGPNHRNHSSWTDPSTTPMITKTKSAGASQLLVPVYVGSWSRRRLRLSEEVGKKSYSPTRQCV* >Brasy1G384300.1.p pacid=40057983 transcript=Brasy1G384300.1 locus=Brasy1G384300 ID=Brasy1G384300.1.v1.1 annot-version=v1.1 MEEPNVGGGAVAAPEVVADPGVGGTPGTAASPWRRTTPRPVVGEAAVMGADSWPALEDARQKVAAEPAGKPWTGNAVGGDPPKESQVQQAPSPPSQVSNRMHKFDGHGNSNKSHQAYHKNGPKRRPPGANDPPPYPVTMPYHQHPGQPVFYPVLPSPMMLHEYPYQPFAVPVPNHDPHVGKSGYENAAPPFVPVDQVGGNEISRPMPPQTRGDPHAWRPAVGTHGPRSHSGVEGRGHFNHTWQNPHTFGSRDNTGVPHGVGPRAFVRPMAHLPPTLGYINGPSYPGPIPPMYYYMPAPPMESMRGPPRFVQNQPAPQPVLSPEAAELRAKILAQVEYYFSDTNLERDGFLKSLMDEHGWVPISKVADFNRLKRITTDVHLIVDALVNSSLLDVQDDKMRRRSDWSKWVSFSGTTSVPSPSSTTSMDNIMAESNTGGFSNKDANPEDQKKHPIPQDIKCNIDGVATESVVADEQLANDAQSCSLNKKISAITIDEKPKSISAFSVKPRTHEAAFRTGDAKVQKVNTKLKVPNSQNERGFCTDLPSDSPSFSGDQSTFMLDEELELEHVEHSRDDLYSHKRVDDEEDDFFVDDQEVNRLIIVTQDTRPGKDDRSCSSISQAFSTEEASRINEALYYYESMHGRRTNNQRSSQADSADDSKTSGGAKGNHVSIGANGVEETGQPIPRRRQNRSNRKAHTSHKQRFFAGNFVNSPNSQSHYGGVSESPPSNSIGYFYGSTPDNHSYKSSKLSSSPHGIPTGSSPHGIPTGTSPIGSVPKSFPPFQHPSHQLLEKFQQQRYNKFKNRCLADRKKSAIGCSEEMNSLYRFWTYYLRDNFNEDMYKHFKNFAVEDAAANYRYGLECLFRFYSYGLEKNFQQNVYEDFEQLTLQFYHKGDLYGLEKYWAFHHFRKQGSSPINKHLELERLLREEFRTIKDFKARSAAEKETGGRSSSKIAAGAASHSKAEAK* >Brasy1G030400.1.p pacid=40057984 transcript=Brasy1G030400.1 locus=Brasy1G030400 ID=Brasy1G030400.1.v1.1 annot-version=v1.1 MAAAAAEGAAAPVAAAMRTVLARVGRAAERSGRAAEAVRVVAVGKTKPVSLLRQLYDAGHRCFGENYVQEFVTKAPQLPKDIRWHFVGHLQSNKVKSLVAAVPNLDMVEGVGNEKIANHLDRAVVSLGREPLKVMVQVNTSGEESKSGIDPSRCVELAKHVKLECPNLIFSGLMTIGMKDYSSTPENFKALVNCKIEVCKALGMPTEQFELSMGMSGDFEQAIELGSTNVRIGSTIFGPREYPNQKQNQ* >Brasy1G030400.2.p pacid=40057985 transcript=Brasy1G030400.2 locus=Brasy1G030400 ID=Brasy1G030400.2.v1.1 annot-version=v1.1 MAAAAAEGAAAPVAAAMRTVLARVGRAAERSGRAAEAVRVVAVGKTKPVSLLRQLYDAGHRCFGENYVQEFVTKAPQLPKDIRWHFVGHLQSNKVKSLVAAVPNLDMVEGVGNEKIANHLDRAVVSLGREPLKVMVQVNTSGEECLMTIGMKDYSSTPENFKALVNCKIEVCKALGMPTEQFELSMGMSGDFEQAIELGSTNVRIGSTIFGPREYPNQKQNQ* >Brasy1G560200.1.p pacid=40057986 transcript=Brasy1G560200.1 locus=Brasy1G560200 ID=Brasy1G560200.1.v1.1 annot-version=v1.1 MHRPAKTRAQTTHPDMMMINTIQVVLGAILLAGAGAPSLAVPVDTAGVPVTRLPAYRSKGSAVIQDQTTTLRMRASGEEDEYNRESRPWSCCDRVVKPRGVHPPILRCSDEVERCAGACAECEEVEESEPRRYMCVDWYRGDDPGPRCTGTVRGASRHL* >Brasy1G322100.1.p pacid=40057987 transcript=Brasy1G322100.1 locus=Brasy1G322100 ID=Brasy1G322100.1.v1.1 annot-version=v1.1 MHILEPRCDTHPSLTIHKPASEDRGKRLLQSAVSSICRNATYILSEFWANDEAVRESLGIHKGTVPSWRRHAHFPYIQDIHSTVEYHLSLIRKGYRAIVYSGDHDSEISLVGTQAWIKYLNLSITDDWRPWYVDSQIAGFTRTYSNNLTYATVKGAGHTAPEYMPRECLAMIDRWLSGEPL* >Brasy1G334500.1.p pacid=40057988 transcript=Brasy1G334500.1 locus=Brasy1G334500 ID=Brasy1G334500.1.v1.1 annot-version=v1.1 MGADVRTRRFVVSSTNPPSRRHETPVPAVPARPLNPNNTSKPRQIHPSPADLI* >Brasy1G069400.1.p pacid=40057989 transcript=Brasy1G069400.1 locus=Brasy1G069400 ID=Brasy1G069400.1.v1.1 annot-version=v1.1 MSSQSLQSSLVLAKILTCFLLLLSSSATSVAAAGKKVTAIIVFGDSTVDPGNNDYIPTVARGNFPPYGRDFDGGVATGRFSNGRLVTDFFSEAFGLASAVPAYLDGSYTIDQLARGVSFASGGTGLDPLTAQIASVIPLSQQLEYFKEYKARLKEAKGEAAAEEIVAEALYLFSIGTNDFLVNYFVLPLRRARYAPSEYVAFLAGLAGAAVREAYALGARKIAFSGLAPFGCVPAARTMNREAPGECDEEHNRAATEFNAAVRDAVVAVGAAGLPGARVVYSELYGVVAGMVASPEEHGFENAAEGCCGTGYIETSVLCGMDQAFTCRDADKYVFFDSVHPSEAAYKIVADHVMRTALHVFL* >Brasy1G488200.1.p pacid=40057990 transcript=Brasy1G488200.1 locus=Brasy1G488200 ID=Brasy1G488200.1.v1.1 annot-version=v1.1 MSSSKYRNRSSARIACSSSSNNKRARRASAAAISDASPWPSLCEDLVRLIGWTLLAGDLRDYVRFRAVCRRWRSGSVCPRGRGIVDPRFYPRRWMMLPEGCGLHPGHGKLGGYIRFFNLSTGAFVRAKLPLFRNHCILDSVDGLLLLQRDQDTAIRLLHPFTGDIADLPPLATLPRLPGVHYHMKDTCSYFRSVSATSFSVSADGVISVVIAISVSLLAFATSRDQQWHLGGCRLSGVWRPISFHGKLYKYFGVWTAGLDLLM* >Brasy1G288100.1.p pacid=40057991 transcript=Brasy1G288100.1 locus=Brasy1G288100 ID=Brasy1G288100.1.v1.1 annot-version=v1.1 MALSKPLLSRLLPLSHRLPIRPHLRLLCLATPTDLPDPPTDASAERRRRKRRLRVEPPSSRGPAPQRAPGGPRPSSNPNAPKLPEPASVLSGKRLDLHRRILTLVRENDLEEAALLTRHSIYSNCRPTVFTCNAVLAAQLRQARYADLLTLHRFVTQASVAPTVATHNILLQAYCDCRRPETALEHFRLLLKDDSPVLPSPTTYRILARSLAENNKLDLALELKDGMLERGFVAPDPQVYTFIMGGFVNAGDGDTVISLYEELKEKLGGGSILDGVVYGNLMKGYFLKSMEKEAMDCYHEVLGEGSSVRFGAVSYSMVLDALGRNGKLEDAVQLFDRMCKEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAVEVFGKMGEKRCSPDALSYNNLIDWLGRNELVGEAEGLYNEMAERGINPDEYTYVLLIESCLKVDRVDDAVGYFNKMFDVGLRPNANAFNKVIGGLVKVDRLDEAHRFFDLMPEKEVKPNIASYELLLRAYTDVARLDDAIKIAKGVLMDESVVFTDELKAVLEGALEKEGRNEDMTKLYEDVEREKAEAAVLAAEEKARAEALAKEEEEKKKAEAKAKEEAAAIASRAAIDAVLGRKSGAEKDESSEGMNVEEAQVVESQTDTIGVTGEQSEDEDQKKEESDEASSQVTVSSS* >Brasy1G374800.1.p pacid=40057992 transcript=Brasy1G374800.1 locus=Brasy1G374800 ID=Brasy1G374800.1.v1.1 annot-version=v1.1 MTCAHCCVRSVANEASPECAPKRQRTCDAILCMVGDMRASFADALKATDPLPLPKVTSPSEILAALEMIQDLSRADMLRSYGKLILNERLFDALMELPMAMRKEWLLMLP* >Brasy1G524900.1.p pacid=40057993 transcript=Brasy1G524900.1 locus=Brasy1G524900 ID=Brasy1G524900.1.v1.1 annot-version=v1.1 MPSCCFAAPDCVELEDEDERRRPSTPDVVAARCAVGEEEGQPISVGEEGGQP* >Brasy1G071000.1.p pacid=40057994 transcript=Brasy1G071000.1 locus=Brasy1G071000 ID=Brasy1G071000.1.v1.1 annot-version=v1.1 MAPPLAAPPFRAALPRRGLLLRPQRLLPSWRRAARPDDQDYLYVDDSIGDGFSFSGGKYAEAEEPSKSDEWFAQGRMVKAHALYGSKEKAKDPFFGLTMGKESQSSDDVFSWFCVEAGSSSNHTVLLVHGFPSQAYSYRNVLPVLSDKYHPIAFDWLGFGFSDKPQPKYGFDYTLDEFTSSLESLINAVAPDKVSIVVQGYFAPIVVKYASKHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEVFSQDPLRASDKALTSSGPYMMKEEDATVYRRPYLVSGSSGFALNAITRAMGKDLKVYIESMRSILVSDSWNTKTTICWGLRDRWLSYDGVEDFCDGVKHKFVELPMAGHHVQEDRGEELGNIIKRILSG* >Brasy1G071000.2.p pacid=40057995 transcript=Brasy1G071000.2 locus=Brasy1G071000 ID=Brasy1G071000.2.v1.1 annot-version=v1.1 MAPPLAAPPFRAALPRRGLLLRPQRLLPSWRRAARPDDQDYLYVDDSIGDGFSFSGGKYAEAEEPSKSDEWFAQGRMVKAHALYGSKEKAKDPFFGLTMGKESQSSDDVFSWFCVEAGSSSNHTVLLVHGFPSQAYSYRNVLPVLSDKYHPIAFDWLGFGFSDKPQPKYGFDYTLDEFTSSLESLINAVAPDKVSIVVQGYFAPIVVKYASKHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEVFSQDPLRASDKALTSSGPYMMKEEDATVYRRPYLVSGSSGFALNAITRAMGKDLKVYIESMRSILVSDSWNTKTTICWGLRDRWLSYDGVEDFCDGVKHKFVELPMAGHHVQEDRGEELGNIIKRILSG* >Brasy1G071000.3.p pacid=40057996 transcript=Brasy1G071000.3 locus=Brasy1G071000 ID=Brasy1G071000.3.v1.1 annot-version=v1.1 MAPPLAAPPFRAALPRRGLLLRPQRLLPSWRRAARPDDQDYLYVDDSIGDGFSFSGGKYAEAEEPSKSDEWFAQGRMVKAHALYGSKEKAKDPFFGLTMGKESQSSDDVFSWFCVEAGSSSNHTVLLVHGFPSQAYSYRNVLPVLSDKYHPIAFDWLGFGFSDKPQPKYGFDYTLDEFTSSLESLINAVAPDKVSIVVQGYFAPIVVKYASKHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEVFSQDPLRASDKALTSSGPYMMKEEDATVYRRPYLVSGSSGFALNAITRAMGKDLKVYIESMRSILVSDSWNTKTTICWGLRDRWLSYDGVEDFCDGVKHKFVELPMVCFYTHLPK* >Brasy1G445100.1.p pacid=40057997 transcript=Brasy1G445100.1 locus=Brasy1G445100 ID=Brasy1G445100.1.v1.1 annot-version=v1.1 MFAHSSLARFSRLARRPPCAAGSPLRYLQGSVGQNKLRSFAMERAQGVSSNAAKHRKTNSPVQRWRPVSTEASPRKADLDDISSSGRKQVVETSVTDNVNLASDETTTNVVIEVTTNNASSLENSSTFGSSATKLVIKDTVEVIREIYSSSVEVDVPLMCFLKGKGGSMQKQIEQETGVKIIFPSSKEETLVVLKGKDAESIRKASERIAKVLEEAVQSPMLDYSHFVSLPLAIHTDLVEKLNNFQWSILGASAFNVDSDKDESLSEGSVDETEEVASPSVSTKLQVQEEKSVIVKMDNKGSQPEFGIDKSIFIKPKTFHLTVLMLKLWNKDRLAQASDVLQSISSQVNEALENRPVSIQLRGLTCMKGSPARARVVYAPVLEVGGEGRLVRACKIITEAFVKSGLVLERDARQELRLHATIMNVRHRKSKKWDRRNDSIDARNIFRQYGEHEWGEYPIPAVHLSQRFKFDESGYYHCCSSIPLPEVTQTNSVASTSCVRTSTKHGSHGMEVPPRFIDPPQESSQNAVLVHAIIWRQDEVLPVQQDKFHNSPWNHL* >Brasy1G416800.1.p pacid=40057998 transcript=Brasy1G416800.1 locus=Brasy1G416800 ID=Brasy1G416800.1.v1.1 annot-version=v1.1 MALPVAKLGTLLLRTLSKPIASRLKSQAAVHPKFRDFIVSIAQVNHRITTRIQRRIYGHATNVEIRPLDEQKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEELRQSEERLAKDLEDLKLKLGELERLAKGRGLSGILNFKGVSGGESGKAATPA* >Brasy1G311900.1.p pacid=40057999 transcript=Brasy1G311900.1 locus=Brasy1G311900 ID=Brasy1G311900.1.v1.1 annot-version=v1.1 MKLINVSEAANNDRELVDWMSVKGWRDNLICLSCEAVSQLISHSLPVFLSCFILPQPSYTQFGVQTSQTLAAMVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G311900.4.p pacid=40058000 transcript=Brasy1G311900.4 locus=Brasy1G311900 ID=Brasy1G311900.4.v1.1 annot-version=v1.1 MVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G311900.6.p pacid=40058001 transcript=Brasy1G311900.6 locus=Brasy1G311900 ID=Brasy1G311900.6.v1.1 annot-version=v1.1 MVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G311900.2.p pacid=40058002 transcript=Brasy1G311900.2 locus=Brasy1G311900 ID=Brasy1G311900.2.v1.1 annot-version=v1.1 MVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G311900.5.p pacid=40058003 transcript=Brasy1G311900.5 locus=Brasy1G311900 ID=Brasy1G311900.5.v1.1 annot-version=v1.1 MVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G311900.3.p pacid=40058004 transcript=Brasy1G311900.3 locus=Brasy1G311900 ID=Brasy1G311900.3.v1.1 annot-version=v1.1 MVQICLPRSITISRCSLYYPLHHVDGASRRWPTCRSRYLDSTYSARSSTNILKTGSVVDPSDSKNLDGSSSASRSGPYNATSHLSGTIGVMGTSAASSLRFLEKLVYWSTRDGEETPPFIISNDPLVKKELLCENSQLSSDCNPALGKLRQKRIVLEQSGASCIVMPCQLLHAWHDEVSQGCSVPFLHVGACVLKELKAASFKPVEYGSNVRVGILAADNIMTTNCYLDKLESQGFEVVCPDKASMEHVVLPAVSAFRKGDMEGARNLLQIAIQLLLVRAANAIILASDDFVDILPDDDPLLKKCIDPMDALVRETIMCTRTGRS* >Brasy1G141300.1.p pacid=40058005 transcript=Brasy1G141300.1 locus=Brasy1G141300 ID=Brasy1G141300.1.v1.1 annot-version=v1.1 MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDLQEACVYDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRTNRRNRAPPERFRRREDRPGGQGPRPGAAGGAPGAGAPGGAPGGFVPGAAAPNVAST* >Brasy1G302500.1.p pacid=40058006 transcript=Brasy1G302500.1 locus=Brasy1G302500 ID=Brasy1G302500.1.v1.1 annot-version=v1.1 MIINNRAHEPDEPDHTQASPTLQRSGQTAPVRPSARRHALLPPFSFLKTLPAYDVPPALPPRARDPAHRSPISGDAAASGNPRPHLVGVSRCPSCC* >Brasy1G512800.1.p pacid=40058007 transcript=Brasy1G512800.1 locus=Brasy1G512800 ID=Brasy1G512800.1.v1.1 annot-version=v1.1 MAIGGEIKDDLEEAPPPLLLDEASLRPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPLYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQIIRFLHRAADLTLVPSAAISKDFETAHVISANRIRLWNKGVDSASFHPRFRNHEMRVRLSDGEPEKPLIIHVGRFGREKSLDFLKTVMDQLPGVRIAFIGDGPYRTELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDVEGKTSFLFTPGNLDDCVGKIKLLLTDREFRDNMGRTARAEMEKCDWRTASRTIRNEFYNTAIFYWRKKRAELVQPLQWLAQMFLPATDRTRSITQH* >Brasy1G259500.1.p pacid=40058008 transcript=Brasy1G259500.1 locus=Brasy1G259500 ID=Brasy1G259500.1.v1.1 annot-version=v1.1 MALSKITALFFVFAVVAAATSLQLSEASVQGFEAAAADHQVPELSSEAQAAAGAGSGGFPGFPLPRIPGLPSFPFPPLPQFPGFPPLFGAPGGAPPSPGLPTPPPPPAECLTPLTAMAPCMDYLTNITVPAPPGMCCNGLKSVISKAPICLCHGMNGGMSKLFPKPIDPIRMLILPARCGTMIPIQNFFMCATQPLPPLTPPASPASPVSPTPPLTTLASPAGPATSPVSPPPEGSP* >Brasy1G280200.1.p pacid=40058009 transcript=Brasy1G280200.1 locus=Brasy1G280200 ID=Brasy1G280200.1.v1.1 annot-version=v1.1 MDREIHRVTSLRRDSSLWRRGDDVFSRTSSRFHQDEEDDEEALRWAALERLPTYDRVRRGMLSVEEGGDKVEVDVGRLGAHESRALIERLVRAADDDHEQFLLKLKERMDRVGIDYPTIEVRFDKLNVEAEVRVGNRGLPTLINSVSNTVEAIGNALHIFPSRKQPMTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLAMAGKLDKELKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFEFMGFRCPSRKGVADFLQEVTSKKDQEQYWYRSDRPYRFVPVKQFADAFRSFHVGKSIENELKEPFDRTRSHPAALATSKYGVSRMELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTNMRRDVTYGTIYLGALYFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFIEVGVYVFTTYYVIGFDPSVARFFKQYLLLLAINQMSSSLFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGNSWNIIPAGANETIGVTVLKARGIFTTAKWYWIGLGAMVGYTLLFNLLYTIALSVLSPLTDSHPSMSEEELKEKHANLTGQAVGGQKEKKSRKQELELSRITERNSVDSSGSRKGLVLPFAPLSLTFNDTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSERRKMFIEEVMDLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSANLIEYFEGIDGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSDLYQRNKELIKELSTPPPGSRDLNFPTQYSRSFVTQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGKKTQRSQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKLPVWWRWYSWICPVAWTLYGLVSSQFGDLQHPLNGGTFPNQTVAQFITEYFGFHHDFLWVVAVVHVCFTVLFAFLFSFAIMKFNFQRR* >Brasy1G280200.3.p pacid=40058010 transcript=Brasy1G280200.3 locus=Brasy1G280200 ID=Brasy1G280200.3.v1.1 annot-version=v1.1 MTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLAMAGKLDKELKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFEFMGFRCPSRKGVADFLQEVTSKKDQEQYWYRSDRPYRFVPVKQFADAFRSFHVGKSIENELKEPFDRTRSHPAALATSKYGVSRMELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTNMRRDVTYGTIYLGALYFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFIEVGVYVFTTYYVIGFDPSVARFFKQYLLLLAINQMSSSLFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGNSWNIIPAGANETIGVTVLKARGIFTTAKWYWIGLGAMVGYTLLFNLLYTIALSVLSPLTDSHPSMSEEELKEKHANLTGQAVGGQKEKKSRKQELELSRITERNSVDSSGSRKGLVLPFAPLSLTFNDTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSERRKMFIEEVMDLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSANLIEYFEGIDGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSDLYQRNKELIKELSTPPPGSRDLNFPTQYSRSFVTQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGKKTQRSQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKLPVWWRWYSWICPVAWTLYGLVSSQFGDLQHPLNGGTFPNQTVAQFITEYFGFHHDFLWVVAVVHVCFTVLFAFLFSFAIMKFNFQRR* >Brasy1G280200.2.p pacid=40058011 transcript=Brasy1G280200.2 locus=Brasy1G280200 ID=Brasy1G280200.2.v1.1 annot-version=v1.1 MTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLAMAGKLDKELKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFEFMGFRCPSRKGVADFLQEVTSKKDQEQYWYRSDRPYRFVPVKQFADAFRSFHVGKSIENELKEPFDRTRSHPAALATSKYGVSRMELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTNMRRDVTYGTIYLGALYFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFIEVGVYVFTTYYVIGFDPSVARFFKQYLLLLAINQMSSSLFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGNSWNIIPAGANETIGVTVLKARGIFTTAKWYWIGLGAMVGYTLLFNLLYTIALSVLSPLTDSHPSMSEEELKEKHANLTGQAVGGQKEKKSRKQELELSRITERNSVDSSGSRKGLVLPFAPLSLTFNDTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSERRKMFIEEVMDLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSANLIEYFEGIDGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSDLYQRNKELIKELSTPPPGSRDLNFPTQYSRSFVTQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGKKTQRSQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKLPVWWRWYSWICPVAWTLYGLVSSQFGDLQHPLNGGTFPNQTVAQFITEYFGFHHDFLWVVAVVHVCFTVLFAFLFSFAIMKFNFQRR* >Brasy1G044900.1.p pacid=40058012 transcript=Brasy1G044900.1 locus=Brasy1G044900 ID=Brasy1G044900.1.v1.1 annot-version=v1.1 MERYLQENFELPAKNPSEEAQRRWRSAVGTLVKNRRRRFRHVPDLDQRHQDHAKRRSVQEKIRVALYVQQAAITFIGGAKKNEYQLTEDIIKAGFSINPEELASITSKHDLKALKMHGGVDGISKKIRSTFDRGISCIDLDTRQNIYGVNRYAEKPSRSFWSFVWDALQDMTLIILMVCALLSVVVGLASEGWPKGMYDGLGIILSILLVVMVTAASDYKQSLQFKELDNEKKNIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGIFIHGYSLLIDESSLSGESEPVYTSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMARFLVDKGLTVGLSKWYSNDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSAGTICTDKTGTLTTNHMVVDKIWIAEISKSVTSNNSLEDLNSAISSSAWSLLLQGIFENTSAEVVEGKDSKQTVLGTPTEIAIFEYGLKLQGYRDAEDRTCTKVKVEPFNSVKKKMAVLVSLPGGTYRWFCKGASEIVVEMCDMVIDEDGNAVPLSDARKKNIIDTINSFASDALRTLCLAFKDVDDFDEDADSPPSGFTLIIIFGIKDPVRPGVKEAVQSCISAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRTKSPEEMMDLIPKIQVMARSLPLDKHLLVTNLRGMFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMWRNIIGQSIYQLIVLGVLMFGGETFLNIKAADSKTVINTLIFNSFVFCQVFNEVNSREMEKINVFRGLLGNWVFIGVISATVVFQVVIIEFLGTFASTVPLSWEHWLVSVGLGSISLIIGAILKCIPVKSGEISASPNGYRQLANGPDDI* >Brasy1G497800.1.p pacid=40058013 transcript=Brasy1G497800.1 locus=Brasy1G497800 ID=Brasy1G497800.1.v1.1 annot-version=v1.1 MSGDWGPVVLAVIFFVAMTPGLLCQIPGNDGRIAEFHSMRTSGLSIFVHTVIFFGLCAIFMIAVGLHIYAG* >Brasy1G162600.1.p pacid=40058014 transcript=Brasy1G162600.1 locus=Brasy1G162600 ID=Brasy1G162600.1.v1.1 annot-version=v1.1 MVFDDDDTNLGASGTGSTKGLVRIQVPEYSRDDVALSEEKLTVMIELRAAPSAVVREGLDLVAVLDVSGSMADPKSLGSMKQAIKFVIMKLNPEDRMCIVTFNNCGTRQCVLRAMTDAAAKAELLAIVDRLDAHGGSNIKSGLVKAKEVLAGRRKTEARTANVILISDGGQTANDAIQVDLEAAGVYTFGVGKHSADELLNAIATKSPGGTFSTVREGSDLTTPFAQVLGGLLTVVAQDVELTLTPEKDALKRMDVRADTDYTMEPEKRPTGLEPVTLKLGNLFSGEARKVIVDMELPASKSREWYHAILARAQHKYTAQAVPQGLQIKEIIKIKRTPNPSPASSSITTRWVEAELARRRHADAIREAMAMADAGDLDGARYRLVGAQNAVEDELMMDGCEKMLDSMRAELAQLVHLMQTPELYKQQGRAYALAAGTSHGRQRFADRGDRTDPVTVFSTPRMDTYREQAKKFVADPSKPPPSAADDVKDEILANPMAAFSSELALHLQTAIQALQAIQKIVTTTN* >Brasy1G112400.1.p pacid=40058015 transcript=Brasy1G112400.1 locus=Brasy1G112400 ID=Brasy1G112400.1.v1.1 annot-version=v1.1 MVPRQNAAPVRQMSSSQGYTYPEATACSTTPVTSPRARPGWLAPIASGGPVAEQVAHGPSGEGRLREREGVEGSGDRKGGNGDKGTRRLATLGKKDSRCHCSLAVVSSAVSKRESVEQCQ* >Brasy1G287800.1.p pacid=40058016 transcript=Brasy1G287800.1 locus=Brasy1G287800 ID=Brasy1G287800.1.v1.1 annot-version=v1.1 AEIKQIRHYLITNCDETNPWVKGPDHRARIYNRIFINGYFFRNSFVERDLNTQNSGVVVRGDESTGDIDWFGVIKKIICLDFPLDKEVVLFQCDWFDVPASNKNQNVKKLDWSTVVRMKRRNPYAIPSSSSGEDLTEDGANLLVDDVVAEPSVPNQSDDISSWCRPDIEGSSGDVSTIEKIQPDEDADDEAHDSDDDDNDETYINDGHVPHLIVEESDDDEFFV* >Brasy1G079400.1.p pacid=40058017 transcript=Brasy1G079400.1 locus=Brasy1G079400 ID=Brasy1G079400.1.v1.1 annot-version=v1.1 MKHPCTVCLLVLCCTCLLLCATALVRADIRPEAVALVNWKASLAGADESLGSWSLANSTNLCRWTYISCDSAQITRLDLQAVSLNGTLDGLDFSAFPHLKKLILSQNGLHGTIPAGIGNLTSLGVLLITANPYLRGSIPRSIGQLKHLALLQMSDLGLDGSLPEEIGNLTSLEELYLNSVTLTGSIPPAMGKLVKLWKLTLERNNLTGSIPLEIGNMTELQRLYFSDNYLEGQLPGTISHLIKLQSLYVSENHLGGHIIPQFGNSSRLEMVDIANNNFSGLFPSSICSGAALSLVDAGYNGFTSIHHQTFQNCTTLLYVDFRANNIVADLRSNFGKNRGSIETISFSQNLLYGTLLTDLREVFLCNYTSLIVLDLSSNALHGGLSKCFLDMPSLTFIDLSSNSFSGVGQFSRTCGLNLNYLHLANNNFRGTFPLPLKKCKNLIILDLGGNHFSGTIPSWLSMSLPELKYLRLTSNMFEGIIPRQILQFRQLQLLDLSKNKLTGPVPDDFTNFTGMTQEQKNINFTDDGRYRLKDQVQIVWKNVDYVYIIWKTAGMTGIDLSRNSLSQEIPNGFTTLLGLRYLNLSGNNLSGCIPKDIGNLVLLESLDFSQNQLSGESPPSFADLKIMSSLNLSSNGLSGRIPTGSQLQTLVDPSIYSNNPGLCGFPLKDCVNSSTSTQNEASQAEDRETLWLYCFVAAGFIFGFWLYWGMFLFRSKTWRCAFYQYVDNMQEKVTKKIAAYLASRPSP* >Brasy1G079400.2.p pacid=40058018 transcript=Brasy1G079400.2 locus=Brasy1G079400 ID=Brasy1G079400.2.v1.1 annot-version=v1.1 MKHPCTVCLLVLCCTCLLLCATALVRADIRPEAVALVNWKASLAGADESLGSWSLANSTNLCRWTYISCDSAQITRLDLQAVSLNGTLDGLDFSAFPHLKKLILSQNGLHGTIPAGIGNLTSLGVLLITANPYLRGSIPRSIGQLKHLALLQMSDLGLDGSLPEEIGNLTSLEELYLNSVTLTGSIPPAMGKLVKLWKLTLERNNLTGSIPLEIGNMTELQRLYFSDNYLEGQLPDLRSNFGKNRGSIETISFSQNLLYGTLLTDLRECFLDMPSLTFIDLSSNSFSGVGQFSRTCGLNLNYLHLANNNFRGTFPLPLKKCKNLIILDLGGIIPRQILQFRQLQLLDLSKNKLTGPVPDDFTNFTGMTQEQKNINFTDDGRYRLKDQVQIVWKNVDYVYIIWKTAGMTGIDLSRNSLSQEIPNGFTTLLGLRYLNLSGNNLSGCIPKDIGNLVLLESLDFSQNQLSGESPPSFADLKIMSSLNLSSNGLSGRIPTGSQLQTLVDPSIYSNNPGLCGFPLKDCVNSSTSTQNEASQAEDRETLWLYCFVAAGFIFGFWLYWGMFLFRSKTWRCAFYQYVDNMQEKVTKKIAAYLASRPSP* >Brasy1G166100.1.p pacid=40058019 transcript=Brasy1G166100.1 locus=Brasy1G166100 ID=Brasy1G166100.1.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFEQSRRAFLVWAMRLNIIEGIAQGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGMGTVERRQMENAADRPSMSEVISMITNENANLPDPKQPGFFSMLLPTEAGIREGTCSLNDLSITGLDGR* >Brasy1G166100.3.p pacid=40058020 transcript=Brasy1G166100.3 locus=Brasy1G166100 ID=Brasy1G166100.3.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFEQSRRAFLVWAMRLNIIEGIAQGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIISGLRNAGSHRHGNSLNLLGHAWELWREGRWRMLLIDPP* >Brasy1G166100.4.p pacid=40058021 transcript=Brasy1G166100.4 locus=Brasy1G166100 ID=Brasy1G166100.4.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKVSFCEPGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFEQSRRAFLVWAMRLNIIEGIAQGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGMGTVERRQMV* >Brasy1G166100.2.p pacid=40058022 transcript=Brasy1G166100.2 locus=Brasy1G166100 ID=Brasy1G166100.2.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFEQSRRAFLVWAMRLNIIEGIAQGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGMGTVERRQMV* >Brasy1G166100.5.p pacid=40058023 transcript=Brasy1G166100.5 locus=Brasy1G166100 ID=Brasy1G166100.5.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKVSFCEPGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGMGTVERRQMV* >Brasy1G166100.6.p pacid=40058024 transcript=Brasy1G166100.6 locus=Brasy1G166100 ID=Brasy1G166100.6.v1.1 annot-version=v1.1 MFVEISYLKQSTVVVLLILSVSAIGCLSATRARFDRISLNESISDGQTLVSGKFMLGFFSPGTSSQRYIGIWYNSDPNGTAVWVANRNNPVQDTSGILKFDNGGNLIVLDGRGRSFTVASGIGVKEVEAAILEDTGNFVLRSIADHSNIWESFTSPTNTWLPGMKITVGTLLTSWKSYDDPAIGDYSFGPGVVNASLVNASLVNASRLIIRWNGNIFWTSAQWNGDINSPIPELTSIDIIPLTFRCDNLTCTYNPNPSDKMTKIVLDQTGSLNITQFDSDAKLWVLLWRQPVSCDESKLCGVFGACNTANIHIVPVSLDSDQSPCRCPKGFAKQDNSNTRKGCTRQTPLQCTGDKFIDMPGMRLPDPRQKVAVVEDSGCQSACMKNCSCTAYAHSLSDGCSLWHGNLTNLQDGYNGSGVGTLRLRVAASELKSGSSSGHKILWLASVLPSVAFLIFCLVSFIWIRRWKIKGKEKRHDHPIVMTSDVMKLWESEDTGSHFMMLSFSQIENATDNFSTANKLGEGGFGPVYKGSLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHRNLVGLLGCCIDEDELVLLYEYMPNKSLDFFLFGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGTPANTKRVVGTYGYMAPEYAMAGMGTVERRQMV* >Brasy1G289900.1.p pacid=40058025 transcript=Brasy1G289900.1 locus=Brasy1G289900 ID=Brasy1G289900.1.v1.1 annot-version=v1.1 MDDPCWLWNWCALNFGRSEKRCMLSSSTMGGYHRALSGALLDLVMCGCSVTGFGPKGAYEIEVRSLPFVLPSVNILEKDHVCPQIKMPTAESLRAELSSKTPPFGLRLWIVIGISIWVVILCILGFMCFWSIYQRKPKKSVDKIPVSQIPDVSKEIAVDEVRQHAVVENYQVQESHALTVQEKSQDKDSGKMLGHLVRTKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLLEFSHLGWGHWFTLRDLEHSTNRFSKENIIGEGGYGVVYRGRLINGTDVAIKKAS* >Brasy1G259600.1.p pacid=40058026 transcript=Brasy1G259600.1 locus=Brasy1G259600 ID=Brasy1G259600.1.v1.1 annot-version=v1.1 MDGKLPPPNPNLPYREDCWSEGETSALVDSWGSRYLELNRGSLRQPQWREVADAVNTRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGATSPWPFYNQLDILVGPTLSAAAASKKPSPPRGLPMMMRRRPSPSRSPSPPSPAPPMALPLPNYRRGSNLPSADLFHKAAAAAAAAVDSDSDDGYNNNNYDDDEGSQQSPSRSVSSRSGGNGAPALGGGAGSKRKRGGSGGFGELAMAMETFAEMYERMEAAKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARVKHAKNGTSEMLMSLAALPFLSNPAYL* >Brasy1G509700.1.p pacid=40058027 transcript=Brasy1G509700.1 locus=Brasy1G509700 ID=Brasy1G509700.1.v1.1 annot-version=v1.1 MAMAAILGALKLAAPSPPPPGPHPGRSSLHFHLANAGAAALVAASLLASDPALAFRGGGPYGQQVTRGQDLTGKDFSGQTLIKQDFKTSILRQTNFKGAKLLGASFFDADLTGADLSDADLRNADFSLANVTKVNLTNANLEGALVTGNTSFKGSNIYGADFTDVPLRDDQRDYLCKIADGVNTTTGNATKETLFCK* >Brasy1G108800.1.p pacid=40058028 transcript=Brasy1G108800.1 locus=Brasy1G108800 ID=Brasy1G108800.1.v1.1 annot-version=v1.1 MASAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTIPFLFVRGDGVILVSPPLRTA* >Brasy1G108800.2.p pacid=40058029 transcript=Brasy1G108800.2 locus=Brasy1G108800 ID=Brasy1G108800.2.v1.1 annot-version=v1.1 MRDLTACAGDRNGTDGASTAALHNSPRTRQRLIREAKAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTIPFLFVRGDGVILVSPPLRTA* >Brasy1G295100.1.p pacid=40058030 transcript=Brasy1G295100.1 locus=Brasy1G295100 ID=Brasy1G295100.1.v1.1 annot-version=v1.1 MWAIMTVVVIFEYTVGGSVYKGFKRAVATVSADVIALGMNWLASKSGDKLEPVITSGSLFLLTCNCDNHLLPVPTDGEGAVQLRRDHFHPI* >Brasy1G303100.1.p pacid=40058031 transcript=Brasy1G303100.1 locus=Brasy1G303100 ID=Brasy1G303100.1.v1.1 annot-version=v1.1 MFFQRRNSKKVKDTDGSSPKDKDGRGKNDFFDRAKGAFTGSLKSAKNDAEGRAEKVQADVKAGFETILEKGSGILKKAKEDVGGHSESEASRSKELGQGSEEQGKGDMEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.2.p pacid=40058032 transcript=Brasy1G303100.2 locus=Brasy1G303100 ID=Brasy1G303100.2.v1.1 annot-version=v1.1 MFFQRRNSKKVKDTDGSSPKDKDGRGKNDFFDRAKGAFTGSLKSAKNDAEGRAEKVQADVKAGFETILEKGSGILKKAKEDVGGHSESEASRSKELGQGSEEQGKGDMEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.5.p pacid=40058033 transcript=Brasy1G303100.5 locus=Brasy1G303100 ID=Brasy1G303100.5.v1.1 annot-version=v1.1 MFFQRRNSKKVKDTDGSSPKDKDGRGKNDFFDRAKGAFTGSLKSAKNDAEGRAEKVQADVKAGFETILEKGSGILKKAKEDVGGHSESEASRSKELGQGSEEQGKGDMEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.3.p pacid=40058034 transcript=Brasy1G303100.3 locus=Brasy1G303100 ID=Brasy1G303100.3.v1.1 annot-version=v1.1 MFFQRRNSKKVKDTDGSSPKDKDGRGKNDFFDRAKGAFTGSLKSAKNGQGSEEQGKGDMEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.4.p pacid=40058035 transcript=Brasy1G303100.4 locus=Brasy1G303100 ID=Brasy1G303100.4.v1.1 annot-version=v1.1 MFFQRRNSKKVKDTDGSSPKDKDGRGKNDFFDRAKGAFTGSLKSAKNGQGSEEQGKGDMEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.7.p pacid=40058036 transcript=Brasy1G303100.7 locus=Brasy1G303100 ID=Brasy1G303100.7.v1.1 annot-version=v1.1 MEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G303100.6.p pacid=40058037 transcript=Brasy1G303100.6 locus=Brasy1G303100 ID=Brasy1G303100.6.v1.1 annot-version=v1.1 MEAFNSMLDKVKTHPDVMDKVHSVLDKVKKHPEVMEKVAEVLHLGKHESKEKKSAEVEEKTEGEKTQDADEGASGDKTESSNILEEAVEEIQAVFAAVQQPDTDETEIPVEAAAETGTPAEGEQPEEAKREVEKDEPQKRIDFLGFFAMLFERFCSPADKKKD* >Brasy1G494100.1.p pacid=40058038 transcript=Brasy1G494100.1 locus=Brasy1G494100 ID=Brasy1G494100.1.v1.1 annot-version=v1.1 MGLAGSIPSWVANLTSLKTLQFCDCGLSGSLPSFIGELKNLEILMLSNCSFSGEIPKHISNLTHLQILILQSNNLYGTVELTSLMKLPHLLAFDISHNNLVVVDGKGNSSLASYPKLVILGLSDCSLSKFPNFLRHQDQINHLDLSNNKIYGAVPQWAWETGTEFLFFNLPNNKFTSIGYAPLLPFRIEMLDLSNNMFEGPIPIPRGSAIMLDYSRNKFSSIPSNFSSHLSGTTLLMAFQNKLSGDIPSSFCGATSIQLLDLSYNNFNGSIPPCLMANVNGMRSLNLRENQLHGEFPDNINEGCSFEALDFSDNWIEGQLPRSLVACKNLEVFDVGNNQISDSFPCWMSRLHRLEVLVLRSNRLFGHVTSLDEEKTTCAFPSLRIVDLSSNNFSGPLPQDQWFKKLKSMILRDSNTSVIMNHPLERTTITYEYTTAVTYKGHETIFTKILRTLVFIDISNNEFNGGITEAIGELVLLHGLNLSHNFLTGTIPSTFGHLNQLEALDMSSNELSGVIPHEITSLDFLTMLNLSYNKLEGKIPESPHFLTFSNSSFLGNNGLCGPPLSKECTNTTWPSVMPHISDKNSLDIMLFLFVGLGFGVGFAVVIVVTWVLPTRKKS* >Brasy1G075400.1.p pacid=40058039 transcript=Brasy1G075400.1 locus=Brasy1G075400 ID=Brasy1G075400.1.v1.1 annot-version=v1.1 MCSPDRNAPAGAGGIRWWLSTVVVSALALVMALVVISLSVESSLPVASLHEYLTSRANHPMGNDTAIGGEELQGCKESLAEHCAQSGTLNSSVASLHTREVANIVPDPVTTDNTMRKLDEATIPDFLYSSNEFQRADQGTCDLDRGEWVFDSSGPLYTNSSCPLITKTQNCQGNGRPDRGYESWRWKPEQCILPRFDARKFLELMRGKTLAFVGDSVARNQMESLLCILWQVETPLNHSNRKMSRWVFGSTSTTIIRIWSSWLVHTSSEAIGFAPKGLDKIFLDIEDETFMEFVSTFDVLVLSSGHWFTKRSAYILDGNVVGGQSWWPREAGKMQINNINAFGISVETCLTAVAINPNFTGIAVLRTYSPDHYETGSWNIGGSCTGKVKPLDQVVRNSFTDTMYGEQITGFRKAVRNSGKHSSKLRLMDITEPFSLRVDGHPGPYTTPDPHKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLFETIQRDLKRDRS* >Brasy1G163000.1.p pacid=40058040 transcript=Brasy1G163000.1 locus=Brasy1G163000 ID=Brasy1G163000.1.v1.1 annot-version=v1.1 MAHSGGSIAFFGTFRPLVPLDIFLLPANPQPSTSPAEQRLTDGVSYNHNGRSIPPAPLKELLAFLARKEPPVKCGATPDDVDNGIVTGIVFVSERDKGLETLHVALSVKGNPTPTVLSLRDIYGHDDTFSGVRMEDSGCFAGGFTAGARAVGHSLVYVSTKEPAKARRTPWTAVYKTSLADGSTERLTPQYQYDINPAVSPSGKRVAVANFRFNQWTGEIDRIHTDIAVMNVDRQAQGGLGRSVVIKDGGWPTWGSENVIFFHRGKFVAGRRQPCWQVFRYDLTTKKINAMTPENMDAMTPAAIDENRVAVAATRTPFGLQAVAPEQRTDVGQFRQIEIYELGKPADPVVITSNKTDHYNPFVLQHGSNSNTSVVGFHRTRDGDAEKKFSMLQSPPTHKDVALYRGAGVFPTFTNKGSELAFVDNDFRTVYVADGGDEPRAVYKHENSNKILSVTWNQKHDTLYICIGTAFTGGEIQIWAIYDVCDKDTNKQSWKQLTDDTKQYNNAFPSSNKDGDKLVFRSSCDRSGGDKKFKNLYIMEDATEGDSSAGKVTRLTEGDWTDTHCCWSPTDDWIVFSSSRDRAPPGTDPAILDAGCFSIFMVSYHKRDVVVRVMHSAMSLAGHVCHPVFSPDRRSIVVTSDVAAVSADPASLPIFIHSVRPYGDIFTIKLRDPDCLEKNGDIVEFDRVTHSRYENSTPTWTELANDPNDLLTSSRTGHAAGPCPFLHSTAYKC* >Brasy1G221900.1.p pacid=40058041 transcript=Brasy1G221900.1 locus=Brasy1G221900 ID=Brasy1G221900.1.v1.1 annot-version=v1.1 MISWVAIYHVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTNNPYDMNLKLILADILQKLLALLGFAAISRACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGDEALKLISQIVVLQSLIWYTLLLFLLEFRAAKGIAATTSSEITAEVEPGTLGTTQQRYQEGQAKGVSAKCSSAFNFLLVVGKKIVMNPNMYACLIGLIWALVSFRWQIQLPVIISNSIRILSDGGLGMAMFSLGLFTALQTKIIVCGTKKMLLSLGIRFFLGPALMMISSYAVGMRGILLKVAIVQAALPQGIVPFVFAKEYNLHADILSTAIIVGMMVAVPAALAYYFVLDQPRF* >Brasy1G479100.1.p pacid=40058042 transcript=Brasy1G479100.1 locus=Brasy1G479100 ID=Brasy1G479100.1.v1.1 annot-version=v1.1 MQVQISDDRPDSIRWKFTAHGSYSANSAYLAQFHGLVKQPFVSLIWKNWAPEKCRFFGWLLETAIHFCTRCTYSLELWKMVADWTGCRLLDPGTWPSFTSIRQWWMSILDRARLQGKELGKDVASLSLLVFWGIWKERNRRTFQNKLRPKEGVLNIIKEEAAVTAREQPFSF* >Brasy1G168400.1.p pacid=40058043 transcript=Brasy1G168400.1 locus=Brasy1G168400 ID=Brasy1G168400.1.v1.1 annot-version=v1.1 MGISMAVPFLLLHLLLPPAAAHFSFTYNFTAAADSAPSGISFQGDAFFNKFIRLTRDERVGPITSSAGRAFFSRPVPLSDPGSRRPASFATAFSFSIAAPEPSAASGDGLAFFLSPFPSALPSSSAGGLLGLFNSSSAGGGGPLVAVEFDTYKNEWDPSDDHVGIDIGGIVSAATADWPTSMKDGRTAHARVAYDGDAKNLTVALSYGDASPTDVLLWYAVDLRNHLPDAVAVGFSAATGEAAELHQVLYWEFTSSVDPKEETVMLWAILGSCGFLVVLVAAGVVWFVRQWRKAGECVLYGDIDDAMVYDELPEPDEFVMDSGPRRFRYAELAAATNNFSEERKLGQGGFGAVYRGFLRELGLEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEQRGDFLLVYELMPNGSVDHHLYGKGVLLTWPTRYDIALGLASAMLYLHEECLQCIVHRDIKPSNVMLDATFGAKLGDFGLAKLVEHGSQPYTTVLAGTLGYLAPECVMTGKASQESDVYSFGVVALEIACGRRPAELAQESSKARLVPWVWELYGKKALLEAADWRLKGEFDEKQMEHLMVVGLWCAHPDYTHRPSIRQVLNVLKFEAPLPVLAPKMPVPTFFPLPDLAVPISFGGSSSTDDPGVGKCDSAGSNAGRGSSVRDGLLEP* >Brasy1G466600.1.p pacid=40058044 transcript=Brasy1G466600.1 locus=Brasy1G466600 ID=Brasy1G466600.1.v1.1 annot-version=v1.1 MTSRASVSAAARVAVLLAAVAVVGGQLSPAFYDATCPGLPSMVRRGMAKAVQKEARMGASVLRLFFHDCFVNGCDASILLDDTANSPGEKNAGPNANSVRGYEVIDDIKANVEASCKATVSCADILALAARDAVNLLGGPSWTVQLGRRDGRDASQYAANQNLPPPDATLPDLLARFRSKGLDARDLTALSGAHTVGWARCATFRAHVYNSSGAAVIDAAFAAGLRARACPPAGGGGDGNLAPLELRAPAAFDNGYFKDLVARRVLLRSDQELYGGGGATDALVRAYAADGAAFAADFAAAMAKMGSLALTGNSGEVRLNCRRVN* >Brasy1G360700.1.p pacid=40058045 transcript=Brasy1G360700.1 locus=Brasy1G360700 ID=Brasy1G360700.1.v1.1 annot-version=v1.1 MEIWRRAAKTASFRSMLACVRMAGEVAQSGRMLEGAREHFASVEGAADRAMQVLPPELGDRDAVADAWTATRSVRRAIRRTTRIVRVHARCGDVFSLCATSMAVQESAMAPWWHEWRQRHAHAAAQAYAALESLRSAWTNGDAALEYLCRLRLTEPPDWDEWGPDILIHLDEAGEDIRDAKAALELLEQALLVEYATARRLLRDDMY* >Brasy1G476300.1.p pacid=40058046 transcript=Brasy1G476300.1 locus=Brasy1G476300 ID=Brasy1G476300.1.v1.1 annot-version=v1.1 MPSVALPSPRRRSSHRTPRPQPPSSRPLFCFFQPNLNISKRLGSESAILVINCPHVQVILPFRRWLSDDTDSCWIHGSMYYYLFCSAFNVSGASSYR* >Brasy1G546800.1.p pacid=40058047 transcript=Brasy1G546800.1 locus=Brasy1G546800 ID=Brasy1G546800.1.v1.1 annot-version=v1.1 MMALATRPPLQPHARPPALAVRVLPPRRARRFCRCRAVADAGAGKAQQARGAYPYDEIEPRWQAHWEKHRTFRTPDLGEGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMRGFNVLHPMGWDAFGLPAEQYAIQTGTHPKFTTAQNIDRFRTQLKSLGFSYDWDREISTTEPAYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFCAVDQEGHDLGAKLTVYTTRPDTIFGATYLVVAPEHVLLPSLTSEEQRGHVEEYTEVATRKSELERTELQKEKTGVFSGSYAKNPATGKIIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYELPIIKVVNPPNGNCDPKEAYADDGIMMNSSDSSSGLNINGMLSQDAALKVTDWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVVYLDDSNEMVPLSENELPLTLPELDDFTPTGTGEPPLTKATEWVKTTDVVTGKPARRETSTMPQWAGSCWYYLRFMDPKNSSTLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYKDSEGAWVSADSDASLIDYYQEKVPAEKVTKIGDHFVLKDDPNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLSRTWRLVVGAPSSDGSYKDGTIVTDDEPTFEQLRVLHKCIARVSEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFVLLLSPFAPHLTEELWFRLGHSKSLAHEQFPEAKSDYLKESKLVLPVQINGKTRGTILVDEECSENEAFQIAAADEKLSKYLDGKGIRKRIYVPGRILNVILDQQKART* >Brasy1G255900.1.p pacid=40058048 transcript=Brasy1G255900.1 locus=Brasy1G255900 ID=Brasy1G255900.1.v1.1 annot-version=v1.1 MRGLLEVHAIGRDVASPSPLRLKSAPALDMMRYQRLSPDCLPLANGGGSGVVRKPASRSSFKDDDATTEGSRLASYLAASQPDSSKPARARAPQPPTSARSPARDHAHGPSDSSADTDSPSSNAGDVLLQWGHNKRSRCRRDASSSSAAPSPQRRLTPGGVNGKIQRRASAPTEKLMPPPPATAITRGSNLRSASSFPARAAAGDANHHGSNRSVEERSGGAQKRQSPDKAHSKAAAAVDHHMDPKNSNNHHQYHHRNDNSSDPPLVANGGGEKLGAVERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKSLQFVFPGMWLSDLTRSRYEVREKKCVKKRRRGLKGMESMDSDSE* >Brasy1G080400.1.p pacid=40058049 transcript=Brasy1G080400.1 locus=Brasy1G080400 ID=Brasy1G080400.1.v1.1 annot-version=v1.1 MAEIAGDETPPLPSSSGADESSVLPPSSSPTDADGDKPLPRTKKPGTKRLILTASVLVSFLVGLPFLLKSTEIHRSPLPSDAIGDLSRRLQSHPPSFPCGIHAIFLRSGPGPSAASLADHLEQTISTQPQGLLASSTTGNLSVSVTVQSEGSCSSSSSTVASTWQCGSVTTADLVRGDEVFDDLLHSALGGRHVDGMKVYTVVVVESDDGNEPRVVIGKHRHAWVVGKVDEAEAVSLVGKVFIEYFMNGGIEDGETGIGKGEFMPVGSDGNVVLSFSLLNADPSDWVYDWEFENIGERMLTPVFEALRPIADINIESQVLYHTPKSSYSYSDDKLGGSILSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPSCSLGSKKTHGTRTKMSSQDLMETLEIFIGQLRQLFGLKTNHITQGMDVATKFVVSEKGFAQWELDLLYRHHACSSLLSCLTTLESLSSLVQSLPRMIVMDEIGKQVELSLEAASLAQRNATLGISDSSAVSARRARALAEDAFFHPSVMSISYASVEHYFAIYMPFFAPVSLHVLLAAIKELKRYKVERGKYLAFLASQATAS* >Brasy1G256400.1.p pacid=40058050 transcript=Brasy1G256400.1 locus=Brasy1G256400 ID=Brasy1G256400.1.v1.1 annot-version=v1.1 MEPKGALGHNQEAVENLKIDASTKASNGNLPAAKDASSSDAISCISSGDAASTVRETEMNQGTYMGDQGMYYYGYYYPGSFGGWDENGYYVGSNGLEMQPTVVQADNGSYLCYYPGYENGYTYGSVVPGAIAGMDGQYVSKEPYYSTAITMQDPTSPGMFAQPVAYGTELLPAYTWDPSYVLPDGVQGHAVGVHKKIYPARPNYPSSKHAVLSSKASRSTKSASSTIKGSSSTVDTVPTSANNHPPSKFASKASGASITKGDLPSSKFVVHTNQEKGNLYQSKGINLNESGRGSEKLKARSKLNGYGDCDIPNNYIDDSKNNSSPRANPSGLSSVHDANDDIPSFVATNRDSYNLPAFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYKVAQERMAGKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFLQGAEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPIIEHPMPKDEKPAEFKKQAQFLSTVGLDKTKRNEEQSSNVAEDVDTAKGSEEQSNRVEADVDTAKRSQEQIVDTAKRSEEQSNKVAAEIDTGKTSED* >Brasy1G243200.1.p pacid=40058051 transcript=Brasy1G243200.1 locus=Brasy1G243200 ID=Brasy1G243200.1.v1.1 annot-version=v1.1 MARPQLLPVERRQVQQHNAYINIDDDYNIHKYGLSGQISPSLPSLDHLEHLDLSMNQLEGPTGRFPEFLCSLKSLRYLNLSGILFQGIKDKLPDWLWAFQRGRYLNIANNQIDGKLPENMEAMAWIILWLSSNKLRGPIPPLPTDLEMLDISMNSFSGSLPSNFTSRDIETLVMFSNNITGNFPISMCGLQNLVDLDLSNNLLEGELPQCFQANEIEYLFLSNNSFSGKFPLFLQKCTQLVILDLARNKFSGSLPAWVGGMANLEFLVLNHNMLSGNIPSSITRLGRLQYLDLASNNLSGAIPWYLANFTSMTRKGLAPPTDSVSINPFEHGSYEVPLASQFGEIVPVNIKGQELKYGHGIRIMVSIDLSCNFFTGRIPEEITSLDALVNLNLSLNELSGDIPDKIGAMQSLESLDLSRNKLSGEIPPSLSNLTYLIYLDMSYNNLSGRIPSGHQLDTLNVDNPSSMYIGNSGLCGPPLQKKCPGNDTSMKSYPGHAYELNDIYVGFTVGLIVGLCVVFCALLFKRKWMVAYFHLLDKMHNRIYVFVAVNRARLFTSATGN* >Brasy1G519200.1.p pacid=40058052 transcript=Brasy1G519200.1 locus=Brasy1G519200 ID=Brasy1G519200.1.v1.1 annot-version=v1.1 MYPTSLLFIMASLLLLISCHRAHAECEPGACGNLTVIKYPFWLGAPGHSPPDPACGHPAFELWCIGNGSAASIRGSSIRVLRIDYNTSSFIASHVRIATGDDGVCRADFNMSSSLALSPFRFSPTNRALCFLYGCVSGTEPTGPSFVNATGVPGCGRPIVAYLGGSYDRDTPPAISTGRCMYTYLPVLGTDAAGATAADYGRLLKSGFLLEWAGIGVGDDCPGCVASGGQCRYRSDSASFVCLCPGGEQRGSTCPGSKRTGTKIILIVLTSAAAVLLSTCIYVLIWHRKGKRLRFLLCKKTSSSTERNYEAMIVSYGSLAPKRYLHSEVMKITSSRNNQLGQGGYGAVFKGRLHDGRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALIYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIAHGLEYLHHSCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSIVEKSSEKYFPDWIYDHFDQDDGLEACEVTSEIEEIARKMTIIGLWCIQVLPVYRPTITKVLEMFERSLDDLDMPPKQNFSELLENSDHNMNVQSVSSTRSEETSLVNSKIVQ* >Brasy1G501200.1.p pacid=40058053 transcript=Brasy1G501200.1 locus=Brasy1G501200 ID=Brasy1G501200.1.v1.1 annot-version=v1.1 MAGPPELSGFARLRHAAFLESMATDLPRNYATQEVNHLTLAYFAVAGLSLVRELHLVNKDQIVKWILSFQVHPEADDDLGNGQFFGFCGSRTTQYPSNTLKDPSHNCSHLASTYSALAILKIVGYDVLNIDSKALLHSMKKLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKEYIINCQSYDGGFGMVPGSESHGGGTFCAVAALYLMGFIQVDLASNLRESASIDVQLLLEWCLQRQAADGGFQGRRNKPADTCYAFWIGGVLKIIGAYHLIDQSALREFLLTCQSPYGGFTKFPDDRFPDIYHSYYGLAALSLLEDEEVELLCAELGIISAAL* >Brasy1G495000.1.p pacid=40058054 transcript=Brasy1G495000.1 locus=Brasy1G495000 ID=Brasy1G495000.1.v1.1 annot-version=v1.1 MKTRPGPLLLLLLLQIQNILPGYSLLHSAGEYTNHTVLYQQYLPCLPDQASALLRMKRSFSVTNKSIAAFRSWRAGTDCCLWEGIGCHDANGRVTSLDLSNQGLQSGGLNHAIFDLTSLEYLNLAYNDFNGSRLPSTGFEHLVRLTHLNLSTSTFGGQVPIGIRHLTNLVSLDLSTSFEVVEALNDGHRVTTDSNDDSVQLVEPNFDALIGKLSNLRELNLGLVDLSGNKESQWCDALARSTPNLRLLSLPFCGLSGPIGTSLSSMHSLAVIDLQENRLNGQIPDFLTNFSSLRVLQLKRNQFEGWLSPAIFEHKKLVTFDIYRNLRVSGYLPNFSTSNSLENLDVGRTNFSGAIPNSIKNLKSLKRLGLGAPGFFGELPSSIGKLKSLSALHISGMGLAGSIPLWVANLTSLKTLQFSDCGLSGPIPSFIGEMKNLERLMLCNCRFSGEIPTHISNLTQLHILLLYSNNLFGTMDLTSLKKLPHLYAFDISDNNLVVVNGKVNSSLTSYPKIEILGLGDCSLSKFPNFLRHQYQVSTLDLSNNKIYGPVPQWAWEIGNDFLHFSLANNKFTSIGYSPLLPLSIQVLDLSNNMFEGSIPIPRGSAIMLDYSSNKFSSLPSNFSSHLTDTTLFMASQNNFSGDIPTSFCGAMSIQLLDLSYNNFNGSIPPCLMASVNGTQSLNLKENQLQGEVPDNINEGCSFEALDLSDNWIEGQLPKSLVACKNLKVLDIGNNQIHDSFPCWMSALQRLEVLVLKSNRLFGHVAQSHAEGKSTCTFPSVRIIDLSSNNFSGPLPQDQWFKKLKSMIWRDSNTSLIMDHELPSLTTTYKYTTAVTYKGHDTAFAKILRTLVFIDVSNNAFHGGIPEAIGELILLHGLNLSHNFLTGTIPSRVGRLNQLEALDMSSNELSGVIPHEITSLDFLTMLNLSYNKLEGTIPESPHFLTFSNSSFLGNNALCGPPLSKECSNTTMPSVVPHTFDENSPDIMLFLFIGLGFGVGFAVVIVVTWVLPIRMIHKSVL* >Brasy1G106000.1.p pacid=40058055 transcript=Brasy1G106000.1 locus=Brasy1G106000 ID=Brasy1G106000.1.v1.1 annot-version=v1.1 MMTVSVSHSRRPLCFPTNSEVQPSNREVRGGCQVGVVMEAAAEPLLPQSATAVDLLGRAVSRRASGRWSAALFIIGVEISERFAYSGISGNLITYLTGQLGQSTASAAAAINAWSGAALLLPLLGAAVADSWLGRYRTIVCASLLYILGLGMLTVSSVLTPQQPAQFGDHKDSALSSTSTIHLAFFYLSLYLVAFAQGGHKPCLQAFGADQFDENDPEECASRSSFFNWWYFGTYGGSIVTVSILNYIQDNISWQFGFGIPCMVMSVSLAIFWLGTKNYRFYPVRSEESLFGLVSKHLVSSIRGWYALWCSTSSDDTHCTPTSSSKGVGDNSEMTCFPDEAKAVLKLFPIGATCLVYAVVFSQWMTLFTKQASTLDRQIGSLQVPAAALQSLISVSIVISVPIYERILVPLARKYSKNPRGITELQRIGIGLVVSVLLMVVAALVEMRRLKTARDYGLVDKPEVTIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLRSLGVALYLSIFGIGSFISSFLVYAIDRVTSDDGDSWFSDNLNRGHLDYFYWLLAVLSVLGLVAYLYFAQTYVHKKKGVSVRTR* >Brasy1G174300.1.p pacid=40058056 transcript=Brasy1G174300.1 locus=Brasy1G174300 ID=Brasy1G174300.1.v1.1 annot-version=v1.1 MAAAVASFFAAALVAAAAVAGGDAALVEHTFIVSQVRLNRLCNDTLVTVVNGQLPGPTIEVREGDSVAVHVINKSPHGLTIHWHGVKLQLNCWADGAGMITQCPIRPNNNFTYRFDIVGQEGTLWWHAHVASLRATIHGALIIRPRSGPSSYRFPNPDKEIPIVIGEWWEMDLVELDMRLRNGNLFDVPRAATINGKPGDLYNCSGAIKESNILNVEHGKTYLLRIVNAALNSEYYLKIAGHKFTVVGADANYVKPYTTDVIAIAPGETVDALVVTDAHPGGRYYMIAMAYQPPKPAKQFPLFLSRGIVQYYGDNANQSKEEEALPDTPMAPEMPDQHDAVTSFYFYGNLTSLQPHPLPTIVDERLFYALDAGYFCREGGSSCQNVSNIVATINNVSFELPATTPLLQAHYYNNMNSSIGTLPDGAPRMFDYSMSLAPTSKATSVRRLRYNTTVEIVFQSPVIADSYSNPMHLHGHDFFVLAQGFGKFDEKKDVKTYNLVDPPARNTVHVPIYGWAAIRFVTNNPGVWYLHCHYGHHSSTGMAVALVVENGPTLDTTLPPPPADFPSCENYISMLANE* >Brasy1G056800.1.p pacid=40058057 transcript=Brasy1G056800.1 locus=Brasy1G056800 ID=Brasy1G056800.1.v1.1 annot-version=v1.1 MDGDGQDGVAKRRGPAADEEDPGRRHGAEAAAAGEDEDEKAARRSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWDGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHIRWCGILQRIAFAYLVVAVIEIATKDANIQDQSSSGFSIFRMYFSQWIVACCILLIYLSLVYGIYVPDWEFRVRNVDSPNYGKVLTVTCGTRGKLSPPCNAVGYIDRKLLGINHLYQKPAWRRHRACTDDSPHEGPFKSDAPAWCASPFEPEGLLSSFSAVLSTIIGVHYGHVLVHTKSHMERLKQWVAMGVALLFLGIILHFSHAIPLNKQLYTFSYICVTAGAAGIVFSMLYFLVDMASLGYVFAPLRWIGMNAMLVYVMAAAGVFEGFLNGWYYDGTNNTLVYWVRKHVFIRVWHSTRVGILLYVLVAQILLWALVAGILHRAGVYWKL* >Brasy1G056800.3.p pacid=40058058 transcript=Brasy1G056800.3 locus=Brasy1G056800 ID=Brasy1G056800.3.v1.1 annot-version=v1.1 MDGDGQDGVAKRRGPAADEEDPGRRHGAEAAAAGEDEDEKAARRSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWDGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHIRWCGILQRIAFAYLVVAVIEIATKDANIQDQSSSGFSIFRMYFSQWIVACCILLIYLSLVYGIYVPDWEFRVRNVDSPNYGKVLTVTCGTRGKLSPPCNAVGYIDRKLLGINHLYQKPAWRRHRACTDDSPHEGPFKSDAPAWCASPFEPEGLLSSFSAVLSTIIGVHYGHVLVHTKSHMERLKQWVAMGVALLFLGIILHFSHAIPLNKQLYTFSYICVTAGAAGIVFSMLYFLVTYCRGGEEAVAVAFA* >Brasy1G056800.2.p pacid=40058059 transcript=Brasy1G056800.2 locus=Brasy1G056800 ID=Brasy1G056800.2.v1.1 annot-version=v1.1 MDGDGQDGVAKRRGPAADEEDPGRRHGAEAAAAGEDEDEKAARRSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWDGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHIRWCGILQRIAFAYLVVAVIEIATKDANIQDQSSSGFSIFRMYFSQWIVACCILLIYLSLVYGIYVPDWEFRVRNVDSPNYGKVLTVTCGTRGKLSPPCNAVGYIDRKLLGINHLYQKPAWRRHRACTDDSPHEGPFKSDAPAWCASPFEPEGLLSSFSAVLSTIIGVHYGHVLVHTKSHMERLKQWVAMGVALLFLGIILHFSHG* >Brasy1G206200.1.p pacid=40058060 transcript=Brasy1G206200.1 locus=Brasy1G206200 ID=Brasy1G206200.1.v1.1 annot-version=v1.1 MEESSMKREVLPQLLDLIPDRKEWSLRGAPGPGRSRNTGFGGDEDEKLELKLGLPGLAEEQTPATSRENKIHQERPALSLGYLPTHSMTTASTTTTGAKRGFLDTVEAKAEGYNEQKQQARAACGKELTVEENTAAASERKKGCCPPPPSHAPPSTPARNSGNRPPARGRGAAVPVVGWPPIRSFRRNLATSSSSKQPPEQQNGETDAKVKLNCNKSPLVKINMDGIPIGRKVDLAGCDSYEKLSLAVKELFHGFLEAQREPSSAESAQQGADRKMFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSRALIGAAAEREEKC* >Brasy1G206200.4.p pacid=40058061 transcript=Brasy1G206200.4 locus=Brasy1G206200 ID=Brasy1G206200.4.v1.1 annot-version=v1.1 MEESSMKREVLPQLLDLIPDRKEWSLRGAPGPGRSRNTGFGGDEDEKLELKLGLPGLAEEQTPATSRENKIHQERPALSLGYLPTHSMTTASTTTTGAKRGFLDTVEAKAEGYNEQKQQARAACGKELTVEENTAAASERKKGCCPPPPSHAPPSTPARNSGNRPPARGRGAAVPVVGWPPIRSFRRNLATSSSSKQPPEQQNGETDAKVKLNCNKSPLVKINMDGIPIGRKVDLAGCDSYEKLSLAVKELFHGFLEAQREPSSAESAQQGADRKMFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSRALIGAAAEREEKC* >Brasy1G206200.2.p pacid=40058062 transcript=Brasy1G206200.2 locus=Brasy1G206200 ID=Brasy1G206200.2.v1.1 annot-version=v1.1 MEESSMKREVLPQLLDLIPDRKEWSLRGAPGPGRSRNTGFGGDEDEKLELKLGLPGLAEEQTPATSRENKIHQERPALSLGYLPTHSMTTASTTTTGAKRGFLDTVEAKAEGYNEQKQQARAACGKELTVEENTAAASERKKGCCPPPPSHAPPSTPARNSGNRPPARGRGAAVPVVGWPPIRSFRRNLATSSSSKQPPEQQNGETDAKVKLNCNKSPLVKINMDGIPIGRKVDLAGCDSYEKLSLAVKELFHGFLEAQREPSSAESAQQGADRKMFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSRALIGAAAEREEKC* >Brasy1G206200.3.p pacid=40058063 transcript=Brasy1G206200.3 locus=Brasy1G206200 ID=Brasy1G206200.3.v1.1 annot-version=v1.1 MTTASTTTTGAKRGFLDTVEAKAEGYNEQKQQARAACGKELTVEENTAAASERKKGCCPPPPSHAPPSTPARNSGNRPPARGRGAAVPVVGWPPIRSFRRNLATSSSSKQPPEQQNGETDAKVKLNCNKSPLVKINMDGIPIGRKVDLAGCDSYEKLSLAVKELFHGFLEAQREPSSAESAQQGADRKMFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSRALIGAAAEREEKC* >Brasy1G093800.1.p pacid=40058064 transcript=Brasy1G093800.1 locus=Brasy1G093800 ID=Brasy1G093800.1.v1.1 annot-version=v1.1 MAALNNDNTTDSGHLSIDVLALASREEEIQDQDTGAGAVSTNCGGGDGVVVNTTGMGAAVITASKGFVITTNGAGAVTSTGGDVINTSGAGAVKTSGALRKSAAKCGDLEAEEEEEDEGDEEQANGKLPWMVGVLGWLANGTATVAALYRSPLRPQGGEVVYRVGVASVFVAGVAEMLAALWVSGDTRSRGPAGKKILCVSLFTVLLGLALNGFAYLLRK* >Brasy1G150100.1.p pacid=40058065 transcript=Brasy1G150100.1 locus=Brasy1G150100 ID=Brasy1G150100.1.v1.1 annot-version=v1.1 MRVGRAMPIALESGARFGRGAAPHQAPPAARGGTSSAGRGSDSSAAAVHREEAEEGDGEVQSSYRGPLETMDALQDALPRRREVSKPYNSKLCSLVNAGDDAVSSQPAKDVANPENPSPKKRKGLLPFGINKNELQSKKLSPVGDVTNSTANCRKSLYPAVTSSSPSKSRREDEHVCCKNLPCHCLQRKFGAMDPFASPPVALETQLISVQMRSVSTVDLQDVVESTAEVSPREKRRKN* >Brasy1G460900.1.p pacid=40058066 transcript=Brasy1G460900.1 locus=Brasy1G460900 ID=Brasy1G460900.1.v1.1 annot-version=v1.1 MEQHSPPSLSLAFVFVLLASAHYAQAYPARAKPSISSIFSFGNSYADTGNFIKLAAPLIPVIPFNNLPYGETYFRRPTGRASNGRLTIDFIAKEFGLPFIPPYLGQGNNFSRGANFAVVGGTALDLAYFLKNNITSVPPFNSSLSVQLEWFKKLKPTLCSTPQDCRDYFKKSLFFMGEFGGNDYIFVLAAGKSFRQAASYVPKVVEAISAGVEAVIKEGARTVVVPGQLPTGCIPIMLTLYASPNKRDYDSTGCLRKYNALARYHNAVLFESVYRLRQKYPAAKIIYADYYAPLIAFLKKPKRYGFSPSSGLRVCCGGGGPYNYNLTAACGLPGASACRDPAAHVNWDGVHLTEPAYERIAAGWLRGPYAHPRILKALRP* >Brasy1G460900.2.p pacid=40058067 transcript=Brasy1G460900.2 locus=Brasy1G460900 ID=Brasy1G460900.2.v1.1 annot-version=v1.1 MEQHSPPSLSLAFVFVLLASAHYAQAYPARAKPSISSIFSFGNSYADTGNFIKLAAPLIPVIPFNNLPYGETYFRRPTGRASNGRLTIDFIAKEFGLPFIPPYLGQGNNFSRGANFAVVGGTALDLAYFLKNNITSVPPFNSSLSVQLEWFKKLKPTLCSTPQDCRDYFKKSLFFMGEFGGNDYIFVLAAGKSFRQAASYVPKVVEAISAGVEAVIKEGARTVVVPGQLPTGCIPIMLTLYASPNKRDYDSTGCLRKYNALARYHNAVLFESVYRLRQKYPAAKIIYADYYAPLIAFLKKPKRYDADPRNQVEDRAGQLIRPFLNTHRGGHTTRQHNLKSTSLYFFFKVNFDLSNYISNGC* >Brasy1G534500.1.p pacid=40058068 transcript=Brasy1G534500.1 locus=Brasy1G534500 ID=Brasy1G534500.1.v1.1 annot-version=v1.1 MNSTSKAMLLVSRSGGSSSRFNVGGKAVRQSGRLAAKASRDLSSLDKAKLLLMKKSGICPEEARPGKEAMEKYRGLFKQELPTSFMEAVSALVDSARQGGGLTPEEIKTAVFELHAEKAPGPDGFVGAFFKACWSLIARDLVAAIRGFHDLRGWNWHLLNSANLVLLPKKDAAAEAKDFRPALETARHLVLECPFSKAIWALLLRDRPRMARAAAQSTSLNGWWNRLRKLKTGKKNPDIVWASMVVQHPSLILSSSPPLPSPPDRSLPCTGFTDDLFLSPNGGGMHNMTDEELFWRASMAPKVAGKPRRLVPKVAFLFLTKGELPLRPLLEKFFAGHEGLYSVYVHASPDYTGSLPTDSVFYGRMIPSQKTKWGDPTLVDAERRLLANALLDVSNERMVHPDLQLPHDVLGSVGISFVDSADDHRNRVRYNPVYGRHNVSLYVWRKGNQWFEMDRALAALDVHYLPTLVSKLELSARIANRSLTYHDWCPGTSHPWTFGAENVTEELFGKMKGGDINCSYNGKVSDICFLFARKFSAGTLGKLLELAPKIMGFG* >Brasy1G506200.1.p pacid=40058069 transcript=Brasy1G506200.1 locus=Brasy1G506200 ID=Brasy1G506200.1.v1.1 annot-version=v1.1 MSSSQVPSKVHTFSIMSAGSAGTNVVYEVVEHVASEMSSSLALAPAVVPHDSSSTGTQVISDGDDDGDHANDIRINIDPTTATDELRPDMASPVQLSRALWTMALLTLVIDVGTALYRPARGVLFGRSRLAYYVTLAAIFVAGVAEAFAAFWLSRRFHHADEAAVSRSFSFGRVVLCASIGPFVAIVGISGFTFIEG* >Brasy1G134500.1.p pacid=40058070 transcript=Brasy1G134500.1 locus=Brasy1G134500 ID=Brasy1G134500.1.v1.1 annot-version=v1.1 MLGLGRACSLGHSCRRPTATPPSPRPHPRSSSRSKSGRAVRAEAAPSAQAQIMEPAKREEPRAVALRAVEATPESFAPFGQVIAASPDGDHFGPHDAQLDLSHGIPRFYIMRLESRPLKFSNITHHASVTQCLGSIGGQDWYLGVAKPSIVDGAPGQSGGRDPVQSRAGHYYLPPDPSEVCVFRVSGPKFLKFHAGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHNFAKQDGVAFVIED* >Brasy1G258300.1.p pacid=40058071 transcript=Brasy1G258300.1 locus=Brasy1G258300 ID=Brasy1G258300.1.v1.1 annot-version=v1.1 MSPPLEPHDYIGLSAAAASPTPTSSSSCSSSPNPGAEVGPRLTLRLGLPGSESPDRDSSADVAAALTLGLASTHKAASKRAFPEASPRRGGSAAAAAAARGEEKAPAAAPPAAKAQVVGWPPVRNYRKNTLAASVSKSKGGEEGAAAQGGPQYVKVSMDGAPYLRKVDLKIYSSYEDLSTALQKMFSCFITGQSAMRKPSSKDRLTNGSKADSLQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIAPRSLEQTGQNK* >Brasy1G258300.2.p pacid=40058072 transcript=Brasy1G258300.2 locus=Brasy1G258300 ID=Brasy1G258300.2.v1.1 annot-version=v1.1 MSPPLEPHDYIGLSAAAASPTPTSSSSCSSSPNPGAEVGPRLTLRLGLPGSESPDRDSSADVAAALTLGLASTHKAASKRAFPEASPRRGGSAAAAAAARGEEKAPAAAPPAAKAQVVGWPPVRNYRKNTLAASVSKSKGGEEGAAAQGGPQYVKVSMDGAPYLRKVDLKIYSSYEDLSTALQKMFSCFITGQSAMRKPSSKDRLTNGSKADSLQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIA* >Brasy1G065300.1.p pacid=40058073 transcript=Brasy1G065300.1 locus=Brasy1G065300 ID=Brasy1G065300.1.v1.1 annot-version=v1.1 MESPPPALESGRSSPSPAASPEFEFWMVGKNPGSFPSPALLTADELFSDGIVLPLHTLQPPPSDSDAGAEEEDSSPLPEADADGEAPAQAPLAECCAAPPPDLPAVTFKWKDIFKTAGGGAEAKDRVNKKTERRVSSVSGNSELININIWPFSRSRSAGHSASASAGATMNKAKANASANPNNANANGAAGAAIGALAMASSSATPAGRKVSSAPCSRSNSRGETSGPAATAAVAVSAAAEAAAAQAAGGPTSMLRRWVPGGHGRAAGAGASGIRLGRASPVWQLRRNKLQQQQAAAEQKQQQAMAKNKAASLDAAAGTHDDADEEEDKSAAAATAAQAPSVSAPTAAACRNNASCAEGAGVGAGEECNAPQGLFGLRTFFSKKVY* >Brasy1G188200.1.p pacid=40058074 transcript=Brasy1G188200.1 locus=Brasy1G188200 ID=Brasy1G188200.1.v1.1 annot-version=v1.1 MASTEVSNSKPPPSRRLSPKGSFKLSLPGLLACGGQCMAATTVSPPDSPTATTGGARSPRSLSSSASSSMGTTTTSRGRDRQAELREIFRHFDRDMDGRVSGLELREFFASIARGDGGGALGLEDAVAGGGGGDMMMLGFEEFVGIVERKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLGRLGDDTSDAECEAMIRAYDVDGDGELDFHDFHRMMSSHDD* >Brasy1G512100.1.p pacid=40058075 transcript=Brasy1G512100.1 locus=Brasy1G512100 ID=Brasy1G512100.1.v1.1 annot-version=v1.1 MAQQMHKVELVRYFNLRIWTFSLFCMLPAFLYVIPTIRPSSFKSPLIVLAPIVLYASPVVVFTLADCVQKGAYFLLLAVWPDWIFSLVMSKEISVEDYIISNPVIRTYAMMDGANVLICTEEGLQLWKGFIDVIMKAYRSKQSWAGTFGLCDLKMNGHQCRISSVPDHPATFENLLMDFSKLASLLIRYYRDSTSNNRTERYIKLLYNSMTWPPKFTGPILAAQEKYILFIQSHLSLRSPRQRRVFFSNLSKAYNAMGPYERRLFSRSLDRIRVANWRNSAMGNEFMARVVTFNVWGPGFAYERGPRELFRFIRNFIEHGGDNVNGVQRCTNLADLELLGENFVPTFTVRLMCELIRGSNLTGTAAVAWQRYTKEHPAE* >Brasy1G512100.2.p pacid=40058076 transcript=Brasy1G512100.2 locus=Brasy1G512100 ID=Brasy1G512100.2.v1.1 annot-version=v1.1 MAQQMHKVELVRYFNLRIWTFSLFCMLPAFLYVIPTIRPSSFKSPLIVLAPIVLYASPVVVFTLADCVQKGAYFLLLAVWPDWIFSLVMSKEISVEDYIISNPVIRTYAMMDGANVLICTEEGLQLWKGFIDVIMKAYRSKQSWAGTFGLCDLKMNGHQCRISSVPDHPATFENLLMDFSKLASLLIRYYRDSTSNNRTERYIKLLYNSMTWPPKFTGPILAAQEKYILFIQSHLSLRSPRQRRVFFSNLSKAYNAMGPYERRLFSRSLDRIRVANWRNSAMGNEFMARVVTFNVWGPGFAYERGPRELFRFIRNFIEHGGDNVNGVQRCTNLADLELLGENFVPTFTVRLMCELIRGSNLTGTAAVAWQRYTKEHPAE* >Brasy1G512100.3.p pacid=40058077 transcript=Brasy1G512100.3 locus=Brasy1G512100 ID=Brasy1G512100.3.v1.1 annot-version=v1.1 MSKEISVEDYIISNPVIRTYAMMDGANVLICTEEGLQLWKGFIDVIMKAYRSKQSWAGTFGLCDLKMNGHQCRISSVPDHPATFENLLMDFSKLASLLIRYYRDSTSNNRTERYIKLLYNSMTWPPKFTGPILAAQEKYILFIQSHLSLRSPRQRRVFFSNLSKAYNAMGPYERRLFSRSLDRIRVANWRNSAMGNEFMARVVTFNVWGPGFAYERGPRELFRFIRNFIEHGGDNVNGVQRCTNLADLELLGENFVPTFTVRLMCELIRGSNLTGTAAVAWQRYTKEHPAE* >Brasy1G320000.1.p pacid=40058078 transcript=Brasy1G320000.1 locus=Brasy1G320000 ID=Brasy1G320000.1.v1.1 annot-version=v1.1 MSNRAAFVVAFLIRSIYGGAQIVAKLAFNEGMSTSVHATALLFLVTIAFVLERKTAPPLSFKVSLKLFAHAFYGISGAINISCLGLKYSSATASSAILNLLPVVAFFLALLLGVEFLNLKRFHGIAKVSGVLFCIAGVIVLAFYQGPQLKSLNHHRLFHHISTIRHGVAAHPTTSWVLGIFLTTLSTSCWALWTVLQGPMLEAYPSKLLNTTLQIIFATIQSFFIALAVERDFSRWKLGPDACLVAVLYTGILVSGVGYYMQVWVIDKSGPVFLTMTMPITLFVTIAVSLFLLGESVSLGSVTGGMIMVGGLYCVLWAKRSEQIAANKQQMAAPVQAARV* >Brasy1G270900.1.p pacid=40058079 transcript=Brasy1G270900.1 locus=Brasy1G270900 ID=Brasy1G270900.1.v1.1 annot-version=v1.1 MDAPSFNTPTQTRHPLPSLPISLFSPNPRLGAADQRRSRAERRHPMESLALLSAKPGLSPRTGLRLPLPWLRAPRASLSPACSSRLPALQSPLLASRVPSSQDAILGYGLLKRRTSSRGGVSCSAAAAAAAVPQPEAKKFLGVGLPTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLVYSKLSDVLSREALFYTVIFPFIAFFGLFGFVLYPLRDVIHPIGLADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTMGPGIDGWEVSLKGMMGIVVLLGLVITSIYWGVNKLVLNDPSLPKSDHKKKKNKPKLSMKESMKVLVSSKYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIIFQKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDGDMKLKGKAAIDVVCNPLGKSGGALIQQFLILIFGSLANSTPYLGGILLIIVLAWLRAASSLDKQFSSLAKEDLRKEKSAKETVETPQLKEREAATDILVEHTNGTVASETTGTESSPSNSSPIQ* >Brasy1G381300.1.p pacid=40058080 transcript=Brasy1G381300.1 locus=Brasy1G381300 ID=Brasy1G381300.1.v1.1 annot-version=v1.1 MTLRRDRVSGSRRFGRHYNLLIFPCCQVQATQHRSHSFVLLVSVSGASTSCMLGAPNSLRFAGHDLRLTKLEISTGYQSLARESDQGRADSQRDPRVRPESIVPHLRFAAGLRKKASSSTGWKWQC* >Brasy1G047600.1.p pacid=40058081 transcript=Brasy1G047600.1 locus=Brasy1G047600 ID=Brasy1G047600.1.v1.1 annot-version=v1.1 MRFSRDQLVGSPFVAFGILLFVGFFYAAVVSKLLPPYENRLQSAIQNDRYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA* >Brasy1G028500.1.p pacid=40058082 transcript=Brasy1G028500.1 locus=Brasy1G028500 ID=Brasy1G028500.1.v1.1 annot-version=v1.1 MSTTKVLDPAFQGAGQKVGIEIWRIEDFKSVPLPKSDYGKFYCGDSYIVLQTTSPKGGAYLYDIHFWIGKDSSQDEAGTAAIKTVELDSILGGRAIQHRELQGYESDKFLSYFKPCIIPMEGGFASGFKTPEEDKFETRLYICKGKRAIRIKEVPFARSSLNHDDVFILDTESKIYQFNGANSNIQERAKSLEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTPPKLYSINDGQLKLEDTALTKAVLENTRCFLLDCGAEMFVWVGRVTQLDDRKATTKAVEEFIIDQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNVAGNSGAEDGRGKVAALLKQQGVDVKGAAKSSTPINEEVPPLLEGGGKLEVWCVDGSAKTVLPKEDNGKFYSGDCYIVLYTYHSGDKKEEYYLNYWIGKDSTTDDQVMAAELANTMLNSLKGRPVLGRIFQGKEPPQFVALFQPMVILKGGIGSGYKKIAEEKGVGSGTYSAEGIALFRVSGTASHNNKTLQVDAKATSLSSTDCFVLQSGSAMFTWHGNSSTYEQQQWAAKVAEFLKPGATVKHSKEGTESSAFWFALDGKQSYTNKTVTQDIIVREPHLYAFSFRKGRLEVTEIFNFCQDDLLTEDMMILDTHGEVFIWIGQCVESKEKHKAFDIGQKYIEHAMSIEDLSAYVPLYKVSEGNEPSFFKTYFSWDNTKSVVHGNSFQKKLSLLFGLRSESTSRSSGNGGPTQRASALAALSSAFNPSSQQKQANDSRPASSGDGGPTQRASALAALSNAFNPSSKPKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSDNLRASKMSATAEKIDAEVAVITPSEASPRSEAGESSEFQSEKDAVVDEVPSEGDGTEPEAPEEQTTEHVGEVTFSYDRLISKSADPIRGIDYKRREAYLSESEFQTVFGVTKDAFYQQPAWKQELQKRKADLF* >Brasy1G155500.1.p pacid=40058083 transcript=Brasy1G155500.1 locus=Brasy1G155500 ID=Brasy1G155500.1.v1.1 annot-version=v1.1 MLVRGCICATERVLDRGFLHGISVAAGQRSFWNQANMSSKQSEPWTRLAHSKKLPGWVAYNPRTMRPPPLSDDTKHMKILSWNVNGLRTMLGSGQFSAVELAQRENFDVLCLQETHLKEGDVNDFKNLIPGYDHCYWSCSVARLDYSGTGVISRVKPISVQYGIGKAEHDQEGRVITLEFDYFYLVNAYVPNSGRGLRRLIYRVDDWDPCFSDYIEKLELSKPVIVAGDLNCARQNIDIHNPPAKTEDAGFTIEERESFEINFSMRGYVDTFRKQHPNAVGYTFWGENQRRTNKGWRLDYFLASEHISDRVHDSYILPDVTSSDHSPIGLVLKL* >Brasy1G155500.4.p pacid=40058084 transcript=Brasy1G155500.4 locus=Brasy1G155500 ID=Brasy1G155500.4.v1.1 annot-version=v1.1 MLVRGCICATERVLDRGFLHGISVAAGQRSFWNQANMSSKQSEPWTRLAHSKKLPGWVAYNPRTMRPPPLSDDTKHMKILSWNVNGLRTMLGSGQFSAVELAQRENFDVLCLQETHLKEGDVNDFKNLIPGYDHCYWSCSVARLDYSGTGVISRVKPISVQYGIGKAEHDQEGRVITLEFDYFYLVNAYVPNSGRGLRRLIYRVDDWDPCFSDYIEKLELSKPVIVAGDLNCARQNIDIHNPPAKTEDAGFTIEERESFEINFSMRGYVDTFRKQHPNAVGYTFWGENQRRTNKGWRLDYFLASEHISDRVHDSYILPDVTSSDHSPIGLVLKL* >Brasy1G155500.5.p pacid=40058085 transcript=Brasy1G155500.5 locus=Brasy1G155500 ID=Brasy1G155500.5.v1.1 annot-version=v1.1 MLVRGCICATERVLDRGFLHGISVAAGQRSFWNQANMSSKQSEPWTRLAHSKKLPGWVAYNPRTMRPPPLSDDTKHMKILSWNVNGLRTMLGSGQFSAVELAQRENFDVLCLQETHLKEGDVNDFKNLIPGYDHCYWSCSVARLDYSGTGVISRVKPISVQYGIGKAEHDQEGRVITLEFDYFYLVNAYVPNSGRGLRRLIYRVDDWDPCFSDYIEKLELSKPVIVAGDLNCARQNIDIHNPPAKTEDAGFTIEERESFEINFSMRGYVDTFRKQHPNAVGYTFWGENQRRTNKGWRLDYFLASEHISDRVHDSYILPDVTSSDHSPIGLVLKL* >Brasy1G155500.2.p pacid=40058086 transcript=Brasy1G155500.2 locus=Brasy1G155500 ID=Brasy1G155500.2.v1.1 annot-version=v1.1 MSSKQSEPWTRLAHSKKLPGWVAYNPRTMRPPPLSDDTKHMKILSWNVNGLRTMLGSGQFSAVELAQRENFDVLCLQETHLKEGDVNDFKNLIPGYDHCYWSCSVARLDYSGTGVISRVKPISVQYGIGKAEHDQEGRVITLEFDYFYLVNAYVPNSGRGLRRLIYRVDDWDPCFSDYIEKLELSKPVIVAGDLNCARQNIDIHNPPAKTEDAGFTIEERESFEINFSMRGYVDTFRKQHPNAVGYTFWGENQRRTNKGWRLDYFLASEHISDRVHDSYILPDVTSSDHSPIGLVLKL* >Brasy1G155500.3.p pacid=40058087 transcript=Brasy1G155500.3 locus=Brasy1G155500 ID=Brasy1G155500.3.v1.1 annot-version=v1.1 MSSKQSEPWTRLAHSKKLPGWVAYNPRTMRPPPLSDDTKHMKILSWNVNGLRTMLGSGQFSAVELAQRENFDVLCLQETHLKEGDVNDFKNLIPGYDHCYWSCSVARLDYSGTGVISRVKPISVQYGIGKAEHDQEGRVITLEFDYFYLVNAYVPNSGRGLRRLIYRVDDWDPCFSDYIEKLELSKPVIVAGDLNCARQNIDIHNPPAKTEDAGFTIEERESFEINFSMRGYVDTFRKQHPNAVGYTFWGENQRRTNKGWRLDYFLASEHISDRVHDSYILPDVTSSDHSPIGLVLKL* >Brasy1G407500.1.p pacid=40058088 transcript=Brasy1G407500.1 locus=Brasy1G407500 ID=Brasy1G407500.1.v1.1 annot-version=v1.1 MATTRVVGSMALLVLVVLSTSHVTSSLRLGLGVCRASGYLPGKAGHCEKSNDPDCCEDGKSTKAVLTLNSFEKGKDGGGPSECDNSYHSDKEMVVALSTGWFKNMARCGHRIKITANGNSVYAKVVDECDSVYGCDDDHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSEE* >Brasy1G039400.1.p pacid=40058089 transcript=Brasy1G039400.1 locus=Brasy1G039400 ID=Brasy1G039400.1.v1.1 annot-version=v1.1 MVGSVHVNGSLNGANGTEERLDELRRLLGKSEGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRVWRRPGRAVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGLCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERIGVPVIISLAKGIEASLGPIPRIVTPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPVRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP* >Brasy1G193800.1.p pacid=40058090 transcript=Brasy1G193800.1 locus=Brasy1G193800 ID=Brasy1G193800.1.v1.1 annot-version=v1.1 MAPLVGAFKLFGKVITQSAEGAAPAPVSAVAGEEEPQRLPGDGGERDRTAAIKREAPAGDLDGEESKQQQQGGAARRTQLQESAEARAAAAPLPCPRCRSRDTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRKNRPLGPNVCANNHHRAPPASGFNLAFPNAAASSSPNSPSPVYTDGQWQAGQVRRF* >Brasy1G432800.1.p pacid=40058091 transcript=Brasy1G432800.1 locus=Brasy1G432800 ID=Brasy1G432800.1.v1.1 annot-version=v1.1 MMSSILVIGGTGNIGQHLVTASLDAGHPTAVLVRPTTVAYDDSGRARLLKALKARGATLVYGDINDHGSLVTAIKEHGKVVICAVGHGRSEELDGQLNIIQAIKEAAGYVKRFVPSEFGCDVEHAERTLEPAKTMIAASAIRDAGIPHTFICSNWAIGLFLSRLIDFGENEPLTAGVNIFGDDKAQAVFVDEKDMSMLAIRAVEDPRTLDKVMYVRPPTNMRSFGQLIHILEMKTGRTLERHYVSEHEFAKTIQEAPFPLNFQLAMVHSTVVHAGAHEDAVGAAVGVEATLLYPDVEFITVEEYLDGLLP* >Brasy1G008800.1.p pacid=40058092 transcript=Brasy1G008800.1 locus=Brasy1G008800 ID=Brasy1G008800.1.v1.1 annot-version=v1.1 MDRSQELEVLRIRLRDAERQLVVAHGEIAVLKSKSRAASDREEFLLGELVKISSELRGLLPDPHAEAERVRHRLNAHRLSGQTAPPFWSDRDKGYTLALLQDRVARAKTCFESCRQALTLVHRSLFPLIPSPEGLRGLIQRFCDVAVVKESVLRQMIEGTIVALAFVRLCYPQIDLETLHIDPGAADEDIPLGPYYDTVESSARELIHLVALP* >Brasy1G288000.1.p pacid=40058093 transcript=Brasy1G288000.1 locus=Brasy1G288000 ID=Brasy1G288000.1.v1.1 annot-version=v1.1 MAAAGEGFATYQDIADYFNSLEGPSAQDRIDTIVPFLISLLPPPLVPAPEANDASDSEDDHFSFTSSDSEAEEDTADRSTCFPDDGEDHISYLPDDLLSDIISRLPTEEAARSMALSTRWRGVWAATPLLVDDAHLRGAGGYREISVVRAISRCVAAHPGPVRSVRITRVSFYEQEYALRCLVASLAAKKVQDLILFNRPWPLDMPLPDDILSCTSLNRLYLGVWCLPETAARPPAFPNLRELGLFHTILEDRDFDTLLAHCPNLEILFLAMAYHCPSRLHITSHCLKVVVEWVSSIKEVVIDDAPCLKRMVVNTLSDQRSIKIVHAPRLEVLGFLDLQLDVLEIGGIAIKAGMYVRASAMVPSLKILAVKVRLSDSTEAKMFSTLLRCFPCLETLHIMSIPSNSADSVLGVEFWESLGSCQCIESHLKTIVFHSVLGQNHEAFFLDYILKNGKVLKTVGIICDEGVDMVVETDLMTGSIGDGIAASVGSSGRDVLFCAASKCLSFLKVIVDLSVEDPFCVLRHETVG* >Brasy1G268500.1.p pacid=40058094 transcript=Brasy1G268500.1 locus=Brasy1G268500 ID=Brasy1G268500.1.v1.1 annot-version=v1.1 MATSTLSLSAIRVMRSASAIICKPVSGSHVLRIDGYSQLKDLIEHPNYITSCDSSVGGYMWRLMYYPNGSRSKSKNHISVLLQLAAGSIMKAAV* >Brasy1G152500.1.p pacid=40058095 transcript=Brasy1G152500.1 locus=Brasy1G152500 ID=Brasy1G152500.1.v1.1 annot-version=v1.1 MMRHKKQAKTKSPPSLICTLPKSPPPSPLLLSLLSPMRIRRRPQPSFSPSPSPSFLQQQPSDPSTSAAPQTPGNAPAATNQERLEGGGEDGKPPHLHASADLGQKSAVARRLALPQEDNAGGSVERQGAERGADEFQRSLQVMNGHRGADRKDDVTWTGGISGAEQAAKGREGGLPVRNNGSAVAVMLALKDEKNGGGAGASAGGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRTRSASASTAGSGGRGGVGQLGRTEHRAAMPVVAATATAKAEQPDLRRY* >Brasy1G385500.1.p pacid=40058096 transcript=Brasy1G385500.1 locus=Brasy1G385500 ID=Brasy1G385500.1.v1.1 annot-version=v1.1 MSFLWKSSNGGTTEKTMTLEEQQEKIDELRKQLGEPSSVAIQGFLSDASILRFLRARNWNVQKASKMLKAAVKWRAAYKPEMISWEDIAHEAETGKIYRADYKDKLGRTVLVLRPGLENTTSGKEQIKYLVYSLEKAIMNLTDDQEKMVWLTDFQGWTMGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRLFESFWKIVKPFLDHETYKKVKFVYSDDKESLKIMAEVFDVDKLDSAFGGRNPATFEYSSYAEQMKQDDKKMQSTDAGSDASSEASFYSGSDSPKHGSAEQVAAKNG* >Brasy1G468700.1.p pacid=40058097 transcript=Brasy1G468700.1 locus=Brasy1G468700 ID=Brasy1G468700.1.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHRSVDVCANLTQSHGEHGCCIFNCSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNSRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.2.p pacid=40058098 transcript=Brasy1G468700.2 locus=Brasy1G468700 ID=Brasy1G468700.2.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHRSVDVCANLTQSHGEHGCCIFNCSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNSRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.3.p pacid=40058099 transcript=Brasy1G468700.3 locus=Brasy1G468700 ID=Brasy1G468700.3.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHRSVDVCANLTQSHGEHGCCIFNCSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.4.p pacid=40058100 transcript=Brasy1G468700.4 locus=Brasy1G468700 ID=Brasy1G468700.4.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHRSVDVCANLTQSHGEHGCCIFNCSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.5.p pacid=40058101 transcript=Brasy1G468700.5 locus=Brasy1G468700 ID=Brasy1G468700.5.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNSRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.6.p pacid=40058102 transcript=Brasy1G468700.6 locus=Brasy1G468700 ID=Brasy1G468700.6.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNSRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.7.p pacid=40058103 transcript=Brasy1G468700.7 locus=Brasy1G468700 ID=Brasy1G468700.7.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G468700.8.p pacid=40058104 transcript=Brasy1G468700.8 locus=Brasy1G468700 ID=Brasy1G468700.8.v1.1 annot-version=v1.1 MMNMMADLSCGGASSGKDQPAGSASAGEAARSEKMERGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNHSVKATIERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNSNRSLVKDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELLYAEVEYMQKREMELHNDNMYLRSKVAENERGQQPMNMMAAASTSSEYDHMVQYDSRNFLQVNLMQQQQQQYSHQLQPTALQLGQQPFN* >Brasy1G050800.1.p pacid=40058105 transcript=Brasy1G050800.1 locus=Brasy1G050800 ID=Brasy1G050800.1.v1.1 annot-version=v1.1 MADRVAKVASERAVVIFGASECCMCHAVETLFRELGVSWAVHEADRDVERALAGMMVGRSRSRSPPVPAVFIGGRLVGPTDRVMSLHLAGQLVPLLRQAGALWL* >Brasy1G491500.1.p pacid=40058106 transcript=Brasy1G491500.1 locus=Brasy1G491500 ID=Brasy1G491500.1.v1.1 annot-version=v1.1 MAATGVRQQEEPRQKGAKLILSRLLSRDSTGARAPSFRVYYGVASAGAVPFLWESHPGTPKNAAADHAPPVPPLTPPPSYYQLAGAKDDNAIRNRKAGKTKSKSSRPAAGPTTLASFLSLSLPRTGTGKPRSASSSSSSSSSSSSSSSSSFSSLSFRGSSMRRGSSRRMHAYSDEEEDEAAMTTCFRVRQESFRALRGCRAAMAVRSALASVGGTAAAPQTTQRVV* >Brasy1G545300.1.p pacid=40058107 transcript=Brasy1G545300.1 locus=Brasy1G545300 ID=Brasy1G545300.1.v1.1 annot-version=v1.1 MGAADKWLLPLVSVSFVSLMLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRRLLRLLLAVYHPRNQYLLHLSADAPDSERAELAAAVARSAPAVRAFGNVDVVGRPAAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPVIVDAGIYLAGRNQFFQATEKRATPDGFKFFTGSPWVILNRRFLEYCIFGWENLPRTLLMYFTNVMLPLEGYFHSVVCNSDYRNSTVNNDLRYVAWDDPPQMEPRFLNMTHYDEIVESGLPFARKFRENEHLLDKIDEKILRRWRHRPAPGAWCTGRKRWFNDPCSQWNNVNIVRPGPQAEKLQRYMNQILEESKSSNNSCMQ* >Brasy1G434300.1.p pacid=40058108 transcript=Brasy1G434300.1 locus=Brasy1G434300 ID=Brasy1G434300.1.v1.1 annot-version=v1.1 MMDRGRGEPTNKHTTPVTTADQTTRPPPQTAASSLPRLASSSSGRQAAGQGAFASRPSNSSLPKMTPPVTMPPGGGRDAELFSELWRACAGPLVELPQPGQRVFYFLQGHLEQVLGSVQQPSDQKVLADQIKMFQVPYKILCRVVNVELKAEVETEEVYAQITLLPEQDQEYLPSSPDPPLKEVRRPVVHSFSKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELICKDILGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLVYGDAFVYLRTEEGEQRVGVRHHVQKRTAMPASVMSSQSMHLGVLASASHALQTKSIFLVYYRPRVSQSQYIVSVNKYFLTSKLRYTVGVRFKMSFEGEEVPVKKFSGTIVGDGALSPQWSCSEWKSKKVQWDDPANCNGPERVSPWEIEPADGSASASTINVPLQSSIRNKRSRETTEDLDLQSLNPTTQEFSLSGMPRQHDKIGAGHSNPNRMIPGNQVIWPGERAAGYGAMGSSSFCQKPLVRESWLEEFNPSRQALSPTLSQISQKLYQVTRNETRASPWPVLPAYQAQQPVLRLPCNTALRSYRTEEAAPSLPKVTENSKEPGMVRLFGVNLMKPTSGTATADNAGAGAGETSARVAGPCEESGQVSALSRTTKDHKVVNESPREIQSNQSCIARNRVKVQMHGNAVGRAVDLANLDGYEQLIRELEQMFDIKDIKQNFKVAFTDNDGDTMKAGDDPWMEFCRMVKKIVIYPIEEEKMEPRQTPISAAADAAPEQDPKTEP* >Brasy1G434300.2.p pacid=40058109 transcript=Brasy1G434300.2 locus=Brasy1G434300 ID=Brasy1G434300.2.v1.1 annot-version=v1.1 MMDRGRGEPTNKHTTPVTTADQTTRPPPQTAASSLPRLASSSSGRQAAGQGAFASRPSNSSLPKMTPPVTMPPGGGRDAELFSELWRACAGPLVELPQPGQRVFYFLQGHLEQVQQPSDQKVLADQIKMFQVPYKILCRVVNVELKAEVETEEVYAQITLLPEQDQEYLPSSPDPPLKEVRRPVVHSFSKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELICKDILGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLVYGDAFVYLRTEEGEQRVGVRHHVQKRTAMPASVMSSQSMHLGVLASASHALQTKSIFLVYYRPRVSQSQYIVSVNKYFLTSKLRYTVGVRFKMSFEGEEVPVKKFSGTIVGDGALSPQWSCSEWKSKKVQWDDPANCNGPERVSPWEIEPADGSASASTINVPLQSSIRNKRSRETTEDLDLQSLNPTTQEFSLSGMPRQHDKIGAGHSNPNRMIPGNQVIWPGERAAGYGAMGSSSFCQKPLVRESWLEEFNPSRQALSPTLSQISQKLYQVTRNETRASPWPVLPAYQAQQPVLRLPCNTALRSYRTEEAAPSLPKVTENSKEPGMVRLFGVNLMKPTSGTATADNAGAGAGETSARVAGPCEESGQVSALSRTTKDHKVVNESPREIQSNQSCIARNRVKVQMHGNAVGRAVDLANLDGYEQLIRELEQMFDIKDIKQNFKVAFTDNDGDTMKAGDDPWMEFCRMVKKIVIYPIEEEKMEPRQTPISAAADAAPEQDPKTEP* >Brasy1G434300.3.p pacid=40058110 transcript=Brasy1G434300.3 locus=Brasy1G434300 ID=Brasy1G434300.3.v1.1 annot-version=v1.1 MMDRGRGEPTNKHTTPVTTADQTTRPPPQTAASSLPRLASSSSGRQAAGQGAFASRPSNSSLPKMTPPVTMPPGGGRDAELFSELWRACAGPLVELPQPGQRVFYFLQGHLEQQPSDQKVLADQIKMFQVPYKILCRVVNVELKAEVETEEVYAQITLLPEQDQEYLPSSPDPPLKEVRRPVVHSFSKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELICKDILGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLVYGDAFVYLRTEEGEQRVGVRHHVQKRTAMPASVMSSQSMHLGVLASASHALQTKSIFLVYYRPRVSQSQYIVSVNKYFLTSKLRYTVGVRFKMSFEGEEVPVKKFSGTIVGDGALSPQWSCSEWKSKKVQWDDPANCNGPERVSPWEIEPADGSASASTINVPLQSSIRNKRSRETTEDLDLQSLNPTTQEFSLSGMPRQHDKIGAGHSNPNRMIPGNQVIWPGERAAGYGAMGSSSFCQKPLVRESWLEEFNPSRQALSPTLSQISQKLYQVTRNETRASPWPVLPAYQAQQPVLRLPCNTALRSYRTEEAAPSLPKVTENSKEPGMVRLFGVNLMKPTSGTATADNAGAGAGETSARVAGPCEESGQVSALSRTTKDHKVVNESPREIQSNQSCIARNRVKVQMHGNAVGRAVDLANLDGYEQLIRELEQMFDIKDIKQNFKVAFTDNDGDTMKAGDDPWMEFCRMVKKIVIYPIEEEKMEPRQTPISAAADAAPEQDPKTEP* >Brasy1G450100.1.p pacid=40058111 transcript=Brasy1G450100.1 locus=Brasy1G450100 ID=Brasy1G450100.1.v1.1 annot-version=v1.1 MTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFNLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKVSQATEAISVKEGTQKIEAAKLGGQGVEKVQTHQGTVKELPARGEKRAGTCSFYFKRQVSAKATICLVDQATPAQDRIKGEGNKCATDTAAAAQVVTPQGLPGHQPRQPSSICATLAPLPTTTATSCAPQNSVGTAPLEHVRGSSVPVHPIEKSRSRVVFNGLDFEAPSFDLGFDSTPAPPLFDRTPAPPMFDSTPAPPLFDRTPAPPMFDRTPAPPLFDRTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIVDDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKSDDIIKYNNFFVTVSELASSMAPTCRLSNSVVEIGIEYIMQKQPSSVKKCVMPLRIGESNHYWLFNLNIRDRRYEIFDSIRSFSNQKLNEAAKRIATCIIALWGTHYSKSRVKVDQFKWLDVGGPKQDNTYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy1G133600.1.p pacid=40058112 transcript=Brasy1G133600.1 locus=Brasy1G133600 ID=Brasy1G133600.1.v1.1 annot-version=v1.1 MPQPSPAAPTRRPLPSPLIREDYWRRPSSRLSNSRGRTGRSREREPERGEKSKRSRRRRQGVVRWVQMVQTQAPSHSPPSCSRTTMQAQRPRLLLPPVTTTPREETLLCAPTLTSWTTGACPPRLPTPPEEDFKDKEEGEVPFRSGSLCLASAMQWIKQMF* >Brasy1G133600.2.p pacid=40058113 transcript=Brasy1G133600.2 locus=Brasy1G133600 ID=Brasy1G133600.2.v1.1 annot-version=v1.1 MPQPSPAAPTRRPLPSPLIREDYWRRPSSRLSNSRGRTGRSREREPERGEKSKRSRRRRQGVVRWVQMVQTQAPSHSPPSCSRTTMQAQRPRLLLPPVTTTPREETLLCAPTLTSWTTGACPPRLPTPPEDFKDKEEGEVPFRSGSLCLASAMQWIKQMF* >Brasy1G133600.3.p pacid=40058114 transcript=Brasy1G133600.3 locus=Brasy1G133600 ID=Brasy1G133600.3.v1.1 annot-version=v1.1 MPQPSPAAPTRRPLPSPLIREDYWRRPSSRLSNSRGRTGRSREREPERGEKSKRSRRRRQGVVRWVQMVQTQAPSHSPPSCSRTTMQAQRPRLLLPPVTTTPREETLLCAPTLTSWTTGACPPRLPTPPEGPSKSPYSSVIP* >Brasy1G133600.4.p pacid=40058115 transcript=Brasy1G133600.4 locus=Brasy1G133600 ID=Brasy1G133600.4.v1.1 annot-version=v1.1 MPQPSPAAPTRRPLPSPLIREDYWRRPSSRLSNSRGRTGRSREREPERGEKSKRSRRRRQGVVRWVQMVQTQAPSHSPPSCSRTTMQAQRPRLLLPPVTTTPREETLLCAPTLTSWTTGACPPRLPTPPEGPSKSPYSSVIP* >Brasy1G133600.5.p pacid=40058116 transcript=Brasy1G133600.5 locus=Brasy1G133600 ID=Brasy1G133600.5.v1.1 annot-version=v1.1 MPQPSPAAPTRRPLPSPLIREDYWRRPSSRLSNSRGRTGRSREREPERGEKSKRSRRRRQGVVRWVQMVQTQAPSHSPPSCSRTTMQAQRPRLLLPPVTTTPREETLLCAPTLTSWTTGACPPRLPTPPEVIP* >Brasy1G305500.1.p pacid=40058117 transcript=Brasy1G305500.1 locus=Brasy1G305500 ID=Brasy1G305500.1.v1.1 annot-version=v1.1 MAARASPLLLLLLPAAILLAAAAPSSAAAGLDDPAGLLRRAKEAEFMDWMVGVRRRIHENPELGYEEFATSELVRRELDAMGIPYRHPFAVTGVVATIGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHTAMLLGSAKILQEHRDELQGTVVLLFQPAEEGGGGAMKMVEDGAVENIEAMFGLHVADIIPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCSAVVDFLKKDRPFFPPTINSPELHDFFAKVASEMVGPNNVRDRQPLMGAEDFSFYAEAVPVTYYYFVGMLNETRGPQAPHHSPYFTINEDAMPYGAAMQASLATRYLLEHQPVKSAKSEPRDEL* >Brasy1G028900.1.p pacid=40058118 transcript=Brasy1G028900.1 locus=Brasy1G028900 ID=Brasy1G028900.1.v1.1 annot-version=v1.1 MENNSFMQDRAQHGAGDELTSCPCSRGVGSIMLPMTVEASHRVSALGHMMIEGKTPQTFVPESLPVALDGSLRRGWSLHSNCRSSDQTPRFQLFPPTFGFDNFPRSRPLMANIRFAGRGRQHRVSSSRATPRSTCKDRHLRRDGAVSMEHGSDSGMGEAQRAWGVAAEEARGGRSTSSSAERGGSSSPKEPQSSFSGESSSGSEKTQGLVDQRMEGDGVDVVDGEAIWHGWEVDA* >Brasy1G540000.1.p pacid=40058119 transcript=Brasy1G540000.1 locus=Brasy1G540000 ID=Brasy1G540000.1.v1.1 annot-version=v1.1 MASASASASAINPSVHLCLLLLLLVVFAPRLGSSSLRSTKTSRSPIPSGDGGGIARPQPGVNSSIPSGELHDI >Brasy1G213000.1.p pacid=40058120 transcript=Brasy1G213000.1 locus=Brasy1G213000 ID=Brasy1G213000.1.v1.1 annot-version=v1.1 MAPLLSPPLLADLVAKSHSSSTAIRCSGNVRRWAVAGLSSAGRRERHRRRRAWGRRGLRVLAVATESRSPEGGAAEDYYSVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYTATATNPFLDDAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNQELIQDAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSSVDVFRMASRRWEKRQAKVLDKVRMRMSQDDSNKGSSWSDIWGSPTRYQKNEEDTKERVKRAAAAARRWREYSRKGTDRPPTFKLPEAASNKE* >Brasy1G482400.1.p pacid=40058121 transcript=Brasy1G482400.1 locus=Brasy1G482400 ID=Brasy1G482400.1.v1.1 annot-version=v1.1 MFPSPGRAAQLGRGTATTGGMAFSFLQPPPSDSPTTAAAHISHHHHGLLGYSPLVVLDHPTTATSSSSSTTIPAAAAPPTLHRLHAPPPSLHPPRSSPPPLPWSCGEGDRERQRGKGAMTGNSAAAGNNNMGVGAVRMKKATAAGAGGGVMKAKGARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTHHPRSYYRCTQDKCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDDAARANAEMTFIW* >Brasy1G562700.1.p pacid=40058122 transcript=Brasy1G562700.1 locus=Brasy1G562700 ID=Brasy1G562700.1.v1.1 annot-version=v1.1 MARLLFCSFVFLHAGGGDHHTAAAAALVQVVFVALVVAAVVVSLCTSSAHAKLWRRQQRGAAAASAAPLVKAEAEEEIEAGRSGRKQRLGASLSGIGGRAAAVAKMVSWRKKDVCRAGSGEEEEEEDYEEEKEAAAMEDEAALWTKGIIMGEKCRPLQFSGNIAFDSAGNPLPPAAVKKVAGDNPLPPPPVKKVAGDNRAKN* >Brasy1G446000.1.p pacid=40058123 transcript=Brasy1G446000.1 locus=Brasy1G446000 ID=Brasy1G446000.1.v1.1 annot-version=v1.1 MGTPAPPPAWMAAAARKWLEDAGALGEDVAGAGDGRRAFNALPLSGVRVALAERGRVLCSLRVPGHLTDAEGNWHAGAIAAVMDDVCAAAIMSVEGIIKVSVHYDISYFSPAKHKEEVEMDGRVVDHKGRMTAVTTEVRKKESGELVAIGRQWMTTSRPKGSQGSKL* >Brasy1G355100.1.p pacid=40058124 transcript=Brasy1G355100.1 locus=Brasy1G355100 ID=Brasy1G355100.1.v1.1 annot-version=v1.1 MRKLGIRPLAAALSLAIVVGVLAALTAIITPKGCDAAAAGIVVRSIYVNQRKPADFRSVQKAIDSIPWGNKQWIRIHVAAGVYFEKVNVPLNKGFILLEGEGREQTFIEWGDHADGTRTNTASSPTFASYATDFMARDITFKNTYYGVRDMAPAVAALVSGDRSSFHRCGFISVQDTLSDLAGRHYYHECYIEGAMDFIFGNARSIFEECEVTTGKTPVSPGYITAQGRDSEKDDTGFVFKRCKLGGVTPTYLGRAWRAYARVIFYQTDMSSVVVSQGWDAWNYDGKESTLTMVESECTGQGSNRTGRMPWGKAVHPRQIARFLSLSYVSADGWLDAQPR* >Brasy1G120300.1.p pacid=40058125 transcript=Brasy1G120300.1 locus=Brasy1G120300 ID=Brasy1G120300.1.v1.1 annot-version=v1.1 MMAEAWMDVLLPPASFFSAHPCNSWFAQADRRGASGGGGGAWTAEENKVFEEALAAIDLGAPDGWEMVALMLPEKTVAQVVSHFRALENDVGFIEAGLVPFPRYLGDHDASAPSSGGFTLDWDGGGGFRRGYYLRRGGRADKERKKGVAWTEEEHSTHRDGAKTRACVRACLPCLGNSLFAELVVVYPDDGTTYAQHLPSESDTALSVLLRSP* >Brasy1G480200.1.p pacid=40058126 transcript=Brasy1G480200.1 locus=Brasy1G480200 ID=Brasy1G480200.1.v1.1 annot-version=v1.1 MMRAAPFAAGPDVCCGAVHCGWCQAALWCVQRRQGEEDEGHCSDARRGRKRAWAGSRCCCPSPMGNIIQIRASSSAPVYQVTASSSIHRPQASMSCSYVMWMDPVWEPEVQRSIRRMRGMIDDREEKKRVAVEEARMEMEELILEKGNGRTEGNTGG* >Brasy1G051700.1.p pacid=40058127 transcript=Brasy1G051700.1 locus=Brasy1G051700 ID=Brasy1G051700.1.v1.1 annot-version=v1.1 MLVCARLNSGKAPSMDQGRTRLWRAPLRGGRRRAAGRPAERWEERGGALGGGRRRRARCEESDSGVRGSSGRRSSRRRRWLRAGGDFTRRRKDRVTPASGYFVYYWDDTP* >Brasy1G158600.1.p pacid=40058128 transcript=Brasy1G158600.1 locus=Brasy1G158600 ID=Brasy1G158600.1.v1.1 annot-version=v1.1 MGFHYSTQHFSACLLLCLCLMMSISRAYGSQKLYIAYLGEKKHGDPALVTASHHDMLSTILGSKEEALACITYSYKHGFSGFAAMLTDDQAQDLADLPEVISVTPNQHHELMTTRSWDFLGLTHQPPGELLQRSKYGYGPVPSRWKGLDKADHEKNYMSARDINGHGTHTASTAAGAVVEGVSLHGLGAGVARGGAPRARLAVYKVAWESRKRVQLASAAVLAALDDAIHDGVDVLSLSIVLDDNSFGALHAVQKGITVVYGAGNSGPRPQVIFNTAPWVITVAASKIDRSFPTAITLGNNKKLVGQSFYYKLKNESKSEFQPLVHGGSCSREALNGTTINGKVVLCIEVNFGPTADIIPDALTNVQSGGASGVIFALYTTDVLLTTEDCQGIACVLVDIDIGYQVATYIDSQSLPIAMIEPASTTTGKQVSAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAATKDAYAFISGASMATPHVAGIVALLKAVHPHWSHAALKSAIVTTASTKDEYGMPMLAEALPRKVADPFDYGGGNINPNSRQSFCLRFEKKKLPRKVADPFDYGGGNINPNSAADPGLVYDIDPRDYNKFFACKINKYEICNITTLPAYHLNLPSISIPELRHPIKVRRSVTNVGNVDAIYHSSIQSPLGVKIKVEPPTLVFNATKKVHTFKVSITPLWKVQGDYTFGSLTWHNEHHSVRIPIVVRITIQDFYADVA* >Brasy1G093100.1.p pacid=40058129 transcript=Brasy1G093100.1 locus=Brasy1G093100 ID=Brasy1G093100.1.v1.1 annot-version=v1.1 MSRYEGNHGAAAGGGGGTKEDAHEQDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVDLITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAESSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPTADTHRYHKTEISLCRVYKRTGIDDGHSSARSTPSSRAATARHDNNNKQQAAAAGNSSSTSTPTPPPTPSKLHLLQQGSPECTSPPPATIATADHHARGHRTKPCGALGPYLQQSAAGDHQQQQDQGALLQYQPQQYSGGKNNTSGAAFASTTTYSLLSLVNAASMGASAAAIDELSTLVSGHAAQQPAPASYHGSSQLHHDHFVVPLPMPTTAPLPMSLAAISDKMIWDWNPIPDAAGTRDYGAAGFNK* >Brasy1G487400.1.p pacid=40058130 transcript=Brasy1G487400.1 locus=Brasy1G487400 ID=Brasy1G487400.1.v1.1 annot-version=v1.1 MEETLINMYLSGYSNIEVNTENVLGDSQTNEEDRSEAAENKLSNLAQDNAPDSLNDATQQQIEDKMQTENTAVHESLGEEEEKWLSQYGQVERVNDDLPLFPSVDLWDWHMVTEPVSKGRPMARLVGRLTRGSSKLHPSLPARGGLLRTAPVSEVHLDLVRVSSGKSYRLRNPSRKHLASLSAYDSSNPTKDWGFPDIYATNDNSHKLSTAHCQPDVVDESSIGTSVSAASGKEYKINTYRDRAAERRILHRGLGIGPGQKQSNVISSDEYEETIEDMDSIGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIHPTINKHGAGLGWNQTR* >Brasy1G485500.1.p pacid=40058131 transcript=Brasy1G485500.1 locus=Brasy1G485500 ID=Brasy1G485500.1.v1.1 annot-version=v1.1 MREAFKVFDVDGDGFISAAELQEVLKKLGMPEASSMATVREMICNVDRDSDGRVDFGEFKIMMQGITI* >Brasy1G138400.1.p pacid=40058132 transcript=Brasy1G138400.1 locus=Brasy1G138400 ID=Brasy1G138400.1.v1.1 annot-version=v1.1 MGALPRHGVRPSGAAGPRRRSLPLPAPWGRCLAMGSDAAGPRRRRCPWGRIRPPSAPPALDLLAPPQRIAAPAPPPPLPPAHRPPPPRRRHIPKLRGPRLPRIGAAPSSRPDLAAQPPPPSHRPPPSQPPLPDPASSSYVRRLLPDAAGRPRAHAAAGEITVRHGRGRARRRMSRGEAVPRVPRFFGFFFEFLYLCVLITCDVSSCILMYLDVLAMYFSCTM* >Brasy1G444500.1.p pacid=40058133 transcript=Brasy1G444500.1 locus=Brasy1G444500 ID=Brasy1G444500.1.v1.1 annot-version=v1.1 MKTGLMTTLKKYYVVDDKLKDWVMGSAHKKWRDFKSDLKEKFF* >Brasy1G493900.1.p pacid=40058134 transcript=Brasy1G493900.1 locus=Brasy1G493900 ID=Brasy1G493900.1.v1.1 annot-version=v1.1 MRLLSLQVGRNPATLDIHVRSLSSSMTMKHPKPWWPLLPLLLLQLQLVAMNSIPAPVSMFANRTTASPEAMSRRPCSPEQALALLHLKQSFSASDNSALAFRSWSFGTDCCHWEGVRCGGTHAHAGRVTSIDLGDRGLESHSLDPALFELTSLRYLNLGGNDFNMSEISSIGFERLTRLTHLNLSTSNFAGQVPHNNIGRLTNLVSLDLSFRLERLELLGMGYRVRADSSYPGQLLLSNLSALLANLESLRELHLSFVDLSNGGEEWCTALSSNIIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKKAIEASLGPNKDCLCFRGNCTQG* >Brasy1G493900.2.p pacid=40058135 transcript=Brasy1G493900.2 locus=Brasy1G493900 ID=Brasy1G493900.2.v1.1 annot-version=v1.1 MRLLSLQVGRNPATLDIHVRSLSSSMTMKHPKPWWPLLPLLLLQLQLVAMNSIPAPVSMFANRTTASPEAMSRRPCSPEQALALLHLKQSFSASDNSALAFRSWSFGTDCCHWEGVRCGGTHAHAGRVTSIDLGDRGLESHSLDPALFELTSLRYLNLGGNDFNMSEISSIGFERLTRLTHLNLSTSNFAGQVPHNNIGRLTNLVSLDLSFRLERLELLGMGYRVRADSSYPGQLLLSNLSALLANLESLRELHLSFVDLSNGGEEWCTALSRCGGSIP* >Brasy1G351300.1.p pacid=40058136 transcript=Brasy1G351300.1 locus=Brasy1G351300 ID=Brasy1G351300.1.v1.1 annot-version=v1.1 MGYDLAMKNLVLGLLVVFGAVAGVQPGKITPTVPAIYVLGDSTLDVGNNNYLPGKDVPRANMPFYGIDFPGTHLPTGRFSNGYNIADFVARTLGFKKSPPAYLSLKAQNYLIRSALLRGVNYASAGAGILDSTNAGKNIPLSTQVKMFKLTKAEMEAKVGAGVVQDLLARSFFLLSVGSNDIFQFVVDQQAQNKSATQKDVIVHISSLISNYSATITDLYKMGARKFGIINVGPAGCVPVVRVLNATGDCNAGLNQLAFGFDGALKSLFATLALKLPGFAYSLCRWLRLVPGHTRKPAGGRVRERQQRVLREREAGRGGRLPAQRNALREQRPVYLLGPCALHAEGR* >Brasy1G477400.1.p pacid=40058137 transcript=Brasy1G477400.1 locus=Brasy1G477400 ID=Brasy1G477400.1.v1.1 annot-version=v1.1 MAEQVVSDLRERCATPASLLWDVAAAMADEMRAGLEEEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGNDKHVVNRESREVAIPPHLMSGSSSELFGFIASELAKFVADEEKGNSSSNGKKRELGFTFSFPVRQRSIASGTLVKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMHVAALINDAVGTLAGARYYDEDVIAGVIFGTGTNAAYVEKANAIPKWEGELPSSGDMVINMEWGNFYSSHLPVTEYDQALDNESLNPGEQIYEKLTSGMYLGEIVRRVLLKLSLQCAIFGDIDHTHLKTHFLLRTPHISAMHHDETPDLKVVAEKLEENLEITGTSLETRKIVVEICDIVARRAARLAAAGVAGILKKLGRDGSIEKRRSVIAIDGGLFEHYSKFSKCLETTLYELLGEEASKSVAVKHVDDGSGIGAALIAASQSKYRNAG* >Brasy1G458200.1.p pacid=40058138 transcript=Brasy1G458200.1 locus=Brasy1G458200 ID=Brasy1G458200.1.v1.1 annot-version=v1.1 MGFQATRALQAALLLAAAVAWASCPRGAWGAVQCGQVTQLMAPCMPYLSGAPGMTPYGICCNSLGVLAQLTATTADRVAACNCVRAAAGAGGFPPVDFTRAAGLPAACGLKISFAISPNMDCNQ* >Brasy1G111900.1.p pacid=40058139 transcript=Brasy1G111900.1 locus=Brasy1G111900 ID=Brasy1G111900.1.v1.1 annot-version=v1.1 MADLGQLPPGYRFYPTEEELICFYLRNKLDSRRDDIERVIPVVDVYSVDPWQLSEIHARLCGGGSEGEPWFYFCARQEREARGGRPSRTTPSGYWKAAGTPGVVYSASGRRPIGMRKTMVFYRGRAPSGSKTKWKMNEYRALQHHHDQDAHAGAGAAAAEPAVAPASNLQLPPQLRSEFSLCRLYTKSGTLRQFDRRPLAADAAGGGAGDNPGPSTAAAVSPDDDDGSGGSMQPLEEAELMEGGGGDPYGDDVATLAALLYWPAD* >Brasy1G080600.1.p pacid=40058140 transcript=Brasy1G080600.1 locus=Brasy1G080600 ID=Brasy1G080600.1.v1.1 annot-version=v1.1 MGLLSKKLVLACLALLAVVSACGAIPFDAKDLESEEALWELYTRWQSAHRLPPQHHAEKHRRFGTFKSNVLFIHAHNTRLDDNNSNGGPFYRLRLNRFGDMDQAEFRSTFAGPLHRHNGPSPSVPGFMYENMKDLPLAVDWRQKGAVTGVKDQGKCGSCWAFSAVASVEGLNAIRTGNLVSLSEQELIDCDTAGEDNGCQGGLMESAFEFIAHSPGGLTTESAYPYHASNGTCNADRGSSVRIDGHQSVPAGNEEALAKAVANQPVSVAIDAGGQAFQFYSEGVFTGDCGSQLDHGVAAVGYGVAQEDGKEYWIVKNSWGPGWGEHGYVRMQRDSGVDGGLCGIAMEASYPVKNQQVRDTKKPRRALGEKIKPYYSQ* >Brasy1G014800.1.p pacid=40058141 transcript=Brasy1G014800.1 locus=Brasy1G014800 ID=Brasy1G014800.1.v1.1 annot-version=v1.1 MLRFSRRQQKMCRLRPLKSFNRWVIKMNYSCHKDRHLSRPPRRPPLATQGSPCRRRSSSPPHLSPASPSPEGVPAKPAHARGRRRQGGFSPSRLSLPGSVAWGIFVGGGCWRAARGCEVPGRRRRAAGRARAGGGRREVVLRGACCWRAARGSVTGGAAAG >Brasy1G566900.1.p pacid=40058142 transcript=Brasy1G566900.1 locus=Brasy1G566900 ID=Brasy1G566900.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLSVIRDEAKLLGGVGGDLQFIKEEMESMNSFLVHLARKTPRSGEHDEQVSTWMKQVRDLAHDCSNCIDIYLRRRDPAVYRARGVLLGYLWWVPWFVKKTLAQHLAANQLRDLKARARDIGERRLRYGVEVPAKAADSEKLLMSPTEASFKAVAGVVPEGDHDLEEDYYQATNDDPRRELAFSEPRLFQDCYEKLMHWLIRQHEDGPFQAIAIAAPDEENGDDMIDQAFHDRFKEKFNHTFFFRDEFYYPKEPWDFLGDILKKLLSLESDVSSEEFGKEEIHDEVNMIEEEINKKIWKIREKIEERLEEAGNKGYRIEPLGVLQGVLQVLLQDAAILVGEDQTEEKTILEDTAEKTKKYLESAGEKGSCRIGVPEHHPEFLAILQELLPKQATTPAKAATDKPREDHIVKNIRDITLKIQVQIQPELLPVTSLHQQDKSAEESPLSPGDDVEYCRERINQVLKRIKEHLLIQETSGRVRKHLQGTRTLVVLKNAYGYKWEETARALRNLGCSSMAVVVTTKYMQSANEFCYGTEPIVYSSIEYYHDKALRLTNRRVNDDDRYSTKIFHEILEKCRLDVFCIKMFIHALFANPMRRREELDKLNSSLVFGGSDGTNGYKMIKFSYRDLPRDYKTCLLYLAIFHKDEKVNRTRLIGRWVAEGLITRQDWSSSVAQAERCFDVLADLWLFCPSDVDAGGKVKSITLHPLVYSFITKMARKEHILDTRLSRHLARHFSVLSNIQLRPSDSILGFLKQPSNASSQLKLVKVLDLEGCASLRDNQCWLRNVCTSLILLKYLSLRSTDVTQLPKEINRLQQLEVLDIRQTPMNDSAIKRLMLLKLKRLLAGQSDDTGGGGGGRRRDASILSTVKIPRKVNKMKDLEVLSHVQASKRHGTELREIGQLWQLRVFGVVIYDWKAQLENLLQGISDLNECLVSLSIEIKPLPASEAASTPPDADAICAHCKNTPKYLERLSITGATMYGRLLPFFARGCHNLAKVTLHNTLLDQDDMKSLADLPNLRGLRLRHVKLHSEDKLIIQTNGFRNLKNLVVEGGSITDIDFEHGEAPKLEKIVWLIDEIVSLSGINNLPKLKKIVFNDGIRLPDQVKQAIEAHPNVLDDNGIWSGTEAKQESASAVP* >Brasy1G521800.1.p pacid=40058143 transcript=Brasy1G521800.1 locus=Brasy1G521800 ID=Brasy1G521800.1.v1.1 annot-version=v1.1 MPTSSRPCSIARLLLVILLMVFIVLVQARDDGGHSGSAYGACDYLHKQNCRGSPSAK* >Brasy1G131800.1.p pacid=40058144 transcript=Brasy1G131800.1 locus=Brasy1G131800 ID=Brasy1G131800.1.v1.1 annot-version=v1.1 MARHAPQIHDQPLQEEDYIDMDLSSPAAAEVVTTTASLLCYTTAMAASPQHSREFEFQMSAPLDHWEPMASPADELFYKGKLLPLHLPPRIQMVEKLLEIAADKGLLSASTAPATPYQSCNVSPANSCYASGELNSEHYFHECTSASIGAADVELACEKKPWSKKLKFIRNLNLGVKLKASKAYLKTIFAAKAGDPDDKSDAPRANEFSTAQVKSWRKPFGQIRSNRYTASPIINSTTLGGKLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSIPSSSDSGLGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDTGFRFMSSSASKIAAESEDAEDIIEICRRR* >Brasy1G005000.1.p pacid=40058145 transcript=Brasy1G005000.1 locus=Brasy1G005000 ID=Brasy1G005000.1.v1.1 annot-version=v1.1 MSPDPPTTRCCCCYSSSPICCCSCRLSPPPPRRRCTSCGSCGAGSAAAAPPGRHSGPRSSPPPSSSPSPATLPSQPPLLPPPRPTATASPRSSTSPSSTAPRTRAQAVCLDGTPPGYHWLPGFGDGSDKWLLHLEGGSWCRNLTWCAQRKKTSLGSSAYMERRAEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEEELQDGTSFFFRGQRIWEAVMSELISKGLSRAKEAFLTGCSAGGLSTYIHCDDFRALVPKASTVKCLADGGFFLDVEDVSGRRYMRGFYNDVARLQDLRKKFPRCSSNMEPGQCIFPREVARGISTPMFILSPAYDVWQVEHVLSPEGSDTERLWQNCRLDITKCDSKQLETLQGFRKELLDAISEYKKRKDWGMFINSCFIHCQSMNALTWHSPSGPRMNGKTIAESVGDWFFNRREVKEIDCEYPCNPTCHNAVLSQAYKEE* >Brasy1G005000.4.p pacid=40058146 transcript=Brasy1G005000.4 locus=Brasy1G005000 ID=Brasy1G005000.4.v1.1 annot-version=v1.1 MPPLSTASAPAMHQLRLLWRRLGRRGAAGAAFGAALLAAAFFLTLSRYASVPATSSSSSPSYGHRLPTLVDLTLVDGAKDKGAGRLCLDGTPPGYHWLPGFGDGSDKWLLHLEGGSWCRNLTWCAQRKKTSLGSSAYMERRAEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEEELQDGTSFFFRGQRIWEAVMSELISKGLSRAKEAFLTGCSAGGLSTYIHCDDFRALVPKASTVKCLADGGFFLDVEDVSGRRYMRGFYNDVARLQDLRKKFPRCSSNMEPGQCIFPREVARGISTPMFILSPAYDVWQVEHVLSPEGSDTERLWQNCRLDITKCDSKQLETLQGFRKELLDAISEYKKRKDWGMFINSCFIHCQSMNALTWHSPSGPRMNGKTIAESVGDWFFNRREVKEIDCEYPCNPTCHNAVLSQAYKEE* >Brasy1G005000.2.p pacid=40058147 transcript=Brasy1G005000.2 locus=Brasy1G005000 ID=Brasy1G005000.2.v1.1 annot-version=v1.1 MPPLSTASAPAMHQLRLLWRRLGRRGAAGAAFGAALLAAAFFLTLSRYASVPATSSSSSPSYGHRLPTLVDLTLVDGAKDKGAVCLDGTPPGYHWLPGFGDGSDKWLLHLEGGSWCRNLTWCAQRKKTSLGSSAYMERRAEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEEELQDGTSFFFRGQRIWEAVMSELISKGLSRAKEAFLTGCSAGGLSTYIHCDDFRALVPKASTVKCLADGGFFLDVEDVSGRRYMRGFYNDVARLQDLRKKFPRCSSNMEPGQCIFPREVARGISTPMFILSPAYDVWQVEHVLSPEGSDTERLWQNCRLDITKCDSKQLETLQGFRKELLDAISEYKKRKDWGMFINSCFIHCQSMNALTWHSPSGPRMNGKTIAESVGDWFFNRREVKEIDCEYPCNPTCHNAVLSQAYKEE* >Brasy1G005000.3.p pacid=40058148 transcript=Brasy1G005000.3 locus=Brasy1G005000 ID=Brasy1G005000.3.v1.1 annot-version=v1.1 MPPLSTASAPAMHQLRLLWRRLGRRGAAGAAFGAALLAAAFFLTLSRYASVPATSSSSSPSYGHRLPTLVDLTLVDGAKDKGAVCLDGTPPGYHWLPGFGDGSDKWLLHLEGGSWCRNLTWCAQRKKTSLGSSAYMERRAEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEEELQDGTSFFFRGQRIWEAVMSELISKGLSRAKEAFLTGCSAGGLSTYIHCDDFRALVPKASTVKCLADGGFFLDVEDVSGRRYMRGFYNDVARLQDLRKKFPRCSSNMEPGQCIFPREVARGISTPMFILSPAYDVWQVEHVLSPEGSDTERLWQNCRLDITKCDSKQLETLQGFRKELLDAISEYKKRKDWGMFINSCFIHCQSMNALTWHSPSGPRMNGKTIAESVGDWFFNRREVKEIDCEYPCNPTCHNAVLSQAYKEE* >Brasy1G031300.1.p pacid=40058149 transcript=Brasy1G031300.1 locus=Brasy1G031300 ID=Brasy1G031300.1.v1.1 annot-version=v1.1 MTNRFPFVLGGGPETFVLCKANKFELIASTASTVSQGKPTNKGSWPDGNKTKDNEPTASTCFGGCRGALSPLWVFGSTDGGGGVEPTALSFPLDASQPAGWRAFKIPWFVHDVESAFGLVLEPFAACIASIRPPGVLVACSCPVWIHRRALSFRLTTRYRNKGVDAGPKTTGKTAGRGVGDAGFLEASGRLFVRTLDKLWTHTWCTQRRETTAKRTVPRPPAWCCLAPAFT* >Brasy1G391900.1.p pacid=40058150 transcript=Brasy1G391900.1 locus=Brasy1G391900 ID=Brasy1G391900.1.v1.1 annot-version=v1.1 MTFDGRRFLDYSRFSALMADDLAGFFGNVGACQNPAFFFGFSSRISFFSVKIPQSTNLLCTPRLHFFPSSQSPAQRCCRPPALRLPRWPVLPPRDAVSCPNSGNLPHLPLQRSNPYYLQPPPLDAPRFRFHGVASLPPPLSEEISHWWQDLHEWKLCQGQGWLLGLLAVYSYLLEVPQMSSA* >Brasy1G391900.2.p pacid=40058151 transcript=Brasy1G391900.2 locus=Brasy1G391900 ID=Brasy1G391900.2.v1.1 annot-version=v1.1 MTFDGRRFLDYSRFSALMADDLAGFFGNVGACQNPAFFFGFSSRISFFSVKIPQSTNLLCTPRLHFFPSSQSPAQRCCRPPALRLPRWPVLPPRDAVSCPNSGNLPHLPLQRSNPYYLQPPPLDAPRFRFHGVASLPPPLSEGVLNQKNGLTY* >Brasy1G391900.3.p pacid=40058152 transcript=Brasy1G391900.3 locus=Brasy1G391900 ID=Brasy1G391900.3.v1.1 annot-version=v1.1 MTFDGRRFLDYSRFSALMADDLAGFFGNVGACQNPAFFFGFSSRISFFSVKIPQSTNLLCTPRLHFFPSSQSPAQRCCRPPALRLPRWPVLPPRDAVSCPNSGNLPHLPLQRSNPYYLQPPPLDAPRFRFHGVASLPPPLSEGVAF* >Brasy1G115100.1.p pacid=40058153 transcript=Brasy1G115100.1 locus=Brasy1G115100 ID=Brasy1G115100.1.v1.1 annot-version=v1.1 MKRLWSFGWLQVEDIRERKRAKNGPVTYIARLTFGTELRHATCRKTFVSSVATEKERGDERSTVYGSPPPTLPPTSPDEISTPRSWSRTSPMRSSRSRSAPPSLSVDVELGTSPLRRGNPAEAKRLVREEYHRLASRSSSKSGRREDGHALCAPRFCWLFASCDLDEAGGTAACAGHDARAREKTRRPAWLAVRAAEDRGDGGRWGFDPLPGRRRRS* >Brasy1G552600.1.p pacid=40058154 transcript=Brasy1G552600.1 locus=Brasy1G552600 ID=Brasy1G552600.1.v1.1 annot-version=v1.1 MRRTPAARRPVLGFNDYSPRRGFTIHASRDGLLLLSLGNHRFYLCNPATRQSCALPDLTGCNVAALYPHRPSGEYRVLYSKHSGRSSDDTVYHVLTVSSSSGGPRCIGLPLENAPRHWFVPACQHQPVLLHDCLHWHLGRNCGDSLVGKVVVFDTVVESFRFMQSPIASRSVHLLEMDGTLGIRHIDHATRMTELWVLRDYEMEGWSLKYRAELSVADMREHITDRCILSRKVVSENGDMLVTGSPRLSYHLLHCDSNGKLLEKYQWQGVVLSVLGLCFKESLIRHAFFQRKDGSRVKVPRFFRGL* >Brasy1G479400.1.p pacid=40058155 transcript=Brasy1G479400.1 locus=Brasy1G479400 ID=Brasy1G479400.1.v1.1 annot-version=v1.1 MAASLGRPGLEAYSSFSAPLYSFPPLMEAGDLYPPDGAVTGLVPDLDDVLPPPPDDQYSLPPAMFPGGGSVTGGNNDLEEMAMEMMSGGSSFPFPATPPLPPPSCYYHHCCGNNKGGEKEELERSRRIGFRTRSAVEVMEDGFRWRKYGKKAVKSSPNLRNYYRCSAPGCGVKKRVERDRHDPAYVITTYHGVHNHPTPGTT* >Brasy1G337500.1.p pacid=40058156 transcript=Brasy1G337500.1 locus=Brasy1G337500 ID=Brasy1G337500.1.v1.1 annot-version=v1.1 MASSAVACPWDALPEHLQERIVSLLPLTALFPVAAVSRALRRLLRSPAFHALLSPHRLDAFFLLSPRLAFHLLTRRLLPVAPSAPLDSSSPPPPLVSSPSPSLVVTAASLRRLPTLPDRSYLIAVIASLSSSTSHSQEYTLVAVSTGAAVRSYTLDSADLSPRWAPRGELPRPFALLGNAAVACDHSLLYVLGRGPDALLSFDLVTGQWMAPPVVMPHGLTTAHLFVFQGLLFLVGGVEAFGVLQRVVVWQLDDDEAAGWMEVGTMPAEVFDELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDVVDCAWTKLPRVSGLDAEESRQWFGHVLEPGVELLLGQRWPCL* >Brasy1G333900.1.p pacid=40058157 transcript=Brasy1G333900.1 locus=Brasy1G333900 ID=Brasy1G333900.1.v1.1 annot-version=v1.1 MGSDERRATAVPRWDGTPRHQRSHLRNPACPRSSPASRSPSTAATSPSLDASRDRSTLTLLPIISSCRRAPSGPLGAPRHAFGRWIGRRLPRLRPLRAERGGARGAAVEARTAERGGPQQRGSMRQHGGARAAARPPAGRAWWLDGGEGKGGQGDGLERGGGLEPDGGRARRPRAQRLDGRGGREGMVAASRRRGGDRRAEKERWG* >Brasy1G163900.1.p pacid=40058158 transcript=Brasy1G163900.1 locus=Brasy1G163900 ID=Brasy1G163900.1.v1.1 annot-version=v1.1 MSNVKMKAYNGPSLENGHIEFPSLYLRRLDSGDKKNQHVVIDGFGSTDLGLTSIVDCGIYDGVGDKAKLVARAKGMRMNADHSWCNFFIIVFELDGFKGSTLEVMGATMVKADETTIEETKGEWAIVGGTGEFAMARGIITRKGRQVVPGGEILELSLEAYCRTTKVVPPATPPVAVATPDHTEAPSAPKTPATTPVVVAPPADTATPSAPKPQATPSAPAVRAHKEYGPTGHPGPANRHDFDPKRSSRLKSVRAYYYYGVNGLKFTYTDKDGQDHDCLIGNPGFGNQTKETKPPLELGPDDWVTKVSGTYGSHNHPSHGVTKAIYSLTLETKRGKKLEANGSGKGNGAPFSIVVDGNETTLGFFGQNNGYGPVSIGIYTVPI* >Brasy1G391100.1.p pacid=40058159 transcript=Brasy1G391100.1 locus=Brasy1G391100 ID=Brasy1G391100.1.v1.1 annot-version=v1.1 MDRFIRRRKKERKKGRKQEKKSMGKKLCLLSLDEDIVMALLVLAVVVAALVAGSPPRRRAFAVVYH* >Brasy1G140400.1.p pacid=40058160 transcript=Brasy1G140400.1 locus=Brasy1G140400 ID=Brasy1G140400.1.v1.1 annot-version=v1.1 MESSRGRRRCRRSVLMVVALCLVVAAISLCCARSWACSGSGCGWSTVVPRSGFGRRPAASCANQEDCSRGGRQWRRRLLVDGPGSYPPRCTSKCGSCNPCYPVHVAVPPGVPVTTEYYPEAWRCRCGNRLYMP* >Brasy1G140400.2.p pacid=40058161 transcript=Brasy1G140400.2 locus=Brasy1G140400 ID=Brasy1G140400.2.v1.1 annot-version=v1.1 MESSRGRRRCRRSVLMVVALCLVVAAISLCCARSWACSGSGCGWSTVVPRSGFGRRPAASCANQEDCSRGGRQWRRRLLVDGPGSYPPRCTSKCGSCNPCYPVHVAVPPGVPVTTEYYPEAWRCRCGNRLYMP* >Brasy1G161000.1.p pacid=40058162 transcript=Brasy1G161000.1 locus=Brasy1G161000 ID=Brasy1G161000.1.v1.1 annot-version=v1.1 MDGQGKEGGSDGNGGGSEHDVVARAFVEYYYHTFDTNRAALAALYGSTSMLSFEGHRAAGAQEIGPKLAQLPFEQCRHSVVTVDCQPTPSFPDGILVFVSGNLHLAGEEHQLRFSQMFQLLPNEHGSFFVQNDIFRLNYG* >Brasy1G493700.1.p pacid=40058163 transcript=Brasy1G493700.1 locus=Brasy1G493700 ID=Brasy1G493700.1.v1.1 annot-version=v1.1 MSGSYGSDDYRGGGGGYGGRGGGGGGGRGRGGPGGYGGSGGGGGGYGGGGGGGYGGGGRGGGGGGGGGYGGGGGRGGGRGGGRDGDWVCPDASCGNVNFARRTECNKCGAQCPSGGGGGGGGGYDRSGGGGGGYNRGGGDHGSGGGGGYSRGDGDYSSGGRGGSAGGGRGGYNRDGGSGRGFDDYRGGSGGGYGGRDQDNNQRGDKDSFGDGGYGQAPPKGPPSYGGPAGDYAPPPSSYGGNNVYNPDSAVPPPNSYGGGRGSYPPSYGAPPNPYGGGAPGGAGGLPPTYDGGYGGRSMPGGGGAGGAPPPYHGGGGGGGGGYSNNATPEPATKVKQCDANCDDSCDNPRIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKSKGDACLAYEDPSAAHAAGGFYNNYEMRGHKISVVMAEKSAPRAPTSGHGGGRGGGYGGDRRRDGGGHGPNRNQGGGSRARPY* >Brasy1G493700.2.p pacid=40058164 transcript=Brasy1G493700.2 locus=Brasy1G493700 ID=Brasy1G493700.2.v1.1 annot-version=v1.1 MSGSYGSDDYRGGGGGYGGRGGGGGGGRGRGGPGGYGGSGGGGGGYGGGGGGGYGGGGRGGGGGGGGGYGGGGGRGGGRGGGRDGDWVCPDASCGNVNFARRTECNKCGAQCPSGGGGGGGGGYDRSGGGGGGYNRGGGDHGSGGGGGYSRGDGDYSSGGRGGSAGGGRGGYNRDGGSGRGFDDYRGGSGGGYGGRDQDNNQRGDKDSFGDGGYGQAPPKGPPSYGGPAGDYAPPPSSYGGNNVYNPDSAVPPPNSYGGGRGSYPPSYGAPPNPYGGGAPGGAGGLPPTYDGGYGGRSMPGGGGAGGAPPPYHGGGGGGGGGYSNNATPEPATKVKQCDANCDDSCDNPRIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKSKGDACLAYEDPSAAHAAGGFYNNYEMRGHKISVVMAEKSAPRAPTSGHGGGRGGGYGGDRRRDGGGHGPNRNQGGGSRARPY* >Brasy1G228100.1.p pacid=40058165 transcript=Brasy1G228100.1 locus=Brasy1G228100 ID=Brasy1G228100.1.v1.1 annot-version=v1.1 MAAVAGPNVLRLRPRAASAPAPASSASPCCRLSSCSYPKPVRSFAVQGVNGSRMQYLGTMKGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G228100.4.p pacid=40058166 transcript=Brasy1G228100.4 locus=Brasy1G228100 ID=Brasy1G228100.4.v1.1 annot-version=v1.1 MAAVAGPNVLRLRPRAASAPAPASSASPCCRLSSCSYPKPVRSFAVQGVNGSRMQYLGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G228100.2.p pacid=40058167 transcript=Brasy1G228100.2 locus=Brasy1G228100 ID=Brasy1G228100.2.v1.1 annot-version=v1.1 MFSRIRVMTCVMSQPVRSFAVQGVNGSRMQYLGTMKGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G228100.5.p pacid=40058168 transcript=Brasy1G228100.5 locus=Brasy1G228100 ID=Brasy1G228100.5.v1.1 annot-version=v1.1 MFSRIRVMTCVMSQPVRSFAVQGVNGSRMQYLGTMKGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G228100.3.p pacid=40058169 transcript=Brasy1G228100.3 locus=Brasy1G228100 ID=Brasy1G228100.3.v1.1 annot-version=v1.1 MFSRIRVMTCVMSQPVRSFAVQGVNGSRMQYLGTMKGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G228100.6.p pacid=40058170 transcript=Brasy1G228100.6 locus=Brasy1G228100 ID=Brasy1G228100.6.v1.1 annot-version=v1.1 MQYLGTMKGLTLRSFSNHDGRIHIKQVISFAATDKQEPTASPTLDTPLVEDAESSTADSTKSDCSYFGERGVGKSGFISFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGIICVWSLSSFLMRFLPSSDIPDP* >Brasy1G087600.1.p pacid=40058171 transcript=Brasy1G087600.1 locus=Brasy1G087600 ID=Brasy1G087600.1.v1.1 annot-version=v1.1 MEARKAGAGTRAPYLLMIWALVAADLFGTGFARAALGMEQREFDYFALALQWPGTICSSTRHCCAVNGCCRSEPLHTFTIHGLWPDYDDGTWPSCCRHTNFDMDKISPLMPVLEKYWPSLYCSSSSTCFSGRGPFWAHEKHGTCSSPVVQEELQYFSTALDLYFKYNVMEMLASGDIQISDDKKYALSDVIDTIKDTFGASPQIICKKGSIEELRLCFTKDLKPRDCLTTSAMSKTLTKAKHCPRYITLPTYDPFVFANSTGEIFTEPSNNEIYIYTT* >Brasy1G575200.1.p pacid=40058172 transcript=Brasy1G575200.1 locus=Brasy1G575200 ID=Brasy1G575200.1.v1.1 annot-version=v1.1 MALRWQRSRMSVASYMPRLSSATHPCTLSSVMFLLSASARNFLRSPSLIPMFSMSPVTPRRILTSSSTTCVSRSVT* >Brasy1G442900.1.p pacid=40058173 transcript=Brasy1G442900.1 locus=Brasy1G442900 ID=Brasy1G442900.1.v1.1 annot-version=v1.1 MLHLRPGQGAPQWTAPTRPWVGMPTPCDDQERALFAVVTVVSIGDGLTASFWDSNWIGGAPLRVSFLLLFVRSRRKGRRVAQAITGHQWIADLRNDNTTQFVSQFLSAWRLLAQAPPLLTDTPDSIRWTPSPDGVYSTKSAYLLHFIGRSKSDLPSFVWRQNCNGIAGALRADHRALTDFLGCILDLSADGHKKGINSLFILTCWTIWHERNNRVFHDKEVSGRQLLVFIKDAAQISLFRPIGD* >Brasy1G112500.1.p pacid=40058174 transcript=Brasy1G112500.1 locus=Brasy1G112500 ID=Brasy1G112500.1.v1.1 annot-version=v1.1 MDKTGGVETEHTGAKEWRARAVGGTEHSWCRAVPGGTGTTLLALRLSAGGGAAAAEALQAALRSLQKAHPILRAHLRASPSGAATLAFPASSSALQLSLAPHPAPEPALDFHSLLERELNRNPWASASDPDAAAAPVLFAALYELPPPAGGAALFVRIHTVACDRAASAALARELIALLVGAGDPEEEEAAAVDAGLEERIPQRDSWKPFWARGVDMVGYSINGLRTSTLPFVETGTARSTQMVRLGFGREETARLLDACKENGVRLCSAMAAATLLAARQSKKLDSGQYETYSIATLINCREFLEPALDDHNVGFFYSAITNTHKIHGEEGLWELAKRCHDAYSNAKNSKKHLTDIGDLNFLMCRAIETPQLTTASALRTALVSVFEEPVTYNISDQQSKAGVEDFVCAATVHGIGPSIGMFDSIRDGQLDCACMYPSPLHSREQMREIFDRVKQILHEGCNVCDGKYEDCT* >Brasy1G233200.1.p pacid=40058175 transcript=Brasy1G233200.1 locus=Brasy1G233200 ID=Brasy1G233200.1.v1.1 annot-version=v1.1 MSKPRSCQGKQRGGGGLGRVLREHRARLYIIRRCVAMLLCHHD* >Brasy1G505100.1.p pacid=40058176 transcript=Brasy1G505100.1 locus=Brasy1G505100 ID=Brasy1G505100.1.v1.1 annot-version=v1.1 MAGIDHGDAPAPPRPELTPRERVEQKLILYGVPGEQLLQQHQQGLLMFLEEHKESISGIAEAILSAGTDLLDSRRPSKKDGDSSSSSSSNAYSESLSWLRWMMFGTEPDVMLHDMEHSSAGERAVCGSVWGQNDLAYRCRTCENDSTCAICVPCFQNGDHTDHDYSIMYTGGGCCDCGDATAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDALLLFWKEKICLVEGPPRAKADGGTSYKKVAEELTTSVADMLHGFCSCSESLLSFVSQRIRECPDLLDALMRAERLLDKKVVKKLHEFLLKLISEPAFKYDFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQLFTVPTLTTRLVREVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWANLYDATIRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLVSLVQGMDPQKRVTSIHAEDENENLSAPFVLGHYLGIVQNLLMKGAFSSPGQHESTDVTVCSTAIKGMESAENQRHAKVGRVSQENSVCNLSSRESSSSSELPSPAGWLILQCLKAIESWLEPGAALRSKLSPLNASSSDAHDFLALLEDSLIFNKGGSNTWIGQVGVKVNEGSQLDAIADCREPFGSPMQESDNRMLIDQVGMPQAGNITGKGKIQDSSNAPDIQLHSENAISYTLTDGSLLYAPLGSRIEELGILKTKGWPHVVFDVSSQETSFHIPLHRMLCLLLRKAMKKCFGEEAKPEDCSVVRPNAFFAQVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAMLSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSNYTSLDRAEQNEYESVLMQEMLTLLIQIVKERRFCGRSTEDNLKRELIYKLAVGDATHSQIMKSLPRDLSSSKQLQNVLDLLAVYSNPSGMKQGKYVLRKAFWKELDLYYPRWNSRELQIAEERYYRFCKASALNAQLPQWTHVFSPLRSISNIATSKAVLKIVRAVVFYAVYSDTSSVSRAPDNVLVTGLHLLWLALDICESESQMYTDQHGMDIVQHDAESWVVLSSYAEEAFPILTYSTELVSPESDKAKNESLLTLLVSLMRKYKEENDSAFSGSKYCNIQSLVESLLKRFAKLSKHCMSALRQMAPQVVLSFPDHTRPKQNLGSSDLMEKKAKARQRQAEIMAKMRSEQSKFAESMKSSGDEGHDAPTFEPDVSSSNGVVSEESRPVCSLCRESDSKSPLCYLILLQKSRLATFVEMGNPSWENPSQSNKTFGSIRREQSTDSSDAGAFGSEELFRNTTLEIDPNFDLDSMDIDAYIDFSNEQHPLIRYISCFPSGHCIGNADDNVCLETIEADVYKSIVNDLAGSNSIRIQDGEQTLSTPNHIAGSKKSAGPKSSVLGTYVTCLSAKDRHSSLYDVASKSSTSVRTRNRFGPVDCDGIYVSSCGHAVHKDCHDRYLFSLKQRYVRRLGFEGGHIVDPDQGELLCPVCRRFANSILPASPDSSNITRKLMPSVVTMPPEAAATTSNVTINNLQFPLALALLESARKIVGQSRFLKPLSGNVHDTIEPALDPSLRRLAMLYYPGSYSSVSSSERLNPALFLWDSLRYSIVSTEIASRGRMSSYYAESKSCLESLRSELNSSSGFILSLLFRVSHSARILNRLEVLLRYEGIQLLAGSICSGISGDKDLLNATQGKGTLPPMSELGSEGEIFPDILFWKQCADPVLAQDPFSSLMSTLFCLPVQVVTSTEFFVPVVHLFYIVCVIQALITCYGEETFDRSSFRDCLLNDVCQEMSGYDIAREYFVSKYIDPSCDPRDVVRRLTHPYLRRCALLWELLKSSSSAPLYDNSNIWEGSHLYLNSSTTEGSLSLAMELDGVRELEHLFQIQSLDLILKDDRVHMLALRWSQHFCEDYRSRKYRGVLFSTPAVPFRLMQLPPVYQVLLERYVKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHSSQCGAGVGIFLLVRKTTILLQRSARLAFWPSLYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISFYTSD* >Brasy1G149500.1.p pacid=40058177 transcript=Brasy1G149500.1 locus=Brasy1G149500 ID=Brasy1G149500.1.v1.1 annot-version=v1.1 MPSSTRGARRPMAASASELAVLAFHVADGCARILVPVLCFLGGPSSPRSPAVEKAAVALLLVLPMAFVAGVILAYLHLAVVPAPAPAAVSSVDPAAVRFGVLLSALVSAWLLLVAAPFVAFFFLPQLR* >Brasy1G349500.1.p pacid=40058178 transcript=Brasy1G349500.1 locus=Brasy1G349500 ID=Brasy1G349500.1.v1.1 annot-version=v1.1 MGADDGHGQHANDLPLTPRDGGGEPCGDLLPGKPNFPKGVAKTVQTEAPSSYAIAGKVMFAAAGAFAGILLALIALYMYNSGRRRYSRDRRRLLRSLANISGGDSGGAAAPESPRARGLDPAVLRALPVVVPASSGSSGGVGGAGGGDDCAVCLAEFEQGEEARALPRCGHRFHVECIDAWFRGNSTCPLCRADVEAPAPDEAEARPAEEVRIDVVAGDAAAKGGGPPAMPSGGSSLRPDPLPSDPSPDRH* >Brasy1G535100.1.p pacid=40058179 transcript=Brasy1G535100.1 locus=Brasy1G535100 ID=Brasy1G535100.1.v1.1 annot-version=v1.1 MPRSSSSSSSKPILGRAMAILSLPLSKAKARKCLLLFNKHRPWPGANRRRLRHYNYAYVGEYQFSPSASPLLLPRPPGVSTWRSIRKRGKTGSGSTARMILASLCFCGASASESAAGLDVAVLDGLLPPPPRAHESPRALGWAGDDDEGELEEEEFGDDEVDGRAERFIERFYEEMWLQQQQQQQSSLTHRLH* >Brasy1G282400.1.p pacid=40058180 transcript=Brasy1G282400.1 locus=Brasy1G282400 ID=Brasy1G282400.1.v1.1 annot-version=v1.1 MAASARRVSRATRRLLRSRSVRKGKTKEAKTAGGGDGEAAVWHRSILLGQRCEPLNFSGAIHYDGQGRRLSQPRGGMASAATKQQLQAATALICRSADVVDEAAITASKRSAAIDGCAALLELADAACED* >Brasy1G260200.1.p pacid=40058181 transcript=Brasy1G260200.1 locus=Brasy1G260200 ID=Brasy1G260200.1.v1.1 annot-version=v1.1 MQVLTNGRYRSVRHRVVAEELRLSSSSSRLSMIYFGGPAPSETIVPVAGLMGELEASLYRSFTWGEYKTAAYGTRLADRRLEPFLLQQRLTAEESAHQDA* >Brasy1G572300.1.p pacid=40058182 transcript=Brasy1G572300.1 locus=Brasy1G572300 ID=Brasy1G572300.1.v1.1 annot-version=v1.1 MDGQGNSRHGGALELLEHVLADESAEPTHLPLSLLENITKGFSIGHQIGTGGFAVVYKGIVGKGMVAVKKLTKTYDMPESEFHKEIECLMKAKHKNVVRILGYCDDTQGIMANYQGKPVMAGRRNWLLCLEYVPNGSLENYITGVSSGLDWKRRYGIIKGICKGLHYLHENYILHLDLKPANILLDRHLVPKISDFGLSRCLAEGQTPATTKHIRGTPGYLAPELYTGKITFASDIYSLGVLIMEILAGVKQHAEDENLVESWMNRLEASEGNTQLEQVKVCIGLGIQCLEADPKKRPSARSIIDRLEQTASTHSSDDDIPSSSTSSSIELRIESRNNGLEMQSVNNKGLVEELEKLLERLRVPQEFAASLTRGSFEESRMLKNVEACEWLTGAIRRLEVPNLDLSYVNMRAFREKRAELENLKTTFVRRASKFLRNYFYSLVNFMISDKSYFSQSLDKSCLDSLRKAYCYSLNLLILREAREFANELCASTKAPKNPAVWLEGSSNAGQNGSSADTSTVSDAYSKMLAIFIPLLVDESSFFAHYMCFEVPALVPAGAPNVNKHRSGGNEPDDDLSLMNPDGNDLKPDNTSVELGTPNEALQELLDEYYRKKELLDGIQEEFYAVVDWAYKIDPFRCISMHGITERYLSRQKADAAGFVRKLLDDLESRISVQFSKFIDEACHQIERHERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLINKAYAKLIPFQLGLSKIDLRRVLKSSLSGIDKSIGAMHKRLRKTLTSDELFTSLWDKFKKEFLDKYEGFVQMVARVYGNEPIMPVAEMEDTLASF* >Brasy1G572300.2.p pacid=40058183 transcript=Brasy1G572300.2 locus=Brasy1G572300 ID=Brasy1G572300.2.v1.1 annot-version=v1.1 MDGQGNSRHGGALELLEHVLADESAEPTHLPLSLLENITKGFSIGHQIGTGGFAVVYKGIVGKGMVAVKKLTKTYDMPESEFHKEIECLMKAKHKNVVRILGYCDDTQGIMANYQGKPVMAGRRNWLLCLEYVPNGSLENYITGVSSGLDWKRRYGIIKGICKGLHYLHENYILHLDLKPANILLDRHLVPKISDFGLSRCLAEGQTPATTKHIRGTPGYLAPELYTGKITFASDIYSLGVLIMEILAGVKQHAEDENLVESWMNRLEASEGNTQLEQVKVCIGLGIQCLEADPKKRPSARSIIDRLEQTASTHSSDDDIPSSSTSSSIELRIESRNNGLEMQSVNNKGLVEELEKLLERLRVPQEFAASLTRGSFEESRMLKNVEACEWLTGAIRRLEVPNLDLSYVNMRAFREKRAELENLKTTFVRRASKFLRNYFYSLVNFMISDKSYFSQSLDKSCLDSLRKAYCYSLNLLILREAREFANELCASTKAPKNPAVWLEGSSNAGQNGSSADTSTVSDAYSKMLAIFIPLLVDESSFFAHYMCFEVPALVPAGAPNVNKHRSGGNEPDDDLSLMNPDGNDLKPDNTSVELGTPNEALQELLDEYYRKKELLDGIQEEFYAVVDWAYKIDPFRCISMHGITERYLSRQKADAAGFVRKLLDDLESRISVQFSKFIDEACHQIERHERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLINKAYAKLIPFQLGLSKIDLRRVLKSSLSGIDKSIGAMHKRLRKTLTSDELFTSLWDKFKKEFLDKYEGFVQMVARVYGNEPIMPVAEMEDTLASF* >Brasy1G572300.3.p pacid=40058184 transcript=Brasy1G572300.3 locus=Brasy1G572300 ID=Brasy1G572300.3.v1.1 annot-version=v1.1 MDGQGNSRHGGALELLEHVLADESAEPTHLPLSLLENITKGFSIGHQIGTGGFAVVYKGIVGKGMVAVKKLTKTYDMPESEFHKEIECLMKAKHKNVVRILGYCDDTQGIMANYQGKPVMAGRRNWLLCLEYVPNGSLENYITGVSSGLDWKRRYGIIKGICKGLHYLHENYILHLDLKPANILLDRHLVPKISDFGLSRCLAEGQTPATTKHIRGTPGYLAPELYTGKITFASDIYSLGVLIMEILAGVKQHAEDENLVESWMNRLEASEGNTQLEQVKVCIGLGIQCLEADPKKRPSARSIIDRLEQTASTHSSDDDIPSSSTSSSIELRIESRNNGLEMQSVNNKGLVEELEKLLERLRVPQEFAASLTRGSFEESRMLKNVEACEWLTGAIRRLEVPNLDLSYVNMRAFREKRAELENLKTTFVRRASKFLRNYFYSLVNFMISDKSYFSQSLDKSCLDSLRKAYCYSLNLLILREAREFANELCASTKAPKNPAVWLEGSSNAGQNGSSADTSTVSDAYSKMLAIFIPLLVDESSFFAHYMCFEVPALVPAGAPNVNKHRSGGNEPDDDLSLMNPDGNDLKPDNTSVELGTPNEALQELLDEYYRKKELLDGIQEEFYAVVDWAYKIDPFRCISMHGITERYLSRQKADAAGFVRKLLDDLESRISVQFSKFIDEACHQIERHERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLINKAYAKLVSTMFTTLEKIAQSHTKTAEIVLIENYAAFQNRFHSSLGCQKLI* >Brasy1G572300.4.p pacid=40058185 transcript=Brasy1G572300.4 locus=Brasy1G572300 ID=Brasy1G572300.4.v1.1 annot-version=v1.1 MDGQGNSRHGGALELLEHVLADESAEPTHLPLSLLENITKGFSIGHQIGTGGFAVVYKGIVGKGMVAVKKLTKTYDMPESEFHKEIECLMKAKHKNVVRILGYCDDTQGIMANYQGKPVMAGRRNWLLCLEYVPNGSLENYITGVSSGLDWKRRYGIIKGICKGLHYLHENYILHLDLKPANILLDRHLVPKISDFGLSRCLAEGQTPATTKHIRGTPGYLAPELYTGKITFASDIYSLGVLIMEILAGVKQHAEDENLVESWMNRLEASEGNTQLEQVKVCIGLGIQCLEADPKKRPSARSIIDRLEQTASTHSSDDDIPSSSTSSSIELRIESRNNGLEMQSVNNKGLVEELEKLLERLRVPQEFAASLTRGSFEESRMLKNVEACEWLTGAIRRLEVPNLDLSYVNMRAFREKRAELENLKTTFVRRASKFLRNYFYSLVNFMISDKSYFSQSLDKSCLDSLRKAYCYSLNLLILREAREFANELCASTKAPKNPAVWLEGSSNAGQNGSSADTSTVSDAYSKMLAIFIPLLVDESSFFAHYMCFEVPALVPAGAPNVNKHRSGGNEPDDDLSLMNPDGNDLKPDNTSVELGTPNEALQELLDEYYRKKELLDGIQEEFYAVVDWAYKIDPFRCISMHGITERYLSRQKADAAGFVRKLLDDLESRISVQFSKFIDEACHQIERHERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLINKAYAKLVSTMFTTLEKIAQSHTKTAEIVLIENYAAFQNRFHSSLGCQKLI* >Brasy1G468800.1.p pacid=40058186 transcript=Brasy1G468800.1 locus=Brasy1G468800 ID=Brasy1G468800.1.v1.1 annot-version=v1.1 MAENGGAPVAYLCSDMIYEILLRVPAVYIHWSCRNVCQAWRELLGERPFIAAYARRRSPMPLYFYHESGVPYDVYHGELDDFLYSDDLHAVDLAARHNHRVLGFADTAVDFWYSGFGDDEPVAFRIRGSCDGVLFLTDLQPGRLYACNPCTRHWARLPECPFVAFYAHGPLGEGREYRVLQAHPWVGEGGHPGTIYSIRVLGAPEEQAVRLIGRPTSPAMSAESALALDDVLSRGIGAHLQGPPVMLHGTLHWLPTYNASDRHGYKLVAFDTVAEYFRWISSPPALTDPDAIVDTDEVDGIIAFQLLEIDGKLAMAIMDVRPGTMVNVWVLDDYVREEWIRMHKIDLLPLQTRAENHYDADRTPPLYVASVNRSQELHALIPCPGSLLQCDASGALLQYHWRPGHAIFCTGHSIQESFVRPPFLSWQGNDVIQPRFVFQGEIFQ* >Brasy1G060300.1.p pacid=40058187 transcript=Brasy1G060300.1 locus=Brasy1G060300 ID=Brasy1G060300.1.v1.1 annot-version=v1.1 MISHQTRLVYKEEGAFVLSSDKSLQEKESSRERGSSTQRGANMEHGGEEDDTGSGCSRRSTAGSDATMGGTEIKLQALRFAEDLSLPSVQVVVMSANMGCSHCRQRVTKVVTKMNGLLDYMVDFGKKEVTVRGTVLHTKKNKKRKKHKESDNNGAVDSSWENRSSSSSSSSSSSSNQSSRTLSWLLGCYGS* >Brasy1G135800.1.p pacid=40058188 transcript=Brasy1G135800.1 locus=Brasy1G135800 ID=Brasy1G135800.1.v1.1 annot-version=v1.1 MAAQEASQALRWRYGGDVDDGNFAVRGREVPLLVALLAILVCFVGVCFYLRWVCHRYNNGNGSTTLLPSFSPSSAAATAPVAAGLDAAAIAALPVTLYQRRRVRPAAAAGDRELPDDAAAQCSICLGEFAEQGEKVKALPRCGHCFHPECVDAWLRSRPSCPLCRASLLPDTTKAAAAGTEAV* >Brasy1G107600.1.p pacid=40058189 transcript=Brasy1G107600.1 locus=Brasy1G107600 ID=Brasy1G107600.1.v1.1 annot-version=v1.1 MEIPAQQSPDWLHCYFSANSSVSVYTHSFLLSCYLVQNKKGSDHK* >Brasy1G284800.1.p pacid=40058190 transcript=Brasy1G284800.1 locus=Brasy1G284800 ID=Brasy1G284800.1.v1.1 annot-version=v1.1 MPNPTNISHLTCLQIAPPPLPNPRMPNQNPRRRPLSSPPPAAMDPPQPLLGISMSDMQATMARGGMDRGTLDLGFNMILYLVYDYIPKPPVSVAAPLPLSVASCAPDGVDRISTLPDAALANIVSRLPAKEAARTAALASRWRSLWHSAPLAVVDSHFLPDGGARGPLVIGAPSPREVTAAVTRVLASHPGPFRCVHLTCSTMDEHRGEMARWLDVLAAKGVQELVLVNRPWPMDLRLPTTLFSCASLTRLYLGVWRLPDTGAVPRRAAFPNLRELGLCFTVMEDRDLAFMLERSPVLEILTVMGSQSGVRLRLVSHSLRCVQLSYSHLEDIDVVDAPRLERLFQWTTFGQNSSTGKKRRSRIKIGHAPNLGLLGYLDPGDNEMEISNTVIVAGTKENIVPSVKTLAFEVQFGVRNAIKKMPCSLRCFPNLETLHVQSKKNVEPTGKVNLKFWEEGGPIKCVLQAMKKVFFYEFRGLRSEVAFLKFIAERGRVLEKMVVVVASECFSSGGDVNDKLKPLTSAKWNSKACKLQLFKSPRKDGGAPVFSHRLASEFSLADPFDLMYYQESL* >Brasy1G080700.1.p pacid=40058191 transcript=Brasy1G080700.1 locus=Brasy1G080700 ID=Brasy1G080700.1.v1.1 annot-version=v1.1 MSPPRPRQFRTHHGRVCLVIPKSMASSPISPPPAELEISRQSRILAALSKKLIDLDELRMLSAQGVPDGAGVRSTAWKLLLGYLPNDRALWEQELTKKRSEYAAFKEEFLSNTVGGSCATRGLVGHDEENEELVDNGLLRRSEITQEEHPLSFGKTSEWNQFAEYSEMMEQVDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDSKNANFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIRGTLCKLSQLLAKYDGELQHHLEVTTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGRQETLLRICCAMLILIRKRLLAGDFTANLKLLQSYPPTNIGHLLYVANKLQ* >Brasy1G309900.1.p pacid=40058192 transcript=Brasy1G309900.1 locus=Brasy1G309900 ID=Brasy1G309900.1.v1.1 annot-version=v1.1 MMGEAKDNEVYEEDLVDYEEEVENAVDGAAANASVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICNEFERFSKYLSETKVAVFYGGVHIKKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKAIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNKLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G309900.2.p pacid=40058193 transcript=Brasy1G309900.2 locus=Brasy1G309900 ID=Brasy1G309900.2.v1.1 annot-version=v1.1 MDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICNEFERFSKYLSETKVAVFYGGVHIKKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKAIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVGRASELNKLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS* >Brasy1G459900.1.p pacid=40058194 transcript=Brasy1G459900.1 locus=Brasy1G459900 ID=Brasy1G459900.1.v1.1 annot-version=v1.1 MATWGRKGEAVRGAGSRRRSCACAVATVRAGSGPDAGQIWMRVGLRGCLGEAEGGRVLVMVAAATQGGSGAGRGCDGPARCGGVEAWILAWFGLNLSTPALAIRRWSERRLVAELRLFRVVLRCEGVAAVARSVLGPSGQ* >Brasy1G228900.1.p pacid=40058195 transcript=Brasy1G228900.1 locus=Brasy1G228900 ID=Brasy1G228900.1.v1.1 annot-version=v1.1 MADYSGEYGHPYPRVDQYGNPVPPVDQYGNPIPREPGLLPAYSSGGISGTVTLADYGAGTGGMAYPHEGVILGGGAVSPNGAEASTHEGAVSGSLAPGETTAYAYDEGMVGSGISAGDQLQSSREIKEEHTTLGETLRRSGSSTSSSSSEDDGQGGRQRRKKSIKEKIKEKLPGSNNSNKQEEEHKGAGHAVPAAGTGTHAAATHEKKGIMQKIKEKLPGHH* >Brasy1G454800.1.p pacid=40058196 transcript=Brasy1G454800.1 locus=Brasy1G454800 ID=Brasy1G454800.1.v1.1 annot-version=v1.1 MGLYLVLASWACAAHQLACDGGASSPGSLRRSGRCGARLLWWSLSSPRRSGWGRPRRRPGRQALAAVVPRGARGGGGRGSPRRRLRAARARGIGSGSWRQRVAAGSARGGGSSASREEKRGAARRANVDRWRRSDEEEDCTRRATMGRSIPTVPNGFCQVKVSIVRDENPELKG* >Brasy1G387900.1.p pacid=40058197 transcript=Brasy1G387900.1 locus=Brasy1G387900 ID=Brasy1G387900.1.v1.1 annot-version=v1.1 MIRLQTYAAFSLLATTTAAYYAFSSRVQFYPAMVYLSSSKICFVLLLNTGLVAMCVAWQLVKRVFLGKLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEHIETTPSVPMLSHLRIVSFMVFLLAVDCIFLSNSLMSLIKNWEASVAIFFSFEYMILATSTVSTFMKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRVSDYVRYRKITSNMNERFPDATADELNLSDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDHGRAASARQHGAQRGVQLGKTAAGAGTPGSGGAPSENVNMRQAKLEAAASAASLYGRSFAYPTNTLNRYSGPRHATSNASQSGEASTSNQSQTDQSVQWQHTGDPLEPEPFISYGPVVPITSNGDLENSLQKAYENAIRSQIEMLQIQLQMVQQGATTLTTDNNNADRAPDPKNE* >Brasy1G387900.2.p pacid=40058198 transcript=Brasy1G387900.2 locus=Brasy1G387900 ID=Brasy1G387900.2.v1.1 annot-version=v1.1 MIRLQTYAAFSLLATTTAAYYAFSSRVQFYPAMVYLSSSKICFVLLLNTGLVAMCVAWQLVKRVFLGKLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEHIETTPSVPMLSHLRIVSFMVFLLAVDCIFLSNSLMSLIKNWEASVAIFFSFEYMILATSTVSTFMKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRVSDYVRYRKITSNMNERFPDATADELNLSDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDHGRAASARQHGAQRGVQLAAGAGTPGSGGAPSENVNMRQAKLEAAASAASLYGRSFAYPTNTLNRYSGPRHATSNASQSGEASTSNQSQTDQSVQWQHTGDPLEPEPFISYGPVVPITSNGDLENSLQKAYENAIRSQIEMLQIQLQMVQQGATTLTTDNNNADRAPDPKNE* >Brasy1G387900.4.p pacid=40058199 transcript=Brasy1G387900.4 locus=Brasy1G387900 ID=Brasy1G387900.4.v1.1 annot-version=v1.1 MIRLQTYAAFSLLATTTAAYYAFSSRVQFYPAMVYLSSSKICFVLLLNTGLVAMCVAWQLVKRVFLGKLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEHIETTPSVPMLSHLRIVSFMVFLLAVDCIFLSNSLMSLIKNWEASVAIFFSFEYMILATSTVSTFMKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRVSDYVRYRKITSNMNERFPDATADELNLSDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDHGRAASARQHGAQRGVQLAAGAGTPGSGGAPSENVNMRQAKLEAAASAASLYGRSFAYPTNTLNRYSGPRHATSNASQSGEASTSNQSQTDQSVQWQHTGDPLEPEPFISYGPVVPITSNGDLENSLQKAYENAIRSQIESCHV* >Brasy1G387900.3.p pacid=40058200 transcript=Brasy1G387900.3 locus=Brasy1G387900 ID=Brasy1G387900.3.v1.1 annot-version=v1.1 MIRLQTYAAFSLLATTTAAYYAFSSRVQFYPAMVYLSSSKICFVLLLNTGLVAMCVAWQLVKRVFLGKLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEHIETTPSVPMLSHLRIVSFMVFLLAVDCIFLSNSLMSLIKNWEASVAIFFSFEYMILATSTVSTFMKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRVSDYVRYRKITSNMNERFPDATADELNLSDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDHGRAASARQHGAQRGVQLAAGAGTPGSGGAPSENVNMRQAKLEAAASAASLYGRSFAYPTNTLNRYSGPRHATSNASQSGEASTSNQSQTDQSVQWQHTGDPLEPEPFISYGPVVPITSNGDLENSLQKAYENAIRSQIESCHV* >Brasy1G172400.1.p pacid=40058201 transcript=Brasy1G172400.1 locus=Brasy1G172400 ID=Brasy1G172400.1.v1.1 annot-version=v1.1 MQPMRLRRQGSPLLCLSAALLAAALLTGAAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKEKFGAGVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQAYDDQLLHLAVDLAQRLLPAFDTPTGIPFGSVNLLYGVDDDESKITSTAGGGTLTLEFGILSRLTNNTVFEEVTKNAVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYVFQEAYKAAMHYLHLDPWYVEVNMNTGVTVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYKYLDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAVGPDNIVENGPYKYIFSTEGHLLPATPEIALVDEHCSYFGAFCNGSTDRGYSNSDSSSKHQSVNNTQFDDRQTASSKYSMSNVLFTTRGYIKGVCPGLTHAQKLGISYYDEGDNPVDQNSKSTENPDESHAVESSVHAQSSAVILMSHQVTSQPDEPLKTKLINQHHETIVVTADSETMRTDATRGLSEGSTEELSEETISISEQTEVTEEDIASQDIQRNEDGTS* >Brasy1G197200.1.p pacid=40058202 transcript=Brasy1G197200.1 locus=Brasy1G197200 ID=Brasy1G197200.1.v1.1 annot-version=v1.1 MASSAVPGLFLLAVAFLAVAAPRGDAWGGRFFFSKMTRPEAVEADHAPATTVATEAFDPNTNSAPAFSSRPSSSSNKNNNRGYGLYGRPEEEENYPPAYFRRGVHHDAEKLTTTTNGVPHEKEAAAGGEIESSGEGEETDARRPSFPADGSGRGRPLSYMHARGGGKRDDYGMSDTRLYENGRYYYDVATDKYGYGYESNPVRTPRPVQDDGSGYGRDPRRWGRYAGDNAAGSYESRPNRDGFGAGGNGMAEKQSNDDGFQRNQNGQYIP* >Brasy1G492900.1.p pacid=40058203 transcript=Brasy1G492900.1 locus=Brasy1G492900 ID=Brasy1G492900.1.v1.1 annot-version=v1.1 MMQDIEPIARIGWEAVIVDCYQHSALRILNSSSNSSNSLVILDFCHCLRAPHLRSAVITLTSLMNTCKFHLSTIRV* >Brasy1G388400.1.p pacid=40058204 transcript=Brasy1G388400.1 locus=Brasy1G388400 ID=Brasy1G388400.1.v1.1 annot-version=v1.1 MRNQLITPKPRHGLVPIGTGGAQAATATTRGCGRRSSSGGEATGLRRRSREARPRVGGRSSRGRRRVGLFYGEPRPRVAAAGEAGRRGRGSAAGAAGGGGASGCSAAADGEASSLRRRSREGRARGGGRSSSRRGGRVTAPEKQGGEGHVVVRLDAGEEAAQQQRKKKHSILLTVFAKLALDRLEPQTSPVSTQQQHITPGVQPLASPAWAARQGPRGRRPHSHPISAPWEANRRIRRHPLTRKEDARVKQRIPRSVLKTRPHTAPHATLPLPGSFLVASPFSCAPHVSSHAPRRVSLARVLRRKRQSTTPPPTHPIHQPASQLEKDLTSSRDCSRLLLGLFASSASTTTTTPLRPHPHPPFLPSSMAN* >Brasy1G294600.1.p pacid=40058205 transcript=Brasy1G294600.1 locus=Brasy1G294600 ID=Brasy1G294600.1.v1.1 annot-version=v1.1 MVRNGNRNGKIKVKRSDADENAELEELLPPVTASKGRSAARDSRAALRDVWSAGDKPPKPKPSSRTPAAPSYLAGVDLPPTDDDDSDDEAAEQRRSRRPTVDLNAAAPSKKAAMKKEARGGGGGAAKRDALRDDLDAFAVAIRRRADADADAAVEDDGDGRDVVLEDFDVSVAGVALLQGASLRVAHGRRYGLVGPNGKGKSALLKLLAEGRKLPVPRGVRAALVAQEDKNDDPRPVIEVVLAADEALAKLRAERDELEASGDIATASAGRLAAVYEELSLRGLDAAPARASRILAGLGFDQAKQARPASSFSGGWVKRIALAGALFLEPTLLLLDEPTNHLDLRTVLWLEEYLSAHCTSAAVVVSHDQDFLNAVCDDIIHLHGKKLHPHRGNYADFERATRPKRKPNKQTKKTRQQHDDYSVEFRFPAPSEQLPRRRPLLQLDGAGFTYPDCHDFALSGVDVDVYMGTRAAVVGPNGAGKSTLLKLLAGELSPTEGIARAHRKLRAGRYSQRFADALKRPHQSAVRHLLEEHPEKLEEGGEGEARARAALDEFGLPRESQLTPVGRLSGGQKARVVLAAMALQEPHVLLLDEPTNHLDMQSIDALADALRGFDGAVVLVSHDSRLVSRVCGDRESSEVWVVEDGTVRTYHGTFEEYRDELIREIRQECTVVLSHG* >Brasy1G200400.1.p pacid=40058206 transcript=Brasy1G200400.1 locus=Brasy1G200400 ID=Brasy1G200400.1.v1.1 annot-version=v1.1 MMSRSYTNLLDLAEGNFAALGPAGGGGRRRSFGMKRMSRVMTVPGTLSELDGEDDSEPGATNSVASDVPSSVSGERLIVVSNQLPIVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGGVRADVSVTEQDEVSQALLDRFHCAAVFLPESLHDRFYHRFCKRHLWPLFHYMLPFSSSASQTSSSSASSSSSASSPGSGRFDRGSWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYFGRTVGIKIMPVGVHMNQLQSVLCLPDRQWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIAKPVRGKGKDLEDMKAEICESCKRINGEFGQPGYSPVLLIDKDVSSVEKSAYYTIAECVVVTAVRDGMNLTPYEYIVSRQGMPRGESSSEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPNFTKLNMDSIVMSYERSESRTILLDYDGTLVPQTSINKTPSAEVLGIINTLCSDKRNTVFLVSGRGRHKLGEWFSSCPKLGIAAEHGYFLRWTRDEEWQTCTQALDFGWMEMAEPVMNLYTEATDGSYIETKESALVWHHQDADSGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVIAEKILASMKERGRQADFVLCIGDDRSDEDMFENIADIIKRGMVAPKTPLFACTVGQKPSKAKFYLDDTFEVATMLSALADATDPEPVTGLAEDLAVSVSSIDIGGEQKQSSDRHFEGL* >Brasy1G346700.1.p pacid=40058207 transcript=Brasy1G346700.1 locus=Brasy1G346700 ID=Brasy1G346700.1.v1.1 annot-version=v1.1 MGAKVGMASKLWERFLLVLVLVATTQAAADGHKSAGGGGARRRATAEPPVPITILRSAVDKGAVCLDGSPPAYHLDRGSGSGRNSWIVNLEGGGWCDSVVSCGWRKGGYLGSSLHMDKPMFFGGIMSASAVDNPDFYDWNRVMVRYCDGGSFAGEGLDRATGVYFRGQRIWDAAVRHLLSVGMASADRVLLTGCSAGGLAAMLHCDQFGAFFAGKNTTVKCHADAGLFLDAVDVSGGRSLRSYFQGVVATHGVARNLPPSCTAHLDATSCFFPQNIISNIKTPIFLLNAAYDTWQLRESLAPVGADHNGAWRACKLNRTACNDPQMKFLRSFRDQMIATLKDFSGSKSNGLFINSCFIHGQSEMWATWNAPGSPAIGNKGIGKSVGDWYFGRAQVKAIDCPYPCDNTCHHDI* >Brasy1G176800.1.p pacid=40058208 transcript=Brasy1G176800.1 locus=Brasy1G176800 ID=Brasy1G176800.1.v1.1 annot-version=v1.1 MGQSSSVPAERFGNGGFSWTLAAPLFGFLTFNSVMAVYHSKGDEEIVAFVATSYLDLVLLFCCLWFYKRAAPGSPRRNRLKASVWTLTTLLTFSYAYMVMGTAGFTLPVALLVWVIAAATGIGASSAFFEQGSVNQPPHGTMLLPPV* >Brasy1G484200.1.p pacid=40058209 transcript=Brasy1G484200.1 locus=Brasy1G484200 ID=Brasy1G484200.1.v1.1 annot-version=v1.1 MLFDGVCNLCNGGVRFVREHDPGRSIRYIPLQSESGRKLLRRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKIAPLFLRDCAYDNIANNRYVVFGRSETESCEIL* >Brasy1G348300.1.p pacid=40058210 transcript=Brasy1G348300.1 locus=Brasy1G348300 ID=Brasy1G348300.1.v1.1 annot-version=v1.1 MDPTLFIIGIIGNIISVLVFISPVTTFWRIVRGGSTEEFEPAPYVMTLLNALLWLYYGLTKPDGLLIATVNGFGALMEAIYVVLFLVYANDRGTRVKTAKLVVALDIAFFGVVFATTTFAIAELDMKVMVVGLICACLSVFMYGSPLAAMRTVITTRSVEYMPFFLSFFLFLNGGVWAFYALLDRDIFLGVPNGIGCVLGGIQLVIYAVYKNCKVDSQRSDEAADNGWQAASASLLSSSAANRHGLEDAASNRV* >Brasy1G340400.1.p pacid=40058211 transcript=Brasy1G340400.1 locus=Brasy1G340400 ID=Brasy1G340400.1.v1.1 annot-version=v1.1 MDEERTEAWWARRAWALLSAVRSRAPLVQCITNLVSMDIAANTLLAAGASPAMLHCLREVPDFTPRCDAVYINVGTLSEEWLPSMTAAASAGRPWVLDPVAVAASGFRMNACLALLKLRPAVVRGNASEILALAAGSGNSSSFKGVDSSHDSEDAQQAAKALACSSGAVVAVSGAVDFITDGKQVIGASNGVPMLQKITATGCAVTALIAAFVGADPSNALVASACALAIFGLAGEIGMESAKGPASLRVNLIDALYCLDEQTVTSRVKISLRP* >Brasy1G235300.1.p pacid=40058212 transcript=Brasy1G235300.1 locus=Brasy1G235300 ID=Brasy1G235300.1.v1.1 annot-version=v1.1 MFVTQLNANVRPRTSFSALPLPAQMRAAHNKVQIRRDYRKAPQCKLHARAPHSSSRRSTATMSSGAEGDDEVVLHDFSPLLLVYKSGRLERPLAMPPVPPGHDAPTGGRLLSPSSFARLYLPPCAGAIAGGKKLPILVYFHGGGFVIGSAASGAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYDDSLAALRWVLSAADPWLADHGDPARLFLAGDSAGGNICHHLAMHRDFTGGKLMIKGVVLIHPWFWGKEAIAGEETRPRDEKGLWEFVCPGAVDGADDPRMNPTAPGAPGLETLACEKVMVCVAEGDFLRWRGRAYAEAAARARGPDSDRAVELFESEGVGHVFYLYEPAAEKAAELLGKIAAFVRAE* >Brasy1G156500.1.p pacid=40058213 transcript=Brasy1G156500.1 locus=Brasy1G156500 ID=Brasy1G156500.1.v1.1 annot-version=v1.1 MCNSNVKSAVAQIDGRPVLQPAGNRVTAPEAARPPLKKSLQKSLSMPAAYDNNNAPAAPKNSNDLARAAAPHLLPPTPAKAAGASKAAAADKNRKGAKKSAVLPVVTFAALEAFELAGAGPGPGPAGSIAAAQREHVTQAQAQRKMRIAHYGRTASFSRVEGRVGATATATPAGPVVVAAPDEKRCSFITPYSDPVYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWASILRRRHIYREAFSGFNVDMVAKYTEKQMASVSAGFGLDLGTIRGAVNNACRILEVRRDFGSLDKYVWGFVNNKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVLHSFMQAVGLTNDHLVSCPRHRVCSSSSSSSSA* >Brasy1G121900.1.p pacid=40058214 transcript=Brasy1G121900.1 locus=Brasy1G121900 ID=Brasy1G121900.1.v1.1 annot-version=v1.1 METVAAPGYARGAATRSPACCAAMSFSQSYRPKAARPPTTFYGESLRANTARTLPRRQSKAASRAALTTRCAIGDSLEEFLTKATPDKNLIRLLICMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGITGGDQVAAAMGIYGPRTTFVVALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPDYDKLVNYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGHSSDGKQSVLDKVITVLDERTQVAYGSKNEIIRFEETLYGSSRLAAGATVGATV* >Brasy1G520400.1.p pacid=40058215 transcript=Brasy1G520400.1 locus=Brasy1G520400 ID=Brasy1G520400.1.v1.1 annot-version=v1.1 MALSPSCWFFWSLLLPLVLVVTAAEELGKGCSSSAKICGNLNISDPFWLADGETGRPCGSSDFEVICFNTTTPLLGSSRSSSSFRIINISYEERSLRTVDRNQLEVLRRSRCHVPRRDTSIKLAPSFSIDPVVNMDLIFYNCTEGAAADQDGALVEMRCGNKSNAFVRAGGRYDGTGDGEHAIDGCAATVVPVLGEPAGEVNASNYVALVRGGFVVTWQLPLTPADAF* >Brasy1G368500.1.p pacid=40058216 transcript=Brasy1G368500.1 locus=Brasy1G368500 ID=Brasy1G368500.1.v1.1 annot-version=v1.1 MSDGNEFAELLWENGQAVVHGRRKHPQPSFQPFGTGSSGIQEKHPGGAGDNMMAFTKAAGVFGGMGMAPSLHDFAPGLQHENGDDDAVPWIHYPITEDDNNAAPALTTADYSSDFFSELQEAAANLGSLPPSNHSTTNNRGTPVAGSSRAASKEIQGLPALTTRPAEPQAELAAAAKQARPSDSVMNFSLFSRPAALARATLQSAHRPQGTDKVPNAGANNRMESTVIQAASGPRTDPVFADQRAAWPQQKEVRFAPAPALTASVGNLQQEMARDKLSNNRVVQHEDAARKAPDATVTTSSVCSGNGVVNDEPWHQQKRKIQAECSASQDEDLDDESGALLRSTNRSMKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPPAMQHLQLSQMAHFPHLSMGLGYGMGVFDMNNTGTIPVSPMPGAHFPYQMIPGAAAQGLGIPGANAMQMFGIPGQAIPSSGSSVPPFTSLAGLLVRQNLAPEVSGAMPSMVQEQQQGTANQQQQSLNKEAKQ* >Brasy1G349800.1.p pacid=40058217 transcript=Brasy1G349800.1 locus=Brasy1G349800 ID=Brasy1G349800.1.v1.1 annot-version=v1.1 MAASQRNSYEAGFWRCLTPKEVGQYEVFCCEGWQHICARKAFMWFFVLM* >Brasy1G542600.1.p pacid=40058218 transcript=Brasy1G542600.1 locus=Brasy1G542600 ID=Brasy1G542600.1.v1.1 annot-version=v1.1 MAPPPTSAAATVNPVPGEGWGVFEGDQQAAGAMVAVAEEEYFFSLAKKPWLHCAVCSRALKPYVYVHKYCGACKRASSLVHSSGTDARVDDAKTSCPFLMFGCREKSVPYHQGTFAGDPAMLLRHLTEEHRWPMEKIKYEKTEREMSHQVVVVPSPSEQHRRLLVAEDDGAVFLLAVGAAVDDGDFCPVGLVCVRRNADDVKPSYVCTLSVYGPGTITGGFHDSIQVKAVVSNCSVPNEVGMKRCRVRTHVFPLRHGHGENKEIHLGISIVKHG* >Brasy1G548200.1.p pacid=40058219 transcript=Brasy1G548200.1 locus=Brasy1G548200 ID=Brasy1G548200.1.v1.1 annot-version=v1.1 MNYEMEAQQEVDWSAGLPGDLLNYIGTLLAVPGRICFRAVCRTWRAAMEEHESPAMPTPWAVIPRAEGCSDSFTVLSAPTLNSFQWTPPGGAPARCVGSNAGWLALVTVVPEPHHIGISLVNPITDARVDLPPSPCWIPDCSPAAVERELDMIVQKVAFAPRPSASAHAAAFVSLGGRTVVYARAGINDDDGVWRLLSEVPSAERGVRRELDVAYHGGKFYYMDTRGQVWVADMAAQRPAPVPLAWFACPDLPRQLAHRRRGYHLAFSGEGALHVVWSVSEGDGVARGPGRPEMFVMRYEEASASWAAAASVGGDRAFLIGDRNQTMSVPVDGESSAWLRPDSVYFTNIPLCDLKAIGIVGRRGLWALDMRTGHVAWPRAESDIGERRLESELDVFWDKSLWLMPCL* >Brasy1G397300.1.p pacid=40058220 transcript=Brasy1G397300.1 locus=Brasy1G397300 ID=Brasy1G397300.1.v1.1 annot-version=v1.1 MPAPRPLTPRRPPLPPPARRPPPQSAPASSATTSSAPPCSGEEICRRPGLSRPAVRPCLPRPDGLLLSPPLPPPPLPHLLCRGEGRKNRRRPVLLRRRPLPPPSGGARIFKMAAAAKRFGPFLSNLYSKSGDLDLSVQPMNSSNLPISKKKKQSTLRVLTKALQRSGNYSFHDWRCSTWAEWPPSSSWAKQRSQEQRGAFKPFILFLPEFRPLPPFLEHPPSYLLAPGHHHFSSLPLTCCNVNPLARMRNAWSFCKQMHPVARNEPEAKRAEEQKLARIIVSFMCCLSVCRMNNFGPVLNLRLAVTERDLIFNRTFNGSMIIFPLFPGSFSEPVFVSSSSWKKPS* >Brasy1G428000.1.p pacid=40058221 transcript=Brasy1G428000.1 locus=Brasy1G428000 ID=Brasy1G428000.1.v1.1 annot-version=v1.1 MGKRKAKAKAAPKKKAPKLETTFSCPFCSHADSVSCSIDLKLRIAEAVCDVCKESYSTRAHALTEPVDVYGEWIDECEKANTDAVRGDCRVSEDDDGA* >Brasy1G195400.1.p pacid=40058222 transcript=Brasy1G195400.1 locus=Brasy1G195400 ID=Brasy1G195400.1.v1.1 annot-version=v1.1 MKCQKPELFSDVDAMILLRKSKECLLLQQRGRTDEQLVGWSEAEVEEENLLRGNSSNYQLFGAEPEMEEGLAFGASQNVGNTMILGDNTYYQCRQAKEGIDARLVSLPCQNIGESEEMPTFGYDTIQQHIMASEDQEGGDNVNVGPSHHVITDHSSFHTCREEIVARALAIDNALLNKDKDLKDTQAEIQQLMEENEEKDNQIKSIVVDIMKDLQASDKISRILKHDKMTMIRTLQQLEQKLQETTDAFQEYRKTVSGESGVSSSDAIGMAGHVDQTRRQLFDTISKSQRHWFAKSSAIAGKITVLAKGVADLNNEVQRLKDFRSIPDLNNRGSFSDESNGGSHL* >Brasy1G382800.1.p pacid=40058223 transcript=Brasy1G382800.1 locus=Brasy1G382800 ID=Brasy1G382800.1.v1.1 annot-version=v1.1 MASPSLSAAAVANQVSPLALDPSPAASRPAAAAATALRKRPVLLDQRPHPSTPTSRAATAAVAAAPPLSQARRKRGLSSSGRPRWQTALSVAAKNAALLAVLLYLGDQAWRWAHPAPPALLDDAALAGYNARVDDVEASLVRALRALQVQVEAVNRKIDGEVGAARGQLAALLEEKRLALEAQLSRLESRTDELNESLGGLKQMEFLRKDEFETFLNEIKESLGPDSDSEVDLDQLRSVAREIAMREIEKHAADGIGRVDYAVGSAGGRVMRHSEAYDTGKRGGLLSALPFGGGDKGDQSQKVLQPSFGEPGQCFPLKGSSGFVEIQLRKGIIPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQGPPTETPPSHAAKMSTLIEFTYDLVKNNVQTFDITVADVGVVNMVRLDFASNHGSSALTCIYRIRVHGHEPVTPGISS* >Brasy1G242300.1.p pacid=40058224 transcript=Brasy1G242300.1 locus=Brasy1G242300 ID=Brasy1G242300.1.v1.1 annot-version=v1.1 MAAAFSSTLGGPVSTPSSFLGKKLKKQSNNCFSNNGGSSKTIKSSRPSLVRVMAAANKDVDEGKQTDGDRWRGLAYDISDDQQDITRGKGIVDALFQAPMGDGTHEAVLSSYEYISQGLRQYDFDNTMDGLYIAPAFMDKLVVHLAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPDEAVVRLVDMFPGQSIDFFGALRARVYDDEVRKWVGEIGVENISKRLVNSKEGPPKFEQPKMTISKLMEYGHMLVQEQENVKRVQLADKYLSEAALGEANDDAMKTGSFYAKAT* >Brasy1G002800.1.p pacid=40058225 transcript=Brasy1G002800.1 locus=Brasy1G002800 ID=Brasy1G002800.1.v1.1 annot-version=v1.1 MVMVADADDPRRRVRNTCILAHVDHGKTTLADHLVAHGGEGLVHPRMAGSVLFMDHLEEERRRAITIKSASVALRHHHGGSHRVHLIDSPGHIDFCSEASAAARLADSALILVDAVHGVHVQTHAALRQAFLERLRPCLVLNKVDRLITDLRLDPGEAYHRLRRIVDEVNSIYSTLRSGSYFSSLQLDQADDEEEEDAFVPAKGNVVFACARHGWGFRIHQFAELISRGHPDMASKLLEGLWGPHYWDKTNRKVLGEKEAMAMCSSKKLKPMFVEFVLKPLWTVYDQGLKEEDAASWLRDHVVKAFNLNVSTRELLQSKEDPKVALQAVLRAWLPLAATVMTMLVECTPDPVAAQAFRVVKLMPPRELAPGVAAEYAGIVAEADKVRRCVAACSISARAPVVVFVSKMFALPYNMLPLRGPDGELLNHSQDTSESDECFLAFARVFSGVLHAGQKVFVLSPMYDPVKGDTTGKHLKEVELQYLYEMLGQGLRPVGSVGAGNVLAIQGLGTHIMKTATLSSMRNCWPFASMVFQVSPMLKVAIEPSNPTDLGAFVKGLDLLNRADPFVEYSDSEKATQYVLEAAGKVHLEHCIKNLQERFAKVKLNVSEPLVSFKETIHGEGLGLIDSLKVPQEFVERTAPDGKFAVRVKVIRLPDALTKVLEESKELLSQTIKGQTTRSNKAMSSQSSQDDGLSAAKLGQHMLSAIDNELEVISAQVDKEKLESYRKTLLGYFRRIWAIGWCDKQVSPNFLLLSDVKSSSGTITSQDGREGVLVCGTCNISEKLGFVSVFDAETNNGIDNSESSTYAPGPEILRNIIVSGFQKAINAGPLCDEPMWGLAFIVESYVFAGSPDNYNFFGGQEAVREACRAAVLQSKPRLVEPMYSCELTTPTEQLGAVYAVLGHCRAKVLKEEMQEGTSLFTVRAYVPVVESSAFSEKLRDITSGAADALLSFSHWESIPRDPLFVPKTREEIEEFGDGSNKGPNLAKKLIDSVRRRKGLRVEDKVVEHGTKQRTRAKKV* >Brasy1G018200.1.p pacid=40058226 transcript=Brasy1G018200.1 locus=Brasy1G018200 ID=Brasy1G018200.1.v1.1 annot-version=v1.1 MSSAQDPFYIVREEIQDSIGKLQTTFQQWEQIASNTGEYVHLTKELLTSCESIEWQVDELEKTISVASRDPAYYGLDEVELSRRRNWTGSARNQVGAVRRAVEKGKSNSAMARHQDLMGTSRNHYSSQDNDEYIASESDRQLLLMRQQDDELDELSASVQRIGGVGLTIHEELSGQERILSDLSLEMETTSNRLDFVQKKVAVVMKKAGIKGQIMLILFLVVLLIILFVLVFLT* >Brasy1G418300.1.p pacid=40058227 transcript=Brasy1G418300.1 locus=Brasy1G418300 ID=Brasy1G418300.1.v1.1 annot-version=v1.1 MRATSSSKQAYMQLYTKRKIMSIPRYNYYYGDNSAIGRICNETLSPYVQNLTSSYADQRNEGTMVVTSVTMFLLAAVFFNLNLFSSASDVSAILNPTVRLFLASSLSLFLPVMSYLFSEAKRDGAGASSSISPAGTTGDSELSLRARTILMWMLLVELLRKKVEATLSSVDNQWYSSTIDRAARIAWLGYLVFFNVRSTGKKAFYGTLWVLAAAKLAQRVTINLLLKRSFAYGRNAKLLHSYMTHEKQQEVLILQPDGVEGSELLKECKYAVRGEDAVERRAGPKGYDLVDTTAVVTVGQIWRVGDAGKDGILQKDPKLRRLCVSFALYKLLRRRFEDLSITTAETRHCRDLIFRGICKEVELEPQGAPEIALFQLLYEEIQFLCEYYHSVLPVVLSNPVFFLANYIMFPIVVCALCILTFIICANGDMGSPAVLFSAIDLAITMLLLLCFLYEQVWELLVFALSNWQMVSLVCEYTAKPRWKESCFLRGLIRCILWVRSKMSRPNLCFKQFSVLGQFRHMFMWQPTEAVPKEVKRSIMEHTMALFEAQNGGAPHSNDGSWSVLQLDKYVQFNNGESVAIAEVILILHIATCLLEERYPLPPPSPPQNKSTLMACIQKKKKTPMGAHRKVATTLSKYCAYLVAFHPELLPDDTDGTERVYKDMVTELKKEMGGSLQYLMSRPRTRYEKLMNIDARPKGPRGSPEENKKSTVQKGAKLGKALINQAVGDDEGENVWKPLANLWTELTVYAAPSSNEVHVKAHKEVLAHGGEFVTMLWALATHTGITRPALAPWEASCHNP* >Brasy1G434900.1.p pacid=40058228 transcript=Brasy1G434900.1 locus=Brasy1G434900 ID=Brasy1G434900.1.v1.1 annot-version=v1.1 MVMKMEVEEDGANGGTGGTWTEEDRALSTTVLGRDAFAYLTKGGGAISEGLVAASLPADLQNKLQELIESEHPHGGWNYAIFWQLSRTKSGDLVLGWGDGSCREPHDGEVGGAASVGNDDAKQRMRKRVLQRLHTAFGGADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGMPLWIPNTDRNVFPVNYCYRGYLASTAGFRTIVLVPFETGVLELGSMQQVAESPDALQAIKAVFAGSGNIVQRREGNGHIEKSPGLAKIFGKDLNLGRPSAAPVIGVPKGDERSWEQRTAGAGGGSSLLPNVQKGLQNFTWSQARGLNSHQQKFGNGILIVSNEVPHNNGAADSSTTAQFQLQKATQLQKLPQLQKQPPQLVKPLQMVNQQQLQAQAPRQIDFSAGTSSKSGVLVARTAVVDGESSEVNGLCKEEGAPPIIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQKKLKDMETERERFLESGMADPRDRAPRPEVDIQVVRDEVLVRVMSPMENHPVKKVFEAFEEADVRVGESKVTGNNGTVVHSFIIKCPGSEQQTREKVIAAMSRAMNMV* >Brasy1G087800.1.p pacid=40058229 transcript=Brasy1G087800.1 locus=Brasy1G087800 ID=Brasy1G087800.1.v1.1 annot-version=v1.1 MSVFFKKKLGRFFFLLTFSPNSVALLHHAQSPRVAAPPPRVAAPQAPPPSLAATAAGTALVTAPDRNHNPRHRLVLPRPRPPPPTAAAALATVSFAPDPDRRPRPQLQRSPPSRSPPTPTASPDRSRSASPESLRRRPESLLRRRRFFAMRRRRRPASMLRRHHPESLLRLGPASS* >Brasy1G564700.1.p pacid=40058230 transcript=Brasy1G564700.1 locus=Brasy1G564700 ID=Brasy1G564700.1.v1.1 annot-version=v1.1 MAPRARSRGRRLRRPRRPPRQRGRAARSRADAAGGNPAAPDGARPVPSGAGHPRSHLPPNRARQTAPNRAHQAAACHRPRDPAAGRGSPAGGRPAASDASPRRDAAAGGSAGGAAPGRGRAAPAAGVGPGRGAGRGAAGEGAVEEQEQAQEEERDEEEGPRPRARAAQPAGARRARAHRRRGRLRPRALRQRPEREQPAAGAMGSRRPADRHGRASAITSMVKVKPVRRDPIPFYEMYRVDSAIISS* >Brasy1G415500.1.p pacid=40058231 transcript=Brasy1G415500.1 locus=Brasy1G415500 ID=Brasy1G415500.1.v1.1 annot-version=v1.1 MGDMAVANRSNPFCFPAVAVGSTQTQRGNQWEQPAFSALSFYKNQHTRAIKARAREPQHPSVSSWPLPPPTQPFSSLELVPALPESKMARGARPSPSLLLFSLGLLLLCFTSGTTVRLAEAQKTWCVAKPSADEKALIANINYACGNVSCSVIQPGGPCFNPDNPVSHAAVAMNLYYATYGRHPWNCDFQKSALIVQSDPSYGSCTYY* >Brasy1G559400.1.p pacid=40058232 transcript=Brasy1G559400.1 locus=Brasy1G559400 ID=Brasy1G559400.1.v1.1 annot-version=v1.1 MEQQGREMGGMMSLCGRRARAETRHPVYRGVRLRAGKWVSEIRELRKPNRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDAARSRPAPRSASADDVRAAAAEAAASFAAVATSAWTTTTHRSENDQYYHQCHGDHQQLRGGGGDVMGVVDEDDVFEMPRLMAGMAEGLMISPPDGAAVAPYYDALQVEDEGDAAAVSLWDHS* >Brasy1G351100.1.p pacid=40058233 transcript=Brasy1G351100.1 locus=Brasy1G351100 ID=Brasy1G351100.1.v1.1 annot-version=v1.1 MGSSLHVSGSGFGTPQYSKLQRVYHILVFCVHPFWLQLIYSVSTSLFGFLILKALPMKSSMVSRPTDLDLIFTSVSATTVSSMAALEMESFSNSQLLLLTLLMLLGGESKKEEDEATDHGGKPQPSTTQLGSIVTPMGGDTHSTAQMDQIELGLKNPQQRYGRASLARLLLFIVLGYHVVAHLTGYTLMLVYLSAVSGARAVLAGKGISMQTFSIFTVVSTFANCGFVPTNEGMVSFRSFPGLLLLLVPHVLLGNTLFPVFLRLAISALHRVTKMPELSELQSNKQSVGGGHVGYYEHLLPRRRTWFLALTAAVFVLVQLVPFCAMEWGSDGLRGALTAVQKLVAALFMSVNARHSGETVVDFSALSSAVVVLYVVMIYIRTPTYILRTLHPHMLEICHKELNLCFMPMPAEIQRQTTGAQHASSQKKSSSTWQKLLMSPLACLAVFVVVICITERRRITDDPLNFSVLNIVVEVISAYGNVGFSTGYSCGRQVRPDGSCRDAWVGFSAKWSSQGKLALIAVMFYGRLKKFSMHGGQAWKIA* >Brasy1G003000.1.p pacid=40058234 transcript=Brasy1G003000.1 locus=Brasy1G003000 ID=Brasy1G003000.1.v1.1 annot-version=v1.1 MPPPTGRRGGYGRIDPGRRDEGICGPLVADDAPHTGGRRIRRGQAPPSDGRGVPRSSRAPLRPKDGPPGSSTRPKAGPPGSSARPKAGPPGGRPFPELGPCQDDTAQPAQTPVSYLSKLMSNIKLMEVKQPSGCRLSSSTGSTILVHQPPDWYFRIYIRVDLSGRFHTYPHTGGPFQSLQETQSAIDRYLHDLEDPMMCKEALDKLSKMDWLVRRSLYWPDGKRKKLTKSQVSETTRKGTSHLLQALVDKYNDEHNLLGDLAYELKDILQCQTICENHIWYEHFNFTTKTKGADGIDSAIENLFFAELTYLKGDELAVSCLCMIDTNANGHCYGCKNNGSVHMKHPNTANAYTAGSLDGYLPFGIEFEWDDPSISVEKDEERLRRIYKGLDDPHVLASIATPSPYATATFIQD* >Brasy1G003000.2.p pacid=40058235 transcript=Brasy1G003000.2 locus=Brasy1G003000 ID=Brasy1G003000.2.v1.1 annot-version=v1.1 MPPPTGRRGGYGRIDPGRRDEGICGPLVADDAPHTGGRRIRRGQAPPSDGRGVPRSSRAPLRPKDGPPGSSTRPKAGPPGSSARPKAGPPGGRPFPELGPCQDDTAQPAQTPVSYLSKLMSNIKLMEVKQPSGCRLSSSTGSTILVHQPPDWYFRIYIRVDLSGRFHTYPHTGGPFQSLQETQSAIDRYLHDLEDPMMCKEALDKLSKMDWLVRRSLYWPDGKRKKLTKSQVSETTRKGTSHLLQALVDKYNDEHNLLGDLAYELKDILQCQTICENHIWYEHFNFTTKTKGADGIDSAIENLFFAELTYLKGDELAVSCLCMIDTNANGGKR* >Brasy1G131400.1.p pacid=40058236 transcript=Brasy1G131400.1 locus=Brasy1G131400 ID=Brasy1G131400.1.v1.1 annot-version=v1.1 MAQYRDDVKYEEDFIVNSRGNRLFTCRWTPKKLQTKALIFICHGYGSECSISMGDTAARLVHAGYAVYGMDQEGHGKSSGSKGYISSFSDIVKDCSDYFKSICEKPENKMKKRFLYGMSMGGTVVLQLHRKDPTYWDGAVLLAPMCKLADGMRPHPVVVGALKMICAVVPGWRVIPSPDMLDQVCKDPQFKKEIRSNPYMYKGRMAMQTGRELLAVSLDIEKNLHEVTLPFLVLHGTDDVVANPSGSRLLHERASSRDKTFKLYPGMYHVLMAEPPADVDRVFADVMSWLDERAGGAASATCK* >Brasy1G442100.1.p pacid=40058237 transcript=Brasy1G442100.1 locus=Brasy1G442100 ID=Brasy1G442100.1.v1.1 annot-version=v1.1 MVASLDLSLDDSGMHQRASESNAVNKDVPAAIGDTQGMVPLVDRHIGIQLRQELWRRWHQNVTVPASARPDFFMVASFGRCKFRLTEISVANLLNACLGGVPEDFRVLHLRDRTYRFSVTSRFIRFHIAKLLSFTCSAFMVYFHLYWNKEEAESWQNPKSYAGVLTGANKVPIGLRSAFSRLGNPSAPLSPWSKTKEADLAECGYSEADIEYSKQDYHRMYHAQQGVQDTVPCATVFDRLQFPEPAHRGDSSVFRCIQLPSSPEKTTVTNSVEHNGHCNDDGNGQDIHAGASMHIQPEGNSKADFGPTARDACGLVICTGPSGMQSVVVFVSSQDTLTGTADNVLNQMLISLAPLRRDLRLDLNSRLPLYRSGLRELWPRGSDRRFKPFLPSMANFPVNPAPFLPGLYDVVEVAGRPQHRRYHLASRVVAKNEDMAIVTITPPLPPDEPFLNVSSIITALLEDHLRIHVDTIERYPLGDAYVRVMLASVRDWLVLNSPHQHNDRAISFSEHNKGINWRSFTYNQEVWLMFLAFPFDIGTSEHVANDVADWGRMVHWEKTASTLARVITKVRVADLHILFSIVITDGDDVHVESWTVPVFILSQKLLGGQPQDEDAPPPDGAPPHPLPALQWHMVDQHNAQIPALEHAANVDANVNPRLQDLLADLDELIDDSEITVTISSNAPDVGSESSTSVNM* >Brasy1G031900.1.p pacid=40058238 transcript=Brasy1G031900.1 locus=Brasy1G031900 ID=Brasy1G031900.1.v1.1 annot-version=v1.1 MEDTLKSLSMDYLNLLINGQAFSDVSFSVEGRLVHAHRCILAARSLFFRKFFCGQQQQADQPPGALLMDHLSPRSPSGAGAGASSPRGGSTAAAAAAAGPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPLDMVAKIDDLRLKSSMSRRSSPFLAHHHGHHDTQQQQQQPSSDQQQQHLLDDAHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTLADGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVMSREDNNSNNPAAVSMAYGAEHQHQHPGGAAVYGGGSGVTSTGMNLSLDNRMVYLNLGMDVMSHGGGGGAGDGDDGGSRGQGGPSSLFSPHGFP* >Brasy1G100400.1.p pacid=40058239 transcript=Brasy1G100400.1 locus=Brasy1G100400 ID=Brasy1G100400.1.v1.1 annot-version=v1.1 MDVATARRRLRAFNRWMSKHGVVCSDALCLDASEAGGVYVRALSALREGDLVATIPRRACLTPRTSGAAAAIEAAELGGTLALAVAVMYERARGAESPWNAYLRLIPDCEPVPLVWPDEEAGRLLAGTELDKIVKQDREFLCEDWKECIEPLISSGELGVNPDDFSLEKYFAAKSLLSSRSFHIDSYHGSGMVPLADLFNHKTDGEHVHFTKVSDASDSDEGEDDDDQSNAGSDEEENDEGADDQSNGDSDEEENDDDQSNADSDEDLDRDHSNASADEQSTVENSATNPSGYNDEDLEMIIVRDANAGEEVYNTYGTMGNAALLHRYGFTELNNPYDIVNIDLTLVTKWCSSKYSRRYARARVSLWRNLGYSGCTSQDSEYFEISYDGEPQLELLILLYIISLKSDAYEKLASVSHDLIGDDDEQDDEQHIISSFVKVVRVTNPTKISELKEVEKLSDVKKLLHSDSICSALAFLADIRERLYGSNTLKSEKEKLQTCSPVSQRNLYHSLVLRVSERKILRRLRKLASSWSKTKKRKHI* >Brasy1G491800.1.p pacid=40058240 transcript=Brasy1G491800.1 locus=Brasy1G491800 ID=Brasy1G491800.1.v1.1 annot-version=v1.1 MGNSIYRFLCGVCSDLCSAASDSAYQPHGAHAAVAALGSDILHFQRTKQVPEGLSRHVVSSQNAQANWYKKLQVAWKKARPAPTTPEDAARLVVLTLKNHQKADVEGLLAFYGLPHPNAAAPPSSAAPAPPHHAGPTHKPPGVKFELHTLPVDAKAVADGDTITVYVDTSGEPGSVPGDIKKAAAERTKLRAARNYPKADALQKTMADAGYRQVPNAKGQEVLAKKYRIRLSGIDAPESAMPYGKEAKEALLKMVEGKPLTVHVYDTDRYGRSVGDIHCNGVFVQEQMLKKGFAWHYTAYDKRPELAKWQKQAQDGRKGLWASSRPQEPWEYRKAKRNGTA* >Brasy1G243900.1.p pacid=40058241 transcript=Brasy1G243900.1 locus=Brasy1G243900 ID=Brasy1G243900.1.v1.1 annot-version=v1.1 MIDCLGLWDFYGKDGCDKRMSDKTSSHHAISQGRLLTSIIAYYTVNSCHHDKMIDFLGFLWERWLC* >Brasy1G104600.1.p pacid=40058242 transcript=Brasy1G104600.1 locus=Brasy1G104600 ID=Brasy1G104600.1.v1.1 annot-version=v1.1 MAAAAATTAPTTTATSRLLLPRAAPVPSPIHYQLRCSAGPSLLARRHRAPAPARASPDRVTPFNYDDDGDDHPREECGVFGVVGDPDASSLCYLGLQKLQHRGEEGAGISASGDDGDIKTVTGLGLVGDVFGDPARLAGLPGRSAIGHVRYSTSGAAASLRNVQPFHAGYRFGQLAVAHNGNLVNYQSLRNKLEAQGSIFNTSSDTEVILHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAVVFASETCALDLIDAVYEREVEPGEVVVVDRRDMSMSSACLVPHRPRKSCVFEHIYFALPNSIVFGHAVHERRTAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVIAGKSVVVVDDSIVRGTTSSKIVRLLRDAGAREVHMRISSPPVIGSCLYGIDTPSEGELISNRMDTEGVRRAIGCDSLGFLSLDKLHSIYGDEADELCDACFSRNYPVLPTVPEPVPELVSAFDD* >Brasy1G211700.1.p pacid=40058243 transcript=Brasy1G211700.1 locus=Brasy1G211700 ID=Brasy1G211700.1.v1.1 annot-version=v1.1 MKQTVVLFAGAGVGHVTPMTELAYVFLKHGYDVTMVLLEPPFKSTDSGASFIERIAASNPSISFHVLPPLPAPDFAASGKHPFLLMLQLARDYNAPLEAFLRSIPRERLHSLVLDMFCVHAMDVGAAVGVPVYTFFASGASCLSVLTQFPALVAGRQSGLKDLGDAPLDFLGVPPIPASHLIREMLEHPEDEMCKAMTNIWKRNTETMGVLVNTFEALESRAVQSLRDPLCVPGRILPPVYCVGPLVSKGAAKDDSKAERNECLAWLDAQPVRSVVFLCFGSKGTLSADQLKEMAVGLERSGQRFLWSVRTPAGTKDPKKYFEVRPEADLDALLPEGFLERTKDRGLVVKPWAPQVDVLQHPATGAFVTHCGWNSTLEAVAAGVPMLCWPLEAEQKMNKVFMTEDMGVAVELEGYRTGFIEAGELEAKVRLVIEAEEGRQLRARVAARKEEAEAALEEGGSSRAAFVQFLLDVENLAHGKQLGN* >Brasy1G216500.1.p pacid=40058244 transcript=Brasy1G216500.1 locus=Brasy1G216500 ID=Brasy1G216500.1.v1.1 annot-version=v1.1 MRAPFLPLLAVARQWQSQGSSLSLSGVQQSGCCWCHLCGEAPGLAAAARWWWCFGRRGFVSSAAAKGEMESTMKGIRGGGAPCVLDMDDAATVGGGVEDTYGEDRATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFTEKERETHYLRGLLPPTVISQELQERKIMHNIRQYQLPLQRYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITLDVGTNNEQLLNDEFYIGLRQRRAIGQEYADFLHEFMAAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGTLAEHTYLFLGAGEAGTGIAELIALEMSRQTKIPIEECRKKIWLVDSKGLIVSTRKESLQHFKQPWAHEHEHVGNLLDAVNAIKPTVLIGTSGKGQTFTQEVVEAISSFNEMPIILALSNPTSQAECTAEQAYTWSKGRAVFATGSPFDPVEYNGKMYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR* >Brasy1G216500.2.p pacid=40058245 transcript=Brasy1G216500.2 locus=Brasy1G216500 ID=Brasy1G216500.2.v1.1 annot-version=v1.1 MRAPFLPLLAVARQWQSQGSSLSLSGVQQSGCCWCHLCGEAPGLAAAARWWWCFGRRGFVSSAAAKGEMESTMKGIRGGGAPCVLDMDDAATVGGGVEDTYGEDRATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFTEKERETHYLRGLLPPTVISQELQERKIMHNIRQYQLPLQRYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITLDVGTNNEQLLNDEFYIGLRQRRAIGQEYADFLHEFMAAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGTLAEHTYLFLGAGEAGTGIAELIALEMSRQTKIPIEECRKKIWLVDSKGLIVSTRKESLQHFKQPWAHEHEHVGNLLDAVNAIKPTVLIGTSGKGQTFTQEVVEAISSFNEMPIILALSNPTSQAECTAEQAYTWSKGRAVFATGSPFDPVEYNGKMYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR* >Brasy1G069500.1.p pacid=40058246 transcript=Brasy1G069500.1 locus=Brasy1G069500 ID=Brasy1G069500.1.v1.1 annot-version=v1.1 MSPLQMYLQAWLLVLSVLLLPGTGIMAGGTTTKEKKKKVTAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDFGPPGAGGLPTGRFSNGRLATDFISEAFGLPPTIPAYLDTSLTIDDLAAGVSFASAATGLDNATAGVLSVITIAEQLRYFKEYKDRLGHSKLGEAGAEEIVSGALYVWSVGTNDFIENYYAVPGRRARDGGVGEYESYLLGLAEAAIREVHALGGRKMDFTGLTPMGCLPAERVGNRDEPGECNEEYNAVARSFNGHLRDTVVPRLNKELPGLRLVYADTYDLLDAVVRKPADYGFQNAVQGCCGTGLFEAGYFCSLSTSFLCTNANKSSPLPSTPSNCGFPLRANPDSVEVQLRCKSRNGAALATQSPNALCDGDTPGTSRD* >Brasy1G069500.3.p pacid=40058247 transcript=Brasy1G069500.3 locus=Brasy1G069500 ID=Brasy1G069500.3.v1.1 annot-version=v1.1 MSPLQMYLQAWLLVLSVLLLPGTGIMAGGTTTKEKKKKVTAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDFGPPGAGGLPTGRFSNGRLATDFISEAFGLPPTIPAYLDTSLTIDDLAAGVSFASAATGLDNATAGVLSVITIAEQLRYFKEYKDRLGHSKLGEAGAEEIVSGALYVWSVGTNDFIENYYAVPGRRARDGGVGEYESYLLGLAEAAIREVHALGGRKMDFTGLTPMGCLPAERVGNRDEPGECNEEYNAVARSFNGHLRDTVVPRLNKELPGLRLVYADTYDLLDAVVRKPADYGFQNAVQGCCGTGLFEAGYFCSLSTSFLCTNANKSSPLPSTPSNCGFPLRANPDSVEVQLRCKSRNGAALATQSPNALCDGDTPGTSRD* >Brasy1G069500.4.p pacid=40058248 transcript=Brasy1G069500.4 locus=Brasy1G069500 ID=Brasy1G069500.4.v1.1 annot-version=v1.1 MSPLQMYLQAWLLVLSVLLLPGTGIMAGGTTTKEKKKKVTAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDFGPPGAGGLPTGRFSNGRLATDFISEAFGLPPTIPAYLDTSLTIDDLAAGVSFASAATGLDNATAGVLSVITIAEQLRYFKEYKDRLGHSKLGEAGAEEIVSGALYVWSVGTNDFIENYYAVPGRRARDGGVGEYESYLLGLAEAAIREVHALGGRKMDFTGLTPMGCLPAERVGNRDEPGECNEEYNAVARSFNGHLRDTVVPRLNKELPGLRLVYADTYDLLDAVVRKPADYGFQNAVQGCCGTGLFEAGYFCSLSTSFLCTNANKYVFFDAIHPTERMYNIIADTVMNTTLHVFL* >Brasy1G069500.2.p pacid=40058249 transcript=Brasy1G069500.2 locus=Brasy1G069500 ID=Brasy1G069500.2.v1.1 annot-version=v1.1 MSPLQMYLQAWLLVLSVLLLPGTGIMAGGTTTKEKKKKVTAIIVFGDSSVDTGNNNFIPTVARSNFWPYGRDFGPPGAGGLPTGRFSNGRLATDFISEAFGLPPTIPAYLDTSLTIDDLAAGVSFASAATGLDNATAGVLSVITIAEQLRYFKEYKDRLGHSKLGEAGAEEIVSGALYVWSVGTNDFIENYYAVPGRRARDGGVGEYESYLLGLAEAAIREVHALGGRKMDFTGLTPMGCLPAERVGNRDEPGECNEEYNAVARSFNGHLRDTVVPRLNKELPGLRLVYADTYDLLDAVVRKPADYGFQNAVQGCCGTGLFEAGYFCSLSTSFLCTNANKSSPLPSTPSNCGFPLRANPDSVEEPERPV* >Brasy1G397400.1.p pacid=40058250 transcript=Brasy1G397400.1 locus=Brasy1G397400 ID=Brasy1G397400.1.v1.1 annot-version=v1.1 MDLEEDAAEAHAPEMASVAQARNSKKAKTDVFCLTCQMFGLSAMLGSVECQNDTRDCNVPYIGLLFMFVWYYNSISVIS* >Brasy1G066700.1.p pacid=40058251 transcript=Brasy1G066700.1 locus=Brasy1G066700 ID=Brasy1G066700.1.v1.1 annot-version=v1.1 MAPTVMASSATSVAPFQGLKSTAGLPLSRRSSNSLGRVSNGGRISCMQVWPIEGIKKFETLSYLPPLSVESLLKQIEYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLKEVEEVKKEYPDAYVRIIGFDNTRQVQCISFIAFKPPGCEESGKA* >Brasy1G210200.1.p pacid=40058252 transcript=Brasy1G210200.1 locus=Brasy1G210200 ID=Brasy1G210200.1.v1.1 annot-version=v1.1 MAAMVGARRALFAARYSSRGELAAALVSPTRRVDSPTSLLTERGCQRSLVPYRGAGNLASEQIDGDYRREWGAQNVENYREAHSNHSAGHLSHTLQRNLPSADFSEGTDRKKGVRVGGGVNAHYGRNTDKPYQSGGLYDLPDSHQPYTDTRVNNETPGYNTRQPYGGSSVYRHQIPKSDLPNAHQQHNGAQATNGLNGYDTYSSGNNTQSNQQSYSSGQYGYGPSGQLYENSTGNDQRVFQRQKVDRRSNGQYTSRPGNSDSQYRNPSNFHKEQIAGFQQGHSGNFGYNATQPNGPSSAYNHQSPKGHPPNTHQQHNGTGYDTHSFGYNSQSYQQSDTSRQYGYVSSEQSYQNSTGNGRQVFQQQNVDQRSGSDYANGPGNSASQYTNPSGFHKENVPGFQQGHEVHFGHNASQAYQSPYATNKVDSQRNHRGYVMNVNTDLQHNPRGIYQEKHLDTRPITLGGNNLNSTPYQDGMYFQHSLPGSQPNEGSPSEVSDEASGVPKGTIEELEKLCEDGKVKEALEVLPMLQEKGIVLHAPQYIKLMQACPDASALAEARKIHNEISQSGLADTVINNKILDMYAKCASMEDAKNLFSTMPQHDLTSWSTIISGFVDNGLGEEATDFFDQFKQTGVKPDPGMFTHIFLACGILGSVDEGMLHFESMHKDFGITPAMDHYASIVSMLGQSGYLDEAREFIERMPVEPSIDVWESLMNMCRLNGSLELGDRCAQIIECLDPSRLNEQSKMGLFPINASDLAKEKERKKANSVEARSKVHEYRAGDRSHPETFRIYEELRYLSAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAVRSPIRVIKNLRSCGDCHTAFKIISKLVGRQIIARDAKRFHHFENGVCSCNDYW* >Brasy1G532100.1.p pacid=40058253 transcript=Brasy1G532100.1 locus=Brasy1G532100 ID=Brasy1G532100.1.v1.1 annot-version=v1.1 MPPPLLLLLLLASILPLPPPASAACSPKTCGDLSFDYPFWLEDGAGRPACGSPSFQLNCKGGQAFLSHSVDGQYQVVRVFVENSSFIAVDHNLLVPPAGCPKRQFNVSNGIGLGPYTISKKNRELLVLYNCTKQQQRRRRPAPQGFLPTPCLNESFYRVGGEYGSHREQGGLPPACNLSVVPFLGFADQDAYLGSMGQGFLLEWKLTSGDCPKCMASGGQCRYGNNGKGFSCSCSGRLYPEECGGTPKKTTIIGIVCGAVGGLFMVCFAFFVWHKRKRRKQARESSELMRSGSSMQSYSKDLELGGSPHIFTFEELEVATDGFSASRELGDGGFGTVYKGKLQDGRVVAVKRLYKNNYKRVEQFLNEVDILSRLLHQNLVILYGCTSRVSRDLLLVYEFIPNGTVADHLHGSRSADRGLTWPVRMNIAIETAEALAYLHAVEIIHRDVKTTNILLDNSFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKAAVDMSRSHSEINLASMALNRIQNHEVTQLVDPELGYDTDSETKRMIDRVAEVAFQCLQLERELRPSIKEVVEMLNCIRDGDCPAKNMNKNASLKEDAHLLKDMQYSPDSVIHRFHSQSTNHSAASNASGL* >Brasy1G465300.1.p pacid=40058254 transcript=Brasy1G465300.1 locus=Brasy1G465300 ID=Brasy1G465300.1.v1.1 annot-version=v1.1 MAEPFVHAVFFLLLLATTFLPVASPHKLRLSATDVAAIEALVPPPAPPLPPPSEQPSTFFEVDRPHRPPPGSFGPCSTVLLSHSFAFTYTKPPVTAAYTPPPCLAAAGGRASAISLAVLEWRATCRGVQYDRIFGVWLGGVELLRGCTAEPRANGVVWSVSKEVTKYASLLAARNPSTLAVYLGNFVDEQYTGVYHANLTLHLYFRHPQQPPKPGLGPADAIVPVSQSLPLNDGLWFQIESGDDVGSASLAVPRNAYRAVLEVYLSYHGADELWYTNTPDGHGPFREVTVRVDGDLVGAVWPFPVIYTGGINPLLWRPISGIGSFSLPSYNIELTPFLGKLLDGEAHEFGLSVTNAQSAWLVDANLHLWLDPRGAPSTAGMTSYDAPPLDTTIAFRTDEAGSELYYTTAFRRVSATGWVQTASYGKVTATWTQRLSYENTNEIQGDDERQAVNQTTDAYSGVHVTDGRGVAYAQEAQQSFPLYMYVGVASEAPDGSYTVARHVRLGFAEERAAAGRTGLFWSRSLSNAQECAVDVDMDDQGDAVGVSWGTRQRYVYEASDGCYSRDVASSGYDVVSDHSDEVCVKGSSSSARAGDARPVPNEALARMSS* >Brasy1G081300.1.p pacid=40058255 transcript=Brasy1G081300.1 locus=Brasy1G081300 ID=Brasy1G081300.1.v1.1 annot-version=v1.1 MPEAQPTPPPRAMMLADLNVDPPESDGEDHPPTPKPNPAIAAAVAVAAAVPVVAGDSSTRSCIEGGLPKNIIAMKDPDAVECEDAEQHCQGASVSREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIIAYEKSSEILLQDEQEVRRPDLLSSVRIHHAQCILQASMGDSFDEELDASELEDILVKLKSSVELDPRQAAVWNILGLVLLRGSQLQSAISVFSTLRTVAPDYLDSLANLGVAYIQSGNLELASKCFQELVLKDQNHPAALVNYGALLLCKYGSLAAGAGDTVSAGSYLHQKEGLVVAKECLLAAVRSDPKAASVWVNLANAYHMASEHRNSKRCLEQAAKFEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDVPIAWAGLAMAHRAQHEIAAAYDVEQISLNEAEERALYTLKQAIQEDPDDAVQWHQLGLYNMCTTQFSRSVNFLKAAIARSPDCSYAWSNLGIALQLSDDPSSETVYKRALVLSSSQQSHAILSNLGILYRQHRMYELARKMLSRSLELCPGYAPANNNLGLVFVAEGRWEDAISCFENAVKSDDLLDAAKSNLAKVLALAKKQ* >Brasy1G081300.2.p pacid=40058256 transcript=Brasy1G081300.2 locus=Brasy1G081300 ID=Brasy1G081300.2.v1.1 annot-version=v1.1 MKDPDAVECEDAEQHCQGASVSREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIIAYEKSSEILLQDEQEVRRPDLLSSVRIHHAQCILQASMGDSFDEELDASELEDILVKLKSSVELDPRQAAVWNILGLVLLRGSQLQSAISVFSTLRTVAPDYLDSLANLGVAYIQSGNLELASKCFQELVLKDQNHPAALVNYGALLLCKYGSLAAGAGDTVSAGSYLHQKEGLVVAKECLLAAVRSDPKAASVWVNLANAYHMASEHRNSKRCLEQAAKFEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDVPIAWAGLAMAHRAQHEIAAAYDVEQISLNEAEERALYTLKQAIQEDPDDAVQWHQLGLYNMCTTQFSRSVNFLKAAIARSPDCSYAWSNLGIALQLSDDPSSETVYKRALVLSSSQQSHAILSNLGILYRQHRMYELARKMLSRSLELCPGYAPANNNLGLVFVAEGRWEDAISCFENAVKSDDLLDAAKSNLAKVLALAKKQ* >Brasy1G444000.1.p pacid=40058257 transcript=Brasy1G444000.1 locus=Brasy1G444000 ID=Brasy1G444000.1.v1.1 annot-version=v1.1 MDFGRRKSFSFFEEDRKARPAAAAHTPVHHHHHYARGAGGGGRSPAREAAEPARMSMSAMPAAELPAMMGAAAGGGMGGGCSPWVQSPLHGRLRFPPSPAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHGRDHHVRVWTVHAAAVCDHIRAKKAATLPAKAGLLSFAKKRHPHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCVDSFVAHDGPVNAMLVNDADGCVFTGSADGTVKMWRRVYGGTAHALIIALRSELSPVNALTLCHASSSSNGGATRRCFLYAGSSDGYVNIWEKEASAGRPVHVGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREACKGGGGGESSAPPSHTCLAVIEGHRGPVRCLAVGGGEAGDVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEDEDDDDDECEEDVDDIDIVAAGKGDVDHHAVRDDVEAEEEPVVSLGPTPVLSPVWVEKRRHTSRG* >Brasy1G421600.1.p pacid=40058258 transcript=Brasy1G421600.1 locus=Brasy1G421600 ID=Brasy1G421600.1.v1.1 annot-version=v1.1 MAAEQQDGGKKPTMPTATCRCRGFRWLYVARLAVASAVSIIAVAVIIRAVVVLLRPEKLQIRLAGGQVAVDYIPSLPLPGNEVTLRLLLRASNPSGRASVVYTNVTIRLTDVSVPRAIAGFDLGQAMVVSQRTTREAAVKVGLTPAEDVPMGYVRALFEGRSVGGVEMEVSGVLATRVAMAGGRGVTTTSGAVSYYCWPVTIAVVDDGEAALLQASSDYGVHDTAWLDKSEAPAIA* >Brasy1G010000.1.p pacid=40058259 transcript=Brasy1G010000.1 locus=Brasy1G010000 ID=Brasy1G010000.1.v1.1 annot-version=v1.1 MVNASDFKEIQVGSDFISPLPVRFLPPIQPRRGEADRRIIIHRLCLPSSCPALCPEQHRNTGRPREANKASKILGKPPARGHRASPNILHHFSQKRIHFPSWLPCARRRPPEMENGHGGSRHRVAVIGSGNWGSVASRLLASNTAKLPSFYDEVRMWVFEETLPTGEKLSECINRANENCKYLPGIKLGTNVIADPDLESAVKDADMLVFVTPHQFVEGICKKLVGKLRPGVQAISLIKGMEVKMEGPCMISKLITDTLGINCCVLMGANIANEIAVEKFSEATIGYRKDKEAASRWAKLFTTPYFLVSIVEDIEGVELCGTLKNIVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSSTEG* >Brasy1G186200.1.p pacid=40058260 transcript=Brasy1G186200.1 locus=Brasy1G186200 ID=Brasy1G186200.1.v1.1 annot-version=v1.1 MRKCTGVIFIIFLAALLFLLSPSPYTAPRPATAADGPIPHLLPSLPGLSSLYPPPANSTAHLSWRLLRPILSRSDAIPGTAAGVLEAADAWRNLTLALAAAAAARSNQGPLNATCWASVEGDLRTRGARIPCGFVEGSAVTVVGVPKQGAAGFRVEMVGGGGEVVACFNVSLGAAGMVVEHNSWTPEEGWGEWEQCPPLGDIGSNSSWQLSPVDGLVRCNQQLGASVIQGSNNTMQNVTGNDPEDEKRPKGHAHFSGSFPIVEGEPFTATVWAGAEGFHMTVNGRHETSFAYRERLEPWLVAEVKVSGDLDLLSVLASGLPASEDADMASVELLKVPPLPKKRIFLLVGVFSTGNNFKRRMALRRTWMQYEPVRSGEVAVRFFTGLHKNEQVNMELWREAQLYGDIQFMPFVDYYTLITLKTVAICTFGTKIMPAKYIMKTDDDAFVRIDEVIASLKKSAPHGLLYGLISFQSSPHRDKNSKWFISPKEWPVEAYPPWAHGPGYIISRDIAKFVVRGHQERTLQLFKLEDVAMGIWIQQYKDSGQEVNYRSDVRFYSEGCESYYVLAHYQSPRLMMCLWEKLQKESEPECCE* >Brasy1G510600.1.p pacid=40058261 transcript=Brasy1G510600.1 locus=Brasy1G510600 ID=Brasy1G510600.1.v1.1 annot-version=v1.1 MAGRTPGRRTAAARTGDASAWTPKRKTPARAGRKTPARTPGRTAVARRDGKEGGGRTPGLGRDGSDSGGSVGANRYYPENATARLRESPDPEPSPEPPSARPLLIAPLGLGSSPAAAAPHPPSIPPAATAPPSSSPPDPPLPTPSRSAAGSLCSCYPLHPTGLVEGPDLVEGPDRPHRIRRVLPIFPSLQISSPVAVRPGVLPHPSSHLQMTPTTRSQQLKPRSPLPTSFPQIPAAKLRPADFLC* >Brasy1G453100.1.p pacid=40058262 transcript=Brasy1G453100.1 locus=Brasy1G453100 ID=Brasy1G453100.1.v1.1 annot-version=v1.1 NAEDNEYPSGVAPSLEFLITSNDVTGSGASYTLLIFNNERGFFPSNIESICRVGKSTKKGNRDKGYIGEKEVGPCTILLLGTLVSCKISCVPFKKRYLAFISLLRIKDVLWVESRPSLSDIKAIYGCFKDLPATCIILPLKDEKVIAVKQQLSSLHPEMLLFLSKIRRLSVQKHNCNPKDSTVNEIAISSENNYEARKNMHAESYTLHLSAQENGKEEECGYYMWRQKFPVKPENRVDKRAEIDQWQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNQGILECVPSAFMNAFVALVKSRADAPAMSLPSMFCFLPVSPSLIPLLEPVRSGIKDKVLVEDIVPCESHTKQKIFCKPGVVARLNPTFWDILDKAREAGVNLQNLSTHGTYIISSHFDKSKHNSELAFLGVKSMSPEWYAKCIEGSNLAKEVHEQLYLEILLFVADNWQNLFSGTNMMSIPLLKYVDRNNVISFWSISRASQWSDRLCIASENNYMSWLISWNQEFLSSNRLFVPPSTQTALQGFSHKQKVTDWLQSHAKLEFLSVYSYGSIVVNSLNCDRRPVIAFAHFLYHSYENKGYIQSYNLAGLCSEMPIIDSYGNVQMGWTDPWRNEKYVEMSADYMLAGCFPGVSTPKDQVLDFLKTHLQASDVPFICPPDASFPTVSSPLTVDNAILLLQWIRNLKSKSVPLPATFLTCVKEGSWLRTSVGSEWGNLLQNESSFVDIPMIDQHFYQNKLHEYKEELKAIGVRFEFGEASAYIGSRLMSMAASNMLTRENVYSLLKLIRFLREEVLSPSELIKSVKDGQWMESTLGYMSPATCIMYDSEWAVASCISNQPFLDVKFYGEAILTYKEELKLLGVVVGFGNNEKTYKLVIDNFKFSSSSITSEATVLILKCIRYSSPCDSFLRKLKDLKWLKTNVGFRAPNESFFVDPQWECLIGAFDGIPVVDSGFYGSTISPYKEELKKTGLITRFEEASKAVANIFKQMVLKSSLTKSNVLALLSSYRQLRTDSPIPVDLFNCMRDEKWLRTSLGFRSPSDAILFDEDWQSLSPIANLPFIIDGDSQDGLSKDIRGYKAELNELGVTTEVKAGASFVIRGLNISGNTSEISAATVLSLLGSIKNYLADTPNFPKDFLEKITSCRLLRTTLGYQFPDEYGPFIDQSFYGSEIASFKDALAVVGVTVDVRSGHGLVAQHLRSHKDTATISRIYMFLKECNWEPVNNKSDWIWIPNERESGDWVNPLSCVIHDRNNLFSLQLHVLDKYYDEKLLDFFSSTFGIRPGPGAEDHCKLWSTWESSVGELSIDDCSVFWQFIAKNWSKNMEKLLSGCVKVPVFIDGKIVLLQKEDVLIPDDLLLKDLFNKLPQQSFFIWLNNIYGSIGVRAISKVVEKNECFTFQNGSSRTVDQCKVISIGLLQIVLAFLADPVLDISAKERHMMVSCLLNVNVLETDKPITVGYSVKLNSGKAVDVTASRMIRWERENSKLYMQRSYGAGGYKEKLEFATNFADEISQGLLFEMADKIPSLAELIKSGSLVDFDDSAIEYLLKSKNLQLFPEDEAFLNAVSLGLFLAN* >Brasy1G395700.1.p pacid=40058263 transcript=Brasy1G395700.1 locus=Brasy1G395700 ID=Brasy1G395700.1.v1.1 annot-version=v1.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNIKYFEEKVHAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGARTSPVPVPLATVPKAGTTYPPLTGHPPFQPPPPAGPSLAGWMTNAAVSSSIQSAAVAASSIPVPPNQAISMMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQSSWSLDDLPRTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEIGLRERLVSKPFKIWDMQACSTQFQSAVAKDPSMSINRVTWSPDGDLIGVAFTKHLIHLHAYQQPNETRQALEIEAHLGGVNDIAFSRPNKTLCVVTCGDDKLIKVWDMHGQKLFSFEGHEAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSFLVEWNESEGSIKRTYSGFRKKPSGVVQGVVQFDTAQNHILAAGEDNQIKFWDVDNTNMLTCIDADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNISLMDHLDRNSPAKPSPMLNGGDPSSRSIDIKPRISEEKPDKAKPWELMEILNTQQFRVVTMPHTPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWNRSEQNPTARATASIEPQLWQPNSGLVMTNDPGDTSPEDSVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVRTKLKGHQKRITGLAFSNSLHVLVSSGADAQLCVWANDTWEKKKSVAIQMPAGKTPSGDTRVQFNSDQTCLLVVHETQLAIYDASKMERIYQWIPQGTLSAPISHASYSCNSQLVFAAFTDGNVAIFDAENLRLRCRIASSAYMSSTATNSNPPVYPFVVAAHPQEPNQFAVGLSDGSVKVMEPLESDGKWGTSAPADNGVANGRTPASSATSNPGADQNQR* >Brasy1G395700.2.p pacid=40058264 transcript=Brasy1G395700.2 locus=Brasy1G395700 ID=Brasy1G395700.2.v1.1 annot-version=v1.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNIKYFEEKVHAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGARTSPVPVPLATVPKAGTTYPPLTGHPPFQPPPPAGPSLAGWMTNAAVSSSIQSAAVAASSIPVPPNQESEQLMKRLRPSGHGVDEATYPAPIPQSSWSLDDLPRTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEIGLRERLVSKPFKIWDMQACSTQFQSAVAKDPSMSINRVTWSPDGDLIGVAFTKHLIHLHAYQQPNETRQALEIEAHLGGVNDIAFSRPNKTLCVVTCGDDKLIKVWDMHGQKLFSFEGHEAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSFLVEWNESEGSIKRTYSGFRKKPSGVVQGVVQFDTAQNHILAAGEDNQIKFWDVDNTNMLTCIDADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNISLMDHLDRNSPAKPSPMLNGGDPSSRSIDIKPRISEEKPDKAKPWELMEILNTQQFRVVTMPHTPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWNRSEQNPTARATASIEPQLWQPNSGLVMTNDPGDTSPEDSVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVRTKLKGHQKRITGLAFSNSLHVLVSSGADAQLCVWANDTWEKKKSVAIQMPAGKTPSGDTRVQFNSDQTCLLVVHETQLAIYDASKMERIYQWIPQGTLSAPISHASYSCNSQLVFAAFTDGNVAIFDAENLRLRCRIASSAYMSSTATNSNPPVYPFVVAAHPQEPNQFAVGLSDGSVKVMEPLESDGKWGTSAPADNGVANGRTPASSATSNPGADQNQR* >Brasy1G395700.3.p pacid=40058265 transcript=Brasy1G395700.3 locus=Brasy1G395700 ID=Brasy1G395700.3.v1.1 annot-version=v1.1 MKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGARTSPVPVPLATVPKAGTTYPPLTGHPPFQPPPPAGPSLAGWMTNAAVSSSIQSAAVAASSIPVPPNQAISMMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQSSWSLDDLPRTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEIGLRERLVSKPFKIWDMQACSTQFQSAVAKDPSMSINRVTWSPDGDLIGVAFTKHLIHLHAYQQPNETRQALEIEAHLGGVNDIAFSRPNKTLCVVTCGDDKLIKVWDMHGQKLFSFEGHEAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSFLVEWNESEGSIKRTYSGFRKKPSGVVQGVVQFDTAQNHILAAGEDNQIKFWDVDNTNMLTCIDADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNISLMDHLDRNSPAKPSPMLNGGDPSSRSIDIKPRISEEKPDKAKPWELMEILNTQQFRVVTMPHTPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWNRSEQNPTARATASIEPQLWQPNSGLVMTNDPGDTSPEDSVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVRTKLKGHQKRITGLAFSNSLHVLVSSGADAQLCVWANDTWEKKKSVAIQMPAGKTPSGDTRVQFNSDQTCLLVVHETQLAIYDASKMERIYQWIPQGTLSAPISHASYSCNSQLVFAAFTDGNVAIFDAENLRLRCRIASSAYMSSTATNSNPPVYPFVVAAHPQEPNQFAVGLSDGSVKVMEPLESDGKWGTSAPADNGVANGRTPASSATSNPGADQNQR* >Brasy1G395700.4.p pacid=40058266 transcript=Brasy1G395700.4 locus=Brasy1G395700 ID=Brasy1G395700.4.v1.1 annot-version=v1.1 MKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGARTSPVPVPLATVPKAGTTYPPLTGHPPFQPPPPAGPSLAGWMTNAAVSSSIQSAAVAASSIPVPPNQESEQLMKRLRPSGHGVDEATYPAPIPQSSWSLDDLPRTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEIGLRERLVSKPFKIWDMQACSTQFQSAVAKDPSMSINRVTWSPDGDLIGVAFTKHLIHLHAYQQPNETRQALEIEAHLGGVNDIAFSRPNKTLCVVTCGDDKLIKVWDMHGQKLFSFEGHEAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSFLVEWNESEGSIKRTYSGFRKKPSGVVQGVVQFDTAQNHILAAGEDNQIKFWDVDNTNMLTCIDADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNISLMDHLDRNSPAKPSPMLNGGDPSSRSIDIKPRISEEKPDKAKPWELMEILNTQQFRVVTMPHTPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWNRSEQNPTARATASIEPQLWQPNSGLVMTNDPGDTSPEDSVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVRTKLKGHQKRITGLAFSNSLHVLVSSGADAQLCVWANDTWEKKKSVAIQMPAGKTPSGDTRVQFNSDQTCLLVVHETQLAIYDASKMERIYQWIPQGTLSAPISHASYSCNSQLVFAAFTDGNVAIFDAENLRLRCRIASSAYMSSTATNSNPPVYPFVVAAHPQEPNQFAVGLSDGSVKVMEPLESDGKWGTSAPADNGVANGRTPASSATSNPGADQNQR* >Brasy1G027400.1.p pacid=40058267 transcript=Brasy1G027400.1 locus=Brasy1G027400 ID=Brasy1G027400.1.v1.1 annot-version=v1.1 MAAQSALLAAGAAAVAIAAAVFLLPSPASHLAWPPWGRFADMILANATIYTADPARPFASAMAVRGGRVLRVGSYDSVKELKGRHTRELNLTGNVVLPGFIDSHVHLIDGGLLSARVQLRGVRSKEDFISRVKEAVRDKHPGQWLLGGGWNNDGWGGDFPTAAWFDDISPDNPVWLSRMDGHMGVANSLAMKIAGIDKNTNDPVGGTIVRTTEREPSGLLVDAAMKLVFDVIPEVSINERREALLRASRHALMRGVTTVVDVGSYFPGMSEKQTWQDFSDIYEWAHSMGKMMIRVCLFFPMPTWSRVSDLIHEKGQLLSEWIHLGGVKAFLDGSLGSSSALFYEPYKDDDGNFGLQLIDMDVLLNATLESDKSGLQVAIHAIGDKANDLLLDMLDEVVNLNGMKDRRFRIEHAQHLTPGAAKRFGKHGTIASVQPDHILDDANSAGKKIGVERAERSSYLFRSLLAGGAHLAFGSDWPVSDIYPLKAIQTAMSRKPPGQEAPWIPTECLALDDSLKAHTISAAYACFLDRVLGSLSEGKYADFVVLPSTSWNEFSSDIPGHVVATYVSGKQAYP* >Brasy1G045200.1.p pacid=40058268 transcript=Brasy1G045200.1 locus=Brasy1G045200 ID=Brasy1G045200.1.v1.1 annot-version=v1.1 MFLRRLSTSAAAALRRRRRGANDDGVLAAVRAEIAHELSSSSSPPSVHSQDEIPGFATVSDAPRAQDLLLRRRHRDGGAAEEVLVSALLAPLRFDGDEPLPRDALMKVFVSKPGVTPLLRFDCHAAAAGDGDAAAGYDITAFSYHEFPGDDGERKYEGPDFGDLDPELQAALKEYLTARGVNSELASSLRQHLLQKERVQYVNWLRTLEGMFTKDR* >Brasy1G230700.1.p pacid=40058269 transcript=Brasy1G230700.1 locus=Brasy1G230700 ID=Brasy1G230700.1.v1.1 annot-version=v1.1 MENGDETLASPTAAAEKTASNGGVAGEEVTVTDAVHPAKSYAAVAAEKTAPNGGDAREEVTVSDAVHPPKSYAAVAANAEIEDLRATKLELEGQLSNTNQENKTLAAEAHRLEGLFSQAREDAATAEHAAATTEGEVASLRAEVERLQALLEGKKLEREVNERQREGLATEVEVVRQAKLKLEKEIEALKASVAAANVEEREIAPAAGVPKEEGIAWPGMAAAAAAGAATAAAFVLIYLRLKR* >Brasy1G491900.1.p pacid=40058270 transcript=Brasy1G491900.1 locus=Brasy1G491900 ID=Brasy1G491900.1.v1.1 annot-version=v1.1 MWQNLFRYYVLTQLASKKPPSSPRLPGTLTGGWLINRISAMKILESPLMGEFIGYLKSTWSGNSRVSQRRRRLRQLVAMVRGVADAAEVRCSAAAVRNISLRRWLLLLRKEALRGQAVLDATSEPSAVVGSARKFVAGLKTLFVCSAEVDRLTDAVEALERLAGPGADLDIFLKTLQLDAAAAAAAAMDVDCPEFFAAARYKADSVSAAAVEDEDEMPMLGAKRKRVGGSSGVEQAGGEDGDGDGEAASCGGGGERVFVVVDRACRHKRRALACKRHAASAGAAPAAAVAVAMARVRRRIGRPDIGRRLSSISLQ* >Brasy1G286500.1.p pacid=40058271 transcript=Brasy1G286500.1 locus=Brasy1G286500 ID=Brasy1G286500.1.v1.1 annot-version=v1.1 MGVLRQRRCLLVCLSKSETMKKTQIKETPWSLISCSGSCKDRPPLLQGAQPPTATGAAVGFAVRGRWTRWASLLYSPVHRTNRPPSSSAGFCRLRPLDGRRCCIGRLPRAPILLCRRCCSAVFSVPLHQSEGGARVRESSRYRGRAEFSGRLELPACAAWVTVARASVAWIGLRMIRYMQVPVCAWTNRVANQSA* >Brasy1G286500.2.p pacid=40058272 transcript=Brasy1G286500.2 locus=Brasy1G286500 ID=Brasy1G286500.2.v1.1 annot-version=v1.1 MGVLRQRRCLLVCLSKSETMKKTQIKETPWSLISCSGSCKDRPPLLQGAQPPTATGAAVGFAVRGRWTRWASLLYSPVHRTNRPPSSSAGFCRLRPLDGRRCCIGRLPRAPILLCRRCCSAVFSVPLHQSEGGARVRESSRYRGRAEFSGRLELPACAAWVTVARASVAWIGLRMIRYLCAHGPIE* >Brasy1G286500.3.p pacid=40058273 transcript=Brasy1G286500.3 locus=Brasy1G286500 ID=Brasy1G286500.3.v1.1 annot-version=v1.1 MKKTQIKETPWSLISCSGSCKDRPPLLQGAQPPTATGAAVGFAVRGRWTRWASLLYSPVHRTNRPPSSSAGFCRLRPLDGRRCCIGRLPRAPILLCRRCCSAVFSVPLHQSEGGARVRESSRYRGRAEFSGRLELPACAAWVTVARASVAWIGLRMIRYMQVPVCAWTNRVANQSA* >Brasy1G286500.4.p pacid=40058274 transcript=Brasy1G286500.4 locus=Brasy1G286500 ID=Brasy1G286500.4.v1.1 annot-version=v1.1 MKKTQIKETPWSLISCSGSCKDRPPLLQGAQPPTATGAAVGFAVRGRWTRWASLLYSPVHRTNRPPSSSAGFCRLRPLDGRRCCIGRLPRAPILLCRRCCSAVFSVPLHQSEGGARVRESSRYRGRAEFSGRLELPACAAWVTVARASVAWIGLRMIRYLCAHGPIE* >Brasy1G328800.1.p pacid=40058275 transcript=Brasy1G328800.1 locus=Brasy1G328800 ID=Brasy1G328800.1.v1.1 annot-version=v1.1 MRSLAAGSPHPGAAFATRRRRVHPTREAISQCWRCERSQGRFFRSSLRISNLPGQLHGDVPKNLLTPTAAVSVEQVEASTYLPKGDTWSVHKFGGTCMGTPQRIQNVADIVLHDPSERKLVVVSAMSKVTDMMYNLVNKAQARDDSYIVALDEVFEKHMVAAKDLLEGEDLARLLSQMHADISNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQMLSLAINQSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLDKWFARQPAETIIATGFIASTHENIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNISAPGTMICQQPTNEDGDLEACVKAFATIDKLALVNVEGTGMAGVPGTANAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVSAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMFLSDTGVDLTQWKELLETEAEPANLDKFAHHLSENHFFPNKVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSEVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDISVRSLVPEELRSCSSGNEYMQKLPSFDHDWATERKEAEVAGEVLRYVGVVDVVNKKGQVELRRYKREHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAAGVFSDILRLASYLGAPS* >Brasy1G537700.1.p pacid=40058276 transcript=Brasy1G537700.1 locus=Brasy1G537700 ID=Brasy1G537700.1.v1.1 annot-version=v1.1 MEAAAPMATAKRKRGAAAVAADNAPSASVSAAAIDEVLDAEVEEFYAILRRMRDASRRFYSPAARAPAWCPSFSWEDFASPPPPPAPTPAPAPAPPVPVEERVAENGTPPPPPRPVALDLNAEPEPEAPAPAPARPERVRS* >Brasy1G519400.1.p pacid=40058277 transcript=Brasy1G519400.1 locus=Brasy1G519400 ID=Brasy1G519400.1.v1.1 annot-version=v1.1 MHLFHVPAAAMICSAMLLLTLLCRTRTTTSSLINTSSCDPATCGGLRITYPFWLGGTHPPECGYRAFQNSLWTYQILAISYAESSFTVTNLQLNLSLSEDGACDVGLHVNASSDLGIAPFGISADNQELFFLYGCTHPQRLPPSRAPVACANDGSNGSSPNNNTFAWLAGGYKPDDAWRPVQGNCTVSMVPMLGYAAGDCDACVDSGGMCRINTTYDVLKCHCSGGVTELNVCGTGN* >Brasy1G369900.1.p pacid=40058278 transcript=Brasy1G369900.1 locus=Brasy1G369900 ID=Brasy1G369900.1.v1.1 annot-version=v1.1 MDVVLNDSASEGPAKYAACADILFLTHVLIPEASFIAHLGGILAGLTYLICLKRGPDPTTIAALFSNIVDVMIAGRLVGLVPKLVRSVIVPRRRRRRRVGGGPVLCSAPRETGRGMWRCSACSNDNSKCKDVCERCSTPHEDHAFSRRRQTASQR* >Brasy1G096400.1.p pacid=40058279 transcript=Brasy1G096400.1 locus=Brasy1G096400 ID=Brasy1G096400.1.v1.1 annot-version=v1.1 MASFSEAPPGNQAAGEKIFKTKCAQCHTVEKGTGHKQGPNLNGLFGRQSGTTPGYSYSSANKSMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKSATA* >Brasy1G425900.1.p pacid=40058280 transcript=Brasy1G425900.1 locus=Brasy1G425900 ID=Brasy1G425900.1.v1.1 annot-version=v1.1 MQRFGHECKLHGKQKQASKKKAFARSKQSIIRADSTLCQHLFPAVGIPPQRSHRFFSRIRKLQHDN* >Brasy1G328600.1.p pacid=40058281 transcript=Brasy1G328600.1 locus=Brasy1G328600 ID=Brasy1G328600.1.v1.1 annot-version=v1.1 MAPPPPPPSPAAPPGQKLTTNNAIVYLEVVKDKFQDKRDKYEEFKQVLCDFSSGRIDADGVIARVKTRLSGHPELILGFKAFLPKGWEVKFQDLEKEPDKVTNVVNFVQKIKSRFKPEDQVYKSFVGILMQCYKSTQDVCKEVAALLHDHPDLLVEARHYFLLDADTSSTGPQVVTATRAVSAKHGSRNPIMPSSSNVQSIPGSPTHMSPPPMPLPVAPSGQKHLTNDALMYLKAVKETFQDKRDKYEEFLAILDDFCCRRISTDAVITHVKTLFSGHPELILGLNVFLPAGFMQKLLSKINQEDHVYKSFLGILNMHNKSVQDVQLQVVTLFHDHPDLLQELRYILPVKSTALQPVIIPGGVAFRHADRSPVMPFAWNGIKRKCVEKEKDRPVDQGTSIYLRDEMDDGEHGSQASDGGHCKCEPFVRMMERTCPETTTQGSARNSSSLYTAKDDALNRQKSGIVKTVENDRNKE* >Brasy1G543800.1.p pacid=40058282 transcript=Brasy1G543800.1 locus=Brasy1G543800 ID=Brasy1G543800.1.v1.1 annot-version=v1.1 MGERIPPPSHLQYSPSPVHSSPHHQFNSLRNSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSMQPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWPGMQPGICTLVGKKVMCKDCGFCKHTMRSHMGGMQASPMSWNGAPTHSGPPVVKKLMRLDVPFNFVGRLLGPRGNSLKRVEATTQCRVYIRRRGSVKDSVKEEKLRDKPGYEHLNEALHVLVEAEFPADIIDARLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLAGP* >Brasy1G386500.1.p pacid=40058283 transcript=Brasy1G386500.1 locus=Brasy1G386500 ID=Brasy1G386500.1.v1.1 annot-version=v1.1 MAASMGCLLVLCLVSPLLLAGAAHGNPWYGGGGGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRDSLRGFEVVDQIKAALEAACPATVSCADILALAARDSTILVGGPFWEVPLGRRDSLGASIQGSNNGIPAPNNTLPTIITKFKRLGLHVVDVVALSGAHTIGLSRCTSFRQRLYNQSGNGMADSTLDVSYAARLRQGCPRSGGDNNLFPLDVVTPAKFDNLYFKNILAGKGLLSSDEVLLTKSAETAALVKAYADDVGLFFQHFAQSMVNMGNIMPLTGAQGEIRKNCRRLNNYH* >Brasy1G115400.1.p pacid=40058284 transcript=Brasy1G115400.1 locus=Brasy1G115400 ID=Brasy1G115400.1.v1.1 annot-version=v1.1 MLTKFETKSNRVKGLAFHPRRPWILASLHSGVVQMWDYRMATLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSASPADDIMRLTQMNTDMFGGIDTVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDMVFYVKDRFLRFYEYSTQKEVQVTPIKRPGSISLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDTKKGVGGSAVFIARNRFAVLEKSSNQVLVKGLKNEIVKKSPLPIATDAIYYAGTGNVLCKAEDRVAIFDLQQRLVIGELQLSAVKYVVWSSDMESVALLSKHAVVIANKKLVHRFTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDSGIVRTVDVPIYIT* >Brasy1G246500.1.p pacid=40058285 transcript=Brasy1G246500.1 locus=Brasy1G246500 ID=Brasy1G246500.1.v1.1 annot-version=v1.1 MAEAVILLAVKKIGIALGNEALNQASTLFKEFITQLTELQGSMGRISRELRLMHEFLCRMDVRNRKNQVYEIWVQQLRMLVHGIEDIVDEYLYLVGHKHDTGWCLYLKKGFRRPNVLFSLNRIALLVKEAEVNLLHLFQAKDRWVSLVGGGNSGDSIYIVESSQNLASISRSLGEEDLVGVDKNREKLGQWLSGGDLERSMIALQGLGGHEKFECHAWVSISQTYSIKDVLKCLITDFYKERKDKPGNMDDMDIKGLQDELKKFLEDRKYLIVLDDVWAPEAVNDLFGALAQNQKGSRVIVTTRIDGVAHLAFEHRRITLEALSEEDSWELFRKNCFSRDTNHKCPPELSELAHEIVRKCKGIPLAIVTVGRLLFPRDKTLEEFNMEHVRNILYLSFIYLPTYLKSCFLYCSLFPEDYLFQRKKLVRLWVAEGFIEERGASTLEEVAEGYLAELVRRNMLQLVERNSFGRMKKFRMHDLLRELAVDLCHRHCFGVAYEEDKYRGSLEEDGRRLVVHKLNKDIHRTFSSIHYLRSTIILDNTMPSFTILPLLSEKCRYMSVLELSGLPIEKIPDSIGDLFNLRHLGLRDSKVKLLPKSVEKLLNLLTLDLCRSEIEELPGGIVKLKKLRHLFAEKWCVRIPKGFGSLTNLQTLQALEAHDESIGQLGELRQLRSLRIWNVKGFYCERLSESLVKMQVLSNLYVSASDENEVLVLSVLPPNLQKLRLRGRLAEGALDESPLFQAVAEQNLYSLRLYWSQLSEDPLPSLSRLAYNGEQLAFLKGWFPKLKTLTLRDLPNLKRLEIQQGAMTALERLSVTNLSSMTEVPAGIEFLMPLQYLGFHEITSDFLTSLRQRSATRGRCRYSLRT* >Brasy1G449200.1.p pacid=40058286 transcript=Brasy1G449200.1 locus=Brasy1G449200 ID=Brasy1G449200.1.v1.1 annot-version=v1.1 MSVIFFFSVSGVAARRTRPHDAAPQACTPPPRELPGRLPRPCSLPVSRRSRLPASAALPLPLAHELPPPLSREPPPLAPKSRPAPVVFPRAAAAACPRAPRPLAREPPRGRKRAPGEKIRRQGQGRIRSSGVGRTKEMAGTWRPCPLSGVMQGRTGIEQEGEGLRVPVHSERNGERNN* >Brasy1G299800.1.p pacid=40058287 transcript=Brasy1G299800.1 locus=Brasy1G299800 ID=Brasy1G299800.1.v1.1 annot-version=v1.1 MFDYNYCEPGEENEDDEEEDATEEENDDDTLFFYSISRRQLQSSTLLNGWLLMLHRGSRQTFLCDLYTQQRISLPSDQDNFLAKSMTRCLLSHKPGTTTDSTSRSCVVVLVVDLHDTVLWYCRPGGDRWFEHKYQSTMLAEDHHDNVITNMRFLTAVGDKFCSCLIGIPGFVDYVVTLETLAVERKSSNFYDCVEHRLLESCGELFIVRFYHPCRQDKIVHIDVHRLDMAKRAWVKVETLGGRVFFLDSAYSGASLSAQEAGLKGNCIYFLRCDDKGLYIYDMERGTTAIHNPGLDLLDDVTPEIVMPLS* >Brasy1G488900.1.p pacid=40058288 transcript=Brasy1G488900.1 locus=Brasy1G488900 ID=Brasy1G488900.1.v1.1 annot-version=v1.1 MVAEGVHGVVGFLRPFVMFAVVRKGDAAFARDLIGALAAAAATPAANSDDAVPVLKLLGESLLHFGRGDGEEARLWLSSVESLVDAYVILLRKLAHAQWPAYDAQASSVELIETLLSQCSLHHQLVEMACGVLGFSKYLFVVQKDLGLCYLPEISAVLSSLSCILSGLEFEHEQLAGLKLLAFLIEWRLENALETNEAINHFSEGLLCVLPVINLVISPSRSVKAVASHVLSRFSLLVSELPTSRSSEQQDISLVYHISKPTCILPKLVHHIWSQSQSSSSGFFYTKYATSKGLPEPAGNYSEANCWTDQIKEYLSVLGKEKLTLDGSSSKTMASVAISSHVSSVVSVLVMHPKLGTSAAQSLGILGASDPKLGMPSLVVILFYCKILYSNGNFSMNILLSLLESLPSLATHGFVLPLALQLISPLLKKDAKSVLYAIAVRLLCKIWIITDWAFPNLQGILDSEAVSNFTTNREIFTSIAASVRDVCKQNPDRGVDLILSVSSCIESRDSVVQALGLEGLSYLCEADVVDFYTAWKVISKELLDYSIDPTVSHGLCILLRWGAMDAEAYSEISKNLIQILWSIATYKKCNADRLWIKARGTAFHSLSQYKVSLIQDAVPDFWRRNYECFTTEQNLEVLKAMENFQAEIIRFEHINRRRVTTDKRTTVHKFEKLLDLLPQAVFKEKSAHHKLPGAALLTIKFFPEDILHEGKSKDLPRLHAAYEQALVEMAESIYISRNIMVALLALHSWKSFVSHWMQAVVALLDIKESSKLNKPLKAANDIFKILCKCVPVSNPRVAVNITLAIGALCMVIPPTAHLVVSSASDFLLKWLLQYEHEHQQWSAAISLGLIFNCFHPTDKKSKFQVISGLFEVISKTDRCLVKGACGLGLGYACQGLLTRADSAADSELEAATQINERASVEEILHTLTTSLVTLCPSSFYSLKKLSICGIVSEVMEEKYDSFDDDPWAIAGLVLGLGNSVVALYRLGAYEAVVEIKNILISWIPVIDSSSALFDETNSVSLCMGSCLALPSVIAFCQRVELLNDDLDALFNRYTSLATELLNLKKSGTIFQSLLMAICIGAGSFLSCILNDGVHAMKFTDVKTFLDTLKHIYTHPYPPLVHLGGMFGAVNAFGAAAGDLTGMCWQSINPQINHEKESSLVRGPVLTSPAGETLSTAMIHEIFLLAKDAEDNHIQNYAAWAISFLRSRWLLKNQNLHDDDYSQRNLIDSSQSTSFSAESLVWSLSLWLRDLNFEKLDDMLPVSIITAVVKCLSKAPRLPTIDWGAIVRRCMKVEAHIPHWSTNQCDPKLLREECLYFSLAHADHLSPLLQFLDDLTDLPRFRRLEINAQSVLLQYLSHLLKLFSESRLEKLFDDLTDYFCASTSSYLDYSSEQRSLLRLSFWKGIRKCLVEVVSEESGSFSYIKKCIECLLSLLSLCKDGQPEFVDEWSAAIKCLSAAQKSWLGDMLQVHNTTSLSEGGHVDAAKKIIIRARLCSTGCVSADELGNIKTTILSTKADGVWWNVLVEVAAAVYSADNGIKKQWLLDALDISCVTAHPSTALRFVSLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTTRIYTWAEKLTCGEGLPCHDHIHGSEAENTSFLANMLRSTCIAVEDHLAVDKQLKLANLEALS* >Brasy1G408200.1.p pacid=40058289 transcript=Brasy1G408200.1 locus=Brasy1G408200 ID=Brasy1G408200.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTVENVKAKVQDKEGVPPEQQRLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGAKKRKKKTHTTPKKPKHERAKTELAVLGLYRVDEATGAVERLRKECPNPECGAGTFMAAHADRLACGRCGLTYAKSQGPEVV* >Brasy1G533000.1.p pacid=40058290 transcript=Brasy1G533000.1 locus=Brasy1G533000 ID=Brasy1G533000.1.v1.1 annot-version=v1.1 MGSLDANSADLANDDSTAPGSFQPLNSDDVRTYLHKAVDFISDYYANVESMPVLPSVKPGYLQEELKLASPPTYSTPFDVTMKELRAAVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWKAAPAATEMEVLALDWLAQLMRLPTTFMTRSTGREGRRGTGGGVILGTTSEAMLVTLVAARDSALRRSGSHGVAGITRLTVYASDQTHCTFFKACRLAGFDPANIRSIPTGPEAHYGLSPAKLLEAMQADAEAGLVPTYVCATVGTTSSNAVDPVGAVADVAALFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDSQRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEELVRADDRFQVVVPRNFALVCFRIKARGTMTEDDADEATRVLMENLNKTGKMYLAHTVIGGRFVLRFAVGSSLQEERHVRSAWELIKKTTAQMAD* >Brasy1G018400.1.p pacid=40058291 transcript=Brasy1G018400.1 locus=Brasy1G018400 ID=Brasy1G018400.1.v1.1 annot-version=v1.1 MIISKKNRREICKYLFQEGVLYAKKDYNLAKHPQVDASNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEFLRTFLNLPSEIVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFAGEKGGAPAEFQPSFRGGSRPAFGRGGGGGTFSAGASSME* >Brasy1G400600.1.p pacid=40058292 transcript=Brasy1G400600.1 locus=Brasy1G400600 ID=Brasy1G400600.1.v1.1 annot-version=v1.1 MLLCQRIIPRLLLLPSRPTLKPLLCPSRIPPCRPFLPRSAALSQLASPQTLDHSDAEDPRTVDHSDAEDPRTVDHSDIEEPLGEVQVQLPLDRLFLPPGANVTPGDEEAVTARVLKGSNIVLGTYARGDAQVVAADFVKSSVRPDDCPKDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKINDSWYLVDLPGYGYASAPQEARKDWDAFTRNYFLSRDNLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVEYFQNLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWRKH* >Brasy1G011000.1.p pacid=40058293 transcript=Brasy1G011000.1 locus=Brasy1G011000 ID=Brasy1G011000.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLLMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy1G298800.1.p pacid=40058294 transcript=Brasy1G298800.1 locus=Brasy1G298800 ID=Brasy1G298800.1.v1.1 annot-version=v1.1 MDVPLPPPLTFESSDDNDWSPEAAFFAAAYHGNVRRLKDIASSLDNDGKGIAATVATTTYLGMNALHAAGGIGSLPIYRYLVEVVKMDVNKPDALPFKNTPLMHAVAGGNLPAVRYLLDHGADLHQESEHNITALHIAAKEGRCEIAKLLLSRGAQRGYDSMVEVLLEHHADPNTVRTDYLHTPLDAALHSPSLSCVKLLIQAGADVNGVNNPLARAAKNGFIEAIKCLLEAGANPNNPDLYGRTPIEIAAVCGSREEVEILFPSTSPLSTIPNWSIDGIINQAKLEYKKLEDYDFVRMKWSELKHQGDNALKEHNYLNASVFYTQALNVDPNDVRLLANRSICWLCLGNGEKALEDASQCKIRHPNWAGAYRQQGAALMFLKEYEKACEAFSHGLELDPENDELDKLFWKAMELKQKCCLHASDTKSLRCAIP* >Brasy1G240800.1.p pacid=40058295 transcript=Brasy1G240800.1 locus=Brasy1G240800 ID=Brasy1G240800.1.v1.1 annot-version=v1.1 MGSAAKDQLLPCDKLLLELKVIWDEVGEPDTARDKTLLELEQECLDVYRRKVDQANRCRAQLRQSIAEAEAELAGICSAIGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKTKKVERWNQFVHVIEEIKKISYEIRPSDFLPFKKPVDQSDLSLRKLEELTKELESLQKEKSDRVKQVMEHLNTLHSLCEVLGIDFKQTIHEVHPSLDEAEGSKNLSNTTIDRLASAVNRLREMKIQRMQKLQDFASTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSIDFLGYVESEVIRLEQLKGSKMKDLVLKKKSELEEHRRRAHLIGEEGYSDEFNIEAIESGAIDPALVLEQIEAHIATVKDEAFNRKDILEKVERFLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVSKIPGMVDVLSTKIIAWENERGKEFTYDGVRLLSMLDEYRIVRQEKEQEKKRQRDQKKVQDQLKAEQEALYGSKPSPSKPQSTKKAPRNSMGGANRRLSVGGAPFHVPKTDILHSKTVRAAKRTEDIATLSPGSRGIDIADLPIKKLSFNASALRETETPRKPFAQIMPASSVPSTPVRPITNDTEDENRTPNPKTFGALNPKTPMTVAAPMQLAMTPAVGNKVAATPVSLVYEKPELALPEEIEYSFEERRLAAYLAREKLLSC* >Brasy1G514700.1.p pacid=40058296 transcript=Brasy1G514700.1 locus=Brasy1G514700 ID=Brasy1G514700.1.v1.1 annot-version=v1.1 MAPTGEGGSAPGTGTKGKSKTKTKSVRHLLAPSAAPAAAPAAMASPAAGSCGAGATATTATLATTTPINVDDDDQEDDVDEGQAHLSGKRFKKCTSSVWKYFTKKKKVLEVDGKQFEQLWVYCNFPNCKQRYRAEGEKNKEGDEDSEEDNEEAKDMESDPDIMDDADEL* >Brasy1G217300.1.p pacid=40058297 transcript=Brasy1G217300.1 locus=Brasy1G217300 ID=Brasy1G217300.1.v1.1 annot-version=v1.1 MEVVISAVLGDVISRSISFFVDRYHRLQTGGAEQSLEQLRRVLLRVQAIVEEAERRGITNQAMLRQLDTLRHGMYRGYYVLDAFTCRGHSDGDAAAKDEVSDHSFALSRFNPAKRLHLSAQSPQNMVFDGKGLNELRKALCGLEMIVTDMAEFVLFLKSYPPMSRQPCSSYLFSDMYMFGRHTEYERIVSFLLQIGPPPTGNCSVLPIVGPVRIGKTTLVEHVCYDDRVRSYFSSIVFFSGDDLDEGMTVKANTLQDSGVIKYRNGASDGRLLMILELIGDLENETWGRLCSLLSHMAYGSKIIVTSRSERIMRFGTTQALQLNVLPQEAYWYLFKMIALRSADPKDYLQLSSIAMEIAAELNSSFSGAYIIGTLLRANPVVWFWRKVLERIREFTAKHLLMFGEHPISLLQKDQPIYVWRITGNSEALMVIAHRCYQVCSPQQEVPKLTMHDIVCGRAIPRGKFEFLAWKSHIPPYYSYLMSCSITTSRRMVVKKKRSRLHSI* >Brasy1G472800.1.p pacid=40058298 transcript=Brasy1G472800.1 locus=Brasy1G472800 ID=Brasy1G472800.1.v1.1 annot-version=v1.1 MAVVARALVTMAALFLLNCLLITKSAIPVASAAPAGSSFLDALRDALGADAPALVLRDDAEATARASADFGNVSSASADAPAPRAVLYPSSPGDIAALVRASWERAPAPFPVSARGRGHSTRGQAAAPGGVVVDMPSLGRGLGGAERRLSVSVDGRYVDAGGEQLWVDVLHAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLQLDVITGVGEMVTCSKEERADLFEAVLGGLGQFGVITRARIRLAPAPARARWVRLLYTTAACLTADQERLIDARGAAAVSGLMDYVEGSVLLADQLAGSWRSPGSSFCSDGADGARVAALAKKAGGVLYCLEGALYYGGAAGGESDVAKRLEVLLRELRYERGFAIVRDVPYSGFLDRVHSGELSLRAKGLWDVPHPWLNLFLPRSHILNFAARVFHGILRRDNDNHTTGAGGPVLIYPMNRNKWDEKASVVFPEEEEVFYTVGILPSAPPASTDGGVELRRMEEQNDEILRFCEAAGIPCVQYLPYYAGQDGWEKKHFGLARWARFVERKRKYDPKAILSRGQRIFTSPLA* >Brasy1G549100.1.p pacid=40058299 transcript=Brasy1G549100.1 locus=Brasy1G549100 ID=Brasy1G549100.1.v1.1 annot-version=v1.1 MDLLKFLVSLLLLSFLTYESHVGAASDDEDFFKKCSSHRCSKHGPNIRFPFRLSTHPPLCGVPGMQLSCSGHDTILDHPVLGSCKVTMIYYRHRVINVIPLVEPSTQCPLQKLISTNLTTDVYKQPQSSQVTTLVRCSRDFIPADLYSIAGPASCLSNNASQFWYLAYAHAYISDLPRDCMAVSKGIPIPFNYDTNNYRDRFDFGEKANRVINFGETTFTWQLNNITDACQQCEYKGQHCGFSSQRHQAFCQKHDMKYIIRIAAPSSAAAFVVLLLMVASVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVASENRPPVP* >Brasy1G549100.2.p pacid=40058300 transcript=Brasy1G549100.2 locus=Brasy1G549100 ID=Brasy1G549100.2.v1.1 annot-version=v1.1 MDLLKFLVSLLLLSFLTYESHVGAASDDEDFFKKCSSHRCSKHGPNIRFPFRLSTHPPLCGVPGMQLSCSGHDTILDHPVLGSCKVTMIYYRHRVINVIPLVEPSTQCPLQKLISTNLTTDVYKQPQSSQVTTLLNNITDACQQCEYKGQHCGFSSQRHQAFCQKHDMKYIIRIAAPSSAAAFVVLLLMVASVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVASENRPPVP* >Brasy1G549100.3.p pacid=40058301 transcript=Brasy1G549100.3 locus=Brasy1G549100 ID=Brasy1G549100.3.v1.1 annot-version=v1.1 MDLLKFLVSLLLLSFLTYESHVGAASDDEDFFKKCSSHRCSKHGPNIRFPFRLSTHPPLCGVPGMQLSCSGHDTILDHPVLGSCKVTMIYYRHRVINVIPLVEPSTQCPLQKLISTNLTTDVYKQPQSSQVTTLCEYKGQHCGFSSQRHQAFCQKHDMKYIIRIAAPSSAAAFVVLLLMVASVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVASENRPPVP* >Brasy1G549100.5.p pacid=40058302 transcript=Brasy1G549100.5 locus=Brasy1G549100 ID=Brasy1G549100.5.v1.1 annot-version=v1.1 MDLLKFLVSLLLLSFLTYESHVGAASDDEDFFKKCSSHRCSKHGPNIRFPFRLSTHPPLCGVPGMQLSCSGHDTILDHPVLGSCKVTMIYYRHRVINVIPLVEPSTQCPLQKLISTNLTTDVYKQPQSSQVTTLCEYKGQHCGFSSQRHQAFCQKHAPSSAAAFVVLLLMVASVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVASENRPPVP* >Brasy1G549100.4.p pacid=40058303 transcript=Brasy1G549100.4 locus=Brasy1G549100 ID=Brasy1G549100.4.v1.1 annot-version=v1.1 MKYIIRIAAPSSAAAFVVLLLMVASVLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPETKKITRRFKDKLGQGGFGSVYKGDLPNGVPVAVKMLENSTGQGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSHVSNISRQLLQPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYLPEWIYEKVISGQEWELALEMTQEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVASENRPPVP* >Brasy1G068500.1.p pacid=40058304 transcript=Brasy1G068500.1 locus=Brasy1G068500 ID=Brasy1G068500.1.v1.1 annot-version=v1.1 MGNHARQGLPRQPSPAPLLLWTLVRLPLPPHARRPATRRHHRCALPRDAAVAVRSVSRRHRRRPTWAGALIFAAGCCQRLLQFPRGLEAVLVRCASPLIHSPNAPSWTIPRPSCVPPPSSFSRGGGGVTDIDPVMGVVRLLWWPQRRLVRVQGGRHAFSLELKEVVAILSACFSGGAAGRRGLRGTLCFGEAKCRTSTQGNFTAEKGKKEEEEEEEKE* >Brasy1G068500.4.p pacid=40058305 transcript=Brasy1G068500.4 locus=Brasy1G068500 ID=Brasy1G068500.4.v1.1 annot-version=v1.1 MGNHARQGLPRQPSPAPLLLWTLVRLPLPPHARRPATRRHHRCALPRDAAVAVRSVSRRHRRRPTWAGALIFAAGCCQRLLQFPRGLEAVLVRCASPLIHSPNAPSWTIPRPSCVPPPSSFSRGGGGVTDIDPVMGVVRLLWWPQRRLVRVQGGRHAFSLELKEVVAILSACFSGGAAGRRGLRGTLCFGEAKCRTSTQGNFTAEKGKKEEEEEEEKE* >Brasy1G068500.2.p pacid=40058306 transcript=Brasy1G068500.2 locus=Brasy1G068500 ID=Brasy1G068500.2.v1.1 annot-version=v1.1 MGNHARQGLPRQPSPAPLLLWTLVRLPLPPHARRPATRRHHRCALPRDAAVAVRSVSRRHRRRPTWAGALIFAAGCCQRLLQFPRGLEAVLVRCASPLIHSPNAPSWTIPRPSCVPPPSSFSRGGGGVTDIDPVMGVVRLLWWPQRRLVRVQGGRHAFSLELKEVVAILSACFSGGAAGRRGLRGTLCFARNFKWDFSASMGTSYK* >Brasy1G068500.3.p pacid=40058307 transcript=Brasy1G068500.3 locus=Brasy1G068500 ID=Brasy1G068500.3.v1.1 annot-version=v1.1 MGNHARQGLPRQPSPAPLLLWTLVRLPLPPHARRPATRRHHRCALPRDAAVAVRSVSRRHRRRPTWAGALIFAAGCCQRLLQFPRGLEAVLVRCASPLIHSPNAPSWTIPRPSCVPPPSSFSRGGGGVTDIDPVMGVVRLLWWPQRRLVRVQGGRHAFSLELKEVVAILSACFSGGAAGRRGLRGTLCFARNFKWDFSASMGTSYK* >Brasy1G283500.1.p pacid=40058308 transcript=Brasy1G283500.1 locus=Brasy1G283500 ID=Brasy1G283500.1.v1.1 annot-version=v1.1 MLIVRLRLRRGWTAEEDARLEKLATENRFRHWHRVARQMPGRSHKLCRDRWRDLYSRAFTAADDAELARLHRRHGGRWKDISRHVHARTTRVLKRRWRELLRQKNGAEKMEATLQRPVVDVLAAWAAARLWIPGLVASRWGSRAWPSDHPSGGD* >Brasy1G042300.1.p pacid=40058309 transcript=Brasy1G042300.1 locus=Brasy1G042300 ID=Brasy1G042300.1.v1.1 annot-version=v1.1 MTLLAAITNPTPESAAAKGHPLVLTPGVPPPPATTSALPTPIPPETWSLAPADPTLATAASFLAASLSGAALSAPRFRTLVSTFLATLSESLSLPPRPTSPPRSRRSSPPRRRASPSTTCCSPSLTPAPSRTRRRASWRCSPPPAALTSSAPSSARPPTSAPPSSSPRSAASSRRPLTRPTTPWWASRAGGRARERAAWAERAGESGAAASCG* >Brasy1G058400.1.p pacid=40058310 transcript=Brasy1G058400.1 locus=Brasy1G058400 ID=Brasy1G058400.1.v1.1 annot-version=v1.1 MTNPTVAELQVYSNSLLKVHNDLAKEKQELEKLKKQDAEERAWRTSRADTPSGSSRSTPPRTDSRRRARFGDMPESERDRTNRNLASSFLSVDDHGDIIPETPEAAILAANTYLQSI* >Brasy1G459800.1.p pacid=40058311 transcript=Brasy1G459800.1 locus=Brasy1G459800 ID=Brasy1G459800.1.v1.1 annot-version=v1.1 MVGSGGGMPRGDPLVVGRVIGDVVDPFVRRVSLRVGYASRDVANGCELRPSAIAEPPRVEVGGPDMRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM* >Brasy1G311200.1.p pacid=40058312 transcript=Brasy1G311200.1 locus=Brasy1G311200 ID=Brasy1G311200.1.v1.1 annot-version=v1.1 MASHVLVELVDHPFGKCRPELLRVPTGRDGPHRHSPWLPRPSYRAPPSSGARPLRCAPPLRSSPRQPSPSEQRAVAVLGAAAAALRPTRQRRPPPSPLPPSSSAPPRPPCSPPSRCSPLRPPSSGPRAVLSASVPALRRSSQSSAPPLPRRGRRLAATPSQRALLIFFNRIDYACNPASCCSTGILRKSKLE* >Brasy1G506100.1.p pacid=40058313 transcript=Brasy1G506100.1 locus=Brasy1G506100 ID=Brasy1G506100.1.v1.1 annot-version=v1.1 MQSNKREEDVSTTSGSNKKQKTSCISSGGGNLHPSNVPSEFEEEFGSSSDSGQGAWSMLSKEVASNVAETVVSLASFDPGNSMFFACTGIIIECSLTTASILTSLSLIKSIDDDSKTFINRKIKVHLPNNLVAMGWLKFYDVKCNIAIVNIKAFPVLRATCLDLQQQLKSQSEVVAVGRCFSSGKLMATTGMLIDNPSEAYSEELEVSTCEITMTGVGGPLIGLHGDFVGMNFYDTKVTPFLPKKQILEVLMQCRKIPTCCVKCEQGVDRRTGRRFSNLPEIEYPGLDVRSRLGKNPSLCTICDPNGQTGLEDKLLGTLSFLPRWPQDGYGVGSMDERRNVLRSRNYPFPLLEDRGRLFHTFEDKFCEDIWWKLTEKVASHMSQSVVSLASFIGEARFFACTGILIDCTESTTRILTSASLVRTCDDNDTIIDNLKQIEVCLPDKQYTKGTLQHYNLHYNIAVVSITGFSCTRTAQIYDQVQIEPHGEVVAVGKIFEYGILMATSGIVTHKASKINCKELMISTCKITKYFCFGVSFFGLKETPYVPSNIILELLRNFDGKGTVAAHFAHDQNPTRWPVPKAYWCYPSFHSYEEDPEDIEPQRFD* >Brasy1G506100.2.p pacid=40058314 transcript=Brasy1G506100.2 locus=Brasy1G506100 ID=Brasy1G506100.2.v1.1 annot-version=v1.1 MQSNKREEDVSTTSGSNKKQKTSCISSGGGNLHPSNVPSEFEEEFGSSSDSGQGAWSMLSKEVASNVAETVVSLASFDPGNSMFFACTGIIIECSLTTASILTSLSLIKSIDDDSKTFINRKIKVHLPNNLVAMGWLKFYDVKCNIAIVNIKAFPVLRATCLDLQQQLKSQSEVVAVGRCFSSGKLMATTGMLIDNPSEAYSEELEVSTCEITMTGVGGPLIGLHGDFVGMNFYDTKVTPFLPKKQILEVLMQCRKIPTCCVKCEQGVDRRTGRRFSNLPEIEYPGLDVRSRLGKNPSLCTICDPNGQTGLEDKLLGTLSFLPRWPQDGYGVGSMDERRNVLRSRNYPFPLLEDRGRLFHTFEDKFCEDIWWKLTEKVASHMSQSVVSLASFIGEARFFACTGILIDCTESTTRILTSASLVRTCDDNDTIIDNLKIEVCLPDKQYTKGTLQHYNLHYNIAVVSITGFSCTRTAQIYDQVQIEPHGEVVAVGKIFEYGILMATSGIVTHKASKINCKELMISTCKITKYFCFGVSFFGLKETPYVPSNIILELLRNFDGKGTVAAHFAHDQNPTRWPVPKAYWCYPSFHSYEEDPEDIEPQRFD* >Brasy1G506100.3.p pacid=40058315 transcript=Brasy1G506100.3 locus=Brasy1G506100 ID=Brasy1G506100.3.v1.1 annot-version=v1.1 MQSNKREEDVSTTSGSNKKQKTSCISSGGGNLHPSNVPSEFEEEFGSSSDSGQGAWSMLSKEVASNVAETVVSLASFDPGNSMFFACTGIIIECSLTTASILTSLSLIKSIDDDSKTFINRKIKVHLPNNLVAMGWLKFYDVKCNIAIVNIKAFPVLRATCLDLQQQLKSQSEVVAVGRCFSSGKLMATTGMLIDNPSEAYSEELEVSTCEITMTGVGGPLIGLHGDFVGMNFYDTKVTPFLPKKQILEVLMQCRKIPTCCVKCEQGVDRRTGRRFSNLPEIEYPGLDVRSRLGKNPSLCTICDPNGQTGLEDKLLGTLSFLPRWPQDGYGVGSMDERRNVLRSRNYPFPLLEDRGRLFHTFEDKFCEDIWWKLTEKVASHMSQSVVSLASFIGEARFFACTGILIDCTESTTRILTSASLVRTCDDNDTIIDNLKIEVCLPDKQYTKGTLQHYNLHYNIAVVSITGFSCTRTAQIYDQVQIEPHGEVVAVGKIFEYGILMATSGIVTHKASKINCKELMISTCKITKFSI* >Brasy1G506100.4.p pacid=40058316 transcript=Brasy1G506100.4 locus=Brasy1G506100 ID=Brasy1G506100.4.v1.1 annot-version=v1.1 MQSNKREEDVSTTSGSNKKQKTSCISSGGGNLHPSNVPSEFEEEFGSSSDSGQGAWSMLSKEVASNVAETVVSLASFDPGNSMFFACTGIIIECSLTTASILTSLSLIKSIDDDSKTFINRKIKVHLPNNLVAMGWLKFYDVKCNIAIVNIKAFPVLRATCLDLQQQLKSQSEVVAVGRCFSSGKLMATTGMLIDNPSEAYSEELEVSTCEITMTGVGGPLIGLHGDFVGMNFYDTKVTPFLPKKQILEVLMQCRKIPTCCVKCEQGVDRRTGRRFSNLPEIEYPGLDVRSRLGKNPSLCTICDPNGQTGLEDKLLGTLSFLPRWPQDGYGVGSMDERRNVLRSRNYPFPLLEDRGRLFHTFEDKFCEDIWWKLTEKVASHMSQSVVSLASFIGEARFFACTGILIDCTESTTRILTSASLVRTCDDNDTIIDNLKDCCSSFCPRSKPNQMACA* >Brasy1G348700.1.p pacid=40058317 transcript=Brasy1G348700.1 locus=Brasy1G348700 ID=Brasy1G348700.1.v1.1 annot-version=v1.1 MVDEVVGHLDIFSTAQFTSRHNEPGPVPFGTPCLLMSLEDDQQAEAHRPMDDNNDDEEQAERTTTFGLLELHSPWRETATFPACIRAMRGRYWVGAKDDWLATVDESCNAELLNPYSGHRVHLPAITTIPGAELTGRVCELRRCGTNGYDHVLRRIVVCETPSADNGYLVIGIFSSGLLAVARSGGEHSWTALKNPREAWSSSDSYSDAIAHKGKVFAVGSNGYIYAWDLDGAGTTCHEPVKLRPPQAASHGNYKCPQNLAESADGRRLLLVCTHDWRYKLNVNLSGEIERCDEHGHGVLLYELDVDAAVPGSDGDRRGWSRVTSLGDRALFLGSNYPFLADVDRGSELLRPNSVCFTMNELFGYLGMDFDMVVLDLDDRDHQRTKLFHSNRYYSFQSPIWFRPRVVARQCRSI* >Brasy1G184500.1.p pacid=40058318 transcript=Brasy1G184500.1 locus=Brasy1G184500 ID=Brasy1G184500.1.v1.1 annot-version=v1.1 MQGAKLCMLADMLGSTGSLTGVDVAKHRLAACRMMLQKYSLGDRSRLFVADGTLFSILPANSSLGSMEESTGIKDNGSIFSEWTSKRSWKERQKSKKAKAAGSPHPTSTSEPELIYYGKDSGLVGLRKCDVLCPSADVDACTSGYDKGTVNMSATYNTRSHDIESSFVARGDLWRLEASRRFNFWK* >Brasy1G307900.1.p pacid=40058319 transcript=Brasy1G307900.1 locus=Brasy1G307900 ID=Brasy1G307900.1.v1.1 annot-version=v1.1 MGLEVPPEESNRCVRGCCRSAAIPLHLPAASFSLLSPIARGSESTVYEARLGGERAAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLAKLIAAHARPPNYLMFFEFFEPPNLADKIHVQEWSPSILQVVTIASYLAKTLQYLQILGIVHRDIKPANILLDKDLLPHLADFGLAMYKKDIKSVSVENWKSSGKPTGGFYKKNMVGTLIYMAPEILRKDLHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTSAVVSQGLRPALALPESGSPPSFLSLIQRCWDPDPEQRPSFEEIIEELNVIQKYLVTCSSPSSCRLVNKSQNGNMEVHHYQEALNWFNQGELLTKKENKLDSIVNPWSAYFGQSCISIYRPTLRWGSFATCGRRETMEDTHFMLPCMSKEKDVHSFGIFDGHRGAAAAEFSVRAVPGFLRQFVHTTSPTDALAEAFVRSDTAFREELILHQKSKRITQKDWHPGCTAVTALIVRNKLFVANAGDCRAILSRAGKPHPMTRDHVASCPEERERVIKEGTEVRWQIDTWRVGAAALQVTRSIGDDDLKPAVTALPEIIETDLSAEDEFLVMASDGLWDVVSNEEVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY* >Brasy1G218400.1.p pacid=40058320 transcript=Brasy1G218400.1 locus=Brasy1G218400 ID=Brasy1G218400.1.v1.1 annot-version=v1.1 MAKHIDDDLAAIPIEVSGRRPAPAMTGLGWPPPPQGPGPWSPFLGSGAAIHGDAASMRSGLENAGRREGWSIDEGEGAGGAPWRGCATSAGTVASPRLALVLHSSGAEPRPPHPPAAPPRPPRPSHLRLHHRCRIRTPSGRRRHALPPLLPVMPAVTARRMRSTEHGPRRSHQWHEVTGFP* >Brasy1G273100.1.p pacid=40058321 transcript=Brasy1G273100.1 locus=Brasy1G273100 ID=Brasy1G273100.1.v1.1 annot-version=v1.1 MEIQLVFTTSPLPISLLPPTVLAQQQTVSYASEFISAMAISAVLSQPWSLVFSLGAIVALWWAWRVLELAWINPRKLGRTLQAQGLRGTAYRFPFGDLKEFARLVAVARSKPIMPPSHSITPRVAPLYHNVIKEHGKISVTWFGPMPRVIVNDPKLVREILSNKFGHFRKRKFNNGIVRRLANGLVSHDGEKWATHRRIINPAFHVEKLKKMWPAFVACCNELATRWEDRVGSDEVREIDVWPEFQNLTGDVISRAAFGSSFSEGRRIFQIQSEQAQNVVKMVSTLYLPGFRFLPTKLNRRVKANACEVEALLKGIIRKREKAMEEGSASNEDLLGVLMECNIAETKEAGNSKSIMTMDDIIGELKLFYFAGMDTTAVLLTWTMVALSMHPEWQDRAREEVLHVFGKKDQPDFDGINQLKVVSMILYEVLRLYPPVIQFDRQTYKEIELGGVRYPPGVILSLPIVFLHHDPDVWGEDAEEFRPERFAEGISKASRNSPAFFPFGWGPRICVGQNFALIEAKMALSKILQRFSFGMSPSYMHAPFPVSTLQPDHGAQIMLKKL* >Brasy1G273100.2.p pacid=40058322 transcript=Brasy1G273100.2 locus=Brasy1G273100 ID=Brasy1G273100.2.v1.1 annot-version=v1.1 MPRVIVNDPKLVREILSNKFGHFRKRKFNNGIVRRLANGLVSHDGEKWATHRRIINPAFHVEKLKKMWPAFVACCNELATRWEDRVGSDEVREIDVWPEFQNLTGDVISRAAFGSSFSEGRRIFQIQSEQAQNVVKMVSTLYLPGFRFLPTKLNRRVKANACEVEALLKGIIRKREKAMEEGSASNEDLLGVLMECNIAETKEAGNSKSIMTMDDIIGELKLFYFAGMDTTAVLLTWTMVALSMHPEWQDRAREEVLHVFGKKDQPDFDGINQLKVVSMILYEVLRLYPPVIQFDRQTYKEIELGGVRYPPGVILSLPIVFLHHDPDVWGEDAEEFRPERFAEGISKASRNSPAFFPFGWGPRICVGQNFALIEAKMALSKILQRFSFGMSPSYMHAPFPVSTLQPDHGAQIMLKKL* >Brasy1G171600.1.p pacid=40058323 transcript=Brasy1G171600.1 locus=Brasy1G171600 ID=Brasy1G171600.1.v1.1 annot-version=v1.1 MLTDDSAGSTTELGDEDHSVSGDSLSEWRACDQVDNDSPSTSPPFWDTDGEDDDPGPGPSNLFGRHTWKIKNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVADHDKHLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFCCLYRPYRRELLRVYMTNIEQIYRRFVEERRNKLTKLIEDKMGWSSFRAFWLAIDPSTRRHMSREKSDIILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQSKGMNGRGRLMDSEELSTSMVHVEMDMFVLAGDVIALLERAALEPLPCQPLSPKDDKCSQSRTKDGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEAGLLENETKGKRSSTTDKEKRAKKKQAKQKKNNRKVKDKDRGEKPDSNFPEKDEDECTIQDREDSKQAGQVAMKVDTSEEGASDVSDNLDGIVEVCQTNVGDKVSQPVNAINDVGIETENMHTLKNSITENNSLACSSESGTMNIIQGKRTTLVSPNRGRTQRSRATSSMNNAKDEDDLPSSTASSDRNASDCGPAPKLDQETVILTLKDRLEKLGQRLHEKEVEGRKLLKAHLEKKAAAEAASSSSSLENIPNAVKSPVRPPVTSSEASICARPPKSLPVDTNGANGVTPAAPNTTRSTESGPIVAPTPSKAEPVLSKEHVSSSNLKFDRATPVTSRSLPVDKAATIPSSLLLVNKATQAPPESPAPRVAKAANAIPAPPKSSTRAITAPPRSPAPQVERVAKAIPALPKSPAPQVDKVAPVNASPSNSEAQKNIVSKRIAVSSVPQTPTSASRPSSAPLVQAPRATLPPTSAVQVPPLLSRSRSVSRQSSIEPSSPVPSYVPQTYRNAIIGKGGLDTTLSSVDNSSSLGQCNALSQPLSTYPSATSVMVPPLGRNVQLPGNQGFMSGLGKLEALDNWHLWKGDSDASKHMWRDGASTPYQQMINADARIHPWTDSSYNPTSNSKTEEGRFGGVPHRQFQRDIPTNLVSHHQLQGSVGEEFPHLDIINDLFEEEQSNVSMAQPPLQEYRTFGLPFSPRGNLAEADMASLSSSGRLNLTEHYYDEGYPGAYDRMDALHRLREGQFSTLDAYSNGRVDSVSSKPWLYSYPNPVVNPGINPNGFPQQVGDYTNYASGRVNEEYLYRRANGQW* >Brasy1G171600.3.p pacid=40058324 transcript=Brasy1G171600.3 locus=Brasy1G171600 ID=Brasy1G171600.3.v1.1 annot-version=v1.1 MLTDDSAGSTTELGDEDHSVSGDSLSEWRACDQVDNDSPSTSPPFWDTDGEDDDPGPGPSNLFGRHTWKIKNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVADHDKHLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFCCLYRPYRRELLRVYMTNIEQIYRRFVEERRNKLTKLIEDKMGWSSFRAFWLAIDPSTRRHMSREKSDIILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQSKGMNGRGRLMDSEELSTSMVHVEMDMFVLAGDVIALLERAALEPLPCQPLSPKDDKCSQSRTKDGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEAGLLENETKGKRSSTTDKEKRAKKKQAKQKKNNRKVKDKDRGEKPDSNFPEKDEDECTIQDREDSKQAGQVAMKVDTSEEGASDVSDNLDGIVEVCQTNVGDKVSQPVNAINDVGIETENMHTLKNSITENNSLACSSESGTMNIIQGKRTTLVSPNRGRTQRSRATSSMNNAKDEDDLPSSTASSDRNASDCGPAPKLDQETVILTLKDRLEKLGQRLHEKEVEGRKLLKAHLEKKAAAEAASSSSSLENIPNAVKSPVRPPVTSSEASICARPPKSLPVDTNGANGVTPAAPNTTRSTESGPIVAPTPSKAEPVLSKEHVSSSNLKFDRATPVTSRSLPVDKAATIPSSLLLVNKATQAPPESPAPRVAKAANAIPAPPKSSTRAITAPPRSPAPQVERVAKAIPALPKSPAPQVDKVAPVNASPSNSEAQKNIVSKRIAVSSVPQTPTSASRPSSAPLVQAPRATLPPTSAVQVPPLLSRSRSVSRQSSIEPSSPVPSYVPQTYRNAIIGKGGLDTTLSSVDNSSSLGQCNALSQPLSTYPSATSVMVPPLGRNVQLPGNQGFMSGLGKLEALDNWHLWKGDSDASKHMWRDGASTPYQQMINADARIHPWTDSSYNPTSNSKTEEGRFGGVPHRQFQRDIPTNLVSHHQLQGSVGEEFPHLDIINDLFEEEQSNVSMAQPPLQEYRTFGLPFSPRGNLAEADMASLSSSGRLNLTEHYYDEGYPGAYDRMDALHRLREGQFSTLDAYSNGRVDSVSSKPWLYSYPNPVVNPGINPNGFPQQVGDYTNYASGRVNEEYLYRRANGQW* >Brasy1G171600.4.p pacid=40058325 transcript=Brasy1G171600.4 locus=Brasy1G171600 ID=Brasy1G171600.4.v1.1 annot-version=v1.1 MLTDDSAGSTTELGDEDHSVSGDSLSEWRACDQVDNDSPSTSPPFWDTDGEDDDPGPGPSNLFGRHTWKIKNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVADHDKHLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFCCLYRPYRRELLRVYMTNIEQIYRRFVEERRNKLTKLIEDKMGWSSFRAFWLAIDPSTRRHMSREKSDIILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQSKGMNGRGRLMDSEELSTSMVHVEMDMFVLAGDVIALLERAALEPLPCQPLSPKDDKCSQSRTKDGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEAGLLENETKGKRSSTTDKEKRAKKKQAKQKKNNRKVKDKDRGEKPDSNFPEKDEDECTIQDREDSKQAGQVAMKVDTSEEGASDVSDNLDGIVEVCQTNVGDKVSQPVNAINDVGIETENMHTLKNSITENNSLACSSESGTMNIIQGKRTTLVSPNRGRTQRSRATSSMNNAKDEDDLPSSTASSDRNASDCGPAPKLDQETVILTLKDRLEKLGQRLHEEVEGRKLLKAHLEKKAAAEAASSSSSLENIPNAVKSPVRPPVTSSEASICARPPKSLPVDTNGANGVTPAAPNTTRSTESGPIVAPTPSKAEPVLSKEHVSSSNLKFDRATPVTSRSLPVDKAATIPSSLLLVNKATQAPPESPAPRVAKAANAIPAPPKSSTRAITAPPRSPAPQVERVAKAIPALPKSPAPQVDKVAPVNASPSNSEAQKNIVSKRIAVSSVPQTPTSASRPSSAPLVQAPRATLPPTSAVQVPPLLSRSRSVSRQSSIEPSSPVPSYVPQTYRNAIIGKGGLDTTLSSVDNSSSLGQCNALSQPLSTYPSATSVMVPPLGRNVQLPGNQGFMSGLGKLEALDNWHLWKGDSDASKHMWRDGASTPYQQMINADARIHPWTDSSYNPTSNSKTEEGRFGGVPHRQFQRDIPTNLVSHHQLQGSVGEEFPHLDIINDLFEEEQSNVSMAQPPLQEYRTFGLPFSPRGNLAEADMASLSSSGRLNLTEHYYDEGYPGAYDRMDALHRLREGQFSTLDAYSNGRVDSVSSKPWLYSYPNPVVNPGINPNGFPQQVGDYTNYASGRVNEEYLYRRANGQW* >Brasy1G171600.2.p pacid=40058326 transcript=Brasy1G171600.2 locus=Brasy1G171600 ID=Brasy1G171600.2.v1.1 annot-version=v1.1 MLTDDSAGSTTELGDEDHSVSGDSLSEWRACDQVDNDSPSTSPPFWDTDGEDDDPGPGPSNLFGRHTWKIKNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVADHDKHLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFCCLYRPYRRELLRVYMTNIEQIYRRFVEERRNKLTKLIEDKMGWSSFRAFWLAIDPSTRRHMSREKSDIILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQSKGMNGRGRLMDSEELSTSMVHVEMDMFVLAGDVIALLERAALEPLPCQPLSPKDDKCSQSRTKDGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEAGLLENETKGKRSSTTDKEKRAKKKQAKQKKNNRKVKDKDRGEKPDSNFPEKDEDECTIQDREDSKQAGQVAMKVDTSEEGASDVSDNLDGIVEVCQTNVGDKVSQPVNAINDVGIETENMHTLKNSITENNSLACSSESGTMNIIQGKRTTLVSPNRGRTQRSRATSSMNNAKDEDDLPSSTASSDRNASDCGPAPKLDQETVILTLKDRLEKLGQRLHEEVEGRKLLKAHLEKKAAAEAASSSSSLENIPNAVKSPVRPPVTSSEASICARPPKSLPVDTNGANGVTPAAPNTTRSTESGPIVAPTPSKAEPVLSKEHVSSSNLKFDRATPVTSRSLPVDKAATIPSSLLLVNKATQAPPESPAPRVAKAANAIPAPPKSSTRAITAPPRSPAPQVERVAKAIPALPKSPAPQVDKVAPVNASPSNSEAQKNIVSKRIAVSSVPQTPTSASRPSSAPLVQAPRATLPPTSAVQVPPLLSRSRSVSRQSSIEPSSPVPSYVPQTYRNAIIGKGGLDTTLSSVDNSSSLGQCNALSQPLSTYPSATSVMVPPLGRNVQLPGNQGFMSGLGKLEALDNWHLWKGDSDASKHMWRDGASTPYQQMINADARIHPWTDSSYNPTSNSKTEEGRFGGVPHRQFQRDIPTNLVSHHQLQGSVGEEFPHLDIINDLFEEEQSNVSMAQPPLQEYRTFGLPFSPRGNLAEADMASLSSSGRLNLTEHYYDEGYPGAYDRMDALHRLREGQFSTLDAYSNGRVDSVSSKPWLYSYPNPVVNPGINPNGFPQQVGDYTNYASGRVNEEYLYRRANGQW* >Brasy1G429100.1.p pacid=40058327 transcript=Brasy1G429100.1 locus=Brasy1G429100 ID=Brasy1G429100.1.v1.1 annot-version=v1.1 MEKMSRRLVNLIVQNSIGCRPAYTLYRINPWSFFYPTTRQASNNKTASIENARLPRAAISFYLPCPPHDDGEINFLSLGGSSNDIISMDQDGNTLQYEAASRALRLLPVPHEPKVSPVSVAIHDSLYLLNEIPGLQNEEHPFEAFHYKNSTGRCGDDRWYWCSLPPPPFVIDYDNLCHQRVEDDDTCSSYHRQNEMNNSDIVEAYTVVGDSQIWVSTQDGVTYSFDTTSGVWSNAGEWPLPFSGSAMYAPEHGLWFGFTSDSQGCQFAASDLGAASTMTPPVLHKAWNEPLPKQWVQVMDPYLLPLGSGKFCIGRVFDRAEEGRTKETFAVLTGVEVERRGSRGALRLIKHKSKRFSFGRRMVKTIL* >Brasy1G429100.2.p pacid=40058328 transcript=Brasy1G429100.2 locus=Brasy1G429100 ID=Brasy1G429100.2.v1.1 annot-version=v1.1 MEKMSRRLVNLIVQNSIGCRPAYTLYRINPWSFFYPTTRQASNNKTASIENARLPRAAISFYLPCPPHDDGEINFLSLGGSSNDIISMDQDGNTLQYEAASRALRLLPVPHEPKVSPVSVAIHDSLYLLNEIPGLQNEEHPFEAFHYKNSTGRCGDDRWYWCSLPPPPFVIDYDNLCHQRVEDDDTCSSYHRQNEMNNSDIVEAYTVVGDSQIWVSTQDGVTYSFDTTSGVWSNAGEWPLPFSGSAMYAPEHGLWFGFTSDSQGCQFAASDLGAASTMTPPVLHKAWNEPLPKQWVQVMDPYLLPLGSGKFCIGRVFDRAEEGRTKETFAVLTGVEVERRGSRGALRLIKHKSKRFSFGRRMVKTIL* >Brasy1G480600.1.p pacid=40058329 transcript=Brasy1G480600.1 locus=Brasy1G480600 ID=Brasy1G480600.1.v1.1 annot-version=v1.1 MTTPSRKRLMRDFKRLMQDPPAGISGAPQDNNIMHWNAVIFGPDDSPWDGGTFKLTLQFNEEYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKRDYNRKVREVVEQSWTAD* >Brasy1G044100.1.p pacid=40058330 transcript=Brasy1G044100.1 locus=Brasy1G044100 ID=Brasy1G044100.1.v1.1 annot-version=v1.1 MAEVEEVGSRMEAQLRLHAGPAAGEDDDGDLPLPVLFDRASHLHALASSSSLDQDGIRKGVDLLRRCDQMVCKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEQVDREDRIPVLKASQDHLKEFISICEALELIPEDELELSRQGQSETAANRRAQKVARFKRQKAAETKLQEIKERKERRGRSLRAAALSAPIEAGEEDDFEDGGEEEREAWLATIALALCKAFDLVDMLKKEEEMLLAVKERKEKDGNAFAREMLDERTQKAEAWHQNAASRAPYSKPADPITCAHFAQDVIEGRASVSQAHDHKHQPMIFGPASLVGGGLTSERERMAARVFQPSYRMPTMSIEEAGLTEMKIMEEWQAKTAKMIQEASSSWHKDGTSSAQDDEDAEEEKARAWDDWKDENPRGAGNKKLTPCG* >Brasy1G369700.1.p pacid=40058331 transcript=Brasy1G369700.1 locus=Brasy1G369700 ID=Brasy1G369700.1.v1.1 annot-version=v1.1 MQCVEGPQQQPDDEARSPPPGEAGSSRRRPSPCTCSTRPGSPSPMRRRDLGPCSCRHERRTTTPSPRAASNFARLRQERFPFAQTPSWDSWMLICSKRERQKLVLLFVFCVMVLNATLDKAAIRPPPTTDLKGRTASHQMRAFPQQQQAVHPPT* >Brasy1G566100.1.p pacid=40058332 transcript=Brasy1G566100.1 locus=Brasy1G566100 ID=Brasy1G566100.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLSVISDEAHLLGGVGGDVQFIKEEMESMNSFLMHLARKTPRSGEHDEQVSTWMKQVRDLAHDCSNCIDIYLRRRDPAVYRARGVLLGYLWWVPWYVKKTLAQHLAATQLRDLKARARDVGERRLRYGVEVPAKADDSDKLLMSPTEASFQAAAGVVAEGEHDLEDDYYRATNDDPRRELAFSDPRLLFKCTETLMKWLEHQHEDGQFQAIAIAAPDEEDGNDIIDEALRHESVTEKFDHNFSLEDQFCPKEPRNFLCDILKKLQPPESDEISMIEEEIDEKICKIKEIKIEEHLEEAGNKGDWVEPLGVLQGVLLVLLQDATVHAGKDQTQEKILEDTAEKMMKYLESAGEKGSCRIGVPEHHPKIVAILQELLTKQAITPAKAATNKPGEDHIVKNIRDITLKIQVQIQPELLPVTALHQQDKSVEESPLSPGEDEEYCRERIKQVLKRIKEHLLIQETTGRVRKHLQGTRTLVVLKNAYGYKWEETARALRDLGCSSMAVVVTTKFKQSANEFCYGTEPIVYSSIEYYHDTALQLTNRHVNDDDNYSSTIFHEILEKCRLDVFCINMFIHALFANPMRRREELHKLSNSLVFGGSVETNGYKMIKFSYNDLPWDYKTCLLYLAIFHKDEKINRTRLIGRWVAEGLITRQDWPSSVSQAERCFDVLADLWLVCPSDLDDGGKVKSITLHPLVFSFITKMARKEHILDTRLSRHLARHFSVLSNIRLRPSDSILSFLKQPSNASSQLNLVKVLDLEGCVSLRDNQRCLRNVCTLLILLKYLSLRNTDVTQLPKEINRLQQLEVLDIRQTPMNASAIKQLMLLKLKRLLAGQSDDTGGGGGDVILSTVKMPHKVKKMMDLEVLSHVQASKHHATELRDIGQLWQLKVFGVVIYDWKAQLVNLLQGISDLNECLVSLSIEIKPLAASEAVATPPIEIKPLPASEAVDTPPDADFISAHCKNRPKLLESLSISGVTMYGRLLRFFARGCRKLAKTTGFQNLKYLLVEGGGITVIDFGTGEATKLEKIVWLIDEMESLSGINNLPKLKEMVFNDGIRLPDQVKQAIEAHPNFIDNNGIWW* >Brasy1G336400.1.p pacid=40058333 transcript=Brasy1G336400.1 locus=Brasy1G336400 ID=Brasy1G336400.1.v1.1 annot-version=v1.1 MDASSNGNSTGVVKQLSAAAAATPLLQQPPAPVLRGGRAVRGALLLGANYAALFVGSLSSGLLSRFYFAHGGADRWLATLVQSAGFPALLLLLLLLSTGRTSPRPFSGFTPRLVLCCVLLGLVMGLNNLLYSCGTSYLPVSTTSLLLSMQLAFTLALAAALVRAPLSFANVNAVVLLTLSSLLLALRGHGIAADDPTTRSAGGGRDYMVGVAATLGAALLFALYLPAAELVYRRGGVTGFRMVVEAQVIMEAVTTAAVALGAAATGGNKWPWSGGFVVEATWELSPAAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAAGVLLFGDDFGPDKAVAMVLCLWAFSSYVYGEYKKGGDKHTTVGYVVERGVLDVV* >Brasy1G316600.1.p pacid=40058334 transcript=Brasy1G316600.1 locus=Brasy1G316600 ID=Brasy1G316600.1.v1.1 annot-version=v1.1 MRTAGLAHPPQKPLPHESARRVPAADRVPPRRRLPPPTPQRTTSSSLRSKVGTQAQRPDAGFLAAPPSSVWPDLGRGEERRGHILRDSTAACRFCC* >Brasy1G312900.1.p pacid=40058335 transcript=Brasy1G312900.1 locus=Brasy1G312900 ID=Brasy1G312900.1.v1.1 annot-version=v1.1 MSEGSSEAGGAGRGRLTLAPGDGVLSRPHEGGWILVKRKKSVSSAGATAVPRSGNGRKAWSGPLPPRRITPPTMFGELLATASSSTSVRSSLRGEGALRRIPPKSLHSVSCVGGGHGGSFSRAEETGLTGGVDSGRSFNLYLRSRSPGWQVHDCRMPAGVPLQNVACHVQVRQEGGVGSGNGQLPVLSSVSSMDGGRRVGHGGAGNGRGAPPRGAGLNSRSTQAAGLPAGDAGAGRSSAATAAASAAAASAAVAAAEALVPVAQVGNGGTKRPLPNESRAPQVGDAAESSAAGAVHTRHNRVRPDGTPLVCDICESPDHIAPRCPILRAPRSAPLFGSL* >Brasy1G116000.1.p pacid=40058336 transcript=Brasy1G116000.1 locus=Brasy1G116000 ID=Brasy1G116000.1.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMEVNAAAEVIYDLVDPTANLIFGSVIDPSYAGQVSITLIATGFKRQEGNEGQSVQGSQPSGDNHRRQTSHFSSSPRNEGHAIEIPEFLRRNGRPGFSKG* >Brasy1G116000.6.p pacid=40058337 transcript=Brasy1G116000.6 locus=Brasy1G116000 ID=Brasy1G116000.6.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMEVNAAAEVIYDLVDPTANLIFGSVIDPSYAGQVSITLIATGFKRQEGNEGQSVQGSQPSGDNHRRQTSHFSSSPRNEGHAIEIPEFLRRNGRPGFSKG* >Brasy1G116000.3.p pacid=40058338 transcript=Brasy1G116000.3 locus=Brasy1G116000 ID=Brasy1G116000.3.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMEVNAAAEVIYDLVDPTANLIFGSVIDPSYAGQVSITLIATGFKRQEGNEGQSVQGSQPSGDNHRRQTSHFSSSPRNEGHAIEIPEFLRRNGRPGFSKG* >Brasy1G116000.2.p pacid=40058339 transcript=Brasy1G116000.2 locus=Brasy1G116000 ID=Brasy1G116000.2.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMEVNAAAEVIYDLVDPTANLIFGSVIDPSYAGQVSITLIATGFKRQEGNEGQSVQPSGDNHRRQTSHFSSSPRNEGHAIEIPEFLRRNGRPGFSKG* >Brasy1G116000.4.p pacid=40058340 transcript=Brasy1G116000.4 locus=Brasy1G116000 ID=Brasy1G116000.4.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMECY* >Brasy1G116000.5.p pacid=40058341 transcript=Brasy1G116000.5 locus=Brasy1G116000 ID=Brasy1G116000.5.v1.1 annot-version=v1.1 MATQVVFSTASSCGYPLGTSGDRPGKSPFGVSLSHFQRRSRFISRNNIPCPDQFECSASSRRVGSRLTKDAILDLQPEISLLHGEGNGAISVRSKEKSVEKSVERLVDTPVRYSYNEASIKVIGVGGGGSNAVNRMIESYMKGVEFWVVNTDFQALRMSPVDMENRLHIGQELTRGLGAGGNPNIGMNAAKESQELIEQALSGSDMVFVTAGMGGGTGTGGAPIIAQIAKSMGILTVGIVTTPFSFEGRRRAIQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNAPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSIMADAGSSLMGIGTASGKTRARDAALNAMQSPLLDIGIERATGIVWTITGGNDLTLMECY* >Brasy1G189400.1.p pacid=40058342 transcript=Brasy1G189400.1 locus=Brasy1G189400 ID=Brasy1G189400.1.v1.1 annot-version=v1.1 MAAASNYLLLATLLLFAAASLPPSSAKDFTVGDKQQWAPNVNYTSWPDKYRFHVGDWLVFKYQKGMYDVMQVDEAAYEKCDASKPIASYDRGTAFPFQLNHTGRYYFICSKGYCWGGMKVSVLVEPPASEQPPAVAPSTSGAAAAARYGASWAALALVGAAVLGLPFAA* >Brasy1G364200.1.p pacid=40058343 transcript=Brasy1G364200.1 locus=Brasy1G364200 ID=Brasy1G364200.1.v1.1 annot-version=v1.1 MSIRGSESKPVVCPDRAPTGAMSDNPAGAATEPTIKIPRPALRAAGAGAGRRAAAGAAGGAAGGRSGGEARGGRSGGRRWGRRGAAAGGRGIRRRRRAGAGTAIEPGGSSKTARFTGFHQNRPVHPVFPGPLGRPVQCAKKTVWSSGSGFFRSDRRSGPEQQHHAIRIADRRARRASAREEEEEEEEEEDENLYRRRHHLPVLIPDDGNNEEEDAMGIIVCGGGCGGGAEHAVVEHEPPWPPPALSSAVAAAPLPPGAACAPVGLASAPVPPVARRPRVRRQGPPPAPRRQSPPARPTAGAAARASAPRLQPPPAPRRQSLPPTPRTIGDPPDPVFVG* >Brasy1G186800.1.p pacid=40058344 transcript=Brasy1G186800.1 locus=Brasy1G186800 ID=Brasy1G186800.1.v1.1 annot-version=v1.1 MGDGRRRRRQRKQNPQSKSAPEDNKVDAIPDELLCLVFLRLTSPVDLVRAASACRRWRRVIAADAFRVVCSQHGAPPSHVVGHYRVDEPLPPTRPPGRSPSFVLSSSTPWKGVVAPGFLALDFLPRTEHGEFRWELAAPTSGAGSCSSRASAPHTAWSRRLRPPGTALRETIPASAWFRGRCCFLGSFLLDGAAGGRISLSNFRATCVLYRDGIAKAYAFSSAAGGGRGWTSAHGSTVMLRNDYWTAPSLAIFAGSTAGCAYWTVEDRDVALALDKEASELSSSVLPDALRGKPPSLDYAYELPWPPTIRACL* >Brasy1G003700.1.p pacid=40058345 transcript=Brasy1G003700.1 locus=Brasy1G003700 ID=Brasy1G003700.1.v1.1 annot-version=v1.1 MDGDLWTPESFPDGDICVCICIDDTYLAVCQLNESFIHSFSIGLSASISYCYAPQQEQDKDRTITGIFFI* >Brasy1G407100.1.p pacid=40058346 transcript=Brasy1G407100.1 locus=Brasy1G407100 ID=Brasy1G407100.1.v1.1 annot-version=v1.1 MATAGIVGTIALLVLVMLSMSHVTSSLRPGLGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGLSECDNSYHSDKEMVVALSTGWFKHMARCGHRIKITANGNSVYAKEVDECDSVYGCDDDHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSEE* >Brasy1G148500.1.p pacid=40058347 transcript=Brasy1G148500.1 locus=Brasy1G148500 ID=Brasy1G148500.1.v1.1 annot-version=v1.1 MASGLVTDDAGVGRLVRIRSTVMHMLRDRGYLVVEHELAMTRRDFERKYGESFHREDLLINKCKKNDPNDQIYVFFPNDEKVGMKHIKKYVEMMNAEKVSRAVVVVQQNLTPFAKSFLQELEPKIHLEVFQDAEMLINIKEHVLVPEHQVLTNDEKKTLLERYTLKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV* >Brasy1G066200.1.p pacid=40058348 transcript=Brasy1G066200.1 locus=Brasy1G066200 ID=Brasy1G066200.1.v1.1 annot-version=v1.1 MGFPKEGSLMKPNHCNAVWFLMGAALPTMLLFVLASDRVGEQLSIVSSWGNRIGDLPRPSHPKEAAPAQEKEEMFPGLAQLLPKVAMEDQTVIITSVNEAWARNGSLFDLYRQSFKNGEDTEHLLNHVLVVALDPAGFRHCNIVHPHCYLLEVKNENFTSAAQFMSKEYLDLVWTKLSLQRRVLQLGYNFLFTDTDMILLRNPFRHITVDADMSVSCDSFSATRAPLDNRVNTGFYYMKATNRSMELLRYWHAARPRFPGDHDQGVFYNIKHELVEKLKVRIEPLDTVYFSNFCEYHNDLGSACTMHAACCKGLDNKVHDLMDMAAVWKNYTSLAPEERKKMGGKLKWTVPARCYKSMGWN* >Brasy1G489300.1.p pacid=40058349 transcript=Brasy1G489300.1 locus=Brasy1G489300 ID=Brasy1G489300.1.v1.1 annot-version=v1.1 MVEKTAVVGEAAKERKGLWSPEEDERLYARITRHGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPISRREEDTIVSLQKSLGNRWSVIAARMPGRTDNEIKNYWNSRIRKRQSVVSSGSGDGGRANGDPPAAAAAETHHELALAEAPAGEKEKLCADAPPAVPPARFPVFACQLPAGSGAINAAPQSTTTTTAHEHNGAGSESEVSVGGSEEHYYYYRGGDMDMIGHLLSFDDLEYDPAAAAGGGDLLMDDAWESQLYCANSGSSVD* >Brasy1G379900.1.p pacid=40058350 transcript=Brasy1G379900.1 locus=Brasy1G379900 ID=Brasy1G379900.1.v1.1 annot-version=v1.1 MDNFFNSVFSAAAAGEEEEEEREGEEDQAASAAERHGGGDFGGGWSFGGLIKTFAEEMEGQRGDHEEGEQEVAAAAVEGEEEGGGSGGGWSFGGLIKTLAEELPQREEEEAAEEEEREQEAEVAAEEEDLGEGDLSGGGWSFGGLMKTFASRSESVLEGYRRDLQDLGTGLRLETTVLRQAAVRAAAALPGALEAGASVASDRLESVGQAVDDLGAAAAGLLSHANEALRSVDAEGEDGDGDRSSQPSDSASGASWRSSLPSKKYTRFEAQVLALRADPTTFTEEPEDAEGFTRWQEAFSLDERKEEIDGVLRESPGLESFVERLVPSVVAYDTFWCRYFFAVDKLRQAEDVRTKLVSRAMSKEDEEELSWEVDDDEEDSNGDRKEDANTTVDKKEEQIDQPVSHEAKGHGKQTAVDGEIVVEDKETPLAARNDDSGEPNGEVLIPTPSSVTGREEKTEPSDSSKESDLSVVSQPSMLEEDISWEEIEDVGDQDEKKGASPRSSSTSKAEDIRKRLNSVEDDEELSWDADE* >Brasy1G402000.1.p pacid=40058351 transcript=Brasy1G402000.1 locus=Brasy1G402000 ID=Brasy1G402000.1.v1.1 annot-version=v1.1 MLQRGVSHASAAAGANQQLRAQAAELERQFEVYKQRLAEKRRDAAAAALVVVDHPKVGVAGAEEEEDDDEVGRGRRYEAYVRRRDEKLRQGWLARMERKEAEVKALWARLDQPRRPPHSADLAAFPAPREVKPRNTEKPISPAAPKCTPAMKLPRLKSTTTPSSSPAAAAASPTLSSSRRVAPHPEPQPPATPRKENRMSPPSTATTPRQLKTLSRTRSSFVRDAKEDRGGGGGRGFSNSSYSAASRESPRPPRVQPSRASYDGGAGHRPKQAVAAPEPLRLRRSRASSPLVAPRQEQVDFFARLQENNARNKDAEKITSCHYSDAEKAKNTDIADGGDEIAKPAETPPVDAAITGDSDTEPSYVYVKKDDEASGFCSDLGETFEVDTTMASSSSSSEETETDKTVKENPAINYGGGEAGSSSQAESSSESLYSNVQSSFSHGSELAADSPLRALSTEQLLEADAAMLRKKRHGEEDDNDEADRSIVFLPTTGKSVAGGVPVVAGTQSPMVDAVAVGIKRFLAFGKKNTAAPAPAVVIVERAPPPAREDDVVNQGWESADSIKPGIRCSYAASDDDLDKSFVISPHGAVRSLQSFPPSSPTRPELKQTAPPARSPKVQRSFFSFSTFKNRGNW* >Brasy1G172600.1.p pacid=40058352 transcript=Brasy1G172600.1 locus=Brasy1G172600 ID=Brasy1G172600.1.v1.1 annot-version=v1.1 MMSSTGHVFYGDLRSHERVAIPFSTFIHSCKSYLEHVKAASDSSKDKAIVKNPTCSEEMCSANSQDSEQLYLAQVSVMNTENKERCPLEVLKEDIQEPLFLKGKSISSINFWMSRAHMRSSTHYDPHHNLLCVVAGCKRVTLWSPSASPFLYPMPVYGEASNHSCVSIEEPDYSSYTRANYMMEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWRSKIMTEMLEHMDAYYLRQILRRLVDKEMNKIVQKGSFRHSKGSADFQPTDEESRGSQQFNLLNQVNNSGTSKKKDSPLQSLEPCTLQALYELMSLVHDSVEAVGQNGIAESTSQDTSSSQNNERNKNAKDDSSLLEKDPVAKIILPVEPLELRSMLLAMAHTFPRTLEALILNILGPTGAEILTRKFDEMDQRTMREEQTEFYKIFYSAFDDHYAAMDALLNRKELFSFQVFQNVLDQYLRVHVVRPS* >Brasy1G259700.1.p pacid=40058353 transcript=Brasy1G259700.1 locus=Brasy1G259700 ID=Brasy1G259700.1.v1.1 annot-version=v1.1 MEVVDLVSSDSEDEACIHSPERKRPAWEADPSGDPRTGSACTRIGGHGTQSFLEQTRLKRPLHQPSVTVKKDKEKVGERESSWATGALSVSGGSHGASGRISGAGWDSWNGRVSNSGDGRNEGDCFWGNQIGRSISEPREEPNNKDEVALSGQSSFLDIPDSLTESSSAWMLRVKGLHFPLPDENQLKARQIEQDEMLALKLQEQFNREQPGSENSQEVDTTLAWTLQEEDTARARIAAREGQSSSSQRDRSIAHLYSYGRHSPAENFTSWTANHTQAPISNRRWLPRNSNGPEFEKRNMIISELTKGCFRQENLDFGTKIAILDSLQEALDSCEDTYTSDFEDDDYVNSIAFDDNNHGRGASDSEIDSLPLSVVKGESCRAEAEPCPICLDNPAVGASLRHLPCMHKFHKDCIDRWLRMKTSCPVCKSSVI* >Brasy1G170000.1.p pacid=40058354 transcript=Brasy1G170000.1 locus=Brasy1G170000 ID=Brasy1G170000.1.v1.1 annot-version=v1.1 MPAAAASGGGVADAEELFRTKRIAEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRVSESLPSLSPAPEAPSASANAASPSPSGGRARLYAAAARAKYLVDTPEHIWGRLDEGMLLEAAGRYMRAQVVHRLLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAAAAIDAPSLTPSQALLLLLSSRRAWISQALAALASDPSSYTSVLCDVARIVRVTLGHVGQLFVPALTDLPLFYKTVLESPPPAQLFGGIPDPDEETRLWREHWDRLEATMVLLEPEAVARTCTDWLKECCDEIFGVIAGAQRLVDSIESGEGLGSVQKLMCEALDERKGLEGSLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWVEEAFVRRMKDIVHSEFDSLGGSINVMESMEAIGANADPKDAGDFLLYMRKASTGGSVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIKNAIDSKCKSILEDLLSFVESHNSVPRLKELVPYLQEKCYRTISEILNKLEAELGKLSASLGTQRKDNSMPAAPIIVERSLFIGRLLFALRYHSSHVPLILSSPRQWLKDSGGAAFARLSSPTPRHSRTSFDSSMPFAPRRHTLDSPRSPGRQFSDSPRRPIAAAAASLFGADDSSNPRLDELNKTLKALCITAHTLWITWVSTELSDLLSYALNSDDSLASSTALRGWEVTIIEQDEPTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHVLDKIILHNFAWDLLQKVIKIYKNFLVSIELGNSRVSEKGVLQILLDLRFIGDVLSGGKNSSTNPSETQIKQDSSPSTMAKTSFRRKQSQFQADSATIEQTNKLINQFSQRLDPIDWATYESYLWENEKQSYKRCVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQIPRFKYLPISAPALSSRTPKSSLQSPSDDSTSRSPWKSYSNGERSTSSEYDNDASLGSAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS* >Brasy1G168600.1.p pacid=40058355 transcript=Brasy1G168600.1 locus=Brasy1G168600 ID=Brasy1G168600.1.v1.1 annot-version=v1.1 MAATAGNVEFIRARSDKREYRRVVLPNALECLLISDADTDKAAACMEVGVGSFSNPEGLEGLAHFLEHMLFYASEKYPGEHDYTKYILEHGGSFNASTSSETTNFYFDVNVDNFKEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDVWRMSQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRHELLEFYKKYSANLMHLVVYGKESLDCIQSLVESLFSNVKSTDQRIFKCSSQPLSAEHLQLLVNVIPITEGDHLKISWPVTPNIHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVARSGSDSNEYSFFSVGMRLTDAGHEHMKDIIGLVFKYLHLIKEDGIHEWIFNELASTNETEFHYQDKVRPISYVTHTASSMRLFPPEEWLVGASLPSKYAPNRINMILDELSPERVRIFCKSKKFEGTTNCVEPWYNTSYSVANVTPDMIQQWIQNAPTEKLHLPKPNLFIPKDLSLKEVRDKVRFPIVLRKTPLSRLWYKPNMLFVTPKVNIKIDFHCPLSNHSPEAAVSTRVFVGLLVDYLNAYAYDAQIAGLFYSIHLTSNGFQVSVRGYNDKMRVLLHAIMKQIATFEVKANRFSALKETFIKDYQNFNFRQPHSQASYYLSLILEETKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPAEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSQIARQPAFNQLRTVEQLGYIAHLSTRSDRGVRGVQVVIQSTVKDPSYLDARIDEFFKMFESKIHELSDEDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIQSGTLKFDRVESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLYPSLKAGPGRVTMD* >Brasy1G168600.3.p pacid=40058356 transcript=Brasy1G168600.3 locus=Brasy1G168600 ID=Brasy1G168600.3.v1.1 annot-version=v1.1 MWSSSARAATSESIAAWCSPTRSSASSSATPTQTRRRRVWRSAWARSATRRVSRASRTSSHGGSFNASTSSETTNFYFDVNVDNFKEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDVWRMSQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRHELLEFYKKYSANLMHLVVYGKESLDCIQSLVESLFSNVKSTDQRIFKCSSQPLSAEHLQLLVNVIPITEGDHLKISWPVTPNIHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVARSGSDSNEYSFFSVGMRLTDAGHEHMKDIIGLVFKYLHLIKEDGIHEWIFNELASTNETEFHYQDKVRPISYVTHTASSMRLFPPEEWLVGASLPSKYAPNRINMILDELSPERVRIFCKSKKFEGTTNCVEPWYNTSYSVANVTPDMIQQWIQNAPTEKLHLPKPNLFIPKDLSLKEVRDKVRFPIVLRKTPLSRLWYKPNMLFVTPKVNIKIDFHCPLSNHSPEAAVSTRVFVGLLVDYLNAYAYDAQIAGLFYSIHLTSNGFQVSVRGYNDKMRVLLHAIMKQIATFEVKANRFSALKETFIKDYQNFNFRQPHSQASYYLSLILEETKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPAEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSQIARQPAFNQLRTVEQLGYIAHLSTRSDRGVRGVQVVIQSTVKDPSYLDARIDEFFKMFESKIHELSDEDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIQSGTLKFDRVESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLYPSLKAGPGRVTMD* >Brasy1G168600.4.p pacid=40058357 transcript=Brasy1G168600.4 locus=Brasy1G168600 ID=Brasy1G168600.4.v1.1 annot-version=v1.1 MAATAGNVEFIRARSDKREYRRVVLPNALECLLISDADTDKAAACMEVGVGSFSNPEGLEGLAHFLEHMLFYASEKYPGEHDYTKYILEHGGSFNASTSSETTNFYFDVNVDNFKEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDVWRMSQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRHELLEFYKKYSANLMHLVVYGKESLDCIQSLVESLFSNVKSTDQRIFKCSSQPLSAEHLQLLVNVIPITEGDHLKISWPVTPNIHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVARSGSDSNEYSFFSVGMRLTDAGHEHMKDIIGLVFKYLHLIKEDGIHEWIFNELASTNETEFHYQDKVRPISYVTHTASSMRLFPPEEWLVGASLPSKYAPNRINMILDELSPERVSNGFKMLLLRSSISQSLTFSSQRIYLLKKCVTRFPIVLRKTPLSRLWYKPNMLFVTPKVNIKIDFHCPLSNHSPEAAVSTRVFVGLLVDYLNAYAYDAQIAGLFYSIHLTSNGFQVSVRGYNDKMRVLLHAIMKQIATFEVKANRFSALKETFIKDYQNFNFRQPHSQASYYLSLILEETKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPAEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSQIARQPAFNQLRTVEQLGYIAHLSTRSDRGVRGVQVVIQSTVKDPSYLDARIDEFFKMFESKIHELSDEDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIQSGTLKFDRVESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLYPSLKAGPGRVTMD* >Brasy1G168600.2.p pacid=40058358 transcript=Brasy1G168600.2 locus=Brasy1G168600 ID=Brasy1G168600.2.v1.1 annot-version=v1.1 MWSSSARAATSESIAAWCSPTRSSASSSATPTQTRRRRVWRSAWARSATRRVSRASRTSSSICYFMPARNTLENMIIQNISWRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDVWRMSQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRHELLEFYKKYSANLMHLVVYGKESLDCIQSLVESLFSNVKSTDQRIFKCSSQPLSAEHLQLLVNVIPITEGDHLKISWPVTPNIHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVARSGSDSNEYSFFSVGMRLTDAGHEHMKDIIGLVFKYLHLIKEDGIHEWIFNELASTNETEFHYQDKVRPISYVTHTASSMRLFPPEEWLVGASLPSKYAPNRINMILDELSPERVRIFCKSKKFEGTTNCVEPWYNTSYSVANVTPDMIQQWIQNAPTEKLHLPKPNLFIPKDLSLKEVRDKVRFPIVLRKTPLSRLWYKPNMLFVTPKVNIKIDFHCPLSNHSPEAAVSTRVFVGLLVDYLNAYAYDAQIAGLFYSIHLTSNGFQVSVRGYNDKMRVLLHAIMKQIATFEVKANRFSALKETFIKDYQNFNFRQPHSQASYYLSLILEETKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPAEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSQIARQPAFNQLRTVEQLGYIAHLSTRSDRGVRGVQVVIQSTVKDPSYLDARIDEFFKMFESKIHELSDEDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIQSGTLKFDRVESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLYPSLKAGPGRVTMD* >Brasy1G168600.5.p pacid=40058359 transcript=Brasy1G168600.5 locus=Brasy1G168600 ID=Brasy1G168600.5.v1.1 annot-version=v1.1 MAATAGNVEFIRARSDKREYRRVVLPNALECLLISDADTDKAAACMEVGVGSFSNPEGLEGLAHFLEHMLFYASEKYPGEHDYTKYILEHGGSFNASTSSETTNFYFDVNVDNFKEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDVWRMSQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRHELLEFYKKYSANLMHLVVYGKESLDCIQSLVESLFSNVKSTDQRIFKCSSQPLSAEHLQLLVNVIPITEGDHLKISWPVTPNIHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVARSGSDSNEYSFFSVGMRLTDAGHEHMKDIIGLVFKYLHLIKEDGIHEWIFNELASTNETEFHYQDKVRPISYVTHTASSMRQWIQNAPTEKLHLPKPNLFIPKDLSLKEVRDKVRFPIVLRKTPLSRLWYKPNMLFVTPKVNIKIDFHCPLSNHSPEAAVSTRVFVGLLVDYLNAYAYDAQIAGLFYSIHLTSNGFQVSVRGYNDKMRVLLHAIMKQIATFEVKANRFSALKETFIKDYQNFNFRQPHSQASYYLSLILEETKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPAEAESIVQETEDTIFNTPNSVFKSMSPSQYLVKRVIMLENELKCYYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLQLFSQIARQPAFNQLRTVEQLGYIAHLSTRSDRGVRGVQVVIQSTVKDPSYLDARIDEFFKMFESKIHELSDEDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIQSGTLKFDRVESEVALLRELTKEEFIEFFDQYIKVDAPQRRTISVQVFSGNHSAEFKKAIAEADPPKTYRITDIFGFKRSRPLYPSLKAGPGRVTMD* >Brasy1G391500.1.p pacid=40058360 transcript=Brasy1G391500.1 locus=Brasy1G391500 ID=Brasy1G391500.1.v1.1 annot-version=v1.1 MESVWILFGSRNRQERNGSSSSSAPRHAAPAPPAPARLLPISAGGPSRASAAPPPPPPPRCPCSAALPPRARPLLRRELPPCRPHAAPYHPGPRAARRPCSAAASASAADSRAARAPPLQPPAAAMLRHRAPHSPRASRERGSAGRAHSFRSERSHSFVLERTEENVPLPCATPPLPVSFSTSPSSPPRRSPAFPKPRRAASSPPPCRLLSPSARRASYSPPPLADAPLLFRLPRRIIFFSLLSPSAHRLTAATILPPPPLVAPPLSTSPTPHLPLRPLRRLLSSSPRYLISPSSPRHLISPSPPSPMGSGSAHLISPSDNRLSPGTAPG* >Brasy1G391500.2.p pacid=40058361 transcript=Brasy1G391500.2 locus=Brasy1G391500 ID=Brasy1G391500.2.v1.1 annot-version=v1.1 MESVWILFGSRNRQERNGSSSSSAPRHAAPAPPAPARLLPISAGGPSRASAAPPPPPPPRCPCSAALPPRARPLLRRELPPCRPHAAPYHPGPRAARRPCSAAASASAADSRAARAPPLQPPAAAMLRHRAPHSPRASRERGSAGRAHSFRSERSHSFVLERTEENVPLPCATPPLPVSFSTSPSSPPRRSPAFPKPRRAASSPPPCRLLSPSARRASYSPPPLADAPLLFRLPRRIIFFSLLSPSAHRLTAATILPPPPLVAPPLSTSPTPHLPLRPLRRLLSSSPRYLISPSSPRHLISPSPPSPMGSGSAHLISPSDNRLSPGTAPG* >Brasy1G391500.3.p pacid=40058362 transcript=Brasy1G391500.3 locus=Brasy1G391500 ID=Brasy1G391500.3.v1.1 annot-version=v1.1 MESVWILFGSRNRQERNGSSSSSAPRHAAPAPPAPARLLPISAGGPSRASAAPPPPPPPRCPCSAALPPRARPLLRRELPPCRPHAAPYHPGPRAARRPCSAAASASAADSRAARAPPLQPPAAAMLRHRAPHSPRASRERGSAGRAHSFRSERSHSFVLERTEENVPLPCATPPLPVSFSTSPSSPPRRSPAFPKPRRAASSPPPCRLLSPSARRASYSPPPLADAPLLFRLPRRIIFFSLLSPSAHRLTAATILPPPPLVAPPLSTSPTPHLPLRPLRRLLSSSPRYLISPSSPRHLISPSPPSPMGSGSAHLISPSDNRLSPGTAPG* >Brasy1G391500.4.p pacid=40058363 transcript=Brasy1G391500.4 locus=Brasy1G391500 ID=Brasy1G391500.4.v1.1 annot-version=v1.1 MESVWILFGSRNRQERNGSSSSSAPRHAAPAPPAPARLLPISAGGPSRASAAPPPPPPPRCPCSAALPPRARPLLRRELPPCRPHAAPYHPGPRAARRPCSAAASASAADSRAARAPPLQPPAAAMLRHRAPHSPRASRERGSAGRAHSFRSERSHSFVLERTEENVPLPCATPPLPVSFSTSPSSPPRRSPAFPKPRRAASSPPPCRLLSPSARRASYSPPPLADAPLLFRLPRRIIFFSLLSPSAHRLTAATILPPPPLVAPPLSTSPTPHLPLRPLRRLLSSSPRYLISPSSPRHLISPSPPSPMGSGSAHLISPSDNRLSPGTAPG* >Brasy1G227500.1.p pacid=40058364 transcript=Brasy1G227500.1 locus=Brasy1G227500 ID=Brasy1G227500.1.v1.1 annot-version=v1.1 MAPSASPCSLRLLLASSASPPPLFCASVRPLPTGNRGGGVRLRIRCGAADEETSARRGEQVRASVEEMAPGRDLVTLAACLVGLLTGVSVVLFNLSVHEIRDLLWDGIPLRGASWLREEPTGEIWQRVIFVPVSGGIIVGVLNTLRNSIKSNSDDTISRIKGVFRPFLKAAAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNAFGWEDGGKMSLVAAGSAAGIASGFNAAVAGCFFAVESILWPSSANSSPLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGVVSITLSRCTTLTMDIVESLQKEAGLPMAASPALGGLIVGLLALIYPEVLYWGFENVDILLESRPFTNGLSATVLVQLIGVKILATSLCRASGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGPESLFHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTRDYRIVLPLLGAVGLSSWIASPQRFSKSSKGKMDSLEKKTSAVQQAKHVPSQTQQVNSLDSVDATAELCKLESSLCLYDVRDEKMLENLTVAEAMKTRYISVSVTTPVIEALNLMFAEKQPFVMITESNKSLVGLLTLKDFQDFCRTVKITKPQTEVHEHLVSQVYGVVKCKMCSVTPQMPLATAEKIMDSHGVDQLPVVPEHANHQDGGPLIGFIDRECITIAQRALAAKEFFSFTSEIRQEGR* >Brasy1G220500.1.p pacid=40058365 transcript=Brasy1G220500.1 locus=Brasy1G220500 ID=Brasy1G220500.1.v1.1 annot-version=v1.1 MMESANRTPARLGLLVLLYSSLLLNALFVARHFLLLPAASPPLGAASGNGEALSWALQAAREAEAVAAAGCSGHGRVFLDGVVGEDGRPGCECNTCFQGPECSVRTPDCTVDADSGDPMFLEPYWMRHAAASAVVFSGWHRMSYRTTNGVFQSVELERAIKQLHRAVGNAVADGKHMVFATGSVQLINALVYALSPDSNAGTTASVVATTPYYPSYRTQTVLFDGREYRWDGNSAIWANATSGNSSTGKDSIIEFVTSPNNPDALLRKPVVPGASAVVDHAYYWPHFTHIPAPADEDVMLFTISKVSGHASSRFGWALIRDEKVAKRADTYIQQSTLGGSRDTQLRMLKIIKAMLANLHGEDDIFRFGHDVMRAKWEKLNAVVSSSRRFSLQKIPPQYCTYFNKTREPSPAYAWVKCEREDDHDCSDVMLKAKIVTRSGVWNDASTRYTRISLLKSQDDFDLLLQRVTELVDAENNGAAAGSNSM* >Brasy1G288200.1.p pacid=40058366 transcript=Brasy1G288200.1 locus=Brasy1G288200 ID=Brasy1G288200.1.v1.1 annot-version=v1.1 MAAQERRSPHEPLLLPPEKDDDMEAQLLLPRRDSAGTTSFSRSCLNLSNVISGIGMLSVPYALSQGGWLSLALFAAVGAVCYYTGGLIDRCLRADDSLRGFPDIGHLAFGPRGRRAIGGVMCVELYLVAISFLILEGDNLDKLFPGARLGLAAGHRVEGKQLFVLVAAAVILPTTWLKDLSVLAYVSAVGLVSSAALTASLAWAAIAAAQQGSNVKGGGGSALLNLSELPTSLSLFFVCFSGHGVFPTVYSSMKKKKDFPKVLLISSVLCSLNYALTAVLGYLLYGADVQPQVTLNLPTGKTYTKIAILTTLINPLAKYALVIQPIVEAMEAKLPPARRGTTARVLINTAIVVSTVVAASTLPFFGYIMSFIGSSLNVSVAVLFPCLSYLKIYSPGGVRRFEFAVIVGVLVFGVCVAVVGTYTSLHQIIDSF* >Brasy1G251700.1.p pacid=40058367 transcript=Brasy1G251700.1 locus=Brasy1G251700 ID=Brasy1G251700.1.v1.1 annot-version=v1.1 MKTFLILALLAAMATTTTATAAVAQQQNPCKEFFLQQQCNPKKKTLQRGSCRVMQQQCCQDLAQIDVASRCQAIWNYVDSVIDTQQQPEQKQQSWDATQLARTLQAMCSDVNIPPYCI* >Brasy1G513600.1.p pacid=40058368 transcript=Brasy1G513600.1 locus=Brasy1G513600 ID=Brasy1G513600.1.v1.1 annot-version=v1.1 MLSVGGRFHGGAPPWQRPRPPSCLPSPPASSSGGGAPADDSEKMRPLLLERYRDGVVKRYMLDGDSKLQVRLEKHEHLLNTAEDGKPDSSIPRAITDFVLPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDNDPKKWRMYADFIGSVGSIFELTTPLYPGYFLPLASLGNLAKAVGRGFRDPSNRVIQNHFAKSGNLGEIAAKEEVWGVGAQLLGLSIGVLILDTSGVQSSYPTLTLTWLGVRLLHLWFRYQSLIVLKFRTVNLKRARILVRSHFAHHTVPGYVACNEEENILTWERFLQPQISFGVPMERMLGGGESSDMQVSRLLKLYKNEMYILFVCQSESKEPAFVVTFKEAATSMSVLRSLWQAHWLHKNQPKQDDVFAWLEESLVVLEGGFTDFVEQMERAGWDQSQIFLKVPKEPVLVLEQEHLDQEA* >Brasy1G513600.2.p pacid=40058369 transcript=Brasy1G513600.2 locus=Brasy1G513600 ID=Brasy1G513600.2.v1.1 annot-version=v1.1 MLSVGGRFHGGAPPWQRPRPPSCLPSPPASSSGGGAPADDSEKMRPLLLERYRDGVVKRYMLDGDSKLQVRLEKHEHLLNTAEDGKPDSSIPRAITDFVLPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDNDPKKWRMYADFIGSVGSIFELTTPLYPGYFLPLASLGNLAKAVGRGFRDPSNRVIQNHFAKSGNLGEIAAKEEVWGVGAQLLGLSIGVLILDTSGVQSSYPTLTLTWLGVRLLHLWFRYQSLIVLKFRTVNLKRARILVRSHFAHHTVPGYVACNEEENILTWERFLQPQISFGVPMERMLGGGESSDMVSRLLKLYKNEMYILFVCQSESKEPAFVVTFKEAATSMSVLRSLWQAHWLHKNQPKQDDVFAWLEESLVVLEGGFTDFVEQMERAGWDQSQIFLKVPKEPVLVLEQEHLDQEA* >Brasy1G305600.1.p pacid=40058370 transcript=Brasy1G305600.1 locus=Brasy1G305600 ID=Brasy1G305600.1.v1.1 annot-version=v1.1 MGKKRKAKAVSLCLAVRAGRGIHVWHAPKAVMAVRWPALCAEDRLSHLRTPPTEGSNGRRRIEGPGRLRRPVRRRPLRRLRPGGSGSKPRLRRRRRGLAPRRRSRRLRRRIPLRRRPPLKRPPSFGYPCRWLCCLVVPPPRSIYYLLYYIIVTRDLFVVGVILPRSATVSLDDDDFLVY* >Brasy1G227000.1.p pacid=40058371 transcript=Brasy1G227000.1 locus=Brasy1G227000 ID=Brasy1G227000.1.v1.1 annot-version=v1.1 MAMAMAASYAACHHHGHLAAGLSIPQAGGRTSRSSITISMKAQKKQSSEPGSVKGGGDGRVSGGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKIRENGKLVCLDINQLMLSQENRFAFTMEVAEEANAYLEKHRNEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH* >Brasy1G227000.3.p pacid=40058372 transcript=Brasy1G227000.3 locus=Brasy1G227000 ID=Brasy1G227000.3.v1.1 annot-version=v1.1 MAMAMAASYAACHHHGHLAAGLSIPQAGGRTSRSSITISMKAQKKQSSEPGSVKGGGDGRVSGGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKIRENGKLVCLDINQLMLSQENRFAFTMEVAEEANAYLEKHRNEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH* >Brasy1G227000.2.p pacid=40058373 transcript=Brasy1G227000.2 locus=Brasy1G227000 ID=Brasy1G227000.2.v1.1 annot-version=v1.1 MAMAMAASYAACHHHGHLAAGLSIPQAGGRTSRSSITISMKAQSSEPGSVKGGGDGRVSGGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKIRENGKLVCLDINQLMLSQENRFAFTMEVAEEANAYLEKHRNEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH* >Brasy1G040800.1.p pacid=40058374 transcript=Brasy1G040800.1 locus=Brasy1G040800 ID=Brasy1G040800.1.v1.1 annot-version=v1.1 MDHIDVEVFELCRFDLAPLEAVAYYLPRLLSGETLHGAERLIHRADIYGQLEPEDLAAAFLPAPKAERTGDRFFLTLCKRQKGSRTRSARSAGAGTWTIQTTNDVVDHAGVKKGEVKHLSFKKDKTSTGWVMEEYHCLLPEAVVEDGEMVLCKIHLSPSAQKARRGSAAHRLSGSQEQEDQPGPMPVATKRPAPAASDHDPPCAAKRARVHAQDHEAAVEAAADYMDGRFSCTMDELLGGATDDEQQDGATLPAVEAGQQMVDFDFNLDDLPVLDEDALSEVMLGLDDTAMSSPALDDGDLVRLPAVEAEQQIFELPIPSDDELDLDLLPTADELEAMMSCLEAMISSGFPRLFFQSSTCRLDA* >Brasy1G575900.1.p pacid=40058375 transcript=Brasy1G575900.1 locus=Brasy1G575900 ID=Brasy1G575900.1.v1.1 annot-version=v1.1 MSASTSPLRLFSIPISSPDLIPHPHLLLASPDLKLSPGCSCFSLSPSIPVAIPAGWVSSRSSGAARVSSRSSGAARSVLASVWSCGFGGGVWWRGLLVDLAGGARFYGEAVGRGSFCMSRGELVGATRRRLHR* >Brasy1G085900.1.p pacid=40058376 transcript=Brasy1G085900.1 locus=Brasy1G085900 ID=Brasy1G085900.1.v1.1 annot-version=v1.1 MEELKSISRGRSFMARVFRSILLLKKMEQIREGCCSLTGYFLQSRFLPRFILRHLSKMQQSRRDFTTTKKSHKNQRLKFYSVV* >Brasy1G366000.1.p pacid=40058377 transcript=Brasy1G366000.1 locus=Brasy1G366000 ID=Brasy1G366000.1.v1.1 annot-version=v1.1 MPAVFHLLSCRLGPMNKPVILGCAGERERGGCAGDGCTSGVHPWPLFHTHYRGVLCRLCPTCLLLPYPSLYCCYCFLIPGAESPAGHDAGDSLIARNAPTLICMECQEAVVHAACINKARGDNSYSAFFVCPACSSTPTEGQPFSCGVPLDELCRRVLRLSSRIALALLQKEEDRVRAAGQEPAAELVNAMKETHRLMRNAHGIDTATRDGMPPRENLLQLPAPPQGNNAPNTNNMALDLNEPAPPPSPATAPIGTEGVCRESPVEHPPPSVPTLPVRREGVCRDFNMAYTEAQSSSMASLAAGMRRELPMASAVAQSSSMALLAAGMQGVRRGFPVDCPVVQSSSMPSLAAGMQGVRREFPMASPVAQSSSLASLAAGMQGGRRGLPMASPEAQSSSMASLAAARQGVRREFPRASPVAQSSSMASLEAAIQSIRRGLPMAFPVAQSSSVASLATGMLGVVPPSPYYMASVAAATQGLRRELPMASPVAPSPSIAAGMQGVRRELPTVSPVAESSSMARRRRNGRSPGVRMELPAATPEPLPPSMATLTPGARMDLPMASRREAPAPSMSTLALGRMESPMASRREAPAPSMSTLAHGRMESPMASRREAPSPSMATQTFTLGRTAGVGREFREGPSSSLATLGPGRTILMPGRTTTGVPMATPEPPSPSMLDLTLGRTAGVREKSPNKKRTLQLL* >Brasy1G023100.1.p pacid=40058378 transcript=Brasy1G023100.1 locus=Brasy1G023100 ID=Brasy1G023100.1.v1.1 annot-version=v1.1 MAVAGPGQLNLDELPAWGSRGVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKSIGGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLHGKPILPGKNEPEQLTKIFELCGTPDEVIWPGVTKMPWYNNFKPPRQLKRKVKDAFKHFDRHALDLLEKMLTLDPLQRISAKDALDAEYFWTDPLPCDPKSLPTYEASHEFQTKKKRQQQRQAEEAAKRQKIQHPPPHSRLPPIQHPGQPHQIRPGQTMHNAPPVAAGPNHHYAKPRGPGGPNRYPQGGNQGSGYNNPNRGGQGSGYGSGPYPQQGRGPPPFPAAGGPRGGAGSGYGVGGPNYPQGGAPPPYGASGPGRGPNYPPGGSRNQQQYGSWQ* >Brasy1G344500.1.p pacid=40058379 transcript=Brasy1G344500.1 locus=Brasy1G344500 ID=Brasy1G344500.1.v1.1 annot-version=v1.1 MKPAVEGDERAAEIARIKAAAAAAKKAAEEEVVDVDEEGEEEAVDGEDDGDEDAEEDGDEEEDDDEEAEGEKGAAGQQVVDISDEEDDGGDEEEGGDDGDDDDDDDDEEEEDDDDEAEGEDEPEEELRTDYLVQPLGRAEDEEHSSDFEPEENGDGAEDEEIDDDEDAEDGEDSVKAQSSSKRKRSGGEDDDDDDDDGDDDDDDDDDGRPPSKR* >Brasy1G051900.1.p pacid=40058380 transcript=Brasy1G051900.1 locus=Brasy1G051900 ID=Brasy1G051900.1.v1.1 annot-version=v1.1 MSDTFNLSGFTQPGRPTPRISLPSSSASGDAGAGDEEEHHGGVGGGLLPIANVGRIMKGALPAEAKVSKRAKEAIQECATEFIGFVTGEASQRCRRERRKMVNGDDVCHAMRSLGLDHYAAAMSRYLHRYREAEELAAEINGRSVGGTTTADFGQIDVRAQLSVSGSRAGAGSGEKHHGRN* >Brasy1G486800.1.p pacid=40058381 transcript=Brasy1G486800.1 locus=Brasy1G486800 ID=Brasy1G486800.1.v1.1 annot-version=v1.1 MPLVLYSKTCILSLQHLVHEQKSPFLSHIYILHYRTRTSNIPPNFQLGIHKAVRHLEHLVHEQEVKLSTSLLFCLCSLCSLHLQRLKDPRRMNRPQRMWHHIHSKSISHIILKLFHCLQKVISEVSWEIRIEAILKDYQIRIWNVIAGSVKKLSIWRKRGSATHPEFSLDLMSDQENFMSIRQSPP* >Brasy1G280500.1.p pacid=40058382 transcript=Brasy1G280500.1 locus=Brasy1G280500 ID=Brasy1G280500.1.v1.1 annot-version=v1.1 MPTIEVRFEHLVAEAEVRVGDSSLPTVLNSITNTLEEAANALRILPNRKRTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGNVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGNRFDMLTELSRREKAANIKPDADIDAFMKASSMGGLEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDILLLSDGQVVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQKQYWARVDEPYRFVPVKDFVSAFQSFHTGRAITNELAVPFDKSKSHPAALMTTRYGVSGTELLKANIDREILLMKRNSFVYMFRTFQLILMSFISMTLFFRTNMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWAYAIPSWILKIPITFIEVGGYVFITYYVMGFDPNVGRFFKQYLLMLAINQMAASLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILVREKVKKWWIWGYWISPLMYAQNAISVNELLGHSWDKILNSTASNETLGVQVLKSRGVFPEAKWYWIGLGAMLGFTLLFNALFTLALTYLKAYGNSRSSVSEDELKEKHANLNGEVLDNNHLETHGPSGISTGNDSAVVEDSSPVKRGMVLPFLPLSLTFENIRYSVDMPPEMKTQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPGDVDLNKRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIHGVGKIKDGYNPATWMLEVTTIGQEQMLGVDFSDIYKKSELYQRNKALIKELSQPAPGSSDLYFPTQYSQSSITQCVACLWKQNLSYWRNPPYNAVRFLFTTVIALLFGTIFWDIGGKTSQSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGVIVYAMIGFEWTAPKFFWYLFFMYFTLLYFTFYGMMAIGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPKTPIWWRWYCWVCPVAWTLYGLVVSQFGDVVTPMDDGTLVKDFIEDYFDFKHSWLGYVATVVVAFTVLFAFLFGFAIMKLNFQKR* >Brasy1G280500.2.p pacid=40058383 transcript=Brasy1G280500.2 locus=Brasy1G280500 ID=Brasy1G280500.2.v1.1 annot-version=v1.1 MPTIEVRFEHLVAEAEVRVGDSSLPTVLNSITNTLEEAANALRILPNRKRTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGNVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGNRFDMLTELSRREKAANIKPDADIDAFMKASSMGGLEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDILLLSDGQVVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQKQYWARVDEPYRFVPVKDFVSAFQSFHTGRAITNELAVPFDKSKSHPAALMTTRYGVSGTELLKANIDREILLMKRNSFVYMFRTFQLILMSFISMTLFFRTNMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWAYAIPSWILKIPITFIEVGGYVFITYYVMGFDPNVGRFFKQYLLMLAINQMAASLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILVREKVKKWWIWGYWISPLMYAQNAISVNELLGHSWDKILNSTASNETLGVQVLKSRGVFPEAKWYWIGLGAMLGFTLLFNALFTLALTYLKAYGNSRSSVSEDELKEKHANLNGEVLDNNHLETHGPSGISTGNDSAVVEDSSPVKRGMVLPFLPLSLTFENIRYSVDMPPEMKTQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPGDVDLNKRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIHGVGKIKDGYNPATWMLEVTTIGQEQMLGVDFSDIYKKSELYQRNKALIKELSQPAPGSSDLYFPTQYSQSSITQCVACLWKQNLSYWRNPPYNAVRFLFTTVIALLFGTIFWDIGGKTSQSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGVIVYAMIGFEWTAPKFFWYLFFMYFTLLYFTFYGMMAIGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPKTPIWWRWYCWVCPVAWTLYGLVVSQFGDVVTPMDDGTLVKDFIEDYFDFKHSWLGYVATVVVAFTVLFAFLFGFAIMKLNFQKR* >Brasy1G501300.1.p pacid=40058384 transcript=Brasy1G501300.1 locus=Brasy1G501300 ID=Brasy1G501300.1.v1.1 annot-version=v1.1 MKVSVVSRSGREVVRGGIDLPDSAKVADLQEAIHAKTKKYYPARQRLTLPLQPGKSGKPVVLSPKSSLSEYCEKGSGSLKVVFKDLGPQVYYSTLFFWEYVGPLIIYPIFYYLPVYKYFGYEGERVIRPVQTYAMYYFCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGIVCQIANFYCHILLRNLRSPTGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVAAAIMTNWALGKHSRLRKLFDGKDGRPKYPRRWVILPPFL* >Brasy1G106100.1.p pacid=40058385 transcript=Brasy1G106100.1 locus=Brasy1G106100 ID=Brasy1G106100.1.v1.1 annot-version=v1.1 MESGGPLLPRSEPSNGRGGWRAALFIIVVGFIERVGFNGVESNLITYLTGPLGMSTAAAAAGVNAWSGTVLVLPLVGALAADYSRLGRYRAVLAAGVLYLLSLGMLTVSSMLQTPRPQSASCQSTAVVCSPPSTTPPARFVLFYAALYLLALAQGFHKPCSEALGADQFAGQEGDARASRSSYFNWFHFSISWGYAIAATAVSYVQENVGWTVGFAACWATMVLYLAVFLLGTGTYRVEQPVEGAFAKLAGTVVAAVRARAATASAFLRRDDADASYRERFLTREESGEEGKGKGFLVKLLPIWLSSLVIAATTSQVTTLFTKQGSTMDRRLGGIVVPPAALQSSISIVYIALLPIYDRALVPLARRLTGHPAGITMLQRIGAGMAISCAAMAVAALVEARRLGVAEEAGLLDRPDVAVPMSLWWLVPQYAMIGLAEVLSFIGLEELFYDQVPDTLRSVGLALCLSIFGVGSYASGMLVSVIDWATARNGGESWFSDNLNRAHLDYFYWLLAGLGALEVAVFLYFAKRYVYTNKN* >Brasy1G106100.2.p pacid=40058386 transcript=Brasy1G106100.2 locus=Brasy1G106100 ID=Brasy1G106100.2.v1.1 annot-version=v1.1 MLTVSSMLQTPRPQSASCQSTAVVCSPPSTTPPARFVLFYAALYLLALAQGFHKPCSEALGADQFAGQEGDARASRSSYFNWFHFSISWGYAIAATAVSYVQENVGWTVGFAACWATMVLYLAVFLLGTGTYRVEQPVEGAFAKLAGTVVAAVRARAATASAFLRRDDADASYRERFLTREESGEEGKGKGFLVKLLPIWLSSLVIAATTSQVTTLFTKQGSTMDRRLGGIVVPPAALQSSISIVYIALLPIYDRALVPLARRLTGHPAGITMLQRIGAGMAISCAAMAVAALVEARRLGVAEEAGLLDRPDVAVPMSLWWLVPQYAMIGLAEVLSFIGLEELFYDQVPDTLRSVGLALCLSIFGVGSYASGMLVSVIDWATARNGGESWFSDNLNRAHLDYFYWLLAGLGALEVAVFLYFAKRYVYTNKN* >Brasy1G112700.1.p pacid=40058387 transcript=Brasy1G112700.1 locus=Brasy1G112700 ID=Brasy1G112700.1.v1.1 annot-version=v1.1 MVRLQHRSAERHLEGVAAKLAEMVKKGGKKAGKGRNVVEGAEVRRLGKWYGDAMEVMLEHARMEERVLFPDIQRASFPGVCDKVQEQHGKHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLSVRLKALQDHTKEHFKEEEKDMLPRLEAVRRMQREEGSVSDKSNSGWASEAMGTMEMTHSKLFPFFMTGLMPQEAVQYLDLVCRCTSNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP* >Brasy1G419800.1.p pacid=40058388 transcript=Brasy1G419800.1 locus=Brasy1G419800 ID=Brasy1G419800.1.v1.1 annot-version=v1.1 MPRKMTTIERIPNDAARRAAFRKRVSRLSKKAGQLATLCDTKVCVLVYAEGEARPEVIPSVAEAAPLLQRFKATPEIEQFKKSVTHEGFLRQRMDKLALQVQKNAPENGERDTAILLHQAMAGRLEGGLEGLSPEKYAGVRWMVQTRLKSIGERIAKLQGQMAGLQLQPSLPPAPVPAPPPYQQELVASQKEIGSVNEVRSDGGDLGTIVFGGISGGDGAGGVGSSTGGGFSWQWCGDFGGPSSSMPPM* >Brasy1G182600.1.p pacid=40058389 transcript=Brasy1G182600.1 locus=Brasy1G182600 ID=Brasy1G182600.1.v1.1 annot-version=v1.1 MASLLRPASSCSSHHSFRLSSSPLPSTTPSSPSRRSQAPPAPLTSPVPPSRRPRVAAAPAAAYGGDLLRSVDTQTFLIAAAVVSAVSLSLLLGLKGDPVACDRCAGNGGTKCVFCSDGKMKAENGVVECRVCKGAGLILCKKCAGSGYSRRL* >Brasy1G182600.2.p pacid=40058390 transcript=Brasy1G182600.2 locus=Brasy1G182600 ID=Brasy1G182600.2.v1.1 annot-version=v1.1 MASLLRPASSCSSHHSFRLSSSPLPSTTPSSPSRRSQAPPAPLTSPVPPSRRPRVAAAPAAAYGGDLLRSVDTQTFLIAAAVVSAVSLSLLLGLKGDPVACDRCAGNGTYHISRLFSDDQEAQSVSFAVMGK* >Brasy1G182600.3.p pacid=40058391 transcript=Brasy1G182600.3 locus=Brasy1G182600 ID=Brasy1G182600.3.v1.1 annot-version=v1.1 MASLLRPASSCSSHHSFRLSSSPLPSTTPSSPSRRSQAPPAPLTSPVPPSRRPRVAAAPAAAYGGDLLRSVDTQTFLIAAAVVSAVSLSLLLGLKGDPVACDRCAGNGGTKCVFCSDGKMKAENGVVECRG* >Brasy1G061400.1.p pacid=40058392 transcript=Brasy1G061400.1 locus=Brasy1G061400 ID=Brasy1G061400.1.v1.1 annot-version=v1.1 MVDVSRVQKELTECNRDSAISGVSIALHDGGSNISHLTGTIAGPQDTPYEGGTFRIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSTPAPDDPQDAVVAQQYLRDKSTFVNTARYWTEAFAKSDSTGMEEKVQKLVEMGFPEDMVRSALLSVNGDENMALEKLCSS* >Brasy1G011500.1.p pacid=40058393 transcript=Brasy1G011500.1 locus=Brasy1G011500 ID=Brasy1G011500.1.v1.1 annot-version=v1.1 MGKPVKIGPWGGQSVKSHPIDVKVTPARLLSMTIKEGLVGISFSFSYMGKDGLKHDEVQLGRRRGREEVHVKIGPQEYVKETSGTTGEPDSLITQESIASGWSRYHSKVPYNCHLQGHYVRAIRRDPHPRRDSFPRPGTGQWPYRRILWTQLSLLHAYMLSGSTFSHENIYMYSICMRLGPQAPHVSSYIYSLSALLDA* >Brasy1G130400.1.p pacid=40058394 transcript=Brasy1G130400.1 locus=Brasy1G130400 ID=Brasy1G130400.1.v1.1 annot-version=v1.1 MGSCPSVKNILVLDSEGKRVAVKYYSDEWPSVSSKLAFEKSVFVKTQKTSARTEAEVVMFDGYIIVYKFIQDLHFFVTGGDEENELILASVLQGFSDAVGLLLRNNVDKRTALENLDLIFLCLDEVVDGGIVLETDANLIAEKVSGHGLEGAGSFTEQTISQALATAREHFARSLLK* >Brasy1G130400.2.p pacid=40058395 transcript=Brasy1G130400.2 locus=Brasy1G130400 ID=Brasy1G130400.2.v1.1 annot-version=v1.1 MGSCPSVKNILVLDSEGKRVAVKYYSDEWPSVSSKLAFEKSVFVKTQKTSARTEAEVVMFDGYIIVYKFIQDLHFFVTGGDEENELILASVLQGFSDAVGLLLRIVLETDANLIAEKVSGHGLEGAGSFTEQTISQALATAREHFARSLLK* >Brasy1G531500.1.p pacid=40058396 transcript=Brasy1G531500.1 locus=Brasy1G531500 ID=Brasy1G531500.1.v1.1 annot-version=v1.1 MVSPTTYPNLHLLLFLLSGGLIAAAAVATETSTMAPNTTTTTNTSPCPSYRCGHAVDIRYPFWIDNDADATHTNASSSHCGYRSLRLECRRDTPVLSLPSGDYAVTHIQYGDRTVALFDLGVFSRSNTCPLVAGRNLTLPPDNTTPPLSLTDRDTNLTFFIHCSSSAFAMAAAGHLVACLEGDGMHHSYVFHDGDGAPPYYGLAAGTACQDVVGMPVLRRSLLGRTTGAGPLDAVVPALNMGFELGWRPETGGECGGCEKAGGWCGHRRGAAGQPWAFACFLRTAATASAAASVAPKSSVVNLAVPPAAAAARTMSRTFAS* >Brasy1G438600.1.p pacid=40058397 transcript=Brasy1G438600.1 locus=Brasy1G438600 ID=Brasy1G438600.1.v1.1 annot-version=v1.1 MSYPPPGTAYPAPGQQAAYPPAPAYGAPPPVAADYYPPKDGGATYVQQQAPPPETQDRGGDGFWKGCCAAICCCCLLDMCF* >Brasy1G070200.1.p pacid=40058398 transcript=Brasy1G070200.1 locus=Brasy1G070200 ID=Brasy1G070200.1.v1.1 annot-version=v1.1 MAGTATVCSMCGDIGFPDKLFQCSRCRYRFQHSYCTNYYGDGAPASAGADTCDWCLSDASTGKERRCASAAGKQQQASGSHDSSTTSPTGRAVDKAASGGEQESGRRGTKVGGRRYKLLKDVLC* >Brasy1G534400.1.p pacid=40058399 transcript=Brasy1G534400.1 locus=Brasy1G534400 ID=Brasy1G534400.1.v1.1 annot-version=v1.1 MNSASKAMLLPPVSRSGSSTRSRVNLVLSILLVFSIGYVLGLISNSTFKNSYLPPFLLEPLLHPSSMPSSAPEKSPPPCKDLMTATDLFLSPSSGGGGSAMHNMTDEELLWRASMAPPKATHGRTPKRRVPKVAFLFLAKGELPLRPLWEKFFSGHDGLYSIYVHANPGHTAISPPPSGSVFHGRTIPSQSTSWGHPSLADAERRLLANALLGVSNERFALLSESCIPIFDFPTIRAHLVFSSSGAGMSFVDSIDDGINRARYNPAHAAHGVPITVWRRGSQWFEMERSLALEVVSDESLYPVVRDHYDPKYGGVLDEHYVPSLVSLLELSARIANRSLTYLEWHAGTAHPWTHGPEKVTEEMFRKMRAGGDGANCSHADGGLSGICFLFARKFSGDALGKLLELAPKVMGFG* >Brasy1G540700.1.p pacid=40058400 transcript=Brasy1G540700.1 locus=Brasy1G540700 ID=Brasy1G540700.1.v1.1 annot-version=v1.1 MEDLPNGSVDIAEKNQENERSADAGEPEEVADIFVYREDVVSLKSKQDARGLVLEVAGEYDSESSITDDDTDTEENEHKSARRTENGGADGDNASNGVDVDSQSSLPDNKVRVLWIDGTEKTEDIDSVIIVDRSFLHGDIVASISDPTGQMGLVADVSLVVDLQGAHGEMIKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVFDNVNVLFDDGSVCKVSRADPMRLRLASGPLHPDTACPFYPGQRVKAVSSSVYKSSRWLNGLWKANRLEGTVTKVETAAVIVYWIASAHCGTNQESVPPEEQNPKDLILLSCFSYANWQLTEWCLPHPYTSSCTDDALTGGSKMKELNSEHSCLPSDIPESALNVQAEEAQMTKIDANPMQTDGDSPADRSNMSGGDNTCVAKESESGTSISTIPKEGVHDNATCRKKCRKVFVRKDKRTKRRDESFERALLITNTYTKVDVIWQDGTKEYGVNSTSLIPIQSPNEHEFFPEQYAVDKVSDDVDDSSQTKRVGLVRSVNAKDRTATVSWFKPSLHSEEPREIECNEVVSAYELDGHPDYDYCYGDVVVRLPSVSPPLESTNGGNTMELDKKVESTGVSSASNAAPPAVGAEEQFSRNESSSESPGLSWVGNIVGFQDGEIEVFWGDGSVSKVGPHEIYVVGREDDGASLDDGAASDAASWETVDDNNEEDLPDDSAKDDSQNIAENGAGTENGSFNSQDESSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKSEDENRMETSECTMVTTDDSAGKAVDVVMVDEPADSDCLKHFDVLQCPPDHHYLENTAQQGIGGRRWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPTSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFCKRGHYILKACEAYLQGNVVGTLTDDACSTERSKEHSCSMGFKLALGKILPRLIIALKDTGADCSQYEHLGKTETVQES* >Brasy1G540700.3.p pacid=40058401 transcript=Brasy1G540700.3 locus=Brasy1G540700 ID=Brasy1G540700.3.v1.1 annot-version=v1.1 MEDLPNGSVDIAEKNQENERSADAGEPEEVADIFVYREDVVSLKSKQDARGLVLEVAGEYDSESSITDDDTDTEENEHKSARRTENGGADGDNASNGVDVDSQSSLPDNKVRVLWIDGTEKTEDIDSVIIVDRSFLHGDIVASISDPTGQMGLVADVSLVVDLQGAHGEMIKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVFDNVNVLFDDGSVCKVSRADPMRLRLASGPLHPDTACPFYPGQRVKAVSSSVYKSSRWLNGLWKANRLEGTVTKVETAAVIVYWIASAHCGTNQESVPPEEQNPKDLILLSCFSYANWQLTEWCLPHPYTSSCTDDALTGGSKMKELNSEHSCLPSDIPESALNVQAEEAQMTKIDANPMQTDGDSPADRSNMSGGDNTCVAKESESGTSISTIPKEGVHDNATCRKKCRKVFVRKDKRTKRRDESFERALLITNTYTKVDVIWQDGTKEYGVNSTSLIPIQSPNEHEFFPEQYAVDKVSDDVDDSSQTKRVGLVRSVNAKDRTATVSWFKPSLHSEEPREIECNEVVSAYELDGHPDYDYCYGDVVVRLPSVSPPLESTNGGNTMELDKKVESTGVSSASNAAPPAVGAEEQFSRNESSSESPGLSWVGNIVGFQDGEIEVFWGDGSVSKVGPHEIYVVGREDDGASLDDGAASDAASWETVDDNNEEDLPDDSAKDDSQNIAENGAGTENGSFNSQDESSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKSEDENRMETSECTMVTTDDSAGKAVDVVMVDEPADSDCLKHFDVLQCPPDHHYLENTAQGIGGRRWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPTSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFCKRGHYILKACEAYLQGNVVGTLTDDACSTERSKEHSCSMGFKLALGKILPRLIIALKDTGADCSQYEHLGKTETVQES* >Brasy1G540700.4.p pacid=40058402 transcript=Brasy1G540700.4 locus=Brasy1G540700 ID=Brasy1G540700.4.v1.1 annot-version=v1.1 MEDLPNGSVDIAEKNQENERSADAGEPEEVADIFVYREDVVSLKSKQDARGLVLEVAGEYDSESSITDDDTDTEENEHKSARRTENGGADGDNASNGVDVDSQSSLPDNKVRVLWIDGTEKTEDIDSVIIVDRSFLHGDIVASISDPTGQMGLVADVSLVVDLQGAHGEMIKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVFDNVNVLFDDGSVCKVSRADPMRLRLASGPLHPDTACPFYPGQRVKAVSSSVYKSSRWLNGLWKANRLEGTVTKVETAAVIVYWIASAHCGTNQESVPPEEQNPKDLILLSCFSYANWQLTEWCLPHPYTSSCTDDALTGGSKMKELNSEHSCLPSDIPESALNVQAEEAQMTKIDANPMQTDGDSPADRSNMSGGDNTCVAKESESGTSISTIPKEGVHDNATCRKKCRKVFVRKDKRTKRRDESFERALLITNTYTKVDVIWQDGTKEYGVNSTSLIPIQSPNEHEFFPEQYAVDKVSDDVDDSSQTKRVGLVRSVNAKDRTATVSWFKPSLHSEEPREIECNEVVSAYELDGHPDYDYCYGDVVVRLPSVSPPLESTNGGNTMELDKKVESTGVSSASNAAPPAVGAEEQFSRNESSSESPGLSWVGNIVGFQDGEIEVFWGDGSVSKVGPHEIYVVGREDDGASLDDGAASDAASWETVDDNNEEDLPDDSAKDDSQNIAENGAGTENGSFNSQDESSVATGPLSVAFGFVTRLASELFARESGDDIDKSEDENRMETSECTMVTTDDSAGKAVDVVMVDEPADSDCLKHFDVLQCPPDHHYLENTAQQGIGGRRWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPTSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFCKRGHYILKACEAYLQGNVVGTLTDDACSTERSKEHSCSMGFKLALGKILPRLIIALKDTGADCSQYEHLGKTETVQES* >Brasy1G540700.2.p pacid=40058403 transcript=Brasy1G540700.2 locus=Brasy1G540700 ID=Brasy1G540700.2.v1.1 annot-version=v1.1 MEDLPNGSVDIAEKNQENERSADAGEPEEVADIFVYREDVVSLKSKQDARGLVLEVAGEYDSESSITDDDTDTEENEHKSARRTENGGADGDNASNGVDVDSQSSLPDNKVRVLWIDGTEKTEDIDSVIIVDRSFLHGDIVASISDPTGQMGLVADVSLVVDLQGAHGEMIKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVFDNVNVLFDDGSVCKVSRADPMRLRLASGPLHPDTACPFYPGQRVKAVSSSVYKSSRWLNGLWKANRLEGTVTKVETAAVIVYWIASAHCGTNQESVPPEEQNPKDLILLSCFSYANWQLTEWCLPHPYTSSCTDDALTGGSKMKELNSEHSCLPSDIPESALNVQAEEAQMTKIDANPMQTDGDSPADRSNMSGGDNTCVAKESESGTSISTIPKEGVHDNATCRKKCRKVFVRKDKRTKRRDESFERALLITNTYTKVDVIWQDGTKEYGVNSTSLIPIQSPNEHEFFPEQYAVDKVSDDVDDSSQTKRVGLVRSVNAKDRTATVSWFKPSLHSEEPREIECNEVVSAYELDGHPDYDYCYGDVVVRLPSVSPPLESTNGGNTMELDKKVESTGVSSASNAAPPAVGAEEQFSRNESSSESPGLSWVGNIVGFQDGEIEVFWGDGSVSKVGPHEIYVVGREDDGASLDDGAASDAASWETVDDNNEEDLPDDSAKDDSQNIAENGAGTENGSFNSQDESSVATGPLSVAFGFVTRLASELFARESGDDIDKSEDENRMETSECTMVTTDDSAGKAVDVVMVDEPADSDCLKHFDVLQCPPDHHYLENTAQGIGGRRWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPTSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFCKRGHYILKACEAYLQGNVVGTLTDDACSTERSKEHSCSMGFKLALGKILPRLIIALKDTGADCSQYEHLGKTETVQES* >Brasy1G260000.1.p pacid=40058404 transcript=Brasy1G260000.1 locus=Brasy1G260000 ID=Brasy1G260000.1.v1.1 annot-version=v1.1 MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA* >Brasy1G379800.1.p pacid=40058405 transcript=Brasy1G379800.1 locus=Brasy1G379800 ID=Brasy1G379800.1.v1.1 annot-version=v1.1 MENLNVKLHKKYTGLKKRKLLDDDGTERKRELEMLEELKKMASEVQNLRDENDRLRDELIDKEKQLAETRILVVDREQQLAETQTLLVDETSKAKEFETEILRLKSLFTEKNDTDNYTAPVSPATIPGVVIQNQTPVSPAKRTPKSNRANKVPSTANAIVHHSNFHDEARELDCCRRDMHISGNGNEGSSSCVYHMLAESLVGMKLSLKNEMEGFSLSFYHESSGLNFTLTLLEQPHGGEWAYSYTSLGTLEKVALKWLKVQNIRFSMTMCPVFFQRILRLLRQVY* >Brasy1G233100.1.p pacid=40058406 transcript=Brasy1G233100.1 locus=Brasy1G233100 ID=Brasy1G233100.1.v1.1 annot-version=v1.1 MNKPRCQGKHREGLGRMLREHKARLYIIRRCVVMLICHHD* >Brasy1G348600.1.p pacid=40058407 transcript=Brasy1G348600.1 locus=Brasy1G348600 ID=Brasy1G348600.1.v1.1 annot-version=v1.1 MCGGAIIYDYVPAPRRVSAADFWPDADDSDAHSTAPHKARRAKREKRNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNEDLLLASSQATAAAPPAVLSSLKKEEETEFGPAACSGEAKELSEELMAYENYMSFLGVPYMQGGDAAAPAAEEAPAPAALWSFEDY* >Brasy1G391700.1.p pacid=40058408 transcript=Brasy1G391700.1 locus=Brasy1G391700 ID=Brasy1G391700.1.v1.1 annot-version=v1.1 MMEPYASPRDNSSSGGGGGGEGGGRADPDTGLEESMWRLGLGGGGEAAPRLPERPGEANCIYYLRTGACSYGESCRYNHPRDRAAAFNGGARTTPAVGVEYPERPGQPLCEYYMKNGTCKFGSNCKYNHPREGGPVQPVVLNTSGYPLRPGEKECSYYIKTGHCKFGSTCKFHHPDGPELGVVSETPSMYPPAQPLPMSSPLSYPPLASWQLGRPSVLPGSFYQGSYPPMVHPPAAIPMQGWNPYMSSMNHLASAGGQQNVQAGPLYGLSHQGPSSSVAYGSHYAPLPSSTWPSSNKQVAFPERPGQPECHHYMKTGTCKFGATCKYNHPQYLSAPNSNCMLSPLGLPIRPGAQQCVYYSQHGFCKFGPRCKFDHPLGTLSYSPSASSLTDVPVAPYPLGLPVAPMAPSPSSSSLRPEYILTLDPSVNQPASPGTAFGPAGSVPKICAPHMLLRPPTSTTVATVTSHGGGF* >Brasy1G391700.2.p pacid=40058409 transcript=Brasy1G391700.2 locus=Brasy1G391700 ID=Brasy1G391700.2.v1.1 annot-version=v1.1 MMRVKCRCVAESMWRLGLGGGGEAAPRLPERPGEANCIYYLRTGACSYGESCRYNHPRDRAAAFNGGARTTPAVGVEYPERPGQPLCEYYMKNGTCKFGSNCKYNHPREGGPVQPVVLNTSGYPLRPGEKECSYYIKTGHCKFGSTCKFHHPDGPELGVVSETPSMYPPAQPLPMSSPLSYPPLASWQLGRPSVLPGSFYQGSYPPMVHPPAAIPMQGWNPYMSSMNHLASAGGQQNVQAGPLYGLSHQGPSSSVAYGSHYAPLPSSTWPSSNKQVAFPERPGQPECHHYMKTGTCKFGATCKYNHPQYLSAPNSNCMLSPLGLPIRPGAQQCVYYSQHGFCKFGPRCKFDHPLGTLSYSPSASSLTDVPVAPYPLGLPVAPMAPSPSSSSLRPEYILTLDPSVNQPASPGTAFGPAGSVPKICAPHMLLRPPTSTTVATVTSHGGGF* >Brasy1G391700.3.p pacid=40058410 transcript=Brasy1G391700.3 locus=Brasy1G391700 ID=Brasy1G391700.3.v1.1 annot-version=v1.1 MVDVDNSKFHFLLTVPLAYDVGLFNGGARTTPAVGVEYPERPGQPLCEYYMKNGTCKFGSNCKYNHPREGGPVQPVVLNTSGYPLRPGEKECSYYIKTGHCKFGSTCKFHHPDGPELGVVSETPSMYPPAQPLPMSSPLSYPPLASWQLGRPSVLPGSFYQGSYPPMVHPPAAIPMQGWNPYMSSMNHLASAGGQQNVQAGPLYGLSHQGPSSSVAYGSHYAPLPSSTWPSSNKQVAFPERPGQPECHHYMKTGTCKFGATCKYNHPQYLSAPNSNCMLSPLGLPIRPGAQQCVYYSQHGFCKFGPRCKFDHPLGTLSYSPSASSLTDVPVAPYPLGLPVAPMAPSPSSSSLRPEYILTLDPSVNQPASPGTAFGPAGSVPKICAPHMLLRPPTSTTVATVTSHGGGF* >Brasy1G558100.1.p pacid=40058411 transcript=Brasy1G558100.1 locus=Brasy1G558100 ID=Brasy1G558100.1.v1.1 annot-version=v1.1 MIRETADALVNTGLAKLGYQYVNIDDCWAELNRDYQGNLVPNKKTFPSGIKALADYVHAKGLKLGVYSDAGTKTCSNQMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGKENPATWGAGMGNSWRTTGDIADNWASMTSCADQNNRWASYAGPGGWNDPDMLEVGNGGMSDAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNGEVIAVNQDSLGVQGKKVQSDNGLEVWAGPLSGNRKAVVLWNRQGYQATITAHWSNVGLPASAAVTARDLWAHSSFSAQGQISASVAPHDCKMYILTPK* >Brasy1G553100.1.p pacid=40058412 transcript=Brasy1G553100.1 locus=Brasy1G553100 ID=Brasy1G553100.1.v1.1 annot-version=v1.1 MERRRSGCCDERHGGDLMPLMPRQMPSAGSGCADARAADGLMRCTTDETAVGDPRRRPRMTAMMPCAGDGHSPVKTTPLLPLAGLRCFLSPVSDEAYDAMVGVKGRWKDAAVLAVNKCVEKGTGKKAKATAKHAAMLLMMGHDGFTSPEVCLHYLFASRNVDCVESVVLAAAVSELDGVEVAGLMRYLAKWIGEYRRFPEAQACPEAVGMLGLDQCESVPSFGAVARAMGLVLDQHFSHLVLNAEVREELRAAEEMVKELAAEAESFGPILDLLRRMQQDV* >Brasy1G097100.1.p pacid=40058413 transcript=Brasy1G097100.1 locus=Brasy1G097100 ID=Brasy1G097100.1.v1.1 annot-version=v1.1 MARDRNASAFVGRHLCLGVGSVKTRNGISSGIIHYSLASAGSVDTHQARLSLPLPAPAENRTCWTRVLSYLFSGDADAADCRLVLYLMLMCRSRLSFLPEELTT* >Brasy1G210300.1.p pacid=40058414 transcript=Brasy1G210300.1 locus=Brasy1G210300 ID=Brasy1G210300.1.v1.1 annot-version=v1.1 MVRVSLRSARRHRDRARSRSQATARPPPAASMESSSQPPPPSGADQSEDGATAELPRLTVTQVEQMKVEARVAESYSVLFGAAPNTKSVVLELWRDQHVEYLTKGLRRLAPSFHVLDANRPWLCYWMVHGLALLEETLDDDLEHDIVDFLSRCQDKDGGYGGGPGQLPHLATSYAAVNTLVTIGSESALSSIKRDNLYKFMLQMKDESGAFRMHEGGEIDVRACYTAISVASLLNILDDKLAKGVGNYIARCQTYEGGIAGEPFAEAHGGYTFCGLAAMILLNEVEKLDLPSLIGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALTQKLMTVVDKQLKHSYSSKSSSGDNLCGTSSSGYASEKSTNVDYAKFGFDFIKQSNEIGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDHYHSCYCLSGLSVSQYGAMTDSDSCPLPQHMLGPYSNLLEPIHPLYNVVLDKYDDAYEFFRESDQV* >Brasy1G400100.1.p pacid=40058415 transcript=Brasy1G400100.1 locus=Brasy1G400100 ID=Brasy1G400100.1.v1.1 annot-version=v1.1 MERELGETSGACERCTKNHYQGRGGASRSGERRWGLPRAPLGKRETRCVVAVDEEMLRAWGASRPVAVVGVIGVEESIAAVVGEDPPESHPDALFPFDETSQPASAPPRPPPPTAAGSPPPVACPSSASPPSFSVRTDLCKCSGRRRPALTSSPSRSRRPRRSQPSAPPACLKESPSSTGPASVPLRPLDVVPSGSARSGVPGSSVLDLCLMCISEIGDSEVKLVVAAVTSLPGRVRFFLSCLNSS* >Brasy1G560100.1.p pacid=40058416 transcript=Brasy1G560100.1 locus=Brasy1G560100 ID=Brasy1G560100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLHDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYIMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G301600.1.p pacid=40058417 transcript=Brasy1G301600.1 locus=Brasy1G301600 ID=Brasy1G301600.1.v1.1 annot-version=v1.1 MATRKKQLRCGSGEAKIVRYPSACTDLPSADLGGLAVQTTEPHDHQALMVTDSQEYIDDEDSDEYGETNCPGENYSEEEVYQITDRWIEISNKQFEDYWNLHQNILASGDKNARLPSFPLKVLPEVTFLCIERSLCYHREYKTHDTSTTASILGYREPRVMLQVFSLRLASCESYPVSVYGVFAVRDELEPLRNLVFNCPRVDAITIEQQISGDSCSLEVDYMFVSSSIEAVIQVSAKVDHHHHMRFTAFSTGFDQEIVLFDDKFFRNGNQNQHVVAVKEKGKLDVCLKLEKVMYKWSFQDGVVGAVSAPDNSILKYGKFSVKVLFAPKDFQRKWPKM* >Brasy1G301600.2.p pacid=40058418 transcript=Brasy1G301600.2 locus=Brasy1G301600 ID=Brasy1G301600.2.v1.1 annot-version=v1.1 MATRKKQLRCGSGEAKIVRYPSACTDLPSADLGGLAVQTTEPHDHQALMVTDSQEYIDDEDSDEYGETNCPGENYSEEEVYQITDRWIEISNKQFEDYWNLHQNILASGDKNARLPSFPLKVLPEVTFLCIERSLCYHREYKTHDTSTTASILGYREPRVMLQVFSLRLASCESYPVSVYGVFAVRDELEPLRNLVFNCPRVDAITIEQQGSFTLPLCSPCRGMYVLDHALLEVDLWVKKGMDRLTKNYSLHMLRFLYGQIMIYFEADRFLVIVAAWRWTTCLFHQVLRL* >Brasy1G046400.1.p pacid=40058419 transcript=Brasy1G046400.1 locus=Brasy1G046400 ID=Brasy1G046400.1.v1.1 annot-version=v1.1 MSSEPPPPASAPAASAAEMTSEPPPPASAPAAAAAATTTEGLETDLSAATISKKQQKKDARKAEKAEKAAASQRQTQQQQSQAEGDDSFAANYGDVPVEEIQSKAISGRVWTDLGDLGEADAGRSVLIRGAAQAMRPVSKKMAFLVLRQSMSTVQCVLVATAEAGVSTQMVRFATSLSKESIVDVEGVVSVPKEPLKATTQQVEIQVRKVYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVLQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLSSKQFIGIHSPKLIGGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMSICGGFGRVFEVGPVFRAENSNTHRHLCEFIGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCQKELETINKQYPFEPLKYLEKTLKLTYAEGIQMLKDAGTEIDPMGDLNTESEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPTYSNSFDVFIRGEEIISGAQRVHTPELLRKRAIECGIDASTIASYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLAP* >Brasy1G080900.1.p pacid=40058420 transcript=Brasy1G080900.1 locus=Brasy1G080900 ID=Brasy1G080900.1.v1.1 annot-version=v1.1 MVKIPSPRRLFRSRSSSKSTAGSSSSSGSSNIGIDICAMVAEHEKIEWEVRPGGMLVQKRSSPDDDDEYEDIILVRVSTGSGGAWHDVSIDATATFGDLKVLLSLATGLWPREQRLLYRGRERDDADHLHMAGVQDKDKVLLLEDPAVTERKLRSTTLAQLMGVPCHSFIQV* >Brasy1G433900.1.p pacid=40058421 transcript=Brasy1G433900.1 locus=Brasy1G433900 ID=Brasy1G433900.1.v1.1 annot-version=v1.1 MANTTTTNGAAAGNHSGNESGRDHIVVFPFMAKGHTLPLLHLATALSVHHKNLRVTVVVTPANLAFARNRLPPSVRLAVLPFPSLPPLPPGVESTDALPSATLYPAFLRATALLQEPFAAFMASLPSPPLALVSDFFLGFTRRAAADAGGVRRVVFHGMSCFSMAICKSLMANPPRPPAPGDGRSFHVARMPENVRMTAEEVPETIARMGDLEAPMTRFVIDDIGESDTRSWGVLVNSFASLDEDYVAAFESFYQPGARAWLVGPLFLAAGDMEEQDPEGCLSWLDGRAAGSVVYVSFGTQAHVADEQLDELARGLVLAGHPFLWAVRSDTWVAPPPVDLGPNGRIIRGWVPQRSVLAHPAVGGFVSHCGWNSTMEGLAAGKPILAWPMIAEQKLNAKYVAEFIGAGVKMNAAAVVERADEVERKVRRLMDAGSQEGRRMRERAAWAQQAAKSAVSDGGTSQLALLELVNELQGAYCDVVAQKNKC* >Brasy1G125500.1.p pacid=40058422 transcript=Brasy1G125500.1 locus=Brasy1G125500 ID=Brasy1G125500.1.v1.1 annot-version=v1.1 MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEDTTGVVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADQKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSAARLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCIVEDGVRLSQCTVMRGARIKKHSCVSNSIIGWHSTVGQWARIENITILGEDVHVGDEVYSNGGVVLPHKEIKSSILKPEIVL* >Brasy1G125500.2.p pacid=40058423 transcript=Brasy1G125500.2 locus=Brasy1G125500 ID=Brasy1G125500.2.v1.1 annot-version=v1.1 MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEDTTGVVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADQKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSAARLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCIVEDGVRLSQCTVMRGARIKKHSCVSNSIIGWHSTVGQWARIENITILGEDVHVGDEVYSNGGVVLPHKEIKSSILKPEIVL* >Brasy1G155600.1.p pacid=40058424 transcript=Brasy1G155600.1 locus=Brasy1G155600 ID=Brasy1G155600.1.v1.1 annot-version=v1.1 MQLLLRGVSLLRLYECSCGLPSANYSCKNSVLTKLNVMREGLRATSSRRAVSKNIEIKKDEQSVIEKEDVTESQLEIERIRGDPNLLQSMTMKELKELTRMVGVSAKGNKKDLVSALMDSLGQNINGKEGTSTVEEIGLSEVPSKRKGATSVVVEQNLESSEVILATPSKRSKTKQKSIKGTTLKENSVTTVKINKASVQKEALVVQGAAAKAGMGLAVDQAEPWTVLVHKKPQPEWIPYNPKIMRPPPLSKDTRALKILSWNVNGLKALVKSRGFSVHELAKREDFDVLCLQETKMQDKDVEVIKESLLEGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVMVEFDDFYLLTAYVPNSGDGLRRLTYRVTEWDPCLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTKEERESFETNFLSKGFVDTFRKQHPDVVGYSYWGYRHNGRKTNKGWRLDYFLVSESIAERVHDSYILPDISASDHSPLGLVLKL* >Brasy1G155600.2.p pacid=40058425 transcript=Brasy1G155600.2 locus=Brasy1G155600 ID=Brasy1G155600.2.v1.1 annot-version=v1.1 MREGLRATSSRRAVSKNIEIKKDEQSVIEKEDVTESQLEIERIRGDPNLLQSMTMKELKELTRMVGVSAKGNKKDLVSALMDSLGQNINGKEGTSTVEEIGLSEVPSKRKGATSVVVEQNLESSEVILATPSKRSKTKQKSIKGTTLKENSVTTVKINKASVQKEALVVQGAAAKAGMGLAVDQAEPWTVLVHKKPQPEWIPYNPKIMRPPPLSKDTRALKILSWNVNGLKALVKSRGFSVHELAKREDFDVLCLQETKMQDKDVEVIKESLLEGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVMVEFDDFYLLTAYVPNSGDGLRRLTYRVTEWDPCLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTKEERESFETNFLSKGFVDTFRKQHPDVVGYSYWGYRHNGRKTNKGWRLDYFLVSESIAERVHDSYILPDISASDHSPLGLVLKL* >Brasy1G393700.1.p pacid=40058426 transcript=Brasy1G393700.1 locus=Brasy1G393700 ID=Brasy1G393700.1.v1.1 annot-version=v1.1 MVVDSDTTNYKDFVSEIGEKYRWRMNETIMLNYFDESNRTIPELSSDQDMVAMFAKFGTTKTIAMLITVHDVNVTPDKPEWPIEEGVSVDIPCTPSLPSAPPKPPQETSSQRNSGTHPSTLSNNYVGWDLANSFEENEHVGVDEEDMYLDGSDSEDGATDVPSCKEKCSVKDPDFVPEVGEEYSDEDTSEDEEDGDWVGKDKEPDSLPDFSYDKEDPPMAEVIQGDSGPFALTWNATGNFMPLRWMKMVALR* >Brasy1G105000.1.p pacid=40058427 transcript=Brasy1G105000.1 locus=Brasy1G105000 ID=Brasy1G105000.1.v1.1 annot-version=v1.1 MAGQHNRSRRRRRAKAEAAVLIASDSPEKASPSLGPSATFSARPVQRKIPLSKLTEPSPKEEEEDPRRIIRRSLLGQIRGYYLDAISRLPTADLTTTLARGLLIAGHCYGPLHPVHNILLNAVWHSAAFPLRSGDRIDVPVISNRTITRLAQRSIDGLAASLRYHCPALSHDDAIWHLNLSRADLRAAVASARGVAPSLFLPAESDVEAAFQVAAKTARHPVPAAFALFASSVMPSVERDAVSLLNNKRRLSSADILRLSNMLLPSPLPDELPQPPLQERCKKAFEIITRRRKLLILWYTRWAEIADAALRKYAQQTGSHYQLHVIYGTCGLQDEFNLDRSFHINFMAWPKDDPSSGEAPLFFFAEAVRGPVPGFCEEDITLCCTVQPSPGEVDGCRSCLTKIFRIDHPDDSENFDGGQYYKWVEWAQTSTALSHLKLTTGVSILTETLISWSTWIKIFTVTYRLRHGFVVIKMKAM* >Brasy1G105000.2.p pacid=40058428 transcript=Brasy1G105000.2 locus=Brasy1G105000 ID=Brasy1G105000.2.v1.1 annot-version=v1.1 MAGQHNRSRRRRRAKAEAAVLIASDSPEKASPSLGPSATFSARPVQRKIPLSKLTEPSPKEEEEDPRRIIRRSLLGQIRGYYLDAISRLPTADLTTTLARGLLIAGHCYGPLHPVHNILLNAVWHSAAFPLRSGDRIDVPVISNRTITRLAQRSIDGLAASLRYHCPALSHDDAIWHLNLSRADLRAAVASARGVAPSLFLPAESDVEAAFQVAAKTARHPVPAAFALFASSVMPSVERDAVSLLNNKRRLSSADILRLSNMLLPSPLPDELPQPPLQERCKKAFEIITRRRKLLILWYTRWAEIADAALRKYAQQTGSHYQLHVIYGTCGLQDEFNLDRSFHINFMAWPKDDPSSGEAPLFFFAEAVRGPVPGFCEEDITLCCTVQPSPDGCRSCLTKIFRIDHPDDSENFDGGQYYKWVEWAQTSTALSHLKLTTGVSILTETLISWSTWIKIFTVTYRLRHGFVVIKMKAM* >Brasy1G096600.1.p pacid=40058429 transcript=Brasy1G096600.1 locus=Brasy1G096600 ID=Brasy1G096600.1.v1.1 annot-version=v1.1 MEAVGVSQYDLPAVHFGDHLDGGMAVAAHPEVLEHAHRRAGRHGKQSEGHGEPLAVVRQALLPPLRRLVLQRPVGVRHEPFGPDLLHHLIHLPVDLGRPRVPPVLHVEQVRHAARHNPAASGLLGDAPRLHQRANPRHVAPPSFARVEVRQHDVRHGVRWIQPPHHLGRPFSELRVPVRAARAQQRLPDRGVQLRRAPVQQVHGFVQLPGSA* >Brasy1G100300.1.p pacid=40058430 transcript=Brasy1G100300.1 locus=Brasy1G100300 ID=Brasy1G100300.1.v1.1 annot-version=v1.1 MSTSSEERMFEMDAEPPPEPQSTPMHHQTTCHIIEGILGRCTQVEMEIYERAMEHVRDMGEERKRSILKRRLMVRLRKDGYDASLCRSSWVATTQHPGGAHEYIDVHVAGEGEAIGRSRLIVDMDFRSQFELARPAPWYAQLWGRLPHAFVGPQEKLRKAVALLCWASRRSLRESGLHVPPWRRSSYMQAKWFPSPGTLPGGDGAVEVAQWSVAKERGGRSWTSGLSTELAAQDGSTWME* >Brasy1G187900.1.p pacid=40058431 transcript=Brasy1G187900.1 locus=Brasy1G187900 ID=Brasy1G187900.1.v1.1 annot-version=v1.1 MCAYHRSFSINMGDGCTDVAAVPPCFKDRLNDFVENFIVVRDLSGFKYDVYLDQRNDATVLCGAYWRLFARTDKVRPGYRLTASVSVLLSLSVCRKSPTKFVTLFHSIVFTDMAGLRDDDVAWILVALKDVDLYVEHHREFIVHRVSDVDMASGFLVIVIYYTPTFFVESFSLRYVLFIPYCVFLFCSAFP* >Brasy1G316800.1.p pacid=40058432 transcript=Brasy1G316800.1 locus=Brasy1G316800 ID=Brasy1G316800.1.v1.1 annot-version=v1.1 MVTCGYRGLTTATARCTGVLAVVPVMPGTARHHRLCCSCSCGRMWPSKQRTATTTASEQGKGLLLEKQGKARPPAASLAAQSAGSLPGMAGSGPGMVGSGGEEGRKKRRERAGDRQGGEGGEKGEGAGREEKGGSPRRRGAGGAGHGWAARVWREARRGAGRLGNSEMGKEGG* >Brasy1G080800.1.p pacid=40058433 transcript=Brasy1G080800.1 locus=Brasy1G080800 ID=Brasy1G080800.1.v1.1 annot-version=v1.1 MGGMPGAPPIILDAAAQEYYPAVVGGGAAYLPFVPLTPQQLYCPTPPYPAMPPPMALPLPPPMPMPIGPIRPTAAAAAAADGPASRAVVLSLVPPQAQEGDVARAMAAFGGVRAVDASAVPSEGVATVHFFDLRAAELAVAAVRTQHMRQQCRLSQLYAGWPPAAAGPGPAPAWDWPHDDILGLVLGQAVWAEFAAASTLPDDGFSRGSLVVLNSLPDDVSLLELRQAFQAFGDLKDLRQSPHRPRHKFVEFFDTRDAARALAELNGQDFFGHRLVLEFTRPSTPGFRRRGYVPQQQPMAPIPPRLQQAWRPTFPQASSSSSGTGRAREGVVLMRRSSSAKSSGAGDRSKGGSSNNNNNGGGTSHERKGKGGKKPTIVVVASSSASPSSAAATTASSSGKQQCVKSVGRAGSGRSHRGWKGRFDKQYEFKEPEAAAAADTDTQEPETRTTVMIRNIPNKYSQKLVLNMLDAHCIVHNNKQIEAGEGECQGQQQPLSSYDFLYLPIDFKNKCNVGYGFVNLTSPEAAVRLHKAFHQQPWEVFNSRKICQVTYARVQGLEALKQHFKNCSFPCESDEYLPVVFSPPRDGQQLTEPVPLVQPSLRAPASNVDPLALELMAAPPSSTSGDGASSTMSTHAEDDQGASASCSNDDDDNDDEGLAEELQRLGYTD* >Brasy1G476500.1.p pacid=40058434 transcript=Brasy1G476500.1 locus=Brasy1G476500 ID=Brasy1G476500.1.v1.1 annot-version=v1.1 MGRAPCCDRAAVKRGPWSPEEDDKLRDYIQRHGTGGSWITFPKKAGLRRCGKSCRLRWLNYLRPDIRHGGFTDEEDALIFSLYSKLGSKWSLIASQLERRTDNDVKNHWNTKLKKRLAAFSSPPSSSSSFLPPVPAPAHPLALAVPAVKAETYAYDDFMAPLHVDHPFGGADQPGSTTSASAASSASNWSAAAAAPDNAGAADGFFADFCAGAADQFLGGFYYPLDPTLSLV* >Brasy1G476500.2.p pacid=40058435 transcript=Brasy1G476500.2 locus=Brasy1G476500 ID=Brasy1G476500.2.v1.1 annot-version=v1.1 MGRAPCCDRAAVKRGPWSPEEDDKLRDYIQRHGTGGSWITFPKKAGLRRCGKSCRLRWLNYLRPDIRHGGFTDEEDALIFSLYSKLGSKWSLIASQLERRTDNDVKNHWNTKLKKRLAAFSSPPSSSSSFLPPVPAPAHPLALAVPAVKAETYAYDDFMAPLHVDHPFGGADQPGSTTSASAASSASNWSAAAAAPDNAGAADGFFADFCAGAADQFLGGFYYPLDPTLSLV* >Brasy1G091300.1.p pacid=40058436 transcript=Brasy1G091300.1 locus=Brasy1G091300 ID=Brasy1G091300.1.v1.1 annot-version=v1.1 MASRGPCSAAALWLLGFMLLQGDPARAADTVAVGRPLSGRRTLLSKRGKFALGFFQPDNSRQNWYIGIWYNQISKHTAVWVANRNAPISDPASSQLSISDDGNMVLLDKHDAHKPPIWSTNLTNIPSGASSTVGIILDTGNLVLADASNTSILLWQSFDNFGDTWLPGGKLGRNNHTGEVTRLFAWKSSNNPATSVFSLELDPDGTSQYLLNWNGTREYWTSGNWTGHMFAAVPEMTASNASPMSLYTFDYVEGKDGSYFVYDVKDDAVVTRFVVDVTGQIKFLTWVESAGDWMLFWSQPKLQCDVYALCGAFSACTETSLPSCRCLRGFRQRQPRAWMQGDHSAGCARDAELQRQCGGVVQGATTTPKGKNNGFYAMPGVKLPSDGQGVAAAASGGECEAACLARCDCTACAYNGSCWLWHGGLINLQVQYTGSGGGTIMVRLAASEFASTGHTQKKLTIIILVVVAAVVAAVSVLVLVFVLGSRSRTRVRAARRVEGSLMAFTYRDMQSVTSNFSEKLGGGAFGSVFKGSLDPDATATPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSDGAHRLLVYEHMPNGSLDKHLFRPSGARGIGSVLSWKARYRIALDIARGLEYLHEKCRDRIIHCDVKPENILLDGAFAAKVADFGMAKLVGRDFSRVLTTMRGTVGYLAPEWITGAAVTAKADVFSYGMVLFEIVSGRRNVEQREDGGPVDFFPSAAVSRLHGGGEMKSVVDGRLGGEVDVDEVERACKVACWCVQDDEGARPSMGMVLQVLEGLVDVRVPPIPRALKVLGDPPSYVKFFSGLPSI* >Brasy1G191600.1.p pacid=40058437 transcript=Brasy1G191600.1 locus=Brasy1G191600 ID=Brasy1G191600.1.v1.1 annot-version=v1.1 MEVSGMEKALPVPEARKKGGLRTIPFIISNEIFEKVATFGLQANMILYLTKRYHMTAATSTIVLYNWSAVSNFLPISGAVLADAYLGRFRVIALGSVVSLMGMCVLLSTAILPVFKKTPECMAQPRECTRLPYQLPLLFTSFLLMSLGSGGIRPCTLAFGADQLDTRDNSAKNVRTLQTFFNWYYTVLGLSIVFASLVIVYIQETKGWVIGFAVPVVLMLVALSLFLLGSSFYLRAEADRSVILGIVQVLVVSYKNRHEPLPAETADASCFHNKPGVRPRAPTNRLRYLNRACVLRTPSKLTNGVDDGAAYEDPWTVCTVQQVEDAKAVIRVLPIWSTAIVPGVLVGQQMFPVLQASNMERWMGVLEVPAASFGVFSIVTLTVWVALYDRVLVGPLSRLTGRARGLSLRQRMGLGLVIFAVAMGVAARTEGVRRAAAIAEGLRDVDPQTTGRTVRMSAMRLVPQHCLMGLAEALNLIGQIEFYYSEFPKTMSSIAVSLLALGMGCGALLGSAIVGVISRATGADGRDSWVSSNLNRGHYDYYYLVLAALSVANFVYFVWCGWTYGEEGQNRAMAAEDEEEEEVQKW* >Brasy1G124600.1.p pacid=40058438 transcript=Brasy1G124600.1 locus=Brasy1G124600 ID=Brasy1G124600.1.v1.1 annot-version=v1.1 MDEIREEFQREACWRTRWKDFRRRLILLGTGGGRNDISSRGKVPCRWLGRIDFVCSNRVRQAGSKALGERCSSWFELSERLAWCWISIGGISFDCFG* >Brasy1G242100.1.p pacid=40058439 transcript=Brasy1G242100.1 locus=Brasy1G242100 ID=Brasy1G242100.1.v1.1 annot-version=v1.1 MCLSKYLMSLPYCVRRGNGVDGGRLLRLAACTSSCLRGAMLRNLVRCRSGGRKPKRFPGS* >Brasy1G242100.2.p pacid=40058440 transcript=Brasy1G242100.2 locus=Brasy1G242100 ID=Brasy1G242100.2.v1.1 annot-version=v1.1 MSLPYCVRRGNGVDGGRLLRLAACTSSCLRGAMLRNLVRCRSGGRKPKRFPGS* >Brasy1G312000.1.p pacid=40058441 transcript=Brasy1G312000.1 locus=Brasy1G312000 ID=Brasy1G312000.1.v1.1 annot-version=v1.1 MAPSAKKERAAEARASSSKPMTAGGIEVQRRRVGGGGWTSRRISFYASRVYFLLIILQIPLFRVPCRAGTCTTPIQITSSQLVSNDIFPPSVVKAMLFPGAIVSNLTTSMSMTFPRWSDLFDIYNLTEAKNSLAVIDLQRLEILAGSYFCVAGALVGIINPGRMILFGTLLVIWGLVKEALFRKPANSDPTEPVYVYPTILIALICSFMSITYNIKKKARSSQPVSISKPLQSSAKSKLK* >Brasy1G470900.1.p pacid=40058442 transcript=Brasy1G470900.1 locus=Brasy1G470900 ID=Brasy1G470900.1.v1.1 annot-version=v1.1 MASGGEDGERYAGRVRGLRTSRHRAAGSVRRRRHARRDGRVAEVDRQDAGSDGAGPADGQDRLRPDASKNGGQESACPSELPHGFQAQPAGGRRLAERRGGPSEDRRGAHRRLLRHGPQRRPPLPIRRDEARRHQRRHPHLQPCAGRLRGRHPPQRAARAPVPALPGHAERRVPPHRVGLSHHQAICCAWLSFWRSRRQEPPLGPGAVGAMSCRHAHAQAASHISPCSWPRRARSCSMPSCWRILL* >Brasy1G461800.1.p pacid=40058443 transcript=Brasy1G461800.1 locus=Brasy1G461800 ID=Brasy1G461800.1.v1.1 annot-version=v1.1 MRPRLVLFGDSITEQSFAPGGWGAALAEHFARQADVVLRGLSGYNTRWALKVLDRAMEGAAAGAADPAAVTVFFGANDANLPDQPQAHQHVPLEEYQANLRAICAQFKNQWPSAAVILITPPPIYEPARILDIYGDNAPSRQPERTNEAAGTYALACISVAKELDHPVIDIWTKMQQFPDWQTSALCDGLHFTPFGNKILFDEVLKTLGSIGFSQHSLPSDLPLFHEIDPKDPLKAFEI* >Brasy1G461800.2.p pacid=40058444 transcript=Brasy1G461800.2 locus=Brasy1G461800 ID=Brasy1G461800.2.v1.1 annot-version=v1.1 MRPRLVLFGDSITEQSFAPGGWGAALAEHFARQADVVLRGLSGYNTRWALKVLDRAMEGAAAGAADPAAVTVFFGANDANLPDQPQAHQHVPLEEYQANLRAICAQFKNQWPSAAVILITPPPIYEPARILDIYGDNAPSRQPERTNEAAGTYALACISVAKELDHPVIDIWTKMQQFPDWQTSALCDGLHFTPFGNKILFDEVLKTLGSIGFSQHSLPSDLPLFHEIDPKDPLKAFEI* >Brasy1G461800.3.p pacid=40058445 transcript=Brasy1G461800.3 locus=Brasy1G461800 ID=Brasy1G461800.3.v1.1 annot-version=v1.1 MRPRLVLFGDSITEQSFAPGGWGAALAEHFARQADVVLRGLSGYNTRWALKVLDRAMEGAAAGAADPAAVTVFFGANDANLPDQPQAHQHVPLEEYQANLRAICAQFKNQWPSAAVILITPPPIYEPARILDIYGDNAPSRQPERTNEAAGTYALACISVAKELDHPVIDIWTKMQQFPDWQTSALWRETPRALHRSMHTANRSDNWP* >Brasy1G319300.1.p pacid=40058446 transcript=Brasy1G319300.1 locus=Brasy1G319300 ID=Brasy1G319300.1.v1.1 annot-version=v1.1 MDADKDKGNGKVHLRDSSSQDALRTYKRRRQQLQTQEKPQPQHEQEQEPQPQPQPQPQPQPEPKPNPVDVPEQQVTDSFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPPDVAEKIREHLQKKRERRKKKAARKGDNSVKIRSSANDTDAEKDHLPVDLELPTQMDTYVLEEVTNQTMEDHQEPTLLRAPLLLRGLRDIGWEHAVDLDGNKRRWQCKWCDLCRSGGVTTLKAHLTDGSCPKIPMEMSKKVLNFLEEKRAARHLFNSNPWPVELKKNDRPSVFSPRSEEEGTVSCKIDQQASKNPMHMQISEKCATNELPARSNQCGTEHSGQLDKEKIAAAHHLSNSNMFAEVLQSDCHSIFSTQLEEEGSVPCNKDHQPSKNYMHMHTSEKSAINEMAGRSNQCNTEHSGQPPEDYERSKKTSDQPDEQGAVEHGRHHVLNNEHPVVDKNTENSGSKEILKHPRKTRFNIRKHIITIDEIARHWRCRYCGMDGHGKTSRLHYHLAGAFRHPKCPSIPPEVFVKAKHHILIKRRLRMKKAGQQPSPEPKILGQSSVILQNNNPAFGNPPQLPIHDLSSEVHSNYPTRLRDNAWEHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWKNRPQCRNIPEDAAKRVRDQMISREKKKGKISGPHDGIDSRDMLCSSKSLQPDQEDSAIALQTRSSSQAFGEANRAANGCSTLSNTMPNPQSIMEMEGPHKTLCSNTNKSEKQTGRTDCWTHLKYVLNGLMHLPGVQEGDGIQSCIRDVLYGCAESGTVGDKVEMHSDRTESCNVNIAECKNVLVDILRSEIFSLLCNVLRKTVHQDEERTSYFDFGKIDSRMKNGDYGREPRLFKDDLKLLWEDLKMAGQDIVDLANGLSSLTEESYTKKVGRDSGSCDSEEELKGAAVASSEPKNLMESSTPVPSTSQGFHQLDQPDPIDVSDVQMGTACDQCGKEAGGVNTITCNRCKLVCHISCIEPPVPTGSWHCKSCSSSTWDESAEGGMRIVQYEPNLLHGNCVACKGLEVCRPAECKETVSERTPVDESRAIVISSTEPTEGEELPNIVVGGSCKMCGTPEDDDKRFLICGHSHCPYKYYHIRCLKSKQIASKAQRDKPCWYCPSCLCRVCLSDGDDEQTILCDGCDEAYHLYCMTPRRTSVPKGKWYCSSCSVERAKAGMRQYEKKMLKLHRKDDARLPDRNFAAVDLLLSAAEKLSADEDQLVSRPDQ* >Brasy1G319300.2.p pacid=40058447 transcript=Brasy1G319300.2 locus=Brasy1G319300 ID=Brasy1G319300.2.v1.1 annot-version=v1.1 MDADKDKGNGKVHLRDSSSQDALRTYKRRRQQLQTQEKPQPQHEQEQEPQPQPQPQPQPQPEPKPNPVDVPEQQVTDSFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPPDVAEKIREHLQKKRERRKKKAARKGDNSVKIRSSANDTDAEKDHLPVDLELPTQMDTYVLEEVTNQTMEDHQEPTLLRAPLLLRGLRDIGWEHAVDLDGNKRRWQCKWCDLCRSGGVTTLKAHLTDGSCPKIPMEMSKKVLNFLEEKRAARHLFNSNPWPVELKKNDRPSVFSPRSEEEGTVSCKIDQQASKNPMHMQISEKCATNELPARSNQCGTEHSGQLDKEKIAAAHHLSNSNMFAEVLQSDCHSIFSTQLEEEGSVPCNKDHQPSKNYMHMHTSEKSAINEMAGRSNQCNTEHSGQPPEDYERSKKTSDQPDEQGAVEHGRHHVLNNEHPVVDKNTENSGSKEILKHPRKTRFNIRKHIITIDEIARHWRCRYCGMDGHGKTSRLHYHLAGAFRHPKCPSIPPEVFVKAKHHILIKRRLRMKKAGQQPSPEPKILGQSSVILQNNNPAFGNPPQLPIHDLSSEVHSNYPTRLRDNAWEHSLIHDREKGHWKCKWCSLEGYHGITRLKWHLVGWKNRPQCRNIPEDAAKRVRDQMISREKKKGKISGPHDGIDSRDMLCSSKSLQPDQEDSAIALQTRSSSQAFGEANRAANGCSTLSNTMPNPQSIMEMEGPHKTLCSNTNKSEKQTGRTDCWTHLKYVLNGLMHLPGVQEGDGIQSCIRDVLYGCAESGTVGDKVEMHSDRTESCNVNIAECKNVLVDILRSEIFSLLCNVLRKTVHQDEERTSYFDFGKIDSRMKNGDYGREPRLFKDDLKLLWEDLKMAGQDIVDLANGLSSLTEESYTKKVGRDSGSCDSEEELKGAAVASSEPKNLMESSTPVPSTSQGFHQLDQPDPIDVSDVQMGTACDQCGKEAGGVNTITCNRCKLVCHISCIEPPVPTGSWHCKSCSSSTWDESAEGGMRIVQYEPNLLHGNCVACKGLEVCRPAECKETVSERTPVDESRAIVISSTEPTEGEELPNIVVGGSCKMCGTPEDDDKRFLICGHSHCPYKYYHIRCLKSKQIASKAQRDKPCWYCPSCLCRVCLSDGDDEQTILCDGCDEAYHLYCMTPRRTSVPKGKWYCSSCSVERAKAGMRQYEKKMLKLHRKDDARLPDRNFAAVDLLLSAAEKLSADEDQLVSRPDQ* >Brasy1G010700.1.p pacid=40058448 transcript=Brasy1G010700.1 locus=Brasy1G010700 ID=Brasy1G010700.1.v1.1 annot-version=v1.1 MAAAVSTATFSGYASPLSSTRTSSPSATRLAASTRRSRLRPLRAAAAAATLREVCAGRVPDHVLQRAEDVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDVRRSSVQALVIVPTRELGIQVTKVARVLAAKACTVMALLDGGMLTRQKSWVKAEPPAIIVGTVASLCQMVEKRAFSLGSIKILVIDEVDFIFGSSKQVNSIRKILTSYTAASGRQTIFASASIPQHNRFVHDCVQHKWTKSNVVHVHVNPVEPMPSHLHHKYVICTKKERLHVLLSLLERDAPKSVIIFVAQQSEKSKRAGNSPSSTLVVEFLRTAYKGSLEVILLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSKSECSVTTVITEDEHFVLQRFQNELKFHSQELPLESMLTFNM* >Brasy1G466100.1.p pacid=40058449 transcript=Brasy1G466100.1 locus=Brasy1G466100 ID=Brasy1G466100.1.v1.1 annot-version=v1.1 MAEAKQQQPPQTAVAASGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSATSVTRKMLANEGVGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPVAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVARAMSLNMGMLASYDQSVELLRDKLGTGETSTMLGASAVSGFFASACSLPFDYVKTQVQKMQPDATGKYPYTGSLDCALKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEKRIGL* >Brasy1G237800.1.p pacid=40058450 transcript=Brasy1G237800.1 locus=Brasy1G237800 ID=Brasy1G237800.1.v1.1 annot-version=v1.1 MEQRAALSRPRKASLRFSPSRTTHSTRQNQAGVVAPHVGLYVLESWTFELTSGRCCRGFKLMI* >Brasy1G579000.1.p pacid=40058451 transcript=Brasy1G579000.1 locus=Brasy1G579000 ID=Brasy1G579000.1.v1.1 annot-version=v1.1 MLGFLVQDGKGSPDPASARSDPVAASPKPYGRGLKLPLRRRVQVPVVEPEAERRMTTWMRSSLPTSVPACSGSLSLSDTTSGSIRKEAMRAPTSGGAVTAFGCQHGRGELQPGFEPCNAPGDRRLHRWHGSGDRRNRRRESLPETCRGTSSGQNWGRS* >Brasy1G267700.1.p pacid=40058452 transcript=Brasy1G267700.1 locus=Brasy1G267700 ID=Brasy1G267700.1.v1.1 annot-version=v1.1 MIFKRSQRSEILSLKRCNAVSGVGGGGDDGGGGERSRKRPRGDEFFPVELLGHMPASGIPYATVGFRWSEEPEVASPAGATQTPAAASPPVVRTSRGRALVRPSRFSDSVLIDPCKKEKPVKPPVPAKVEQLIPKNKVINRKGAIFGRSSSLSEVDEDEEAERYRVCQNFVTSRKYSMSLSTLTSLHDEPYSRYHRKELLEGRYEEDEDEEEEEEVQEQKEFLHWSKEFLYGDIVWARLGKRQPVWPGIVVDPARQGAAEAMPPQPRGGAVLCVMLFGWSAEFSDEKKYIWVRQGGIFPFLDYIDQFQGQTDLSSCKPGDFQRALEDAFLADQGLSEVLIDVSAAGQPVACQSFPDELEEVTGSNELECQSQIKRNKRALQCESCGNCFPNKDSNKTVYVMEQLACKHCARILRSKEYCGVCLKSWQHKCGRRWVCCHGCESWIHVECDKKCSDLKDLQDQSYFCPYCRVKRNSNQSSKKTISPDDRKDNFTQKGRKPNRVAVICFDMEGTYLPDLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDKHQASACSTNPAKRSSQKLKKHNLLDLLNEHYDPVHVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGRQDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSIAPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAHHRTPNPDNVLIIQTPAGTFSSKRLVKSRGTVAASRLIRKDLPKDSASEVETTESLCAARCRVYVRKELKRSREAAMAHRVRGSCQHRWDEIDNLNPPRAERDPESFSTFKERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNFYARIMSVGHDQSRIVLIAKRNVHAGEELTYDYLFDPDEADDCKVPCLCQTADCRKFMN* >Brasy1G267700.2.p pacid=40058453 transcript=Brasy1G267700.2 locus=Brasy1G267700 ID=Brasy1G267700.2.v1.1 annot-version=v1.1 MIFKRSQRSEILSLKRCNAVSGVGGGGDDGGGGERSRKRPRGDEFFPVELLGHMPASGIPYATVGFRWSEEPEVASPAGATQTPAAASPPVVRTSRGRALVRPSRFSDSVLIDPCKKEKPVKPPVPAKVEQLIPKNKVINRKGAIFGRSSSLSEVDEDEEAERYRVCQNFVTSRKYSMSLSTLTSLHDEPYSRYHRKELLEGRYEEDEDEEEEEEVQEQKEFLHWSKEFLYGDIVWARLGKRQPVWPGIVVDPARQGAAEAMPPQPRGGAVLCVMLFGWSAEFSDEKKYIWVRQGGIFPFLDYIDQFQGQTDLSSCKPGDFQRALEDAFLADQGLSEVLIDVSAAGQPVACQSFPDELEEVTGSNELECQSQIKRNKRALQCESCGNCFPNKDSNKTVYVMEQLACKHCARILRSKEYCGVCLKSWQHKCGRRWDLQDQSYFCPYCRVKRNSNQSSKKTISPDDRKDNFTQKGRKPNRVAVICFDMEGTYLPDLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDKHQASACSTNPAKRSSQKLKKHNLLDLLNEHYDPVHVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGRQDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSIAPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAHHRTPNPDNVLIIQTPAGTFSSKRLVKSRGTVAASRLIRKDLPKDSASEVETTESLCAARCRVYVRKELKRSREAAMAHRVRGSCQHRWDEIDNLNPPRAERDPESFSTFKERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNFYARIMSVGHDQSRIVLIAKRNVHAGEELTYDYLFDPDEADDCKVPCLCQTADCRKFMN* >Brasy1G267700.3.p pacid=40058454 transcript=Brasy1G267700.3 locus=Brasy1G267700 ID=Brasy1G267700.3.v1.1 annot-version=v1.1 MIFKRSQRSEILSLKRCNAVSGVGGGGDDGGGGERSRKRPRGDEFFPVELLGHMPASGIPYATVGFRWSEEPEVASPAGATQTPAAASPPVVRTSRGRALVRPSRFSDSVLIDPCKKEKPVKPPVPAKVEQLIPKNKVINRKGAIFGRSSSLSEVDEDEEAERYRVCQNFVTSRKYSMSLSTLTSLHDEPYSRYHRKELLEGRYEEDEDEEEEEEVQEQKEFLHWSKEFLYGDIVWARLGKRQPVWPGIVVDPARQGAAEAMPPQPRGGAVLCVMLFGWSAEFSDEKKYIWVRQGGIFPFLDYIDQFQGQTDLSSCKPGDFQRALEDAFLADQGLSEVLIDVSAAGQPVACQSFPDELEEVTGSNELECQSQIKRNKRALQCESCGNCFPNKDSNKTVYVMEQLACKHCARILRSKEYCGVCLKSWQHKCGRRWVCCHGCESWIHVECDKKCSDLKDLQDQSYFCPYCRVKRNSNQSSKKTISPDDRKDNFTQKGRKPNRVAVICFDMEGTYLPDLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDKHQASACSTNPAKRSSQKLKKHNLLDLLNEHYDPVHVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGRQDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSIAPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAHHRTPNPDNVLIIQTPAGTFSSKRLVKSRGTVAASRLIRKDLPKDSASEVETTESLCAARCRVYVRKELKRSREAAMAHRVRGSCQHRWDEIDNLNPPRAERDPESFSTFKERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRVQGKDCYCTPNFYARIMSVGHDQSRIVLIAKRNVHAGEELTYDYLFDPDEADDCKVPCLCQTADCRKFMN* >Brasy1G267700.4.p pacid=40058455 transcript=Brasy1G267700.4 locus=Brasy1G267700 ID=Brasy1G267700.4.v1.1 annot-version=v1.1 MIFKRSQRSEILSLKRCNAVSGVGGGGDDGGGGERSRKRPRGDEFFPVELLGHMPASGIPYATVGFRWSEEPEVASPAGATQTPAAASPPVVRTSRGRALVRPSRFSDSVLIDPCKKEKPVKPPVPAKVEQLIPKNKVINRKGAIFGRSSSLSEVDEDEEAERYRVCQNFVTSRKYSMSLSTLTSLHDEPYSRYHRKELLEGRYEEDEDEEEEEEVQEQKEFLHWSKEFLYGDIVWARLGKRQPVWPGIVVDPARQGAAEAMPPQPRGGAVLCVMLFGWSAEFSDEKKYIWVRQGGIFPFLDYIDQFQGQTDLSSCKPGDFQRALEDAFLADQGLSEVLIDVSAAGQPVACQSFPDELEEVTGSNELECQSQIKRNKRALQCESCGNCFPNKDSNKTVYVMEQLACKHCARILRSKEYCGVCLKSWQHKCGRRWDLQDQSYFCPYCRVKRNSNQSSKKTISPDDRKDNFTQKGRKPNRVAVICFDMEGTYLPDLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDKHQASACSTNPAKRSSQKLKKHNLLDLLNEHYDPVHVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGRQDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSIAPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAHHRTPNPDNVLIIQTPAGTFSSKRLVKSRGTVAASRLIRKDLPKDSASEVETTESLCAARCRVYVRKELKRSREAAMAHRVRGSCQHRWDEIDNLNPPRAERDPESFSTFKERLHYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRVQGKDCYCTPNFYARIMSVGHDQSRIVLIAKRNVHAGEELTYDYLFDPDEADDCKVPCLCQTADCRKFMN* >Brasy1G267700.5.p pacid=40058456 transcript=Brasy1G267700.5 locus=Brasy1G267700 ID=Brasy1G267700.5.v1.1 annot-version=v1.1 MIFKRSQRSEILSLKRCNAVSGVGGGGDDGGGGERSRKRPRGDEFFPVELLGHMPASGIPYATVGFRWSEEPEVASPAGATQTPAAASPPVVRTSRGRALVRPSRFSDSVLIDPCKKEKPVKPPVPAKVEQLIPKNKVINRKGAIFGRSSSLSEVDEDEEAERYRVCQNFVTSRKYSMSLSTLTSLHDEPYSRYHRKELLEGRYEEDEDEEEEEEVQEQKEFLHWSKEFLYGDIVWARLGKRQPVWPGIVVDPARQGAAEAMPPQPRGGAVLCVMLFGWSAEFSDEKKYIWVRQGGIFPFLDYIDQFQGQTDLSSCKPGDFQRALEDAFLADQGLSEVLIDVSAAGQPVACQSFPDELEEVTGSNELECQSQIKRNKRALQCESCGNCFPNKDSNKTVYVMEQLACKHCARILRSKEYCGVCLKSWQHKCGRRWVCCHGCESWIHVECDKKCSDLKDLQDQSYFCPYCRVKRNSNQSSKKTISPDDRKDNFTQKGRKPNRVAVICFDMEGTYLPDLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDKHQASACSTNPAKRSSQKLKKHNLLDLLNEHYDPVHVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGRQDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSIAPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAHHRTPNPDNVLIIQTPAGTFSSKRLVKSRGTVAASRLIRKDLPKDSASEVETTESLCAARCRVYVRKELKRSVYKSFFLPKGELTTHFCVQWIWVRWMESSLLRLQGKQLFSNRLIGDT* >Brasy1G241200.1.p pacid=40058457 transcript=Brasy1G241200.1 locus=Brasy1G241200 ID=Brasy1G241200.1.v1.1 annot-version=v1.1 RKHTRTYIYLTGDGTNDSPALHEADIGLSMGIQGTEDGKESSDVIILDDNFALLVRVVRWGCSVYANIQKFIQFQLTVNVAALIINFVSAISSGVVPLNTFQVTSSDGTPLLSKGFASSIDEVVRLYYFTHGDTCKGQKASCYPEGCAPSL* >Brasy1G049800.1.p pacid=40058458 transcript=Brasy1G049800.1 locus=Brasy1G049800 ID=Brasy1G049800.1.v1.1 annot-version=v1.1 MARARLLLAQACTTALLVLGAAGIMAGDPQTSLYTIPFHDGATLVLDTAGPLVWTTCQPDHIPAALACTSPTCKLANAFPFPGCRASSSSCPPNSHDKCTVYPYNPVTGACAPGDLSHTRFVANTTDGRNSVRQVSVKALAACISPKDDTKLLEKLPAGSAGVAGLAGTGLALPAQVAASQRRPADKTKFLLCLPRGGPGVAILGSGGPPFLLAGQPEDYTRSLQYTPLVVTRKDHPPYYVSVKSIAVDNALVPENALATGRVVLCTRTPYTLLRRDVYRPFAAAFEAALAKQSPQAKKTKKPPVKPFTLCYDAASLASTLSGYLVPSVTLAMEGGGKWTLAESNSMVDVKPAGTACLAFVEMAGVEAGDASAPAALVRGFQMENFLLQFDLEKKRLGFFRLPVSTQCSRFNFTRTGQ* >Brasy1G396700.1.p pacid=40058459 transcript=Brasy1G396700.1 locus=Brasy1G396700 ID=Brasy1G396700.1.v1.1 annot-version=v1.1 MMNRRHCNRSDSSSCKLCPLNVLEDCDHLFFTCPFSVSCWGSLDIYWDMSMDIRDRVRAAKASFSGPSFIMIFLCAAWHIWKQRNSYIFDRSPPSLSSWFAGFKQELFLLSHRIKENHRSILLVWLESLVIPS* >Brasy1G137500.1.p pacid=40058460 transcript=Brasy1G137500.1 locus=Brasy1G137500 ID=Brasy1G137500.1.v1.1 annot-version=v1.1 MLRLPTLLPIKPSTPSTGLNPTRRSHGHRPKRLLASSTPPPPPPRRTPNPTTAPKPSKPEPSPGPHNPDVAADLPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIQEHDPELLKGKGDILKRNEEDERVSDEEELEEAGEEDGEQKTVVEEEDPSWPLDADVGWGIRASEYFDKHSIKNVTVDGVVIDWEGEVDQGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWRLLQELEKAAKVYWNTKDRLPPRTVKIDLNIERDLAYALQAKECPQLLFFRGNKILYREKDIRTSDELVQMIAHFYYNAKRPSCVNPEAVAPSF* >Brasy1G137500.2.p pacid=40058461 transcript=Brasy1G137500.2 locus=Brasy1G137500 ID=Brasy1G137500.2.v1.1 annot-version=v1.1 MLRLPTLLPIKPSTPSTGLNPTRRSHGHRPKRLLASSTPPPPPPRRTPNPTTAPKPSKPEPSPGPHNPDVAADLPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIQEHDPELLKGKGDILKRNEEDERVSDEEELEEAGEEDGEQKTVVEEEDPSWPLDADVGWGIRASEYFDKHSIKNVTVDGVVIDWEGEVDQGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWRLLQELEKAAKVYWNTKDRLPPRTVKIDLNIERDLAYALQAKECPQLLFFRGNKILYREKDIRTSDELVQMIAHFYYNAKRPSCVNPEAVAPSF* >Brasy1G150900.1.p pacid=40058462 transcript=Brasy1G150900.1 locus=Brasy1G150900 ID=Brasy1G150900.1.v1.1 annot-version=v1.1 MAGADPKRTSWPEVVGIPATPAVMKINHDRPDVAIEVLPDGVKVSKGFNPKRVRVFFDAKESQGLVVRTPVVG* >Brasy1G163400.1.p pacid=40058463 transcript=Brasy1G163400.1 locus=Brasy1G163400 ID=Brasy1G163400.1.v1.1 annot-version=v1.1 MVFDDDDTNLGASGTGSTKGLVRIQVPEYSRDDVALSEEKLTVMIELRAAPSAVVREGLDLVAVLDVSGSMADPKSLGSMKQAIKFVIMKLNPEDRMCIVTFNNCGTRQCVLRAMTDAAAKAELLAIVDRLDAHGGSNIKSGLVKAKEVLAGRRKTEARTANVILISDGGQTANDAIQVDLEAAGVYTFGVGKHSADELLNAIATKSPGGTFSTVREGSDLTTPFAQVLGGLLTVVAQDVELTLTPEKDALKRMDVRADTDYTMEPEKRPTGLEPVTLKLGNLFSGEARKVIVDMELPASKSREWYHAILARAQHKYTAQAVPQGLQIKEIIKIKRTPNPSPVSSSITTRWVEAELARRRHADAIREAMAMADAGDLDGARYRLVDAQNAVEDELMMDGCEKMLDSMRAELAQLVHLMQTPELYKQQGRAYALAAGTSHGRQRFADRGDRTDPVTVFSTPRMDTYREQAKKFVADPSKPPPSAADDVKDEILANPMAAFSSELALHLQTAIQALQAIQKIVTTTN* >Brasy1G138300.1.p pacid=40058464 transcript=Brasy1G138300.1 locus=Brasy1G138300 ID=Brasy1G138300.1.v1.1 annot-version=v1.1 MTEVQPPLTRSGRAGEGMRPPAPPQGLLRRLKGRGRRAIRPIRAPAWRRRRRRDRAAAPAGQTIRPMRRRRGLGGRAMMGCHAVGSDDGAARMGRAKIGMPEGVRAPGGRRRVTNMCSTAPNTAVAMHWNRFPTGAGRIGFFN* >Brasy1G330000.1.p pacid=40058465 transcript=Brasy1G330000.1 locus=Brasy1G330000 ID=Brasy1G330000.1.v1.1 annot-version=v1.1 MPSGDRYMVCSSSPSTGPHSPHLPLFEHEQYITELLAERQKIGPFVPVLPCTSRLLNQEILHVSALLGIHVLDQPGFQHGSPLLNGGAFPNGRPADVNGWAPRFSSERLGIFDSPPPENGLNAQGNSSGFIVTKMMRMDIPTNNYPNFNIIGRLLGPRGNSLKRVEAATSCRVLIRGRGSIKDPARSSGYQSQTLQEELMRGRPGYEHLDEPLHLVIKAELPAEIIDVRLMQTQEILDHMLKPVDETMDFFKTQQLRELAMINGTLIDDGSQNSGSFSPFRGDLGMKRAKRVN* >Brasy1G330000.2.p pacid=40058466 transcript=Brasy1G330000.2 locus=Brasy1G330000 ID=Brasy1G330000.2.v1.1 annot-version=v1.1 MPSGDRYMVCSSSPSTGPHSPHLPLFEHEQYITELLAERQKIGPFVPVLPCTSRLLNQEILHVSALLGIHVLDQPGFQHGSPLLNGGAFPNGRPADVNGWAPRFSSERLGIFDSPPPENGLNAQGNSSGFIVTKMMRMDIPTNNYPNFNIIGRLLGPRGNSLKRVEAATSCRVLIRGRGSIKDPAREELMRGRPGYEHLDEPLHLVIKAELPAEIIDVRLMQTQEILDHMLKPVDETMDFFKTQQLRELAMINGTLIDDGSQNSGSFSPFRGDLGMKRAKRVN* >Brasy1G008100.1.p pacid=40058467 transcript=Brasy1G008100.1 locus=Brasy1G008100 ID=Brasy1G008100.1.v1.1 annot-version=v1.1 MAATDAKPRLNVPAAMAGALRLDPSGTAASPSSRSSRLLEIPKTPSPSKTTYSDRFIPCRSSSRLHNFALIDPPSSPAAAPDTPYSRLLRAELFPDSTPNPDAAAAGPDSPNTNLFRFKTDRPAPASPFAAAAASHLDCVAGSGDSSASKKLPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASSSKVTKLCDLGPRDSVCAVHWTREGSYLAVGTGHGDVQIWDSSRCKRIRNMGGHQARASVLAWNSTILSSGSRDKSILQHDIRVPNDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQHGLVASGGGTADRCVKFWNTANGNMMNSVDTGSQVCNLSWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDPGLWSFSRSHIR* >Brasy1G292400.1.p pacid=40058468 transcript=Brasy1G292400.1 locus=Brasy1G292400 ID=Brasy1G292400.1.v1.1 annot-version=v1.1 MVAALPRPARLGPPPPWRGSALGARQRVPGSMAAERSPPPPRHGSMPGAAVATKSELHGSGCTGRPGEGHIRRSRKRKNKEESATYLPPAAAGARVRLSRPALAAAARASHLLPHRARPPTGGGPFLRRHRRVGGLAAGRSKQRRRDYRDGNLDGERGRWSGVLEQGASGLNITPSRRHRNELRPPAYALSKAPETRVCVHVHHRMARAGHPANYRLACQHPEIERKPNKSSRPDKNRRRARVKPMKNRQRADVELRGSE* >Brasy1G449000.1.p pacid=40058469 transcript=Brasy1G449000.1 locus=Brasy1G449000 ID=Brasy1G449000.1.v1.1 annot-version=v1.1 MAVARRFLVCLAVAALLAHPAQARVLGVAGGVLGGERRPPVAAAFRHGLEGQDGGGRWTRAPPRREEEEAELRMVPGGPDPLHHHGSPRRPELEQPRLP* >Brasy1G244600.1.p pacid=40058470 transcript=Brasy1G244600.1 locus=Brasy1G244600 ID=Brasy1G244600.1.v1.1 annot-version=v1.1 MAATPEELLGVVQSAPPSVFLDLPPATHGDSKQTQDDLSLAYISRMLMEDDIVDNFSYQYPDHMKLLQAEQPFAQILSACATTSSKADGSSAHGTLASALLPSRVQDPAFLLNGTGSVKDSSTLFPIESSTSMIKMSSNAFFKGTEEPNMFLPRENVMVDCRGRKNRFDMDGETEAGMGRSRKQIAVHTDSEEEAATVAMLDRLILNAYDMYPSKMQEACVTLDKENKVAQNRTCKCGRRGARQTVVTDLEMLLIRCAEAVASNDKRSASELLERIKWHSSPAGDARQRLAHYFAQGLEARLAGTRSRLYCSLMGSHNSTVELMMAYHLYVTTSCFVKMALHFSNKTIYNAVAGRKKLHIVHYGIDTGSQWPKLIRWLADRDGGPPEVRITNINTPRPKCRLSEQIEEPEHRLRTYASKFGVSFKFRAIAAKPEAVQAEDLQIDPDEVLVVNSIFQFRTLMDESLRFDRVSPRDMVLNTIRKMKPSVIVHAIVNGSYSTAFFMTRFRQALYYFTALFDVMETAIPRDSNKRLLVERDIFAQSAMNIIACEGADRVERPQNYREWQARNNRAGLRQLPLDQDIVLMLKDEAKNHCHKHFMINEDHQWLLQGWKGRVLYALSTWAVDDSGGSEK* >Brasy1G229500.1.p pacid=40058471 transcript=Brasy1G229500.1 locus=Brasy1G229500 ID=Brasy1G229500.1.v1.1 annot-version=v1.1 MEANLRFSSTQVVAARWMVRHPHPLIRTTNTWDIQLDGESRQSHKGREIGLREAVLGMNMHLLRRQQPQIK* >Brasy1G579100.1.p pacid=40058472 transcript=Brasy1G579100.1 locus=Brasy1G579100 ID=Brasy1G579100.1.v1.1 annot-version=v1.1 MARKKIREYDSKRLLKEHLKRLAAIDLQILSAQATQSTDFEELVNQHPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIVQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTDKSMAPDACAPLIATLPLEAREKIGDFIKGVFAVFQDLDFSFIEMNPFTMVNGEPYPLDMRGELDDTASFKNFKKWGNVEFPLPFGRVLSSTESFIHDLDDKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPRDESNDHLCAKMGGEPGPTGRAILFDTKNTFRNKNISLARQGK* >Brasy1G481000.1.p pacid=40058473 transcript=Brasy1G481000.1 locus=Brasy1G481000 ID=Brasy1G481000.1.v1.1 annot-version=v1.1 MDPAATAYPVARDPPPRPPPQTAQLGQGPAPGPHRSGWAVPAVLSLVVTAAFAWAVYRARHSGRDLAFVITTYYLLALLYCCLGKLDLLRARALDDPERRRTRFAVWALAVALGNTIAIRVADAMPYLALKIAVWVVTAVAMGVAFYFIFRDRGHVRAADAARPRTERDLREMSPEQRV* >Brasy1G105500.1.p pacid=40058474 transcript=Brasy1G105500.1 locus=Brasy1G105500 ID=Brasy1G105500.1.v1.1 annot-version=v1.1 MFSSSPMPPQCAAGERRGTPVSLETRFLSLSLNKTITSPSVYPRSSFFPLSCFLWSTNFFIRSGGFSGGSLPVSAMEEVVEVSAMEVFEVPHAAGSTLGTCCLDKLQSNSSVPATRSKKAGSLCLQSLLVNRIFGGLLLDGCCLSSMLQNLYLMDVDFSFLKLDFRGVKSFK* >Brasy1G081800.1.p pacid=40058475 transcript=Brasy1G081800.1 locus=Brasy1G081800 ID=Brasy1G081800.1.v1.1 annot-version=v1.1 MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGTCVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNVMEKECKVKGWCKGGADAGGQKLYADDKDAEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNVLLVYYDYLV* >Brasy1G579700.1.p pacid=40058476 transcript=Brasy1G579700.1 locus=Brasy1G579700 ID=Brasy1G579700.1.v1.1 annot-version=v1.1 MTTKPVLLLALGVLAALTLASTAQVVTLPGVQLPCTKQCGREANNTVCGDNHCCSGKGFCGLGPKYCDTGCQSGACFDNARCDAATPCPNNQCCSPHGYCGFGEDYCGSGCSNGPCNTDHSCDNSDLSCPNNLCCRGWDKKCGLGGNYCTVKDGDDAANGCRSGPCYKKRCGSDNPCTNDYCCSASVDGYCGIDQAYCGGNNVISVGGVACVLPPS* >Brasy1G058000.1.p pacid=40058477 transcript=Brasy1G058000.1 locus=Brasy1G058000 ID=Brasy1G058000.1.v1.1 annot-version=v1.1 MAEPPKTSSDGAAEGGANSAFVSEKVPLPPRRLQRCDSLHMEAGKIPGGQSYAAKVGWATTLSLAFQSLGVVYGDMGTSPLYVFSSTFTDGITDTDDLLGVMSLIIYTVALLPLMKYCFVVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMESSPKFKVILFLVTILATSMVIGDGVLTPCISVLSAVGGIKQSAKSLTEGQIAGIAIGILIVLFLVQRFGTDKVGYTFGPVILTWFILIAGIGVYNLIKHDIGILKAFNPKYIVDYFQRNGKDGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSVALLPSVLLAYLGQAAYLRIYPEHVADTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRIIHTSKKFHGQVYIPEINYALMILCVAVTAIFKTTDKIGNAYGIAVVFVMFITTLLVTLVMAMIWKTSLLWIALFPIIFGGAELIYLSSAFYKFTQGGYLPLGFAAVLMLIMGTWHYVHVHRYKYELKNKVSNNYVAELATRRNLARLPRIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISNIETNERFLFRYVEPREYRVFRCVVRYGYNNKVEDPREFENLLIGHLKQFIHQVSLYSESSHSIGEEENSIKESESSVEVQDARLPRSFSDGITASPPNGCMDEIELIQREMDDGVVHLLGEINVVAERNASFVKKIIVDYVYNFMRKNFRQPGKITCVPHNRLLRVGMTYEI* >Brasy1G058000.2.p pacid=40058478 transcript=Brasy1G058000.2 locus=Brasy1G058000 ID=Brasy1G058000.2.v1.1 annot-version=v1.1 MAEPPKTSSDGAAEGGANSAFVSEKVPLPPRRLQRCDSLHMEAGKIPGGQSYAAKVGWATTLSLAFQSLGVVYGDMGTSPLYVFSSTFTDGITDTDDLLGVMSLIIYTVALLPLMKYCFVVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMESSPKFKVILFLVTILATSMVIGDGVLTPCISVLSAVGGIKQSAKSLTEGQIAGIAIGILIVLFLVQRFGTDKVGYTFGPVILTWFILIAGIGVYNLIKHDIGILKAFNPKYIVDYFQRNGKDGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSVALLPSVLLAYLGQAAYLRIYPEHVADTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRIIHTSKKFHGQVYIPEINYALMILCVAVTAIFKTTDKIGNAYGIAVVFVMFITTLLVTLGGYLPLGFAAVLMLIMGTWHYVHVHRYKYELKNKVSNNYVAELATRRNLARLPRIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISNIETNERFLFRYVEPREYRVFRCVVRYGYNNKVEDPREFENLLIGHLKQFIHQVSLYSESSHSIGEEENSIKESESSVEVQDARLPRSFSDGITASPPNGCMDEIELIQREMDDGVVHLLGEINVVAERNASFVKKIIVDYVYNFMRKNFRQPGKITCVPHNRLLRVGMTYEI* >Brasy1G177400.1.p pacid=40058479 transcript=Brasy1G177400.1 locus=Brasy1G177400 ID=Brasy1G177400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIVLGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G429900.1.p pacid=40058480 transcript=Brasy1G429900.1 locus=Brasy1G429900 ID=Brasy1G429900.1.v1.1 annot-version=v1.1 MTESLDVPLSNTNGVDTIQGEQSLGVPLGDTKGSGTIESGQSLDVPLSDTNGRATIQDEHSSDEIVDQMDTFWDEVNARLRIARIEEDISSSVMHSVIRGFVKDVEQKVVQQVASKDEEIMMLNEKLLRLGHSSLSLPEGRDRKYDEIYSLRQQLDAISKSLMSSEWGFSGSPYNSEGAEDVSKHRGKEQSSRNGSTKEENPEASPEVVFGDLSYLKHMDRDALIAHFIKEMNNMKMRHNSVVEDKTEEIILLKGKLLKKEGSNPSNLRNNKEFEQMRKKIGEAMAKLDGFLVENNKRSTSGIKAEAFADQREMSNIVDSEIQQIQGAATSNEEACGFRTRDSYSVSMKEDHVRKIGLLESDIEDARITTVIREEIEMLVLREFINEIKMGLHGYEMEYNMKQDICAVIQNEAIAEAMLDLNSSLLKCNEEKTCAEAASTLQKQEIENLKLAVDSLNKVLREKEAFVSQIELGAMKDHIDSLFHQLDLLTDKVEKQDSCISEKNREFDVIVGRLEQALQHVHHNQINLSDLHDRFRNASGCLNVVEKQNQVLRTIIKEKENIFTATISKEKEFKERVTSLVRSMKEFENFVTDQQTVIANKVQHSELRFCLLKEQCKHLTKEGNLLKKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQHYTGVMETLNMIKKHISIAQ* >Brasy1G360400.1.p pacid=40058481 transcript=Brasy1G360400.1 locus=Brasy1G360400 ID=Brasy1G360400.1.v1.1 annot-version=v1.1 MAKAKVSRSQLCRLPLPAAKRGGGGKQPTDRFRDDEGFAAYFSHPSSLGLLLHGPDCSTWEDGHGGMEIVSGWEAPHQGPTPQGISFPPFDLVLQGQWRRQISSHLSRVSHLLQAERESGWPRIGTGRVADFNPPAHSYAEQAPQLLRPSLPHPGMPPQYQVPPPPDSYGQQGRGFVGWDPRNQGGGQFGPMPHNLGFQDGGRRADSGGSVHSGQASSASGFQQQASPATSDGSKQSGEVDKGSAKLCYKCELPGHGVKDCKTVIFCEICAKETHLTAKCVMPNQPKPSAQLAGSAADGLQMFVAPTGKKPAVENKNAVAIINVHSGNISADQLVDAFNRMFQWGWVWNAKAYAPGSFLMKFPSVQKIAELNQFNNFFLVGQKAEVNVARWAPENLATFKLTSVWVRASGVPDSLLHFAGFCIVGSLVGTVQELDMVAYRQHDIIRIKVGVMDHTKIPDWAPLTVDPFIYKIYFQLEQVVELGGPLIGGIPVKRMSGGGATFQDPAADRNQKRQKNGQPARDDAPSSRFQMDDDDEEMVPSSQPDIDALDISDANTGKKNKSLGHEVTGHGSAVADINQKFAKDAAMIDNVECTESDTEFDPTQTTTQFAKGCGLNTQQINAIVTPEGTPEDENIVPIGTQEDALPPNDVVHTPSRAYVDVVLGNGQNVPNKQRGAAKDGKLKKQNPIDDGNRRKSARTQYDDKAALDKAMNRAKVKNLEQNGNTPPSFHTVLSTSNPMLSELAAKLGVNIGNNVEEIESNLKVIKDLEQARASMYFASIQSSNDKVQAQNDKVDSFDPETLKELYTSSEDEQDEDFVEFFASAFSKSASKKKNVGCTGGFSVKPKSRGRKKKQNK* >Brasy1G137300.1.p pacid=40058482 transcript=Brasy1G137300.1 locus=Brasy1G137300 ID=Brasy1G137300.1.v1.1 annot-version=v1.1 MGPAAVMALHSRRRCAAPARRPPSLISGAPSPSPAPPYRHHLPSPPQCRPAPRHAPRTPPLSPPRHALRLRRRSSARVAAAVANRRLLLLLYRREPPKRAAPSHWATSALTAPTAGPRPFLPVPAGLRSPLLLPFLLHKRSPTMLCPLGFGRNQEDESKNMKS* >Brasy1G437800.1.p pacid=40058483 transcript=Brasy1G437800.1 locus=Brasy1G437800 ID=Brasy1G437800.1.v1.1 annot-version=v1.1 MSFDYASLTSSRLGVVWTKSYLIESVIAPRYSLSWSILGWASYRRSLPASSSHAMLSKRQLPVHSKAVSGFQRYHFRTSDFIGEKNHHTGPMGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQIGVVIFSGSGKMYEYSSPPWRIENIFSRYLKAPSTRFDEMDIQQRIIHEMTRMKDESNRLKIIMRQYMGEDLGSLTLQDVLNLEQQIEFSLYKIRLRKQQLLDQQLLEMRHGEMHIPEDQSSYLCHMDQASGQQSQAAEMIDPKLFPLWDVGSQLYSQDAESSMTALKLSPQLQEYKLQPLQPNLQEADLHGYVLRLW* >Brasy1G437800.2.p pacid=40058484 transcript=Brasy1G437800.2 locus=Brasy1G437800 ID=Brasy1G437800.2.v1.1 annot-version=v1.1 MLSKRQLPVHSKAVSGFQRYHFRTSDFIGEKNHHTGPMGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQIGVVIFSGSGKMYEYSSPPWRIENIFSRYLKAPSTRFDEMDIQQRIIHEMTRMKDESNRLKIIMRQYMGEDLGSLTLQDVLNLEQQIEFSLYKIRLRKQQLLDQQLLEMRHGEMHIPEDQSSYLCHMDQASGQQSQAAEMIDPKLFPLWDVGSQLYSQDAESSMTALKLSPQLQEYKLQPLQPNLQEADLHGYVLRL* >Brasy1G437800.5.p pacid=40058485 transcript=Brasy1G437800.5 locus=Brasy1G437800 ID=Brasy1G437800.5.v1.1 annot-version=v1.1 MGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQIGVVIFSGSGKMYEYSSPPWRIENIFSRYLKAPSTRFDEMDIQQRIIHEMTRMKDESNRLKIIMRQYMGEDLGSLTLQDVLNLEQQIEFSLYKIRLRKQQLLDQQLLEMRHGEMHIPEDQSSYLCHMDQASGQQSQAAEMIDPKLFPLWDVGSQLYSQDAESSMTALKLSPQLQEYKLQPLQPNLQEADLHGYVLRLW* >Brasy1G437800.3.p pacid=40058486 transcript=Brasy1G437800.3 locus=Brasy1G437800 ID=Brasy1G437800.3.v1.1 annot-version=v1.1 MGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQIGVVIFSGSGKMYEYSSPPWRIENIFSRYLKAPSTRFDEMDIQQRIIHEMTRMKDESNRLKIIMRQYMGEDLGSLTLQDVLNLEQQIEFSLYKIRLRKQQLLDQQLLEMRHGEMHIPEDQSSYLCHMDQASGQQSQAAEMIDPKLFPLWDVGSQLYSQDAESSMTALKLSPQLQEYKLQPLQPNLQEADLHGYVLRL* >Brasy1G437800.4.p pacid=40058487 transcript=Brasy1G437800.4 locus=Brasy1G437800 ID=Brasy1G437800.4.v1.1 annot-version=v1.1 MGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQIGVVIFSGSGKMYEYSSPPWRIENIFSRYLKAPSTRFDEMDIQQRIIHEMTRMKDESNRLKIIMRQYMGEDLGSLTLQDVLNLEQQIEFSLYKIRLRKQQLLDQQLLEMRHGEMHIPEDQSSYLCHMDQASGQQSQAAEMIDPKLFPLWDVGSQLYSQDAESSMTALKLSPQLQEYKLQPLQPNLQEADLHGYVLRL* >Brasy1G557300.1.p pacid=40058488 transcript=Brasy1G557300.1 locus=Brasy1G557300 ID=Brasy1G557300.1.v1.1 annot-version=v1.1 MADRGGERGGDRGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGKFQKIEQIYLHSLPVKEHQIVETLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETTFTKAPYQEYTDLLAKPTKALMLDAPVEKIEA* >Brasy1G382600.1.p pacid=40058489 transcript=Brasy1G382600.1 locus=Brasy1G382600 ID=Brasy1G382600.1.v1.1 annot-version=v1.1 MTLASVSHGPSPGTGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK* >Brasy1G209900.1.p pacid=40058490 transcript=Brasy1G209900.1 locus=Brasy1G209900 ID=Brasy1G209900.1.v1.1 annot-version=v1.1 MATCGDRRGGWRGADRGAGALSDGEGVLQQQCGGRRSRGLRPPPRRSRERPPPPAAREGGATGGLPRCTVRRIRTLDGRIWGRGRELDPLTDNLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARRGSAVAFFT* >Brasy1G062400.1.p pacid=40058491 transcript=Brasy1G062400.1 locus=Brasy1G062400 ID=Brasy1G062400.1.v1.1 annot-version=v1.1 MASDAKPASPAPEDPPADDPMDSAEPEDKPEVEPEAEAEAVAEAEAEAEEEQDDEDGQEAEEPPKKRGGRRKRLPATPALERPSRERKTVERYAELTPRSTPSKKSAAILQGSGTKLKEIPNVSFKLSKRKVDENLQSLHTIMFGRKSNVHYLKRNIAQFSGFVWTDNEDKQRSRIKEKLDKINKEKLLDFCEILDVPAKVTSRKEEVSAKLLEFLESPCITRDVVLSDVKKGKKRQRNSKGSGKATEGASAEKKRKSQKQADENDDEDDAGPADSEDAPIGEDDEDSEVKEDAESDEEPDETPAKKTSKDDKQGKKEVGSKAKENDVSRKKTPTKSKPDSELESKTAGKKASKSTTKESSVPVEKANKVSKSKKDVAKESQSNNSGALNNKARKKPGAKASSETKGKGKGRTDAGSAPTTEQLHAVVSDILKEVDFNTATLADILRKLGAHFKMDLMDRKSEVKHIIEEVINSMSDDEGEEENDEDDAENNGKTGNSKEDSDGGEEK* >Brasy1G055300.1.p pacid=40058492 transcript=Brasy1G055300.1 locus=Brasy1G055300 ID=Brasy1G055300.1.v1.1 annot-version=v1.1 MAYNNAHGITLGFLLLMASEAYVYGFCHSPSGSFRGPCFSDYHCKIACEREYYKGDKSKPFTNGKCSNSGSRRLDGVGYRWSLFPTCICYIFCGSEQDNPGTHHHHPPPPSEDPEPSPPHHHHHPPPPSEGPEPSPPHHHALPPHHHHHPPPPPEQEPPAQDDE* >Brasy1G375300.1.p pacid=40058493 transcript=Brasy1G375300.1 locus=Brasy1G375300 ID=Brasy1G375300.1.v1.1 annot-version=v1.1 MKLLALPPLLLLLWISAATAAAASSLPLETLGIAPQDEAYYRGGVIKCRNGSGKFTRDQLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYGSNTTCKNTCWEAGKAAREKLKKKVATYKSGVVIRNQEVEKAKVAIAKDEAELTKLKGEEKILQGLVDKLKEQKRLIEKAEEEERLIKEKEEKRIKEEAEKKAAEEKKAPDASQEADSQDTNQNAQEGESKVAEHHDGHVTDNENHSPESGTSVEELDIKAGTGDDALPKETSAVPTKEQDLTSVNSEGLSKEELGRLVASRWTGENVDDVGKGDKKGHEDDLDIPEPAEEGLEDVHDIPEPAEENYAGYHSEVEDDRHKFDDDLSNASDDEYADDHEEPDESYKSDDDHKSDSYKSDDDHKGDDHSDLTASGQASWLDKIQQTVQNVLQKFNFFKTPVDLSEASHVRKEYDDASTKLSKIQSRITTLTDKLKQDFGNEKEFYYFYDQCFEGKEGKYVYKVCPYKKASQVEGHSSTNLGRWDKFEESYRIMHFSNGDKCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCVEEKLKELQQKLDSASSDLPGHDEL* >Brasy1G375300.2.p pacid=40058494 transcript=Brasy1G375300.2 locus=Brasy1G375300 ID=Brasy1G375300.2.v1.1 annot-version=v1.1 MKLLALPPLLLLLWISAATAAAASSLPLETLGIAPQDEAYYRGGVIKCRNGSGKFTRDQLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYGSNTTCKNTCWEAGKAAREKLKKKVATYKSGVVIRNQEVEKAKVAIAKDEAELTKLKGEEKILQGLVDKLKEQKRLIEKAEEEERLIKEKEEKRIKEEAEKKAAEEKKAPDASQEADSQDTNQNAQEGESKVAEHHDGHVTDNENHSPESGTSVEELDIKAGTGDDALPKETSAVPTKEQDLTSVNSEGLSKEELGRLVASRWTGENVDDVGKGDKKGHEDDLDIPEPAEEGLEDVHDIPEPAEENYAGYHSEVEDDRHKFDDDLSNASDDEYADDHEEPDESYKSDDDHKSDSYKSDDDHKGDDHSGQASWLDKIQQTVQNVLQKFNFFKTPVDLSEASHVRKEYDDASTKLSKIQSRITTLTDKLKQDFGNEKEFYYFYDQCFEGKEGKYVYKVCPYKKASQVEGHSSTNLGRWDKFEESYRIMHFSNGDKCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCVEEKLKELQQKLDSASSDLPGHDEL* >Brasy1G326700.1.p pacid=40058495 transcript=Brasy1G326700.1 locus=Brasy1G326700 ID=Brasy1G326700.1.v1.1 annot-version=v1.1 MASKDQTGSSIGEKAGEAAKYTQDRASEAAKFTMDSAIAGKDKAGSVLQQAGEQVGNAAMGAKDAVVNTLGMGGDNAKTGTKDTAK* >Brasy1G199200.1.p pacid=40058496 transcript=Brasy1G199200.1 locus=Brasy1G199200 ID=Brasy1G199200.1.v1.1 annot-version=v1.1 MALGWFRGVQLQVQSARAYEWRWEEKAGLVASLLDDALFHVLYAAEAVVLSAALCGFFLCCGCNI* >Brasy1G084500.1.p pacid=40058497 transcript=Brasy1G084500.1 locus=Brasy1G084500 ID=Brasy1G084500.1.v1.1 annot-version=v1.1 MMAALGVVPHIDGIVFGVGTAWRVRWMEACGCVAICAATTMVTWDAGSRRGLRRRPSVVFCVCPCSELCGSDGVRALALQSSLLCLLE* >Brasy1G491300.1.p pacid=40058498 transcript=Brasy1G491300.1 locus=Brasy1G491300 ID=Brasy1G491300.1.v1.1 annot-version=v1.1 MQIPPSPPHPRSHPRNRRLEARPLDDLYPADAASRGGVWGLGAAVLLVGIGQGFLLLLPGGVVATLGSASPLAVAGGSRQAKPVRRTAAAGPSRGCGSRSRDLAGPVRARAGRAWACAGDGWRRGWGCAWACVGGGWRRGGGSGGASRRPRLARKRQRWRVAAGASFEPDLGPMGLCAAWRASSGEVADAEELPGLLRLGPDLGLGWQRRLAMECGRMWSSSCGSGGFGGLSHRAAGDGASMHVDLQLQRGLVCLCGAWVSWLCFCYVVTWSELQCRGASSVSVCGEVGVAGMRDCVDDDTTVADALGVSCGFVAMVPRD* >Brasy1G412700.1.p pacid=40058499 transcript=Brasy1G412700.1 locus=Brasy1G412700 ID=Brasy1G412700.1.v1.1 annot-version=v1.1 MEKTILVVFLVLCVSQLGGSVAQQWIPANATFYGGSDGSGAMGGSCGYGDLNKYNGAGYGTYTTALSATLYGDAKSCGACYAVACDSSKTGWCKPGASPVTVTATNFCPPNWSVPGDGGGWCNPPRQHFDMSQPAWEAIAVYQGGFVPVRYARAPCRRTGGIRFSVSGNDYFELVLISNVAGSGAVSAAAVKGSNTDWMPMSRNWGSNWQSNAYLTGQSLSFQVQTDDGRSVTAYNVAPSNWQFGNMYESSVNFW* >Brasy1G401100.1.p pacid=40058500 transcript=Brasy1G401100.1 locus=Brasy1G401100 ID=Brasy1G401100.1.v1.1 annot-version=v1.1 MVCQRREQVGVPHGAQALHLGLERVPGRGPVHVAGTLDDHGDPAGHHRLVRRRPAPAAADHLRRRLHQVRQPEHALAVVQHHQVAPARAPVVRLRRRRRPPVGPAAATLRRRRPPPQPRRAPPPRRRRRRPLLHHVRRRRGLVRVPLNPRSSSIGHPARGDAPAARAEEDDHDDPHNDHRGQRQDGPVRGHGVRGLHALADLPGARGHVVAEELVPLERFSREPPPEPLGNHAVQIVIRDIEGLQPRGRGDVGEGSRERVALEVELDERGGLGDGRRDLAREVVAVEPELLEVAQVGEELVRDVPREGVVGEEHGLERREAVEARERACEGVPGEGDGAEVREGRQRVDVDGAAQLQPAQAEPGHLAARAHHAVPLVRPRRAGGLVVGVGDEPRGEGGGVGEGFLQVHQRLRLRHGLRRGRRR* >Brasy1G018100.1.p pacid=40058501 transcript=Brasy1G018100.1 locus=Brasy1G018100 ID=Brasy1G018100.1.v1.1 annot-version=v1.1 MLVAALPVRFGRGLLLPCSCTRSSEKKRKRQKKKKKQIREASTPQTRADQKTLSAEPMAAFTTTTTTGVAVARSPPAAAARWVPPLRCSSPPAVVGLRRAAAPPRRRGAALLVKAKKETFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVHEKLSDKINIVKIDTEKYTSIANRYKIEALPTFMIFKNGEPCYRFEGALPADQLILQIESALEAPK* >Brasy1G018100.2.p pacid=40058502 transcript=Brasy1G018100.2 locus=Brasy1G018100 ID=Brasy1G018100.2.v1.1 annot-version=v1.1 MLVAALPVRFGRGLLLPCSCTRSSEKKRKRQKKKKKQIREASTPQTRADQKTLSAEPMAAFTTTTTTGVAVARSPPAAAARWVPPLRCSSPPAVVGLRRAAAPPRRRGAALLVKAKKETFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVHEKLSDKINIVKIDTEKYTSIANRYKIEALPTFMIFKNGEPCYRFEGALPADQLILQIESALEAPK* >Brasy1G018100.3.p pacid=40058503 transcript=Brasy1G018100.3 locus=Brasy1G018100 ID=Brasy1G018100.3.v1.1 annot-version=v1.1 MLVAALPVRFGRGLLLPCSCTRSSEKKRKRQKKKKKQIREASTPQTRADQKTLSAEPMAAFTTTTTTGVAVARSPPAAAARWVPPLRCSSPPAVVGLRRAAAPPRRRGAALLVKAKKETFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVHEKLSDKINIVKIDTEKYTSIANRYKIEALPTFMIFKNGEPCYRFEGALPADQLILQIESALEAPK* >Brasy1G411700.1.p pacid=40058504 transcript=Brasy1G411700.1 locus=Brasy1G411700 ID=Brasy1G411700.1.v1.1 annot-version=v1.1 MAGRSSKWRTCGGRAGDGASASPASTPSAPTGHCCDAHHSPRQLHLVIRVDVVDSHAGGRRLVFATHYSDGEPIYPSHVQDTIASEDYATWLPRDAFCLLCRAAFSAAACPDHHGRHHGPRLPEAVLRIEERGGRHCVRCTGSEWWFPYVEMILDDPVHEDGEDQLLPVLTAKPGTCMQCGYRPFEPLGRNDTFLCSTGCSQRHQSQLAGRRQRRNARRAARGLPRHATFDHLQI* >Brasy1G366100.1.p pacid=40058505 transcript=Brasy1G366100.1 locus=Brasy1G366100 ID=Brasy1G366100.1.v1.1 annot-version=v1.1 MVNGEEECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAVKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEYVNGGELFDKIAIKGKLSEHEGRRLFQQLIDGVAYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVVLYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPAAQDLLRKILEPNPMKRMNIKEIKEHEWFQKDYIPVVPYDDDEDVNPDSVLPTKEQIDEAGQEKPTHINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTSTHSPKDLFEKIEKAVTVMGFQAQRGNSKLKVMKKCKNSKNPQNPSPFLACAEVFELGPSLYVVELKKSHGEPLLYRQLCEKLSDELGVCKTEQIPRTESLDSDLASFDRGSPLSGF* >Brasy1G366100.2.p pacid=40058506 transcript=Brasy1G366100.2 locus=Brasy1G366100 ID=Brasy1G366100.2.v1.1 annot-version=v1.1 MVNGEEECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAVKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEYVNGGELFDKIAIKGKLSEHEGRRLFQQLIDGVAYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVVLYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPAAQDLLRKILEPNPMKRMNIKEIKEHEWFQKDYIPVVPYDDDEDVNPDSVLPTKEQIDEAGQEKPTHINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTSTHSPKDLFEKIEKAVTVMGFQAQRGNTQSHEEVQKFKEPPKSITILGLR* >Brasy1G419300.1.p pacid=40058507 transcript=Brasy1G419300.1 locus=Brasy1G419300 ID=Brasy1G419300.1.v1.1 annot-version=v1.1 MAAEEGAVIACHTKAEFDTNMAKAKDTGKLVIIDFTAAWCGPCRIIAPVFAEYAKKFPAAVFLKVDVDELKEVAEEYKIEAMPTFLFIKDGEKVHTVVGGRKDEIHNKIVALTAAGTASA* >Brasy1G495500.1.p pacid=40058508 transcript=Brasy1G495500.1 locus=Brasy1G495500 ID=Brasy1G495500.1.v1.1 annot-version=v1.1 MNRSTNVARNQRPIDRSTDMGNQHDNRHRLLLLHGWLLIALIVSGFHVHTAEAAVPAPEKKQKQMVPCMYIFGDSLVDNGNNNNILSLARANYRPYGVDFPSSAASPPGRFTNGRTVVDVLADLLGFQPPFIPAHAMAAQDEYARGLNFASGAAGVRPETGNNLGRHYPLSDQVEHFRAVANQLMAAPEGNKKQQLGKCIYYVGMGSNDYLNNYFMPDYYSTARDYDPAAYAAALLQEYSRQINVLYDLGARKIVVAGVGQIGCIPYELARINDGSPPPNTVGNGTGIGIAVPGITISLGGDRRNNNNNNNNNNNNNGNNNNVCNEEINGAIAIYNKGLLSMVKRLNRQLPGAKLVFLDAVSGGRDLVANAGRYGFTVVDKGCCGVGRNNGQITCLPMQRPCEDRSEYIFWDAFHPTEAANRIIAARAFGSAPGNDAYPFNISRLAAL* >Brasy1G319500.1.p pacid=40058509 transcript=Brasy1G319500.1 locus=Brasy1G319500 ID=Brasy1G319500.1.v1.1 annot-version=v1.1 MDGGGGGGGGGGGGGGGAGAGSRVKVVGQVERVDGRSLTYPEFVERFMKPNLPVVLTGLTSSWKSCEDWTLATPHDRCRPNLAFFAQNFPSPLVQVADCSSREFTDQKRLEMSMQEFVDHWVGKFCTSSTNGDSEGSLLYLKDWHFVKEYPDYVAYTTPTFFVDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHSIFDRNLRSSVYDINDDVSGKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDISDDFEGLCQRNLAANTGMNFYDFFIFITRFVLANVIELYHIQKPEDAKFNSSKTTHRFVYNLMSIRYVASKMISTEAFSTENLCIISKENRSAVSDVTKILEEDSFRRLWMSLSEAYEHISRGHRNCHEMGYLNQKGCLSVTCLKSDCSVVDHITSLVCEIHGPEDLVRLIDTALYGG* >Brasy1G319500.5.p pacid=40058510 transcript=Brasy1G319500.5 locus=Brasy1G319500 ID=Brasy1G319500.5.v1.1 annot-version=v1.1 MDGGGGGGGGGGGGGGGAGAGSRVKVVGQVERVDGRSLTYPEFVERFMKPNLPVVLTGLTSSWKSCEDWTLATPHDRCRPNLAFFAQNFPSPLVQVADCSSREFTDQKRLEMSMQEFVDHWVGKFCTSSTNGDSEGSLLYLKDWHFVKEYPDYVAYTTPTFFVDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHSIFDRNLRSSVYDINDDVSGKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDISDDFEGLCQRNLAANTGMNFYDFFIFITRFVLANVIELYHIQKPEDAKFNSSKTTHRFVYNLMSIRYVASKMISTEAFSTENLCIISKENRSAVSDVTKILEEDSFRRLWMSLSEAYEHISRGHRNCHEMGYLNQKGCLSVTCLKSDCSVVDHITSLVCEIHGPEDLVRLIDTALYGG* >Brasy1G319500.2.p pacid=40058511 transcript=Brasy1G319500.2 locus=Brasy1G319500 ID=Brasy1G319500.2.v1.1 annot-version=v1.1 MDGGGGGGGGGGGGGGGAGAGSRVKVVGQVERVDGRSLTYPEFVERFMKPNLPVVLTGLTSSWKSCEDWTLATPHDRCRPNLAFFAQNFPSPLVQVADCSSREFTDQKRLEMSMQEFVDHWVGKFCTSSTNGDSEGSLLYLKDWHFVKEYPDYVAYTTPTFFVDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHSIFDRNLRSSVYDINDDVSGKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDISDDFEGLCQRNLAANTGRNLVSGTADCTGCAANYCLADPSRD* >Brasy1G319500.3.p pacid=40058512 transcript=Brasy1G319500.3 locus=Brasy1G319500 ID=Brasy1G319500.3.v1.1 annot-version=v1.1 MDGGGGGGGGGGGGGGGAGAGSRVKVVGQVERVDGRSLTYPEFVERFMKPNLPVVLTGLTSSWKSCEDWTLATPHDRCRPNLAFFAQNFPSPLVQVADCSSREFTDQKRLEMSMQEFVDHWVGKFCTSSTNGDSEGSLLYLKDWHFVKEYPDYVAYTTPTFFVDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHSIFDRNLRSSVYDINDDVSGKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDISDDFEGLCQRNLAANTDCA* >Brasy1G319500.4.p pacid=40058513 transcript=Brasy1G319500.4 locus=Brasy1G319500 ID=Brasy1G319500.4.v1.1 annot-version=v1.1 MDGGGGGGGGGGGGGGGAGAGSRVKVVGQVERVDGRSLTYPEFVERFMKPNLPVVLTGLTSSWKSCEDWTLATPHDRCRPNLAFFAQNFPSPLVQVADCSSREFTDQKRLEMSMQEFVDHWVGKFCTSSTNGDSEGSLLYLKDWHFVKEYPDYVAYTTPTFFVDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHSIFDRNLRSSVYDINDDVSGKQFPEFNKTEWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDISDDFEGLCQRNLAANTDCA* >Brasy1G504100.1.p pacid=40058514 transcript=Brasy1G504100.1 locus=Brasy1G504100 ID=Brasy1G504100.1.v1.1 annot-version=v1.1 MSTRATRRSGGSVGHFAVGRRLRHVAQVAPAVVVNAAGCGCRPRRPRMTLALSLPSFLKPSKPAAAARSAAASASSTTTSFFPSSSSAASFSSSSSSYNNNKHQQQQQGLNNWPPPSSPAPAVARTRQPAPATRKKKTRQQQQQSQRSSYYYQVEEKKKKKKKKKKKAAMAMAMEEEGVAVEKESSDPRGDFRESMTQMVVETGLCGWDDLRCMLRRLLALNAPRHHAAILTAFAELCAQLAAPPPPPPSSSSPPPPPASYHYQYQY* >Brasy1G355800.1.p pacid=40058515 transcript=Brasy1G355800.1 locus=Brasy1G355800 ID=Brasy1G355800.1.v1.1 annot-version=v1.1 MRKGRERILHHPRFMCGGLISDPQLSIPASSRDLKTSCSRCRLSGPNDPYNPSYIHTDCYTVRTLLLQSKSSERLSLATMASSSLRHCVVLLAASLLLCVVSDSVLGPITTNGRNYTKVCDPARFASLGLDMARFRYCDASLPYADRVRDLVGRLTLEEKVANLGDQAKGAEGRVGLPRYMWWGEALHGVSDTNPGGTWFGDVVPGATSFPLVINSAAAFNETLWRAIGGATSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRFAVSFVRAMQDIDAGPRANANAGADPFARPLKVSSCCKHYAAYDVDKWFGADRLSFDANVQERDMVETFERPFEMCVRDGDASCVMCSYNRINGVPACANGRLLTGTVRRDWQLHGYIVSDCDSVRVMVRDAKWLGYDGVEATAAAMKAGLDLDCGMFWEGAKDFFTAYGLQAVRQGKLKEAEVDGALGHLYMTLMRLGFFDGSPEFQSLGASDVCTEAHKEMAAEAARQGMVLLKNDHGRLPLDANKVSSLALVGLLQHINATDVMLGDYRGKPCRVVTPYEAIRKVVSGTSMQACDKGACGTAVGAAIAANTVDATVVIAGLNMSVEREGNDREDLLLPWDQTQWINAVAEASRDPIILVIISAGGVDISFAQNNPKIGAILWAGYPGEEGGTGIADVLFGKYNPGGRLPLTWYKNEYIGKLPMTSMALRPVADKGYPGRTYKFYNGPDVLYPFGHGLSYTNFTYASYTTGASVTVKFGTAWEDSCKNLTYKAGTTASAASCPAINVAGHGCQEEVSFTLKVSNTGGIGGAHVVPVYTAPPAEVDDAPLKQLVAFRRVFVPAGDAVEVPFTLSVCKAFAIVEGTAYTVVPAGVSRVLVGDESLPFSFPVKIELVA* >Brasy1G262500.1.p pacid=40058516 transcript=Brasy1G262500.1 locus=Brasy1G262500 ID=Brasy1G262500.1.v1.1 annot-version=v1.1 MASPNRQTWPSMFRSKHASQLWQSQPDMSSSPPSLVSGSTAGRTFKSPFSGPEERNTDPKPRWNPRPEQIRILEALFNSGMANPPRDEIPRIRMKLQEYGPVGDANVFYWFQNRKSRSKNKLLRAAGSGAASRAGAAPARACAPAARQVHAAASPYTTPPPKQQQLQAPLVSPTMMAPTSSSSSSSDRSSGSSKPVKPTTAAMDLLSPLATACHQQMHYQQLGLGLQPATVSAPAPASAALEEFVPTDVEPVFLQYPQGHCLSAGELAAILGAQYMHVPAQQPQPAPAPASPAAGMLLGLCNELAAGPTSAGHRSGAWTGAGLGQYWPGGADQLGLGKSSEPFNASAVATDVAHEDATKLGLLHYGFGLSSPPAVNAATTSAPTAVLPLPASSPETGAVTVASAAAAAGLSNLFATTTAATSEAVTYTHLQGGEAEAADVGFAGSAATGAVAPRGAAVVCIAGTNAVCNVPAGHLHVKTYFGEGAVLARFRSGRFETLAVDASLGLTVEPLQHGDIYYCVLI* >Brasy1G067300.1.p pacid=40058517 transcript=Brasy1G067300.1 locus=Brasy1G067300 ID=Brasy1G067300.1.v1.1 annot-version=v1.1 MARLPPPQESCPSVKNILLLDSEGKRVAVKYFSDDWPNNSSKLTFEKSIFTKTLKTNARSEAEITLFDGYIVVYKFVHDLHFFVTAGDDENELIIANVLQGFADSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAADGSVPFSEQTISQALATAREHFARSLLK* >Brasy1G526500.1.p pacid=40058518 transcript=Brasy1G526500.1 locus=Brasy1G526500 ID=Brasy1G526500.1.v1.1 annot-version=v1.1 MAGQSSDSQPAELFEYMLLEKDPDHYRTVFSGPSQISPWIDPSVLSLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPVKDDQLQVFSARFDEIFGKCQGLGSVCFLHGISTQNGRICIAMKFYEGSIGDKMARHKGGRLPLSDVLRYGADLARGVLDLHSRGILILNLKPCNFLLDDHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTANYMAPEQWQPDIRGPISYETDSWGFACSILEMFSGIQPWRGKSPDEIYQLVVLKKEKPIFPFNLPPEVENVLSGCFEYDFRDRPLMTDILHAFESAKDVDYDSTGWNSSEHLRPTQASCTNWSFFKDKLQVGDKVRSRKLKNSRTPETMEIPDGTIVGLEEDGERDGYILVRVHGRHDPLKVRSSTVERVTYGFAAGDWVRLREEDKKRSQVGILHRIDRNGTVYVGLIGMDTLWKGEYADLQMAEAYCVGQFVKLRTNISGPWFEWQRKRGGGSATGRISQILPNGCLVVKFPGKFNLGEVCSCLADPSEVEAVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTAMKLGIFVGKGIARPRSRKVASVSDQSGDHQKFQQQEVQNSASAAWLPPPVASMLFGDGSAPSA* >Brasy1G119000.1.p pacid=40058519 transcript=Brasy1G119000.1 locus=Brasy1G119000 ID=Brasy1G119000.1.v1.1 annot-version=v1.1 MSAAKDEFTPLSQLSLLKHRCKVRVRISRIWKNFNPNNGQVFGLDSLLIDDKGETMQAHVHPSDIKRFEEWLVAGKV* >Brasy1G019200.1.p pacid=40058520 transcript=Brasy1G019200.1 locus=Brasy1G019200 ID=Brasy1G019200.1.v1.1 annot-version=v1.1 MYSSSSSSSSCLVVAFLRLAVQLPALLCLEAMACALAFLTFPLRVLAAADRERKLGRVLGEMQGQMEKVVWENRALREKLKAALMEQAALEELLDEMDQEHDEAFARIRFLENQMKALRKENLRLREHKGKAAWDNKKAAAARGAQKVGNEGEEEEEEEEGFVFVSGDNSIEAAEEEARNLLLLAATARRRSLFSVGMSAAVGGVAAWSADADAQPCLPLLAGLLAVVAMSMCSVARLFRRQTSVSGAVALLSLNWFLLGVLTYPMLPGVARAVLPRAARLAGPAIAWLAAAMPL* >Brasy1G236500.1.p pacid=40058521 transcript=Brasy1G236500.1 locus=Brasy1G236500 ID=Brasy1G236500.1.v1.1 annot-version=v1.1 MEPRRLLLLVSMAIVAAASDPAAGLLDGLRVPLTHVDAHGNYTKLQLLRRAARRSHHRMSRLVARTATAGSVKAAAAPDLQVPVHAGNGEFLMDMSIGTPALAYAAIVDTGSDLVWTQCKPCVECFNQSTPLFDPASSSTYSTLPCSSSLCSDLPTSTCTSAAKKCGYTYTYGDASSTQGVLAAETFTLAKTKLPGVAFGCGDTNEGDGFTQGAGLVGLGRGPLSLVSQLGLGKFSYCLTSLDDTSKSPLLLGSLAAIPTDGAASAAAIQTTPLVKNPSQPSFYYVTLKALTVGSTRIPLPAPAFAVQDDGTGGVIVDSGTSITYLELRGYRPLRKAFAAQMKLPVADGSAIGLDLCFEAPAAGVDDVEVPKLVLHFDGGADLDLPAENYMVLDSASGALCLTVMGSRGLSIIGNFQQQNIQFVYDVDKDTLSFAPVQCAKL* >Brasy1G361200.1.p pacid=40058522 transcript=Brasy1G361200.1 locus=Brasy1G361200 ID=Brasy1G361200.1.v1.1 annot-version=v1.1 MQLEAWPASEQQIQGSMDETPPGPGTKARKPYTISRPRERWSPDEHDRFLDAMLRFGLDWKKIEEHVRTKTTVQIRSHAQKYFLKVHKLGLAATPPDSQARSGAELGGSESDDDMQSLNWEGSSGPSSAWVSHGGNQPEPTPATHPGGSPSSPSSSSMGAPPSCRNTTMDWAGTGRATATAGAEDDTIPLPLSPDDMHFAQV* >Brasy1G352200.1.p pacid=40058523 transcript=Brasy1G352200.1 locus=Brasy1G352200 ID=Brasy1G352200.1.v1.1 annot-version=v1.1 MATFNEENSDPPQREEPPLKNNYGGISAKKPLISKDHERAYFDSADWVLAKQGTSNSPKGPTEPLKPKMQRTAYHQLPPRRPACKSDGTE* >Brasy1G107800.1.p pacid=40058524 transcript=Brasy1G107800.1 locus=Brasy1G107800 ID=Brasy1G107800.1.v1.1 annot-version=v1.1 MEDAPADAAASGRRTRTRGPEAVGRSAALERLRAIRSGGARAAAAAQVKMEDPIYDTVAEEDYAALVARRRKDAGAFIIDDDGLGYVDDGREEDWTHRALPSSSDEGSDGEDGASRKRKQPRPPQAKRPPQQSSAAASLSAAAAMMGKQRLSSMFTSSVFKKPGSDRTKGSPAADSIVDDVIAEFAPDENDREERRRRVGRICAPTPPPAPVAHIKAVKVAAVAAELGFRSDYGSEPDGVSDHGNDMDVELKPEVELRCDVEAQPELVATSDSRAELADVNKSSEELKQEANGEVKIEKVHRLNAKIKAENSRNGDMLSATAGWMKICGEGENAGVEGGVAVDGNTDVDESSEFELKDGALPFYILDAYEEPFGVNSGTLYLFGKVEIGKRFHSCCVVVKNMQRCIYAIPSSSVFPRDNISRIERNSTSSDASSSLRATLHELASGLKGEIADKLSDLNISNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDTTLPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSISKFGTCPSTQRVSWCKFEVTVDCPKDISVLMTSASLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKQGMLSHFTVMRKLEGSIFPIGLTKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSTWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLREVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGTLLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKELNSAKRKISADTEGANAADGAADPSIDDEVHHGDQGKARKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEFNICFTTVERSSDGNLPNLPTSKVTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFFAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHNSLVQVQEQMISGQIELEKYIITKSLTKAPEDYPDAKNQPHVQVALRLKQNGFSGCSAGDTVPYIICSQQDSDNTHSGGIAQRARHPDELKRDPNKWMIDIDYYLSQQIHPVVSRLCASIEGTSPARLAECLGLDSSKFQSRLTESTNQDMSSMLLSVIDDENERYRGCEPLRLSCPSCSGTFECPPVSSLIASPSDANEGKDVNVNFWRRMRCPRCPDDSDECRISPAVLANQIKRQADNFINQYYKGLLICDDEGCKYSTHIVNLRVMGDSERGTICPNYPHCSGRLVRQYTEADLYRQLSYFCYVLDATRCLEKLDQKTRLPFEKEFVGLSQTTNLAFMEIQKIRDRCAFGWVQLTDLAVSI* >Brasy1G107800.2.p pacid=40058525 transcript=Brasy1G107800.2 locus=Brasy1G107800 ID=Brasy1G107800.2.v1.1 annot-version=v1.1 MEDAPADAAASGRRTRTRGPEAVGRSAALERLRAIRSGGARAAAAAQVKMEDPIYDTVAEEDYAALVARRRKDAGAFIIDDDGLGYVDDGREEDWTHRALPSSSDEGSDGEDGASRKRKQPRPPQAKRPPQQSSAAASLSAAAAMMGKQRLSSMFTSSVFKKPGSDRTKGSPAADSIVDDVIAEFAPDENDREERRRRVGRICAPTPPPAPVAHIKAVKVAAVAAELGFRSDYGSEPDGVSDHGNDMDVELKPEVELRCDVEAQPELVATSDSRAELADVNKSSEELKQEANGEVKIEKVHRLNAKIKAENSRNGDMLSATAGWMKICGEGENAGVEGGVAVDGNTDVDESSEFELKDGALPFYILDAYEEPFGVNSGTLYLFGKVEIGKRFHSCCVVVKNMQRCIYAIPSSSVFPRDNISRIERNSTSSDASSSLRATLHELASGLKGEIADKLSDLNISNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDTTLPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSISKFGTCPSTQRVSWCKFEVTVDCPKDISVLMTSASLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKQGMLSHFTVMRKLEGSIFPIGLTKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSTWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLREVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGTLLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKELNSAKRKISADTEGANAADGAADPSIDDEVHHGDQGKARKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEFNICFTTVERSSDGNLPNLPTSKVTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFFAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHNSLVQVQEQMISGQIELEKYIITKSLTKAPEDYPDAKNQPHVQVALRLKQNGFSGCSAGDTVPYIICSQQDSDNTHSGGIAQRARHPDELKRDPNKWMIDIDYYLSQQIHPVVSRLCASIEGTSPARLAECLGLDSSKFQSRLTESTNQDMSSMLLSVIDDENERYRGCEPLRLSCPSCSGTFECPPVSSLIASPSDANEGKDVNVNFWRRMRCPRCPDDSDECRISPAVLANQIKRQADNFINQYYKGLLICDDEGCKYSTHIVNLRVMGDSERGTICPNYPHCSGRLVRQYTEADLYRQLSYFCYVLDATRCLEKLDQKTRLPFEKEFVGLSQTTNLAFMEIQKIRDRCAFGWVQLTDLAVSI* >Brasy1G330500.1.p pacid=40058526 transcript=Brasy1G330500.1 locus=Brasy1G330500 ID=Brasy1G330500.1.v1.1 annot-version=v1.1 MPMYGYADEELLIFELPLTASFRTKLDSGRMGMVTVAGGSLSVDEIISLLQCFVPVEDFQWEVSLTGANVFKVQFPSKAELQRMIRIATFKVPGSPCVISFDEWSVKVQPVWTLRDVWVLVSGLPTVALRDFLALWGLGTLFGKTKEVDMVYTRENSVLRIRIACADYTRIPAQRVVSVNGEGYVLYFQVEKFAGMLDEAADVTMTDFSDSDGDGKNGGGNSQLDPPDRRGKSPKNAHDRSSVTPAGNASLPPTSQLASSPISIRFGSFPAEFASWGPATDKLDRACFSAPPVAAGPRRAVVSRAASVGVQGTRSLSAPGSPKVLVAGGPSSTLAGSMGWQSTLPTAPAATETRDVVPPLLSAGAGVAAAPPSHLDGREVTSGAQLQAAAVLGPMVSSPVHSRQAAAGVHRTDTLSPARTPARVATASSPSIAEVIAFGGIPDVEAAGVRSSARLRAQPDRDDTQLARAVRRASCRNEPLSSGYLQSHELDSAMGFSALIAPVGTFGYWMHPSRDGLTRYFQPGWLAAY* >Brasy1G150800.1.p pacid=40058527 transcript=Brasy1G150800.1 locus=Brasy1G150800 ID=Brasy1G150800.1.v1.1 annot-version=v1.1 MSGKSSWPELVGVMATAAATQIGHDRPDVAVEVLPPGAPLTPDYNDHRVRVFIDLSAVVIQTPVIG* >Brasy1G060800.1.p pacid=40058528 transcript=Brasy1G060800.1 locus=Brasy1G060800 ID=Brasy1G060800.1.v1.1 annot-version=v1.1 MGTQRAVLALLAAAALLSCSVGAQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTMNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTAGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSINIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDKGVYLMPGQKALNWTQGPKGDLKPW* >Brasy1G341000.1.p pacid=40058529 transcript=Brasy1G341000.1 locus=Brasy1G341000 ID=Brasy1G341000.1.v1.1 annot-version=v1.1 MEKDRERGEGGDISAAQRACTYLGGGSAAAARDGGASAGVGVPLRAGAAVVVGRAPHGARHVVPPQRTVTDVAAALQHAPHHRALVGAAEAVAVLRRRVVRPELPAQRHLDAARVRAAGGQREEEGEERRCGQEGGGSCHGGRGKWKGGSGIFVGDASALLSLCVGVWCLCLAWRSGKGAAAACLLLLGGFTRPCFGLGL* >Brasy1G002000.1.p pacid=40058530 transcript=Brasy1G002000.1 locus=Brasy1G002000 ID=Brasy1G002000.1.v1.1 annot-version=v1.1 MVGQTMMRIVRPCFKPSLPDSQVAAAGGTKDGLLWYKDAGRHACGDFSMAVVQANNLLEDASQLEVGPFVPDGPCGTFVGVYDGHGGPETARFIADNLIHHLKKFATEQQTVSADVIQKSYAATEEGFLNLVRKQWLIKPQIASVGSCCLVGIINEGVLYVANAGDSRAVLGRVEAGVRDVRAIQLSSEHNASIPAVRDELKQLHPDDPRIVVLKHNVWRVKSIIQVSRTIGDAYLKSSEFNREPLLARFRIPEPFHKPILCPEPSIEEHRLCAEDQFVIFASDGLWEHLSNQEAVDIVNCSPRNGVARRLIKAALREAAKKREMRYSDLKKIERGVRRHFHDDITVVVLFMDPGLIGRRLYGGPLLSLRGGGGGGASTVAQKS* >Brasy1G002000.3.p pacid=40058531 transcript=Brasy1G002000.3 locus=Brasy1G002000 ID=Brasy1G002000.3.v1.1 annot-version=v1.1 MVGQTMMRIVRPCFKPSLPDSQVAAAGGTKDGLLWYKDAGRHACGDFSMAVVQANNLLEDASQLEVGPFVPDGPCGTFVGVYDGHGGPETARFIADNLIHHLKKFATEQQTVSADVIQKSYAATEEGFLNLVRKQWLIKPQIASVGSCCLVGIINEGVLYVANAGDSRAVLGRVEAGVRDVRAIQLSSEHNASIPAVRDELKQLHPDDPRIVVLKHNVWRVKSIIQVSRTIGDAYLKSSEFNREPLLARFRIPEPFHKPILCPEPSIEEHRLCAEDQFVIFASDGLWEHLSNQEAVDIVNCSPRNGVARRLIKAALREAAKKREMRYSDLKKIERGEVVWWPIAFTEGWWWWWCLNGCTEKLTTIDAFWKYRIPLGILATCS* >Brasy1G002000.2.p pacid=40058532 transcript=Brasy1G002000.2 locus=Brasy1G002000 ID=Brasy1G002000.2.v1.1 annot-version=v1.1 MVGQTMMRIVRPCFKPSLPDSQVAAAGGTKDGLLWYKDAGRHACGDFSMAVVQANNLLEDASQLEVGPFVPDGPCGTFVGVYDGHGGPETARFIADNLIHHLKKFATEQQTVSADVIQKSYAATEEGFLNLVRKQWLIKPQIASVGSCCLVGIINEGVLYVANAGDSRAVLGRVEAGVRDVRAIQLSSEHNASIPAVRDELKQLHPDDPRIVVLKHNVWRVKSIIQVSRTIGDAYLKSSEFNREPLLARFRIPEPFHKPILCPEPSIEEHRLCAEDQFVIFASDGLWEHLSNQEAVDIVNCSPRNGKHSACKAPCILIKTLHFRRTKVTPLLRVSQED* >Brasy1G468600.1.p pacid=40058533 transcript=Brasy1G468600.1 locus=Brasy1G468600 ID=Brasy1G468600.1.v1.1 annot-version=v1.1 MPFPPPTQSPFLPVYSSHPPKLQTLREIGRRFVPRGRIDAASMAAAAFSIREYAASKRGEVMTAAEGQLRPFGLKDLPPMEAPRFRWWADELASAVAAAAAAASPRKAKPPKERSISDLFAAAPPVAVPPAGECGEGQQPEDDDDEALCAILRRTKEKKMKRKRRPQEEGEGAAATAAESSGAHEAEAEGNFATRKRKEALDKPNSPDEVDTQPSKKTEALEHLRKERQNISKRTKQGDINNMKKADTIKYIESKNANKRGILKKYTKHTSVKMVTEKHGKSKGKEVIELCRKSVKRVKFSEANDILDSNMQSCELPKQRSLRKLLSDAMASSSSSSSSSSSTSAEGDKSTTAESISPHMPEEAFTKMKEAKHTELEDSPELSNTELSSPLIDLNKALPESTDLDYMYDSNSEAPNLEHTHDQTSNSDVELLDGREKQRNLSFDPHVLESQPPPADLESIMNSRSTGTFLHGKKMSDTYIVGPRLSLGELPETHRDCSDVPVKDTLPASTSPCALPGRTFQDPFKQHQNWCPTSTNHGGSQLSPVRKFSSWQSRECNLPGSKEFHFCSEVHVQPEHGPCTGQTVRLMGKDLAVGTTRGESSVETAQKHRGTSISGHLETNVSLELPQAQPFVSSQAQSFPNVTVNATTTSAIHSSTYHASTSQAYFGYRTPHDFSHPSRAANVLAGHQLAYENRFGDYTNSQTNQPVLLGCPPLPNHGRATFRQTPAHPWRYYSDPFTRTEPPREPFVPTTRKHGTPSSVLRANLPQPYAVRSPSSSVCQLNSVSPTPRHPPWVVQEASDSRSVAATSRNSDNGTSRAVPGNTKASSSGPSVKKRSGPVKLIPGAKHILMPSDSTGDDNSVPVYSCVSFGSSSGNAAASQNKGS* >Brasy1G554900.1.p pacid=40058534 transcript=Brasy1G554900.1 locus=Brasy1G554900 ID=Brasy1G554900.1.v1.1 annot-version=v1.1 MSPRCQHAPGSSTRRTRNPPRLRRSAAPRAATCGRQHGKCSRPHHADQRGAVGPSEIVRGGAAARRRGAVGVKNN* >Brasy1G324400.1.p pacid=40058535 transcript=Brasy1G324400.1 locus=Brasy1G324400 ID=Brasy1G324400.1.v1.1 annot-version=v1.1 MESFRPPPLPTAPANPPPAPLPHQQAGSSPAPATLLVRHLPEAITQEMLSRLFSHYGATAVRPCGGGKLRNCAFVDFKDEMAANQAQSLLNRLRFLGKVLIVERANQPNAKNANEKHQEQLAHGMPQVPSMNAQNQKNSTSSTEPIASKLGVDYPFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPQPSTTEKHHLAVLSSDESEMESSDEDVDTRKVKRAKHEAIVGPAVDKSVSHEAVGVKPAVLVSNEIQVIKKKNPVLQIKIAPKAAHKELADQSTIDKELPSKDEQLEEKRFVTPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESMDAAKSGLSIKLMQEGRMRGQAFVTFPSIELAQRALSLTHGYVFKGKPMIIQFGRSPAASKAS* >Brasy1G324400.2.p pacid=40058536 transcript=Brasy1G324400.2 locus=Brasy1G324400 ID=Brasy1G324400.2.v1.1 annot-version=v1.1 MESFRPPPLPTAPANPPPAPLPHQQAGSSPAPATLLVRHLPEAITQEMLSRLFSHYGATAVRPCGGGKLRNCAFVDFKDEMAANQAQSLLNRLRFLGKVLIVERANQPNAKNANEKHQEQLAHGMPQVPSMNAQNQKNSTSSTEPIASKLGVDYPFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPQPSTTEKHHLAVLSSDESEMESSDEDVDTRKVKRAKHEAIVGPAVDKSVSHEAVGVKPAVLVSNEIQVIKKKNPVLQIKIAPKAAHKELADQSTIDKELPSKDEQLEEKRFVTPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESMDAAKSGLSIKLMQEGRMRGQAFVTFPSIELAQRALSLTHGYVFKGKPMIIQFGRSPAASKAS* >Brasy1G324400.3.p pacid=40058537 transcript=Brasy1G324400.3 locus=Brasy1G324400 ID=Brasy1G324400.3.v1.1 annot-version=v1.1 MPQVPSMNAQNQKNSTSSTEPIASKLGVDYPFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPQPSTTEKHHLAVLSSDESEMESSDEDVDTRKVKRAKHEAIVGPAVDKSVSHEAVGVKPAVLVSNEIQVIKKKNPVLQIKIAPKAAHKELADQSTIDKELPSKDEQLEEKRFVTPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESMDAAKSGLSIKLMQEGRMRGQAFVTFPSIELAQRALSLTHGYVFKGKPMIIQFGRSPAASKAS* >Brasy1G324400.4.p pacid=40058538 transcript=Brasy1G324400.4 locus=Brasy1G324400 ID=Brasy1G324400.4.v1.1 annot-version=v1.1 MPQVPSMNAQNQKNSTSSTEPIASKLGVDYPFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPQPSTTEKHHLAVLSSDESEMESSDEDVDTRKVKRAKHEAIVGPAVDKSVSHEAVGVKPAVLVSNEIQVIKKKNPVLQIKIAPKAAHKELADQSTIDKELPSKDEQLEEKRFVTPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESMDAAKSGLSIKLMQEGRMRGQAFVTFPSIELAQRALSLTHGYVFKGKPMIIQFGRSPAASKAS* >Brasy1G417700.1.p pacid=40058539 transcript=Brasy1G417700.1 locus=Brasy1G417700 ID=Brasy1G417700.1.v1.1 annot-version=v1.1 MVMNLVNINFASHNFTESFDEKQLVSCFFVKLQRFVLTGFYASPSLRGQVLFSSLLTHALS* >Brasy1G142100.1.p pacid=40058540 transcript=Brasy1G142100.1 locus=Brasy1G142100 ID=Brasy1G142100.1.v1.1 annot-version=v1.1 MSAAASGPPALLLAALFLVAATTTAALTDDVLALVVFKTGVADPLGRLAAWTEDDDRPCSWPGVGCDAHSGRVTSLSLAAESLSGRLPRALLRLDALLALSLPGNNLSGPVLPSLLGSLTRLRSLDLSSNRLAASVPADLFAQCREIRSISLAHNELSGYIPTDVASCSSLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNALSGSVPGGFPRSSSLRAVDFSHNLFAGEIPADIGEAALLKSLDLGRNFFTGGLPDSLRRLSALQFLGVGSNALVGEVPAWIGEMWSLQRLDLSGNRFGGSIPDDIANCKNLVEADLSRNALTGELPWWVFGLPLQRVSVAGNKLYGWIKVPGDAALSLRSLDLSSNGFSGGIPPQITTFASLQSLNLSSNSISGQVPAGIGGMRLLEVLDASANQLNGSLPPEIGGAVALRELRMGRNSLTGRIPAQIGSCRSLVALDFSHNEFTGSIPSALGNLTSLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNSLSGGLPKGRFFDNIPAYFLSDNSGLCSSRKNNSCSTVMPKPIVLNPNSSLNPLSQATPSSPSSMHHKKIILSVSTLIAIAGGAAIAIGVITVTVLNRRVRAAASRSKPAIALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSRDDFERQVKLLSKVRHHNIVTLRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEANSLSWMERFDIIIGVARGLMHLHQHGVVHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFTCKTVKITEKCDVYGFGVLALEILTGRRPVEYLEDDVVVLCDVVRSALEEDRLEDCMDQRLCGEFPMEEAIPIIKLGLVCTSQVPSNRPDMGEVVSILELVRNPQDSPGDELV* >Brasy1G437000.1.p pacid=40058541 transcript=Brasy1G437000.1 locus=Brasy1G437000 ID=Brasy1G437000.1.v1.1 annot-version=v1.1 MDQSRRAVESYWRSRMVDGVTAEDDKVASVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPVVKQKVLRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDALNKAVRETANKAIAAVFSTEDPKAVVVTEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGGASIKQGLSNFAATHSMMTNDNGDMYRNPIRRSLTTETDRYGRYDPSEIQGETRATSGASKNVSSGSWGPTPSSSASTDDTGSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALDNKLNSPLWQVRMKAICVLEAIVRKQDIDPYSIVASYFSENSASIVRCCQLPQVSIREKASKVLNLLVGEQPSGTKDLSQTKTALPAAVQMPDLIDTGDQDDPGTHSSAQESSEQTMGNSTYVFSVDDLLGGEPIGNIIYTSNGNGGDPFADVSFHEEEIKETNDLFSGMTVEEKSSASMQDNTPMDTNGLPDIFGGNPEPFFQDRFDDKGTVNDLMAGLNLNGTVQAQPGVKAESNSNLNGSQFFDMNNQTSHMVSPAALNGILGQSSFYQQVPLQYNLPQQMFNQSFPGQQLNYGAMEALLAQQQQLLQNLGNFNAGPGHSSFNTINNGNTSVMPDIFSSSNQPQHPVAVMSNSKKEETKAFDFVSDHLASARGSKK* >Brasy1G437000.2.p pacid=40058542 transcript=Brasy1G437000.2 locus=Brasy1G437000 ID=Brasy1G437000.2.v1.1 annot-version=v1.1 MDQSRRAVESYWRSRMVDGVTAEDDKVASVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPVVKQKVLRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDALNKAVRETANKAIAAVFSTEDPKAVVVTEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGGASIKQGLSNFAATHSMMTNDNGDMYRNPIRRSLTTETDRYGRYDPSEIQGETRATSGASKNVSSGSWGPTPSSSASTDDTGSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALDNKLNSPLWQVRMKAICVLEAIVRKQDIDPYSIVASYFSENSASIVRCCQLPQVSIREKASKVLNLLVGEQPSGTKDLSQTKTALPAAVQMPDLIDTGDQDDPGTHSSAQESSEQTMGNSTYVFSVDDLLGGEPIGMTVEEKSSASMQDNTPMDTNGLPDIFGGNPEPFFQDRFDDKGTVNDLMAGLNLNGTVQAQPGVKAESNSNLNGSQFFDMNNQTSHMVSPAALNGILGQSSFYQQVPLQYNLPQQMFNQSFPGQQLNYGAMEALLAQQQQLLQNLGNFNAGPGHSSFNTINNGNTSVMPDIFSSSNQPQHPVAVMSNSKKEETKAFDFVSDHLASARGSKK* >Brasy1G308100.1.p pacid=40058543 transcript=Brasy1G308100.1 locus=Brasy1G308100 ID=Brasy1G308100.1.v1.1 annot-version=v1.1 MESLIHWDLSYGERVAAQVRRRFRSSVGFGGSPSSREFFLVVAFKRSAFYLDVDSVSLALQSCLGGKAVDYCVAILSDHRFRFSVAGNRVGHFVHALGSYSCPDFYCTFSLFRGIASNSRSLPAPSVSMANFPVNPTAFVTPGWAVEHGSQTRRVRGDLMLSGEPPLSHEVFAIAETNLEVPFHLKTEVRAALRIVMNDAGHEVTYSDDSALGLGLFAFRSELIRDTVIGPTFPIDEIYNATFVKHDEGLNWRIAAQGRLKWIMMLSFPMDYLNEYRVERAVSLFGKLVKWHAPRRHYSRTLVKAWVMNEDLIPKSIVMREVSGQKHSWTVPVYVLRSADWNPHMHDVPMNDGDDPPPPGGNPHPLFGPYQTAEQRFQLRFQQHLIQNGIFMGAGHGHGHGHGHVQMQGQELVVHAHVEQPHHFVMPEQGQANYQAFLRAEGLRVSDGIVLVNNLVDSPMTAWNDMMSDASTGSTEFVLAEGAQRTLEMQNVICNFAFIPDCIPSVLAKVDGSGHISLLNSVLHSLLKPNLFCDAKQLRKYSGPPISFVMTSFKRVADHDGFIPARRVVRKLCFEGDTSASLTSIREAFQPAKLIKRGRKPRSVVPVVTSGLHRSPRTNIYKGFKVTQPSDCKKHTSKVKGKVVVDMSEASSTVPALISVEDLQKIGRNYCAIPDGEITSEKLLAETSKGLMDAGHLIFWKALLCFVLLKLGIVRFGTLCLCGIGSC* >Brasy1G431700.1.p pacid=40058544 transcript=Brasy1G431700.1 locus=Brasy1G431700 ID=Brasy1G431700.1.v1.1 annot-version=v1.1 MAAGVTVTVREHEAAATDSGARRRGRCVIHGEGSGGGVVRGEGGGGVIRDGPRRLDLGAGPLGQMLGRAMALAATSGFGGRRNRRRGSKIEKEWWAGPQGRRAQGRTAVAARVGEGEGGIRG* >Brasy1G012200.1.p pacid=40058545 transcript=Brasy1G012200.1 locus=Brasy1G012200 ID=Brasy1G012200.1.v1.1 annot-version=v1.1 MSLAGDGDADADAVVLEITDASPSSTFSTADAPPSTPRPPPIPIPVSALSHPVPHPTVAVRAGRSRLVESSSYFRALLGGSFSESGRGYVQISCDLEAAVQVLRYLFEPSAGFAITYENFLPLLEGALFFAVEDLLVECERWFRTMRSQNFSMLVPLDFIIEVWCFAQEHGITFVEDVCPGYLAQNFVEVISRKSFAKIPYDLLCYTIECPQLTVDSEKQLCEAILCWVSASMQPCELVPNTADSQLSLLSKVRVRLLPLGYVAGTRRNWVEFGNNDVYMILNMLKDRLQTLLGAIADDDMESYCIRITEYSKDPSYGIWHFRKKIVLSGCSQLSTEFLYISVLPTDLDAVFKRRIISSYSQVDYGSFALYNDLEKGVKTLSFRNVHTVDLSKCPNVHFGAAIDWLKLAFPELRTFRAAYCLQFQFQDLQYLFLRCPWIKEVDLTIDTSVVLPKHSIISSRFEVRRKMNPNLSSYYMQSLTYETPINPGFSNISKLTLEGRNDITDVNLLEISLLKNSLCYINIKNCTQLTDDGISTLLLNCTKIHSMVLSYTCFGNHSVQTLCSFESSDSFPDHKDESSHVMAFRLQELHLEGCEGVSYAAMSQLMSNLNIVKSLCLRETSLTDGALCNFVGSSLEYLDISETVVSMISLAPIIQRNSNLRCLKTLGCRNLLFQHGGVKSMSGNKYGDFLQQITSTCCLEDVEMGWAFCPIRINDLMPSFSKVRKMTVGLGTTLPENILHALPEICPSLESLVLRFQVISDRIVRNLLESSINLQVLCLHYCLGSLTSFSFQTKAPALRILRLQWVTPWITNDDLTILIQNCNLVELALSGCKLLDSSSQEIISSGWPNLACLHLEECGQITLEGVSFILNCKALEDVLLRHTGRGIGRSIITDATRELPLLRKLALDLCDASEGGYDTPNNPEENMLRSVRMSRCKAARSGFELHREVSSSKPVHKETIVLEWTSRQLRTTVVEERL* >Brasy1G012200.2.p pacid=40058546 transcript=Brasy1G012200.2 locus=Brasy1G012200 ID=Brasy1G012200.2.v1.1 annot-version=v1.1 MSLAGDGDADADAVVLEITDASPSSTFSTADAPPSTPRPPPIPIPVSALSHPVPHPTVAVRAGRSRLVESSSYFRALLGGSFSESGRGYVQISCDLEAAVQVLRYLFEPSAGFAITYENFLPLLEGALFFAVEDLLVECERWFRTMRSQNFSMLVPLDFIIEVWCFAQEHGITFVEDVCPGYLAQNFVEVISRKSFAKIPYDLLCYTIECPQLTVDSEKQLCEAILCWVSASMQPCELVPNTADSQLSLLSKVRVRLLPLGYVAGTRRNWVEFGNNDVYMILNMLKDRLQTLLGAIADDDMESYCIRITEYSKKIVLSGCSQLSTEFLYISVLPTDLDAVFKRRIISSYSQVDYGSFALYNDLEKGVKTLSFRNVHTVDLSKCPNVHFGAAIDWLKLAFPELRTFRAAYCLQFQFQDLQYLFLRCPWIKEVDLTIDTSVVLPKHSIISSRFEVRRKMNPNLSSYYMQSLTYETPINPGFSNISKLTLEGRNDITDVNLLEISLLKNSLCYINIKNCTQLTDDGISTLLLNCTKIHSMVLSYTCFGNHSVQTLCSFESSDSFPDHKDESSHVMAFRLQELHLEGCEGVSYAAMSQLMSNLNIVKSLCLRETSLTDGALCNFVGSSLEYLDISETVVSMISLAPIIQRNSNLRCLKTLGCRNLLFQHGGVKSMSGNKYGDFLQQITSTCCLEDVEMGWAFCPIRINDLMPSFSKVRKMTVGLGTTLPENILHALPEICPSLESLVLRFQVISDRIVRNLLESSINLQVLCLHYCLGSLTSFSFQTKAPALRILRLQWVTPWITNDDLTILIQNCNLVELALSGCKLLDSSSQEIISSGWPNLACLHLEECGQITLEGVSFILNCKALEDVLLRHTGRGIGRSIITDATRELPLLRKLALDLCDASEGGYDTPNNPEENMLRSVRMSRCKAARSGFELHREVSSSKPVHKETIVLEWTSRQLRTTVVEERL* >Brasy1G090200.1.p pacid=40058547 transcript=Brasy1G090200.1 locus=Brasy1G090200 ID=Brasy1G090200.1.v1.1 annot-version=v1.1 MESGMRVALQRQVSAGSSVRRQHGGGELRRQASSSTLESSPRTGRAAAASRFLFGRQSSMDPNRRRGRSQSPVRADSQQQPADLAVPDNLDATMQLLFLACQGDARGVEQLLRGSVDVNSINLDGRTALHIAACEGHRDVVRVLLDFQANIDARDRWGSTAVADSKCYGHTEIYNLLKSHGAKIPRNRRTPMMVSTPGEIPEYELNPGELQFRKGDEVLTGTYQVAKWNGTKVSVKILDRESYCDQEAINSFRHELTVFEKVRHPNVVQFVGAVTQNIPMMIVSEYHANADLASYIQRKGRLHAQKVLRYALDIARGMTYLHQCKPDPIIHCDLKPKNIFLDNGGQMKVGGFGLTRLLKIAPDKVKLANHEALVDTFSYYTAPELHRNELFDSSVDAYAFGFILFEMVEGLPHANGKTPEESSHVQPRYDGMRPSLKNKLKGYPADFKALIEECWDTHAMARPTFSEIIIRLDKVYAHCAKQGTWKESLKIWK* >Brasy1G044400.1.p pacid=40058548 transcript=Brasy1G044400.1 locus=Brasy1G044400 ID=Brasy1G044400.1.v1.1 annot-version=v1.1 MLSAAMTTRPVGAANCSRRPQLPARAAHSGPSSSSPGIHGPGSVSEEKLSFLLRPRSVVLSSVAVGSMVAASAANAADLGDSLIGPPGLLLADLSIGDWFGGLLFSAGQQANEAVLDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGAGKDRTEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLGIIMGLNLLEVVELQLPSFFSDFDPRAAAANLPPSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSTWINPISGAFLLGGGVYTLLDKLFPATSMVM* >Brasy1G169700.1.p pacid=40058549 transcript=Brasy1G169700.1 locus=Brasy1G169700 ID=Brasy1G169700.1.v1.1 annot-version=v1.1 MNRRPRRRGKRGRTSPDPPAKRRRGPLELASGELEAPPAPVPAPAAAPQPSLVMVAGLPPGCGVIELKSRLQAYGPIARTRIDAAAATGYVTFRSAADAMTAIAASLDPECGITIASKKVLVVQASEAPNNSKNVVRSEPADATDNGASDTSAIPRSRVAPEVIHKAREIVAYDDLF* >Brasy1G561300.1.p pacid=40058550 transcript=Brasy1G561300.1 locus=Brasy1G561300 ID=Brasy1G561300.1.v1.1 annot-version=v1.1 MDRRHATICLFLVLVLLGHPTFAEIFAAKEKLLGINLWESNLYNSFVQDDVLDCRKSTNGNVEVAECKGPLFHGYCYCYICDKNSKHH* >Brasy1G113500.1.p pacid=40058551 transcript=Brasy1G113500.1 locus=Brasy1G113500 ID=Brasy1G113500.1.v1.1 annot-version=v1.1 MVQDFLEATKVLKWLKENYTIEYIRRCAMSVTLGGRTASFHR* >Brasy1G577600.1.p pacid=40058552 transcript=Brasy1G577600.1 locus=Brasy1G577600 ID=Brasy1G577600.1.v1.1 annot-version=v1.1 MGFNAATFDERQLIDELRALLDNKRYLIVIDDVWDTQAWEIIRCALIDSNCRSRVIMTTRILKVAMEASDVYKHKPLSRENSEELFHARLFGGKEKCSHYDELAETSDKILKKCAGVPLAIITIASLLASKPREDWSAVYNSIGFGHGENKDVDNTRKIPLFSYYDLPSYLRTCLLYLSMFPEDYTIMKDTLIRMWVAEGFVRHEARTLHTSLQDQKLENKCKREATTTASMMRRIRDDVAGFTSTFACGVGTT* >Brasy1G020900.1.p pacid=40058553 transcript=Brasy1G020900.1 locus=Brasy1G020900 ID=Brasy1G020900.1.v1.1 annot-version=v1.1 MEMEMEMEPRKRPRKEEAAMAAAAAMEEKTETALERVKRVWAREQLPKASGRERFMEIQEWLADPQKRKEIEEDNAAWELVRRDFSWERFRDNWSCSFASFEENTIIPCMRFTDAEVGSPGCGYNVCAMDTMQIVSVQVAEITGGLQWPLDVYGFVAIRDMPERRRIMVFDRKRDDCQRIDEDSYLTLTGPTRGVIASTDASYLEVHLKVKGAIESEDKDLSKFAMSYRMGCSSPLEKTSKLSTVELQHYTVFRSVEATISARVVDGTWPFGFRGEFTASTARQSGVEITLLDFNDVELAVGADGLIKLSRRVVCVEDRGNLAVSVYEYGEEEDTLRARASFIPGQAKRSINNMKMGSCWIEVCVAWSLFGY* >Brasy1G532900.1.p pacid=40058554 transcript=Brasy1G532900.1 locus=Brasy1G532900 ID=Brasy1G532900.1.v1.1 annot-version=v1.1 MAEEEACMYALQLAVSSVLPMTLKTVIELGLLETLVSAGGEAPLTPEELAAKLPAKANPEAASMVDRMLRVLASFNVVSCVVEEGKDDGRLSRRYGPAPVCKWLTPNEDGVSMAAFVLAAQDKVHMATWPYMKDAVLEGGDPFTKALGMSWFEYAGADTRFNRMYNEAMTHHSGIITKKFLELYTGLDGIGTLIDVGGGIGTTIHAVTSKYPTIKGINYDLPHVIADAPTYPGGRVQHVGGNMFEKVPWGADAILMKWILNCFRNEECATLLKNCYDALPAHGKVINVECILPVNPDESPSARGLIQIDMSLLAYSPGGKERYLREFEKLAKGAGFAAVKATYIYANFWAIEYTK* >Brasy1G571800.1.p pacid=40058555 transcript=Brasy1G571800.1 locus=Brasy1G571800 ID=Brasy1G571800.1.v1.1 annot-version=v1.1 MSSPAKISLVLSTVLAFVPTLSIAVAVAPERSVVAVAPALTAAVAPERSSRPIQISGQTRSGPPSRWGLTPRPLPAATFLLLRLAAQTRLLVGALPPDDAGLLQTCRHMREQSPRSLAEQQQPHP* >Brasy1G562300.1.p pacid=40058556 transcript=Brasy1G562300.1 locus=Brasy1G562300 ID=Brasy1G562300.1.v1.1 annot-version=v1.1 MENQEFLLPEDMLANILQRLAPRYLAISRCVCKPWCTIIDARRLLRADLLPLSVGGIIINFNDQWVSEFFSRPSTGPSVSGNLNYLPCTSKVKDHCNGLLLLHDYVVNPTTRQWAELPPCPFSGLEAFDGYLLYNEYLVFDPTISPHYEVFVIPFSINLNPHVKLDPNVEESEWPSSQCTFHVFSSRTRQWEVRPFVREGKAAGTIADMRLATQNCAKLNATYLRGSLYVPCTSHFVMKLSLSNSKYQVIKPPKERNIQFKEDRLYLGKSEKGVYCASIYSPAQVWILDESYGQMEWVLKHQISVHLDTDEIRRPWTLQDVNYYEYDGDDDKDEAIVEQKVDWDSDNDNLIDPNDKADDGPCYYDILGFHPFKEVVFLCITLNRGLAYHLDSSKVQELGSIFPRNYGTWFGIQPYIEASFIYTPCWMGLVDERSISE* >Brasy1G178800.1.p pacid=40058557 transcript=Brasy1G178800.1 locus=Brasy1G178800 ID=Brasy1G178800.1.v1.1 annot-version=v1.1 MATPRIDHFIHIQERQQQSTTSPDPPAATVRRFHVDNGGGFSWLSVAGMLAFLTFNCVMAVYRSRHDAATVVFVANAYLDLVLLFCCLWLHERAAPGSAWRDRLKASVWTLTTLLTFSFAYMVMGTAAGLTLPVALLVWIIAAATGIGAFSAFFDRQLGRVNQPLDGLMLPPV* >Brasy1G377900.1.p pacid=40058558 transcript=Brasy1G377900.1 locus=Brasy1G377900 ID=Brasy1G377900.1.v1.1 annot-version=v1.1 MMTLTSVATPCRSSSHSWLHMFIPAFSTFAHSFHLSSAGFLRCGANTLPISVLSRVPRPRACAAATSLSPPPTPFILLVLRLLHLSSTFSKTRSTVRRHRVPSSRNASTQRRSSRGPIVTYGAAAAAMGFQEGASGGGNRALTRRQQVDSDKTRASGGQQLAKDLSIMLLIAIDANFSDFFFLFSVLDSGFFFL* >Brasy1G335100.1.p pacid=40058559 transcript=Brasy1G335100.1 locus=Brasy1G335100 ID=Brasy1G335100.1.v1.1 annot-version=v1.1 MAGDDIDVEGEGHLNQPITRLYFNALRDHLRREFQNSLQPIEEKQDKMSDDLQQLMDNVNEQLTQNITSMRADLVANIVRELRQRPQDASVHGDEQHDTNDEAEASDARARRQQRAAPRGMRPLGPGRGNGGVAGRGRGEVAGGRGLGGNRWRENLHRDDSEDEFEDDNYGLQRNGRFRHQRNYGREHHEEERFVTSHGS* >Brasy1G426200.1.p pacid=40058560 transcript=Brasy1G426200.1 locus=Brasy1G426200 ID=Brasy1G426200.1.v1.1 annot-version=v1.1 MDQFPTAPSVILWGDDDEPPTGWHPAARHVWLRSRQLDGAYLQATRDGAGSAAYGRYLAPTDSPAPRGQHGFRVVLTRHYETSPGTPAEAILWEFVERGDYVGLCIAGGARYLRANGRYFRFFNGRYLPWNTGVTVQYRGTTIHWDWVMEPIPLTPQFPGIPGPIDLLASCVLCFLTSFQLDCRNPSPETLPSCSGAGRQRPPSRPIRVVQAADDGSYNQVNGWTTFEFTGNSIFRLRKEVADILHTIDTHIIMCVRAGRHGRLAPMLVDMPRGGDGDTVYIVVITLGTPAAAALRYPDINT* >Brasy1G429200.1.p pacid=40058561 transcript=Brasy1G429200.1 locus=Brasy1G429200 ID=Brasy1G429200.1.v1.1 annot-version=v1.1 MEKMSRRLVNLIVQNSIGCRPAYTLYRINPWSFFYPTTRQASNNKTASIENARLPRAAISFYLPCPPHDDGEINFLSLGGSSNDIISMDQDGNTLQYEAASRALRLLPVPHEPKVSPVSVAIHDSLYLLNKIPGLQNEEHPFEAFHYKNSTGRCGDDRWYWCSLPPPPFVIDYDNLCHLRVEDDDTCSSYHRQNEMNNSDIVEAYTVVGDSQIWVSTQDGVTYSFDTTSGVWSNAGEWPLPFSGSAMYAPEHGLWFGFTSDSQGCQFAASDLGAASTMTPPVLHKAWNEPLPKQWVPVMDPYLLPLGSGKFCIGRVFNRAEEGRTKETFAVLTGVEVERRGSRGALRLIKHKSKRFSFGRRMVKTIL* >Brasy1G510200.1.p pacid=40058562 transcript=Brasy1G510200.1 locus=Brasy1G510200 ID=Brasy1G510200.1.v1.1 annot-version=v1.1 MATFVSSTTSSAAAAASVLRSVPYAVRGGACAAPAPRPLAAPLRAAAKGSGNSAPVLMESKVKKRNKKGSGGGGLPAAIDLEIREAEAYLATDGEEPAPDNFPFEITDEEGMSVVILKREYKDEKIEVIVSMPNMEGDPEFDEEDDEADGDAAAQDDDDEDDDEGDEDSSLSMKVIVSKGSSPKLEFTCTAFREEITIDDMLIAEETESKEEKFPFEGPEFTELPVNVQKGLFKFLELRGVTLTTTNFMHDYMVTKQTKEYVRWMTKLKDLVR* >Brasy1G471900.1.p pacid=40058563 transcript=Brasy1G471900.1 locus=Brasy1G471900 ID=Brasy1G471900.1.v1.1 annot-version=v1.1 MDMLDLNEFPADEVAEGCATTVFPTYCTQPSPVKEVREVIHNEVNAGSSHYGSMHFVGREDQIGQFESTDLNPQTTSIPDTDNNDEQFPEDEVLSQPHEPYLGMRFDTLLYARDHYNAYALRLGFSIRKPRDDGEKTQKTKVATGVSSESEVDIDDETDLEESLPTGKKAPKKRRRETIKGTNCKARMIVKLMDSRWQVVYFIAEHNHPLITKPSLTKYLRSHQGIPKVEEDFLRILHDTNLETGRMMQLMSSFYGSGLLVPYTTKAISNYRCRLRAQTRGGDMCETISYFTQKRLDDPDFYFSVLLDDEQRVQNLFWIDCAARKAYVNTNIH* >Brasy1G138500.1.p pacid=40058564 transcript=Brasy1G138500.1 locus=Brasy1G138500 ID=Brasy1G138500.1.v1.1 annot-version=v1.1 MPYTATRPSPQQHSRTVGAARNKAPLAVPLPGEVARYHEHAAGAGQCGSAVVQAIGAPAEAVWAVVRRFDRPQAYKRFVKSCCLVDGGVGVGVGSVREVRVVSGLPATCSRERLEVLDDERRVLSFRIVGGEHRLANYRSVTTVSEVSGQPLSVVVESYVVDVPPGNTGDETRVFVDTIVRCNLLSLARAAEAEAQQLAQAPVPPRVS* >Brasy1G502600.1.p pacid=40058565 transcript=Brasy1G502600.1 locus=Brasy1G502600 ID=Brasy1G502600.1.v1.1 annot-version=v1.1 MGLEMEMLLQVGADRETAAAAAAERAPEQQAVEIHPLSRYCFGARDARPARAETPADRALRLKANFAAHGLRTCVHGVLLVELLGRPHLLLLQVRNSSFLLPGGRLRPGEQDVLGLRRKLSSKLSADRHQQEDHGWQIGECIGMWWRSDFESGPFPYLPPNARAPKECTKMFLIRLPMSRQFIVPRNLKLLAVPLSQIHDNAQVYGPIISGIPNLLSKFSLNVVRD* >Brasy1G502600.2.p pacid=40058566 transcript=Brasy1G502600.2 locus=Brasy1G502600 ID=Brasy1G502600.2.v1.1 annot-version=v1.1 MGLEMEMLLQVGADRETAAAAAAERAPEQQAVEIHPLSRYCFGARDARPARAETPADRALRLKANFAAHGLRTCVHGVLLVELLGRPHLLLLQVRNSSFLLPGGRLRPGEQDVLGLRRKLSSKLSADRHQQEDHGWQVHAARSESALGCGGDQTSSPDHFRICLQTLVRLRNAQRCS* >Brasy1G502700.1.p pacid=40058567 transcript=Brasy1G502700.1 locus=Brasy1G502700 ID=Brasy1G502700.1.v1.1 annot-version=v1.1 MDGAGDRWAADAARSAESRGVGRKRWWEPFSDRSAALTRTSPLPPPIAACGRAEGGSGRGEVEPWTRARREGGGDGGAAAGRNAVAVAGDSARDAAEGFPGLFVEREDRRSGGGVGGFESKRRLAPPPGRPPPKRRALSATRRFQPGCGRETAAHPVVRSGGEDGLRVGASPSLGGFSMLERATTGARLSCAGDGGTEEGGLLLEAATANAGLVLPEKGGAPPADGFRPKATVVKPSDATIVQSSDNETLDGVVEVQKLVEVEIDATIVQSSDNETLDGVLEVQELEEGEIVASVTMDTVECTNKQVLGGAVEVQELEKDEIAAPMHILESTNKQNFDGAVEVQESEEREIDATMDIVEAMNKNVLVGAVEGQGLEGKIVAKGYGQEPENCDIAAKGHGQKPVDGESATRYGQEPENGEVAAKGHGQEPVDGETVTRSDHIGQESQFAVDSIPRDSTDSIMRSKMKLAARKTLRPHVKANQTKSDHIVQESQFAIDGISRDSTDGIMRSKIILTARKTVQPPVNANHMSLLTTPDRPFSTTRESFATMKKFKAAQSKHVPVNTASASALANKEKHMFKYLFVSKDKAKGKKAVYLEGDDILKAVAVHEGKLVLYVNVPSGVGSVWRHRQHVGHNADARSKVRMISSRFQFLCRFLALAVEQRLLEVLRVDLAADEVIKKFPDHTKHESIVGKVAGVEVGDKFLFRVELAIVGLHRPYRAGIDACKDNNGVLIALSIVASGGYLDELSSSGELIYTGSGGKAAGTAEQDGDQKLQRGNLALKNCITTKTPVRVIHGFKSRNREDGSHSKGKEISIFIYDGLYIVVDCWREGIPGSRVFKYRLRRIPGQPELPLHVTKWICKSALHPDRRPRKREAGPGGGSRSTGDG* >Brasy1G363800.1.p pacid=40058568 transcript=Brasy1G363800.1 locus=Brasy1G363800 ID=Brasy1G363800.1.v1.1 annot-version=v1.1 MGAAPSSPKEASSVSSCTGDGRAVPMAHSANSTSGTNLQAQSKRAPAPHMFREIVADEKATDLAAMEDQACTGIFLAGKTKKYWVDERTRHNCFMLLPRGLAITWSEDPRYWTWHALKQGSDGEAEKIEAVMLQNVCWLEVHGKQELSHLTPGVTYEVVFEVMLKDPAYGWSVPVNLQLKLPDGTVQQRKENLLEKAREKWLQLKVGEVKPQMGQNGEVGISMFEYDGGEWKRGLLIKGIKIIPKE* >Brasy1G363800.2.p pacid=40058569 transcript=Brasy1G363800.2 locus=Brasy1G363800 ID=Brasy1G363800.2.v1.1 annot-version=v1.1 MFREIVADEKATDLAAMEDQACTGIFLAGKTKKYWVDERTRHNCFMLLPRGLAITWSEDPRYWTWHALKQGSDGEAEKIEAVMLQNVCWLEVHGKQELSHLTPGVTYEVVFEVMLKDPAYGWSVPVNLQLKLPDGTVQQRKENLLEKAREKWLQLKVGEVKPQMGQNGEVGISMFEYDGGEWKRGLLIKGIKIIPKE* >Brasy1G538400.1.p pacid=40058570 transcript=Brasy1G538400.1 locus=Brasy1G538400 ID=Brasy1G538400.1.v1.1 annot-version=v1.1 MRQEGSPLDLNNLPEEYGKQAAPVESSTTTAASSADETRTKKSGGGGGKEKEEAGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNDTLSAVGAQMSFRDVNMGGATAAPSALLGTGGGGGFRGGSDQPSDPNYRRMDLQPVLSPFPPPHPHYRQFRKAPPMSFPAPTTYPAPPGHHHHQQPALAGGGDYVIGHAVSPGAAFSCFGGAPIAATSPPAMASVQPADNGGGGCNCGFGCGHSRSVN* >Brasy1G467500.1.p pacid=40058571 transcript=Brasy1G467500.1 locus=Brasy1G467500 ID=Brasy1G467500.1.v1.1 annot-version=v1.1 MAAADGALLDLLPQIHALFSDQLRVVSYKWLSRNFSVSSNDAKRLLQEFVKKHGTDHQVIYSVSGWLKNNSQNYCVKLTSGPKLEEARQEFKDSCSVQVYSVQACIPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVLNSFVKRTTKGKHVSSVPPKPTNIVAVAALSKPGSAPKEQSVIGRQQGLPRPSSPKKETSNKAGRQPGLSGPSSPKKETSSKAEKDNTPVLDKTANAPVVKEPSIAIHANKTKAQNGKALPSNGGSLATMWGRASAKPKAPAVTNATTDLPSVAVTADAQICAKEEADADSSDDEQSVHYKRTSGGASNNRKRRAVFDLSDDDEDNVTAIASLEPPKQCAANPSTEAAQDNKPEKKKLEDKQEIVSDVKDVTNGTDSEFTSECKTKSHNTINQSGITLKEKSNDPPTNGNNKQDHAAETAAAAPKRRKVLKTRIDERGREVTEVVWEGEASESDKAEKTVTSTDAAPRPILPSKPQPAANTDRSNAASKTAGAKKPAKAAPPKQGKNIMSFFKKI* >Brasy1G576300.1.p pacid=40058572 transcript=Brasy1G576300.1 locus=Brasy1G576300 ID=Brasy1G576300.1.v1.1 annot-version=v1.1 MAATGGGIWRAMAARSTGPTGTEGLAGVGSEVTETSSADGGGTEAAHGAAGAGGGGVWRRHRVRLRDKGKGKRVGRAAMWVGDLRSVAVCPAFAPASAWHAAGRGVVVGGESAERRGGLGGSEAQDGERTRRRIGERGAGFSRGGAVARGGARRGVRSRGGAWGSTAWGAVGARGVGGWPGWVRRGTQAGGPDAGGSGVRDHAISYQALNTGMIINK* >Brasy1G057500.1.p pacid=40058573 transcript=Brasy1G057500.1 locus=Brasy1G057500 ID=Brasy1G057500.1.v1.1 annot-version=v1.1 MAAGGALAVADDVLLDIFARVRDPVDLLRCAGACRRWLRLIAADDPCFLLLLRRAAGLSPPPEKKRKKEPAPPTFILGAFYQNAVLFARLVHSPRLMPDCCSLFPNDDGVLGYAKPLASRRGLLLARVMPTPLDRRKLHLAVCHPLIGHRRLVPPPPLLDLDPSLFGAELAGYALLLADHYRHLHSPEFQFQVLIIAASVQDREQDKPVHCAYCYSSATSTWRSAPIARTIDGLTTMSGPRAGVLDAHGTAHWLYRDDTSFYSLGITITAGDVPAAASLTKIPIQVEPRLRPPFPCVSKGGNLCLANVRPKGVLQLWTKRDGSQGGGWARSELICDDQARGVSLTTMAILGFAESRGALLVQHGHLFVLDLESKEMELVGGHDSGFGWRPLCASSFRCRGYHSCDQCAYNASVLYEMDWPSYLHHLCHLHPRN* >Brasy1G427400.1.p pacid=40058574 transcript=Brasy1G427400.1 locus=Brasy1G427400 ID=Brasy1G427400.1.v1.1 annot-version=v1.1 MAASRELLLVALLVVSSCDLLAVSGQKFNALYSFGDSMSDTGNLCVNGAPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAERFGLPLLPPSKQGSADFKKGANMAIIGATAMGSSFFQSLGVGDKIWNNGPLDTQIQWFQNLLPSVCGSSCKTYLSKSLFVLGELGGNDYNAQLFGGYTPEQAAGQSPAIVDGIGRGAEKLIGLGAMYVVIPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSQRHNSLLQAKVSSLQSKYPWAKIMYADFYSHVYDMVKSPSSYGFSTNLRACCGAGGGKYNYQNGARCGMAGASACGNPASSLSWDGIHLTEAAYKKIADGWVNGAYCHPAILS* >Brasy1G264600.1.p pacid=40058575 transcript=Brasy1G264600.1 locus=Brasy1G264600 ID=Brasy1G264600.1.v1.1 annot-version=v1.1 MPTITHYVLDPFLETGSITNTHKAVPKPPLLPGESPEKPIPVPVAPARTSPALYTTPESTPLPDSPSSFPGTWSPYLINHKRRGPGLIKTLSQGDVGGEGSQPKIPVTLPALPKRSETFTAQEFNGVVGGHRGVDETLDGQNEMVQKSKATLSGQDEQNQPEFEFQHGSPDALVRPVNVGRLVNGGTPRNAENDAFFEPQDSQSVASNSEAEDAGGQERWWKPSSPFGASVGTPGAEFYDAFEEISSDGATRSSRCIDDDFREMRLSLLTEIEGRKQAEEAVENWQKEWKKLNHHLSLIALTLPSPCVAETTDDSSMDPGAELCQQITVSQLVAAAIARGLARAEVESQMESVISAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNKRKLKQKWFWGSVGLAVTLGTAAIAWSYLPTTQASPESDSTNTNSD* >Brasy1G264600.2.p pacid=40058576 transcript=Brasy1G264600.2 locus=Brasy1G264600 ID=Brasy1G264600.2.v1.1 annot-version=v1.1 MPTITHYVLDPFLETGSITNTHKAVPKPPLLPGESPEKPIPVPVAPARTSPALYTTPESTPLPDSPSSFPGTWSPYLINHKRRGPGLIKTLSQGDVGGEGSQPKIPVTLPALPKRSETFTAQEFNGVVGGHRGVDETLDGQNEMVQKSKATLSGQDEQNQPEFEFQHGSPDALVRPVNVGRLVNGGTPRNAENDAFFEPQDSQSVASNSEAEDAGGQERWWKPSSPFGASVGTPGAEFYDAFEEISSDGATRSSRCIDDDFREMRLSLLTEIEGRKQAEEAVENWQKEWKKLNHHLSLIALTLPSPCVAETTDDSSMDPGAELCQQITVSQLVAAAIARGLARAEVESQMESVISAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNKRKLKQKWFWGSVGLAVTLGTAAIAWSYLPTTQASPESDSTNTNSD* >Brasy1G260800.1.p pacid=40058577 transcript=Brasy1G260800.1 locus=Brasy1G260800 ID=Brasy1G260800.1.v1.1 annot-version=v1.1 MDAGGGDGDGPPLEAPEERTSPPPAPATVAAPASGSGGGAGPSGSGEKPVKRMMKTPYQLDVLEQTYLAEQYPSEAMRAELSVKIGLSDRQLQMWFCHRRLKDRKPPAKRQRRDEEAPAAPGLVPPPLLPLQAMPLASSDLMMSAVSPYDEPLPPTHRRRGGGRSSAVPRISAPDIGRRYYEPLPVMMSPPVAPMQFRQAELRVINSVESQLGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQKQPVRSYDAKIFSRHDPNLLKVSSFLPSMEHPFVPNSFAGKRKSTIGNTSQDQPHGGSRAVHEYQFLPEQPSDTYERASRSHYYDTPVEASNSRISSLTPGSHLLHGSEEVAPGYAFEGQMSGSGLLPQPVRPQVFPAASTDYEMNQSNSNLNSVPVEGQFGISQVPGYEDPLISSEGRAHLDEDASRLDRKRKHNEEAKIAKEVEAHERRIRKELEKQDVLRKKREEQMRKEMERHDRERRKEEERLLRERQREEERFQREQKREHERMEKFLQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLSLDSDTLQQLDSFRGMLSQFPPETVKLKVPFLIKPWTGSENNLGNLLMVWKFLITFADVLGLPAVTLDEFVQSLHDYDSRLLGEFHVALLKSIIKDIEDVARTPSVALGVNPGGGHPQIVEGAYSWGFNIRSWQHHLNLLTWPEILRQFALSAGFGPQLKKRNAEDVFYRDENEGQDGQNVISTLRNGSAAVRAAALMKERGYTHRRSRHRLTPGTVKFAAFHVLSLEESNGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEAILSAAREKIRAFQNVLSDSEVEKEVDDAERDEDSECDDADDDPDVDDVNIEVGDGDPLAVKAQDVVPMATEVGDIKGEADSTDTALTQPSSFAKSEKGATILSLDKSNAAGTSSVSPLRASSDHHEVITGNAEDAEIDESYQGESWVQGLAEGDYYNLSVEERLNALVALVGVATEGNSIRAVLEERLEAANAIKKQMWAEAQLDKRRSKEEFASRMQYSAYTSLKADVNPEHNATETTPTPARNIDIDNDGNMGAVNNTEILDQYSHSNAGNVSYERNGVGQDISATPDNLSAQQYAYADKTRSQLKSYIGHRAEQLYVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESRDGYWRVIDSEEAFDSLVASLDTRGSREAQLHSMLQRIEATFKEGIKRKSNAATEQPAGRYLKNGATDLMQGSYRSEFGSPSSTLSTVSSDSFRIELGRNDAEKTAISKRADGFLKWMWRECYDRKLTCAVKYGKKRCSTLIHSCSYCYQIYLAEERHCSSCHQIFKSIHNFSEHVSQCEEKRRTDPNWKMQIEDYSVPIGIRLLKLQLATIEAMIPSEALQPFWTDGYRKSWGVKLHSTESVEEIFQMLTLLEGAIRGDYLSSDFETTSEYLNLNIQDMHSQNPFGLSGASVLAWVPDTIAAITLRMLELDYAVSYTQNQKTERDGGDTMKLPSRHTVVKKTQHIEPLEPASVELYDGRWPPSSGRRGRGRGSRGGSRGGRGRSRGGRVPRGVSSSSRIEFRDDSAAYEKAPRKNARRGRGRGRGRGRGRRTVRPRQPSSDGRGRSIPKANLLGSFSMLSNVKPATVAESPRSSGAEEWGLEDRRPYMEVDENSSGSQSDQSEDIEENGQPMDEDYDEQVPDYSIAYSSGSRPHGVMDDETDEEDEDAEGDAEGDEDGEDDDVNHAAAADVDDDEMDEDDDIGDDGDDGGDGVEANADEDEGATSYSSEYSE* >Brasy1G195100.1.p pacid=40058578 transcript=Brasy1G195100.1 locus=Brasy1G195100 ID=Brasy1G195100.1.v1.1 annot-version=v1.1 MDGQAPPPVAVAPVSLSPTLEAEHDVSDSSEASEVGAGAGGVVPHLPTSPLPEEEEDPVVASLEASEVGAGGVVPLLPTSPLLEAEDDDVVVPDTDDAASSEATKVGASGVVPLLPPSPPLEAEDEPLVVPDTVEAALSEATKVGSGGLVPLPPPSPSLEAEDEPLVVPGTMNDVSLEASEVVVPGVVLTDELREKIVKQVEYYFSDENLPTDEHMLKFVKNNKQGFVPLGVIASFRKMKKLTQDLSIIEAALRTSSKLVVSSNGKRIRRLHPLPCNELKDVKKRTVLVGNLPLDFSMESIQEKFGTVGKIMKITIHDPHAVGESAASKKPDFMLSSKVHAVVEYEAVEAAEKAVTTLNDERNWRTGMRVILLAKRSVTGSARHIQSSKENHGTVSKKNNEDQSLKEQGSASEQNGGADSGEVALDKENMNSDVDHEEVRRHQKPIAKGGQKGRYRSQGKGLIQQNTSGHGHGSFPSDSMNKPISGPRMPDGTRGFTMGRGRSPPVQKAEKAEE* >Brasy1G244800.1.p pacid=40058579 transcript=Brasy1G244800.1 locus=Brasy1G244800 ID=Brasy1G244800.1.v1.1 annot-version=v1.1 MEYSTITSSEFTTMPITSEELLGLTESVPPSPSLYLDIPPTPYDASVGDLVLPYIARILMEEDIDDRFFYQYPDHPALLRAQQPFAQILDEAKNLLSNEEGDMERMNSDVSLQGAHGGSMFLAGKDIQSWAILDGAEVDNNGDQRKLSLCNEDMLNMAFLKGMEEANKFLPRDNNLQVGAFSIGQAREMLVRSSSSRRRCDGEEVVGRASKLMVLELEEDGAHELLQKLMLNSCEYRGEAMQKLRIAMEKTEAERRSMKAGRGRQQRKRGDTVDLHGMLLCCSQEVATGNHQGAGILLKQIRQHASARGDAAQRLAHCFAQGLEARLAGTGSQVYRSLVEKYTSAMEFLKGYELFMAACSFKRVAFTFSSMTIFDAMEGKSKLHIVDYGLHYGCQWPGLLCWLANRGGRPPDVRITGIDLPQPGFRPSKRIDETGQQLSNCARQFGLPFKFHAITAKWETIRAEDLNIDPDEVLVVNDLFHFNTLMDESLVTDRPSPRDVVLSTIRGMRPDVFIQGVVNGSSGPFFLARFREALFFHSSVFDMLDATTPRDSEHRLVLERDMFGQCALNAVACEGADRVERPETYKQWQLRNQRAGLRQLPLRASVVEVATDKVKSLYHKDFLVDVDQGWLLQGWKGRILHAHSAWVADDTSSDD* >Brasy1G407800.1.p pacid=40058580 transcript=Brasy1G407800.1 locus=Brasy1G407800 ID=Brasy1G407800.1.v1.1 annot-version=v1.1 MGSIARFTVGSHVWVEDADVAWIDGLVEEVNGDRLTINCTSGKKVTANVSSVYPKDVEVKRCGVEDMTRLAYLHEPGVLRNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNDHMMGMYKGAEFGELSPHPFAIADRAYRLMMNYGISQAILVSGESGAGKTESTKMLMQYLAFMGGKVESGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDAASFHYLNQSNCIKLDGMDDSSEYIATRRAMEIVGISSDEQDAIFRVVAAILHLGNVDFSEGSEADSSVPKDEKSQFHLRTAAELFMCDEKSLEESLCKRVMVTRGESIVRNLDSRGAALSRDALARIVYSRLFDWLVNKINTSIGQDPTSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIISLLDETCMLRNSNHEIFAEKLYQKFKDNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQDLLHASRCPFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSTTEPHYMRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGILLPEVLGESYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLNCSASKIQRKVRSYLARRNFIELRMSSTQLQAMCRGQIARFHYEDLRRKAASLKIQTYYRMHFARKNYRDICSASTTVQSGLRGMAARRDLHLRQQTKAAVIIQSCCRSDLASSRYMGLKKAAITTQCAWRGRMARRELRKLKMAAKESGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENRKLQQQLHELQLQSKETKDLLKREQENAKTALEKAALVPEIHADTTLVDELTAQNEKLKTLVVSLETKIDETEQKFEEMKNAREELLKKATDAESKINGLTNTMLSFQEKMTNMEAENQLLRQQALLRTPVRTIPENTSPKSNLTNGSPHSEEQMTPHGTPRAPKDYGNLAQPRASFFERQHESVDALIDCVAENVGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQNHDSNEDLAYWLSNSSTLLIVLQKSLKAVGSSGTTPRKRPQPQSSFLGRMVFRSSTITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLLHAIQVPRIMKASMVRGHSFGSSTLPRGRSFSNQGSYWQAIVDNLNELLNILRENCVPAIFIRKIFTQLFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEVWCAEVKPEYAGSALDELRHIRQAVGFLVIFKKFRISYDEIVHDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLMTEESSHSTSDSTFLLDDEISMPISLEEIADSMDAKEFQNVAPPSELVAVPAFQFLRSR* >Brasy1G186400.1.p pacid=40058581 transcript=Brasy1G186400.1 locus=Brasy1G186400 ID=Brasy1G186400.1.v1.1 annot-version=v1.1 MQIFVKNLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGQYPKNLEPNLRILAQKYNENKMVCRKCYARLPSRATHCRKKKCGHSNQLREKKRFMSKFGSDS* >Brasy1G186400.2.p pacid=40058582 transcript=Brasy1G186400.2 locus=Brasy1G186400 ID=Brasy1G186400.2.v1.1 annot-version=v1.1 MKLPVYSNRWKFLVGSPPQTVGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGQYPKNLEPNLRILAQKYNENKMVCRKCYARLPSRATHCRKKKCGHSNQLREKKRFMSKFGSDS* >Brasy1G283300.1.p pacid=40058583 transcript=Brasy1G283300.1 locus=Brasy1G283300 ID=Brasy1G283300.1.v1.1 annot-version=v1.1 MASYDKAMESYKKAITTAASLAASAMLVRGVVNELVPYEVRNLLFSGMGYLRSHMSSQHTIIIEETEGWANNQLYDAARAYLATRINTGMQRLRVSRVDETKSIMFSMEEGEEMADAHEGTEFKWRLVCRDNSSASSSNGNGIGRSGNFKLEVRSFEMSFHRKHKEKALTSYLPHILAMAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKQLVMDDLERFVKRKEYYKKIGKAWKRGYLLYGPPGTGKSSMIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVELQQREEGQEGTKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSIDHHATYPEIEELIKEVMVTPAEVAEVLMRNEETDIALEGLIQFLKRKRDGTKDGKAENAGQVAKEEEQEEEKMTKSDVPDNQNQQDESKG* >Brasy1G437700.1.p pacid=40058584 transcript=Brasy1G437700.1 locus=Brasy1G437700 ID=Brasy1G437700.1.v1.1 annot-version=v1.1 MQFSLSWLYSTVEKKSWCSWHMLVCTIVPKKCHSHASVQYSRLACQRRGQTRNKAGHQRRTQLASSPSASTPEASQEGLAGRRRGAEVMARESPFRADVLRGKAALVTGGGSGICFEIASQLARHGAHVSIMGRRREVLDKAVAALRSHDLRAVGFQGDVRKQEDAARVLASTVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYEIRVNGIAPGPIGGTPGMRKLAPDEMGKGKREMMPLFKLGETRDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHVPKEEVKELSKVVEKKVRASGVGVPSSKL* >Brasy1G031800.1.p pacid=40058585 transcript=Brasy1G031800.1 locus=Brasy1G031800 ID=Brasy1G031800.1.v1.1 annot-version=v1.1 MRHLSRLLQHRLILPSITTAPSSAAAAFSTSTKRPAYFRRPKPAPAPPENPAGAAVDDADASSQASEEVGSGWKTEKLPSNLPKPPTIPFQPRVANAVRLVGTVGAPVQLQQLPDGRFTAVSVLVQDRRTDFPKFWIPVIFQDDLAQVAASHLQENDLVYVSGQLTGDVPPFKDTDGQANIQILAQLLSFVDSKAEKTDFLVDEEEGFMEIAEAEKKVEQTIVTRKYPPNTVSGYKGKQDKLNTLWNDLIVSPHDWTDCRDDKKNGSKKANYPDFKNNNSKEGLWLNTAPKSVLEKLDDLAFSSGYSAAKTYKPFDGSMGKGTNSGWNKFKTNQASSPEKQKKEADLWQNLVDNPGEWWDNRSAKRSSKSPDFKHKETGEPLWLNNKTPSWAMDALTSAKPGSRGNRMPETLLS* >Brasy1G020200.1.p pacid=40058586 transcript=Brasy1G020200.1 locus=Brasy1G020200 ID=Brasy1G020200.1.v1.1 annot-version=v1.1 MACAARLGSLLFILIQLYSAAASTSHAPVNATASSFCHPDQAAALLQLKQSFIFDYSTTTLSSWQPGTDCCHWEGIGCDDGVPAGGHVTVLDLGGCALYSYGCHAALFNLTSLRYLDLSMNDFGRSPIPAAGFERLSKLTHLNLSYSSFYGQVPIAIGKLTSLISLDLSSLPEIADPSENAYMYHVLNGYNDLELREPGFETLFANLTNLRELHLDGVDISGGEAWCNILGKAVSRLQVLSMADCRLHDFLNLNLLQLGYCSFSGWFPQKIFQLKKIRLIDVSGNNKLSGHLPEFLNGTSLETLNLQYTNFSRIKLSSFSNLLSLRELALDGGSIAMEPTDLLFNKLNSLQNLQLTFVQFSGELGPFFSWIINLRNLTSLKLSDCYSYKIMPPFIGNLTNLKSLEITSGGFTGQIPSSIGNLNKLTSLKISGCSFSGTIPFSIANLTKLRSLEISFNGLSGPITSTIVNLTQLFYVDLSNNDLTGEIPTSLFTSPAMLHLDLSFNKLYGPIQEFDTLYSQITSVYLRENQISGQIPASFFQLTRLMELDLSSNNLTGLVEMSSLWKLRKLGYLALSNNRLSVLDGKDSESPLLTLPNLLMLHLVSCNMTTIPRFLMHVNHLQTLDLSSNKIHGTIPKWIWERWDDSLATLNLSYNMFTYMQLSSYVLPLSRLESLDLSFNRLQGQIPMPGMLTANGHLQFLDYSNNRFSYVMSNFTAYLSQTVLDLSYNNFSGVIPSCLIEDSHLSILNLRENNFEGTLPHNVSENCRLQAINLHGNKLHGQVPRSLFNCAMLEVLDIGNNQMVGTFPSWLGRLYNLRVLVLGSNQFYGSLAYPSRDDKFGEYFSELQIIDISSNNFSGNLDPRWFGRFTSMMKKFNDPGNILQQAINHAPFYYQDTVAIAYKGQYMTFEKVLIALTAIDFSNNSFHGDIPESTGWLVSLHILNMSHNAFSGRIPTKMGEMRQLESLDLSWNEFSGEIPQELTNLTFLSTLKLCGNKLYGRIPQSGQFTTFENTSYEGNAGLCGPPLSKPCGDSSNPNEAQASISEDHTDIVLFLFIGAGFGVGFTAGILMKWGKIRKWFRIV* >Brasy1G324500.1.p pacid=40058587 transcript=Brasy1G324500.1 locus=Brasy1G324500 ID=Brasy1G324500.1.v1.1 annot-version=v1.1 MEALLRVQERAMERRARCSADGGDDPVREAEEQWCARQGSVDEVRSKMQMKHEGAVKRERAIAYSLSHQSRSVKHRGRPSSPASSLRNHESYIEGWMATKPWDSRRMDPNRSESHCLENSNELNLAGPKFSDAGRAVKIRRNNVSTMVEAKSPSLLSASSASLDLAFDESSPSTSWVTPASAAMASEARSVDSVYRGGGPGYMSLTKSARARLDGCGGSRSRRGQSPQLQRQRSGGMPYRRRVALSSLDSQSNAGSDISAACRRLNSMSLKGQGMMTRSLDKENDY* >Brasy1G149300.1.p pacid=40058588 transcript=Brasy1G149300.1 locus=Brasy1G149300 ID=Brasy1G149300.1.v1.1 annot-version=v1.1 MAVESARGVSTQAEVGEGRQGRDGEAGPGEERQGRRDGEAAAGAGAMGRQRRRRRAAALPAEEREARPAPVEEGGGGAYVESGARRLGREGGGGVRV* >Brasy1G402500.1.p pacid=40058589 transcript=Brasy1G402500.1 locus=Brasy1G402500 ID=Brasy1G402500.1.v1.1 annot-version=v1.1 MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIVAKISKDYLRLLKYGDTLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKSEAMKTIPQGGDPSEEGVLLTMSALTDEGVMAVKNAACERLLEQRVEIKMKSKKINDCLNRFHVAMPKPRDNRERPTCIPQAVLEAQAVAAAKEKKKLERDLENENGGAGVYSVSLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEEAAQDAFMIDGHDELTKEQREILGQIRKKKAMLIQEHRMKKRTAESRPIVPRKFDKDRKFTTKRMGRQLSSMGVDPSAAVSRLRSQSRGRKRERSLSRAEGDDMEIDGQHSNKKLRTRSRSKSRPIEEVAPGEGFKDSAQKKKAIKKSRDSVKNRNKEARRGEADRVIPTLKPKHLFSGKRGNGKTSRR* >Brasy1G402500.2.p pacid=40058590 transcript=Brasy1G402500.2 locus=Brasy1G402500 ID=Brasy1G402500.2.v1.1 annot-version=v1.1 MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIVAKISKDYLRLLKYGDTLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKSEAMKTIPQGGDPSEEGVLLTMSALTDEGVMAVKNAACERLLEQRVEIKMKSKKINDCLNRFHVAMPKPRDNRERPTCIPQAVLEAQAVAAAKEKKKLERDLENENGGAGVYSVSLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEEAAQDAFMIDGHDELTKEQREILGQIRKKKAMLIQEHRMKKRTAESRPIVPRKFDKDRKFTTKRMGRQLSSMGVDPSAAVSRLRSQSRGRKRERSLSRAEGDDMEIDGQHSNKKLRTRSRSKSRPIEEVAPGEGFKDSAQKKKAIKKSRDSVKNRNKEARRGEADRVIPTLKPKHLFSGKRGNGKTSRR* >Brasy1G572500.1.p pacid=40058591 transcript=Brasy1G572500.1 locus=Brasy1G572500 ID=Brasy1G572500.1.v1.1 annot-version=v1.1 MHIYVKNPTGRTICLRVHESDTLYTVKAKIQEQHRLVFDGVQLDQDNLTLSDYDIEHGSTLDLQEKMQIYVMETQAGRTITLEVDSLDTIDEVKSKIQVKEGFPKGQQCLIDDSRVNVFSSTTTFY* >Brasy1G263900.1.p pacid=40058592 transcript=Brasy1G263900.1 locus=Brasy1G263900 ID=Brasy1G263900.1.v1.1 annot-version=v1.1 MCMAPEICRPRPAKKKKRRNLSSTSWRDLLSSSWKNSSYQRMVIACFIQGAYLLELDRQENRDGGSTALAPKWWRPFKYRLAKPLVDDRDGPVYGAVLEWDPQAALLDFVPFRPARAPAAVVALRGTVLRAPTARRDVADDLRFLALDSLKGSVRFPGALAARRFGAGHVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFGELLGRARAWLPFRRESEARASLAQTGMGKWLPYLYINTNDYVCCYYTDTAGGTATVAAEGGDKAAGVATMLVVSKGPSKFLAAHGLEQWWADDVEMQVAPNHSKLIGRQLSSLYA* >Brasy1G236100.1.p pacid=40058593 transcript=Brasy1G236100.1 locus=Brasy1G236100 ID=Brasy1G236100.1.v1.1 annot-version=v1.1 MAVSESSRNALLPSFLYAVPAAATTSPFSSAAGVAGSGLVVPSSAAAATRPAVWARAPSEPSGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLIGYSSQGACKFGFYEFFKKYYSDIAGPEYAAKYKTFIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYAIPAPKSECSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNSQGGTVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPTPAAAGEQLKG* >Brasy1G236100.2.p pacid=40058594 transcript=Brasy1G236100.2 locus=Brasy1G236100 ID=Brasy1G236100.2.v1.1 annot-version=v1.1 MRLCSIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLIGYSSQGACKFGFYEFFKKYYSDIAGPEYAAKYKTFIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYAIPAPKSECSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNSQGGTVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPTPAAAGEQLKG* >Brasy1G236100.3.p pacid=40058595 transcript=Brasy1G236100.3 locus=Brasy1G236100 ID=Brasy1G236100.3.v1.1 annot-version=v1.1 MRLCSIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLIGYSSQGACKFGFYEFFKKYYSDIAGPEYAAKYKTFIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYAIPAPKSECSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNSQGGTVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPTPAAAGEQLKG* >Brasy1G127400.1.p pacid=40058596 transcript=Brasy1G127400.1 locus=Brasy1G127400 ID=Brasy1G127400.1.v1.1 annot-version=v1.1 MAGRETVELTGDGGVIKTVIREAKADAIAPSDSLPFVDVHYEGTLVENGEVFDSTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVVKIICKPEYAYGAAGSPPEIPPDATLIFEVELMACRPRKGYSVDSASEEKARLDELKKQRETAAGAKEQEKRRREEAKATAATRVQAKLEAKKGKGKKAK* >Brasy1G268000.1.p pacid=40058597 transcript=Brasy1G268000.1 locus=Brasy1G268000 ID=Brasy1G268000.1.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLLYRSLQFQFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.5.p pacid=40058598 transcript=Brasy1G268000.5 locus=Brasy1G268000 ID=Brasy1G268000.5.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.6.p pacid=40058599 transcript=Brasy1G268000.6 locus=Brasy1G268000 ID=Brasy1G268000.6.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPQNNLARNCRKNLWIDGESWLFALFCA* >Brasy1G268000.2.p pacid=40058600 transcript=Brasy1G268000.2 locus=Brasy1G268000 ID=Brasy1G268000.2.v1.1 annot-version=v1.1 MIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLLYRSLQFQFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.3.p pacid=40058601 transcript=Brasy1G268000.3 locus=Brasy1G268000 ID=Brasy1G268000.3.v1.1 annot-version=v1.1 MIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.4.p pacid=40058602 transcript=Brasy1G268000.4 locus=Brasy1G268000 ID=Brasy1G268000.4.v1.1 annot-version=v1.1 MIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.10.p pacid=40058603 transcript=Brasy1G268000.10 locus=Brasy1G268000 ID=Brasy1G268000.10.v1.1 annot-version=v1.1 MIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.7.p pacid=40058604 transcript=Brasy1G268000.7 locus=Brasy1G268000 ID=Brasy1G268000.7.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.11.p pacid=40058605 transcript=Brasy1G268000.11 locus=Brasy1G268000 ID=Brasy1G268000.11.v1.1 annot-version=v1.1 MIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEDEYCLVPILTSGSSWIEISYARPYYFECLQREGNLSSSHATNNNFGLGPCEGTFVCVGDPLSAGRSVKLSPYRPRWQLFLFFADVPYILENCLNCLLHASAALAAVNCLPQNNLARNCRKNLWIDGESWLFALFCA* >Brasy1G268000.8.p pacid=40058606 transcript=Brasy1G268000.8 locus=Brasy1G268000 ID=Brasy1G268000.8.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHARYIRAWFSVGVYFSLIALVGISLFLLWDPIGALYSRGGSVATWLQNLLASSLSVSIMDTTIIIGSTILSVAFHEFGHAIAAASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G268000.9.p pacid=40058607 transcript=Brasy1G268000.9 locus=Brasy1G268000 ID=Brasy1G268000.9.v1.1 annot-version=v1.1 MLARVRHKSISGAGDQRPRRRVSPGSSDRVAAAWPHRRRIPPGPCSPPRGNSQAEPTPSFRALHSRMIGGGGRSRRRGRAPAALPSSTASNRTEHSVSCWYCDWKIYAFNDMVFSLGWKHASEGLQMEYVAIFIAVLFPGALVALNYDLVQNLPPFSMLRIYCAGIWHNVMFCAACMLMTSLLPLVLYPLYVSGDGLIVMRVPQTSPLSGYLSVHDVILAVDGLNIRTTEEWMKMLAQDTVEKTSSHEFLEGFQSYGATSSGKGYCVPNSWMDASKNLWQINDKVSCPDELIAFGKFTCNGSTTFSGTGRGEKKEAEDKYCLIAKDVVKLKKCGHGWRGTDDEISCTCLEVYFLDGDAILETTLFYVGWFPRRQQQMIIKVCRFLWTALSIIMFSRILYSMTVYYG* >Brasy1G067400.1.p pacid=40058608 transcript=Brasy1G067400.1 locus=Brasy1G067400 ID=Brasy1G067400.1.v1.1 annot-version=v1.1 MAGLASLNTISLSAPSSSPAPGASAGGGRRLVTAAAFAGTRLRSPSLRAAPAPARRVAARAAALDDEWGNEPGEGGSSAAAVAEEAPPAASELAALKEKLRAALYGTERGLRASSETRAEVVEILSQLEARNPTPAPTEALPLLNGKWILAYTSFSQLFPLLGSGRLPALVTVDEISQTIDSENFTVQNCIKFSGPLATTSVSTNARFEIRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFEFFGQNIDLSPLSGIFTSIENAASSVAKTISGQPPLKVPIRSDNARSWLLTTYLDDELRISRGDGSSIFVLFKEGSGLLI* >Brasy1G041200.1.p pacid=40058609 transcript=Brasy1G041200.1 locus=Brasy1G041200 ID=Brasy1G041200.1.v1.1 annot-version=v1.1 MMRGINVSSLLRLDNMLWSQKHHTVILKETNLSDQEDIISPYHTIHDQFEEAVKISMGCEPSDLLYPACLQEYLGLLSADEWVDKRRITNHSVIKSPSERLHRDLRVNHLLRREFVYHGRRRTEGTAARAVLEKLGEIFPPELFTAEGLNPMMDYWARKAQVMRRGPLSDSPVSWPRSPSGEDLPEEDRNLYQGGLLVALCRDPFEHPYGPSADRVPGVPRFYTLMQVENLVNAIAPLYGLTLEKYFMTHGIWRYLEFGWRQKPSYMYWTDWPPSKPPQADNNNEKERAPKVVGLLGAVTET* >Brasy1G390000.1.p pacid=40058610 transcript=Brasy1G390000.1 locus=Brasy1G390000 ID=Brasy1G390000.1.v1.1 annot-version=v1.1 METAVATAFLTKIAPKLFAFLQEKHERRRNLERDIQYIRKEFRMIAAAIQDHDRRYGLRRSDGDDVQRVWIQLVRDLAYSIEDCVDRFTHRVRLTPPPKPDGSTPSWLRKTVHGVKTATTRSKFAAAVRELRKISEEASKLRACYIVSDGGGGGCQSRTSGLSASSCETITHTIAADLVGMDVPRDEVLQMIRETQGQPKQLKVISIVGFGGLGKTLLAREVYDEGGDRQYEPRVWVRAAERGAGDVLKEILHELGMPVPDGGDINKLSTSIRDCLGSKRFFIVIDDMRKEFWNIIKNAFPAVSGVSSRVVVTTAVHSIANACSSFAHGHVYMMRTLDEENSRRLFFKQASLDDPPPDAGLGSEALKKSDGLPLALVTVAQFLQSRGNPTRTEWAKLCNNLGELLETKDTLARMNRVLADSYSSLTDHVIKACLLYMGIFPGGRPVRTESLIRRLLAEGFVEECGVANDRFRELVDRSIVLPVAVNNNTEVKTCQTHGMMLEFILRKSVQESFITLLHGQDRPPGDGKIRWLSLNHYSGNPSNDLSHVRSLTVFGKAHKSVLEFSKYELLRVLDLEECDDHLDDKHLLEICNLLLLRYLSLRGNSTIAVLPKEISKLKYLETLDLTRTKIEVLPIQVLKMTSLIHLFGAFKLEDVGRKVSKLQAFLSEKSKLETLSGFVADESQIFAQLMQHMEHLTKVKMRWMSTVDGSSNLNHLSKAIQGFIERHQHERCPFTITGLHRMAPRPVQFLSGKFLLSSFVEATWQQHMQPASVCHHAGWPH* >Brasy1G520900.1.p pacid=40058611 transcript=Brasy1G520900.1 locus=Brasy1G520900 ID=Brasy1G520900.1.v1.1 annot-version=v1.1 MGHAISPSSSKELIATPSSAMSTQSPIVYAQDESSNNTPPVPQPAAIDTDKDKLIKSAAKRSIQFTEPDLMNKGSENEVELTDGTKELQDLKRNKHEYVHGHNQPCRKQHRKSQLHCLLPKISYSVA* >Brasy1G432100.1.p pacid=40058612 transcript=Brasy1G432100.1 locus=Brasy1G432100 ID=Brasy1G432100.1.v1.1 annot-version=v1.1 MLEVASLRSPKADQVFGLGLGDHGHGGLGFPESGGVVEDDDFLLDFIDFSTCDMPFFHVDGDILPDLEVDSADLLALDFASSSSPDEPSLEDGRWGSAGDDEAVTKAMEVGAEAELGGDSMDMELPRDDENRKGEDEKPLLLEDEKDVKKKKHDNNNVGVAGDEVSSAVRSAGQDSAAAVDSKSSSSVSAEGQSKRTSSAAVAGKSSHGRRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGNEYSLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYSAAAGVAPRKADGAAAGPWVVPTIGFPPPGTMPPPPPTAHHPGAQPPPFCRPLHVWGHPSAGAGAVDAPHTPPPHMLPIWPRHLAPPPAWAHQPPVDPAYWHQQYNAARKWGPQAVTQGTPCGPPPMPPPAMMQRFAAPVPGMMPHPMYRPIPPPVVPSPAPPSNKLAGLPLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMTELHKQGIPKVPPATTTASGAATTG* >Brasy1G326400.1.p pacid=40058613 transcript=Brasy1G326400.1 locus=Brasy1G326400 ID=Brasy1G326400.1.v1.1 annot-version=v1.1 MPTRRSSSDPTRSVPAVSVLARCSTSLRFSFNQRQPRAHGISPPASPFSQISVLD* >Brasy1G264200.1.p pacid=40058614 transcript=Brasy1G264200.1 locus=Brasy1G264200 ID=Brasy1G264200.1.v1.1 annot-version=v1.1 MGIEPDITLAMDYDTATATGAGRRKGWLRRMMPLGTGHYSPMGLEMQGAAAPTVEMAQIPQAKPAAAEGSKPRRRGLLRRLMPQEGVQRRWKNLGVGGGASRLAALSRSLRWKRLSSGLSVGLKGGWATALLDTVAFRVMYVLEAIVLGLALSCFFCCCGCQI* >Brasy1G360800.1.p pacid=40058615 transcript=Brasy1G360800.1 locus=Brasy1G360800 ID=Brasy1G360800.1.v1.1 annot-version=v1.1 MEVELAKEWKRHVLGDVRLQFFVRPLIEAPFGNAQDALAQLRTATGFLDAAASFMWGADLLGLRGANHHPAHPLSTAAFAPDDGDDDPIADVRAALGMVPAARAAAEDAYMPLLSCRANLNSVCALVIYPGLPDGQGCVDRERGFAIAAFGEALRCTEICHTHISMASESLLGL* >Brasy1G303200.1.p pacid=40058616 transcript=Brasy1G303200.1 locus=Brasy1G303200 ID=Brasy1G303200.1.v1.1 annot-version=v1.1 MGSSQESTFSSASTAAQVNALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGIVRSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVAQPGNSLGNSGHQPVYNGVKDVFKTVYKEGGARSLYRGIGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPQNVNDVFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLGVPPREGAHPSAGNK* >Brasy1G136500.1.p pacid=40058617 transcript=Brasy1G136500.1 locus=Brasy1G136500 ID=Brasy1G136500.1.v1.1 annot-version=v1.1 MEPIGDPREGPSTERAFEEQPILPWTEQVTVRAVVASLALGAAFSGVMMNLVFTSGIIPTLSISAGLMGFFLLKGWTRLLDQLGVPCSPFTRHENAIVQTCVVACASMTYSGGFGSYLLAMDRRTAEKLNAGDAGGRNVSEHTLGRMIAFLFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPQGAIQATKQTSMMIQSFMGSFFLSVFQWFFSGGPHCGMTAFPSFGLEAFNRGFYINLNGTYIGVGMISPYLINVSMLAGAIISWGFMWPYIESHKGSWYAANLPESSLRGINGYKVFGAIGMILGDGIFQLVVILVKTMSTMRQHQLEAAESMRSFSANIDAPPRPVLSFDDRRRTQVFLREHIPSTLAVCGYIILACVSTLAIPHIYGQVRYYHVATAYAFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGVRNGGIVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRAMFVSQVVGTAIGCIINPAMFTVFHQFYEANPKKVYLAPLAKIYRAIAVVGVGDLALPRHCLGMSVALFVVALVVCALREVAARCRWRARHYIPSVTGMAISFLLVPAVSIDMCVGSLILLMWTRADKDGAQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICVRFVGRDQNAKLDAYLLQKST* >Brasy1G136500.2.p pacid=40058618 transcript=Brasy1G136500.2 locus=Brasy1G136500 ID=Brasy1G136500.2.v1.1 annot-version=v1.1 MMIQSFMGSFFLSVFQWFFSGGPHCGMTAFPSFGLEAFNRGFYINLNGTYIGVGMISPYLINVSMLAGAIISWGFMWPYIESHKGSWYAANLPESSLRGINGYKVFGAIGMILGDGIFQLVVILVKTMSTMRQHQLEAAESMRSFSANIDAPPRPVLSFDDRRRTQVFLREHIPSTLAVCGYIILACVSTLAIPHIYGQVRYYHVATAYAFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGVRNGGIVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRAMFVSQVVGTAIGCIINPAMFTVFHQFYEANPKKVYLAPLAKIYRAIAVVGVGDLALPRHCLGMSVALFVVALVVCALREVAARCRWRARHYIPSVTGMAISFLLVPAVSIDMCVGSLILLMWTRADKDGAQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICVRFVGRDQNAKLDAYLLQKST* >Brasy1G366600.1.p pacid=40058619 transcript=Brasy1G366600.1 locus=Brasy1G366600 ID=Brasy1G366600.1.v1.1 annot-version=v1.1 MRWRLPNRWIFSLSTDRSLPRSHPSIDPPPSSASSSFSPRLPTFLLPLQPPAASFSTAREGAAAAVQEAADAFSPASPASLLSLLSSVGRALLFPAHHLSNGLPRAGLLLPADRPPPRRRSSDDGPCRRRPPDHARIRPPSASPPSDPPSPGTSTTRSASKTQRDAGSAAPEHNCRRIRLRRSW* >Brasy1G248400.1.p pacid=40058620 transcript=Brasy1G248400.1 locus=Brasy1G248400 ID=Brasy1G248400.1.v1.1 annot-version=v1.1 MAMASIVSKLARAAFATRASPSAVAAGRRSANAVRASPAAAGGPESEEEEAIGPCTHLLPDANVFKSKETMWAFYEHWCKFHGISRERKEMERRFKTFSATARLVHDFNNLPGDGELSMSQFSDMTQKERFQLHARPRLSMYSRRNSKS* >Brasy1G266800.1.p pacid=40058621 transcript=Brasy1G266800.1 locus=Brasy1G266800 ID=Brasy1G266800.1.v1.1 annot-version=v1.1 MAVISRVSLLLMAIVVVLATVANAQALAPTPTSDGTSVDQGIAYVLMFVALVLTYLIHPLDASSTYKLL* >Brasy1G430600.1.p pacid=40058622 transcript=Brasy1G430600.1 locus=Brasy1G430600 ID=Brasy1G430600.1.v1.1 annot-version=v1.1 MASPSPSPSLVILSRAASASATGDDLPQGAALSLALAAPPRVTSATLHPSVCPVDPPACSRQKFPHVLAADPSGLLLFLTPPSPSDVEEGSLQNGVERSFRVGRIPNPRYLVCDFSSPAAASPVPNPDSLNNQLGVIAAPGGGGRFMVVEFQHIVGGRQADLRCFSSENGEWVQKKVVNPLPRWIWTFADIVSHGGKLWWVDTVAGLLACDPFADQPVMEYVRLPEGDVKRGHGCGYCSERALGSRRVVQLSNGNFCCVEMSCASHGGAPKVSMRALADPGTAEWTLEYEANFSEIWAAESYKATGLPEKTPVLALIHPKNPDVVYFFLEDHLFGVDMRTKSVVECEPYESSTPRKKGSFSSWLVLPFELPPAFSAGLGNEASSTPPTSAPAGGNRV* >Brasy1G556100.1.p pacid=40058623 transcript=Brasy1G556100.1 locus=Brasy1G556100 ID=Brasy1G556100.1.v1.1 annot-version=v1.1 MSQAPLLHVVICCAAFLLAAGTSLLPLAPAPAPGPGPEQEPEPELGPNCPDRCGDLHIPYPFGVGPECSLPGFDLTCNETTSPPSLLTRNLEIANITLETAQMVVYVPLIYSCVLRDSQNNPMSPTINNVILEFASPFLLSPVDNVFTGVGCSLIAVLRGQVHDGDRIRRAYPTGCVSACARVNDTGEDEPPCHGYGCCEAPITPGLSQVFFFTLDRDREFRRVADNWCQYAFVAAKGWYKYNKTDLTGNMTFAKKVARSHGIPLVLDWAIRNRSCPPAPLGGDKESVPYGACISTHSNCVNASSGASGYFCNCSQGYAGNPYIPNGCTNINECERKDPFPCSTGSTCHDEIGDYKCICHFGRRGDGKRPNGCEAILSVAAIAVIGTISAMALLAVLLIFLHMKREKRKLRDHFNENGGQLLKSIKIEIFTEEKLKHITKNYYSSIGEGAFGKVYKGTTEDGTRVAVKRSIAVNKDRQKDFANEITIQSQISHRNLVQLLGCCLETEAPMLVYEFVPRGSLHDVLHDKRDPLPLETRMNIAINSANALAYMHSQASQKILHGDVKSGNILLDDGFMPKVSDFGTSRLMSIDKDHTNWIIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYAKNNSLPINYIKASIDGTTKEMYDADIIVSGAEEDVKCLEEVGLVAVQCLEDDVNDRPTMSEVVEKLKMCERRWFQSRGQDSEVCT* >Brasy1G233000.1.p pacid=40058624 transcript=Brasy1G233000.1 locus=Brasy1G233000 ID=Brasy1G233000.1.v1.1 annot-version=v1.1 MEVAVIASHGKKRGGAMGSGRGLGRVLREHKARLRIICRCVALLVCYRD* >Brasy1G097700.1.p pacid=40058625 transcript=Brasy1G097700.1 locus=Brasy1G097700 ID=Brasy1G097700.1.v1.1 annot-version=v1.1 MAVQNSLVYRSNYKSASMKLQHLNRTYVSSLATKSGSSIGDFFYKINQLRHTVYVAQREVAKQVKLSKPLAARSICKHSGILGTFFAERCKK* >Brasy1G066100.1.p pacid=40058626 transcript=Brasy1G066100.1 locus=Brasy1G066100 ID=Brasy1G066100.1.v1.1 annot-version=v1.1 MLILRASIPPRTSQVLLLCFPCLALCSGMASSKNSISPSPVVIFLLGACSATVLLVFLFTSTANPAWPPTMVAQGIRQTVATGSGSLRQPCSTAPAPNRKATSAKQRRARARPPKASPPTNARGSTTGAEDEFARMVRRAAMEDGTVIMTSVNEAWAAPGSLLDTFLESFRVGENISHFVPHIIVVAMDEGALRRCHALAHPHCHLLLPDPENSPNGTSPDLSGAKGYMTKDYLALVWSKLRLQQRVLDLGHNLLFTDVDVAWFRDPRVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATARNGRAMAHWHAARRRFPGEHDQFVFNEIKRELAGPGIGVRIRFIDSKDVSGFCQLGRDMNRVATVHTTCCIGLENKLHDLKRVVVDWKRYMARPAWERRAGIVGWTFQGGKCIH* >Brasy1G167200.1.p pacid=40058627 transcript=Brasy1G167200.1 locus=Brasy1G167200 ID=Brasy1G167200.1.v1.1 annot-version=v1.1 MSPHLLHFNAATISPATAIHNLPATNAYETASSITLSHTSLLAAPSKSRSGRRKYVVALLSCVLLGPTRRLEEEGGPRKRTGGATTAPLLDHPPCCRRCIGPSSPYLVAAVPAAREQDNTQVLYWIFSSAVWFSLIFFAVLVQEKISENERAMSFHATSFTGLKSHCGIARSDCTIACDGVIYI* >Brasy1G167200.2.p pacid=40058628 transcript=Brasy1G167200.2 locus=Brasy1G167200 ID=Brasy1G167200.2.v1.1 annot-version=v1.1 MSPHLLHFNAATISPATAIHNLPATNAYETASSITLSHTSLLAAPSKSRSGRRKYVVALLSCVLLGPTRRLEEEGGPRKRTGGATTAPLLDHPPCCRRCIGPSSPYLVAAVPAAREQDNTQVLYWIFSSAVWFSLIFFAVLVQEKISENERAMSFHATSFTV* >Brasy1G186500.1.p pacid=40058629 transcript=Brasy1G186500.1 locus=Brasy1G186500 ID=Brasy1G186500.1.v1.1 annot-version=v1.1 MAAIASAFAAAVAVAFTIVASAASPCARRPVVFALGDSNTDTGGMGAALGNYLPLPEGRTHFRRSTGRLCDGRLVLDFLCESLNMSYLSPYLEALGSDFSNGANFAIAGAATMPRDRPFALHVQVQQFLHFKHRSLDLTTRGESMPVDAHGFRNALYLIDIGQNDLSAAFSSRVPYDDVISQRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEPRTDDSDLDYNGCLKTLNNASYEFNNQLCSICDKLRTQLKGATIVYTDLLAIKYDLIANHTGYGFEEPLMACCGYGGPPYNYSFNVSCLGPGYRACEDGSKFVNWDGVHYTDAANAVVAAKILSSEFSTPKLPFGYFCNT* >Brasy1G186500.5.p pacid=40058630 transcript=Brasy1G186500.5 locus=Brasy1G186500 ID=Brasy1G186500.5.v1.1 annot-version=v1.1 MAAIASAFAAAVAVAFTIVASAASPCARRPVVFALGDSNTDTGGMGAALGNYLPLPEGRTHFRRSTGRLCDGRLVLDFLCESLNMSYLSPYLEALGSDFSNGANFAIAGAATMPRDRPFALHVQVQQFLHFKHRSLDLTTRGESMPVDAHGFRNALYLIDIGQNDLSAAFSSRVPYDDVISQRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEPRTDDSDLDYNGCLKTLNNASYEFNNQLCSICDKLRTQLKGATIVYTDLLAIKYDLIANHTGYGFEEPLMACCGYGGPPYNYSFNVSCLGPGYRACEDGSKFVNWDGVHYTDAANAVVAAKILSSEFSTPKLPFGYFCNT* >Brasy1G186500.3.p pacid=40058631 transcript=Brasy1G186500.3 locus=Brasy1G186500 ID=Brasy1G186500.3.v1.1 annot-version=v1.1 MAAIASAFAAAVAVAFTIVASAASPCARRPVVFALGDSNTDTGGMGAALGNYLPLPEGRTHFRRSTGRLCDGRLVLDFLCESLNMSYLSPYLEALGSDFSNGANFAIAGAATMPRDRPFALHVQVQQFLHFKHRSLDLTTRGESMPVDAHGFRNALYLIDIGQNDLSAAFSSRVPYDDVISQRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEPRTDDSDLDYNGCLKTLNNASYEFNNQLCSICDKLRTQLKGATIVYTDLLAIKVRGAAHGVLRLRRAALQLQLQRELPRPWLPGLRGRLQVRQLGRRPLHRRRQRRRRGQDPVIRVLHAKATLRLLLQYMTHER* >Brasy1G186500.4.p pacid=40058632 transcript=Brasy1G186500.4 locus=Brasy1G186500 ID=Brasy1G186500.4.v1.1 annot-version=v1.1 MAAIASAFAAAVAVAFTIVASAASPCARRPVVFALGDSNTDTGGMGAALGNYLPLPEGRTHFRRSTGRLCDGRLVLDFLCESLNMSYLSPYLEALGSDFSNGANFAIAGAATMPRDRPFALHVQVQQFLHFKHRSLDLTTRGESMPVDAHGFRNALYLIDIGQNDLSAAFSSRVPYDDVISQRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEPRTDDSDLDYNGCLKTLNNASYEFNNQLCSICDKLRTQLKGATIVYTDLLAIKVRGAAHGVLRLRRAALQLQLQRELPRPWLPGLRGRLQVRQLGRRPLHRRRQRRRRGQDPVIRVLHAKATLRLLLQYMTHER* >Brasy1G186500.2.p pacid=40058633 transcript=Brasy1G186500.2 locus=Brasy1G186500 ID=Brasy1G186500.2.v1.1 annot-version=v1.1 MSYLSPYLEALGSDFSNGANFAIAGAATMPRDRPFALHVQVQQFLHFKHRSLDLTTRGESMPVDAHGFRNALYLIDIGQNDLSAAFSSRVPYDDVISQRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEPRTDDSDLDYNGCLKTLNNASYEFNNQLCSICDKLRTQLKGATIVYTDLLAIKYDLIANHTGYGFEEPLMACCGYGGPPYNYSFNVSCLGPGYRACEDGSKFVNWDGVHYTDAANAVVAAKILSSEFSTPKLPFGYFCNT* >Brasy1G260500.1.p pacid=40058634 transcript=Brasy1G260500.1 locus=Brasy1G260500 ID=Brasy1G260500.1.v1.1 annot-version=v1.1 MTTPRATTRRVGSAAGAAAGEPYNIIPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSQWRADQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARSLRRKLLRNYTSWCGFLGHRPNVYVPDADSRADLLFAGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYTDTATGRPANPAVHGENAFLTRVVTPIYGVIRSEVESSRNGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWDGATWPWQNLQARREAQVRVLTVFITWAALRFLQSLLDIGTQIRRAFRDGRMLAVRMVLKAIVAAGWVLVFAVLYKGIWNQRDSDRGWSQAANSRIMKFLYAAAVFVIPEVLAITLFIIPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFSFSYFLQIRPLVKPTKEIYRLSKVPYAWHEFFGQSNRFAVFILWLPVVLIYLMDIQIWYAIFSSLAGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQHVNERTFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALIWNEIITKFREEDIVSDLEVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVPGPDRRLWRKICKNDYRRCAVIEVYDSAKYLLLEIIKERTEEHGIVTQLFREFDESMKLEKFTVEYKMSVMQNVHAKLVALLSLLLKPNKDITKIVNALQTLYDVVVRDFQTEKRSMEQLRNEGLAQSRPTSLLFVDTVVLPDEENATFYKQVRRMHTILTSRDSMVNVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYNKDQLYKENEDGISILYYLQQIYPDEWDFFIERMKREGMSDIKELYSEKQRLRDLRHWVSFRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRRDGGAGGSGYYSRASSSRALSRATSGVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAYEILELMKNYEALRVAYVDEKHTNGGETEYFSVLVKYDQHLQQEVEIYRIKLPGQLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYVQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEDYISKNTSSTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLNAVWDFLKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFLKAIELGVILVVYASYSSSSGNTLVYILLTISSWFLVSSWILAPFIFNPSGLDWLKNFNDFEDFLNWLWFQGGISVKSDQSWEKWWEEETDHLRTTGLWGSILEIIIDLRYFFFQYAIVYRLHIANGSRSILVYLLSWTCILLAFVALVTVAYFRDRYSAKKHIRYRLVQAIIVGATVTAIVVLLEFTKFQFIDAFTSLLAFLPTGWGIISIALVFKPYLRRSETVWKTVVTVARLYDMMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQMITGKKAHGV* >Brasy1G208800.1.p pacid=40058635 transcript=Brasy1G208800.1 locus=Brasy1G208800 ID=Brasy1G208800.1.v1.1 annot-version=v1.1 MLPCPLGAYCPLGTLNETTNLCDPYSYQITPGVNHTCGSADSWADVLTTNDVFCPPGHHCPTTTQKFNCSKGVYLEKPVQG* >Brasy1G538700.1.p pacid=40058636 transcript=Brasy1G538700.1 locus=Brasy1G538700 ID=Brasy1G538700.1.v1.1 annot-version=v1.1 MAASPYALALSPTSRVAGLPVLQKLRRPAVTLHAGQSGACSWGAPLPRPYLAAAAAPLPTAGRRRAPLLRPRAWMTTPQIASSAFTLGTIAVLPFYTLMIAAPNADITKRTVESTAPYVALGILYAYLLYLSWTPDTIRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIAAHALTKVLVGSMGRSH* >Brasy1G390900.1.p pacid=40058637 transcript=Brasy1G390900.1 locus=Brasy1G390900 ID=Brasy1G390900.1.v1.1 annot-version=v1.1 MEIDAAVRASSDGRLRTKYGSAVYVVQRAFALYPFEEIAFSFNGGKDSTVLLHLIRAGYYLHKTSSGDGAQTDTIQNCPLRTIYFETPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDEGYTSIGSIYDTVPNALLSDSSTGKSFRPAYMLSDGRLERAGRTKKTSNKTGTNSVASNGMNNAEGEQMISRSASIIVVGDEILFGTVEDEFGAALCKKLNEIGWRVSHVAVVHNEIDSVAEEVGRCKSTDDTVFLLGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEEHLSQLIGNSYTGDRNEMALLPEGITELLHHKTLPLPLIKCKNVIVLAATNVDELAMEWNCLLDTQESGLVRAKPFVSKHLSTLLPDVKIAPVVAKLCLEFSDVYIGSHRISRTGPLVVSLVGKDNQRVGEAAEKLASSFEGQFSQVDSCK* >Brasy1G390900.2.p pacid=40058638 transcript=Brasy1G390900.2 locus=Brasy1G390900 ID=Brasy1G390900.2.v1.1 annot-version=v1.1 MVLLHLIRAGYYLHKTSSGDGAQTDTIQNCPLRTIYFETPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDEGYTSIGSIYDTVPNALLSDSSTGKSFRPAYMLSDGRLERAGRTKKTSNKTGTNSVASNGMNNAEGEQMISRSASIIVVGDEILFGTVEDEFGAALCKKLNEIGWRVSHVAVVHNEIDSVAEEVGRCKSTDDTVFLLGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEEHLSQLIGNSYTGDRNEMALLPEGITELLHHKTLPLPLIKCKNVIVLAATNVDELAMEWNCLLDTQESGLVRAKPFVSKHLSTLLPDVKIAPVVAKLCLEFSDVYIGSHRISRTGPLVVSLVGKDNQRVGEAAEKLASSFEGQFSQVDSCK* >Brasy1G449400.1.p pacid=40058639 transcript=Brasy1G449400.1 locus=Brasy1G449400 ID=Brasy1G449400.1.v1.1 annot-version=v1.1 MSNADWVADCTRRNVENAARRGRSGEEGQGKRRRPGPADGGAEGRGEEEAGGLVDSDMRHHRHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTAHLYSIGAITARNSNYGHFYTTGGLGSAINAGG* >Brasy1G356600.1.p pacid=40058640 transcript=Brasy1G356600.1 locus=Brasy1G356600 ID=Brasy1G356600.1.v1.1 annot-version=v1.1 MDTPELQQFLEQEKQKMMVSEMVGKLTNVCWDKCITSTPGSKFSSGETTCLTNCAQRYLDMSVIIAKRFQMQ* >Brasy1G135500.1.p pacid=40058641 transcript=Brasy1G135500.1 locus=Brasy1G135500 ID=Brasy1G135500.1.v1.1 annot-version=v1.1 MPSLSAHPFTPPSSPLYFTRLLPRPLCSPTSAAASTSTSSAPPSLRFRRRGCAADQSLRSTRMAAVVSPGPGGLAHDLVSSAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGRYAPFLAALAPGINIVRMLLLGLGIMKNEAMVKSMSRSGDHRELLKGPLYYATTITFATSVLWRTSPVAIALICNLCTGDGIADIVGRRLGKDKLPYNPNKSYAGSIAMAVAGFLASIGYMHYLHTFGFIEESWNMAFGFLVVSVAATLVESHPISTELDDNLTVPLTSFLVGSLLL* >Brasy1G248200.1.p pacid=40058642 transcript=Brasy1G248200.1 locus=Brasy1G248200 ID=Brasy1G248200.1.v1.1 annot-version=v1.1 MPSAFARRSLRTPPWRPAQGPHLRPRRPRQGGQGPPCALSTTSPAPGPPSSTSPALSLVLAAASTASTAGSSPGTTTTPSLDPWASSSRL* >Brasy1G438800.1.p pacid=40058643 transcript=Brasy1G438800.1 locus=Brasy1G438800 ID=Brasy1G438800.1.v1.1 annot-version=v1.1 MEILNCKGFCFSLINIKGTRANQLVKVGSQPQRVGRARLITCCEYSPGPSSKRHERYQPPTSQPQPQNVDLPEIHPKKKKKPFPVPIKKMLQASRQDKKLARMRIEKPLEPPKNGLLLPELVPVAYEVLDNWKMLIRSLPQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWVRGSINDVLIPIESYHLFDPFGRRVKHETRFDYHRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGVVDEPQPHRSEDCISLLAELDTFSNQQGQSPAPFNVKEHAERTLKAYCNVRQGVGKLMSKYTVKACGYCSEVHVGPWGHNVQLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPAGPPLRSSLRSFYGKAPAVVELCVQAGAEIPDEYRPMMRTDIVIPDSKEARMAA* >Brasy1G438800.2.p pacid=40058644 transcript=Brasy1G438800.2 locus=Brasy1G438800 ID=Brasy1G438800.2.v1.1 annot-version=v1.1 MLQASRQDKKLARMRIEKPLEPPKNGLLLPELVPVAYEVLDNWKMLIRSLPQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWVRGSINDVLIPIESYHLFDPFGRRVKHETRFDYHRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGVVDEPQPHRSEDCISLLAELDTFSNQQGQSPAPFNVKEHAERTLKAYCNVRQGVGKLMSKYTVKACGYCSEVHVGPWGHNVQLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPAGPPLRSSLRSFYGKAPAVVELCVQAGAEIPDEYRPMMRTDIVIPDSKEARMAA* >Brasy1G402600.1.p pacid=40058645 transcript=Brasy1G402600.1 locus=Brasy1G402600 ID=Brasy1G402600.1.v1.1 annot-version=v1.1 MVGRTSSRRLTEGEQSTTTAPRETAAAATAEPATGLRKIVAIRQMLRRWQSAGARASNNASRTPSGAPCGSDHQHQISRGKKKPPTEGNRAYRRLLESAAEEEPVTPGAPAGVPRGCLAVYVVGGADSAEPERRRFVVPTASLDTPAFRQLLEKAEEEFGFCYAGGALTIPCGCDAEAFQHVLVVMDRHRKGLVDDDANSTEAAGENDESSGNRE* >Brasy1G285700.1.p pacid=40058646 transcript=Brasy1G285700.1 locus=Brasy1G285700 ID=Brasy1G285700.1.v1.1 annot-version=v1.1 MDQQRQLRQALIRAGKSLLQAFKEDSDPGIRNSALWSLAASGVDAEMLDVSVFIYAVHHYVPTPPVSLAAPLALSGASWVPDGVDRISRLPENVLVDIVSRLPPKDAARTAAIASRWRPLWRSAPLVLVDSHLLLQGGASPAPRAVAAEVTRVLAAHPGPFRCVHLTCSNMDEHRNELELWLLLLAAKGIQELTFLNHQWPINLRLPATLFRCASLTRLYLGVWRLPDTAAVPRSAIFLNLRELGLCFDVMEERDLAFMLERSPVLEILTIIGSQSGVRLRLVSHSLRCVQLGITRLEDIDVVDAPHLERLLQWDVGQHHVSKIRGKKRSSRIKIGNAPNLRLLGYLEPGDNEIEIRHSVIVAGTKENIVPSVKILAIQVQFGVRNALKKVPGYLTCFPNLETLHVQSRKDVEPAGKVNFKFWQEGDPIKCVLQTMKKVFFHEFRGSRSEVAFLKFIAEKAKVLEKMVIVVASECFSSRVDVKAKLKPLTSAKWNSKVCKVELSQSPFIEGGDPCFSHRLATDFSCPDPFVEVNYQVDSVRVELNQ* >Brasy1G325600.1.p pacid=40058647 transcript=Brasy1G325600.1 locus=Brasy1G325600 ID=Brasy1G325600.1.v1.1 annot-version=v1.1 MERESRKNYQTRGSTRGGGGTASDRDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAVGQRRAAAAAAAATAAAQHHPTGHAHHRAIRNSEDSGVMRPPSQQQQNNTIRTVASPGRERSAKGNNDNNGVPPASLDDKKGSSSGSEGSIWPNFAVSLTNKEKEEDFLVFKGSKLPQRPKKRVKAIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE* >Brasy1G400500.1.p pacid=40058648 transcript=Brasy1G400500.1 locus=Brasy1G400500 ID=Brasy1G400500.1.v1.1 annot-version=v1.1 MRRDAAVAPAAEVGSAEAVVIDVDRAPAAAAVDVDGSDHGVACRICHLSPEGGDEPTAAAAAGGSEVIRLGCGCKEELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLEVKKFMEEWHGRRMANTTTTVERESTCWRRQPFCNFLLACLLIAFMFPWFLRLNIL* >Brasy1G400500.3.p pacid=40058649 transcript=Brasy1G400500.3 locus=Brasy1G400500 ID=Brasy1G400500.3.v1.1 annot-version=v1.1 MRRDAAVAPAAEVGSAEAVVIDVDRAPAAAAVDVDGSDHGVACRICHLSPEGGDEPTAAAAAGGSEVIRLGCGCKEELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLEVKKFMEEWHGRRMANTTTTVERESTCWRRQPFCNFLLACLLIAFMFPWFLRLNIL* >Brasy1G400500.2.p pacid=40058650 transcript=Brasy1G400500.2 locus=Brasy1G400500 ID=Brasy1G400500.2.v1.1 annot-version=v1.1 MRRDAAVAPAAEVGSAEAVVIDVDRAPAAAAVDVDGSDHGVACRICHLSPEGGDEPTAAAAAGGSEVIRLGCGCKEELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLEVKKFMEEWHGRRMANTTTTVERESTCWRRQPFCNFLLACLLIAFMFPWFLRLNIL* >Brasy1G302300.1.p pacid=40058651 transcript=Brasy1G302300.1 locus=Brasy1G302300 ID=Brasy1G302300.1.v1.1 annot-version=v1.1 MDSRFGFRFSSGDVRSGSSLTVGERLCAVFLPFVAIAGAVFFALADCLTDICPSSSSSRLRRDPSASSFFLTATGKKSRHDHHHHHHLLRRRIGPGCTSLDFHNLARLADESRCFLLLFLVTNCALNLVSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPCAPLEDKINFAFKLYDLRQTGFIEREEVMQMVIAILMESRVKLSEDLLEAIIDKTFEDADADKDGKISQEEWKEFVLRHPNLLKNMTLPYLRDITTAFPSFVFNTAVED* >Brasy1G055200.1.p pacid=40058652 transcript=Brasy1G055200.1 locus=Brasy1G055200 ID=Brasy1G055200.1.v1.1 annot-version=v1.1 MAFNGAHAFTLGFLLLVASAEAAAFGNCESPSRTFRGSCLGFDDRCAAACRGEFFMGDTSKPFTGGHCSATRWLDDREYHVGPSCICTIGCGPSPTEQKTQNRRRRTITHRLRTTITIRLRRQKFQKCRRRTITRRLRTTITRRLRRQKFQNRRRRTITRRLHTIITIRLRHRKKSRRHKATSRRGKWWKNNKNRIKHLILYTCMWNNLN* >Brasy1G064800.1.p pacid=40058653 transcript=Brasy1G064800.1 locus=Brasy1G064800 ID=Brasy1G064800.1.v1.1 annot-version=v1.1 MSLSKLKGHAANSDTTAPLSTEDLTQYDSNEESQTSSTTKSATSRTSAKTLYWIIKKFNPIKRQLVQEIGFGGLLELPLWNSISRIFSTWLLGKVDCIDFAIVLDAARRFQFTAQDVNKVFGIPCGDRDVLGPETKMSDAAMAYIREQAGISMSKISLKDAEKIVLMDLSENSTRLQKDSFKMAFVIIAMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVRADLSMNKVVSNIFACHVFLQIHYLDNLLLGPLQPQKNIYPRMVAFPTEVLNKLILADTKPGGGYGANQFNARGTVRVANMSATTVQPLALPSGSGQSPALLHSVPTNSGTTNQITAATLPQFLREKL* >Brasy1G208400.1.p pacid=40058654 transcript=Brasy1G208400.1 locus=Brasy1G208400 ID=Brasy1G208400.1.v1.1 annot-version=v1.1 MAKVGRSRERQWRATCPKRRQWAHFTGEVQKATWWLEERQRKHRPCKSTKDPSARANPWTGRAARPCRGAGSRLVGAAESRMGFPPGPTRCLPASTSAASPRGPPGPPAREKEPPAALGGEEPPAAGGAPPVSARPTTTLARRGRATLETEDAAPSTRAWRKDRTGEAALAARDGESCRGNPRGRRAGGTGRRIRLRAAEREALPRRGISPCRGRDWSGGHGGTAHRRNGTRTATKGGGGRGEGHGAIDGVGGGRGGHPWRRRGYWLRSPEASR* >Brasy1G116900.1.p pacid=40058655 transcript=Brasy1G116900.1 locus=Brasy1G116900 ID=Brasy1G116900.1.v1.1 annot-version=v1.1 MASGLATLKAVMVIAVFAMLVMPSLGHKKDGPLCSDCKPLCEKNCSAVVEANCGGICNTDSRCPDCMARYRQEHCSECCNNGTCSCDNCNNGGACISECRSFAGVCQQCKNVVGGKCNTDCNSTCDNNCVKKGKGC* >Brasy1G528400.1.p pacid=40058656 transcript=Brasy1G528400.1 locus=Brasy1G528400 ID=Brasy1G528400.1.v1.1 annot-version=v1.1 MKTSIPLLTPYKMGEFELSHRVVLAPLTRQRSPGGVPQPQHAAVYYSQRATAGGLLISEATLVSDVTAAREYFGDVPGIWAPEHVDAWRPVVDAVHAKGAVFFCQLWHVPCRRRRRDDDDGEKTKLMQVSPQMSFDGRREELSSPRRVAAGDAPRVVDCFRAAARNAVDAGFDGVEILGVNAYFVSDDDEISGGGIESSRSRIRFATEVISAVVLEVGAHRVGIRLDQFSSSTSGDLAAAAGAEEEEDLALEIVKRLNGHGVLYCHMIEPRVSVSRRLAPFREAFGGTFIASGGYGREEGDRAVEEGYADLVAFGRLFLANPDLPRRFELDAPLNGCDKATFYGGGGGDPGVGYTDYPFLAQAHS* >Brasy1G250800.1.p pacid=40058657 transcript=Brasy1G250800.1 locus=Brasy1G250800 ID=Brasy1G250800.1.v1.1 annot-version=v1.1 MEGFFSTQHEHHHSYYEDSEPLPNPLGFSASCRNLETAAAAAMAYESSTSSPLASMVYSPPLLLQQQQGSFPPFEDEALLAAAAGGDDKRRMVSIERSGTTSLGSAQEMDGSRKHKRNNANVASVKEKRPRGHGGANGDAKEAPAGYIHVRAKRGQARDSHSLAERVRREKISEKMMLLQSLVPGCDKVTGKAMMLDEIISYVQSLQNQVEFLSMKLASLNPMMMYEFGVDIGMYPDAPQVMATGAVPVLLPHTSLVETTGLAAQNGSSVSAMQQLQGLQQEEEFSCFFDQ* >Brasy1G550900.1.p pacid=40058658 transcript=Brasy1G550900.1 locus=Brasy1G550900 ID=Brasy1G550900.1.v1.1 annot-version=v1.1 MAAVSTSWALHLLPLLLLIATGEATTVNTTVNITNRCSYTVWPAALPVGGGMRLDPGKTWTLDVPSDTTGGRVWARTGCSFDGKGKGSCQTGDCSGVLACTDDGKPPLTAAEFDLNRYNNNSFFDISLYNGFNVPMEFLPIQVKGQGSPGCSRGPHCAANITSQCPRELKAPGGCNSPCTVFDQYCCYGNGCGSNKYSDFFVRMCPEAISYSSDAPLSTAFTCPLQTNYQVTFCPDLTSSPPSPHGPIAIGASSTGSSSNVRLTVSLVLGSVVGFILITAFISYIVYRRRTRRHQKMGDEEEDFVNLQGTPMRFTFQQLEVATKQFRDKLGEGGFGSVFKGQFGEEGIAVKRLDRGGQGKREFLAEVQTIGSIHHINLVRLIGFCAETSHRLLVYEYMPRGSLDRWIYHRHENSVPLDWSTRCKIITHIAKGLSYLHEECTKRIAHLDVKPQNILLDENFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEDKVKSEHLVDLIDKDNDDMQVHKQEVIQMMKLAMWCLQIDCKRRPEMSMVVKVLEGTLDAETNIDHNFVATSPANLGIAGNVNCSAPLLASEVSGPR* >Brasy1G431400.1.p pacid=40058659 transcript=Brasy1G431400.1 locus=Brasy1G431400 ID=Brasy1G431400.1.v1.1 annot-version=v1.1 MESMANNPTIVLLPVWGAGHFMPMLEAGKQLVASSGRAMSLTVLLMPSPTPQAASEISDHVRRLQQEDQDDDGNGNGIRFLHLPEVNLPTDHSGIEEFISRIVQLHAPNLRAAMAGLRCPVAALVVDIFCAPALDVARDLAVPAYVYFTSSAALLALLLRSPALREEEFGSDGGLDVPGFPAPVPTSSLPDTMLERKKPTYEWFVGTGRRYMEANGIIVNTAAGLEPGVLAAIAAPAVYPIGPVLALTPTPPADAGPDACLKWLDSQPRASVLFLCLGSKGFLTTPQVQEIAHGLERSGHRFLWVLRGRPEDTSHGKRSPRDADLAELLSEGFLDKTKGRGLVWPKRAPQKEILAHGAVGGFVTHCGWNSVLESLWFGVPMLPWPLGADQHLNAFAMASGDMMGVAVPLRVDRERGNFVEAAELERAVRSLMAGAGQVRDRAMEMMAVCRKAVEQSQGGSSCASLRRLAQELLRGAVRLPKPNTHL* >Brasy1G102600.1.p pacid=40058660 transcript=Brasy1G102600.1 locus=Brasy1G102600 ID=Brasy1G102600.1.v1.1 annot-version=v1.1 MQKSRRALMKRRAAAAAAAQEQSVGEAAGRKRRLYGFSASLVVASWVALLLLNSLIGHGDGQHDGGGPAVALPFAKSTVNASSVSPDVVHRGDEENLVVSDDTCVKIDESVTISAETRLQEDEHCSVDDVPSDDDMEALTKDDKIELSEDQGESPFLTHVDSGAPPAEKVNGEDVPKPARLSRVVPPGLDEFKTRAIAERGKDASSQTGHVIHRREPSGKLYNYASAAKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLREFEMLSSLVYPTENWETLGRFTVANAKLAQNFTFPEPKWARYLKFNLLNHYGSASYCTLSMFEVYGMDAVEKMLENLIPVENKNVESDEKLKEPTDQTPWKEPNGGKESSEEPLDEDEFELEDDKTNGDSPRNGANDQIVETRTLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDSDIDSKDALLEKIKLELKQLQISKDDFAKEIEGILSWKEVASSQLNQLLLDNAILRSEFERFREKQIDLENRSFAVIFLSFVFGCLAIGKLSIGMIFNIGRLYDLEKFDRVKSGWLVLLLSSCIIASILAIQ* >Brasy1G102600.2.p pacid=40058661 transcript=Brasy1G102600.2 locus=Brasy1G102600 ID=Brasy1G102600.2.v1.1 annot-version=v1.1 MQKSRRALMKRRAAAAAAAQEQSVGEAAGRKRRLYGFSASLVVASWVALLLLNSLIGHGDGQHDGGGPAVALPFAKSTVNASSVSPDVVHRGDEENLVVSDDTCVKIDESVTISAETRLQEDEHCSVDDVPSDDDMEALTKDDKIELSEDQGESPFLTHVDSGAPPAEKVNGEDVPKPARLSRVVPPGLDEFKTRAIAERGKDASSQTGHVIHRREPSGKLYNYASAAKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLREFEMLSSLVYPTENWETLGRFTVANAKLAQNFTFPEPKWARYLKFNLLNHYGSASYCTLSMFEVYGMDAVEKMLENLIPVENKNVESDEKLKEPTDQTPWKEPNGGKESSEEPLDEDEFELEDDKTNGDSPRNGANDQIVETRTLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDSDIDSKDALLEKIKLELKQLQISKDDFAKEIEGILSWKEVASSQLNQLLLDNAILRSEFERFREKQIDLENRSFAVIFLSFVFGCLAIGKLSIGDVVNLWVGVLRTGAHGHNIVLLISVRFDNEMKHR* >Brasy1G379700.1.p pacid=40058662 transcript=Brasy1G379700.1 locus=Brasy1G379700 ID=Brasy1G379700.1.v1.1 annot-version=v1.1 MARGENSESHGRGNVPAASPAAVGKQAPAAARGADGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFQWVGTIDGSAATAYEGTSYRLALSFPSDYPYKPPKVKFETPCFHPNVDTHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKLYKPA* >Brasy1G372700.1.p pacid=40058663 transcript=Brasy1G372700.1 locus=Brasy1G372700 ID=Brasy1G372700.1.v1.1 annot-version=v1.1 MSSMESLAQLEVLCEKLYKSTDSAERAHTESTLKCFSENSDYISQCQYILENASTPYALMLASTSLLKQVSDCSLSLQLRLDIRNYVMNYLAARGPKLQNFVIISLIQLVCRITKFGWFDDDRFREIFKEATDFLALASQDHYLIGLKILNFLVMEMNQATSAMPLTLHRKIATSFKDQFLQQIFQLSVTSLHQLKSEVPDDIRHVPLSLALRCLSFDFVGPVDESSEEFGTLQLPASWRPLLEDPSTVQIFFDYYKVNDTSVSKEALECLVRLASVRRSIFLEDPARSQFLSHLMSGTREILQTGQGLGDHGNYHEFCRLLGRFKVNYQLSELLNVEFYGEWIGLVAEFTTKSLLSWQWASNSIYYLLSLWSKLVTSLPYLKGDTPSLLDETVPKIMEGFITSRINSVQASFADNSSDTDNPLDNVEGLQDQLESLPYLCRFQYESCSLFIINIMEPLLQAYTARSRLPAAGDLAELSVIEGQIAWMVHIIAAIVKIRQNVGRIKDSQELLDAELAARVLQFINITDTGVHSQRYQELSKQRLDRAILIFMQNFRRSYVGDQSMNSAKQLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSFFLELASGYMTGKLLLKLESTKFIIANHSPENFPFLDEYRCVRSRTNFYYILGSLVFMEDGPVKFWSFMKPLMQVAINLDASADAAFRTDIVKYAFTGLMRDLRGIAMATNSRRTYGLLFDCLYPSCMPLLLRAISLLADEPEVTTPLLKFISEFVLNKSQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTDIYASKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMILSIPLSDALTFKKLSKAYYGYMEVLFNNNITIRSVLNLDTSTFVHIVNSLESGLKGFDTGISTQCASAIDSLAAFYFNNITSGDNPPSQAALNLARHIGELPNLFPQILKTLFEIIILEDVGNQWSLSRPILSLILISEQMFSDLRAQILASQPVDQQQRLSQCFEKLMTDVTRSLESKNRDRFTQNLTTFRHDIRMK* >Brasy1G192300.1.p pacid=40058664 transcript=Brasy1G192300.1 locus=Brasy1G192300 ID=Brasy1G192300.1.v1.1 annot-version=v1.1 MPFSSRPVRPGGSCALPFPSFLGVERAREMDVGAYEAGRQQGPPSSGAPASPAAPTPFDRSSSRLAAAGGATQSFDGALRELKDLQFQLHRAADCCEEAFLTTEKKKLVLDSTKSYICDAVVAVIDHLGTVSSKLEHQLQEKTDITQTEQKINFLKQRLLTCEQYAISLELLAVREDTGGAQYHRRYLSRSAERAKEENSSKGGPEPFKIIGTIVPGATRTLKPYDVESAIGRGRNVAGANVESTTAIRSSFSFRAEELQISPDDHKKKKKASAFGRNAPAATSGEDVEEGDERDGGIGGERIIGEEVEISSENTGPGSRSGDGDGEEGHGDGGDKKKRSRKSYHRHTADQVRVMEAVFKESPHPDEKQRQQLSEQLGLSPRQVKFWFQNRRTQIKAIQERHENSLLKSELENVQKENRAMRELARRPSRCANCGFEAASSDDVDAAAARQEQQLQLENAKLKAEIEKLRATLGKSTSAEAFSTGNVLQTNSRNPVEDYGGGLTGHDKQSILELAGRALEELTTMCSCGEPLWVRSLETGRDILNYDEYLRLFGRGDDGSGDQRAGWSVEASRESGLVYIDAIQLVHAFMDVNQWKELFIPMIAKASTLDVIRTGENDGPDGVVQLMFAEVQMLTPMVPTRELYFARYCKKLAAEKWATVDVSDSMPAGCWKNPSGCIIEEQTNGHSRVTWVEHTKRPESAAVPSLYRAVTASGLAFGAKRWLATLQLQCERMVFSVATNVPTRDSHGVSTLAGRRSVLKLAHRMTSSLCRGIGGSRGLAWSRVTRAGAGDIRLTSRRSAGGEPQGLIACAVLSTWLPVSPAALLDFLRDESRRPEWDATLSGGSVQRRVNLAKGKDRGNCVTAYAATSSAGDQQGRGKWIVQDSSCTSSCEAIVAYAPVDAAALEPVISGHDSSGVPVLPCGFAVVPDGLEYSRPAVITSSSRKGDVAAGSLVTVAFQVLAGSSPTATLSPDSAETVIGLVSCTLRDIKKALGYEDR* >Brasy1G192300.2.p pacid=40058665 transcript=Brasy1G192300.2 locus=Brasy1G192300 ID=Brasy1G192300.2.v1.1 annot-version=v1.1 MPFSSRPVRPGGSCALPFPSFLGVERAREMDVGAYEAGRQQGPPSSGAPASPAAPTPFDRSSSRLAAAGGATQSFDGALRELKDLQFQLHRAADCCEEAFLTTEKKKLVLDSTKSYICDAVVAVIDHLGTVSSKLEHQLQEKTDITQTEQKINFLKQRLLTCEQYAISLELLAVREDTGGAQYHRRYLSRSAERAKEENSSKGGPEPFKIIGTIVPGATRTLKPYDVESAIGRGRNVAGANVESTTAIRSSFSFRAEELQISPDDHKKKKKASAFGRNAPAATSGEDVEEGDERDGGIGGERIIGEEVEISSENTGPGSRSGDGDGEEGHGDGGDKKKRSRKSYHRHTADQVRVMEAVFKESPHPDEKQRQQLSEQLGLSPRQVKFWFQNRRTQIKAIQERHENSLLKSELENVQKENRAMRELARRPSRCANCGFEAASSDDVDAAAARQEQQLQLENAKLKAEIEKLRATLGKSTSAEAFSTGNVLQTNSRNPVEDYGGGLTGHDKQSILELAGRALEELTTMCSCGEPLWVRSLETGRDILNYDEYLRLFGRGDDGSGDQRAGWSVEASRESGLVYIDAIQLVHAFMDVNQWKELFIPMIAKASTLDVIRTGENDGPDGVVQLMFAEVQMLTPMVPTRELYFARYCKKLAAEKWATVDVSDSMPAGCWKNPSGCIIEEQTNGHSRVTWVEHTKRPESAAVPSLYRAVTASGLAFGAKRWLATLQLQCERMVFSVATNVPTRDSHGVSTLAGRRSVLKLAHRMTSSLCRGIGGSRGLAWSRVTRAGAGDIRLTSRRSAGGEPQGLIACAVLSTWLPWDATLSGGSVQRRVNLAKGKDRGNCVTAYAATSSAGDQQGRGKWIVQDSSCTSSCEAIVAYAPVDAAALEPVISGHDSSGVPVLPCGFAVVPDGLEYSRPAVITSSSRKGDVAAGSLVTVAFQVLAGSSPTATLSPDSAETVIGLVSCTLRDIKKALGYEDR* >Brasy1G192300.3.p pacid=40058666 transcript=Brasy1G192300.3 locus=Brasy1G192300 ID=Brasy1G192300.3.v1.1 annot-version=v1.1 MPFSSRPVRPGGSCALPFPSFLGVERAREMDVGAYEAGRQQGPPSSGAPASPAAPTPFDRSSSRLAAAGGATQSFDGALRELKDLQFQLHRAADCCEEAFLTTEKKKLVLDSTKSYICDAVVAVIDHLGTVSSKLEHQLQEKTDITQTEQKINFLKQRLLTCEQYAISLELLAVREDTGGAQYHRRYLSRSAERAKEENSSKGGPEPFKIIGTIVPGATRTLKPYDVESAIGRGRNVAGANVESTTAIRSSFSFRAEELQISPDDHKKKKKASHAGRNILSFLKRSRRA* >Brasy1G092000.1.p pacid=40058667 transcript=Brasy1G092000.1 locus=Brasy1G092000 ID=Brasy1G092000.1.v1.1 annot-version=v1.1 MSHSSPPRRNRRRDDLEEGEIATDSGSDSPRNDDDDDMLPEIQGRRLEQQAPVVGGGQVDAPPSPTPSSEYCDSDGTVSDVVVVGAQGRTPQMFPCPICWREFGSVRAVSGHMRMHDPQERQGRPVAPAVAGGWAVRRRRGFVGDGRSASPEEEEVVDSMAIVVGEEPVMDPVPIAFASRSNSVEPDPNPNVSTAIAAAAAAGNPPNPNNVAVHPSCSPQFVAHNQSPEANQAVLGTRPQPVVAQGDQQPAPATPPQFTAQQPAPPPPARSPPVRDEQGWWVCKQEGCDKRFHTYQGLGGHMAGHKNRQISEAAAAGSGDAGAAAGARPARVHSCNVCGLVYDAGTKLGGHKRKHYAGKVIPRKRAATEPVTAGQLAVAVSSAVQASMEGPELQLALPSEAPEPAPEPATSIRLFGVTMTPNVQSPAATSSSTVMETDQSSGATSTNTQ* >Brasy1G512900.1.p pacid=40058668 transcript=Brasy1G512900.1 locus=Brasy1G512900 ID=Brasy1G512900.1.v1.1 annot-version=v1.1 MQSGKLEPEVAFLKHRWCRMCSRFSLFSKSREALTTRTLHSELVYNYSGSKHITESLKRRGIADDTVHPCGSV* >Brasy1G477900.1.p pacid=40058669 transcript=Brasy1G477900.1 locus=Brasy1G477900 ID=Brasy1G477900.1.v1.1 annot-version=v1.1 MLSARTAAASPAASLWKRAGGEGASGNGNNGCTSCREVRRRVAVVTVRATPQRRVEAVAMESAAAEIAEAEAEAVESAAAAETAEEAEEKEVAAAGGGVEDKYGEDRATEELPVTPWAFSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPGVVSQDLQVKKIMHNLRQYKVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYVNLRDKGKVLEVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQRRATGEEYHELMEEFMGAVKQIYGEKVLIQFEDFANHNAFDLLAKYSQSHLVFNDDIQGTASVVLAGLLSSLKIVGGDLAEHTYLFLGAGEAGTGIAELIALEISKQTDNPIEECRKRIWLADSKGLIVSSRRDSLQPFKKSWAHEHEPVTTLLDAVKSLKPTVLIGTSGVGKAFTKEVIEAMASFNEKPVIFSLSNPTSHSECTAEEAYNWTKGRAVFASGSPFDPVVYDEKTYVPGQANNAYIFPGLGLGVVISGAIRVHEDMLLAASETLAEQATQENFAKGSIFPPFANIRKISALIAASVAAKAYELGLATRLPRPRDLEKYAESCMYTPVYRSYR* >Brasy1G452000.1.p pacid=40058670 transcript=Brasy1G452000.1 locus=Brasy1G452000 ID=Brasy1G452000.1.v1.1 annot-version=v1.1 MASSSAAAIPAIMITVLVMIMSSSLVLQTATAATACRNCASSCSGADFAARCLQNCQSTELAKCKTACMGSCTGSCHAPSGNCNCQNACDSACAGAGGGCNNNCSNSQSCTTYCNNNCVV* >Brasy1G303900.1.p pacid=40058671 transcript=Brasy1G303900.1 locus=Brasy1G303900 ID=Brasy1G303900.1.v1.1 annot-version=v1.1 MAVSGAIARSDGKDYPGEMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLVRFFPSVYAKEQEVVETNQYCKFDSALLTLFTSSLYLAALIASLFASVVTRKCGRRISMLGGGVIFLAGAILNGFAINIAMLIVGRIFLGIGVGFSNQAVPLYLSEMAPAKMRGMLNISFQLMITLGILAANLINYFTAKIAGGWGWRLSLGLAAVPALIMAGGSLFLPDTPNSLVARGKEEEARAMLRRIRGTSDIGLEYDDLVAASEASRSIENPWKTLLERRYRPQLAMAVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSSVISGGVNMLATFVSIATVDRLGRRKLLLEGGCQMILAQFVLGTLILIKFGTDGVASISRPYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSMVVVFNMAFTFIIAQIFLMLLCRLKFGLFYFFGACELVMTLFVYFFLPETKGIPIEEMDRIWGRHWYWKRFVDDAAGAANNRKVQMASNAV* >Brasy1G570400.1.p pacid=40058672 transcript=Brasy1G570400.1 locus=Brasy1G570400 ID=Brasy1G570400.1.v1.1 annot-version=v1.1 MVHVRFTGVSSSGDHKSEGRVANIMDVKGRRFAIFTSSCWLSSIAERANRYVYVLTETSQEFDTCTNIC* >Brasy1G496700.1.p pacid=40058673 transcript=Brasy1G496700.1 locus=Brasy1G496700 ID=Brasy1G496700.1.v1.1 annot-version=v1.1 MAPPQHDPRRPYKRAAISDQQRRRDFALQTQSARRADAQARARSLANSLLFPPSPPADQPPASPETNQDDRSHKPAVTEVATAAVNLRGPERRRWFACQIMLPEWMVDAPPHLARDWNVCARPAGQRCLVVSTNGITVSRLRNGTVLHRFPSALPNGSKRGISGPASSYSILDCIFHEPDETYYIVDMICWRGYSLYDCTAEFRFFWINSKLTETSAGDPPSTYHRYRFCAVPMYESTLEGLQAAYSGSTPYVKDGLLFYNKHAHYQTGITPLTLVWKDEACSQYVLDTDSEGHVPTEQHVVLELQEDGKLITSDDPPIVFGTLNNEFIQKSNLRPGNLLRFSARDESVKLVDGKMEIGELQFAGKLNRSRTFADSHSKVLFQYAARHAPLRIEDLVASVQSNSMELESTDVEMQD* >Brasy1G496700.2.p pacid=40058674 transcript=Brasy1G496700.2 locus=Brasy1G496700 ID=Brasy1G496700.2.v1.1 annot-version=v1.1 MAPPQHDPRRPYKRAAISDQQRRRDFALQTQSARRADAQARARSLANSLLFPPSPPADQPPASPETNQDDRSHKPAVTEVATAAVNLRGPERRRWFACQIMLPEWMVDAPPHLARDWNVCARPAGQRCLVVSTNGITVSRLRNGTVLHRFPSALPNGSKRGISGPASSYSILDCIFHEPDETYYIVDMICWRGYSLYDCTAEFRFFWINSKLTETSAGDPPSTYHRYRFCAVPMYESTLEGLQAAYSGSTPYVKDGLLFYNKHAHYQTGITPLTLVWKDEACSQYVLDTDSEGHVPTEQHVVLELQEDGKLITSDDPPIVFGTLNNEFIQKSNLRPGNLLRFSARDESVKLVDGKMEIGELQFAGKLNRSRTFADSHSKVLFQYAARHAPLRIEDLVASVQSNSMELESTDVEMQD* >Brasy1G158100.1.p pacid=40058675 transcript=Brasy1G158100.1 locus=Brasy1G158100 ID=Brasy1G158100.1.v1.1 annot-version=v1.1 MPAQKRPHPSPSSKPRDHVEANGTASSSAAAAAGGGGDGGGVRPVPGGAAANRVTNPQPPRVADSGSTDSGSSSGGAYSDSESSESTEDMDEFILVKLAEIRKEFQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEELAFSEEEKTRNKKIQATIEETIRKQSEAIGKKRSTAKATANAFARKYRRNIRTRGRGKTIAFDSSLAVSDDVDIEEGNANDASKESSSADNHSPDLMQKRGTKRPASLSSPARTIGTADHGIEENGELVSGKESFTSSPLRGEMLAWGKNGTRSQTRYGNIGGLNGRLGKGGRGSKLVEHLRTTDEMDKEFNLYLVLLPLDGQTTPSLEKPYVSCGPTVSIRHLVQFLALQLSRKVEELEIYIRMGLHNRSVAMLDPTSVETKLHQFDNLERLSEDKLLSDLHLSFASGHSDLELLYALKTEG* >Brasy1G158100.3.p pacid=40058676 transcript=Brasy1G158100.3 locus=Brasy1G158100 ID=Brasy1G158100.3.v1.1 annot-version=v1.1 MTSTDPDAEGGRGEGDGSDNGSESSVSAGDEDEFILVKLAEIRKEFQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEELAFSEEEKTRNKKIQATIEETIRKQSEAIGKKRSTAKATANAFARKYRRNIRTRGRGKTIAFDSSLAVSDDVDIEEGNANDASKESSSADNHSPDLMQKRGTKRPASLSSPARTIGTADHGIEENGELVSGKESFTSSPLRGEMLAWGKNGTRSQTRYGNIGGLNGRLGKGGRGSKLVEHLRTTDEMDKEFNLYLVLLPLDGQTTPSLEKPYVSCGPTVSIRHLVQFLALQLSRKVEELEIYIRMGLHNRSVAMLDPTSVETKLHQFDNLERLSEDKLLSDLHLSFASGHSDLELLYALKTEG* >Brasy1G158100.2.p pacid=40058677 transcript=Brasy1G158100.2 locus=Brasy1G158100 ID=Brasy1G158100.2.v1.1 annot-version=v1.1 MECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEELAFSEEEKTRNKKIQATIEETIRKQSEAIGKKRSTAKATANAFARKYRRNIRTRGRGKTIAFDSSLAVSDDVDIEEGNANDASKESSSADNHSPDLMQKRGTKRPASLSSPARTIGTADHGIEENGELVSGKESFTSSPLRGEMLAWGKNGTRSQTRYGNIGGLNGRLGKGGRGSKLVEHLRTTDEMDKEFNLYLVLLPLDGQTTPSLEKPYVSCGPTVSIRHLVQFLALQLSRKVEELEIYIRMGLHNRSVAMLDPTSVETKLHQFDNLERLSEDKLLSDLHLSFASGHSDLELLYALKTEG* >Brasy1G163200.1.p pacid=40058678 transcript=Brasy1G163200.1 locus=Brasy1G163200 ID=Brasy1G163200.1.v1.1 annot-version=v1.1 MPVKKNPETRLIFLSPVRSSFRRRRRRPPPAASSRRRTRARQHPKEEGRGGGSSGRVHRAVRGLQPRGWVEGGMRRRAGWRATAERWRKARTAAAHAGARAKEAAPRAGCRAAVVACAGARARAAAPGAGLGRRRRGWSRAAESRHRSVKLGRRERTSEEDDPPKGRAIRHAGGGVHGRYPDEDPAKYLSHEMLRSSAQPPKVSSQ* >Brasy1G185600.1.p pacid=40058679 transcript=Brasy1G185600.1 locus=Brasy1G185600 ID=Brasy1G185600.1.v1.1 annot-version=v1.1 MERVSTVCVTLVLVILLTTTLACQGARDIPAAEPKPYRPQNVFGFGGFYPGPSVNWVFPGPNGVTPQVGFGGMPGSSSFPGIGGSPLVPGGSGVVGIHDSHGAAKIKKKKRS* >Brasy1G239100.1.p pacid=40058680 transcript=Brasy1G239100.1 locus=Brasy1G239100 ID=Brasy1G239100.1.v1.1 annot-version=v1.1 MTGTGDQQTAIGIDLGTAWSCVGVYRHGRVEIITNEHGNRTTPSCVAFSDTERLVGEAALNQTARNPTNTVLSDASVRSDMKLWPFKVVAGRGDKPMIAASHRRKQKLLTVQEIASMLLVKMKGDAEAYLGARVTNAVVTVPVSFDVLQRRATKDAFAIAGLDVLGVVHEPVAAAVTYGLLRGSTETKNVLVFDLGGGHTSAALLMVSAGKVAVEATAGDAHIGGEDFDGRLVEHFVEQLKTEYKKDGVGRTARALVRLRAACEQAKRTLSSRTWAPIEIDCLLEEGFDFRTTITRDQFEDLSMDLFCRCMEPVKKCLGDAKVDRSSVHDVVLVGGSTRIPCLQRMLQDLFDGKELLRRDINPEEAVARGAAILAAAAVSRVPDGGLLNLFLPERGVEAAGGAMAVIIPKNSTIPVRREQIISLHSHEPSAVVIPVFEGENPVARENSLLGELKLSAVHRGCIVEREGSKHQVSDIDADGIMTVNARDMATENKNQMKFMDKGQLSKQEIQRMAEEAAEYMAEDAENKERVNAKNLLEECLYVKRCSIEAKRKKAKDALSAVEQMIHQVDNDQVSSAKKFREDLEVLMVDGSTVAGKLGDAW* >Brasy1G483400.1.p pacid=40058681 transcript=Brasy1G483400.1 locus=Brasy1G483400 ID=Brasy1G483400.1.v1.1 annot-version=v1.1 MRSLQDARTLIWRPLSLQYPHPPLPGVLSPGSGRVFVVRPAAAHDFVCTRLPGTSVLLPSPLLPNNPRRLAKQQFARVDSCGWVGRLNINSQFHYPAADLERG* >Brasy1G534100.1.p pacid=40058682 transcript=Brasy1G534100.1 locus=Brasy1G534100 ID=Brasy1G534100.1.v1.1 annot-version=v1.1 MSAKRLHDSYEHDEQDSDQPGQKRVHRLPSFSSVIREAMLMKQVQNVFMVLEPLLRRVVQEEIQAGLVRSPRYTERSPPETPPRAPSTEPATWKLAFKNPPQLPIFTGSKIEDCNGDPLQVILVDADTGSPCPCPQFLRVELVPLSGDFPADGREECASAAEFAMGVVKERAGKRPLLTGEVALTMRGDGCATVGELQFTDNSSWLRCRKFRIGARVVPGAGGDHGRIAEAMTKAFNVRDHRGELYRKHYPPALGDDVWRLEKIGKEGAFHRKLAHHRVKTVQEFLRMLTVKPDMLRAILGDGMTDRLWEVTTSHAKKCDAGDKVYAYSGAGATIYVNSIFELVRIEFGGAECAPQQLTRPQRMYVQQLCLEAYENRHSLQEADFVPLIHAAYSSSNNLPLLQNAPPPPVALAPATPLWFLGNPDMDFQVDDELSQGMFDMTG* >Brasy1G422900.1.p pacid=40058683 transcript=Brasy1G422900.1 locus=Brasy1G422900 ID=Brasy1G422900.1.v1.1 annot-version=v1.1 MPGAAPPFRPLPAPARCISSPKLSSKPRKNPSSPRSLSPPMLRTIAAMLHATSPLRSLLRIHLQRLRPPNPRPARLRLLSSSFPSGGDGWATYDPLADGLFPSAVSSSASYSSAAASDPDTPAEGSDARGVFDPVSGRIVMTQQAQQQQPSPPPSSGSGGQKGKGRPSGGKGEGRWAAVAAARRSGGKVGGKARTSYVCSHCGDGFSQWWGTCRSCEAVGTLTKYVAEPDSATAEGSHHAGRSWIPQKSKEMVPQSLGDVTKGFDQAEWRIPLPGNFGNEISRVLGGGIVPGSLVLVGGDPGVGKSSLMLQLASIVSDGSEDHGSSPVVYVSGEESIEQIANRADRMSIRSRNLYLYSSTDIEDILDKIQPLSPRALIVDSIQTVYLKAFAGSAGNHMQVKECTSALLSFAKLTNIPVFLIGHVTKTGDIAGPRILEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFQMSEYGLEAVLNPSEMFLTEHDSDSEILAGLAVAVALDGSRSFAVEVQALCVSGSRTRGQVVGIPSSRADVIISILMKQAGLKLQDSVIFLNVVSGFNLTETAGDLAIAASICSSFLEFPIPNDIAFIGEIGLGGELRTVPRMDKRVIAIAKLGYRKCVVPKASEKLLKPLNLDIMILPCSNLKEFINTVFRPEG* >Brasy1G422900.2.p pacid=40058684 transcript=Brasy1G422900.2 locus=Brasy1G422900 ID=Brasy1G422900.2.v1.1 annot-version=v1.1 MPGAAPPFRPLPAPARCISSPKLSSKPRKNPSSPRSLSPPMLRTIAAMLHATSPLRSLLRIHLQRLRPPNPRPARLRLLSSSFPSGGDGWATYDPLADGLFPSAVSSSASYSSAAASDPDTPAEGSDARGVFDPVSGRIVMTQQAQQQQPSPPPSSGSGGQKGKGRPSGGKGEGRWAAVAAARRSGGKVGGKARTSYVCSHCGDGFSQWWGTCRSCEAVGTLTKYVAEPDSATAEGSHHAGRSWIPQKSKEMVPQSLGDVTKGFDQAEWRIPLPGNFGNEISRVLGGGIVPGSLVLVGGDPGVGKSSLMLQLASIVSDGSEDHGSSPVVYVSGEESIEQIANRADRMSIRSRNLYLYSSTDIEDILDKIQPLSPRALIVDSIQTVYLKAFAGSAGNHMQVKECTSALLSFAKLTNIPVFLIGHVTKTGDIAGPRILEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFQMSEYGLEAVLNPSEMFLTEHDSDSEILAGLAVAVALDGSRSFAVEVQALCVSGSRTRGQVVGIPSSRADVIISILMKQAGLKLQDSVIFLNVVSGFNLTETAGDLAIAASICSSFLEFPIPNDIAFIGEIGLGGELRTENVLSEEKS* >Brasy1G422900.3.p pacid=40058685 transcript=Brasy1G422900.3 locus=Brasy1G422900 ID=Brasy1G422900.3.v1.1 annot-version=v1.1 MPGAAPPFRPLPAPARCISSPKLSSKPRKNPSSPRSLSPPMLRTIAAMLHATSPLRSLLRIHLQRLRPPNPRPARLRLLSSSFPSGGDGWATYDPLADGLFPSAVSSSASYSSAAASDPDTPAEGSDARGVFDPVSGRIVMTQQAQQQQPSPPPSSGSGGQKGKGRPSGGKGEGRWAAVAAARRSGGKVGGKARTSYVCSHCGDGFSQWWGTCRSCEAVGTLTKYVAEPDSATAEGSHHAGRSWIPQKSKEMVPQSLGDVTKGFDQAEWRIPLPGNFGNEISRVLGGGIVPGSLVLVGGDPGVGKSSLMLQLASIVSDGSEDHGSSPVVYVSGEESIEQIANRADRMSIRSRNLYLYSSTDIEDILDKIQPLSPRALIVDSIQTVYLKAFAGSAGNHMQVKECTSALLSFAKLTNIPVFLIGHVTKTGDIAGPRILEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFQMSEYGLEAVLNPSEMFLTEHDSDSEILAGLAVAVALDGSRSFAVEVQALCVSGSRTRGQVVGIPSSRADVIISILMKQAGLKLQDSAGWLLGWPFERLNELICTNAPPRYMCHGM* >Brasy1G339100.1.p pacid=40058686 transcript=Brasy1G339100.1 locus=Brasy1G339100 ID=Brasy1G339100.1.v1.1 annot-version=v1.1 MASTYQLPHPPPLTAYPSFASRCRVRMASAAPLGVSHDTGVTVSTSDSVGQNDVLIVGPGVLGRIVADKWQQEHPGCKIFGQTATTDHHSELTKIGIIPSLKGSRGCQKVPYVIFCAPPYRTDDYPGDLRVAASNWSGEGSFLFTSSTAVYDCNDNGLCSEDSPCVPIGRSPRTDVLLEAENVVLEAGGCALRLAGLYKADQGPHIFWLSKGSVDARPDLIINLIHYEDAASLAIAIMKRRLRSRVFLGCDDQPLSRQQIMDRVNRSGKFDAKFQGFTGTDGPLGKRMNNSKTRAEIGWQPKYPSFTEFLGLSN* >Brasy1G578000.1.p pacid=40058687 transcript=Brasy1G578000.1 locus=Brasy1G578000 ID=Brasy1G578000.1.v1.1 annot-version=v1.1 MEFAVAVFAVVTEAALSKLCKVTLKLVGQPKIDATFIRDELLSIKATIKDATIQGARHVSCLERARVAELRRLAYDIEDCIDCFDAEKTSREQFATQIAELKGRSLDFRHRYSNILAEGPLIFFAQGSSAVPQELQVLIGESHLHKWLAGNMECLLYLCLFPSTHHVRSKSLMRRWVAEGLVKGEQGAVHNLGILVNYNIISSIQISNNGKVKRCQPTEEVLQCISQQCMSENFILLPEGRAQFQAEMQFVRRISVHPTGAANGEVLHLPEDLSHLRTLAVFPAGAIGLDSYQDVLDFNRYQVLRVLDLKECAPISYDHVLAICDQVLMKYLSINLGNIDRIGRKIADMTQLETLDVSRSKVVTVFKEVLMLPKLKHLLGKFQLSRVDSMVFGTRNVENFLESKSVLDTVAGFVTGQRPGFPQLMGFMRQLRKVKIWCKANASHANLEAISSAITIFIRKGAQNLGRSLSIDFQECSGQFLDTVVADTGSHLASLKLRGNLPKNFPPFVSQLAGFMELCLWSTGLSWIAILVGLKELKVLKYLKLIEDNLGQVEILSEHLKSLERICLVSGPSLNITIQAGALSQLVSLHLLCQLLDVSPGTRGIEITHMKDLKEVALHPQVQDEVRINWQNAANSHSRTPDVLFIQGPQ* >Brasy1G258100.1.p pacid=40058688 transcript=Brasy1G258100.1 locus=Brasy1G258100 ID=Brasy1G258100.1.v1.1 annot-version=v1.1 MTNTYTMCSATGSNHSLPTTTAPRYSGSKRPHELTLTLAVCEDPAAAEQRANAAASPSSEPDAAALVPFTASPSRPPLPREPISAVPLAFAAPNEERAEPWWLRTKLFQHLHLRFDLPVHFIAEKAVTVTDLDRHQNRFRLPSDGVMRNLRPVLTPLELACANLLHEEAPCRPRPPKHQQPQPQPLDDPDNVPAAAGEIREKKRKRKGKKHGGLPVLVVDSSAGIRELQLSRWDSSGGTIIKGEGYLDFIAQCSFTVDDVVEVWAFKDRTYHYFGVDLCVESPLYVLITKKGQTQPPPRGVDQ* >Brasy1G227800.1.p pacid=40058689 transcript=Brasy1G227800.1 locus=Brasy1G227800 ID=Brasy1G227800.1.v1.1 annot-version=v1.1 MCISLLPLLSLTGINLVPLLGCKTDSSTGIRSSLTGINLLPLLGCNAGSSTCICCLPLLRRDAGSSTCICCLPLLRRDAGSSTGICCLPLLRRDAGSSTGINLLPLLRRDAGSSTGINLLPLLGCYHSSSSFITFPSCSIFLFSHIVSCIIL* >Brasy1G381500.1.p pacid=40058690 transcript=Brasy1G381500.1 locus=Brasy1G381500 ID=Brasy1G381500.1.v1.1 annot-version=v1.1 MAAASAAAVAPADYPMNALRSTALRRSTLHWDAAAAFFCPPFRSRRCRHRVLPPPAAALPAKSRSRARTKLLSGAGAGDPWLASLSLLPAVDGEAAPAPTGWALGIDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEFTRKRLDTKSIIQLLRGLDAPLGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSKSRKDDSRQAATILFPDKALSLKLKKHHGRAEALLLAAYGKGLVLPSEQLPTTRIVDKQEANLTLAGRLD* >Brasy1G065500.1.p pacid=40058691 transcript=Brasy1G065500.1 locus=Brasy1G065500 ID=Brasy1G065500.1.v1.1 annot-version=v1.1 MRTRSGSLYFPCGGREPAVGQKRKRTPAQSAAAAGECHGRRKRQAPGLDYLDELPDDLVLSILSKLAASASSPSDLLSVHLTCKRLNGLGQQDMVFANASPASLAVKAAAWSEPVQRFLKRCADAGNLEACYILGMIRFYCLGSRSGGAALLAKAAVGGHPAALYSLAVIQFNGSGGAKSDRDLRAGAALCARSAALGHVDALRELGHCLQDGYGVRRDAAEGRRLLVAANARELSLALANANHRAFASLPLVPGAGAEAGGGAGGCPLLSDFGWSLPEAEPHTANLFMSDWWASRGVQATAKKSAGTDEAEGELRLCSHLRCGRKETRRHEFRRCSVCGAANYCSRACQALDWKRAHKVQCVPMDRWLPAAAADADAAQAQ* >Brasy1G142800.1.p pacid=40058692 transcript=Brasy1G142800.1 locus=Brasy1G142800 ID=Brasy1G142800.1.v1.1 annot-version=v1.1 MALGQLEAVEQLRQELNGGQELSARLWGLLSHPLDGGGREAALEMIKQISRVFMVTQLMLKPGDSSRVVETRMVTPEVTTERSIGRRSLTKDKRIWYYYKCVFSHQRGCRAKKRVQRQDRSSSDEGRPVFQVTYVNEHTCQGVPLPDENIITATNTATKNAGNFAPANNNVAGDAEFDNDTLVSCLAMVIGGAAAPSSSFLPPLAHVQVRMCDPAAASYGRHSSPSLLDFSMGLDDEMATEKEASFFSCGSPFPFTPIVEEAAAPAPSLPSPPVEAISSDPAAYVPAGGEYWPSLDQMAEMNFSCGTLFPPVEELAHSVACSDGMSRGSWTQIHQEQPDFPSELPPAASSSSFSSVAWPFLDVHERWG* >Brasy1G255700.1.p pacid=40058693 transcript=Brasy1G255700.1 locus=Brasy1G255700 ID=Brasy1G255700.1.v1.1 annot-version=v1.1 MDSRGGSTLRKRALAIDPTRAETAVKDGKSKEVHALGKEEEPVSPTGRLFREPHFNCYIVSVFGLGAPVDLPAVRAGLEATLARHPRFRSVQVLDDEGARPKWVRTTVNLDDHVVVPDLEPTIATSADPDRALEDYVSSLSALPMDHSRPLWELHVLDFPTSEAAAAVALRTHHSVGDGVSLLSLFIACTRRAADPSALPAIPAARRAGPAVLYALPRRPRLSLPLAAVLAWVVSFLVLVWHTAVDVARFVATATSLSRDPPTLFRGAVEGVEFRPKRFVNRTLSLDDVKHVKNAMNCTVNDVLLGVTSAALSRYYFRKTGWCDLNLFSGENVSKSIKVRSTLLVNLRKTPGLHTLASMMESGKDNGAKWGNRLGYMILPFHIAKHDDHLEYVREATKVARRKKSSMESVFTYWSASIIMKIFGIKAAASLCYGMMRNTTLSFSSLAGPSEQVVFCGHPIVYIAPSVYGHPHALTMHYQSYMRIIKLVLAVDETQVPDAHELLDDFTESLKLIREAAPGQPQHT* >Brasy1G159600.1.p pacid=40058694 transcript=Brasy1G159600.1 locus=Brasy1G159600 ID=Brasy1G159600.1.v1.1 annot-version=v1.1 MHPCGDPGRRGGASPPPRAAVLVAPMCKIAEEMKPHPVVVRVLKAMTSIVPTWRIVPSKDVIDAAHRTQDETRSGGEDRVRAPQGQPPPRERPPPAGLPFLIVHGGDDKVTDPAVSELLYRSAASQDKTLNLYPGMWHALTFGELPENVDTVFRDIIAWLDHRSGSSVPTAEATDEQEKKAKHDELHCDKQ* >Brasy1G099700.1.p pacid=40058695 transcript=Brasy1G099700.1 locus=Brasy1G099700 ID=Brasy1G099700.1.v1.1 annot-version=v1.1 MPGRGRRRGRGRLRGAAAAGRSRDERDPVDLRSDDDLKSPLQTKPPNGEIACGEGSMSEMFEARLWDSPDEVSTTNLKRLRKRTVASVDATTEVNVVQVADAKPSDDEGGEMPPCRRSKRLRRNLPARQDSRKSQDDMFVNSDNDTKSRKFVQQRRSQRLRKRFPSENFSVEVTEDKDTLQGSSDTDNYYSAPQRRSKRLHRRMKTRKDPFSDSDSDTVDYDRKAIPRRRVSKRLQEKQKADHESHEGCTEAQLCKLSESSSSGSDGELLRNSLKPGKNISGGPICSICKSGTGNCSLIKCQNSRCSRSFHDFCLTPSMQDSQGTFECALCKTNQASLAKGTEVYPSKRIQRLVGHRRVILQESDFQYQFLVKWQLFSHHHDCWVPLDWLHDFDKLRVQSYIRKNSLPKDVYSEDQRKPEWFVIDRAIACRRKSNPDDFCDILANCQDDKDFEGYEFLVKWKGLDYCDATWESYFTEGVESAVSMLVKRHRNALNRVDCVNQMCMDLMIPETVHNGVLYDYQLQGLKWIFDNYKTRKSVILADEMGLGKTVQVVCFLSHIIKGSFTTSPALILAPKSILLQWKKEFGCWASDLNILVYQGDKDSRKCIQAHEMYSSERKTLFDAVVTSYEFVQIDKAVLQKIKWSTIVIDEAHRLKKLDCNLASCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPKSDGLFLPIESGRDLSMDEKIARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDSQRDLYINILEKNYLKLNSAIQNGRKLSLNNILMELRKCCNHPYLFPGLEVKQNAGEDASLSLVAASGKLQLLHKLLPKLKERQNRVLIFSQMTRMLDILEDFLSFLGYKYARIDGQTPLSERQESIKEYNNTESETFIFLMSTRAGGLGIDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNTYKKPSADELQSILLHGAKTIVDKKKISATSIHYDDEAIENLLKLDPSSGEMCKSDDNGYLGSIVSFAHGAEDEEPLSPKAEDLRVLKPATPKVDLGRGKRQKKAVRYFEEIENEDSDDVYAPQDSSDSSSSSSSSDDESESVIPGADVNLDVASVKPEEANKPEVSRLKLEVALVPDNEIETAQPGMASVKPEAPDC* >Brasy1G099700.2.p pacid=40058696 transcript=Brasy1G099700.2 locus=Brasy1G099700 ID=Brasy1G099700.2.v1.1 annot-version=v1.1 MPGRGRRRGRGRLRGAAAAGRSRDERDPVDLRSDDDLKSPLQTKPPNGEIACGEGSMSEMFEARLWDSPDEVSTTNLKRLRKRTVASVDATTEVNVVQVADAKPSDDEGGEMPPCRRSKRLRRNLPARQDSRKSQDDMFVNSDNDTKSRKFVQQRRSQRLRKRFPSENFSVEVTEDKDTLQGSSDTAPQRRSKRLHRRMKTRKDPFSDSDSDTVDYDRKAIPRRRVSKRLQEKQKADHESHEGCTEAQLCKLSESSSSGSDGELLRNSLKPGKNISGGPICSICKSGTGNCSLIKCQNSRCSRSFHDFCLTPSMQDSQGTFECALCKTNQASLAKGTEVYPSKRIQRLVGHRRVILQESDFQYQFLVKWQLFSHHHDCWVPLDWLHDFDKLRVQSYIRKNSLPKDVYSEDQRKPEWFVIDRAIACRRKSNPDDFCDILANCQDDKDFEGYEFLVKWKGLDYCDATWESYFTEGVESAVSMLVKRHRNALNRVDCVNQMCMDLMIPETVHNGVLYDYQLQGLKWIFDNYKTRKSVILADEMGLGKTVQVVCFLSHIIKGSFTTSPALILAPKSILLQWKKEFGCWASDLNILVYQGDKDSRKCIQAHEMYSSERKTLFDAVVTSYEFVQIDKAVLQKIKWSTIVIDEAHRLKKLDCNLASCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPKSDGLFLPIESGRDLSMDEKIARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDSQRDLYINILEKNYLKLNSAIQNGRKLSLNNILMELRKCCNHPYLFPGLEVKQNAGEDASLSLVAASGKLQLLHKLLPKLKERQNRVLIFSQMTRMLDILEDFLSFLGYKYARIDGQTPLSERQESIKEYNNTESETFIFLMSTRAGGLGIDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNTYKKPSADELQSILLHGAKTIVDKKKISATSIHYDDEAIENLLKLDPSSGEMCKSDDNGYLGSIVSFAHGAEDEEPLSPKAEDLRVLKPATPKVDLGRGKRQKKAVRYFEEIENEDSDDVYAPQDSSDSSSSSSSSDDESESVIPGADVNLDVASVKPEEANKPEVSRLKLEVALVPDNEIETAQPGMASVKPEAPDC* >Brasy1G099700.3.p pacid=40058697 transcript=Brasy1G099700.3 locus=Brasy1G099700 ID=Brasy1G099700.3.v1.1 annot-version=v1.1 MPGRGRRRGRGRLRGAAAAGRSRDERDPVDLRSDDDLKSPLQTKPPNGEIACGEGSMSEMFEARLWDSPDEVSTTNLKRLRKRTVASVDATTEVNVVQVADAKPSDDEGGEMPPCRRSKRLRRNLPARQDSRKSQDDMFVNSDNDTKSRKFVQQRRSQRLRKRFPSENFSVEVTEDKDTLQGSSDTDDRKAIPRRRVSKRLQEKQKADHESHEGCTEAQLCKLSESSSSGSDGELLRNSLKPGKNISGGPICSICKSGTGNCSLIKCQNSRCSRSFHDFCLTPSMQDSQGTFECALCKTNQASLAKGTEVYPSKRIQRLVGHRRVILQESDFQYQFLVKWQLFSHHHDCWVPLDWLHDFDKLRVQSYIRKNSLPKDVYSEDQRKPEWFVIDRAIACRRKSNPDDFCDILANCQDDKDFEGYEFLVKWKGLDYCDATWESYFTEGVESAVSMLVKRHRNALNRVDCVNQMCMDLMIPETVHNGVLYDYQLQGLKWIFDNYKTRKSVILADEMGLGKTVQVVCFLSHIIKGSFTTSPALILAPKSILLQWKKEFGCWASDLNILVYQGDKDSRKCIQAHEMYSSERKTLFDAVVTSYEFVQIDKAVLQKIKWSTIVIDEAHRLKKLDCNLASCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPKSDGLFLPIESGRDLSMDEKIARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDSQRDLYINILEKNYLKLNSAIQNGRKLSLNNILMELRKCCNHPYLFPGLEVKQNAGEDASLSLVAASGKLQLLHKLLPKLKERQNRVLIFSQMTRMLDILEDFLSFLGYKYARIDGQTPLSERQESIKEYNNTESETFIFLMSTRAGGLGIDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNTYKKPSADELQSILLHGAKTIVDKKKISATSIHYDDEAIENLLKLDPSSGEMCKSDDNGYLGSIVSFAHGAEDEEPLSPKAEDLRVLKPATPKVDLGRGKRQKKAVRYFEEIENEDSDDVYAPQDSSDSSSSSSSSDDESESVIPGADVNLDVASVKPEEANKPEVSRLKLEVALVPDNEIETAQPGMASVKPEAPDC* >Brasy1G578100.1.p pacid=40058698 transcript=Brasy1G578100.1 locus=Brasy1G578100 ID=Brasy1G578100.1.v1.1 annot-version=v1.1 MGRSGFTDDGGASMMSWLRDSVASSSIEHDKYNNGYVDFDDLLNSEENLGYVEHQDFGNVKKLQSDAFGPAEAEYVKQIRTLDALLFEEKKGNQNLCDQMLKLEFELAAQDQVREELEGKIEEGNKKIAELMDKVEEGKKEESDLRCLAMVSCSVAVTLGAVLYCIYSKM* >Brasy1G182700.1.p pacid=40058699 transcript=Brasy1G182700.1 locus=Brasy1G182700 ID=Brasy1G182700.1.v1.1 annot-version=v1.1 MGWRWHDEDGEGDGDRGLGDIPGLDGRGGEGAHLGTRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCIGRPSELVESKTENTEEDVTDEMTGASHSLGFPAKEPFAFPGLRSDIEAIEKGFSGSLGSFMEEAERITNDFFKSFGFPSTDDGEPRRLPRQPAERHTGDGSSKKANENDYSNFGSQITDV* >Brasy1G019400.1.p pacid=40058700 transcript=Brasy1G019400.1 locus=Brasy1G019400 ID=Brasy1G019400.1.v1.1 annot-version=v1.1 MAATMDCAIQVVLRVVMRRSICRLHEAVAMAVELGTALLMAVRFSGTAFRRTPAAVPGSSTRYYYNAPVSAASIVGMSRLDRH* >Brasy1G323700.1.p pacid=40058701 transcript=Brasy1G323700.1 locus=Brasy1G323700 ID=Brasy1G323700.1.v1.1 annot-version=v1.1 MAGRRPMTRPGMRGGGEVARLARASPAASFLLAAAAAGALVGGVYFWLVVASFRLPDSGTLGCRPDGEGSWSIGMYYGKSPFKLRPIELEGRSNASGSAWPVANPVLTCASTTEAGYPSNFVADPFLYVQGDKLFLFFETKTTTSMQGDIGVARSFDQGATWEFLGIALDETWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLISKPLIDAAIIQYEGLWWLFASDFTRHGTEKNAELEIWYSSSPLGPWNEHKQNPIYKSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRRVKLYKVEKLSKEEYKEVPVKLGIEEPKKGRNAWNGMRYHHIDAQQLESGGWIAVMDGDRVPSGDSTRRSLAGYVGFLLTIVLVIFVGFVKGAINCYIPPSFWAPPTRRNELSRILPVHRFNQKIRRYSTSFGRYFSATKARLNEKTWSNKLFFCVIALLGIVNVCIAVHFLSSGNGAEESYTYQGQHSQFTMVTMTYEARLWNLELFVEHYSRCESVREIVVVWNKGNHPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDIEKGFRVWREHPERMVGFYPRMIDGNPPQYRNERYARGKKGYNLILTGAAFMDSEFAFKKYWSEEAREGRDFVHKNFNCEDLLMNFLYANASSGRTVEYVHPAWAIDTSKLSSVAISRDTQKHYDVRTSCLAKFASIYGPLPQKWEFGRRQDGWDK* >Brasy1G331300.1.p pacid=40058702 transcript=Brasy1G331300.1 locus=Brasy1G331300 ID=Brasy1G331300.1.v1.1 annot-version=v1.1 MMKLTCLRLLMVSLLATLITMSSAGLQYDFYNSSCPNAETTIRGVVYSLIDANPSVAPALVRLLFHDCFVRGCDASILLDPSSANPSPEKSVIPLAQAGYQAVDQIKAAVEAVCPGKVSCADILAFAARDSVNKSAGFSYAVPAGRRDGSVSTDFSLLTNMPSPFFNIADLVGSFARKGLNVDDLVALSGAHTIGVSHCSSFTNRLYPSVDQAMDAGYAADLKVPCPAPPGRGVPDNLVNNSVVTPMTFDNQFYKNALARRVLFTSDAALMTRNDTAAKVAENAADLATWKLRFAASMVKMGNIEVLTGTQGQVRKYCRAIGS* >Brasy1G520500.1.p pacid=40058703 transcript=Brasy1G520500.1 locus=Brasy1G520500 ID=Brasy1G520500.1.v1.1 annot-version=v1.1 MARRLLLLAAIVALLASPVTAASYPWLVRGHSGNFAQNSTYQANIDSIASTLPKNASASANLFATAQVGVVPEMAFTDLTNACAYSKDATIYYDSCALHYSNIHFRASDNTEYSSTYRLRNDFNATLEPARFQCVVAALMNATVDCAAYNNSKRMYASGEADFDRELPKVYAWAQCTPDMAPARCRDCLGRIMEALPRQFTDAIGARVLGVRCSYRYETKPFWNGPVMVRLQGTPGPGTPTVVPNVVTPVAAAAQVLTSAAAALLSTCIYVLIWHRKGKRLRFLLCKKTSSSTEKNYEAMIVSYGSLAPKRYLHSEVMKITSSRNNQLGQGGYGVVFKGRLHDGRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALIYEYMCNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHYSCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSFGMMLLEMVGGRKNVKSIVEKSSEKYFPDWIYDHFDQDDGLQACEVTSEIEEIARKMTIIGLWCIQVLPVYRPTITKVLEMFERSLDDLDMPPKQNFSELL* >Brasy1G482600.1.p pacid=40058704 transcript=Brasy1G482600.1 locus=Brasy1G482600 ID=Brasy1G482600.1.v1.1 annot-version=v1.1 MEGEDGSDGVDWDSLAEAALGAVGVLVSTTVLYPHGTYPTSTRPRVRPSTDGRNQCRVRRLRRYLEVLWIWRSFACDDSDFLWIDAIDGRNQCCTRRLRQYLSCNQKLMGYVEVL* >Brasy1G085000.1.p pacid=40058705 transcript=Brasy1G085000.1 locus=Brasy1G085000 ID=Brasy1G085000.1.v1.1 annot-version=v1.1 MSGRELRPLRSIRITGDGRCLFRSVAYGACVRRGKQSPSDSIQKELADELRSKVADEFIKRRGDAEWFLEGDFESYVSKMRKPHIWGGEPELLMCSHVLRVPITVHMYTKGSDGPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPPLVRTASRLT* >Brasy1G332600.1.p pacid=40058706 transcript=Brasy1G332600.1 locus=Brasy1G332600 ID=Brasy1G332600.1.v1.1 annot-version=v1.1 MHEAGGGQEPDEEEAGREEKYGDAGGECKDLVLVEEDPVVLLEDPEEAAATEALQEEMRALVASVPEGAGASFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQNYPTLMGLATLCLDFGKSPELEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKSSSGKVAEGGKKTDEKSSSSKKLAVAAPATVESLHD* >Brasy1G332600.2.p pacid=40058707 transcript=Brasy1G332600.2 locus=Brasy1G332600 ID=Brasy1G332600.2.v1.1 annot-version=v1.1 MHEAGGGQEPDEEEAGREEKYGDAGGECKDLVLVEEDPVVLLEDPEEAAATEALQEEMRALVASVPEGAGASFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEVMGLATLCLDFGKSPELEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKSSSGKVAEGGKKTDEKSSSSKKLAVAAPATVESLHD* >Brasy1G441100.1.p pacid=40058708 transcript=Brasy1G441100.1 locus=Brasy1G441100 ID=Brasy1G441100.1.v1.1 annot-version=v1.1 MEDGRAARRMSSAPTTRRSRSADFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQMQMPPLRPTSEPPRPFQITHAGAGGGRPERQSNVESDFLSQMQNLNPSEPPHNFLRPPKLQLYTPEQRGPGHGLGSSSGWNIPERNSSYNFME* >Brasy1G096100.1.p pacid=40058709 transcript=Brasy1G096100.1 locus=Brasy1G096100 ID=Brasy1G096100.1.v1.1 annot-version=v1.1 MALADPVVSYLHDSDKHAGVLAYDLVQGNLVQWNSFMDKSIPDPPTAVLLHGILGSGKNWGSFAKRLAQEFPMWQFLLVDLRCHGESASIKKKGPHTVASTAFDVLKLISQLRLSPRVLVGHSFGGKVALSMVEQAAKPLPRPVRVWVLDSTPGKVRAGLDKEDHPAELIEFLRRMPVQVKSKKEVVDALIKGQFSVDVAQWVATNLRRSSPLGPRSSSSYSWIFDLNGISEMYKSYEDTNLWRIVENVPRGVHISFLKAERSLHRWALEDIQRIHTAEELAADEGGGVEMHMLEDAGHWVHADNPDGLFRILSSTFRIENTIRGARD* >Brasy1G078500.1.p pacid=40058710 transcript=Brasy1G078500.1 locus=Brasy1G078500 ID=Brasy1G078500.1.v1.1 annot-version=v1.1 MSMPKRSYGFGGYGYGQRKPLVNYHTSQNGDSVTTVVKEMTRMTVTEKPGYGAAAQKHGAFLEEVCEEDAGAGVYSHGGSAVQKGYGYEQKAASYGQQHGSEVVGSGYEYGSTLQQHEQKHGGFADGQKTSSYQDHGSSDNFAGARYVDDAAVQHDAAKNYTVKKHHGGYEQYGQHLVGGYQQQQHGDAGFGGYDASGFDEVDAGLLRHGTTGFQKNGYGAQKHHGGYSSSGQEAYYQQQGRDDALVKKAQHGYGGGQKAAYQQPQQGCDGGVAGYDALVKSRAATQKHGGAYGHKGYRHGAEAAGGYADLHHGGNMSSSVMVGGMQQSYGKEYKHHGASAGALTHQYKEGYNKQGYCESEDESDCSEEESDCEEELALAAHAGHGGAGGAYGYQAYKQEGKLAGGNKFGTTRHSTTTGGYSGGGYGGWAQRKNHSLF* >Brasy1G460500.1.p pacid=40058711 transcript=Brasy1G460500.1 locus=Brasy1G460500 ID=Brasy1G460500.1.v1.1 annot-version=v1.1 MASSFRPYLVLLLCLTGVLEQALQAAAAPPSWELPESELRQRWTNWQAKYSKTYPSHEEQEKRFGVFRGNTNNIGAFSAAQTTTTAVVGSFGAPQTVTTVRVGMNRFGDLQPSEVLEQFTGFNSTVVLKTPKATRLPYHSRKPCCVDWRSSGAVTGVKFQGSCLSCWAFAAVAAIEGMNKIRTGNLVSLSEQQLVDCDKGSSGCAGGRTDTALDLVARRGGITSEEKYPYGGFNGKCNVDKLLFEHAAVVKGFKAVPPNDEHQLALAVAQQPVTVYVDASTWEFQFYSGGIFRGPCSTDPARVNHAVTIVGYCEDFGEKFWIAKNSWSNDWGDQGYIYLAKDVAWPTGTCSLASSPFYPTV* >Brasy1G215700.1.p pacid=40058712 transcript=Brasy1G215700.1 locus=Brasy1G215700 ID=Brasy1G215700.1.v1.1 annot-version=v1.1 MFRCAAHPDRYTFPLALKAAAQGEPPISSLRRQLHAAAAKRGLARHPFTESALISCYSKAGDLDAARRVFDENPHRGLGSWNAIISGLSQAGESKEPLALFVKLRRCGIVPDDLTMVSLVSSCCAVGDIGLVEQLHKCMLQCKRSSRLDVTLSNALIDMYAKCGRTDLAGRVFERMPLRDVSSWTTMITGLATHGEEQRALKMFDEMKGEGVPPNRVTMLAVLSACAHRGLVDTGMGLLKQMEDGEIKVAPTVEHYGCVVDMLGRVGWVDDARALVEHRMPMEASVVIWGTLLGACEKHGNVSVGEWAAERLQEAEPWNDGVYVVLSNVYAAAGMWGEVERVRKMMSGRKVTKFPGCSL* >Brasy1G006000.1.p pacid=40058713 transcript=Brasy1G006000.1 locus=Brasy1G006000 ID=Brasy1G006000.1.v1.1 annot-version=v1.1 MAGQSDPHLSLFSPSEVEFVAEDEIVDIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKRRGKCTIRAPEWMTVERLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPHMIQQADNTGSSRSTPVTDRGPRMVYCISVDCSKLEVLALL* >Brasy1G006000.2.p pacid=40058714 transcript=Brasy1G006000.2 locus=Brasy1G006000 ID=Brasy1G006000.2.v1.1 annot-version=v1.1 MAGQSDPHLSLFSPSEVEFVAEDEIVDIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKRRGKCTIRAPEWMTVERLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPHMIQQADNTGSSRSTPVTDRGPRRDLRRR* >Brasy1G333400.1.p pacid=40058715 transcript=Brasy1G333400.1 locus=Brasy1G333400 ID=Brasy1G333400.1.v1.1 annot-version=v1.1 MSYYSFALLAASILVVAPAVESGPTEEAINTTCLNARSEQRCLKVLLANLDVRTPTVNDVLGMSLQVSQKATREGIAFAKAKILANSKDHKTASKCILNCASDLIVLANKIAVLPPVIDTARDANISSFTDDLGKRCGLGCPEDPDEFTPDENAMLSKFNEVYDAVGVTNDLLVTREFFKDPQKKKKYFKTKPALDKGAAATSPSA* >Brasy1G451900.1.p pacid=40058716 transcript=Brasy1G451900.1 locus=Brasy1G451900 ID=Brasy1G451900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATGASQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTQEKSTAPWFGLPWAQCGTSVLSGPARNFLYCGPAHESGVAML* >Brasy1G026100.1.p pacid=40058717 transcript=Brasy1G026100.1 locus=Brasy1G026100 ID=Brasy1G026100.1.v1.1 annot-version=v1.1 MAADVGMVVVAPAASFHHTHHHHHHHEAAAAAAGGGGGGGDSIFPLLSAGVLDPDAAKSAAASGIQFWSSSSQPPAPQPPSGGGNPNPSLGASFYLKTKPLPMLDTGGGSSGGSGTTTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPASRRRDRQHHHPLLGASASASSPSATAAATSKKPRLLSSQATTSHTSTSNATTPRSFDTSSSHQDASFREQLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRASNDDSSAAAAGVPNISELHLGGSGTAARGSASMVPSDLYGSGGGGGGHHLLGGSGYGNTMN* >Brasy1G562400.1.p pacid=40058718 transcript=Brasy1G562400.1 locus=Brasy1G562400 ID=Brasy1G562400.1.v1.1 annot-version=v1.1 MPLFAGYCCPTRHPLLSLPDASPPPTPPRRCASPPPVNRVLYSRYSTSCGLLPPPRSRPSAVQVTAPWIN* >Brasy1G159100.1.p pacid=40058719 transcript=Brasy1G159100.1 locus=Brasy1G159100 ID=Brasy1G159100.1.v1.1 annot-version=v1.1 MGNCLKLQRSAASWVDDDEWEVDEAMAPVEKMERVEVKIKVTKRQVQELLQKTGRDCKGSSAEKLLAELMDSGIVCCQHETRGHWRPSLHSITEAEEQ* >Brasy1G488700.1.p pacid=40058720 transcript=Brasy1G488700.1 locus=Brasy1G488700 ID=Brasy1G488700.1.v1.1 annot-version=v1.1 MNTSQFMDKQILGLAASASPSSSLAGGGAELLDLMGPDPQEEGQDRPLRRHHSTNGSAAAAADVLPGYDFQPIRTSTTAAPPPSWGSFDSGSRAATAASSTYNLKSAGILENHALKNVNHEDDRSNFGQVTVADIDRTMKKYSDNLLHALEGVSSRLLQLERRTHHLENSVDEFKLTIGNYNGSTDGKLRQLENMLREVQVGVQILRDKQEIVETQLHLAKLQAPKTETHSSENSGVGQADSRQQLVAPHQAAVQPQHQVTTPSQPQAFPALPAPNVPPPPPMLQNQPPAQFVGHPPHSQMPSVPPIAPVPSVPALPNNPYYMPSAQQTEAIHQQYQSPPVPQPQAPPASPQQYQAPPVPQPQAPPAPPQQYQPPSQFPQYSQPPQSANVNPSGPLALPAPQQTEYVPPYSYPPNVRPPSAYMPPPSEPAPPSYGQNPSMYEPPASRPNSGPPPSYGSTGYGPQGGSGFSDSYGYTGSPSHRGNAGMKPTPFAPSGPTSGGGGNSYGSRLPTAQILPQAAPVSSSPTGSSGNRVAIDDVVEKVSTMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQPQKGWYGR* >Brasy1G488700.2.p pacid=40058721 transcript=Brasy1G488700.2 locus=Brasy1G488700 ID=Brasy1G488700.2.v1.1 annot-version=v1.1 MNTSQFMDKQILGLAASASPSSSLAGGGAELLDLMGPDPQEEGQDRPLRRHHSTNGSAAAAADVLPGYDFQPIRTSTTAAPPPSWGSFDSGSRAATAASSTYNLKVTVADIDRTMKKYSDNLLHALEGVSSRLLQLERRTHHLENSVDEFKLTIGNYNGSTDGKLRQLENMLREVQVGVQILRDKQEIVETQLHLAKLQAPKTETHSSENSGVGQADSRQQLVAPHQAAVQPQHQVTTPSQPQAFPALPAPNVPPPPPMLQNQPPAQFVGHPPHSQMPSVPPIAPVPSVPALPNNPYYMPSAQQTEAIHQQYQSPPVPQPQAPPASPQQYQAPPVPQPQAPPAPPQQYQPPSQFPQYSQPPQSANVNPSGPLALPAPQQTEYVPPYSYPPNVRPPSAYMPPPSEPAPPSYGQNPSMYEPPASRPNSGPPPSYGSTGYGPQGGSGFSDSYGYTGSPSHRGNAGMKPTPFAPSGPTSGGGGNSYGSRLPTAQILPQAAPVSSSPTGSSGNRVAIDDVVEKVSTMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQPQKGWYGR* >Brasy1G143800.1.p pacid=40058722 transcript=Brasy1G143800.1 locus=Brasy1G143800 ID=Brasy1G143800.1.v1.1 annot-version=v1.1 MSASDLPDELWARILELGAASSALGFRDLCCLAIASRRLRRLSHHASLWSGLLSRDFPFQSQSQPSSSQQQQLDPKSLYKTKFERHKARMAEARRRAVYDAEGRVLACRKRLTELEESVRAEGDRMKAAAQELDNLERVRRASVALNVWQPHVIRGRQKQLVQQCTVPVDSRLGDLNMELKVCKQQIATYKNVYNKEKQKLNEYEEALRRAKYHPIHNSSHTSPTINEPQAKRKRMK* >Brasy1G507900.1.p pacid=40058723 transcript=Brasy1G507900.1 locus=Brasy1G507900 ID=Brasy1G507900.1.v1.1 annot-version=v1.1 MAKSTSALCLVALVAMAAILSSRCHAYKEYDAAAAAAPYHTAVPASCYANEFPNCTDRRCQAFCGSDGKPEPGAHCDDPNSCCCPVSKKKIS* >Brasy1G507500.1.p pacid=40058724 transcript=Brasy1G507500.1 locus=Brasy1G507500 ID=Brasy1G507500.1.v1.1 annot-version=v1.1 MKQCNRLARWRQIRSGRCVLCSYSLSTQAGRRGTIDLDPCCFPEASTALSTNDFMMAKTWISSHSLEEDNNSWMATKAQAHRLDGQSMRHSRGSLSMLKM* >Brasy1G530500.1.p pacid=40058725 transcript=Brasy1G530500.1 locus=Brasy1G530500 ID=Brasy1G530500.1.v1.1 annot-version=v1.1 MEIAPSPAPPSAAAAGSRKLPPVRYYVPYEVEEEPVFDPDSPRSPSEQRADLPPLQIRQPREVVLEEVEEEEEEEEEEKEEEEEEEEEEEEEEPCSQDSGGGRDQEMHLEYYNYKTASTSIRQSDKYAEAALMHYNGDPGNEVKYELVEAPVSNGGMMIGSGLMLGHVNFTARPVVVAGHEAAPPRPFFAEVRYESPYLMASCVMSLDDGEEELEEEDLCPDCGDHMRHPKLDSELRVENGRAAMVVRRPKLRC* >Brasy1G268800.1.p pacid=40058726 transcript=Brasy1G268800.1 locus=Brasy1G268800 ID=Brasy1G268800.1.v1.1 annot-version=v1.1 MAAATSSASSLAFSHPKTLNPASKTPAVGSVSFPAAQTPCILAPSAGRCRAVAAKVASPSVIGATMPSLDFETSVFKKEKVSLADHDEYIVRGGRNLFPLLPEAFKGIKQIGVLGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLQKGSKSFQHARAAGFTEENGTLGDIWETVSSSDLLLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSVGLDFPQNISVIAVCPKGMGPSVRRLYVQGKEDVDGRAADVALGWSIALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVETLFRRYTEQGMDEVLAYKNTVECITGIVSKTISKKGMLEVYNSFTEEGKKQFKEAYSAAFYPSMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPSFPMGNIDQTRMWKVGERVRATRPQGDLGPLHPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYVLTQQAFVTVDKNAPINQDLISNFLSDPVHGAIEICAQLRPTVDISVTADADFVRPELRQSS* >Brasy1G204200.1.p pacid=40058727 transcript=Brasy1G204200.1 locus=Brasy1G204200 ID=Brasy1G204200.1.v1.1 annot-version=v1.1 MEATAPPEYDGYYGRDKKAVVACAAGDHFVVDDLLALPCDDDDEEGDGGEASFLAVDTQQLPPVKEEGGGFGNVSGDSSTVTALDSCTNSFSGLADGDFSGGLCEPYDQLADLEWLSNYMGEGEEAFASEDLQKLQLISGIPSGGFSSAGARPPAPAAQQPSMFLPEAPVPVPAKARSKRSRAAAGNWSSRLLVLPPAPASPPSPASMAISPAESGVSGAAQAFHVKKPPSSKPAKKKDAPTSAPGTPTGVSAAASEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVTSKHSNSHRKVLELRRQREMHHHHHGQQQQQPPQHVVTGSLMHMQSPLLFDGPSAPPIVAAGDDFLLHHRSATDYRQFM* >Brasy1G577900.1.p pacid=40058728 transcript=Brasy1G577900.1 locus=Brasy1G577900 ID=Brasy1G577900.1.v1.1 annot-version=v1.1 MKTEDIQMYPASPQPAMDDVDAASLQAAMEAPITALLGPTGPLLRKLHSIGPEHGLPDEIGLLRVLCIPLKNMSEAEKNASFIAKCWMKIVRELCYDTEDYLYEVMLSRPGSQHDFSELVARMKDAVERRQRFLWSPDISSRLTSQMSLDLPVPVIIPVHVDIGGGHSIVRVVEPPNKLLQLLGLHGDEKRLKVIPIFGVSGVGKTTVARSLYHKHGRGFQCRAFVSMSRNPDIRGFLTSVLLQLKAPWPHGFPDVPDLIDAIGKHLQGKRYFIIVDDLWTASVWDIISRAFPDGDYCSRIITTTQINDVALACCSYYSEYIYEMGLLNDDESRKLFFGSVFGSEDDCPTDIKQVSYEIVKKCGGLPLATVHVASLLASQPNLEQWKEIRNSLPPSKSANPASIVMKELFSDEVIGSASSLLAEDPELKKWEHIQNSAPTTFEWLKEVVDLIYNNFPSRLKTCLLYFSMYPEGSVIKKDDLVKQWVAEGFLSDVEGRDTEEIAEGYFDELVIRGMIQAVDTNYKGEVLSCTVHQMVLDLIRYKSMEENFVITVDCFQSTLALPDKVRRLSVQFGGAKSANLPESIVTSQVRSLTFWGLSKCVPLVMYYGLLRVLVLHIWADRDKEYFDLTGIAKLYQLRYLKIECNITVNLPDKIQRLQCLRILQVDARLCTVPPDIVQLEKLLHLRLPSKSILPHGVGQMTSLRTLGYFDLGSNSEDNVMDLCKLANLQDLYLTCSTEQSAENLEKNMQLLGSILEKLGNLQSLTLVPAAGSSSMSISCDGLISMFPAPARLQRLDLLPRIASSPAYPEGLGNSAD* >Brasy1G290400.1.p pacid=40058729 transcript=Brasy1G290400.1 locus=Brasy1G290400 ID=Brasy1G290400.1.v1.1 annot-version=v1.1 MDAEHVERENRHLKKKRKHREGKAKDKSKRRRDQEAAEIESAADIRGNGEEAVISIVEEEGHKSKKQKCRSKGEKNKVNGSDVFGSTNHGVAKEQAEKSGLMAVKHCLEHAEGDTSKDNVKKDKRKNKEDKRRRAETTGQKQTFDTTVVKEASEKSDLRAVNDTSKDNVKKDKKKKKEAGTTMQKQIFDTAIANLGSDHAKRHKVEGKLSSNSKLKKSKQKRKDGEPALDISACDQIVTREDNKRQKEHSFVLEQNSQNDNTNKGENREIKKRENGSDKASPGLSPNGSAGGEEADVDGKNDKQKRKSKEVVGGGKKEKEKASRSKNKGKRVSFADSMEVFTIEGGDNEDGGRSCESKLVHGKRFTPEEDTILMEAMKNYAEMKQLGEKGLEMFRNSSKHPELKGCWSDIAKSLPHRPLGATYRRANVLLCRSAERKWTQEEYEKIRRFVEENGPDWKTLAQELGKSRIHVKDAWRRIKPKNLKKGRWNQEEIQILFDLVNIDLRLKARQEKKIDDHRVLKDNISWEAISDKMTTRSHKFCCLKWYQSLASPLVQQGIWADVDDYLLVESLQKIDAVCIEDVDWDCLLDHRSGEVCRQRWNQMVRLLGGHRERPFIEQVEVLSKRYCADMIEYRK* >Brasy1G290400.2.p pacid=40058730 transcript=Brasy1G290400.2 locus=Brasy1G290400 ID=Brasy1G290400.2.v1.1 annot-version=v1.1 MDAEHVERENRHLKKKRKHREGKAKDKSKRRRDQEAAEIESAADIRGNGEEAVISIVEEEGHKSKKQKCRSKGEKNKVNGSDVFGSTNHGVAKEQAEKSGLMAVKHCLEHAEGDTSKDNVKKDKRKNKEDKRRRAETTGQKQTFDTTVVKEASEKSDLRAVNDTSKDNVKKDKKKKKEAGTTMQKQIFDTAIANLGSDHAKRHKVEGKLSSNSKLKKSKQKRKDGEPALDISACDQIVTREDNKRQKEHSFVLEQNSQNDNTNKGENREIKKRENGSDKASPGLSPNGSAGGEEADVDGKNDKQKRKSKEVVGGGKKEKEKASRSKNKGKRVSFADSMEVFTIEGGDNEDGGRSCESKLVHGKRFTPEEDTILMEAMKNYAEMKQLGEKGLEMFRNSSKHPELKGCWSDIAKSLPHRPLGATYRRANVLLCRSAERKWTQEEYEKIRRFVEENGPDWKTLAQELGKSRIHVKDAWRRIKPKNLKKGRWNQEEIQILFDLVNIDLRLKARQEKKIDDHRVLKDNISWEAISDKMTTRSHKFCCLKWYQSLASPLVQQGIWADVDDYLLVESLQKIDAVCIEDVDWDCLLDHRSGEVCRQRWNQMVRLLGGHRERPFIEQVEVLSKRYCADMIEYRK* >Brasy1G237100.1.p pacid=40058731 transcript=Brasy1G237100.1 locus=Brasy1G237100 ID=Brasy1G237100.1.v1.1 annot-version=v1.1 MVLAHVQSDEAAASAAVFASRYVQEPIPCFELGEQSIPKEAAYQIIHDELLLDGSPRLNLASFVTTWMEPECDRLIIEGMNKNYADMDEYPVTTELQNRCVNIIARLFHAPLGAAETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGCYVMDPDKAVEMVDENTICVAAILGSTLTGEFEDVKRLNGLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARTLREGLVRTGRFDVISKEEGVPLVAFVFRGGTARDGSLAFKLSASLRRFGWIVPAYTMPADLEHMTVLRVVVREDFGRPLAERFLSNVRMALSELDLADKGPVPKMRLTIELGPPRGADEEASVRVVKREAVSAHRSVPLVTGKTKGVC* >Brasy1G392400.1.p pacid=40058732 transcript=Brasy1G392400.1 locus=Brasy1G392400 ID=Brasy1G392400.1.v1.1 annot-version=v1.1 MNAPTAVEHAGAGDGATGRRTRPLEAAVNAAPSEAWPSLPGAGPTGCLGKAQQSGSSGHGLRQDSRRSPPVWIEKLFAHARNRLHDSSLLPFTKPRQSFAAVVRAMAGRGDAHGAPARGGALPPTGVGGRGVALPAIGGVFPQNPAGRGGAAAAAAPLQVPSFSALFSSAAGPGSVAAGAFSFGAGPSRVGEGAAAGGGADRRRRPPPRAARPQGPALAGAPSQASLDQAGEAITASVGAQGVAALARPPAAPAPGKGKRTKIYCYKCKSKDHFATECTAELYCVICDKHDHNSDRCPILKAPKPSAQLCGYGGPNMGFHYLPDELAIDPKTGSSPTTLVSVSGGSLSSAVIESEIRQALARVVDVEMHLKAHGVTLGFTEWKDEVSDPAFELESVWVHALGVPPRLRHYLGLWAVGTLVGATREVDMVSLRRRGICRIRVAALNTRSFPVETDLTVGMAGYELTIVLEDESNAVDDSSRPTPMDDDSGGNDKGASGPREGSHSDKAPAPLAPGSTGSNNSKSSTPAPTPPASGSAGGSVRHAVVQAALSPAPSVPPFVRTSSASSSPTPCSPSSSPPAPSSPRPPVSRSRPSSSGLMPRHMSAPTPGWVATLDAGEVAALSAAIANSPPPSVAAPTSPPTMRPAPAATAGSKGAVAPPSVMPRRSLRHARDANGVAPTDEDSLVRAMKRAAARNLDPSQGYLPVYALAPVVVFASARGGQAIGYGCMYSGGASGQGGVHPQWVAA* >Brasy1G314400.1.p pacid=40058733 transcript=Brasy1G314400.1 locus=Brasy1G314400 ID=Brasy1G314400.1.v1.1 annot-version=v1.1 MVLGGGLLATSSVPWIQLLVYGLPCLALLWQLARLLDRLWLRPRRLERDLRSQGLRGTSYRFFTGDLREQGRQNKEAWSRPLPLRCHDIAPRVAPFLCGSVREHGRVSLSWFGPTPKVTIADPDLARRVLSDRLGNLEKPKFPALWKLLANGLSNHEGDKWARHRRILNPAFHLEKIKCMMPEFSACCEELVSRWTESIGSDGWCELDVWPELQKLTGDAISRTAFGSSYLEGRKIFQLQTEQAERLVTNIRKILIPGYLSLPTKNNRRMYQINNEIESILRGLVGKRIQAMERGESTKDDLLGLLLESNMRHTNENGKSSTGMTIEDVIEECKLFYFGGMETTSVLLTWIMVVLSMHPEWQDRAREEVLGLFGQDKRGYEGLNRLKTVTIIIYEVLRLYPPSIHLSRKTCKEVEIGGVKYPAGVMIELSVLSMHRDTDIWGDDAHEFKPERFAQGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCVILQRFQFALASSYTHAPHTVVTLHPMHGAHIKLGLV* >Brasy1G235200.1.p pacid=40058734 transcript=Brasy1G235200.1 locus=Brasy1G235200 ID=Brasy1G235200.1.v1.1 annot-version=v1.1 MQMAATTADSQVAVPPQHPHSHPHPHPHPPPQHAHPHHHMPQPRWVVLPYPPPPPAMVAAPPPPPPQFAKHYAPGPPPPPAAAGRRTPTPPAAGSGGNACEENKTIWIGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQTEGYGFVEFYSHASADKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVVSDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGEDSDKSHAMKEMNGVYCSTRPIRIGPATPRRTSDYSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKSFAKYGDVASVKIPQGKQCGFVQYVNRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQPRGDSGNRRNNMYYGTPFYGGYGYASPVPHPNMYAAAAAAYGAYPFYGNQQLVS* >Brasy1G185700.1.p pacid=40058735 transcript=Brasy1G185700.1 locus=Brasy1G185700 ID=Brasy1G185700.1.v1.1 annot-version=v1.1 MDRSPPVTAAMCPVCLERRVRSDLAGSGLSFVHGLSDSPLPFASSAVVQIASDGAEERNWSQKTYDFVLVALNGGKTFVDTKKCEKTPLEQPIIYTDDNRCEVQTDSSSGIEYTEDFQNSYSSNNQLLIVNTVTKLIPVYYSGRISISEIRELIASYMNLKVEENVISSLNLLCENKISGSAGLDFLNFVGFSAFDDLHPSGCVRHPNILPVLGVLESSDCCYMLQPKAPYTLENIMHYSPKALCSDWHIRFLMYQIISALAYLHDSGVHHGNLKPSTILMSDSLWPYLSISDICHVKQNWGFERPKGSTPSSCCVAEDCSSRPIHTSFDLLSSLDWSSHFKRWWTGELSNYEYLLVLNKLAGRRWGDPAFHPVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLSKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPDFYSDPWIFVSLHSEMSDLALPSWVTSSEEFICLHRDALESDRVSQQLHHWIDITFGYKLDGEASVEAKNVMLPPSDPSRPKSIGRRQLFTTPHPKRLISTPHPSYHNKVESCARCQAKGSNSTTDVTSNGFIPPDMLSQVDYLEEFEQATLFMELEHHLDPKYSYTDTSCCCCSSVKYPKSHISDQEMLQSDTVLSVVPDFDFGSYLERFESDDSSPMGYQELLRWKQRSCSVIEHHANDIFSIGCMLAEIYLRRPLFDASLLAAYKETGMLPGALNELPVHVGVLVESCIQREWKRRPSSKHLLDSPYFPPSVQAAYMFLAPLQLLCTSGDRLKYVAKLASEGTLKAMGEFSAEMCAPYCLPFVSSSLSDVDTESGLCLLKEFLKCLSVQATKELILHIIQKILQALEYSHLKVSLLQDSFVRELWKKLGKQTYIENVHPLVIANLCNSPNKIIASAASIVLIGSSEELGIPITIHQTILPLIHYFGKGLCADGIETLVRIGGLLGESFTVKQILPLLRNIILSCIDSSKVIKPEPQHSWNSFALIDGLSALEGLVSVLPVKAVLKELLQDQVCLHIKVLMLIHLDLRVIQVAATALVDLCLRIGPDNTAIYVLPHLKELFAELAFYHESSAVSLPSEGLKISKGNKSEPIKMESRIDLMFLLYPFLASYVGIEKLRKCCSTWFLLEQSLQRLYNWKLEPFSEWSKTAENMKDPRSQSGNYIPSEVFPTELLFNGAGLSVSQSEITKAGWNVAASKQGSRLEHGTSSDNLCSSTSGNQPWFWFPSPDSSWGTPDFLGRSSGLKDEFQWKIKASVLYSARAHPGALRSLAVHDDECTVFTGGVGPGFTGSIQRWQLPNMNCTSGYYGHEEVVNSICILSTTGKVASCDGTIHVWNGQTGKLIAAHTESSTSFPLQTASVEQANMLNQDALSGGILSNAFRGSLYTTMHYMSSEDKLVAGMGNGSIRFIDISQDQKLHLWKSDSAEISFSSLVSAICSCGSDKPRSGRLVDSSSWIAAGLSSGYCRLLDERSGKIIAVWRAHDGHITKLASPEDHLIVSSSLDKTLRIWDLRRKLATQSNIFRSHSDGIFDFSVWGQDLVSVSRNKISLTSLSRPTSEIGHQQLVLQNLYSTDRGVKSKNMSVLSTISVLPLSRLFVVGTEDGFLKICH* >Brasy1G223900.1.p pacid=40058736 transcript=Brasy1G223900.1 locus=Brasy1G223900 ID=Brasy1G223900.1.v1.1 annot-version=v1.1 MERARRLANRALLRRLLAATTSTTSPYPSRGISTLVPAPAAGSRPHTRRAHQHTQGRPVSVSALQPSDTFPRRHNSATPAEQAVMASACGFNTLDALIDATVPAAIRAPPMQFSGKFDAGFTESQMLDHMARLSSMNKAYKSFIGMGYYNTHIPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDICQTRAAGFDLNVVVSAAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPYFDTVKVTCADANAIAEEARKNEMNLRVVDANTITVAFDETTTLEDVDKLFKVFSGGKPVDFTAESIAPEVSSSIPSSLVRNSPYLTHPIFSMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTDPNFANMHPFAPIDQAAGYHEMFDNLGELLNTITGFDSFSLQPNAGASGEYAGLMVIRAYHRSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLSALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGSISAAPWGSALILPISYTYIAMMGSQGLTEASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEVENGKSDAHNNVLKGAPHPPQLLMSDAWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQASQVTEEAAAAAATA* >Brasy1G384800.1.p pacid=40058737 transcript=Brasy1G384800.1 locus=Brasy1G384800 ID=Brasy1G384800.1.v1.1 annot-version=v1.1 MADESQGGGGGGEENYCGSCEMTKQHATWCARRLPKRIILVRHGQSLGNLDTSAYATTADSRIPLTALGVEQARAAGRRILEVVSGTSADGEGDDADPDDWKVYFYVSPYERTRATLRGIGAAFPSRRVIGAREECRVREQDFSNFQAEDRMRAIKDTRECFGRFFFRFPQGESAADVFDRVASFMESLWRDINHGRLVQSTSSEINLVIVSHGITARVFMMKWTVEQFERLRNLENCEFRVMQLGPGGEYSLLGHHTEEELEEWGLSPEMIADQQRRASADRPSSAEECSSFTATFFDDWTDPPEEDDNGKDKSLE* >Brasy1G350000.1.p pacid=40058738 transcript=Brasy1G350000.1 locus=Brasy1G350000 ID=Brasy1G350000.1.v1.1 annot-version=v1.1 MEDGLELSLGLSLGGSSGKSKARDVPLEPKAEPQVEESSSKGVSQAPDAPFVHYYQTNAENQEHSSKQRHSPATPPFGNFWGQGGSSAPVADGSSEPVTHQSRLPGYQDGWNSNNNGNNSEENKPVSSKRKLLSEETSFQKKHHSAADQPDAFSKSSDGGVRNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDIKRSNDEAAGGFQGKRQPSFSGSESSSGKLPHGNPLSLQASNVVTVPYQGQAQVSAPPSITNASNFSPVCTVQLRPAINNGLTAQTIGSASQVAFGYPTGQLPILETSSSWAFGAPPQAISSFAAKDKVERPGAKQADGGKKPQEAGASSSAQVEDDKKADRGLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYNFGRNEVKIVCACHGTHMTPEEFLRHASTDATGQDNNTTMPAFPVGNQAASAQN* >Brasy1G217700.1.p pacid=40058739 transcript=Brasy1G217700.1 locus=Brasy1G217700 ID=Brasy1G217700.1.v1.1 annot-version=v1.1 MGGLLLLLLLLLVAGAASAATRPPFACAPGGTSTRLPFCRQALAPRARARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNASLWELIGRAVSDEGRAMYNGRQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSGRYAAAYVRGLQQQHAGGRLKTAACCKHFTAYDLDRWSGADRFHFNAIVTPQDLEDTFNAPFRACVVEGRAAAVMCSYNQVNGVPTCADQGFLRGTIRGKWKLDGYVVSDCDSVDVFYREQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEAAVAQGKVRDADIDAAVVNTVTVQMRLGMFDGDVAAQPFGHLGPQHVCAPAHRELALEAARQSIVLLKNGWGNNMRLPLSSHHRRGTVAVVGPHAEATVAMIGNYAGKPCGYTTPLQGVGRYARATVHQAGCTDVACQGSGQPIAAAVDAARHADATVVVVGLDQSVEAEGLDRTTLLLPGRQAELVSAVARASKGPVILVLMSGGPVDIAFAQNDRNVAAILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPEDYLRKAPMTNMAMRADPARGYPGRTYRFYAGPTIHPFGHGLSYTKFAHTLAHAPAHLTVRLAAGHRTTAAVNTTTASHLNDVRVAHAQCEGLSVSVHVDVKNVGNRDGAHTVFVYASPPVAATHGAPVRQLVAFEKVHVAAGAVARVKMGVDVCGSLSIADQEGVRRIPIGEHRLMIGEELTHAVTLGVEKLAA* >Brasy1G300900.1.p pacid=40058740 transcript=Brasy1G300900.1 locus=Brasy1G300900 ID=Brasy1G300900.1.v1.1 annot-version=v1.1 MGFGGMKQVHMLPKINLRFSSWLMQKVEPDDMRLRISETFSFQLTDQSVHSVFRLPIGPKLVCQEAESVSECLARYTEEAGYHGQKGVHSLKAAEVILLRHLSEESSVTEVGCFQIAFVIFVVGHLLAPTSKHDYVSLDFIGSLTDPAVICNFNWCRYVLKHIALAAKKLQSDISRNVPAINIGGCHLFLQVFYIDQIDLGILNKPRDLLPRIAFYDYESLRRMVENITAANGDEAVFLPPKLTIQVLAVCRCGIFFVFAFVAERTKHVNPLFLFTSSVQPMECLIVDEIPSCKGTPGLAEKSEMSGEPPKQRQGVDVTSSPTLHTPVFKEDLSYGPRQFSSHINSKYPRLAGSKIATLLREHNARLALFTNDFKRSCQEEMISFAGKILDAAPTHCKCINTSAKESCTAKDGEPLYTILINHRFYYG* >Brasy1G463400.1.p pacid=40058741 transcript=Brasy1G463400.1 locus=Brasy1G463400 ID=Brasy1G463400.1.v1.1 annot-version=v1.1 MYSLRHLFWDGGSHYWKILLCRRLFLGAVGKRHRYGVQPVKASLPAAFLRCCRQSLCRRQIARHSVKKSINGPRGGKRQANVFAVSHRKPPAKKIGELKKTKKSGCCFTPPDAVAASPPCPTLPLLPRRLLCAAATAPCSSPRRALPLLAGAAAARTGSRRSCPPAALRRRAPSVAEGESGQAASRTRSTPPHPAPEAAALACPALSAARRCCLALLACHGAAAHCGTCPAGRSRVTHRERWRESARRRARHLLPRAPRPAAAAPRPDAAVHSRRSASPRRPSPSRSASRHRSPRLAAAPRPTAALVPRRGHAPAVGKKKGERRPGREEEWRERGGVGGRRERRWREVRGGRKKGEALERGALEVAGGGLGYAEVGTGWIVRWWGRGEAREIRQTKKKRCAG* >Brasy1G577500.1.p pacid=40058742 transcript=Brasy1G577500.1 locus=Brasy1G577500 ID=Brasy1G577500.1.v1.1 annot-version=v1.1 MPLDTSASAAYSRLSCILLNSDPDIGSRRNNTTAVGRGRDNLTIEASLCYAQPPLPSNLFVHCPGVTFPRSSIIVSTAEDLLLFRVPVAVEPPPEFIIMYDDCDYIIYRAGRSLSLRRIPNPKPNFCDDDVGLLSRAGDLFTVAALVPKSTNEFTLHRFDSEVGNWSMKTVSLDAPRKPYPMRIPPNALRLNHHITTTVITLGGKSGTMGWVDLWSGILLYDLFPEDQERPMLWHMRLPLPMDLGKSVTELGCPKSTRGIASVIKDGKPCLKLAGLQIIEERLPYDDIETYMPCYRVNNWAITTWSNTMVHSDNWQEDFTVWAPDIIISDTVRSELLASGLLHRKPSEDGEETVELALQNLVVSEPTPSLNGEEEVVYLMARPKYFHPKAWALAIDIKNHTLLDMAEFGTAKAGVLPDVTYRSSAISKYLTLATPPVTRKI* >Brasy1G103300.1.p pacid=40058743 transcript=Brasy1G103300.1 locus=Brasy1G103300 ID=Brasy1G103300.1.v1.1 annot-version=v1.1 MAETSSSSAAAAPAPAPSSDPAVDPTAIAAMAEEAPPEEMTLVVKWSGKEYTVRAAGDDTLGELKLRICEVTEVLPKRQKLLYPRLMLKDDSVLLSSLPLKPSLKFSMIGTVEEEILVDRPDDPEVLDDHELLQDEVTAIKDKDVYRQKLIRRAKQYKVKLLNPCREGKRLLVLDIDYTLFDHKSPAENPLELMRPFLHEFLTAAYAEYDIMIWSATSMKWVQLKMEQLGVLSNPGYKITALLDHMGMITVQSEKHSEKRTFDCKPLGLIWTKFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRQASRNRDSDRELIKLTQYLLAIAELEDFSKLDHDRWQSFIEGNGKRHRRR* >Brasy1G313400.1.p pacid=40058744 transcript=Brasy1G313400.1 locus=Brasy1G313400 ID=Brasy1G313400.1.v1.1 annot-version=v1.1 MSQRQRGQAICHAGKTAALLLGLAGRDADGAVARHGRTGGAARAEWLRAGRRRGVRTRVGGAGRGHGVGARADDARLSERAGRRRRRTVVSGAGEESGGREERTRSSMLTGDHSGTGAHLSRRPPLWRRRSPSPSPPSSSLSMACPWLPRGREEQWRGEQSDEGAGTARSSRSEPSDLPPLVLGGGQGRGRHGHGAPRHAGGNTPAPTAARPRRRPLRLQGLARYRRGVDHHRLLRVDLLPLSLDAVIYDVDHIAARKLFARRSTTRYITSRLDYLDNRPGYAETTGDMADYCNGLFLTLDCKVVNPATRCGHYYKYNRYLVYDPTVSPHYEVFYIPRIPNDAACSAEWPPSTYVMQVFSSKTNCWRGKSFVRQGDAAGTIDDVKSHWRSDANMYYSAYWQSSLYVPSRHTNGGFILRINLPNDKYQVIMLPKRGKGSLERIGKSRKGVYCVLDVDGRSTYQIWFLSESRGVIDWVLNNEINFEAAWRIYPSKDIDSGPWISQSLDQAELLLKNDVNLKVVYEYNAALSKDGFEWESNDESVVTTMDWPKASSNHNFFCLGFHPYKEIALFHDSYRSMTFAYYFNSSKVRYLGIMEHMYSNLEISFAYAPCWMMDLPGSN* >Brasy1G152600.1.p pacid=40058745 transcript=Brasy1G152600.1 locus=Brasy1G152600 ID=Brasy1G152600.1.v1.1 annot-version=v1.1 MTTCPSSTTTNTCPSSTTTTCPSTTTSTCLSSTTTTCPSTTTTTCPSSTTTTCPSTTTTTTSTCPSSTTTTCPSTTTTTCPSSTTTTCPSTTTTCPSSTTTTRIFPSLRACPIVVCRLIAVPASASVAGPPPGGGEYYPLPDEASRGRLPGNPA* >Brasy1G429400.1.p pacid=40058746 transcript=Brasy1G429400.1 locus=Brasy1G429400 ID=Brasy1G429400.1.v1.1 annot-version=v1.1 MDQFPNKEHVWVRSRALRTYLHASTDGARVCLLPTRASVNAAWAVIVHNSEHGSPCVLLQGAAYGRYLAATDLPAPFGHLGYRAVQLEYCDLPVPEEESVLWRVIPAASGNDVLLRHSAGGRYLRANGNGRRNTGVTVEKIRSLTTMAQWEVEVIPDNPNFPGIPGPIYEPVRRDRRILSSRRELPPLRSIRFVQADAEGEYNEDGWSQFSFRGNSIYQLRNEVANRVHINSFVMCVRAGLHAPLAPMLANLPSDRTGNTLYIVVIQSMTPAATGDRRPMEQFADRHYVRLRSFVTGKYLHASSDGMSVSLSPDRRSMNAAWVVHRYPGGDDGAGYLLLRSAAYGRYLAATNLPSPFGHRGFLAVQLEYYDQMNEESIMWQVVRSGAVDDAYQLHVGSRYLRANGRYRRWNTGVTVERIPIGSTTFSRMILWVVEPILFREDFPGIHGLIAVPRLHRLAVWLGRVLPPWWLIRFVLAEAEGAPNDDDWTMLQFNWTTFQFRGNSIRNLRNELERRVAAVSDIIMCVRAGSFARLTPVLVDLPRGGQGNTLYIIVVISGTPAGAELRFPDINA* >Brasy1G332400.1.p pacid=40058747 transcript=Brasy1G332400.1 locus=Brasy1G332400 ID=Brasy1G332400.1.v1.1 annot-version=v1.1 MAGWNVWLGEAAFGIKFDSKSREGGECMARPHERRGRSGRPRRRQTRGGGRSGGRQLGGGGGSRAVVRARDPEEARRLIRTGENESREREREREGEGEGGRGRRTERAWRRRRGKGSERWWIAGGAPAGKGREGQSWSSRAQSHAPGRARRSLCGWV* >Brasy1G498500.1.p pacid=40058748 transcript=Brasy1G498500.1 locus=Brasy1G498500 ID=Brasy1G498500.1.v1.1 annot-version=v1.1 MAAPYYHLLLILLLLHFTPLASSSAADHAALLALKGAVTADPASLLSSWSSSHYCHWRGVTCHPSTAAVAAIDLPSASLSGTLDFPLPPRLLRLDLSGNNFSGPVPAAFLASPTLQALNLTSNRLTGPLPFLLLPNSPCRSLTHLRLAANFLVGQIPSALAQCRSLRVLDLSRNVLEGAVPAALGRLAALRVLDVSRNSLTDRIPPQLAGCRNLAVLLLTNPTASPGKEQQPEFNAFVGALPPVVFAIPALQVLWAPRANLDGRLLPSYRNNGSCSLRAVNLAQNYIAGAVPRWFGECGDLAFLDLSSNSLEGSMPAQLRIGCMKYLNVSRNSLSGPLLLSSTGSKCSSRLIDADDDVIQYYEALVGSALIGGTPFGPVMGDSTSVALHDFSNNGFAGALPSLHLRLDGNYSYGLFLNSNMFNGTLSGGFFGFCKTANGVAVNLSSNQLSGTLDMLPSCIALQSFEAGYNKFSGTISPSIGDLHLLRCLVLRGNNLAGQIPGRLGDLAALEVLDLSRNSLEGIMPPQLFGASRLKVLMLDHNRLSGSIPPSSSELAQLAVFDVSFNNLSGDIPSLSHFADCSSFAGNPLLSPCLGSNALVPPIAATDSRKQTQRWGGHLTRSKVLMVIVAAAATALVSFILAAFFFFLCERRKRAKIANLRRKVVVTFTDAPPELNYDNLIRATSNFSIQNLIGTGGFGATYKAELAPGYLVAVKRLAMGRFQGLQQFDAEIATLGRIRHRNLVTLIGYHIGESDTFLIYNYLPGGNLESFIHEMRSRKVSWAEVYTIAMDIAQALAFLHGSCTPRIIHRDIKPSNILLDDELNAYLSDFGLARLIEVAQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVLLELMSGKRSLDHSFSEFGDGFTIVAWGRMLMQEERSSEFFSPGLWDTSPKDGLTEMLKVAISCTSESLAVRPSMRQVAARLKQLRNAQ* >Brasy1G097800.1.p pacid=40058749 transcript=Brasy1G097800.1 locus=Brasy1G097800 ID=Brasy1G097800.1.v1.1 annot-version=v1.1 MGRGKIEIKRIENPANRQVTFSKRRHGILKKAKEISVLCDAEVGVVIFSSAGKLYEFCCSKTSLSRILEKYQINSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNVHEKQMEHWDRLMKNGKMLEDENKLLAFKLHQHDIALSGSLRDLELGYHTERDFAAQMPITFRVQPSHPNLQENN* >Brasy1G539300.1.p pacid=40058750 transcript=Brasy1G539300.1 locus=Brasy1G539300 ID=Brasy1G539300.1.v1.1 annot-version=v1.1 MLVYPFFPNGSLDHILFDREKRAQLDWPKRYQIILGLARGLLYLHEESPVKIIHRDIKASNVLLDEKLNPKISDFGMARLFLEDASHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIISGRKNIDRRLDDEKVDLLNYTWKLWEECRSLETVDPGLSGGWDEDEVALCVQLGLLCCQAVVSERPDMYSVHLMLSSGSFTLPRPGKPAIHGRVGRWISTTTATSASGSGSGTTNTNTSNTFATDTTRASAALGLEGIAEDDSRNSISVSFTTEGR* >Brasy1G190000.1.p pacid=40058751 transcript=Brasy1G190000.1 locus=Brasy1G190000 ID=Brasy1G190000.1.v1.1 annot-version=v1.1 MMAAELVKQCSNITLGEQTDMCSIRYDLKALRKMILSLDFQNSIHVHDPQNSFEYLEVLYKIRQLSERLGNLDPGGEAKEHKELTVYADELFEMAMATLEEEFVYLLTHYKQPLEQELLSFRSTEDGSTDEFSSSSFSEEQSEGKSTQTVSSGGSEYFVADLIQPGALSAVKSIANFMFLSDYDKECCQAYINARQGAIDEFIGSLHIDKHSIAELLSTNWTKLSSLIRKWNRAMKVFVRVYLASERRLSNLVFGKLSESTADLCFYEISFSSVMQLLSFYESVAIGTPKPEKLFRMLDMYEVLDDLLPEAEFLFQAGYGDMVLTEYHEVLLKLGESARKTFAEFKYAIQSYTSSSAVPSGAVHPLTKYVMNYIKAVAVYSKTLDLLLKGMDRSYQHFSADIQSMTNLYPHFTVTALHLQSVAAVLEANLEAGSRLYRDGRLRSIFVMNNIYYMVQKVKNSDLKSFLGDDWIRGHNRKVQHQATNYERASWSHVLSYLCDDGLCAAGDAASRKTIREKFKNFNQSFEEVYRVQTAWSIPDDQLREDVRISISLKVIQAYRTFVGRYSSFLDGTKHRDRCLKYRPEDLEELLLDLFEGTQKTLQH* >Brasy1G061500.1.p pacid=40058752 transcript=Brasy1G061500.1 locus=Brasy1G061500 ID=Brasy1G061500.1.v1.1 annot-version=v1.1 MGCLLGKLAAAPLFFPSAAAAASAAGAGGGDEVRLGAPRPEHIAAVKKDESGWPLWLSSVAGDALRGWAPRSADAFQKLEKIGSGTYSNVYKAIEVESGGVVALKKVRVDGVGEAESARFMAREITLLRHLGEHANVVRLHGLVTSRLATAPSLYLVFEYMDHDLTGLLAAATASGARFSLPQVKCYMKQLLSGIEHCHNKGVLHRDIKSSNLLVSDDGILKIADFGLASHFDPDKTRPMTSQVITLWYRPPELLLGATHYSVGVDLWSVGCVLAELLLGEPIFPGRTEVEQLHKIFKLCGTPSEDYWENMKFPPPTFKPYERCIADKFKDVAPSTLSLLETLLSIDPDKRGTATDALNSEFFTTEPYACDPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTTRKHMPQNHGRRRVFTPDVNNKPHGNSNIPRLVTSTSTTKLERFPPPHLDASIGYSLDSSVDGTTDQFFTSSVVELRKVPSLIFGHVKSYLNSPKNGTHKVKPSLKMPPSTVLIGAFRPYSLGHPMEVRRKNREQLRAKGKYAVGAVK* >Brasy1G412900.1.p pacid=40058753 transcript=Brasy1G412900.1 locus=Brasy1G412900 ID=Brasy1G412900.1.v1.1 annot-version=v1.1 MGCPFVLYPGLATDFFFPSHELLLLPSPSPVPHCLPPCSFFPDPELPHGREAEAESRRPPSAPVASPRPSRHGRRTEAVRCFPSGPFAYPRPSLHGRRTEKLRTCCCPRRRLPSPTPSTSSCRLPSPPRTLDAQKLGTCCCPRRRRRRKEDPQPRELEAQGAAPPGRGGEEVAGGCCCSSPCRRRGRRCHRC* >Brasy1G095100.1.p pacid=40058754 transcript=Brasy1G095100.1 locus=Brasy1G095100 ID=Brasy1G095100.1.v1.1 annot-version=v1.1 MSLLSKLRLVTVDVTGTLIAYKGRLGDYYCMAAKSAGKPCPDYNRMHEGFKLAYTEMARKYPCFGFAAKMPTVEWWRICVKDSFVKAGYDYDDETFEKVFKRIYSAFGSSAPYSVFPDAQPFLRGLREKGITVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPAIYKIALEMAGNVAPEEALHIGDSMRKDYVPARSIGMHGLLLDRFKTADAESWKQSGAPVLPDLVAAEAWLTQNQKEEPEEEPLAASLLRRISEKL* >Brasy1G095100.2.p pacid=40058755 transcript=Brasy1G095100.2 locus=Brasy1G095100 ID=Brasy1G095100.2.v1.1 annot-version=v1.1 MSLLSKLRLVTVDVTGTLIAYKGRLGDYYCMAAKSAGKPCPDYNRMHEGFKLAYTEMARKYPCFGFAAKMPTVEWWRICVKDSFVKAGYDYDDETFEKVFKRIYSAFGSSAPYSVFPDAQPFLRGLREKGITVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPAIYKIALEMAGNVAPEEALHIGDSMRKDYVPARSIGMHGLLLDRFKTADAESWKQSGAPVLPDLVAAEAWLTQNQKEEPEEEPLAASLLRRISEKL* >Brasy1G454100.1.p pacid=40058756 transcript=Brasy1G454100.1 locus=Brasy1G454100 ID=Brasy1G454100.1.v1.1 annot-version=v1.1 MLNYDQVFIRDFVPSAIAFLLKGESDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMVSINDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQKQAEGILNLIETKWDDIVANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRHDLAQRAVEAVEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLSSKMLLASPEIASILICDEDLELLEGCACGLNKSARIKCSRRAARSQVLV* >Brasy1G454100.2.p pacid=40058757 transcript=Brasy1G454100.2 locus=Brasy1G454100 ID=Brasy1G454100.2.v1.1 annot-version=v1.1 MPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMVSINDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQKQAEGILNLIETKWDDIVANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRHDLAQRAVEAVEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLSSKMLLASPEIASILICDEDLELLEGCACGLNKSARIKCSRRAARSQVLV* >Brasy1G454100.3.p pacid=40058758 transcript=Brasy1G454100.3 locus=Brasy1G454100 ID=Brasy1G454100.3.v1.1 annot-version=v1.1 MPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMVSINDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQKQAEGILNLIETKWDDIVANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRHDLAQRAVEAVEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLSSKMLLASPEIASILICDEDLELLEGCACGLNKSARIKCSRRAARSQVLV* >Brasy1G187800.1.p pacid=40058759 transcript=Brasy1G187800.1 locus=Brasy1G187800 ID=Brasy1G187800.1.v1.1 annot-version=v1.1 MKKQASGGCLCLGAPIRALSRACDSACDLYVRGMSGCARRVPTSAVAAGGRGGSGSGFGRSATAVHRWTSAVSESDLASATSPKQRRAGAAGTTEKADVVGAAAKKGRLSQVVPVSVPLPARRIKGPALGTIAEDAPCEFGGACSLKPMRRAAAVDGGVAARTTGEFGAIKTGSEAFARRM* >Brasy1G379500.1.p pacid=40058760 transcript=Brasy1G379500.1 locus=Brasy1G379500 ID=Brasy1G379500.1.v1.1 annot-version=v1.1 MYISSPFVCRTLIAARCDGIARRRKSPSPNARRREATCRYRGNPFPAAGAMSCAAAWVSPSGLGSHTGSSPAPVGRRRGRASYAFRACASAGVADDGRGGLLPRMVLHDSLDAAGVATEHARAAREGFARQVGKLTRLNAESSIAISRGPDLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFLAAGFLPPSGAPPEVFFDHIDRYLYVHKGFRRTNGVSDARALYLHSVLTCRSGSALMLSLIYSEMLKTLRLYGLLDFDVEISFPHDVNTLPRGYDKHKSKLCDEPTIMTSKSLLVEILQTLKGMFWPFQSNQSGSVFLNAVAANHHGPGNVGDSQARSHGNISAIEMAAAKAAQNRLMRGVWTTVRFGDMRRALAACERLILLNHNPCELRDYAALLYHCGYYEDCLQYLASYQTSTVGQPRSNPLEVLEDDAVNNLRARVSLILAEDGWNQRKPTAGYWTKNSEPW* >Brasy1G524000.1.p pacid=40058761 transcript=Brasy1G524000.1 locus=Brasy1G524000 ID=Brasy1G524000.1.v1.1 annot-version=v1.1 MAAPSGSSSQGEAPTYTKNKYIPSTHDPRYSTRDVPIHHLYLIVYPLIKISNSLYFRIKEKNARSKIKTRTIFLCPYCDGDSKISTIPYLSIATKSVETTKSKQQHQI* >Brasy1G423400.1.p pacid=40058762 transcript=Brasy1G423400.1 locus=Brasy1G423400 ID=Brasy1G423400.1.v1.1 annot-version=v1.1 MVLPQPTSNKRSKNQPQQPSLPEEMLVEIFSRLPAKSVGRCRCLSRSWAAALSSAPFVDLHYQQQQANQNYQNRQQPPKLLFATAQRCLHAWQWRPVVVDDGGAAPAPAPALVLHHRLALPQMDPRCTLRVLTPKPCHGLVLLHRWPYYGHYVCNPSTGALLPLPDTKAPSRMCGRYSMPCTYQNSVSYGLGCGGASSSSSSATTTEEHKVVRLFSLQEGGSATSCACEVFSLDSDAVPHWRPATQRAPSCTLRPWSAAVFFDGRLHFLQHQGGGCVVTFDVCHETFGSLKPPAGLDTRRVSFELAVLDGRLCLYYGHDVGDPDFRNGIDDEEEEDYISSNGYCIWQLRSYHGTGEWEQLCCIQRQALPEALLHVDRISPLEIYHGRNGHRMIMFAASANLTVFTVDMDDLDDDGRGRAPPEILLVPPTGDAVVDSFVETDPCSHAVGLSEESLVPLGRPSEEIIFSWPSTKAWSDVLKWLPTQFLVPLRRVCKDWRMLINSNRFMQLHTTTQIKISPRRIVLIETDFGSFWPLEEACGRELHVQQMDQFLFFGGRTRSRVVCSKPCHGLVVGSCTDCMGISSDFICNPTMGYFKKLFPDPQGDASDFLAGRIGLGYDSREKKHVRVRLVYSKRNMHTRDYQLGCDVQLTDTESSWRPISPPPRPVAEMQSAYADGKLYWMVDGDLGTRMPSSGCEILALNVGTEEFQVLQGPQCNYDRITSIVELQGNICVVCSDRSTNNMDIWKLEGGVWSIWCRVELEEFSQEYPSEETAPLDVDPTDGRLLLSTGRALGYYDPRTRTLETIHRLVGPPHDLKFAPPHLLACETAGPHAVVSFDPSRSIFSFEIVLSPGRLRSVAGKIEVSSKVQCHTAPSPVRAPLSIGPTADPTAAAIHPAFAQLQAHGCSHPSPYLHHAASSATPRRLFLHIPVPAELVSPVICTSLLHTPCYSVPPAIARLSCDCTVLQPEPALESLKSSPPLLQLFPAQGDAPAEEESVQGTGAPVQEEPAQVTALPVQVDRASKRKATASGPSSSASSSSRRSSGRSSHTSSKSNRTKKLVDIASLVSLPLDKTPEPTGPRKLRALAECCDLNADDIFAEAAAMAASPSAASSENTLNINV* >Brasy1G166900.1.p pacid=40058763 transcript=Brasy1G166900.1 locus=Brasy1G166900 ID=Brasy1G166900.1.v1.1 annot-version=v1.1 MSVPPDAYTYTPLIRGLCDRGRVGDALSLLDDMLRRECQPSVVTYTVLLEAVCKSSGFGQAMKVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREILNRLPSYGFQPDTVSYTTVLKGLCAAKRWEDVEVLFSEMVENNCVPNDVTFDMLVRFFCRGGMVERAIEVLDRMSEHGCTANTTLCNIVINSICKQGRVDDAFEFLNNMGSYGCNPDTISYTTVLKGLCRAGRWEDAKELLNEMVRKNCPPNEVTFNTFICILCQKGLIEQAILLIEQMPEYGCSVGIVTYNALVNGFCVQGRVDSALELFNSLPCEPNTITYTTLLTGLCHAERLDAAAELLAEMMQNDCPLNVVTFNVLVSFFCQKGFVEEAIELVQQMMEHGCTPNLITFNTLLDGITEDCNSEEALELLHGLVSKGISLDTITYSSIVDVLSREDRIEEAVQMFHAVQDMGMRPKAVMYNKILSALCKRCETDRAIDFFAHMVSNSCMPNESTYVILIEGLAREGLLKEARYVLSELCSRGVLRKSLIEDRH* >Brasy1G235700.1.p pacid=40058764 transcript=Brasy1G235700.1 locus=Brasy1G235700 ID=Brasy1G235700.1.v1.1 annot-version=v1.1 MEIKTLKRLQQHSSDVKPTALAIAVSVMALLLMAVLFGARWTPSRHGDTFVSAGVRFVVTAVSDQGPARPSATVPEPGDRLLGGLLSPDFDDGSCLSRYRAALYRRQSLHILSSHLVSTLRRYESLHRLCGPGTSAYARAVARLRGSMWPSSSSNTSGTAPSECKYLVWSPLEGLGNRILSIASAFLYALLTDRVLLLHPHGDDLGDLFCEPFPGSTWVLPEKDFPIGSIDRFGAGTKEGLGNTLARRRGEQQGPAPPWMYVHLRHDYKGRLDRRFFCDDGQDAPRGVPWLVLRSDNYFVPGLFLVPRFEPELARLFPRRDAVFHHLGRYLIHPSNAVWGMVSRYRGSYLAAADDRVGIQVRDFSWAPVSGHDRYAQIVSCAHRENILPAAVLANSTGAPAPPSSGGRRKAVLVVSLHGEYYEKIRDAYYEHGAAGGEAVSVFQPTHLGGQHSGERQHNQKALAEIVLLSFSDVVVTSAVSTFGYVSQGLAGLRPWVLMGPVGGKAPDPPCRLAATIEPCFHTPPNYDCPARAGGDSGRMVRRCEDFPEGVQLVEY* >Brasy1G235700.2.p pacid=40058765 transcript=Brasy1G235700.2 locus=Brasy1G235700 ID=Brasy1G235700.2.v1.1 annot-version=v1.1 MWPSSSSNTSGTAPSECKYLVWSPLEGLGNRILSIASAFLYALLTDRVLLLHPHGDDLGDLFCEPFPGSTWVLPEKDFPIGSIDRFGAGTKEGLGNTLARRRGEQQGPAPPWMYVHLRHDYKGRLDRRFFCDDGQDAPRGVPWLVLRSDNYFVPGLFLVPRFEPELARLFPRRDAVFHHLGRYLIHPSNAVWGMVSRYRGSYLAAADDRVGIQVRDFSWAPVSGHDRYAQIVSCAHRENILPAAVLANSTGAPAPPSSGGRRKAVLVVSLHGEYYEKIRDAYYEHGAAGGEAVSVFQPTHLGGQHSGERQHNQKALAEIVLLSFSDVVVTSAVSTFGYVSQGLAGLRPWVLMGPVGGKAPDPPCRLAATIEPCFHTPPNYDCPARAGGDSGRMVRRCEDFPEGVQLVEY* >Brasy1G573300.1.p pacid=40058766 transcript=Brasy1G573300.1 locus=Brasy1G573300 ID=Brasy1G573300.1.v1.1 annot-version=v1.1 MHYALEGAVIDDHARRRLEAIGADIAKKLKWSPLAARIVGGRLGRRLSAEFWTTVKNGNVDGTMGALWRCFAYCSIFPRRHHLIRDDLVKLWVAEGFVRGTNEGEEMEDVCRGYFDELVSTSFLQPGGKDFYNDMDYYLVHDMLHDVADTVAGSDCFRIENGSIWSKLGGGKGQRREGWRGDVPRDVRHLFVQNYDGELITEKILQLENLRTLIIYTVGGGTPIEEKVIASILKRLRKLRVLAVALSREDDAVIKEPDVFLVPESISKLKHLRYLAFRTSMSCRVILPGTVTKLYHMQLIDFGQCKKLVFPSADLINLQHIFCSIDLDFPNIGKLTSLQTVPNFTVWNAEGYKVNQLRDLNKLRGSLEICRLENVENKVEALEANLAAKERLTHLSLGWGVAMRSSRPEVEAGAFGSLCPPTWLETLYMYDYQGLRYLNKLRGSLEILRLDNVESKVEALEANQAAKERLTHLSLSQSGATRSSSPEVEAEVFESLCPPIWLETLYIYNCRGLRYPNWMVGKQNGGPKDLRGLKLHGWSQLGPAPRLEAFIHLRSLIVWDCSWDALPDNMENLTLLKDLMICECLNISSLPTLPQALEEFTLKWCSDELMKSCQTIGHPNRRKIENVPKKEFICYELDLLRIRNKRASLGTDIRRR* >Brasy1G294300.1.p pacid=40058767 transcript=Brasy1G294300.1 locus=Brasy1G294300 ID=Brasy1G294300.1.v1.1 annot-version=v1.1 MAVEAAVEKSVAGAAVAASGGGVAPESGGERRSRFRRICVYCGSAKGRKPSYQDAAIELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMVRFADAFIALPGGYGTLDELLEVLTWAQLGIHNKPIGLLNVDGFYNCLLSFIDMAVREGFIKEDARRLVISAPTAKELMLKLEEHVPEYEIGLVWEDQGQIPHGFAQELEPGIVSS* >Brasy1G501100.1.p pacid=40058768 transcript=Brasy1G501100.1 locus=Brasy1G501100 ID=Brasy1G501100.1.v1.1 annot-version=v1.1 MAAAAGSVLRRLYLSAYNWVVFFGWAQVLYYAVTALLESGHGAVYAAVEKPLQFAQTAAFMEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETRSHILVTSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFMILYPTGIFSEVGLIYIALPYMKASEKYYIKMPNKLNFSFDYFYASAIAIGVYVPGGPHMFTYMLAQRKKALSKAKTA* >Brasy1G170700.1.p pacid=40058769 transcript=Brasy1G170700.1 locus=Brasy1G170700 ID=Brasy1G170700.1.v1.1 annot-version=v1.1 MLRPDNYFRSPPATAPAPCSVSVAARPSRSHLRPAALPLPLAAPQLRGKTSSTVRASAPAAREAESARMPALAHREVAQALSAEVEARLGTRLLPSAVPVDIAEFRNGTGGAVGSLDVRRGAPASSIDFMLQSSLHCKVPTGAIDITSILIFLNASTDAPHFLLELIQGSPTSMVVVLDLFPRKDLALHPEYIEKYYENTQLDKQRESIEELPQTRPYRSPSLFVRSAFSPTAILVTIDCGQGGEDVLEDIVRGRLASVAKGILQTWLNSCADQASEMEEGERDSMLRRDRIVRLKSIEVDLTANLPRMFDPDVSSRVIAEIRKAFGVE* >Brasy1G463900.1.p pacid=40058770 transcript=Brasy1G463900.1 locus=Brasy1G463900 ID=Brasy1G463900.1.v1.1 annot-version=v1.1 MGPLSSSSSFSLSPRSVPLPHDLLLPLSSRSLPHDLLLPLDLSSLSPAARGRGFPPGAGPRCCHRGRAPASSSRRRPLPQPAAGEATSASPHHGHGRIWPPYAKGGVGKTTTTGKLTASLARLNIPVVPRLPEINKSHHLPFPGQRSAYTPRRRRFEASKSNPNQPTSRSIQRASHGREEKRRSLVPTAQGREEGAATGEEREPSTAATVEEEAGGRLDLPRWRKGPAAATARMGGGGGGRDLSPRRRPPRHARAGRWPAEEEAAAETPARREGGGGRDPSPRRRGGQESGVRASPWAQRS* >Brasy1G166000.1.p pacid=40058771 transcript=Brasy1G166000.1 locus=Brasy1G166000 ID=Brasy1G166000.1.v1.1 annot-version=v1.1 MANNHGAAALVLIASLLVAATLTADARITVQVMRASVEGSKAASVPALTCNKVHGVQTGETCFSLAQGSGLTQEDFLGFNPNINCAKVFVGQWVCLDASSA* >Brasy1G115200.1.p pacid=40058772 transcript=Brasy1G115200.1 locus=Brasy1G115200 ID=Brasy1G115200.1.v1.1 annot-version=v1.1 MASDFKTLLLNHAGDIVDNIVRSSVNFVTATEFPGLNTIGLSMARADFAPCGAVLPYSHPRVSEIIFVHAGGVLAGFFDTGGKMFQKTLAEGDVLIFPRGLVHFVINYGFSLVTTFSPRQNPRVVGTTHAIFAPDSDVVDRLMARMKKFREMEMIDNSTTNLQWPC* >Brasy1G358200.1.p pacid=40058773 transcript=Brasy1G358200.1 locus=Brasy1G358200 ID=Brasy1G358200.1.v1.1 annot-version=v1.1 MVKLLADLLHHTPPSAWPPALASPPLRNRISPSHVSSLLLLPATLSRPGLSRRFLLLLPPSIVSPLCLSLLALSFLSSSSPPSSSSSPHAASLLLSLASSSPSASTSFSSLSHASSLSAFPPGATAAAATLLASSYLRLRRARDAAAVLHLSLSAGITPNQHTASQILFSLVKIRQFALARHLFDGMLQSGVRLDEYARNLDGAKGLVARMQDEGDKVSAVPYNVLIYGLCKNQRVREAVDVENSMLERGVTADEVTYRTLVYGFCRTEELDMALEMTGDMARLGFVPSEANCSFMLDGLRKKGRVEEAFRLACQLGELRMVPNIFAYNALLDNMCKNGMFSEVDRLVNEMSDKGLEPNEVTYAILIHSLCKRGMMDDALCMFDRMREKGVRMTVYPYNSLINCYCKQDDLDMAMGFLSEMVEIGLTPNAASYSPVIAGLCRKGDLSGAVELHRKMAETGVAWNIYTCTALINGFCKAKKMDEASRLFNKMIGSNLEPNEVTFNAMIEGYCLVGDIRKAFQLYDQMMCRGLTPDNYTYSLTALLHGFCREGRLTEAYHVWNEMAMRGVKLDLVSFTIIVYAALKQHDSEKSCVLFREMKEKDVRPDNVFHTCMINMYSKEGNMVQALNCWDEMIADGHLPNAVTYTVLVNNLCKSWHLSSAELLCKEMLASHFLPNSYTFNCFLDYFATEGNLEMAKDLYFAML* >Brasy1G223600.1.p pacid=40058774 transcript=Brasy1G223600.1 locus=Brasy1G223600 ID=Brasy1G223600.1.v1.1 annot-version=v1.1 MATLATRPDLLLVALKRSRPRTLRARAAAPRVCRTPLPPLAARPRRVFLGLGVAFVDQIARMASGGAPSRSFVAAAWPKQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSAPRFVTHIDDQAIRALTKYYSQVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKVVGMGMNEDELKKNTVLTEYVVQDLNLNPKLPFDDNTFDVITNVVSVDYLTKPIDIFKEMRRVLKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPEAVDISPNPGQTDPMYIVCSRKQIA* >Brasy1G282300.1.p pacid=40058775 transcript=Brasy1G282300.1 locus=Brasy1G282300 ID=Brasy1G282300.1.v1.1 annot-version=v1.1 MDIFYQCKEILKIQKFRRLVSYAAFYSFTTLVTYAYTSNTTRAGISRADQYYASYPSGTELLTDTAKLYKAALGNCFEIDDWGPIEFSVMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG* >Brasy1G310000.1.p pacid=40058776 transcript=Brasy1G310000.1 locus=Brasy1G310000 ID=Brasy1G310000.1.v1.1 annot-version=v1.1 MDDLISQEASVFEEEVGEQWGDETQEGVSIDTEPLYTDAGVGTDPGSDASRCQSKGKSKRTQAYAECEDKLLCEARLEIGQDPICGAEQKGVAYWKRIYDYFHEHRLLPSYRFISDRGEVSLQKRWGLIQSECNKFAGAQDHVKARPLSGIGVGDMVYQTLEYFKIMYKKPFALIHCWRILKEAPKWQDFYLANKKSPGDEKKCDSSVIDLEASGHTEAASRAVRPRGRTNFKLDAKREASNLAFEETLKKMWSEKDAVMEKMIQLKEEQMKEFIDVQKRKLAIEETNAAATRTAVAAAMLAEETRIITAYLSLMDEPTRA* >Brasy1G532800.1.p pacid=40058777 transcript=Brasy1G532800.1 locus=Brasy1G532800 ID=Brasy1G532800.1.v1.1 annot-version=v1.1 MADKEEEACMYALQLALSSVLPMTLKTAIELGLLEILVGAGGEAPLSPEEVAAKLPAEANPDAASMVDRMLRVLASFNVVSCVVEEGKDDGRLSRRYGPAPVCKWLTPNDDGASMAAFVLSAQDKVYMDTWPYMKDAVLHGGSPFNKVYGMSWYEYPGKDKRFNRVCNDAMKHHSGIITKKFLELYKGFDGIGTLIDVGGATGTTIHAITSKYPSIKGINFDLPHVIADAPAYPGVQVEHISGDMMDKVPSGADAIFMKWILAVFNDRDCTVLLKNCYEALPAHGKVINLENILPVNPDALCIQG* >Brasy1G399100.1.p pacid=40058778 transcript=Brasy1G399100.1 locus=Brasy1G399100 ID=Brasy1G399100.1.v1.1 annot-version=v1.1 MAMRSVLTKVPRLGLRLRSPGHALSPAAGSRLISTNLPLPSTAAGEKIVYSKENLAEQLASINRTYTENQRRFAVEYKETMDMIHEQQAANIEAFRQNQELHKKILDKKINGIETAINAVYVGSAMLVILTMIAL* >Brasy1G382700.1.p pacid=40058779 transcript=Brasy1G382700.1 locus=Brasy1G382700 ID=Brasy1G382700.1.v1.1 annot-version=v1.1 MREFSYEEIEAATGGFAAKNVVGKGSHGCVYRARLRVGGGRRVIAVAVKRASHPQGEAKLANEIAVLTAARHHPGVVGLVGLAAMAGPRRPPLLVMEFMPNGSLHDLLHRSPRPPPWPRRVQIALDVALAVRALHGAAPRVIHRDVKTANILLARDGRARLADFSLAVAVSTEGAGPGPTPAPVPAGTMGYLDPSYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNASPSSIVAWAVPLIRAGLARKVFDKRLPAPPRGGDAEAAVARVLAVAARCVSESVERRPAMPEVASELHAALESAGWRHHRDGRDVVGRAYRRVASWGTRMRVKMRRSRVECTELSGSSSSSEGSRRAAPVTADSCPPPTLSRILFG* >Brasy1G274400.1.p pacid=40058780 transcript=Brasy1G274400.1 locus=Brasy1G274400 ID=Brasy1G274400.1.v1.1 annot-version=v1.1 MVLGFSVETLASVPWGFLLRSLLCIAFLGQVGKTLDQVWRRPRRLERELRSQGLRGTPYRFVVGDLKDYGRLSKEAWSKPLPLGCHDIAPHVAPFLHNTVREHGKACFSWFGPVPKVTLSDPDLAKDVMSNKFGHFEKTKFPALSKLLAEGLASIEGEKWAKHRRILNPAFQLEKVKRMLPAFSASCQDLVSKWMESLGSDGSCELDVWPELQTLTGDVISRTAFSSSYLEGRRIFQLQAEQAQRLMTNITKILIPGYLCLPTENNRRMRQITKEIESILHSLVGKRIQAIKDGESTKDDLLGLLLESNIRHVDENGQAKLGMSIEDVVEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEIMDLFGKNKPEYEGLSRLKTVTMILYEVLRLYPPAITFNRKTYKEMEIGGITYPAGVMVELPVMFIHHDPDIWGSDVHEFNPERFVDGVSKASPNNPGAFLPFGWGPRICIGQNFALLEAKMAMCMIIQHFEFELAPSYTHAPHTVITLHPMHGAQIILRKN* >Brasy1G167300.1.p pacid=40058781 transcript=Brasy1G167300.1 locus=Brasy1G167300 ID=Brasy1G167300.1.v1.1 annot-version=v1.1 MQVVIWVVIPAMIRGGSTTAVMTVLLVSFLFEYLPKIYHSVRFLRRMQNVSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLREQCAQAAAGVCAPGALACAEPLYYGAATGGLGAVADYRLAWARDAGARATCLDSGDSYQYGAYKWTVMLVANPNRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEVIVREGDPVQRMLFIVRGHLQSSQQLRNGATSCCTLGPGNFSGDELLSWCLRRPFLERLPASSSGLVTLESTEAFGLEAADVKYVTRHFRYTFTNDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPDQDELL* >Brasy1G167300.2.p pacid=40058782 transcript=Brasy1G167300.2 locus=Brasy1G167300 ID=Brasy1G167300.2.v1.1 annot-version=v1.1 MQVVIWVVIPAMIRGGSTTAVMTVLLVSFLFEYLPKIYHSVRFLRRMQNVSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLREQCAQAAAGVCAPGALACAEPLYYGAATGGLGAVADYRLAWARDAGARATCLDSGDSYQYGAYKWTVMLVANPNRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEVIVREGDPVQRMLFIVRGHLQSSQQLRNGATSCCTLGPGNFSGDELLSWCLRRPFLERLPASSSGLVTLESTEAFGLEAADVKYVTRHFRYTFTNDKAAVAVLLAGRGEAAALHRAAHVAQARPGRIAVREYDREATPPYRVKWPI* >Brasy1G545100.1.p pacid=40058783 transcript=Brasy1G545100.1 locus=Brasy1G545100 ID=Brasy1G545100.1.v1.1 annot-version=v1.1 MGSTDKNADATAAVENAVETEEEHCYCPKPGCLFEGGPTAAVVEHLSDAHARPIYDDVRYGQPWNFTLQLSWPWDVVFGVGEDERSAFFVLLQEDAPGGGGGGAPNMSVSLHVCVREDADCVGPQPLYRCKMTLESLSGDNKNKYMITTQAAVCDRVHLEVPQEMMLSGAETLAVSVQIEQLLPPADTHGYNASVSVHRPKRTRRGPDRFAS* >Brasy1G066300.1.p pacid=40058784 transcript=Brasy1G066300.1 locus=Brasy1G066300 ID=Brasy1G066300.1.v1.1 annot-version=v1.1 MGTAWLPTGPLPRELQKWRVVALDPAGFRRCNVVHPHCYLLEVKTANITSDTGFMTKEYLELVWTKLFLQQRVLELGYNFLFTDTDMIMLRNPFRRIAVYADMSVSCDGFSSARAPLDNSINTGFYYIEATNRSVEMLRYWQAARKRFPGVHDQTVFEKIKNEFVEKLQVHIEALDTTYFGNFCEYHNDLDKACTMHAACCIGLAKKVHDLKGVAADWKRYRGMTLEERKKMGGNLTWTVPVQCLKSIGRA* >Brasy1G265100.1.p pacid=40058785 transcript=Brasy1G265100.1 locus=Brasy1G265100 ID=Brasy1G265100.1.v1.1 annot-version=v1.1 MSEEATRSKVLVVGSTGRLGGSLVRASLAAGHPTFALVRPHHLAAPDSGPLKHLAAAGATLLKGSLELEDYPSLLEAVRQVDVVICSVPTKHALEQKSLIQAIKEAGCVKRFIPAEFGVDHTKVHISDMDHDFYEKKAEIRHLIEREDIPHTYICCNFFMRYLLPSLVQPGLHAPPRDEVTIFGEGNTKGIFVQESDVAEFTVCTIDDPRTLNKTLYLRPLGNVYSLNELVGLWETKINKCLKKIHITEEQLLENIHDAPFPLKMDLIFIYSAFVKGNHTYFEIDSRFEGTQLYPQVKYTTVNEYLDTLL* >Brasy1G040700.1.p pacid=40058786 transcript=Brasy1G040700.1 locus=Brasy1G040700 ID=Brasy1G040700.1.v1.1 annot-version=v1.1 MDPADEIFQLCRFDLSPLEAVSYYLPRLLSGETLHGAERLIHRADIYGQLEPEDLAAAFPPAPKAERTGDRFFFTLCKRQKGSRTRSARSAGAGTWTIQTTNDVVDHAGVKKGEVKHLSFKKGIKKDKESTGWVMEEYHCLLPEAVVEDGEMVLCKIHLSPSAQKARRGSAAGSQEQEDQPAPMPAASDDGPPCAKRARVDAQDHEAAVEAAADYMDGRFTCTMDELLGGATDEDQEEEAGEAEQQIVDFDFDLPVLDEDALSEIMLSLDEAPMSLPALDGDLVSLSAVEAEQRIVDLPIAGDEDFDFVLPTAEELNAMMSNLHDWPLSFAPDGDLLRFPGLPAVL* >Brasy1G566500.1.p pacid=40058787 transcript=Brasy1G566500.1 locus=Brasy1G566500 ID=Brasy1G566500.1.v1.1 annot-version=v1.1 MMGLHDIHGLMSSMFIMLNQKTYVLFRIEFLVVLVTLLFLVMFIMDIFRLVIHNVTMKAIFSLLDTISDSIVVYLLGAMQTAPFKNQLFPVWALVLVSFCCSIDFISGYGVHDYRGRRNMELRNVVKLIGLASLNWSHGSRFAFPLWSLLALQILRSWYRYMTRDLVLHSVWHGWSSQLIREYMRPGNHASDWKPENCDPNTMEGYNYLVSGETRKSIKLVKPGYVLHVHTACPQLITLNKIWGCHRHLLCSENSQGKDLKDLSLAFALSRLLRCKLEGVMLQGGIFRLNRKLIKSRILEEPDTNRACRVMELQIAFVNDYLNTRYPMVFWNGLHSLSVICFSLR* >Brasy1G138800.1.p pacid=40058788 transcript=Brasy1G138800.1 locus=Brasy1G138800 ID=Brasy1G138800.1.v1.1 annot-version=v1.1 MAEDGEEKLLATVQHIAKTLGRTGTMTEDILKVFSNYDGRLSLDKLYAAAAAAGGGAGEHSMPASSPPPALPSAPAAPPVTSLERTVRTLDRQISQFVTMDRLVWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDAAPAAPGGFDSEESEDDDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESIARLGIRPRTSDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLSDRVFDGLAPYGDLAFVAAVRTQALQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGALGVAVDPDRPTSSLAVHIAWIMDVLHKNLEAKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMSSRVRRWSMEYQRGAWSKVMSVLQTGGSGFNSLPAKAMLQQLQMFYNYLEEIRAAQSEWVVIDEQLRADVRAAIADSVIPAYKGLIARLRSSEEVAQDLFIKYTPEDIEASIQHLFEGFAK* >Brasy1G053100.1.p pacid=40058789 transcript=Brasy1G053100.1 locus=Brasy1G053100 ID=Brasy1G053100.1.v1.1 annot-version=v1.1 METTAAAARRHPAAPAERSRRRRGVSVRCSSGSSPAQEFAALASVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPANWVGDQTILYNQVKKAELQRSLDPPPLTNGRSPSRPPNISGPVAAFGPPGSNGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIARTKRNPDISATLIDAALREDTVNNVKYYKLEFRVESPSFRRHNVAVCCVRDGKLYTLNAQAPESAWRAVQNEFFAMADSFSLVIDV* >Brasy1G554700.1.p pacid=40058790 transcript=Brasy1G554700.1 locus=Brasy1G554700 ID=Brasy1G554700.1.v1.1 annot-version=v1.1 MPLTSLSASSSPLTTSPTGTVMSRGMALAVGREVQEQYVLCCSLPAMAHHRLLRPCAGAGPRNAEVQSILSKTREVSLKMRTCRRRILFEIHGLRFMDPKLSCIKSSWFVFYMPSI* >Brasy1G554700.2.p pacid=40058791 transcript=Brasy1G554700.2 locus=Brasy1G554700 ID=Brasy1G554700.2.v1.1 annot-version=v1.1 MPLTSLSASSSPLTTSPTGTVMSRGMALAVGREVQEQYVLCCSLPAMAHHRLLRPCAGAGPRNAEVQSILSKTREILLLARAWFRCP* >Brasy1G399000.1.p pacid=40058792 transcript=Brasy1G399000.1 locus=Brasy1G399000 ID=Brasy1G399000.1.v1.1 annot-version=v1.1 MGRGTEGRRRGEEGNEGIRRRCGRRAEGWRSVRRRVGAGGSVRRRGTVERGTCLVEQVKEVRHGNGGEWRPRGASGGGRHTARCSSEEGMRGEEDEVTAGGEARGWNERRRRIWIGGYPCVPVGG* >Brasy1G483800.1.p pacid=40058793 transcript=Brasy1G483800.1 locus=Brasy1G483800 ID=Brasy1G483800.1.v1.1 annot-version=v1.1 MEEELLQHKTQLEYTNKKLLEVQKLLEIHKEYGIEPLNNQEVEDEEQVDYTSSQDKSLQEIGSQEGENVEVPNPIDSNDGVPNPRRCDRLRSKELKKVEDLAIERANAKDNTGKGVAIDLVDHNIGLLKDFEQARLKLFSQQDRASDLGDPVLGGGGVYWG* >Brasy1G579200.1.p pacid=40058794 transcript=Brasy1G579200.1 locus=Brasy1G579200 ID=Brasy1G579200.1.v1.1 annot-version=v1.1 MVPRASGEMLDRLSILGERNNGRRILGCLLGVNLCCCDCLAAVEVAAAVLVVAGHRVVTAAVLCCWSPAASGRGPEGGRIQAGDDAGRRVGAVAVAAVGGEGVGVEMTAVARGGGQGSVAAVARGRGEGAAAGGAGIRRRRGRGSPDPGRLVREGGGGRRAQGEGGARGGEESAGGGRRLGEEESAGGGRRLGEEEGVEEREKGRSGGLSGLSPLSPPYLIFPLSPPTNSIPSLPLRLLPLFFSSLLSRSTSPSSSLSLTGCTKAAALPLFPVTGTRLLHRPPGRVAAGSKLRWPPSAPPRPAPCFPDLAAAGSGLLRPHRRRIRAPPTPPPPDPGSYDPAAAGSGLLRPRRRRIRAGLPR* >Brasy1G497100.1.p pacid=40058795 transcript=Brasy1G497100.1 locus=Brasy1G497100 ID=Brasy1G497100.1.v1.1 annot-version=v1.1 MAAAMMVQQAAGGALLSPAATSFAWQAAAVAAPRVLTLMQSHNRHYYSSPSSTSPPLHLLRGRQSPSSPAPAASRSRGMASYSYNKHTNSLLQNEIKVEEEKVRRNKELAMALMQDTQPTAGAGEEEVKGINHLLALLGVSDGNGKKGAEEALREHRSLVEKKKRALLVELLNDGDKDGHARQEKTEELAAAARQLRDALSGHDHQRKLQNLCTEMKTLRESVADDRVQRRQSDTELNKLMDDMAAARINSESANALVKSIRRDVMEMNASVELFRKKLETLQESVSVGRKESGSARGLARFVLFGAAGYYIASLLL* >Brasy1G551900.1.p pacid=40058796 transcript=Brasy1G551900.1 locus=Brasy1G551900 ID=Brasy1G551900.1.v1.1 annot-version=v1.1 MEPSSSSSSVVAAAVVILAIICFSLPCSMAVQVKGSHVGPRPPAPTGHPAPHECGGCAPPPLPPAALAAAAPHRKTLQQQQPPVPYV* >Brasy1G323000.1.p pacid=40058797 transcript=Brasy1G323000.1 locus=Brasy1G323000 ID=Brasy1G323000.1.v1.1 annot-version=v1.1 MSEESKDALDLSAISAAVPNAAELSAEDKANLVESIKNTLQGLAARHSDVLENLEPKVRKRVEALREIQSQHDELEAKFFEERAALEAKYLKMYEPLYSKRYEVVNGVVEVEGVTKDETAETAADQKEEKGVPDFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRINEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTLKVLKKKPKKGSKNTKPITKTEMCDSFFNFFTPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFDGMDDEDDDDEDDDEDEDEDDEEDEDDEGDKKKGGRVPAGEGQQGERPAECKQQ* >Brasy1G360300.1.p pacid=40058798 transcript=Brasy1G360300.1 locus=Brasy1G360300 ID=Brasy1G360300.1.v1.1 annot-version=v1.1 SIHTYPDIGGPLQSMEQVDAAIDRYLHARRHPTMCVEQDGVSQAEMGIRRCLCWPDGTRKRTRSHVLRKSLELMHKLVCAVVDQYNEDHNLLGDLAYKLKDVLHHESFREKKSIWYRHLNFTAKTEGPDGLDCCIDKLFFLELKKYKTRWNK* >Brasy1G109100.1.p pacid=40058799 transcript=Brasy1G109100.1 locus=Brasy1G109100 ID=Brasy1G109100.1.v1.1 annot-version=v1.1 MISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy1G427000.1.p pacid=40058800 transcript=Brasy1G427000.1 locus=Brasy1G427000 ID=Brasy1G427000.1.v1.1 annot-version=v1.1 MASCRSMPAACAVLLLLLLATVSRCEADRLPAETVAGGRRMLVISRPAAAAASKVAAAAMPYSESKRISPGGPDPQHH* >Brasy1G428900.1.p pacid=40058801 transcript=Brasy1G428900.1 locus=Brasy1G428900 ID=Brasy1G428900.1.v1.1 annot-version=v1.1 MADDTHRSHTPSRSGSRGRRIPPPSAQRPRPPPPDRQLPFPYCWCCWGRGRAPGRAGNGGRRIGGRCCSSSSSSSSSSSSSSSSSSSSSSSSSSRSSDSDSSSSSSSSSSSSGSAGRSSGSSSRTMFVMTRDAIRSEATAQAGF* >Brasy1G219900.1.p pacid=40058802 transcript=Brasy1G219900.1 locus=Brasy1G219900 ID=Brasy1G219900.1.v1.1 annot-version=v1.1 MDDPAAASLSSSPSSSDASPSPRSKRRRTDRYALGFEFAPRLTPSAAAPTPASRSTPEWTESSTFALLDAWGERFVCAGRRSLSADEWLEVSHLVAAAASRPAGYYSEAQCRNRIDTLRKMFRKEKERSRLAAHRSNHPSPSNWVYFDKMLSLMCPPPPPLLPRIVKRRRDRQPVPRLSWGVDVGEFVLAGCGGADSDAELGGDQTYVTGALKGEEFAVLTESIRRFEEVYERVESSKRQHMAQLNRMRRDMQRDLEVRWREILEKAEMEIESIEGEEEDEEEGNAKKRLGDDHGGEKQSNGAVDASP* >Brasy1G090300.1.p pacid=40058803 transcript=Brasy1G090300.1 locus=Brasy1G090300 ID=Brasy1G090300.1.v1.1 annot-version=v1.1 MADDTKFLLCLLLLISSSLCFGSQLELDIQCLKTVKQSVIDPNGILSSSWNFDNSSTIGFICRFTGVECWHRDENRVLYLRLGNFGLEGPFPQGLEDCSSMVGLDLSSNNFSGPLPSDIARQIQYLTSLDLSYNSFSGEIPSNISNMTYLNLLNLQHNQLSGQIPPRFDLLSRLSTFSVADNLLSGPIPASLQKFPSSNFAGNHGLCGSLLDECPIRIRLHRINDQSSIGAAVGFVVGFVVAFYFPHWFVFSERLRAHVFRI* >Brasy1G079200.1.p pacid=40058804 transcript=Brasy1G079200.1 locus=Brasy1G079200 ID=Brasy1G079200.1.v1.1 annot-version=v1.1 MSRHGALSHCSVCHSVQHNKRRCPKLGRGPVQEEATAAQEEPTPAEPEVEPIPARATRHRKLPVRRNVIIHEEPLTQESGVSSVGQNVGGSQQGTQRSMLYALMEETATTQVSQVSQGPLPESNFIASSRDALPTARATTATVNLEAAAKKRRAAVKKSKAAAAKAKAPEGKGKAAAGKKKK* >Brasy1G062200.1.p pacid=40058805 transcript=Brasy1G062200.1 locus=Brasy1G062200 ID=Brasy1G062200.1.v1.1 annot-version=v1.1 MNIGFSSEIFAQKLARLNISQQSIETLSHWCVFHHRHCEQVVETWDKDFHSAPQERKIFLLYLANDIIQNSKKEGMRYISEFWKVIEAALDDLFTNGDDFGRNVVQRLVDIWEDRKLFGPQGQLLKEEYTRKFRELKSNKSGGELVEKVISSYKHMLSAPIDEDKLMRKCNSALIFVDNLKEYGNSHPGSSNGSGFVEELQQQHSILRNTIEQFKTAESLRATLVSDLKESLREQEFKLELVRHQLRAAQARYKKADEVCQKLGIDTERQEPTNKAPDNVDSLGKGQSTAVMYSQERDGGEHETLNCVLSSEAIRNNVEQKIEEHSSGNKRQKLENGTCVPQPQAPPPPPPPPPPFPHPDTFEQPPPPPQYPPSPESSPPPPPSMPPPIPPPPPPPPTTNEYMPVPASPMAGMMPYNAFPPFPPSLNYPMINMPPPFPVAPNPHPGFYGSGGQFYGPPFPSAPPQ* >Brasy1G006100.1.p pacid=40058806 transcript=Brasy1G006100.1 locus=Brasy1G006100 ID=Brasy1G006100.1.v1.1 annot-version=v1.1 MGNCFGTRISSDSPYRSSAASPSSGDAKSAELRAPRSEGEILQSAKVKSFAFTELRTATRNFRPDSVLGEGGFGSVFKGWIDENTFAPARPGTGVVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSSYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLELLSGRRALDKNRPSGEHNLVEWARPYLTSKRRIFRILDARLGGQYSLAGAQKAAALALQCLSGDPRNRPGMEQVVAALGQLQDAAAKETAAGGGFVRMRGGKPETAAAGGWHRRQHQQYGRRMPAAPLRE* >Brasy1G241300.1.p pacid=40058807 transcript=Brasy1G241300.1 locus=Brasy1G241300 ID=Brasy1G241300.1.v1.1 annot-version=v1.1 MAAQDSSSGDTRLRSALTVSADDRARLHALLDSPAPSSSSPSPSPSPAAANNTSQHHVASFFEGFALRGIRVLSIHPGLIHCSYTVPNHLTDAATGCLAAGAVVSLVDEVGSAAAIADARNLKVSVDMSVAFADLSQARPGDRLCIVARALGHKGAYSGTHVLFTNAGNGLVVAEGRHSLFGNMKKKTSSAPAQTRSNI* >Brasy1G363500.1.p pacid=40058808 transcript=Brasy1G363500.1 locus=Brasy1G363500 ID=Brasy1G363500.1.v1.1 annot-version=v1.1 MESVERSKKRVQLWRKGKTAVVQLAVCFAIGALAPLAGTSSLSVAILRASFLPSLNVQQAPAVPDLGLLLIVTVTRPDNDGDGVSQEAALTRLGHTLRHVEPPMIWIVVGAKNRSASAVQVLRGTGVTFRHLTYAAPENATGAGDDEQRNVALSHIERHRLNGVVHFAGASGVYDLRFFQQLRQTRGIAAWPTAAVSSADQRVTMQGPTCDSSRITGWYSKDSSTNDTQAPPPASVQDASADHNSSGISPQINFSGLGFRSSILWDPQRFIDKNNSSGGETQDFIQFARQMAVGDGDTRKGIPSHCSESQIMLWHLDMPRYTPQVEEQDTQKKQSPLEEDEDDYMT* >Brasy1G127300.1.p pacid=40058809 transcript=Brasy1G127300.1 locus=Brasy1G127300 ID=Brasy1G127300.1.v1.1 annot-version=v1.1 MAGRPGYVTVPILSVLAAIGYVYYTTVFLAIPAWLGLATAAGVANAVAYTALAAASVATYAVAVTRDPGRVPPAFVPDVEDAEIPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCILRMDHHCIWINNCVGHENYKVFLVFVLYAATTSFYSMALLIGGAVHSAPKDQQSVNDSPRTSIIICGAILCPLALALGILLGWHIYLILHNKTTIEYHEGVRAMWLAEKAGNLYHHPYDLGVYHNIVSVLGPNILCWLCPVSRNIGSGIRFRTSYDIGIPLSTPPFKKEEHLSMS* >Brasy1G254000.1.p pacid=40058810 transcript=Brasy1G254000.1 locus=Brasy1G254000 ID=Brasy1G254000.1.v1.1 annot-version=v1.1 MAGIIHLHDRTKLDKFYGTSAARQCGDVAQECRQNQTLLAPPRPLSIREVYSTLRKLSAISGGGSAGRRKILVLHLIRSCREMEMKFLVRTLARNLRIGAMMKTILPALAHAVVLDGKCAKNPVVSLEGIKSELQGLSSEVTEAYNVIPNMDLLVPSLLREGATFAASSLAMLPGTPIPPMLARITNGLTQALKLFDGRAFTCEYKYDGQRAQIHRLTGGSVQIFSRQMKDSTSRFPDLVNMIKELCSPEVASFVLDAEVVGIDRNKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGERLLDYPLRERRNYIHDFFQEKPGHFELAQQLIVEKDEASVDNSSTLHRMSSFFEKACQSSCEGIMLKTLDVDAGYSSSKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEYQSVCRVMSGFSDEFYKEMKEFYSEERILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAATGIVHPSRGISVRMPRYIRSVPDRSPEDCSTATDVACMFKAQNRKMEVSSDGPGSSH* >Brasy1G254000.2.p pacid=40058811 transcript=Brasy1G254000.2 locus=Brasy1G254000 ID=Brasy1G254000.2.v1.1 annot-version=v1.1 MEMKFLVRTLARNLRIGAMMKTILPALAHAVVLDGKCAKNPVVSLEGIKSELQGLSSEVTEAYNVIPNMDLLVPSLLREGATFAASSLAMLPGTPIPPMLARITNGLTQALKLFDGRAFTCEYKYDGQRAQIHRLTGGSVQIFSRQMKDSTSRFPDLVNMIKELCSPEVASFVLDAEVVGIDRNKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGERLLDYPLRERRNYIHDFFQEKPGHFELAQQLIVEKDEASVDNSSTLHRMSSFFEKACQSSCEGIMLKTLDVDAGYSSSKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEYQSVCRVMSGFSDEFYKEMKEFYSEERILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAATGIVHPSRGISVRMPRYIRSVPDRSPEDCSTATDVACMFKAQNRKMEVSSDGPGSSH* >Brasy1G220700.1.p pacid=40058812 transcript=Brasy1G220700.1 locus=Brasy1G220700 ID=Brasy1G220700.1.v1.1 annot-version=v1.1 MVAIENQSQAQAQVQRAAEAARIPKEARRLLHELAAAWPNVADCRALEVVPLKGAMTNEVYQVRWLTAPAAAGGEKEKEALKEREIRKVLVRIYGDGVELFFDREDELRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVATKLREFHNLDMPGPKHVLLWDRLKNWLKTAKNLCPSDQANELRLDCLEDEIATLEKEFSGDYHHWIGFCHNDLQYGNIMMDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHVLDYSKYPDIDEQRRFVKTYLSTSGEEPEAEEVQNLLQSIDKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPAVLTS* >Brasy1G214500.1.p pacid=40058813 transcript=Brasy1G214500.1 locus=Brasy1G214500 ID=Brasy1G214500.1.v1.1 annot-version=v1.1 MKKMGGALAREQLAAMPLILFFLFIFSFSAVSASTEQNQVYIVYLGEHMEAKAKEAIQEDHHALLLSVKGSEDEARASLLYSYKHSLNGFAALLSEEEATDLSASTEVVSTFPSEGRRSPHTTRSWEFLGFEEGLDSSEWQPSGANAGENVIVGMLDSGIWPESKSFGDEGLGTVPARWKGTCQGGDSFSPSSCNRKVIGARYYLKAYEVRYGRLNATNGYRSPRDHDGHGTHTASTVAGRAVPGVAALGGFAPGTASGGAPRALLAIYKVCWPIPGPNPNIENTCFDADMLAAMDDAVGDGVDVMSVSIGSSGEPVRLADDGIAVGALHAARRGVVVVCSGGNSGPAPATVSNLAPWFLTVGASSIDRSFNSPIRLGNGKLVMGQTVTPFQLPGNRSYPMVYAAHAVVPGTPANVSNQCLPNSLAAEKVRGKIVVCLRGAGLRVAKGLEVKRAGGAAIVLGNPPMYGSEVPVDAHVLPGTAVSMANVNTILKYINSTAKSTAYLDRSTTVLDVKPSPVMAQFSSRGPNVLEPSILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIISGTSMSCPHVSAAAVLLKSAHPDWSPAAIRSAIMTTATTHNAEGGPIMNADGTVAGPMDYGSGHIRPKHALGPGLVYDASYQDYLLFACASGGAQLDHSFRCPKKPPRPYELNYPSLAVHGLNGSITVHRTVTNVGQHEAHYRVAVVEPKGVSVKVSPKRLSFSTQGEKKAFVIKIEARGRRSGRANRKYLAGSYTWSDGIHVVRSPIVVLVA* >Brasy1G331400.1.p pacid=40058814 transcript=Brasy1G331400.1 locus=Brasy1G331400 ID=Brasy1G331400.1.v1.1 annot-version=v1.1 MTYRHQLLMVSLLATLMTMSSADLQYNFYDSSCQNVETTIRGVVHGMIDANPSVAAALIRLYFHDCFVMGCDASILLDPTSANGSPEKTAIPLAEAGYKAVDQIKAAVEALCPGKVSCADILALAARDAVLKSAGFYYNVPSGRRDGNVSTAFSVLTNMPSPFFGIDNLVASFARKKLNVDDLVALSGAHAIGVARCSGFTNRLYPNVDPAMDGSYAADLKITCPAPGRGVPDNLVNNSAVPSNTFDNQFFKNAIAKRVLFTSDAALMARGDTAAKVVENANGLTAWKVRFAASMIKMGNIEVLTGAQGQIRKSCHVVNS* >Brasy1G418500.1.p pacid=40058815 transcript=Brasy1G418500.1 locus=Brasy1G418500 ID=Brasy1G418500.1.v1.1 annot-version=v1.1 MKHIAAATVILLLFVLIFSCGSGAAARPVQVMSKPNKRGAAAGVVRFSWTEMTESSASAQASGCTNGSGVGGGTCHPPAGH* >Brasy1G285900.1.p pacid=40058816 transcript=Brasy1G285900.1 locus=Brasy1G285900 ID=Brasy1G285900.1.v1.1 annot-version=v1.1 MSDPPPPPPPPPPPILPSPSHGGNLLREILLLRRASAVRKRWRRVVLDAGFLRRSRAPPSGNPRPSASSWTDSYYSVLRAEESRQV* >Brasy1G497700.1.p pacid=40058817 transcript=Brasy1G497700.1 locus=Brasy1G497700 ID=Brasy1G497700.1.v1.1 annot-version=v1.1 MQDWAGVFIPLVLFILLSPGLLFQIPGKCRIIEFGNFHTSAISIIVHSILFFSLAAIFLVAIGVQMNLGP* >Brasy1G382000.1.p pacid=40058818 transcript=Brasy1G382000.1 locus=Brasy1G382000 ID=Brasy1G382000.1.v1.1 annot-version=v1.1 MALLLRPSPPLPHLRFLLRRLLSTAAPTSRLLPVPSASARLLFRPRVVAAAAAPRRNGVSVRAFMASTAASEAMQEKPASGEYTAANVQVLEALDGVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYATKINVVLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSTSSYSVAGGLHGVGLSVVNALSEALDVTVYRDGKEYRQSYSRGKPLTTLSSTTVYGESSTRQGTCIRFWPDKDIFTTTIDFDFSTISSRIRELAFLNPELTITLTKEEDDAEVLHNEYCYAGGLVEYVAWLNTDKKPLHDPIAFRKELDGIIVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIEGLKTSLTRTVNSFAKNSKAIKDKDISLSGEHVREGMTCIIAVKVPNPEFEGQTKTRLGNPEVRKIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSNPAESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKESLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKIERGKQAHYCYDEADLKELVNTFPTNASYNTQRFKGLGEMMPVQLWETTMDPARRLLKQLTVEDAAEANVVFSSLMGSRVDYRKELIQKAAGMVNLEHLDI* >Brasy1G024200.1.p pacid=40058819 transcript=Brasy1G024200.1 locus=Brasy1G024200 ID=Brasy1G024200.1.v1.1 annot-version=v1.1 MCPSDLRWSTRCPAASPRLPPGLDTTGSRREIGEDERRHVPRAAGGSASTRPARAQAGGSTSSGHERAARRDAQLPSESTQQQHRTASLPSTNSSQSPTNSSQQQPSSPSSSPLIASPSSASPIHLPSDEHHLAAPLLLLPNGGAPLLPPHVQQQQPAMPLPSISPIGGESSDGGAANPATAPNPATAPLGCTDPAAPLPSPMVAGRRIQRRPSPHLTAADPATPLPSPRLTTTNGGKKLPRNGRRRRVDGDLSSAARVTSAGTVGAGSFAMVAGASAGDLDVVAP* >Brasy1G189800.1.p pacid=40058820 transcript=Brasy1G189800.1 locus=Brasy1G189800 ID=Brasy1G189800.1.v1.1 annot-version=v1.1 MEMAPVLLLLVLVAVSFRGSGAAWCVCRPNATEDALQKTLDYACGHDADCAPVLTGGSCHSPDSVAAHCSYAANSYYQRNSQTQGATSCDFGGTATLSSTDPSSGTCKYPATPSAAGTGNGTSSSSPTTPGMGGSFTTPIGAYGPAANTLSPENDAPPRAAAAAFVGLRGLLGVASILAFLLQ* >Brasy1G055400.1.p pacid=40058821 transcript=Brasy1G055400.1 locus=Brasy1G055400 ID=Brasy1G055400.1.v1.1 annot-version=v1.1 MAFNGAHAFFALGFLLLMVSGSAAAALGTCEFPSTTYRGRCQDNLCAAACHGEHFKGDTSKPGIGGGCSDYQRLDGGDGGYHVGPRCICIVDCGRKDDKAAPPPAEQYDPERRHRHHHHSPPPPAAQEPPAEKDEAESRYAEMWWYHHKSPPPPPAEKPPAPEPPAIGMTN* >Brasy1G228500.1.p pacid=40058822 transcript=Brasy1G228500.1 locus=Brasy1G228500 ID=Brasy1G228500.1.v1.1 annot-version=v1.1 MAGAVSALFLLDIKGRVLVWRDFRGDVTAVQAERFFTKLLDKEGDAEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDKVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIEFMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSATYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITAEEGGPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLL* >Brasy1G497500.1.p pacid=40058823 transcript=Brasy1G497500.1 locus=Brasy1G497500 ID=Brasy1G497500.1.v1.1 annot-version=v1.1 MGKLLCDSSSAAVAIEGPAPPLQLLTWPAPAPETPAPTPAWTSVWALDDQQRRRLLRIWERGVAWKPPRASCPDTEEKDGADDDKDAGAVFRLDHAGEVDSDGNCLFTAARKAAAAKAEPRELRHRVVRRFEAVYAAAAEGSDDRAAVDAAVRHLYAPDLKAGWGVHVVQEVKLLAPKALRQDLDAAVQELVDIGIQREIAAETIYKDRCIAVNDGDSWAKYMAISGSAEDEHDIITLQYTEESLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICDAPIFLFMKGTAWCGAGADHYEPLIATVLPHVTPDKAAVVL* >Brasy1G371200.1.p pacid=40058824 transcript=Brasy1G371200.1 locus=Brasy1G371200 ID=Brasy1G371200.1.v1.1 annot-version=v1.1 MSLPAREPVSDPGPQRPWVILGSIPLVSKADNFLPGTDVFVGFADPPRVSIASVPRRIAPDAHIDNNNNYPHIVAVDDSTGRFLIYATQGLSHEPAVVERHDHIKLVHRGHAPAYFLCDAHTGAASRLPDPVGNPVLFPGNVGLIADPTTRADGGPHYVVAELQPMAGTAHATLLCYSTASGRWAEKEVLGYSPDHPPWGRDGVISFAERLWWVDLSYGLLACDPFASAPSLRHVPLPRGSVMAVAPRAGALDRQRCVRVSAGKLRYVQLHGIVPETQMVTMWTLDHQDDGETTAANAAWKREYQAMLSEIWADGSYKATGMPKRAPVLAAVNPQNPRVVYFFLDAWIFAVDVPVRRVLECDVCQMVDPPVELFSSRFVHAWELPPSLCPDNAASSTD* >Brasy1G530100.1.p pacid=40058825 transcript=Brasy1G530100.1 locus=Brasy1G530100 ID=Brasy1G530100.1.v1.1 annot-version=v1.1 MAAFASAAVVAAGPAVFPCRKGARRCVAARAAATAAVAVAGRTHYEVLGVGAGASRGEIKAAYRRLAREVHPDAMAGAGDGEGFIRLHAAYATLSDPDQRARYDRDVAALFRRAAPASFRRRTWETDQCW* >Brasy1G484500.1.p pacid=40058826 transcript=Brasy1G484500.1 locus=Brasy1G484500 ID=Brasy1G484500.1.v1.1 annot-version=v1.1 MAAAAAEEGRLTLLGFWSSPFVLRTRFVLNLKGIPYEYVEEDLFGAKSELLLAANPVHGGKVPALVLPDGRSVSESLIIVEYLDEAFPDSPPRLLPSDPHRRATARFWAAYVDGALLPAWIPLYGGSTAEERVEAARRVVGVLETFEGVLQRGDCAEEERGSGFFFGGESVGLVDVVLGGFIGWLRASEAMCGVKTIDASRTPLLAAWAERFGALDGVREILPEVGRLVEYNQMKRARGGLPFLPPHQPPQ* >Brasy1G312800.1.p pacid=40058827 transcript=Brasy1G312800.1 locus=Brasy1G312800 ID=Brasy1G312800.1.v1.1 annot-version=v1.1 MEGNKDDAVKSLRIGKDALDAGDTARAIKFLSKAKRLDPSLPIDHLLNPLLNKDDPSSSPAASSSSSPPQAPPPPPSRSAGAEANGTDGLRERKQKGKKKEGEDGGGDTAGVRTYTEEQLEVVHQIKKHTRDYYKILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPPTYNRRAASTARSYNGFYEDEIDPDEIFRNFFYGGMAPTTTRQFGQFGTFHFRTGGMHHAHGAQQGSGGSNVRMLIQLLPVLLLLLLNFLPSSEPVYNLSRSYPYEHKFQTPRGVTYYVKLPNFEEQYPQQSTERTTLERHVERDYYSIITQNCRVELQRRQWGLAYQTPHCDMLQKFEATAQ* >Brasy1G468100.1.p pacid=40058828 transcript=Brasy1G468100.1 locus=Brasy1G468100 ID=Brasy1G468100.1.v1.1 annot-version=v1.1 MATGGGGDGAFGAAAGAGGRMPTWRERENNKRRERRRRAIAAKIFSGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGCRPTERMDAIGCSVSPSPCSSYQPSPRASYHGSPTSSSFPSGASSPFLPHSNNTVNGVDATPILPWLQTFSNSTTSNKRPYLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESVIQPPWHGSNSPCVVNSTPPSPGRSMVPDPAWLAGIQISSTSPSSPTFSLMSSNPFSVFKEAIPVPGSNSSRMCTPGQSGTCSPVFPGMAQHPDVHMMDVVSDEFAFGSSSNDAQQAPGLVRAWEGERIHEDSGSDELELTLGSSRTRS* >Brasy1G275500.1.p pacid=40058829 transcript=Brasy1G275500.1 locus=Brasy1G275500 ID=Brasy1G275500.1.v1.1 annot-version=v1.1 MSSGGGGGGADRHGHGLYHQQQHGHGHLGSDYEFSSNDMESFFFSQPAAGDVGGGEIAPYASITDYLQGILDPSSTGIDVPCSSPVKHELSVDAARSHDLDSQGAAGALLTPNSSLSFSTSGGDDGEGKSRRCKKQVPAKDAEEEEDEGVKELQDDGENSKKGNNKGKKQKAEKRQRLPRVSFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGSAAHLFMPPPQHLGLMAAPPQLFRPDLMSMMQHMQYQNPNMYMPTSIPPPPHPPMETPPPPPHLQQHHFTDYALLQDLFPSTMPNNP* >Brasy1G101200.1.p pacid=40058830 transcript=Brasy1G101200.1 locus=Brasy1G101200 ID=Brasy1G101200.1.v1.1 annot-version=v1.1 MVKCKFWCMQCRSLPTTHDRKVTWTMSTEYEPPPDSSLFINMSMHHWPGKAITALLHDSASQEMHKITLWILTEL >Brasy1G025400.1.p pacid=40058831 transcript=Brasy1G025400.1 locus=Brasy1G025400 ID=Brasy1G025400.1.v1.1 annot-version=v1.1 MAAAAASHVSTARRPVQLRLLTAERANGVAAAAAVGMPRREARVVAAPLRARARRIDAAAWAAAGVGGMRRRSGRGKEPACAAAGQVAAGGAGVGSRSVGTEVALATAAVVVMGTGNRVLYKLALVPLRQYPFFLAQFATFGYVVVYFSVLFLRYQAGAITDEMLSLPQKPFILVGLLEALAAAAGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRVNEITGCFLVTVGVVITVASGSGAGASLQSTGILWPLLMIISFFLQAADTVLKEIIFIDAAKNLKGGSVDLFVVNSYGSAYQALFMCLLLPILSKLWGIPFHLLPTYIRDGAACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSCLASTFSVPLSIYAFTLPLPYIGVASSLPPGFVAGAAVLIAGLLLYCLPQAQNSGNTLDDRND* >Brasy1G025400.2.p pacid=40058832 transcript=Brasy1G025400.2 locus=Brasy1G025400 ID=Brasy1G025400.2.v1.1 annot-version=v1.1 MAAAAASHVSTARRPVQLRLLTAERANGVAAAAAVGMPRREARVVAAPLRARARRIDAAAWAAAGVGGMRRRSGRGKEPACAAAGQVAAGGAGVGSRSVGTEVALATAAVVVMGTGNRVLYKLALVPLRQYPFFLAQFATFGYVVVYFSVLFLRYQAGAITDEMLSLPQKPFILVGLLEALAAAAGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRVNEITGCFLVTVGVVITVASGSGAGASLQSTGILWPLLMIISFFLQAADTVLKEIIFIDAAKNLKLTFLLSTHMALLIKLFLCASCCQSCRNYGVFLSIYYQHTSEMVLLAF* >Brasy1G025400.3.p pacid=40058833 transcript=Brasy1G025400.3 locus=Brasy1G025400 ID=Brasy1G025400.3.v1.1 annot-version=v1.1 MAAAAASHVSTARRPVQLRLLTAERANGVAAAAAVGMPRREARVVAAPLRARARRIDAAAWAAAGVGGMRRRSGRGKEPACAAAGQVAAGGAGVGSRSVGTEVALATAAVVVMGTGNRVLYKLALVPLRQYPFFLAQFATFGYVVVYFSVLFLRYQAGAITDEMLSLPQKPFILVGLLEALAAAAGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRVNEITGCFLVTVGVVITVARCNPGQGVWVVLVPMLSYHYP* >Brasy1G153400.1.p pacid=40058834 transcript=Brasy1G153400.1 locus=Brasy1G153400 ID=Brasy1G153400.1.v1.1 annot-version=v1.1 MAPAALSAPVLRISPHSAAAAAFAGGLRFAKTTTHLPAGVHSGKAVLRSNELLRAAGQRRPSALRSSLDDSNPTDDKKEFGYSRKDVLLIGVGVTLLGYGLKSGLELVGVDPLQAGNVVQLVIVLGMTVGWISTYMFRVANKDMTYAKQLRTYEKQVMEKRLESLSEADLQVLLEQVEEEKERLPVRDQGIIINRKTEDQISTN* >Brasy1G434400.1.p pacid=40058835 transcript=Brasy1G434400.1 locus=Brasy1G434400 ID=Brasy1G434400.1.v1.1 annot-version=v1.1 MLPASHPLLYACAFRDSALVAELAHEHDPGTAGAGSTDDLPALAASLASAAPPHHRYVTHSAAGRAHALLLAPPLVLAAVSLAPQLPASHLLLFLRRLRCLPEHRMRDEMARLALRLPFLDDEALAREAADVTAAEAEAEEAARREGELARGTPKRERGGAGRAWRRQLWLIILADLVLLGVLFGVWLAVCRGFSCIGR* >Brasy1G476400.1.p pacid=40058836 transcript=Brasy1G476400.1 locus=Brasy1G476400 ID=Brasy1G476400.1.v1.1 annot-version=v1.1 MMMMMSEGVSVPPWGSLPVSGVDAGGVGGCGEDEMSPHIFAALRHFLPCNDVAGFGADDEDEAAAMAAAVDAYGGDEFRMYDFKVRRCVRGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQACKDGTACRRRVCFFAHTREQLREMPAPQHSSPRSTPLSPLAESYDGSPLRRQVFESYLNNKGIVSSSPTSTYLSSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRIGKAKSAPSGGWTYPASAAAVAYGSPRDAGLYSLPSSPMATPTATSGFMANLEPLSLCFMDDDEPVQRVESGRALREKVFERLSRDGTPSGVAAAGVGGPDVGWVSDLIN* >Brasy1G526200.1.p pacid=40058837 transcript=Brasy1G526200.1 locus=Brasy1G526200 ID=Brasy1G526200.1.v1.1 annot-version=v1.1 MEAGGCLGQFKAAMWACHPDLADKRPSEAAGGGVEACVKATAALRKCMMSNKHVFRGYIQTMDEEIEKAEEERRSKSSAADQGQDELFAWQFKWRTGMRRC* >Brasy1G404600.1.p pacid=40058838 transcript=Brasy1G404600.1 locus=Brasy1G404600 ID=Brasy1G404600.1.v1.1 annot-version=v1.1 MEGFSQEHVIGIPLASFAYADEETQGKLSCSALVHKKDKKNSIIYRMSRLSQKTDSYVQGFKEHITLGQNLSETVKGKLILGAKVLQAGSMEKVFRQYFPVKKEEKLLKAFQCYLSTTAGPIAGMIFISTEKIAFHSDRPLDLTSPKGHVTRVPYKVMIPTKRIKNAAVRGNLYNPDEKYIDVVTVDGFDFWFMGFISYKKSFKYLQHVISELR* >Brasy1G199100.1.p pacid=40058839 transcript=Brasy1G199100.1 locus=Brasy1G199100 ID=Brasy1G199100.1.v1.1 annot-version=v1.1 MGRFGLFRAAAAAGDGGGCLPLPIIAAEAALAAIDAAISAAAFVQLVRIHRHNQQHGWTRQKIFHIMIGLCNIVFGVYFMSTIIATCKRWVCWVHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEDDVRSHHEALLDRTKNKLSTRPADVCRKCCPGIQLGSRQKFVILVLVLSFAVMLAFAILIWLGRGENPIDSSLLKKVYLDVFSVVVLVLGGALACYGALLFSKMSKVRSETVSTEKWKVASLAAVSLICFSSSAILALVTNVPVLLYWYSTDADIINNAVILFLYYFIGSSVPSGFVLWVMRDMPQRPTVERPTQSRVVTLFRDRPSTTQDPQWRTVVTSSNKALKSSPI* >Brasy1G200200.1.p pacid=40058840 transcript=Brasy1G200200.1 locus=Brasy1G200200 ID=Brasy1G200200.1.v1.1 annot-version=v1.1 MRWWGGSSNKQQPRDQGQEESKRGRSKAAFSPLAWLSKLTATKSNAVASNPKYCAAPAPETKNAGSGFPSCFHKRATPTPSPPPSQSSPAAAHSSPAAATAPEVPLAPRRLSVGDGNEDAETAAVVRQLSRRRHYSVGGDRDLPPLRHLIPFSRAASPPEPATLLPPSSDTDEEEEKRPRVIIRPRRRCRGGRSGRRPSFSGRNPLTPGATRLAVRVRSPRCGAVSGSSGLERFAVVRRTSDPQREFRESMVAMIASRGIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFDLKLKPSRAAAAARS* >Brasy1G201700.1.p pacid=40058841 transcript=Brasy1G201700.1 locus=Brasy1G201700 ID=Brasy1G201700.1.v1.1 annot-version=v1.1 MAKPESWPCVAWLLLAMGFTAMVSSEAYVFYAGDHDGWVVDPAESYNHWAERNRFQVGDTIVFTHGESADKVVLLVNEPDFDTCNTGSPVRRLDDRGGRSEFRFDRPGPFFFISGDEDRCQKGKKLYIVVMAVRHAKAPATAPAPGPMWASAPDQYAQGRGDEGVSRTSQKAPPPTAGATRLGLGGVVFGAAAVGILGAFVL* >Brasy1G083000.1.p pacid=40058842 transcript=Brasy1G083000.1 locus=Brasy1G083000 ID=Brasy1G083000.1.v1.1 annot-version=v1.1 MPRKFANNFSGQIDKGCTLKAPSGETWRVGIEKIADKLFFVSGWDAFAKARELQEHDILFFKCSSSGSFDVLIFDASGCEKVSCFFTDKKGTNMHKHFDHIVSDVNVPPSPLVGSPHKASASNKPSGKTKTREETESPNNRDYRVKHELTEEEENNDDEDTDSNKYYSRFANYLTLGEREEIFGLKSHVRHRNKFLIISSKFAADHLEGRSQDMLLLRPNRKKKWYVKFQVFNCQRWVQFVCDNICIFELMKGARKATMMVHVIRKVDDRFVLLG* >Brasy1G408800.1.p pacid=40058843 transcript=Brasy1G408800.1 locus=Brasy1G408800 ID=Brasy1G408800.1.v1.1 annot-version=v1.1 MQGHAASEDALATAPSSPTRRLCCGQDVVDCAEDDDGDDTGGIHFFFSAPASPVHYILCSPPASSSSSAASVHHAEGDGCAGDFEFASARHRVAGTGMSSAEDLFLSGRIRVGSLSPIRQETGHGEEEEEDGDDGGGHSPRPRRARSASPPRTPQFAENGAAETPSESFASSSSSSSSSSSASSAKTIRRRISLRDLLGRTCSSDSSSSAGPALASAINSGAEAEIRSSFWLPSIWPSRTKKGPLLPCPCPAPPPHPGRRSTSSVRAAPGGHGRQREGAPPRRTTSLPYRQGLVLGCLGLGARSYGLAKSMHPLSTR* >Brasy1G554200.1.p pacid=40058844 transcript=Brasy1G554200.1 locus=Brasy1G554200 ID=Brasy1G554200.1.v1.1 annot-version=v1.1 MSSVVNAEYMAEIDKARRDLRALIASKSCAPIMLRLAWHDAGTYDKKTNTGGPNGSIRFPEEHSHAANAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSSVCPEEGRLPDAKQGAAHLRDVFYRMGLSDKDIVALSGGHTLGKARPDRSGFDGAWTKDPLKFDNSYFVELLKGETDGLLKLPTDKVLVEDPVFRRYVELYAKDEDAFFRDYAESHKKLSELGFKPPRAYKSSGGNIKGLLTRAATMVAVAAAVVAWAYLQGPNKKLD* >Brasy1G235600.1.p pacid=40058845 transcript=Brasy1G235600.1 locus=Brasy1G235600 ID=Brasy1G235600.1.v1.1 annot-version=v1.1 MAAAVAEATTPRRRRESVALGDLLQREASAERAEAAGGERPGVAAGQAGRARKGEDYALLKQSCERYPGASFSAFAMFDGHNGAAAAVYAKEHLLSNVLGCVPADLSRDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTLVIIDGSVVTAASVGDSRCVLEAEGSIYYLSADHRFDASGEEVGRVMGCGGEVGRLNVIGGAEIGPLRCWPGGLCLSRSIGDQDVGEYIIPVPFVKQIKLSSAGGRLIISSDGVWDALTAEMALSCSRGLPPEAAADQIVKEAVDSKGLRDDTTCIVVDIIPPGKPKSRLHSSKKTRSGFSLLKNVFFRKTISDKLSNADKERTSGPDLVEEVFEDGCPSLLRWLDSEYPVRNMFKLFICAICQVELQSGQGISIHEGLSKPGKLCPWDGPFLCHSCQEKKEAMEGKRPSRAIGRLHVLSWDSNLK* >Brasy1G235600.2.p pacid=40058846 transcript=Brasy1G235600.2 locus=Brasy1G235600 ID=Brasy1G235600.2.v1.1 annot-version=v1.1 MAAAVAEATTPRRRRESVALGDLLQREASAERAEAAGGERPGVAAGQAGRARKGEDYALLKQSCERYPGASFSAFAMFDGHNGAAAAVYAKEHLLSNVLGCVPADLSRDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTLVIIDGSVVTAASVGDSRCVLEAEGSIYYLSADHRFDASGEEVGRVMGCGGEVGRLNVIGGAEIGPLRCWPGGLCLSRSIGDQDVGEYIIPVPFVKQIKLSSAGGRLIISSDGVWDALTAEMALSCSRGLPPEAAADQIVKEAVDSKGLRDDTTCIVVDIIPPGKPKSRLHSSKKTRSGFSLLKNVFFRKTISDKLSNADKERTSGPDLVEEVFEDGCPSLLRWLDSEYPVRNMFKLFICAICQVELQSGQGISIHEGLSKPGKLCPWDGPFLCHSCQEKKEAMEGKRPSRDSSSRNSGSSE* >Brasy1G016100.1.p pacid=40058847 transcript=Brasy1G016100.1 locus=Brasy1G016100 ID=Brasy1G016100.1.v1.1 annot-version=v1.1 MRRLLFAELLALLLAVALLLLLLLLLRHRRRGSCWSIGKRPPPTQLLPVSDPPAPAPTKKERVRQALRRVLCSRRPCARVEPAASPANSSPDAQAEDQQHQQAAVAEEEIEVAAWRERWFAAAGQCASRALYTIDEDGESAAVKSEAGSVCYQEQEEEPETPFYTPPASPSRLIQHSPPVTSSS* >Brasy1G244000.1.p pacid=40058848 transcript=Brasy1G244000.1 locus=Brasy1G244000 ID=Brasy1G244000.1.v1.1 annot-version=v1.1 MAATPEELSGVVEPVPITPSVFLDHPTTTHGDSKQPQDDLSLAYISRMLMEDDIVDKFSYQYPDHRKLLQTEKPFAQILSAAATISSRAQESSAPGTLAPTLMPSQDNMDIMVSGFFSCEVQDPAFLNGTYVVESNSTLFPSDSSSSMDTNVAFFKGMQEANMFLPRDNGTGMVDGRGRKNRLEIDGEAEAGMGRSSKQIAVLVHTESEEDTTTKKRLDCLILNGYDRYPGEMQEVLITLDKENKAAEKIIRMRGRRGVKQTVVTDLETLLIRCAEAVASNDRRSASELLEQIKRNSSPRGNARQRLAHYFSQGLEARLAGTGSQFYRSLIGTGISTVDLIKAYHLYSATCCFVKVAFLFSNKTIYNAVAGRKKLHIVHYGINTGVQWPDLIQWLADREGGPPEMRMTSINIPQAGFHPSEQNEAGHRLRNYASRLGVPFKFHAIETKPEAVRAEDLHIDPDEVLVVNSIFQFRTLMDESLTFDRVNPRDMVLNTIRKMKPSVFVHAVTNGPYSAAFFMTRFRHALYNFMVSFDVMETMVPRDNDMRLQVERDIFARCAMNMIACEGTDRVERPQNYREWQTRIQRAGLRQLRLDPDIVLMLKDKVKNQYHKHFMINEDHRWLLQGWKGRVLYALSTWVAEDTTSSEVT* >Brasy1G559900.1.p pacid=40058849 transcript=Brasy1G559900.1 locus=Brasy1G559900 ID=Brasy1G559900.1.v1.1 annot-version=v1.1 MPPRRRVPATPCRRDVPCRRDVPRLHGLPAAPLRPLRHHAAPPRSPHARRHNAPPPRSPRTHRAPQPRLEALIPNVGAALRRHTPNSPPATRTPEDGRRRTRDTSISGDIRLGAQTPLPTNGFSLLKLGTYPYLAHIRTRTRPYTTAAPATTTGTPTRETTGDYIFLLATTLFSLSTAL* >Brasy1G164200.1.p pacid=40058850 transcript=Brasy1G164200.1 locus=Brasy1G164200 ID=Brasy1G164200.1.v1.1 annot-version=v1.1 MRNGAQAPRGSGGGGVGLFSARSLSSYMRIMSSGASTAASTLRSAGASLVNSIASHEEDGSRDQVQWAGFDKLECGDDILRQVLLLAYKSGFQVWDVECADDVRQLESRHDGAVSFMQLLKKPIASKKGEDIFVDARPVLALAGGGTSTGSANSHDANGPGFNGTNGTYHNIGSEKLSTVLLFYSLKDHDYIHTKKFRSAVYSIRCSPRVVAVSQATQIHCFDAATMELDYTLLTSPIVSPISGYGPLGLGSRWIAYSGSPVPVPNTGRVSPQLLSMSPIVPPPGSNSSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCSDLVPNGNNGTIKQANSGFKANGLTNGHTMDNEYAGTVIVRDIVSKAMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRIMPSLDGSASEDGPNGTYVHLFKLQRGITNAIIKDISFSDDSEWILISSSRGTSHFFAISPYSGSTSFHYSDNNLAENNYLVDSSVKHATHWSQNSTPSLSLNQKKLSVSGPPVTLSVVSRIRNGSNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGGVPNSDGSLLCMKYYLLVFSPSGSIIQYVLHHSDEQDSGIDFPSDAISYGSQRETETKFVIEALQKWDVCHKRNRRDTAESYLYNDFDNGLNSKLFQKVTRKGTSIYPSNCSATERLKLSADENHNYYISESELQTHVVQTPVWSRSGVHFQVIVGRTPEADNSDTVSGEIEIEKVWTRNIESRSKNLIPVFESLHASRFQQPRVSTPDSKRSGLLQQQKSGFSADGRLSRRSSCSSLDCMSEVPNSTDDNGSTQYLVEDSATAVNMNSNVNHQAELVNNTESLNPEAQLGFVNSKEDCEDREPLPDL* >Brasy1G133800.1.p pacid=40058851 transcript=Brasy1G133800.1 locus=Brasy1G133800 ID=Brasy1G133800.1.v1.1 annot-version=v1.1 MADGGSHDSGSPRGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVEPLKTYLHKYRDMEGDSKLTSKSGDGSVKKDTIGAHGGASSSNAQAMVQHGAYPQGMGYMQPQYHNGDT* >Brasy1G528800.1.p pacid=40058852 transcript=Brasy1G528800.1 locus=Brasy1G528800 ID=Brasy1G528800.1.v1.1 annot-version=v1.1 MVTPATHPQLRMVTSGLTSLTIGEDIPKKVRLSCFSYADAEAEASIRAELVKPMEKNMFAFYDEAIRRLPVADMPELKDCVLTGGHCIGLLDPVSNIIFNALNLLCRRLCSKEPRKGRKREGKRPSAPRFRSQGMTEEEEKVAESFRLITIALSSYYKGLHAFMRTYFRYLSKGQALRYLNLAGADLNVAVQLGQHQQFGPEDRHSPDLCCGRTQFALKVAATIAGHPNPDDLAQLARTLVPFKDHKPLAAVLQKGQLLSVDDVNGILSSLQRQHYAASPAVQISFLRRPHPDLDQNFGLPGQQPSHFSCVVDENYVADVSISRYDVAVSSICDLRTPNEMKQLLFNCLDIAATTIGKCCLPHMTGDPATASPLATCKCEHIKYLRMCLADTIHAFYIEALSLLPHKPLHKHLRGILVAGHCYGPMDPVSNIILNAIWYDTVCPLLDIDADVEPDILDSKSLLRMEVRSLNGLVSLFCTATGLSEHDAMEYLCYKQCDLSVVLQMVTKERSFKAYDCAGQSGKHPKHSELASFLVSKCGNDIQGLMTSLMNETTRKSYAISDASLEQVYKIVRDQSSFTAPPSVLPRLCPEAWKELGSRKVDFVQQQRFLRQILVELLLDYSNQHPWELVHRLDVICGVNKFSCEHSKCCYHVNFLAYCDDDASARKLFFAQVWGSSSKAKPSEPGPSLKMAPVPCTNGHGIQSHSPAKIYSKSGNMKTQTSFCCPLPHYKVDHPYLGRCTFCEPTSSKIAHPPSGKHAGANCREIGNLLDYLNSFKLPASAADCTTDSDYVYFDCLRDAKFAEVLSGMSPLEIK* >Brasy1G528800.2.p pacid=40058853 transcript=Brasy1G528800.2 locus=Brasy1G528800 ID=Brasy1G528800.2.v1.1 annot-version=v1.1 MVTPATHPQLRMVTSGLTSLTIGEDIPKKVRLSCFSYADAEAEASIRAELVKPMEKNMFAFYDEAIRRLPVADMPELKDCVLTGGHCIGLLDPVSNIIFNALNLLCRRLCSKEPRKGRKREGKRPSAPRFRSQGMTEEEEKVAESFRLITIALSSYYKGLHAFMRTYFRYLSKGQALRYLNLAGADLNVAVQLGQHQQFGPEDRHSPDLCCGRTQFALKVAATIAGHPNPDDLAQLARTLVPFKDHKPLAAVLQKGQLLSVDDVNGILSSLQRQHYAASPAVQISFLRRPHPDLDQNFGLPGQQPSHFSCVVDENYVADVSISRYDVAVSSICDLRTPNEMKQLLFNCLDIAATTIGKCCLPHMTGDPATASPLATCKCEHIKYLRMCLADTIHAFYIEALSLLPHKPLHKHLRGILVAGHCYGPMDPVSNIILNAIWYDTVCPLLDIDADVEPDILDSKSLLRMEVRSLNGLVSLFCTATGLSEHDAMEYLCYKQCDLSVVLQMVTKERSFKAYDCAGQSGKHPKHSELASFLVSKCGNDIQGLMTSLMNETTRKSYAISDASLEQVYKIVRDQSSFTAPPSVLPRLCPEAWKELGSRKVDFVQQQRFLRQILVELLLDYSNQHPWELVHRLDVICGVNKFSCEHSKCCYHVNFLAYCDDDASARKLFFAQVWGSSSKAKPSEPGPSLKMAPVPCTNGHGIQSHSPAKIYSKSGNMKTQTSFCCPLPHYKVDHPYLGRCTFCEPTSSKIAHPPSGKHAGANCREIGNLLDYLNSFKLPASAADCTTDSDYVYFDCLRDAKFAEVLSGMSPLEIK* >Brasy1G387700.1.p pacid=40058854 transcript=Brasy1G387700.1 locus=Brasy1G387700 ID=Brasy1G387700.1.v1.1 annot-version=v1.1 MMRKPSKKRTIRSDPDGIAPASATTLSRPCIRRPSSLLPPFPISAAADLQRLECFAALRYPSAPPCEPDAVLGVALAPPPPPPRASCEADAPTCIALVRHPTSPPTPSLGD* >Brasy1G241800.1.p pacid=40058855 transcript=Brasy1G241800.1 locus=Brasy1G241800 ID=Brasy1G241800.1.v1.1 annot-version=v1.1 MARDDSPEKLRWSLAGKTALVTGGTKGIGHAIVEELAALGARVHTCARSAADLDRCRRQWQSKGLDLITASVCDVSVRGDRESLVGTVRGLFHGDLHILVNNAGQSLYKPAAETTPDDYARLMAINLDPCFHLAQLAHPLLRHAGASSVVYISSVTGFIAYPALSVYSLTKGGMHQLSRSLAAEWATHGVRVNCVAPGGVETEFSANTLATDPDMARRLAEMETARVPMRRFCKPHEVAALVAFLCMPGAAYITGQVICVDGGRTIAAKL* >Brasy1G223100.1.p pacid=40058856 transcript=Brasy1G223100.1 locus=Brasy1G223100 ID=Brasy1G223100.1.v1.1 annot-version=v1.1 MTAAVFAGDGATARGGCLAECASGSERPPDLGRSRAARAGDGCGKRSVYLMECVPLWGCAATRGRGPEMEDACAAVPRFADVPVRMLASSRELDGLGVNFDAAELRLPAHLFGVYDGHGGSEVANYCRDNIHVVLTEVLRAGRGLGDLGDVDAKEPWENVFGDCFQRVDDEVSGKASRFSNGVKEFRQEPIAADNVGSTAVVAIVCSSHVITANCGDSRVVLCRGKEPIALSIDHKPDRKDERARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFLIPKPEVTVVPRAKDDDCLILASDGLWDVMSNEDACKVARRQILLWYKNNDGAYPDEGGEPTMNPAAKAAADCLMRLALMKGSEDNITVIVIDLKSRKKPKGKS* >Brasy1G484600.1.p pacid=40058857 transcript=Brasy1G484600.1 locus=Brasy1G484600 ID=Brasy1G484600.1.v1.1 annot-version=v1.1 MAARGGSGVGGGGSAGRVGDWDVDLGAGWDWRAIPQLLSSACIFICSGGCFGCCEKAVKHVGELSKSLLTQDLHPTVGEEFWSTTTIEVDQADLRGIPPFHPTSFPLDQHGAGSSHNPSEFGYSLWEQTREEWTDNRREQPVVKQIHEPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD* >Brasy1G146000.1.p pacid=40058858 transcript=Brasy1G146000.1 locus=Brasy1G146000 ID=Brasy1G146000.1.v1.1 annot-version=v1.1 MGQQPGGEAASSAPYNADCLGIPGVRFVPSDEELIIHYLHRKLHDRPLPIDVVHVADVYAEHPKDLTSKLGESLEGSWYVFSDRNRKYPKGKRPSRNTGEAGRWKATGKEEEILAARVLAYEEFVHDGLHTSGSKSKSKRPTKKTEWKMFEFVVSTNSDRPIGSEANTMLVSPIYI* >Brasy1G563500.1.p pacid=40058859 transcript=Brasy1G563500.1 locus=Brasy1G563500 ID=Brasy1G563500.1.v1.1 annot-version=v1.1 MGARSGASRRRRRGLLRRNEHVISSRRPMDAGDTSCWATSLHADLVRLIGWRVLAGDLLDYVRFRAVCPLWRSSTVRPRGRGLVDARFRPRQWMVMAEKRGLYPGHPELLGYVRFFNLSTGYFVRSRIPLFENHKVLDSADGLILLLREQDHTVRLLHPFTGDIADLPPLTTLLRDFGDGLNFSSRDLSALGDLSATSVSVSLDGVITVMIIFSGLSRVAFASSGIDHQWRVSTWSLTIPLWWSVSFKGRLYVLQCYKYYGDPQLFQIDPPQHKDMGLSDRPKLIATCRGKIQPPFHLVACDSDIFIIGLSNIPNSVHMLVYRLVDLIVNKVVPVTSIGGNILFANNVRSLSVSARALPTIMGDGIVTTAPGDIYFGKNNDLGIYHLKDCTWLPAVDADEYHDKWVSKPSPRNLVHRIFWRHFNLKKSGNYFPRNNTKPSLVKTNWRDEV* >Brasy1G563500.2.p pacid=40058860 transcript=Brasy1G563500.2 locus=Brasy1G563500 ID=Brasy1G563500.2.v1.1 annot-version=v1.1 MGARSGASRRRRRGLLRRNEHVISSRRPMDAGDTSCWATSLHADLVRLIGWRVLAGDLLDYVRFRAVCPLWRSSTVRPRGRGLVDARFRPRQWMVMAEKRGLYPGHPELLGYVRFFNLSTGYFVRSRIPLFENHKVLDSADGLILLLREQDHTVRLLHPFTGDIADLPPLTTLLRDFGDGLNFSSRDLSALGDLSATSVSVSLDGVITVMIIFSGLSRVAFASSGIDHQWRVSTWSLTIPLWWSVSFKGRLYVLQCYKYYGDPQLFQIDPPQHKDMGLSDRPKLIATCRGKIQPPFHLVACDSDIFIIGLSNIPNSVHMLVYRLVDLIVNKVVPVTSIGGNILFANNVRSLSVSARALPTIMGDGIVTTAPGDIYFGKNNDLGIYHLKDCTWLPAVDADEYHDKKKSGNYFPRNNTKPSLVKTNWRDEV* >Brasy1G408000.1.p pacid=40058861 transcript=Brasy1G408000.1 locus=Brasy1G408000 ID=Brasy1G408000.1.v1.1 annot-version=v1.1 MKLQQVAAALAALVAVALAATTASAVTFEASNTASGTAGGQRFDQAFGLDYSKQVLSDASAFIWATFNQPSEADRRPVDAVTLVVEDTDGIAFTSGNGIHLSARYVGGITGDVKIEVAGVLYHEAVHVWQWGLQDYSAHSWIFEGIADYVRLKANYVPGHWVAAGGGDRWDKGYDVTARFLDYCDSLKAGFVADMNGKLRDGYSDDYFVQILGKSVEQLWDDYKAKYSQG* >Brasy1G043900.1.p pacid=40058862 transcript=Brasy1G043900.1 locus=Brasy1G043900 ID=Brasy1G043900.1.v1.1 annot-version=v1.1 MAVVIMRVNSSKQTKPSPSASTTPIMRRQSSAEQRCSSPSAASTAPSSSAEIRPSPSASNTANASRSSPSSAAAAVTSASASAIWSAGSSASAAASSSSVATTRRSATSSSRRVTGAAAAKVLGGAGAEEEEDADAGGRTVLGVDEDAVEPKELLFPAAPLLVFFFPALLPPLSFLAADPEPKKCVSFMAGRALAPHNRAHVRVLGS* >Brasy1G027300.1.p pacid=40058863 transcript=Brasy1G027300.1 locus=Brasy1G027300 ID=Brasy1G027300.1.v1.1 annot-version=v1.1 MALASASGAMFPPTNSASKLWEDPSFFRWRKREAHVPLRSHDTLEGALKYWHERRNVSYLDSESAVWDDDAVRGALESAAFWSQGLPYAQSLSGYWKFHLAQSPESVPEKFYDAQFSDSDWEALPVPSNWQMHGFDRPIYTNVTYPFPMNPPFVPSENPTGCYRKVFHIPKEWKGRRILLHFEAVDSAFLAWVNGVPIGYSQDSRLPAEFEITDCCHHCDSGKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPEIFITDYFFKATLDKNFLVADIEVEVEVDSHKQGREHISTLSIEAKLFDNSGLSGGLNSDMSAANVVNLKAKPKPKPSHCHGFHGYVVGGKIENPKLWSSEKPNLYTLVVLLKDAKGKLIECESCQVGIRDVVLAHKQMLVNGCPIVIRGVNRHEHHPRVGKTNIEACMIKDLVLMRQNNINAVRNCHYPQHPRWYELCDIFGLYVIDEANIETHGFDESSSFKHPTLESIWTNCMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWVRGRDPTRLIHYEGGGSRTSSTDIVCPMYMRIWDILKIANDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTMGLQGGFIWDWVDQGLLKQDVDGSKFWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVYEVKHLYQPIKISLMDNTLKINNVQFSETTEALDFSWILHGDGCVLGSGSLDLPDIAPQSSHLINMQSSPWFTLWSACAAKETFLSINVKLREQTQWSKDGHILASAQLCLPQTNSFVPHVIALSRSSLVSEHVGDSVIISKNKDWQIKVNTRSGTIDSWKISGIELLSKGILPCFWRAPTDNDNGGFYTKSYATRWREAFLNNIVFRSSQFSVNERPDHVVEVSTTYYGLPGRLAKPDDAALSKAPESALFQVHMCCRMYDSGDVILEYKVNPKSDLPPLPRVGIVFNADKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRADVRWAALRNAEGFGLFASVHGESPPMQMSASYYGTTDLDRATHKHKLVKGDDIEVHLDHRHMGLGGDDSWTPCVHEQYLLPPAQRYAFSVRLRPLLPSTSCHDIYRSQLPH* >Brasy1G502200.1.p pacid=40058864 transcript=Brasy1G502200.1 locus=Brasy1G502200 ID=Brasy1G502200.1.v1.1 annot-version=v1.1 MVPPPTPLPPPSSSSPLIRRPLEAPEVATNLRHRPASPRPKTPPLEPAEVSTNFGRRPAPPRPKPPICVYCVFSKSRVKLAKLSR* >Brasy1G419400.1.p pacid=40058865 transcript=Brasy1G419400.1 locus=Brasy1G419400 ID=Brasy1G419400.1.v1.1 annot-version=v1.1 MAKTRPPKKILESYTIKGSDKVIKPGDCVLMRSVDTSKPPYVARIESIEAAGSRGTNVRVRVRWYYRPEESMGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDYFCRFEYKSASGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKEAKKREHFFCQSCTTEGHGKTAENSHEATAQSEEKPVESKRRRR* >Brasy1G010300.1.p pacid=40058866 transcript=Brasy1G010300.1 locus=Brasy1G010300 ID=Brasy1G010300.1.v1.1 annot-version=v1.1 MCCCVSYSYTSSLPTEEKSREEQQCSVAMEVEAQASYSYGFLPSGRHQAYAPHPPHPPEDGELWEYFPCPFCYIEVEMPFICNHLQEEHCFDTRNAVCPICAENLGKNMSAHFRVQHSHLLKQRRKPSKPSPWPSAAHGEEPYEVNSYMMNSRPCQDPEPDALLSQFICSAEQTESKPHDRARRHGAGSSTTSDVQRSAQRVSQLELEERLQRIEFLKEIITSTII* >Brasy1G010300.4.p pacid=40058867 transcript=Brasy1G010300.4 locus=Brasy1G010300 ID=Brasy1G010300.4.v1.1 annot-version=v1.1 MCCCVSYSYTSSLPTEEKSREEQQCSVAMEVEAQASYSYGFLPSGRHQAYAPHPPHPPDGELWEYFPCPFCYIEVEMPFICNHLQEEHCFDTRNAVCPICAENLGKNMSAHFRVQHSHLLKQRRKPSKPSPWPSAAHGEEPYEVNSYMMNSRPCQDPEPDALLSQFICSAEQTESKPHDRARRHGAGSSTTSDVQRSAQRVSQLELEERLQRIEFLKEIITSTII* >Brasy1G010300.2.p pacid=40058868 transcript=Brasy1G010300.2 locus=Brasy1G010300 ID=Brasy1G010300.2.v1.1 annot-version=v1.1 MCCCVSYSYTSSLPTEEKSREEQQCSVAMEVEAQASYSYGFLPSGRHQAYAPHPPHPPEDGELWEYFPCPFCYIEVEMPFICNHLQEEHCFDTRNAVCPICAENLGKNMSAHFRVQHSHLLKRRKPSKPSPWPSAAHGEEPYEVNSYMMNSRPCQDPEPDALLSQFICSAEQTESKPHDRARRHGAGSSTTSDVQRSAQRVSQLELEERLQRIEFLKEIITSTII* >Brasy1G010300.3.p pacid=40058869 transcript=Brasy1G010300.3 locus=Brasy1G010300 ID=Brasy1G010300.3.v1.1 annot-version=v1.1 MCCCVSYSYTSSLPTEEKSREEQQCSVAMEVEAQASYSYGFLPSGRHQAYAPHPPHPPDGELWEYFPCPFCYIEVEMPFICNHLQEEHCFDTRNAVCPICAENLGKNMSAHFRVQHSHLLKRRKPSKPSPWPSAAHGEEPYEVNSYMMNSRPCQDPEPDALLSQFICSAEQTESKPHDRARRHGAGSSTTSDVQRSAQRVSQLELEERLQRIEFLKEIITSTII* >Brasy1G318300.1.p pacid=40058870 transcript=Brasy1G318300.1 locus=Brasy1G318300 ID=Brasy1G318300.1.v1.1 annot-version=v1.1 MGLLALKRFMSMRQDRLHRQIQSHRMSSASVAKRKSSPSEQDDNSQGGKRMRYSGPDLPMDIWHDIHSLLPLPDAARLGCVSRAFLSSWR* >Brasy1G052800.1.p pacid=40058871 transcript=Brasy1G052800.1 locus=Brasy1G052800 ID=Brasy1G052800.1.v1.1 annot-version=v1.1 MRRCYRGSPMADQWVAYALQHAAPELDLALRLDLHGPTGGSCPGRRAAAAIRPPDKDLPLHAVASPDSDDTPLRPEADDDEDDDDVASSADEERRAFESPDREYTVQRGLFSCAALRSLRLAFCKLCTPPEAAAISLPSLSELHLSNILDDAGEESVQRLVSACPRLADLTLEHCDTIPTLSLLRNTRLRSLAVRCCHELTSLAVDAPELRRFEHRGAVPDNASFLAIRSAFSVASCRLDICGGARSEDPEQLGGNLASLLQPFAPTAEHLELACARMAGSPFARLPELPRLRSLHLSGVVPHDAAHDLDAATISGVLRRAPGLETLTLLFERQPVVDDDDDGLDNEGDYLDAHHLRYGHYDALDAPAAAIPACLGSTVRRINLVHYQGGRAQRTLARFLLRNAMVLEKLYCGFAEGPLWVQTQLVREMQGWVVNVNEKASKEFR* >Brasy1G568100.1.p pacid=40058872 transcript=Brasy1G568100.1 locus=Brasy1G568100 ID=Brasy1G568100.1.v1.1 annot-version=v1.1 MANPSYYQTAPPVSSQVQHQEHVFHMYTHRQFGTNDRPIVSAVDHSTHFGCTNVVDWEIREAPDTEAEIVGRLQGLCISAEQSTPYCYYISSIIVFTDQRFNGSTLSVQGRYGPVTTDPEEGYWAVVGGTGQFSHAQGIVTYKRIRVSRIGDWSIIELKIRVVCLTFPNADITYHGV* >Brasy1G167400.1.p pacid=40058873 transcript=Brasy1G167400.1 locus=Brasy1G167400 ID=Brasy1G167400.1.v1.1 annot-version=v1.1 MSTGGLSFVRRAVEAVRRTPRWQKRVAFFTVGVGTLSYACQDNRVLQINDGTGKKKLVVLGTGWAGASFLRNIDTSLYDVHVVSPRNYFMFTPLLPSVTCGTVEARSIVEPIRNIVRKKGGAFRFWEAECYKIDPASKKIHCRSGDGTNVDGNGEFAVDYDYLVVTVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVMKCFEKAALPNLTEEERKKNVHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGDHILTMFDKRITHFAEDKFKREGIDLKTNFKVVKVSDKTITMSNPATGEIAVPYGLAVWSTGIGTRPIIMDFMKQVGQANRRVLATDEWLRVHGCEDVYALGDCATITQRKVMEDVDAIFRVADKDNSGTLTVKKIKDVLGDIYVRYPQVELYLKTNQMKGFHDLLKDKESEELNIEELKKALAQVDSQVKLLPATAQVAAQEGAYLADCFNRMNICEESPEGPLRIRGAGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIFGRDSSSL* >Brasy1G437500.1.p pacid=40058874 transcript=Brasy1G437500.1 locus=Brasy1G437500 ID=Brasy1G437500.1.v1.1 annot-version=v1.1 MASLSESEGTNRGTMWELDQNLDQPMDEEASRLKNMYREKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVHDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSLAAKIKRWLETRAYKRNCLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDIVVVVAVVILIGLFSMQHYGTDKVGWLFAPIVLIWFILIGSVGALNIHKYGNSVLKAFNPIYIYRYFRRKGNTSDSWTTLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISHKEHVSDAFYRSIPDAIYWPAFVIATASAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIADINWLLLILCVAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVTFIVFSLMVELPYFSACILKIDQGGWVPLVIATTFFIIMYVWQYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMEERFLVRRIGPKNFHIFRCIARYGYKDLHKKDDDFEKMLFDCLTLFIRLESMMDGYSESDEFSVPEQRTEGSINNAFLAEKTINTMCSNGDLSYSSQDSIVPVQSPLRGNNLLTYSSHASQTVSNELEFLNRCKDAGVVHILGNTIVRAQRDSGIIKKIAVDYMYAFMRRICRENSVIFHIPHESLLNVGQIYYI* >Brasy1G460700.1.p pacid=40058875 transcript=Brasy1G460700.1 locus=Brasy1G460700 ID=Brasy1G460700.1.v1.1 annot-version=v1.1 MSAVAFQGDCDGHRALPSEPGTRGSPRHGYRAGAVFIDNPPTARSTRSATLMNRSSRHTGCLSTRGFHLNVVIISNRLSVSAGGARRPAGLLQLITPAGWIPRVPDEAAESPACPSQATSCIYTNSTFRLSRPTRLGDRVGVAVAKATLNGACTILYTGFAGTHTITTHTCIGDAKRRHEDDHVQQLNRRFLVG* >Brasy1G031700.1.p pacid=40058876 transcript=Brasy1G031700.1 locus=Brasy1G031700 ID=Brasy1G031700.1.v1.1 annot-version=v1.1 MAAPPQPAAPAPAPAADAFRLGFVGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGDAFASLGARILASNAQVVDDSDVIVISVKPQIVKQVLVELKPLLSEEKLLVSIAAGIKMKDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGEKATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVASGLPRDLALGLAAQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGVHELEKGSFRGTLINAVVAATTRCRELSQS* >Brasy1G398500.1.p pacid=40058877 transcript=Brasy1G398500.1 locus=Brasy1G398500 ID=Brasy1G398500.1.v1.1 annot-version=v1.1 MAAAEGTPPSDSGTPPVLLLRRATGRLASALRSRFRVLSFHDSGGAPLQAFLAASGAAEPRAALVPGGGRHHRRRRLPRRGAAPPAACARAGASSSPAPGGIFSADVADHAVGLLIDVLRRVSAADRYVRRGLWPVRGDYPLGSKLSGKRVGIISLGRIGSSIAKRLQAFGCVIHYYSRRPKETVSFRHFPDVTSLAVESDVLVVACALNDQRRHIVNKDVLEALGKDGVMVNIARGGNIDEAALVRALKEGEIAGAGLDVFETEPAAVPPEFFSMDNVVLTPHEAAFTTESGWTSWSRT* >Brasy1G398500.2.p pacid=40058878 transcript=Brasy1G398500.2 locus=Brasy1G398500 ID=Brasy1G398500.2.v1.1 annot-version=v1.1 MAAAEGTPPSDSGTPPVLLLRRATGRLASALRSRFRVLSFHDSGGAPLQAFLAASGAAEPRAALVPGGGRHHRRRRLPRRGAAPPAACARAGASSSPAPGGIFSADVADHAVGLLIDVLRRVSAADRYVRRGLWPVRGDYPLGSKLSGKRVGIISLGRIGSSIAKRLQAFGCVIHYYSRRPKETVSFRHFPDVTSLAVESDVLVVACALNDQRRHIVNKDVLEALGKDGVMVNIARGGNIDEAALVRALKEGEIAGAGLDVFETEPAAVPPEFFSMDNVVLTPHEAAFTTESGWTSWSRT* >Brasy1G026200.1.p pacid=40058879 transcript=Brasy1G026200.1 locus=Brasy1G026200 ID=Brasy1G026200.1.v1.1 annot-version=v1.1 MAAAAADSSLSAPAASLPAPISLDKAAALGGDGGGDHRAAADCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKESPSCPQCKHPFEFLAVHRSLDGCIHDYLFDESVCLLLRATWFEPLIVEPHEEVLDEEEVFYRHYQYEEHDEDDLYEETYYMSRSPSVRIGNRRWGDNGYIRGGRREARPVSATPPDAVPSRTPKKKETSASGSGSGSVSKDVAGRRARRAQKREAADKAAAEKHLKHLQRLGRGKTPEALPEAPESPEVAPQVVE* >Brasy1G542400.1.p pacid=40058880 transcript=Brasy1G542400.1 locus=Brasy1G542400 ID=Brasy1G542400.1.v1.1 annot-version=v1.1 MSAMQICGASNQQATDLALILTGWPPELQILMCAAGHVVCSTCYEQLLDKNKCQGCFVATSYDRCLATERILQSMQIPCSNAGHGCMAKTAYHEMEKHEKKCMHAPSSNAVQTTILHHELPMVSPCTTININIINEKAEQHKTCPGNGARGSPSVMKMGPCGGGCDDDAWDMDVHGVNRIIEVVVYHEDAVNAISVLYERDGQEEQSKQWGAVFGKRSEICLEKDEYLTSVKGRYGHFNGWSVIRSLTFISNRRTFGPYGKQEGMEFDLPAAGGGRIVGFHGRSGGLIDAIGTYVKMC* >Brasy1G542400.3.p pacid=40058881 transcript=Brasy1G542400.3 locus=Brasy1G542400 ID=Brasy1G542400.3.v1.1 annot-version=v1.1 MGMVDTSLASVSVEQDQLHQAPMAMGPRFCAAGHVVCSTCYEQLLDKNKCQGCFVATSYDRCLATERILQSMQIPCSNAGHGCMAKTAYHEMEKHEKKCMHAPSSNAVQTTILHHELPMVSPCTTININIINEKAEQHKTCPGNGARGSPSVMKMGPCGGGCDDDAWDMDVHGVNRIIEVVVYHEDAVNAISVLYERDGQEEQSKQWGAVFGKRSEICLEKDEYLTSVKGRYGHFNGWSVIRSLTFISNRRTFGPYGKQEGMEFDLPAAGGGRIVGFHGRSGGLIDAIGTYVKMC* >Brasy1G542400.2.p pacid=40058882 transcript=Brasy1G542400.2 locus=Brasy1G542400 ID=Brasy1G542400.2.v1.1 annot-version=v1.1 MKTVTVAFDLQDLDCTVCSEPLQPPVFQCAAGHVVCSTCYEQLLDKNKCQGCFVATSYDRCLATERILQSMQIPCSNAGHGCMAKTAYHEMEKHEKKCMHAPSSNAVQTTILHHELPMVSPCTTININIINEKAEQHKTCPGNGARGSPSVMKMGPCGGGCDDDAWDMDVHGVNRIIEVVVYHEDAVNAISVLYERDGQEEQSKQWGAVFGKRSEICLEKDEYLTSVKGRYGHFNGWSVIRSLTFISNRRTFGPYGKQEGMEFDLPAAGGGRIVGFHGRSGGLIDAIGTYVKMC* >Brasy1G007300.1.p pacid=40058883 transcript=Brasy1G007300.1 locus=Brasy1G007300 ID=Brasy1G007300.1.v1.1 annot-version=v1.1 MLKSNTSSSPGGLMDKRLLEAATSGDSGSMKAVASQDPRILLGTTPLGNTCLHIASIHGHQEFCKAETPLVAAVRNGHVSLASVLLQRCQSIRQWSNAILKQDKDGCNALHHAIRSGHRELAMELIAAEPALSKGVNKYGESPMFIAEMRIFTHIFEELLKIPDSSHAGRNGRNALHAAVENADKDTTAKIMAIRPEMAARADNNDSTPTRLAVLYNKTDVLGILLEHDCSLGYEVDKKGVPLLISAAFRGHVEIAREILNKCPDAPYCKEDSTRWTCLHIAISRNHTKFVEFILTTPQLPKLVNMQISTGKTALHLVVQRCNPKTVAALLSHEDIDPTIIADNNNPADFSLPETTNQAKTLNWNEVSMRTLRAVPQQATSFYNVHEYRKQRATDASRKEAKSLTQTYTSNTSLVAILITTITFAAAFTLPGGYNSADGSEGLPVMSKNAAFKAFLISDVLAMCSSFVVAFICIITRWEDYEFLLYYRSFTKMLMWFAYVATTTAFSTGLYTVVATHLHWLAIGICSLVASMPIITWLLGNWHVLMLRILLGKTFNSDFLDMV* >Brasy1G345100.1.p pacid=40058884 transcript=Brasy1G345100.1 locus=Brasy1G345100 ID=Brasy1G345100.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESRDTIDSVKAKIQDKEGVTPDQQRLIFAGKQLEDGRTMAKYNIQKESTLHLVLRLCGGGRGWYPRIDPNLRTLALKHNENKMVCRKCFARLPVRSINCRKKKCGHSNQVRPKKKFASKHGW* >Brasy1G550400.1.p pacid=40058885 transcript=Brasy1G550400.1 locus=Brasy1G550400 ID=Brasy1G550400.1.v1.1 annot-version=v1.1 MCARWFRGAKRRAPPFPTSPASPTCYPCPVPRLLPLLHSGAHPVPSFLLLHLALPAAALLLRLPRTGRTSPPPAPPPPTESSASRTSTSSASSATATSRGSSSAASRARGRLGLGSSSASPASTPARRAATSRPAPNFSLQPTHRGQRRRHYLASHRQMRVAGGVPSHRQALGTTLMPAFCARQLRLAATDEDATSDASSRTCSSWSLGELQQWRGLVRCCRISTAGVLVGRCRRRRDDENARGGRHDVLNGLRTPLGAAVPPPASGGVVHLATKKIPAAASRRRRRRNCAYATDALHLASFTAASAAVALCYPY* >Brasy1G327300.1.p pacid=40058886 transcript=Brasy1G327300.1 locus=Brasy1G327300 ID=Brasy1G327300.1.v1.1 annot-version=v1.1 MPRCRTLPRSTLFSTRFFQHPFSCVVSRLAAFPVLHARRPASLIGPAIMAPVMLLVAAVLLCSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWAAACGSEEQAVLAVPAGKFYRIWPVQLFGPCKEKLKLLIAGTVVAPASPDEWAGRDPTKWLYVYGVDGLSLSGGGTIDGAGTEWWARSCKRKKTQPCNTRPPPKAVHFEECRGVSVQGLTLQNGQQFHLTFTRCTDVKANFLRVIAPAESPNTDGIHLNDSSRVQIMDNLISTGDDCVSMVGNCSHVRVEDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGSAYNLRFEGIVMKNVSNPIIIDQYYCDQPTPCANQTEAVEVRKVEFVDVRGTSATAQAIKMACSDAVPCRELELKNVNLTVEGGGAAPATAFCYKAYGKTVGTVAPGSCLAKHES* >Brasy1G200100.1.p pacid=40058887 transcript=Brasy1G200100.1 locus=Brasy1G200100 ID=Brasy1G200100.1.v1.1 annot-version=v1.1 MGDCVVENGHAHPEEEEEQDARAVAVEPTELARETQEVGGGQRKQGGIRREPSFSRWCKDTSNAPADAASPASPAGDDDSEEFDLPLLPSAAAARGGGGHLPMDIEAGAAAGSDSLPISPWLIAKIIFLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVILWFQHRGLEAETNAMSWKDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNILGIMLIVSVGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWCMTQILLQKEEYGLKNPFTLMSYVTPVMAVITAILSIAMDPWHDFRASHFFDSSAHILRSSLLMLLGGSLAFFMVLTEYVLVSVTSAVTVTIAGIVKEAVTILVAVLFFNDPFTWLKGLGLATIIFGVSLFNVYKYHRFKKGHNSKHGDTNLQSSNGASKYVILDDDAEDQDDTG* >Brasy1G414000.1.p pacid=40058888 transcript=Brasy1G414000.1 locus=Brasy1G414000 ID=Brasy1G414000.1.v1.1 annot-version=v1.1 MHTTLIALYITVCSALFIISKMLISFLCYKKWARKKRIIETSLTGGKMVIFRSAAMQSLSPKSFLRMIMGLSSKDIIGSGGYGTVYMLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDAILHAAKDHQMEEEKKRAAVRLDWPVRYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDETLPSFPAEEVKFVFTVAEKCLESDPRDRPTMAQVAKMLEQAKLA* >Brasy1G354300.1.p pacid=40058889 transcript=Brasy1G354300.1 locus=Brasy1G354300 ID=Brasy1G354300.1.v1.1 annot-version=v1.1 MLLGRGRRHALAVEERVQRVELEELLPAPEAGTTGDLRSRRTNTASRRARSSRPVARASASALREKLYVSRQITASIGHRGAGRRGHEERRQGAGVVRRRARSTDGGTAAGSRHGASALGGRGRGARASREGECDEAPAWRQRPLEAVRNCGWPWGGLQPSAAGAHSAAAEVGDGDRGCGGGRGSARRLGQHPVAPVAVVRLEQRLGRARGSRGCGRGRVRLRRAAVKDRGGRGRGPRAGRQPTGTARRRRGQRDAAARASREEITERSATREETSAMECSARSASSLVVAGHEEEELELWKHTYADELRQGLLRGHGAGANVRTPATSSVLTYPAWRHDGTDRWGPLSVLLSKHT* >Brasy1G251100.1.p pacid=40058890 transcript=Brasy1G251100.1 locus=Brasy1G251100 ID=Brasy1G251100.1.v1.1 annot-version=v1.1 MKTFLILALLAIVATTTTAQVADPVTRSFQEPREQPCQQPPQQQHPFPTQQLDQCREFFLQRCSPAAMETTPFLGPWPTTTMQQSRCQVMQQQCCQQLSQIDVQSRCWAIQDVVDAIIKQQQQGQQGQITWRRFGAQSQECGQQGIFPPQQLAQILPAMCNVYLPPYCSTTAADQPFGSGGY* >Brasy1G055600.1.p pacid=40058891 transcript=Brasy1G055600.1 locus=Brasy1G055600 ID=Brasy1G055600.1.v1.1 annot-version=v1.1 MLKRRHYTITSGWNCLLCPSPPEEDLDHLFFACPFSQQCWNDLGIQWQMGLPLAERLFAAHLDWHEGLFWEVFVLAAWALWKVRNANLFDHVPPSKATWRILLQTELKLLAYRSSKEKFIANLNHLLQALAL* >Brasy1G422300.1.p pacid=40058892 transcript=Brasy1G422300.1 locus=Brasy1G422300 ID=Brasy1G422300.1.v1.1 annot-version=v1.1 MQVLVKTQSGETMPVNVDPSDTIYTVKAKIQGQQCLTLDGKQLDDRRTLADYGIHGESTTLHLGLLRRQQSMQVFILTMNARPLALDVQSSDTITDLKAKIHEKLPGNPAPSRQRLVFAGKMMEEDGRTTLEEYGVKEESTLHLAVIRPPPTGVLVTAPSGKLFYRRELELSDTVWSVKEKISDKEGIPPGRLWVVYNGRPLEDGSTLADCNVRNGTTLHARHRAPGGQCEICAERAASRRRCNS* >Brasy1G505800.1.p pacid=40058893 transcript=Brasy1G505800.1 locus=Brasy1G505800 ID=Brasy1G505800.1.v1.1 annot-version=v1.1 MFLRATGARGAAADAIAAELERAAEQETRCLRDELAELRAIAYKIIEMNRDLEEENENLKAELDATSREIESKMEKIAQLENLDQDNGPTQGALVINTLDLAAGGECKVDDHALMLHENYKQEMEAIHTKLTQLEKQLAQREALVFTAQQLNMRVQAGEKLNEEDHQHLYAIMMSWWHILDEEQKRLKASCLNLIERERKNSKELQENRQEVIQGLENMLISGRNVVGIKKMGQLDERPFYFACKRKYSDNDPDGKAARLISSWQEEMKEPSWHPFTTIQVDDEDKEVIDDDDPKLKQLRIDYGDSVCYAVKVAMSELNENSPYGRHVVNELWNFREGRKAMTTEVVTCILEQLTLAGPGFRGWEFEVPPRKCSTSI* >Brasy1G505800.2.p pacid=40058894 transcript=Brasy1G505800.2 locus=Brasy1G505800 ID=Brasy1G505800.2.v1.1 annot-version=v1.1 MFLRATGARGAAADAIAAELERAAEQETRCLRDELAELRAIAYKIIEMNRDLEEENENLKAELDATSREIESKMEKIAQLENLDQDNGPTQGALVINTLDLAAGGECKVDDHALMLHENYKQEMEAIHTKLTQLEKQLAQREALVFTAQQLNMRVQAGEKLNEEDHQHLYAIMMSWWHILDEEQKRLKASCLNLIERERKNSKELQENRQEVIQGLENMLISGRNVVGIKKMGQLDERPFYFACKRKYSDNDPDGKAARLISSWQEEMKEPSWHPFTTIQEVIDDDDPKLKQLRIDYGDSVCYAVKVAMSELNENSPYGRHVVNELWNFREGRKAMTTEVVTCILEQLTLAGPGFRGWEFEVPPRKCSTSI* >Brasy1G505800.3.p pacid=40058895 transcript=Brasy1G505800.3 locus=Brasy1G505800 ID=Brasy1G505800.3.v1.1 annot-version=v1.1 MLHENYKQEMEAIHTKLTQLEKQLAQREALVFTAQQLNMRVQAGEKLNEEDHQHLYAIMMSWWHILDEEQKRLKASCLNLIERERKNSKELQENRQEVIQGLENMLISGRNVVGIKKMGQLDERPFYFACKRKYSDNDPDGKAARLISSWQEEMKEPSWHPFTTIQVDDEDKEVIDDDDPKLKQLRIDYGDSVCYAVKVAMSELNENSPYGRHVVNELWNFREGRKAMTTEVVTCILEQLTLAGPGFRGWEFEVPPRKCSTSI* >Brasy1G346300.1.p pacid=40058896 transcript=Brasy1G346300.1 locus=Brasy1G346300 ID=Brasy1G346300.1.v1.1 annot-version=v1.1 MEETPPQPQPQAAHASPPFPAVFTPPSGLSSASVRGSPSIPSSGGTAHFSTPPGPPVFSSPLRPAAVPFRATPVSPQPVPFAIRTASSSSSVSLPTSSAPHFLNGSATHHGHLPSAAPHLEDSSVDSPYVLFSAHKVLKQKKLLNAPSLGFGALVSPGREVAPGPEALERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKLNSSEGEFVVSSKQDLLQWPELATSAVDYVHSGNRRPGFVPVSDSRVSGPVFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGVAVSADVLPGNKSPTHESLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYELSVAEVLRDRCVGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILVCAGGPNTFGPGSVPHSVQHPNYAYLEKTAMKWMESLGHEAQRHSTIVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDGMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMETKGDINSDFVYFQFAVRYSNKYQAEITRVITSRLQTVDGLSAYLSSVQGDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDIALKFGTQVPKSKLYRFPKELASLPESLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLAMQSYSAVVLDHGTDIFIWLGAELATQEGQSAAALAACRTLAEELSELRFPAPRILSFREGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPELRAKLKSSFIHFDDHSFCEWMRSLKLVPPEPS* >Brasy1G029300.1.p pacid=40058897 transcript=Brasy1G029300.1 locus=Brasy1G029300 ID=Brasy1G029300.1.v1.1 annot-version=v1.1 MAKQQQQQLLTVLLAACAAVLLALAAPSLAGDPDMLQDICVADYKSLDGPLRVNGYPCKRASNVTAEDFFYGGLATAADVYAGGNPMGSVVTPAGADKLPGLNTMGISVARGDYAAWGGVNPPHSHPRASEMIFVIQGALEVGFVLPGAANRLITHTVPTGGSFVFPRGTVHYERSVGESPAVAVSAFDSQSPGTVVVGDALFGAAPAVPTEVLARAFLVDAGVVEKIKSKFQTN* >Brasy1G023600.1.p pacid=40058898 transcript=Brasy1G023600.1 locus=Brasy1G023600 ID=Brasy1G023600.1.v1.1 annot-version=v1.1 MASRRRLSVCLCLCLLLAAVVASAAVRPLGNTDKDGKCYKTATNSPKECGGQFINALFSKTPITPHCCLMLWCVMRARAQRPLPESGGWSNSARRVPPQV* >Brasy1G154200.1.p pacid=40058899 transcript=Brasy1G154200.1 locus=Brasy1G154200 ID=Brasy1G154200.1.v1.1 annot-version=v1.1 MITGSAVYHVVEAMAPLYTSALLGYASVRWLGAFSAEQCAGINHFVALYAVPALIFHMVSTNDPYAMSGRLVAADTLQKATILLALMAWAAWTSARWRRGSTKVAAAEAVSPLQWVVTAFSVAALPNTIIMGVPLLGGMYGAVSKGLMKQIVVMQLCVWYNGVLFLYEYMAARRAATATAVDGSARISDANNDDDGIVVVAEPERVHEVAVKIEITEVVAAPMPQEGVAGEMKTTIATKEMNTGGDVTADALPVLKKTAPSARHIALMAGKKVLKIPNTYASFLGLIWALIAFKCGIEMPKIIDDSLFTIQTTAVGLSMFASGTFIAQQSRFVPCGYAVASISMVLKFLISPVVMLLASLAIGLHGTLLHIAVVQAALP* >Brasy1G334600.1.p pacid=40058900 transcript=Brasy1G334600.1 locus=Brasy1G334600 ID=Brasy1G334600.1.v1.1 annot-version=v1.1 MALGNDATWLVFILVAMCAVSAAKRPVAKESAAPAPAPAPEAAEEASGDGGTFDITKLGAASDGNTDCSKEMEEAWKSACGAAGQHTVVIPKGEFLCGPVNFSGPCKGDVTIQIDGTLLATNDLPKYGGGSWINILKVDNLVITGSGTLDGQGSHVWTKDPQAKAGYPNTLVLDYVKNGSVSGITLLNSKFFHMNIYMSSDVKIDNMTITAPGDSPNTDGIHIGDSSNIHVTGATIGTGDDCISIGGGSASISVTGVTCGPGQGISVGCLGRYKDEKDVSDVTVKDCVLRSSTNGVRIKTYEDAVKSITASNLTFENIKMEDVANPIIIDQNYCPEKICTAKSKSAVTVKDIIFRNITGTSSTPEAVSLLCSEKQPCSGVELIDVNVEYSGKNNKTMGVCTNAKGIAKDTLQALACVSDV* >Brasy1G574600.1.p pacid=40058901 transcript=Brasy1G574600.1 locus=Brasy1G574600 ID=Brasy1G574600.1.v1.1 annot-version=v1.1 MTWRLFIGSKDADHAGIALTYKTKDFINYELLPGMMHRVPATGMWECVDIYPVDVNGTHAIDMTDAVGSNKEVVHVMKASMDDERHDYYALGRYDAVANMWMTTDPDLDVGIGLRYDWGKFYASRTFYDPAKRRRVLWAWVGETDSERADIAKGWASLQSIPRTFILYTKTHTNLLHWPVEEVDMLQTNCTDLSGITIDQGSVLLLNIHRANQLDILAEFHLDPLDVAAASEGDAGGRGALGPFGLLVLADVRHRMEQTTVYFYVAKGLDGHLITHFCQDETQSSRANDVVKRVIGSVVPVLDGGEGIG* >Brasy1G134400.1.p pacid=40058902 transcript=Brasy1G134400.1 locus=Brasy1G134400 ID=Brasy1G134400.1.v1.1 annot-version=v1.1 MVRAHHSHSSSIHDVTYSCGYCGYALNLSSSARNTAGIGSTKYGKQIKKGVVAFLAVDETRFTRADEVTCAPHFRSARSWGLFRRRSRLLCRKCGGRIGAVYEEDKEDEDDSAVLSECAGSDDDDDLRTSSAGSSGGASRRRNFVIRISALQPSSDDSAAFPFSL* >Brasy1G230000.1.p pacid=40058903 transcript=Brasy1G230000.1 locus=Brasy1G230000 ID=Brasy1G230000.1.v1.1 annot-version=v1.1 MAPTLLAAAIPIRQTKKAAEKRREKGQKTTTRIGPTTGSLTPSFAARRSWGCRVRLFLQQPRTKTARCSDSQRTSIYRTCSRRPKLSLPRRTPLRTYKGQIPRTVGPPSSTDQLIQQPSESNPNTPTMDATVVKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSAAAAGGAARLLEREGCVLTGLCSPHIVPCLGSRAAEECGEYQLFLEFAPGGSLADEAARSAGGLLPERAIRAFAGDVARGLEYLHARSLVHGDVKSRNVVIGGDGRARLTDFGCARAVDSSLPMGGTPAFMAPEVARGEEQGTAADVWALGCTVVEMATGRAPWSDMSDLFAAVHRIGYTAAVPEVPGWLSAEAKDFLDGCFRRTPGDRSTAAQLLDHPFIASVAAGDRCKAEKKQGFVTSPKSTLHDALWDSDTDDEADDVSTATPAERIGALACAASALPDWDSEDEGWIHVHDEVTQVPDSPPADAGDFFVWAEESEAECEPSSAAAADDSNGIPRSAAGPIDASIWKGSYLCPHLGLGSGKNQFSHPLECDGAKTMRCNRACDINRVMKSTLFAQISPLIPLVRHASHSIESNLLTGSMDPSDSLRIVK* >Brasy1G309000.1.p pacid=40058904 transcript=Brasy1G309000.1 locus=Brasy1G309000 ID=Brasy1G309000.1.v1.1 annot-version=v1.1 MVGPHLNPLFTRQHICQTPPPRVPLAAAVALPWPAACAAVLLLRRDLAHELPLEAWRQPSPQYLTVLQQAARVGRLLQAVDDYD* >Brasy1G417300.1.p pacid=40058905 transcript=Brasy1G417300.1 locus=Brasy1G417300 ID=Brasy1G417300.1.v1.1 annot-version=v1.1 MATEVAAPEVPATEVESPVPAEVAEKKAAKPAKAAKAKKPAAAKKPSAHPSYAEMITEAIVALKERTGSSSVAIGKHIESKHGAQLPGNFRKLLAVQLKKLAAAGKLTKVKNSFKLPAKVAAAAPAKAPAAKPKPAAKPKAATKPAAKKPKVAKPAAKTKAATKVAKPKASPKPKAKTAAPKTKAPAAAAAPKRGRPAKAAKTSAKDAPGKKAPAAKKKAATPVKKAATPKKKAAAAPVRKAAARKAKK* >Brasy1G531300.1.p pacid=40058906 transcript=Brasy1G531300.1 locus=Brasy1G531300 ID=Brasy1G531300.1.v1.1 annot-version=v1.1 MRPGSSSLLLLLPILASLQQLAAAATNDTGCPPATCGNLTLAYPFWLAGGREEDQQPSCGPPAFQLTCHNNGSGAFLRTSYMKVLDVDYGSRSLVAVHALLAADAACTVMFNVSSPFAITDRFRISRSNRELYVLSRCRERRPPPGAVPVINCSANSSGMYAYLGGSYGAGQPPVNEGSCEIAIFLVLRSEATTAENYRRLIKGGFLLDWESVGDCNACTASGGRCRYDSSTAAFVCLCSDGGLRASTCDGKHKSKVVTLIVSLSVAASFVFACLAWLVYRRRRKIRSTISKIYSSNTSNVEEMLRKCGSLSLKKYKYSELKKITRSFEDELGEGGYGVVYKGSLKDGRMVAVKLLKGSKGNGEDFLNEVMSIGQTSHVNIVSLLGFCLEGSQRALIYEYMSNGSLQKHIYSETSKLAIGWEMFLKIAIGIARGLEYLHQGCNTRIIHFDIKPNNILLDHELCPKIADFGLAKLFHLKDSVLSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEMVQGKKDLKGNVGSSSETFFPHWVHDRLVRDLQGSCEFTQGTEEIVRKMTMIGLWCIQMTPESRPSMSRVIEMLERSINELEMPPKPFLCSPLHSTNVSSYESVQIEMSSS* >Brasy1G388000.1.p pacid=40058907 transcript=Brasy1G388000.1 locus=Brasy1G388000 ID=Brasy1G388000.1.v1.1 annot-version=v1.1 MDQRRRSSRIRGIAPPPLPPPLPRSIRRRRSPPLPPPRPAGAVPLPPPPPRSVDGEQSPILPLDMILEIAARSDAVSIVRCAATSKPLRHAILAQGFRELLALIRTGFNPDLLLGVSYKVGSERETAAGPPARRAVQGLPSAEPSLVRFTQIVGLEWEAVACRDGLVVLRYNFFRPGSPLGICNNITGHTSNILPPEMVVLNNYALLTVGGGGGGGGSFELLVVEGTLRSQLYSSRDGRWSPVRRSNPKLPFWPFHRSCPVVIGRNVHWLCSLPVLPPDMHREVYVVPMHADTAQATLIDLPFISTSCTMGPLIGTQSLILAASPADGRLMVVVSEKLVISAWTLEEEPSRISLLASWSRQVLVDKNNWAFGIGDPDSIRFEGFGERSGILIFVVSRSLVKLDLATKKVTVIFKLSKNGASISQACLHEVCLPSLLQAMKPLS* >Brasy1G006400.1.p pacid=40058908 transcript=Brasy1G006400.1 locus=Brasy1G006400 ID=Brasy1G006400.1.v1.1 annot-version=v1.1 MTEKLSRLKDQFRGLEAGLAEALSIQLNKGSECERTRESISSATTTNEQLNSLVMDQRTRRDEYANLISNQLEAVETLEANIDVTGEKNLDEAIMWYNKFLGFRVVAGEGVKFVFNKIDMQSPDNEYLFCMKVNKDKYCLIQCDPLLKDSEDLVKDLNCTNDLFKFARIMRARFQVAATKGVHPASSFCPDTSSITASSLPALSVDTTSESTSNQSHSRSRSKNQDMRAKRGRTPRSAASPLATPSSTRRSPRFAAVDAGNRH* >Brasy1G006400.3.p pacid=40058909 transcript=Brasy1G006400.3 locus=Brasy1G006400 ID=Brasy1G006400.3.v1.1 annot-version=v1.1 MDQRTRRDEYANLISNQLEAVETLEANIDVTGEKNLDEAIMWYNKFLGFRVVAGEGVKFVFNKIDMQSPDNEYLFCMKVNKDKYCLIQCDPLLKDSEDLVKDLNCTNDLFKFARIMRARFQVAATKGVHPASSFCPDTSSITASSLPALSVDTTSESTSNQSHSRSRSKNQDMRAKRGRTPRSAASPLATPSSTRRSPRFAAVDAGNRH* >Brasy1G006400.2.p pacid=40058910 transcript=Brasy1G006400.2 locus=Brasy1G006400 ID=Brasy1G006400.2.v1.1 annot-version=v1.1 MDQRTRRDEYANLISNQLEAVETLEANIDVTGEKNLDEAIMWYNKFLGFRVVAGEGVKFVFNKIDMQSPDNEYLFCMKVNKDKYCLIQCDPLLKDSEDLVKDLNCTNDLFKFARIMRARFQVAATKALSVDTTSESTSNQSHSRSRSKNQDMRAKRGRTPRSAASPLATPSSTRRSPRFAAVDAGNRH* >Brasy1G308900.1.p pacid=40058911 transcript=Brasy1G308900.1 locus=Brasy1G308900 ID=Brasy1G308900.1.v1.1 annot-version=v1.1 MAGSRTSATCGGRIPGREGRIHGGGADGLWIRGGGEVSRTWDGEQWRRRPSMADRQQGKAGRRHRPSMADRRRGTTGGSAPPWRWLGGDRARGLRRGGRSAGRPRLASGPSRHGVGRRLQRGGGRRLEVLRRGEAVSGAVGRPARGGVVGTWERER* >Brasy1G069700.1.p pacid=40058912 transcript=Brasy1G069700.1 locus=Brasy1G069700 ID=Brasy1G069700.1.v1.1 annot-version=v1.1 MACCRRAPPPRLGRGCRRAPLRSDPAARRGSGSGGINLHRICSGRRWRVDERTRDRGGGGGTSWWAGSDPRRPGLTEARSARGPGPGQPDPATSGTGRPDPPKVGVVEEGPRRRPPSRRRGGTRMEEAGPWRLRARRRPASAAASQRGGGGGRRPDERSGRAGRERSGEVQVRKRRSREREVGRGGGGLGRQTSAEGFYRRPLKP* >Brasy1G393100.1.p pacid=40058913 transcript=Brasy1G393100.1 locus=Brasy1G393100 ID=Brasy1G393100.1.v1.1 annot-version=v1.1 METHPPRLVQTTERALGFIAVQEKGRQRRPRPPPSREKLEVEETFAAASMSDIAEVEPEKEAPPPVEVPRDRIVVKVKGNDVTLTMGETGFGLPLELHMDSINRDFNSDEERVLQKDRLPPSRKRPNWMCTRSFFLQCWLRYMYG* >Brasy1G393100.2.p pacid=40058914 transcript=Brasy1G393100.2 locus=Brasy1G393100 ID=Brasy1G393100.2.v1.1 annot-version=v1.1 METHPPRLVQTTERALGFIAVQEKGRQRRPRPPPSREKLEVEETFAAASMSDIAEVEPEKEAPPPVEVPRDRIVVKVKGNDVTLTMGETGFGGIRGSWRMVLWEIGGPSISSFLVCHWNCTWTV* >Brasy1G092400.1.p pacid=40058915 transcript=Brasy1G092400.1 locus=Brasy1G092400 ID=Brasy1G092400.1.v1.1 annot-version=v1.1 MEGLIPFICKAIKERRTRSYSRCSSDTAGSPFRGFGAAVDDDNGVWGERKQLDGAAARKADGGVVGAHRRHRSLEELAGEVGAGAPEHWRPAPGAMRRGRSVRIFSCIGGV* >Brasy1G090500.1.p pacid=40058916 transcript=Brasy1G090500.1 locus=Brasy1G090500 ID=Brasy1G090500.1.v1.1 annot-version=v1.1 MGFQEGVGGGGIRALTRRQQVDSDKTRASVVQQLAKELSIMLLIAIDANFSEVFFFLFSVLDSGFSFLYCTVLFWLLLSLFLLSSVLVSSNSTVMQLVVNQEFYQGHISTALVLGGVDFTRPHHTDGDTAFCSNGFSIPLMQCQYVNRSTKKAYIAASSSCVLYCFFFNC* >Brasy1G092500.1.p pacid=40058917 transcript=Brasy1G092500.1 locus=Brasy1G092500 ID=Brasy1G092500.1.v1.1 annot-version=v1.1 MAAAAAAARADLLPAEDDPLEGEESEEEEEVESDDGSDAASLADLCDDGSDEDPSFDPSADSGPEGEAKLWSGMARLSISARKERKGSMAPKMGKEDTDLLVMVDKLMRDGQLEKLKVYECKAYLRMHKLRLSGKKEVLLCRIREHIEVKNNGAVKYPVSSFVLNCKGDACKGDVVIFEQNIYKRKKGAPHGRGDRGRLCGQRTLCGKRTNAGRIIKESYGTAKQQHTFTIEILWSEGYKPWPPLHPLLIKGRNLYKDKTMRQPWPDEQERSRVLQEKHARGFIARKSRDVRIHDKEIEKMRRFNRIKENRSKGKEDINQKSSQKPVSTNTVCQRSDERRIPSLQHGEPGNTMQHHISSKQNPANHLVNQPFVLPQEGAMRTSIQQGEPEKTRQQQILSRPAPAQQIFKHPQQQNNHRPTPAQQMFKHPQEHGNGQQHNPQQDTTKSFSMLGQAPWIHLGGPGNARQLQKSPKPTPVWQIMNQAQPPNYQHCNELISEEAAKKTYRVDSVDHRNGTYHNTDSSKAPYVQHGESGNAWQQQKSSKPTPTHQIKNLPQSPIHQYQSGVLRQEVTKRTYRVESIAHQNNTYQNTEYHEPAFQSQGTKQQQHEQYDHQRLQPLRPRNQDFSSRNQGGDYHRRRQMTQEQHHTEQRHHWNQYGFRQMARDQYQPQQIHHQNQHDSRSMNQNHFPHDYQNYQYAQQIPRLKLCRYYQQNLWCPYQENCKFSHGS* >Brasy1G092500.2.p pacid=40058918 transcript=Brasy1G092500.2 locus=Brasy1G092500 ID=Brasy1G092500.2.v1.1 annot-version=v1.1 MQSILEDAQVEIVRVKNNGAVKYPVSSFVLNCKGDACKGDVVIFEQNIYKRKKGAPHGRGDRGRLCGQRTLCGKRTNAGRIIKESYGTAKQQHTFTIEILWSEGYKPWPPLHPLLIKGRNLYKDKTMRQPWPDEQERSRVLQEKHARGFIARKSRDVRIHDKEIEKMRRFNRIKENRSKGKEDINQKSSQKPVSTNTVCQRSDERRIPSLQHGEPGNTMQHHISSKQNPANHLVNQPFVLPQEGAMRTSIQQGEPEKTRQQQILSRPAPAQQIFKHPQQQNNHRPTPAQQMFKHPQEHGNGQQHNPQQDTTKSFSMLGQAPWIHLGGPGNARQLQKSPKPTPVWQIMNQAQPPNYQHCNELISEEAAKKTYRVDSVDHRNGTYHNTDSSKAPYVQHGESGNAWQQQKSSKPTPTHQIKNLPQSPIHQYQSGVLRQEVTKRTYRVESIAHQNNTYQNTEYHEPAFQSQGTKQQQHEQYDHQRLQPLRPRNQDFSSRNQGGDYHRRRQMTQEQHHTEQRHHWNQYGFRQMARDQYQPQQIHHQNQHDSRSMNQNHFPHDYQNYQYAQQIPRLKLCRYYQQNLWCPYQENCKFSHGS* >Brasy1G405000.1.p pacid=40058919 transcript=Brasy1G405000.1 locus=Brasy1G405000 ID=Brasy1G405000.1.v1.1 annot-version=v1.1 MEMDDGESGDGPDGRERLLSSILKEKGKAKAMKASAGKTKATLASGVTAATARSKSNPTIVLIDKRTTTPGQRRRARPPRPLPAPEPARNRKGAAAVV* >Brasy1G448400.1.p pacid=40058920 transcript=Brasy1G448400.1 locus=Brasy1G448400 ID=Brasy1G448400.1.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKQPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMICYANDRYVCFARDNDKWIIYDFEAVVIQTEDTWEHLLERFKDCKLQPEVLFFEVIK* >Brasy1G448400.2.p pacid=40058921 transcript=Brasy1G448400.2 locus=Brasy1G448400 ID=Brasy1G448400.2.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMICYANDRYVCFARDNDKWIIYDFEAVVIQTEDTWEHLLERFKDCKLQPEVLFFEVIK* >Brasy1G448400.3.p pacid=40058922 transcript=Brasy1G448400.3 locus=Brasy1G448400 ID=Brasy1G448400.3.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKQPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.4.p pacid=40058923 transcript=Brasy1G448400.4 locus=Brasy1G448400 ID=Brasy1G448400.4.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKQPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.5.p pacid=40058924 transcript=Brasy1G448400.5 locus=Brasy1G448400 ID=Brasy1G448400.5.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKQPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.6.p pacid=40058925 transcript=Brasy1G448400.6 locus=Brasy1G448400 ID=Brasy1G448400.6.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKQPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.7.p pacid=40058926 transcript=Brasy1G448400.7 locus=Brasy1G448400 ID=Brasy1G448400.7.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.8.p pacid=40058927 transcript=Brasy1G448400.8 locus=Brasy1G448400 ID=Brasy1G448400.8.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.9.p pacid=40058928 transcript=Brasy1G448400.9 locus=Brasy1G448400 ID=Brasy1G448400.9.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G448400.10.p pacid=40058929 transcript=Brasy1G448400.10 locus=Brasy1G448400 ID=Brasy1G448400.10.v1.1 annot-version=v1.1 MSPDDEEAIPKMAYHMQASLDAFSYCAEAVPNCVVSAVSHGKALAARGQFGDAQQELFRALSLSHTDFIDPAVHNVGYDVFNGATVKQRKQHARTMASLVSEELAETICGEVVPREALVLLNRTKLGEDCASAAALLAETYPYSARAQHLRAYIDLEQVRALDPAKDKKLLLRRTLGLIRDAAKIFNDSLVIALFHAKLLFVLNEFDDAERECRRALSIETPNDPNSDDLPPGAVSGEDMDARVSSVKKQVRVLLKQIIVACAIYWCSMKSTEQGKGVISVSVDTLHQHYDRSDQTAAKTISDAQRFVKKFNSWSFWICPAPDSHCDGKKFMNTDSLWEHMCAKHRDKLWNNLQSILGPGLCEIASEDDYSLDGITLCQDSGKRDIFSLPRMQDMFESLLLWPSIGIIAPESIAEIRQRKRREGSEILESIKEKLRTLPADTLSTEFEECRSGVQNLWLKFLEISVLDYREVILPLARSFQWIEMKRCISHNVKGPRTIISNANIDAVFGKIDHKTENLKPSCSDETVTTDEKCEESEVDVEDSNSGTLVNQRLSDPPIGVPENGTNLALRMAEVEPDKKGTSDQSVDEMASTSSCQESAIVFNKNSADKVLFNFSLIIQSLCNLRHFRDKFLTEPLVWTPSVDNPCIAQIFYEIFSSWEKNEHYLTNILLNYMKTLLCGLADCTSFYEKLQVGKFFASEIVATILIGLHMSETSSRFSFNKVTERQVVNPITCGDCICPTHKLFGIKFEAQMSCGCGKSSDGYLYTTLFHKLDAGSPQTTKIKSFADLPVLLDEQFCKENNCKDCGNLQAVELSLSNTPHFITIVLNWLGGNESQDTLSEVMDGLASPLETEFFCGSAHSATMYTVTSMVIKKGGESNELFGIGATPYLLLLP* >Brasy1G038600.1.p pacid=40058930 transcript=Brasy1G038600.1 locus=Brasy1G038600 ID=Brasy1G038600.1.v1.1 annot-version=v1.1 MEIAIGAISPLLPKLGELLVGEFNLEKRVRKGIESLVTELTLMHAALCKVAKVPPDQLDMGVKIWAGNVKELSYQMEDIVDTFMVSLEDGGEPANPKNKFKKLLKKVTKSFKKGRYLHRISDALEEAIGQAKQLAEQRQRYEQHMPDTGAGATVDPRMIALYTDVTELVGIEDARDELINMLTKGEDWLKHPPKTVSIVGFGGLGKTTLAKAAYDKMKVQFDYGAFVSVSQNPNMGKVLKDILFDLDKKKYANIYNAGWGEKQLIDELIEFLDDKRYLIVIDDVWDEMVWKFINCAFPKNNHGSRLITTTRIFSVSEACCSSSDDIYRMKPLSDDYSRRLFYKRIFSHEERCPPELVHVSQDILKKCGGIPLAIITISSLLTSMDEVKTKDQWYRLLNSIGHGLTEDHSVEAMKKILLFSYYDLPFYLKPCLLYLSIFPEDHEIRTGELIWRWIAEGFIHGGRQETSLYELGECYLNELINRSLIEPVRMNHEGRVEACRVHDMVLDLLRSLSSEENFVTILDGRERKVPYSQGKVRRLSVQKSKVDAVTISMPQVRSLIDFTKDTINTVLLTSSFQVLRVLDLEYCAISDIGHVQNLLHLRYLGLKGTHVKELPTEIGKLRFLQTLDLAETGIKELPSSIVLLSHLMCLYVHRNIKLPSGMDKLTSLEVLGGLLVGDSVSFSDDIFNVDIVKELSYLTKLRVLDCTCGSMDVSMDKTLVESLSSLYKLECLNVHGNYYRLVHLMHEGWVPPPQLRVSNLDCLTVPAWINPSSLPLLSSLTLVLKEMQREDIRLLGMLPALRYLYLESGQRAPSLFMSGDAATADAFPCLSECHFIYVAALPSIFPRGAAPRLKLLHFAFPDISVECGDFDFCMDHLPSLEKVEFDLGSLRYRWMRMEVKDAVKASVDDHPNHPTVRFRF* >Brasy1G305900.1.p pacid=40058931 transcript=Brasy1G305900.1 locus=Brasy1G305900 ID=Brasy1G305900.1.v1.1 annot-version=v1.1 MSQPSSSFAGVDPRSVNNLQASLAGNNHEEVNVQESSGSSPGEEEEQVTKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVAEEYNSNTPQDRKRSSKQLRDHWSKANQLVTLFNGCYATQKSVYASGINDKDLMDQAKAVFKNKNKQG* >Brasy1G031200.1.p pacid=40058932 transcript=Brasy1G031200.1 locus=Brasy1G031200 ID=Brasy1G031200.1.v1.1 annot-version=v1.1 MPMQLMVCLPVNEEVAGKLLEKHRSMEEQEQPRGLPENERLALSTVYRNVCAATEPIRTLHDLRRIPGVGPWVIRVMKDSIPRSIPDLSPRNRKKRMRTKPYVPQKNSASYAILITLYREMTGGKDFMMKQELSDAADASGLTQFAIGIQTNPGCSRNNFYTGWSCMKTLLSNALVVKWSNPAKYRLTEEGRKTALDCLARSGLDDPAANEIFLSDSDSDSDELYEGNNPLIG* >Brasy1G185000.1.p pacid=40058933 transcript=Brasy1G185000.1 locus=Brasy1G185000 ID=Brasy1G185000.1.v1.1 annot-version=v1.1 MARVMVVVSLMAVAAVCLRLPAVARGQLQVGFYNTSCPNAESLVRQAVTNAFANDSGIAAGLIRLHFHDCFVKGCDASVLLVSANGTAERDAAPNKPSLRGFEVIDAAKAAVESSCARTVSCADIVAFAARDSINLTGQAAYQVPSGRRDGNISVDQDAINNLPPPTFTAQQLVDRFANKTLTAEEMVILSGAHSVGRSFCSSFLPRIWNNTTPIVDAGLSSGYATLLRSLCPSTPNNSTTTMIDPTTPAVLDNNYYKLLPLNLGLFFSDNQLRTNATLNTSVNSFAANETLWKEKFVAAMIKMGNIEVLTGTQGEIRLNCSIVNNRSSVGMEMAHYYPGSTAFIEEVATS* >Brasy1G392600.1.p pacid=40058934 transcript=Brasy1G392600.1 locus=Brasy1G392600 ID=Brasy1G392600.1.v1.1 annot-version=v1.1 MHCKRDRLIGYDCPVGITEESLKEDTCRLLDRIHGFYEVALCGHPILTTPSLAPGLLKAGFCFGFLDPVSNIIANTIAFAYAQHPSGSDDDEEEEEEDGPERILSQIRTDFNDKSVFDVPVARDKYRGMTVARRSLDGLVCFLTTHFRYLAYTEALYYLRLAEADLLAAVRLIERDRNTRRNGFSINSLTTKVALECSGVCAAHPKPDVLVKALLTMASRRIEVTAFFSPQDPLSAATVKRLAKLLRQGPTSIGLMSLDLDLCGKKRKRKRNEQPSMPTESIGGSTVRAHKRLGSRSTQRYTQTLKLLLLGKIRGFYLQALAKLPRDGLRKRHHCSLLKGGYCYGPMDPVSNIILNTIWYGCMFPTHEKFELPFKVDMICTRMLARIECCSLYGIVAMFRTCFPSLMDQDAVWYLVMSDADIWKAIEMAEKHGHVVSDNHHNAYKKAACASWHPDPDALVEFAMSSLDMESSKLLAILQGTLTNDLVECLAMALPHKSPPTKSDEQAQQLNEVPSSSQVLSKSQKRFISVCQKKFKGDQKFFVRKVNEALSRYSQEKKVRYKLHTICGVHPMVPEGPSKSLAMKNFKFEYSHINFLAKMEGPNSAVTAPELFFAQCNNSVKEQPKRPSWCTPVSDSCIDNARCFSCEFNGTKIVHPYNWTYCGCDEDFNLLAQRKHGILNGFLICSCEWGADKLHSLIEEWIYFDPNMDKIAQMSPISNARRELRALGGRII* >Brasy1G028000.1.p pacid=40058935 transcript=Brasy1G028000.1 locus=Brasy1G028000 ID=Brasy1G028000.1.v1.1 annot-version=v1.1 MEGQHNPFAQQYIDSPKPYQPRKKACGTATKMSTGGQLIHPGSKKGTSDQANQSGSKMGTSDPANQTGSKMATSDPANQTGSKMATSDPANQSGPVATAEKLDILLGLPANKCCADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDDQVEFLAESGGNVVVNMTYEAFLGNYTKPKQDCSADDRSDFIRRKYEFQQFLSNQQLACPSQSNGKNYYYHQQQSSSNRYGLGHAFRNSWRRKEHEHKPVKKTVEVGMVEFVGLIKVDIIRGTNLAIRDVMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPLLKVQVYDKDTFTTDDRMGEAEINIQPLVAAARAYETKTITDTSELNKWLAKDGIWIPRDSAISVIGSKVKQEVTVRLQNVERGHLEMELECVPLIQ* >Brasy1G028000.3.p pacid=40058936 transcript=Brasy1G028000.3 locus=Brasy1G028000 ID=Brasy1G028000.3.v1.1 annot-version=v1.1 MKACGTATKMSTGGQLIHPGSKKGTSDQANQSGSKMGTSDPANQTGSKMATSDPANQTGSKMATSDPANQSGPVATAEKLDILLGLPANKCCADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDDQVEFLAESGGNVVVNMTYEAFLGNYTKPKQDCSADDRSDFIRRKYEFQQFLSNQQLACPSQSNGKNYYYHQQQSSSNRYGLGHAFRNSWRRKEHEHKPVKKTVEVGMVEFVGLIKVDIIRGTNLAIRDVMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPLLKVQVYDKDTFTTDDRMGEAEINIQPLVAAARAYETKTITDTSELNKWLAKDGIWIPRDSAISVIGSKVKQEVTVRLQNVERGHLEMELECVPLIQ* >Brasy1G028000.2.p pacid=40058937 transcript=Brasy1G028000.2 locus=Brasy1G028000 ID=Brasy1G028000.2.v1.1 annot-version=v1.1 MSTGGQLIHPGSKKGTSDQANQSGSKMGTSDPANQTGSKMATSDPANQTGSKMATSDPANQSGPVATAEKLDILLGLPANKCCADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDDQVEFLAESGGNVVVNMTYEAFLGNYTKPKQDCSADDRSDFIRRKYEFQQFLSNQQLACPSQSNGKNYYYHQQQSSSNRYGLGHAFRNSWRRKEHEHKPVKKTVEVGMVEFVGLIKVDIIRGTNLAIRDVMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPLLKVQVYDKDTFTTDDRMGEAEINIQPLVAAARAYETKTITDTSELNKWLAKDGIWIPRDSAISVIGSKVKQEVTVRLQNVERGHLEMELECVPLIQ* >Brasy1G535400.1.p pacid=40058938 transcript=Brasy1G535400.1 locus=Brasy1G535400 ID=Brasy1G535400.1.v1.1 annot-version=v1.1 MSLAMATSTATAISPLLSSSSNPRRRALTAAPRRRAHLAVVASVPDPAARPAEYTPWLIAGLGNPGGKYHGTRHNVGFEMVDRIAQVEGIVMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYCGEAIGPLAAYYQVPLRHILVIYDEMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGCREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERVQIDIALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFNRV* >Brasy1G568800.1.p pacid=40058939 transcript=Brasy1G568800.1 locus=Brasy1G568800 ID=Brasy1G568800.1.v1.1 annot-version=v1.1 MADGEQPPRYWFPSWSGGPQQPPPPSRTSRPPAVRPQISRRLSPPAAPSPPRRPPPSPQHPHPRSPRGAAAPPPSPPPFQVPRSSPSRLAPVTAPLLAVPPPPSPNSSSSPGTTKPVALSAAPPPPPPVQKDVVVVLPVPQQAEIKPPHGSKAAAGDKEHKAHEKEKKDESKSKDKEKEKEHKGHDKEKKDESKSKDKEKEKKHHESKAAEHGHGKLHKEIKAGVADMVSKATGSGSGSGHGSTTSVITLAGENKGASMKVGSGSKGKDGHGHRIDGVGEGKDAGKGKQPGTGKMAALVNSNVQLINNSLVLQSSCSGGDPGVHLKLSGKSTSKAKQRNAADKK* >Brasy1G422000.1.p pacid=40058940 transcript=Brasy1G422000.1 locus=Brasy1G422000 ID=Brasy1G422000.1.v1.1 annot-version=v1.1 MSAMLPLLLSSLLLVMAAGEGPRANFPGTDETAAITAYWQIVLPNSPMPSGMLDLLAAGNDGQDDKKKTSKASMSGLDTNINGQKGQNNRLFTYELDTNNDDQKNRFFTYGPEVNTDDQKNRFFTYGPEVNTDDQKNKFFTYGEDTNANHHKNKLFTYGRGTTKNDKAMNSMESASNVQGNDDNDNKKNTNIASMSGLDTNINGQKNKLFTYSLDTTNDDQKNRFFTYGPEVNTNDQKNKIFMYGPEVNKIFMYGQDTNTDNQKNKLFTYGRGTTKNDKPINSMKSASNVQGNDDHDDKKNTNKASMSELDTNINGQENRLFTYSLNREKNDQKNKIFTYSPKVNTDNRKNRFFTYGQEVNTNDQKNKLFTYGQDTNIDDRKNKLFTYGRGTTKNDKTINSMKSSSNVQEAGNDGERLHTHGDFTMAFFMEESLTAGSTVTPYIPSSSISGALLLRRDVAASIPMSMRSLTDILTMFAPVSHAMANAIWSALDVCENSHPIRGEKTTCATSLESMVEFAASVLTDGIKRDLQAFSSPDVPVEGVMSGRKYKVSAARRTTELSKSVTCHGMTFPFAVYMCHAVNPTRVYTVTLEKEELGRSGGPDRMEVLAVCHLDTSNFNPRKMPAHVKPGDAPLCHFIVRDSILWAPATPVVAAA* >Brasy1G567300.1.p pacid=40058941 transcript=Brasy1G567300.1 locus=Brasy1G567300 ID=Brasy1G567300.1.v1.1 annot-version=v1.1 MKGTVPGTGFEYGGFARYLVQLLYILCIRFAPYYTVVQDPCDAGYVVTVHLPPEEGLVGVVPSTYSVARYDFVSALQGAARDAALHVFHAYHAALARIGVGEWPHYLEESGSSSTAPPPTFVPPQLVSTSVLPPLPPLVPTFVPPPPPPPSALPPLYPTVPPSTSDFSTPPRSSVQELLSQLDTLQQRCSRLERENRDLAAALRDYTRPGMALNPLVLESSPSVTPDRVGYSIDQQSLATDEPSSQVGATLSSTAAPPLLDPTPRTPLGSPPVVPAPPSPDYTPASPEYTPASPDHTPGLADAVLTYSDLAELDTSLVSSQFTPSDFLRVPSDVTPSEHPLSSAPDSTAHESDAAAPSSPPPVPSVFQSAPTSGLDPDLLLTCCASPLVRED* >Brasy1G035400.1.p pacid=40058942 transcript=Brasy1G035400.1 locus=Brasy1G035400 ID=Brasy1G035400.1.v1.1 annot-version=v1.1 MQIGKGEYRFRRVAGGELMSTRHGPPPTLPVPVGVRTRSGRGVDGEYGRRCGSPAEDAPARRLCPGARRECGHHNNIMPRHLQRLVAAVDRSSLTSSAAPPSSSPASAPEMRKRKKQTKTSSRKSSSRASTRPRRRSPRQGPEGPGMRTRGSSRCSGARGQERQQRRPAPLTLVTSSGGAHGTTTAPLLLLLLPHAGRSVHC* >Brasy1G545400.1.p pacid=40058943 transcript=Brasy1G545400.1 locus=Brasy1G545400 ID=Brasy1G545400.1.v1.1 annot-version=v1.1 MEVKGLGQLLAALAAALFVRAVAGPGPALLPPAEDDDVPDAEAGEGGGGGGVRPVTIRWDRVTCALRNKRGDMARFLLSNLSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLAASPSLHLSGYLHVNGRPMSQGGYKIAFVRQEDIFFSQLTVRETLSLAAELQLPDTMSPDSKEKYVDDLLFRLGLVNSADSVVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLTYFASLGYHCPDHENPAEFLADLISTDYSSAESVQSSQKRIENLIDEFTNKVLITEFNSPVIKAEASEISAKPVQKSIAKRRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLNPTISRFTKFCGIVTVESFAASAMGLTVGAIAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKASLIRWAFQGLSINEFKGLKFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQNKQQVE* >Brasy1G325800.1.p pacid=40058944 transcript=Brasy1G325800.1 locus=Brasy1G325800 ID=Brasy1G325800.1.v1.1 annot-version=v1.1 MDSKALCAVLLAAAVVAVSCSFAYAADEPTAAPEASSPGGMATSAAAVASSSTMLLAVLCPAVALLFGNLRR* >Brasy1G081100.1.p pacid=40058945 transcript=Brasy1G081100.1 locus=Brasy1G081100 ID=Brasy1G081100.1.v1.1 annot-version=v1.1 MAAQSWLLLFCLAGGVLLVRGQSAADTTGFISIDCGLPEHSSYVNEATKLPYSPDAGFTTDGGTSYNVSAEYNDTPYNRLHPQVLSLRSFPGPPGRRGCYTLPSFVAGTSKYLIQATFLHGNYDGLNKLPLLFDLYLGVNFWKTVNISKPDLLHVAEVIAYVPADSVQVCLVSTGSGTPFISTLELRPLKDTLYPLVNITQGLVLIGRWNFGGLDLIRYPDDPYDRAWVPMNRPGEWYNISTMSKVAMEVDNHRKPSYDVPSVVMQTAVKPVNTTENLIWFPWDGEPNRVYPMPGLLPVLYFAELEILDSKHERLFFIRAQRNKSWVLLGGLDYLKTDVISRNAPYPFVTPLENYITLRAANGTAVRQLFNNNSTILPPFINAAELFTPISTANVGTDAQDVSAITAIKAKYQIKKNWVGDPCAPKTLVWDGLNCTYPISRPQRITSINMSFSGLSGDISSYFANLKAIQHLDLSHNKLTGSIPDGLSQLPSLVLLDLTGNDLSGTIPFGLLIRIQDGNLTLRYGHNPNLCSNSSSCQAAKKNLHSKTSIYIAVIIVAAVVLGGLVVLLLVIIRKQGRTKPHNEESDVQARSRNRRFTYTELKVITSNFHRVLGEGGFGLVYDGFLEDGTQVAVKLRSQSSNQGVREFLTEAQNLTGIHHRNLVTLIGYCKDGEYMALVYEYMSKGNLQDKLRGRDHSDGCLTWRQRLRIALESAQGLEYLHKACSPPFIHRDVKTSNILLDANLKAKVADFGLMKAFNHDGDTHVSTARVVGTPGYLAPEYATALMLTEKSDVYSFGVVLLEVITGQPPFVQIPPTQPTHIIKWVQQRLSSGDIEGVVDACMQGGYDVNSVWKVTDLALECTERTPEQRPTMTRVMAQLLEYLELEESRSTFYTSADGDTNGIVYTESSRDPSSSSSMYATDQSTSDVAQSSTTLGMGPNSGRASTVDTGPAAR* >Brasy1G539900.1.p pacid=40058946 transcript=Brasy1G539900.1 locus=Brasy1G539900 ID=Brasy1G539900.1.v1.1 annot-version=v1.1 MALHLLAGPFLLPSSSSSRRAPPPPPRRCSRARALSPSADARSAPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALAAVAECVISHPNVLNAAMLYWPMPNALYVEGYALDRFAEGAWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYTVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDALVNHSGVNAVAVVARFPDDDSEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSPSVSPRSAAEEIGYTFLPCVLAGLSNAPQYVMRRQGTLDTGCIAASDVDSVILPKDACGGDGTLAFARTTRKNKPLIITVQENETVLDDTPDKFSIDALNVQNYWEAIGVIAAHKAGVNPNALRRQGIDHLKSPRQVYSARFSGPRHMAYVEQLV* >Brasy1G212300.1.p pacid=40058947 transcript=Brasy1G212300.1 locus=Brasy1G212300 ID=Brasy1G212300.1.v1.1 annot-version=v1.1 MAPSSSAPPPRPALLARGRLVVLYASQTGNAMDAAERVGREAERGGCPAVEVISMDSFDPSCLPGESFVVFVVSTTGQGDSPDSMKRFWRYLLKKDLGARWLEGFSYAVFGLGDSGYQKYNFAAKKIDRRILQLGAEPIIEIGLGDDQHPSGYEGALDPWLLSLWKSLNHANPSLLPRISDIINPNLNNLGDAKINVIYYSSDDAPQDSIVSDSKKLIEGARSISPALKFHKDGEPQYMLKMVTNQHLTNEGSDRDVRHFELEDPSSAISYQVGDALEILPSQNPSAVNAFIERCNLDPDCYITIRAKGRDQGSKGSTMNGLTDRINLKTFVALAMDVASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEEFPSVHMPFEWLVQLTPPLKKRAFSISSSPLTHRNRIHLTVSIVSWLTPFKRTRHGLCSTWLAGLSPNEEILIPCCAEILIPCWIHKGSLPPPHPSVPLVLIGPGTGCAPFRAFIEERAAQSVREPTAPVLFFFGCRNEDSDFLYKDFWLNHAQDKGVLSLKEGGGFFVAFSRDQTQKVYVQHKIKEQSERVWNMLCSGAAVYVAGSSTKMPADVTAALEEVVREKGGEAASGWLRKLERAGKFNIETW* >Brasy1G212300.4.p pacid=40058948 transcript=Brasy1G212300.4 locus=Brasy1G212300 ID=Brasy1G212300.4.v1.1 annot-version=v1.1 MAPSSSAPPPRPALLARGRLVVLYASQTGNAMDAAERVGREAERGGCPAVEVISMDSFDPSCLPGESFVVFVVSTTGQGDSPDSMKRFWRYLLKKDLGARWLEGFSYAVFGLGDSGYQKYNFAAKKIDRRILQLGAEPIIEIGLGDDQHPSGYEGALDPWLLSLWKSLNHANPSLLPRISDIINPNLNNLGDAKINVIYYSSDDAPQDSIVSDSKKLIEGARSISPALKFHKDGEPQYMLKMVTNQHLTNEGSDRDVRHFELEDPSSAISYQVGDALEILPSQNPSAVNAFIERCNLDPDCYITIRAKGRDQGSKGSTMNGLTDRINLKTFVALAMDVASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEEFPSVHMPFEWLVQLTPPLKKRAFSISSSPLTHRNRIHLTVSIVSWLTPFKRTRHGLCSTWLAGLSPNEEILIPCWIHKGSLPPPHPSVPLVLIGPGTGCAPFRAFIEERAAQSVREPTAPVLFFFGCRNEDSDFLYKDFWLNHAQDKGVLSLKEGGGFFVAFSRDQTQKVYVQHKIKEQSERVWNMLCSGAAVYVAGSSTKMPADVTAALEEVVREKGGEAASGWLRKLERAGKFNIETW* >Brasy1G212300.2.p pacid=40058949 transcript=Brasy1G212300.2 locus=Brasy1G212300 ID=Brasy1G212300.2.v1.1 annot-version=v1.1 MPYLGSAIQFAAKKIDRRILQLGAEPIIEIGLGDDQHPSGYEGALDPWLLSLWKSLNHANPSLLPRISDIINPNLNNLGDAKINVIYYSSDDAPQDSIVSDSKKLIEGARSISPALKFHKDGEPQYMLKMVTNQHLTNEGSDRDVRHFELEDPSSAISYQVGDALEILPSQNPSAVNAFIERCNLDPDCYITIRAKGRDQGSKGSTMNGLTDRINLKTFVALAMDVASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEEFPSVHMPFEWLVQLTPPLKKRAFSISSSPLTHRNRIHLTVSIVSWLTPFKRTRHGLCSTWLAGLSPNEEILIPCCAEILIPCWIHKGSLPPPHPSVPLVLIGPGTGCAPFRAFIEERAAQSVREPTAPVLFFFGCRNEDSDFLYKDFWLNHAQDKGVLSLKEGGGFFVAFSRDQTQKVYVQHKIKEQSERVWNMLCSGAAVYVAGSSTKMPADVTAALEEVVREKGGEAASGWLRKLERAGKFNIETW* >Brasy1G212300.3.p pacid=40058950 transcript=Brasy1G212300.3 locus=Brasy1G212300 ID=Brasy1G212300.3.v1.1 annot-version=v1.1 MPYLGSAIQFAAKKIDRRILQLGAEPIIEIGLGDDQHPSGYEGALDPWLLSLWKSLNHANPSLLPRISDIINPNLNNLGDAKINVIYYSSDDAPQDSIVSDSKKLIEGARSISPALKFHKDGEPQYMLKMVTNQHLTNEGSDRDVRHFELEDPSSAISYQVGDALEILPSQNPSAVNAFIERCNLDPDCYITIRAKGRDQGSKGSTMNGLTDRINLKTFVALAMDVASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEEFPSVHMPFEWLVQLTPPLKKRAFSISSSPLTHRNRIHLTVSIVSWLTPFKRTRHGLCSTWLAGLSPNEEILIPCWIHKGSLPPPHPSVPLVLIGPGTGCAPFRAFIEERAAQSVREPTAPVLFFFGCRNEDSDFLYKDFWLNHAQDKGVLSLKEGGGFFVAFSRDQTQKVYVQHKIKEQSERVWNMLCSGAAVYVAGSSTKMPADVTAALEEVVREKGGEAASGWLRKLERAGKFNIETW* >Brasy1G197000.1.p pacid=40058951 transcript=Brasy1G197000.1 locus=Brasy1G197000 ID=Brasy1G197000.1.v1.1 annot-version=v1.1 MKRSASPAPQVGGAVEPRPPRRRIRPPSPLPPPPPKVGGAVEPRYPAADGSGFVYPTPPGPAPLTPPTTDPASSTRRPRVWRTGPRRSRGVRDALTDLVGAWHGLSWWAGMTIIARLGRCQGKGWALVVGTVREGRACVDAGWPVSASWRAMSSELHARRRVLGRPMRWRFEGVPETVRWRRQPAGGGEVAERGGDGGACSSGGAGRGGDGGEAAGGGGGGASQRRRRGYRVHARYFESPRSPSFTVMWKSRPSWSKDAPCTLFRSPSPETRAAAGDAEHQVGSAGAT* >Brasy1G206500.1.p pacid=40058952 transcript=Brasy1G206500.1 locus=Brasy1G206500 ID=Brasy1G206500.1.v1.1 annot-version=v1.1 MSGTPAAARPMAMDKQQPLLFEPAAAAGSGMRRRRYFTFLAIAAALVASYHILHAPTPSSRYHALFLSLGSNATAAAHLRALTLRPHVAGTEANALAAEYVRAALSSFAFPTRVTPYSVLLSYPVHRSLSLSAGPGRPNSAFSLVQETYPGDPYAAAAAEVIPTYFAYSGSGSVAAEVVYANYGDRKDYAYLASRGVDVAGKVALVRYGDIHCEDMVRNARDAGAAAAIIYTDIKDFGGGGAKGKRKWFPNTRWLPPTGVQVGTLYYGNGDPTTPMWPSCAAGEDCERLSMEELDGSGAMPGIPALPVSGRDGETIQKAMGGAVAPPEWQGGEGAPVYRIGPGPAVLNLTYIGNDTLATIQNVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWAEENMDMLASRAIAYLNVDISVFGPGGLMPRATPQLDELIKEASRMVPDPDDPSHTLYDSMIRHNPPITRVAGAGTDFAAFVQHIGVPSLDMSYGLFSEYPVYHSLYDDYVWMEKFGDPLFHRHVALASVWGLIALRLADDEIIPFNYVSYTSELEDCTKVVEAGCPGCPVSFTPLHKSIKQLEKAATKIHGEKKVLQAEKWGLNSRERTLRVREINDRLMMAERAFTNREGLAGRPWYKHMIYASSDQDDWGTKAFPGIVSAIANAKRLNTTESWRVLQHEIYRGARAVSKASAVLDGRLT* >Brasy1G550600.1.p pacid=40058953 transcript=Brasy1G550600.1 locus=Brasy1G550600 ID=Brasy1G550600.1.v1.1 annot-version=v1.1 MTFSSVMSYFYILIPVGLTVTIIHTFLTCKARRRRQQEINEEEEFGELQGTPTRFTYQQLEVATQQFTDKIGEGGFGSVFKGQFGEERIAVKRLDQAGQGKREFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPNGSLDRWIYCRHDNNAPPLDWSTRCKIISHIAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNLDTSRSEESIHLITLLEEKVKSDRLVDLIDNKSSEMQAGKQDVIQTMKLAMWCLQIDCKRRPKMSEVVKVLEGTMNTDSNIDYNFVVTNQAHFTIAGNVNGSSPPLASDVSGPR* >Brasy1G339200.1.p pacid=40058954 transcript=Brasy1G339200.1 locus=Brasy1G339200 ID=Brasy1G339200.1.v1.1 annot-version=v1.1 MEENRGELCLSGKMPQSGEYLTGPTRAIAAQNPVEFEVELKAKGRTKSLIIQRHHFHDAYSGIFRKRYGGRVACSSPSHEVVVGVTDTSSRQVTLLDSHDSDSGEIPMGSDCYLHVKLKLKLCNISQSVRDICGCKVEITVACLQLNSIVFTHSY* >Brasy1G179800.1.p pacid=40058955 transcript=Brasy1G179800.1 locus=Brasy1G179800 ID=Brasy1G179800.1.v1.1 annot-version=v1.1 MSQEDKLWKTVVDNKYRTRNPNIFCCADSNVSYFWKGFMKAAGVVKFGYHWKIGKGNSVRFWEDHWFGTAPSVTQYWDLYSVVNEGRGGGQLKFTFRRNFSTEMLQQWGELLGIAEGVTFTNEDDAVIWKYENKGVYSSCPLYKISQFYRGSACVLASSVGAEAPGTWTFVSTPLDEFKAAIT* >Brasy1G093600.1.p pacid=40058956 transcript=Brasy1G093600.1 locus=Brasy1G093600 ID=Brasy1G093600.1.v1.1 annot-version=v1.1 MFRTFSKLREAAAPLTSAAVRRCSGGTTPRIHADTNCPRCTAQMSVQFSLQPIPAPPPAAADGAQHHHHHHDGASVCPTCRAAFLFRAHRIEPMRGAFLEIPSGVGGEDEEAHRDGFANRIKRMLSERPPDEWPPMPQPPPIQMPPARRKHRRRGREDGGGGGGGGNGNGGDSSGGDGTSASAAPKREWWGGASLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNANVQKGSAANSGCPEAANDDQNTVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQAGYVGEDVESILQKLLVEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPIRTNMRSSGVTNPTVTSSLLESVESGDLAKYGLIPEFIGRLPILVSLAALHEDQLVQVLTEPKNSLSRQYRKMFSLNNVKLHFTDDALRIVAKKAIARNTGARGLRAILESILLEAMYEIPDEKAGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALVQYITRTSTKNSLETNEAGEFEDAYMLSRFVSL* >Brasy1G093600.2.p pacid=40058957 transcript=Brasy1G093600.2 locus=Brasy1G093600 ID=Brasy1G093600.2.v1.1 annot-version=v1.1 MFRTFSKLREAAAPLTSAAVRRCSGGTTPRIHADTNCPRCTAQMSVQFSLQPIPAPPPAAADGAQHHHHHHDGASVCPTCRAAFLFRAHRIEPMRGAFLEIPSGVGGEDEEAHRDGFANRIKRMLSERPPDEWPPMPQPPPIQMPPARRKHRRRGREDGGGGGGGGNGNGGDSSGGDGTSASAAPKREWWGGASLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNANVQKGSAANSGCPEAANDDQNTVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPIRTNMRSSGVTNPTVTSSLLESVESGDLAKYGLIPEFIGRLPILVSLAALHEDQLVQVLTEPKNSLSRQYRKMFSLNNVKLHFTDDALRIVAKKAIARNTGARGLRAILESILLEAMYEIPDEKAGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALVQYITRTSTKNSLETNEAGEFEDAYMLSRFVSL* >Brasy1G093600.3.p pacid=40058958 transcript=Brasy1G093600.3 locus=Brasy1G093600 ID=Brasy1G093600.3.v1.1 annot-version=v1.1 MFRTFSKLREAAAPLTSAAVRRCSGGTTPRIHADTNCPRCTAQMSVQFSLQPIPAPPPAAADGAQHHHHHHDGASVCPTCRAAFLFRAHRIEPMRGAFLEIPSGVGGEDEEAHRDGFANRIKRMLSERPPDEWPPMPQPPPIQMPPARRKHRRRGREDGGGGGGGGNGNGGDSSGGDGTSASAAPKREWWGGASLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNANVQKGSAANSGCPEAANDDQNTVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQAGYVGEDVESILQKLLVEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPIRTNMRSSGVTNPTVTSSLLESVESGDLAKYGLIPEFIGRLPILVSLAALHEDQLVQVKLHFTDDALRIVAKKAIARNTGARGLRAILESILLEAMYEIPDEKAGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALVQYITRTSTKNSLETNEAGEFEDAYMLSRFVSL* >Brasy1G093600.4.p pacid=40058959 transcript=Brasy1G093600.4 locus=Brasy1G093600 ID=Brasy1G093600.4.v1.1 annot-version=v1.1 MFRTFSKLREAAAPLTSAAVRRCSGGTTPRIHADTNCPRCTAQMSVQFSLQPIPAPPPAAADGAQHHHHHHDGASVCPTCRAAFLFRAHRIEPMRGAFLEIPSGVGGEDEEAHRDGFANRIKRMLSERPPDEWPPMPQPPPIQMPPARRKHRRRGREDGGGGGGGGNGNGGDSSGGDGTSASAAPKREWWGGASLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNANVQKGSAANSGCPEAANDDQNTVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPIRTNMRSSGVTNPTVTSSLLESVESGDLAKYGLIPEFIGRLPILVSLAALHEDQLVQVKLHFTDDALRIVAKKAIARNTGARGLRAILESILLEAMYEIPDEKAGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALVQYITRTSTKNSLETNEAGEFEDAYMLSRFVSL* >Brasy1G094400.1.p pacid=40058960 transcript=Brasy1G094400.1 locus=Brasy1G094400 ID=Brasy1G094400.1.v1.1 annot-version=v1.1 MAAVLESLLRSCAKKLQDIITNEAILILGVEGELAEVLRRVELIRCCIADAEKRRTKDLAINSWLGQLRDVIYDVDELLDVARCKGSKLLPDHTSSLSSKSAACKGLSVSSCFCNIGSRRDVAVRIRSLNKKIENLSKDKIFLTFNNSTQPTGNGSTSKLITSSNLIEPNLVGKEIRHSSRKLVNLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKIIGSFDICAWVCVSQDYNGVSLLKEVLRNIDVHHEQGETIGELQRKLAGTIEGKSFFLILDDVWQSNVWTDLLRTPLHATTSGVILVTTRDDQIAMRTGVDDIHRVDLMSVDLGWELLWKSMNIDEEKKVQHLRNIGIEIVCKCGRLPLAVKVTASALASRDLTENEWKRFLGKYSQSIISDEIDAALYLSYDELPHCLKQCFLYCALYTEDSIIYCRRVTMLWIAEGFIEEQQGQLLEEIAEEYYYELIHRNLLQPTSRYFNRIGCRMHDLLRQLACTISKQECFVGDVETLRGENLSKLRRVTVVTSKDKLVLPGMDKVEIKVRTFLTVHGPWRFEDTLFKRFLLLRVLVLNCSLVQSIPDYIGKLIHLRLLDLDYTGISSLPESVGSLKNLQVLSLCYCDALHTLPSATTRLCGLRAIRLASTEINQVPKGIGELKFLTDVEAIPLGVGSDNADVQDGWKLEELSSLSQMRCLILTKLERAAHCSTMTVLTDKKHLKRLILEWTEHGERPFSEEDVSNCENVLEQLRPPRNLENLRIRRFFGQRYPTWFGTTCLSSLMHLTLRDLRSCVDLPPLGQLPNLKFLRIEGAYAVTKVGPEFVGCRKGDSVCSELVAFPKLEWLVIIHMPNWEEWSFLGEEEAADEESGEDGAAEIRKEDAQSARLQLLPRLVKLELFHCPKLRALPQQLGEDAASLKALILCGTNNLKAVDDFPLLSELLRIENCDGLERVSNLPLASELRVHGCPNLGYVEGLDSLQRLGLGEDMQEVSSRWLPELQNQHQRFHDGEDLDVFRWM* >Brasy1G094400.2.p pacid=40058961 transcript=Brasy1G094400.2 locus=Brasy1G094400 ID=Brasy1G094400.2.v1.1 annot-version=v1.1 MAAVLESLLRSCAKKLQDIITNEAILILGVEGELAEVLRRVELIRCCIADAEKRRTKDLAINSWLGQLRDVIYDVDELLDVARCKGSKLLPDHTSSLSSKSAACKGLSVSSCFCNIGSRRDVAVRIRSLNKKIENLSKDKIFLTFNNSTQPTGNGSTSKLITSSNLIEPNLVGKEIRHSSRKLVNLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKIIGSFDICAWVCVSQDYNGVSLLKEVLRNIDVHHEQGETIGELQRKLAGTIEGKSFFLILDDVWQSNVWTDLLRTPLHATTSGVILVTTRDDQIAMRTGVDDIHRVDLMSVDLGWELLWKSMNIDEEKKVQHLRNIGIEIVCKCGRLPLAVKVTASALASRDLTENEWKRFLGKYSQSIISDEIDAALYLSYDELPHCLKQCFLYCALYTEDSIIYCRRVTMLWIAEGFIEEQQGQLLEEIAEEYYYELIHRNLLQPTSRYFNRIGCRMHDLLRQLACTISKQECFVGDVETLRGENLSKLRRVTVVTSKDKLVLPGMDKVEIKVRTFLTVHGPWRFEDTLFKRFLLLRVLVLNCSLVQSIPDYIGKLIHLRLLDLDYTGISSLPESVGSLKNLQVLSLCYCDALHTLPSATTRLCGLRAIRLASTEINQVPKGIGELKFLTDVEAIPLGVGSDNADVQDGWKLEELSSLSQMRCLILTKLERAAHCSTMTVLTDKKHLKRLILEWTEHGERPFSEEDVSNCENVLEQLRPPRNLENLRIRRFFGQRYPTWFGTTCLSSLMHLTLRDLRSCVDLPPLGQLPNLKFLRIEGAYAVTKVGPEFVGCRKGDSVCSELVAFPKLEWLVIIHMPNWEEWSFLGEEEAADEESGEDGAAEIRKEDAQSARLQLLPRLVKLELFHCPKLRALPQQLGEDAASLKALILCGTNNLKAVDDFPLLSELLRIENCDGLERVSNLPLASELRVHGCPNLGYVEGLDSLQRLGLGEDMQEVSSRWLPELQNQHQRFHDGEDLDVFRWM* >Brasy1G094400.3.p pacid=40058962 transcript=Brasy1G094400.3 locus=Brasy1G094400 ID=Brasy1G094400.3.v1.1 annot-version=v1.1 MAAVLESLLRSCAKKLQDIITNEAILILGVEGELAEVLRRVELIRCCIADAEKRRTKDLAINSWLGQLRDVIYDVDELLDVARCKGSKLLPDHTSSLSSKSAACKGLSVSSCFCNIGSRRDVAVRIRSLNKKIENLSKDKIFLTFNNSTQPTGNGSTSKLITSSNLIEPNLVGKEIRHSSRKLVNLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKIIGSFDICAWVCVSQDYNGVSLLKEVLRNIDVHHEQGETIGELQRKLAGTIEGKSFFLILDDVWQSNVWTDLLRTPLHATTSGVILVTTRDDQIAMRTGVDDIHRVDLMSVDLGWELLWKSMNIDEEKKVQHLRNIGIEIVCKCGRLPLAVKVTASALASRDLTENEWKRFLGKYSQSIISDEIDAALYLSYDELPHCLKQCFLYCALYTEDSIIYCRRVTMLWIAEGFIEEQQGQLLEEIAEEYYYELIHRNLLQPTSRYFNRIGCRMHDLLRQLACTISKQECFVGDVETLRGENLSKLRRVTVVTSKDKLVLPGMDKVEIKVRTFLTVHGPWRFEDTLFKRFLLLRVLVLNCSLVQSIPDYIGKLIHLRLLDLDYTGISSLPESVGSLKNLQVLSLCYCDALHTLPSATTRLCGLRAIRLASTEINQVPKGIGELKFLTDVEAIPLGVGSDNADVQDGWKLEELSSLSQMRCLILTKLERAAHCSTMTVLTDKKHLKRLILEWTEHGERPFSEEDVSNCENVLEQLRPPRNLENLRIRRFFGQRYPTWFGTTCLSSLMHLTLRDLRSCVDLPPLGQLPNLKFLRIEGAYAVTKVGPEFVGCRKGDSVCSELVAFPKLEWLVIIHMPNWEEWSFLGEEEAADEESGEDGAAEIRKEDAQSARLQLLPRLVKLELFHCPKLRALPQQLGEDAASLKALILCGTNNLKAVDDFPLLSELLRIENCDGLERVSNLPLASELRVHGCPNLGYVEGLDSLQRLGLGEDMQEVSSRWLPELQNQHQRFHDGEDLDVFRWM* >Brasy1G159500.1.p pacid=40058963 transcript=Brasy1G159500.1 locus=Brasy1G159500 ID=Brasy1G159500.1.v1.1 annot-version=v1.1 MAAAVRLILRRRLSTATAKPPTPASILNPSSPTSPLTSRQKTRLAISLLKSSPPLPPDQILSICRAAALSPNTHLDRVALSLATSRLSSAPDSLRDLTSSLLIPHHAPHAIALFGQAGLLPDAISTFQSSPSTRSLNALLFACLVAGNHAEAARIFQTFPDAHNVKPNTETFNAIIKSFSESGTTRSFYSVFDEMCKKGVKPNATTFTTAIAGLYKEEQFGDVEKVIELMKKHGCGESLQVYNARVQGLCKLGRSGEAKALLNEMAKRGMKPSWVTYNHLIYGFCKEGDLEEVKRLYKEMGKKGLVGDSSFYFTLIFYLCKAGDFDSALGVYNETTARNWVPCFSTMKMLVNGLAGSSKVDEAKGIIEKMKEKFPDKTEGWKEVEEALPQ* >Brasy1G159500.2.p pacid=40058964 transcript=Brasy1G159500.2 locus=Brasy1G159500 ID=Brasy1G159500.2.v1.1 annot-version=v1.1 MAAAVRLILRRRLSTATAKPPTPASILNPSSPTSPLTSRQKTRLAISLLKSSPPLPPDQILSICRAAALSPNTHLDRVALSLATSRLSSAPDSLRDLTSSLLIPHHAPHAIALFGQAGLLPDAISTFQSSPSTRSLNALLFACLVAGNHAEAARIFQTFPDAHNVKPNTETFNAIIKSFSESGTTRSFYSVFDEMCKKGVKPNATTFTTAIAGLYKEEQFGDVEKVIELMKKHGCGESLQVYNARVQGLCKLGRSGEAKALLNEMAKRGMKPSWVTYNHLIYGFCKEGDLEEVKRLYKEMGKKGLVGDSSFYFTLIFYLCKAGDFDSALGVYNETTARNWVPCFSTMKMLVNGLAGSSKVDEAKGIIEKMKEKFPDKTEGWKEVEEALPQ* >Brasy1G159500.3.p pacid=40058965 transcript=Brasy1G159500.3 locus=Brasy1G159500 ID=Brasy1G159500.3.v1.1 annot-version=v1.1 MAAAVRLILRRRLSTATAKPPTPASILNPSSPTSPLTSRQKTRLAISLLKSSPPLPPDQILSICRAAALSPNTHLDRVALSLATSRLSSAPDSLRDLTSSLLIPHHAPHAIALFGQAGLLPDAISTFQSSPSTRSLNALLFACLVAGNHAEAARIFQTFPDAHNVKPNTETFNAIIKSFSESGTTRSFYSVFDEMCKKGVKPNATTFTTAIAGLYKEEQFGDVEKVIELMKKHGCGESLQVYNARVQGLCKLGRSGEAKALLNEMAKRGMKPSWVTYNHLIYGFCKEGDLEEVKRLYKEMGKKGLVGDSSFYFTLIFYLCKAGDFDSALGVYNETTARNWVPCFSTMKMLVNGLAGSSKVDEAKGIIEKMKEKFPDKTEGWKEVEEALPQ* >Brasy1G159500.4.p pacid=40058966 transcript=Brasy1G159500.4 locus=Brasy1G159500 ID=Brasy1G159500.4.v1.1 annot-version=v1.1 MAAAVRLILRRRLSTATAKPPTPASILNPSSPTSPLTSRQKTRLAISLLKSSPPLPPDQILSICRAAALSPNTHLDRVALSLATSRLSSAPDSLRDLTSSLLIPHHAPHAIALFGQAGLLPDAISTFQSSPSTRSLNALLFACLVAGNHAEAARIFQTFPDAHNVKPNTETFNAIIKSFSESGTTRSFYSVFDEMCKKGVKPNATTFTTAIAGLYKEEQFGDVEKVIELMKKHGCGESLQVYNARVQGLCKLGRSGEAKALLNEMAKRGMKPSWVTYNHLIYGFCKEGDLEEVKRLYKEMGKKGLVGDSSFYFTLIFYLCKAGDFDSALGVYNETTARNWVPCFSTMKMLVNGLAGSSKVDEAKGIIEKMKEKFPDKTEGWKEVEEALPQ* >Brasy1G310100.1.p pacid=40058967 transcript=Brasy1G310100.1 locus=Brasy1G310100 ID=Brasy1G310100.1.v1.1 annot-version=v1.1 MPTVSVKWQKEVFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQAAALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHSLTVATRNTFGELDQSVRPVAPLHFLQMLRKKYPQFAQQQNNVYMQQDAEECWTQLIYTLSQTLASEASEPSAAQMKELFGIDLVSRVHCAESGEESSEAESVYSLKCHISHEVNHLHEGLKHGLKTELEKVSPTLGRTAIYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQVLRDAENAKFGLKVQGKTSSSKDEGSSSSAGESSSMDIDKADSSLPKKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARTI* >Brasy1G056600.1.p pacid=40058968 transcript=Brasy1G056600.1 locus=Brasy1G056600 ID=Brasy1G056600.1.v1.1 annot-version=v1.1 MAAGGGIPWAERARAVGVQIRNRFRVAPVDRRWVWGRPDGRAASEAVRQWSDRIRDRLRRDRAPDQSSTEAASRPSSSALRFYRKKVGKVVDGAEDSVIIRSLQALAVPLIGNACHVFMHGLNSVQIYGAEKLEQALHGRPKGKPLLTVSNHVAAMDDPFVIASLLPPSVMMEAQKLRWTLCATDRCFTNPILSTFFRSVKVLPVSRGEGIYQKGMDMALSKLNNGGWVHIFPEGSRSRDGGKTVAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRTGKRVIVVVGDPIHFDDLIVDNSEDTQHISRGILYDKATERIGQQLQQLKVEVDRLAAEQKAELQSRCIDDTVNDGYRLWQQVDWEAFGIGNMLSSDREPLKQVQHELLLAEQSTSPPKQAEPELHLEEQSVSPVPSAAISPDVGVPHWFRRHSDPSELMGFAARGLLKNGRFMEEGYRQFPDSTALDDVWWGAQANNAMPRWSTA* >Brasy1G249000.1.p pacid=40058969 transcript=Brasy1G249000.1 locus=Brasy1G249000 ID=Brasy1G249000.1.v1.1 annot-version=v1.1 MADDTDELGHQRLADDDASSNNLFQVMRAVEDAEATIRQQLEENSRLKDELMRKTRELDRIRTEATNQTSYAGLDQASAVEAYTNSYSSAFPGNRTDGSKLSSTTSSPRNSQGTLASHHNGAAEHGEQMLQDAVKGDYLQSNQANGMSRKLSGEHSAAEIAGPYQLSTPSSRSLSPTRHHKEGEYDSRLNLAGQAILPIAETSSNIIWKQDLLAKVKEHEEEIAHLRRHLADYSVKEAQILNDKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLMPLLSEYNLQPPVLDAQSIVSNLKVLFTHLQEKLIITEDKLKESQYQITPWRAESSNNTSGPVQSPSHPPGNALVASGQPSLDIVPQQPYSHVQSPVSSPVRARRDWDLLANENRHAPTEVAATNTEQENNGRTSPPSSNQTTKDVVTQGNERDTHAVRFNFESKDQNPSFKDLVRSDVPENLEGTETQISQEPPAEWGLGNLATSLDDANLPYPYLPTVLEEPSSSFSEAAEDDPLPAIDGLRITGEAFPGKELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPTYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQRKITCDPEMKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVVTEKFQQATAINIPYGRPDEFSIQSADGAEYSLKPAENSPSRDSIVLILRLFRMKAVEKSKGRRKGIFFK* >Brasy1G529900.1.p pacid=40058970 transcript=Brasy1G529900.1 locus=Brasy1G529900 ID=Brasy1G529900.1.v1.1 annot-version=v1.1 MRKWALSSALLLVFLLATLSPDPAKRLQVNAEESSDELADLPKVEEKLGAVPHGLSTDSEVVKRESESISRKTLRNSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLGLTDKEVLGEGDTAKLEIQIKLDKENKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIKLHLRDEAKEYLEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEEESSEEESTTETTEEEETEDSEEKKPKTKTIKETTTEWELLNDMKAVWLRNPKEVTEEEYSKFYHSLAKDFGDDKPMSWSHFSAEGDVEFKALLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDDLLPKYLSFLRGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSALEEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESSKSDGKLVSLDEYISRMKSGQKDIFYLTGSSKEQLEKSPFLEQLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESESIDSVKISNRLHNTPCVVVTSKYGWSSNMEKIMQAQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTARLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSDDATVEEEEEAEEPEVEEKETKKVEEPEHEQYEKDEL* >Brasy1G534900.1.p pacid=40058971 transcript=Brasy1G534900.1 locus=Brasy1G534900 ID=Brasy1G534900.1.v1.1 annot-version=v1.1 MVPASSDETGASSDETALSSDEITFSSDEIAASSEYDYDDISLPSSPSPSPSPDDDPSALLDELDTATTAFRKKRPFPRGRTWPTADDLTVMKAVAAHHEQHGRLPSGRDLSAALRGSGVQASADRLAGRVRILRKRYSGSVLRLARGTVPETDADMEIYRLSKRIWGCSRRKPRAAPVTHPERRGFEELQGMYPCLAAEVEAVMARLPGGATAGALKRAFGRIGDEKAAALEKKARKQRLAELKVNAQRAELRKRAVMTLLEFIE* >Brasy1G417200.1.p pacid=40058972 transcript=Brasy1G417200.1 locus=Brasy1G417200 ID=Brasy1G417200.1.v1.1 annot-version=v1.1 MDLDPEGIFRDDSDEDDDNLHERETNKEMVVYLIDASPKMFTPATTAQSDEKQETHFHTIVNCITQSLKTQIIGRSHDEVSICFFNTKEKKNLQDLAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVHKRILIFTNEDDPFGGITGAVKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEDFNMSLFYADLIGLEGDEIVQYLPSAGDKLEDMTNQLRKQMMKKRKVRTLSFAITNDVCIEVNTYALIRPTVPGTITWLDSISNLPLKAERSFICNDTGALLQNPQKRFQLYNDKVVKFSVRELSDVKRVGSHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHNSMLRLGRFALAFYGNPTRPQLVALVAREEVISSGGQDEPTGMHMIYLPYSDDVRYPEEVHLTSGNAPRATDEQIKKASNLLRRVDLKNFSVCGFANPALQKHYGILEALALGEDEMPDIKDETLPDEEGLARPAVVKAIEEFKASVFGENYDQEEAEAAASKAGASKKRKVLTDAASQKSAAYDWADLADTGKLKEMTVMDLKSYLTAHGLPVSGKKEAIISRILTHIGK* >Brasy1G511700.1.p pacid=40058973 transcript=Brasy1G511700.1 locus=Brasy1G511700 ID=Brasy1G511700.1.v1.1 annot-version=v1.1 MTPRCNAFSAAHVNDRNACSPQYSSKQGRSWAWCYPGCSTGPANVHKGADLKKISPNQYKLGISTTRPSAAPPSPPGLQPTAAQPSPLPAGTPSPSLKTQSIDFLLLVRRWRAWRGFLPLRLLSPGVSSPKESRDQEPYFPNSASSARLQPGVSKPPRHTTAARDLHPPPGLASQLISPQFPIEIYEDLRSRGIPAREEDEGEEQTDLEAVGIEAAVALFNGSSGDEEEEPRFQPPPSRADAVQIPRADAVQLCAPVRPPSRHNFVARQRPWMARRGRRPDQAANGAGRVDPPDPAGEGPPVSSSSPPPAHARACPCPRRRAPPLARRRRSRARPCPRRPCPRRPCPRPGQPDVARSRPALAEADRDLLLRGLLQRGRREEERSSTRGRLGHARAQARAPADSSGLGVALGGASEGEEAAGRRSRCSSARSGASPDLDSRPGASPHPREAASALAKRSRRQEPAHIDTLRRLPTSPPLACLWLLPSSADVCRRSAWTPRPCPRPRRPCPRPPLPPPPLPPAAPARDAARCPCDGGGRRGGRGDGDGRRRMQGPVQARARAGAAQARERRRAASRAGAGAGRGGGGRGGAGRGGRGNGGGRASGGARRRGQGQARDLYCRIRIKDRIIVT* >Brasy1G032100.1.p pacid=40058974 transcript=Brasy1G032100.1 locus=Brasy1G032100 ID=Brasy1G032100.1.v1.1 annot-version=v1.1 MPRTAVDVEDLLVRVKNGAEPELGEVAREVARLAQEGRLGEEDDEDGLLVPALLARLAGAGDAEVRVSVMAALRRLAGCAAGGGNKEKMASIEALSSIVRSLSRDVDERKEANALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHESGTHHDDAEKLLHILSSNPQNVLLMAESGYFRPLIHYLNEGSDMNKILMATAISKMFLSEQMKSSLGEDGAVEPLVEMFKSGNFEAKQSALGALRNLSSSLRNAELLINSGITRPLLQLLFSVTSVLMTLREPASAILATIAQSDRILLHKDAAPQMLSLLNLSCPVIQLHLLRALNSICGHKNAKRARARIRQNGGMQLLLPFLTENNVDVKTAALNLMFHLSKDASAELAQQVKETHLEILVKVISSPASGSEKAAAVGVLSNLPVTDKKITEFLAQANLLPLLISLLEANITTSSTLQRMWLLEGIVGVLTRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSVKAQSKSATSLAQLSQNSVTLRKTKSARWLCVPPSAESYCIVHNYQCTIKSTFCLVKAGAVSPLVQILEGEEREADGAVLEALATLMQDEIWEHGGMAIEKASGIHALLRVAEAGDLSSQEKAIWILERIFRLEAHREQYGKIAQALLIDLAQKGDPVLKPMIGKILAHLQLLQTQSSYF* >Brasy1G032100.2.p pacid=40058975 transcript=Brasy1G032100.2 locus=Brasy1G032100 ID=Brasy1G032100.2.v1.1 annot-version=v1.1 MALQEKMASIEALSSIVRSLSRDVDERKEANALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHESGTHHDDAEKLLHILSSNPQNVLLMAESGYFRPLIHYLNEGSDMNKILMATAISKMFLSEQMKSSLGEDGAVEPLVEMFKSGNFEAKQSALGALRNLSSSLRNAELLINSGITRPLLQLLFSVTSVLMTLREPASAILATIAQSDRILLHKDAAPQMLSLLNLSCPVIQLHLLRALNSICGHKNAKRARARIRQNGGMQLLLPFLTENNVDVKTAALNLMFHLSKDASAELAQQVKETHLEILVKVISSPASGSEKAAAVGVLSNLPVTDKKITEFLAQANLLPLLISLLEANITTSSTLQRMWLLEGIVGVLTRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSVKAQSKSATSLAQLSQNSVTLRKTKSARWLCVPPSAESYCIVHNYQCTIKSTFCLVKAGAVSPLVQILEGEEREADGAVLEALATLMQDEIWEHGGMAIEKASGIHALLRVAEAGDLSSQEKAIWILERIFRLEAHREQYGKIAQALLIDLAQKGDPVLKPMIGKILAHLQLLQTQSSYF* >Brasy1G502400.1.p pacid=40058976 transcript=Brasy1G502400.1 locus=Brasy1G502400 ID=Brasy1G502400.1.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHGTNNGNSTTSDGRPISNFVHLSCNPINSNLPQDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRDASWKEGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.9.p pacid=40058977 transcript=Brasy1G502400.9 locus=Brasy1G502400 ID=Brasy1G502400.9.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHGTNNGNSTTSDGRPISNFVHLSCNPINSNLPQDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRDASWKEGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.6.p pacid=40058978 transcript=Brasy1G502400.6 locus=Brasy1G502400 ID=Brasy1G502400.6.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHGTNNGNSTTSDGRPISNFVHLSCNPINSNLPQDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCREGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.10.p pacid=40058979 transcript=Brasy1G502400.10 locus=Brasy1G502400 ID=Brasy1G502400.10.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHGTNNGNSTTSDGRPISNFVHLSCNPINSNLPQDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.8.p pacid=40058980 transcript=Brasy1G502400.8 locus=Brasy1G502400 ID=Brasy1G502400.8.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHGTNNGNSTTSDGRPISNFVHLSCNPINSNLPQDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.2.p pacid=40058981 transcript=Brasy1G502400.2 locus=Brasy1G502400 ID=Brasy1G502400.2.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRDASWKEGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.3.p pacid=40058982 transcript=Brasy1G502400.3 locus=Brasy1G502400 ID=Brasy1G502400.3.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRDASWKEGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.7.p pacid=40058983 transcript=Brasy1G502400.7 locus=Brasy1G502400 ID=Brasy1G502400.7.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCREGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.4.p pacid=40058984 transcript=Brasy1G502400.4 locus=Brasy1G502400 ID=Brasy1G502400.4.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G502400.5.p pacid=40058985 transcript=Brasy1G502400.5 locus=Brasy1G502400 ID=Brasy1G502400.5.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCMVQEDRKRAPKLACCPLSSEQHDIRWWFQLQPNFRVQKDLAGEQRCFLGREIGEKEVEYSGPMSKHEEPLLRGTVSTHPDKGEDVFEPPSMNSTDFVKHSSETGSEEMKTIGGYSQVPLKCRGEKAQPWWQITDENELASLVAEKAMQHIENCDLPKPAQIVRVHGTEYNQENMGEYAGSSSTACRVSHSYPGQSEHIQFSYSSTDVWQEQKRNTTYSGAQVFSRSNPTAPESKQMSLNPSERAQLLEALRHSQTRAREAEMAAKEAHDDKDDAIKLLLQQASHLFACKQWLKLLQLENICLQLKHKRNRHQIATLMKELPWLVLTDKPALKEERKDWTGRKGRRRQKKRGCFCDAIMFAFGLGLAGAGLLLGWTLGWLLPKL* >Brasy1G388500.1.p pacid=40058986 transcript=Brasy1G388500.1 locus=Brasy1G388500 ID=Brasy1G388500.1.v1.1 annot-version=v1.1 MATWVLSILLLHMSLSFLRFSKMETLRNMTLPPGFGFHPKDTELVSHYLKRKILGQRIEYDIIPEVDIYKHEPWDLPAKCNVPTQDNKWHFFAARDRKYPNGARSNRATVAGYWKSTGKDRAIKVDKRTVGTKKTLVFHEGRPPTGRRTEWIMHEYYIDENECHACPDMKDAFVLCKVTKRVDWTSENGNEVGNSDPHPQQSNVAATSAVSVEQPDAAATSAVSVEQPDAAAESVIGAELPNDVATSAITAQTTPHGDDEIQGWLEGMIDFSFNSAVDPISAGLSLDEQNAESSNRGAISPKVEPDYASPNQFVVDDDSDYLLPDDIYNMLCPGSDDFTSWHTEQADLAFADQTYSIMGVDPFTLSSNFAEGIQNEELQLPLEINEPNLSNEAADNGIIVRTRHGKTPADSIPPYRNNRTRLQHSINRMVMSSSESLNETFKFVDNGGRLDLMTNVEHKKKHARDVTTVRQRDAGKSNRNQNNQGFFRGVRKSVWGCSAAGLNILVALCMVGVAVAILHHGCHRTGISL* >Brasy1G045600.1.p pacid=40058987 transcript=Brasy1G045600.1 locus=Brasy1G045600 ID=Brasy1G045600.1.v1.1 annot-version=v1.1 MDDGEIDLSSELLFPNPETPTSIDDFLRNTTTTCTHTHTCNPPGPSVSAHTHTCYHTHTHVFSTDDDSCGSDKAVTKKTRKPLGNRVAVRKYRQKKAHAAHLEEEVSRLRAINQQLVKRLQGQAALEAEAVRLRTLLVDVRAKIDGTLGSYLFETQCGVEDALSCDRAVQCLAGKSELGVNSNCGPAALNCHIIPDTGQNLGMPY* >Brasy1G356700.1.p pacid=40058988 transcript=Brasy1G356700.1 locus=Brasy1G356700 ID=Brasy1G356700.1.v1.1 annot-version=v1.1 MDHTEALPDDLLADILGRRLSPWDLAVSRCVRKAWRAVVDGRRLLLPRLLPHSVAGIFINYNNHDRPQFFARPSTAHPWVDYADLRFMPGYSENMDCIMDHSNGLLLYQDWDWYFVVNPATKRFDRPPRNSGDQHGYFSYLVFDPAVSPHYELFFIPSKYLGLFVATSFQLKELPPEYLTEENFTITSKYLSNASGSGHEDPHQNSTEWPTPLCTMEVFSSATGEWRERSFVRDGMPTRRAVNVRCSYPLVDDSRWHHSAYWHGVLYVLHYGGAFFTRLSLSDGKYQVRETPAGNEECKARDPYLGKLEKGVYFATLCRYSFRGWTLSESSGQMDWILKHHVDLEPLSRTPSIYPKGKHRTWMLDDGKDRNIDFDWNSDDENVLTIEEGKQSNRRFSFLWFHPYKEVVFLKLEDLDHGFAYHLNSSKVQYLGNLHPKNYRDQWPHFPLESFVYTPTMIGELSEHAVDYSMSSKEQFLQGKT* >Brasy1G477700.1.p pacid=40058989 transcript=Brasy1G477700.1 locus=Brasy1G477700 ID=Brasy1G477700.1.v1.1 annot-version=v1.1 MAQGTGGSSFFQDARLPQQRAVEGVAFPAVLVPSRGGPGGLGGLEEFLAAVRSERAPRVEPLARAAGAVLLRGFPVRTAEDFDRAVEAFGYEELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWHSTFLTKDKAVAEERALKLGMKLEWTDDGVKTIMGPIPAVKWDEARGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIGECGRILEEECVAIPWQQGDILLIDNWAVLHSRRSFEPPRRVLASLCK* >Brasy1G422500.1.p pacid=40058990 transcript=Brasy1G422500.1 locus=Brasy1G422500 ID=Brasy1G422500.1.v1.1 annot-version=v1.1 MSLSHHRLLPAAAPPLGDPYYVYAPHPHPHPDPQRQGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQAVAFVSFFTHQAALSTMLALNGTVFDPENGDCLHIELAKSNSRRRHGGGGDVYRVIDKRVNRTEVNADNEHIGDDDVSGEDEGEGKYGEGGSDEPSDTENDTSSDNNELPADQSGEPGIKQKKRQSPSNSIQDQPDKSSVDIPPCSTLFIENLGQTCTEEELEEVFSKQPGFHVLKMRRRGGMPAAFADFTDIESSTAAMNNLQGTILSSSDSDGLRIEYARSKMRKS* >Brasy1G422500.2.p pacid=40058991 transcript=Brasy1G422500.2 locus=Brasy1G422500 ID=Brasy1G422500.2.v1.1 annot-version=v1.1 MSLSHHRLLPAAAPPLGDPYYVYAPHPHPHPDPQRQGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQAVAFVSFFTHQAALSTMLALNGTVFDPENGDCLHIELAKSNSRRRHGGGGDVYRVIDKRVNRTEVNADNEHIGDDDVSGEDEGEGKYGEGGSDEPSDTENDTSSDNNELPADQSGEPGIKQKKRQSPSNDQPDKSSVDIPPCSTLFIENLGQTCTEEELEEVFSKQPGFHVLKMRRRGGMPAAFADFTDIESSTAAMNNLQGTILSSSDSDGLRIEYARSKMRKS* >Brasy1G422500.3.p pacid=40058992 transcript=Brasy1G422500.3 locus=Brasy1G422500 ID=Brasy1G422500.3.v1.1 annot-version=v1.1 MSLSHHRLLPAAAPPLGDPYYVYAPHPHPHPDPQRQGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQAVAFVSFFTHQAALSTMLALNGTVFDPENGDCLHIELAKSNSRRRHGGGGDVYRVIDKRVNRTEVNADNEHIGDDDVSGEDEGEGGSDEPSDTENDTSSDNNELPADQSGEPGIKQKKRQSPSNSIQDQPDKSSVDIPPCSTLFIENLGQTCTEEELEEVFSKQPGFHVLKMRRRGGMPAAFADFTDIESSTAAMNNLQGTILSSSDSDGLRIEYARSKMRKS* >Brasy1G422500.4.p pacid=40058993 transcript=Brasy1G422500.4 locus=Brasy1G422500 ID=Brasy1G422500.4.v1.1 annot-version=v1.1 MSLSHHRLLPAAAPPLGDPYYVYAPHPHPHPDPQRQGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQAVAFVSFFTHQAALSTMLALNGTVFDPENGDCLHIELAKSNSRRRHGGGGDVYRVIDKRVNRTEVNADNEHIGDDDVSGEDEGEGGSDEPSDTENDTSSDNNELPADQSGEPGIKQKKRQSPSNDQPDKSSVDIPPCSTLFIENLGQTCTEEELEEVFSKQPGFHVLKMRRRGGMPAAFADFTDIESSTAAMNNLQGTILSSSDSDGLRIEYARSKMRKS* >Brasy1G157900.1.p pacid=40058994 transcript=Brasy1G157900.1 locus=Brasy1G157900 ID=Brasy1G157900.1.v1.1 annot-version=v1.1 MAWKLRLEFLSLAPPFQPPLAALSLGSSSQISSGTPLPRGAAQRSGLPRPSRGPTPPPLQRARLRQRLDQRHRLSANAVYEEDEGCQIRADREEDTRRRISNKSRAPPAVLGLDSFAPAWRCGGRDGAGAAARLGGGADGGGGTLGRAAERVRGRQHSERRGGTTRAAWRSGARTSKRRSALERELAGGGGKGRAQHARAGGR* >Brasy1G175300.1.p pacid=40058995 transcript=Brasy1G175300.1 locus=Brasy1G175300 ID=Brasy1G175300.1.v1.1 annot-version=v1.1 MAPSGHRKTSWPEVVGMPATPAVTKIMTDRPDLAVEVLPPGTTLLPGANPGRVRVFIDALGAVTKTPHIG* >Brasy1G527300.1.p pacid=40058996 transcript=Brasy1G527300.1 locus=Brasy1G527300 ID=Brasy1G527300.1.v1.1 annot-version=v1.1 MGSCTRHHQKHNPSCPPGRAYLSKRISVQTFKTFTDGVEPSVVTCSSLIDRLSL* >Brasy1G038900.1.p pacid=40058997 transcript=Brasy1G038900.1 locus=Brasy1G038900 ID=Brasy1G038900.1.v1.1 annot-version=v1.1 MERAVHIFSQCVFARQVWTAIAAWIRCAGFDPSRWEPNDSMESWWLRRNEAARAATSKAVGRGATSLFLLTPWSIWKERNNRIFNLKRLPTAGVVSIIKNEAAMWGLMDTSGLGKLVSGTDDVT* >Brasy1G517000.1.p pacid=40058998 transcript=Brasy1G517000.1 locus=Brasy1G517000 ID=Brasy1G517000.1.v1.1 annot-version=v1.1 MATSLAGDEAGETVGEPDIALQMDERSERSLGIDTHFDPKGTVGALLSWRTHDELKKIPEEIGMGDLAQLTLQGPTARRFIHYYYSMVDTETMCLDLGCYRNGDRNIFKLDESVVRRVIGDIGKKENVPQVAERDRLPLLKTVQGILATGSKPASPLAVGRVKTILENARMIPDVHDPVVRKRLKVACLVFLFTSFLAPRKSTPRVQYEVMEIVKNPDDITKYNTPKFVFQTIREGAIAVQEANRTGEKIALHGCLFVPSIGFVEHVQFGAIEASKLPAPRINKYSDGALRALIATLNVRVLKLALKGLPCSAPSSSGAAASGAGSSTFDVEGGNLGLLEKIEGLNQWVLGVERARVASVRLEENQILDRGLKALDKRRESIISNSIKRRENREGEIHVEMMQLQFEKLQLIIAPVCNQVSMASSPSCETAVPMVTAGDDMAIPAVHGALDHRQPAVSAAGVTEEEHIMSVDEARYDETPTQNRVGLAGAVEFDYGMHSLGTEGGSASLLRLVAGAADVDALSEYLMFDNAQFPGATTLTTPRDGDFRMTLKHYKDLPEVCMQFYEAMTHQRQEELDRPWVAHDIPTELSVAGRVFLTEFRVDAPETELSYITMSAAVRLFSEAEESVLLRAGHDHWRHILQPDFPDEVATEKTQLLYGIVRMRANRAAKPSFNYGSG* >Brasy1G276100.1.p pacid=40058999 transcript=Brasy1G276100.1 locus=Brasy1G276100 ID=Brasy1G276100.1.v1.1 annot-version=v1.1 EEEEKEEDEWELEEEEDEHEETEEEESESEQDEEAAEEPRRGGPRNSAAAGRYAHRAEDGEIFTKRLFEGLCISKAADTSAAGKPVSGRTRSRRRCLNTKLLRQGTYNKPYCLDTPSESGSSEAEEGAKTPPPAPALSSSEEIEADAGGHGRTAARKRRRRGKNPAPSDDDSEEHRAGGNHGTAARRKRRRRCPKEEPNAAQFDKEEEEDEGTFVPFRRPKRSGAVPNPTAGDAPFKKSSLILPKKRRGAGQERETYDDLLQSIFDEITNQQNCPAPLDDGSAPAQEQSVPDTLPLIFSFGDEDVVVKEKTEQGKFEDLLWAEFDFALESTNACSHAYPEEGEKSNGDEIHTDRATSCKRGKHDLIMDEQIGIRCKHCDFIDLEIRDVFPSMAKFSIEREPAMNLNLDLFCEDIIKSMGYEGTSHFDIHKSGLVWDLIPGVQEHMFPHQREGFEFMWKKLAGGIDIQQVKHTVNTDSTSGCVISHAPGTGKTRLAITFVQSYLELFPRCRPVIIAPRGMLATWEQEFKKWNVKLPFHLLSSSGIHWDEDKTIKKLVAQDESLGQKLSMNKLSQKSRQMLKLASWYEGSCIIGLSYSLYRNLAKGEDMDGETVRNLLLKKPGLLVLDEGHTPRNKKSLIWKVLAEVSTEKRIILSGTPFQNNFLELYNILCLVKPKFARDFACTRLNKKDFSSKRTCQSRETHHLEEDEGKEFWKSLRMSNITDDHLSEIREKLDPFVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITMMEKSAGNGFLDAEYKISLASIHPFLPTCAKLSAEEASLVNKLKISRLDPCEGVKTRFVLEIVRLCEPLKERVLVFSQYLEPLSLIMDQLTKKFNWTEGKEILLMSGNVRVKQREALMEAFNDMDSEARVMLASTKACCEGITLVGSSRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTKEKIKYDRQAKKDHMSKLLFSKEPQPAGCNLTPELIFDDRILEAMTAHEELKDMFVQILPSH* >Brasy1G046100.1.p pacid=40059000 transcript=Brasy1G046100.1 locus=Brasy1G046100 ID=Brasy1G046100.1.v1.1 annot-version=v1.1 MATPVAVDPAPAPPAVDGAAAESEVFKYVLLLATLAATVTYSVGLSPPGGFWPDGGLLARDPVLRVTYPRRYRAFFYFNATAFVASLVVVVVLLVQRNQRIRRRTLLAAMTLDFVCLIGAFAAAAGGYGRTQISTYVVALVAAVLSFAVIKLLVFLYHLVKNLIHEALRRYLQFGRLEHRIHEEQKSRASERADDDAYKILRKSQKYLLLLGILSASITYQAGLSPPGGFWQDNAADGRTHNFAGDPILHITYPRRYQVFFYCNATAFVASLVILVLLLSNTFSTQRIKYCALKAATILDLFGLMGAYAAGSCWWLPKSVYVSVIVVSVVLYIVSVVLFMIKDSPASSEAAREKMARCVPGLSKPVYQVHAEGDEEAAVKERKLEKTRNHLLLLAILAASLTYQAGMSPPGGLWQENNSGHVIGDPVVNDNHRRRYKAFFYCKAMSFVASLVITVLLLNKRLSASGIRSHALQVCFIIGLMGAYGAGSYRKISMVDVLFLFLAVIVCIALQIASFVSGSARGPVQRLVPKLGWPQVLGVEDDYSSVPEDDELEFGVAGGTTKEELLGLEISTPRDQLVSALCHGACKVIDSFRSGTWMLVFFLVIWQPALRKNLKVKFKVSKISAVLGVLLVSAASMTATTTMDTPSTAMPPGTGMVCRRRDPPLVKAEDEPTRPRRNRRPNSQLIGGDWVNS* >Brasy1G032800.1.p pacid=40059001 transcript=Brasy1G032800.1 locus=Brasy1G032800 ID=Brasy1G032800.1.v1.1 annot-version=v1.1 MTRTRDCGTEMEREAKKKRNLSPSPPLCEPDDQQARPEMSGIGEDQDESVKETTGARVDEMTKAGGAVEEEEELSSFTPKSPISRPYVPDELNAPTAYPETHNAFNEANAKYEAKLRRRYHLFTFSDEGKLPPSCLFHHEHLLPIRESAKKAALHAAKSIIRLSSSVDGKPLANCCGLWIKWEEESKTGIVLTTAHLIRTNHPTENHWEGRDEYNHKANVIVHLLDDTTAQGHYLYHQEHYDLAFFKVRVDEPVQVPSFSSSVHCGQDVFRLGRDDDMNLRITHGMVEYLNPGSYERHHYMYFFHEKNDHLSHQRNDGYLPRRKNDDYLCDDDGGSAIDLDGKVVGLVNKHREKPFVPSSILVKCLDLWCQFGCIPRLHLGMRFTSIRLLDPIHVEKMWRKYKIEDGLVVLEVSRESHAEKVGICLGDIIERFNGECISTTVELENMLLGRCMDHFDQGNHLNAQIDVSIQVFHTEERLRRTIHLAVDVSDGGEIVRRRSYPITATERVSASVQSSQNVAAASAIQMIPGRLGILLGRLGVVLECIYWKGSKCLAAS* >Brasy1G032800.2.p pacid=40059002 transcript=Brasy1G032800.2 locus=Brasy1G032800 ID=Brasy1G032800.2.v1.1 annot-version=v1.1 MTRTRDCGTEMEREAKKKRNLSPSPPLCEPDDQQARPEMSGIGEDQDESVKETTGARVDEMTKAGGAVEEEEELSSFTPKSPISRPYVPDELNAPTAYPETHNAFNEANAKYEAKLRRRYHLFTFSDEGKLPPSCLFHHEHLLPIRESAKKAALHAAKSIIRLSSSVDGKPLANCCGLWIKWEEESKTGIVLTTAHLIRTNHPTENHWEGRDEYNHKANVIVHLLDDTTAQGHYLYHQEHYDLAFFKVRVDEPVQVPSFSSSVHCGQDVFRLGRDDDMNLRITHGMVEYLNPGSYERHHYMYFFHEKNDHLSHQRNDGYLPRRKNDDYLCDDDGGSAIDLDGKVVGLVNKHREKPFVPSSILVKCLDLWCQFGCIPRLHLGMRFTSIRLLDPIHVEKMWRKYKIEDGLVVLEVSRESHAEKVGICLGDIIERFNGECISTTVELENMLLGRCMDHFDQGNHLNAQIDVSIQVFHTEERLRRTIHLAVDVSDGGEIVRRRSYPITATERVSASVQSSQNVADDTWEARYSAWEARRCA* >Brasy1G296600.1.p pacid=40059003 transcript=Brasy1G296600.1 locus=Brasy1G296600 ID=Brasy1G296600.1.v1.1 annot-version=v1.1 MSTAPFPSRLCGGLLVMMVALLLPVHSAAGKSSYTGVLSFGDSLADTGNALAHTGGGVGSQLPYGETFFGHPTGRASDGRIVLDFIVETLGMEYPTPYFAGKTAADFQHGVNFAYGGATALDPEFLRSRGLTPFVLLSLANQTAWFRHVLHLVGSVRAQRELMARSLVMVGEMGINDYLVAFFAKRTPSEVEPLVPHVIQAVRSLVNEVISAGARTVVVRGMIPLGCQPQMLALFEGTAGAEHHGKTGCLTRLNDLSRIHNRELFRMVLELRLASLGRGVDILYADQYGPVDSIVRTPQRYGFGEKPLVACCGGGGGKYNFGFSTFCGVEGATLCSDPSKYVSWDGIHMTDAANGRVAAAVLRSTGILRPTEVGVASA* >Brasy1G040900.1.p pacid=40059004 transcript=Brasy1G040900.1 locus=Brasy1G040900 ID=Brasy1G040900.1.v1.1 annot-version=v1.1 MDLDFPVSSSVSDDEDAGATATPPGRATCHVGCGRPSRVCLCPHLPPLPLHTSTTVVVFHHPHALRRNPLSTLPFLARCLANLHLLPGRRIRPSSTPLLPPPSPNPVLLLFPSPAATDLASWCRSTPPSARANATLLLLDGTWNQAREMHAASLPFLSSFAVPVSLPVDSGVDGDSMFESELLVRKEPHKGCVSTMEAVARALRLLEPEGCGAGVEETMVSVLRAMVAFQAEHLQHRTVKPRVKMRKKKDIKREEEMKRNTGLV* >Brasy1G040900.2.p pacid=40059005 transcript=Brasy1G040900.2 locus=Brasy1G040900 ID=Brasy1G040900.2.v1.1 annot-version=v1.1 MDLDFPVSSSVSDDEDAGATATPPGRATCHVGCGRPSRVCLCPHLPPLPLHTSTTVVVFHHPHALRRNPLSTLPFLARCLANLHLLPGRRIRPSSTPLLPPPSPNPVLLLFPSPAATDLASWCRSTPPSARANATLLLLDGTWNQAREMHAASLPFLSSFAVPVSLPVDSGVDGDSMFESELLVRKEPHKGCVSTMEAVARALRLLEPEGCGAGVEETMVSVLRAMVAFQAEHLQHRTVKPRVKMRKKKDIKREEEMKRNTGLV* >Brasy1G040900.3.p pacid=40059006 transcript=Brasy1G040900.3 locus=Brasy1G040900 ID=Brasy1G040900.3.v1.1 annot-version=v1.1 MDLDFPVSSSVSDDEDAGATATPPGRATCHVGCGRPSRVCLCPHLPPLPLHTSTTVVVFHHPHALRRNPLSTLPFLARCLANLHLLPGRRIRPSSTPLLPPPSPNPVLLLFPSPAATDLASWCRSTPPSARANATLLLLDGTWNQAREMHAASLPFLSSFAVPVSLPVDSGVDGDSMFESELLVRKEPHKGCVSTMEAVARALRLLEPEGCGAGVEETMVSVLRAMVAFQAEHLQHRTVKPRVKMRKKKDIKREEEMKRNTGLV* >Brasy1G104200.1.p pacid=40059007 transcript=Brasy1G104200.1 locus=Brasy1G104200 ID=Brasy1G104200.1.v1.1 annot-version=v1.1 MNQTAPKTLISSLGICYAMRGGVWLGSRCRRNSLLGVGVVSVELDPVINDDGVIRTGGWDTPVHCPCTGRRNFRSPPATTLLPLV* >Brasy1G546500.1.p pacid=40059008 transcript=Brasy1G546500.1 locus=Brasy1G546500 ID=Brasy1G546500.1.v1.1 annot-version=v1.1 MLLLRSTPRRLHLLRPHHLHRLLSSAVGAAALAPPPFSPTEWTEAPVASVRAATPDASLFHVSLDLSAHGSLLASHVAAGQFLPFRLPAAPYPIFLAISSPLPPASGSFDFLVKRLPGTPSARLCDLRPGDLVSVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENKEIDVSLFYGVRNLQRMAYQERFSDWESRGIKIIPVLSRPDDQWTGERGYVQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGMSRDKILTNF* >Brasy1G546500.2.p pacid=40059009 transcript=Brasy1G546500.2 locus=Brasy1G546500 ID=Brasy1G546500.2.v1.1 annot-version=v1.1 MLLLRSTPRRLHLLRPHHLHRLLSSAVGAAALAPPPFSPTEWTEAPVASVRAATPDASLFHVSLDLSAHGSLLASHVAAGQFLPFRLPAAPYPIFLAISSPLPPASGSFDFLVKRLPGTPSARLCDLRPGDLVSVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENKEIDVSLFYGVRNLQRMAYQERFSDWESRGIKIIPVLSRPDDQWTGERGYVQNAFSRMKKVVNPSSMGAILCGHKQMSEMKLHIGDFKGL* >Brasy1G497900.1.p pacid=40059010 transcript=Brasy1G497900.1 locus=Brasy1G497900 ID=Brasy1G497900.1.v1.1 annot-version=v1.1 MLPRSKLVRDPGSFGYRRLLPFLNQMAKNAGSDNSNGKDMPSENKVSISNKEADRSNSGLVDESVGGSQDGAVPMDSVEPLVVKAGGDREMKDCSDSVKEETKIVSGDLASICKPCLTRCTRSKFVHHPSSFSYKRMLPFLMENEISSQDGDRAKFQRISEECNGALVERKVEEITPISEGGDVLNGGQLQSAVAEVSLDDRTAQVPKVTPEEVIASDGDPLSSENGELTSDEAPASGLAVSEDCPGESNAAEIEGTIEGKASKSDKNSVEPLVVKAGGEQEMKDCSDSVTEETKIVPNDLGSSKLCFPRCTRSKFVHHPGSFSYKRMLPFLMENEISPRDGDMANLQRVSEEKQLTPDEDNVLASGHSHLAISKDSPKECSGAQVEGKVEVTSVSEGSDVLNGGQLHSAVTEVSLDFSTAQVQKITQEAISSERGPLSSDKGELTSDGDGVLASGLAVSEDCPEESNVDQVERIVEGKATMPDGNSVAASEDCPEECIAVDVERTVEEKETKSDEYSALQFAVSEVSPHEGDLAEMKKATHKQALTSEGDEASRLTSDKAEFLVKEQPQLCDTEGLSNDNAELAEVHKCQSSESGWSDVSSGSPTKTVMVNGGADQHGALEGQDSVASLGLVLDVRTICKPSRPCVTGTPLPVEEMSGSGRKVGTPQPCGVPCLEKQGLSPKGLSPLNGDLSGVPCLEKRGISPKKLSPKKGILKRHTRGCKGICMCLDCSMFRLRADRAFEFSRKQMQEADDIIGNLLKEVASLRSLAEKSSGHQGQMEAACERALRVEEVARERRRHMLMELNSHCKIPGPRVKFTQYVEEKMGQSPRCGNRR* >Brasy1G158900.1.p pacid=40059011 transcript=Brasy1G158900.1 locus=Brasy1G158900 ID=Brasy1G158900.1.v1.1 annot-version=v1.1 MPKTSFSRSSEIRRHSWRSGGASGDSGRWTIPATLGRRGRERGGRGGRRRGRGTPAAAGYEQRTKRRGSGSRRRTRTPAGPVGGISGGLTHPGGGSRREKGADGGLQAREGEGARDPAGEVGAGSRGRRRRSGAPDPAEEGDGGGRGRRIRRRRAAARPKEPAARPTLRAVRCGTGQKQRAGSGSTS* >Brasy1G524200.1.p pacid=40059012 transcript=Brasy1G524200.1 locus=Brasy1G524200 ID=Brasy1G524200.1.v1.1 annot-version=v1.1 MAAMSAESEGATTDDDVHLSPSSVCSSASGGGGRGGGGRGGGAAAGGVRFKILCSFGGRIMPRPSDGALKYIGGETRVLAVHRSIPFADLKKKVEEMFRTEVAAIKYQLLSEDLDVLVSVTCDEDLVHMLDEYDRFEAKRSPSASPRFRVYVFVSSPPQQQHAFVSPAAASVSSSSSSRHASYARSQQQYHQLQQQHHRHGHHFQPDRYVATVPSTPNGSPPYPDQPNGVVSAGNSPRAPNIVAEQQPVFRAGGMQRVRSSPNLGGLNGTLQQFNQHAGEDGGLSGYMSGSPVHAAAASPLFSQGNNYSSHYRHPQQQYTPALVPVPVPLHGGGAGRYEAPRGYVRGGSSYMAAPMVPALRSGRPVTRAGAPTYGEMQTPKKTATIWD* >Brasy1G275700.1.p pacid=40059013 transcript=Brasy1G275700.1 locus=Brasy1G275700 ID=Brasy1G275700.1.v1.1 annot-version=v1.1 MAPTAPSPAKSASPSQPSGKSEVSDLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVAPLTTGLKDPSAYVRMVAAVGAAKLYHISTTTCLDADLPAALKALMLSDSDAQVVANCLHSLLEIWTLEAANSEAAAREIETLYSKPVVFYLLNRIKEFSEWAQCHVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHHLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSQNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVDNWDEEQSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLADTHQDVHDRALFYYRLLQHDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEYSEDLTNLTVGAEAPETVISAQWYQENDNDLLLSTSDKEDNVTRASNGSSTSTYNAPPDLTGPSLLSSQTPTETALINPGGPTYSAQTNFSLDDLLGLGIPDTLAPPSPPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFFFYGQKDGATAFYLVECIVNTASAKAQLKIKADDGTTAEAFSTLFQSALSKFGLS* >Brasy1G436000.1.p pacid=40059014 transcript=Brasy1G436000.1 locus=Brasy1G436000 ID=Brasy1G436000.1.v1.1 annot-version=v1.1 MQPPPAAQPHDVVHCRLADNPNRRQVGYAADGELEDNAPEQRPFVARPQALFIEERRLQLAAVEFTGYVLIWWNQILRMHTRPTSWRGMKDFMRHHFDPEATMSRFFNGLNIEVHDHVEMVSYYDIHDLVHQAERAEQQFKRFQAAAPANSWRRSYTMGAGSSAKPTPSTRSNHVSHSEAPKSGVSKAATSTQSTSHIECFSYGGRGHMRRNYGYISASDDEKVDVPSSEESEEHDNFEVYPEDVAPNCTNLMVQRVLEDRIEG* >Brasy1G469500.1.p pacid=40059015 transcript=Brasy1G469500.1 locus=Brasy1G469500 ID=Brasy1G469500.1.v1.1 annot-version=v1.1 MEAAARERPAHLPPPHSPVQMHMPPPQVSADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAAGAPAAASGPPSRPAPAPSTTTGPKKPAFKLYERRGSMKSLKMLCPLLPSAFANGGASSSSAAAGFSPRGFSPRGSGMDVLSPSMLDFPSLALGSPVTPLPPLPGSEEAAAAEDRAIAEKGFYLHPSPRGNAAARGDMTPPPRLLPLFPLQSPTGRQ* >Brasy1G207300.1.p pacid=40059016 transcript=Brasy1G207300.1 locus=Brasy1G207300 ID=Brasy1G207300.1.v1.1 annot-version=v1.1 MARILSRALPFASHSHLQFSPPIRGAALLSSAPLLPHLPEASKVSLLSWRGFTPTPEPSRSVPPFAGFLAGIRGFRRARRGQAAAKREQAQEDPAPPPPPPPKESEIELYARIGVDEDLPDDLEVLNIIEILKLNVPMAMKIALDGLLEYNYNTRDTSISDVGKYEKVEVSVLLGNDNFIQNLNKEWRGEDCATDMLSMSQYIPDLDVPILMLGDIAISVETAARQAEERGHTLLDELRTLVVRGLLHLLGFDRQASNEAAVEMEKEELLILKSLRWKGKGFSKSALDLSKPHTETSDGRVTNGLKKAGSLRFYRPKFKYIFCDLDGTLLNSKSRVTARNAEALKEARSRGVNIVIATGKTRPAAIDVLNMVGLSGRNGFVSESSPGVFLQGLVVYGLEGQEIYKRNLDQEVCREALLYSLEHKVPLAAFSKDRSFSLFEDPSVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLGTPEGISTTLRPYWEKAIGERAGVVQGQPDMLELVPPATSKGRGVKMLLDHLCISPDEVMAIGDGENDIEMLQLASLGVAMANGAEKTKAVANVIGATNDEDGVAQAIYDYAF* >Brasy1G549900.1.p pacid=40059017 transcript=Brasy1G549900.1 locus=Brasy1G549900 ID=Brasy1G549900.1.v1.1 annot-version=v1.1 MEPAAGWRLRSQCPVVMASSPVVPGLHTSSRGGADASTGRRRHGWGGAVLGGGGWCRRASRPGLQEGHVGHADAGGARDQGAGCLRRLPRGALLLRRQRRREEEGEGEAEDDALFPLLPPELHLGLAPRQPALPTLPSRDAPEVP* >Brasy1G194400.1.p pacid=40059018 transcript=Brasy1G194400.1 locus=Brasy1G194400 ID=Brasy1G194400.1.v1.1 annot-version=v1.1 MARSIGTRLRAVETTGGCVWIANWLDSTPLFCVIIGGVVAAGLVVGVVAPFYWHRICLPACTTEEMCALHPCGVPEMRFYGVAVIMVTLTTGNNNKSARNEIH* >Brasy1G483200.1.p pacid=40059019 transcript=Brasy1G483200.1 locus=Brasy1G483200 ID=Brasy1G483200.1.v1.1 annot-version=v1.1 MDAAALWRFMASRRRELSAVRKEVVPALAAAVDPPRLVLDVLSDFLSASEGAGEDQCWVLGILLRSLFDSDDRKPLEIGDTLVERAASVAKDWTEKFGIKMDTPALGNQEAEMTEAPVEDNAATLEKKVEHGDGDEEEEMEEEGDEEEDPEELVPASGDEEDENPEEVGNEADEDPEDEEMEAAKEKKGEDVKVDVADEEKTSEEAEKREVEEVNKASRGLAKDGEKGALGKAEVHIFLQMVAAFGLKDKFDREFLKSLFVANRRMKELARFGCVLGFEESVADVVQELIASGNVIEAIYIAHEAGLLERFPPAPLLKSYIKDSTDKVQAVLSSGKRSSSAVEESKNIECSACKSVIRCVEACQLLPVFNTDSLKEKVERMEKEKADRKSASRFLNKRARGAAGRSFPGAKSTRGSSSSYAPSFQNPISRSFNYAARGGYMSQAAAQPYYVPGGMAARRGGVLYGGPGASFGAAHNYAAGTSQQPYHR* >Brasy1G390600.1.p pacid=40059020 transcript=Brasy1G390600.1 locus=Brasy1G390600 ID=Brasy1G390600.1.v1.1 annot-version=v1.1 MTEPKAKRGGRAYLTWSDEMDSALLEVLVEHHNNGDHAQNGWKPHVYNAAILNVHAKCSIDITKDNISARIKTFDKHYEIISKILEQSGFGWDWENNKLSIDSDDVWSKYVEGNKGAGSYKTKVVKNWDAITTIYSKDHANGEGAKTGVETAEEVAGEANEASPECAPKRQRTCDAILCMVGDMRASFADALKATDPLPLPKVTSPSEILAALEMIQDLSRADMLRSYGKLILNERLFDALMELPMAMRKEWLLMLP* >Brasy1G162500.1.p pacid=40059021 transcript=Brasy1G162500.1 locus=Brasy1G162500 ID=Brasy1G162500.1.v1.1 annot-version=v1.1 MEAPPPPPAHVLVFPWPLQGHINCMLDLAAVLLAASVRVSFLHTDHNLRLQALPQQQGLHLHSIPDGLPEDHPRSVRHLKELSESMLTTGRAAYRALLLSLIGSSAAADCSPVTCVIADGIMPFAVDVAEELGVPALAFRTASACSYLAYLSVPRLLELKEFPFPSDDPVRAVPGMEAFLRRRDLPRGVCRSGSPDGVFDPMLLTIADGIARAGNARALVLNTAASMEGAALARIAPHMRDLFAVGPLHAANGIGNADATGIDIGNGDGSNDDGCVAWLDAQGDRSVVYVSMGSLAVISHEQFTEFLCGLVAGGHAFLWVLRPDMVLQSTSSCVSVADAVMAAAGDHCKAHVVEWAPQRAVLRHRAVGCFLTHGGWNSTLEAAAEGLPMVCWPFFADQQINSRFVGAVWGTGLDIKDVCDRAVVERVVRDAMESAEIRARAQAMAHQLGLDVAPGGSSSSERDRLVAFIRDLSALHRV* >Brasy1G573100.1.p pacid=40059022 transcript=Brasy1G573100.1 locus=Brasy1G573100 ID=Brasy1G573100.1.v1.1 annot-version=v1.1 MKLSCLVLVSLAAAALPSEGEKTCVYTVYVRTGSAWKAGTDSTIGVSLLGSDGTGIQIGDLERWGGGGGLMGYGHDYYERGNLDIFSGRGPCMARAPCWANVTSDGAGAHHSWYCNYVEVTVTGPHMGSAQQLFTVDQWLATDASPYRLYAVRDTCSSGQRRGWNFYLGSAFLAFFLGGFGLFLYH* >Brasy1G187000.1.p pacid=40059023 transcript=Brasy1G187000.1 locus=Brasy1G187000 ID=Brasy1G187000.1.v1.1 annot-version=v1.1 MEMTPEVMYGQNVYVPANGNTYPYGYAEVGSHMDWYNHHNSVGYDAQDVYYPGFQPEGTQCVYYATPDNGSVHPSYSPYPIDPSFVVDSSFLPQEYVADTDPTCQMVPSSYYIPSVLPYAQDGVLGNTATPLHPSSVAFIPSMPGYVATSTNHLLPSIAPVVPKSDVILNPPIQSTIVSSKQFQNHTMVPMVHNPIPVKQELAKAPMVSVRPPHTSQASTDTFDRTMPAIKHSPTAKLSGDNCFACVGSDPQKWAAAEKFQPTPKSSGQLNEHGFSNTEKPSVQRSSAVVAKSYTSRVVVGNSDGTILIRPDQYNGNDLRVDYPYAKFFVIKSIGEADVHKSIKYGVWSSSSSGNSKLDAAYRDADRIARRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFQKDMDFWCQDKWSGSFPVRWHIIKDVPNYTLQHILLQNNENKPVTHSRDTQEIPYIPGLSMLKILKDIKVKECLFDDFMRYEDDEARIKQHRWSKLSHNAPDFVPVSHRRKDASDPQQPKLGSVLIDRTAEIQNVSEKPHDCCVTKHQDPCIEAVEKQLPSEDGKENGHQENHCNGKQGNEKVAKSSTSQPQSSTLKTNLDGKQQYWKKVESPKQNPDGAVHGSSKASEKHINGVKVSPAIVTLGTPEEETIVTKIGSLTISSKTRKADDKSHLVDVLTIGSLPIRVNKSAV* >Brasy1G401700.1.p pacid=40059024 transcript=Brasy1G401700.1 locus=Brasy1G401700 ID=Brasy1G401700.1.v1.1 annot-version=v1.1 MTVSPSPLVHVTCRVCHAGFVPPLVKLLCTLPLSARDAVNKLTSIYIAARHFISRVCSVRRHGTHASHSHTSVVHWHLAGRSFSPSHAPVVTGHGSPRHPSSSSSSPRTHGPRRAGPRSRAPIASRSTQTSKPTNKRPPHTRRLPPLPAQRVPRVALAVASAICFFSFLLLLLPRQSASASTPTTPQPPSPPFFKPTLSPSSISPPGTISARGSLPHPNCPVPKQQQHPYHTTSALSPFSQRPDLIQSASPAAGTTDGGLCSRGRGRADDKQQRPGARALDERRRRGRAIVIARRHGHGRVATAWRLHCA* >Brasy1G515200.1.p pacid=40059025 transcript=Brasy1G515200.1 locus=Brasy1G515200 ID=Brasy1G515200.1.v1.1 annot-version=v1.1 MAPKRRHGNDDDDAAEVESSAELVGSSAEFSKPVYLVARVSSANSVFVVDAAAGGNPRERAARHLGELPASIRGMSFIAAHSKHGSWIAAVGGRTGSTIIYDPSTLEWSRGPVLCCPKREPVLISHGSKVYAMSRAPRVRLRGTRDFEPWFESLSFNKGVPCIRHLEDCPEWKPLPPPPFFPCLLDPLEFRNPPKISISSYAAVVGSSHILFSPQQEGVGTYAFHVVEKTWEKVWDENLPFVGQAVHLGLGGNLFAAAACRVSSNNASVSVFRMSIEVSMSPAVSHKLSVMLVQQFPVASEGKTIPRPLFCPLGKGGFCYIRKVSFRPNRECLKMSLTSFQMDNIGPACQTESSADSAGDMHVAVKVELHDRRCKFMVQSQCLPSPLTVLAALSMDCEHPEMMCQKKTTHAVAGGTTVSDIFEAPVIRSRT* >Brasy1G543500.1.p pacid=40059026 transcript=Brasy1G543500.1 locus=Brasy1G543500 ID=Brasy1G543500.1.v1.1 annot-version=v1.1 MIKAEPQQQLSSMITVDLYPEALHCPKCIRPLVPPVFQCAAGHVVCAPCHGELPDKARCESCFLKTGYGGYSRFFVPTKYTRCLAVERILRSIRVACPNARINGGACASVSMPYHKKAGHEQTCPDNGATRGSPSILVMGPCGGAGSPWKMDARRVRRIVNVVVCHGGAVDALCVSYERDDDGKICLEPDEHLTCVKGRLGQHHQWFVVKSLTFVTNRRTYGPYGEEHGVAFELPGPAAGWIVGFHGRSGGMLDAIGTYVRMD* >Brasy1G247000.1.p pacid=40059027 transcript=Brasy1G247000.1 locus=Brasy1G247000 ID=Brasy1G247000.1.v1.1 annot-version=v1.1 MAAAVPVSLLPTSVGHPPARRAPFCAVSYKKRRIAVMRVSCAADDQEEEVNDLGVNVALSTLKFYKRGISPLLPSSCRYVPTCRE* >Brasy1G519000.1.p pacid=40059028 transcript=Brasy1G519000.1 locus=Brasy1G519000 ID=Brasy1G519000.1.v1.1 annot-version=v1.1 MVPAFACPGIAGTAAGRKLCARSVPRDDHQVPVLAAHGHHPSNCSYPTFGVTCDDPNGVTPPSLDGGSYFRLLDIRYGDRCIVAFHANLVDYGEPWLGTRFSMSASFVLLFFAISSTNWELFFCANGSPQPLAGALQINCSGPST* >Brasy1G285000.1.p pacid=40059029 transcript=Brasy1G285000.1 locus=Brasy1G285000 ID=Brasy1G285000.1.v1.1 annot-version=v1.1 MRLPSPKQELRVLVRIGCQGGARSRGSSSTRQRRAPGGRAGGAGRRSGPAHRAGGAGHGDRGISFVARLNQKEVKRTDSDTNPPAAHQPLPPSRRERPNPARAERIRASPAQRRRTSNPPTTPARRLQNHLTTTQPAEASRQPKLRQRHWTPRRRPQRGDDAETPPSPTRQAKSRFSPEVPPTVPSARSRRGLQKGRRYRLAAPPLACAAKAEQSFRSTSTEAPSSRPISRTSVGVHLHRAKHQHPLLPTQPRRTSAAGSALQDPGPPPRLLRGGALSRSSTNTPQWRTSSTGIHMTPLNRNATPAGVVASRISPWIPGTRPQQSGRPPTPERARSGLAARRSSADTDGSGHAGQGIGAPAAGERKTGAPAAGERKTGAPAPTPRRTAAREGPAPDPAAPSTDPVARQAADAACRRTGLAGPEHPEEEEPRKGTRAPRKQGPAAAVPARALPGGDHGRRRGGGGGR* >Brasy1G459600.1.p pacid=40059030 transcript=Brasy1G459600.1 locus=Brasy1G459600 ID=Brasy1G459600.1.v1.1 annot-version=v1.1 MVLFGVGGLGWLLSSRVPSSLCGSRLGDGGGGFTSSAPSRVPLPRRRSLLWPPPSLLSLPPQPRRFSATAAAAEIYNSERRIQVRRRRKLKAAPSRGLPAAAAAAPREEKLLFSLPPGGAGPVDAGSGRFAPAALGSGLPRPRRLRIRVGLLQSRRRFAAGSTSVARERHGHRAPPNPQNPSSSHGDADGIHRRTAPRTRGVCPSPDAAGDGGLRGVIFRGQRLRALADRSWERLPRARRPPWPRSASSPPPPPEGNWVRTVGLAFRQPKFKLQNFSLSREDGLQLLSRPEDVTQEIWGENRCNKENGISEAG* >Brasy1G400800.1.p pacid=40059031 transcript=Brasy1G400800.1 locus=Brasy1G400800 ID=Brasy1G400800.1.v1.1 annot-version=v1.1 MHSCATELRSKQTRPYNTCMDHWSESEGKRAHDPIFQTKLNRRQHVEDFFKKRADAVATCTGRCIWVPGPIVVGAGPSGLAVAACLKEKGVDSLILERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPIYPSKKEFVLYLEDYAARFGIRPTYNHEVVCAEYDEKLLIWRVRTQATDRMGEVVYMSRWLVAATGENAEVVRPEIDGLKEFKGTVLHTSEYKSGLAFAGKRVLVVGCGNSGMEVCLDLCNGGAQPHIVVRDTVHILPREMLGKSTFGLSMWLLNWLPVHMVDLILLFVAWIKLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIRSGNIKVRPDIKQISGRQVEFLDRQTEDFDVIVLATGYKSNVPFWLKDREFFSEKDGLPRKAFPNGWKGERGLYSVGFTRRGLMGTSADARRIAHDIEQQLSAEGKHPDVLL* >Brasy1G457600.1.p pacid=40059032 transcript=Brasy1G457600.1 locus=Brasy1G457600 ID=Brasy1G457600.1.v1.1 annot-version=v1.1 MAKPQQQEVYFVFMNFDPVYERLRADRSKQGSATLDAYLSDKHDKLLAKLLGPPDTYRKKSSLAIVDGFAAEITDAQASVLRSAKEVRVVEKNQELA* >Brasy1G438500.1.p pacid=40059033 transcript=Brasy1G438500.1 locus=Brasy1G438500 ID=Brasy1G438500.1.v1.1 annot-version=v1.1 MALLEDLIRAIELWLRIAKEQVPLVDPNLDPVLLVPGIGGSILEAVDEAGNKERVWVRILAADHECREKLWSKFDASTGKTVSVDEKIRITVPEDRYGLYAIDTLDPDMIIGDDSVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSEALDNFARKLESVYTASGGKKINLITHSMGGLLVKCFVSLHSDVFEKYVKSWIAIAAPFQGAPGYINTGLLNGMSFVEGWQSNFFISKWTMQQLLIECPSIYELLASTTFHWEDTPLLQIWREKLDSDGKKSALLESYGPDEAVKMIAKALSKHEIISDGNHIPLPLNSDILSWSKETEDILSQAKLPKSVKFYNIYGIDYDTAHTVCYGSEQHPISKLSHLLYTQGKFICVDGDGSVPAESAKADGLDAVARVGVTADHRGIVCDRHVFRIVQHWLHAGEPDPFYDPLNDYVILPTVFEVEKHFEKRGEITSVKEDWEIISTSEGGETKRPAELPPMVSTLSASREGKEGSLDEAQATIVVHPESEGRQHVEVRAVGVSHGG* >Brasy1G183400.1.p pacid=40059034 transcript=Brasy1G183400.1 locus=Brasy1G183400 ID=Brasy1G183400.1.v1.1 annot-version=v1.1 MEGWPCAEGGAPARGEEEEEEEEDQFDRLPDEVLLDVFNRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVIPDEPPSSSASSPGAAAAPPGRGRGALAHLARLVLGGIVRPIQALGQILSPTLAIVSRRPVAPPALPPPPAGDISHHSPSEVLRSFKELRRLGIELPTGELGIDDGVLLKWKADFGSTLGSCVIFGASSVSSKPPPAPSPTAVDSSDTSPDSTRDPEDLASIPESLHTNGGLKLRVVWTISSLIAASARHYLLQPIIADHDTLDSLNLTDADGQGVLTMNKKQLQELRVRPVLPSGNSHRTLMPALIMRLWYAPHIELPGGVLLKGATLVAIRPSDDVLREGGGFEAAGPAGASWISDAFEEPYRTAAKVLFKRRTYSLEMNSF* >Brasy1G082300.1.p pacid=40059035 transcript=Brasy1G082300.1 locus=Brasy1G082300 ID=Brasy1G082300.1.v1.1 annot-version=v1.1 MHIKEVCLEGFKSYAGRTVVPGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSAEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDDEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRGGAVSGVKQIRGKIVELDESREKLNAQINEMDKNISILAAEKEAKLGGEMKVLSEKVDKLSHALVKETSAMDNQEETLRSEENAAEKILKNIEDIKRSIVERDAAVKNAEDGTSDMKKRAEDLTKELDESEKEYQGVLAGKSSANEKKCLEDQLRDARAAVGEAESGLKQLTTKINLSEKELKEKKAQMVSKRDEATAAEKELKARTKDLEALKASMGSIDYEEGQMEALQKDRSTELDVVQKLKDKVRALSGELANVHFSYRDPVRSFDRSKVKGVVARLIKIKDSTTATALEVAAGGRLYNVVVDSETTGKQLLQNGDLKRRVTIIPLNKIQAGTIPDRVQQAARRMVGAENVTLALELVGYSEEVKNAMAYVFGSTFVCRNMDAAKEITFNREVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHELAKAEADLSDHEGRLSVIEQKIAVLLPLQKKYAELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESRKELTEKKVQYEKSVSTVSELEKMIKTYGTEREGMLKALEGKIKSLKSEMQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLVTSKAQITALSEAWATNKSKVASTKLDYDQAESELNTERSKLKDCDSQINCIAKEQQKLQQLLSDSNVERKKMENEVKRMEIEQKDCSLKVDKLVEKYSWITTEKQLFGRSGTDYDFASCEPHKAREEFEQLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR* >Brasy1G082300.2.p pacid=40059036 transcript=Brasy1G082300.2 locus=Brasy1G082300 ID=Brasy1G082300.2.v1.1 annot-version=v1.1 MHIKEVCLEGFKSYAGRTVVPGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSAEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDDEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRGGAVSGVKQIRGKIVELDESREKLNAQINEMDKNISILAAEKEAKLGGEMKVLSEKVDKLSHALVKETSAMDNQEETLRSEENAAEKILKNIEDIKRSIVERDAAVKNAEDGTSDMKKRAEDLTKELDESEKEYQGVLAGKSSANEKKCLEDQLRDARAAVGEAESGLKQLTTKINLSEKELKEKKAQMVSKRDEATAAEKELKARTKDLEALKASMGSIDYEEGQMEALQKDRSTELDVVQKLKDKVRALSGELANVHFSYRDPVRSFDRSKVKGVVARLIKIKDSTTATALEVAAGGRLYNVVVDSETTGKQLLQNGDLKRRVTIIPLNKIQAGTIPDRVQQAARRMVGAENVTLALELVGYSEEVKNAMAYVFGSTFVCRNMDAAKEITFNREVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHELAKAEADLSDHEGRLSVIEQKIAVLLPLQKKYAELKSQFELKSYDLSLFQNRVEQNEHHKELQESRKELTEKKVQYEKSVSTVSELEKMIKTYGTEREGMLKALEGKIKSLKSEMQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLVTSKAQITALSEAWATNKSKVASTKLDYDQAESELNTERSKLKDCDSQINCIAKEQQKLQQLLSDSNVERKKMENEVKRMEIEQKDCSLKVDKLVEKYSWITTEKQLFGRSGTDYDFASCEPHKAREEFEQLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR* >Brasy1G082300.3.p pacid=40059037 transcript=Brasy1G082300.3 locus=Brasy1G082300 ID=Brasy1G082300.3.v1.1 annot-version=v1.1 MCNACIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDDEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRGGAVSGVKQIRGKIVELDESREKLNAQINEMDKNISILAAEKEAKLGGEMKVLSEKVDKLSHALVKETSAMDNQEETLRSEENAAEKILKNIEDIKRSIVERDAAVKNAEDGTSDMKKRAEDLTKELDESEKEYQGVLAGKSSANEKKCLEDQLRDARAAVGEAESGLKQLTTKINLSEKELKEKKAQMVSKRDEATAAEKELKARTKDLEALKASMGSIDYEEGQMEALQKDRSTELDVVQKLKDKVRALSGELANVHFSYRDPVRSFDRSKVKGVVARLIKIKDSTTATALEVAAGGRLYNVVVDSETTGKQLLQNGDLKRRVTIIPLNKIQAGTIPDRVQQAARRMVGAENVTLALELVGYSEEVKNAMAYVFGSTFVCRNMDAAKEITFNREVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHELAKAEADLSDHEGRLSVIEQKIAVLLPLQKKYAELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESRKELTEKKVQYEKSVSTVSELEKMIKTYGTEREGMLKALEGKIKSLKSEMQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLVTSKAQITALSEAWATNKSKVASTKLDYDQAESELNTERSKLKDCDSQINCIAKEQQKLQQLLSDSNVERKKMENEVKRMEIEQKDCSLKVDKLVEKYSWITTEKQLFGRSGTDYDFASCEPHKAREEFEQLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR* >Brasy1G394600.1.p pacid=40059038 transcript=Brasy1G394600.1 locus=Brasy1G394600 ID=Brasy1G394600.1.v1.1 annot-version=v1.1 MSDELKSRYAAAVAAAASSDLAPYATMRASADADRRPNAVSATAALGSPPPASAGAAAASPTAHKIQLKSADMKEEMQKEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG* >Brasy1G067800.1.p pacid=40059039 transcript=Brasy1G067800.1 locus=Brasy1G067800 ID=Brasy1G067800.1.v1.1 annot-version=v1.1 MHRGSDRTGDLPGPAGGARSGGDGRFARGPSRWSGGGGGGGSPPHRSSSRGGAGDGGGGGRFHPYRGPSDYSVGTGGSGGYRGGGGGGDFGDTAGAPRNRYGGGGGGGGRGDHSDHDNKSGYVKLFVGSVPRTANEDDVRPLFADHGDVLEVALIRDRKTGEQQGCCFVKYATSEEAERAIRALHNQCTIPGAMGPVQVRYADGEKERHGSIEHKLFVASLNKQATAKEIEEIFSPFGHVEDVYIMKDGMRQSRGCGFVKFSSKEPALAAMNSLSGTYVMRGCEQPLIVRFADPKRPRPGESRGGPAFGGPGVSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPNSTAPHQFNNNFGSDNHMGLMGGTVASADNAAFRPQMFHGNGSLSTQTALPTSSHMGMNPSISQGHHLGGQQIPPLQKAPGPPHNFPVQLQNAQQGQLQQIGQHHVPQSLGPSSLSQHIPAMPLPGQLPVSQPLTQQNASAGALQAPSAVQSNLMQAVPGQQQLPSNVAPQMLQQAMQQMPSQAPQLLLQQQAALQSSYQSSQQAIFQLQQQLQLMQQHQQQPNLNQQPQVPKQQGQPMVQSGTPGALAAVVPTNINAIPQQVNSSAVSLTCNWTEHTSPEGFKYYYNSMTRESKWEKPEEYLLYEQQQQQHQKLILLQQHQQKLAAQQLQSPPQAQTIPSMQSIQQHPQPQQGQTQMQMKQQELNYTQLQATGSIDPSRIQQGIQAAQERSWKN* >Brasy1G337400.1.p pacid=40059040 transcript=Brasy1G337400.1 locus=Brasy1G337400 ID=Brasy1G337400.1.v1.1 annot-version=v1.1 MASKQSRRNLREGTGQVPVIIDRRPTIPGPGVPTLRSTSAKMKIAFHFPKSSRTLVRLSLRDINVSHVHYKNAQVFSNLEVIHLYAVRINDDVLCKMAALCPLLRILDLCYCDRLSDVSRLFERTNLTRTLTVAECAGVHVLDVQRLFSLRSFRYSGSFLWPFYLPRSVAFTDLYICYNVVIPHVSGQWFDNTLSNTSELTVLTICSNALQVVSSLTDAGVQAGIAKVGNFQKLKELRLIMFEMKAINLADIYAFLKNCHCPILKSLFVQLPTVRSDMPLVDAHSDVIEEPPEDVLDNLKVVKIMNFSCDRIAVQLVLFLLRKAKSLHKLLLVAPNRNQLNVSDIPEVFAKL* >Brasy1G459000.1.p pacid=40059041 transcript=Brasy1G459000.1 locus=Brasy1G459000 ID=Brasy1G459000.1.v1.1 annot-version=v1.1 MFYSTIGGMTHVLTLPTDVKQAHIRGAFEDGWFVLAWIQPCRHQLFHLTTGGRIELPVTTIVDHEVRLVWLQSAVLSSSPAADYTVAGILRVEGKEHPSIGFWREESEHWVLETSLASEVLDMVYYLGTLHVLTADENVHMIATEFDENGGLTVWRLDYRVQQRDDYVADGQLVGGHVRNYLLESNASLLMIIKLEDQNQKTHLLRVVSLEVETEDDVQDAFGVNEFLTCLQMATLIEFLAAQPGSRLTSIFVVFSSLGQHVLDPSTPSELKGRQYISLTIGV* >Brasy1G333700.1.p pacid=40059042 transcript=Brasy1G333700.1 locus=Brasy1G333700 ID=Brasy1G333700.1.v1.1 annot-version=v1.1 MATVLSLVLLLLPVATDALTADGQALLAFKAAVLRDPTGALADWNASTADPCSWNGVVCDRGTRRVVALSLPRKGLVAALPPSALPDSLRHLNLRSNRLFGALPPALIAGAGGLQSLVLSGNQLYGLVPRELGDLPYLQILDLSSNSLNGSLPGSILKCRRLRTLALGHNNLTGPLPTGFGRELSALERLDLSYNRFSGGIPEDIGNLSRLEGTVDLSHNDFSGLIPATLGKLPEKVYIDLTFNNLSGPIPQNGALENRGPTAFMGNPGLCGPPLKNPCSPDAMPSSKPGESASASSGGKGLGKVAIVAIVLSDVAGILIIALVFCYCYRRTVFPREKGQGGAAGSKGSRSGKDCGCFRRDESETALDQEEQYDLVVLDRQVRFDLDELLKASALVLGKSGIGIVYKVVLEDGLSMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLKAYYWSFDEKLLIYDYIPNGSLSAAIHGKPETMTFSPLPWDARLKVMKGVASGMSFLHEFSHKKYVHGDLRPNNVLLGTGMEPYISDFGLGRLANIAGGGSPFAESDRDGLDKAQIQHPYASVCPVLSKGSCYQAPEALITLKPSQKWDVYSYGVILLEIITGRSPVVLLETMRMDLVQWVQFCIEEKKESADVLDPFLARESEREDEMIAVLKIALACIQANPERRPSMRHVTQTLERLNVSS* >Brasy1G561100.1.p pacid=40059043 transcript=Brasy1G561100.1 locus=Brasy1G561100 ID=Brasy1G561100.1.v1.1 annot-version=v1.1 MSNCETTQVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy1G408300.1.p pacid=40059044 transcript=Brasy1G408300.1 locus=Brasy1G408300 ID=Brasy1G408300.1.v1.1 annot-version=v1.1 MASIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDEVEKAPKRPKQDTTSTKRAKLAAKRIRKMQEEEKRLQEKEFEIAFFREFLPDNV* >Brasy1G408300.2.p pacid=40059045 transcript=Brasy1G408300.2 locus=Brasy1G408300 ID=Brasy1G408300.2.v1.1 annot-version=v1.1 MASIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDEVEKAPKRPKDTTSTKRAKLAAKRIRKMQEEEKRLQEKEFEIAFFREFLPDNV* >Brasy1G576400.1.p pacid=40059046 transcript=Brasy1G576400.1 locus=Brasy1G576400 ID=Brasy1G576400.1.v1.1 annot-version=v1.1 MVAEAVRYWEIERAVRFDRGPDGREVPPSSHIIELENSWEDLSLEIQRSDGFAFQNDVLLGNIVVDNMLSFAVKALFLMLYKCPPRRLAPATLTVLSLEPHYGLQQQVMMRSSDLEAEAEVVVAGVQDQCTVSSNPPRARIIGRDGLCVEVENFKYSDNNPVVLSTCKTSDVRNQLWTWRRSDGRIISLEKCLTASGNKAGSSVVIRECDILDTGSPSRWRMDDHGTLVHLYSKLVLTATSGEIGSRLTVEKPTSSSFQAWQSTNVTAGAAGTDVMIIGENNRCIYYNGKKGVYTDTCSSGATSQRWRLYPDGTIRPIDWLDGCLELQSMARNYNYIQAGYCTNYPEYHRWIFTHTGAIQNLGSGLVVDADKNDPRYLQAAPYIQGAGNQIWRTRFVKA* >Brasy1G010100.1.p pacid=40059047 transcript=Brasy1G010100.1 locus=Brasy1G010100 ID=Brasy1G010100.1.v1.1 annot-version=v1.1 MASEGSSKVFTLEEVAKHSSKDDCWLIISGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGEIDATTIPTKVKYTPAKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESA* >Brasy1G065200.1.p pacid=40059048 transcript=Brasy1G065200.1 locus=Brasy1G065200 ID=Brasy1G065200.1.v1.1 annot-version=v1.1 MDWEAKMPSWDLGTVVGPSGGAGGGGGLDLKLGGPTSWRPVPAAPVAPSSSPTPAKRARAGQAPACSVEGCAADLSRCREYHRRHKVCEAHSKTPVVSVAGQQQRFCQQCSRFHLLVEFDEVKRSCRKRLDGHNRRRRKQQPDPLGPAGLFANHHGGTRFASYPQIFSTTTSMAPEHNWPGSIAIKTEADVFQVEQYYSSLQLNGAANAASLFHGKERSKRFPFLSDHHGDTAFQQQPFTITPSSESSSNSSSRHSNGNKMFAANDGGPDHNCALSLLSDSPTTQAAHIMITAAAQPLGGARIHYRGGGGDGGGGVSLTGLSYASGIMGDNKGSAHAAMTTSTRHAGAVTTASSVAAQLQQYHGYYQHQVNGDQGNSADAGASMQALPFSSW* >Brasy1G065200.2.p pacid=40059049 transcript=Brasy1G065200.2 locus=Brasy1G065200 ID=Brasy1G065200.2.v1.1 annot-version=v1.1 MFHLLVEFDEVKRSCRKRLDGHNRRRRKQQPDPLGPAGLFANHHGGTRFASYPQIFSTTTSMAPEHNWPGSIAIKTEADVFQVEQYYSSLQLNGAANAASLFHGKERSKRFPFLSDHHGDTAFQQQPFTITPSSESSSNSSSRHSNGNKMFAANDGGPDHNCALSLLSDSPTTQAAHIMITAAAQPLGGARIHYRGGGGDGGGGVSLTGLSYASGIMGDNKGSAHAAMTTSTRHAGAVTTASSVAAQLQQYHGYYQHQVNGDQGNSADAGASMQALPFSSW* >Brasy1G244100.1.p pacid=40059050 transcript=Brasy1G244100.1 locus=Brasy1G244100 ID=Brasy1G244100.1.v1.1 annot-version=v1.1 MAAEPEELVDFIEPAPLPPSIFLDLPPTTHGDSKQPQDDMSLTYISHMLMEDDINDKLSYKSSSAAANTSLGAQEFSAPDAFVSALMPNQDNNKDSIDCELLSSEVQDPTFLNGTDLVKSNSTQFPGENNSSTSMAFFKGIEEASMLLPKHNGMSDRRGHKNRFGMDGETEASMGRSSKQIAVLVHTDSEEEDTALKMLDRLILNGYDKHPSEMQDVLITLDKENKAAQKSIHRRGRRGATQPVLTDLETLLIRCAEAVSRNDRGGASELLERIRRYSSPRGDASQRLAHYFAQGLEARLADTGSQLYRSLIGKHLCTVELVQAYYLHMATCCFINVAGLFSNCTIYNAVAGRRKLHIVHYGMITGYKWPLLIQKLADREGGPPEVRITAINNPLPGFRPAEPIEEAGHRLGNCARKFGVSFKFYAIAAKPEVVHAEDLHIDPDEVLVVNSLFQFRTLIDECLTFDRVSPRDMVLNTIRKMKPSVFIHAVVNGSYSAAFFMTRFRQALYNFTALFDVMDTTIPRDNNSRLVVERDIFAWSAINMIACEGTDRVERPHNYREWQARNQRAGLRQLPLDPDIVVMLKDELKNKYHKHFMIDEDHQWLLQGWKGRVLYALSTWVADHASSSNVT* >Brasy1G102200.1.p pacid=40059051 transcript=Brasy1G102200.1 locus=Brasy1G102200 ID=Brasy1G102200.1.v1.1 annot-version=v1.1 MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRSCVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSQATFPHYAIPQGLPYHVYGYSPYSTDYGYPTNYYNIYGGTQYPFYGGAGAGMVTGTSPFYPYFQFGQSGSTTTNYGNGQGYNMQYPQMFQFSTVASTPAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPSPTPAAHPYRLIPSHFAVSAAPEQSLA* >Brasy1G102200.3.p pacid=40059052 transcript=Brasy1G102200.3 locus=Brasy1G102200 ID=Brasy1G102200.3.v1.1 annot-version=v1.1 MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRSCVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSQATFPHYAIPQGLPYHVYGYSPYSTDYGYPTNYYNIYGGTQYPFYGGAGAGMVTGTSPFYPYFQFGQSGSTTTNYGNGQGYNMQYPQMFQFSTVASTPAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPSPTPAAHPYRLIPSHFAVSAAPEQSLA* >Brasy1G102200.2.p pacid=40059053 transcript=Brasy1G102200.2 locus=Brasy1G102200 ID=Brasy1G102200.2.v1.1 annot-version=v1.1 MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRSCVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSQATFPHYAIPQGLPYHVYGYSPYSTDYGYPTNYYNIYGGTQYPFYGGAGAGMVTGTSPFYPYFQFGQSGSTTTNYGNGQGYNMQYPQMFQFSTVASTPAAVTGFAQQYGGPLSLAASPQAQAVCIPIKQA* >Brasy1G102200.4.p pacid=40059054 transcript=Brasy1G102200.4 locus=Brasy1G102200 ID=Brasy1G102200.4.v1.1 annot-version=v1.1 MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRSCVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSQATFPHYAIPQGLPYHVYGYSPYSTDYGYPTNYYNIYGGTQYPFYGGAGAGMVTGTSPFYPYFQFGQSGSTTTNYGNGQGYNMQYPQMFQFSTVASTPAAVTGFAQQYGGPLSLAASPQAQAVCIPIKQA* >Brasy1G569000.1.p pacid=40059055 transcript=Brasy1G569000.1 locus=Brasy1G569000 ID=Brasy1G569000.1.v1.1 annot-version=v1.1 MDSGDSGGGGGDGAAAAAAAKWRSDASRAFQYYLDRSTPHATGRWVGTLAVAAIYALRVYMVHGFYIVSYGLGIYLLNLLIGFLSPMVDPELDPSAAADGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQILHMVKYKYVPFNIGKQKYGGKKGGASSSSSKD* >Brasy1G138100.1.p pacid=40059056 transcript=Brasy1G138100.1 locus=Brasy1G138100 ID=Brasy1G138100.1.v1.1 annot-version=v1.1 MASVYIPVQGTEEEVRVALDHLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKAERAPQREVHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGEMQMASDSFKIVLDEDVDNFPALLGQASVYFLMGDMEQQHKKALEFYRNSLDLYKRAMRAYADCPAAVRLGIAFCRYKIGQLDRARQAFERVLQLDPENVDALVALAIMDLQTNEAGVIRRGMDKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSQAYYNLARSYHSKGDIETAGRYYIASVKEINKPQDFVLPFVGLGQIQLKFGDFKRSFASFEKVLEVHPENCESLKAIGNIYANLGENHKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARTLLKKAGEKTPVELLNGIGLLYFEKAEFELAEQSFKEALGDGIWLSIMDGSVGSSMVSWSVQYRDQSFFHELEERMPLELPCDKVTTLFNYARLLEELHDTVKASLLYRLIIFKYADYIDAYLRLAAIAKEKNNIQLSIELIGDALKINSKYPNALSMLGSLELQSDETWLTAKEHFRDAKDSTEGKDPYSLLQLGNWNYFAANRPEKKAPKFEATHREKAKELYLNVLKQNPGNMFAANGIGILHAEKAQWDIAKELFTQVHEAASGSIFVQVPDVWINLAHIFFAQGLFQQAVKMYQNCLRKFFYNTDATILLYLSRTHYEAEQWQDCRKSLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVEEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNRQKLEVARQIALAEEARRRAEEQRKFQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEDGGGEKRRRKGGKRRKDQKTKMQYGEEEEDEYRNEPEDDYANITRDTGGDKLQKAPDHLLVAAGLDDSDAEDDMVPQSAIERKRRAWSESDEDEPVQGAVQHSPSANDLSE* >Brasy1G265200.1.p pacid=40059057 transcript=Brasy1G265200.1 locus=Brasy1G265200 ID=Brasy1G265200.1.v1.1 annot-version=v1.1 MSVASAAAVRSASGRRCGVGSGLNGGNKFLMMQRRDIVTKGIALSVCSSLLSSSNGDAQGLERLPFKADGYNFWTWRGRRIHYVEQGEGQPVVLVHGFGASAFHWRYNIPELAKKYKVYAVDLLGFGWSEKALVEYDATIWMEQVSDFLREVVKSPSVLVGNSLGGFTTLFTATELPELVRGLVLLNSAGQFGDPNAPPPSAAAEEEEEVGVLSRLLVRPIKEAFQRVVLGFLFWQAKQPPRVEKVLKSVYKDPSNVDDYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPSKAARIKEFYKNSTVVNLQAGHCPHDEAPEQFNAALLQWLDTLEETGTGGKPAEPSLQAV* >Brasy1G141700.1.p pacid=40059058 transcript=Brasy1G141700.1 locus=Brasy1G141700 ID=Brasy1G141700.1.v1.1 annot-version=v1.1 MSSGKKRTLRSLPIEVYGAAGGSAGAADVCAICLGEFADGDKVRVLPRCGHEFHVRCVDAWLVSHDSCPTCRGSVLTGAAAAAPKNAAAAAPGGSWRPGSVDAAAVTVIIA* >Brasy1G259000.1.p pacid=40059059 transcript=Brasy1G259000.1 locus=Brasy1G259000 ID=Brasy1G259000.1.v1.1 annot-version=v1.1 MAAPPSASMGLLNLGGCGVLLPSLQPSSFSHGFLVPRRDIPVLPLSWGLVRRGRVLDAGFGAASALPGEAGTSSSELRHIEKELTFSPTFTDYVKMMESVKLDRSKSSQGGDSDGRSSRRRFVGNGDASVGRRVDRELGDPRNQSFERKRGAHRDRGSERGRGERLANNDIANNFTGLVERRMSTDAENSSYRQGKVEEYVQRRIVRGERSRTGDSTDNNGRRQFASYVKTKDNRDSMVESAGNRHAHSNARKDLQERANSIVSRTSVTRDINIVLENPKSTLNEGKGDFTNGRSKNIHEQDFKYPRERKISSSKVNSDSKFQRYQHKVESSGRNLVVGRFRGSDTGYSKPTVSMQTVSENYGHPRYSLERGKPETIRTQKGENVQLGKFVRRDSEAVIDDRAAFKTFEVFTDVRNRPRVLQMEIEERIQKLASQLNATDVNTPEWKFSKMIHDAQIKFTDHSILRIVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKKPFETLNVFYTMLDQMSSYPDIAAYHCIAVTLGQAGLVKELFDVIDCMRSPPRKKFLAGPVQNWDPRLEPDLIVYNAVLNACVQQKQWEGTFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFDRVKQKSIPGALNYKVLVNALWREGKIDEAVMAVKDMEKRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQICIDSGSMENAKYIFNEMCSYCSPNNVTCNIMLKSYIEHGMFEDAKDLLENILNGRIRSKLDSSQTAVADKFTFNTFLEACAEAKKWNDFEDAFRKMLSNGYHFDERRHLRMVLDAYRNGKEQLLENIWNYLCHHDRVPPVPMIMERFCLKLRQGDITTALSCISSFQESKIRRVSSMSWFNLLNRNADRLKEESIAKLAHELNNYASSGSTCDSLYQNILSSCTEFLSGRKAPSDAQMPLCNP* >Brasy1G359200.1.p pacid=40059060 transcript=Brasy1G359200.1 locus=Brasy1G359200 ID=Brasy1G359200.1.v1.1 annot-version=v1.1 MSDIEGGGDGNGSSSDYNSDYSDFVLANWHGPANAGGPTTADAEEIGLFLSVFSYCQEGIRMFNLFGSTRENERTCLHNKMPRE* >Brasy1G294500.1.p pacid=40059061 transcript=Brasy1G294500.1 locus=Brasy1G294500 ID=Brasy1G294500.1.v1.1 annot-version=v1.1 MEAHLPHQLSHLLITVADFRDAAYTTTDKIMKAYTEETRRLLSPQTCKCSRIIKRLQLSFYMTDPYLSSIGHAVGDVVKSSEPEWLEFAMCADVGCPSDAQLALFGQRFMSFFHAYPGAFKSLTRLTLQDLAFQDPFTNPFQELFTNLLNTCNKLKIHSLRSCELVQESALQIDAPCSELNSLELKCFGCIQVELICLPKLTQLVYDTWYGEKNPVSFGYVPQLDHICFACPALSWQIPFALSECLSSNMNLSILHLNFLTQMIWIEPEGPQQLIPIFSKLKDVHFYNIFNECDLNWTLFILEGAPSLENFYLSRHSCELIKSEDSAEKTNLVREASNFNHLNLKLLVMKGFEEEYMVMNYIRLVMERAVGLKRIELPDKITCKECTAVSPRFPVDEPSKHRIREQLTYGLSSSAEIIIG* >Brasy1G513000.1.p pacid=40059062 transcript=Brasy1G513000.1 locus=Brasy1G513000 ID=Brasy1G513000.1.v1.1 annot-version=v1.1 MKSLPVAGGRSVSLALFSDVSNSRELLDLMQSGKLEPEVAFLNALLVPDVFPVLAAAHKALLAKSREALTTRTLHSELVYNYSGSKHITESLKRCGIADDTQYILAARFDASDEEMKAVQELISGTEIDLSELETRANQPKILKQFKITPQELSISTLPEAIVCRMAARDAL* >Brasy1G396400.1.p pacid=40059063 transcript=Brasy1G396400.1 locus=Brasy1G396400 ID=Brasy1G396400.1.v1.1 annot-version=v1.1 MDTNTQALLLPRSDGAVPGVVDYRGGPAPRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHSDGCSSFTSKSLACSPSPVQVTIFYVSLYLVALAEAGHKPCAQAFGADQFDQHHPKESVSRSSFFNWWYFGMCSGTAATTMVSSYIQDNIGWGLGFGIPCLVMVFALAMFLLGTRRYRYYTSTRSSPFARLARALVALVRGSKSTQSDNALASEDAEFNAEHREEVRGLLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGQSFKVPPAALQTFISVTIITFIPVYDRAFVPVARRFTRLSSGITMLQRIGTGLVLAMVAMAVAALVEMKRLGVAMDAGLVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAMELAAFLVVSRVYVYKKRVAHDDGAAAVM* >Brasy1G244400.1.p pacid=40059064 transcript=Brasy1G244400.1 locus=Brasy1G244400 ID=Brasy1G244400.1.v1.1 annot-version=v1.1 MEDDIVDKFSYQYPDHPKLLQAEQPFAQILTASASTSPNAEESSASNTISSALMLSKVHDPVFFSNGTDAVEPSSTLFSIESSTNMNKMSSMAFFKGMEQAKMFLPSDNLMVDGRGRKKRFDMDGETESAMDKSSKQIAMAHTDLEDTALKKMDRLILNEYDGYRGEMHDELITLDNETKAAQQSIRVRGRRGAKKTMVTDFETLLIRCAEAVSSNDQGSATELLMRIKWHSSPSGDARQRLAHYFAQGLEARMAGTGSQLYHSLIGTRTSTLELIKAYHLHMATCSFLKVALIFSNYTIYNAVAGRRKLHIVHYGINTGYQWVRLIRRLADREGGPPGVRITGINRPQPGFRPAELIEEAGHRLSKYARKYGVPFKFHAVAAQPEAVRAEDLHIDPDEVLVVDSLFDFRTLMDESLTFDRVNPRDVVLNTIRTMKPSVFVHAIVNGSYSAAFFMTRFRQAMYFFTALFDVMETTFPRDNAKRLLLERDIFARSAVNMIACEGTERVERPQNYREWQARNQRAGMRQLPLDPDIVLMLKEKVKNQYHKHFMINEDHGWLLQGWKGRVLYALTTWTVDDTSGPV* >Brasy1G005200.1.p pacid=40059065 transcript=Brasy1G005200.1 locus=Brasy1G005200 ID=Brasy1G005200.1.v1.1 annot-version=v1.1 MSGGSKKFGGGRLPTGTPSLAWSSVVVVVSLLAGASVVHNIYKPDMTIPAVDGGGGGNGKES* >Brasy1G110100.1.p pacid=40059066 transcript=Brasy1G110100.1 locus=Brasy1G110100 ID=Brasy1G110100.1.v1.1 annot-version=v1.1 MLDKLWDDVVAGPRPETGLEKLRRVAATQPLAINKDVGGVEASKSMPTTPTTPVTPSSSSTPPRGSNVWRSVFHPGSNLATKSIGANLFDRPQPNTPTVYDWLYSDETRTRSNHR* >Brasy1G201300.1.p pacid=40059067 transcript=Brasy1G201300.1 locus=Brasy1G201300 ID=Brasy1G201300.1.v1.1 annot-version=v1.1 MEPPKPSTPTEEEAAPAVAAPRFRLGKQSSMAPDRSGGGGDGDSGGVGAAEEEAAGVVNFQLMYMAHEGNADGIRELLDAGADPNFRDSDGRTAMHISACEGHADVVELLLDRGAVAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKNKVAPMHVDSDRDVPEYEIHPNELDFTNGKDLSKGTFRKATWRGIPVAVKKLDDDVINDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMPKGDLRKHLNKKGALEPSYAVKLALDIARGMSYLHEHKPQSIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKPVTSVGNACRYVAPEVLRTEEYDNKVDVFSFGLILQEMIEGCLPFYDKKIDEIEKAHSSKERPPFRAPPKHYAHGLKELIEQCWSENPADRPDFRVVIDRLSAIQSELAHRNRWKVRTLRCFLSFEGLRKKDRNEGSTTRSSRSSRSKF* >Brasy1G344100.1.p pacid=40059068 transcript=Brasy1G344100.1 locus=Brasy1G344100 ID=Brasy1G344100.1.v1.1 annot-version=v1.1 MFSTAMSFSATSAVVGGAARSDAIRPADLRFCGLRREAFGLRLLRSSPQAAAAKRMVLAAQATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSSSGYDRQSVADHANNLASKIRSNLTNSMKSLGVDILTGFGTVVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASRITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMQVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGKDNVLNHLSIPAACFTHPEISMVGLTEPQAREQADQEGFEISVVKTSFKANTKALAENEGDGIAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKLVVHAHPTLSEVLDELFKAAKVNTAVSHSVIEPVPA* >Brasy1G244700.1.p pacid=40059069 transcript=Brasy1G244700.1 locus=Brasy1G244700 ID=Brasy1G244700.1.v1.1 annot-version=v1.1 MMPITPEELLETVPPSPSIYLDIPPSPYGGSVGDMVLPYISRILMEEDIDETFFYQYPDHPALLRAQQPFAEILNEARNLLSSEGSEIERMNSDSSLQGGCGSSMFLAGKDIQSRAIFDAAEVDNNGYQSKLILSNEDMLNMSFLKGMEEANKFLPRDNKLQVSAFTVGQPREMFERSANGRERCDGREEVVGRASKLMVTELEEDGAHEMFEKAMLNSCDLTGETMEKLHIDMENAEANRRNKKTVRGRQQGKRGDTVDLRALLLSCAQEVAISNHQGAGNLLKQIRQHASATGDATQRLAHCFAKGLEARMAGTGSKVYKSLVAKQTSAIEFLRGYELFMAACSFRRVALTFSSMTIFHAMRGKRRLHIVDYGVHYGCQWPGLLCWLASRDGGPPEVRITGIDLPQPGFRPAKRIEETGNRLRNCARQFGLPFKFHAIAAKWETIRAEDLNIDPEEVLVVNDLFNFNTLMDESLVTDRPSPRDVVLSTIRGMRPDVFIQGVVNGSSGPFFLARFREALFFHSSVFDMLDATTPRESEHRLVLERDMFGQCALNAIACEGADRVERPETYKQWQLRNQRAGLRQLPLRPIVVEVATGKVKSLYHKDFVVDVNQGWLMQGWKGRILYAHSAWVADDTSSDD* >Brasy1G558700.1.p pacid=40059070 transcript=Brasy1G558700.1 locus=Brasy1G558700 ID=Brasy1G558700.1.v1.1 annot-version=v1.1 MRTSTTTTTTGTRPATGAGSGIREEEGWIGAGAARISRFPPESGPETAKSSRSRDEDRVAGAEEGRWRGYWQGRAAAVGEEQSGAAREEGGERLLRTGDGRGRSSGGACRISPAAAANVTGGGRSRRSTSCRRAEKLKAKMKKTRCSHQCACVSSNLLC* >Brasy1G204900.1.p pacid=40059071 transcript=Brasy1G204900.1 locus=Brasy1G204900 ID=Brasy1G204900.1.v1.1 annot-version=v1.1 MNSFGLHLPLGSGQYSTKSVYQPFFASQIESPCWRPNTGRGTSKPETGRSGERGTHPRRSHTRSPGGLRRRGAEWRSSRPGCGGSWSRSWSWTWRSSKSKRSTTPPRPPPLTSPPSSEVVDTRSRFAFLNGGEVLSLPMLYLQGFVLFPEATLTLRVIQPRFLATVDKAINHVDAPCMIGVVHVYRHINAGLHSVASIGTTAEIHQVRQSGDGTSNVSTRGQQRFRLRRCWIDADEVPWGEVQIIEEDTPSRTPRNAFVQLAATNTFKQCDSSVSSFRVSSLKQKDLVDSDLDLDSLSSTSNLSDHSVTDIGMCYSSNEDEDLMRELSWQKHDSVNEFDALSHPVSNTNMGDDDDLCFAERQRQYRAACNSKMALEAPLSFWPRWAYEMYDSYSLARKAADLWRQIVANPSMDDHVRKPDLLSFHIGSKLPISGSVRQELLEIDGISYRLQREIQLLKAFNLMRCRNCLVLIARRSDMVVISNGGPVGAYVKPFDCAQEMITVYNASGLALHGNPSEVHSWFPGYTWTIALCAACESNIGWLFRAAKRNLVPKSFWGIRSSQILDDTQSAQDRSSM* >Brasy1G204900.2.p pacid=40059072 transcript=Brasy1G204900.2 locus=Brasy1G204900 ID=Brasy1G204900.2.v1.1 annot-version=v1.1 MAEQPAWMRRQLEQILELDMEELEVEEVDDSASSSSSDVATFLRNTHGGGETGTSEEFTINTSRASLHTYVGEVVDTRSRFAFLNGGEVLSLPMLYLQGFVLFPEATLTLRVIQPRFLATVDKAINHVDAPCMIGVVHVYRHINAGLHSVASIGTTAEIHQVRQSGDGTSNVSTRGQQRFRLRRCWIDADEVPWGEVQIIEEDTPSRTPRNAFVQLAATNTFKQCDSSVSSFRVSSLKQKDLVDSDLDLDSLSSTSNLSDHSVTDIGMCYSSNEDEDLMRELSWQKHDSVNEFDALSHPVSNTNMGDDDDLCFAERQRQYRAACNSKMALEAPLSFWPRWAYEMYDSYSLARKAADLWRQIVANPSMDDHVRKPDLLSFHIGSKLPISGSVRQELLEIDGISYRLQREIQLLKAFNLMRCRNCLVLIARRSDMVVISNGGPVGAYVKPFDCAQEMITVYNASGLALHGNPSEVHSWFPGYTWTIALCAACESNIGWLFRAAKRNLVPKSFWGIRSSQILDDTQSAQDRSSM* >Brasy1G204900.3.p pacid=40059073 transcript=Brasy1G204900.3 locus=Brasy1G204900 ID=Brasy1G204900.3.v1.1 annot-version=v1.1 MVVKSSVYLCFIFKVHVYRHINAGLHSVASIGTTAEIHQVRQSGDGTSNVSTRGQQRFRLRRCWIDADEVPWGEVQIIEEDTPSRTPRNAFVQLAATNTFKQCDSSVSSFRVSSLKQKDLVDSDLDLDSLSSTSNLSDHSVTDIGMCYSSNEDEDLMRELSWQKHDSVNEFDALSHPVSNTNMGDDDDLCFAERQRQYRAACNSKMALEAPLSFWPRWAYEMYDSYSLARKAADLWRQIVANPSMDDHVRKPDLLSFHIGSKLPISGSVRQELLEIDGISYRLQREIQLLKAFNLMRCRNCLVLIARRSDMVVISNGGPVGAYVKPFDCAQEMITVYNASGLALHGNPSEVHSWFPGYTWTIALCAACESNIGWLFRAAKRNLVPKSFWGIRSSQILDDTQSAQDRSSM* >Brasy1G204900.4.p pacid=40059074 transcript=Brasy1G204900.4 locus=Brasy1G204900 ID=Brasy1G204900.4.v1.1 annot-version=v1.1 MVVKSSVYLCFIFKIHQVRQSGDGTSNVSTRGQQRFRLRRCWIDADEVPWGEVQIIEEDTPSRTPRNAFVQLAATNTFKQCDSSVSSFRVSSLKQKDLVDSDLDLDSLSSTSNLSDHSVTDIGMCYSSNEDEDLMRELSWQKHDSVNEFDALSHPVSNTNMGDDDDLCFAERQRQYRAACNSKMALEAPLSFWPRWAYEMYDSYSLARKAADLWRQIVANPSMDDHVRKPDLLSFHIGSKLPISGSVRQELLEIDGISYRLQREIQLLKAFNLMRCRNCLVLIARRSDMVVISNGGPVGAYVKPFDCAQEMITVYNASGLALHGNPSEVHSWFPGYTWTIALCAACESNIGWLFRAAKRNLVPKSFWGIRSSQILDDTQSAQDRSSM* >Brasy1G433000.1.p pacid=40059075 transcript=Brasy1G433000.1 locus=Brasy1G433000 ID=Brasy1G433000.1.v1.1 annot-version=v1.1 MAGAVYSSEPNLPAAAVLHRRPSSTNYRPKTWDYDSICSMQLHGQNKVRILAKKAHYSSPAGELEAERVRQQLLLEEKENCELPSRLRMIDQLQSLGVAYHFEEEIRSMLMSMHVHDAHLQLKHDLSSTALLFRMLRSYGIPASTDMLSAFFTDDGNGGSGGVSGINLTRDDDGAIDGLVALYEASYLGFPGETMLDTARSFAMDNLQQRLMPPRAREGVLDLQPDRDDGDLPLHWRAPRLQAIWSLKQHRKRNGDEVSRNNIHIDPLIMQLAEMDFDAVQAVHRAELVEATRWWKEETGLWWKEETGLQGQEKLASSRDRLVECFFCAACIAPEPRLAGCRGVLTKLGALLVHLDDIYDVCGSLDELAAFTRAIGGTWLEKWEEDDDGDGDAAALLPEYMRAMFSAIRSTSTAAADRALREHGHDVLPLYKKAWRELCEAFMMEAKWQHERSMPSLDEYLGNGWVTSTAPLLLLHAITMLPSSDLLQQQMVDPWLRGGEDDDGSKMMYPRIVELCSRIFRLCNDERTPRARRRPARRAVADAIAETWKEVNRETTGTGSANAAGVALCVNLARVVQCIYRDGDGITSPTDSRKQLVKDLLFTPIRTCV* >Brasy1G343900.1.p pacid=40059076 transcript=Brasy1G343900.1 locus=Brasy1G343900 ID=Brasy1G343900.1.v1.1 annot-version=v1.1 CHWTRPRARVFSRRRRTVSWRPPVAPATRPLPPPSLLLGQRKRRRQQNLPRGGPWDREAVPSAAARSHRLQGGEWRRSTVNPQNRVRPLLRVQWPRHGQHARRRNLEGSSSTRSPGWCRRGRRRAAIRCSREGAGPVQLRGLEQRGQPHEIRRAEDVAWGIPTDANTSACASSDSGFRSWPASAYTQICGCSALLPLHQLTAVYLSVILSLLNFFLLLPLLQLQVLLLSGCAFITKL* >Brasy1G359600.1.p pacid=40059077 transcript=Brasy1G359600.1 locus=Brasy1G359600 ID=Brasy1G359600.1.v1.1 annot-version=v1.1 MAAAASWDGGGRRGQGRRCALRGKQRPRAGKAAHSARCRMAATRAKGAAAADAAARVEREVRMPAATRWQENGAGGERGGARGERDRRGGGPGWEAPVVPRAGCEMAAARGEDRAAGWHWETTTNSFFYYY* >Brasy1G350500.1.p pacid=40059078 transcript=Brasy1G350500.1 locus=Brasy1G350500 ID=Brasy1G350500.1.v1.1 annot-version=v1.1 MDHMPPAAPGAGGHVPLPLLMHPCPRALVVSGDAGRLQAMETGLRQEGYKEVETYTDPTMAMAMRRGVYQLVMVDMFPLRAGAFELLECAISEMNAEAHALSKVGNRYRKLSIQDLETIKQTIKFNKSTSSEEGTPNHDNNNNNNNSPTSTVSTTTIGSSSGRRAPSRKMIRRHEMKSQEVEQDREHIEDQRQQLTDQSKKARLVWTEELHNNFVDAYNKLLPKDRVPKKILRLMNDPRLSRENVASHLQKYRLNNLVHGEPQPIHRNNLRTQHPKLAHASFLLLNMSQVPSMMTQVPTATSVYKNNISNPETTIITSAKSDTDNKRMDVVVPEKITTSARSDTNNKRVDAVVPETMYTGPQVEMEHKIDTEPKQNEIICMMGISDGSFQEDFNDITQQTWSMDLGPWEQEPNFNFYASDLSD* >Brasy1G369300.1.p pacid=40059079 transcript=Brasy1G369300.1 locus=Brasy1G369300 ID=Brasy1G369300.1.v1.1 annot-version=v1.1 MARLHLSLVAAACAVLLALAAPTLAGDPDLLQDVCVADLASPIKLNGFPCKANITADDFFFGGLKKAGNTNNPAGSVVTAANVMSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVTEGVLEVGFITTANKLFTKTVAVGDVFVFPRGLVHFQQNRGHGPASVIAGFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRIENWQVDAIKGKFM* >Brasy1G182100.1.p pacid=40059080 transcript=Brasy1G182100.1 locus=Brasy1G182100 ID=Brasy1G182100.1.v1.1 annot-version=v1.1 MEESFSQRHPRLANALFLCVAALLMLAVAALTITLSSSPPEFSATVASFDGIGRSAGASPTFRLALRVKNGNVWRHCFKPRGAVVEYDGVPIARAQDLGEFCVPARSVVEVPVVATSEGLGLPDKLYEGVQSRRHKQQRVPLAMRLTLDELHLVLGPRWPMLLQCTAMLDGRPDLPSRCLLFIMVERGLHEDGDRPYHQVN* >Brasy1G209600.1.p pacid=40059081 transcript=Brasy1G209600.1 locus=Brasy1G209600 ID=Brasy1G209600.1.v1.1 annot-version=v1.1 MPSLPTKVPRPFRASFFDGGRNLFDDIPVRAVDPTDPNYYNEPSQFMDDLISQETPVFEEDAGEQWGDETEEGVSINTEPLYTDAGTDPGSDASRCQTKGKSKRTQAYAECEDKLLCEACLEIGQDPICGAEQKDAAYWKRICDYFHEHRLLPPYSFMSDRGDTQEDVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKEFIDVQKRKLTIEEANAATTRTAAAAALLAEETRIMTADLSLMDEATRVWFLAKRKMIQERDAPAPSEE* >Brasy1G346100.1.p pacid=40059082 transcript=Brasy1G346100.1 locus=Brasy1G346100 ID=Brasy1G346100.1.v1.1 annot-version=v1.1 MASSAPSFEIEAPDVVKIMLQFCKENALHQTFQTLQSECQVSLNTVDSMDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEEPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAIASEVSVVPPARLMALITQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTSLSHQIKFGKKIHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSDTLASGSQDGKIKVWRIRTGQCLRRFERAHAKGVTSVTFSRDGTQILSTSFDTTARIHGIKSGKMLKEFRGHDSYVNYAIFSTDGTRVITASSDCTVKVWDTKTTDCVQSFKPPPPLRGGDASVNSVHLFPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAASVSPKGEWIYCVGEDMNMYCFSQQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKIWKP* >Brasy1G392200.1.p pacid=40059083 transcript=Brasy1G392200.1 locus=Brasy1G392200 ID=Brasy1G392200.1.v1.1 annot-version=v1.1 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGTKPGGRGIGRGQDDGKGVGRGRGGIGSKGGNKGRGRG* >Brasy1G162200.1.p pacid=40059084 transcript=Brasy1G162200.1 locus=Brasy1G162200 ID=Brasy1G162200.1.v1.1 annot-version=v1.1 MSMPKEEICGKVPLLQPSPVGEEEEELQVGLGRRILEENRKLWVVAGPSIFTHFSSFGLTVISQAFIGHIGATELAAYALVSTVLMRFGTGILLGMASALGTLCGQSYGGKQYHMLGIYLQRSWIILLAATVLLLPIYIFTQPLLVALGQDPSISAVAGVISLWYIPVMFANVFTFTLQMYLQAQSKNIIITYLAVLNLGIHLFLSWLMTVKYNLGIAGAMGSMVIASWIPVVGQLGFVFFGGCPLTWAGFSSAAFSDLGAIVKLSLSSGLMLCLELWYNTVLVLLAGYMKNAEIALDALSICLNINGLEMMISVGFMGAAGVRVANELGAGSARRAKFAIINVVTTSSSIGLVLFVLFLVLRGQLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNIASYYLIGIPLGAILGYVVGFHLKGIWIGMLLGTLVQTIILLVITLRTDWRKQVKISQERLKRWYIDENGRSQGSDGSI* >Brasy1G490700.1.p pacid=40059085 transcript=Brasy1G490700.1 locus=Brasy1G490700 ID=Brasy1G490700.1.v1.1 annot-version=v1.1 MASPRRATWSVSACLRYRGGLEIRAAAENVVLPWWGHGGERLSFLLRLRRRLRLAVTSQCARAPPASADPDSKKEKKPRALKLLRFLRSRLARAPSALRCKKPPPPPRAEEDDLGPRAQPVPCFLVRQIYNRALMRPAKTTATVLCFLAALAVAAVALRLVAGFMMPSRASSPSCTSWRCFLAKRLAKNFLGPPLQEWLSKTGLRLLEFLDPPAALCGWPGLPKLGLKWLFNM* >Brasy1G464200.1.p pacid=40059086 transcript=Brasy1G464200.1 locus=Brasy1G464200 ID=Brasy1G464200.1.v1.1 annot-version=v1.1 MARRSGMFLLIAAAVLLAAGAAVPPSCERIECPAYDVVDAANGFEIRRYKDAMWVSTAAIEDISLVAATRSGFLQLFKYIQGKNAYNATIEMTAPVLTRVSPSDGPFCASSFVVSFYVPEKNQADPPPAEGLSVQRWAGARYAAVRRFGGFVADSDVGEQAAMLDASLQGTRWAAPVSDGRRADAATAYTVAQYNSPFEFSGRVNEIWMLFDGNDASSDM* >Brasy1G464200.3.p pacid=40059087 transcript=Brasy1G464200.3 locus=Brasy1G464200 ID=Brasy1G464200.3.v1.1 annot-version=v1.1 MARRSGMFLLIAAAVLLAAGAAVPPSCERIECPAYDVVDAANGFEIRRYKDAMWVSTAAIEDISLVAATRSGFLQLFKYIQGKNAYNATIEMTAPVLTRVSPSDGPFCASSFVVSFYVPEKNQADPPPAEGLSVQRWAGARYAAVRRFGGFVADSDVGEQAAMLDASLQGTRWAAPVSDGRRADAATAYTVAQYNSPFEFSGRVNEIWMLFDGNDASSDM* >Brasy1G464200.2.p pacid=40059088 transcript=Brasy1G464200.2 locus=Brasy1G464200 ID=Brasy1G464200.2.v1.1 annot-version=v1.1 MARRSGMFLLIAAAVLLAAGAAVPPSCERIECPAYDVVDAANGFEIRRYKDAMWVSTAAIEDISLVAATRSGFLQLFKYIQGKNAYNATIEMTAPVLTRVSPSDGPFCASSFVVSFYVPEKNQADPPPAEGLSVQRWAGARYAAVRRFGGFVADSDVGEQAAMLDASLQGTRWAAPVSDGRRADAATAYTVAQYNSPFEFSGRVNEIWMLFDGNDASSDM* >Brasy1G203200.1.p pacid=40059089 transcript=Brasy1G203200.1 locus=Brasy1G203200 ID=Brasy1G203200.1.v1.1 annot-version=v1.1 MMNMSHDDYVSLCLLALATVQSAVGGGQLRFRCSVCGKAFPSHQALGGHKASHRKRTAPLPVHVISASSSAEETTTASSNTTSGAGGKHRCSVCHRSFATGQAFGGHKRCHYWDGLSVSLSPTATAAMGSGSGSCVRDFDLNLVPAPEMAGLRRWGEEEEVQSPLPMKKRRLLANPSFKLNLATC* >Brasy1G312200.1.p pacid=40059090 transcript=Brasy1G312200.1 locus=Brasy1G312200 ID=Brasy1G312200.1.v1.1 annot-version=v1.1 MVARLSPPRRHLRLHLRSTRRLSMFNVGVLIASVPSRGERQSRVHERNVEAERLWTKVFISLEFMTNGVRTELWGDVPHRVTVRRPLRTRATR* >Brasy1G422700.1.p pacid=40059091 transcript=Brasy1G422700.1 locus=Brasy1G422700 ID=Brasy1G422700.1.v1.1 annot-version=v1.1 MSRARAQRFLYMVVARSRHPLQSNLYMVHRINPCSLFFPIKDYDDAQRRRPQAQPAAAAAAAEIKASLPPNPILTLYPSAGDLPMNFVLTGRGKDRIVAMDYLGRAFLYDDTLRAVSPLPTMSEPKYDPVCLAAGDDLFVMSNPPESHGRSAEALADQTDRLRRSELHWRPVPTPPFTKLQPVVSNFGGGGGGSDRSGFIVDAYTVVGGASVWLSIGQHGTYSVDMEKIAYGIHGNDICHGEWRKEGGWALPFSGRAVYAPERDLWLGFSASDQTVLCAADLRQREGKGRPPVVRHRWEGFGVPKEHCQAPVQSFLVHLGGTDGRFCVAKFSNDADGHRTARLTGVEVARCVDGQDLCLHKTYHYGGFGDDDGPVCLL* >Brasy1G306500.1.p pacid=40059092 transcript=Brasy1G306500.1 locus=Brasy1G306500 ID=Brasy1G306500.1.v1.1 annot-version=v1.1 MAACLHDHACEDHNCAADWSLYNHIDIPKVVALNESVPGSVKSVFKPWEQRLETSGGFLESNEGDPELLIFIPFTSDVKIKSIAVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPDNFGGDATKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE* >Brasy1G569700.1.p pacid=40059093 transcript=Brasy1G569700.1 locus=Brasy1G569700 ID=Brasy1G569700.1.v1.1 annot-version=v1.1 MAHHLFVECPFTTRLWLEAAMWPNCRGVAAALLSFTVSVPNFRESLMLETDAAHRQGMSSLFILICSSIWRERNERIFRDKESSLRQIISFIKDEAQAWAFAGARALRKLLWEPP* >Brasy1G149400.1.p pacid=40059094 transcript=Brasy1G149400.1 locus=Brasy1G149400 ID=Brasy1G149400.1.v1.1 annot-version=v1.1 MAAAAAAVAAASQSLRNRELLDAVGTTAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLALADMLCSLFSIMGGPANTFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGFIFHLYVWGTSLATTVLRSIGSDNGRPGTWCWIQQGSTGKILHLVTFYLPLWGAILYNGFTYYQVNRMLNNATRMAVGISDRSIQSDMRADKKAFNRWGYYPLILIGSWAFATINRLYVFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERIDTFLPESVKRSLPTLSRLRSQQENELTSLIVESNNRNNNAASNT* >Brasy1G045800.1.p pacid=40059095 transcript=Brasy1G045800.1 locus=Brasy1G045800 ID=Brasy1G045800.1.v1.1 annot-version=v1.1 MGVVDGSTTKPAAAAAMEIADSSSSSPSPAPPPTSVLRSVLLSYAYVAVWISLSFTVIIYNKYILDPKMYNWPFPISLTMIHMAFCAALAFSLVRILRLVPLPSDPAAMTASLYASSVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDAFCRATMLNMAGISFGVAVAALGEARFDVFGVALQLAAVCAEATRLVLIQILLASRGIKLNPITSLYYVAPCCLLFLTVPWALVELPKLRAAGVDVVRPDLFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLAGYGIAFLGVAYYNHAKLMGLKAKEAEMKQQAASMSLSPADKELEDEEAGKRLLAPDHKDGHDGRSN* >Brasy1G196200.1.p pacid=40059096 transcript=Brasy1G196200.1 locus=Brasy1G196200 ID=Brasy1G196200.1.v1.1 annot-version=v1.1 MQPTLSPTIDSSPTTLVLSPPPDNAPSFRDVLLMTGSSADGSALSPCLQPNRSPVLKASCLRSSSPDQTLCFRCLASDHKVADCRDPIKCRRCGASGHKQGTCAASRPLSFLAFPFSAVPNPNLSLPHILDGMAAAPSYATSSSFSRLPMVAGEDGSIWIGGHQIDTEGSGNGGSAEHPLVPLPLSPAQLKDAFVSSGSGSDYPEIAVPDKLLLITSHLAFAYLSEAQASPFSFIWEALESLAGDPPFTFAASGRDSALLAFDSEEVREATLLHGPFSYKGVDIKLERPEDSDNRSDAVYRWLLEVEAIDFPLELWHAEGASATLGLMGKICCIDLTYLEREDRSTLRYFVKKELGRTIPDRFVTLLKENQTAIVSNRVVHAWMLNVSNRVVHAWMLKSPGDDPSDNSSRAPSPRSRGRPPSPRRQGPDSPPARGVAPGTSSSNDTAFLYPGTNDTLAPSIASPNRFAVLADAALPPEDSAVDAAVHEDVIRKRGVRNKRALDVAARTRHSQRLADIEPPVFVDMYTKAVRARAAKFDYAVVSAPLARSLGRAKLLDGPAPASAAALQDIALACGASDDEVASIGLASEDAPAPGRGHPLPATRRRLCRPSIHLDR* >Brasy1G043800.1.p pacid=40059097 transcript=Brasy1G043800.1 locus=Brasy1G043800 ID=Brasy1G043800.1.v1.1 annot-version=v1.1 MFQQQLLQQQEDVMSSSATSSPASTLYSPCPAMGAGASWLQELSSDECSVRLIGLLYQCAAEVAAGALDRANLCLEHIMQLASLDAPHALQRLAAVFADALARKLLNLVPGLSRALLTSSSPSSPAGTSSGEAARRHMFDMLPFLKLAYLTTNHAILEAMEGERFVHVVDLSGPAANPAQWIALFHAFRGRSRDGQGVFPHLRVTAVHESKEFLGAMAASLAREAEAFDIPFQFAGVESRLEDLDSAALRHCLRVRSGEALAVSVALQLHRLLAADDDGRCRRHAGGGGLTPLQNIMAARSSPRSPSSGGGSSFGELLERELNTRLQLSPDASSYSSPQYSSPTMSAFSSGSGKPKLESFLSAIKALAPKVMVITEQEANHNGAVFHERFDEALNYYASLFDCLERASAASGRSAAERARVVERAVLGEEIRSIVASEGRERKERHERAAQWAGRMEAAGMERVGLSYGGAMEARKLLQSCGLGGGAYQVRHDVQEQRFFFCWHDRPLYAVSAWRPAGAGPSSAYHHRGPR* >Brasy1G324900.1.p pacid=40059098 transcript=Brasy1G324900.1 locus=Brasy1G324900 ID=Brasy1G324900.1.v1.1 annot-version=v1.1 MRCHPGCTRFVHAIGRAATTAVAAEEHAADCMVRVLRRRYRVQFNDINWSRLNRCHRRHVMTRRTLRTMFARYGDALEKARLMEKGWQESLVDLKAAREVCTEISSKGGDSLEPAEEPTGHAETLFGVHSLGAWVQERLDEGNAKLCAAKAGGSP* >Brasy1G568700.1.p pacid=40059099 transcript=Brasy1G568700.1 locus=Brasy1G568700 ID=Brasy1G568700.1.v1.1 annot-version=v1.1 MAPAEFLAALRDNAALDASTVGLAARARLKSWVRALAQGWGPAGAGHYPRRSVEELVDKIDLDVPAVDDKDDLPVEEEEKAAVVERPQETPAQQRRSVTKLMEELDAGEEVVDDDQKKKNGDGGGDPGTAGLSSSRRERKKSKYLSPPYTNPGGFTIVEKLDDLPKEFLLPSAGKDNKKKASLDNVGAREVLLLVCRFGKDVFHKNKFPKAAEGFLALRRSSLHVEGADHGSYMEHKCPAADTDAKAAADVVGLVPGSCADPKQGKGALKRCRKNDQDGNNGGSSIKRKMKKTSLTSPAENVLVKSSEDATGLVSHSSADLKQGKSVSKRSRKKDNDENGGSSIKAKKMGKASPVVTPLKCAAENVTAKASADITGLVSDSCASPKQGTSVVKRGRKKGQEGSVASPIKRKKIEKTSPTATLGCGIVITPAVPIRQVRAEDIRSEVKTGGGAKDIGVEILDEKNKLMTKSPVSTATSGGIKSGEKQDQGAGVKTPVNALPSKSAEKHASGTVEATESGTGMNAQTVIADVPVRTVEATKSGTDMNAQPVIADEPVRIVQGEATKPETGIQVDMNVQSCIVDVPVRSVEVSTVLESDASLEATVPEMQKKVEVVTPGTNVMAMSHTLADEGQKGEQPGQKKTACEALANHFPAKASNGTGPYPPNSTPKRKKKETVQYFAHPAEILLEFTPGVILPSKEELISAFSKFGSLIESETDILKDAFSARVVFGKNTEAEAAYNKREAVGVFGQFGPPFATLKRLNYLPATKLSIPPPRSPAASKPSLMDMKKNLENMIASHGTNPNLLSQMQGLLSQVDKMVAGSSSATSTPP* >Brasy1G110500.1.p pacid=40059100 transcript=Brasy1G110500.1 locus=Brasy1G110500 ID=Brasy1G110500.1.v1.1 annot-version=v1.1 MATPEHNLDQRYYGPTIPPNDPAAGKDAKPDQRGLPCLAVADPYGLLCRAFRVLTLALIGIGIVVLVLWLAFQPDTLKASVDSANLTRFDLATSSNDGGTQTLRYNLTVALSVRNPNRRQAVVYRRLEAVALYGGAPFGYAWLPRTRQARKSTMVVRPSFDGEVIISPVAQGASAAFGREKAEGFFNVNVKLHARARLRVAIVNSVEYSPDVDCYIRVPDPGNATAVAQGFTATECHVDIFS* >Brasy1G523400.1.p pacid=40059101 transcript=Brasy1G523400.1 locus=Brasy1G523400 ID=Brasy1G523400.1.v1.1 annot-version=v1.1 MEQRYRPSGVADDTTKRRTTKSKSFKDVENFEVLVLEKNCGCKFKSLRYLLIAIVSATFVTLLTPTLYEHQLQSSSLYVDVDWIWDKTSTDPRYVSSANVQWMDVYKAIEDLNGGDQKLKVGLLNFNSTEYGSWSQLLPESHVSIIRLEHAKDSITWTVLYPEWIDEEEETEIPSCPSLPEPNVRKGVWFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVISSRGNRNVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYRPDLKALKEKLKLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDSISDHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWEGDSAAAKAKKTQLFGADPPNLYVLHFLGLKPWLCFRDYDCNWNSLVMRDFASDVAHNRWWKVHDKMSRKLQSYCLLRSRQKAGLEWDRRRAEKANFEDGHWQRNITDPRLKICIDKFCFWENMLWHWGETNQTKSLPVPAIPAVSLSSS* >Brasy1G301900.1.p pacid=40059102 transcript=Brasy1G301900.1 locus=Brasy1G301900 ID=Brasy1G301900.1.v1.1 annot-version=v1.1 MAQPLSPSSQDASNPQQRVVITNKHGEELVGLLHPVGSNNIVVLCHGFTASKNSSVIVDLADALTKQGTSIFRFDFSGNGESGGKFQYGNYRKEADDLHSVVSYLYQKKYDVKAIVGHSKGGDVVVLYASMYHDVNIVVNLSGRFYLEKGIEERLGKGFIDRINKEGYIEVTKKSGKILYRVTKESLMERLNTDMHATSLSISNECSVFTVHGSADEIVPVEDAYEFAKHIPTHKLCVIKGANHCYTAHRKELSDAVVDFIRSNKAGDTSLPKDE* >Brasy1G301900.2.p pacid=40059103 transcript=Brasy1G301900.2 locus=Brasy1G301900 ID=Brasy1G301900.2.v1.1 annot-version=v1.1 MAQPLSPSSQDASNPQQRVVITNKHGEELVGLLHPVGSNNIVVLCHGFTASKNSSVIVDLADALTKQGTSIFRFDFSGNGESGGKFQYGNYRKEADDLHSVVSYLYQKKYDVKAIVGHSKGGDVVVLYASMYHDVNIVVNLSGRFYLEKGIEERLGKGFIDRINKEGYIEVTKKSGKILYRVTKESLMERLNTDMHATSLSISNECSVFTVHGSADEIVPVEDAYEFAKHIPTHKLCVIKGANHCYTAHRKELSDAVVDFIRSNKAGDTSLPKDE* >Brasy1G301900.3.p pacid=40059104 transcript=Brasy1G301900.3 locus=Brasy1G301900 ID=Brasy1G301900.3.v1.1 annot-version=v1.1 MAQPLSPSSQDASNPQQRVVITNKHGEELVGLLHPVGSNNIVVLCHGFTASKNSSVIVDLADALTKQGTSIFRFDFSGNGESGGKFQYGNYRKEADDLHSVVSYLYQKKYDVKAIVGHSKGGDVVVLYASMYHDVNIVVNLSGRFYLEKGIEERLGKGFIDRINKEGYIEVTKKSGKILYRVTKESLMERLNTDMHATSLSISNECSPWFS* >Brasy1G343300.1.p pacid=40059105 transcript=Brasy1G343300.1 locus=Brasy1G343300 ID=Brasy1G343300.1.v1.1 annot-version=v1.1 SLVRFKSVSKAWRAIISDPLFIRTHLEHWASRWKQNPSVLIAPFSLDSIIQGEKLVHGKDFRGEFGSVCNLAHCDGLVLLPTNTQVYLFNPATRDALTLPQSNPSKIPVPNDICLPVGLGLDPRTGRYKVVRAFYRSIDPVTEFFHMGMQVYTVGDTAASWRDTASDPPYIITHRRTAKSVKGFMFWVVDTNYKTLRPPCRLLRFSLADETFSNICLPDTLDPALEEPFMLDVMNEDLCLVESSSTSSRLQPSVRIWMLVKDDGTSSRWEQRYSIHQTSELTELCNVEDLRYPRHRAGSFESYGKNTFVFDVIPYVESLVRVSA* >Brasy1G491700.1.p pacid=40059106 transcript=Brasy1G491700.1 locus=Brasy1G491700 ID=Brasy1G491700.1.v1.1 annot-version=v1.1 MYAAQSHRRRRARERGVHAHGGVARKRALLSPLQVLLCFIGAAVLLANARHALFPKDSFLGSFWRISLAPLAHAPTPRPRGVKYELHTLPVDAKAVADGDTMTVYVDTADPRESGNVPREVQEAAAERAKARAAKNYQKADALQKIIVDAGYRQVRNLRGEQVLAKKYRIRLRGIDAPENSMPYGKEAKEELVKLVQGRTLNISIYDTDRYGRLVGDVECNGIFVQEHMLKRGLTWHYTAYDRRLELATWENQAQASRAGLWALPNPEKPWEWRKEKRMGKA* >Brasy1G216100.1.p pacid=40059107 transcript=Brasy1G216100.1 locus=Brasy1G216100 ID=Brasy1G216100.1.v1.1 annot-version=v1.1 MSGTGHPASTGGAGERRSIGGLFKFADRVDILLMVLGTLGAIGDGCSTNLLLIFASDVMNSLGRGHAQQQASATTVHFMHDVEKSCLNFVYLAFAVLAVASMEGYCWSRTSERQVLRIRHLYLQAILRQEVAFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFVMHSTVFVSGLAFSTYFSWRLALVSYPLVLLLIIPGLIYGKYLLYLSRQSRHEYAKANSLVEQALGSIKTVYSFTAEKGIIQRYTSILDKTINLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFIEASVAATRILERINRVPQINDDDPKGLVLEQVRGELEFESVRFVYPSRPNMTVLKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGVDIKKLKLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAASMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELISKGGPYSRLVKLQKMVSYIDQESDQFRASSAARTSTSHLSMSRASPMPLTPGVSKETESSVSPPAPSFSRLLAMNAPEWKQAVIGSLSALVYGSLQPIYALSIGGMIAAFFVQDRNEMNAIISRYALIFCSLSMISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSASLCSRLSDEASLVKTLVADRISLLLQTACGIVIAVTMGLVVAWKLALVMIAIQPSTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKILQLFEQTQEEPLRKARKMSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNPKSKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLTQRFYDVDRGAVKVDGMDVREMNILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEEEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRDPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNADSIAFLGEGKVVERGTYPQLMNKKGAFYNLATLQK* >Brasy1G435300.1.p pacid=40059108 transcript=Brasy1G435300.1 locus=Brasy1G435300 ID=Brasy1G435300.1.v1.1 annot-version=v1.1 METPLTKQIIDFNTKMMHEGLQKLGKKLNHHEGNIKLLKSEIYVIEQSIADLSIKLGKKAVNANNGTSVQEADQRIIQSICHQDKTAASIVCELRNRHSVQASKVPQLKDVLGVVATLGRVNDDNLSSILSEYLGIETMLGLVCKTYDGVKLLETYDKEGTIDKTCGIHGLCRSIGKFLDGRFTVFSLENMRPFPGDVMIDDPQRWLMLRKPRLPSGESPPGFLNFAVNMIQLDQAYLSCLTRNGHGLRETLFYSLFSHLQVYETTADLRHAIPFINDGAISLDGSILRPNGSFCLGDRRSVEVKFAVLSLGSYAPSSIISEMEEQVKLKNWEKERLVEDMKIQEDLWKQVKDVFEKQKKQLMEYLAQPSPLILSGQWSPQNGPQVHNHRQGESWYIAHPRLPTPINRSFTLICLGDHQGDIPAVEH* >Brasy1G435300.2.p pacid=40059109 transcript=Brasy1G435300.2 locus=Brasy1G435300 ID=Brasy1G435300.2.v1.1 annot-version=v1.1 METPLTKQIIDFNTKMMHEGLQKLGKKLNHHEGNIKLLKSEIYVIEQSIADLSIKLGKKAVNANNGTSVQEADQRIIQSICHQDKTAASIVCELRNRHSVQASKVPQLKDVLGVVATLGRVNDDNLSSILSEYLGIETMLGLVCKTYDGVKLLETYDKEGTIDKTCGIHGLCRSIGKFLDGRFTVFSLENMRPFPGDVMIDDPQRWLMLRKPRLPSGESPPGFLNFAVNMIQLDQAYLSCLTRNGHGLRETLFYSLFSHLQVYETTADLRHAIPFINDGAISLDGSILRPNGSFCLGDRRSVEVKFAVLSLGSYAPSSIISEMEEQVKLKNWEKERLVEDMKIQEDLWKQVKDVFEKQKKQLMEYLAQPSPRLQGSPTIRSPATPGSNPFAATPWSNPFAASPGSNSFAVKPPHMR* >Brasy1G117100.1.p pacid=40059110 transcript=Brasy1G117100.1 locus=Brasy1G117100 ID=Brasy1G117100.1.v1.1 annot-version=v1.1 MDAPPPAQPDAAAPPASTSASTLPSAPPPNPTPPVSTAAPPTSDSSPAPTPAPAPVQTLEPPTPSLASARPPPPRMRPPYTHLASPITMSSSSSPNTAAASSATLAMPRGGVALGLPAHPRGPHTPVGYTGFVPPPPVAHQFSPMHRGPDQSLPPAPQLRQPAPGIQNIGMIGSINTSQLRPGAISGPQQPRPGLPSSATPSPTGNQMPGSQKTPMHSLTRPLSMGSPSTALQQTQPNVSSPFRPQQRPQVPQQRPYHVAQSAPVASHQQNVLSGQQQLPQHQPLQQQHQQQQQQQQQQQQQKQQQQQQQQHQSQTQSSLQQNQQHATLKAQQQAVRTPVSLIQKADSPAAQQTTNTQLLDMASIDAAAGESSNRLLSKRSIHELLAQIDPSERLDPEVEDVLIDLAEDFIENVGTFACSLAKHRKSSTLEAKDILLHAERSWNITLPGFTGDEIKLYKKPHVNDIHRERLTLIKKSMASEGNTKSSAAQAAANQKNQTPKPPATGSP* >Brasy1G538600.1.p pacid=40059111 transcript=Brasy1G538600.1 locus=Brasy1G538600 ID=Brasy1G538600.1.v1.1 annot-version=v1.1 MAMDDVTAASLDAFAASSSSSAPAASDPSHGWQKVTYPKRHRKPQPAPSAAPAGADLANGGRPGVFEGVDKRAQDRHRAIQAARDAAADADGPIAAWARSDDSDDDSDEAARSSAQPAEEKKPKKPKVKKPKVTVLDAARLIDAENLEAHLVDISASYENQEGIQLMRFADYFGRAFASVSAAQFPWAKMFKESPVDKMVDIPLCHIPEPVCKTASDWINRKSPEALGEFVLWCIDSIMSELSGQAVGPKNLKKVVQQTPKAQVAIFVVLALTLRRKPDVLINLSPKIVGNSKNLVQEKLPIVAWVIAQASQGDLVSGMFCWAHSLFPTICGKSSVNPQSRDIVLQLLERFYSPIKAQAILLNGAIRKGERLIPPSTFDIFMRCAFPLSNARVKATERFEGYYLKIKELTLAGPPGSKSVKQAAQQLLPLSVKAMQENNAELTREAADVFIWCLTQSAESYKQWEKLHPENFKASVIALSKLAAGWKEISPKLNIEALKTTLKNMKAKNEVALEEAEDSGEKATIKEADKLCKVILGRLSRGATCLKGSLLVIALAAAAGFVLSPDLDLPAELEKLQAMASAYLSV* >Brasy1G343400.1.p pacid=40059112 transcript=Brasy1G343400.1 locus=Brasy1G343400 ID=Brasy1G343400.1.v1.1 annot-version=v1.1 MPKPNDLLLPAFLILFVFWPPAGSVHALSSTVSPLYTSPCNDQPTANSSSIYRSNLINLGTALSAGAGGEPSGGFAKGSTGSAPDKVYGLVLCRGDFTGANCTNGLMATFNDTADRLSCRDATIYYDQFMLHFTDDDKSLSSLANEPEWSAGNMNSVTGTDAATQLMETVTELMNRMVDLATSSSSSKSSSLSQSKYYATGEAGFGEQGVSTVYGLVQCTQDLTGPQCKSCLDGIIKKMPEQFGGPAGVSRVGGRILGVRCNLRYEKELFFQETSDTIKIHMPKNGMSTVLKIVILGVSVLVLFVIGLLVRPNVVRKVRELILQRDLVILEKEIASESDSRFSLFSYSKIRSATDNFSKQNKLGEGGFGPVYKGRLPDDQDIAVKRLSPDSVQGFREFMNEIKLIASLQHRNLVRLLGCCIKSKERILVYEYMPNGSLEEFIFDLHICNRNSIGAGAKQSWPVRCRIIKGIAEGLLYMHDYTQECIVHRDLKPSNILLDHEMNPKISDFGIARKCFSNMAESNTTTAMGTFGYIAPEYYSQNIYSTRSDVFSFGILVLEIISGKRAIGSYQLSGRSYELRRYAWQLWREKSCDELVDPSLGEEYQELEIMRCIQVALLCVQDSAEDRPTMRDVIAMLNNRNGMLLLPAQPGSSCSIRINMDPE* >Brasy1G343400.2.p pacid=40059113 transcript=Brasy1G343400.2 locus=Brasy1G343400 ID=Brasy1G343400.2.v1.1 annot-version=v1.1 MPKPNDLLLPAFLILFVFWPPAGSVHALSSTVSPLYTSPCNDQPTANSSSIYRSNLINLGTALSAGAGGEPSGGFAKGSTGSAPDKVYGLVLCRGDFTGANCTNGLMATFNDTADRLSCRDATIYYDQFMLHFTDDDKSLSSLANEPEWSAGNMNSVTGTDAATQLMETVTELMNRMVDLATSSSSSKSSSLSQSKYYATGEAGFGEQGVSTVYGLVQCTQDLTGPQCKSCLDGIIKKMPEQFGGPAGVSRVGGRILGVRCNLRYEKELFFQETSDTIKIHMPKNGMSTVLKIVILGVSVLVLFVIGLLVRPNVVRKVRELILQRDLVILEKEIASESDSRFSLFSYSKIRSATDNFSKQNKLGEGGFGPVYKGRLPDDQDIAVKRLSPDSVQGFREFMNEIKLIASLQHRNLVRLLGCCIKSKERILVYEYMPNGSLEEFIFGAGAKQSWPVRCRIIKGIAEGLLYMHDYTQECIVHRDLKPSNILLDHEMNPKISDFGIARKCFSNMAESNTTTAMGTFGYIAPEYYSQNIYSTRSDVFSFGILVLEIISGKRAIGSYQLSGRSYELRRYAWQLWREKSCDELVDPSLGEEYQELEIMRCIQVALLCVQDSAEDRPTMRDVIAMLNNRNGMLLLPAQPGSSCSIRINMDPE* >Brasy1G418200.1.p pacid=40059114 transcript=Brasy1G418200.1 locus=Brasy1G418200 ID=Brasy1G418200.1.v1.1 annot-version=v1.1 MRLRRAQRRARGRQRERRALFHPKEKTLSNSKDPISHIYTLPYHQLLRYVLTMGSKATHFLSPSRWSARHIVLATTAMILTGIAIGSAVTISLAPARLRFSIKSATLNTYGGGGGDNNNKQRYYNFTIVLNNTSSHTKVHYAALSTEIWYTNTGWVPAEADTSAWPWLGPHGNKSVDMWAVHSQYTVATADPKQPQAAPAAPPPPASSSSIQWPICKVEVVAQVWFKLGRLASTRTYTVRSSCYPVDFSHGTAYAECIP* >Brasy1G353400.1.p pacid=40059115 transcript=Brasy1G353400.1 locus=Brasy1G353400 ID=Brasy1G353400.1.v1.1 annot-version=v1.1 MAQEEAWCSCSPRQCRICHEEEDEGFASTDMESPCACAGSLKYAHRGCVQRWCDEKGSTLCEICLQNYEPGYTVPPKKARVAHVAVTIRESLEVPRLDYEEPEDLPLIGSDAAAISDPAYAECAHAAGRRASWCRSATVTFTVVLLLRHLIAMVTVGAANEYAFSLLTIYLLRASGILLPFYVVMRLISALQHGQMQYRLQLLQEQRRNASRMQRVPGQVQQQHMILVG* >Brasy1G481500.1.p pacid=40059116 transcript=Brasy1G481500.1 locus=Brasy1G481500 ID=Brasy1G481500.1.v1.1 annot-version=v1.1 MGEANSEEFCHCQGCLDKYTLLRDEENPRLAMFERRLPCFGCGIGWSSFLLGFVCPLIWYYATTLYCCKYYNRDPRERPGLAASAIAAVIFTVATIVTLSTVLIIWAYK* >Brasy1G036300.1.p pacid=40059117 transcript=Brasy1G036300.1 locus=Brasy1G036300 ID=Brasy1G036300.1.v1.1 annot-version=v1.1 MVLHPGGQYHFFTIQPMASEERGLEAILSFVHEDCIVPEKSFALKFMMRISESTDIIGTIVKCLRLVTPHFKSTADIVIKEIAQLPTQDFSCLPPEAMSVSAEHYWNEMNTTFSVWSRPDPLCCQGYEHNIVSFCRSDESSNGNKLRLSSVFPEPVCQVFLQRHVSLSKYTNLQGSVTRYDLSSLENCRPMKLGIMFMPHDYLEEPKSTSKGYVIEAIDGQQQHFTHVNVHPDRLDEMFLPKAIDYLYHNVEATTYEISWRSNHGSTYLCVDMTATTALPGALAASTIQGRNKSSKVLREMQQELMENVEWKEVARRCLKLWLVRSS* >Brasy1G036300.2.p pacid=40059118 transcript=Brasy1G036300.2 locus=Brasy1G036300 ID=Brasy1G036300.2.v1.1 annot-version=v1.1 MVLHPGGQYHFFTIQPMASEERGLEAILSFVHEDCIVPEKSFALKFMMRISESTDIIGTIVKCLRLVTPHFKSTADIVIKEIAQLPTQDFSCLPPEAMSVSAEHYWNEMNTTFSVWSRPDPLCCQGYEHNIVSFCRSDESSNGNKLRLSSVFPEPVCQVFLQRHVSLSKYTNLQGSVTRYDLSSLENCRPMKLGIMFMPHDYLEEPKSTSKGYVIEAIDGQQQHFTHVNVHPDRLDEMFLPKAIDYLYHNVEATTYEISWRSNHGSTYLCVDMTATTALPGALAASTIQGRNKSSKVLREMQQELMENVEWKEVARRCLKLWLVRSS* >Brasy1G516100.1.p pacid=40059119 transcript=Brasy1G516100.1 locus=Brasy1G516100 ID=Brasy1G516100.1.v1.1 annot-version=v1.1 MHGGPASKRKEVFPVNGVGSGLSQGASDTSLWVCNNTIQRMMLSDIFNAPYQLKSHQALAREISNMEGLLWGLVNKLA* >Brasy1G566700.1.p pacid=40059120 transcript=Brasy1G566700.1 locus=Brasy1G566700 ID=Brasy1G566700.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLGVIRDEAQLLGAWAAWACGDVQFIKGEMESMNSFLMHLARKTPRSGEHDKQVSTWMKQVRELAHDCSNSIDISTCGAAIRRGILLGFVWRLPWFVKKTLAQHLAATQLRDLKARARDVGERRIRYGVEVPAYAADSNNLLTEASFQSAAGVAEGDHDLEEDYYRTTNDDPRRELAFSEPRLLENCTAILMNWLLHQDEYGPFQAIAIAAPDEEDGDDIIYEALDHHASVMEKFDHTFLLWDKFCPKEPWEFLGDILDELQSPDECDEIRILEEEIDEKIWKIKEKIEERLEEAGNKGDRVEPLGVFQGVLRALLQDATVLAGKDQTQGNKILEDTAEKTKKYLESAGEKGFCRICVPEHHPEFVAILQELLTKQVTTPAKAATNKPGEDHVVKNIRDITLKIQVQIQPELLPVTALHQNAYGYKWEETTKALRDLGCTSMAVVATTKYKQIAMEFCYGIGAFPILYSSVEYYHDIALKLTNHDKYSATIFHEILEKCRLDVFCMKMFIHSLFTNPMRRREELVKMSNSLVFRGSLETNGYKLIKFSFNGLPRDYKTCFAVSSNLPQRREDIPDKVSSDFDAGGKVKSIMLHPLMYSFVTKMAKKEQILDTRLSRHLSRHFSIFSNIRLRPSDSIVDFLKQPSTSKKLMPVKALDLDGCASLRPRDNQRWLRSVCTTLILLKYLSLRNTDVTQLPKEINRLQQLEVLDIRGTPINASGPKKLMLLKLKRLLAGDRDASSILSTVEMPHKVWKMRDLEVLSHVQASKHHATELREIGQLWQLRVFGVVIYDWKAQLDNLLQGISGLSDWLVCLSIEIKPLPASKAVATPPDADAISAHCKTTPKLLESLSISGVTMYGRLLPFFARGCRKLAKVTLYNTLLDQDDMASLADLPNLCGLKLRQVKLHSGSKLIFRTHGFQNLKYLLVEGGGIIDIDFETGEAPAPMLEKIVWLIDVIESLSGIDNLPKLKEMVINDCIRLPDQVKQAIEAHPNLIDIKAKQASASAFP* >Brasy1G199700.1.p pacid=40059121 transcript=Brasy1G199700.1 locus=Brasy1G199700 ID=Brasy1G199700.1.v1.1 annot-version=v1.1 MSSMSGVGSELNDESGKPIWKNRVDSWKEKKNEKKASAKKAAAAAKAQVPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWLTSVICEIWFGFSWILDQFPKWYPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSSMLTFESLAETAEFARRWVPFCKKYSIEPRTPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWVMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDICYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSACSWCCCCCPKKKVEKTEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGQSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLRWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPILSNAATIYFLGLFTSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMVIGLDTNFTVTAKAAEDGDFGELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLLWVKIDPFVSGAETESTGACSSIDC* >Brasy1G562000.1.p pacid=40059122 transcript=Brasy1G562000.1 locus=Brasy1G562000 ID=Brasy1G562000.1.v1.1 annot-version=v1.1 MSEKMGNQESLLPEDVLANILQRLAPRYLAVSRCVCKPWCTIIDDRRLLRADLLPLSVGGIIINFNDLWVSEFFSRPSAGPSVSGNLNYLPDTSMVKDHCNGLLLLHDYVVNPATRQWAELPPCPFSGLEAFDKNPLSYNEYLVFDPTISPHYEVFVIPMFVIRFSLNLNRRVKLDPTFEESEWPSSQCTFHVFSSRTRQWEERPFVREGKAAGTIADMQLATENFAKHHAAYLRGSLYVHCTSHFFMKLSLSNSKYQVIKPPKERNIQFEEDPLYLGKSETGVYCASVYSPGSARVWILDESYGQMEWVLKHQISVHLDNDEIRRPWTLQDVNYYEYDGDDDKDEAIVEQKVDWDSDNDNLIDPNDKADDGPCYYSILGFHPFKEVIFLCISVNRGLAYHLDSSKVQELGSIFPKNYGTSFGIQPDIEASFIYTPCWMGLVDERSISEQGL* >Brasy1G516300.1.p pacid=40059123 transcript=Brasy1G516300.1 locus=Brasy1G516300 ID=Brasy1G516300.1.v1.1 annot-version=v1.1 MASISSWSDLPQDILCIIMAGIAFPADRARFRAVCRSWHSVPTPCNLPMVVLPHGFMVLPFESGDHGVIHIPEHDTTRVVGSTDSWIVVNTANGRKKKRRLSYKLINPFLKKMVLLPELGRIIGGRKVSRSFEVRKVLMQTNDGRRPIILVKPGKGVWLPEPKATPFDRIIDIAFLGDKLYGITTAEDLFCFGIGFDRWGVPKITSIEHIIEHQTSDGDDLNVDVENDGIHYALLNVEKPRKIIGTIWYLVESREKLLMVRRHFDANFTRKVEVFEEDVGAGMWTPVSGGLEGRALFGHALFISKRFSKSVFARDGIEEDTIYFSDTIEMFNMRSKIVGPAKKAEDFSASWVFFPDSF* >Brasy1G068700.1.p pacid=40059124 transcript=Brasy1G068700.1 locus=Brasy1G068700 ID=Brasy1G068700.1.v1.1 annot-version=v1.1 MTSSSSLLHPSLPPPPLPSPRNYSAPAAAMVKYSTDPANPTKSAKAMGRDLRVHFKNTRETAFALRKMPLGKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQAKNRQSNGQGRWPAKSAKFVLDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPESQIASRKA* >Brasy1G482300.1.p pacid=40059125 transcript=Brasy1G482300.1 locus=Brasy1G482300 ID=Brasy1G482300.1.v1.1 annot-version=v1.1 MPTSGEVDDGPTVLQLYRWKRSNDHLELSKFREASISPSRRLFGLLSSHGDLVLSMANASPSQVESPMDLSDSSLPVYECFSSIPRVKSLAWGHCCDASSQFDVPAFSEFLVLSSDDSITVHAFLRSYKSTVTINSTFDTEELHGEWKEWRPTEYSGSEEGELGLNNCFRSFLTPISASISNGKYQARFPLKSSLPHSAEVVSFSIHDITLSFLKFWSSKHPMMTRMQTDSGYPEGLLSHVPVAEASCSCQWECLKVLPSSSGYLIGLVLTPHESVSCELHQHNVNDILVVTLELNQWGIEWNFVADLQNLYDDAGPNTQWVDFQLSDTFLACLNAVGFVAIWNAKTGHPITSFSVLKRCRIDLEMPLESTIPIANKKDGESTCVENIGGRIFKRLVLAPYSLLLAAVDEVGVAYVFYANDILNFKANGHENFDQPSMDNCGDSFAAWETAGHEIGSLTFCSHQSIQQGSLNPDKLVCGFSERDNVGVVRPKKRRKYCRCHENQVDIWPSGFCTTTSTSHIKDGVTYPCTMAASSPIRRVVLPPCRLQEDVISLSPFGLTRIFKGCNADGNKHVKIVHTELLMASSLPDERNIDAGFLDKRLSFQKDFSVVGDSAVCLFQGYLYLITHESLSVVLPSVSVSSFSSNIDAIKFWQPGFSGGSACNALNLLSSANRSETRWKAWQIEVLDRALLYEGPTLADRLCWENGWDLKISRLRWMQLSLHYTKISDLEQSLNMLAEVNLAEDGVLQLLLASVYRLLCRTGSDNEAAVSSKLMILAVRFATRTIKGYGLLSQRKVMPDNSLKLHEMAFLLGVIRSIQSRITAKNQTSIRMQGDDKNSLKIGKEVSQNDSSLPIVVVDGVSSGLSGDLDAHGRQGSASTVFEFVPGIDRQLVLSPVESSLSASQFHNNDTDQGSAQVGRPVTQGNIKDMMNRWEMNKLDLKTVVKEALQSGRLPLAVLQLQLLRQRESCSNDDSEDAFSEVREIGRSIVYDLFMKGESELAVATLERLGDDIESDLRQLMQGTVRRSLRLQIAEEMKQRGYMRSNEWKMLETLALIERFYPSSSFWDTYLGRDNVIPDGANIVTLPGEDKPVLALNICNHPAIECGDVDGAVLGSWVNINDYTDLKEFSQSNLSSGYWACAAVWSDAWDQRTVDRILLDQPYYMCAQSDLPWESQFEYFVTHSDVGGVCKLLDIIPDSVLLEGILRVNVDNSVVGYSNVSDVTGPDYKMYICDSEELEPVCMGVPHVKVFRSLCNHELTSWMRMLMQQELAKKHIFMKEYWQSTTEIIPVLARAGILINTSEIGPKKEGSMPVCDSEVPDDEHRRACERALHKLVMRFCVQYDSPYLLDLYLDNCNLLPGEDSIPLLKEAVGDCKWAQWLLFSGVKGYEYEASFSNARWNLSQKMVDHGNLTAIEIDEILYTVDDMAERIGEMSALATLMYASLPIQKSICTGSVNRNRGLSSQCTLENLGPCLQQFPTMWKTLRSTSFGQDGYGCLNYSLTNVCGKSPISEYLRWRYNIFSSAGGDTSLLQMLPCWFPKSIRRLIQLFEQGPFGMQLLSSAPSSEELFTHSVTDYIYNTTGYSETNALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRAAQLKSANARQVISAQSDVQADVQLILAPLSQTERSVLLSVAPLAITNFEDSTLVASCTFLLELCGMCTNMLRLDVAALQRISSYYSSAQRNKQCELSSPRSSGIQVLSHGADIAPALARALAEDYVQSDHLRVLEQKQTSKVPKREQPSQPLIAIMEHLERASLPSLDDGRTCGFWLFSGIGDASLYRSQQNEASLHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQMAGFPIEVVTQVASKEIRDSRLRTHILTVLKTMLSNRKKSSSNIPSGSRESPFLSVDGDNPVELFCILAVCERKKNPGETLLSKAKQMQWSLLALIASCFPDASLLSCLSVWLEITAARELSSIKVDGISSKVAKNVGSAVEATNKLPSMSRNVEFRYNRKNPKRRRFLEASPESFAMLDSSRGAKSTATSNPPDIDAQQERKKSTSEETKIPVDIDERLASLSSIVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSAASAHLASFSARIKDEASQSNSSKESSSITGWVVATAVKAADAVLSTCPSIYEKRCLLQLLAEVDFADGGSSSAYFCRSYWKINLAEPSLCKDGDIYEWNDSMDDASLLAALEKDGRWEEARTWARQLESGDIAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSLPPLQAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTITKSSPVYPLHLLREIETRVWLLAVESESHSKADGEFAPSAITQNSAIGNSTSIIEETADVITKIDNSMSLPSMKAAERNGRDNNLSRQQHLQLFEYNSEATTNNTRAKQRGKTNLPLRRGFNDNIECSTNDSDDNSIFFQRSKIGEQARNLLSQDEFAKMEASLSGWEQHVRPAEMEKAVLSLLEFGQITAAKQLQQKLSPAYIPEELVLVDVALRVANNSSNGDISLLCFDTEALSILQSLGIASSSNMIEPSQAMEKLAMKCGEGRGRALIRRIIAVVQTAKILGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVSEGDFSCLARLVTGVSNFHSLSFILSILVENGQLELLLQKYSSTDTATVTTSSVRGFRMAVITSLKHFNPNDDEALSLVYKHFDMKHEAASLLESRANQYMESWLDRHDKERRNDELLKAMHNLVQTAEVLSTIDAGQRTHRACARASLLSLQIRIPDLVWIGLSETNARRIFVDQSRFQEALIVAEAYSINQPMEWAPVFWNQMLKPDLIELFVAEFVLVLPLQPPMLVELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFGDVLEACNGVLDKVPENAGPLILRKGHGGAYLPLM* >Brasy1G482300.2.p pacid=40059126 transcript=Brasy1G482300.2 locus=Brasy1G482300 ID=Brasy1G482300.2.v1.1 annot-version=v1.1 MPTSGEVDDGPTVLQLYRWKRSNDHLELSKFREASISPSRRLFGLLSSHGDLVLSMANASPSQVESPMDLSDSSLPVYECFSSIPRVKSLAWGHCCDASSQFDVPAFSEFLVLSSDDSITVHAFLRSYKSTVTINSTFDTEELHGEWKEWRPTEYSGSEEGELGLNNCFRSFLTPISASISNGKYQARFPLKSSLPHSAEVVSFSIHDITLSFLKFWSSKHPMMTRMQTDSGYPEGLLSHVPVAEASCSCQWECLKVLPSSSGYLIGLVLTPHESVSCELHQHNVNDILVVTLELNQWGIEWNFVADLQNLYDDAGPNTQWVDFQLSDTFLACLNAVGFVAIWNAKTGHPITSFSVLKRCRIDLEMPLESTIPIANKKDGESTCVENIGGRIFKRLVLAPYSLLLAAVDEVGVAYVFYANDILNFKANGHENFDQPSMDNCGDSFAAWETAGHEIGSLTFCSHQSIQQGSLNPDKLVCGFSERDNVGVVRPKKRRKYCRCHENQVDIWPSGFCTTTSTSHIKDGVTYPCTMAASSPIRRVVLPPCRLQEDVISLSPFGLTRIFKGCNADGNKHVKIVHTELLMASSLPDERNIDAGFLDKRLSFQKDFSVVGDSAVCLFQGYLYLITHESLSVVLPSVSVSSFSSNIDAIKFWQPGFSGGSACNALNLLSSANRSETRWKAWQIEVLDRALLYEGPTLADRLCWENGWDLKISRLRWMQLSLHYTKISDLEQSLNMLAEVNLAEDGVLQLLLASVYRLLCRTGSDNEAAVSSKLMILAVRFATRTIKGYGLLSQRKDNSLKLHEMAFLLGVIRSIQSRITAKNQTSIRMGDDKNSLKIGKEVSQNDSSLPIVVVDGVSSGLSGDLDAHGRQGSASTVFEFVPGIDRQLVLSPVESSLSASQFHNNDTDQGSAQVGRPVTQGNIKDMMNRWEMNKLDLKTVVKEALQSGRLPLAVLQLQLLRQRESCSNDDSEDAFSEVREIGRSIVYDLFMKGESELAVATLERLGDDIESDLRQLMQGTVRRSLRLQIAEEMKQRGYMRSNEWKMLETLALIERFYPSSSFWDTYLGRDNVIPDGANIVTLPGEDKPVLALNICNHPAIECGDVDGAVLGSWVNINDYTDLKEFSQSNLSSGYWACAAVWSDAWDQRTVDRILLDQPYYMCAQSDLPWESQFEYFVTHSDVGGVCKLLDIIPDSVLLEGILRVNVDNSVVGYSNVSDVTGPDYKMYICDSEELEPVCMGVPHVKVFRSLCNHELTSWMRMLMQQELAKKHIFMKEYWQSTTEIIPVLARAGILINTSEIGPKKEGSMPVCDSEVPDDEHRRACERALHKLVMRFCVQYDSPYLLDLYLDNCNLLPGEDSIPLLKEAVGDCKWAQWLLFSGVKGYEYEASFSNARWNLSQKMVDHGNLTAIEIDEILYTVDDMAERIGEMSALATLMYASLPIQKSICTGSVNRNRGLSSQCTLENLGPCLQQFPTMWKTLRSTSFGQDGYGCLNYSLTNVCGKSPISEYLRWRYNIFSSAGGDTSLLQMLPCWFPKSIRRLIQLFEQGPFGMQLLSSAPSSEELFTHSVTDYIYNTTGYSETNALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRAAQLKSANARQVISAQSDVQADVQLILAPLSQTERSVLLSVAPLAITNFEDSTLVASCTFLLELCGMCTNMLRLDVAALQRISSYYSSAQRNKQCELSSPRSSGIQVLSHGADIAPALARALAEDYVQSDHLRVLEQKQTSKVPKREQPSQPLIAIMEHLERASLPSLDDGRTCGFWLFSGIGDASLYRSQQNEASLHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQMAGFPIEVVTQVASKEIRDSRLRTHILTVLKTMLSNRKKSSSNIPSGSRESPFLSVDGDNPVELFCILAVCERKKNPGETLLSKAKQMQWSLLALIASCFPDASLLSCLSVWLEITAARELSSIKVDGISSKVAKNVGSAVEATNKLPSMSRNVEFRYNRKNPKRRRFLEASPESFAMLDSSRGAKSTATSNPPDIDAQQERKKSTSEETKIPVDIDERLASLSSIVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSAASAHLASFSARIKDEASQSNSSKESSSITGWVVATAVKAADAVLSTCPSIYEKRCLLQLLAEVDFADGGSSSAYFCRSYWKINLAEPSLCKDGDIYEWNDSMDDASLLAALEKDGRWEEARTWARQLESGDIAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSLPPLQAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTITKSSPVYPLHLLREIETRVWLLAVESESHSKADGEFAPSAITQNSAIGNSTSIIEETADVITKIDNSMSLPSMKAAERNGRDNNLSRQQHLQLFEYNSEATTNNTRAKQRGKTNLPLRRGFNDNIECSTNDSDDNSIFFQRSKIGEQARNLLSQDEFAKMEASLSGWEQHVRPAEMEKAVLSLLEFGQITAAKQLQQKLSPAYIPEELVLVDVALRVANNSSNGDISLLCFDTEALSILQSLGIASSSNMIEPSQAMEKLAMKCGEGRGRALIRRIIAVVQTAKILGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVSEGDFSCLARLVTGVSNFHSLSFILSILVENGQLELLLQKYSSTDTATVTTSSVRGFRMAVITSLKHFNPNDDEALSLVYKHFDMKHEAASLLESRANQYMESWLDRHDKERRNDELLKAMHNLVQTAEVLSTIDAGQRTHRACARASLLSLQIRIPDLVWIGLSETNARRIFVDQSRFQEALIVAEAYSINQPMEWAPVFWNQMLKPDLIELFVAEFVLVLPLQPPMLVELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFGDVLEACNGVLDKVPENAGPLILRKGHGGAYLPLM* >Brasy1G252700.1.p pacid=40059127 transcript=Brasy1G252700.1 locus=Brasy1G252700 ID=Brasy1G252700.1.v1.1 annot-version=v1.1 METLLPRIPSAPLCAAAARPPAPLSPPAPTNTSPLRATPQPHRGLLHGRLRAALDFHPPPGEVRWSFLLVASAIDAAAQGVQCGLQPQAASPPPAALPLDPSPNTCLPLP* >Brasy1G043700.1.p pacid=40059128 transcript=Brasy1G043700.1 locus=Brasy1G043700 ID=Brasy1G043700.1.v1.1 annot-version=v1.1 MCLPIAGIVGLNKDGAFQFPVFHRKHPCLSSLIHPTNLSAEPSPVVGNHEIHEGKFFMDISLGTPPVANLVTVDTGSTLSWIVCQRCQISCHTTAPEAGPVFDPDKSTTYQRVGCSSRDCADVQRSLVAPFGCIEETDTCLYSLRYGSGPSGVIGFGGESFSFFNQVARQTNYRAFSYCFPGDDTAEGFLSIGPYLKDELAYTHLIPHFGDRSVYSLQQIDMMVDGKRLQVDPSEYTRQMMIVDSGTVDTFLLAPVFNAFSKAMASAMRAKGFASNTSDTMTCFRPISGDPVDWGDLPTVEMRFTRTTLKLPPENVFHDLLSSSGQLCLAFKPDFSGVRNVQILGNKVTRSFRVVYDLQAMYFGFQAGAC* >Brasy1G096500.1.p pacid=40059129 transcript=Brasy1G096500.1 locus=Brasy1G096500 ID=Brasy1G096500.1.v1.1 annot-version=v1.1 MRQPHLLSPQQLSPSPVLSSHFSPPAQGGSPWRWRHRRAFHAPLSSLRESDKTTLRKASPNVPFRFGGGNRKDRRSAADREEKEEAAAAAREEDEGSSGAITGTLLAGAVLVGVVGGFGAAGYVYKDQINTFLTQFSGFIEGYGPAGYALFILAYAGLEVLAIPAIPLTMSAGLLFGSVTGTIMVSISGTLAASLAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDETEIGLGGNNQLLTLGIGLLVTAVAATYVTRLAKDAVKDIDE* >Brasy1G234700.1.p pacid=40059130 transcript=Brasy1G234700.1 locus=Brasy1G234700 ID=Brasy1G234700.1.v1.1 annot-version=v1.1 MPWPEGSRGEHDAPLPTGKRSLGERDAPRTSEPTGQHLYLIFDDWPWGYSIRKLKLPPRSPHQPQRRLRPPEHKRLPPPCICLEATRRQPLFFAAVGSNIIAAHRRNDFWNAPVPKWILPIVDVRSLGVRFGPGLLYPAQPIMITVGDEVFALDMDSFKMLSLKPLCPPHEWSWCDLPLPPLNSMDVTSFAVGSDGCTIFASSDCATFAFDIVNSEWKQSSNCSLPFAGPANYVHVLDIFVGLSKAPDTYGHLCFCRKLGGDENVRLSKENLLSMDPAESHEGATLVYLGGSVPGFCLVECVSITEGKSVNMRLEECDQLMECVDEGGGNCGELDELKKFVDEGDGASGSMQEFGELDELKKFVDEGDGASGSMHYRYLYRLTTFSLSFDNNGDLTTGETCVVQCYKVPEEVSEAIYLVDPVAFWL* >Brasy1G179000.1.p pacid=40059131 transcript=Brasy1G179000.1 locus=Brasy1G179000 ID=Brasy1G179000.1.v1.1 annot-version=v1.1 MRPSRRSVPAEPLPVREDGGFSYLFPLGMFLFLTINSVMAVYHSKGDEAIVAFVATSYLDLLLLSCCLWLYKRSVPGSPRRNRLKVSVWILTTLLTFSFAYMVKGNAGLPLPMALLVWAMAAATGIGAFSAFFEQGGRINQQPYDGPSLV* >Brasy1G499600.1.p pacid=40059132 transcript=Brasy1G499600.1 locus=Brasy1G499600 ID=Brasy1G499600.1.v1.1 annot-version=v1.1 MGDMQQQQHLQQGQLDLPPGFRFHPTDEELIIFYLNPKVSNRSFCTRAIGEVDMNKSEPWELPAKAKMTAGDDMKEWYFYCHRDRKYPTGHRTNRATQAGYWKATGKDKEIFRPPSVLIGMKKTLVFYKGRAPRGEKTNWVMHEYRMESSNNNNNENKVLSFLPTAKVTNTSSLKDEWVVCRIFHKTTGIKKLVVPSYPMAPVAMGDQQQGFGSSTAACIQTQLQPPPMAMGMDPSALYGNTAGASSSYQLPPPMPMGNAAELPALPLNCLYFGAQLQAPPPPPPAPMPFYQQHMQLQMGHAGDQGFIASGPSSMVSQSQDDTAGVSNAAATEISSVDMGGIAIDGMGMWKFPKY* >Brasy1G178300.1.p pacid=40059133 transcript=Brasy1G178300.1 locus=Brasy1G178300 ID=Brasy1G178300.1.v1.1 annot-version=v1.1 MDQQPARSAAGRLRLTMRGLGGFPWTLLAGLAFITLNSAMAVYRSKDDQQATVVFVAISYLDLVPLCCCLWLHDRAPVGSAWRDRLRDAIWVLTTPLTFSFAYMVIRSSTAGLLTFPVALLVWVTAVATGIGASSAYFHRRESRVNQPLGEIMLPPV* >Brasy1G180100.1.p pacid=40059134 transcript=Brasy1G180100.1 locus=Brasy1G180100 ID=Brasy1G180100.1.v1.1 annot-version=v1.1 METKAASGRILDDSSKRPSVHRHQLASGQIPFRGVKRARDLPGYHLHRCSSAPVGSAKRARGGPPPPVSSPSHPKWRDWSGLGDGPAGLIAELLLADDVADYIRFRAVCRPWRQWSTDPRAHGILDRRFHPRKWIMLREAVDAPNRRRLLNFSTGQCIRVHLPELRGHHVFGPTTEGLLVLLHTTSFLVRLLNPLTGQVTDLPPATKLLPRLTRYRNAADALKVSSAGLADDSTFAVFFGGVKTLAVAKPGDECWTLVDQGNYLAAAMSFQGRFYCITRRGVMVVKTSADDKPPRLVVAAKLAKMFPQIAGTVHLANNDGELTLVYRLLGQDCQGKNHIKYEAYRVDLEARRTKPVYGLGGRALFIGLSRALSVSPLLFPSIKNDSVYLGWDNMIGKSLGSTRPYHLVDGTTKLCAGFVEDSEISEPFDGEFGPWGIDDYLCCYVTGSRDDSEDS* >Brasy1G180100.2.p pacid=40059135 transcript=Brasy1G180100.2 locus=Brasy1G180100 ID=Brasy1G180100.2.v1.1 annot-version=v1.1 METKAASGRILDDSSKRPSVHRHQLASGQIPFRGVKRARDLPGYHLHRCSSAPVGSAKRARGGPPPPVSSPSHPKWRDWSGLGDGPAGLIAELLLADDVADYIRFRAVCRPWRQWSTDPRAHGILDRRFHPRKWIMLREAVDAPNRRRLLNFSTGQCIRVHLPELRGHHVFGPTTEGLLVLLHTTSFLVRLLNPLTGQVTDLPPATKLLPRLTRYRNAADALKVSSAGLADDSTFAVFFGGVKTLAVAKPGDECWTLVDQGNYLAAAMSFQGRFYCITRRGVMVVKTSADDKPPRLVVAAKLAKMFPQIAGTVHLANNDGELTLVYRLLGQDCQGKNHIKYEAYRVDLEARRTKPVYGLGGRALFIGLSRALSVSPLLFPSIKNDSVYLGWDNMIGKSLGSTRPYHLVDGTTKLCAGFVEDSEISEPFDGEFGPWGIDDYLCCYVTGSRDDSEDS* >Brasy1G180100.3.p pacid=40059136 transcript=Brasy1G180100.3 locus=Brasy1G180100 ID=Brasy1G180100.3.v1.1 annot-version=v1.1 METKAASGRILDDSSKRPSVHRHQLASGQIPFRGVKRARDLPGYHLHRCSSAPVGSAKRARGGPPPPVSSPSHPKWRDWSGLGDGPAGLIAELLLADDVADYIRFRAVCRPWRQWSTDPRAHGILDRRFHPRKWIMLREAVDAPNRRRLLNFSTGQCIRVHLPELRGHHVFGPTTEGLLVLLHTTSFLVRLLNPLTGQVSSAGLADDSTFAVFFGGVKTLAVAKPGDECWTLVDQGNYLAAAMSFQGRFYCITRRGVMVVKTSADDKPPRLVVAAKLAKMFPQIAGTVHLANNDGELTLVYRLLGQDCQGKNHIKYEAYRVDLEARRTKPVYGLGGRALFIGLSRALSVSPLLFPSIKNDSVYLGWDNMIGKSLGSTRPYHLVDGTTKLCAGFVEDSEISEPFDGEFGPWGIDDYLCCYVTGSRDDSEDS* >Brasy1G269100.1.p pacid=40059137 transcript=Brasy1G269100.1 locus=Brasy1G269100 ID=Brasy1G269100.1.v1.1 annot-version=v1.1 MHYYLRLSVLLAFTVLSDVATAQRWREISGRDQWSGLMDPLNIELRREIIRYGELAQATSDAFIGDPASPYAGASRYGPGSFFYKVQASDPGAYRVTRFVYATSSARLPDAFVTRPAPAGAWSTESNWMGYVAVATDGAARALGRRDVVVAWRGTKRMVEWASDLDIVLVPVAGVVGPGGRGSVHRGFLSLYTSNNSTSRFNKQSAREQVLTEVRRLLDTYKDENSSVTVTGHSLGAALSTLNAIDLVANGINAVRSSSGDNITVPVTAILFGSPRVGDEQFKKAFESMAGALSLLRVRNAPDIVPAILPTPFYRDVGVELLVDTLKSPYLKTPAGPAQWHNLECYLHAVAGTQGPGDHAGFSLEVDRDVALVNKEEDALKGEYPVPASWWAENNKGMVKNAAGHWVLEDHEEGNLAL* >Brasy1G132600.1.p pacid=40059138 transcript=Brasy1G132600.1 locus=Brasy1G132600 ID=Brasy1G132600.1.v1.1 annot-version=v1.1 MEGVQDIQGSETASVVKVSREPAIIINGVPDLPSDCTSGLQLAVRDAPGSQVDPRFGEWLEGRKVKKRFGDKYYLGNVVKYDSESNWYNVVYEDGDREDLEWQELEEVLLPLDISIPLKTLVMDKCKLQSRVSGYRAKASKPKKVYAIREAKRNRKSDVIPVSQGITAMSNQTMVGVANTQQSNNVPGLVTASASNDAQECPNTSSQPRKRGRPRKDPTIADNNKSRATTAKAERLKREQLRGQSR* >Brasy1G209000.1.p pacid=40059139 transcript=Brasy1G209000.1 locus=Brasy1G209000 ID=Brasy1G209000.1.v1.1 annot-version=v1.1 MRSPLPVLLPPHLLRQLDGRALSTPLLDPLIRATSSSPSTPHLSFSLFLLLLRSALRPSHLTFPFLGRAAARLASPRLALSLHSHPLRLGLLPCDLHVANSFVHMYAACALPDLARRLFDEIPRPNPVSWNALLDGYAKCRDLSAAREVFDRMPHRDVVSWSAMIDGCVKCGEHREALALFEMMEAAATGDGVRANDVTMVSMLGACAHLGDLRRGRQMHRYLQERGFLLNLRLATSLVDMYAKCGAISEALEVFRAVPVASTDVLIWNAMIGGLAVHGMGMETVELFQEMQRSGVVPDEITYLGLLSACVHGGLVDEAWRFFCSLEAQGLRPHVEHYACLVDVLSRAGRLEEAYGVVKSMPMEPSVSVLGAILNACHLHGWVELGEVVGRQLVRLQPDHDGRYIGLSNIYAVAKRWQEAKKARKVMSERGLKKIPGFSEIDVGGRPCRFIAQDKTHSGSADIYALLDLIAMDMKMKDDVALPECFCTF* >Brasy1G209000.2.p pacid=40059140 transcript=Brasy1G209000.2 locus=Brasy1G209000 ID=Brasy1G209000.2.v1.1 annot-version=v1.1 MRSPLPVLLPPHLLRQLDGRALSTPLLDPLIRATSSSPSTPHLSFSLFLLLLRSALRPSHLTFPFLGRAAARLASPRLALSLHSHPLRLGLLPCDLHVANSFVHMYAACALPDLARRLFDEIPRPNPVSWNALLDGYAKCRDLSAAREVFDRMPHRDVVSWSAMIDGCVKCGEHREALALFEMMEAAATGDGVRANDVTMVSMLGACAHLGDLRRGRQMHRYLQERGFLLNLRLATSLVDMYAKCGAISEALEVFRAVPVASTDVLIWNAMIGGLAVHGMGMETVELFQEMQRSGVVPDEITYLGLLSACVHGGLVDEAWRFFCSLEAQGLRPHVEHYACLVDVLSRAGRLEEAYGVVKSMPMEPSVSVLGAILNACHLHGWVELGEVVGRQLVRLQPDHDGRYIGLSNIYAVAKRWQEAKKARKVMSERGLKKIPGFSEIDVGGRPCRFIAQDKTHSGSADIYALLDLIAMDMKMKDDVALPECFCTF* >Brasy1G088600.1.p pacid=40059141 transcript=Brasy1G088600.1 locus=Brasy1G088600 ID=Brasy1G088600.1.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSGILAVGHGYAWIRAKLLLRSGRSFLPAGAATRRAGAANRMLAWHL* >Brasy1G088600.3.p pacid=40059142 transcript=Brasy1G088600.3 locus=Brasy1G088600 ID=Brasy1G088600.3.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSGILAVGHGYAWIRSCCFGLEDPSFQQALPQDEQEQPTGDN* >Brasy1G088600.4.p pacid=40059143 transcript=Brasy1G088600.4 locus=Brasy1G088600 ID=Brasy1G088600.4.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSGILAVGHGYAWIRAKLLLRSGRSFLPAGAATRRAGAANR* >Brasy1G088600.7.p pacid=40059144 transcript=Brasy1G088600.7 locus=Brasy1G088600 ID=Brasy1G088600.7.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSGILAVGHGYAWIRSCCFGLEDPSFQQALPQDEQEQPTGC* >Brasy1G088600.6.p pacid=40059145 transcript=Brasy1G088600.6 locus=Brasy1G088600 ID=Brasy1G088600.6.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSELLLRSGRSFLPAGAATRRAGAANRMLAWHL* >Brasy1G088600.5.p pacid=40059146 transcript=Brasy1G088600.5 locus=Brasy1G088600 ID=Brasy1G088600.5.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQKLLLDKRSALYCLSMPASLALLPTLHTIIPKDQHVLLAYTYGAIFSSASVGLVLSLHALDRFDLELARFLSRFSLVALSALVVTYLYFAMSPGHLAFLWLCSGILAVGHGYAWIRASNCLRRIFFCLR* >Brasy1G088600.2.p pacid=40059147 transcript=Brasy1G088600.2 locus=Brasy1G088600 ID=Brasy1G088600.2.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQSCCFGLEDPSFQQALPQDEQEQPTGDN* >Brasy1G088600.8.p pacid=40059148 transcript=Brasy1G088600.8 locus=Brasy1G088600 ID=Brasy1G088600.8.v1.1 annot-version=v1.1 MSTESISARAASPPAADKLSGDPPSQTSTSTAASSSGSKMSRESASKKGISPPATCSPESANDLPKIQGARESNETEADHERTSSWAAAFGSFLRRSSWTVKPRYGRPLHSLANKEDANDCPETIPLLSHADVVDLHRRATELSELSEHPVLVAPAAYLAKVLGVLEDGDSSARSLGELKEAAAALNRTAAYHKLKKDAAGTARRVACEAAAMASQGEAEAPRLNCFARICCCPCLSTPCAGCVCPWWCKDAELPLHRRDVDRQSCCFGLEDPSFQQALPQDEQEQPTGC* >Brasy1G393500.1.p pacid=40059149 transcript=Brasy1G393500.1 locus=Brasy1G393500 ID=Brasy1G393500.1.v1.1 annot-version=v1.1 MHGKRDRCIGDGCPPGLTDESLKQDICLLLDQIHGFYKAALDRLPMRAIPSLAPPLLKAGVCFGFLDPVSNIIANTIAYHDPSPMTGSDNEDDEEDEDEEEEEAQEAKELVFSQILTDFDDEDVFEVPLCRHKSPGMTVARRSLDGLVCFLTTHFRYLADTEALRYLRLARADLLTAVRLIERDRNKRRNDELSFTITSLTTKVALECAGVSVVHPEPDVLVKAVLTMASRQIEVAALFSGQCALSPATVKRLAKLLSRGPTASIDLNPSLDLCGRRNKRKRNEKQSTLDLRERKKKKKRNEQPATETESIDQGSSRADNKRLGSQSTFRHLESLKLLLLGKMRGLYLRALAKLPRDGLRKLHHCSLLKGGYCYGPGDPVSNIILNTIWYGRLFPTHEKFELHFKVDMICTKLLARIECCSVYGLVAFFRTCFPSLTEHQAVWYLFRSDADVWKAIHKAKKHGHAVSVCDDYHDAYKKAAFASWHPDPAGLVKFATSSLSRESSKLLAIQQGALTKGFVDECLTMALPHESSPTKSEEQAKQLKLVSRVLSKNQKKFISLCRKKFKGDQNFFVRKVNVALSNYSKEKGVHYKLHVICGVNPRVPDGSSVCLAKKKFKFEYSHINFLAKTVGPNSAATSPELFFAQCSNSVKEEQARSSWCTPVSDSCMDNVRCFPCEFNGAKIVHPYNETYCGCYEDFKQMAHGMHGTLNEFIISSCDFNADMMCSMPEDWIYFDPNMDSKIAKMTPISNVARELREWGGRIF* >Brasy1G312400.1.p pacid=40059150 transcript=Brasy1G312400.1 locus=Brasy1G312400 ID=Brasy1G312400.1.v1.1 annot-version=v1.1 MRREGRQRGWVRVYNLDLLIDPEAEAEAEAEAQHKQRRVSAHTTVARTSTVANGGYVRAPRKPTNHSKPSCGGSICKELSGRGGGSSASGKGRRKFWHDELRTIYLEMADAVDGAFDNDMD* >Brasy1G395300.1.p pacid=40059151 transcript=Brasy1G395300.1 locus=Brasy1G395300 ID=Brasy1G395300.1.v1.1 annot-version=v1.1 ASDSPRHHNNGDHAQNGWKPHVYNACIRHVKDTCGVDITKDNITARCKTFDKHYEVISKILAQSGFGWDWENNKLSIDSEDVWSKYVEANKAAGSYKTKVVMNWDQISTIYSKDHATGEGAKTAAECVQEQDTQVLEESPDVPQNQKRQRTGDAILCMMGDMKTEFQEVLKTTDPLTLPKVTPSAEILAALQIIPDLAEYDMLKAYGKLSLSARLFESLMELPMTLRKAWLLSLA* >Brasy1G386800.1.p pacid=40059152 transcript=Brasy1G386800.1 locus=Brasy1G386800 ID=Brasy1G386800.1.v1.1 annot-version=v1.1 MLLEWKAFTSCSDGAIHLFIIVLLTLEYMHVPATAIVAVPSSNCYTFDNDNHLVDFTYLVGQNFEYNEEGSVPSDLVVQLCKDVQRRSQAGYISFGRFISSRSFLTGSEPIDYIQRFHNGDLVNCETTFKKMGRTAQVNIICGQCSNKVCKDEHGCICSVSYDERMCRVVAELAIPCAQSGPRVFKGFTVGFHPRSSEVVYNGLTQLGFEQLHHEFSFQSEQIHTSLYLSAMSSLSGLVGKPTFKVNPLKGLDVMLTGSGANGAMPTTLSPTVLTVNWRCEIIRSSPYEVNVSIPVSGYDPIEFTLTKECGYTQERESVPMRGWATFGIISCIFIVMSSLLCCGGFIYKTRVEHQYGLHALPGMTIMSAFLDAVGRPRGYLRTGDPNGNHASQASWENTSGPTPAAQRTNDRRYGTI* >Brasy1G325100.1.p pacid=40059153 transcript=Brasy1G325100.1 locus=Brasy1G325100 ID=Brasy1G325100.1.v1.1 annot-version=v1.1 MSSQLASVQMDPHVEHERVSSRLNNLETSNRSSFWSDRDRGYALALLQERVSQVGVFAEACRETLKLVYKSLFPLRQPPVGLEALMQKFRGGAAMADFARSLLTMGAQTALAFVVSRHPEVNMEHVHELPFPPEGGVDLRPQLAIALEPARRIISYREQIQQKQVEAQARSSGPSD* >Brasy1G227700.1.p pacid=40059154 transcript=Brasy1G227700.1 locus=Brasy1G227700 ID=Brasy1G227700.1.v1.1 annot-version=v1.1 MPPALRESAAATWLSAAATEQRVYLVDRATGWASWFDPAKRRWGPVRQLRPDASVSTWAVAPGRGAGADTERLVLFGAKREEKNVVVQTWEVECDALDLSSSPGGAANDDAMPREMSERLFPRDEDEEEMFLPSIGVCGNAVGGYVYNAAELGNGAVLYELREGRQKGSAVERWEWVPCAPSVSADPLGRAILSCSPVGLDELVFPSRARKNALLKC* >Brasy1G463500.1.p pacid=40059155 transcript=Brasy1G463500.1 locus=Brasy1G463500 ID=Brasy1G463500.1.v1.1 annot-version=v1.1 MAQLPPKIPTMAPAWPEFGGGHHHSARHQHHHQRSPSMGAFLAAPLPPLIPLPPPAAANGAAPQQQQQQQQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDGDNAGAGAGAHEFERLDDAQLMSMFSDDLTPPPPPQQPAASASSPSDHNSNVNDEKQDNKGGEAEEAQSGSNGDGGSAAPYSPGTVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDNIFKDAHQEALKKEIERLRQIYQQQSPKNAESSPTPDATLQVRSDNSLIANEGTAGPAPCLPS* >Brasy1G463500.2.p pacid=40059156 transcript=Brasy1G463500.2 locus=Brasy1G463500 ID=Brasy1G463500.2.v1.1 annot-version=v1.1 MAQLPPKIPTMAPAWPEFGGGHHHSARHQHHHQRSPSMGAFLAAPLPPLIPLPPPAAANGAAPQQQQQQQQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDGDNAGAGAGAHEFERLDDAQLMSMFSDDLTPPPPPQQPAASASSPSDHNSNVNDEKQDNKGGEAEEAQSGSNGDGGSAAPYSPGTVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQLIRRH* >Brasy1G572700.1.p pacid=40059157 transcript=Brasy1G572700.1 locus=Brasy1G572700 ID=Brasy1G572700.1.v1.1 annot-version=v1.1 MEGARQSTYTSTTTTRRVLVVSLPAPAHQNPRARSAADHGDGGPQAESVGRRTRNRRQDAPAAIAVLHQDVLIWEILARLPPAAVLRCRAVWRAWRGITSDPAFLVAHNRRQPSLPLFVLRESSTDPANPERGGRPLLGLDYRVHGCLAGFTLHASCDGLLLLTSNRRRFSICNPLTRQHAKVPGLAGCFRIDALYLHRPSGEYRVLYWHHKNETNKGHSVCYVLTVPRARKPRRVAVPEDCRDTPDYYYPIPRPSVMLGGCLHWVPSRRPLPGTVVVFDTVAESFRSMRLPAAVAAAAATAAASSCATRLHDMEGLLGLSCFDDKRRAVVKVWVLEDYEREAWSLKYKVDFSPETSRHLVLSHGGDMLLYDKCTPGSYMVYYDGKFVEEFQWGSWGSYLTAHLFKESLVKHAFFPEPCSAPTSSPFPSPPTNPARTGGSKRGGVSARRPRGGGDGDHGPRGCEEMDEKEHTIEVLTLRVEELQRGTLEELCWFLL* >Brasy1G291700.1.p pacid=40059158 transcript=Brasy1G291700.1 locus=Brasy1G291700 ID=Brasy1G291700.1.v1.1 annot-version=v1.1 MEDNTKRAKLHKSLQLCISRKLKKIPHIHIPSSNIPASRRLLSTCRFPRTPSLDIDQAAAADNSRDQAATLSDVDRFLFDNFRSLYIHDRVDDDVCLSWSLGTSSSLANGTRPTAETSSSSESDAEDVSREASPGDEHGHNTAIVLFSIDPYTDFRGSMRNMIKMHHCQGSKTLDWDFLEELLFCYLQLNDQSVHKYVLKAFADLTAGTHKDNPVHGKEYWAGKSVSCRKQHRSKNYVTRN* >Brasy1G029900.1.p pacid=40059159 transcript=Brasy1G029900.1 locus=Brasy1G029900 ID=Brasy1G029900.1.v1.1 annot-version=v1.1 MQFPILPEAEEVSPGSEFKIGRSTSGDRNQNSWDLWISGRRCGRTPRRGPQRRRPMAVQLPGVAGVPQGRVTFCDICKKKDVGQRSVIPYGVTWCNCLAWILYALPMSSWLVAGVNLAGLLIVPAYVFLYVYHSAGQARSTSWIVLICQVGWAVLLIIVHFGFFNGRHHEHVREMTFGVLGAVTASGMYMASLIDTHTVYTTKDVKHMSILFVLASCCNCISWVVYASINVDLYILVPNGIGILVTVGQIVVWVMYCKATPATEAAQPAEAQPA* >Brasy1G091500.1.p pacid=40059160 transcript=Brasy1G091500.1 locus=Brasy1G091500 ID=Brasy1G091500.1.v1.1 annot-version=v1.1 MASSGTTGFPALDSLLFVMGLVALQGAVLRAGAADTFTVARPLSGGERPLVSKSGKFALGFFQPENSRQWYIGIWYNKISKQTPVWVMNRNSPLVDPELSQLTISTDGNMVLLDPSGSTIWYTNMKGINSTSIVGAILDTGNLVLRDTSNASIIRWQSFNHMGNTWLPGGKLGRGKLTGGSTRLVAWKSFADPAPGVFSLVPDPKGTSQYFLLRDGTQQYWTTGNWNGRIFTGVPEMTPTNPMYTANYIDGKNESYFVYNTSDDTVITRMIVGATGRLEFLTWAESAKEWVLFWSEPKAQCDVYSLCGPFSLCSESTLAPNCSCLRGFSEQYQGQWSQGDHTQGGCTRNVALQSSGGGSGSDRFYTMVNVKLPSNSQNITTAETPATTGSTQQKCELACLINRSCTAYSFNGSCSLWYGDLLNLRDLSGVGIKGATIGIRLAASEFSDRTKKLTAEVIIGIVTSISVVAIITVVVSVFLLRRRFQGVTRVDGSLMAFTYRDLQTLTKNFSDKLGGGAFGSVFRGSLPDATLVAVKKLEGFRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERKRRLLVYEYMPNTSLDRCLFGSSQLVLSWSTRYQIALGIARGLHYLHEKCRDCIIHCDIKPENILLNDSFVPKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAISAKADVYSYGMMLFEIISGKRNARQRQEDSEMDFFPLLAARTLTDTEGELNLNRLVDSRLELDSGVDLAEVERVCKVACWCIQDEESARPAMAMVVQVLEGLFEVNVTPVPRSLKFLTDQASCGKYFSEKLSSE* >Brasy1G522700.1.p pacid=40059161 transcript=Brasy1G522700.1 locus=Brasy1G522700 ID=Brasy1G522700.1.v1.1 annot-version=v1.1 MKSSTLLVILVLQAILVMGTLAAVAEENDAVAAGKASSAKGKCCSNCNFFSGVFTCKDIVSKCERDCVKCVQVQSTPVKKFRCDDMHTDTGPASCGPPCKN* >Brasy1G381200.1.p pacid=40059162 transcript=Brasy1G381200.1 locus=Brasy1G381200 ID=Brasy1G381200.1.v1.1 annot-version=v1.1 MAMEIHKIASLRRRESWRRGDGVFSRSSSRFSHDEEDDEEALRWAALERLPTYDRVRRGILQMEETGQKVDVDVGKLGARESRALIDRLVRAADDDHEQFLLRLRDRMDRVGIDYPTIEVRFEKLQVEAEVLVGDRGLPTVLNSVTNTLEAIGNALHILPSRKQPMTILHGVNGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKELKVSGKVTYNGHATNEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYEMLTELARREKTNNIKPDHDVDVYMKASATGGQECNVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHVLGGTAVISLLQPAPETYNLFDDIILLSDGLVVYQGAREHVLEFFESMGFRCPVRKGVADFLQEVTSRKDQEQYWYRSDTPYRFVPVKQFADAFRSFHMGQSILNELSEPFDRTRSHPAALATSKFGVSRMELLKATIDRELLLMKRNSFVYMFRAANLTLMAFLVMTTFFRTEMRRDSTYGTIYMGALYFALDTIMFNGFSELGMTVTKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFVEVGIYVFTTYYVIGFDPSVSRFIKQYLLLLALNQMSSSLFRFIAGLGRDMVVSSTFGPLALLAFATLGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWNKILPGQNETMGISILKSRGIFTEANWYWIGFGAMIGYTLLFNLLYTLALSFLSPFGDSHSSVPEETLKEKHANLTGEILGNPKEKKSRKQGSSRSANGNQEISSVDSSSRRRGMVLPFAQLSLTFNAIKYSVDMPQAMTAQGVTEDRLLLLKEVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVHESLMFSAWLRLPSEVNSEARKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSALIEYFEGIDGVSKIKDGYNPATWMLEVTSSAQEEMLGVDFCEIYRRSDLYQRNKELIEELSTPPPNSNDLNFPTQYSRSFFTQCLACLWKQKLSYWRNPSYTAVRLLFTVIIALLFGTMFWDLGTKTRREQDLFNAVGSMYAAVLYLGIQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYILVQTLVYGVLVYSMIGFEWTVAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNESVAAIISSAIYNAWNLFSGYLIPRPKIPVWWRWYSWICPVAWTLYGLVASQFGDIQTKLDGKEQTVAQFITQFYGFERDLLWLVAVVHVAFTVGFAFLFSFAIMKFNFQRR* >Brasy1G375900.1.p pacid=40059163 transcript=Brasy1G375900.1 locus=Brasy1G375900 ID=Brasy1G375900.1.v1.1 annot-version=v1.1 MDPAADSPAPAATSTASTILDTLGEEVLAVMSPVSICMALVVLLISILSPPSSQASPSAPPPVTAATLVYLESPTDSPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAVTILRRLDVPLDAPTALLLLFNASAVGVLSVFASAVPILVRQGYMVTLAVIVAAWLSRLPEWTTWIMLVALAVYDLVAVLAPRGPLRMLVELASSRDDELPALIYESRPTAGPAERSSSYAPAMWSEEMQPAADSARSGVNQFERAGPQDNLGSAMVEMRDLGGSRSRVHQTTSSSGSMLQMGNIEAGQISNQGGSAQHAVIQIEQPEEEERAPLVSAASANSEERRQISSSDPPDEDFEMFESSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVVFYFLTRLLMEPFVVGASTNLCGPAQHTSKPESSLLSPLPLVALLSRARRRHPPEAELARPPPPSPASRAPPPPSVPPTAHLTRRCRSSVANGEGEQGEGAEHVLPLADEARGHQAKEARGEEDPAGGWEDGIGEEEAGGKLLCCWCWLLS* >Brasy1G083100.1.p pacid=40059164 transcript=Brasy1G083100.1 locus=Brasy1G083100 ID=Brasy1G083100.1.v1.1 annot-version=v1.1 MASWLKSRACWSTANHALVHSSTPPGARVARLHIGDEIRCEGATRVERHECTGAPTARCSPTNPSSPPKPPRRTTSSGGVLRLIHPLPLSHRGGRQIRRSVVRRSPWSCTAWSRRAAARSTRCRRPSASSLPRCSCWWNRTPGTTGPSLLPRKVQGGAPLLRGPVRRARHRAPAVRRPARASSSSTLAPRSAT* >Brasy1G075000.1.p pacid=40059165 transcript=Brasy1G075000.1 locus=Brasy1G075000 ID=Brasy1G075000.1.v1.1 annot-version=v1.1 MLSVRGGDVAAAGAEHRGIHPHPDHPTRWLRQHLDAAINSLPQNPLEGSADEDARLGIAQQSYNAGDYRAALEHCNVVYMVNPRRLENLLLLGAVYYQLREFDMCIVRNSEAVQIQPNFPECVNNMANAWREKGDIDCAIQLYDHATKLRPTFADAWANLANAYTRKGNLSKAVGCYHQAIALNPHLVGAYCNLGDVLKAQGLYSDAYNCYVNALSVEPSSANAWYYIAGLFMQWGDPSKAVLYYKEAIKYKPSFYDAHLNLGNLYKAVGMHQDAIVCYQNAVRASPDNDIAYGTLANTYYEQGQLDLAILSYRQAINCNSSYVEAYNNLGNALKDAGKSDEAIGCYEKCLALQPSHPQALTNLGNVYMERNMMDVAASLYMATLTVTTGLSAPYNNLAIIYKQQGEYGRAITCYNEVLRIDPTAADCLVNRGNMLKETGRVSEAIQDYFRAIAIRPAMAEAHANLASAYKDTGLLEAAIVSYRHALQCRGDFPEATCNLLHTRQCVCDWDDREEKFIEVEGIIRQHIKNSLLPSVQPFHAIAYPLDPTLALEISKKYAAHYSVVASRFGLPKFMHPSGVPISTGDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHDQDTIEVFCYALIKDDGTEWRQRIQSEAEHFIDVSTMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKKKNQDALDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFGTWCNILKRVPNSALWLLRFPPAGEMRLRAYAISKGVIADQIIFTDVAAKHEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCRATGIGEEMIVSSLKEYEERAVFLAKNPSKLQALTNKLKAVRMTCPLFDTSRWVKNLDRAYLEMWHLYCSGRHPQHFKVLEDDTQFPFDRYAGVSRRC* >Brasy1G075000.3.p pacid=40059166 transcript=Brasy1G075000.3 locus=Brasy1G075000 ID=Brasy1G075000.3.v1.1 annot-version=v1.1 MLSVRGGDVAAAGAEHRGIHPHPDHPTRWLRQHLDAAINSLPQNPLEGSADEDARLGIAQQSYNAGDYRAALEHCNVVYMVNPRRLENLLLLGAVYYQLREFDMCIVRNSEAVQIQPNFPECVNNMANAWREKGDIDCAIQLYDHATKLRPTFADAWANLANAYTRKGNLSKAVGCYHQAIALNPHLVGAYCNLGDVLKAQGLYSDAYNCYVNALSVEPSSANAWYYIAGLFMQWGDPSKAVLYYKEAIKYKPSFYDAHLNLGNLYKAVGMHQDAIVCYQNAVRASPDNDIAYGTLANTYYEQGQLDLAILSYRQAINCNSSYVEAYNNLGNALKDAGKSDEAIGCYEKCLALQPSHPQALTNLGNVYMERNMMDVAASLYMATLTVTTGLSAPYNNLAIIYKQQGEYGRAITCYNEVLRIDPTAADCLVNRGNMLKETGRVSEAIQDYFRAIAIRPAMAEAHANLASAYKDTGLLEAAIVSYRHALQCRGDFPEATCNLLHTRQCVCDWDDREEKFIEVEGIIRQHIKNSLLPSVQPFHAIAYPLDPTLALEISKKYAAHYSVVASRFGLPKFMHPSGVPISTGDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHDQDTIEVFCYALIKDDGTEWRQRIQSEAEHFIDVSTMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKKKNQDALDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFGTWCNILKRVPNSALWLLRFPPAGEMRLRAYAISKGVIADQIIFTDVAAKHEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCRATGIGEEMIVSSLKEYEERAVFLAKNPSKLQALTNKLKAVRMTCPLFDTSRWVKNLDRAYLEMWHLYCSGRHPQHFKVLEDDTQFPFDRYAGVSRRC* >Brasy1G075000.2.p pacid=40059167 transcript=Brasy1G075000.2 locus=Brasy1G075000 ID=Brasy1G075000.2.v1.1 annot-version=v1.1 MLSVRGGDVAAAGAEHRGIHPHPDHPTRWLRQHLDAAINSLPQNPLEADEDARLGIAQQSYNAGDYRAALEHCNVVYMVNPRRLENLLLLGAVYYQLREFDMCIVRNSEAVQIQPNFPECVNNMANAWREKGDIDCAIQLYDHATKLRPTFADAWANLANAYTRKGNLSKAVGCYHQAIALNPHLVGAYCNLGDVLKAQGLYSDAYNCYVNALSVEPSSANAWYYIAGLFMQWGDPSKAVLYYKEAIKYKPSFYDAHLNLGNLYKAVGMHQDAIVCYQNAVRASPDNDIAYGTLANTYYEQGQLDLAILSYRQAINCNSSYVEAYNNLGNALKDAGKSDEAIGCYEKCLALQPSHPQALTNLGNVYMERNMMDVAASLYMATLTVTTGLSAPYNNLAIIYKQQGEYGRAITCYNEVLRIDPTAADCLVNRGNMLKETGRVSEAIQDYFRAIAIRPAMAEAHANLASAYKDTGLLEAAIVSYRHALQCRGDFPEATCNLLHTRQCVCDWDDREEKFIEVEGIIRQHIKNSLLPSVQPFHAIAYPLDPTLALEISKKYAAHYSVVASRFGLPKFMHPSGVPISTGDRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHDQDTIEVFCYALIKDDGTEWRQRIQSEAEHFIDVSTMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKKKNQDALDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFGTWCNILKRVPNSALWLLRFPPAGEMRLRAYAISKGVIADQIIFTDVAAKHEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCRATGIGEEMIVSSLKEYEERAVFLAKNPSKLQALTNKLKAVRMTCPLFDTSRWVKNLDRAYLEMWHLYCSGRHPQHFKVLEDDTQFPFDRYAGVSRRC* >Brasy1G476700.1.p pacid=40059168 transcript=Brasy1G476700.1 locus=Brasy1G476700 ID=Brasy1G476700.1.v1.1 annot-version=v1.1 MESVAVAPAAAAQGAVVVAEQQKKKLLDQKMELPLVAAVKAPAPASPCKWAMKKKLVGGDAGYVLEDVPHLTDYMPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLCDMYGVTSVVGIEGGYKGFYSRNTVPLTPKSVNDIHKRGGTILGTSRGGHDTAKIVDSLQDRGINQVYIIGGDGTQQGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLDFIEKRLKDSGHMVIVVAEGAGQDLIAQSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKTNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDERHHLHNTQLLDGSPAKCSPKCNGFAAPV* >Brasy1G355900.1.p pacid=40059169 transcript=Brasy1G355900.1 locus=Brasy1G355900 ID=Brasy1G355900.1.v1.1 annot-version=v1.1 MMACGSRHNNAEVVDEFEKLVIRMNPPRVTVDNESDITATLVKVDSANKYGTLLEVVQVLTDLKLTINRAYISSDGEWFMDVFHVVDEEGNKLYDGQVIDRIEQSLGAGSLSFRATDAEAAASSPAAAMAQATAIELVGRDRPGLLSEVFAVLSNLRCNIASSEVWTHGGRMAALVRVTDADTGAGIEEDDDPDRLDTVKRLLRHVLRGGGGGRERKAAAAAVAVQTRPGALHAHRRLHQMMSADLRGRAAAAGAGDEEEEEEEGEDCEGVVVGVEECAERGYTVVNVRCRDRAKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDGGAASASAADRARLRRCLQAAIQRRNTEGVVGLELRCEDRPGLLSDVTRVFREHGLSVTHAEVATWGTRAADVFRVVTAWGDAPVPARAVRAEVGEDILLVIKDDTLAASSANAAGGGPVSPTGRDGRRSLGNMIRSRSEKFLFNLGLIRSCS* >Brasy1G355900.2.p pacid=40059170 transcript=Brasy1G355900.2 locus=Brasy1G355900 ID=Brasy1G355900.2.v1.1 annot-version=v1.1 MDVFHVVDEEGNKLYDGQVIDRIEQSLGAGSLSFRATDAEAAASSPAAAMAQATAIELVGRDRPGLLSEVFAVLSNLRCNIASSEVWTHGGRMAALVRVTDADTGAGIEEDDDPDRLDTVKRLLRHVLRGGGGGRERKAAAAAVAVQTRPGALHAHRRLHQMMSADLRGRAAAAGAGDEEEEEEEGEDCEGVVVGVEECAERGYTVVNVRCRDRAKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDGGAASASAADRARLRRCLQAAIQRRNTEGVVGLELRCEDRPGLLSDVTRVFREHGLSVTHAEVATWGTRAADVFRVVTAWGDAPVPARAVRAEVGEDILLVIKDDTLAASSANAAGGGPVSPTGRDGRRSLGNMIRSRSEKFLFNLGLIRSCS* >Brasy1G355900.3.p pacid=40059171 transcript=Brasy1G355900.3 locus=Brasy1G355900 ID=Brasy1G355900.3.v1.1 annot-version=v1.1 MDVFHVVDEEGNKLYDGQVIDRIEQSLGAGSLSFRATDAEAAASSPAAAMAQATAIELVGRDRPGLLSEVFAVLSNLRCNIASSEVWTHGGRMAALVRVTDADTGAGIEEDDDPDRLDTVKRLLRHVLRGGGGGRERKAAAAAVAVQTRPGALHAHRRLHQMMSADLRGRAAAAGAGDEEEEEEEGEDCEGVVVGVEECAERGYTVVNVRCRDRAKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDGGAASASAADRARLRRCLQAAIQRRNTEGVVGLELRCEDRPGLLSDVTRVFREHGLSVTHAEVATWGTRAADVFRVVTAWGDAPVPARAVRAEVGEDILLVIKDDTLAASSANAAGGGPVSPTGRDGRRSLGNMIRSRSEKFLFNLGLIRSCS* >Brasy1G089900.1.p pacid=40059172 transcript=Brasy1G089900.1 locus=Brasy1G089900 ID=Brasy1G089900.1.v1.1 annot-version=v1.1 MCGLNGKTDFEQAVCRHTGRLGVLGLAVLVVFHVGWTLPSDNVAGFYTLLVAAVILHCLSWLMSYMVGEDRVDDTTTPEAQQHQQ* >Brasy1G321300.1.p pacid=40059173 transcript=Brasy1G321300.1 locus=Brasy1G321300 ID=Brasy1G321300.1.v1.1 annot-version=v1.1 MASVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD* >Brasy1G032600.1.p pacid=40059174 transcript=Brasy1G032600.1 locus=Brasy1G032600 ID=Brasy1G032600.1.v1.1 annot-version=v1.1 MGKIKMPSLFRRRSRSKSPTSQRQQEEEGLGQDQQQQPCGDGSSPARTAEEEMERVFRKFDANGDGRISRPELAALFESLGHAATDDELARMMAEADADGDGFISLAEFAALNAAAAPGDAEEDLRLAFGVFDADGNGAISAAELARVLHGLGEKATVQQCRRMIEGVDKNGDGLISFEEFKVMMAGGGGFAKIA* >Brasy1G029500.1.p pacid=40059175 transcript=Brasy1G029500.1 locus=Brasy1G029500 ID=Brasy1G029500.1.v1.1 annot-version=v1.1 MASRQLIPTVLLAACAAVLLALAAPSLAGDPDMLQDICVADYKSLKGPLRLNGYPCKRPENVTASDFFSDVLSIPGNTRSNPFGSSVTEANVDKLPGLNTQGVSMERVDYAPWGISPPHTHPRATEILFVLEGSLDVGFVTASSGRLFARTVCKGELFVFPRGLVHYQRNNGGSPAVAVSAFNSQLPGAQSVAEALFAAAPPVPTDVLARALQVDGGLVYAIRSKFPPKQH* >Brasy1G248500.1.p pacid=40059176 transcript=Brasy1G248500.1 locus=Brasy1G248500 ID=Brasy1G248500.1.v1.1 annot-version=v1.1 MASLDWPVQRIRQAFFSRFETWGYTRLSPDPLVSVDDSTPFIETYLDQLNDAVKIDSRRNSSYSLKCISLGSDDGVIDKYCDDSSYHCFTELLGCWASEEQYKESVLRLSWELLTQTYKLPRHKIYIKYFAGNKESCLAPDIESLDIWKKYVAQERILPSTSKADFWRAGDTGPCGPSVGILFDLADSKANPNSVANINDDRFIEIWRFVFVQFNMHQDGVLRPLDVTHVMTGMNVESIASILQKKTSHYDSDAFTHLLGVIQYGAGDGTAKYSGKVGNDDRSRVDTAYRVIADHLRIVSAATSYCAQRGLGTEHREDLLKHADRRAVVFGHKILDTKLRGYAVIVNTALRLSGFYPTQIEAKIASIVEDEVKIYQKIRAKDEQGYVDCNPAMTDSNLHPSLPQRKKEKKNKKDQRGSSSRRRRPREPAQA* >Brasy1G103500.1.p pacid=40059177 transcript=Brasy1G103500.1 locus=Brasy1G103500 ID=Brasy1G103500.1.v1.1 annot-version=v1.1 MNGFFTTLARGMDELSGSGGGGLSSLAALLRAAALLRGLHSQLTMLVGQLHLPPGGRWLDEYMDETARLWDACLALKLGLAAVERYCSAASCAAAALDDWLQDPSLLSTRQVMRAMSASRREAMAAEEENRALSESRIAPLSLRLDELRAADACRLSGGFNGFRGLLYALHNASSLLLLILAGAAVFCSSSSPADGAFPSADAAGGGFMASIAALQKRMAEEESAGAREEGGGIRMHEFRRARAAAEAAREEVERAVAAAGGRKCCGEQLGGAVKDKAEELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH* >Brasy1G458100.1.p pacid=40059178 transcript=Brasy1G458100.1 locus=Brasy1G458100 ID=Brasy1G458100.1.v1.1 annot-version=v1.1 MAGTPSPASSMQQLVAWGACPALNLSHLKERLHGAVAQQVERELLAEAPRFQLIQGDVEGWWRTTMAEEFRAVDEELVGVDVGARALVALVLKDYIVLANRGVSRAVVCRGGVAVQLTASEHYREPEVTAVARDPCDEFLILATAGLWDHVSRPAAACGLVARRLRATARATRPTTPWAARVDNRSSPVVLVEELAEHAGSEGAVSVVVVLFGDFWANPTAAAPVVRRPRRPSTNYGPVQWLV* >Brasy1G485400.1.p pacid=40059179 transcript=Brasy1G485400.1 locus=Brasy1G485400 ID=Brasy1G485400.1.v1.1 annot-version=v1.1 MATMSELLLAKTLTRALFLGPLMAGRLVARHGAAAATALPRSTTATPQHIAHYLAHNPRVTWEALSASFPTAAAAAEAPDRQVVDAVLLSLAKNSSPSSSETIAKNAHSFFHWSAAAAASSPHSLRSYCLIVHLLSRASLISHASVLLQSAITRHSSSPPASSFLDAFFSAYEDSGAAATTRGLHLLVHSYAQLRRPEEALEACRYLARRSVLPSLSAFNAVLHAAQRTGRFRVAWEVFELMTLKRVYANQGTVELVIGVLSREGALARMAALVERIHGKKCTPGIVAHVALVLWIFEQGRTEQGILLLRRMLQRNMVFDDIAYSLIVHAYCRIGDLKSAREQWDDMVRRGCHMNAFVYTCFIGGQCCEGNVNAAMQLLQEMLSIRLKPYDATYGHLITGCFRQGRVEEGSGYFDKMLHEGLVPDIATCNEILEAICEAGDVGKANELVTAMIDKGIIPDQDTYCRLVDGYGKVGDSQGIVKIYHEMEHRGLTNGVEVFTSVIRGLCQCGNPKEAEKFVTVMERKLLAPTSGIHDMLISSYCKKGNTNSALRLYDKMMRRDEKLIPSADTFMMLVRRVIRVRTTYSPDT* >Brasy1G233800.1.p pacid=40059180 transcript=Brasy1G233800.1 locus=Brasy1G233800 ID=Brasy1G233800.1.v1.1 annot-version=v1.1 MATAAAATTSSLSLLFAHPHSHRSPAPQRFDRSHLRLPPRAAPHRARCASDASTTATKPRRPAEENIREEAARLRGPANNFSAWYEPFPPTSDGDPNERYSLDEVVYRSSTGGLLDVRHDMEALARFPGSYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNTNLFWAERLGREYLGGMNDLWIKQCGTSHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRTLGLVDRVPRLVCAQAANANPLYRYYKSGWTDFQSLVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMALADLTGMFACPHTGVALSALFKLRGQGIIGPNDRTVVVSTAHGLKFTQSKIDYHDKNIKDMLCQYANPPVSVKADFGSVMDVLQKKLNVLGS* >Brasy1G435000.1.p pacid=40059181 transcript=Brasy1G435000.1 locus=Brasy1G435000 ID=Brasy1G435000.1.v1.1 annot-version=v1.1 MEVPPEMLDTLAGWFAQTLSPDAAARRAAEQSLSSAASTPGFALALLALASSPRHDLQARLASSVHFKNLLRRRWPKPSPDADDADHLPANDCAIVKTHILQLLLTAPPLIQKQLSEALAAAAATDFPAKWESLLPSIVSSLGTALSAGDVPATNSLLAAAASLFSRFRNVFDNNALRIDLKYCLDSFAAPLLEVFLSTSRRLQASAAAANPLEVRPVFECLRLCCEIFYSLNSVDLPEFFEDHMREWMTEFRAFLTTSYPPPVEADGAPDVLRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPTVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDEDEELFEMNWVEYVRRDSEGSDTDTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRVNNWKEKDAAIYLVITLMQKPGATGGGVPVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFKDQIPKATALALLPSVTRFLTHESNVVHSYAAVFIENLLITKDVVQVPGVNTVTRSPRYVATDINSFAQQIIENLSKALSFPESHENPYLMKCLMRVLGIATIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGQAGEKDPALLPLFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLVSRSSTEESAFYMLNTLVENVGFDIMNPYISEIWSALFTRLQTRQAVKFVNSLVVVMSLVLVKYGPGVLVSSVDTIQPNLFTTILQRFWIPNLKFIKGSLETKLTAVASTKLLCESAVLLDAAAAQSWGKLLDSVVTLLSRTDQNGAQQEQNDGADAVDIQRTSGYSVSFVRLQYAGKSEDDLLKEVSDPKQFLVTSLASLSVQSPGRFGPVIEQHVDPANKSVLLQLCAAYNANIV* >Brasy1G025700.1.p pacid=40059182 transcript=Brasy1G025700.1 locus=Brasy1G025700 ID=Brasy1G025700.1.v1.1 annot-version=v1.1 MVVASSSSSSQQLRTLFAALDKDADGRISASELRACMGATLGEDVPAEEAEALVASADADGDGMLCEEEFVTLAQQASWAGSEDGEEEEERCRVLREAFGMYEMEGQGCITPASLRRMLGRLGSDRPVGECRAMICRFDLDGDGVLSFDEFKVMMS* >Brasy1G438900.1.p pacid=40059183 transcript=Brasy1G438900.1 locus=Brasy1G438900 ID=Brasy1G438900.1.v1.1 annot-version=v1.1 MHPRINTRQRQLTKLPHRPLTQLPDRSIGLSLLRSRAVVIIAMAKEEELKRVELKVNVSCCEGCRRKVMRAMSLKGVLRTEIHPSMERVTVVGNVDAGVLVRKLAKVGKIAEPVSAAAQALPPKRRDADDDGHGGAGNVDKPAMAPAPAGEKISKRKDDDGCKVDTGAVASNKKECSKCAHQQAKRKDGDADGRGKKAASKDFEEGDGFATAGKASSPDHGVAAQQQQYYHRAEPPSMAVPVQYVPAMPSYAAASVQAPPDYYGGGGYYGMPPQAPMMPMPWRPEQQPSRFDVDYFNEDNAVGCRVM* >Brasy1G554800.1.p pacid=40059184 transcript=Brasy1G554800.1 locus=Brasy1G554800 ID=Brasy1G554800.1.v1.1 annot-version=v1.1 MASSYKMKGIFKSFKIISQIFAAKEEQEMEIVSPTDVKHVAHIGWNSSTLPPATNASPSFRMDGSALGISCDFSSLGNLAPSAAAATFWASSQDFEQHQAARDARSSLGLVVSENTAQDAGGAARDMPRTPPAGESPVDSSMSEDSVASASLAPPAAAAAAGDVVGASGRLQ* >Brasy1G296200.1.p pacid=40059185 transcript=Brasy1G296200.1 locus=Brasy1G296200 ID=Brasy1G296200.1.v1.1 annot-version=v1.1 MRAWNYVVTAHKPTAVSHSCVGNFTAPHHLNLIVAKCNHMEIYLLTPQGLQLMVDVPLYGTIATLELFRSRSETQDFLFISMERYRCIVLHWDGRNSELITRSGGDVSDFIGRPTDNGQIGVIDPQNRLIGLSLYDGLFKVIPFDNKGNLKEALNIRLQELLVLDIKFLYGCARPTVVVLHQDNKDSRHVKTYEVALEDKDFVEGSWSQSNLDNSAHLLIPVPLGGVIIIGEHTIVYCSATTFKALSIKQSIIRAVGRVDPDGSRYLYGDNTGALHLIVITHEWGRVTDMKTHYMGETSIASTISYLDSGLVYIGSRFGDSQLIKLNIQADASASFVEILEQYMNTGPIVDFCVVDTEKRGQGQVITCSGAYKDGSIRAVRNGVVITDQASVELRGMKGLWSMKSSLNDPYDTFLVVTFINETHFLAMNMENELEEVDIKGFDSETQTLACGSAIHNQLIQVTSRSVRLVSSVSLELLDQWFAPARFSVNIAAANANQVLLATGNCHLVYLQITSSKIVPVKHIQLEHEISCLDINPIGENPQYSSLAAVGMWTDISVRIFSLPGLKLIRKEHLGEVVPRSVLLCTIEAVTYLFCGLGDGHLFSFVLNSSTCELSDRRRVSLGAQPISLHIFSSQNRTHVFAASDRPAVIYSSDQKLLYSYANLKEVNHVCPFNTAVFPESIVLAKESELSIGEINDIRQLHIRTIPLKEQARRICHQEQSRTLALCSFKPKYIHAESGTHFVRLLDYQTFWVLSTHTLDEFECGCSIVSCSFSDDDNFYYCVGTAYILPYEIEPTKGRILIFLVEERKLRLVAERETKGAVYSLNALTGKLLAAVNQKIIVYKWVQRDNRHQLQSECSYRGCVLALHTQTRGDFIVVGDMVRSVSLLRYKHEEGLIEVVRRGFNTNWITAVAMLDDDIYIGADNCCNLFTLHSGRPGVVGEYHLGDLVNRMHHGSLVMHHTDSEIGQIPTVIFGTISGAIGVIASFPYDQYVFLEKLQSVLVKFIKSVGNLSHVEWRSFYNVSRTAEARNFVDGDLIESFLSLSPSKMEEVSQVMGLRADELCKIVEELTKLH* >Brasy1G359100.1.p pacid=40059186 transcript=Brasy1G359100.1 locus=Brasy1G359100 ID=Brasy1G359100.1.v1.1 annot-version=v1.1 MASSLLRASPLSLLSRLKPLNRRPPASHLRRLLLLSGSTSASSFSCSPPTLRTLATDAATTADEAALTAAEAKMERMQPLQWPRRDALCGELGAGDAGRRVRLCGWVALRRTHAGLTFLTLRDSSGMLQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRPRPTEAINTEMKTGAIEVVADHILVLNSVTRSLPFPVTTADTVKEKFPEEVRLRFRVLDLRRPQMQSNLRLRHKVIKHARRYLEDRHDFVEIETPILSKSTPEGARDYLVPSRVQPGTCFALPQSPQLFKQMLMASGFEKYFQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMIHIFQEVGDIKLPKCFPRLTYTEAMNRYGTDRPDLRFDWELKDASDVFLGSSFKVFADTLENGGVIKALSVPGGAKVFSNTDLKKGTVYAEASKAGAKGLPFLKVMDNGELEGIGPLVSTLKPEKKEQLLELLDAKAGDLILFALGEQSTANQILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLACQSSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGLRAS* >Brasy1G146600.1.p pacid=40059187 transcript=Brasy1G146600.1 locus=Brasy1G146600 ID=Brasy1G146600.1.v1.1 annot-version=v1.1 MIVVFLCYIAAQVICTYQIGCSVLLPKSAMANSSTCSPTAKLKWTMALLVVLVRMIRVHGQPGFVSIDCGFTNSNAYNDSSTGLQFDPDAGFEGGLSHKISAESMADSDEHQKTLRSFPDGSRNCYTLPSTTGKKYLVRATFTYGNYDGLNKSRDGSLFLFGLHIGVNFWDAVNFTNWGVPIWKEVLTVAPSNNISVCLINFGSGTPFISTLELRPLQDAMYPFVNTSVSISYFSRKRFGNVTTGFITRYPSDPYDRFWESWLIQGPPWISLDTSNTVRRLPGDNAFQVPEDIMRKATTIEANKSFFAVDVAVGVNLDPKNLQLLPIFHFAEINSSNPNRRFDIYSANDLLFPDFSPSRFQVDSMQENGRFLHNPKATFLLNKTSRSSLPPLINAFELYSLVRMDNLTTDSDDVNYMKEVKKHYNLARINWNGDPCSPREYTWEGLTCDYSKSNQNPRIVRVDLSKSGLQGALAISFLNMVSLENLDLSHNNLTGTIPDYPLKSLKVLDLSNNQLDGPIPNSILQRSQAGLLDLRLQGNPVCSKVKDTYCSNKKKNTTQTLLIAVIVPVVLVCFLVVMGILWKLCWKGKSGDHEDYAMYEEETPLHIDIRRFTYAELKLITNDFQTIVGKGGFGTVYHGILETGDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLVGYCQNKKCLALVYDFMPRGNLQQLLKGGDEYSLNWEQRLHIALDSAQGLEYLHESCTPSIVHRDVKTANILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITAQSPVLMDPQTIHLPNWVRKKIAKGSVRDIVDKRLMDQYDVSSLESVVDLALNCVENAAIDRPTMTEVVSRLKVWLPSVSSEKQSISGTPHRKNYMDSDIPKQFQLMLSGESHEGSSFQSGNTSRMSEMSLFSGR* >Brasy1G084300.1.p pacid=40059188 transcript=Brasy1G084300.1 locus=Brasy1G084300 ID=Brasy1G084300.1.v1.1 annot-version=v1.1 MAEPPPAGIDPRSGFCAATRTFHSLRSAGALPPESLPVTAAAYAFSLLSSPLPDRPALVDAATGIAVSYPSFLSAVRSLAGGLWSSLGLRPGHVVLVVAPSRLEVPVLHFALMSIGAVVSPANPASTAEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDAYKRLASAGLDAAPPPVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLIALICAHSENRETVAADAIKAGEEPPPPAVTLVPLPLFHVFGFMMILRSVSMGETAVLMERFDFGAALRAIERYRVTLLPAAPPLLVAMAKSEEARRRDLSSLLVIGVGGAPLGREVAERFAAVFPDVQIVQGYGLTESSGSVASTVGPEESMAYGSVGKLASHLQAKIVDPSTGEALGPGQRGELWIRGPVVMKGYVGDDKATAETVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEDVGQLPMAFIVRQPGSNLTEQQVMDYVAKQVAPYKKVRRVAFVTSIPKSPAGKILRRELVQQAVSMGASKL* >Brasy1G094000.1.p pacid=40059189 transcript=Brasy1G094000.1 locus=Brasy1G094000 ID=Brasy1G094000.1.v1.1 annot-version=v1.1 MAHGCHPWRSFFCNYQELLQLPVAGCSSTSFVICAWWYIFLYSCPKDSAPFF* >Brasy1G149800.1.p pacid=40059190 transcript=Brasy1G149800.1 locus=Brasy1G149800 ID=Brasy1G149800.1.v1.1 annot-version=v1.1 MTLPLLCSCNVLLLIVTGIFQQYWVHQVTKVRLQGYYELSQKLKRIALLPFVTISCGASLMLLILVWQPHVEILAISVLLRISLVIEVICAECFTSLYIGYIHKFNSLNEQPDILKPLYSALQPSSSLEELRYYDSRLSDQQMALLQYLRENIHYLSEEVLRLQECSRKYHTSVASSTPQVDLAHLLASRDQELRALSAEMNQVHLELRLARGLIAEKDSEIQHIRVNNNQYIEENERLRAILGEWSVRAAMLERALEAETVSNMQLRKNHAKLRGQSTKEKIRDIPQSENSSIDVF* >Brasy1G181900.1.p pacid=40059191 transcript=Brasy1G181900.1 locus=Brasy1G181900 ID=Brasy1G181900.1.v1.1 annot-version=v1.1 MICPRVSWGCLLLLLCFAWMGDAEYMKYKDPKQPVNTRIKDLIRRMTLAEKIGQMTQIERSVASADVMKKYFIGSILSGGGSVPAPQATPAIWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHKIVQQMTDIIIGLQGEIPVNHTKGVPYIAGKDKVVACAKHFVGDGGTHNGVNENNTIVDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGAKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPGANYTYSVQAGINAGIDMVMVPYNYTEYIDDATSLVNKHIISMSRIDDAVSRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNTPNQQLLPLPKKARRILVAGSHASNLGYQCGGWSIQWMGGSGDITAGTTILDAIKSTVGDTPVVYSENPDNSFMKTNDFSFAIVVVGETPYAETVGDDTDLTILDPGPDTIRTVCSTVKCAVVIISGRPVVIEPYLPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDPHYDPLFPFGFGLTINSSQPGFSGADSPRDKTKITVYAVLSLLLSMILRYI* >Brasy1G287000.1.p pacid=40059192 transcript=Brasy1G287000.1 locus=Brasy1G287000 ID=Brasy1G287000.1.v1.1 annot-version=v1.1 MASLHFSPLLHAAPPLSPASTSSSPALLGARSPPSHANARLHTVAHAEELRFHAAALQDCAVRRTLRRGQELHARLLRSALHPDTFLLDSLLNMYCKCGLLVDARRVFDGMPHRDVVAWTAMISAHTAAGDSDQALDLFARMNQEGIAPNGFALASVLKACSGGSHSKFTHQVHGQVVKLHGLDDPYVGSSLVEAYTSCGELDASETVLLGLPERSDVSWNALLNGYARHGDYRRVMIIIEKLVASGDEISKYTLPTVLKCCMELGLAKYGQAVHASVIKRGLETDDVLNSCLVEMYSRCLSAEEAYEVFIRIDEPDVVHCSAMISCFDRHDMAWEAFDLFVKMSGMGVKPNHYIFVGIAGVASRTGDANLCRSVHAYIVKSGFAMLKGVGDAILNMYVKVRAVQDATVTFDLMHEPDTFSWNTILSGFYSGSNCEQGLRIFKQMACEGFSANKYTYVSVLRCCTSLMNLRFGTQVHACILKSGLQNDTDVSRMLVDMYAQSGCFTSACLVFEQLKVRDAFSWTVIMSGYAKMEEAEKVVEYFRSMLRENIRPSDATLAVSLSVCSDMASLGSGLQLHSWAIKSGWNSSVVSGALVYMYVKCGNIADAEMLFHESETRDQVAWNTIICGYSQHGHGYKALDAFKQMVDEGKRPDGITFVGVLSACSHAGLLDEGRKYFKSLSSIYGITPSMEHYACMVDILSKAGRLVEAESLINQMPLAPDSSIWRTILGACRIHRNIEIAERAAERLFELEPHDASSSILLSNMYADLGRWSDVTRVRNILLNHGVKKEPGCSWIEINGQIHMFLSQDGCPKY* >Brasy1G513400.1.p pacid=40059193 transcript=Brasy1G513400.1 locus=Brasy1G513400 ID=Brasy1G513400.1.v1.1 annot-version=v1.1 MSKACPGSTWNENIFLWRQQIINNIPIHNRELSGYLITLFMSTWDDGKVNLPFLKDGYELRKRILGKLLTFKKNECEVNMPAGVLDIINCIRNIQSNMNVKI* >Brasy1G012700.1.p pacid=40059194 transcript=Brasy1G012700.1 locus=Brasy1G012700 ID=Brasy1G012700.1.v1.1 annot-version=v1.1 MGLLSATASGRSTLWRNALYGAYEYGPPWPPLSGSSKPTLLIRVSLKAKPMATAAAGAAAAGARRRPRRGGSKGPNSDLSRTLTDCTRRGDAAAAMAAFDSSVSSAGPAGDDLRLAAHQYNQLLHLLASADRSSFPGGRPAVAASARRVFAHMLEATTAAPAEATITSLARVIASDPGGGDEAFELVATMRERYGLAPRLRSYGPVLAAFRRAGDAGKAYAVEAHMAASAVSPEEPELAALLDVSAKAGDADKVYEYMHKLRRAVGCVSLETAEVLEGWFQSEKAAMAGKAEWDAQQVKDAIAANGGGCHQLGWLQNGPWVVQRVTVGAEGECGGCRCRLASVDIDMEETQTFADSVSGLALERETKANFSRFQEWLEAHKEYEAIVDGANIALYQQNFADGGFSLVQLDAVVTELRDRYSGKWPLVILHNKRIAKLMENPSDRHLIETWRANGALYTSPSGSNDDWYWLYAAIGLNCLLVTNDEMRDHIFELLGSSFFHKWKQRHRVKYTFNKGKAVLLMPPPYSSEIQESDIGSWHVPIEEKSGDERIRSWLCIGRMGLSKQTREVAQDVSPSEMSKGAEHSGPQVQAAAVTGKRKDRD* >Brasy1G378600.1.p pacid=40059195 transcript=Brasy1G378600.1 locus=Brasy1G378600 ID=Brasy1G378600.1.v1.1 annot-version=v1.1 MAQEQQEQQEYSEEVIIVGAGPSGLAAAASLSVRGVPSLILERDNCVASLWRNRTYDRVRLHLAKHYCALPHAPHPADAPTYLPRADFIRYLDGYAARFGVRAALGREVRAARFDAARGLWAVDALDLATGKSERYVARHLVAAAGENDQKVLPEGLPGMEGFPGTVMHAGEYRNGKGFEGKRVLVVGSGNSGMEIAYDLAVAGAAASVVVRSELHLVTKEIWNVAMTLYRYLPVWLIDRIVLLMCAIVLGDTSRYGLRRPAIGPFSMKLQTPAYPVVDVGTYAKIKTGEIKVLPAMKSVDGDVVEFADGKRHPFDAIVFATGYRSTTKQWLKDDGLIGEDGMARRSYPGHWKGENGLYCAGMVRRGIYGSYEDAEFIAEDISNKKKHQSKPDDHEKCS* >Brasy1G225900.1.p pacid=40059196 transcript=Brasy1G225900.1 locus=Brasy1G225900 ID=Brasy1G225900.1.v1.1 annot-version=v1.1 MDIFGWASNGQHEDVYLQPRPAAGGQLMSSSCARFDMDDAAFFGQFQCADGAVGTGEAGGGTTACQVSSRGYGVAAGDPMGFLSSAAGGDVFTSVHGAGAGAHDGLLDAALAFSRVLSCGETGGDPGAGVSNGAMFSSGGHSGTTTVCNISSGDSNNNYSGSAGGCHDNNEVASPTSTILSPTSLLPNSKTTCPPKRKLPDVVGYPAATTLLTPPQPQPLPRPGPKRRALATTTSITFGHHVTNNNAGGYEPDVEAMAQVKEMIYRAAAMRPVSLVTAEAAGASASAKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLRSQVQALETLDPNTTGNAMATGTGRLQQGFYSSSSNSNTTNGFLGFARNNNISAFGNPNNGNATNNSLL* >Brasy1G225900.2.p pacid=40059197 transcript=Brasy1G225900.2 locus=Brasy1G225900 ID=Brasy1G225900.2.v1.1 annot-version=v1.1 MDIFGWASNGQHEDVYLQPRPAAGGQLMSSSCARFDMDDAAFFGQFQCADGAVGTGEAGGGTTACQVSSRGYGVAAGDPMGFLSSAAGGDVFTSVHGAGAGAHDGLLDAALAFSRVLSCGETGGDPGAGVSNGAMFSSGGHSGTTTVCNISSGDSNNNYSGSAGGCHDNNEVASPTSTILSPTSLLPNSKTTCPPKRKLPDVVGYPAATTLLTPPQPQPLPRPGPKRRALATTTSITFGHHVTNNNAGGYEPDVEAMAQVKEMIYRAAAMRPVSLVTAEAAGASASAKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLRSQVQALETLDPNTTGNAMATGTGRLQQGFYSSSSNSNTTNGFLGFARNNNISAFGNPNNGNATNNSLL* >Brasy1G086200.1.p pacid=40059198 transcript=Brasy1G086200.1 locus=Brasy1G086200 ID=Brasy1G086200.1.v1.1 annot-version=v1.1 MPLSAAATEAAIKPIPRALSITAAAAAAVTTSLLLISAVVSRARSDPPSPPPSTSASTTAALPPAPEPSSPLLPDHPHPPPPPVPPCPPNASHFTPCHEPPPSGERHCPPPPPPPHPPHSPEDPPPQPPHPPPPPPHCRVPPPPGYHPPPPWPARRERARYANVDLPLLTAAKTAPSGSLDPVRARGEWLVFPKGVGTYVEQLERVVPLRGGAVRTTLDVGCGVASFGDYLLSYGILTMSIAPRDRHDAQVQFSLERGLPAMIGVLGAHRLPYPSRSFDMVHCAACHVPWTAHDGRYMLEVDRLLRPGGYWVVSSPPISWKAPYKHLNRTTENIDDEQSAMEDIAKKLCWKKVSNKGSIAVWRKPANHLHCPQEANFLRSLPFCTGDNPDSAWYVNISTCITHLPRVELDSDIAGGAVDRWPQRLAAVPPRIAKGEIKGASVQAYKHDNSIWKRRVGLYGKYLEDLSHRSYRNVMDMNAGFGGFAAAMSKYPVWVMNVVPANITDNTLGIIYERGLIGTYMDWCEAFSTYPRTYDLIHANGVFSLYIDKCGLLDILLEMDRILRPGGAAIIRDAANVVLKVKEAADRLQWRSLVVDAETETSNPQKLLIMDNSLPPQGS* >Brasy1G538000.1.p pacid=40059199 transcript=Brasy1G538000.1 locus=Brasy1G538000 ID=Brasy1G538000.1.v1.1 annot-version=v1.1 MAMASGDRPGGGDQGEASSAWKLRIGSSDLQPRLPETAERLHRRPPFFSRVFGAAYSCASSNGKQRKIAKYYEKQESLLKDFSEMESMNEFGCLDQTTAPTEDELRQLAKSERLAINLSNAINLVLFVGKVVASIETVSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIVVFASVMGCLGFQVLIESGRELITQEHQEFDARKELWMVGSMSSVAVVKLFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVCALLAVRFKWWMDPVGAILIALYTITTWARTVLENVGALIGRTAPAEYLTKLTYLVWNHREEIRHIDTVRAYTFGTHYFVEVDVVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKADV* >Brasy1G344600.1.p pacid=40059200 transcript=Brasy1G344600.1 locus=Brasy1G344600 ID=Brasy1G344600.1.v1.1 annot-version=v1.1 MDSPARAQSLRYHPLFVILATLSLLQTVTSQDEQQAADRVSFLPGQPRSPQVSQFSGYITVERHSGRALFYWFFEAQKLPSQKPLLLWLNGGPGCSSVGFGAASELGPLMINGSGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDKLDDRIVAEDTYTFLVSWFKRFPQYKNHEFYISGESYAGHYVPQLAEVVYERNKHLETNQRINLKGFIVGNAETNDYYDYKGLVEFAWSHSVISDLLYERVNSVCDFRLSSWTKECKHVMALVYTQYDKIDIYNVYAPKCNTEESAQLSNSNSTPELNAKKRLRRMRIYSGYNPCYSTYIEDYMNRMDVQKSLHANISGWIKDRRWSVCSYSIFDNYDNSVFSVLPIYSKLVKAGLRIWVYSGDVDGRVPFIGSRYCVEALGLPVKSQWQPWYLSNQVAGRFVEYEGLTMATVRGAGHAVPQDKPAESLVLIGSFLAGRQLPTGNN* >Brasy1G163600.1.p pacid=40059201 transcript=Brasy1G163600.1 locus=Brasy1G163600 ID=Brasy1G163600.1.v1.1 annot-version=v1.1 MEAPPAHVLVFPWPLQGHINCMLDLAAALLAASVRVSFLHTDHNLRRLAQGSRSSTQLRLVSIPDGLPEDHPRSVRHLKELSDAMLTTGRAAYRALLLNLKELGQLTCVIADGIMPFAVDVAEELGVPALAFRTASACSYLAYLSVPRLLELREFPSPSDDPVRAVPGMEAFLRRRDLPRGVLAPPHGDGVEFEFDPMLLTIADGIARAGNARALILNTAASMEGTALDRIAPHMRDLFAVGPLHATNGIGNADANASGNGSNDAGCVAWLDAQGDRSVVYVSMGSLAVITREQFTEFLYDLVAAGHAFLWVLRPDMVLQSTSSPFSVADAVMAAAAAAGGCKAHVVEWAPQRAVLRHRAVGCFLTHRGWNSTLEAAAEGVPMVCWPFFADQQINSRFVGAVWRTGVDIKDVCDRAVVEREVREAMESAEIRGRAQAMAQQLGFDVAPGGSSSSERDRLVAFIRDLSLPCGVSSQDEPTTSETCWDN* >Brasy1G011300.1.p pacid=40059202 transcript=Brasy1G011300.1 locus=Brasy1G011300 ID=Brasy1G011300.1.v1.1 annot-version=v1.1 MAEDTETRPASAGAEEREEGEIADDDSSAALAQANGPHPLEHAWTFWFDNPQGKSRNAAWGSTIHPIHTFSTVEDFWSLYNNIHQPSKLNVGSDFHCFKNKIEPKWEDPICANGGKWTISCGKGKSDTFWLHTLLALIGEQFDYGDEICGAVVSIRGKQERVAIWTKNAGNEAAQISIGKQWKEFLDYKDSIGFIVHDDAKDNKAPKNRYTV* >Brasy1G190300.1.p pacid=40059203 transcript=Brasy1G190300.1 locus=Brasy1G190300 ID=Brasy1G190300.1.v1.1 annot-version=v1.1 MAASATGAGKSLLQTFRKFFKKPWEITGPCSSPEYRSALPGALEYRQTCPATLRENSPRAVIPTSDPETVFDIKYYTRDGRRNRPPVRRTLLRKPDLERYMAAKQFDPAKDFPAPYVKTAVEEDCDAVGGGYTK* >Brasy1G550000.1.p pacid=40059204 transcript=Brasy1G550000.1 locus=Brasy1G550000 ID=Brasy1G550000.1.v1.1 annot-version=v1.1 MAVVNTSWALQLLPQLLLLLLLLVAGNEAITFTIVNRCSYTVWPAAVPVGGGRRLDPGQVWSLDVPAGTMSGRIWARTGCSFDGTGNGSCQTGGCGGVLACTAFGQPPVTLAELTIGIGQTSDYFDISVIDGFNVPMEFLPVPVKGEQGCSKGPRCAANITSQCPSEMKAPGGCNNLCTGNGLYRLHYSGFFKRMCHSAAFTCPTGTNYQIVFCPLINLAVPPAPVGPRRTKPITINRVVVILATVGGFLLLTVLSITIFYICKRRTRRRQEMEEEFGELQGMPMRFTFQQLKVATEKFKDKLGEGGFGSVFNGQFGEERIAVKRLDLAGQGKREFSAEVQTIGSIHHINLALGI* >Brasy1G134800.1.p pacid=40059205 transcript=Brasy1G134800.1 locus=Brasy1G134800 ID=Brasy1G134800.1.v1.1 annot-version=v1.1 MAAPGLDEVMAFLTEHGFASTASALRDDVLDRTADEESHSAATLGPQLPPLRLPASASGGAGGGVALAAPASPGSSSNSASSSAFVSMSSSPSGLLNPYGLWSPPHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFHSKPDDDGRDKEEDKFVHGGSGRTDMFVLNADDDRRHEHAGNNTCEGCAEVYTCSSPLCGCCGGELKNEEGLQEVSNPSSVVYGRYTVMDDQTEILDECGLDLFQLKQSVDSVLECDLSRDPGQGDEHLELNVAEKELQMLSSFHSYGDDDIVANPGFIPHVTDNIKLHDSTENNLENSSDKEYLKESYSLHPFPESGDPDDPYEFGDIGPLNTGVKSSTALIAEKEDPESNIDLALSNFQREYEVFELRIVHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQMGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQEFGGEVYFTLPRIQVIARQCLEALVYLHHLRIVHCDLKPENILIKSYRRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELCTGEVLFPNEPLPMMLARMIGIIGPIDMEMLALGQEAQKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCHDAEFVDFLSYLLQINPRKRPTASEALQHHWLSSEY* >Brasy1G134800.2.p pacid=40059206 transcript=Brasy1G134800.2 locus=Brasy1G134800 ID=Brasy1G134800.2.v1.1 annot-version=v1.1 MAAPGLDEVMAFLTEHGFASTASALRDDVLDRTADEESHSAATLGPQLPPLRLPASASGGAGGGVALAAPASPGSSSNSASSSAFVSMSSSPSGLLNPYGLWSPPHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFHSKPDDDGRDKEEDKFVHGGSGRTDMFVLNADDDRRHEHAGNNTCEGCAEVYTCSSPLCGCCGGELKNEEGLQEVSNPSSVVYGRYTVMDDQTEILDECGLDLFQLKQSVDSVLECDLSRDPGQGDEHLELNVAEKELQMLSSFHSYGDDDIVARFIPHVTDNIKLHDSTENNLENSSDKEYLKESYSLHPFPESGDPDDPYEFGDIGPLNTGVKSSTALIAEKEDPESNIDLALSNFQREYEVFELRIVHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQMGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQEFGGEVYFTLPRIQVIARQCLEALVYLHHLRIVHCDLKPENILIKSYRRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELCTGEVLFPNEPLPMMLARMIGIIGPIDMEMLALGQEAQKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCHDAEFVDFLSYLLQINPRKRPTASEALQHHWLSSEY* >Brasy1G134800.3.p pacid=40059207 transcript=Brasy1G134800.3 locus=Brasy1G134800 ID=Brasy1G134800.3.v1.1 annot-version=v1.1 MAAPGLDEVMAFLTEHGFASTASALRDDVLDRTADEESHSAATLGPQLPPLRLPASASGGAGGGVALAAPASPGSSSNSASSSAFVSMSSSPSGLLNPYGLWSPPHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFHSKPDDDGRDKEEDKFVHGGSGRTDMFVLNADDDRRHEHAGNNTCEGCAEVYTCSSPLCGCCGGELKNEEGLQELKQSVDSVLECDLSRDPGQGDEHLELNVAEKELQMLSSFHSYGDDDIVANPGFIPHVTDNIKLHDSTENNLENSSDKEYLKESYSLHPFPESGDPDDPYEFGDIGPLNTGVKSSTALIAEKEDPESNIDLALSNFQREYEVFELRIVHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQMGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQEFGGEVYFTLPRIQVIARQCLEALVYLHHLRIVHCDLKPENILIKSYRRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELCTGEVLFPNEPLPMMLARMIGIIGPIDMEMLALGQEAQKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCHDAEFVDFLSYLLQINPRKRPTASEALQHHWLSSEY* >Brasy1G134800.4.p pacid=40059208 transcript=Brasy1G134800.4 locus=Brasy1G134800 ID=Brasy1G134800.4.v1.1 annot-version=v1.1 MLPVTKFSLSGRTICAPNLRILCIPKEFVVFCLNFDPEFDGVGLLNPYGLWSPPHSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFHSKPDDDGRDKEEDKFVHGGSGRTDMFVLNADDDRRHEHAGNNTCEGCAEVYTCSSPLCGCCGGELKNEEGLQEVSNPSSVVYGRYTVMDDQTEILDECGLDLFQLKQSVDSVLECDLSRDPGQGDEHLELNVAEKELQMLSSFHSYGDDDIVANPGFIPHVTDNIKLHDSTENNLENSSDKEYLKESYSLHPFPESGDPDDPYEFGDIGPLNTGVKSSTALIAEKEDPESNIDLALSNFQREYEVFELRIVHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQMGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQEFGGEVYFTLPRIQVIARQCLEALVYLHHLRIVHCDLKPENILIKSYRRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELCTGEVLFPNEPLPMMLARMIGIIGPIDMEMLALGQEAQKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCHDAEFVDFLSYLLQINPRKRPTASEALQHHWLSSEY* >Brasy1G569100.1.p pacid=40059209 transcript=Brasy1G569100.1 locus=Brasy1G569100 ID=Brasy1G569100.1.v1.1 annot-version=v1.1 MFPIDLSNQSFSKKSTWLNRMLGLMKKVRPVLLKIVKDHLPKYLAKLDSENSKIPLSEILTNPCSYQSTSISIATPISPMLLSSMNQALIRLDEISHQAVVAMNRKLRERSRVPKFSMTDHGASENGYRASTKSRIVDLVGRRCLSMTPKLYEGKDLPNRVAKALSVMNLDRKLTLRGMDISQTDKMSS* >Brasy1G569100.2.p pacid=40059210 transcript=Brasy1G569100.2 locus=Brasy1G569100 ID=Brasy1G569100.2.v1.1 annot-version=v1.1 MFPIDLSNQSFSKKSTWLNRMLGLMKKVRPVLLKIVKDHLPKYLAKLDSENSKIPLSEILTNPCSYQSTSISIATPISPMLLSSMNQALIRLDEISHQAVVAMNRKLRERSRVPKFSMTDHGASENGYRASTKSRIVDLVGRRCLSMTPKLYEGKDLPNRVAKALSVMNLDRKLTLRGDGNDCCSSL* >Brasy1G569100.4.p pacid=40059211 transcript=Brasy1G569100.4 locus=Brasy1G569100 ID=Brasy1G569100.4.v1.1 annot-version=v1.1 MLGLMKKVRPVLLKIVKDHLPKYLAKLDSENSKIPLSEILTNPCSYQSTSISIATPISPMLLSSMNQALIRLDEISHQAVVAMNRKLRERSRVPKFSMTDHGASENGYRASTKSRIVDLVGRRCLSMTPKLYEGKDLPNRVAKALSVMNLDRKLTLRGMDISQTDKMSS* >Brasy1G569100.3.p pacid=40059212 transcript=Brasy1G569100.3 locus=Brasy1G569100 ID=Brasy1G569100.3.v1.1 annot-version=v1.1 MLGLMKKVRPVLLKIVKDHLPKYLAKLDSENSKIPLSEILTNPCSYQSTSISIATPISPMLLSSMNQALIRLDEISHQAVVAMNRKLRERSRVPKFSMTDHGASENGYRASTKSRIVDLVGRRCLSMTPKLYEGKDLPNRVAKALSVMNLDRKLTLRGDGNDCCSSL* >Brasy1G076200.1.p pacid=40059213 transcript=Brasy1G076200.1 locus=Brasy1G076200 ID=Brasy1G076200.1.v1.1 annot-version=v1.1 MEAQDDCCNKWRQIPAFGDWNLWDDDLPVAQYFEPGTFFFTAQAGEDDVDLFKVPHFAATPYSYSKCVVRVKGEEKADLAAAPARKKKGGGRKKKQQQQQQRANEQQQRRKPKVAAAVDEDLYKISPNVICKVQKKKLLRNLLGGCLGLNCIA* >Brasy1G015100.1.p pacid=40059214 transcript=Brasy1G015100.1 locus=Brasy1G015100 ID=Brasy1G015100.1.v1.1 annot-version=v1.1 MGPKRVWTHAVSEYPVSTDLGAERMGMRGKRSRRFARRRLPSPSGELSLRFVVSPVLPPLYGPRGVGVIVWLLNLDGDGAASGAQPSNRLRGKLEADPQGRRRGYGRRARVCSDPQALVSTRSASSDEEARTKCLRSRVRAVELPCGLTLGSPHRVAMCRGERSSGFCICARRRRSLSGPCSEFLRPRSTPTAALVRLITRLIFCSLLFPGADVSWCGSRGGRLLFDFPHIWSMAEYARVRLVYCLALVGDLSASLDEEERSKFLHPVNPFT* >Brasy1G401400.1.p pacid=40059215 transcript=Brasy1G401400.1 locus=Brasy1G401400 ID=Brasy1G401400.1.v1.1 annot-version=v1.1 MSSVQLSGAGVAAVAFTSNVPRLSPTSALRVSPSRRSFRSLVVKAATVVTPKYTSLKPLGDRVLVKLSAAEEKTIGGILLPSSAQTKPQGGEIVAVGAGRTIGDKKVEVSIPTGSQVVYSKYAGTEVEFDGSKHLIMKEDDIIGILETDDVKDMKPLNDRVLIKVAEASDKTAGGLILTETTKEKPSIGTVVAVGPGPLDEEGKRQPLAVSAGSTVMYSKYAGGEFKGADGTNYIVLKASDVMAELS* >Brasy1G310800.1.p pacid=40059216 transcript=Brasy1G310800.1 locus=Brasy1G310800 ID=Brasy1G310800.1.v1.1 annot-version=v1.1 MAGRANIPANSSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPIPAILEIPSKDHPYDPANDSVLSRVKYLFSADSVASDRR* >Brasy1G264400.1.p pacid=40059217 transcript=Brasy1G264400.1 locus=Brasy1G264400 ID=Brasy1G264400.1.v1.1 annot-version=v1.1 MHQSKWLVAGSFAFAALWKHDAEIMWALMGAVVNTILSSILKQMFNHERPAPALRSDPGMPSSHAQSIFYAATFLVLSLFYSLGINYRAIIIGAATIASASYLSWLRVSQRLHTLNQILVGATVGSAFGALWLVLWHLHVQEAFASSLWVQVTVILGSVAFCIGFIIYIIHHWLKVELPLQ* >Brasy1G414800.1.p pacid=40059218 transcript=Brasy1G414800.1 locus=Brasy1G414800 ID=Brasy1G414800.1.v1.1 annot-version=v1.1 MFICLCPGSGGVPKRMECHRPSMRTCSPPVGVHMRTRVTAVCASAAARSPARVRSDPLADAVVLSVPTGAPDVCGRKKNAGLTR* >Brasy1G000700.1.p pacid=40059219 transcript=Brasy1G000700.1 locus=Brasy1G000700 ID=Brasy1G000700.1.v1.1 annot-version=v1.1 MAAEWNDENTRILIELFVIQVQAGNQPSTHLTPNAFEDVAKDFKTRTSLEFTKAQLKNKWDKFKIDYNIFKKLKQRETGAGWDIERNPVKQDAEWWRKAKKDIPGCGKFKKFGLRNEDSLHILFEDITSDGTDHWNPASGSLPKSSEAAATILNVDAIEDLDLDETEEEAPPSAGKEKRLGRWIDDKNKKRKTCQVMQEQITRIGDLAERTQTSMESFIKEDDNTSVKYVMTKVVACGAAEGSDEYFIATELFVKREQREMFMQMSESTRFDWLRRKFSIKYGG* >Brasy1G535700.1.p pacid=40059220 transcript=Brasy1G535700.1 locus=Brasy1G535700 ID=Brasy1G535700.1.v1.1 annot-version=v1.1 MVISAEPQQRPSDPAVAGGGGAAAAEEGDAARARRAPLSSSLKTWGSHRVLRCAPVNRAGDAISPAASRRSSPEELGAVREKLLLSLRFVAAHGGHGGCGCSSAAAADAEALSAEAEAPPPPWKLRTRRRRPAMAPAAAAASASPSSGRRAVRADALDRPRFSATLTADEIEEDVYALTGARPRRRPRRRPRAVQKQLDTLFPGAWLSEITVDSYRVPDDR* >Brasy1G371400.1.p pacid=40059221 transcript=Brasy1G371400.1 locus=Brasy1G371400 ID=Brasy1G371400.1.v1.1 annot-version=v1.1 MADVRRSFYQNYVQCHHGRTSNLPKIRNAAACKTARYFVTARDSSISSHREPRASTAACLPLARAPSRACLRPCPRLAQHRLLPSFRAGSGRGEMPRSHPPPAKARAPSTAATFPQHAWSPINRLTSDDHPATRTRRDFFTTEQQEHAAIAKSHPPPPPFLDSAKVDFLPPISGSNMLEVSLDFLTGRPPSKLT* >Brasy1G160700.1.p pacid=40059222 transcript=Brasy1G160700.1 locus=Brasy1G160700 ID=Brasy1G160700.1.v1.1 annot-version=v1.1 MAQGMRCIAVACVVLAWASAASAFVFKAGGTGEWRVPSANVGAYNTWAEHTRFRVGDAIAFTYQPGSDSVLIVDKKSYDACDTGSPVDRFDDGNTVFTFTKSGPFYFISGNKDSCNRGEKLVVVVMGPRAATNNGTSAHDAAGLAPSPADTNGQFSPPSPPPPFGIEIAPSPTEPNGAAAAAAAKGAHGIAGTAALVIGTLFYALV* >Brasy1G243600.1.p pacid=40059223 transcript=Brasy1G243600.1 locus=Brasy1G243600 ID=Brasy1G243600.1.v1.1 annot-version=v1.1 MHDIGTVLHVLSSSISSAASTSTLENSAEQNFQTAVQNKEAAVDSPIQSSSSSTSVPPRHFPEMMAYQMGCLRALFEPDQDVASKLFHQSPEALKNHYARDVQMAYASGRGDNIHSFLGTGINSRNSVFGSILHRIKYPGNDPMRLIHVKGHICDLSVHPVGSRFITKKLDIATTGEVVMLYSEMAPRVSTLVTDVFANSVIMKLLDYGPETYRSNLIRNLTGHVLALSLHQYGRQVMEKAFEIGDIDQQMEMAMELNRNLLKCVCDEHANHVIQKCMECVPPQYIEFIYRSLRGKAKILSSHQYGYNVVQKVLQFSKDPQILYPFVMEILECVNELSTHEFGNYVVQYIVQHGGPGDRQIVVQEIMGQIVHLIRQEYSSNVIEKLLIYGSYHDRRIIITEILYTGAGDTEEHILGMMVNQYANNVVRVMINVADVWQRNMVVGVAKRNASTLARYIHGRRLMAQVDNLVSTRAVFVAAPPRLA* >Brasy1G177100.1.p pacid=40059224 transcript=Brasy1G177100.1 locus=Brasy1G177100 ID=Brasy1G177100.1.v1.1 annot-version=v1.1 MAFAGVSLIVDGKLCPYTESPVDAHSDSGYHLLVVHGYNRTTKDTPNGQCIKSRPFIVGGHRWILSLYPNGEPKSKKKDYMTVLHSLCDDKDVLPPVLAQSAISYIDQTDLQVPTLIKSIPAYDFSISEGLCSHRLRKAILERSRHLKNDSFTIRCDIFVVANAERATSAPTAPPFIQEGTYITFEVGGEKFAAHRCVLAARSTVFKAQLFGNDTLEADATVKIDDKEAEVFWSMLTFIYTDAMPYKVLDERIEEDEGEEKGNSTGKDESEEEAETDEEEAEADEEEIQEFLMWLLHLLEAADRYDLQVLKLSCEESLACIIFMSTVAEIVVAAEKTRCCWLKEVCLEFIISHTSLHTVFTPEDFEHL* >Brasy1G175600.1.p pacid=40059225 transcript=Brasy1G175600.1 locus=Brasy1G175600 ID=Brasy1G175600.1.v1.1 annot-version=v1.1 MAKTSWPEVVGWMDLNAAYQINSDRPDVSVGFYMEGSPLPPGYNPRRVILILDPNGVVIKTPVVG* >Brasy1G100000.1.p pacid=40059226 transcript=Brasy1G100000.1 locus=Brasy1G100000 ID=Brasy1G100000.1.v1.1 annot-version=v1.1 MVTASFNRSTSARLAARGGVGSPRVSSPATAHRKWWWASPSGPSFDSIALVFFLACIALVLSCGLYLYAIRPLGRDHAVAEFSRENQNLDSCDVFDGRWVRDERYPFYNSSECPFAERGFNCLANGRKNPDYLKWRWKPRHCDIPRFSARRVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKTVYEINGNQISKTIRFLGVRFESFSLSVEFFRSVFLVQQTPAPPIHGTKRVHAILKLDKMDETNLKWANADVLIFNSGHWWTASKLFDMGCYFEAGGLLKLGTSINAAYRMALETWASWVKEKVDLERTQVFFRTYEPSHWSGSNQKVCEVTEKPTTEAKGTDRTEFGNILADVVANMSIPITVLNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDTWNELVFSHLLTNGWRKMAG* >Brasy1G017400.1.p pacid=40059227 transcript=Brasy1G017400.1 locus=Brasy1G017400 ID=Brasy1G017400.1.v1.1 annot-version=v1.1 MAHHFMGRHHDNNKMAAEAAEPSKVKATSGDRRKEEKHHKHMEQLAQLGAVAAGAYAVHEKHEAKKDPEHARSHKVKEGVAAAVAVGSAGFAFHEHHEKKEAKKNRRHGHHH* >Brasy1G185200.1.p pacid=40059228 transcript=Brasy1G185200.1 locus=Brasy1G185200 ID=Brasy1G185200.1.v1.1 annot-version=v1.1 MCPAHRDACAAVPMSRRGQPSDHRRITPAVWGCDASVLLVSANGTAERNAVPNKPSLRGFEVIDAAKAAVEKSCPLTVSCADILAFAARDSINLTGQIVYQVPSGRRDGNISLMKDADENLPLPTFTVQQLIHLFAKKTLAAEEMVTLVGAHTIGRSFCSSFLSRIWNNTNPIVDEGLSSGYAKLLRSLCPSTPNNSTTTVIDPSTPTVLDNNYYKLLPLNLGLFFSDNQLRTNAALNASVNTFADSEALWNVKFLLEFWFWKGMIKMGNIEVLTGTQGEIRLNCSVVNKITGMEMAHYYSGSASSVDEIVTS* >Brasy1G424300.1.p pacid=40059229 transcript=Brasy1G424300.1 locus=Brasy1G424300 ID=Brasy1G424300.1.v1.1 annot-version=v1.1 MLRRFPPSLCRALASSAITPRGARVANPTLSSPHALLPQWRSCASASAAASSSPSSLPPPPPSPPQGPSPHGRGSGASSLNPAEVAKFAAIAETWWDSQGPFKPLHLMNPTRICFIRSTLCRHFRRDPYSAKPLEGLKVIDVGCGGGILSEPLARMGAAVTGIDAVDKNIKIAQIHAASDPTTASIDYCCTTAEDLVKESKQFDAVISLEVIEHVANPLEFCKSISALTVPNGATVISTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPEELALALQRASISVQEMAGFVYNPLTGGWSLSDDISINYIAYGIKKSETPSTMSQQQD* >Brasy1G424300.2.p pacid=40059230 transcript=Brasy1G424300.2 locus=Brasy1G424300 ID=Brasy1G424300.2.v1.1 annot-version=v1.1 MLRRFPPSLCRALASSAITPRGARVANPTLSSPHALLPQWRSCASASAAASSSPSSLPPPPPSPPQGPSPHGRGSGASSLNPAEVAKFAAIAETWWDSQGPFKPLHLMNPTRICFIRSTLCRHFRRDPYSAKPLEGLKVIDVGCGGGILSEPLARMGAAVTGIDAVDKNIKIAQIHAASDPTTASIDYCCTTAEDLVKESKQFDAVISLEVIEHVANPLEFCKSISALTVPNGATVISTINRSMRAYATAIVAAEYILRWVQEMAGFVYNPLTGGWSLSDDISINYIAYGIKKSETPSTMSQQQD* >Brasy1G121400.1.p pacid=40059231 transcript=Brasy1G121400.1 locus=Brasy1G121400 ID=Brasy1G121400.1.v1.1 annot-version=v1.1 MPQPAPPNHEPPPIHRLLELIKSEPDPATALAHLDLIVSTWPAYTPPQPLLFHLLRRLATSSPSRLPRLLGILPRLRHRPRFSESAALVVLSAFSRALMPDAALAAFRRLPSFLGCNPGVRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIMLRSLCGRGDLDRAVTLFDSLRRRGLAPDRITYSTLMSGLVKDNRLDNALYLLDEMPSYEVQPDAVCYNALLGGCFRNGEFEKAMRVWEQLVRDPGASPNLTTYNVMLDGLCKLGMFKEAGEVWERMVANNHQPDMITYGTMIHGLCRSRDVDSAARVYSEMIKIGLVPDVVIYNSLIKGFCNAGRAGEAWKFWDSMSVSGICNVTSYNIMLKGLFDGGMVDKATDLWELLEKDDSLSPDMVTFSTMIHGLCENGFANKSLQILEKARTSGKELDEFSYSSMINGLCKDGRLDDAVKLYEKISMDSCKPNSHIYNALINGFCQASKFSDAIRIYSQMAENGCSPTIITYNTLIHGLCKSEQYQEASRFTREMLEKGFMLDVNTYGSLIRGLCMDKKIDGALALWNQILDKGLQTDVVMHNILIHGLCSAGKVDEASQLLSEMKEKSNCCPNVVTYNTLMDGFYETGCFDKAASLWTAILENGLVPDIISYNTRIKGLCSCNRIPEGVQLLNEVLARGIIPTAITWDILVRAVIKYGPIQI* >Brasy1G121400.2.p pacid=40059232 transcript=Brasy1G121400.2 locus=Brasy1G121400 ID=Brasy1G121400.2.v1.1 annot-version=v1.1 MPQPAPPNHEPPPIHRLLELIKSEPDPATALAHLDLIVSTWPAYTPPQPLLFHLLRRLATSSPSRLPRLLGILPRLRHRPRFSESAALVVLSAFSRALMPDAALAAFRRLPSFLGCNPGVRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIMLRSLCGRGDLDRAVTLFDSLRRRGLAPDRITYSTLMSGLVKDNRLDNALYLLDEMPSYEVQPDAVCYNALLGGCFRNGEFEKAMRVWEQLVRDPGASPNLTTYNVMLDGLCKLGMFKEAGEVWERMVANNHQPDMITYGTMIHGLCRSRDVDSAARVYSEMIKIGLVPDVVIYNSLIKGFCNAGRAGEAWKFWDSMSVSGICNVTSYNIMLKGLFDGGMVDKATDLWELLEKDDSLSPDMVTFSTMIHGLCENGFANKSLQILEKARTSGKELDEFSYSSMINGLCKDGRLDDAVKLYEKISMDSCKPNSHIYNALINGFCQASKFSDAIRIYSQMAENGCSPTIITYNTLIHGLCKSEQYQEASRFTREMLEKGFMLDVNTYGSLIRGLCMDKKIDGALALWNQILDKGLQTDVVMHNILIHGLCSAGKVDEASQLLSEMKEKSNCCPNVVTYNTLMDGFYETGCFDKAASLWTAILENGLVPDIISYNTRIKGLCSCNRIPEGVQLLNEVLARGIIPTAITWDILVRAVIKYGPIQI* >Brasy1G121400.3.p pacid=40059233 transcript=Brasy1G121400.3 locus=Brasy1G121400 ID=Brasy1G121400.3.v1.1 annot-version=v1.1 MPDAALAAFRRLPSFLGCNPGVRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIMLRSLCGRGDLDRAVTLFDSLRRRGLAPDRITYSTLMSGLVKDNRLDNALYLLDEMPSYEVQPDAVCYNALLGGCFRNGEFEKAMRVWEQLVRDPGASPNLTTYNVMLDGLCKLGMFKEAGEVWERMVANNHQPDMITYGTMIHGLCRSRDVDSAARVYSEMIKIGLVPDVVIYNSLIKGFCNAGRAGEAWKFWDSMSVSGICNVTSYNIMLKGLFDGGMVDKATDLWELLEKDDSLSPDMVTFSTMIHGLCENGFANKSLQILEKARTSGKELDEFSYSSMINGLCKDGRLDDAVKLYEKISMDSCKPNSHIYNALINGFCQASKFSDAIRIYSQMAENGCSPTIITYNTLIHGLCKSEQYQEASRFTREMLEKGFMLDVNTYGSLIRGLCMDKKIDGALALWNQILDKGLQTDVVMHNILIHGLCSAGKVDEASQLLSEMKEKSNCCPNVVTYNTLMDGFYETGCFDKAASLWTAILENGLVPDIISYNTRIKGLCSCNRIPEGVQLLNEVLARGIIPTAITWDILVRAVIKYGPIQI* >Brasy1G061300.1.p pacid=40059234 transcript=Brasy1G061300.1 locus=Brasy1G061300 ID=Brasy1G061300.1.v1.1 annot-version=v1.1 MAAATDLTTRPSPTEGGKRRSEVHTRTNPPPSFSHAPLAALSPELQSLLDSGIPPPASLLAALPSAQGSTAACPSAEASTPVRLSPPSSADERNRSPRVRPRVSARLRPLYSPAAANAIASSCGWLLALPRIRLVSLPGRDVDRQKLTPRSLGGWGRWMTASMRLRDTLFSLLC* >Brasy1G331600.1.p pacid=40059235 transcript=Brasy1G331600.1 locus=Brasy1G331600 ID=Brasy1G331600.1.v1.1 annot-version=v1.1 MAIDARLHWQLLLCVAMVVGSVTASLWTILLNKKKDTHAVPPWTDGAASGFSSSTGASAMWRSGMMHPAWLLSLRATATAALAAVLLWDLRTYDPTIMMYYTEWVLSLEIVYFGVATLFSAHGCFMHAKIFPGEQANIERLLCSSPMEIKDCADKGAGRCFARFMQIVYQVCGGAVVLTDVVFWAIIVPFMSSAHFSLNAVMGCMHSFNLVFLLAETALNCLAFPWSGIVYFLLWTCSYVIIQWIVHVCGLTWWPYPFLNPTAPWSPLWYFSMALLHLPCYIVYWWIASVKNRCRPLMFPRFTA* >Brasy1G122500.1.p pacid=40059236 transcript=Brasy1G122500.1 locus=Brasy1G122500 ID=Brasy1G122500.1.v1.1 annot-version=v1.1 MSDQAAAAAAAAGDAPFSRCLLTLFLLSPITVFLLRFVSAPYGRLSRPGWGPAIPAAVAWCLMESPTLWLPPLLLLASSPSPGCRRCSPPLAALPPALYAVHYVHRTLVHPLRLLRLRRAPAPAPVPLLVAACAFAFNLLNAYVQARSWALHAAHPASASPFALARCLVGLALFAWGMRVNIASDKELLRLKEAGGGYKIPRGGWFDAVTCPNYFGEVVEWLGYSLVAWTPAAWAFFLYTCANLGPRARDHRQWYLQKFGREYPASRRALVPYIY* >Brasy1G072700.1.p pacid=40059237 transcript=Brasy1G072700.1 locus=Brasy1G072700 ID=Brasy1G072700.1.v1.1 annot-version=v1.1 MTTDMTTAACSLTSKSRLRSSLSPKKSLCSFHPHTSLASPASPTLPITHCPVQIPNTAAIASQDPKQAGEARMMPALTPSLLFLLLLFLAATSSSSQSQVPDASAAVRMVPMAPAAGTGYSAVVLNETRRRLGSFQLCAPCTCCGGARGVCVPCPCCYAINCNIPNRPFGFCSFTPKSCDCLGCHP* >Brasy1G067100.1.p pacid=40059238 transcript=Brasy1G067100.1 locus=Brasy1G067100 ID=Brasy1G067100.1.v1.1 annot-version=v1.1 MSLRFPLLPQLIPRGFSHQARLRPCGMDATANKFVRSQSIGNQVKLHIQACRMGNMVIIFTMPEDAAELADAAMYHAGVQSSITDE* >Brasy1G067100.2.p pacid=40059239 transcript=Brasy1G067100.2 locus=Brasy1G067100 ID=Brasy1G067100.2.v1.1 annot-version=v1.1 MSLRFPLLPQLIPRGFSHQARLRPCGMDATANKFVRSQSIGNQVKLHIQACRMGNMVIIFTMPEDAAELADAAMYHAGVQSSITDE* >Brasy1G281900.1.p pacid=40059240 transcript=Brasy1G281900.1 locus=Brasy1G281900 ID=Brasy1G281900.1.v1.1 annot-version=v1.1 MPTHELLCQEGLLHVRMSQVNHPVTFERLHQLFRQAGDGEVLGLFERMVDGMYGVEAYVQFSSRWAAARAGDALDGHALYDGCCVLTVDHVPLIYTTITTPYDDELARAYFYDDTPYDEWAAALALAERQYEAPVSSNALAIDHKLACTSESASFHPSSPSLPHASAAVPLDSTPVPVCTASTAALKTTTPGASVCTITCKLDLTSSPPATCSTVCVGGDNDETGPLGAQSFAVSDPIDPTLLHISKINSITTTRRCFGMVPDWNVFPFPATSQTT* >Brasy1G136200.1.p pacid=40059241 transcript=Brasy1G136200.1 locus=Brasy1G136200 ID=Brasy1G136200.1.v1.1 annot-version=v1.1 MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPEPPHATYSPPRADLSPRTPEVYSSHHLDDALSADAHLLYVPPPAPRIRDRELPDEVGFGFQPPPPPPLVRASELLGWEDRPSSSTASSSFLPDIATRYRRLLEQTGSRWLRPRPRRFRSDLPPLSAEAQPIGQDAAVPVPHQEMTNDDFGDNKVTANGAELGASEESEERGKSAATFECNICFEMAGEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSSSDVEKAVEEGKQPGPTVPPRPHGNRLESFRQQFQHLRPMSRRLGDAHGILSSWRRLLDQQIMNSVSRFEGPPEPAVHETGDTAQPASRLGTLPIRMRPRRRYQMEADDLPDGGSVAPDSGLPGNNAPDPHRHGPSPLLPDGIDLLQRLTLIGLANTERLATAMSDLRRIAAPNQYGASASSSNPSNPEPAVDGAHVGAAPSTDQASNSSTIAVIQGDASISETAGEPSNAGSSRSLRRRGRSNALASLDVDGGPPQRIKRRRMN* >Brasy1G313500.1.p pacid=40059242 transcript=Brasy1G313500.1 locus=Brasy1G313500 ID=Brasy1G313500.1.v1.1 annot-version=v1.1 MASITMKLVVVAILALLCTTASAARTGASLAGDRPGKVSLSSFKAESTVSLGVEITDDATDDEGKGKTYEEEECDERSAITDHGAGEDALIPGNGKRKSQPNPKYIGGPWDNGAPGRRPKKYRCR* >Brasy1G313500.3.p pacid=40059243 transcript=Brasy1G313500.3 locus=Brasy1G313500 ID=Brasy1G313500.3.v1.1 annot-version=v1.1 MKLVVVAILALLCTTASAARTGASLAGDRPGKVSLSSFKAESTVSLGVEITDDATDDEGKGKTYEEEECDERSAITDHGAGEDALIPGNGKRKSQPNPKYIGGPWDNGAPGRRPKKYRCR* >Brasy1G313500.4.p pacid=40059244 transcript=Brasy1G313500.4 locus=Brasy1G313500 ID=Brasy1G313500.4.v1.1 annot-version=v1.1 MASITMKLVVVAILALLCTTASAARTGASLAGDRPGKVSLSSFKAESTVSLDDEGKGKTYEEEECDERSAITDHGAGEDALIPGNGKRKSQPNPKYIGGPWDNGAPGRRPKKYRCR* >Brasy1G313500.2.p pacid=40059245 transcript=Brasy1G313500.2 locus=Brasy1G313500 ID=Brasy1G313500.2.v1.1 annot-version=v1.1 MASITMKLVVVAILALLCTTASAARTGASLAGDRPGKVSLSSFKAESTVSLDDEGKGKTYEEEECDERSAITDHGAGEDALIPGNGKRKSQPNPKYIGGPWDNGAPGRRPKKYRCR* >Brasy1G375700.1.p pacid=40059246 transcript=Brasy1G375700.1 locus=Brasy1G375700 ID=Brasy1G375700.1.v1.1 annot-version=v1.1 MAPPTPKQRAVHLPENEEVARIILEKCRSILEKGVPENHCHTLANAYRNVCAAKEPIRTLKDLLKIKGVGPWVIRLIKGSFPESSADLSPSKTKEAGKKGNKTREPEHYAPKKNSAAYVILITLYREMMRDKISMENKEIIDAAEASGLLQKATGGTGWSQIPPTLISRGLVVKKLGKKYMLTEEGKSAARNCLSQLEMEDPSGSLMTSNGLNTCTAYRYHNSDHLSMGFSVAETPLGPPVTISRPSRPVAGQTPELVLHNAATTSQELIKLTSKEQISYNSEGSAEFNILEKDATHVDNSILAMPPGQSNEKFLDAYEVVFILDDRENIGSRFERVVDVHSKFHLPVEMKRLPVGDAIWIARHRKLRTEYVLDFIVERKDVTDLVSSIKDSRYRDQKLRLKKCGLRKLVYLVEGDPNRLDASESIKTACFTTEILEGFDVQRTTGYSDTERRYCHLTLSIIDYYSKNFSNGANTSRACLTYDEFVKKCYDFKKITVSDIFAVQLMQVPQVTEQTALAITELYPTLLSLAQAYSMLDGDTRAQEEMLKKKSKMVNAGASRNIFKLVWGEG* >Brasy1G114400.1.p pacid=40059247 transcript=Brasy1G114400.1 locus=Brasy1G114400 ID=Brasy1G114400.1.v1.1 annot-version=v1.1 MAVSRRTQAAYGSWRGCYWCSSKHLTAINTTTSTCFFVFSNPEFSYSSRLMATASLPTACAPANRGCMTFAAPPMRSPGCLAQPRPNRTSGRARLSARFRPAAAHRVKLVGPDGAETELEVGEDVYILDAAEEAGVELPYSCRDGSCSTCAGKLASGEVDQSEGSFLDDAQLAQGWSKSSCTYAPCCCVRSVVTNLRSFA* >Brasy1G253200.1.p pacid=40059248 transcript=Brasy1G253200.1 locus=Brasy1G253200 ID=Brasy1G253200.1.v1.1 annot-version=v1.1 MAEAAVGLLIVKLGAALAKEAVTFAASVLWKEASALKGLFGKIRESKAELESMQAYLQEAERFKDIDKTTGIFVKEIRGFAFQIEDVVDEFTYKLAGDKHGGFAAKMKKRLKHIQTWRRLAAKLQEIGLELQDAKRRNKDYAIPKEMGRSASKSTSQALHFTRDEDLVGIEENKERLVRWLTGGDDDLEQRRKITTVWGMPGVGKTTLVSHVYNTVKLDFDAAAWVTVSESYRLEDLLKKIATEFGITFDGANREMRSLAETIHNYLQGKKYILVLDDVWTARVWPEIRDVFPANGVGRFVITSRNHEVSLLATRDCAIHLEPLQAHHSWMLFCNGAFWNDGDKECPFELRNLAYKFITKCQGLPISIACISRLLSCKPPTPAGWEKVYRMLDSQWAKDVIPDVDMILKVSLEDLPCDLKNCFLHCALYPEDYVIKRRTIMRQWIAARFIREKEENKTLEEVAEGFLTELVNRSLLQVVERNHAGRLKFCRMHDVIRLLALNKAKEECFGIVCNGSDGALSVEGTRRLSVQGENLEQLSRAGASHLRSLHFFERNINVDLLKPILTSASLLSTLDLQGTCIKMLPDEVFNLFNLRYLGLRGTGIESIPEAVGRLQNLEVLDAYGCKLSCLPNNVIKLQNLRYLYACTPWYTPGSQEIGNVRGVKVPNGIQHLAGLHALQAVKASPEILREVGSLTELRTFSVCDVRSEHSADLSNAITKMSHLVHQEIMAAADDEVLRLEGIYLPPTLSWLALQGNLFLSVRVTWSTLSQADEGF* >Brasy1G253200.2.p pacid=40059249 transcript=Brasy1G253200.2 locus=Brasy1G253200 ID=Brasy1G253200.2.v1.1 annot-version=v1.1 MAEAAVGLLIVKLGAALAKEAVTFAASVLWKEASALKGLFGKIRESKAELESMQAYLQEAERFKDIDKTTGIFVKEIRGFAFQIEDVVDEFTYKLAGDKHGGFAAKMKKRLKHIQTWRRLAAKLQEIGLELQDAKRRNKDYAIPKEMGRSASKSTSQALHFTRDEDLVGIEENKERLVRWLTGGDDDLEQRRKITTVWGMPGVGKTTLVSHVYNTVKLDFDAAAWVTVSESYRLEDLLKKIATEFGITFDGANREMRSLAETIHNYLQGKKYILVLDDVWTARVWPEIRDVFPANGVGRFVITSRNHEVSLLATRDCAIHLEPLQAHHSWMLFCNGAFWNDGDKECPFELRNLAYKFITKCQGLPISIACISRLLSCKPPTPAGWEKVYRMLDSQWAKDVIPDVDMILKVSLEDLPCDLKNCFLHCALYPEDYVIKRRTIMRQWIAARFIREKEENKTLEEVAEGFLTELVNRSLLQVVERNHAGRLKFCRMHDVIRLLALNKAKEECFGIVCNGSDGALSVEGTRRLSVQGENLEQLSRAGASHLRSLHFFERNINVDLLKPILTSASLLSTLDLQGTCIKMLPDEVFNLFNLRYLGLRGTGIESIPEAVGRLQNLEVLDAYGCKLSCLPNNVIKLQNLRYLYACTPWYTPGSQEIGNVRGVKVPNGIQHLAGLHALQAVKASPEILREVGSLTELRTFSVCDVRSEHSADLSNAITKMSHLVHQEIMAAADDEVLRLEGIYLPPTLSWLALQADEGF* >Brasy1G581000.1.p pacid=40059250 transcript=Brasy1G581000.1 locus=Brasy1G581000 ID=Brasy1G581000.1.v1.1 annot-version=v1.1 MATAWDVAGFGSSITVLGWLLAPIISLLLPKILSFLGFDASQKLRELEIHVIPDLRRALQEVDEQRMLREAQKETSNMITLGKLAAEDILDLVEYHWIERKVVGDGSWRQRFCDTVATCNMSWLGPVCQTMYFQCASAVLSDGPVPIATSAAPSDEPVPVARAVPTEFFGCSFNFFNNCVRSIFYWLDDVIEVANTYRNWSYDVVGITSYQENATAVDSVFSAISRRNLKKRIEKVESTVNEVKQSHLLDVASKSTSNDIVNMNRRKIRSSSSKREVFGREVLLDDIMAKMLHETPHSDAPSSSRRTCYSVVGIYGVVGSGKATLARYILDHVKEYKDAHFDTLMCVHISESFSVDDILHDMLEDISKDRHSIISGCEELKRVLKQKLRGKRFFLILDDLTKITRD* >Brasy1G409100.1.p pacid=40059251 transcript=Brasy1G409100.1 locus=Brasy1G409100 ID=Brasy1G409100.1.v1.1 annot-version=v1.1 MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELGEVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGALKNAASGSWGPTSSSVPTDDTSSSQPGIKTHEERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPTGTTTTKAAMPTPVQMPDLIDTGDQDDLATSSGQESNEHNTGNSAYVSSVDDLLGGEPIADTSVTADSNGSDPFADVSFHEAETKETNDLFSGLTVEEKSSATLHDSSSSNKNELPDIFGSAPDSFIQGNVTDQGTVNDLMAGLNLIGTGQAQSAVKAEPNSNFSGSQFFDTNNETSHVRSAAALNGILGQNSFDQQQQTPLQYSFPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNVSVLPDIFNSSNQPQNHVAVMSSPKKDDTKAFDFVSDHLAAARGSRK* >Brasy1G409100.3.p pacid=40059252 transcript=Brasy1G409100.3 locus=Brasy1G409100 ID=Brasy1G409100.3.v1.1 annot-version=v1.1 MCLLATCSVDQGFETNQSLFSFRGALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELGEVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGALKNAASGSWGPTSSSVPTDDTSSSQPGIKTHEERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPTGTTTTKAAMPTPVQMPDLIDTGDQDDLATSSGQESNEHNTGNSAYVSSVDDLLGGEPIADTSVTADSNGSDPFADVSFHEAETKETNDLFSGLTVEEKSSATLHDSSSSNKNELPDIFGSAPDSFIQGNVTDQGTVNDLMAGLNLIGTGQAQSAVKAEPNSNFSGSQFFDTNNETSHVRSAAALNGILGQNSFDQQQQTPLQYSFPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNVSVLPDIFNSSNQPQNHVAVMSSPKKDDTKAFDFVSDHLAAARGSRK* >Brasy1G409100.2.p pacid=40059253 transcript=Brasy1G409100.2 locus=Brasy1G409100 ID=Brasy1G409100.2.v1.1 annot-version=v1.1 MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELGEVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGALKNAASGSWGPTSSSVPTDDTSSSQPGIKTHEERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPTGTTTTKAAMPTPVQMPDLIDTDVSFHEAETKETNDLFSGLTVEEKSSATLHDSSSSNKNELPDIFGQAQSAVKAEPNSNFSGSQFFDTNNETSHVRSAAALNGILGQNSFDQQQQTPLQYSFPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNVSVLPDIFNSSNQPQNHVAVMSSPKKDDTKAFDFVSDHLAAARGSRK* >Brasy1G409100.4.p pacid=40059254 transcript=Brasy1G409100.4 locus=Brasy1G409100 ID=Brasy1G409100.4.v1.1 annot-version=v1.1 MCLLATCSVDQGFETNQSLFSFRGALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRDDKKSFLSELGEVVGIGSASIKQGLSNFAAAHAMMTNDNGSTYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGALKNAASGSWGPTSSSVPTDDTSSSQPGIKTHEERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLREKASKVLNMLIGEQPTGTTTTKAAMPTPVQMPDLIDTDVSFHEAETKETNDLFSGLTVEEKSSATLHDSSSSNKNELPDIFGQAQSAVKAEPNSNFSGSQFFDTNNETSHVRSAAALNGILGQNSFDQQQQTPLQYSFPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGLGNSSFNSMNSGNVSVLPDIFNSSNQPQNHVAVMSSPKKDDTKAFDFVSDHLAAARGSRK* >Brasy1G215400.1.p pacid=40059255 transcript=Brasy1G215400.1 locus=Brasy1G215400 ID=Brasy1G215400.1.v1.1 annot-version=v1.1 MEGSRGAGGGGARPWGDGEEGDGGGRRGEEEGEVSLREWLDRPGRTVEAPECLHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFSRVAFIESASGSDASGSDASDEAEPPRRVDGGGRGEERCIRGFPMKSVLAMELNWYSSPEEADDNGGATFASDVYRLGVLLFELFCAFETLEEKMRAMANLRYRVLPPQLLLKWPKEASFCQLLMHPVPDTRPKLSEVLQSDFLNQSRNSLEEHEAALRLREEIEEQDLLLDFLLQLQKRKQDIADNLQDTVAFLSSDVNEVVHQQSALGQCGNFSFELDKEVSSGTVEDQSDCGSRKRFRPELHAVDMEECNPSQEECSRTVPSSVLIQESVLSKSSRLLKNFKKLEAAYFLTRSKFARQVCNPISSCNQVIKRTTGSAVGTEGSSIDDFALEGHYGRRQRGWMNSFLEGLCRYLSFSKLKVRAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGLVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWSMNQAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDSSTIVSGSTDNSLKLWDLSMNQARIVDNPVQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFNVTDPISGQEIDDQSQFISCVCWRGQSSTLLSANSSGNIKVLEME* >Brasy1G366800.1.p pacid=40059256 transcript=Brasy1G366800.1 locus=Brasy1G366800 ID=Brasy1G366800.1.v1.1 annot-version=v1.1 MSDETSFSFDPSLFFFFSSSARLLVQLRQPAWPARPTGPVRRRGPWRQRRTGLGGSECGCPRVCRLLPGRPARAVGLHRRAPLLPPARTAVRPAASRPPRPRHAPLLPCRAPLLPRDRPRRAATASPCAVTAHAARPLAPPLAPAAPPPALRGYFLALRRHRPRHAPYCLALPCLHSHCPHRRWRRQPQQLTVQEEERRRKKRKKRGVK* >Brasy1G281100.1.p pacid=40059257 transcript=Brasy1G281100.1 locus=Brasy1G281100 ID=Brasy1G281100.1.v1.1 annot-version=v1.1 MPTLDGEEVPPAAAADSEELEPLFDYSRVQPTIDFCFDDSDLEKSDIFVHCNKRPKMAEAAADADAVADEKGATTMKKAPVVNLDEEDWLPPPPTKPVVRADVRKDKTLHEPRLNKQEIEKLAEDTFQKVAEAVKKGLGAKKQSEHIVLDEATETEVKKAREKILIIIEDKDGRQQFRISKDEKFDKLFKVYAKKVQLSPSDLTFVFDGDKINPTSTPQDLDLEDADMIEVRHKPR* >Brasy1G281100.2.p pacid=40059258 transcript=Brasy1G281100.2 locus=Brasy1G281100 ID=Brasy1G281100.2.v1.1 annot-version=v1.1 MAEAAADADAVADEKGATTMKKAPVVNLDEEDWLPPPPTKPVVRADVRKDKTLHEPRLNKQEIEKLAEDTFQKVAEAVKKGLGAKKQSEHIVLDEATETEVKKAREKILIIIEDKDGRQQFRISKDEKFDKLFKVYAKKVQLSPSDLTFVFDGDKINPTSTPQDLDLEDADMIEVRHKPR* >Brasy1G281100.3.p pacid=40059259 transcript=Brasy1G281100.3 locus=Brasy1G281100 ID=Brasy1G281100.3.v1.1 annot-version=v1.1 MKKAPVVNLDEEDWLPPPPTKPVVRADVRKDKTLHEPRLNKQEIEKLAEDTFQKVAEAVKKGLGAKKQSEHIVLDEATETEVKKAREKILIIIEDKDGRQQFRISKDEKFDKLFKVYAKKVQLSPSDLTFVFDGDKINPTSTPQDLDLEDADMIEVRHKPR* >Brasy1G364900.1.p pacid=40059260 transcript=Brasy1G364900.1 locus=Brasy1G364900 ID=Brasy1G364900.1.v1.1 annot-version=v1.1 MTAPSRPQSNGALVDGEPFAKFFGCWISEQSRDLAALREAAAADAADLRRLVDRVLGHYEHYYRAKSAAAAADVGAMFAPSWISTTESLYLWCGGWRPTAALHLLYSKSGAQLEAQLPAFLDGTGSLRGDDLGGLSADQLHALPSAAPHHRARARDRGGRRRRAGIRLMVELAAGAMEAAGLEREMEAKAEGMRRVLEMADGLRLDTMRAVVALLRPPQAVHFLLAAAELHLAVHDLGRRKDGHAHAPPS* >Brasy1G238300.1.p pacid=40059261 transcript=Brasy1G238300.1 locus=Brasy1G238300 ID=Brasy1G238300.1.v1.1 annot-version=v1.1 MRTQPIKHQTSLHGTTTGGSIRLAWHTLALCVRDTSGQHLARGPSYHVPSCRSHQPASLRKNSQGLATYKRRGEQRQRTKLLTPLAMPLLILLVLLSVAASHGDSSGDTYDSSMCLNQTYTCGGVKISYPFYLSGETKDLKGYENSYCGYPGLGILCDDEKPILRLGAANYKIMSIQDSRANVSLADPVVLNEGIRCPRPRVDHNVTLPQGSWLYFPDDTVDYLVFFINCTFNSTFFKPSTLVPISCREFDGGSGYSFVLPDDTVPTGNWSQACNQVIQLPMRKYGPISHNDPGWINNGYSKSLREEFQLGLKYRDRSQACLGCENSNGKCGYSRAMDFIGCLCPDERVHSNNCWSAGKLSESETFL* >Brasy1G156000.1.p pacid=40059262 transcript=Brasy1G156000.1 locus=Brasy1G156000 ID=Brasy1G156000.1.v1.1 annot-version=v1.1 MGFPSSFLAFLLGLCAAAGSFSAALPPVMMHESFAGKSEFRTVNRRPLEACLNPSPYLSINVSTAGPLPDEAFVNVTVGGVRRPDGSHWVAMITPSNSSVSGCPLSGVNYIETGDLASLPLLCHYPVKAQFVKSDPNYLGCKNAACQKRSASGACQVRTCGATLTFHVINFRTDVEFVFFSGGFQTPCVLKRSGVLRFANPAKPLHGHLSSTDSTATSMRITWVSGDGRPQQVQYAGVRSAASVATTFTQKDMCSVPVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYDYRYGSDSVGWSDTTKFRTPPAAGSDEVSFVIYGDMGKAPLDPSVEHYIQPGSISVTNAVAKEMQTRKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSRSVYVTPDSGGECGVAYESYFPMPSVGKDKPWYSIEQGSVHFIVMSTEHQWSEKSEQYNWMDEDLSSVDRSRTPWVIFIGHRPMYSSIQSILPSVDPNFVASVEPLLLNNMVDLVFFGHVHNYERTCAVYQGKCKSMPKKDANGIDTYDNSNYTAPVHTIVGAGGFSLDGFSSINRKSWSVSRVSEFGYARVHATRTDVLVQFVSSSTMEIQDQFRIVKGGR* >Brasy1G500700.1.p pacid=40059263 transcript=Brasy1G500700.1 locus=Brasy1G500700 ID=Brasy1G500700.1.v1.1 annot-version=v1.1 MDSTLLLPDSTPATRRRRRPCAALAIALALLALAGAAFLLLTSPGAGPRVFVSVSGGGGAKQEVESGVGAVAADDGRCSEAGAAALRAGGHAVDGAVAAALCLGVVHPMSSGVGGGAFIVVRDAATGAAVAFDARETAPAKASPNMYAADPTSKFKGALAMGVPGELAGLHAAWSRYGRLPWRSLFTHAISLARDGFVIVPYVANALLESSADVLADPGLRAVFAPHGRVLAAGELCRNPALADALEAVAEGGVGAFYGGSVGEKLAEDVRKAGGIVDLGDLRGYQVEVSDAMRADAMGFSFLGMPPPSSGTVGMALILNVLGGYGSLEFLKGFLGVHRLVEAIKHMLAVRMDLGDPAFVNVAGNVSEMLSPEFADRIRSRIADNTTFPSSYYLPKWSQLDDHGTSHLCVVDGDRNAVAMTTTVNYYFGAKVLSPSTGIVLNNEMDDFSVPSNHPTPDHLPPAPANFIAPGKRPLSSMTPAIILKGGQLAGVVGASGGTNIIATVTQVFLNHFVVGLGPLASVQRARVYHKLVPNVVKYEDEIVPDGDEVIELNGEAREFLRKRGHQLESTASGAVCQLIVQELPELVLEDIKVYHGMLTAVSDPRKGGSPAGL* >Brasy1G091000.1.p pacid=40059264 transcript=Brasy1G091000.1 locus=Brasy1G091000 ID=Brasy1G091000.1.v1.1 annot-version=v1.1 MRAQRTETSEEEVDEEREVRRVNEERPRLPSRTQGVVRWREGVAWAGLVRIGEPKQVPSFFVWISLIGEGGTRWLRSVKQKRGTVGVGHT* >Brasy1G074000.1.p pacid=40059265 transcript=Brasy1G074000.1 locus=Brasy1G074000 ID=Brasy1G074000.1.v1.1 annot-version=v1.1 MAAFNKLGSLLRQSAFASSVSVGSAPAMFNAARFMSSKLFVGGLSWGTNDGSLKEAFSSFGEVYEARVITDRETGRSRGFGFVEFSNEEDAKKAASSMDGQELDGRSVRVNFANERPAGGSRGGFGGGGGGGFGGGGGYGGGGGGRSYGGGQDDAY* >Brasy1G242200.1.p pacid=40059266 transcript=Brasy1G242200.1 locus=Brasy1G242200 ID=Brasy1G242200.1.v1.1 annot-version=v1.1 MSATFSSTVGAPASTPTSFLGKKLKKQVTSAVNYHGKSTKANRFTVMAKEVDETKQTDQDRWKGLAYDISDDQQDITRGKGIVDSLFQAPMGDGTHVAVLSSQEYISQGLRQYDFDNTMGGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCRGIFQTDNISDESVIKIVDTFPGQSIDFFGALRARVYDDEVRKWVSSTGIENIGKKLVNSKDGPVSFEQPKMTIEKLLEYGHMLVQEQDNVKRVQLADKYMSEAALGDANSDAMKTGSFYGKGAQQGNLPVPEGCTDQNAENYDPTARSDDGSCLYTF* >Brasy1G242200.2.p pacid=40059267 transcript=Brasy1G242200.2 locus=Brasy1G242200 ID=Brasy1G242200.2.v1.1 annot-version=v1.1 MSATFSSTVGAPASTPTSFLGKKLKKQVTSAVNYHGKSTKANRFTVMAKEVDETKQTDQDRWKGLAYDISDDQQDITRGKGIVDSLFQAPMGDGTHVAVLSSQEYISQGLRQYDFDNTMGGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCRGIFQTDNISDESVIKIVDTFPGQSIDFFGALRARVYDDEVRKWVSSTGIENIGKKLVNSKDGPVSFEQPKMTIEKLLEYGHMLVQEQDNVKRVQLADKYMSEAALGDANSDAMKTGSFYG* >Brasy1G502900.1.p pacid=40059268 transcript=Brasy1G502900.1 locus=Brasy1G502900 ID=Brasy1G502900.1.v1.1 annot-version=v1.1 MSFSCCENLSARRLVPESFFFSIFLLTPSAPRLSSRGRRRLFLLPFPCGHRRLRRLGDQPPPPAAATFSSRPQPASLPPRRLNYRYRRSPARALPSVRLAPRSLCPPSQLPLPPPPPRPSPSPPAAAAQALQRDPPSPSPPAAATTHPPSPPSAAVAKFLFRFSSRWVLCLYR* >Brasy1G172100.1.p pacid=40059269 transcript=Brasy1G172100.1 locus=Brasy1G172100 ID=Brasy1G172100.1.v1.1 annot-version=v1.1 MCVTDLCCAWYCGAGVNAIKISGMSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.10.p pacid=40059270 transcript=Brasy1G172100.10 locus=Brasy1G172100 ID=Brasy1G172100.10.v1.1 annot-version=v1.1 MDSSSKLGAGVNAIKISGMSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.11.p pacid=40059271 transcript=Brasy1G172100.11 locus=Brasy1G172100 ID=Brasy1G172100.11.v1.1 annot-version=v1.1 MDSSSKLGAGVNAIKISGMSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.4.p pacid=40059272 transcript=Brasy1G172100.4 locus=Brasy1G172100 ID=Brasy1G172100.4.v1.1 annot-version=v1.1 MSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.2.p pacid=40059273 transcript=Brasy1G172100.2 locus=Brasy1G172100 ID=Brasy1G172100.2.v1.1 annot-version=v1.1 MSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.5.p pacid=40059274 transcript=Brasy1G172100.5 locus=Brasy1G172100 ID=Brasy1G172100.5.v1.1 annot-version=v1.1 MSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.6.p pacid=40059275 transcript=Brasy1G172100.6 locus=Brasy1G172100 ID=Brasy1G172100.6.v1.1 annot-version=v1.1 MSPLSELVWSPDDGLSIKIAASSLSTRKASLRWNADTLNIVISSPQQSGGRGKSGDNIDATIRDAGEMPSQPRTRCDSSVRVSMTSPNRIRNLDAQQSTSVRSQEQDSKCCGGISVMNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.7.p pacid=40059276 transcript=Brasy1G172100.7 locus=Brasy1G172100 ID=Brasy1G172100.7.v1.1 annot-version=v1.1 MNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.3.p pacid=40059277 transcript=Brasy1G172100.3 locus=Brasy1G172100 ID=Brasy1G172100.3.v1.1 annot-version=v1.1 MNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWVSRLLPKTSMKLTDATPCNIKSNFCAVNPKGAADKLYCSSQQNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.8.p pacid=40059278 transcript=Brasy1G172100.8 locus=Brasy1G172100 ID=Brasy1G172100.8.v1.1 annot-version=v1.1 MNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G172100.9.p pacid=40059279 transcript=Brasy1G172100.9 locus=Brasy1G172100 ID=Brasy1G172100.9.v1.1 annot-version=v1.1 MNKGKEVSQSCFVYKADKGEVGSCPTRCCKDVSDGSASRKGVIPSISEKQVHCTTTVHNERPWADNAWRARLVKAISQKDYVLPNNAMNAQSASSFEKFGNAEKVAGKLAGFLGNENDNQQDQAMQENHHGNHQDRIVQENHNDSHQYQVMQENHMDEPVLARCGSASGGNPVSRCESASGVNSAARYESSSGVNPTKLKKGKEKVMHDQSNCVSNIKEGNDSNESMESCQSMKAQKREHAQCSTAEMSSRTKRCRREFNESSCSGFLQRNGSSFFNWVSSLTNGLTVFDKSTTDVSLDQKFSVATVHEFAEQSEPLQNNSSAPVQSVGFNSLFQSLYRHNFMITSTDTCHQSEKKCTEHEADRVALALNGSNSMLGKQIGMSRKTLDVTTETLAADSLQMDYGGGRGNFQNHIGVFPLRAGRNLMMPNSSKSCSRSLEEKQNEAYAGSLKASVGNKGGFRENLWNFNVEKEFNNSQYYTSTGSDNGTTSSKCPAIPPEENKQSETMASILAKRLDALRHAKTSAVRLGTSCDQRISKECNHGKSPFVVSYSSHDVQEARHETQKSSSGDGKLVLWLGDKGKEQLCTGSDEEVRVNFLSGGERQHCGGSMAGKAAAPHDNLEANTSAEYVQRRGVKIKEVLSNSMESLPDNKQIVPYGIMSSDEYDQSSAVFGALERLRLSRSDIIRWLTSPVRHTSLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHEFHEDELKAWWSAAMKGCWKLPSNEELSKKLRERELLRPQNRTGQHNDT* >Brasy1G480800.1.p pacid=40059280 transcript=Brasy1G480800.1 locus=Brasy1G480800 ID=Brasy1G480800.1.v1.1 annot-version=v1.1 MEGRMFGLETPLMTALQHLLDIPDGESTGGAGTGAGAGVEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDAKYLRMERRMGKLMRKFVLPENADMDKISAVCRDGVLTVNVDKLPPPEPKKPKTINVQVA* >Brasy1G498100.1.p pacid=40059281 transcript=Brasy1G498100.1 locus=Brasy1G498100 ID=Brasy1G498100.1.v1.1 annot-version=v1.1 MQATVALSTAVLPVAVRVSSSRPAAKRSVVAVVVLGGGARRTISVGAAAGATPAAAAEVDYSSNVSVFPMEACELVGGEACEAPEMYPETKLSDQDSSSASGASVAPEAEEREYLSYDDPKTVFPGEACDDLGGEFCEAPYQTGVSKD* >Brasy1G089500.1.p pacid=40059282 transcript=Brasy1G089500.1 locus=Brasy1G089500 ID=Brasy1G089500.1.v1.1 annot-version=v1.1 MPTTTNSSSFCYCSPPLLCCAFAMGPHGNRLLPLLLALVATAAAPSSIAAEDRAAVEVSGAPEGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVKPDLVFITGDLTDGKSKDLLTMKQNEMEWIEYRSTMKDVIEISKLPRRIFYDLRGNHDSFGVPASGGSYDFYEKYSINANLRRHGRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKLIMELDQSLSQWDTDSDNAPVTKITAGHFPMSFSALTESGKSIKDVFLKHSLAAYLCGHLHSRFGKNLKRYYHRTTHKPSLYEHYYQFNMHQEYTLQSAKENCSEEAEHIEEFWEWEMGDWRRHRSMRILAIDDGYVSFTDIDFRLGSKSIIIVPTFPLDSRFMQRTSGPRDFKCHIMGASTFDTVRTLVFSRHEVVSVSVKIYDSRSGNLEVVFDSEMKRVSANESRGDMYLVPWNWRAFADHSPTRFWLQIEVMDTTGDTSVSQLRPFSVNGLISKVSWTWKEFYVMGIQWASIYHPALWCFLTLIISLLLLPRASAVLFKDQYTCTYLRANGSQWTLLKYLVTGFIWLFVELSRMILVWSLLLVYVIYLLVFPWLFGHAVTEESDLAYMTYRGWTLKRSNSGNGFSHAGSPDVMVIVLPHLCFVVLPTIVILAAMAAERTAFREHYLSQSGKKKDDNYKRRVRQTERDSSLSGRWIRKILIVLCLVVLWKHWKHCRALMKAYAMNPVLHSPVHFIFIPALVVFAIYKTSSI* >Brasy1G089500.4.p pacid=40059283 transcript=Brasy1G089500.4 locus=Brasy1G089500 ID=Brasy1G089500.4.v1.1 annot-version=v1.1 MKQNEMEWIEYRSTMKDVIEISKLPRRIFYDLRGNHDSFGVPASGGSYDFYEKYSINANLRRHGRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKLIMELDQSLSQWDTDSDNAPVTKITAGHFPMSFSALTESGKSIKDVFLKHSLAAYLCGHLHSRFGKNLKRYYHRTTHKPSLYEHYYQFNMHQEYTLQSAKENCSEEAEHIEEFWEWEMGDWRRHRSMRILAIDDGYVSFTDIDFRLGSKSIIIVPTFPLDSRFMQRTSGPRDFKCHIMGASTFDTVRTLVFSRHEVVSVSVKIYDSRSGNLEVVFDSEMKRVSANESRGDMYLVPWNWRAFADHSPTRFWLQIEVMDTTGDTSVSQLRPFSVNGLISKVSWTWKEFYVMGIQWASIYHPALWCFLTLIISLLLLPRASAVLFKDQYTCTYLRANGSQWTLLKYLVTGFIWLFVELSRMILVWSLLLVYVIYLLVFPWLFGHAVTEESDLAYMTYRGWTLKRSNSGNGFSHAGSPDVMVIVLPHLCFVVLPTIVILAAMAAERTAFREHYLSQSGKKKDDNYKRRVRQTERDSSLSGRWIRKILIVLCLVVLWKHWKHCRALMKAYAMNPVLHSPVHFIFIPALVVFAIYKTSSI* >Brasy1G089500.2.p pacid=40059284 transcript=Brasy1G089500.2 locus=Brasy1G089500 ID=Brasy1G089500.2.v1.1 annot-version=v1.1 MKQNEMEWIEYRSTMKDVIEISKLPRRIFYDLRGNHDSFGVPASGGSYDFYEKYSINANLRRHGRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKLIMELDQSLSQWDTDSDNAPVTKITAGHFPMSFSALTESGKSIKDVFLKHSLAAYLCGHLHSRFGKNLKRYYHRTTHKPSLYEHYYQFNMHQEYTLQSAKENCSEEAEHIEEFWEWEMGDWRRHRSMRILAIDDGYVSFTDIDFRLGSKSIIIVPTFPLDSRFMQRTSGPRDFKCHIMGASTFDTVRTLVFSRHEVVSVSVKIYDSRSGNLEVVFDSEMKRVSANESRGDMYLVPWNWRAFADHSPTRFWLQIEVMDTTGDTSVSQLRPFSVNGLISKVSWTWKEFYVMGIQWASIYHPALWCFLTLIISLLLLPRASAVLFKDQYTCTYLRANGSQWTLLKYLVTGFIWLFVELSRMILVWSLLLVYVIYLLVFPWLFGHAVTEESDLAYMTYRGWTLKRSNSGNGFSHAGSPDVMVIVLPHLCFVVLPTIVILAAMAAERTAFREHYLSQSGKKKDDNYKRRVRQTERDSSLSGRWIRKILIVLCLVVLWKHWKHCRALMKAYAMNPVLHSPVHFIFIPALVVFAIYKTSSI* >Brasy1G089500.5.p pacid=40059285 transcript=Brasy1G089500.5 locus=Brasy1G089500 ID=Brasy1G089500.5.v1.1 annot-version=v1.1 MKQNEMEWIEYRSTMKDVIEISKLPRRIFYDLRGNHDSFGVPASGGSYDFYEKYSINANLRRHGRVQSITLENSGRKHLFVGFDSTMEIGLRGPTNLFGHPTDKLIMELDQSLSQWDTDSDNAPVTKITAGHFPMSFSALTESGKSIKDVFLKHSLAAYLCGHLHSRFGKNLKRYYHRTTHKPSLYEHYYQFNMHQEYTLQSAKENCSEEAEHIEEFWEWEMGDWRRHRSMRILAIDDGYVSFTDIDFRLGSKSIIIVPTFPLDSRFMQRTSGPRDFKCHIMGASTFDTVRTLVFSRHEVVSVSVKIYDSRSGNLEVVFDSEMKRVSANESRGDMYLVPWNWRAFADHSPTRFWLQIEVMDTTGDTSVSQLRPFSVNGLISKVSWTWKEFYVMGIQWASIYHPALWCFLTLIISLLLLPRASAVLFKDQYTCTYLRANGSQWTLLKYLVTGFIWLFVELSRMILVWSLLLVYVIYLLVFPWLFGHAVTEESDLAYMTYRGWTLKRSNSGNGFSHAGSPDVMVIVLPHLCFVVLPTIVILAAMAAERTAFREHYLSQSGKKKDDNYKRRVRQTERDSSLSGRWIRKILIVLCLVVLWKHWKHCRALMKAYAMNPVLHSPVHFIFIPALVVFAIYKTSSI* >Brasy1G089500.3.p pacid=40059286 transcript=Brasy1G089500.3 locus=Brasy1G089500 ID=Brasy1G089500.3.v1.1 annot-version=v1.1 MEIGLRGPTNLFGHPTDKLIMELDQSLSQWDTDSDNAPVTKITAGHFPMSFSALTESGKSIKDVFLKHSLAAYLCGHLHSRFGKNLKRYYHRTTHKPSLYEHYYQFNMHQEYTLQSAKENCSEEAEHIEEFWEWEMGDWRRHRSMRILAIDDGYVSFTDIDFRLGSKSIIIVPTFPLDSRFMQRTSGPRDFKCHIMGASTFDTVRTLVFSRHEVVSVSVKIYDSRSGNLEVVFDSEMKRVSANESRGDMYLVPWNWRAFADHSPTRFWLQIEVMDTTGDTSVSQLRPFSVNGLISKVSWTWKEFYVMGIQWASIYHPALWCFLTLIISLLLLPRASAVLFKDQYTCTYLRANGSQWTLLKYLVTGFIWLFVELSRMILVWSLLLVYVIYLLVFPWLFGHAVTEESDLAYMTYRGWTLKRSNSGNGFSHAGSPDVMVIVLPHLCFVVLPTIVILAAMAAERTAFREHYLSQSGKKKDDNYKRRVRQTERDSSLSGRWIRKILIVLCLVVLWKHWKHCRALMKAYAMNPVLHSPVHFIFIPALVVFAIYKTSSI* >Brasy1G451700.1.p pacid=40059287 transcript=Brasy1G451700.1 locus=Brasy1G451700 ID=Brasy1G451700.1.v1.1 annot-version=v1.1 MSMPDIMSALRSAGRQQLTASTIARPRRQATGSHLFRIEGYKEHIRDMAPDENYIMSGTRDETGDATAGFKFSLLDQAGKPWHSRKVERHHFLRRDSYPSWGFDDFVRIQDLDQEESHHKDGCLNVLCDVTVDDLGLESVDYVEVAPAPVDAPPPFDVSGPAGTIWNKHVTDVKIEVGGETFAAHRWALERSPVFKELIASGTGALRVDDMDADVCKALLQFMYTGSPPAAEQLEAWMMAERLLFAADRHEQEKLKLVCEEVLCKRVHMNSVGSSLAFAERHRCWALRAACVEFLSVPGNLKAFMETDGRPVPTTTLSISELLSALRDAGRQHLSASTVGTRQATGSHLLQIENYAQIKKKLSVGEYVKSSTFAVGGHDWRVELYPKGCVREAHGHVSLFLRRVRDAKTGRDDVATAKIEFSLLDATGKPSLTKSSPPRTFKPGDEDWGWREFVNKDDSDEKMYLDQDGCLKVLCDVTVDLGIRVDDADLEVTETSSVFPAEPPFELRGELAGVIWKARRPDVRIEVGGEALAAHRWMLAPRSPVFAADLSIASKTGEKTAVLRVEDMDADVCRALLEFVYTGALHDMDRRLESSSMAERLLVAADRYGVAELKQICEKAVCKRVGMSSVAASLALAKRHGCPVLRDACLEFLSAPGNLEAVVETDGFEELMEAGCPSGLTKLIVKQVRRQEQWSPFAG* >Brasy1G453500.1.p pacid=40059288 transcript=Brasy1G453500.1 locus=Brasy1G453500 ID=Brasy1G453500.1.v1.1 annot-version=v1.1 MRLCGGPLEFAAEEEAEKLMSPNEKDPTNDDKKGSSSRGGLIQDASKAQEESQSHPEDCQCWIGPSRRII* >Brasy1G120800.1.p pacid=40059289 transcript=Brasy1G120800.1 locus=Brasy1G120800 ID=Brasy1G120800.1.v1.1 annot-version=v1.1 MASQSPPAPLHPHQLQQQPLPPHQHPHPQYQAPPPSMPPPSGAPPKTMDLEVTVVSGKHLKNVNWRRGDLRAYAVAYLDPSRRTATRPDDAGGCKPAWNERIVLPLPPHLSPHDPSLLLSIDVFHSKPSDSPKPLVGSARSPLRELLFPANPNPSSDSASPLITLPLLCPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPTYSAPPQYGSEQYYRPSGYYSAPPPPSQYEYTTCPSAPVEYSRQYEQRARTEGGTGSGRYGVGTGLAVGAVAGAVGGLAIDEGVKYKEEKAAERVGEKVAPAGRDDYSEYRGDY* >Brasy1G109600.1.p pacid=40059290 transcript=Brasy1G109600.1 locus=Brasy1G109600 ID=Brasy1G109600.1.v1.1 annot-version=v1.1 MERMHARRLLSAVASPGPSTGERVAAPTPRSASAFSSLDATVITILSLLLCALVVALLLHALVRCAFRVTRRACYGQDEEPPGAGTAAPRARKKGGKGAGAAIRALPTMAYSAETELAVCGSTECTICLAEFAPGERVRVLPGCSHGFHARCIDRWLSARPTCPTCRRQPFAKPAVPTPAEEPAPAAVQLQVLVDVGRPGTI* >Brasy1G042900.1.p pacid=40059291 transcript=Brasy1G042900.1 locus=Brasy1G042900 ID=Brasy1G042900.1.v1.1 annot-version=v1.1 MKPGTFAVLAVALAAATLLSSSPSSRETTTIRVDDAVELIPVDGGAAGPESLAFDLHGQGPYAGVSDGRVIRWIPGERRWVEHSSSTPGLLDSCKGSKDTKREHECGRPLGLRFNSKTGELYVADAYHGLRVVSPGDNVSRPLGPRSGGSPFSFANGVEIDHETGAVYFTETSTRFQRREFLNIVISGDTTGRLLKYDPKSGEVQVLADGLAFPNGLAMSEDGSYLLLAETSTGKILRYWLKTSKASTLEEFAQLPGFPDNIKASPRGGFWVALHAKRGKIAELSTTYPWLRRLVMKLPARRVQGVMALLGRFGRQVIALRLSEEGKVVEEVTVHGAARKAFASISEVEERDGCLWIGSVLSPFLGLYRL* >Brasy1G181500.1.p pacid=40059292 transcript=Brasy1G181500.1 locus=Brasy1G181500 ID=Brasy1G181500.1.v1.1 annot-version=v1.1 MFKSRLNELCHQRRWAPPAYTHQLEGPAHTPKFRATVVVNGAEFHSPEEEAWPTTAKEAQSLAAKAAFEHLSSLPPPPPPPPQPGTQVDYKSQLQIYAQKRCKDMPFYHSIRSGPPHALLFKTTVTIDGRTFESPQDYHTIKEAEFAAARVALMSLPQVANPPEQLLAGSTSSISSPGNQPNYKLQLQIYAQKRRKALPCYSRIQEGSSHEPLFKSIVTIDGRTFESPQYCHTLKEAESMAANLALISLAQEASSQQQLLPVQTISYNSARQDLAEKEGSPLSVYNATSDDSNHSSISKANVETQGRSFQAGPGHTKKQSEMIATELAFQHSEDLGSQMQTGTENRTDQEFKILRPGSPVSQVSMVTSDLKNDSHAIDNDSCSLASTIPLPIVGTTEHLAGPTQSVKMENDRKALSEPSTEVEVMDSTAERTLLPLVSKPPTNTSKIAVTTSTVPIASQPLVEPIQSVKMENGKPALPGPSTEAEVMDSAPEPTSLPLTSRPPTNTSNFVVTTSTRPVASQALVEPIQSVKMENHKPALTEKSTEAEVMDSTPEPTFLPLTTRPTTNTSNLAASRSTARDASQTIVEPVQSAKEENYKTTVQEPSSEAEVMDSTPGPTSLSNTSSVATSTTALPVTSVGCGCSMLTNRIQVYPRRPDMVLPEGATVLPFSDEAWVAVSLPFLQP* >Brasy1G181500.2.p pacid=40059293 transcript=Brasy1G181500.2 locus=Brasy1G181500 ID=Brasy1G181500.2.v1.1 annot-version=v1.1 MFKSRLNELCHQRRWAPPAYTHQLEGPAHTPKFRATVVVNGAEFHSPEEEAWPTTAKEAQSLAAKAAFEHLSSLPPPPPPPPQPGTQVDYKSQLQIYAQKRCKDMPFYHSIRSGPPHALLFKTTVTIDGRTFESPQDYHTIKEAEFAAARVALMSLPQVANPPEQLLAGSTSSISSPGNQPNYKLQLQIYAQKRRKALPCYSRIQEGSSHEPLFKSIVTIDGRTFESPQYCHTLKEAESMAANLALISLAQEASSQQQLLPVQTISYNSARQDLAEKEGSPLSVYNATSDDSNHSSISKANVETQGRSFQAGPGHTKKQSEMIATELAFQHSEDRSQMQTGTENRTDQEFKILRPGSPVSQVSMVTSDLKNDSHAIDNDSCSLASTIPLPIVGTTEHLAGPTQSVKMENDRKALSEPSTEVEVMDSTAERTLLPLVSKPPTNTSKIAVTTSTVPIASQPLVEPIQSVKMENGKPALPGPSTEAEVMDSAPEPTSLPLTSRPPTNTSNFVVTTSTRPVASQALVEPIQSVKMENHKPALTEKSTEAEVMDSTPEPTFLPLTTRPTTNTSNLAASRSTARDASQTIVEPVQSAKEENYKTTVQEPSSEAEVMDSTPGPTSLSNTSSVATSTTALPVTSVGCGCSMLTNRIQVYPRRPDMVLPEGATVLPFSDEAWVAVSLPFLQP* >Brasy1G181500.3.p pacid=40059294 transcript=Brasy1G181500.3 locus=Brasy1G181500 ID=Brasy1G181500.3.v1.1 annot-version=v1.1 MFKSRLNELCHQRRWAPPAYTHQLEGPAHTPKFRATVVVNGAEFHSPEEEAWPTTAKEAQSLAAKAAFEHLSSLPPPPPPPPQPGTQVDYKSQLQIYAQKRCKDMPFYHSIRSGPPHALLFKTTVTIDGRTFESPQDYHTIKEAEFAAARVALMSLPQVANPPEQLLAGSTSSISSPGNQPNYKLQLQIYAQKRRKALPCYSRIQEGSSHEPLFKSIVTIDGRTFESPQYCHTLKEAESMAANLALISLAQEASSQQQLLPDLAEKEGSPLSVYNATSDDSNHSSISKANVETQGRSFQAGPGHTKKQSEMIATELAFQHSEDRSQMQTGTENRTDQEFKILRPGSPVSQVSMVTSDLKNDSHAIDNDSCSLASTIPLPIVGTTEHLAGPTQSVKMENDRKALSEPSTEVEVMDSTAERTLLPLVSKPPTNTSKIAVTTSTVPIASQPLVEPIQSVKMENGKPALPGPSTEAEVMDSAPEPTSLPLTSRPPTNTSNFVVTTSTRPVASQALVEPIQSVKMENHKPALTEKSTEAEVMDSTPEPTFLPLTTRPTTNTSNLAASRSTARDASQTIVEPVQSAKEENYKTTVQEPSSEAEVMDSTPGPTSLSNTSSVATSTTALPVTSVGCGCSMLTNRIQVYPRRPDMVLPEGATVLPFSDEAWVAVSLPFLQP* >Brasy1G526900.1.p pacid=40059295 transcript=Brasy1G526900.1 locus=Brasy1G526900 ID=Brasy1G526900.1.v1.1 annot-version=v1.1 MAEIVDPAVAAAAAAGGPVAEEPRLPPAPAAGEEDDDEEEEGDVCRICRNRGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAQNAPTRLPFQELVFGVGMKACHVFQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSFGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHEAERDDAGRERHGARAVRRLAGPNNRVPAADGNMDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLAKMMPFTESAISLANDTLNSAFNAMKNFSSDSHNEGVIGHVIEVVTQSLKINATGLAVMQGAAKNSVMKGTVMGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIAAILEAIPSLCRQFFSGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPFASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSTFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAVIGWALGLTDFLLPKPEENGGQENWNVRAERRDRLHGGREAVALQLEQRMIQQAALDNDGRGNANEAHDVTEESDADDQGDSEYGFALRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYVIDYIRSRRLAFLVQQICKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRMKFERVREDGFSRLKGLWVLHEIITPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSLRLNESDETPGPGGEDQALIPVDDEDVGLRFRRINRNAHEHPRMVLE* >Brasy1G169300.1.p pacid=40059296 transcript=Brasy1G169300.1 locus=Brasy1G169300 ID=Brasy1G169300.1.v1.1 annot-version=v1.1 MSDRRYGYSYPQNQGYGYYNGPPVMAPPQYAPPPRRQPGFLEGCLAALCCCCLVDECCCDPSVIFVT* >Brasy1G205100.1.p pacid=40059297 transcript=Brasy1G205100.1 locus=Brasy1G205100 ID=Brasy1G205100.1.v1.1 annot-version=v1.1 MSRALLSHLLHRPPLLSSRSGIRGVGALHSRLRTLRLNCSTAEATASADETPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYEAPQLSKSTRSTAAIYLACGIDSSKASIFVQSHVRAHIELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPEDVIVNKIKRCKTDSLPGLEFDNPERPECSNLLSVYQIITGKTKEEVVSECQDMNWGTFKATLTDALIDHLQPIQARYEEIMSDPGYLDNVLLDGAGKASEIADTTLNNVYQAMGFLRR* >Brasy1G205100.2.p pacid=40059298 transcript=Brasy1G205100.2 locus=Brasy1G205100 ID=Brasy1G205100.2.v1.1 annot-version=v1.1 MSRALLSHLLHRPPLLSSRSGIRGVGALHSRLRTLRLNCSTAEATASADETPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYEAPQLSKSTRSTAAIYLACGIDSSKASIFVQSHVRAHIELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPEDVIVNKIKRCKTDSLPGLEFDNPERPECSNLLSVYQIITGKTKEEVVSECQDMNWGTFKATLTDALIDHLQPIQARYEEIMSDPGYLDNVLLDGAGKASEIADTTLNNVYQAMGFLRR* >Brasy1G069100.1.p pacid=40059299 transcript=Brasy1G069100.1 locus=Brasy1G069100 ID=Brasy1G069100.1.v1.1 annot-version=v1.1 MAMKHARYQFLFPSCATVLHVRRRKLKLLPSPYFLSSTSSAPISSLPEGYFRLVLFAGPSEQVLLPTDSHSTTPHSTSNNRNRNKGRRRRGRKRKETANQERECVPSAEEVFTRVDALYESGDPLGRKELGWSVVQWLKLGMHLMASAFASTEVQNDRAAFSMEEASFDGNLGFINLARPYLATTPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLKQQNQGLISDWRSTQSWMILKELPNSAQHRKGTRKPKSPAMHSILGVSLDKTRLMQTKIDDFVKKMSDLLHIERDAELEFTQEELNATPKMDSRLKQPLKPVEYLVNHGQAEQEQCDTVCNLNVISSSNGVGGLRLVLFRVEGTHKLPPSTLSPGNMVCVRTCNNRGEVGTSCMQGFVHNLGEDGFSITVAFKSRGGDPTFSKFSGKSVRIDRIQALADGLTYERNCEALMLLQRKGLQKTNASIGAVATLFGDKEDMKMLEQNNMADWGESAMPDDGLLEKYNYDFDASQSQAIALGLNKKRPIVVIQGPPGTGKTGLLSYLIRCAVQQRERVLVTAPSNAAVDNMVEKLSGTGLNIVRVGNPSRISPSVSSKSLGEIVKRSLEKFTQEFQMTKSNLRKDLNHCIQDDSLAAGIRQRLKKLGKNFRKKENQTIKEILSNAEVVLSTNIGAADSLIKGIGFFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQHQLAPVILSREAMEGGLGISLLQRASSLHDGLLTTQLTMQYRMHESIASWASNEMYSGLLKSSPSVASRLLVDYPFIQETWITRCALLLLDTRMPYGSLNIDCEEHLDFAGTGSFYNNGEADIVVQHVLNLVLCGVSPTAIAVQSPYIAQVQLLRDTLEEYPEAYGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARHHVALVCDSSTICNNAFLARLLRHIRLNGQVRHVEPGSYGGDSGLGFSPPALPSIS* >Brasy1G100700.1.p pacid=40059300 transcript=Brasy1G100700.1 locus=Brasy1G100700 ID=Brasy1G100700.1.v1.1 annot-version=v1.1 MAPWTGLWGGRAGGEAYRGTPVVVKMDNPNWSISEISSPEDDDEDFLAGAGAGGVGRRKGGRGKNAKQITWVLLLKAHRAAGCLASLASAAVALGAAARRRVADGRTDADADAGAVVAAGESPVLRSRFYAFIRAFLVLSMLLLAVELAAHLNGWNLAASALSIPIIGVESLYGSWLRFRAAYLAPLLQFLTDACVVLFLIQSADRLIQCLGCFYIKVKRIKPQLKSTALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQSLIREEVAKWQQNGARILYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCIPDIIKSKISVWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAVTPKELRHQKTGSAPNFDAIMKEQSGSKKDTKKKHNRIYKKELVLSLLLLTAAARSLLTKQGIHFYFLLFQGISFLLVGLDLIGEQVE* >Brasy1G012600.1.p pacid=40059301 transcript=Brasy1G012600.1 locus=Brasy1G012600 ID=Brasy1G012600.1.v1.1 annot-version=v1.1 MARQLVVVVALLAAAYLAAGLCIVVVAAAPPPPPPASSFRVLTPQGRLNADTNNCGKRKCVNVKCDSRCPDQCFLLCPSCKTLCMCDFYPGISCGDPRFTGGDGNNFYFHGKKDKDFCIISDIGIHVNAHFIGTHNHNMSRDFTWIQALGIRFANHRLFVGAKKTIKWNKDIDRLELALDDETIDVLARLGARWESLVVPGLTITRTAATNGVRVQLKGVFDIMAIAVPVTKEDSRVHNYGVTDDDIMAHLDIGFRFQGLTDDVHGVLGQTYRPDYVNKFSVRASNPIMGGVASYVSSDIFATDCAVARFARHGGISMVMDKLK* >Brasy1G202400.1.p pacid=40059302 transcript=Brasy1G202400.1 locus=Brasy1G202400 ID=Brasy1G202400.1.v1.1 annot-version=v1.1 MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALKQKGSDSGFKLHGLNFEPKTKTSSATNSANSSVLRSRSSSAHPKDSSSNGKPAQHDKASDPGLPSQQALTKQEDSSGDGSKGINLFVDVCCQPEGQEPKAEIPVPLEFSFHPDEQSLSLQRVCSSPSPFPREKMPAFDAPPARSSSLKIISGDGRPPMLRARSPIPGRPVPQVFKEAKSESKRFSTPPPRRKSLSPPRASPVVEPARAPGKVKHRKECRDNGMAAKAAALKVLEKWSVDRSQLLIGHRFASGAHSRLFHGIYQEQPVAVKFIRLPDDEEEAELSAQLEKQFSTEITMLSHLHHRNVIKLVGACSSPPVFCVLTEFLSGGSLRAFLHKQEHKSLPLEKIISVGLDIAHGMAYIHSQGVVHRDVKPENIIFDGECCAKIVDFGIACEEAYCDPLANDPGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMLTGSVPYDDLTPFQAAFAVFDKNVRPTIPVSCPAALRLLIEQCWALQPDKRPEFWQIVQLLEKFKMFLDRDGTLDNMPSSNCQETHDHKKWLAHWVHKLKHSHHHDLSGPPPPKLL* >Brasy1G202400.2.p pacid=40059303 transcript=Brasy1G202400.2 locus=Brasy1G202400 ID=Brasy1G202400.2.v1.1 annot-version=v1.1 MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALKQKGSDSGFKLHGLNFEPKTKTSSATNSANSSVLRSRSSSAHPKDSSSNGKPAQHDKASDPGLPSQQALTKQEDSSGDGSKGINLFVDVCCQPEGQEPKAEIPVPLEFSFHPDEQSLSLQRVCSSPSPFPREKMPAFDAPPARSSSLKIISGDGRPPMLRARSPIPGRPVPQVFKEAKSESKRFSTPPPRRKSLSPPRASPVVEPARAPGKVKHRKECRDNGMAAKAAALKVLEKWSVDRSQLLIGHRFASGAHSRLFHGIYQEQPVAVKFIRLPDDEEEAELSAQLEKQFSTEITMLSHLHHRNVIKLVGACSSPPVFCVLTEFLSGGSLRAFLHKQEHKSLPLEKIISVGLDIAHGMAYIHSQGVVHRDVKPENIIFDGECCAKIVDFGIACEEAYCDPLANDPGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMLTGSVPYDDLTPFQAAFAVFDKNVRPTIPVSCPAALRLLIEQCWALQPDKRPEFWQIVQLLEKFKMFLDRDGTLDNMPSSNCQETHDHKKWLAHWVHKLKHSHHHDLSGPPPPKLL* >Brasy1G224000.1.p pacid=40059304 transcript=Brasy1G224000.1 locus=Brasy1G224000 ID=Brasy1G224000.1.v1.1 annot-version=v1.1 MALRVLFFFFFLMTASFPAACVSSHARSPDAVALLAFKSACAWRAAAALASWTRSSDPCSDEWRGITCQRSSSSSSQPRRVRRVVLEGLSLGGDARVLAALADLPSLSSLSLKNNSFTGSLRGVDFSPLAPHLKLLYLSGNGFSGPFPESILRLRHLRRLDLSGNRFSSTIPPEIGHRLRALVTLHLARNSFVGPVPASLEATSKLAELDVSGNRLKGHIPKHLAAAFPASSFAGNPELCGAPLLRRCNGQQQRARVGGDGESPHGQRKRRNHDRWMVVMIMAAVGAAVATLIAAALCAALWLKNRTPTRPRGSSSRTSSMLSQEEETVRFDGCCVEFDVRSLMMGAAEMLGKGAAATTYRVVMGGGGPNDANDGAAAGIVDETSTGGETVVVKRLRRREGATREDERRRRELAREMGSWRHDNIVSLRAFYASAEELLLVFDYVPNGSLHSLLHENRGPARAPLDWQTRLKLAQDAAQGLAYLHGGGSRRLAHRHLTSSNILIDGSGNARVSDFALLQLLVPSPPESSLKQQQQEDVRGFGVILLEILTGRSPEEDGKPDMARWVRTVVREEWTSEVFDVELLRGRGAEDEMVALLQVALLCAADDPTERPRMAVVARMIEDIRDRGSKRSKYSASPSQAGCSYESSPCVSEDTAKSTTASSS* >Brasy1G024500.1.p pacid=40059305 transcript=Brasy1G024500.1 locus=Brasy1G024500 ID=Brasy1G024500.1.v1.1 annot-version=v1.1 MEVKLQTARTLVGRLRGAAAVRDAGATAAAVADIRRASKDDPDVRAPLADAGAVPFLAYQLTSPSTSASAENAAAALLNISLSAREQVMSTPGILDALSAAIHSSSSSSSPSSSFEQEPQHAAQHAAAAVASLLCVDAYRPIIGAKLPLLSALVSLLRPGIRRTTRATKDALKALFGVALYPLNRPALVDLGCARALFALVVADGRSGIVEDATAVVAQVAGCAESVGAFAAVAGVRILVDLVDGGAATGRARENAASALLNLVMAGGESAVEEVLAVGGAVEAVRELAEDEGASARGKAKAEALLRALEEGAAAGNRRRREHRFADFLDGLVLSDPYFSSPASAATPTHDG* >Brasy1G465100.1.p pacid=40059306 transcript=Brasy1G465100.1 locus=Brasy1G465100 ID=Brasy1G465100.1.v1.1 annot-version=v1.1 MISLHSAPFTLLIKLEPGRRRLALPQYAVLRRPAAARSVCEAAVAAESHLRQLEFARDCFPDEDRLLGYETLLVSHFLDILQDIHGSDFRRVVEECLRVSGEYQRAGADDAAAKLEELGALLTRLDVGDAIMVSSSFSHMLNLANLAEETQMVYEKKLEACRRGGFADEASAPTESDIDDTFQRLVTGLGKSPQEVFHALRAQTIDLVFTAHPTQSVRRSLLQKHATIRACLTQLGAEGATENEKREMDEALRREILAAFRTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPRFLRRVDTALAGIGVRERLPYDAPLIQFSSWMGGDRDGNPRVTPDVTRDVCLLARMMAANMYFSKMGGLMFELSMWRCNDELRARADELHRLSDRKYAKYYIEFWKQISPREPYRIILGDVRDMLYNTCERAREILSHGVSSIPEDNTYTSVQEFLEPLELCYRSLCDCGDKLIADGSLLDFMRQVTTFGLCLVKLDIRQESDRHTDAMDAITTHLGIGSYRDWPEARRQEWLVSELRGNRPLFGDDLPHSDEVADVLGTFRVIAELPGDSFGAYIISMATAPSDVLAVELLQRECGVKEKPLRVVPLFEKLADLQQARATMELLFSIDWYKERINGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPGTVNGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMSPPVAPKPEWRALMDDMAVVATEEYREIVFREPRFVEYFRRATPETEYGRMNIGSRPSKRKVGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMEKQPGGLATLREMYEEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPQDLWPFGEQLRANYTETQSLLLQVAGHEDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPATRPPLSKELIESTAESLVELNPSTEYDPGLEDTLILTMKGIAAGMQNTG* >Brasy1G385400.1.p pacid=40059307 transcript=Brasy1G385400.1 locus=Brasy1G385400 ID=Brasy1G385400.1.v1.1 annot-version=v1.1 MNSWPLQHGGERAARGSRRPAGARASGGPPRSLGGGAGGRTGGGGGIGSLARGGSPARTGGGGVDCSVRGIRRAGGSAAAASAEGGGSGRPRTGSGPGGRWRWPRWPGRPAREEVAARGGELRGQSGAASCVGRAARRHAGPSKRRSHPLYPSSSSPTRPLPLSSCSSLPHRFLPSTHGATLVTGARSWRVVSSRRSSSAPPGSWFLRCSDCISNIVVSPRV* >Brasy1G073300.1.p pacid=40059308 transcript=Brasy1G073300.1 locus=Brasy1G073300 ID=Brasy1G073300.1.v1.1 annot-version=v1.1 MESESYRLPHSRHRPKSCRLAVIRFVRHLRAALPSAAAQQPPPLRHRSSRIHGGRGCPSCTSPHPTISS* >Brasy1G235800.1.p pacid=40059309 transcript=Brasy1G235800.1 locus=Brasy1G235800 ID=Brasy1G235800.1.v1.1 annot-version=v1.1 MSPRAAAAGEGGRREALAKVSLSSVSSATAEVATFPIDALKTRLQLHRGPAGGGGGVLRVAGELVRDGGLYRGLSPAILRHLFYTPLRIVGYEHLRSSLASGGREVGLLEKAIAGGVSGVAAQVLASPADLIKIRMQADSRLLSQGIQPRYTGVLDAFTKIIRAEGFLGLWKGVAPNAQRAFLVNMGELTCYDQAKHFIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGQEAKALYRNSYDCLVKTVKHEGATALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF* >Brasy1G226500.1.p pacid=40059310 transcript=Brasy1G226500.1 locus=Brasy1G226500 ID=Brasy1G226500.1.v1.1 annot-version=v1.1 MATVPDSLVWELVKKNNSFLIKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPASDKEMSVVLSTTKTKKQNKPAAFSHKTVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQMK* >Brasy1G226500.2.p pacid=40059311 transcript=Brasy1G226500.2 locus=Brasy1G226500 ID=Brasy1G226500.2.v1.1 annot-version=v1.1 MATVPDSLVWELVKKNNSFLIKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPASDKEMSVVLSTTKTKKQNKPAAFSHKTVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQMK* >Brasy1G498300.1.p pacid=40059312 transcript=Brasy1G498300.1 locus=Brasy1G498300 ID=Brasy1G498300.1.v1.1 annot-version=v1.1 MGTLIPKLFKLLKKEYNLHKSVKKDVEFLLRELPSMQVALRKVAGVPRDQLDEQVKLWADEIRELSYAMEDVVDDFLTSSGTVEEPSASNRPKQQLLEKMGNLFTKGKTHHQIAKKIKDMKILVKEVADRRDRYKVNDAVANLAAATRANNLAVACTSTTVDPRLLAMFNDPKGLVGLDVARNEITKKLLADWDNGDQQPKIVSIVGSGGLGKTTVAKVVHDRLQEKFVLKAFVSVGQKPDMKKVLRDILLELDKEGYRISNAPMLHEKQLIEKLHELLGNKRYFIVIDDIWDVEVWKIIRCALKDGNCGSRIITTTRILDVAKKSGEVYQLKPLSPENSEKLFYTRLCDGKSKCPFGQSGEITGKILQKCGGVPLAILTIASLLDGKPRKDWSKVYESIGFGHGVNKDVDNTRKILLFSYYDLPYYLRTCLLHLSIYPEDSTIRKTTLIWKWAAEGFIKEEPEIGQYEIGERYFKELLNRSMIQPVEDRDDVGVVLGCRVHDLVLDMICLLSKEQNFVTILDTNDQHISCQSNARRLAVQKRVVEVEQGDSLANMCTRQVRSFNATACDVRMMPLLSSFRALRVLAMESCTFKRDGSYHLEHLGSLIQLRYLGLESMPIDKLPEQIGKLKFLETLNLSSTEIKELPQSIGLLRQLKCLNLLDNSMEGIGGLHILGNLTSLEELSFEYANSSPEIVVELGKLTELRDLDMTIYNATQFDDSMVKALVKSLGKLQKLQILNLFTDYMCFGSKGWEGYVPPPQLRELSLSTEPDMLPAWINPSLLPNLTRLSVTLDVVKAQDMEILGSFPELVSLTLEACGYQGFLPDVTGGCHGGLLFPKLRYLGTPTLVSAGSDAEPRVPCVPFS* >Brasy1G096800.1.p pacid=40059313 transcript=Brasy1G096800.1 locus=Brasy1G096800 ID=Brasy1G096800.1.v1.1 annot-version=v1.1 MDGAAADLQEWELLLASPTGGAAVPGPYAGGGGEGHDNDVDAGAIKYDYFDLGSDARYTRRASLSIGGEEETDEEEGALLDASGNASWVEPQPDDLAFPGRDRAALWSDSSSDGERREEAEPTEPLEPPPQEEAAAADEGAVAKGGAAPWWKLPMEVLRFWAARAARSAWSLPVAVALLGIAVLGRRLYRMRRQSKAVARFRLVLDEKKASQFKAQPMLRRSPLVKPLLPANGVTPWPVLGHL* >Brasy1G222100.1.p pacid=40059314 transcript=Brasy1G222100.1 locus=Brasy1G222100 ID=Brasy1G222100.1.v1.1 annot-version=v1.1 MCKIVIGCDVEGKWVLHWGVSYDGEQGREWDQPPSEVRPPGSVLIKDYAIETPLESSPNSAGHMVHEVEIKFNKDTPIATINFVLKEEETGAWFQHKGGDFRIPLSGSLDDEDPFGAKQDTVHPGAKPEGSSAQPQETVPGDKGPIVKRISEFYGEYPILKSEYVQNFVSVTVTENSETDKSLVEFDTDITGQVIIHWGVCKDNTMTWEIPPEPHPPKTKIFRQKALQTLLEQKTDGTGNTISFLLNADYSGLVFVLKLDEYTWLRNVENGFDFYIPLKEPHKADDQKVDDKSAQTDGLIGDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDAVEDSVYIDDPARVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGTKAKELASLGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTIEELKQLVNVFHQAGVKVLGDAVLNHRCAQFQNQNGVWNIFGGRINWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHMALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMEMQGYVYILTHPGTPAVFYDHVFSHLQQDIAKLISVRRRLKIHCRSKIKILKAEQNLYAAEIDEKVTMKIGSGHFEPTGPVDWIVALEGQDYKIWEASS* >Brasy1G144800.1.p pacid=40059315 transcript=Brasy1G144800.1 locus=Brasy1G144800 ID=Brasy1G144800.1.v1.1 annot-version=v1.1 MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA* >Brasy1G183700.1.p pacid=40059316 transcript=Brasy1G183700.1 locus=Brasy1G183700 ID=Brasy1G183700.1.v1.1 annot-version=v1.1 MQCVFARHVWAGVAAWIKLVASDPASWEATVSMKQWWLHRSDMARASTSKALGHGAASLFLLTLWSIWKERNNRIFNLKRLSAQGVIAIIKNEAAIWRLIDSSGLGALVSGADDVP* >Brasy1G090100.1.p pacid=40059317 transcript=Brasy1G090100.1 locus=Brasy1G090100 ID=Brasy1G090100.1.v1.1 annot-version=v1.1 MPTMLLDGDLDGMLPFEEDVPEATRNRHAPLPPPGHVDGWPVWGEQDGEEGPQGGGGDEPDVLLPESPLQLDFRAPTRPLGLNLFRAPAPSDSRDSDHLGIDEEQWLSLGPSPGCRVLPGISFRSPSPADLEAVQPRHVPIAEAMGLNSDFDQHLQLALLDQLDSAQQAQLFDPSDPKGKKIVTAHPPPSSSVPATGRILGQPHRSDEPPAPWPASLGRIPGLTPSGVPVDSSVQHLDSSAPLASNILQLISQNDEQALQRIAPTSVKKRLLIRYLESWIEHEESSDLGSKASLPEQQVFSPIRNTNLHQSGSLGDINRGVSQASDNTQSSWAKSFDKFYSRCNKKRCLDSEEASSRPPQVQTLGLHAPVTAHSTSAQPTRWPISENDVRRSPRISDNSQGFKKDYAAMHRGGSLGQRRSRQSALSSSSSGSQGQSSRRDISQALPQDLHQHLQDSTAAPIPMQIIQDTGIHFCGLTPAELEVDKLNNDPSVPHDDTTDA* >Brasy1G457300.1.p pacid=40059318 transcript=Brasy1G457300.1 locus=Brasy1G457300 ID=Brasy1G457300.1.v1.1 annot-version=v1.1 MQITMAEMSWSILPHKLMAAAATLLLVLSLLLSQQAAAAPAPAKKNSCYKRLFSFGDSLIDTGNFIKYSTAPGSVARSPYGETFFGRPTGRWSDGRLIVDFIVERLGFPYWPAYLQASNKTKEEFRHGANFAVASGTALNQLLFRKKHLNVNQITPYSLDVQIGWFENLLPKLAATADERRELMASSLFLVGEIGANDYNHPFFQNRTLGWVWPLVPSVVRSVTLSIEALIGLGAKHIYVPGIFPLGCVPRYLFFFRGGERGEYDSAGCLVWLNDLTRLHNRLLKDRLDELQHEHPDVSITYADYYDEVISLITLPVQNGFNKETVLHACCGGGGPYNANFTIHCTEPGAVQCPDPSKYVSWDGLHMTEAVYRIMARGILDGPFATPSIMSKCGSN* >Brasy1G484000.1.p pacid=40059319 transcript=Brasy1G484000.1 locus=Brasy1G484000 ID=Brasy1G484000.1.v1.1 annot-version=v1.1 MTGVGDDDVGVDLISALPEDLLGEIISLLPLKEGGRTRILSRRWRHLWCSAPLNLDCRKLTICRQEAVDVLSGILQAHTGLVRRFRYSAIWGFQGPLENWFSRSAFDDLRELALEFYPPADLPRRSPPFKELRVLHLSCCNLANADSLHFPKLKQLSLSRVNISGFLLHGMVAKCIVLEFLAIMSCSGFRSLRINSRTLKSMFLDSIDEGLRQFEEFVVESAPSLETLYHVNSYEGVKVSMLSVPKLECLGVSTHCDSWWTKSLLGVQGIRNNGFATVLPVTLKTLFIGLCAVYNLDIVIELMRCFPCLKNLHVYFAFCLGSVA* >Brasy1G039200.1.p pacid=40059320 transcript=Brasy1G039200.1 locus=Brasy1G039200 ID=Brasy1G039200.1.v1.1 annot-version=v1.1 MAAAAPPPPAVALEQLSKSKMFGGHNIRFRHHSAALGCPMTFSLYVPASPASNVPVLYFLSGLTCNDENFVIKSGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIANPINCPWGQKAFSNYLGTTKSEWEEYDATCLIKKCNGVSTPILIDQGDDDKFLAEQLLPGNFEEACKAAGVPLTLRMQPGYDHSFFFIATFIDDHIAHHAQFLKSA* >Brasy1G039200.2.p pacid=40059321 transcript=Brasy1G039200.2 locus=Brasy1G039200 ID=Brasy1G039200.2.v1.1 annot-version=v1.1 MAAAAPPPPAVALEQLSKSKMFGGHNIRFRHHSAALGCPMTFSLYVPASPASNVPVLYFLSGLTCNDENFVIKSGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIANPINCPWGQKAFSNYLGTTKSEWEEYDATCLIKKCNGVSTPILIDQGDDDKFLAEQLLPGNFEEACKAAGVPLTLRMQPGYDHSFFFIATFIDDHIAHHAQFLKSA* >Brasy1G368800.1.p pacid=40059322 transcript=Brasy1G368800.1 locus=Brasy1G368800 ID=Brasy1G368800.1.v1.1 annot-version=v1.1 MHASQVWRRESPSSIAATDSFMSVVQGKFMRICSNMLFLGLEHRFLIGGTWRSQRKLTLLAASDKEKQDSLCQGYKHLMRSLDN* >Brasy1G396500.1.p pacid=40059323 transcript=Brasy1G396500.1 locus=Brasy1G396500 ID=Brasy1G396500.1.v1.1 annot-version=v1.1 MLSIDYCLGLALGTLFPPAISCTRLGLHAIAPISFLHKALTFRIMLHRSLLTPNPGRHFQDITMGDTVAGAADYRGLPASRAATGGWKSSIFVMAMEIAERFAYKGVASNLIQYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACIADAWLGRYRAIVLASVLFILSMGTLSLSSAVRIFGSGGHVAVFYVALYLVALGEGAHKPCAQAFAADQFDDKDPKESVARSSFFNWWYLGMCAGTAVTIMVSSYVQDNVGWGLGFGIPCIVIAASLAVFLLGTRSYRYYTTKEPSPVARVGRAFVTLIKSWRSKHRTNPASSAKVDGGNNAEDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGPSFKVPPAALQTFISVSIVIFIPLYDRLFVPLARRYTGRPSGITMLQRVGVGLALSLVAVVLSALVEMKRLRVASDAGLVNAPKAQLPMTLWWMVPQYILIGVSDVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSFLISVIDGATKRNGASWFSNNLNKAHLDYFYWLLAGLCVVELVAFLFFSRVYVYKKKAGDGDYRGDDPDGGVV* >Brasy1G323100.1.p pacid=40059324 transcript=Brasy1G323100.1 locus=Brasy1G323100 ID=Brasy1G323100.1.v1.1 annot-version=v1.1 MHWTDTLFVSGAKLASGWDCVLCSTTSEETLHHLFFECSFSQSCWAVLSVTWDMHLTLADRVLAAQASWRRGLFWVVFIIAACGIWKERNKIFEDTSPSISSWRARLKAELSLLSYRVSKEASKQKLSLIVASL* >Brasy1G288600.1.p pacid=40059325 transcript=Brasy1G288600.1 locus=Brasy1G288600 ID=Brasy1G288600.1.v1.1 annot-version=v1.1 MYLNPRIVGCGERTLVLSHGYGGSQAIWDKVLPHLSKNNKVLLFDWDFSAASAGEAEEAEEEEEGERYTFSRFADALVALMDSAELSGAVYVGHSMAGMIGCIASAKRPDLFAHLVLVGASPRYMNTPGGYEGGFDGPDIDAMLAAIRSDFRSWAVGFVALAVGSVEAEPVVARSFLAMDPRVAHGLARMLFLGDQRRVLGRVAVPCTLVHVSRDFAAPPGVGRYMQQRLKSADLEVIDSVGHFPQLLAPDELIGILDRVLLGLPDPEAEVMD* >Brasy1G518700.1.p pacid=40059326 transcript=Brasy1G518700.1 locus=Brasy1G518700 ID=Brasy1G518700.1.v1.1 annot-version=v1.1 MHHRPPLPPPPLLLTILTTCFLLSHTTPGASAAVTATPSCSPKTCGNVSISYPFWLTSSAESAPPCGPSAFQVDCLRTSNNSNLTIPSLSRSFRGGYKILSISYSTRTLVVSNDNVQTNPAGCPVPRIDVSASLSLAPFSASAGANRQLVFLFNCSTAPPGFVPVACNVSTPRPLVRLDERYDTAGARAVAGKCDYSVVPVVGGGGSTLPGKMIGEEYPRLLRGGYVLEWRASAGDCGACEGSGGRCGFDSDADAFACVCADGSSRPARCGAKKSRNRVILIVSLSITAGFLLACLVIIFKFRRRIRSSSLLSNVVMDRDARADNANVEKLLQKYGSLAPRRYRYSELKKITGSFKHKLGEGGYGAVFLGTIPREGGVGQRTLAVKFLHQSSKSKPNGEEFLNEVISIGRTSHVNIVTLLGFCLEGPRRALVYEHLPNGSLDRYIYSNNGGNSSITLGWETLQEIAVGVARGLEYLHEGCNTRIIHFDVKPHNVLLDAEFRPKVADFGMAKLCEPEVSVLSMADARGTVGFIAPEVFSRGFGVVSAKSDVYSYGMLLLEMVAGRSNVKAYAAAEKAQDGGLFFPLWIYDHLLGNNGVLLLQDDVGAGDGDGEMIARKMALIGLWCIQTVPENRPAMSGVLEMLERSIHELALPPRPYHPLSPSPSPSHSLPSSYPSSTSAFTQRSRSLTPGSTV* >Brasy1G006200.1.p pacid=40059327 transcript=Brasy1G006200.1 locus=Brasy1G006200 ID=Brasy1G006200.1.v1.1 annot-version=v1.1 MAAPQQVRAVPLARALRLSTRVSASAPARSAETPPRRALLGLSEPELRQLAVDLGQQSYRGKQLHDLVYKNRATQIEEFAYVPKVFREALVGAGWRVGRSPVHHAVTATDGTTKILLKLEDNRLVETVGIPVDDKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLHGHEIVEQVLAIEESFKHRVTNVVFMGMGEPMLNLKAVLEAHRCLNKELKIGQRMMTISTVGVPNSINKLASHKLQSTLAVSLHAPNQKLRETIVPSAKAYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDEKAHAEELAALLHACGGGYHVNLIPYNPVEGSEYKRPYRKAIQAFVDALESRKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPPSETNQPLESSPPSEPSLVPA* >Brasy1G165000.1.p pacid=40059328 transcript=Brasy1G165000.1 locus=Brasy1G165000 ID=Brasy1G165000.1.v1.1 annot-version=v1.1 MASAAAKVVAAAADTTSTRAHSLSRIFFSSSPNVQSPKRNPKPNPEPKIKRALTPKTPTADADSDANQLWKPLRHIIQDLLRERDPEKLVSEFVAASSASARFRDKHRVYEVAVSRLASFGRQDSIETILGAQKRFLETSKDGFATRLIDLYGRATMPAQAAATFHELPAQLKCTMTFNAVLTAYYQAKEFDALATAFQEIPASHPLVVPSVYSYNILIRALCQKHDLSAALNVITLMEKCGVLPDNSSFNTLLNGFYQNGRMDDAESVWEMMKERNLEPDTKSYNAKLRGLVAEGRIEDAAAVVERMEKDGPKPDTVSYNELIRGYCSAGRLEDAKKLYDGLVKNECAPNRGTYETLLPRLLQAGELDCALRYCHELFSSNIKSCRVDCSLLQDVVTTLVEASRVDEAAKIVQLGRKKYYLRKLLMPHTEEHNEVQTETDEEESISEEKVCEVEPEIEK* >Brasy1G249900.1.p pacid=40059329 transcript=Brasy1G249900.1 locus=Brasy1G249900 ID=Brasy1G249900.1.v1.1 annot-version=v1.1 MAMPILLSNVPIGFRFEPTDQELVVDYLQRRAAGQPCPLPIANIDIHKINPCNLPSKAMPLFGGRGWYFFTMKDHRKYSKRSRPNRPAATGFWKVKGSGELISLQKNHGDVVVDLRPIAIKKVRVFHLGCPPTARKTPWVMHSYSLMPPILDGTVEWMLCKIFRDTKMILAPRPPPGPGPAEIQQLQDSMRNMTISDASDIMLYPNMSFAILEEAPNNYQELYHHHHHHQMQINDGGDVDDEAISEVITGRGGHMSAADATMANSAASTSGATTVATNHQEEDGAIDSEPQVIDNMLMGNSSFADLK* >Brasy1G427300.1.p pacid=40059330 transcript=Brasy1G427300.1 locus=Brasy1G427300 ID=Brasy1G427300.1.v1.1 annot-version=v1.1 MISSGIFPVLGVAGSLSFVPEVTSDQKVPGAAPWAAALLIAGSSTAGASSNQW* >Brasy1G052000.1.p pacid=40059331 transcript=Brasy1G052000.1 locus=Brasy1G052000 ID=Brasy1G052000.1.v1.1 annot-version=v1.1 MATGTVERLLEVETISFSAEPAPTSGFAVRVTCNAKRSFELVRRRSGRGRHRRANHGDVLEQTCKGSPSGAAAVFPVPDPAALRTEAACRGELRRMLQSLPQLQALGGEWDDAVVPGDVVYIVAEARYTCDGDGRAFEFALCVRRRALLLAEEALLLACTERADRAGECAICFGDFGGEAVELPACKHAYHRRCISEWFSKATTCPLCRDDVRIYALRKFL* >Brasy1G342300.1.p pacid=40059332 transcript=Brasy1G342300.1 locus=Brasy1G342300 ID=Brasy1G342300.1.v1.1 annot-version=v1.1 MRRTKRGDGLAGRSHKSTTPPNGKGEATDLVGSFIEKIHKTKRSDDDFTRRSHKSIRGRNGSGKRTESMALLGDLHVENWTRLSDDDFARRLHKSIRGRNGSGKITESVALGDLHGDSNRYDWTRLSDELKSNLSRSVASLALCNGDTVLFACSAIAIDCQENLTKFLTSATLARAFISGDKRKFHGNLKIKVHHEGNEVYEGVLSEYDLDHNFAIVIVNTSLDVHVGLFKHRAENLPRGMVLALGRGISGTLVPTNVILAIEDNEAPLWQMSEVLEGGPLFTFDGNFVGMKLFLVMVKAYFISCGSILDWLNCTSLQKKTYLPRSKSLKVARFGSRSTCDKLNGYSEVHRPVHRDDMTSGYCRDLESLGYPMPQIDMVDGESVLLNLLSFYSSAVQLKAIRLVIPSGGMILVNTFEDTFGDAYPEGVWHEFSKSASSDIDRYVVALASFKGDLQYCAIMFLFLFVTCYIINIMACRREKAFRVHGFFIEWNGSTTILTSASLVRSSGDENKTDEDLRIEVLLPNRRRIEGMLEHYSLHYNVALVGVRDCRVVRPSSIQPYRFGCSKVASVGRCFISGALMAASGRLVSWSGTLDCRYTVRSSCKITKAGIGGPLVDMRDGKVIGMNFYDKRIGTPFLLWKDIDNILAHFAGKSKQAGEVGREDCDPSSARLWKMGDDSDREVGCEDCDPSSARFWKMEGDGSDRPSRWPVPEPCWLRPDDLVEDESEDDDELGIQYGYSRGRKVILL* >Brasy1G323200.1.p pacid=40059333 transcript=Brasy1G323200.1 locus=Brasy1G323200 ID=Brasy1G323200.1.v1.1 annot-version=v1.1 MGTDLLLLEVDGHWRELGGAEQRRPSGEWPTGEARRRGGGGAAHLVVGGGARGRGGGEEEARRTWSSAARGRGGGEEEARRTWSSAAGLEGAVSRRGLGARRPSGRASPPET* >Brasy1G286900.1.p pacid=40059334 transcript=Brasy1G286900.1 locus=Brasy1G286900 ID=Brasy1G286900.1.v1.1 annot-version=v1.1 MAAAVVVVLDFDKTIIEWDSDNWVVTKLGATDAFNRLRPTMRWTPLMDRMMGELHAQGKSAEDIRQCLRSAPLDAHVLSAIRTASALGCDLKVVSDANAFFIETVLEHHGVLGCFSEINTNPARVDADGRLRISPFHDPASSPHGCSLCPENMCKGKIMERIQATDSAKNKHFIYIGDGKGDYCPSLKLREGDYVMPKENYPLWNLITSNKQILKAEVHAWNTGEGLERILLQLVNKLITPPAQVTQVDYKCEMSNPVSTEVGHHQTLPVPN* >Brasy1G486900.1.p pacid=40059335 transcript=Brasy1G486900.1 locus=Brasy1G486900 ID=Brasy1G486900.1.v1.1 annot-version=v1.1 MPPIQDDSAIEIGTTAPDQTLLLPHKGRAVDQVDPLKQPECQQTRREKDQAQKRKNFVRRLGTSAPVPDTDLPAPVPIPAAGPRRRRSPPSFPALTCSPQSLPAAVKLEHATGRRRSPPSQALPNPRQRPLRSSTQRAAAPVRPQAPSNSSIAAAFPTARPHPPTPSPDSDQRRSSGEWSRAAEQGAEQKAAAMREVSRRRAAAQQVEEEQTEKDLESATKMGSIALGEHEEEEADGVVEDEDARGEEERAGGAGSGGGGRG* >Brasy1G171900.1.p pacid=40059336 transcript=Brasy1G171900.1 locus=Brasy1G171900 ID=Brasy1G171900.1.v1.1 annot-version=v1.1 MKYPNKDMAKGADSRVAATRIARKMDGTSEDVAACIPEVVARSRPMKGATAIDGLRKSNAVFGRLRAMLKINADNVADPKVSDPKAVDPKVSYHKAVDEPIADAPSSTMPAGDAGVLSDVGVVTAPSSRPEKIVPPAVGSASKPVGIVSPAFGSAAKPVEIVSPAVGSAAKPVEVVSPTVAANPVDIVSPVVGSASRPVEIASPADPAARPGPLNLGGATVTAHAGAARGDLIDKLLPTGQEAAEGLVGLHTGMFPASLLFANPNAGQNTTPVKTYTRTKAATQLGIGRRSASVSRQSACVDKKQVGDALAGPSDGLGGNKFDVHKSTRHPMAFTPPGFDLGFEGDAEPVAKQQKHVSFVLPDEQPGADIDINKGAFVDLGTVDVSQEEIELFDIYDREYRKANAAALSGSAENELYKKVRRFGDGRKTSKSKEATWIELGELAACVKPRGQLTSNVAEAAIEYIKLYDCPDDKVLMSYVVGKKISEGNVKHNVIKAAFSQEIGSKLTRKNLIMFPFIQTWVVGKDKVGHWYTISINTKQRMFEILDSLRGPDNDDLQSHSRVMLGHIKRAWKEHYGGAKLQIEDFTTQHIDVPKQNNLNDCAFYMLEFMRKWDGRVVPALEPDDIVELRKVLTYKMIVTQPFNEKKNAKDFIEGNTK* >Brasy1G170800.1.p pacid=40059337 transcript=Brasy1G170800.1 locus=Brasy1G170800 ID=Brasy1G170800.1.v1.1 annot-version=v1.1 MADDSAASASTPVSASPTPSRPTDPDFLSCVLQPPIPSSSRPDADYAALRRLLLRRKSPSALQHRMDWRCNGKGYVAYRNFLLRRLDGGAASSSAHSTPGNSGRWFPGHSTFSEADSWSSLRDLRSNSGMLSRTVSVSSKQSDSERHVRFAELAYSFVGMHCIFDNCKASVTILKFGRANSDLLAYGSADGSLTVCQVSDPPSVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRVISKLTFDNAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSCKTKSLITTIQYRTFSLVARCPVLLSCSQDGNLYFFSIATNAHGYLTVICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSGDSNVYFYDLARPKSSCVNKLQGHGSPVHGVAWNHGENLLASSDSDGTVIVWKRAKSN* >Brasy1G170800.2.p pacid=40059338 transcript=Brasy1G170800.2 locus=Brasy1G170800 ID=Brasy1G170800.2.v1.1 annot-version=v1.1 MLSRTVSVSSKQSDSERHVRFAELAYSFVGMHCIFDNCKASVTILKFGRANSDLLAYGSADGSLTVCQVSDPPSVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRVISKLTFDNAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSCKTKSLITTIQYRTFSLVARCPVLLSCSQDGNLYFFSIATNAHGYLTVICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSGDSNVYFYDLARPKSSCVNKLQGHGSPVHGVAWNHGENLLASSDSDGTVIVWKRAKSN* >Brasy1G375000.1.p pacid=40059339 transcript=Brasy1G375000.1 locus=Brasy1G375000 ID=Brasy1G375000.1.v1.1 annot-version=v1.1 MAGKACCSVPLVMLLLALLSCVFLVHAAGASRRMLLLRDGADAVVVVSGGGQSGEPGADEEEMMVARRVDLQTEDYPGSGANGCHDPRNPH* >Brasy1G330900.1.p pacid=40059340 transcript=Brasy1G330900.1 locus=Brasy1G330900 ID=Brasy1G330900.1.v1.1 annot-version=v1.1 MRRTKKRGGGLAGRSHKSTTPPNGKGEATDLVTSFIEKIRRTKRSDDDFTKRSHKSIRGRNGSGKTTESMALLGDLHEENWTRLSDDDFARRLHKSIRGRNDSGKITESMALGDLHGDSNRYDWTRLSDELKSSLSRSVASLALCNGDTVLFACSSIAIDCQENHTRFLTSATLARAFISGDKRKFHDNLKIKVRHEGNGVYEGVLSEYDLDHNFAIVIINTSLDVHVGLFKHRVENLPHGMVLALGRGISGTLVPTNVILASEDNEAPLCQMSEVLEGGPLFTFDGNFVGMNLFLVIVKAYFISRSSILDWLNCKSLRKKTHLPRSKSLKAARFGSRPTCDKLNGHSEVQRPIHRDDMTSGYCRDLESLGYPQPQIDMVDDGMILVNTFEDTFGDAYPEGVWHEFSKSASSDIDRYVVTLASFKGWKRHFACTGFFIEWNGSTTILTSASLVRSSSDENKTDEDLKIEVLLPNKRRIEGILEHYSLHYNVALVGVRNCRVV* >Brasy1G101900.1.p pacid=40059341 transcript=Brasy1G101900.1 locus=Brasy1G101900 ID=Brasy1G101900.1.v1.1 annot-version=v1.1 MQVLTDRQPQLEKKPARARAPPLNGKAVAVLCLACFAVGLLLSRARPVPFLSVSAPLQTTSETKSTSAPGCDDNRKLAGAESRPKDITNEVSRTHHAIQSLDKAVSSLEMELAVERARSGAGAAASSIIKGPQKAFVVVGINTAFSSKKRRDSLRATWVPKGEKLRRLEKEKGIVIRFVIGRSGAAQLDRAVDAEEAEHRDFLRLDHVEGYHELSSKTRVYFATAVATWDADFYVKVDDDVHVNLGMLATRLAKYRARPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGDVGNQYFRHATGQIYAVSKDLAAYISVNQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCRSAERMKPIHEACGEGQAAVWSVAT* >Brasy1G430800.1.p pacid=40059342 transcript=Brasy1G430800.1 locus=Brasy1G430800 ID=Brasy1G430800.1.v1.1 annot-version=v1.1 MASASSSWVILGKVPRVAASDADLPPGADLSLALPAPPRVALLTIPPRMFPGGTTADRFPSVVAADASGLLLLKADQGRATGPTIINTPRRQEFSWRPFVAGYFVLDAKTASAFPLPKPELIMHPGHLGLIASPGGSGRYVVAELQPFYGGPKATLMRFSSEVGEWVCMDVAFPLRSRMLSPNGVVSHSGRLWWVDLSWGLITCDPFVDAPVLSVVPLPEGKALKAREGWGSLDKCRCVGVSDGKLLFVDMYSNSNSPSDGSEQISVWTLADPDSTEWALEYEATFAEIWDDASFTATGLSRKIPVLALVHPTNCDVVYFFLDEHLLGVDVRARKVVECQMYELVAPPSKHVASCFVHAWQLPRALCSGSAKETLDGVNVGLQQVHLSVENEE* >Brasy1G035600.1.p pacid=40059343 transcript=Brasy1G035600.1 locus=Brasy1G035600 ID=Brasy1G035600.1.v1.1 annot-version=v1.1 MRFTGALVISTTMSFLLLCLAAAGEDEEGILHIPSKVELAHCPSSCGSEGSSIPISYPFGIGPGCFRRGFELTCDSRKLFLGNSSSNTTTIQIFGVSPRENSVRAAAVRFDVSMKPGVDSYNVSWEAPAMGVVRRGNSLFVVGCDVEFYLFGSHDTAVPIGSCMTMCVGDRETMEKANVHGDCTGMGCCAIPLARDMPAFRFILSRRRHDGSTTKAKSSEVLSDVNVFLAQSFYFEVSEFYPNGIDDVDSIAEWPSYQIAIKDQPSCESAQKNKATYACNQESECQNLSSGRGYSCSCPPYSGLMGLGNPYIKDGCTEDYNPKPRGSCEKTCGNTSIPFPFGIEEGCYALQEFRVNCTSENFAVLDRGVQYVVDSISVNEGCLNVRSAKEKDPAPSNRGISTIFPDEPLDDLFSISEEYQVKMWTMWWAIANLTCPMATSQEKRATYACRSFNSTCVNVTHQERGLRNSSGGIDPQFGYRCKCSQGFEGNPYIQDGCIDINECLLPNICNGLCQNFPGTYSCKNCTHGEVFDPTNGNCVTSARRHNLLLGITVGTGSGLGSLILVLGAMTLVKKWKRGIQKRTKRAYFKKNQGLLLEQLILDESATADKTKIFSLEELDKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLYTDASIKCLLSWDHRIRIAVEVAGALAYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRFLSLDQTHVVTNVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPVFTNDLGTKQSLSSYFVEALQQGVLTEIMDPQVREEAGQEEIDDIASIAEACLEAKGGERPTMKEVDMRLQFVRSRRLKRSQLLPRKDGETEPFLCPITSSPVAQHNFVNNVDLRSGCISTKYSLEQEFLDSASFPR* >Brasy1G128900.1.p pacid=40059344 transcript=Brasy1G128900.1 locus=Brasy1G128900 ID=Brasy1G128900.1.v1.1 annot-version=v1.1 MDAPFFYELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNVKTMSLIAREAFEIDEYVRISDILHNRLAAFDRRQWREPYKALLLLEHLLTHGPRSVALEFQKDKDVIQQMTTFQHIDEKGFNWGLTVKSKSERVLRLLERGPFLEEERERARKVAREIKGFGSFNLRNSSSGGAAPALELYARSNSQYESRLWKDEDGDKENLVSAPDPRRGEETVEEFLNHHHHPFQGFGQQRPEAMLLLSQ* >Brasy1G263200.1.p pacid=40059345 transcript=Brasy1G263200.1 locus=Brasy1G263200 ID=Brasy1G263200.1.v1.1 annot-version=v1.1 MDEIKILRQIADGDPDESRCVVKLLDHFKHAAPNGSHVCISLMNR* >Brasy1G192600.1.p pacid=40059346 transcript=Brasy1G192600.1 locus=Brasy1G192600 ID=Brasy1G192600.1.v1.1 annot-version=v1.1 MEAENKAKTVKRLATLVGCNYAGTDSELRGCINDVRAARDTLVSRFGFAPGDIAVLTDDRSESADHHRTLLLPTGANIKRALADMVARASPGDVLFFHYSGHGTLVPPRPGHGHEESGEQEEAIVPCDFNLITGADLRRVVDMLPPGARLTVVSDSCHSGGLIDMEKEQIGPDVFVPGSGESRQRARTGRAARRFLPYSVLLEHLAGVSGMAASEHHAADHLLALFGVDASAKFHSHGAAPPPAPARREDGGILLSGCQSDETSADVPAWDEGGEAEACGAFSSAVQRVLAAHGAPVSNRELLARTRAVLKEQGFEEQHPCLYCSDSNADAPFLSQEEAAEEVKSDAAVL* >Brasy1G567400.1.p pacid=40059347 transcript=Brasy1G567400.1 locus=Brasy1G567400 ID=Brasy1G567400.1.v1.1 annot-version=v1.1 LSLLTRFKNSYALKFRGEHLWVDYSFLWISNPFMFGLWIERCDCILWYGNALNQLFYVRGESRFSDHTPVYSIFMAEVGIVHLRKRNMGYFSSRIEVEELLPHSQSCYHIHRYAMLTLV* >Brasy1G366500.1.p pacid=40059348 transcript=Brasy1G366500.1 locus=Brasy1G366500 ID=Brasy1G366500.1.v1.1 annot-version=v1.1 MEARGHPRSRRRSMAIRRRADSWGGEAPRSWGSGDAPELGMGWRRQFCRVGGLEALDRPREQETGGPGRQEIGGRDGRKKRKKRSFVFSIRELRSVTYGHPSTVLTTNSNLIYSLLPAPTGLYGCTGAWCSSSPPVLPLPVRRRRRPGVSRLDRTGHARFHRGLVVSAVSYGQPRPQLPRPAARPSFSASASSHPSAGEDPMLWRQWRNHAPAVSPCSGGGVPMI* >Brasy1G297700.1.p pacid=40059349 transcript=Brasy1G297700.1 locus=Brasy1G297700 ID=Brasy1G297700.1.v1.1 annot-version=v1.1 LAAQLLAQPQLWQLLVLALLPIVSWSLIRSIRRKRSSGKGDLNLPPGPMRVPVLGNLHQLGSLPHRSLRELARRHGPVMPLHLGTVRTVVISSASAAKEVMKDQDVSCCSRPSSPGPSRLSYGLRDVAFAPYDEYWREMRRVFIVELLSMRRVKAAWGARQEQVEKLVRVLSAQAGEGQKRKKPVALDEHIFRLADGIIGTVAFGNVYGTEMLAAHEDKERRFHQVLDDAMDMLASFSAEDFFPNAAGRLVDRLTGLVARRERIFRELDAFYETVIRQHLDPARPKPSNGGDLVDVVLSLRNEPRGTFSFTMDHVKALLMNTFVGGIDTSSVTILWAMSELIRKPRVLKKVQEEIRAVVGSNSGGDREPRLHPPATLLVPRETMRHVKISGHDVPAKTRVFVNAWAIGRDAASWGEDAEEFDPDRFLPAARSAGVEFHGAHFELLPFGSGRRVCPGIAMGAATVEFTLASLLCSFDWALPEGTGAEELSMEEAGGLTFHRKTPLVLVPTRYVVPAAAAVSVR* >Brasy1G059500.1.p pacid=40059350 transcript=Brasy1G059500.1 locus=Brasy1G059500 ID=Brasy1G059500.1.v1.1 annot-version=v1.1 MAKKGTATPLGAVFSPEETRRAVARVAQAVADRRADLGRVQGFFADNAALVSLVQRLPDELSHEIMVPFGGAAFFPGSLIHTNQLLVLLGDGYYADRSAKQTTEILHRRGMELEAQMEAIKATISDLEAETKFFESTAAEASEGLVEIREEYDEDTEISSSKSDASSSYGGMSDKDMEHARNMARLAELEAEENESGNTSEEDGDDDEDAETSEDGEENGDTSSDGNEHGDASFGASVSGSGGNDQSHGSTQSALKKLGGKDMLKGASLAPSASTSKINSEVQVPFRKAVSFKDDNGHIVSSLKSPSLSQDPKYHAVPQLTSDRAPSRTIISSGQKQAFTGSIVEHDDSLSAIQSPRSNDSGKAVSDAPSRPVSRFKMQKGGR* >Brasy1G059500.2.p pacid=40059351 transcript=Brasy1G059500.2 locus=Brasy1G059500 ID=Brasy1G059500.2.v1.1 annot-version=v1.1 MAKKGTATPLGAVFSPEETRRAVARVAQAVADRRADLGRVQGFFADNAALVSLVQRLPDELSHEIMVPFGGAAFFPGSLIHTNQLLVLLGDGYYADRSAKQTTEILHRRGMELEAQMEAIKATISDLEAETKFFESTAAEASEGLVEIREEYDEDTEISSSKSDASSSYGGMSDKDMEHARNMARLAELEAEENESGNTSEEDGDDDEDAETSEDGEENGDTSSDGNEHGDASFGASVSGSGGNDQSHGSTQSALKKLGGKDMLKGASLAPSASTSKINSEVQVPFRKAVSFKDDNGHIVSSLKSPSLSQDPKYHAVPQLTSDRAPSRTIISSGQKAFTGSIVEHDDSLSAIQSPRSNDSGKAVSDAPSRPVSRFKMQKGGR* >Brasy1G275100.1.p pacid=40059352 transcript=Brasy1G275100.1 locus=Brasy1G275100 ID=Brasy1G275100.1.v1.1 annot-version=v1.1 PGRRGRGAAVVLLLAAWTLEWAWWRPWRLGRILRSQGIRGTRYRLLAGDVQEEARLNREAWSRPLPIDSHDIGSPHPLPHNEGARSEISRYEGRLAKKRRSRKVKLTYKTLRIKLYRVLNMSQPFFYVILDGKLSFTWSGPTPRVIIQDPELVREVLSSKSSKFAPKKFPRVSRLLADGIITKEGEEWAKRRKILNPAFHQEKIKRMLPVFSTCCKEMIARWQNSVTSEGFSELDVGPELLPTKNNRRMKEINREISQILHRMIRKRDKNGRTCETGDGDLLSLLVESNMRQSNGKANLGMTTEDIIEECKIFHVAGMETTSILLTWTIIVLSMHQDWQELAREEVFHHLGRTAPDFGSLSHLKIVTMILYEVLRLYPPVSLLTRRTYKEVELGGIKYPAGVDLLLPTIFIQHDPDIWGNDASKFNPGRFSEGVSKASKEQGAFFSFGMGPRICISQNFALLEAKIALATILQHFYFELSPSYARAPHTVLSLQPQHGSQIKLKKL* >Brasy1G479900.1.p pacid=40059353 transcript=Brasy1G479900.1 locus=Brasy1G479900 ID=Brasy1G479900.1.v1.1 annot-version=v1.1 MVTGLDGEKAPALRLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKSVGNLLYAVATKYPTNALVHRPALINYIVSTKIKNPAQLDAALLFLNNIGPDSFDVGKFEEVCGVGVVVSIEEIQSTVAEVLKENMEAILEQRYHINVGNLCGQVRKRHPWGDAKATKEEIEKRLAEILGPKTEADNAKPVKKKKEKPAKVEEKKAAVATSAPPSEEELNPYSIFPQPAENNKVHTEIFFSDGNIWRAHNTKEILEKHLKETGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTADQIKEYREKKMDSPWRNRPIEESLQLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWVLVALGLYQPHVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINTFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRTMVVLHPLKVVITNLEDGKVIDLDGKKWPDAPADEASSYYKVPFSKTVYIEKTDFRVKDSKDYYGLAPGKSALLRYAFPIKCTEVIYGDNPDDIVEIRAEYDPSKTSKPKGVLHWVAQPAPGVEPLKVEIRLFEKLFLSENPAELEDWLGDLNPHSKEVIKGAYAVPSLATVVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSYGKAGPK* >Brasy1G293000.1.p pacid=40059354 transcript=Brasy1G293000.1 locus=Brasy1G293000 ID=Brasy1G293000.1.v1.1 annot-version=v1.1 MRNQNPRRRPASSSAPPATMDPQVTIGISRSDMLASMERNGVDPETLDIGTNLFLYFVYDYLPDPPVSPDAALSLPGASWMMPDGVDRISCLPDVVLRNIVSRLPAKDAARTAALASRWRPLWRSVPLTLVDSHLLPDCGARGPLVIGGPSPRAVTAAVSRILAQHPGPFRCVHLTCSTMDEHRGEMARWLDILAAKGVQELIFVNRPWPIDLRLPTTLFSCASLTRLYLGVWRLPDTAAVPRRAAFPNLRELGLCFNVMEDRDLAFMLERSPALELLTITGSQTGVRLRLVSRSLRCVQLGHTYLEDIDVVDAPRLERLFQSTTLGSSRIKIGKAPNLRVLGYLLPGEQELEISNTVTVAGTKVNIVPSVQILAIEVQFGVRNAVKKVPSFLRCFPNLHTLHVESRKDDEPTGKVNLKFWQEGVLIKCILGMKKLFFYEFRGSRSEVAFLKFIAERARVLEKMVVVVASECLSSRSDVSAKLKPLTSAKWSSEACKLQLFKSPFTDPGVPVYSHRVASDFSFADPFDLVYYQELVSAGVEVH* >Brasy1G523300.1.p pacid=40059355 transcript=Brasy1G523300.1 locus=Brasy1G523300 ID=Brasy1G523300.1.v1.1 annot-version=v1.1 MVSASASASASRSGSARVAQRGGGAVPGSPRVSAAAAAAQRRWWAPSGPSLERVALALFLASVALALSCALYLYVFRYLGRGQAVAGFVGEDLEACDVFDGGWVPDASYPLYNSSECPFAEKGFNCLANGREDTGYLKWRWRPRHCDVPRFTARAALEQLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYELNGNEISKTIRFLGVRFASFDLTVEFFRSVFLVQQRPAPKHAPKRVKSTLRLDKMDNISRKWVNADVLIFNTGHWWTPTKLFNTGCYFQAGRALKLGTTIDAAFRMALQTWASWVEKRVDLNRTHVFFRTYEPSHWSDLNQTICEVTEKPSSEAKGNDKSELGDILADVVSSMNVPVTVLNVTLMGAFRTDAHVGAWSYPPTILDCSHWCLPGVPDAWNELVFSYLSTNGWRKTAG* >Brasy1G523300.2.p pacid=40059356 transcript=Brasy1G523300.2 locus=Brasy1G523300 ID=Brasy1G523300.2.v1.1 annot-version=v1.1 MVSASASASASRSGSARVAQRGGGAVPGSPRVSAAAAAAQRRWWAPSGPSLERVALALFLASVALALSCALYLYVFRYLGRGQAVAGFVGEDLEACDVFDGGWVPDASYPLYNSSECPFAEKGFNCLANGREDTGYLKWRWRPRHCDVPRFTARAALEQLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYELNGNEISKTIRFLGVRFASFDLTVEFFRSVFLVQQRPAPKHAPKRVKSTLRLDKMDNISRKWVNADVLIFNTGHWWTPTKLFNTGCYFQAGRALKLGTTIDAAFRMALQTWASWVEKRVDLNRTHVFFRTYEPSHWSRHR* >Brasy1G198900.1.p pacid=40059357 transcript=Brasy1G198900.1 locus=Brasy1G198900 ID=Brasy1G198900.1.v1.1 annot-version=v1.1 MHIIDHEIKKFAGDESEAGRLIGGGIGDDGVGRAEGGAAQAVVEVLGVAGGVVAERDGLPGGRGRGAADAPGLLRQPPQQLPPRAVPAPPALRDARDGVARRELQPLDRRHGAAAAAAPAGRRRRPRGGGGCGRQAARQVDGAGRRGRVGGAHAHGVLLCRGGTGGTGRGGGGGGKGL* >Brasy1G060500.1.p pacid=40059358 transcript=Brasy1G060500.1 locus=Brasy1G060500 ID=Brasy1G060500.1.v1.1 annot-version=v1.1 MEATDSVAVMEVAPVPDPLLAGATPATPPPEAAALVLRRSARCLNRPRPTTYAERQEPKESTGRRGRSKKKRDEENHDPAPAAQVPVKAARKSANVEPTERKPVLVTEPISCPDFAGAAEEDDATGNGKSAKLRVKETLRAFNSHYLHLVQEEQNRAQAVIQEIAAKENAKKKKGGKKDSEDEKKEKRPSKRPDLKAITKMQESNSVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGKKYREKQKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQLLQRGNLALKNSKENGNPVRVIRGHTAKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVYKYKLKRIEGQPSLTTSEVRFTRAEAPRTISELPGLVCDDISGGQENIPIPATNLVDDPPVAPPDFVYIKSLKISKGIKIPSSCAGCNCEGDCASNSNCACAQRNGSDLPYVSFKNVGRLVEPKAIVFECGANCSCNRNCVNRTSQQGLQHRLEVFKTASKGWGVRTWDTILPGAPICEYIGVLRRTEEVSGLLQNNYIFDIDCLQTMKGLDGREKRAGSEMHLPSLHTENDSEAPPAPEYCIDAGSIGNFARFINHSCNPNLFVQCVLSSHNEVKLAKVMLFAADTILPLQELSYDYGYVLDSVVGEDGNTIQLACCCGAPDCRKRLY* >Brasy1G060500.3.p pacid=40059359 transcript=Brasy1G060500.3 locus=Brasy1G060500 ID=Brasy1G060500.3.v1.1 annot-version=v1.1 MEATDSVAVMEVAPVPDPLLAGATPATPPPEAAALVLRRSARCLNRPRPTTYAERQEPKESTGRRGRSKKKRDEENHDPAPAAQVPVKAARKSANVEPTERKPVLVTEPISCPDFAGAAEEDDATGNGKSAKLRVKETLRAFNSHYLHLVQEEQNRAQAVIQEIAAKENAKKKKGGKKDSEDEKKEKRPSKRPDLKAITKMQESNSVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGKKYREKKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQLLQRGNLALKNSKENGNPVRVIRGHTAKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVYKYKLKRIEGQPSLTTSEVRFTRAEAPRTISELPGLVCDDISGGQENIPIPATNLVDDPPVAPPDFVYIKSLKISKGIKIPSSCAGCNCEGDCASNSNCACAQRNGSDLPYVSFKNVGRLVEPKAIVFECGANCSCNRNCVNRTSQQGLQHRLEVFKTASKGWGVRTWDTILPGAPICEYIGVLRRTEEVSGLLQNNYIFDIDCLQTMKGLDGREKRAGSEMHLPSLHTENDSEAPPAPEYCIDAGSIGNFARFINHSCNPNLFVQCVLSSHNEVKLAKVMLFAADTILPLQELSYDYGYVLDSVVGEDGNTIQLACCCGAPDCRKRLY* >Brasy1G060500.2.p pacid=40059360 transcript=Brasy1G060500.2 locus=Brasy1G060500 ID=Brasy1G060500.2.v1.1 annot-version=v1.1 MEATDSVAVMEVAPVPDPLLAGATPATPPPEAAALVLRRSARCLNRPRPTTYAERQEPKESTGRRGRSKKKRDEENHDPAPAAQVPVKAARKSANVEPTERKPVLVTEPISCPDFAGAAEEDDATGNGKSAKLRVKETLRAFNSHYLHLVQEEQNRAQAVIQEIAAKENAKKKKGGKKDSEDEKKEKRPSKRPDLKAITKMQESNSVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGKKYREKKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQLLQRGNLALKNSKENGNPVRVIRGHTAKNSYTGKVYTYDGLYKVVDDWVQNGVQGHVVYKYKLKRIEGQPSLTTSEVRFTRAEAPRTISELPGLVCDDISGGQENIPIPATNLVDDPPVAPPDFVYIKSLKISKGIKIPSSCAGCNCEGDCASNSNCACAQRNGSDLPYVSFKNVGRLVEPKAIVFECGANCSCNRNCVNRTSQQGLQHRLEVFKTASKGWGVRTWDTILPGAPICEYIGVLRRTEEVSGLLQNNYIFDIDCLQTMKGLDGREKRAGSEMHLPSLHTENDSEAPPAPEYCIDAGSIGNFARFINHSCNPNLFVQCVLSSHNEVKLAKVMLFAADTILPLQELSYDYGYVLDSVVGEDGNTIQLACCCGAPDCRKRLY* >Brasy1G025000.1.p pacid=40059361 transcript=Brasy1G025000.1 locus=Brasy1G025000 ID=Brasy1G025000.1.v1.1 annot-version=v1.1 MATTTPEPQLPTQATPAAAAEAPDDWSRWAMVVYTGPQDPAASSAGAGPAASPVTTVASDVNPTVPAAGSPAPAGSSSTAPSRSEAPSLQAGAAAEAPHHDDPADEAPACAASSSVRGGVDQSSAIGSSTQEISPEESRMYWHQGKNVPPSAGPSLSETGEEIHPPDKVTSEVPGNNVGPAGGATATPAHANNTEVFSSSTDGKPRIGYGFDYGSAPAFFYPGPPPLHPFGSTASNPFRSAAAPSPFGSAAAAPSTFGFGSAPAPSLFGSASAPSAFGLGSAAAPGLFGSAAGPPAFGSTVPSAFGSASSPSPFGAAPKSPFGDSVATMIDKNGSGTRSTWDILLKDPPASAGVDADNLTTTWDLPSKILRVAELPPQDGCIWRVDGAALPPEACKPLFVVLGPLFRYMSQWRTIEETKLKYVHNLIRRAHQDVELKLVEYLTHVSKMEEQIRQRYDRAEHNANPTELVELMVLDGLFIIEVLINRWTGKTDEAAGSTSLPEVKKDEDFTPQKLRWEPHALRLQGRSRGGGGGGRAGIPFFVLEKLFHMTEIPELQGAEKESAKLKEIILDYVVGDADDTVLAGYQGPVYHILHLVYLHLTFSQRASALHPSTVPPSVFNRAFGKLERRGMDLRALCKRTFSRSSDLPVGWKQWKVIPPLRELVRVGVKLKRAETARFAQVRFKNGVLEIPPFTWRRYLTNLVVLEMSGWWPPEKRIFCSYVRFMSELIVKKEDAALLFKKGIVQESNVNDIDNSLVKPFLILADYSHGSKYECRFDGLVRDMVKCYRKWSKVASVPRTRR* >Brasy1G278100.1.p pacid=40059362 transcript=Brasy1G278100.1 locus=Brasy1G278100 ID=Brasy1G278100.1.v1.1 annot-version=v1.1 MGVGADGRVGRNPCVMLTTATPTGAVTSLEASSWRCPFFPASSTGGNPLSAPRIRRRRHSHVVPLLGASSWWLVESLVQQLESVGHRSEHKLPGRYVRRLRSFFWVEASFGQVRSRRSLADS* >Brasy1G236200.1.p pacid=40059363 transcript=Brasy1G236200.1 locus=Brasy1G236200 ID=Brasy1G236200.1.v1.1 annot-version=v1.1 MSRPIPKSGVRFPGEGGQQWKGKRARPDGGWRERTPVVKWSHAEAMKKKPKVDGKAAVAGDGGGWSREVEEAEKEGLDARSCGRGGFGISWSEVAMKKAHTATGVGENKWCWPEEETKKASPCVEVKGGGGGEVGVDADEEEMYGWRWSEAVSPEILALVLRGRLAADDIARGAAAVCRAWRDATAAPDMWGDVDIEAWCRRVNCRVRADAAVRRLVARAQGTLRRLSAYRVGDASLAYVATSGKLLNVLQVPMSEISDQAVEKYAECLPALRVLDITLGRNCKSLVQLKRNMPPQGNNAASGVVEDEALAVANTMPMLKQLELAYGLFSDVGLGAILIKCSLLQTLNILGSLNVRLEGDIEEKFCALESFREPWEPEYIECSSSGGDDDYDDSESDD* >Brasy1G070100.1.p pacid=40059364 transcript=Brasy1G070100.1 locus=Brasy1G070100 ID=Brasy1G070100.1.v1.1 annot-version=v1.1 MAPHLFQTQTAAQILAPIPRGNRRLAPAPHAASFLRALFPSRPPPAKAELLGLIADQRRGLDTQSDPSRLADIVSCIDALAASAPDADTVTDASKLSGTWRLLWTTEQEQLFIVRNAPTFRTAAGDVLQVIDVPGGSLNNVITFPPSGAFVVNGTIEIQPPQRVNFRFTRAMLKGGNWEVPFPPFGKGWFDTVYLDDEIRVAKDIRGDYLVVERAPYSWNG* >Brasy1G070100.2.p pacid=40059365 transcript=Brasy1G070100.2 locus=Brasy1G070100 ID=Brasy1G070100.2.v1.1 annot-version=v1.1 MAPHLFQTQTAAQILAPIPRGNRRLAPAPHAASFLRALFPSRPPPAKAELLGLIADQRRGLDTQSDPSRLADIVSCIDALAASAPDADTVTDASKLSGTWRLLWTTEQEQLFIVRNAPTFRTAAGDVLQVIDVPGGSLNNVITFPPSGAFVVNGTIEIQPPQRVNFRFDTVYLDDEIRVAKDIRGDYLVVERAPYSWNG* >Brasy1G202000.1.p pacid=40059366 transcript=Brasy1G202000.1 locus=Brasy1G202000 ID=Brasy1G202000.1.v1.1 annot-version=v1.1 MESSTTKQFLPMPQQRDPNSPSSSTSSSSSSSTSPSHPYHRTTAQAQPQAQPHHNLPPSPRPVPRTIETTPFPTTFVQADTTSFKQVVQMLTGSEQSSKNATAAAATTAAAAGNGQAASNGPCRPKKPSFKLYERRSSLKNLKMIAPLAMGAPPSPRSKAAAPEILSPSVLDFPSLKLSPVTPLTGDPFNPSPASSSGGDAAERAAIADKGFFFHPSPRGAEPPRLLPLFPVSSPRMAASAAAAPAE* >Brasy1G576600.1.p pacid=40059367 transcript=Brasy1G576600.1 locus=Brasy1G576600 ID=Brasy1G576600.1.v1.1 annot-version=v1.1 MSLGSNSSMMNRGGHLPLINCPFCGRGEVEVLTSSQPGTRGQRFYKCQFHTGTKNGCRFLKPEEVYAKFLNRLSPIDQHVVNATNVSASSTHLQDYNAPAVNLGGPQLEARVRSIEQKLESIKIICCVLAFVVALLVLTK* >Brasy1G231800.1.p pacid=40059368 transcript=Brasy1G231800.1 locus=Brasy1G231800 ID=Brasy1G231800.1.v1.1 annot-version=v1.1 PVSILLHRRPLQPVLGAATAWVDFFVAYTIPCLGLGLGHNPLPTSLGPLSCQPTPSLPPSLLGPLGPQGLRGIGKETRVDRVRDEGRIEVREREREQRRRRRPPAAGHRKSARRNLAAAVSLATRLHNCTKPQGSSAAAGRLPGSPAGVSYGLQELPRVTALGHGWASPSGRHRLPARAFAIAESSSIPPWLPDSATPSTQSPIDNSLLLCDFSLQLCSSVHCPIRTNLCPLMFFYLVYYKSNEYVMNFDFPFYSLLFHLLV* >Brasy1G385200.1.p pacid=40059369 transcript=Brasy1G385200.1 locus=Brasy1G385200 ID=Brasy1G385200.1.v1.1 annot-version=v1.1 MVAACHSPARLSVSCSSSASARPLRVAVVGGGPAGASAAEALASAGAQAFLLERSPAGAKPCGGAIPLCMLDEFSIPLELVDRRVTRMRVLSPSNLAADFSKTLPPGAHIPMLRREVLDSFLRRRAADTGATLVPGLVTSLSLPAGPSDPYLVHYISSAAADGRSVLEVDAVVGADGANSRVAREVGAGGYSTAIAFQERIRLPDAAMGYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPDIKKFQAGIRARAGPKIAGGRVIKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMADEWRVTGKVTEGGIRKGYLRAWDDEFLLTFRFLDLLQRVFYGGNAGREALVEMCADEHVQRRTFDCYLNKRMAPGEPWADLQLLWRTAGSMVRCSVLGKEVQRLRRLEMLHAAS* >Brasy1G066000.1.p pacid=40059370 transcript=Brasy1G066000.1 locus=Brasy1G066000 ID=Brasy1G066000.1.v1.1 annot-version=v1.1 MTGDTTRRRAAASTSRGSPSSSEPDRRAAAAAAARRSGRGDHGPLRLMAVSVRTLLFLGIASAALLAVAFVAYTGGWWEESESEGAAALRRMARSVTPLDAPLMMDLPQFQGDHKESLYWGTYRPNLYLGIRARTPLSLIAGLMWIGVKNGQYFLRHVCQDSDELSTYGWADHNGRDYGCQELVDHGLVLTTSFLKEKGESSGYGGDWAVRLDANNERSSLSEAEESTTHLFFYIADEAGKLITMGSHEPSSRGPVLLASGSHEDVGEWEIYLRSEDNLEIHRAGFQSTNMHNLSDLVQQTLATNAMQSGNLNLPDMTEDSSNIMIYQVSVKLPAKIDIVFLSGTGSKNPMIAERVNRLTGPVLSTRLESKRKDFEERYDQIFNVNNKIISKELSVGRSALSSLLGGIGYFYGQSKIALPKGFSQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDAHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHAHQFSDEEAEKISTFLKTAYVRLNSWFQWFNSTQSGKYEGTFFWHGRDNMTTKELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMSSIAEFLKMDSSLEKDYYKMSDQLSDFGTLNKMHLDEKIGAYFDYGNHTEKVRLRWYEVKDKDVMRRELLRETLQRPQMQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISDSSILWTDYGLRSLSRTSSIYMKRNTEHDAPYWRGAIWINMNYMILSALHHYAHEDGPYMSRAGQLYGDLRSNLIRNIVQNYHETGFFWENYDQKNQGRGKGARSFTGWTSLVVLIMSESYPSLHR* >Brasy1G442300.1.p pacid=40059371 transcript=Brasy1G442300.1 locus=Brasy1G442300 ID=Brasy1G442300.1.v1.1 annot-version=v1.1 MASHHQRRHLPSSFLAPAAALLLVFLLAPLASAQWQSCGKNGNYTTNSTYQANIRLLSTTLPNNASRTLFATSTVGTVPDIVYALTLCRGDTNASACSDCVTTAFQDAQQLCAYSKDAAVFYDPCFLRFSNQNFLSPTSGNNALLLMNTQNVTAPAKVFDDAVGVLLNATADYAAANSSKRFGTGEEGFETVDKGKPKIYGLAQCRPDMASADCRSCLANIIPYVVQYFSGKQGGRVLGIHCNYRYEQYPFFSGASLLQLPAPSLGAAPEPAPGNPTLPVAGGGGTTNSTGRILAIALPIVGVILAAVMFCLCLWRTKGKPARKQSQSLPYSTNSEDIQTIDSLILDLATLRVATDNFDESNKLGEGGFGAVYKGILAGDEEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEEHEKLLVYEYMPNKSIDTILFDSGRSSQLDWGKRFRIINGVARGLQYLHEDSQLKIIHRDLKASNVLLDNEFNPKISDFGLARLFGSDQSQDVTNRVVGTYGYMAPEYAMRGNYSIKSDVFSFGVLILEIVTGRRNSGSFDAEQSVDLLSLVWEHWTLGTILEIMDSSMTNHSPGDQMLKCIHVGLLCVQEDPADRPMMSVVNIMLSSSTVSLQAPSRPAFCIQKWGTKDSDMHSEPYLGVSQSTSRSPMSPNEVSITELEPR* >Brasy1G316200.1.p pacid=40059372 transcript=Brasy1G316200.1 locus=Brasy1G316200 ID=Brasy1G316200.1.v1.1 annot-version=v1.1 MPPIEDASTAAPPPAPTPPPARGMAAGSRLLLQSPPPAFPLGSNDDQLERARARAAARAAVRRRSLAASLAPKTLHPNLLNRDEVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEAGLGEGGDSEPAQEEGINKKDVDRRISPASTLESSFETLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCCVLFDSFEAPDKLILSDMQAEKADAIDLSFAKEQIEQMTLRMPLCNDISPTLRDIVAQFDEENQRPSHDASLGQMPVMEDQVVDSNNTDNDDKPDSGTWDFGGCHDHESACDENCDLLNFNSTSYEEGNDEYTFQGPDGPCLDERLEKITDMLSLGIGFSSKTNAWAGPEHWKYRKAKDLQPAQTPSGDLDVAKKTNKKRGKDEPDIDFTKALELEMASVFAPPKNPKSLLLPANKGSCSNKLPEDCHYQPESLVKLFLLPDVLCLARIRRKPLDVSRGNNDDFIPSGPWDDDNLCSDRADEGNADSDIEEPVNLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIQTSAETDHLETEDTEGTLCLTKVLHDLPSSNPDALTTDISPHLYFICLLHLANEHSLTLRDRPALDEIDIYVPSSAPVK* >Brasy1G316200.2.p pacid=40059373 transcript=Brasy1G316200.2 locus=Brasy1G316200 ID=Brasy1G316200.2.v1.1 annot-version=v1.1 MPPIEDASTAAPPPAPTPPPARGMAAGSRLLLQSPPPAFPLGSNDDQLERARARAAARAAVRRRSLAASLAPKTLHPNLLNRDEVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEAGLGEGGDSEPAQEEGINKKDVDRRISPASTLESSFETLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCCVLFDSFEAPDKLILSDMQAEKADAIDLSFAKEQIEQMTLRMPLCNDISPTLRDIVAQFDEENQRPSHDASLGQMPVMEDQVVDSNNTDNDDKPDSGTWDFGGCHDHESACDENCDLLNFNSTSYEEGNDEYTFQGPDGPCLDERLEKITDMLSLGIGFSSKTNAWAGPEHWKYRKAKDLQPAQTPSGDLDVAKKTNKKRGKDEPDIDFTKALELEMASVFAPPKNPKSLLLPANKGSCSNKLPEDCHYQPESLVKLFLLPDVLCLARIRRKPLDVSRGNNDDFIPSGPWDDDNLCSDRADEGNADSDIEEPVNLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIQTSAETDHLETEDTEGTLCLTKVLHDLPSSNPDALTTDISPHLYFICLLHLANEHSLTLRDRPALDEIDIYVPSSAPVK* >Brasy1G316200.3.p pacid=40059374 transcript=Brasy1G316200.3 locus=Brasy1G316200 ID=Brasy1G316200.3.v1.1 annot-version=v1.1 MPPIEDASTAAPPPAPTPPPARGMAAGSRLLLQSPPPAFPLGSNDDQLERARARAAARAAVRRRSLAASLAPKTLHPNLLNRDEVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEAGLGEGGDSEPAQEEGINKKDVDRRISPASTLESSFETLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCCVLFDSFEAPDKLILSDMQAEKADAIDLSFAKEQIEQMTLRMPLCNDISPTLRDIVAQFDEENQRPSHDASLGQMPVMEDQVVDSNNTDNDDKPDSGTWDFGGCHDHESACDENCDLLNFNSTSYEEGNDEYTFQGPDGPCLDERLEKITDMLSLGIGFSSKTNAWAGPEHWKYRKAKDLQPAQTPSGDLDVAKKTNKKRGKDEPDIDFTKALELEMASVFAPPKNPKSLLLPANKGSCSNKLPEDCHYQPESLVKLFLLPDVLTFQGATMMTLSHLDLGMMITCAVTVPMKGMPIVILKNQ* >Brasy1G125200.1.p pacid=40059375 transcript=Brasy1G125200.1 locus=Brasy1G125200 ID=Brasy1G125200.1.v1.1 annot-version=v1.1 MAATAHFTLNTGARIPSVGLGTYKAAPGVVAGMLSSAVKAGYRHIDCAPLYKNEKEIGVALKNLFDDGVVKREDLFITSKIWCSDLAPEDVPLAIDSTLTDLQLDYVDLYLIHWPFQVKKGTEICPENFVQPDIPKTWQAMEQLYDSGKARAIGVSNFSSKKLAVDQVECHLGWQQAKLRAFCHSNGVHLSAYAPLGRMKDVAGNPVVSTVAETLGKTPAQIALRWGLKQGQSVLPKSANESRLKENIDLFDWSIPESLCAQFSEIKQAKEIHLCTPQSVYKTYEELFDGEI* >Brasy1G125200.2.p pacid=40059376 transcript=Brasy1G125200.2 locus=Brasy1G125200 ID=Brasy1G125200.2.v1.1 annot-version=v1.1 MAATAHFTLNTGARIPSVGLGTYKAAPGVVAGMLSSAVKAGYRHIDCAPLYKNEKEIGVALKNLFDDGVVKREDLFITSKIWCSDLAPEDVPLAIDSTLTDLQLDYVDLYLIHWPFQVKKGTEICPENFVQPDIPKTWQAMEQLYDSGKARAIGVSNFSSKKLGYLLGVARVPPQLLIRLSATSAGNKRNSEHFATPMEFIYQRMRLWAG* >Brasy1G125200.3.p pacid=40059377 transcript=Brasy1G125200.3 locus=Brasy1G125200 ID=Brasy1G125200.3.v1.1 annot-version=v1.1 MAATAHFTLNTGARIPSVGLGTYKAAPGVVAGMLSSAVKAGYRHIDCAPLYKNEKEIGVALKNLFDDGVVKREDLFITSKICDLAPEDVPLAIDSTLTDLQLDYVDLYLIHWPFQVKKGTEICPENFVQPDIPKTWQAMEQLYDSGKARAIGVSNFSSKKLGYLLGVARVPPQLLIRLSATSAGNKRNSEHFATPMEFIYQRMRLWAG* >Brasy1G345500.1.p pacid=40059378 transcript=Brasy1G345500.1 locus=Brasy1G345500 ID=Brasy1G345500.1.v1.1 annot-version=v1.1 MAAATAADTSDASTAGLALAEANINWDRLDKTKFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFAVFRRILRSDGIPGVFRAFGTTAVGALPGRVLALTSLEVSKEMAFKYSERFDMSEASRIAVANGVAGLVSSIFSSSYFVPLDVICQRLMVQGLPGMQTYRGPLDVINKVVRTEGLRGLYRGFGITMLTQSPASALWWSSYGGAQHAIWRSLGYVNDSQKKPSQSELIAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVVKTTRLLLQEDGWKGLYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPAQ* >Brasy1G542200.1.p pacid=40059379 transcript=Brasy1G542200.1 locus=Brasy1G542200 ID=Brasy1G542200.1.v1.1 annot-version=v1.1 MMHPIPNPLSSLPPSVLAAKMLKVKRRARCSNGWQRNRKRVKEVPSIFIDREAVAENPSQELCCNELSQELATKLSPSIVSLASFDGDKMHYKSTGIVIENNALDTCILTSSALVCTSDSERRFMYTLKIKVYLPNNLVVEGWIRRYDLPSSTVIIIINEFCPDLSTACFSNSVQVEPHSELLAVKRCFKSGKLMVTRVVPSDSHSEVGTKLFLFSTCKITMDGSGGPLVDFDGNFLGMNDYHDQEGTRYVQGNIIVECLNGIWFRREQQRKYCMQNRLKPRLEGSSRQNSSSRASESRNKRHGVPFVLEPEASELTEDTHLRTLPPWPSNDFTKMINDVLKYDGYPLPAYADGRGMHLVGDFEEEFGRDILSEPASRVALKMSRCVVALASYNGTERHFACTGVFMDCNESTTRVLTSASLIRTSGDENKIVDNLRIDVCLPSNKCIPGKLQKYDFCYNVAVISITRFRNHRSAMLVEEPQTEPRAAMSVEAPQTEVVALGRVFKSGNFMATEGLVTGEQCKFDCKRLKVSTCKITKAGIGGPLFDCKGNFVGMNFYDTEGTPYLRRDMILEVLRSFNAERTVAAGNAEMPDYRWPVPKPYWYYSSHHEPREEIQPGIEELQ* >Brasy1G134200.1.p pacid=40059380 transcript=Brasy1G134200.1 locus=Brasy1G134200 ID=Brasy1G134200.1.v1.1 annot-version=v1.1 MVVLAASIISKSGKALASRQFVAMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQLIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCKAAFELMFAFDEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETNDVMRRKVTEIEKNKIDRSKINKGAFGPSRTPSSFNDMNIRGGGPGAGGDPIFGDMDSFIHKAKGRPSAPSPAPAKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTHLSAIQSRSSSIPPSDPITVTIEEKLNATLKRDGGVSNFEIQGTLSLQVLNDTDGFIQLQIENQDLPGLGFKTHPNINRDLFNGQKIVGASDPNRAFPSGQNETALVKWRIQGLDESSLPLTVNCWPSVSGNATDVDIEYEASDMFDLHNVVISIPLPALREAPSVKHIDGEWKYDSRNSMLEWSVVLVDKSNQSGSMEFSVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREDNAKYSQRVRLVTANYHVV* >Brasy1G134200.2.p pacid=40059381 transcript=Brasy1G134200.2 locus=Brasy1G134200 ID=Brasy1G134200.2.v1.1 annot-version=v1.1 MVVLAASIISKSGKALASRQFVAMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQLIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCKAAFELMFAFDEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETNDVMRRKVTEIEKNKIDRSKINKGAFGPSRTPSSFNDMNIRGGGPGAGGDPIFGDMDSFIHKAKGRPSAPSPAPAKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTHLSAIQSRSSSIPPSDPITVTIEEKLNATLKRDGGVSNFEIQGTLSLQVLNDTDGFIQLQIENQDLPGLGFKTHPNINRDLFNGQKIVGASDPNRAFPSGQNETALVKWRIQGLDESSLPLTVNCWPSVSGNATDVDIEYEASDMFDLHNVVISIPLPALREAPSVKHIDGEWKYDSRNSMLEWSVVLVDKSNQSGSMEFSVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREDNAKYSQRVRLVTANYHVV* >Brasy1G462000.1.p pacid=40059382 transcript=Brasy1G462000.1 locus=Brasy1G462000 ID=Brasy1G462000.1.v1.1 annot-version=v1.1 MGGTAGGGEPSSSTVAAGSHSSAAMGFQSYTPDLLSRTGSQSQELRLSLQPLPDPMFHHHDHGQHEQQRHRSHGHGGNGTAPQQALFPGAANYSSFGGGAMWATEQPQSQRIVPWSVPDPGGGGSTGSYLFNVSQQAAHMQAALSGQSQFFFQRGPLQSSNQPSDRGWPESVEADNNNNNNPMQQQQHHQGAMNPSISAIGFAPGVSFSGFRIPARIQGDEEHNGGNGDKPPSVSSASHH* >Brasy1G497300.1.p pacid=40059383 transcript=Brasy1G497300.1 locus=Brasy1G497300 ID=Brasy1G497300.1.v1.1 annot-version=v1.1 MAAAMMVQQAAGGALLSPAAASFAWQAVAVAAPRVLTLMQSHRNYSSSSSPSTSPPLHLLGRRPSPSSPAPAASGRRGMASYSYNKHTNSLLQVEEEKMRRNKELAMALMQDTQPAAGAGEEEVKGINHLLALLGASDGNGKKGAEDALREHRSLVEKKKRALLVELLNDGDKDGHGRQEKTADLASAARQLRDAISRNDNLRKLQNLLTEMKTLRDSVADERVQRMQSDTELKRLMDDMTAARISRESTNTLVKSIRRNVMEAEASVKLCEQNVESLRESVLVARKESESARGLAIFVCFGAAAATIFKALL* >Brasy1G349300.1.p pacid=40059384 transcript=Brasy1G349300.1 locus=Brasy1G349300 ID=Brasy1G349300.1.v1.1 annot-version=v1.1 MASSYAATAGAILFFLLLAVVDAEPTPPSPAAAATLISLQDACKHTAELYDLCMATLSPGRDSETADAVGLTRVAILAVQKNASETVTFLSSIDDDESLNKTAELQQCLEDCGERYESAVEQLTDATMALDAGAYNESLALVAAGQAEVKLCQRGCQAVPEHKKILLARNTEVDRLCTMALALATLIRRR* >Brasy1G307000.1.p pacid=40059385 transcript=Brasy1G307000.1 locus=Brasy1G307000 ID=Brasy1G307000.1.v1.1 annot-version=v1.1 MDFELRSAREKLEREQRERMQRAKAKADRERRAKAEAARRRDALEASNRERRLDAARAQEEADQKMEEVMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFSLSKVRDRVPGASQDQGPEEATCCGVLEFTAREGSAELPPHVWNDLFQSDIPDVPLIEVRYASLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDVVVVNYGQLQYKLRVLELKPASSVSVLETDVEVDIEGPDSVLDNEENQHVLVPLEIGKVESGVLEEGKFRYYKFYVEEATSEKVASGHANIEVKIEADTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDVTLVTRTYSIGVYGFKGTSNFQLSVAIKDVISSQRIGEQASASSTVNGDSVVCKNCKRHVSSRTSVLHEAYCVRHNVACMHDGCGVVLRKEEAADHVHCSKCGQAFQQREMEKHMKVFHEPLHCPCGVVLEKEDMVQHQSSTCPFRLIVCRFCGDTVQAGGQPLDVRDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHAIAVHQKS* >Brasy1G022100.1.p pacid=40059386 transcript=Brasy1G022100.1 locus=Brasy1G022100 ID=Brasy1G022100.1.v1.1 annot-version=v1.1 MKLLLQAMEEAKLREKLLAAQKTSNLGQGGSLLVGAPAPGFSGTGNNFSGSEGGDSKSVPSLSGEDGSGASFATKVRDVQGAPLTLVEIQQSDGEGDDVLGDHHQGGTGNEEAKDFDLRRSLRLERLGKSDDKVEDRAIHNAKVRNLEIPKEKRLEGGAPGVLAAAVASLG* >Brasy1G361100.1.p pacid=40059387 transcript=Brasy1G361100.1 locus=Brasy1G361100 ID=Brasy1G361100.1.v1.1 annot-version=v1.1 MGGGHDMHGGGGVKGFVSNLVTGGKGHGSYGGHGYGQQGHGSYGGGGHGYGSSYGGGGHGHGYEQGYGQQHGYEHGYGGGHSQHGYGGHGYGNEHGYGGHGHQQQHGYPPPVAAGAYPPHGGYGQAHGHGGYAPAAYPSHGAQHGHVGMGSYHTGHGGGHGGKHKGMFGGKHGRKWK* >Brasy1G572000.1.p pacid=40059388 transcript=Brasy1G572000.1 locus=Brasy1G572000 ID=Brasy1G572000.1.v1.1 annot-version=v1.1 METRAGWTFPLEVDSLDTIDEVKSKIQVKEGFPKGKQYLIFGNKRLEDGKLTLADHNIWNESALQLVLQPSRSRGTTMTTMEIFVKTPSAKTITLDVESSDTLDDLKMMLYFKVGINPILQRLIFRGPMPMLEGNRTLSDYGIEKHSSLHMQLRHPCGCR* >Brasy1G071900.1.p pacid=40059389 transcript=Brasy1G071900.1 locus=Brasy1G071900 ID=Brasy1G071900.1.v1.1 annot-version=v1.1 MDGPHLAAASSSSASSSGSQASRPRKGVRLRLLRRRRPRPAPSLASRGGDGDGGGNGGAGGAQDDLALPLGMSFAAVITRVMNTNNGSGQTLHPVILSKICTSAVKESLTNTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.2.p pacid=40059390 transcript=Brasy1G071900.2 locus=Brasy1G071900 ID=Brasy1G071900.2.v1.1 annot-version=v1.1 MDGPHLAAASSSSASSSGSQASRPRKGVRLRLLRRRRPRPAPSLASRGGDGDGGGNGGAGGAQDDLALPLGMSFAAVITRVMNTNNGSGQTLHPVILSKICTSAVKESLTNTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.6.p pacid=40059391 transcript=Brasy1G071900.6 locus=Brasy1G071900 ID=Brasy1G071900.6.v1.1 annot-version=v1.1 MDGPHLAAASSSSASSSGSQASRPRKGVRLRLLRRRRPRPAPSLASRGGDGDGGGNGGAGGAQDDLALPLGMSFAAVITRVMNTNNGSGQTLHPVILSKICTSAVKESLTNTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.3.p pacid=40059392 transcript=Brasy1G071900.3 locus=Brasy1G071900 ID=Brasy1G071900.3.v1.1 annot-version=v1.1 MNTNNGSGQTLHPVILSKICTSAVKESLTNTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.8.p pacid=40059393 transcript=Brasy1G071900.8 locus=Brasy1G071900 ID=Brasy1G071900.8.v1.1 annot-version=v1.1 MNTNNGSGQTLHPVILSKICTSAVKESLTNTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.4.p pacid=40059394 transcript=Brasy1G071900.4 locus=Brasy1G071900 ID=Brasy1G071900.4.v1.1 annot-version=v1.1 MFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.5.p pacid=40059395 transcript=Brasy1G071900.5 locus=Brasy1G071900 ID=Brasy1G071900.5.v1.1 annot-version=v1.1 MFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.9.p pacid=40059396 transcript=Brasy1G071900.9 locus=Brasy1G071900 ID=Brasy1G071900.9.v1.1 annot-version=v1.1 MFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.10.p pacid=40059397 transcript=Brasy1G071900.10 locus=Brasy1G071900 ID=Brasy1G071900.10.v1.1 annot-version=v1.1 MFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G071900.7.p pacid=40059398 transcript=Brasy1G071900.7 locus=Brasy1G071900 ID=Brasy1G071900.7.v1.1 annot-version=v1.1 MFDSFMRNFENSFSSTLRTLHRINEIPVYERSPITGCSIKHECSAAVGNLSTPDSRNHTNEVNQDVANSVERQLVVCTRDNQQLADLARSRSSSEADHCILNAFERSVKEQARSNELKEYEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFKTQMQDTRDVQILRILIDFLVSAVVIMSACFAYGTYTYSYQRITDVTAACSVASRGSKSWWVPNSVSNFKSGWLFIRCHLIAATRMCFGIVMIVAIAWLAFQRSAVTGSNMPITFNFILLGVICGFAGRFCTNTLGGDGNAWVICWEVLCFIHLLGNCYPSVLYRILHGPISITRSKEAVLLPYWVRRCIFYAVLGLIIPSLTGLLPFASLSDWKDHFSEEIKSFFVVEEIEE* >Brasy1G276700.1.p pacid=40059399 transcript=Brasy1G276700.1 locus=Brasy1G276700 ID=Brasy1G276700.1.v1.1 annot-version=v1.1 MVLLATIPPYITTRTSATTPYPASSSRSRSLRAPGRSAASRVPRSMAAPGADSAAVAAAATGGSAPPSLLVFSGGTAFNGVVEELKKVTTQVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDSSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSVETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGHREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPQANQTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGMGETIASRSIPKVLLLNGSHDRETIGLCASGFVTAITDSLNRTYGDPDKSLKNHPKDYVNAILVPIGGQIPLDVENLASKGIFHVITVESVVDAKVGVIFEPQSLIQALTCLIPGQMDSLQPGCLTENAKFAC* >Brasy1G276700.2.p pacid=40059400 transcript=Brasy1G276700.2 locus=Brasy1G276700 ID=Brasy1G276700.2.v1.1 annot-version=v1.1 MVLLATIPPYITTRTSATTPYPASSSRSRSLRAPGRSAASRVPRSMAAPGADSAAVAAAATGGSAPPSLLVFSGGTAFNGVVEELKKVTTQVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDSSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSVETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGHREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPQANQTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGMGETIASRSIPKVLLLNGSHDRETIGLCASGFVTAITDSLNRTYGDPDKSLKNHPKDYVNAILVPIGGQIPLDVENLASKGIFHVLVKTESTIGCKSCRDFMLSCCTAFQKNRLRWSL* >Brasy1G276700.3.p pacid=40059401 transcript=Brasy1G276700.3 locus=Brasy1G276700 ID=Brasy1G276700.3.v1.1 annot-version=v1.1 MVLLATIPPYITTRTSATTPYPASSSRSRSLRAPGRSAASRVPRSMAAPGADSAAVAAAATGGSAPPSLLVFSGGTAFNGVVEELKKVTTQVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDSSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSVETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGHREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPQANQTVLEQLSKVDCIVYAMGSLFTSVCPSLVLLLNGSHDRETIGLCASGFVTAITDSLNRTYGDPDKSLKNHPKDYVNAILVPIGGQIPLDVENLASKGIFHVITVESVVDAKVGVIFEPQSLIQALTCLIPGQMDSLQPGCLTENAKFAC* >Brasy1G276700.4.p pacid=40059402 transcript=Brasy1G276700.4 locus=Brasy1G276700 ID=Brasy1G276700.4.v1.1 annot-version=v1.1 MVLLATIPPYITTRTSATTPYPASSSRSRSLRAPGRSAASRVPRSMAAPGADSAAVAAAATGGSAPPSLLVFSGGTAFNGVVEELKKVTTQVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDSSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSVETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGHREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPQANQTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGMGETIASRSIPKVLLLNGSHDRETIGLCASGFVTAITDSLNRTYGDPDKSLKNHITVESVVDAKVGVIFEPQSLIQALTCLIPGQMDSLQPGCLTENAKFAC* >Brasy1G276700.5.p pacid=40059403 transcript=Brasy1G276700.5 locus=Brasy1G276700 ID=Brasy1G276700.5.v1.1 annot-version=v1.1 MVLLATIPPYITTRTSATTPYPASSSRSRSLRAPGRSAASRVPRSMAAPGADSAAVAAAATGGSAPPSLLVFSGGTAFNGVVEELKKVTTQVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDSSEAKLEWYQIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSVETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGHREVVNKDCNSCTALPSSIKRVFYMSSEGSNLLHEVFPQANQTVLEQLSKVDCIVYAMGSLFTSVCPSLVLLLNGSHDRETIGLCASGFVTAITDSLNRTYGDPDKSLKNHITVESVVDAKVGVIFEPQSLIQALTCLIPGQMDSLQPGCLTENAKFAC* >Brasy1G404300.1.p pacid=40059404 transcript=Brasy1G404300.1 locus=Brasy1G404300 ID=Brasy1G404300.1.v1.1 annot-version=v1.1 MNCSILKAAAVAGAAAVLLAATASAAGSYAVSAAPLVIPSGFLSSPHFLWVAANAIVVWLIVSSYRHHRTTNAAVSSDMDGGLLFPSPEHENHAFAAAVVPDAAAPVVVSLSPREARAAAKQRLASDRPRARRKKPACEGTPPPQGAAAAEAPKAEAPVGEAMESSGAVVAVEDVSMDAAWQSILRRGVARPVAVRKSETWGGEELPRMRRAADRAVAGVAVAERRGAEMRKSASMVPPSPPHPAAASSPVAAKQGWRTRDVLGMAQDELLRRAESFIRRQHEHLRIQRQESEQRQALEHDRRGRPAPISV* >Brasy1G561800.1.p pacid=40059405 transcript=Brasy1G561800.1 locus=Brasy1G561800 ID=Brasy1G561800.1.v1.1 annot-version=v1.1 MGVGVRRNREEGAGGLGRRGRGSRTEVEDDTDSHLARSSRISLILVAQATPARIRCAADRIHCLDPRSAEKGSCGRPSARLQPPVKLQGRRHLRCWGPLRRGRPPRAVVRLQGGRRGWTRSAAAAARAEELSAAARGGRRQRRPGATRIPPDPVRGGAGSRGGWRSRSRRRAPDGAARGRRGRPDGSVARMVTPRGGRWGYGSRGRAAAETPQALTWTRERGRTRPSEKREEEEATGPVLDQQHDGRCWREEAVDVGRLLSVVAGAQVRDQLRRGGPWVGGGGGHGELERRALGSVSLRRLWIRIERGGALRRGPSANEEDIFGCLMYGPNFQQSRAENKKYMPDTKGGMRHALAQRESQRQRTADRVPVDRHFRQL* >Brasy1G019600.1.p pacid=40059406 transcript=Brasy1G019600.1 locus=Brasy1G019600 ID=Brasy1G019600.1.v1.1 annot-version=v1.1 MAGRLLANLIVMGGTVVGRAVIQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKTSWEEILQKYDVMFEKNAKGGSFYLQSKVHRAKECLESIYHKPDVTN* >Brasy1G355700.1.p pacid=40059407 transcript=Brasy1G355700.1 locus=Brasy1G355700 ID=Brasy1G355700.1.v1.1 annot-version=v1.1 MGRECLPTIAMVLVQLGFAGMNVLSKLALDTGMSPYVLISYRNLIAGVFLAPLAYYFERATLNQVLYFVGLKTTTATVACALSNTLPALTFVMAAVLKMETVRLRTWPGQAKVWGTAACVGGSMVMPFYHGPLLKVWASPLHWRYAERATNTAAPTSGSAAVVGDVLIILSCAAWAVWFIIQRKMSTEGYSAPYTSTTIMSLMAGVQCAGISAALDRNLAVWKLGLDIRLYSVLYIGIVGSGIAFAVMSWCIQSRGPLFVSMFSPLMLVVVAIVGWAILEEKIHLGTAIGSSLIVAGLYLVLWGKGREMDRERSADHQDDGASGGGVAETTMIVVELKEKVDGRNGDAAILPVFCTTPPNKHESDTTPRNGTN* >Brasy1G473100.1.p pacid=40059408 transcript=Brasy1G473100.1 locus=Brasy1G473100 ID=Brasy1G473100.1.v1.1 annot-version=v1.1 MADLQDVMEKEGQGQALAEEVPEMSFEELLTQEKDTAFW* >Brasy1G332000.1.p pacid=40059409 transcript=Brasy1G332000.1 locus=Brasy1G332000 ID=Brasy1G332000.1.v1.1 annot-version=v1.1 MRKHKDSLGKLYKLFPDLKDQLAAVLNHPLMPTEFEARKTWVSAYWKDVFCARMTSTQRSESMNHVLKKGFVREQHDLHIFAQQVNNCIQTRRVMKPLTRYGFEAQILEHYTRAVYGVFRERQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWKHTDEIPRRYILKRYTRNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFTVGMRAFKEVNSRMDEEGIKTNAGADHHTEECCPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPPKVAKTKGSRNKNTDEAPAPAPATAAARPEPELDANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATERVKICIRNVLAKQGVGIVDKKQLLDTDEDEDYEDQTDDDDNEDDVEDYDENEDDQGGEGEEEEQCQTEVTNEQTLETTENSKQPPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNCGKIRGHNARTCKKLQLEEQLRAQMELESQKIAQERSPEEQVQPMRATRRSARLQ* >Brasy1G151400.1.p pacid=40059410 transcript=Brasy1G151400.1 locus=Brasy1G151400 ID=Brasy1G151400.1.v1.1 annot-version=v1.1 MDKPKSGKATWDPVAHRVFLEICVEEVLAKNRPTQCLNNVGYANLIAKFNERTKRNYDRKQFKNRWETLKKDYNTWKSLNQHASGLGRDPITNTIDASDEWWELEIQKCPDAAKFRIAPLEYEDKMRQIFDATSVTNEHARVPPPHVNIEEEEDGSGHEGEDEAIPQRGNGKRKKSTPYSPIAAQPPSKKTSENATRIDRLLNHLDSKSSNSGTSQVSVDPVRQEIREMLAMVVRDGYKAGTDEHFYATQLFMKKECRDIFITLEMEEPYVRADWIRRTWESKKRR* >Brasy1G126400.1.p pacid=40059411 transcript=Brasy1G126400.1 locus=Brasy1G126400 ID=Brasy1G126400.1.v1.1 annot-version=v1.1 MPRDVLSLASSSCHRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.8.p pacid=40059412 transcript=Brasy1G126400.8 locus=Brasy1G126400 ID=Brasy1G126400.8.v1.1 annot-version=v1.1 MPRDVLSLASSSCHRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.2.p pacid=40059413 transcript=Brasy1G126400.2 locus=Brasy1G126400 ID=Brasy1G126400.2.v1.1 annot-version=v1.1 MPRDVLSLASSSCHRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQV* >Brasy1G126400.10.p pacid=40059414 transcript=Brasy1G126400.10 locus=Brasy1G126400 ID=Brasy1G126400.10.v1.1 annot-version=v1.1 MPRDVLSLASRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.9.p pacid=40059415 transcript=Brasy1G126400.9 locus=Brasy1G126400 ID=Brasy1G126400.9.v1.1 annot-version=v1.1 MPRDVLSLASRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.3.p pacid=40059416 transcript=Brasy1G126400.3 locus=Brasy1G126400 ID=Brasy1G126400.3.v1.1 annot-version=v1.1 MPRDVLSLASRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIVSLDVAKDRLSDMIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQV* >Brasy1G126400.4.p pacid=40059417 transcript=Brasy1G126400.4 locus=Brasy1G126400 ID=Brasy1G126400.4.v1.1 annot-version=v1.1 MPRDVLSLASSSCHRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.5.p pacid=40059418 transcript=Brasy1G126400.5 locus=Brasy1G126400 ID=Brasy1G126400.5.v1.1 annot-version=v1.1 MPRDVLSLASSSCHRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQV* >Brasy1G126400.6.p pacid=40059419 transcript=Brasy1G126400.6 locus=Brasy1G126400 ID=Brasy1G126400.6.v1.1 annot-version=v1.1 MPRDVLSLASRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQVW* >Brasy1G126400.7.p pacid=40059420 transcript=Brasy1G126400.7 locus=Brasy1G126400 ID=Brasy1G126400.7.v1.1 annot-version=v1.1 MPRDVLSLASRRHLKRSGPQPRKTKSIFRALKRQQYIMDYVFNSKIDESLLGCLREGYRLSRLPPSGKEGSQAMFGAFTIPAKNSGILSVNDSGAPDAPSSQTMLGSLTDQAKTSEVLSWTNAGTGKLSEAGCYTECACQDFSGRKDPSDELTTVEEDPDPKRKDLGKQV* >Brasy1G330800.1.p pacid=40059421 transcript=Brasy1G330800.1 locus=Brasy1G330800 ID=Brasy1G330800.1.v1.1 annot-version=v1.1 MNKLLVFYSDAIVCDGPSGLDVWQCQHKLLTIEDIVNTSFLDVTNCIKVEFGSEMASKKLIEFGSEMASKKLIVEALIVPRVQEGSLPRWGLRQVKGESNWRTYMRFASTPDAAMYGRPMVYVQFDDDNEAGSWSYSMSYFAQAGCSWSIHS* >Brasy1G184200.1.p pacid=40059422 transcript=Brasy1G184200.1 locus=Brasy1G184200 ID=Brasy1G184200.1.v1.1 annot-version=v1.1 MAPTSICFDAINGATKNGTAPVVATKPQQCPNALNADDFRLQGHQVIDFIAEYYGGMGDYPVHPSVTPGFLRNALPASAPSRPEPDAFSSALKDVRDLILPGMTHWQSPRHFAHFPASSSTVGALGEALIAGINVVPFTWAASPAATELEMMVVDWLGKALHLPESLLFAGGGGGTLLGTSCEAILCALVAARDRKLAEIGGNRIGDLVVYCSDQTHFAFRKAARIAGIQREHCREIMTCHANMFALSATELEAAMQADVDAGLVPLFLCATVGTTQTTAVDPIGELCTVTTPHGVWVHVDAAYAGSALVCPEFRHVIDGVESVDSFSMNAHKWLLANNDCCAMWVKKPSELIAALGTEQEYILKDSASEGHDVVDYKDWTMTLTRRFRALKMWLVLRCYGIDGLRDHIRSHVRMAEAFENMVMADERFEVVTDRQFALVCFRLRSPEKYGGEKTANELNRGLLEAVNAVSSGPYMSSANVGGMYMLRCAVGSTLTEEKHVTDAWKVVQDRAASILRKMEIIYSVLGQGESALVRNLL* >Brasy1G047800.1.p pacid=40059423 transcript=Brasy1G047800.1 locus=Brasy1G047800 ID=Brasy1G047800.1.v1.1 annot-version=v1.1 MAASPAPVSRETDRRCHEPPAPLRPPGLLLVGPRHRERPSHDGRGVHKHPLRGATIWSCRWRRRGIWVRSGGGWGRSPAWPRRGGRGAGGGGNSGEEVARPERRKARRRTEDGWRRRAQRRGRASVRGGALMGRRRIWRAGSGSAVEGKREILAGWPGMSRGG* >Brasy1G419000.1.p pacid=40059424 transcript=Brasy1G419000.1 locus=Brasy1G419000 ID=Brasy1G419000.1.v1.1 annot-version=v1.1 MLGSPRRSPSPSPSPCPSASFSSARTRRIPLLGMDAGTSPEIHGYGRCTGDARRSTGREDSGEEREMPGHRRTLARCWPEMEKMKEKKKRGERKK* >Brasy1G093400.1.p pacid=40059425 transcript=Brasy1G093400.1 locus=Brasy1G093400 ID=Brasy1G093400.1.v1.1 annot-version=v1.1 MELRLHARLPSAPKRSRLPSPAMVLLPASGRLRIGGSCRVAPRRITRHSNSAVFAVRADGAQGDAVKERSVSVILLSGGQGKRMGANMPKQYIPLLGTPIALHSLRTFCRMKEVKEVIVVCDPSYRNVFEGSIENLQIPIKFACPGKERQDSVFNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAAVHGAAVLGVPVKATIKEASSDSFVLKTLDRKTLWEMQTPQVMKPNLLKDGFDLVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNEE* >Brasy1G038500.1.p pacid=40059426 transcript=Brasy1G038500.1 locus=Brasy1G038500 ID=Brasy1G038500.1.v1.1 annot-version=v1.1 MEIAMGAIGPLLPRLGGLLVGEFTLEKRVRKGIESLLTELTLMHAALCKVAKVPPDQLDVGVKIWAGNVKELSYQMEDIVDAFVLRVDDGGEPAANPKNKVKKLLKKTTRLFKKGRDLHRISDALEEAVGQAKQLAELRQRYELDMRDTGVGASVDPRMISLYTDVTELVGIENARDELINMLRKGSADDWSKHPPKTVSIVGFGGLGETTLAKAAYDKIKWQFDCGAFVSVSRNPNMEKVLKDILFDLDKNKYANIYNAAWGEKQLIDELIEFLNDKRYLIVIDDIWNKKVWELIKCAFSRNKSGSRLITTTRIVSVSEACCSSSDDIYRMQPLSDHYSKRLFYKRIFSHEKSCPPELVQVSQDILKKCGGIPLAIITISSLLTSNCQVKTKDQWYRLLNSIGRGLTDDHNVEEMKKILLLSYYDLPFYLKPCLLYLSIFPEDYIITRTELIWRWIAEGFIHGETQETSLYELGESYFNELINRSLIKPVGIDVEERVEACCVHDMVLDLVCSLSSEENFVTILDGTERKVLYQ* >Brasy1G472500.1.p pacid=40059427 transcript=Brasy1G472500.1 locus=Brasy1G472500 ID=Brasy1G472500.1.v1.1 annot-version=v1.1 MQRLLPQQATYSALPNSPFPSPPSPTSPATMATTEGDPTQANTWQLPEEAERELEQLEERHGERADPQARRQLAELAEAEEAAAARVLRRIAESRRHVRNLSAYIGWMVARRDELDDPRSPFRCAACGSGRPSRQGDSVLGGMMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPTKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDDIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTVWVGKGLSLYPLQ* >Brasy1G015400.1.p pacid=40059428 transcript=Brasy1G015400.1 locus=Brasy1G015400 ID=Brasy1G015400.1.v1.1 annot-version=v1.1 MVPSVGQIDHGKVEKSSSEECATGSKDYEVSANFDEKNEDEDDDWEAIADRGAHDETPVRPLEQEARVTSSSSSEKSSTPSSSGPKRRGRGSFLYDKSVLYSDQCGLERNLDDKGSDPRVGSKGHVDEQQENKIAVKQYGIRHALVLYDFPPSTRTTDLERVFEKFGDHGVAIRWVNDSTALHGGGTGRKLERRWRRDGEQQGEATAVGWGEAGRGDGCGMGRKRERRRWQDQ* >Brasy1G076700.1.p pacid=40059429 transcript=Brasy1G076700.1 locus=Brasy1G076700 ID=Brasy1G076700.1.v1.1 annot-version=v1.1 MYDKNSGRSRGFGFVQFSNDYEAKCAKDAMDGKVMLGRTLKISFALGKVRGGPVIVPRLSTVK* >Brasy1G469900.1.p pacid=40059430 transcript=Brasy1G469900.1 locus=Brasy1G469900 ID=Brasy1G469900.1.v1.1 annot-version=v1.1 MGASGRGAGEQQSPSSTGAKSSPRGAGVGIGEQHYSASSTKTSPRAGTGIGVGVGVPSMLPTALLESKWALSAAITVFLFLAAALTFTSSPAISASSFLSFLPASHPQQQHDQSSPSSPAPAAADPGAGVPRLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAPVGERLELAARVANSTVFRRAGNVEVIRRANMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVFSTLPRNVNFIEHTGNLGWKEGQRGRPVIVDPGLYSSQKQDIFYTSPHRELPTAFKLYTGSAWVALTRDLAEYVVWGWDNLPRTLLMYYSNFVSSPEGYFQTVACNAPRFVPTVANHDLHHIQWDVPPRQHPHPLGLADMGRMLRSGAPFARKFGRDDPVLDAIDRQLLRGRGGENATSGMFVPGGWCGEDGDCGGSGGDQDWVLKPGPGAERLSRLMDRVVRSEAFANSQCK* >Brasy1G536400.1.p pacid=40059431 transcript=Brasy1G536400.1 locus=Brasy1G536400 ID=Brasy1G536400.1.v1.1 annot-version=v1.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGEHVDVKACRTSSTTAACTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCAEEFRLRKVKNDQLKAEAKARGEVISTKRQPAGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY* >Brasy1G325300.1.p pacid=40059432 transcript=Brasy1G325300.1 locus=Brasy1G325300 ID=Brasy1G325300.1.v1.1 annot-version=v1.1 MSSPYSLVSGLNDNSQDWRIRVRIARLGFLAKFRNALVESRVYYLGHFEVTAVRDKFRSVDHRFELRFTSWTLICEIVPTPAGFPMHTYRLLSFESILANITDKTFLVDVLGVLTGVSEVVSPEVRGYTTSKRTLRITDTRCFMRIDGPAEGQGWWFMSCDACTCRATEDGDSFICTNNECDGKTASPRYKLAVNASDKGSSVEMVFFGDICRDLVGKPADISVAESYNVPSGVPSDIEQMFGRSYVVDVAVSRYSFRRDNISYQVLKFYPEGGAVFSDFVSCGGHVDASSSAVLVGGTSG* >Brasy1G253500.1.p pacid=40059433 transcript=Brasy1G253500.1 locus=Brasy1G253500 ID=Brasy1G253500.1.v1.1 annot-version=v1.1 MISKMAASAFLFLVLLSSLFSGMAADQCHDDDHAALVAIDSALGSPYHFTSWTPDSACCDWHDVDCDAATGRVVGLSVSQDTNISGAIPAAIGNLTYLQTLTLHHLPAISGAIPDSLAALTNLSQLTISYTGISGPIPCFLGALTELTLLDLSYNSFTGAIPASLAELPNLSGLNLRHNLLTGTIPPRLLSNSPDQASLWLSHNNLSGAIPSEFSTVNFTHIDISRNALTGDASCLFGAGKPLQYLDVSRNALNFNLSGVEFPEQLTYVDISHNAIRGGVPAQVATLSGLQQFNVSFNRLCGTVPTGGNMAKFDRYSYLHNKCLCGAPLTACRQRPIDRN* >Brasy1G538200.1.p pacid=40059434 transcript=Brasy1G538200.1 locus=Brasy1G538200 ID=Brasy1G538200.1.v1.1 annot-version=v1.1 MPPPSSTQKKPRPRTPERSSSSSPSSSPLDSPPPSPSSTAAGFPISPATAALSIPRAGSRVLAYSSSSLLPNPSPPDLLDPPLELSSRSSSPAARSPGARRLRRSSQAPSFPGS* >Brasy1G154300.1.p pacid=40059435 transcript=Brasy1G154300.1 locus=Brasy1G154300 ID=Brasy1G154300.1.v1.1 annot-version=v1.1 MSEGTRNTRPFLGASGGGFGSASYRDGIGSPRYSGGVNTGILDEQVLSLVFRSINWDPQAVCTASSVSRRLRAVAERVLWRELCVSRAPRMVASLTATTGAGASPAGRVGGGWPALAKILSFCCGAAASAVPGHLTRVSRFSKTSGRSFLARRCRGDLLYVSDPCEHAVAAAGAGDEDVGAYRGVFRWFMRSRTRACLLGRNAALDPRVRCPYCGARVWNMVAARLVPRSAWRRMGSREAGLEYYVCVSGHVHGSCWLAHLTSSEDGDSGDDEDGSGTGDDDDVAP* >Brasy1G009300.1.p pacid=40059436 transcript=Brasy1G009300.1 locus=Brasy1G009300 ID=Brasy1G009300.1.v1.1 annot-version=v1.1 MTTWKEERRWGESAPALVRMAQALLASSPAGLRAAQGRVGSLRQVPARRSQTARRTGREGQWPPGGGRQLGRRRTRRKPWREAASGAARERNPAGTAGTNELILRRPVPSGDPGIGTNTLGPWSRSRSMMKKPAKEEEEAFFFFFFFFSDDSQRRLRKEGSATRRFQRLQAVAQRKRSAAESRRARISRSTSSGSTESAMAVDPKLLS* >Brasy1G114200.1.p pacid=40059437 transcript=Brasy1G114200.1 locus=Brasy1G114200 ID=Brasy1G114200.1.v1.1 annot-version=v1.1 MVDTFFLTTRLNQLLQPPSLSRRFLLSLIRQFTPKWPSHPHRMEYPDQVSSVPETAVYHQIMLRERDAVLRLAPCGR* >Brasy1G165700.1.p pacid=40059438 transcript=Brasy1G165700.1 locus=Brasy1G165700 ID=Brasy1G165700.1.v1.1 annot-version=v1.1 MEEAGRNKDEAVLVRVEDFSAAKDTANVTTKPSRRYPLASWIAILVLATLVGVYIFSLSLKQNGMLFGLKQTSMIEKEREKPCHRPSIPDTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTKLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.4.p pacid=40059439 transcript=Brasy1G165700.4 locus=Brasy1G165700 ID=Brasy1G165700.4.v1.1 annot-version=v1.1 MEEAGRNKDEAVLVRVEDFSAAKDTANVTTKPSRRYPLASWIAILVLATLVGVYIFSLSLKQNGMLFGLKQTSMIEKEREKPCHRPSIPDTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.5.p pacid=40059440 transcript=Brasy1G165700.5 locus=Brasy1G165700 ID=Brasy1G165700.5.v1.1 annot-version=v1.1 MEEAGRNKDEAVLVRVEDFSAAKDTANVTTKPSRRYPLASWIAILVLATLVGVYIFSLSLKQNGMLFGLKQTSMIEKEREKPCHRPSIPDTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTKLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.6.p pacid=40059441 transcript=Brasy1G165700.6 locus=Brasy1G165700 ID=Brasy1G165700.6.v1.1 annot-version=v1.1 MEEAGRNKDEAVLVRVEDFSAAKDTANVTTKPSRRYPLASWIAILVLATLVGVYIFSLSLKQNGMLFGLKQTSMIEKEREKPCHRPSIPDTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.2.p pacid=40059442 transcript=Brasy1G165700.2 locus=Brasy1G165700 ID=Brasy1G165700.2.v1.1 annot-version=v1.1 MLFGLKQTSMIEKEREKPCHRPSIPDTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTKLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.7.p pacid=40059443 transcript=Brasy1G165700.7 locus=Brasy1G165700 ID=Brasy1G165700.7.v1.1 annot-version=v1.1 MCTILHRILIAECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTKLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.3.p pacid=40059444 transcript=Brasy1G165700.3 locus=Brasy1G165700 ID=Brasy1G165700.3.v1.1 annot-version=v1.1 MCTILHRILIAECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTKLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G165700.8.p pacid=40059445 transcript=Brasy1G165700.8 locus=Brasy1G165700 ID=Brasy1G165700.8.v1.1 annot-version=v1.1 MCTILHRILIAECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEVFSVKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLMKHHQEVVEYFNRRGVSAIFLLRRNLLQRYVSILANDHDRNTKQLNGTHKAHVHHRGQADVLAQYKPTISTKSIIAELKRSDKLAADALVNFKTVRNIVLYYEDVVSNRTLTDVLDFLKLPNKKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR* >Brasy1G282200.1.p pacid=40059446 transcript=Brasy1G282200.1 locus=Brasy1G282200 ID=Brasy1G282200.1.v1.1 annot-version=v1.1 MAASARRVSRATRRLLRSRSVRKGKTKEAKTAGGGDGEAAVWHRSILLGQRCEPLNFSGAIHYDGQGRRLSQPRGGMASAATKQQLQAATALICRSADVVDEAAITASKRSAAIDGCAALLELADAACED* >Brasy1G237500.1.p pacid=40059447 transcript=Brasy1G237500.1 locus=Brasy1G237500 ID=Brasy1G237500.1.v1.1 annot-version=v1.1 MALSRAQTNHGESLISSTFASRYVRTALPRFKIPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIQNSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKMLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIDKMGYFDIASKDSGVPLVAFSLKDSSRYTVFEVVESLRRFGWIVPAYTMPADAEHIAVMRVVIREDFSRGLAERLIADLDKVMGEMDAHAKKHVAAAEPHVAKKTVHEIEKEVATYWRRLVDRKKSSLVC* >Brasy1G259100.1.p pacid=40059448 transcript=Brasy1G259100.1 locus=Brasy1G259100 ID=Brasy1G259100.1.v1.1 annot-version=v1.1 MPREKPAAERGEVPEVVEVEEKAEEGGQAEAEAEEQEERWARLLPELLSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAVSGKITFPSSLKQPGTREFPMQCFIKRNKKNSTFYLYLGLTTATVDKGKFLMAARRFRRGPHTEYIISLDADDLSQGSNAYMGKLRSDFWGTNFKVYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQLSYKYNLLKSRGPRRMYCALECPSTQETWENSLKSKFQKPMGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPTGSRDEDTVLLQFGKVDDDIFTMDYRQPLSAFQAFAISLSSFGTKLACE* >Brasy1G458400.1.p pacid=40059449 transcript=Brasy1G458400.1 locus=Brasy1G458400 ID=Brasy1G458400.1.v1.1 annot-version=v1.1 MGKAISLLRPQQPQTEGECERREGMGMELRKRPRPRRLDPDFVSSPPPTPPRKRARKHPATKKLQQAAVKEPATAREGPRRIRCARAGIGSPVAGLEPSRCCQHAAPMSRSSFIVRSRVPFNWYEPDIWTEVAKHLHGIHLACLARTCRWFRRLLADDSIWRYAFIRDLSLPTGDRRLLIPRPRHRSWRHLYATAFDNTHAYCFRQREKHIDWIRIGGFIMDTSKLLLTGTLALPRWMPPADDGPRISIELTGSCLLNNARRGIWIADINIVRCPVCNINNCKGTMQVLDARHCELYLEEKFRDGTWEYEELGHYIIDGKLDTAAAAIFNLNHINSTHVANILDAKSWIRRRHDLLPKGRLTPVAVALNSNLKSKDRLLSKFQAMRDMSRDGEIVSVRITQQLL* >Brasy1G465000.1.p pacid=40059450 transcript=Brasy1G465000.1 locus=Brasy1G465000 ID=Brasy1G465000.1.v1.1 annot-version=v1.1 MSLSSVRQRRTTAASSLTDDTQENRYSKDGNDRRRRPDGEEEEGGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDHALRSYLYLFIHGTVAGPASLIFGEHKVRVFYAVRIFLGLISTITETVLVVAISRRYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYTGAVSVAAAGVLLGWPFSILVFLPVTVYSLIRGSFKRVFMSGLLTSLCLLALSLVADYYCYGRWTSSVFNLLKYNVLGGGESHLYGTEGAMFYFKNAFNNFNFAFVLALLFLGVALSAGKKYAPDLLIVVSPIYIWLAFMSLQAHKEERFLYPVYPLICVAAAAVIDSFPDFFHDKYSSEQSIFEKIAKFLRPLVLGFILCTSHSRTFSMLNGYGAPLQIYEHLDYHEDTGPGSTLCVGSEWHRYPSSFFVPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDQQYLKDIGSCNLLMELDLRRPYPSRGNDLSTWETLAALPFLDRELSPALYRSFFIPYQWQQKNVFGLYKLLRRLPTDQEQLKGH* >Brasy1G027500.1.p pacid=40059451 transcript=Brasy1G027500.1 locus=Brasy1G027500 ID=Brasy1G027500.1.v1.1 annot-version=v1.1 MGNGLSPCLHMPATAAMPAAARLVYWGGQTRLLHVTDDEDDNGGCSSSFMAGDVAAELAAEHVVCVAESFFVGLPIPVVAPEERLLPGRAYFVLPAARFSAATRLTAATLASLAPPGTKKKTNAVAVRIAGPGQCPFEYVKGAEDGAAPLIRVLPEFIEKVITCSDGNDSGGNGNGAAAAGGRRGRSKSRGAAIESAAETDELCSTPELKRHYAQLVGPRSRPWSPALETISERGKRSALWSPARLLLSSR* >Brasy1G045500.1.p pacid=40059452 transcript=Brasy1G045500.1 locus=Brasy1G045500 ID=Brasy1G045500.1.v1.1 annot-version=v1.1 MDHRALLVLLIVFLAVHLEGATAQYGSSDGAPGAAGAGYSLGVAAALLSVAAFVWN* >Brasy1G088200.1.p pacid=40059453 transcript=Brasy1G088200.1 locus=Brasy1G088200 ID=Brasy1G088200.1.v1.1 annot-version=v1.1 MLPFCSAAPTPCSPFCPVTAANAGARALRRVESSALRPYSYADPLVAQAPGRALLSDSSILSPYATAPDDIARGFSAAAAGTAELPSSDAPWFDPPLTAVSLDVAADRTAVVGAVARDAVERALVDAPMPTTFPDDASDVEDSVARFIDKLSKQIFQAEDALTEGYDKLRLSAYDALGTWRKAVKGVTGGVTASVDATKKQAAGGVTDVSGAFQEKLAGAGSVAVDVLRKVIVAAEDSLGGATTSLVYYYGSAKSSLPPNVKDLLNSSEEKASLVLRPIGSALQQVYVIIEGVGKNAGLDPSDPIVQLAVLLGGSTTIGISYWLFTYGGYSGDLSPEMTLELLRNDGKAVLIDVRPEDLRVKDGIPDLRRAARSKYASVASPEIKGPTKKLLKGGSDVDDALLAVVVRNLKLVKGDSKVIIMDANGTRSKSIARLLKKLGVKQPFLIKGGFQSWAKDLRVKELKPETALTVINEDAEEILEAIKPTPTLVFGSLLGLSAVSYALLEWETTLQYIGVVSLGLTVYLRFSSYEGSEDFQQDLKLLLSPVKVGAEALSWASKKLEPNKIGLATSPSTSAVQDRVLKAAAKHESKPSDAEGSTKTDSFASEA* >Brasy1G487000.1.p pacid=40059454 transcript=Brasy1G487000.1 locus=Brasy1G487000 ID=Brasy1G487000.1.v1.1 annot-version=v1.1 MADLTEEAKWLALGRVLSNKPYSFSSLAATMKFAWSSAQEISVWVRIHKIPPMFCKEDLVRGLAARAGKVLSVVLRPAVAGQDFVRVRVELETSKPLTRFVLLSPEGAADIILRVTYEKVPKFCEICGCMGHVLKECGNGVWEEKDKYSGNTRAGPARGSMRGGRFGGRGGGRDQAQRQEQESRKRTSRDASLESSPAKADPPPGLLLEYKPKGDDGAKKKLELNLVEGANNLQLMVPPPPPKYTTPREKKRVNKGESTSMGDASTSNQAAFVAEDRQLQ* >Brasy1G001600.1.p pacid=40059455 transcript=Brasy1G001600.1 locus=Brasy1G001600 ID=Brasy1G001600.1.v1.1 annot-version=v1.1 MLVYSCINVVVSLKVAQSDVGFPIYDDMLALTDTCRGLVPADVVYFEIDLKIKCDGGVVKDFSKGTVQVFNSVCLSERQQRPSL* >Brasy1G117800.1.p pacid=40059456 transcript=Brasy1G117800.1 locus=Brasy1G117800 ID=Brasy1G117800.1.v1.1 annot-version=v1.1 MGAPGRDEEEAKKMEAGDDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGMVCLVIGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPGWGRYYIGPIQFLVCFGAVVASTLLAGQSMKAIYLIAVPGGTIKLYVFVAIFGGWMMILAQLPSFHSLRHVNLVSLMLCLSYSFCAVAGCIYLGTSDRAPPKDYSISGNTHSRVYGVFNAIAVVATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVITTFFSVATSGYWAFGNAAQGTLLSNFMVEGKAIIPEWLLLMTELFTLLQLSAVAVVYLQPTNEVLEGVFSDPKAAQYAPRNVVPRLLARTAAVAIGTTVAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNITFKPSKKGVVFWLNTTIAVVFSALAVIASVTAVRQIVLDASTYKLFANV* >Brasy1G128700.1.p pacid=40059457 transcript=Brasy1G128700.1 locus=Brasy1G128700 ID=Brasy1G128700.1.v1.1 annot-version=v1.1 MQNHAYSRLGSFGGGGNGALPSPPSSPRRAWGRKASAKGGSARAATGAGAGVGRGGGGVVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGVWRPCIRNNTYDLPEPNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLIIPNFHYHSIWRDPSKFSDIYDENHFVQHLKNDVRVVDKVPEFIMERFSHNLSNVFNFKIKAWSPIQYYKDVVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPITTLSDTLVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGDEEKKELDVARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTSIYLASGKIYKAEKNMVPLLEMFPLLQTKETLASDEELATFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLVGHRRYMYGGHSKTIKPDKRRLAILFDSPRVGWKSLKRQLLNMRSHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEQSKSIQAR* >Brasy1G298900.1.p pacid=40059458 transcript=Brasy1G298900.1 locus=Brasy1G298900 ID=Brasy1G298900.1.v1.1 annot-version=v1.1 MSTSTPPPPQRYCCQEQQSSVPIAFPASPDAKVLCPPDVGGFVEEEPLDATPSPTTPRAFASLLHSFDIRHPSDLAIFLGTPSPTASPAAAASPPGQFDATNFLHGHLSGILSGGATIQVVVEGSPDGINLGDYYMAPSPDGLEQLIEQLALNDPNRYGTLPAAKYAVVALPNVAVCADDFVLGAMAKQLPCNHMFHKDCILPWLDLHSSCPVCRFELPTDDTDYEHLVAGGHASVSAEPAAVTPGTPGSCGTPSPRVGERMLRISLPWPVRAAFGCETATSTPSSQDAAGGDHYKIVGHSSYEDLD* >Brasy1G264300.1.p pacid=40059459 transcript=Brasy1G264300.1 locus=Brasy1G264300 ID=Brasy1G264300.1.v1.1 annot-version=v1.1 MGEGGLGGFCLLSPSGELRHPHPERSRRSSSSGHGCPSRRRTRPCPAARGRRCRSRSISTTTAQASSRVSGECPAKTAEAGEDLDRRSSAVRSGLLSADFASLFVANLARQRQ* >Brasy1G551800.1.p pacid=40059460 transcript=Brasy1G551800.1 locus=Brasy1G551800 ID=Brasy1G551800.1.v1.1 annot-version=v1.1 MLFLVGMQLLHGGGPSSSSGWKPGAVGSSVAAVREAVRARAVRISAVAAATAGNVCTKPQDTAGCCELPLITYTRMYEV* >Brasy1G264000.1.p pacid=40059461 transcript=Brasy1G264000.1 locus=Brasy1G264000 ID=Brasy1G264000.1.v1.1 annot-version=v1.1 MKTRSQTGPKPLNTVRLPPVASPRTKPRPEPRKRGTVGDPRRPKKPPTAFFYFMEDFRDKFKAENPSVKSMQDIGRACGEKWNKMAFEEKVKYYDLATERRAEFEKAMAQYNKKKISGELSEESDYE* >Brasy1G553400.1.p pacid=40059462 transcript=Brasy1G553400.1 locus=Brasy1G553400 ID=Brasy1G553400.1.v1.1 annot-version=v1.1 MDGGGEDRLSALPDDVLIDILVKLEDAAAAARTSVLAPRWRRLWALLPEINFDDDIEHRRIGPALAAHEALDFRRLEVATGDASPGSVSAWLPVAARRLSGSLLLLVVRRDQAAEEDRAGAAVDLPCFEKATSVALDLGFLAFALPASGVFARLKHLYLVGLQLRHGSSDGSQSKLGDAVSSPRCPSLQSLYLLSVRNLEDNFAIHSETLLRIELWGLHDLQQLTVVAPALEKFIVQTCFTDAPNPCEPVANISAPQLVLLDWMVAYNPTSVQLGKLAHLHSLGIELSVLDGDASSTLNIVLSFPPEIGTNKYSMEDMARLPNVVFLALGISSYGHSFAASSFDVLRRCPGIKKLDLDFLPGSPPEERTACPSGCVCHHPLNWRTDELVLNCLEEVEIHDFSGTEYEVALVKKLFDWATVLKLMTVYFVESITESKAEELRQLLLSFSRPEICMKVSMFVP* >Brasy1G011400.1.p pacid=40059463 transcript=Brasy1G011400.1 locus=Brasy1G011400 ID=Brasy1G011400.1.v1.1 annot-version=v1.1 MLQQEIIGVLEDTSIGNVIYFEGWDGLGASAVFKVLAQRLKLSKLKFDKIIHVDCSAIAKEVGLPTSVIATIDRCDEDDDFNGVDEGSRELISDVTRKIYQKLAKSTLVVIFHNGSNGYVELTEFGIPVYPLLSNKVLWTFQGRFLCRKRVADNKEAERIMGNTDAYLYATLTDGRDEADGSKLWDLVHEEAEGVAQCTGMAQHINHRTVEDCIMYMWALQDIESIDWGIHASNYWVCDGIIQGDCTGRSAWEMGDALHSCMHMDWSWDYMGHAVPYSAPHLQRQAPIYCSSSSPSKITSLPPEASSFFVAGSDEADTVLLPAVMFQHSDSSKLHVLHLSFCTFSFSSPPFLCCRNLRFLFLNHCKDGPETAQDQDCQSQNQRESWMACFRKLWVLDLSHTDWYWLLSEEMMNLMVNLRELNVKGVKHWSIHDLRCNSNILAKLRVIVDPVPTKDDGTNNAKTILSSSNSHNTIILDNCIRLEQVVLINIASIETGGCSHLKILILRGILDSLEKLDLSGTSVKTLDLREVEYSHLKQLILLGCKQLCAILWPEEWKDSRRQTFEVLRIDNIQSALSCEAYWEEKTKARKLGSSGAAYFCSNWLISIRDARLLRSLLPIEDIKERYRYVHMEMDSPPTSTAQKTRNLQQPNHHLYARDVIYQDLLLHISYNEDVLTWDMKRRLLQQQGSTEGTGSSSATTLIPGFICDHTETLHVHDSLYIASIQFSRSDWNYLMWCRVERCPKLQSVFSTNTSQRSGRKHMFWCLRTLWASQLLSARYIWNWSIISQAGRRSFEDLEFLHLDYCPRLIHVLPLSMDMVPLGMLKTIEIVWCGDLREVFPLDPKCQEQEKVIDFPRLRHIHLQELPMLKHICGLRMSAPSLETIKIRGCWSLRRLPAVSKDAEPPNVDCEKEWWENLEWDGLEMNHHPSLYQSSHSLYYKKAQLPRGTILR* >Brasy1G053800.1.p pacid=40059464 transcript=Brasy1G053800.1 locus=Brasy1G053800 ID=Brasy1G053800.1.v1.1 annot-version=v1.1 MAEGSMRRAFMGDPTARLTDDVLVEIISRVPYKSTCSCKCVSRRWRDIISHPEHRKKLPQTLAGFFYEARISSTEKARYFTNVSGQGDPLVDASLPFLPEYESLDILDCCNGLLLCRCWKPTDPETLDYVVCNPATEKWVVVPSTEWSSEVEVARLGFDPAISSHFHVFEFIDAVAWGVDTLSYRDPHIEALAIYSSKAGVWMHRRVWEDEDPSVEDEDPLVEGEDPLVEDEYPLVEDEDPWVEHEDPWVEHEEPWVEQEDPLVEHEDPLVIPKNSKGVFLNGILHLAACYELVVAVDVEGKNWRFISIPDRPYFDDVPVSDIFLSQGRLHFAEGSAGSLGEELTVWVLKDYNTKEWSLKHKVTNLQLFGSEYSSFRHDYSVIAFHPERGMIFMVCGSENVLMSYEMGSKKLRFIHEFGCDCYLKLDERTPYYPYIPLFSESLADEN* >Brasy1G487900.1.p pacid=40059465 transcript=Brasy1G487900.1 locus=Brasy1G487900 ID=Brasy1G487900.1.v1.1 annot-version=v1.1 MATASEHVLISNPDKLVLLAEMTGMDSCDPEPTVMLRLVVELCSGYGAGLEDVDTMEDLTCRVPLRGLGRQGAAERAFGELVASLNNPMLRPDVTAATEEAAARVRARCGDPAAVDELSSTGFLLRVTFIDAWDESVSDDESGSDMEFGEFARSGMEFGEFINEWEDDYEVEDDDDDGSGVHFSVRRYNGGFARGGGGWSESATPGFGACSDGPELTDQHELTALQSVRQPQSKLPSAMFPMRARF* >Brasy1G070800.1.p pacid=40059466 transcript=Brasy1G070800.1 locus=Brasy1G070800 ID=Brasy1G070800.1.v1.1 annot-version=v1.1 MASRMALRPHDVTLCLSPPLAGHRQRRSSRVRVLAVASSTAKVESKKPFTPPREVHVQVTHSMPPQKIEIFQSLDGWARDNLLLHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYLVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKIAMPAHLMFDGQDDKLFDHFSMVAQRLGVYTARDYADILEFLVGRWKVPDLTGLSGEAQKAQDYLCTLAGRIRKLDERAQSRAKQAGKMPFSWVYGREVQM* >Brasy1G125000.1.p pacid=40059467 transcript=Brasy1G125000.1 locus=Brasy1G125000 ID=Brasy1G125000.1.v1.1 annot-version=v1.1 MGRGGIGGGGAAAAAMENSDSTRCFVRDVKRIVIKVGTAVVTGQNGRLAMGRLGALCEQVKELNFQGYEVILVTSGAVGVGRQRLKYRKLINSSFADLQNPQLDLDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFLDPSFGHQLRETVISLLDLKVIPVFNENDAISTRKQPYEDSSGIFWDNDSLATLLAQELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYITEKHGKLINFGEKSRVGRGGMQAKVAAAVTAASKGVPAVIASGFAIDSIIKVMRGEKIGTLFHNEANFWDCSKEVTTREMAVAAKDCSRHLQNLSSEERKKILLDIADALEENEDLIISENEADLAAAQDAGYEKSLVARMTMKAGKITSLARSIRAIADMEDPISHTLKKTEIAKDLVYEKMYCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKSKDEIADLLKLDDVIDLVIPRGGNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNKTEGLDDLLMELAKEGVVIYGGPVAHDTLKVPKVDSFHHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDKKSADTFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVMYTHKELPLQ* >Brasy1G336900.1.p pacid=40059468 transcript=Brasy1G336900.1 locus=Brasy1G336900 ID=Brasy1G336900.1.v1.1 annot-version=v1.1 MRPQPTWRSKPHLHRSPIRRLPRVRSSLDSTPLCPGLGGWRAREGADERTREDKAARERRGRGAASANLGFFSLSCSWLAGYVTAGTREAARIELSFGRGGRHLSRRRMFDWNQDQQQVGDEVWAELNENEDRMMPYPKDVKDSILACVQDQKKNDEAAASVVGLTENTAGGQTEYPGLEKQPATEATGHFSATRLDMESWPDLPSLNTTLDRNYSDDNIASTYLDFSSAPSLEKVTASTSVQLEPEVFGNDHEEKSNNFLDCDWGNIGDFDDFDRLFSNSESLFGDEMIGNGNDFLSTSSDVVDTNVESIPFPRVPVNKQPSSDCGSSSVLINDTPDGVTKQENKGDVQKKPVKSRKKTEERRKSKISSNTGGSSKNQGQNPSDSLHSLSKLPVQPVQSPQHVLLHYNKSIGQFQHANQFTFPGYGYHAHPFPTIPLVSNIQVEGHQTKPAAPSDRTSADSPKRSSSTEKPQDMPSRPLMMTPQEKIEKLRRRQQRQALIAIQQQQQQFGQEGSGRDTMVPQSYSPKKKNPGSLGSSIVTDEYANKVLSPEQISTGHEEIQQNSGIPDDPFIEEKIYYELKDALGKLDGRTRFSIRDSLFRLARSSSERQIAGEKSSSNKTKRDENEISENDTTSRRKRSPVKEAEADTNPIDRIVAHLLFHRPCSKIATSRKEEIISATPLSIEPDPTLPADTPGIPSEDQRNIHKQ* >Brasy1G336900.2.p pacid=40059469 transcript=Brasy1G336900.2 locus=Brasy1G336900 ID=Brasy1G336900.2.v1.1 annot-version=v1.1 MQKTIEAAGKKKVGDEVWAELNENEDRMMPYPKDVKDSILACVQDQKKNDEAAASVVGLTENTAGGQTEYPGLEKQPATEATGHFSATRLDMESWPDLPSLNTTLDRNYSDDNIASTYLDFSSAPSLEKVTASTSVQLEPEVFGNDHEEKSNNFLDCDWGNIGDFDDFDRLFSNSESLFGDEMIGNGNDFLSTSSDVVDTNVESIPFPRVPVNKQPSSDCGSSSVLINDTPDGVTKQENKGDVQKKPVKSRKKTEERRKSKISSNTGGSSKNQGQNPSDSLHSLSKLPVQPVQSPQHVLLHYNKSIGQFQHANQFTFPGYGYHAHPFPTIPLVSNIQVEGHQTKPAAPSDRTSADSPKRSSSTEKPQDMPSRPLMMTPQEKIEKLRRRQQRQALIAIQQQQQQFGQEGSGRDTMVPQSYSPKKKNPGSLGSSIVTDEYANKVLSPEQISTGHEEIQQNSGIPDDPFIEEKIYYELKDALGKLDGRTRFSIRDSLFRLARSSSERQIAGEKSSSNKTKRDENEISENDTTSRRKRSPVKEAEADTNPIDRIVAHLLFHRPCSKIATSRKEEIISATPLSIEPDPTLPADTPGIPSEDQRNIHKQ* >Brasy1G289000.1.p pacid=40059470 transcript=Brasy1G289000.1 locus=Brasy1G289000 ID=Brasy1G289000.1.v1.1 annot-version=v1.1 MPADDVAASDRTPPRRLRAARPILRAPAQDGPAHARRSLTPARDRCPLLAKPPARRRFRRLGRARRRFRRPGPSPPTRLLRSLLIPPARDHGPPARRRLLRAVDSGGQGAWRLS* >Brasy1G471700.1.p pacid=40059471 transcript=Brasy1G471700.1 locus=Brasy1G471700 ID=Brasy1G471700.1.v1.1 annot-version=v1.1 MEVGGDEMMMTSGATGRIVPVFRSVLSRRTLLRVAVALHSLFLWLVLLVGRRRRPDASAVAADAGIGKASRSRSRRSRRALAEEEDVRRRRALAEEVPMVEEDDADGKEDAGRRWATFVIAGARRNALFCRVWTPAAGTEMRGILVIIHGLNEHSGRYLHFAEQLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDMEVLLDKIALESPGVPCFLLGHSTGGAVVLKASLYAHIRTRLEGIILTSPAVRVKPAHPIVGAVAPIFSLIAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLPKVTVPFMVLHGTADRVTDPLASQDLFHEAASRHKDLRLYEGFLHDLLFEPERDDVAADIIGWMDRMLGLQAV* >Brasy1G352800.1.p pacid=40059472 transcript=Brasy1G352800.1 locus=Brasy1G352800 ID=Brasy1G352800.1.v1.1 annot-version=v1.1 MGNLCVRGGRRARPLVVLPDGSRFRLEEHAGVAELMIEAPGHVVARAKDAVKERRLRALGADELLRAGEVYLLVPVGKAGARLCDGAVEAICPLVFGQKGSKLKGRGGSRSRRRIFPGAETGFEEDGVADGEKKSGKQGLHVHRLRARQWRPALETIDEA* >Brasy1G233300.1.p pacid=40059473 transcript=Brasy1G233300.1 locus=Brasy1G233300 ID=Brasy1G233300.1.v1.1 annot-version=v1.1 MEFQVDAAQWPEPRGGAAEAPPPPQERGEAPSPRFDSSRALRLLRDLGTNVTEDLVVLLPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMTLQINERGRVDAWLEQMWASMNQFKDAVCGMMHEPGPIASKLLTLKFIETWILCLTPQPNSERMQSTEGKNWRFDASRLPKFHPSLDPVVLEADTNRAFTILLDIVQSAYAHRGSLLVGTINSLAAIGKNRPGYYDRALSVLFGFDPNLETSKGAHSASLRYSLKTAFIGFLRSPCQAMIESKDILVRRLRALSPGEATEQIIRQVEKMSRNIERASRASKEELPAWEVPHGDLNRKNPAARSGDTLAMAEAIAKRARFDSSAGSNLLVLGMPDYSDMQIENDAYVGHSSDPPSLLSTDVSPVEKMIEMIGALLAEGERGAESLSILISSVESDVMADIVIETMKHLPEAPFPLATIQSADEVGISTPDALPTPGVSDSKRDPRRDPRRLDPRRTVAPAATSPIQMKVETTSVHQTNNFSNIPSPIAGKVENHADYSADLPKNEDEEHTSSQPDETIGKEISESLENGTEPETKFEVQAPVEARFNSSDVDEEKTYPLSQEAISNDEFDSMDLEVDPFSPVSKASTPEETNHELPLLPSHLELSDSEKLSLHKLTVRRIIDDYKNNSLNTRFSLLAHLVAQSTADDKIMELIQKHIIFHYHGHKGHELAMHVLYQLQCVDVADSQESSTSASKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPCLPESLFRLLESLCMSQGNSQQTKDSDGDRVTQGLGTVWSLILVRPPLRQACLDIVLKCAIHSQDEVRGKAVRLVSKKLYDLTYAAEKVEQFATDSLLAVANKHGVETDVNFTTSKDCTTEFEVGSQETSVSGSQISDVGFSENGSAKTPLASPKQSAVSVSEAKRHSSLFFALCTKRPTLLGHLFNVYGMSPKVVKQCIHWHIPTLVRNLGSSCPEMLDIIHNPPEGSEELVTMILQTLTEESNPSAKLVVAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPVKFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVPLKKVIDACTACFEQRTVFTQQVLEKSLNKLVDNVPVPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVLLQLPPAQLEIALNKYPDLRTHLSSFVSQRNAHSILPRQTLKVLGFINEPQQASMPFVPASLQTADTTSSLPGATLM* >Brasy1G233300.2.p pacid=40059474 transcript=Brasy1G233300.2 locus=Brasy1G233300 ID=Brasy1G233300.2.v1.1 annot-version=v1.1 MEFQERGEAPSPRFDSSRALRLLRDLGTNVTEDLVVLLPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMTLQINERGRVDAWLEQMWASMNQFKDAVCGMMHEPGPIASKLLTLKFIETWILCLTPQPNSERMQSTEGKNWRFDASRLPKFHPSLDPVVLEADTNRAFTILLDIVQSAYAHRGSLLVGTINSLAAIGKNRPGYYDRALSVLFGFDPNLETSKGAHSASLRYSLKTAFIGFLRSPCQAMIESKDILVRRLRALSPGEATEQIIRQVEKMSRNIERASRASKEELPAWEVPHGDLNRKNPAARSGDTLAMAEAIAKRARFDSSAGSNLLVLGMPDYSDMQIENDAYVGHSSDPPSLLSTDVSPVEKMIEMIGALLAEGERGAESLSILISSVESDVMADIVIETMKHLPEAPFPLATIQSADEVGISTPDALPTPGVSDSKRDPRRDPRRLDPRRTVAPAATSPIQMKVETTSVHQTNNFSNIPSPIAGKVENHADYSADLPKNEDEEHTSSQPDETIGKEISESLENGTEPETKFEVQAPVEARFNSSDVDEEKTYPLSQEAISNDEFDSMDLEVDPFSPVSKASTPEETNHELPLLPSHLELSDSEKLSLHKLTVRRIIDDYKNNSLNTRFSLLAHLVAQSTADDKIMELIQKHIIFHYHGHKGHELAMHVLYQLQCVDVADSQESSTSASKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPCLPESLFRLLESLCMSQGNSQQTKDSDGDRVTQGLGTVWSLILVRPPLRQACLDIVLKCAIHSQDEVRGKAVRLVSKKLYDLTYAAEKVEQFATDSLLAVANKHGVETDVNFTTSKDCTTEFEVGSQETSVSGSQISDVGFSENGSAKTPLASPKQSAVSVSEAKRHSSLFFALCTKRPTLLGHLFNVYGMSPKVVKQCIHWHIPTLVRNLGSSCPEMLDIIHNPPEGSEELVTMILQTLTEESNPSAKLVVAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPVKFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVPLKKVIDACTACFEQRTVFTQQVLEKSLNKLVDNVPVPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVLLQLPPAQLEIALNKYPDLRTHLSSFVSQRNAHSILPRQTLKVLGFINEPQQASMPFVPASLQTADTTSSLPGATLM* >Brasy1G233300.3.p pacid=40059475 transcript=Brasy1G233300.3 locus=Brasy1G233300 ID=Brasy1G233300.3.v1.1 annot-version=v1.1 MEFQERGEAPSPRFDSSRALRLLRDLGTNVTEDLVVLLPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMTLQINERGRVDAWLEQMWASMNQFKDAVCGMMHEPGPIASKLLTLKFIETWILCLTPQPNSERMQSTEGKNWRFDASRLPKFHPSLDPVVLEADTNRAFTILLDIVQSAYAHRGSLLVGTINSLAAIGKNRPGYYDRALSVLFGFDPNLETSKGAHSASLRYSLKTAFIGFLRSPCQAMIESKDILVRRLRALSPGEATEQIIRQVEKMSRNIERASRASKEELPAWEVPHGDLNRKNPAARSGDTLAMAEAIAKRARFDSSAGSNLLVLGMPDYSDMQIENDAYVGHSSDPPSLLSTDVSPVEKMIEMIGALLAEGERGAESLSILISSVESDVMADIVIETMKHLPEAPFPLATNEVGISTPDALPTPGVSDSKRDPRRDPRRLDPRRTVAPAATSPIQMKVETTSVHQTNNFSNIPSPIAGKVENHADYSADLPKNEDEEHTSSQPDETIGKEISESLENGTEPETKFEVQAPVEARFNSSDVDEEKTYPLSQEAISNDEFDSMDLEVDPFSPVSKASTPEETNHELPLLPSHLELSDSEKLSLHKLTVRRIIDDYKNNSLNTRFSLLAHLVAQSTADDKIMELIQKHIIFHYHGHKGHELAMHVLYQLQCVDVADSQESSTSASKHYEKFFISLARSLIDSLPASDKSFSKLLCDAPCLPESLFRLLESLCMSQGNSQQTKDSDGDRVTQGLGTVWSLILVRPPLRQACLDIVLKCAIHSQDEVRGKAVRLVSKKLYDLTYAAEKVEQFATDSLLAVANKHGVETDVNFTTSKDCTTEFEVGSQETSVSGSQISDVGFSENGSAKTPLASPKQSAVSVSEAKRHSSLFFALCTKRPTLLGHLFNVYGMSPKVVKQCIHWHIPTLVRNLGSSCPEMLDIIHNPPEGSEELVTMILQTLTEESNPSAKLVVAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPVKFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVPLKKVIDACTACFEQRTVFTQQVLEKSLNKLVDNVPVPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVLLQLPPAQLEIALNKYPDLRTHLSSFVSQRNAHSILPRQTLKVLGFINEPQQASMPFVPASLQTADTTSSLPGATLM* >Brasy1G453400.1.p pacid=40059476 transcript=Brasy1G453400.1 locus=Brasy1G453400 ID=Brasy1G453400.1.v1.1 annot-version=v1.1 MATHNVRTLIYSSTCATYGEPEKMPITEETPEVYATIKTESMAITASMLDSLLDLMASGILWFTHLSMKKVNIYKYPIRKLWLQPVGIIVFATIMATVVRVQAIEQFVENKPGDRIIRCAFSMVTL* >Brasy1G000400.1.p pacid=40059477 transcript=Brasy1G000400.1 locus=Brasy1G000400 ID=Brasy1G000400.1.v1.1 annot-version=v1.1 MGEKTTLRMARQELEDLYLGVADDSVDLTFRDLLPRPPTAVVAAPPLTLGTILDDDGDGDDDERTNKALLRSSTNIFTYKIESDDDGDQRVAGPVVVPVAAGFELSPSPPPVIVAAAAGGRRRPSDNSKQQQQQQVDPPPAVRVMMSSRNGNHRRPGIPHSNICALCTGYVYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHKAGSGSTAVCCCVSFGVGGSSSSAVKAQELLWAEKGPAPRRRPRPASSSTSTSISTAASYGGGAYSASMSMTMMSSNNNMATMTINGAPPPASSSSFVANSFSGTNPHAFPL* >Brasy1G570500.1.p pacid=40059478 transcript=Brasy1G570500.1 locus=Brasy1G570500 ID=Brasy1G570500.1.v1.1 annot-version=v1.1 MMKPLSNDDSRILFFNTAFGCQHECSPDLLEVSSNIIKKCGGLPLALVTIASVLASRMGKLRQWDYVNKSLGYGLRTNPTLDGMKQVLNLSYDNLPWHLKACLLYLSMYQEDWIIWKDDLVKQWIAEGFICATEDEDKEEIARRYFDELISSRMIQPAHINYNDEVLSCTVHHMVLNLITHKSIEENFIIAIDHSQTTARLADKVRRLSLHFGNSEDATPPTNMRLSQIRTLAFFGGFKSVPSIVEFQLLQVLVLELWGDEENMSFDLTRISELFRLRYFQVTSNVTLELRTKMQGLQYLETLKIDARLSVVPSDFCLPGLLHLNLPARTKLPAGIARMTSLHTLGYVDLNINSIENVDSLGKLTNLQDLQLTYMAVPSSYLVGNMEFLGSILGKLSNLKSLTLVSAGSSHLCTTNAPYNPAGMIYSGDGLSSMPCPPALLRRLELPHMCIFSSLPRWIGGLAKLCILKITVRELSKNDVDVLKKLPVLTVLSLYVAIVPAERIAFDRTGFLLLRYFEFRCTVPWLKFEVNAMPNLWKLKLGFSAHGNVCYGAMPVGIEYLSVLKEVSAKIWCVGPEESNRMTAESALRDKLRMHPGRLRVQVQHVHEMFDDEDDKSSVTKEDHMAFKQCDILEEDTNEQHAVLQKNSGEDVNKQAESWGLPSPDKGTSSTDVLGSLAGGEATRYKAKAPVLPYRNPSEEPPSPGSTH* >Brasy1G168900.1.p pacid=40059479 transcript=Brasy1G168900.1 locus=Brasy1G168900 ID=Brasy1G168900.1.v1.1 annot-version=v1.1 MGWLRSLVSPLRKLWCQMNTVQRKKRGIYILYDDVKSCQCEDVHVLWSILVESHGLPPPTPTTVLRLTR* >Brasy1G088500.1.p pacid=40059480 transcript=Brasy1G088500.1 locus=Brasy1G088500 ID=Brasy1G088500.1.v1.1 annot-version=v1.1 MQKVLAVNLSSRRNGIRSRFDLRSTVAHYLWLICIWRTRDIPTRSPRRPRRKQVADSRHKSQRLSIRLSRKSRRRPKSLMEDAKVCKMEEGQQGEAAVQVPLIKSPRWQPSYPPRRKLTPFTKYFLRGFGVTLGIILTFGVIVLKGPDPCPWIKIFAWPLTMIGPVGFFGMTYADSSDDES* >Brasy1G088500.2.p pacid=40059481 transcript=Brasy1G088500.2 locus=Brasy1G088500 ID=Brasy1G088500.2.v1.1 annot-version=v1.1 MQKVLAVNLSSRRNGIRSRFDLRSTVAHYLWLICIWRTRDIPTRSPRRPRRKQVADSRHKSQRLSIRLSRKSRRRPKSLMEDAKVCKMEEGQQGEAAVQVPLIKSPRWQPSYPPRRKLTPFTKYFLRGFGVTLGIILTFGVIVLKGPDPCPWIKIFAWPLTMIGPVGFFGMTYADSSDDES* >Brasy1G265400.1.p pacid=40059482 transcript=Brasy1G265400.1 locus=Brasy1G265400 ID=Brasy1G265400.1.v1.1 annot-version=v1.1 METVEEGVEEYSWREVVLPRLIPVVQDAAPELERETGERRRGRDLLVAVDFGPNSKHAFDWALTHLARMADTVHLVHAVSSVHNDLVYDKSQELMEDLAIEAFKVSLVRTKARIVEGNAGKVICLEAERLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPVIIVPGKEAGEQSVL* >Brasy1G324700.1.p pacid=40059483 transcript=Brasy1G324700.1 locus=Brasy1G324700 ID=Brasy1G324700.1.v1.1 annot-version=v1.1 MDDAFGWNTQQQQPPAAASCCPSDADDDVILAAFLRGAGATFGNAGVRGGAAAITSDAAYDFTSSCPDDDLRRLLICRDSVSPLPFAGDDPSKSAFLETSAGSLRAPAPAIGAQDSSFAFFPKAGEAFSGYSTATGNISSGESNTYDADVASPPCIDIVPPPPAKRRLPETRTTSASGQQHEYEPGTEAMARVKETIYRAAAASSSSPPAAAADPPPLGPRRRRRNVRISSEPQTVAARLRREKVSERLRALRRLVPGGGSGKMDTASMLHQAACYLRFLKAQLARFHAMAADDGRYSSSPSSSMQRHVGRPPWNDNNPESLGGGNIGNGGAVLAFRRDDGVAGYVMKSNNRNVQSSL* >Brasy1G324700.2.p pacid=40059484 transcript=Brasy1G324700.2 locus=Brasy1G324700 ID=Brasy1G324700.2.v1.1 annot-version=v1.1 MDDAFGWNTQQQQPPAAASCCPSDADDDVILAAFLRGAGATFGNAGVRGGAAAITSDAAYDFTSSCPDDDLRRLLICRDSVSPLPFAGDDPSKSAFLETSAGSLRAPAPAIGAQDSSFAFFPKAGEAFSGYSTATGNISSGESNTYDADVASPPCIDIVPPPPAKRRLPETRTTSASGQQHEYEPGTEAMARVKETIYRAAAASSSSPPAAAADPPPLGPRRRRRNVRISSEPQTVAARLRREKVSERLRALRRLVPGGGSGKMDTASMLHQAACYLRFLKAQLARFHAMAADDGRYSSSPSSSMQRHVGRPPWNDNNPESLGGGNIGNGGAVLAFRRDDGVAGYVMKSNNRNVQSSL* >Brasy1G123300.1.p pacid=40059485 transcript=Brasy1G123300.1 locus=Brasy1G123300 ID=Brasy1G123300.1.v1.1 annot-version=v1.1 MAGSEQRGRREMATLAPLVRCCVASRPRAAAPPLVPPLFRALPLARAPLRRRSRARCCVAARPRAAASPLARAPLRRRLCRCSPCAAAPPLAPPLAPAAPRGSPAAMASESPSAFRSRTESGKKR* >Brasy1G267300.1.p pacid=40059486 transcript=Brasy1G267300.1 locus=Brasy1G267300 ID=Brasy1G267300.1.v1.1 annot-version=v1.1 MAMQHVVKVDEGRPAADGRPSVGPTYRSAFARDGFPAPVPGLESCYDIFRMAVEKYPNNRMLGRREIVDGKAGAYVWKTYKEVFGIANKIGNSIRSHGLTKGSRCGIYGANSPEWIITMEACNAHGIYCVPLYDTLGAGAIEFILCHAEVEIAFAEEKKVQELLKTFPKSTEFLKTIVSFGNVTQEQKEEVSKYGLSIYSWDEFLSSAGDQEFDLPVKQKTDICTIMYTSGTTGDPKGVLISNASIISLIAGVDRLLNSVNERLEETDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGVLKPTILCAVPRVLDRIFSGLQAKISAGGFIKSTMFSLAYKFKQFRMMRGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDARLESVPEMNYDALASTPRGEICIKGETLFSGYYRREDLTQEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGVVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAEGNGISGDFEALCENPKAKEYIIGELSKTGKEKKLKGFEFIRAVHLDPVPFDMERDLITPTYKRKRPELLKYYQGAIDNMYKSAK* >Brasy1G027900.1.p pacid=40059487 transcript=Brasy1G027900.1 locus=Brasy1G027900 ID=Brasy1G027900.1.v1.1 annot-version=v1.1 MIGIWGPDGVGNTHLLKKINNSFIRDPPFHFVIFVTARDHAVEKIQAEIIKRLEIEHDAYVSTQTTKIYELLSTGSFLVLVDDLREKLDLQAIGIPYPLGIVDQLKRKVLITSRSKTVCNQMDVNKYIEVPGLEEIEALELFERNVGQQNIFSDPHIGVLANDLVKELKGLPSDLIHIGKEMLGKRDPGQWEDVIHSVKKLNLQKEDPGLAGRTLRSLKDAINELLARWNDVRSGIEAAERKCMTLSSMNEVNRWLEKVATVNSDVQIIFESNKLNKDVTMEATEKLSAVQECLRACPPNIVAVESVPPPAQEIPGPSMSAENHNLQKALQFIEDNPVGMIGIWGLGGVGKTYLLNNINNSFAGSMSFNVMFVTASRGCSVKKIQEDILDKLGMNKGGNLESQHQLIYNFLRSRSFLLLLDDLWEQIDLQAVGIPYPLGIVNQLKRKVVLTTRSIKVCGEMEVRKDLKVTCLQEDDAWQLFKEKVGQETLSSSPRIEPLARELVKELKGLPLALIVIGKAMYPKTDPIEWEYAIRHMQRSCCDENDPLFMENVFRQLKFSYDSLQNDTLRHCFLTCALWPEDWDILKSDLVQCWMGLGLVLLDKYDIQSSYSKVYSLIGDLRDACLLENWGNWYGFVKMHDVIRDMALWISCGCGENNDKWFVRAQVGRDEIFGIPWSKAEYISLMLNEMRELPHLKNPCPVKVRTLCIRNNYFDGRIAETIKNFTSLTYLDLSINHLKDIPEELCSLVNLEYLDLSHNPDMYKLPRCFGNLIKLKFLYLLCTDIREIPEEVVCNLKALQVIDLRIWRAYSGGRVNYIPTIFRELSTLDHLKAVGIEADGLAEHKSLKYAATLPIRSLILGSLKETEESCLSDILSDDFAQRTLYELEIIQSNMELIVVKQEPKYNFGTLNKLLLWFLVNFKEIMWEGISPASVFPRLTCLDINSCSKLKNLSWVMYLPCLEQLEARFCNSMMEAFPRERRDGEIMCMGQESSRTSGGTFPCLKHLRLSDNPKLVTICDPDVTFPSLDQLVLTKTPELNRLPFQMHSLPLKLQDLRLDSVECWDRLEWEEERVKSFLQPSLKFGYEGEAP* >Brasy1G208700.1.p pacid=40059488 transcript=Brasy1G208700.1 locus=Brasy1G208700 ID=Brasy1G208700.1.v1.1 annot-version=v1.1 MFEVAFKGLTLSIGKKKLLQYVTGKLSPGRLTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSGCCRLSKGMSKADKVLVLERVIGSLGLQEIGNSLVGTVEKRGISGGQRKHVNVGIEMVMVPSLLILDEPTTGLDSASSQQLLRALRHEASQGVNVCAVIHQPSYTLFDMSDDFVLLARGGLVAYHGPIREVENYFSGLGIKVPDRENPPDYYIDILEGIVKTKMRGHVTPKDLPLLWILHNGYEVPEDMRKNFEEINMIDELYNVGSISREISSVEQSESTDSVQQNMRQTNLLDRKTPGALTQYKYYLGRVAKQRLREATQQAVDYIILCIAGICIGTITKVNDDTLGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSSVAYFLARDTIDHFNTVVKPIVFLSTFYFFNNPRSTLRDNYLVLLALVYCVTGIGYTLAIWFELGLAQLCSALLPVVLVLVGTNPKFPQFIKELCYPKWTLEAFIIAGAKNYSGVWLITRCGALLKGNYDINFFALCIGIMMLNGVLFRFVALLSLLKLK* >Brasy1G208700.3.p pacid=40059489 transcript=Brasy1G208700.3 locus=Brasy1G208700 ID=Brasy1G208700.3.v1.1 annot-version=v1.1 MLQENFHQSYKKIIGFVPQDDIVHGNLTVEENLWFSGCCRLSKGMSKADKVLVLERVIGSLGLQEIGNSLVGTVEKRGISGGQRKHVNVGIEMVMVPSLLILDEPTTGLDSASSQQLLRALRHEASQGVNVCAVIHQPSYTLFDMSDDFVLLARGGLVAYHGPIREVENYFSGLGIKVPDRENPPDYYIDILEGIVKTKMRGHVTPKDLPLLWILHNGYEVPEDMRKNFEEINMIDELYNVGSISREISSVEQSESTDSVQQNMRQTNLLDRKTPGALTQYKYYLGRVAKQRLREATQQAVDYIILCIAGICIGTITKVNDDTLGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSSVAYFLARDTIDHFNTVVKPIVFLSTFYFFNNPRSTLRDNYLVLLALVYCVTGIGYTLAIWFELGLAQLCSALLPVVLVLVGTNPKFPQFIKELCYPKWTLEAFIIAGAKNYSGVWLITRCGALLKGNYDINFFALCIGIMMLNGVLFRFVALLSLLKLK* >Brasy1G208700.2.p pacid=40059490 transcript=Brasy1G208700.2 locus=Brasy1G208700 ID=Brasy1G208700.2.v1.1 annot-version=v1.1 MSKADKVLVLERVIGSLGLQEIGNSLVGTVEKRGISGGQRKHVNVGIEMVMVPSLLILDEPTTGLDSASSQQLLRALRHEASQGVNVCAVIHQPSYTLFDMSDDFVLLARGGLVAYHGPIREVENYFSGLGIKVPDRENPPDYYIDILEGIVKTKMRGHVTPKDLPLLWILHNGYEVPEDMRKNFEEINMIDELYNVGSISREISSVEQSESTDSVQQNMRQTNLLDRKTPGALTQYKYYLGRVAKQRLREATQQAVDYIILCIAGICIGTITKVNDDTLGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSSVAYFLARDTIDHFNTVVKPIVFLSTFYFFNNPRSTLRDNYLVLLALVYCVTGIGYTLAIWFELGLAQLCSALLPVVLVLVGTNPKFPQFIKELCYPKWTLEAFIIAGAKNYSGVWLITRCGALLKGNYDINFFALCIGIMMLNGVLFRFVALLSLLKLK* >Brasy1G278700.1.p pacid=40059491 transcript=Brasy1G278700.1 locus=Brasy1G278700 ID=Brasy1G278700.1.v1.1 annot-version=v1.1 MHRSSSYYLQSSSSSTTASSAAASAAGGPSGNSNNAAAAMGVDQLPTYDPQSDAAKKEALDASRANLAHALVHLVPVVVLLCALLLWSLSTTPLPPTEVGVVVLKKGRLMALKSGPANDWDGSGVMAAGTEDPDLDDKAASHGLTPEQLEGDTSGKRIKKDGLKK* >Brasy1G448600.1.p pacid=40059492 transcript=Brasy1G448600.1 locus=Brasy1G448600 ID=Brasy1G448600.1.v1.1 annot-version=v1.1 MIDDDACANTTKDQRVENSREMSRKSFRAMRQWMCDRHVPLVINKVLEVELDADDRKGAAEALKTANDLAKRCHYSARAQLFRAYMKLKFARGLDATMDRRPFLDRIRGEVTEAVNRFHASIVLSMFRAKLCFVLGFYEDAYLEFLRAVFTIEQPVDPKLEDVPPGSVIGQERADRLLSVNKEFGNLIRRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTISDALSFVKKTRSWRFWSCPYCVGKKLPDTASLLRHMCSKHPAEKDLQMLQTLLDPNQNHDTSVDDNSSDDITVVQDSEDNYFFCFKKTDQIFELSFIPPSTLTQAVTFAEIREKKCKEGTEILEKMKQKLKNLPTDKFSSEFDKARIEIQGLWCDFLSTSVLDYRAVMLPLAESFIWTKLIKYTSEDKASSKSVDNSDIDTVFPDVVHAPGSEMMLEYMGKYLEGNKNHECGDDQDTEDMKPSGLDKTLVNDEKTEESEALVEDGNPGTMLDKKSSDPIVDMEEIDVSKLAARIANVELDKKGTSGQSSGEMATSSSCQPSVKIYENNNADKVLFSLRVIIQVIDICMDYVICHVYVLISYEFVQSLCNLKNFRDKLLTEELKWNPYSENPCIADILCGIFFAWERYEPYPTFDILNSVKNILCRLAHDSSMYEKVGESFASKTVIKILIELHMLETSLSFSSYTESEQKVVNPITCGDCICPTHSLFGINFDAQMSCSCGECSDNYLYTTLFHILDAGSPQTTKIKSFAELQYILDVQFSVGNTCKHCGTIENVGLFLSNTPHCFTIVLNWESGSESQDTRSNVLAGITSPLDAEFFCRSSHSATKYIVSSMVIPKGGENNELSWYWLIYIVLRVYMFDAICHFFLG* >Brasy1G448600.4.p pacid=40059493 transcript=Brasy1G448600.4 locus=Brasy1G448600 ID=Brasy1G448600.4.v1.1 annot-version=v1.1 MIDDDACANTTKDQRVENSREMSRKSFRAMRQWMCDRHVPLVINKVLEVELDADDRKGAAEALKTANDLAKRCHYSARAQLFRAYMKLKFARGLDATMDRRPFLDRIRGEVTEAVNRFHASIVLSMFRAKLCFVLGFYEDAYLEFLRAVFTIEQPVDPKLEDVPPGSVIGQERADRLLSVNKEFGNLIRRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTISDALSFVKKTRSWRFWSCPYCVGKKLPDTASLLRHMCSKHPAEKDLQMLQTLLDPNQNHDTSVDDNSSDDITVVQDSEDNYFFCFKKTDQIFELSFIPPSTLTQAVTFAEIREKKCKEGTEILEKMKQKLKNLPTDKFSSEFDKARIEIQGLWCDFLSTSVLDYRAVMLPLAESFIWTKLIKYTSEDKASSKSVDNSDIDTVFPDVVHAPGSEMMLEYMGKYLEGNKNHECGDDQDTEDMKPSGLDKTLVNDEKTEESEALVEDGNPGTMLDKKSSDPIVDMEEIDVSKLAARIANVELDKKGTSGQSSGEMATSSSCQPSVKIYENNNADKVLFSLRVIIQSLCNLKNFRDKLLTEELKWNPYSENPCIADILCGIFFAWERYEPYPTFDILNSVKNILCRLAHDSSMYEKVGESFASKTVIKILIELHMLETSLSFSSYTESEQKVVNPITCGDCICPTHSLFGINFDAQMSCSCGECSDNYLYTTLFHILDAGSPQTTKIKSFAELQYILDVQFSVGNTCKHCGTIENVGLFLSNTPHCFTIVLNWESGSESQDTRSNVLAGITSPLDAEFFCRSSHSATKYIVSSMVIPKGGENNELSWYWLIYIVLRVYMFDAICHFFLG* >Brasy1G448600.5.p pacid=40059494 transcript=Brasy1G448600.5 locus=Brasy1G448600 ID=Brasy1G448600.5.v1.1 annot-version=v1.1 MIDDDACANTTKDQRVENSREMSRKSFRAMRQWMCDRHVPLVINKVLEVELDADDRKGAAEALKTANDLAKRCHYSARAQLFRAYMKLKFARGLDATMDRRPFLDRIRGEVTEAVNRFHASIVLSMFRAKLCFVLGFYEDAYLEFLRAVFTIEQPVDPKLEDVPPGSVIGQERADRLLSVNKEFGNLIRRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTISDALSFVKKTRSWRFWSCPYCVGKKLPDTASLLRHMCSKHPAEKDLQMLQTLLDPNQNHDTSVDDNSSDDITVVQDSEDNYFFCFKKTDQIFELSFIPPSTLTQAVTFAEIREKKCKEGTEILEKMKQKLKNLPTDKFSSEFDKARIEIQGLWCDFLSTSVLDYRAVMLPLAESFIWTKLIKYTSEDKASSKSVDNSDIDTVFPDVVHAPGSEMMLEYMGKYLEGNKNHECGDDQDTEDMKPSGLDKTLVNDEKTEESEALVEDGNPGTMLDKKSSDPIVDMEEIDVSKLAARIANVELDKKGTSGQSSGEMATSSSCQPSVKIYENNNADKVLFSLRVIIQSLCNLKNFRDKLLTEELKWNPYSENPCIADILCGIFFAWERYEPYPTFDILNSVKNILCRLAHDSSMYEKVGESFASKTVIKILIELHMLETSLSFSSYTESEQKVVNPITCGDCICPTHSLFGINFDAQMSCSCGECSDNYLYTTLFHILDAGSPQTTKIKSFAELQYILDVQFSVGNTCKHCGTIENVGLFLSNTPHCFTIVLNWESGSESQDTRSNVLAGITSPLDAEFFCRSSHSATKYIVSSMVIPKGGENNELSWYWLIYIVLRVYMFDAICHFFLG* >Brasy1G448600.2.p pacid=40059495 transcript=Brasy1G448600.2 locus=Brasy1G448600 ID=Brasy1G448600.2.v1.1 annot-version=v1.1 MIDDDACANTTKDQRVENSREMSRKSFRAMRQWMCDRHVPLVINKVLEVELDADDRKGAAEALKTANDLAKRCHYSARAQLFRAYMKLKFARGLDATMDRRPFLDRIRGEVTEAVNRFHASIVLSMFRAKLCFVLGFYEDAYLEFLRAVFTIEQPVDPKLEDVPPGSVIGQERADRLLSVNKEFGNLIRRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTISDALSFVKKTRSWRFWSCPYCVGKKLPDTASLLRHMCSKHPAEKDLQMLQTLLDPNQNHDTSVDDNSSDDITVVQDSEDNYFFCFKKTDQIFELSFIPPSTLTQAVTFAEIREKKCKEGTEILEKMKQKLKNLPTDKFSSEFDKARIEIQGLWCDFLSTSVLDYRAVMLPLAESFIWTKLIKYTSEDKASSKSVDNSDIDTVFPDVVHAPGSEMMLEYMGKYLEGNKNHECGDDQDTEDMKPSGLDKTLVNDEKTEESEALVEDGNPGTMLDKKSSDPIVDMEEIDVSKLAARIANVELDKKGTSGQSSGEMATSSSCQPSVKIYENNNADKVLFSLRVIIQSLCNLKNFRDKLLTEELKWNPYSENPCIADILCGIFFAWERYEPYPTFDILNSVKNILCRLAHDSSMYEKVGESFASKTVIKILIELHMLETSLSFSSYTESEQKVVNPITCGDCICPTHSLFGINFDAQMSCSCGECSDNYLYTTLFHILDAGSPQTTKIKSFAELQYILDVQFSVGNTCKHCGTIENVGLFLSNTPHCFTIVLNWESGSESQDTRSNVLAGITSPLDAEFFCRSSHSATKYIVSSMNKNGTR* >Brasy1G448600.3.p pacid=40059496 transcript=Brasy1G448600.3 locus=Brasy1G448600 ID=Brasy1G448600.3.v1.1 annot-version=v1.1 MIDDDACANTTKDQRVENSREMSRKSFRAMRQWMCDRHVPLVINKVLEVELDADDRKGAAEALKTANDLAKRCHYSARAQLFRAYMKLKFARGLDATMDRRPFLDRIRGEVTEAVNRFHASIVLSMFRAKLCFVLGFYEDAYLEFLRAVFTIEQPVDPKLEDVPPGSVIGQERADRLLSVNKEFGNLIRRLLWVAKVCWDSMTSEEQDGFLSVRLVELQKYCDDVSENSHWAARTISDALSFVKKTRSWRFWSCPYCVGKKLPDTASLLRHMCSKHPAEKDLQMLQTLLDPNQNHDTSVDDNSSDDITVVQDSEDNYFFCFKKTDQIFELSFIPPSTLTQAVTFAEIREKKCKEGTEILEKMKQKLKNLPTDKFSSEFDKARIEIQGLWCDFLSTSVLDYRAVMLPLAESFIWTKLIKYTSEDKASSKSVDNSDIDTVFPDVVHAPGSEMMLEYMGKYLEGNKNHECGDDQDTEDMKPSGLDKTLVNDEKTEESEALVEDGNPGTMLDKKSSDPIVDMEEIDVSKLAARIANVELDKKGTSGQSSGEMATSSSCQPSVKIYENNNADKVLFSLRVIIQSLCNLKNFRDKLLTEELKWNPYSENPCIADILCGIFFAWERYEPYPTFDILNSVKNILCRLAHDSSMYEKVGESFASKTVIKILIELHMLETSLSFSSYTESEQKVVNPITCGDCICPTHSLFGINFDAQMSCSCGECSDNYLYTTLFHILDAGSPQTTKIKSFAELQYILDVQFSVGNTCKHCGTIENVGLFLSNTPHCFTIVLNWESGSESQDTRSNVLAGITSPLDAEFFCRSSHSATKYIVSSMNKNGTR* >Brasy1G564000.1.p pacid=40059497 transcript=Brasy1G564000.1 locus=Brasy1G564000 ID=Brasy1G564000.1.v1.1 annot-version=v1.1 MSTSSAVASPQGGSRSKRAAGIEGRKSPVPYRENPMAYEPARFCYCGRKALRCISWSPQNPGRRYYACVDALVSSNLSSVHCSKEFLFSVLISVFMGNRWVDVGFWHDEPTTPFLHQLFVDLQYIQNW* >Brasy1G220200.1.p pacid=40059498 transcript=Brasy1G220200.1 locus=Brasy1G220200 ID=Brasy1G220200.1.v1.1 annot-version=v1.1 MRTQALVLACLLAAALLHSLPRQSAAASPVSIAGKIVVGGTELRLPMIEKFSRSMTANQSIVQQLLQTSSDDGIGHRGHLDWFSLFSEMISPFARDHRYVDQGIGLDHLLVLLAAFVVYLFR* >Brasy1G163500.1.p pacid=40059499 transcript=Brasy1G163500.1 locus=Brasy1G163500 ID=Brasy1G163500.1.v1.1 annot-version=v1.1 MANFQMKAYSGPSLENGQIDFPSLYLRRLDSGDKKNQHVVIDGFGSTDLGLTSIVDCGIYDGAGDKGKLVARAKGMRMNADHSWCNLFIIVFELDGFKGSTLAVMGATMEKAGALEEKGEWAIVGGTGEFAMARGLITRKGRQVVDGGEILELSLEAYCRTTKVPPVPAVPATPPAPAVRATKEYGPKGHPGANPNNFDPKRSLRLKSVRTYHYFGVNGFKFTYTDKLDGKDYDCLIGNLGFQSSTVQTVLELLPNEWVTKVSGTYGSHMDSHHGRTKAIYSLILETNLGRKLEATGSGRSDKGDGATFSIVVDGNETTLGFFGQNNGYGPVNIGIYTARP* >Brasy1G251200.1.p pacid=40059500 transcript=Brasy1G251200.1 locus=Brasy1G251200 ID=Brasy1G251200.1.v1.1 annot-version=v1.1 MFRRCVQGFLRSKDPWEERRWGKQFSVLAIIQSRCPTWTTGNPQVVVVSCSCELGRTALGFICSLTAQPARANLFFLLVFTFSCLFALRRCSMSRKVVTHVHACQGFFFSQLNFAVLYTELVRYK* >Brasy1G469100.1.p pacid=40059501 transcript=Brasy1G469100.1 locus=Brasy1G469100 ID=Brasy1G469100.1.v1.1 annot-version=v1.1 MTRSGQRLLLGSTRREQRSSGELLPTGRQGESSNGCGTSARSPEQRRRRPIAAAEGGASRCRGYGALIASGRGGKHTAAHRESTSGVGEAREGAYVRRRRSSGRSWGRWRRSRCRRRLEVQPQVLLWGQCFLTWFLGSAATLATARRWRWMPNTFGARRARQRQWRARGEAERLGDISSASFFEKRPPNRSESTARRTAEMKRRRSHGGYRARIAARGTSRG* >Brasy1G331500.1.p pacid=40059502 transcript=Brasy1G331500.1 locus=Brasy1G331500 ID=Brasy1G331500.1.v1.1 annot-version=v1.1 MRLSVTLLCVLVAIQAALLLAAPSEAGELKVGHYDKKCKGVENVVKWHIIKALKTNRRTGAALVRLLFHDCFVRGCDGSVLLDASAENPHPEKEAAVNIGLAAFDLLEEIKAAVERRCPGVVSCSDILIYAARDAASVLSNGNIRFDVPAGRLDGLVSSAHEAQQELPDSTMTVQQLVDNFARKDFDVEELVILSGAHSIGVGHCSSFTGRLAAPAQQIDPAYRGLLNYKCARGGNNPAVVNNVRDEEYEAVAKFMPGFTSRVRKISDFLDNSYYHNNLARIVTFNSDWQLLTQKEALGHVREYADNATLWDGDFSESLLKLSKLPMPAGSKGGIRKKCSIVSHPLSY* >Brasy1G066500.1.p pacid=40059503 transcript=Brasy1G066500.1 locus=Brasy1G066500 ID=Brasy1G066500.1.v1.1 annot-version=v1.1 MGDHGIQAQPSRVFWAGVGRYGQQTSSAGADMDDISLDKIPVVDDALRLILKSLDASPVRIYGRKMWPTDRQTDQSRLQISCKGWQKRHGLPSPLDALLTEQEKVLTNTGGITIRTYDRHGRDYDLTFRKLESNGAYRFMSPNYGTFLKDNGLAQEKGGPVGELAMLELWAFRSPMLELSVEDQPCDPLGLVMVHYRQGDAPHADAVIAEILAAAQNVAPAMPMPAPAVTEDANVHDGDIVPVLDDAVDVHENPLPVMLTDGVDDPLVLQAAEQDGAGYYEPWWPGAARRRQGRAAAACIWTRSARNF* >Brasy1G311600.1.p pacid=40059504 transcript=Brasy1G311600.1 locus=Brasy1G311600 ID=Brasy1G311600.1.v1.1 annot-version=v1.1 MALLSPRVPRLPLASHSAGAGALRCCGRGAASAARCHATAAGSVAAGSPPSSSGLEAIRWGSAKLQGAREEMEDEVILRPESLLHGFSFAAVLDGHAGFSTVQFLRDELYKECAAALDGGAVLNTKNLEAITASIRRAFATVDANLSTWLEQMDKEDDSGATATALFLRNDVLVVSHIGDSCLQVVSRGGRPQSLTNFHRPYGNNKTSLEEIKRIRAAGGWILDGRICGDISVSRAFGDIRFKTRKNEMLVKGVKQGRWTEKFISRINFKGDLIISSPDVSLVELGPDVEFVLVATDGLWDYIKSTEAVAFVRDQLRQHGDVQRACEALGEKALDQRSQDNISIVIADLGRTNWKEMPVPRPNVFLELSQAVATVGAVSVGIWISSLLTLQ* >Brasy1G311600.2.p pacid=40059505 transcript=Brasy1G311600.2 locus=Brasy1G311600 ID=Brasy1G311600.2.v1.1 annot-version=v1.1 MALLSPRVPRLPLASHSAGAGALRCCGRGAASAARCHATAAGSVAAGSPPSSSGLEAIRWGSAKLQGAREEMEDEVILRPESLLHGFSFAAVLDGHAGFSTVQFLRDELYKECAAALDGGAVLNTKNLEAITASIRRAFATVDANLSTWLEQMDKEDDSGATATALFLRNDVLVVSHIGDSCLVVSRGGRPQSLTNFHRPYGNNKTSLEEIKRIRAAGGWILDGRICGDISVSRAFGDIRFKTRKNEMLVKGVKQGRWTEKFISRINFKGDLIISSPDVSLVELGPDVEFVLVATDGLWDYIKSTEAVAFVRDQLRQHGDVQRACEALGEKALDQRSQDNISIVIADLGRTNWKEMPVPRPNVFLELSQAVATVGAVSVGIWISSLLTLQ* >Brasy1G354700.1.p pacid=40059506 transcript=Brasy1G354700.1 locus=Brasy1G354700 ID=Brasy1G354700.1.v1.1 annot-version=v1.1 MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVIYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGQKPGTRDSHGAALIGHRMVVFGGTNGSKKVNDLHVLDLLTKEWSRPACKGNPPSPRESHTVTVAAGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSSPEVKGGDGPAPRDSHGAVAVGNRLFVYGGDCGDRYHGEVDVLDMDTMAWSRFPVKGASPGVRAGHAALGIGSKIYVIGGVGDKQYYSDAWILDVASRSWTQLEICGQQPQGRFSHSAVIMNTDIAIYGGCGEDERPLNELLILQLGSGHPNGRYNISTSKILSNHWSQERRKFLRAENQKDASASNGEPVHRPREAEIEQRNPFLRGIGENGHVKRRKTGDVRPNEIELEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRTTGGMSTRPLKTDQFLRTIAPQQRHEVQFLAAEPKQHVRPSGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTMHHQILTGAAVPPQQRPVLAHAFPVHARPVPQATGFVLPDCSHHARRTFPAKVVKSEPERSSSDLHDVVLTLGGPGGGK* >Brasy1G354700.2.p pacid=40059507 transcript=Brasy1G354700.2 locus=Brasy1G354700 ID=Brasy1G354700.2.v1.1 annot-version=v1.1 MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVIYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGQKPGTRDSHGAALIGHRMVVFGGTNGSKKVNDLHVLDLLTKEWSRPACKGNPPSPRESHTVTVAAGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSSPEVKGGDGPAPRDSHGAVAVGNRLFVYGGDCGDRYHGEVDVLDMDTMAWSRFPVKGASPGVRAGHAALGIGSKIYVIGGVGDKQYYSDAWILDVASRSWTQLEICGQQPQGRFSHSAVIMNTDIAIYGGCGEDERPLNELLILQLGSGHPNGRYNISTSKILSNHWSQERRKFLRAENKDASASNGEPVHRPREAEIEQRNPFLRGIGENGHVKRRKTGDVRPNEIELEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRTTGGMSTRPLKTDQFLRTIAPQQRHEVQFLAAEPKQHVRPSGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTMHHQILTGAAVPPQQRPVLAHAFPVHARPVPQATGFVLPDCSHHARRTFPAKVVKSEPERSSSDLHDVVLTLGGPGGGK* >Brasy1G259300.1.p pacid=40059508 transcript=Brasy1G259300.1 locus=Brasy1G259300 ID=Brasy1G259300.1.v1.1 annot-version=v1.1 MTQHRSKVCLQSHRHHGSLLAALPFPAQSQAKAVRTDATPPPHSWSRRTFNLLTRVLRSRLSSSLPATGFEVSAPSYFLLLLFRPLHRAAQRADCSIAGSLPTYRTSPPTASLNPPCNRARVALPLPNPEAAGRAAPPSSSAEAKQPNLGLSAAAFSSFGTALCWIGVERGGDPP* >Brasy1G555600.1.p pacid=40059509 transcript=Brasy1G555600.1 locus=Brasy1G555600 ID=Brasy1G555600.1.v1.1 annot-version=v1.1 MENMGRSKRKAELLGDDGRKLDAKAKAVICRQFTGHLRWLKALDDTVEIKIVSQHELEEVKKVQPDHLKACMELDHADVREVDYHAEESIIEVATKIYDLLTLGKWKRFGSDGVSCPCVLQHAESYRCPQEPNAGGRQGKHMGLQWYLRANIPAHLQAMVAGRD* >Brasy1G454600.1.p pacid=40059510 transcript=Brasy1G454600.1 locus=Brasy1G454600 ID=Brasy1G454600.1.v1.1 annot-version=v1.1 MAAAVVHLSVHGRLRRSPDILSQTCYRPSLLRCRAFKQETGGDDEKPSPSPTEARKRKKGPLYKLKAAIQGLAGSRSAAAEVYGGQYELAVEKAEEIFFSVATQLGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVLQTINELEENIQEGLSVAKKKQRETLLQAKVVGTSCDVCKPEDVKRLVNFAVDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMDVMQNQEKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLMNESKRSKVGVHTASPGMVLTDLLLSGSSLQNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPFHGT* >Brasy1G311100.1.p pacid=40059511 transcript=Brasy1G311100.1 locus=Brasy1G311100 ID=Brasy1G311100.1.v1.1 annot-version=v1.1 MEAMQPYYGGDADWYLHDPLAACPCPALPLISSSSSSSSPPSLFTSGESCLSMAAAVFPGQAEDCSSALMLREDADLTGYLPMSMIPGPTAGNDLLYADNVLPPFPEDLTTAGLDLDLDLDEALLMLQPLSDIDLEAFGDMDQAVKPEPEELNNIAVIVPPPALDQNLVPQPQQQATTMENQLHQQNHAARHGDSSAIAVARRGYGAASAPAGAFVGTGYAEPQTQQQQRARPPAPQRARRVREGSNAGAGAGAAGGRSLENIGFEELRKYFYMPITKAARELNVGLTVLKKRCRELGVARWPHRKMKSLRSLILNIQEMGRGDGVLPAAVQRELEALERACALMEENPAIELTEQTKKLRQAYFKENYKRRRAAAVNVLDGCYGGGHQQLPPMPMPLQMISPHSGQSAAFFGRY* >Brasy1G164500.1.p pacid=40059512 transcript=Brasy1G164500.1 locus=Brasy1G164500 ID=Brasy1G164500.1.v1.1 annot-version=v1.1 MAEMKAAICCIVAVVSLIHVVTAADYVVGGPTGGWQGGTDYKSWASAQTFAPGDTLTFKYTSRHNVLEVTSDDYEACSTANPVSYDNSGTTTIALASPGKRYFICGGPGHCQNGMKLEVDVADRPAPTTPSPTPQLPPPPQHAELRHAPAPTPLPPAPAPASEPPKHAGSPHKKRPKRHYSPPKPAPALAPAPTPAVQSVESSFPMAALAPMSSATPPPPMSSDAAAVLRAKCGEVAVGILALGFIVLSL* >Brasy1G028600.1.p pacid=40059513 transcript=Brasy1G028600.1 locus=Brasy1G028600 ID=Brasy1G028600.1.v1.1 annot-version=v1.1 MGLDQKVKVVESCLVRPCEETPRHGLFLSPLDLEAANRRHTPTVYFYRHEPGSDDFFDVDRLKVAMAKALVSFYPLAGRLGDGEPEIDCASQGAFFVVARSDLTVDYFDNNFQPSPELKKLFVPCLDDSPSILLAVQVTFLKCGGVALGTLLHHAAIDAVSAFHFFQTWAAFSKDGEGAAMRLELPCHDRTLLRARSPPTVHPDALSVFYPDKDKNVSEPSSGEVTSEIFDISKDQVAALKRACGGHVSTFCTMSAHVWRCMCLARRLPLDAATRLTFAANVRRSLRPPLPDTYFGNGVIVLGTVAKVQDVVAADEMASVAGQIKGTVRRMDDELVHSAIDYMELNKMGRKPGGPPSNLPATVVRIISWLGMPMYDADFGWGKPLVMLRAVQERVGLVYLMDGLRDDGSIRILMCTEAAHLLKDFKRLLYDISL* >Brasy1G513200.1.p pacid=40059514 transcript=Brasy1G513200.1 locus=Brasy1G513200 ID=Brasy1G513200.1.v1.1 annot-version=v1.1 MHRVAAAMGDGGGGEGRGRTLGAVIKEKDDELALFLEMRRRDKERGAAELLLSGDGADSAGDGLLLLDPPPQPPPPPPAEPKAAPAAYRMGGGFRRAPGGADDFLNSDAGDKNDYDWLLTPPGTPLFPSHEAAPKRSPVSQTGTPKTRQAALKSRLANHQDPPARTTLPLRTASSNSLNSALTTRRPSSSGGLTSNSSRPSTPTGRAALAATSKVSRPSAPNSRATLPAKTGPTAPRSSTPTSRSTLPSARSTTPTSRTSGPATRTSVPSGRASAPASRSSTPTSRSSIPATRSTTPLSRPSLPAQSNPASRSSTPTRRSSAPSALHGNLPGPVRPSSVSRSGSTVSKSSAPAATTTAPPPSRGSSPTVKSRSWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPKRQSCSPSRGRTSNGSVPSGSSMPAVRRSHLNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSLNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRGRPMSVSDSPLATSSNASSEPSVNNNLMCFDSIDIDDELCSDRAGQYGR* >Brasy1G513200.2.p pacid=40059515 transcript=Brasy1G513200.2 locus=Brasy1G513200 ID=Brasy1G513200.2.v1.1 annot-version=v1.1 MHRPPPPPPAEPKAAPAAYRMGGGFRRAPGGADDFLNSDAGDKNDYDWLLTPPGTPLFPSHEAAPKRSPVSQTGTPKTRQAALKSRLANHQDPPARTTLPLRTASSNSLNSALTTRRPSSSGGLTSNSSRPSTPTGRAALAATSKVSRPSAPNSRATLPAKTGPTAPRSSTPTSRSTLPSARSTTPTSRTSGPATRTSVPSGRASAPASRSSTPTSRSSIPATRSTTPLSRPSLPAQSNPASRSSTPTRRSSAPSALHGNLPGPVRPSSVSRSGSTVSKSSAPAATTTAPPPSRGSSPTVKSRSWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPKRQSCSPSRGRTSNGSVPSGSSMPAVRRSHLNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSLNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRGRPMSVSDSPLATSSNASSEPSVNNNLMCFDSIDIDDELCSDRAGQYGR* >Brasy1G513200.3.p pacid=40059516 transcript=Brasy1G513200.3 locus=Brasy1G513200 ID=Brasy1G513200.3.v1.1 annot-version=v1.1 MLTFQSYSFCQEDYRVACWCLVGWWQTGRLLTPPGTPLFPSHEAAPKRSPVSQTGTPKTRQAALKSRLANHQDPPARTTLPLRTASSNSLNSALTTRRPSSSGGLTSNSSRPSTPTGRAALAATSKVSRPSAPNSRATLPAKTGPTAPRSSTPTSRSTLPSARSTTPTSRTSGPATRTSVPSGRASAPASRSSTPTSRSSIPATRSTTPLSRPSLPAQSNPASRSSTPTRRSSAPSALHGNLPGPVRPSSVSRSGSTVSKSSAPAATTTAPPPSRGSSPTVKSRSWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPKRQSCSPSRGRTSNGSVPSGSSMPAVRRSHLNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSLNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRGRPMSVSDSPLATSSNASSEPSVNNNLMCFDSIDIDDELCSDRAGQYGR* >Brasy1G199500.1.p pacid=40059517 transcript=Brasy1G199500.1 locus=Brasy1G199500 ID=Brasy1G199500.1.v1.1 annot-version=v1.1 MVFYYKARPEAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLRKGESVDSISDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWPNLKKGPSMDVGQVGFHNPRLVYVLTVEKRVNEIVNRLNKTKVERRPDLKADKDASNAAEKAERKMQLKDKKRRGEMERQEKERQAEIRSYKGLMVAEKMTSNRQIASAGKSMQEVEDDFV* >Brasy1G199500.2.p pacid=40059518 transcript=Brasy1G199500.2 locus=Brasy1G199500 ID=Brasy1G199500.2.v1.1 annot-version=v1.1 MVFYYKARPEAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLRKGESVDSISDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWPNLKKGPSMDVGQVGFHNPRLVYVLTVEKRVNEIVNRLNKTKVERRPDLKDKTCLLIHLCNSFVFLCMCSR* >Brasy1G018600.1.p pacid=40059519 transcript=Brasy1G018600.1 locus=Brasy1G018600 ID=Brasy1G018600.1.v1.1 annot-version=v1.1 MAAAAGGGGGGGGKVSFKVILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGARAATF* >Brasy1G393400.1.p pacid=40059520 transcript=Brasy1G393400.1 locus=Brasy1G393400 ID=Brasy1G393400.1.v1.1 annot-version=v1.1 MACVEEEDGCFMEPLFHDFPGLFPQKDAEEAAKRQRREAARLRKEAEQARKEKERRRRASAHREVMNSITEYDPKTGREVYTRYCFTDFSEFDIDEESLIPPMRYTKIEYLHGLDLEDSGNILSVKIVSSDRGFPINVYGTIIVRDSIDHKCIYLFNRSKEDYQTINSEEESLILTGPGRGLVLLDFLYVEINLKVKVDEKTPGQQISKGLVSIDGRMQPRDEKVNVGSESLKSWFSIVEVRYATILNAVEATFEIEILKGHFCGEIKAGIEGVEEKIVIHSSTEDGVVTRGDRTVIKLRRHVMTICLDRMLTFEFVSRGCRLCGGAPKATTERKVHFTPQRRSDEKAEVTCGAGKFQVKVVWSMMDIFARSPVA* >Brasy1G104300.1.p pacid=40059521 transcript=Brasy1G104300.1 locus=Brasy1G104300 ID=Brasy1G104300.1.v1.1 annot-version=v1.1 MGGFCSKGSAVDKSPSDTTLGPDRVIHQHERGAVKEERKTVVEEAAAKRMHEHEQQPQQHPQQQPQQQSPPVLETAAPGVTGGAGAAPWDGVPPLARLPSQKGMGMAKAGAAKVSEVSSILGRAGTVGLGKAVDVLDTLGSSMTNLNLNSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLVAKDTDELLKIAAADKREELKVFSTEVIRFGNRCKDPQWHNLDRYFDKLSSERTPQHHLKEEAESVMQKLVTCVQYTAELYHEMHALDRFEQDYQRKLHEEDGSSVAQRGDNLQILKQEVKSQQKHVKSLKKKSLWSKNLEEVMEKLVDIVHFLHLEIHNTFGHSDNEESQEPTKRRNRLGPAGLALHYANIIGQIDTLVSRSTAVPPNTRDSLYQSLPPTIKSALRAKLHSSGTKELTVSQIKAEMEKTLRWLVPVANNTTKAHHGFGWVGEWANTGSEANCKPTGHMDLTRIETLHHADRDKTEAHILELVVLLQHLISQSKTANGERSPIKSPVRSPSTISLSPNKASSSSPVLTQEDQEMLRDVKYRKFVPGISKSQEFDTKSRHNKQSRLIKSNSHSPSSGNRKEFLSIRSLLPVIDFQIDRTKALDMIDNLKVQ* >Brasy1G462800.1.p pacid=40059522 transcript=Brasy1G462800.1 locus=Brasy1G462800 ID=Brasy1G462800.1.v1.1 annot-version=v1.1 MVVIAVDSVDLAAGLLIRLYDGWALSVAAVSLAVFLVVLLRYAVAPYASHLGASLSGLHAALASGDDAAAVESCCRASGGLDGATIARLPCFVAARRSQSQTTGANDATEHCCTVCLGAVEEGETVRALPFCRHAFHARCVDAWLRLRPTCPVCRATFS* >Brasy1G171100.1.p pacid=40059523 transcript=Brasy1G171100.1 locus=Brasy1G171100 ID=Brasy1G171100.1.v1.1 annot-version=v1.1 MSFGCLNGKNPNPSNAPSALRSITRSVDRNGHTYADGNAGYEMVLAKEQNPNPSQSATISNRMVSFPSFISDNGTMTISTPVRFGPWGGTGGTIFDDGIYTGVRQIHITRGLGISSMKVLYDRNGQAIWGDKRGASGAAKTEKIIFDFPSEILTHVTGHFGPAMIMGPTVIKSITFHTTKKNHGPFGDEHGTFFSSCLTEGRIVGFHGRGGWYIDSIGVHVLEGKVLSQKAADTGPLGDMLALPMREIGDEVTYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQMYITRTDFIGSIQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLTCIYGYYNTCAGEGPRVLRSITVVSSRGKYGPFGDEMGTYFTSATTEGKVVGFHGRCGMYLDAIGVHMQHWLGGDRNTVGSNHKNGSSFNPKTNNATTKYYVSKYLF* >Brasy1G171100.2.p pacid=40059524 transcript=Brasy1G171100.2 locus=Brasy1G171100 ID=Brasy1G171100.2.v1.1 annot-version=v1.1 MVLAKEQNPNPSQSATISNRMVSFPSFISDNGTMTISTPVRFGPWGGTGGTIFDDGIYTGVRQIHITRGLGISSMKVLYDRNGQAIWGDKRGASGAAKTEKIIFDFPSEILTHVTGHFGPAMIMGPTVIKSITFHTTKKNHGPFGDEHGTFFSSCLTEGRIVGFHGRGGWYIDSIGVHVLEGKVLSQKAADTGPLGDMLALPMREIGDEVTYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQMYITRTDFIGSIQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLTCIYGYYNTCAGEGPRVLRSITVVSSRGKYGPFGDEMGTYFTSATTEGKVVGFHGRCGMYLDAIGVHMQHWLGGDRNTVGSNHKNGSSFNPKTNNATTKYYVSKYLF* >Brasy1G553200.1.p pacid=40059525 transcript=Brasy1G553200.1 locus=Brasy1G553200 ID=Brasy1G553200.1.v1.1 annot-version=v1.1 MEDDGGGEDRLSALPDDVLIDILVKLEDGAAAARTSVLARRWRRLWALLPEVRLRVKHHRVGPALAAHQALDFRRLEVATRDASTGSLSAWLPCAASRLSGSLVLAVARRDQTEEDRSGAVDLPCFEKATSIALDLGFLALALPASSGVFARLKHLHLAGVQLRHGGDGRQSGLGDAVSSPRCPSLQTLRVQAVRNLEDNFAIHSESLLIIEIWGLHDLQQLTVVAPALQRLRVETCFDDAPNPFEPVANISAPLLVFLDWMVGYNPSSVRLGKLAHLRWLGIELSVLDGEDVEAFANNHHRMKHLRRYERVLDSLNIVLSFPPEIGTNQYSMEDMPRLPNVVFLALGISSYGHSFAASSFDVLMRCPGIQRLDLDFLPGSPPEERTPCPPGCVCHHLLNWRTDELVLNCLEEVEIHDFSGTEYEVALVEKLFDWATVLNLMTVYFAESITESKAEELRQLLLSFSRPEICMNVSMFVP* >Brasy1G553200.2.p pacid=40059526 transcript=Brasy1G553200.2 locus=Brasy1G553200 ID=Brasy1G553200.2.v1.1 annot-version=v1.1 MEDDGGGEDRLSALPDDVLIDILVKLEDGAAAARTSVLARRWRRLWALLPEVRLRVKHHRVGPALAAHQALDFRRLEVATRDASTGSLSAWLPCAASRLSGSLVLAVARRDQTEEDRSGAVDLPCFEKATSIALDLGFLALALPASSGVFARLKHLHLAGVQLRHGGDGRQSGLGDAVSSPRCPSLQTLRVQAVRNLEDNFAIHSESLLIIEIWGLHDLQQLTVVAPALQRLRVETCFDDAPNPFEPVANISAPLLVFLDWMVGYNPSSVRLGKLAHLRWLGIELSVLDGEDVEAFANNHHRMKHLRRYERVLDSLNIVLSFPPEIGTNQYSMEDMPRLPNVVFLALGISSYGHSFAASSFDVLMRCPGIQRLDLDFLPGSPPEERTPCPPGCVCHHLLNWRTDELVLNCLEEVEIHDFSGTEYEVALVEKLFDWATVLNLMTVYFAESITESKAEELRQLLLSFSRPEICMNVSMFVP* >Brasy1G341200.1.p pacid=40059527 transcript=Brasy1G341200.1 locus=Brasy1G341200 ID=Brasy1G341200.1.v1.1 annot-version=v1.1 MRTLALFLAAAAIVVLCASPHSAAEAEPPVAGDWTPIRDVYEQRIQELGRWAVAEHVKAAHDGVSFRKVTSGEEQALAESATNYRLVIDGADAAGKDAAYAARVFEGKTRELLAFGPAN* >Brasy1G412100.1.p pacid=40059528 transcript=Brasy1G412100.1 locus=Brasy1G412100 ID=Brasy1G412100.1.v1.1 annot-version=v1.1 MMLNQDMIGERNQPWKFKKETLTDERPGSYRDSFIPQQYGFY* >Brasy1G431900.1.p pacid=40059529 transcript=Brasy1G431900.1 locus=Brasy1G431900 ID=Brasy1G431900.1.v1.1 annot-version=v1.1 MPNIHVVGSGCARGGDGGNGCVSQSSQGRTAKAGLTKAGRGGHGLPKNQIGTRGSDKVAQVPIGTVIHLGSTGLHMHFAE* >Brasy1G071300.1.p pacid=40059530 transcript=Brasy1G071300.1 locus=Brasy1G071300 ID=Brasy1G071300.1.v1.1 annot-version=v1.1 MIIGGCCVSRRISMGPAPGDPSTQKRFSSGVVPPAALIFLVLVFVAGAIVTLDHKENLSILQLQPRGPPVAAAEEESQPRPAVRVVESSPAEEPADICENQCRPWGSDALPRGIVQGKSNFEMESLGGNPEEQQRRRNAKHSPAKSLLAIPVGIKQKAVVDRLVSKFVGSSGGLFTVMLFHYDGSLDAWRDLPWSARAVHVAAEGQTKWWFAKRFLHPDLVAEYEYVFLWDEDIEVAGFDPVRYLDIVRKEGLEISQPALDHRSQIHHRLTARARGRAAVHRRFYKTTGKGSRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAQGDRRMNVGIVDSEYVLHRGIPTLGDDGGGGGKKATRRSSSLSSSTDRYAVRQRSYTELQIFNRRWKEAVAGDQCWTDPYPQPAPTKE* >Brasy1G071300.2.p pacid=40059531 transcript=Brasy1G071300.2 locus=Brasy1G071300 ID=Brasy1G071300.2.v1.1 annot-version=v1.1 MGPAPGDPSTQKRFSSGVVPPAALIFLVLVFVAGAIVTLDHKENLSILQLQPRGPPVAAAEEESQPRPAVRVVESSPAEEPADICENQCRPWGSDALPRGIVQGKSNFEMESLGGNPEEQQRRRNAKHSPAKSLLAIPVGIKQKAVVDRLVSKFVGSSGGLFTVMLFHYDGSLDAWRDLPWSARAVHVAAEGQTKWWFAKRFLHPDLVAEYEYVFLWDEDIEVAGFDPVRYLDIVRKEGLEISQPALDHRSQIHHRLTARARGRAAVHRRFYKTTGKGSRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAQGDRRMNVGIVDSEYVLHRGIPTLGDDGGGGGKKATRRSSSLSSSTDRYAVRQRSYTELQIFNRRWKEAVAGDQCWTDPYPQPAPTKE* >Brasy1G405600.1.p pacid=40059532 transcript=Brasy1G405600.1 locus=Brasy1G405600 ID=Brasy1G405600.1.v1.1 annot-version=v1.1 MFLLRATATTPLHLLRCHPLPSPKTLATTLAHPPPLLLRPRLYSSASPRRRSITATPAVAKSSEKKPPARPKRAEAVLPLAAANAGAEAMSSSGGAGGKRSVADVLMGNARDAARKAKKGAAGASGAAPSPKKPRAKTTAQGDDAAVEQSVAEKPPSPAKSKRPSSPAKSKSQAETSPAEKKRSPSPTRSKKLAAAPKLEAEEKPPSPKRSKTLAAKSDAKLSDDALLSQSDEKQKRTSPSPTKAKGQAFQSEDKTRPSSPKKSKTSNATKSEERNTTFELKKKGSEFDPMAAAYWKPTEPVPFLFLARALDLISNESGRIVITEILSNVFRTIISTTPEDLLATVYLSANRIAPPHEGIELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTIARVLDTFRTIAKESGKDSQDKKRSHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVYSEEGSPPAKVQSPFEEAAKIIKQAYSVLPIYERIVPTLLEAGVWKLPETCNFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPAVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGIFQLATAITSNDLEEIQKFLDVAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSVGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSTSLRSKVISKPKAYYRFADTTDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEHATTSEQVADMYRAQKINHSHNQDDEDDDRD* >Brasy1G405600.2.p pacid=40059533 transcript=Brasy1G405600.2 locus=Brasy1G405600 ID=Brasy1G405600.2.v1.1 annot-version=v1.1 MFLLRATATTPLHLLRCHPLPSPKTLATTLAHPPPLLLRPRLYSSASPRRRSITATPAVAKSSEKKPPARPKRAEAVLPLAAANAGAEAMSSSGGAGGKRSVADVLMGNARDAARKAKKGAAGASGAAPSPKKPRAKTTAQGDDAAVEQSVAEKPPSPAKSKRPSSPAKSKSQAETSPAEKKRSPSPTRSKKLAAAPKLEAEEKPPSPKRSKTLAAKSDAKLSDDALLSQSDEKQKRTSPSPTKAKGQAFQSEDKTRPSSPKKSKTSNATKSEERNTTFELKKKGSEFDPMAAAYWKPTEPVPFLFLARALDLISNESGRIVITEILSNVFRTIISTTPEDLLATVYLSANRIAPPHEGIELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTIARVLDTFRTIAKESGKDSQDKKRSHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVYSEEGSPPAKVQSPFEEAAKIIKQAYSVLPIYERIVPTLLEAGVWKLPETCNFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPAVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGIFQLATAITSNDLEEIQKFLDVAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSVGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSTSLRSKVISKPKAYYRFADTTDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEHATTSEQVADMYRAQKINHSHNQDDEDDDRD* >Brasy1G084400.1.p pacid=40059534 transcript=Brasy1G084400.1 locus=Brasy1G084400 ID=Brasy1G084400.1.v1.1 annot-version=v1.1 MFGDLQRKTCLSSCLSLSSKSELPCPRRVGRLFGIGRRGLQAIVAVRLVGGFCGEVGVANSRSDV* >Brasy1G417600.1.p pacid=40059535 transcript=Brasy1G417600.1 locus=Brasy1G417600 ID=Brasy1G417600.1.v1.1 annot-version=v1.1 MPHRTGLLLPRRIAAAGILLPRPYTVLALPQQPRPSRAATTCCRRHRRNPVPPRAPPPPRPPQCPFFHSLSIAETLAHLSVPNIEQSASIPVIDTIRMVASGIVLDGMAVAARDMRGHGSYSYSSWIDTISDGGNALIQSFRYNQQPSYITLVVYFLIEVSS* >Brasy1G338300.1.p pacid=40059536 transcript=Brasy1G338300.1 locus=Brasy1G338300 ID=Brasy1G338300.1.v1.1 annot-version=v1.1 MAYRILEVTLISASDLKKVTFFSQMRIYAIASISGGDSRMPTHCTQVDRDGGRNPTWNAKFSFPIPASVDIRGLALHVLLRAEATFFGHHDVGEIFVPLNDLQHGAVASNELKTVTYQVRRPLTGRAHGVLYFCYKFTDIKAETVLAANVIKAKEDQYIKYAQDSGKAMAPVATYPESQAALAYPPVMSYCTPYGAYPPQSYGYGYTPSPYGYNAAPPPTIYGYTTPPMAAPARQRGGMGMGLGLGLLGGAVGGMMLGEMVGDFEADAAYDAGFNDGMSF* >Brasy1G414200.1.p pacid=40059537 transcript=Brasy1G414200.1 locus=Brasy1G414200 ID=Brasy1G414200.1.v1.1 annot-version=v1.1 MPNYFLVLLFPEVVASGRRLLPSAASSLSSRFMEPSPPPSSSAAASSIRFMESPLLQEGEQPQPRPPSAASSLRPIPSEGHRLVEPPLPSMDAVEEEKMNVEQQIEERRESKKSGREEEEETAGEEEKVGREEEK* >Brasy1G410000.1.p pacid=40059538 transcript=Brasy1G410000.1 locus=Brasy1G410000 ID=Brasy1G410000.1.v1.1 annot-version=v1.1 MFGSTNPFGQSSGSAFGQTSSNPFGAQSAFGQTSAPANNPFGSPTPAFGAQTGTASPFGAASTSAFGQTTSGPAFGATSTPAFGAASTGGFGQPSTPAFGTPSSSPFGSSTPAFGASPTPAFGAATSNFGSGSLFGQKPSFGDFGSSPSQSSPFGNTFQQTQPTFGNSTFGATTTPAFGTTTTPSFGATATPAFGSTSTSLFGASSTPAFGSTPFGSNTTPSFGSSSTTAFGVSSAPAFGASTAPSFGTSTSAFSFGSSPSFGQTAPATGSTPFGTNPSPFGAQTSPFGVQTAAPAFGQGPHGNQSGGSRIQPYVQTPDADSATSGSQPAAKLDSISAMPAYKDKSHEELRWEDYQRGDKGGPNTSVAPVANSFASPQPSFQTIPPTTGNLFAKSSPFTSGGFGAPSNPFSAPTANQFAQTSSSAFSANTSPSLFANTTPSLFNTSSTSTNPFGTGLSIGNNSQSAGLFQSSPAIGQQPFGQQSSTPAFSAGIFNTSNLGMTGGLFSSSSSPFLTSTFQQSAPGQTPSLFSFQPQTQQASAGGFPAVSNTTNLVPFGQQTTSQSNMVMQPALVLNPFGTLPAMPQMSIGNGGSSPSIQYGISSMPVAEKPLASRTLSMVVPRHLSQRRMKLLPRKYNPTSDGKVPFFADDEESSATPKADAFLIPRENPRSLIIRPIDQWPSRNVVDRQSILRDSADLNKQTDALVRRERNSASVSPTQSGPMGNGMYRDDHHASSELEAGTRQVNGASIEKLMPKLSQADYFTEPSLEELAAKERAEPGYCCRVRDFVVGHQAYGSIKFLGETDIRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCVNRKTGNQYTGGPRVDKYRDMLVKKAEEQGAEFISFDASKGEWKFRVKHFSSYGFGEAEADAFDSL* >Brasy1G369600.1.p pacid=40059539 transcript=Brasy1G369600.1 locus=Brasy1G369600 ID=Brasy1G369600.1.v1.1 annot-version=v1.1 MGISISRDRQGHGGWWLSSLLTAPVLLQYVAGDAGSPPPLTAALIAANVLAYFRPGPLDELLPPLWRVTFNPHRIMEYGDLRRFFFPAFYHVSELHLLMNMTSLLSAGDELETSMGSFEFASMVASLLGLSKGFTILLSKGLLLLGNNSAYYHQHSAGFSGVLFGMDVVLNDSAGEGPEKYVVCARLLLTQVLILEASFIGHLGGILAGLTYLCLKRSPGPITALVSNIADVVGRSVGFARKLVISAGRGPVLVSAPRETGRGMWRCSACSNDNSQCEDVCQRCSTPHEDHAFSRRRQTASQR* >Brasy1G365700.1.p pacid=40059540 transcript=Brasy1G365700.1 locus=Brasy1G365700 ID=Brasy1G365700.1.v1.1 annot-version=v1.1 MEAGLRREGGQTGLATTTMYSELNNNNNNKKGLEWDLNDWRWDGNLFLATPSSNAAAPSGCGSRELGRSDEEIETDFSVADKRRRVSPVDNHGECSNAAIANADHDRVVVRRGQSNREGRHANAATGAYTTSAPYCQVDGCQADLRDSRDYHKRHKVCEVHTRSTVVRIKNVEHRFCQQCSRFHLLPEFDEGKKSCRSRLAKHNGRRRKVPAQAGAAGNTSSENQSLTNTLLLLLKQLSGQDPGSSSEQSNGPNFLVNLLKNLAAIAGTQAYQDMLKNANSVSISSNDGNYVVNGFINEPTRPPIPVGTESSAVKQHVQNFDLNDAYVEEDESRTDKIVFKLFGKEPKDFPVDLRAQMLNWLSHYPSDMESHIRPGCVILTIYLRLPNWMWDELKIDPAPWIENLISISTDGFWKTGWLYTRLQDRLALSCNGRLMLVSPWQPVIGGKHQILCVTPIATACSSTANFSVKGFNIVQPTTKLLCVFGGEYLIQEATQMLHEDTMMQQGPQCLTFSCSFPSMSGRGFIEVEDYDQSSLSFPIVVAEESVCSEIRMLEDKLNLIAFDDTLEGREDLMASRDQALNFLQEIGWFLQRSHRRATSDAPQYCTESFPVERFRWLLSFAIDQEWCSVLKKVLDTLFQGNMDLDVRSPFEFVLGEGLVFTAVNKQSKRLVEFLLRYTTNSALVARGAVLPVRFLFTPDITGSSNITPLHIAASISDGAGVLDALTDDPQQLGIKAWKHARDTTGYTPEDYAQKRGHISYIQMVENKINSRLPKAHVSVSMTTKSTNQTALDVEKSQRSDKRPASCRQCVQLQHISYHPRPNRFLSNRPAVLSLVVIGAVCVCLGLIMQSPPTVGIGMRGPFLWSSLNYGPI* >Brasy1G097200.1.p pacid=40059541 transcript=Brasy1G097200.1 locus=Brasy1G097200 ID=Brasy1G097200.1.v1.1 annot-version=v1.1 MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGAPIAVPIIAEIDLYKFDPWQLPKMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPAAASADQKPPLMGGYGAAAMASSPPEQKPLVGSGMAPPAFPDYAAYYDRPSDSMPRLHADSSCSEQAAVLSPDQFPGGGEVQSQPKISEWERSFSTTADTINPASAGGGLGLGGDPLLQDILMYWGKPF* >Brasy1G293300.1.p pacid=40059542 transcript=Brasy1G293300.1 locus=Brasy1G293300 ID=Brasy1G293300.1.v1.1 annot-version=v1.1 MARKRKSDAAPRLDEADRTLYSTFCGAANSLSQLYSQAMSQQKLSFQAGERHALEKLHQWILRKHEEESRLTVAEIMSHIQHEIDSGGTDTLVSPRGNQHPASANPFTNIQPSAGLYPQATAGLAPRPSLSDQPKNMIFSNALSSPVRRTLQNYHLTQGAGSGGRNNEVNSAGQHREANSASSNDTSMDMVSDSAGNGYY* >Brasy1G079500.1.p pacid=40059543 transcript=Brasy1G079500.1 locus=Brasy1G079500 ID=Brasy1G079500.1.v1.1 annot-version=v1.1 MDNDIGQLKHLAKLRLEHLGLDSTLPEEIGNLTSLEELFLDSLTLTGSIPPTIGFLTKVRYLDLENNNLTGSIPLEIGNMTELRGMYFNDNYLEGQLPELGNSSLLERVQIPNNNFSGLFPSSVCVGGALREVFFCNYTRLYFLDLSNNAFHGGLSKCFWDMSHLSFVDLTSNSFSGIVPFSRMCSLSYLHLANNHFKGTFPLVLKKCKDLITLDLGGNSFSGAIPSWVSKSLPELKFLRLSSNMFDGTIPQEIVQFRFLQLLDLSKNKLAGPLPNDFANFTAMTHEQKTTDYVYASYYMHPQQIQIVWKNVDHVYTTMMIAEGMSGIDLSGNSLSQEIPNGLTTLLGLRYLNLSGNHLSGCIPKDIGNLVLLEALDLSQNQLSGEIPPSFADLKGMSALNLSSNGLSGRIPTGSQLQTLDCVNSSTSTQNEMGQAEDRETLWVYCFAAAGFISGFWLYWGVFCFRSETWRCAFYQYVDIMQEKVTKKIAAYRTSRPSP* >Brasy1G550100.1.p pacid=40059544 transcript=Brasy1G550100.1 locus=Brasy1G550100 ID=Brasy1G550100.1.v1.1 annot-version=v1.1 MLQHHGARVAVAVHMALELGVGDVPSPPLADGGGARQRGRLRREADEDLTEDVVVVRQGGRRRRRAAAAARRAAPSPSVMWIGEWKRRRRDLD* >Brasy1G025900.1.p pacid=40059545 transcript=Brasy1G025900.1 locus=Brasy1G025900 ID=Brasy1G025900.1.v1.1 annot-version=v1.1 MAASSRGPRRGPRAETLPAAAILILTLLLLSPPSSSSSSSAGPTGPIKTVVVVVMENRSFDHMLGWMKSLNPAIDGVTGAEWNPANASDPSSPRVYFRDGAQYVDPDPGHSYQEIRQQVFGSDDASGPPRMNGFVQQATSIGGGNMTDAVMHGYKPDAVAVYKELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPELLAKGYPQRTIFDNIYDAGISFGVYFQDVPAVLFYRNLRKIKYLLKFHPFHNTFRDHAQSGNLPNYAVVEQHYMDSKSHPANDDHPSHDVYQGQMFIKEIYETLRASPQWNETLMVITYDEHGGFFDHVPTPVDNVPSPDGIVGPPPYNFEFNRLGVRVPTIFISPWIDKGTVVHGPNGSPTPNSQYEHSSIPATVKKLFNLPQDFLTRRDAWAGTFEGVVQTRTEPRTDCPETLPMPTRIRQTEANEEAKLSSFQQEIVQLAAVLNGDHQLTSLQERIRDKMNVREGTAYMRRAVRRFFEAGMSAKRMGVADDEQIVKMRPSLTTRTSSDIEQDHP* >Brasy1G489400.1.p pacid=40059546 transcript=Brasy1G489400.1 locus=Brasy1G489400 ID=Brasy1G489400.1.v1.1 annot-version=v1.1 MEEVVVFIVGAGPAGLATAVCLSQLSVPYVIVERENCSASLWRNRAYDRLKLHVAKEFCELPHMSYLVDAPTYIPKKQFVKYLDDYIERFNIQPKYLTAVVSSTYDMDGKYWSIMVCDITSDTTVNYMAKFLVVASGENSTPNIPMFPGQETFPGVAVHSSSYKSGSVYSGRNVLVIGSGNSGMEIAYDLATHGANTSVVIWSPIHIMTKELIQLGMTLVNHLSPKLVDNLLVMMANFIFGDLSKHGIMRPKKGPLVLKSETDRSAVIDVGTVGLIKKGIIKVQGRVTKINGKTIEFEGGNEASFGAIVFATGYKSTANMWLKNGESMLNKNGMPNKEFPDHWKGENGLYCAGLARRGLAGIAIDAKNIANDIKCNLDSLLLPWGFGAFGKSEMTISSIKELPASTDADKSLKRR* >Brasy1G420100.1.p pacid=40059547 transcript=Brasy1G420100.1 locus=Brasy1G420100 ID=Brasy1G420100.1.v1.1 annot-version=v1.1 MSNVTKTTGIAKIAAANARWASRSSNRSSEIQNPHPPMAILSLLSSRTRHSVLRGPLREAGRRALLSPAAPTSVHSPARPVEHAPTLPSTEFSSTGHRRPSHLDWTGAGARTISSSVGMSHTQCGSTNHTLTSHKRFYWVPRPQHLPYRPGAGVATNEAQQSVNDSFEVQRRTFGSAAEPIQRNPAYSVLNPDDVSYFKSILGNNGVVQDKDRVAVANVDWMGKYKGASQLLLLPKSTNEVSKVLAYCDSRRLAVVPQGGNTGLVGGSVPVHDEVIVNLGGMDKIVSFDNVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTILDMLTTLRKDNTGYDLKHLFVGSEGSLGIVTKVAILTPAKLPSTNVAFLSCNDYMSCQKLLLAARRSLGEIISAFEFMDRQCIDLAMTHLEGVHNPLPHSPYKFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVTDGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMAEVLGYGHLGDGNLHLNILSSKYSDNILAQIEPFVYEWTAGHRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLIDPNSILNPYKVLPRSVSAAGEG* >Brasy1G193100.1.p pacid=40059548 transcript=Brasy1G193100.1 locus=Brasy1G193100 ID=Brasy1G193100.1.v1.1 annot-version=v1.1 MEKNQDSQVIMGRYRLGRLLGRGSFAKVYKAHNISTGEAVAIKVFDKEAVRRSGTMEQVKREVAVMRRVRHPNVVRLHEVMATRSRIYFVMEYAGGGELFARLARSTRFPEPVARRYFQQLVTAVEFCHSRGVYHRDLKPENLLLDARGNLKVSDFGLSALAADTSRLRGGGLLHTTCGTPAYVAPEVLRKRGYDGAKADIWSCGVILFVLMAGKLPFNDANLPVLYRKITQSDYKCPEWFSVDARKLLARLLDPNPRTRITIAKIKARSWFREGAPCSLLNDKPLATSEEASVLLGKEGVRGHHDDDVAEEGARKRKRSKVTTASSPTICVRPSSMNAFDIISRSNALDLSKMFDEAEHRSEARFSTKETTTTIVSKLGKIAEAGRFSFKLKDKARVELEGSQDGRNGALPLAMEAEIFEVAPSVHVVEMRKTGGDSLEFRDFYKQELKPSLGDIVWAWQGADSPPPTLVPRPNTESRS* >Brasy1G046200.1.p pacid=40059549 transcript=Brasy1G046200.1 locus=Brasy1G046200 ID=Brasy1G046200.1.v1.1 annot-version=v1.1 MAGRAETFPPPPEQQFAEVVVVRHGETPWNATRIIQGQMDPELNDTGRQQALVVARRLAGEAKPAAVYSSDLRRAAETAQAIATACAVSNVVLDPALRERHMGDLHGLAYGDAVRANPGFFSSDDRAQEIPGGGESLEQLSRRCVSYLNTVAAKHRGERVIVVTHGASMEELCTYADPASPVRGKLHNTCICLFRISGAGESEKWVLEKAGDVAHLAVVVGDGASA* >Brasy1G383600.1.p pacid=40059550 transcript=Brasy1G383600.1 locus=Brasy1G383600 ID=Brasy1G383600.1.v1.1 annot-version=v1.1 MYTVHGNWKRSDIKLKDDKTLLFGEKPITLLFTSLFCRDVMRFGCLQAVKLKFVWAAIQKELLVIYHPGKFASYILVRACPDFDVSFTSQATIIANCCRKALRSNQIKLKASCADWRKLSGVVIKCSWAS* >Brasy1G236600.1.p pacid=40059551 transcript=Brasy1G236600.1 locus=Brasy1G236600 ID=Brasy1G236600.1.v1.1 annot-version=v1.1 MDQGEPSLKPEWLLRGPGTVAATNLRPATSPRVDDQVRGASSRNRSSGRDREQNSQQSSSRRNSGSHGSKRHDGDGAAKSKGYASFGRSNSDRSREKVSDCRDRDSKLGPPDDPLRDGFKSFSSCRPERDRLNRTRSKLDSLNPAVGVSLDSDNLSRKDAGFEQKFPQLGYEDKNGKQDISRVPSPGISTPMQSIPLVCAPDGWNSVLAEAPILGEPSNNPVSSSLSSDGSCLTTLSMAETVIQAPLKISTTPQLSVDAQKIEERSMRQCILRPLTPSSNKNSVLSSSDKLKAKATRTGDSNGLIKAAPQLSIHSSSSSVRTPVKTELMKASQSGSFQVLTREQNGAVNTTKDCTTNPVSPILGRSSSVEPLKKPIVNQKLKGVSNGLPLHLLQGPLGEKKMIKKERLKFFQLLRSKSLNGSGTSTLIDEQQNSCLSLSLFSSGMKSTKNGDSFCEDTHSCGESQRHLSDGEETMPHSERPDVSEEGSPEQGILADNRGANSSSERAETEAAASKKPQTDNAAATLSTVPADINDDSPRSNSDNDDANLLFEPSRAGEAESYPAHDKPSPEEMAFLLSLGWNEHEVVPPLQQEEIADCLRRNERLQQKFQECRG* >Brasy1G172800.1.p pacid=40059552 transcript=Brasy1G172800.1 locus=Brasy1G172800 ID=Brasy1G172800.1.v1.1 annot-version=v1.1 MGAAPSTPRLGEVGAAPPGAAEQMFAALVGDKAYPISSEFWRQLLELPLTLQWPRERVLQACHAFAQNNYNTKHLTKILIHLVWCLQECTSASSVSYGVYRKAINAAYISSIFLKFIIENAKADNWQELCLHIDKDEKGLENFPSDQTVEYFLMKGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQESTTVGSVVQKLLLNFVRRPQIPLNGSHPVFSDNGGPGVLQRVGSAAANLVLLPYYTFNYLVNASAEGATSQLADNSLLVLLILIHYRKCISMTEAIPIDNIYMSDSNTNIKDAPSFHENPYCKALNNAKDIQFDRADVEGNAQNGPVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPSVPWYQERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMGPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKALKVISDQIESDNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLELINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLILSRGFSFNPGAINLFPVEIHVDDAQPGEQKV* >Brasy1G172800.2.p pacid=40059553 transcript=Brasy1G172800.2 locus=Brasy1G172800 ID=Brasy1G172800.2.v1.1 annot-version=v1.1 MGAAPSTPRLGEVGAAPPGAAEQMFAALVGDKAYPISSEFWRQLLELPLTLQWPRERVLQACHAFAQNNYNTKHLTKILIHLVWCLQECTSASSVSYGVYRKAINAAYISSIFLKFIIENAKADNWQELCLHIDKDEKGLENFPSDQTVEYFLMKGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQESTTVGSVVQKLLLNFVRRPQIPLNGSHPVFSDNGGPGVLQRVGSAAANLVLLPYYTFNYLVNASAEGATSQLADNSLLVLLILIHYRKCISMTEAIPIDNIYMSDSNTNIKDAPSFHENPYCKALNNAKDIQFDRADVEGNAQNGPVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPSVPWYQERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMGPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKALKVISDQIESDNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLELINKNCRSWRGEGMKIFFQSWCHKFVPGGDSC* >Brasy1G477200.1.p pacid=40059554 transcript=Brasy1G477200.1 locus=Brasy1G477200 ID=Brasy1G477200.1.v1.1 annot-version=v1.1 MLLSVAPAVSLLHHTPLKFRQLKTNCSLSGAEGHGIDPRCWMERRQSHSLTFSEPSCGSTLLHLTFTSRRRSFGSEF* >Brasy1G521900.1.p pacid=40059555 transcript=Brasy1G521900.1 locus=Brasy1G521900 ID=Brasy1G521900.1.v1.1 annot-version=v1.1 MNSPKQQAKLSLLLLVLLLLCNGVSNVNCSTVHENSVDLHALLDFQRGITNDPQGALSNWRNTTHFCRWNGVNCTTTRPFRVSSLNLTSQNLQGQISSSVGNLTFLNVLELSSNSFVGTLPLLNHLQKLQYLSVRDNLLHGDFPDALTNCSNLVSLDLSRNHLTGVIPPRIGNLTKLLGLSLFRNNFTGFIPAALGTISTLAKLDLSENQLSGQIPNELWQMSNMVMLALSENSLTGGFPQILPNISSLQLLSLTANMLGGALPSNIGDALPSLQFLYLGLNTFEGHIPASLGNASGLAEIDLPYNYFTGKIPSTFGKLPLSSLNLDKNKLEARDSESWEFFDALANCSDLEVLSLSDNQLEGVIPNSIGNLSINLQRLLMGGNKLSGIVPPNIGNFHNLIQLSLDENNLTGTIEEWVGKLIKLQHLNLHANDFVGTIPASIGNLTQLTSLLLAKNEFEGLIPSSVGLSQLLKLDLSYNNLHGRIPKEAFSITTMITCVLAYNDLEGPIPLEIAKLQQLMELSLSSNRLTGKIPDSMGQCQELEIIQMDQNLLTGNVPVSIGNLKSLSMVNLSHNNLSGTIPVILEDLKFLTQLDLSYNNFKGEVPANGVFQNNTGVSLIGNWGLCGGSSYLHITPCSTVSLRTEVQYYLIRILTPIFGFLSIILFLYLMLLEKKVPRGTYSLLLSFGKQFPKVSYSDLARATKNFSESNLVGRGSYGSVYKGMIVQAKMQVAIKVFDLDMRCADKSFISECEVLRRVRHRNLVSILTACSTVDNVGNAFKAVIYEFMPNGNMDTWLHKRCAGEASKTLDFGQRINILANIADALAYLHHDGEKSIVHCDLKPCNILLDVDLNAYLGDFGIANLIHNSKYTSVGHSSLGSNSDSSIGLKGTIGYIAPEYAQTGQASTCGDVYSFGIVLLEILTGKRPTDSMFDNELNIVNFAERNSPDQILQIIDDHLQQECKGFICPTTEAGNVVYQCLLSLVQVALSCTRMFPRERLTMREVAIKLQAARTSYVKATKREQAMPR* >Brasy1G210700.1.p pacid=40059556 transcript=Brasy1G210700.1 locus=Brasy1G210700 ID=Brasy1G210700.1.v1.1 annot-version=v1.1 MRASSPVGVQNQRRSFPRFLFLVSHSRRTRRTRIFSNCWSAAYQQEPTLLWRPAAGRWVPISKVSSGGRCSVLPFFLLSQRNQEDRKVQPKHTPVICGCSLLEYFFSQMDNIYFN* >Brasy1G057900.1.p pacid=40059557 transcript=Brasy1G057900.1 locus=Brasy1G057900 ID=Brasy1G057900.1.v1.1 annot-version=v1.1 MDVQSAPGAAKRVWGYIRAVLFMLRKGKRRLLLGLHLLMKRRNNKGALARSVATLLSHHAHGYGHALRHRRGEYEFSCSGSPVDPRRGRSSAAYFPCLLGSEAETATALQYQYRIEYDNYASAAEAAPAEEEERDGVLMEELAAGEEECCGSSTSVPSPLVASAGGFSVRVSNFSEEDGGGGGEAVDDEAEEFISRFYEQLRQQNQAPLLAAAAAIPYLQQDQSAAR* >Brasy1G489900.1.p pacid=40059558 transcript=Brasy1G489900.1 locus=Brasy1G489900 ID=Brasy1G489900.1.v1.1 annot-version=v1.1 MSSQVSTSRGLHPPGKIMTFESPHTWPLSAPTSQAEAGSGDDQDVRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNMQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLGKDYIVIVLETKIYVYNFTDLKMLHQIETLPNPKGLCYLSHHANTSVLACPGLRQGHVRVEHFGLKVTKMIAAHDSHISCMALTMDGLLLATASVKGTLIRIFNTMDGSRLQEVRRGLDKAEIYSIALSSNVQWLAVSSDKGTVHIFSLKVRVAGEDASNDQRTLEAPRMDHQNSSSSMDPLIQTNMGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCVFDQVNGGQMSQKEYSRFLKTDYAPSMRTLSA* >Brasy1G498400.1.p pacid=40059559 transcript=Brasy1G498400.1 locus=Brasy1G498400 ID=Brasy1G498400.1.v1.1 annot-version=v1.1 MKKIFGAKKNKDPPPTIQDATNQINKRGESVDDKIRKLDEELARYKDQIRKARPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVGFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDGLEADMEFESAAVPSYLQPDEESDLNLPAAPTYPAAVPLSRHQEDELGLPAVPRASLRS* >Brasy1G245800.1.p pacid=40059560 transcript=Brasy1G245800.1 locus=Brasy1G245800 ID=Brasy1G245800.1.v1.1 annot-version=v1.1 MSATSEELFGVVEPVPLPPSVPMIHVDSKQTQDNLSMPYISRMLMEDDIIDKFFYQYPDHPKIQQAEQPFAQILSDAATTSSDNEESMPPGMHASALMPSQDHNMDIQIPELFSRKVLNPAFFLGGTDRVEPDSTMFPSESNISMDMLSSMAFFKGVEEANMFLPSNNVMVDGRVTCMDGEVVGMGRSSKQIAVPVHTDSEEDTVRLLDRLILDGYDKYPNEMQEVLLIDKENKAAQKSFCRRGRGRVAQTVVTDLETLLIRCAEAVDSNNWRSTSELLERIKQYCSPTGDARQRLAHYFAKGLEARLAGTGSQLYHSIMGTRTTSSVELIKAYHLYNANCCFIKMALLFSNRTIYNAVAGRRKLHIVHYGINSGFQWPELIRWLADREGGPPEVRITEINTPQPEFHPAKQIKGTGDWLRNYASKLGVSFKIHAITANPEAVRAEDLHIDPDEVLVVNSIFQFRTLMDESFTFERVNPRDMVLNTIRKMKPDVFVHAVCNGSYSAACFMTRFRQALYDFTALFDVMETTTGRNNNMRLLWERDIFGRCTMNMIACEGADRVERPHNYREWQARNHRAGLRQLPLDTNIVLMLKDEVKNQYHKHFMINEDHRWLLQGWKGRVLYALSTWVADDASGSEET* >Brasy1G465500.1.p pacid=40059561 transcript=Brasy1G465500.1 locus=Brasy1G465500 ID=Brasy1G465500.1.v1.1 annot-version=v1.1 MASPTALSHLVLLLVLLLPLAAAAPPHRNRLPSHHLAALNASAPPTTYFEVDRPIRPPQGSVGGPCSALLLSHSFGATYGKPPVTAAYAPPACLSAARARGGSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVTRYSALLAEPGEIAVYLGNLVDSTYTGVYNVNLTLHLYFHAAPPPLTQQADLIVPVSRSLPLNDGQWFAVQNATDLESKKISIPSNTYRAVLEVFVSFHSNDEFWYTNPPNEYIEANNLSSVPGNGAFREVIVKVDQDIVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHDFGFSVTNALDVWYIDANLHLWLDHKSEKTIGSLISYDVPALVLNVDSGFSGLDGKFVTSASRHISATGWVKSSYGEVTTTFYQTFTYDNSNMYTKNGTVQAVNQTIDAKSGVFVKDTSAVLLSEEVHEVFPLYVYTGTSNQVGDEYSLVSLVKLGINEKRISGGQNGFLYSNLRNAQFARGTMKVKKNLVVSGLGQTHQVYKYVGTDGCYFRDVSSKNYTIISDNFDASCSKRSPSSGVKFSSNKDQPARKLLKL* >Brasy1G069800.1.p pacid=40059562 transcript=Brasy1G069800.1 locus=Brasy1G069800 ID=Brasy1G069800.1.v1.1 annot-version=v1.1 MVSRFQILAAIAVLASFLCPCASSIEFHRKLSSWSSAGATWYGAANGAGSNGGACGYKGAVDQAPFSSMIAAGGPSIYGSGLGCGSCYQVKCSGNDACSGSPVTVVITDECAGGPCLNEPHFDLSGTAFGAMAKTGQADQLRGAGVLQIQYTRVPCSWAGAELTFVVDAGSNPHYFAVLVQYQNGDGDLLGVELMQGGGAGGAAWTPMQHSWGAVWKLDAGSALQAPLSLRLTSSSGKKLVASNVIPVGWKAGAAYQSAVNY* >Brasy1G204500.1.p pacid=40059563 transcript=Brasy1G204500.1 locus=Brasy1G204500 ID=Brasy1G204500.1.v1.1 annot-version=v1.1 MPVLRSATRKAREAQENRVAPEAPAPPAARRRRAAKRKETGGVRETPARDEEKKEEEIKLPEAPAEPAGEKPMDDSADKQTLEDTSPIPEMVQVSNSPRYRVDKKLGKGGFGQVYVGQRVSANAPGAAEVALKFEHKSSKGCTHGPPYEWQVYDILGGIHGVPRVHYKGRQGDYFVMVMDMLGLSLWDACNNNSHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPAGTPEEKKLFLVDLGLATKWKDRSTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCSFCPQPFKEFVEYVVNLKFDEEPNYAKCISLFDSVVGPNPDIRPINTDGAQKLIHQVGQKRGRLSLEGETDEQPKKKTRIGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDSLFISCVSSCSNLWALIMDAGTGFSSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLLVMSRGTNYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSKWAVVMSRNAGFSHQVVELDFLYPSEGVHKRWDNGYRITATAATSDQTALVLSVPRRKPNDETQETLRTSAFPSHHVKEKWSKNLYLASICYGRTAS* >Brasy1G204500.2.p pacid=40059564 transcript=Brasy1G204500.2 locus=Brasy1G204500 ID=Brasy1G204500.2.v1.1 annot-version=v1.1 MVMDMLGLSLWDACNNNSHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPAGTPEEKKLFLVDLGLATKWKDRSTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPEALCSFCPQPFKEFVEYVVNLKFDEEPNYAKCISLFDSVVGPNPDIRPINTDGAQKLIHQVGQKRGRLSLEGETDEQPKKKTRIGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDSLFISCVSSCSNLWALIMDAGTGFSSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLLVMSRGTNYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSKWAVVMSRNAGFSHQVVELDFLYPSEGVHKRWDNGYRITATAATSDQTALVLSVPRRKPNDETQETLRTSAFPSHHVKEKWSKNLYLASICYGRTAS* >Brasy1G271200.1.p pacid=40059565 transcript=Brasy1G271200.1 locus=Brasy1G271200 ID=Brasy1G271200.1.v1.1 annot-version=v1.1 MAILLWTCDEDIPGSTHSSSSPHEESQLKGETSRKKTYLGPMTRSRAKQIQQEVNALLAAPNDNINENFILPNSSVLLVLRFTTRSHTCCLDHQTTHEQLQNSSPTLLHHCT* >Brasy1G579800.1.p pacid=40059566 transcript=Brasy1G579800.1 locus=Brasy1G579800 ID=Brasy1G579800.1.v1.1 annot-version=v1.1 MASSNAALLAAIAVLVILMSSSGRLGPAAAAETACKDCASTCNTSCSSTEFSGGSCTCGPAPECVEKCKSAALARCRNGCRSACESRDYGSGCKSSSSCCPSPQECQDRKNTIIQLCTASCTTSCNKFCVKA* >Brasy1G461500.1.p pacid=40059567 transcript=Brasy1G461500.1 locus=Brasy1G461500 ID=Brasy1G461500.1.v1.1 annot-version=v1.1 MGCCCCGGGGGGDRDRDRGCRPLGWLLGLPFALVAVLVSIVGAIIWIIGLPISCICPCCLCVTLLLEVAVELVKAPLHVMTWFTSKIPC* >Brasy1G250400.1.p pacid=40059568 transcript=Brasy1G250400.1 locus=Brasy1G250400 ID=Brasy1G250400.1.v1.1 annot-version=v1.1 MPDLPCDGDGVCMVCRAAAPPEVELLRCSTCATPWHSPCLSNPPALADAATWTCPDCCGDAAPAPAPSAVGAGGSGGELLAAIRKIEGDATLSDQDKARRRQELLGGSSAPAAAAEDDEEDEDNVLEMVGKNFSCVFCMKLPERPVTTPCGHNFCLKCFEKWTNSGKRTCGKCRGAIPNDMAKQPRINSALVAVIRMARTSKNANSASSVTTYHYIRNDDRPDKAFKSDRAKKAGKANASSGQIFVTIPADHFGPILARNDPKRNTGVLVGETWEDRFECRQWGAHFPHVAGIAGQSNYGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFTKMNDALRVSCLKGYPVRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKIGVQGTFKVCRYLFVRCDNEPAPWTSDAHGDRPRPLPKIKELQGATDVIDRKGSPSWDYDEKEGWKWMKPPPISRKPIQTGDPITDKEMRKFQRRAHLSEAERLLKEFGCSICKNVLKEPLTTPCAHNFCKTCLLGAFENQSSMRERSRGGRTLRAQKIVKRCPSCPTDICDFLVNPQINREMMGLIENLQSKAANDSSKDVEESGDSSEEEDGALEDEEDDDSLNEEEEDGAENNDKTVALDGDLDANADGPVVKIVVEGKEEVKKAQKRKGDDDKGKDEKKIKTGAAAVDKAVEEDAVKGSENVEKKEEGGKQVQKKRKGRAEVATTAGGKRKKTSPAAVEEKAVKQVKKTGGVEAAEGSPAASSPRRVTRSSAGSPATRTRSSTKADGGN* >Brasy1G134700.1.p pacid=40059569 transcript=Brasy1G134700.1 locus=Brasy1G134700 ID=Brasy1G134700.1.v1.1 annot-version=v1.1 MPPTAAALPPPSSHSRVPAAKSIWLNPNLPSSHPLHRHKSAELQRQQKQQQDHAPDIRALVAALSAARGAPDLASALSPHRPVSPRLLCTLLSRLPDPRRGVALLDLLGPDLPASALLVPYNLLLRAASRAGQLRLASGLLLEMRHRGVAADAFSYSTLLAALTRAGHLDHALTFLPLMEADAVAPDLVLFSNLIHLALRGGDAPRALALFSRLRAAGIRPDLKAYNAAIAAYCKSDLLRDAKLLLLHDIPADGVAPDAESYSPILAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRTGVPPSVVTYNTMLRVYGDAGLFGEAVHLFGLMCSSASDGNGGSVKPNVVTYNTMISIHGKSLEDEKAGSLVRDMQANGIQPNAVTYSTILSIWVKAGKLDRAAKLFEKLLESGTEIDPVLYQTMVVAYERAGLVSQAKRLLRELKDPAEGIPKETAISILANAGRVEEAAWLFRRAVHTGEVRDPSVHRAMMDLFAKNRRHRSVVEVFDEMRKLGHLPDSETIATAMNAYGKLKEFDKAAMLYRASREEGCVFSDRVHFQMLSLLGAQKDFEALESLVSELSHDPSIDKRELYLVAAGVYERAYKFDEAAQIISQIRSSNDFHLQKFR* >Brasy1G533400.1.p pacid=40059570 transcript=Brasy1G533400.1 locus=Brasy1G533400 ID=Brasy1G533400.1.v1.1 annot-version=v1.1 MVTATTDDSIADSVAAGELWKGEQVCSGASCLIRWEDVCKPKIFGGLGIIDLTKFAAALRLRWAWLRWTSPERPWAGLELPMSATDLDLFSTFTSITIGDGSTINFWHDAWSLGRRPMDLAPLLFARSRRKNRCLRMALLDGKWIHDLNGALSAEELAEFVMLFVVVSQVQLSEGVEDKISWKLRETAIHIFTQCVFARHVWAGVAAWIKLWWLHRSDVARASTSKALGRGAASLFLLTLWSIWKERNNRIFNLKRLSAQSVIAIIKNEAATCRLIDSSGLGALVSGADDVP* >Brasy1G197100.1.p pacid=40059571 transcript=Brasy1G197100.1 locus=Brasy1G197100 ID=Brasy1G197100.1.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G197100.3.p pacid=40059572 transcript=Brasy1G197100.3 locus=Brasy1G197100 ID=Brasy1G197100.3.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEAGNDDV* >Brasy1G197100.8.p pacid=40059573 transcript=Brasy1G197100.8 locus=Brasy1G197100 ID=Brasy1G197100.8.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G197100.7.p pacid=40059574 transcript=Brasy1G197100.7 locus=Brasy1G197100 ID=Brasy1G197100.7.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEAGNDDV* >Brasy1G197100.9.p pacid=40059575 transcript=Brasy1G197100.9 locus=Brasy1G197100 ID=Brasy1G197100.9.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G197100.5.p pacid=40059576 transcript=Brasy1G197100.5 locus=Brasy1G197100 ID=Brasy1G197100.5.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G197100.10.p pacid=40059577 transcript=Brasy1G197100.10 locus=Brasy1G197100 ID=Brasy1G197100.10.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEGQDKTR* >Brasy1G197100.2.p pacid=40059578 transcript=Brasy1G197100.2 locus=Brasy1G197100 ID=Brasy1G197100.2.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEDG* >Brasy1G197100.11.p pacid=40059579 transcript=Brasy1G197100.11 locus=Brasy1G197100 ID=Brasy1G197100.11.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLSGVDQLLRGFADAHAQCHGVGAHDVSPRCPGSHGVWLAVRRSRQN* >Brasy1G197100.12.p pacid=40059580 transcript=Brasy1G197100.12 locus=Brasy1G197100 ID=Brasy1G197100.12.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLSGVDQLLRGFADAHAQCHGVGAHDVSPRCPGSHGVWLAVRRSRQN* >Brasy1G197100.4.p pacid=40059581 transcript=Brasy1G197100.4 locus=Brasy1G197100 ID=Brasy1G197100.4.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLSGVDQLLRGFADAHAQCHGVGAHDVSPRCPGSHGVWLAVRRSRQN* >Brasy1G197100.6.p pacid=40059582 transcript=Brasy1G197100.6 locus=Brasy1G197100 ID=Brasy1G197100.6.v1.1 annot-version=v1.1 MAAAAARSFLRSAPAASSSLRGSAARAASRACPPPLPRLFPASAPRVLLRSPVELTSFCVDSLMPMHSATASALMTSLLAAPARTGFGWLSEDL* >Brasy1G267900.1.p pacid=40059583 transcript=Brasy1G267900.1 locus=Brasy1G267900 ID=Brasy1G267900.1.v1.1 annot-version=v1.1 MSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGNIQIEKVQDKVEDAASRKVDDNAFAARKEPGHDGDAGATGTGA* >Brasy1G339700.1.p pacid=40059584 transcript=Brasy1G339700.1 locus=Brasy1G339700 ID=Brasy1G339700.1.v1.1 annot-version=v1.1 MAMVTPLLLLLLPLAAAQVWQDCGSSGNYRANSTYEANLRLLSSTLPKKAASNTTLFATGTAGHGPDAVYALALCRGDTKASDCEDFVATAFQDGQQLCAYNKGATLYYDSCMLSFSDQNFLATNDNDQLLLLMNTQNFTISADSARLFLFTLLNSTTQAAVNSPRRFTTSRLDVGYNFPTLFCLVQCTPDLTANECAACSQYFPQLTLQYLDGKQGGRVLGRRCSMRYEQYPFFTGDPMLRIINLVSAVPAANNTTPGTPVTVYPQPQADPKTPHPEPEARTTVQEQHGKANLQDQAAANRVEQDALVWRLEEKSSDFTLFDFSEILDATRNFSEENRLGQGGFGPVYKGQLQGGMEVAVKRLASHSGQGFTEFKNEVELIAKLQHNNLVRLLGCCIQGEEKILVYEYLLNKSLDFFIFDRNKKTLLDWNKRRLIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDSQGSTKRVVGTYGYMSPEYASEGIYSVKSDVFSFGVLLLEIFSGKRNSGFHQYGEFLNLLGYSWQLWKAGNWLELVEADIAEEIHTTEARRYINIALMCVQESADDRPAMSDVVAMLNSESVVLPEPNHPAYFNLRVSKVEESATVVDPCSINGVTITGEVDGR* >Brasy1G520300.1.p pacid=40059585 transcript=Brasy1G520300.1 locus=Brasy1G520300 ID=Brasy1G520300.1.v1.1 annot-version=v1.1 MKVLDVDYGSRSLVAVHALLAADAACTVMFNVSSAFAITDRFRISRSNRELYVLSKCRERRPPPGAVPVTNCSANSSGMYAYLGGSYGAGQPPVNEGSCEIAIFLVLRSEATTAENYRRLIKGGFQLEWEPVGDCNACTASGGRCRYDSSTAAFVCLCSDGGLRASTCDGKHKGKVRTLIVSLSVAASLVLACLAWLVYRRRRKIRSTISRIYSSNTSNVEEMLRKCGSLSLKKYKYSELKKITRSFEDELGEGGYGVVYKGSLKDGRMVAVKLLKGSKGNGEDFLNEVMSIGQTSHVNIVSLIGFCLEGSQRALIYEYMSNGSLQKHIYSETSKLAIGWEMFLKIAIGIARGLEYLHQGCNTRIIHFEIKPNNILLDHELCPKIADFGLAKLFHLKDSVLSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEMVQGKKDLKGNVGSSSETFFPHCVHDRLVRDLQGSCEFTQGTEEIVRKMTKPFLCSPLHSTNVSSYESVQIEMSSS* >Brasy1G473300.1.p pacid=40059586 transcript=Brasy1G473300.1 locus=Brasy1G473300 ID=Brasy1G473300.1.v1.1 annot-version=v1.1 MASVAATMATPTRHHRFCVRAAWDMNPGAATVAMPKPSKAKAKPALPQPPATATTPARAPPPTHEDLFARSSEGQAAKKSTYMGFEKWWLPPAPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQMMFQLGFTSGASSQNIADELRASFQETASTHVQSQQPTKR* >Brasy1G473300.2.p pacid=40059587 transcript=Brasy1G473300.2 locus=Brasy1G473300 ID=Brasy1G473300.2.v1.1 annot-version=v1.1 MASVAATMATPTRHHRFCVRAAWDMNPGAATVAMPKPSKAKAKPALPQPPATATTPARAPPPTHEDLFARSSEGQAKKSTYMGFEKWWLPPAPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQMMFQLGFTSGASSQNIADELRASFQETASTHVQSQQPTKR* >Brasy1G153300.1.p pacid=40059588 transcript=Brasy1G153300.1 locus=Brasy1G153300 ID=Brasy1G153300.1.v1.1 annot-version=v1.1 MASSSVFSPLLPPLRLPASCGARSSAPPQAVSADNAIVVTASAASRPAAPVAVASHTHRREVLLGTAALGALFLRTPLPAEAREVVVGKFLPPVPSNPGFVFFKASAKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDRQGKVQVVASPLIRLTNRPNATIEDIGSPEKLMASLGPFVTGNTLEPEEILETTVEKIGDQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSQKILKAMVDSFQV* >Brasy1G317400.1.p pacid=40059589 transcript=Brasy1G317400.1 locus=Brasy1G317400 ID=Brasy1G317400.1.v1.1 annot-version=v1.1 MGRTKPISGDESVITYEFMTREDFLSFHSEVQKKFDDLTREHHKLVKAHGESRENLENVNTETNSKLDKLAEMMVSLMHPEFGRDNTRLEMSDRASSVHVECVTPVITGTTRQPRDLLPNYAPPLLDQPTITLDTHPRGTQAEELFRVGWTPQQVPQARQGNLFGTGQGQQNQNRPVIYG* >Brasy1G457000.1.p pacid=40059590 transcript=Brasy1G457000.1 locus=Brasy1G457000 ID=Brasy1G457000.1.v1.1 annot-version=v1.1 MKPSKKKSKKKKSPSPAATQGAAPTPPAADSSPGVSCSPLSETLTLAAAAAAVAASETESSGSSGGEASAYTRAFTSSSSGTASTSSLYAPSSSDSAASSSATGDERQDLAWLLEAFGSASIDQVESAYREAGCDPFLAAGILGSSQELQPPQPPPPPDLSPCAGSAGRKAARRPRKLAVAASGMVADVIGKGYSRPATPPLTATNGWKDRDGGGVSNAWTGDRNRERESGSGDRKYNAEEAEQFLCSMLGDSSELSMGVVRDVLGQYGYDVEMALDTLLDISGMGWCNPNAEKNSIHSSNVYPENGPSEETFTGSIKQSQHQFPEEIPGTRYHHSEKQHEFFWGEHQGSYMKAVCEVQHLAASPSRPTVVNSKMPQQVLESLFKVPEQRTCEHEPSKMDWKKVVKKLQSYNHPITANNQERQKNGDGYKEFRGVSARHYDMMKGYYQKAALAYSKGDKSYASYLAEEGKHYRELGRKEDEKASREIFEARNRHITNTVTIDLHGQHVKQAMKLLKVHMLVCVCMPSTLLRVITGCGVEGTGKGKIKRAVMALVEREHIDWYEGNSGTIVLRLGGPREYRFLEHDSDSD* >Brasy1G302200.1.p pacid=40059591 transcript=Brasy1G302200.1 locus=Brasy1G302200 ID=Brasy1G302200.1.v1.1 annot-version=v1.1 MATPGKPDPAAAPPKAPQPATKGALMRRVFPFLLATNVFIGVYVFAKTYKRDQDKKNAQTAAAAAAVEALSSPPAAITKPAEPTPPPKKVLTPPSEDEQRQVYKWMLEEKRKIKPRNTAEKNKLNEEKALLKEIIRAGSLPRLW* >Brasy1G388100.1.p pacid=40059592 transcript=Brasy1G388100.1 locus=Brasy1G388100 ID=Brasy1G388100.1.v1.1 annot-version=v1.1 MDQRRQSSRIRGIAPPPLPPLPRSIRRCRSPPLPPPRPAGAVPLLVPNLPIPSVDGEQPTLPLDVILEIAARSDAASIVRCAAISKPVRVAILGQGFRRLLAQMPARFDPDLLRGVSYKVDDRRGYPARRVVKSAEPTLVRFNQIVDLKVEYDFEPVACRDGLVVLLRWFRDMYSLFRPTPSGPEHRVFNGNTGHTNIPPTDITNHSKHALVSVGDCGGSFELLVVEGTPHSTLQLYSSRDGRWAPVRALHPATLPFRPLDRSEPVVIGRTAHWLCAPFCVVTVHADTAQATRIELPCARRISEYPFTGNGSLILGASPDGKLMAVVSETMVISMWTLEGEPSRSGLLGSWSQRVLVEKKDWVFGIAHSLLRFESFGERSGINCDEKGVIVSQVCLHKVSLPSLLHGMKAF* >Brasy1G551200.1.p pacid=40059593 transcript=Brasy1G551200.1 locus=Brasy1G551200 ID=Brasy1G551200.1.v1.1 annot-version=v1.1 MHFLGCVNNYPHQTKLYWAVSIIVYALSTVKFIIVFAVLSRLLFAPLSVLTFLAYKYWKTKISIDAVEKFLQMQLALGPTRYAYTDITAVTNHFREKLGQGGYGCVYKGVLPGDAHVAIKMLVSSTSDGEEFISEVSSIGSIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSSEKSFSWDKLDEIALGIARGIDYLHRGCDMQILHFDIKPHNILLDGDFTPKIADFGLAKLYPRDNSFLPVSAA* >Brasy1G027700.1.p pacid=40059594 transcript=Brasy1G027700.1 locus=Brasy1G027700 ID=Brasy1G027700.1.v1.1 annot-version=v1.1 MGHQLFVDDPFASSISSLEAEAIFSGAGGQWRGGGLDDRDLSAMPAAANTSSGGSGSPGGGGGRKMSHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPITVSRVLKYIPELQKEVDGLERKKEELTRANCKPGVIAMKDQNVAPVVSATCLDDKDIMVQVSLLSGMAAAALPLSTCIKVSENEGLRLVSSSTSAFGNRTFYNLHLQRTQQTMSKECPAFCDELEKAIKKKAGLHMHQ* >Brasy1G113800.1.p pacid=40059595 transcript=Brasy1G113800.1 locus=Brasy1G113800 ID=Brasy1G113800.1.v1.1 annot-version=v1.1 MDHFRTGTGPVHPVISHPSPIDPDSQKKKSPIDPDPDPVSRFSGRPPPPAAVGSTARRHPSVRPGLRQIWAADAGRVPPRTVVPSLRPRDRGALRPHSACPATGPTRSRPSTSLSWRKMTPSPTPSAAGDATTSDGRRPCVRQHLAAEQPPEAELFTDGAADDPASTCLARRSCSGSPPPRSARLRTNFLRFGSVFGYCRSFGFCEICLMRFL* >Brasy1G189500.1.p pacid=40059596 transcript=Brasy1G189500.1 locus=Brasy1G189500 ID=Brasy1G189500.1.v1.1 annot-version=v1.1 MSGALAPPAPTAAGGSEEEITVEVVSPAPGAEDHVEEPRAVDTRCFSCPALLLPAASSLAKGTQVLLVQSSTAVDSSPAGLQALLVQASRDSTDDAPKEQARAGNTCRAARELRGWLMVLATVIASITYASGLSPPGGFQDGDGPDSPMTPVLRAASLNRYTAFYYCNTAAFALSLSIAVLLASQDLRRLAKIKVLEILVALDVLALLVAYIVGSTFGLKELGVCAGLVLIVPVALVVMSSRVCGRYFWDEL* >Brasy1G031500.1.p pacid=40059597 transcript=Brasy1G031500.1 locus=Brasy1G031500 ID=Brasy1G031500.1.v1.1 annot-version=v1.1 MQTKGLLSRTETETESPKRTHAFLLYISASPNYPSLLLQYMMLFSTVSSLCLHLFSSLLPQGIRRSRRHGTALRACVLALLSFVAPRGRIYKSSCY* >Brasy1G465700.1.p pacid=40059598 transcript=Brasy1G465700.1 locus=Brasy1G465700 ID=Brasy1G465700.1.v1.1 annot-version=v1.1 MSRVGPITQDWEPVVVRKKLPNAAAKKDEKAVNAARRAGADIDIVKKHNAGTNKVAHSGTSLNTKRLDDDTENLAHERVPSDLKKSIMQARMDKKLTQAQLAQMINEKPQVIQEYESGKAIPNQQIITKLERALGTKLRGKK* >Brasy1G477800.1.p pacid=40059599 transcript=Brasy1G477800.1 locus=Brasy1G477800 ID=Brasy1G477800.1.v1.1 annot-version=v1.1 MPVKSQGSCDSCCAFSAIAAVEGIHAIRTNNLTSLLEQLLLDCDTETDSGCKGGFPDAAFRYIADNHGVASEESYPYKGRQSAPCDGKKLPVAATIDGYVTVPPNDENALMKAVAAQPVSVLLDATAGGSHFQFYSEGVFDGNCGTELDHVVTAVGYGVTVDGTKYWILKNSWSHRWGEEGYIRIKCDVADKGGLCGLAMQPSYPIKINLDQLKL* >Brasy1G489700.1.p pacid=40059600 transcript=Brasy1G489700.1 locus=Brasy1G489700 ID=Brasy1G489700.1.v1.1 annot-version=v1.1 MGFGVVSLLNAVFRRAFTSAGLRPGSAAVDADTSLHFWAHPSLLSSSDSGGNSNTTKQQRRPVVVLIHGFGPDATWQWASQVGPLSRHFDLVVPTLLFFGAGSTTRAPDRSDAFQAAAVAKLLQAHLQLDSTRQAVHVVGTSYGGLVAYHLAAALAVAAGSLGMGNNGSDNNNNKVVICSADLAKGEEDDVALAAKAGVGEVTELMVPADTKALRRLMAICAHGPPKYIPECLARDLLRKYFSVQREEKIQLIKGIAAGHGFQISPLPQEVLIVWGEFDQIFPVEKAHKVKEKLGEKARVEVIPSTGHLPHQENAKLFNKILLGFLLPPPSSAAAAAK* >Brasy1G058100.1.p pacid=40059601 transcript=Brasy1G058100.1 locus=Brasy1G058100 ID=Brasy1G058100.1.v1.1 annot-version=v1.1 MPTGTMKRLFVESSSSSSSGSGGGREPAGAAVLCAPRPRRVQAQPCSADLILGPPPFLLNSSGNRQKQREGNLHKTRADEDEGWAMFGGSPPARVDNPLVHDPHFLLNQRAPASDLAVFDHHIRSNHHHIPTSYNSSSSRDHSFAPSYAPAVRIQGFDVASCRSSHNNGSSNGRVLSARA* >Brasy1G340000.1.p pacid=40059602 transcript=Brasy1G340000.1 locus=Brasy1G340000 ID=Brasy1G340000.1.v1.1 annot-version=v1.1 MGLWTNLQASPFSFLALPYAAASDVNGSGSSASPLQPSAKNPLSGVKWHTSSRSPPASAARSHDAAAARSQRGSASSPSAAAARSQRGNTSSPQPVDFNVPPPPDLSEAAPPREEQPPDAQPREEQPIVIRKKRKRHQPVEEMKLGAAACDGGIKSRRGERSQEQEMRKKRHASSVHFLDYSLSLLITTDEVLTT* >Brasy1G346600.1.p pacid=40059603 transcript=Brasy1G346600.1 locus=Brasy1G346600 ID=Brasy1G346600.1.v1.1 annot-version=v1.1 MAMAPPATSTCATALHLPHPSPSLGSSVCGDGRLQFRRRRCLALQRRGGGSHVARAALGGLLGGMFGGGGGDDGETARRKYADTVALVNRMEPEVSALSDADLRARTAALQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFEQDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVQIQNETITLASISYQNFFLQFPKLCGMTGTAATEKQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMSRLKLREMLMPRIVNPVDGVIVSKKQLPPRKTWKTNESLFPCELSKDTLSFVKDAVEVAVKEWGEKSLPELEAEERLSYSCEKGPTRDEVIATLRNAFKKIADEFKIYTEEEKNKVIATGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASGSLESLIVEYAELTMDDILEANIGPDTPRENWDLGKLIAKVQQYCYLLNDLTPELLESKCSSYEDLQEYLRARGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDKLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKKQEEENSGKKDPKKKVEKGANKLGAAQAAS* >Brasy1G346600.2.p pacid=40059604 transcript=Brasy1G346600.2 locus=Brasy1G346600 ID=Brasy1G346600.2.v1.1 annot-version=v1.1 MTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFEQDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVQIQNETITLASISYQNFFLQFPKLCGMTGTAATEKQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMSRLKLREMLMPRIVNPVDGVIVSKKQLPPRKTWKTNESLFPCELSKDTLSFVKDAVEVAVKEWGEKSLPELEAEERLSYSCEKGPTRDEVIATLRNAFKKIADEFKIYTEEEKNKVIATGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASGSLESLIVEYAELTMDDILEANIGPDTPRENWDLGKLIAKVQQYCYLLNDLTPELLESKCSSYEDLQEYLRARGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDKLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKKQEEENSGKKDPKKKVEKGANKLGAAQAAS* >Brasy1G268900.1.p pacid=40059605 transcript=Brasy1G268900.1 locus=Brasy1G268900 ID=Brasy1G268900.1.v1.1 annot-version=v1.1 MAAGRRQHQLRLFLLAAALACSLHAAASAAAESTEVALAIRHRPSKPRPRQRHRPGGGRGGTGSGMAACNMFQGSWVYDDTLPMYDTAACPFVEPEFDCQKYGRPDKLYLTYRWRPASCELPRFNGQDLLSKWRGKKILFVGDSISLNQWESLVCMLHAAAPASRTAHGRGNPVSTVTFQDYGVSVAYYRSTYLVDIVEESIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDFVQDGAQVMKDMDRLTAFSKGMSTWARWVDSKVDTSKTKVYFQGISPTHYNGAQWGESSRSCAQQTQPITGPAYPGGPLPAQGAVRRALSGMSKPVFLLDITLLSQLRRDAHPSAYSGQHPGNDCSHWCLAGLPDTWNQILYASLLA* >Brasy1G459700.1.p pacid=40059606 transcript=Brasy1G459700.1 locus=Brasy1G459700 ID=Brasy1G459700.1.v1.1 annot-version=v1.1 MEYAASTTMPARSWAATTATQIQRRLLLLLYAGRRVERGSAAEGGPCRREHPAPRAAASASAAAPRAAVGGPARRPCRPARSSRYATPPRAPPLQPPR* >Brasy1G338600.1.p pacid=40059607 transcript=Brasy1G338600.1 locus=Brasy1G338600 ID=Brasy1G338600.1.v1.1 annot-version=v1.1 MAQIPIELEVGWRYMVAGISKLKSILGGDGGASFSSKEYIDLYSTIFNMCTQKPPYDHSNKLYERYKEALDDYIKSEVVPSLKGKHGEFLLRELVGRWKNHKVMVRWLSRFFHYLDRYYVSRKLLLPLKELGLSCFHDLVFRELKTTLTPTLIDMIDAEREGQLIDRALVKDVIDIYVEIGRGSLGLYEGDFEQDFLNSTTDYHSKKAQAWIVEDSCPEYLLKAEECLQKEKERVGHYLHSKTETKLLEDSLLELISRRAEQILNKENSGCRVLLLDGKTEDLSRMCRLFSRVEDGLFQLSKVFKEHVNEEGMSLLKSATDAANSKNERKEVVGALDQDFVRKVIELHDKQQSYVINCFQNNTIFHKAIKEAFEIICNKEVAGCTSAESLATFCDNILRKGGSEKLGDEAVEETLEKVVTILTYISNKDLFVEFHRKKLGKRLLFDKSVNDELERSLLSKLKQYFGGQFTSKMEGMLGDMTRARDQQANFEEYMSQIPESNPRVDFSVTVLTAGRWPTYKSSNINLPSEMIKCVEAFKNYYDSKEKCKKLSWLYSMGNCNIIAKFDTKPIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLPDDDAVRLLHSLSCAKYKILNKEPINRTISPNDIFLFNHKFTDKMRRIKVPLPPTDEKKKVVEDVNKDRRFSIDAAIVRIMKSRKVMGHQQLVVECVEQLSRMFKPDVKLIKRRIEDLISREYLERDLETTNSYRYLA* >Brasy1G190400.1.p pacid=40059608 transcript=Brasy1G190400.1 locus=Brasy1G190400 ID=Brasy1G190400.1.v1.1 annot-version=v1.1 MMSGNQQQQSSAAVASASEQEQQAELARKHAAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPHQQLNGAADLMEHHMASASMLPLMPHDFASALAADHGKGHDDVADMGRFAAAGGYHRFMGSNSSPLGLVNGGMPYNFTGESWNNNNGGGAHENGGDGGSPHGHGGQVSIAAAHHSAFPSLLSLAPRSHQLVYYASDQAEQFPVDNNLGSQSLSLSSARAFHDHDHTAGS* >Brasy1G190400.2.p pacid=40059609 transcript=Brasy1G190400.2 locus=Brasy1G190400 ID=Brasy1G190400.2.v1.1 annot-version=v1.1 MMSGNQQQQSSAAVASASEQEQQAELARKHAAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPHQQLNGAADLMEHHMASASMLPLMPHDFASALAADHGKGHDDVADMGRFAAAGGYHRFMGSNSSPLGLVNGGMPYNFTGESWNNNNGGGAHENGGDGGSPHGHGGQVSIAAAHHSAFPSLLSLAPRSHQLVYYASDQAEQFPVDNNLGSQSLSLSSARAFHDHDHTAGS* >Brasy1G571200.1.p pacid=40059610 transcript=Brasy1G571200.1 locus=Brasy1G571200 ID=Brasy1G571200.1.v1.1 annot-version=v1.1 MCAGVPLALVSVSTSLANKVLMGKEYQNDIMDSLRSDMAKKLTDSIFLSCSGPPYALEACSVYLSIIPVEHKIERRSFVRKWIAEGFIAEETVANEYFNELINRNVIHLVEYDSSCQEEAYQVHPFMLEVLKKISEERNFVTSIASDYGAMIQLTKNTRRLCLHSYGSGHLDCQLRLEMPRTRSVNVFGNFYPFCNLYLGMPYLQVLDLDGCEDLDNSAMDHICRMILLKYLSLKQTQVTVIPPQIANMQCLETLAIRNTQITTLPAQTGELRNLKTLDIRRTEISSLPPEIGKLQNLEILDVRQTRVEQLPKEVVQLPKLAHLLFSQSGSLGGVKLPVGSYQLKSVKVIGAIDSRECSESAMEEISRLPEVREIAVLLYDGPADKERNDKLLSCVAKCGFLQTLIIYGDSDSSVELPPASPNLFPLLEKLIVAGRFVKVPRWIAQLGALKKLDIRVCKLDPDHLGILGALPGLTTLALALVCIPRKKQVVITGSPECFTKLEVFSFDCRVPWITFEQSAMPRLEHLHLKLYACAAAGKLPSGITHLGSLEKIVLRYSSEYENSSGVTEAVDAMRQEAARHGNLIELSVNGDYEVFLFGARLDKKRTGTEIEECC* >Brasy1G506400.1.p pacid=40059611 transcript=Brasy1G506400.1 locus=Brasy1G506400 ID=Brasy1G506400.1.v1.1 annot-version=v1.1 MLLPRALWTMALLTLIFDIGTLLYKPATGVVFGHSTLAYYLTLSGIFTAGVIEAITAFWISRSPDVYKRRFSFGRVVLCASVGPFVVILGIGGSAFIRA* >Brasy1G405300.1.p pacid=40059612 transcript=Brasy1G405300.1 locus=Brasy1G405300 ID=Brasy1G405300.1.v1.1 annot-version=v1.1 MTNERLRFLAFLLAFTAPDLSPVATAQLRPDHYAGVCPDLESIVRGAVSRSMAHSPVAAPATLRLFFHDCAVRGCDASILLTRPDGGDEWRSPDGLTLKPEGFDTVMHAKDAVDGDPRCRHKVSCADVLALAARDAVYLSGGPNYEVELGRYDGTASTESSVTVPHGDFDLDRLNAFFSGLGLSQTDMIALSGAHTIGAAACNFFEYRVAAGNETAGDYPAAAGMDAGFAARLRGTCAGAGATNNRSGGFAFLDGATPTRFDNAYYENLRRGRGVLGSDQALHDDARSRRTVELYACDEDAFFDDFAAAMMRLGRVGVRMAGNGEIRRDCRFPN* >Brasy1G519600.1.p pacid=40059613 transcript=Brasy1G519600.1 locus=Brasy1G519600 ID=Brasy1G519600.1.v1.1 annot-version=v1.1 MVSPPFICLGLHHHPRNSSPFTRSPAFPTMSPTSLSLFLCISFSGIAAIAPGVQADESCTAQRCGDLNISAPFGLVVDGPEENKCGLQMGFQVRCTDDVPYLAYYGREISLRILGIFYGDFSLLVSDVHKLGDFNRSSDEGCHVPRVDTASKLGLPFSISPHNQNLVFYNCTKTPAPETVSRDGLVETVCHNNTFVRAGGRYNDGYDLEGCSSTAVPVMGKSDKVNASDYLELITDGFLLTWQPQAAGRGGKHTRQIKLEYSTIVLFLLEVHSS* >Brasy1G014100.1.p pacid=40059614 transcript=Brasy1G014100.1 locus=Brasy1G014100 ID=Brasy1G014100.1.v1.1 annot-version=v1.1 MGLITGLHSPSTGGPVQRNSPAQEREKHSPLFSSSSPYPLMNTEDRQQRKKEGHRNCFSAARVPLMEAAASMLVAPKPSLLFSRTSRTRGGSPPRSCIVAGAGKEGGRLRGGDASSKPRGEGAKAQGHALLGAAAMAATSMSSSGSTAHAAATSAAAMVVLGDLDQAAAAKAAIAVAGPALSGLGFLFIARIVMSWYPRLPVGKFPYVVAYAPTEPILAATRRVIPPLGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQTSS* >Brasy1G569800.1.p pacid=40059615 transcript=Brasy1G569800.1 locus=Brasy1G569800 ID=Brasy1G569800.1.v1.1 annot-version=v1.1 MQPETTDTPSQSVDGTEEVTQAWNAGEQLERYEKGHAARVAKYAEEVRQYYRKFPKRERDAPNVPADHTENAPVSAASDDDFEARAPPIVKNKVRVAVAKRKISSVLSQESPGVVNVRRSPRIATSPGSVAAHPARYGEKVSGSKRRRTVDKSYVPDCEDDAADAGGEIAAAKEMRGGAGCSRRGAGNVGKKWKGGIIKKAKTGSDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRERVRVAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPWKGRNGIAYSYNLPVEELQIEPSPELNADDGPVPIDSSCHDANAPGVSYRRAAAPECSGSTSANAIAEIDQLLAKAVELSRLVPTTEDRLSKLSGLFPIGHGPSPDHVKQATEREASVIDCFKTALTYLRKGFADMAANQDLMCAGFEREAVIIEKQIREEATDRVQASTEHVLGQDAAAAEEEARLAEESAAADAAADEGDAHAADHWEEEYQPDVSRSAYIESPPQDYSEETHERSTGDGDGVDSTQVGAPIAGAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHHDGPAAESAVHNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVLTGAPAADVDKQSSDIPDGDGGILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSRLYPAEKKTGKKGARASAEEAPVLLSDDEDDFVSPVPPKTSSKPGKKQVEISSTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPMLSVASQIKSLVLSKEYLDEFGDVPLCKFTSPIGESSIIDGKFMYRLFGRCEMLEADIIDLIISYWKGSPYMKHLFDSGDRVLLGPYVITYMLDVSPFPLVDSKGNKIERSSFDVKEAAKMFSYYVRECENLLTANLVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy1G523000.1.p pacid=40059616 transcript=Brasy1G523000.1 locus=Brasy1G523000 ID=Brasy1G523000.1.v1.1 annot-version=v1.1 MAPSKLRKALGAVKDQTSIGLAKVASSSELDVAIVKATKHCESFPADERHIRDVLALTQHHSSTSGASTYQVSACVSALSRRLGRTRSWDVALKALVIVHRLLADGGPAFEQELFYATRRGTRMLNMSDFCDRSRRDAWDFSAFVRTYAAYLDDRLEYRMQARQGPGGGSNRFCKLLKDELYSQSPGRTREDQDAETGKAVALVLRDTPASEMTLEQLLAKVQQLQHLLDRFIACRPVGAARTNRVVTVSLYPLVKESAQLYCELTEAMAALIERFPDMEAADDCERVHGVFCGLAKQIEELDAFYAWCKDAYVCRQSDVPEVEPVTHKKLELMDEFVRDRRAAELSQQTLLPPPYSPSPEPPSPEPEEPPAEEEEEHDMDATKALPPPVAEPEEVDLLPLLTTETVDEEADFLNLKADAMSGEEHGQQLALALFDGNPPTSELFDPSSSADWETALVESASALASQRAVLGGGLDMLVLDGMYSHATASTNAQAFSGSASSVALQPPGAPMLALPAPPEMCSGAADPFAASMVVPPPAFVQMSDMQTKQRLLTEEQMAWQQYGRNGMQGQRGLAMLQQRPQQFMAPSVCNYAAYHHPS* >Brasy1G521500.1.p pacid=40059617 transcript=Brasy1G521500.1 locus=Brasy1G521500 ID=Brasy1G521500.1.v1.1 annot-version=v1.1 MGRFWSGSTHSPASSLLCIVCWSCPTPLPPDVPIPIHAYLFIHLTDSSSSALTPLTSRARRSLSLLRPPPHLPTSRPPRARAASRPHALPPVPLAAPSRAAADRLAPPHPRPDRVAAAAPPRGPRTATSPSLPLPPRRRIRLHLATTDHAPAFIYLFPELDPARNGGRCGGPDVSSAGAGHRPWENLRRRAPLRHADTTPARDFKKGCPLVVPVDGN* >Brasy1G041300.1.p pacid=40059618 transcript=Brasy1G041300.1 locus=Brasy1G041300 ID=Brasy1G041300.1.v1.1 annot-version=v1.1 MKRRFVNLVIKNHAELFYSLRRIDPYDHFFYGSARAVLEAADEATRKKESSFPAMQTLQLPPPSMNFTAATASGNISLFALLSPRGGSSSSSSSSEGRILYANSEGEAGLYDADKNSQNTMGRLNEPKNTDPVCLPVVHPGDPGQSKLYVLDRNPQVRQLGMPADGCFEVLESVCTRRWRWRRLPPPPFVLQEGYTCSTITSYATKVDDYGYPTIYISGGHGHGIGTYRFETASRYERTEKWSLVGEWHLPFIGGAQYVPDFNRWFGFSRSVPHHLCALNLSAMGRERPHLYGMDHERPPTTQQVWQDLNTPEGEEWLVVNANLVNLGDGKFLIAKTFEKVPTGQKFTVLSGIEMMMAGDKSLRMVKHKCARFDVGKESIQWVL* >Brasy1G518100.1.p pacid=40059619 transcript=Brasy1G518100.1 locus=Brasy1G518100 ID=Brasy1G518100.1.v1.1 annot-version=v1.1 MATRFPPTHNHRAPKLFGRERPLHAALGGRQAADIILWRRKEVSASILGAATAAWVLFEVAEFHFLTLACYASMIAMLTFFIWTNASDFLNLPVPRIPETLLSERTARQVILGLHRRVTWFVFRLYDIACGKDIKMFILTVASLYIGSVIASCFSSLTLLYLVVVFGMTVPALYERYESEVDHLAATAVHDLRSHFAQMDSGVLRKIPRGAGATVH* >Brasy1G573000.1.p pacid=40059620 transcript=Brasy1G573000.1 locus=Brasy1G573000 ID=Brasy1G573000.1.v1.1 annot-version=v1.1 MSSTLTARPAMGISKSGAPHRSTRCAPLDQSKYTDEIETPHPFPSRNGEKKRTTERFQSTSPPTPIPVLCLRPHPQLGYLRSPTPTEESPMAVASPRFRLGSRPWRPTAAAEAAMEMATTAAAEEAADDGDGDNGDVGGSGRRRGFQADVHAHEGNEEGIRQLLDANFRDSDGRTAMHISACEGHAEVFELLLDRGTGAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKNK* >Brasy1G452100.1.p pacid=40059621 transcript=Brasy1G452100.1 locus=Brasy1G452100 ID=Brasy1G452100.1.v1.1 annot-version=v1.1 MASPSAFLLAVFAVLVAASSSGQRAAAIVACKDCSSSCSSSCSSANFAPTTGPCSSDCSPEQQCAQSCKAKGLPQCTADCTRSCSSSCRGTSCNCNSYCSNACNSAVDSGCNSSCTNSQACKACKDGYSQQCAACCTGYCNNRCV* >Brasy1G058300.1.p pacid=40059622 transcript=Brasy1G058300.1 locus=Brasy1G058300 ID=Brasy1G058300.1.v1.1 annot-version=v1.1 MWFPRIAAHKMSSINGLNKETHGYNRFGVAACENTETLGRTSTHGSLHIVNCSSLLVYTVL* >Brasy1G533300.1.p pacid=40059623 transcript=Brasy1G533300.1 locus=Brasy1G533300 ID=Brasy1G533300.1.v1.1 annot-version=v1.1 MSLVRLFDTLAFDGWNPLSIFGTAAASGADAWLASDTSAFADTHIETRETPEAYVFSARLPPGVAKEELSIRVEVDDEDGAGNVLVITGERSVRREAVRGDARRQHVIERSRATFFGRFHLPEDAAVDRVRAAMDADAGALLTVTVPRVGAAPAAAVVVVPEDAAKAVAVETSPC* >Brasy1G214300.1.p pacid=40059624 transcript=Brasy1G214300.1 locus=Brasy1G214300 ID=Brasy1G214300.1.v1.1 annot-version=v1.1 MELRRLILVCCLVAAALSSLARCEPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAGTGGEQLLNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLVNVLGDQDTASERLSRCIFSVGMGSNDYLNNYFMPAFYNTGSRYTPAQFADALIADYRRYLQALYNYGARKVALIGVGQVGCAPNELARYSSDGATCVAQIDGAIRIFNDRLVGLVDDMNTLPGAHFTYINAYNIFNDILANAPAYGFTVSNAGCCGVGRNNGQVTCLPYQAPCANRDEHIFWDAFHPSEAANIIVGRRSYRAQSPNDAYPVDISTLASL* >Brasy1G365900.1.p pacid=40059625 transcript=Brasy1G365900.1 locus=Brasy1G365900 ID=Brasy1G365900.1.v1.1 annot-version=v1.1 MSCFACCGGDDTQGAPDNRGSYASGYPARNDAYRTADPTPKGAQPVKVQPIAVPTISVEEIREATKDFGDETLIGEGSFGRVYFGALKNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHENVVELLGYCVDGNTRILVYEFATMGSLHDMLHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGSEYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARSSNPGEHRS* >Brasy1G426000.1.p pacid=40059626 transcript=Brasy1G426000.1 locus=Brasy1G426000 ID=Brasy1G426000.1.v1.1 annot-version=v1.1 MASALCSPCHHSHRSFISPRLRASPPGGHACRRSRTGSPPGISVQNISGKPIQVANFISLCLSTRSEGLNTRPYPYYHRLSTSRGGSVSYSSMDRSKSKQQPDHLLILVHGIMASPSDWTYGEAVLKRQLGGNFFIYASSSNIYTKSFDGIDVAGRRLANEVLDVVQKMAGLRKISFIAHSLGGLFARYAISILYSLQTKETGLGSACVMPTDGGSEIPQRTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKLAAPLAPFIVGRTGGQLFLTDGEPSKPPLLLLMTSDQEDKKFISALAAFKNRVLYANVSYDHMVGWRTSSIRRELDLKTPLHRSVDGYKHIVNVEYCSAVSSDGPHFPSRAARAKEAAQSTPNIENTEEYHKMMEEEMIHGLQRVGWKKVDVNFHASLWPYSAHNSIHVKNEWLHNAGAGVIAHIANSIKQSCFHANLSRGVSGTLDCRRPELFGTTRSQLPARLKMGRNNGLRVGSWYFCSHDCLNLCERQILSGTHNTAWGRCHLFIQSFKLLDMIHATGYGTYHEC* >Brasy1G558800.1.p pacid=40059627 transcript=Brasy1G558800.1 locus=Brasy1G558800 ID=Brasy1G558800.1.v1.1 annot-version=v1.1 MENGFPGEVLKAVFPLLDGKELVFCMLVCRQWHEIAKDDYFWKCICSRKWPSICKQPPCGTNFQNLYLTFSNPRKTKHLPVPKLNFEDLVFYIDMWHEGSLIFSQAVSGCILRAGLQNTPRGIPDILVEHLNAGDCILMMEVKPKLTVPTGPAITVSVLAHRKDTNKMTCIINTSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTSSIEVFGIELDFCDAARSESEILWLLDMLDWK* >Brasy1G206800.1.p pacid=40059628 transcript=Brasy1G206800.1 locus=Brasy1G206800 ID=Brasy1G206800.1.v1.1 annot-version=v1.1 MPSRRPDQPLLTGVGGEPASPRHRGLQRRRGLCCWRGFGGRPAPSVRRRTPPPLHSSVRQQRGEFILRALQRRSVLCSDRRCPLQGCA* >Brasy1G210600.1.p pacid=40059629 transcript=Brasy1G210600.1 locus=Brasy1G210600 ID=Brasy1G210600.1.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.2.p pacid=40059630 transcript=Brasy1G210600.2 locus=Brasy1G210600 ID=Brasy1G210600.2.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.3.p pacid=40059631 transcript=Brasy1G210600.3 locus=Brasy1G210600 ID=Brasy1G210600.3.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.4.p pacid=40059632 transcript=Brasy1G210600.4 locus=Brasy1G210600 ID=Brasy1G210600.4.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.5.p pacid=40059633 transcript=Brasy1G210600.5 locus=Brasy1G210600 ID=Brasy1G210600.5.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.6.p pacid=40059634 transcript=Brasy1G210600.6 locus=Brasy1G210600 ID=Brasy1G210600.6.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.7.p pacid=40059635 transcript=Brasy1G210600.7 locus=Brasy1G210600 ID=Brasy1G210600.7.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.8.p pacid=40059636 transcript=Brasy1G210600.8 locus=Brasy1G210600 ID=Brasy1G210600.8.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.9.p pacid=40059637 transcript=Brasy1G210600.9 locus=Brasy1G210600 ID=Brasy1G210600.9.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.10.p pacid=40059638 transcript=Brasy1G210600.10 locus=Brasy1G210600 ID=Brasy1G210600.10.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.11.p pacid=40059639 transcript=Brasy1G210600.11 locus=Brasy1G210600 ID=Brasy1G210600.11.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.12.p pacid=40059640 transcript=Brasy1G210600.12 locus=Brasy1G210600 ID=Brasy1G210600.12.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.13.p pacid=40059641 transcript=Brasy1G210600.13 locus=Brasy1G210600 ID=Brasy1G210600.13.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.14.p pacid=40059642 transcript=Brasy1G210600.14 locus=Brasy1G210600 ID=Brasy1G210600.14.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.15.p pacid=40059643 transcript=Brasy1G210600.15 locus=Brasy1G210600 ID=Brasy1G210600.15.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.16.p pacid=40059644 transcript=Brasy1G210600.16 locus=Brasy1G210600 ID=Brasy1G210600.16.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.17.p pacid=40059645 transcript=Brasy1G210600.17 locus=Brasy1G210600 ID=Brasy1G210600.17.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.18.p pacid=40059646 transcript=Brasy1G210600.18 locus=Brasy1G210600 ID=Brasy1G210600.18.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKRDQTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.19.p pacid=40059647 transcript=Brasy1G210600.19 locus=Brasy1G210600 ID=Brasy1G210600.19.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.20.p pacid=40059648 transcript=Brasy1G210600.20 locus=Brasy1G210600 ID=Brasy1G210600.20.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKRDQTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G210600.21.p pacid=40059649 transcript=Brasy1G210600.21 locus=Brasy1G210600 ID=Brasy1G210600.21.v1.1 annot-version=v1.1 MSASPAASAGKMTISAEYAKSGRSSCKGCSASIAKGALRLGASALDLRGYDSTKWYHVVCFPASSHPLGPVEKVKGFDSIKDDDREQLRELEKENCSLQNNKRDQTAVSPLEVPSTKKAKANLSLHETGVEENSSVSVEYAKSARSSCKGCSVSIPKGALRLGVSYRDPRGFDSTNWYHVTCFPTSSHPLRPIENVKGFDFIKDDGREELRELEKDNKRDQATVVPLEVPGPKKANALISSPEVEEAEKRDQTAVSPLEVPSRKKAKAHRSSPEVAVEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGIPVHDRRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDREELRELEKDNERDEAAVGPLEDPRPKKANALISSPEVETAEKTAVSPLEVPSPKKAKDHQSSPEVGVQENASVSIEYAKSARSSCRGCSVSIAKGSLRFGVPVHDLRGFDSTKWYHVTCFPTLSHPLGPIEKVKGFDSIKDDDRDELRELEKDNKRDEAAVGPLEDPRPKKANALISSPKVEVAEKTAVIPLEVPSRKKAKAHRSSPEVAVEQNSSVSVEYAKSAHSSCRGCSLSIAKGSLRFGIPVPDLRGFESTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDRVELRELEKDNKRDGAAVGPLEVPRPKKANAVISSPKVEVVEKRDQTAVSPLEVPSRKKAKAHRSSPEVALEDASVSVEYAKSARSSCRGCSVGIAKGSLRFGVPVHDRRGLDSTKWYHVTCFPTSSHPLGPIEKVKGFDSIKDDDREELRELEKDNKRPGYSESTGSSKPKESKCPYIFT* >Brasy1G433100.1.p pacid=40059650 transcript=Brasy1G433100.1 locus=Brasy1G433100 ID=Brasy1G433100.1.v1.1 annot-version=v1.1 MGRRILNDALRTMVNAERRGKATANLQPISGVMISFLNIMKHRGYIKNFEVFDPHRVGKITVELQGRIKDCKALTYRQDLRSKEIEQYRIRMLPTRQWGYVVVTTPNGVLDHEEAIRQNVGGQVLGYFH* >Brasy1G166800.1.p pacid=40059651 transcript=Brasy1G166800.1 locus=Brasy1G166800 ID=Brasy1G166800.1.v1.1 annot-version=v1.1 MARRALSAPVRSHRFAFAEPRLQDPMGNSVEKNNILKEFYIPTYIFKAESPKEQVCQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNHAQVFDLLEEAPDKVLHELYGNLERLKLDGDDLASEIHRRFRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKKNPGTDHESVISFLQLVKEAREMNIDSWHMVMRMESPKGSHCDPIAAPDLPHSLHAFRRVPKTEPEDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKSYLKLACTQGWFCASLNHPMSRNIAHLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSSKGHGTRLAQARRVRFKFHKGATDHAYMRIDGEPWKQPLPEDDGKVIVEISHAGQVKMLATKDCIAKGIHESPDMSTYYTDSSSSDEDDDFTEERRNFGAALSFRYMGDVNKQ* >Brasy1G166800.2.p pacid=40059652 transcript=Brasy1G166800.2 locus=Brasy1G166800 ID=Brasy1G166800.2.v1.1 annot-version=v1.1 MIRQLQDPMGNSVEKNNILKEFYIPTYIFKAESPKEQVCQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNHAQVFDLLEEAPDKVLHELYGNLERLKLDGDDLASEIHRRFRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKKNPGTDHESVISFLQLVKEAREMNIDSWHMVMRMESPKGSHCDPIAAPDLPHSLHAFRRVPKTEPEDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKSYLKLACTQGWFCASLNHPMSRNIAHLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSSKGHGTRLAQARRVRFKFHKGATDHAYMRIDGEPWKQPLPEDDGKVIVEISHAGQVKMLATKDCIAKGIHESPDMSTYYTDSSSSDEDDDFTEERRNFGAALSFRYMGDVNKQ* >Brasy1G166800.3.p pacid=40059653 transcript=Brasy1G166800.3 locus=Brasy1G166800 ID=Brasy1G166800.3.v1.1 annot-version=v1.1 MLQDPMGNSVEKNNILKEFYIPTYIFKAESPKEQVCQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNHAQVFDLLEEAPDKVLHELYGNLERLKLDGDDLASEIHRRFRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKKNPGTDHESVISFLQLVKEAREMNIDSWHMVMRMESPKGSHCDPIAAPDLPHSLHAFRRVPKTEPEDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKSYLKLACTQGWFCASLNHPMSRNIAHLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSSKGHGTRLAQARRVRFKFHKGATDHAYMRIDGEPWKQPLPEDDGKVIVEISHAGQVKMLATKDCIAKGIHESPDMSTYYTDSSSSDEDDDFTEERRNFGAALSFRYMGDVNKQ* >Brasy1G513700.1.p pacid=40059654 transcript=Brasy1G513700.1 locus=Brasy1G513700 ID=Brasy1G513700.1.v1.1 annot-version=v1.1 MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGPGAPNGGDAAVATKPKSKGKDGGGGDGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFVDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHNDDKVLILAATNTPYALDQAVRRRFDKRIYIPLPDAKARQHMFKVHLGDTPHNLTESDFEVLGRRTDGFSGSDIAVCVKDVLFEPVRKTQDAMYFFKTDGDMWMPCGSKQPGAVQTTMQDLASKGLASQILPPPISKTDFEKVLARQRPTVGKKDLEVHERFTKEFGEEG* >Brasy1G246400.1.p pacid=40059655 transcript=Brasy1G246400.1 locus=Brasy1G246400 ID=Brasy1G246400.1.v1.1 annot-version=v1.1 MAEAVILLAVKKIGIALGNEALSQASTLFKKFITQLTDLQGSMGRISRELRLMHEFLCRMDVRNRNNQDYEIWVQQLRKLVHGIEDIVDEYLYLVGHNHDTGWGTYLKKGFKRPNVLFSLNKIASLVKEAEINLVHLFQAKDRWVSSDSSYIVETSQHLASISRNLGEEDLVGVDKNIEMLEQWLSGDDLERSMIALQGMGGLGKTALAANVYKKEREKFECHAWVSVSQTYSIKDVLKCLITEFHKGKNSTPGNMDCMDTSDLQDELKKQVENKKYLIVLDDVWAPEVVNDLFGSLGQIQKRSRVLVTTRIDGVAHLATEEIRLEGLPEEDSWKLFSKMVFSREPNHECPTELTKLAHEIVSKCQGIPLAIVTVGRLLFLRDKTREEFKRIHDQLEWELVNNPSMEHVRNILYLRFIYFPTYLKSCFVTAQEQHFSF* >Brasy1G027800.1.p pacid=40059656 transcript=Brasy1G027800.1 locus=Brasy1G027800 ID=Brasy1G027800.1.v1.1 annot-version=v1.1 MSNTQRRSHRRTSSVEWYSPHDEVKNAAPNLLIPRRPEDPMDAARPRHSPSPPADRFLDLFSSPSPSLATSPSAGVELLEGDLLFPAPSSDPPPDASKGQGRVPHGRLGLLAALHDGDRKLLARGSGAAAMAAASASATASAATAGTLLRRKATIAAAAAAAASSSPTPSVSATRAIPAVPRPRNAEQPPMAPYHQSAPMMVPVRPPRRRDDEDEDEDEELFPGGAAMLPPHEMVARASAGGAPVNPSSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD* >Brasy1G148200.1.p pacid=40059657 transcript=Brasy1G148200.1 locus=Brasy1G148200 ID=Brasy1G148200.1.v1.1 annot-version=v1.1 MLPLLLISTLPAFTLLLVAPASCKQPWKLARELGLVALLLARELLSLRHSAVAGRAKERQGGVLRMPPSKAKAVKEEEPALGLAAALPVLDLPELVLDRVLEELSPASLAAAACVCAGLRDRCSSMDGLWERHVRRKWGRVLGAAARQEWEAELLLAASSALPRPARRRSWADSLACAWPFSWTRWLKGDTATANAARPAPPADSKSKAACWYRALECGEFWFPAQVYNREQDGHVGFLLSCYDAHLCYDRRTDTFTARYPPHGRKPAKEEDGVQWCRVRAAPASTPPPHDLHVSGCLEDLRPGDHFEIQWRKNKDFPYGWWYGAVGHLESCNASEHLCRCHEDDAIVLEFKHYAPGSRWRQTTVSRKDHREKGDEADGFYGGIRKLQTKDEITTWRRFWPVDVLD* >Brasy1G191000.1.p pacid=40059658 transcript=Brasy1G191000.1 locus=Brasy1G191000 ID=Brasy1G191000.1.v1.1 annot-version=v1.1 MTGSLSGSLHRRIADKWTRLQRARPGSRLKSEWWTGAFRPGRSFVAMQARAFPPCLPSPAGLNDLFPGSVGKRAAAVRVRAVDAPSAAAAVADLPPAEVTWQIVVGAVAGVTPFVVAGVEFSKRIIAQKKCEICGGSGLVMKKDLYVRCQGCGGFLPWQSWRRFFKG* >Brasy1G193500.1.p pacid=40059659 transcript=Brasy1G193500.1 locus=Brasy1G193500 ID=Brasy1G193500.1.v1.1 annot-version=v1.1 METIRCCIACILPCGALDVVRIVHSNGRVEEISEPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMSPPAPEKKKQQPAAPAANSNPNSKAPPPSAARRRRRRKESSGGGDTPAATSSRGAEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL* >Brasy1G175700.1.p pacid=40059660 transcript=Brasy1G175700.1 locus=Brasy1G175700 ID=Brasy1G175700.1.v1.1 annot-version=v1.1 MSGVDAPGKWAWPELVGVSASAAVPKIKQDRPDVGVEVIPDGTPVRPDFNAERVRVFYDSLQPGAIVTKTPLVG* >Brasy1G177900.1.p pacid=40059661 transcript=Brasy1G177900.1 locus=Brasy1G177900 ID=Brasy1G177900.1.v1.1 annot-version=v1.1 MANEELAVGKDDLVKLWRLVEGNDGGPSWIKMMEKALPAMTYQAWRRDPQNGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLISHKTLEECQTTGMMKVHWVRKFPFFCSDREYIIARRIWKLGSAYYCVTKGVQCSSIPRRSKPRRVDLYYSSWCIRAVESRRGNSGLTACEILLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQEARAAGEPPSPCALMARINTKVGDNFVRALESNSDQSDIMEAEEKPVKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNAL* >Brasy1G157800.1.p pacid=40059662 transcript=Brasy1G157800.1 locus=Brasy1G157800 ID=Brasy1G157800.1.v1.1 annot-version=v1.1 MAPRAAEKGAPEAPPTGLGLGVGGGVGGVAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDNAAREFRGAKAKTNFSFRSASPVIAGGGGGSPSSISTVESSGGGSTGCVQRQAPMQAMPLPPALDLDVFHRAAAMTAVPCGGGMRFPFNGYPIARQTLHPYFFYEQATAATAAAAGYRTLKMAQPVTVAAVAQSDSDSSSVVDLSPSPPAVTARKAVAFDLDLNRPPPAEI* >Brasy1G299600.1.p pacid=40059663 transcript=Brasy1G299600.1 locus=Brasy1G299600 ID=Brasy1G299600.1.v1.1 annot-version=v1.1 MEATEPPSVWQDVPDNILLLVAAYLPCRRDRVRLSSANKHWRRALLGHGEGRPSQLPPMTMPLQLPWLIFPSTEAPSFFCDTDRVSHPLPLPPDVRTARFCGSYDGGWLLLSLDRSHRHALYNLNSGARVPLPSGINVPREPNNPAPRNLPLVVRAAALSSPPSDSKPYIVGAIALVDARWCPAFWSHGLQSWITPGPIGWGRQPLDVMYYRGCFYFITMGEDVVVYWPGCSPSGELEMNRLDYDMYERDDYQMDLGYVRGDGSMKYYLVESRGSVHMVVRYIFDNGGTEYFRVFLLDIMPPAARGLPPRAAWVNLYRLDGRMLLLGRGCSRSFEAAQFDGFEDSIIYFPDDRFILEKTVDRRYYSFTDMGKYPVGIDSLFDPWQPWPPLDRRPARSDKAPPTWWFH* >Brasy1G110900.1.p pacid=40059664 transcript=Brasy1G110900.1 locus=Brasy1G110900 ID=Brasy1G110900.1.v1.1 annot-version=v1.1 MEEPTISLHHKKPLQNKQTGHLIAIVAMDHREEDAPEEKVKLLGTWSSPYVFKVIWALTMKGVDYEYVEEDLKNKSGALLELNPVHKKVPVLVYRGKPVAESDVIVEFIDEAWKDRGGGRRILPEDPYERAMARSWVRFAQDVLSPPIWKWFTARGEEEQEGAREAAVAQLLVLEEELGGKEFFAGERVGLVDLSLGPLAYVIPIYEEITGTRIVTEERLPYLSAWMGRFLSSPPAKDHLPPLEKLKLRYRAMREAFLKIG* >Brasy1G567000.1.p pacid=40059665 transcript=Brasy1G567000.1 locus=Brasy1G567000 ID=Brasy1G567000.1.v1.1 annot-version=v1.1 MAFSKQEEAAVSYPNRRASTLSATSPGSVCRSPCFFITTSYAYVQTFWVICLFAHLVMWNKEMSLSMG* >Brasy1G549500.1.p pacid=40059666 transcript=Brasy1G549500.1 locus=Brasy1G549500 ID=Brasy1G549500.1.v1.1 annot-version=v1.1 MTASSSEVQDSRLLGEGPGGRGLGSKLPMYTDNLPTIQADGSLGIRCRHGLLPMSRVSLEGKSTGRRFFGCPFEEMDDCGYVYWIDPKWPAYMENALSELWCRVESTPYFSSQDVMFMVQDLKEVRAVKSKAIEEKMKR* >Brasy1G549500.2.p pacid=40059667 transcript=Brasy1G549500.2 locus=Brasy1G549500 ID=Brasy1G549500.2.v1.1 annot-version=v1.1 MTASSSEVQDSRLLGEGPADGSLGIRCRHGLLPMSRVSLEGKSTGRRFFGCPFEEMDDCGYVYWIDPKWPAYMENALSELWCRVESTPYFSSQDVMFMVQDLKEVRAVKSKAIEEKMKR* >Brasy1G132400.1.p pacid=40059668 transcript=Brasy1G132400.1 locus=Brasy1G132400 ID=Brasy1G132400.1.v1.1 annot-version=v1.1 MSHGRREEENSTASIEPPPGSPSSRPAGQVTAEPSGHAPAAAGAAQVSAAVVFMAEECYGEQDQAETNDYREGLTSRRKHPAAGGEQRLLAVVMNHGAHHPVQIVRHPTNIQGKRWRRG* >Brasy1G208200.1.p pacid=40059669 transcript=Brasy1G208200.1 locus=Brasy1G208200 ID=Brasy1G208200.1.v1.1 annot-version=v1.1 MQHRSPAAAMASGTGPASPTMAPGLGGVEPAVTLDQVPRWSDPDQRLYTPSSSSAAAESEDGGSEPVPSAFLSFSDPLTGDDGGAAGGGRAAASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTASSSDTEIYKRLLPLYFPRDKQEEEIAISKLPSDVGDDNGETVIDERKIRITPLPAGVADRTVATAPLALPLDSAMASKRGSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSSQAQKGFNYARLLGYGDLGFPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILHVVKEFEPLIQKPYTIVYLHSAASLQPQPDLGFMKRIQQILGRKHQRNLHGIYILHPTLGLRTAILAMQLLVDGEVWKKVVYVDRLVQLFRYVPREQLTVPDFVFQHDLEVNGGRGLIVDPRTKHIYKRPSG* >Brasy1G522500.1.p pacid=40059670 transcript=Brasy1G522500.1 locus=Brasy1G522500 ID=Brasy1G522500.1.v1.1 annot-version=v1.1 MDTGGKGSTYTCGGGPASDFCPSRFARPPWSVGAFLPGPSPTAAYAIVDWITRAPASMLARTWVLHGHPRLLKIDGTQIRQQIIGSQPLSHEVCALIVRRLGQIETSAAKEEAGMRWRVFVEPDFSVYTLANLDPTKLVSTHHMFMKNAETFFVTSARMFYFMAQLEDGWTAYGLDMQQKIIHVLDPIAGPGGFSNSRLKVHTVVSTRLVTAIFKAVNLFYDNWSCGTDSWTRRFPILMSEEFQR* >Brasy1G541700.1.p pacid=40059671 transcript=Brasy1G541700.1 locus=Brasy1G541700 ID=Brasy1G541700.1.v1.1 annot-version=v1.1 MEKIKSEPMHHEPAAAVDEAIAVTVDPRALSCPKCLHPLRPPVFQVCIFDTFVQCAAGHVVCAPCHGELPDKSKCSSCFIKTGYGYGYSRCFVPTRYTRCLAVERILRSLRVAGAPGSSSSSVLKMGPCGGGRDEDAWDQPHVVVHGAGVNNRRVVKVVVHHEDAVNAISVSHGRDDGGQEEQHSKPRGTVFGKRSERDEYLTSVKGRYGQFNGWSVIRALTFVSNRRTYGPYGTQEGMEFELPAAGGGKIVGFHGRSGGLIDAIGTYVTY* >Brasy1G128300.1.p pacid=40059672 transcript=Brasy1G128300.1 locus=Brasy1G128300 ID=Brasy1G128300.1.v1.1 annot-version=v1.1 MAMAISSGRPACSVLMATLMVLIIQAQGITRHYDFDVQMAKVTRLCGSKSIVTVNGQFPGPELVAREGDSVHVRVTNHVSHNMSLHWHGIRQMQTGWADGPAYITQCPIQTGQTYVYKFTITGQRGTLWWHAHISWHRATVYGAIVILPKLGVPYPFPTPHKEVPVIFGEWWAADTEVVMSQALKVGGAPNISDAFTINGLPGPLYNCSAQDTFKLKVTPGKTYLLRLINAALNDELFFSVANHTLTVVEVDAVYVKPFTVKTLVISPGQTTNVLLTAKPVNPKANFYMSAAPYSVIRPGTFDNTTVAGILEYHEDPSSSPSFDKNLPLFKPMLPRFNDTNFVTNFTTKLRSLATTKYPAAVPQTVDKRFFFTIGLGTLPCPKNMTCQGPNGTQFAAAVNNVSLVLPTKALLQSHFTGLTTGVYASDFPTMPLSPFNYTGTPPNNTNVARGTKLLALPFNTSVELVMQDTSVLGIESHPLHLHGFNYFVVGQGFGNYDPAKDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVQDGSKPNQKLLPPPSDMPKC* >Brasy1G348900.1.p pacid=40059673 transcript=Brasy1G348900.1 locus=Brasy1G348900 ID=Brasy1G348900.1.v1.1 annot-version=v1.1 MMHRFVWTHTSLTRCRFALCALHLVSCLVPSQSARKAVRSDQLWIFLIYVPMYLLLVGNIQFSDKFHRSAFLFRELVARSGCQNAHQLECHVVFFSPNFFSCEVITPVQNLYCANKLVQQVAK* >Brasy1G275000.1.p pacid=40059674 transcript=Brasy1G275000.1 locus=Brasy1G275000 ID=Brasy1G275000.1.v1.1 annot-version=v1.1 MATRALQMLSEASPWSLAGAAAAVVLLWLAAWILEWAWWTPRRLGRALRAQGLRGTRYRLFTGDVTENVRLNREARSKPLPLGSHDIIPRVQPMFCKTVKENGKVSFTWFGPTPRVMIPDPELVREILSNKFGHYGKPKSSRIGKLLANGVVNHEGEKWAKHRRILNPAFHHEKIKRMLPVFSICCEEMITRWENSLSSKGLSEIDVWPEFQNLTGDVISRTAFGSNYQEGMKIFQLQEELAERLIQAFQTLFIPGYWFLPTKNNRRMRAIDREIRTILRGIIGKKDRAIKNGEASSDDLLGLLVESNMRESNGKAALGMSTEDIIEECKLFYFAGMETTSVLLTWTLILLSMHPEWQEQAREEVLHHFGRTTPDFENLGRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAGVNLMLPLLFIHHDPNLWGKDAGEFNPKRFSDGISNAAKHPGAFFPFGGGPRICIGQNFALLEAKMALSTILQHFSFELSPSYTHAPYTVITLHPQHGAPIRMKKI* >Brasy1G159400.1.p pacid=40059675 transcript=Brasy1G159400.1 locus=Brasy1G159400 ID=Brasy1G159400.1.v1.1 annot-version=v1.1 MASRRCAPLGACVLLVCAAATAVYYTAFPASVNPCAYSLPRALLAVSGLDPYIVSCADVPLSNGGNDDKNIGGPIVTSLLTCGKPKLPPHALPPFYCCPPMSASEPINFTFPDPSEPLRVRRPAHAVGAEHMAKYERAIALMKALPDSDPRSFYQVANIHCAYCTGSYRQTAHRELNVQIHFSWFFFAFHRAYLYFFERIAAKLLGEPGFAVPFWSWDVPEGMRMPVEFANTSSPLYDPVRNPRHAPPKVVDLEFVRTSVDDKFTDEQQIQQNLRVMYKQMISNAALPSLFHGQPYRAGESDRPGAGTVELFPHNTMHTWTGDLARPSVENMGVYYSAGRDPIFYPHHNNIDRLWEVWRDVGAARGYRGHADFTDPDWLDSSFLFYDEEARLVRITVRDVLDIGKLRYAYDGVGTPWLDAKPPATPNVNTKKGLLKSVRFPVSLDDVAVTVEVRRPRVLRSRREKEAQEEVLVVEGIETDGADMVKFDVYVNAVEYEKVEPGGREMAGSFVCLMHPRMDGTGKGMGIQTSMRVALNELLEDLGADGDDSVTVTLVPRNGKVNIGGLRIVYMME* >Brasy1G279200.1.p pacid=40059676 transcript=Brasy1G279200.1 locus=Brasy1G279200 ID=Brasy1G279200.1.v1.1 annot-version=v1.1 MARFSSSGLLALAVVATLFIGVWCAPKVTFTVEKGSDPKKIVLDVKYDKEGDSMAEVELKQKGSNEWLAMSKCKDTGVWKYESPEPLKCPLNIRFQSEKGMRNVLDDVIPENYKVGSTYAPQEY* >Brasy1G362800.1.p pacid=40059677 transcript=Brasy1G362800.1 locus=Brasy1G362800 ID=Brasy1G362800.1.v1.1 annot-version=v1.1 MEEKRLWREAALMVPETLLLVGTSEGLLASISDACKLLGEDIWGAAEDDVEVVVSPENSTTGGGSDSEREHNFGALLRTATSCGLAHTTGGSRPLFTTLDGEQSYGYGFPTYFPLMNSIERFAVAMDTSDGGFGNDEAEIVPYDEGIHNELLDAGFDHWANLLASAIGPAGPLTAAHREITRLVALHGEAGHVLALSAARLGLRDDGPAWAARCEHRAAFLPNAHDALLRLSAAASATAAAEDFLRWRAPGSPRRCEWGSVARRLVGDARRDVLEARRAVELMRDAAVREFFDAWALLKRAAP* >Brasy1G450400.1.p pacid=40059678 transcript=Brasy1G450400.1 locus=Brasy1G450400 ID=Brasy1G450400.1.v1.1 annot-version=v1.1 MNYIIGAFKPPCDIFVTFSDERGRKQVPVKKDNGKTAMLPAFQSLETIAGEVSIAPVPGKRIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVESRDFCVRNYSPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMFRLEETPQQSS* >Brasy1G450400.2.p pacid=40059679 transcript=Brasy1G450400.2 locus=Brasy1G450400 ID=Brasy1G450400.2.v1.1 annot-version=v1.1 MNYIIGAFKPPCDIFVTFSDERGRKQVPVKKDNGKTAMLPAFQSLETIAGEVSIAPVPGKRIEHTGVKIELLGQIVRELDIPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVESRDFCVRNYSPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMFRLEETPQQSS* >Brasy1G165400.1.p pacid=40059680 transcript=Brasy1G165400.1 locus=Brasy1G165400 ID=Brasy1G165400.1.v1.1 annot-version=v1.1 MADEWWSSSTSQRSHGTSACTAAPLMDTGHAAAAACGWTSPAAESTSSISFQDPHRSSCATTHQPSSDAASSLGDPHMDWTHAFLSGRSDASFQAVLQDDMGPASTTSSRPLFRAHQPAADDTVMNNNPFRDMSHGFSLIDQAPPAAASSSPYGTAPAMQQGMFDAGAGAAEAPNISMFGECCQFTASYDAQAIQLSTQMQGGGAPQLQYLSGGGFMPFGAAPLSSQLLLQALQPKTSYSSNSSTLMAKSAERASSPAARKNEPDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHDANEYIKFLHDQVASLTYPYLKNVNQMQQFQQKGSENAKEAGEPKKDLRSRGMCLVPVATTYTVASETMPEFWHPTFGGTFR* >Brasy1G535000.1.p pacid=40059681 transcript=Brasy1G535000.1 locus=Brasy1G535000 ID=Brasy1G535000.1.v1.1 annot-version=v1.1 MLRCAVKGGVGVVGARRSSSSSSPGASSSCSVVRMPDGRGFCCGMRSRAADLAGGLELGRSGSTGLFRSPRYGRVRATAAVDPEDIPSDKVQAKSSGNVLPYVGVACLGAILFGYHLGVVNGSLEYLAKDLGIAENAVLQGWVVSTTLAGATVGSFTGGALADKLGRTRTFILDAIPLAVGAFLSATAQDIRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGALGSINQLFICVGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAESAIKKLYGKEKVTEVMYDLKSSGQGSSEPDASWFDLFSKRYWKVVSLGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCAVAVLFIAGNVVETKGRSLEEIERALSSPSKADASRAGAFLVSDE* >Brasy1G167000.1.p pacid=40059682 transcript=Brasy1G167000.1 locus=Brasy1G167000 ID=Brasy1G167000.1.v1.1 annot-version=v1.1 MANHGSATALLLIASLLVAAALADARITVKVTRASVKDVTALTCNKVHEKQADETCFSMAQSAGLTQEQFLGFNPNINCDKAFPGQWVCFDASAA* >Brasy1G310400.1.p pacid=40059683 transcript=Brasy1G310400.1 locus=Brasy1G310400 ID=Brasy1G310400.1.v1.1 annot-version=v1.1 MAGKTVKKRGKTKTKTKTKAKAPPSSSSPTSVLPPAPLPLEPEAMVAAVDVLTRDVLCDIFRRLSLVDLLRAAIACHRWRRVAARVLPRSPPLLGYFFHPTKPHPPPGFEPRGQTHYDPVFAPLDATSPRLSVDFAPDVSRFRLYDCHQGLLLLEPTVEVPKSILPRLLVLDPATRRRVLLPQPPRDTVPDDHRWRRSRYYVGSALLSRAHPSYLSFEAVCFAIDDGHPRAWVASVDNGECCWRALPRDKEVLVSFDPWGFEGRCVHAARKIYWHICNSGRMLQLDPSTLHFSYLLAPAVLGDHFCKYRIGETPGGRLCVATVEHQVMQLWVRGETGWSDNGWVLEREMNLVKVFDTVPGLPRDTVSRITSIMLSNIDGGRTGKLFIQMCAYGHYSFDPKTRKLERLVTKGGKEYGTRIFAYFLPWPPAFLAQED* >Brasy1G364500.1.p pacid=40059684 transcript=Brasy1G364500.1 locus=Brasy1G364500 ID=Brasy1G364500.1.v1.1 annot-version=v1.1 MSTGAGNPSSHPQWVLFNAHAFTGSPRHMKATAARETTANHQTIEVSFCPSCPPLPSTLFVCCPDLENPNTGFTILPRIIRAVEDLLLIRVATPIGAEAPKDYASSLDDWNYFVYRADTKSPSLRRLPGPYPFCSDDDVGLLSGGSQDNYTVAALVDTSAPEVYDLHRFISSTGIWLHDKVSVVEQQIGVPIKIPTRSSRLLHHNTSTVVSIGGEYGTMGWIDLWGGILLCDVLCDKPILRGVPLPVPWELVTCNNGQGVELGCPKALRGIAFIKRSNGTLCLKLAHLELSPIELLPGINEATELPSVIMRGWTLTTWSNTEMSTSWKDWHQDNRVQSSDITIDNQLISQLLQTGLLKEERTLSNLLVSHPIPDIDAAHEDVVYLMARVKFLHPKSWVLAIDTKNNKLLSAAEFGIERQRGEPVTYCPSTVSNYINPEASPGM* >Brasy1G445800.1.p pacid=40059685 transcript=Brasy1G445800.1 locus=Brasy1G445800 ID=Brasy1G445800.1.v1.1 annot-version=v1.1 MKYPRIQVTSSYFLCSVSLQVINWLVLFIRIVTIKGSCTDGCSFCFFEKCSQSRTLWLDFFVYCSRLYIKFLTSCTRTMQSSFMKENCINTLSSLLRQTCSTMYIKLILYISWLLHIYIFMVLFCHFPID* >Brasy1G414900.1.p pacid=40059686 transcript=Brasy1G414900.1 locus=Brasy1G414900 ID=Brasy1G414900.1.v1.1 annot-version=v1.1 GKHHRFKRGYTNVIDEAICLNSTGDESHLLMETSGHGVPAPTPGPRRRRHHQQQQHRIPGRRHVRPRRPHQHS* >Brasy1G370200.1.p pacid=40059687 transcript=Brasy1G370200.1 locus=Brasy1G370200 ID=Brasy1G370200.1.v1.1 annot-version=v1.1 MAKAFYAVGFWIRETGQALDRLGSRLQGNYFFHEQISRHRTLMNIFDKAPYVHREAFVAPSASLIGDVQVGQGSSIWYGCVLRGDANNVQIGSGTNIQDNSVVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAESAANYSNLAKAHAVENAKPLEKIEFEKVLRKRFARQDEEYDSMLGVTREAPPELTPPALPNKPFSYMFLFFWRLHHLRLVSAGSLKGDTG* >Brasy1G217200.1.p pacid=40059688 transcript=Brasy1G217200.1 locus=Brasy1G217200 ID=Brasy1G217200.1.v1.1 annot-version=v1.1 MKPFASSRLPSRNSRKCGDRRSRRWLRRAGGRSSGRRRPCRPASPACRALYFQLSRQPTPPFSPMLRIRGKAAISQGASQWSCFRSAIVSELLGRAMMQRTDRQPKAEEEELQRLQTVLLRIDTTILGWGFPNALPI* >Brasy1G110800.1.p pacid=40059689 transcript=Brasy1G110800.1 locus=Brasy1G110800 ID=Brasy1G110800.1.v1.1 annot-version=v1.1 MPPPPDPLLSPRSRTKKQPDLPFPLARPRLIGFAAASLPHRRSPPQPLETPPHGLLGFAPPLPPCPVSRWPSIPHAAPRPSPSQPVARPQVKAAAATAVRSSPVVVAAGSGRPRPPSPILHPPVSGPAHRPSQ* >Brasy1G206100.1.p pacid=40059690 transcript=Brasy1G206100.1 locus=Brasy1G206100 ID=Brasy1G206100.1.v1.1 annot-version=v1.1 MAFTGAVIPCLLTSSSIHYEHSCSLHVECEPIHLESGLVSFDTEDESAPSCYNYTISFDAGL* >Brasy1G085500.1.p pacid=40059691 transcript=Brasy1G085500.1 locus=Brasy1G085500 ID=Brasy1G085500.1.v1.1 annot-version=v1.1 MRASHPNPRWDFLCLSVSPYICPLPPRASPMHHHCQPFPSLLLPSMQLMAFAAPATTLAASASASAMALSPQCASTLPSTSNPNRRCCSSRASATAAAAAMSTAMAPACVPVTAARRRASSSAPSSSVAGMWRQVQGCSDWSGGLLDHAVVRGEVARYGELVDACYKAFDLDPASRRHLNCKYGKERMLEEVGMAGAGYEVTKYIYAAPDVSLPLESASSSASRWIGYVAVSTDEMSRRLGRRDVVVSFRGTVTPAEWMANLMSSLEPARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLRELSRLLDSHYSASASASASDISITLAGHSMGSALAMLLAYDLAELGLNQAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGVFLNEATTAGVRALGAWRDSCYTHVGVELPLQNNGFGDLAAVHDLGTYVALLKKPPPPQAKPHKARAAGDDGVLGKVMGFVGRQRAGASLPWQDAALQMGGLVQTLGLI* >Brasy1G330600.1.p pacid=40059692 transcript=Brasy1G330600.1 locus=Brasy1G330600 ID=Brasy1G330600.1.v1.1 annot-version=v1.1 MLAKKNCGLGRREDAIKKPRGWELNYAILSFFPYWRSVLISQSPVKIVCMKPMNIDQKDRQRALNKSTGTGSTSVPVLGRIPQLKSNHQPRRLFGSSKPVPAPPGRGVPWPADRNNGTKKKGDTGNKSSTGN* >Brasy1G101300.1.p pacid=40059693 transcript=Brasy1G101300.1 locus=Brasy1G101300 ID=Brasy1G101300.1.v1.1 annot-version=v1.1 MSEFGRKSRPWPAGEPALTPSEPAAAADAEATTLRNFGTSMDAISFGFAATAILISIFLLMAIFEHLIKPHAFPTPDDPHPSRRRRSSSSNRSPGKLRSPPMVEAMLQAADLSVLMPGQRYPTYLAQPKPLPLPLPPACPREGVHWPPHDDGRRTNGPP* >Brasy1G198300.1.p pacid=40059694 transcript=Brasy1G198300.1 locus=Brasy1G198300 ID=Brasy1G198300.1.v1.1 annot-version=v1.1 MSLSLGSGADHHLATPAPPPGALAPPMPLSLAGQYLPLNENDSLDMVLFDVLREASAVAASPPMAPLNMQQSLQRAAVADPGTRKAGSGKGAERHYRGVRRRPWGKYAAEIRDPSRHGARLWLGTFGTAEEAATAYDSAAFRLRGAKALLNFPPPLVAAADVPRRGAGAAAKQAGRGEVNAS* >Brasy1G501500.1.p pacid=40059695 transcript=Brasy1G501500.1 locus=Brasy1G501500 ID=Brasy1G501500.1.v1.1 annot-version=v1.1 MSCCGGNCGCGSGCKCGSGCGGCKMYPEMMAEEATSSQTLVMGVAPPATKQPGFEAAAAAGSENGGCTCNPCTCNPCTCK* >Brasy1G014000.1.p pacid=40059696 transcript=Brasy1G014000.1 locus=Brasy1G014000 ID=Brasy1G014000.1.v1.1 annot-version=v1.1 MVYSYDTLKAYIPQAVEVLLDCVRNPLFLQDEVDRQLALAREEVQEVQKNPEKLLQEALNLVGYEGAFANPLVAPEEALERINADIIQKFYHENYTADRLVLAASGVDHQHLLDVAEPLLSDWHKGSPMERPKSTYTGGDFRHTAESDMTHVALAFEVPGGWLEERNATIMTVIQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHAVQAFSAFSNVYDDSGLFGIYLTTPPDFVAKAVDVAMQELIAIATPGKVTEVELTRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDHFLQWMDEITLEDVTAFAQKMLSSQPTMASWGDVDEVPQYESVSKRFQMFR* >Brasy1G354100.1.p pacid=40059697 transcript=Brasy1G354100.1 locus=Brasy1G354100 ID=Brasy1G354100.1.v1.1 annot-version=v1.1 MVSKKPTPILNGASPTSTHEETDHPGPNYHLLPPPTPGAGERAAAEADAREPAAAARAPPPDASPATTFYPRRGRASGGGGRREGARGGRPRPLPQTRPPATTFYPRRGRAKRRRRPTRGSSRRPPAPPPPTTPGCHLLPPARAKMLRNMVHWKFTCAFWEGSLMQIEKFAS* >Brasy1G108900.1.p pacid=40059698 transcript=Brasy1G108900.1 locus=Brasy1G108900 ID=Brasy1G108900.1.v1.1 annot-version=v1.1 MGVTKEDVEAAIAAALSPSHLVVTDTSGGCGASYEIEVVSEQFEGKRLLERHRLVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKPQA* >Brasy1G421500.1.p pacid=40059699 transcript=Brasy1G421500.1 locus=Brasy1G421500 ID=Brasy1G421500.1.v1.1 annot-version=v1.1 MVSSASSGLLVLVLLLLFTTRIPEFGVGSKPSSIGHGAGAGAGAGGDEERRQRFTVVQTSHLQPQSICSGLKVIPSGKNRTWVPLHRPYSPCSPSSSSPPPSLLEILQWDQVRTASVRRKAMSGPGSHDDDVVEYPDTPHVSVQQIDFALNVDMGLGSRAGSQLIGPTADGDGGGATAQTMAIDTTIDVPWIQCRPCPIPQCYPQRDALFDPTRSSTAAPVRCGSRACRALGSNYANGCSRSRRRSRRSSSSNSSRSDCNYRVAYSDGRATAGTHHRFGCSHGVRGRFSGQTSGTMSLGGGRQSLLSQTARAYGNAFSYCVPRPSASGFLSLGGAIGSSGSGTFASTPLVATASPTFYVVRLQGIDVAGRPLNVPPAVFNGGTLMDSSAVVTQLPPTAYRALRRAFRNAMRRYRRINARNGSPEGKILDTCYDFVGLGNVTVPAVSLVFSSGAVVRLEPMAVMMEGCLAFVPTPADSDLGFIGNVQQQTHEVLYDVGARNVGFRRGAC* >Brasy1G022500.1.p pacid=40059700 transcript=Brasy1G022500.1 locus=Brasy1G022500 ID=Brasy1G022500.1.v1.1 annot-version=v1.1 MDSDPLEYLSGASGWSSGVGVLLIRSEVSALDSFMCLQKYYPRQEVKVLDEASKNTVEENQSMLTSVYELESRLQAVEAKIFLSEVSITKEVPENKVMDHQTDLTDSLLHNQTTDFTNLISNKGNKLIANRGLNSSVTLHRITIVISTTVLALPILVIIQTKTKLRRNFQKQPAVVLAGALFMRMRTKDLINQE* >Brasy1G529800.1.p pacid=40059701 transcript=Brasy1G529800.1 locus=Brasy1G529800 ID=Brasy1G529800.1.v1.1 annot-version=v1.1 MDDGDLDFNPDTYLCSGAAAGGTETPGACSMDSYFDEILKDTEHLACTHTHTCNPPVHDLSHTHTCVHVHTKIVSASSDGAESPAENTTSGTSKKRRPSGNRAAVRKYREKKKAHTALLEEEVIHLKALNKELMKKVQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNVDLVSGGVDLVGAGSQVMNSCDFRCNDQLYCNPGMQMRTVGDDGAMNGQAFGQGTGDFVNVQCLGSAKSGSAISPGCGGMGNLPFGCVPNAGRK* >Brasy1G187500.1.p pacid=40059702 transcript=Brasy1G187500.1 locus=Brasy1G187500 ID=Brasy1G187500.1.v1.1 annot-version=v1.1 MHASICSILISASIHLCSRVPTSYVRTGIRTASGPVGLSSIPGCAWQRRRPGSRPQSGQIWAWITCPRRPAPLRPRLARQKTSSSSTNPPTTSASPTSPPTSSSALRSGASLRILKAPCHSGAHGFSQLRQLTMFGTDMAGCDQEHLLASRLQPRSGHPRPHQNLRPCPVAYHL* >Brasy1G277800.1.p pacid=40059703 transcript=Brasy1G277800.1 locus=Brasy1G277800 ID=Brasy1G277800.1.v1.1 annot-version=v1.1 MPKDRSSRSVSHERCRSRVSPYKLRSGSRQSEESAAAVKQAAEWEEVRCPVCMDHPHNAVMLVCSSHEKGCRPFMCDTSYRHSNCLDQYRKASKESSKDSAGTAECIECQQPVKLACPLCRGPVSHWTKDYDARKFMNSKDRACTMESCEFKGSYNQLRKHAREDHPAIRPMEVDPDRQRDWHRMEQQRDLGDLFSMLRSGFNGREDGAGFVEAEDGAIERSLHGPSITMVFIVRSGRSFLHYTDGEIPGRRSRTILVLGEPIRGESSRTRGASSNGDAEATTTDNEEADDLGLSTEASAGSQQDAGEVDGDPAQ* >Brasy1G277800.3.p pacid=40059704 transcript=Brasy1G277800.3 locus=Brasy1G277800 ID=Brasy1G277800.3.v1.1 annot-version=v1.1 MPKDRSSRSVSHERCRSRVSPYKLRSGSRQSEESAAAVKQAAEWEEVRCPVCMDHPHNAVMLVCSSHEKGCRPFMCDTSYRHSNCLDQYRKASKESSKDSAGTAECIECQQPVKLACPLCRGPVSHWTKDYDARKFMNSKDRACTMESCEFKGSYNQLRKHAREDHPAIRPMEVDPDRQRDWHRMEQQRDLGDLFSMLRSGFNGREDGAGFVEAEDGAIERSLHGPSITMVFIVRSGRSFLHYTDGEIPGRRSRTILVLGEPIRGESSRTRGASSNGDAEATTTDNEEADDLGLSTEASAGSQQDAGEVDGDPAQ* >Brasy1G277800.2.p pacid=40059705 transcript=Brasy1G277800.2 locus=Brasy1G277800 ID=Brasy1G277800.2.v1.1 annot-version=v1.1 MDHPHNAVMLVCSSHEKGCRPFMCDTSYRHSNCLDQYRKASKESSKDSAGTAECIECQQPVKLACPLCRGPVSHWTKDYDARKFMNSKDRACTMESCEFKGSYNQLRKHAREDHPAIRPMEVDPDRQRDWHRMEQQRDLGDLFSMLRSGFNGREDGAGFVEAEDGAIERSLHGPSITMVFIVRSGRSFLHYTDGEIPGRRSRTILVLGEPIRGESSRTRGASSNGDAEATTTDNEEADDLGLSTEASAGSQQDAGEVDGDPAQ* >Brasy1G441400.1.p pacid=40059706 transcript=Brasy1G441400.1 locus=Brasy1G441400 ID=Brasy1G441400.1.v1.1 annot-version=v1.1 MVAATDGEDGHGTGRYRGLEAKLSIWKWERRGKGSKPTEKTNGGHRSWGRRWTRHRSGGRACSSRGSPRARWHEETDGGGGVADPGTEEAEDGDKRWPGQSFEPGALQGTAGEGEGTSGLEEAARCPRARRLGSGGAATSGRGAATLLPRDKEDERGGGVAMKRRRGVQRLGSSSFWRCTRAWDALEQGRCSPEKRRAAASGGGERRGSSGEKRVLGRERGGLGQGIRRWSRQARG* >Brasy1G231200.1.p pacid=40059707 transcript=Brasy1G231200.1 locus=Brasy1G231200 ID=Brasy1G231200.1.v1.1 annot-version=v1.1 MGFSVVRGQAFVLALEATPCDLQQELDQFMYGICALSLHWPPIGAILIKCLVRLSS* >Brasy1G190900.1.p pacid=40059708 transcript=Brasy1G190900.1 locus=Brasy1G190900 ID=Brasy1G190900.1.v1.1 annot-version=v1.1 MSGIARSRLSLALLPRTSALSFATFSPAAAAASAAAAASFPSKQAAAASVPAKEAAAASESSSADGDPSSAPPPRASKPFGLLKAGIVTALTAALGATGYVTYAYPLHEVDQKTREFRENAKRPIQEDLSGFEKYKAMAYSEALKVPVAAIELYLDVRREIEDQIQGFSEPSSEKLLPDLHPQEQHVLTIVLDLNETLVYSDWKRERGWRTFKRPGVEAFLEHLSKFYEVVVYSDQLSMYVDPVMERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPGQVIYISGHALESCLQPENCVQIKPWKLEANDTQLIDLIPFLEYVAVARISDIRPVLASFQGRDIPTEFIERSKRLQEQKPQGRLWRR* >Brasy1G190900.2.p pacid=40059709 transcript=Brasy1G190900.2 locus=Brasy1G190900 ID=Brasy1G190900.2.v1.1 annot-version=v1.1 MSGIARSRLSLALLPRTSALSFATFSPAAAAASAAAAASFPSKQAAAASVPAKEAAAASESSSADGDPSSAPPPRASKPFGLLKAGIVTALTAALGATGYVTYAYPLHEVDQKTREFRENAKRPIQEDLSGFEKYKAMAYSEALKVPVAAIELYLDVRREIEDQIQGFSEPSSEKLLPDLHPQEQHVLTIVLDLNETLVYSDWKRERGWRTFKRPGVEAFLEHLSKFYEVVVYSDQLSMYVDPVMERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPGQVIYISGHALESCLQPENCVQIKPWKLEANDTQLIDLIPFLEYVAVARISDIRPVLASFQGRDIPTEFIERSK* >Brasy1G148800.1.p pacid=40059710 transcript=Brasy1G148800.1 locus=Brasy1G148800 ID=Brasy1G148800.1.v1.1 annot-version=v1.1 MSTTTEVLELARCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVRDEVRRAGAGITTAEALDRQRAFARAASRAPGRAAEDLVAAVARLLRRCLDSPPASPAQGRRNVAAAGTGCGTDAGDA* >Brasy1G492500.1.p pacid=40059711 transcript=Brasy1G492500.1 locus=Brasy1G492500 ID=Brasy1G492500.1.v1.1 annot-version=v1.1 MAKTARRSTDPKLLCTLLILLVSLLCVVQQARGHGGIDHGDGDEDSGTTAPAAALRTKGLVAVKAYCLVILLVFTFVGGVSPYFCRWNETFLLLGTQFAAGIFLGTALMHFLAGSTSTFHALTENPYPFSFMLACAGFMLTMLADCAVAAAVAKRQGNQMVVVNEEDDDHGRRLKEEGGAASSSPAPADADANQLQHPVQLVTAAASFEDAVLLIVALCFHSVFEGIAIGVSATKDEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVLYSLAFAVSSPVGVGIGIAIDATTEGLAADWTYAISMGIATGVFVYVAVNHLMAKGYRPQQPNYFDKPIFKFLGVLLGVAVMAVVMIWD* >Brasy1G127600.1.p pacid=40059712 transcript=Brasy1G127600.1 locus=Brasy1G127600 ID=Brasy1G127600.1.v1.1 annot-version=v1.1 MGALSGLRRHAAASACPLLAFAVLLALPGLAAGITRHYTFDVRMTNVTRLCASKSIPTVNGKFPGPRLVAREGDRLVVKVRNHINYNVSFHWHGIRQLRNGWADGPAYITQCPVQGGQSYVYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRAEGYPFPRPYKEVPIMFGEWFKADSEAVINQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYKLKVQPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTSKTVVISPGQTMNVLLTTSSNPASPGFAMSIAPYTNTQGTFDNTTATAVLEYASARPSSTQNLALPRYNDTNAVANFSSNFRSLASMQYPARVPQAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPKTALLEAHYQRRYAGVLMANFPTTPPHPFNYTGTPPNKTFVAHGTRVVPLSFNTSVELVMQGTSIQGAESHPLHMHGFNFFMVGQGFGNYDPVNDPTKYNLIDPVERNTVSVPTAGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC* >Brasy1G282000.1.p pacid=40059713 transcript=Brasy1G282000.1 locus=Brasy1G282000 ID=Brasy1G282000.1.v1.1 annot-version=v1.1 MAASLVAVLLVLAAVAPRPSSASHVVTFTPARGVSPSSLAWDPTAQHFVVAGGGDAILSVSDAGVTESIASSGASAVAVDDRRRRLLVASAGSISAFDLRSPRPHRVLFSTPLPDPAAPGGVALDSHTGFAFLTVGARIYKVSPDGDLAALPASPTYGSVPLSSLTAHVSRGFLLVAQPSTGHLLRVDMEDGAARTVSGPFTLPAPGAVVVRSDGTVAVGGAATLRLVGSNDGWVSCAEHDIGEPDDAVVAVAVAVRERKRVYALVEAEVEGTRQWRIEEVAWKKEGEGEMVAGFVLVGAALAIFMFWRFQMRQLAGNMNKKIR* >Brasy1G383900.1.p pacid=40059714 transcript=Brasy1G383900.1 locus=Brasy1G383900 ID=Brasy1G383900.1.v1.1 annot-version=v1.1 MQSVAAEFNLSETAFLARDSSRAGAAAPRFHLRWFTPVAEVELCGHATLASAHFLFTTVLPDHGVVEFMTMSGILTARKVPASDSTGVLGEEQGNPFIELDFPMIDFVDCNSSDKTPSIPKTLNGAPIVSVHESAWTATSLWNFRQEKRSLICFPTPTKLENWSAGVLLLQDQRLLDLVMTSSRATSAQNLG* >Brasy1G039600.1.p pacid=40059715 transcript=Brasy1G039600.1 locus=Brasy1G039600 ID=Brasy1G039600.1.v1.1 annot-version=v1.1 MSLVAYDASSDEEDGGEPPAATAAGPAPPPHLSPTIGPQPRPPSPSPSVRAAPQPPAPPPPASSQNVAPTNSSNTSLPTPSFDLPDVADLFGSPLPSRGSAVMVGSSSRKRESNGSAIQDPRSKFPRSQPSQSHGARNAAARTLVPPQLSGRSNVVTEDMGKLFVARRKD* >Brasy1G039600.2.p pacid=40059716 transcript=Brasy1G039600.2 locus=Brasy1G039600 ID=Brasy1G039600.2.v1.1 annot-version=v1.1 MDCRSPIPALLVRLYLIHKKSVSYFLAISCSAADIFFRNVAPTNSSNTSLPTPSFDLPDVADLFGSPLPSRGSAVMVGSSSRKRESNGSAIQDPRSKFPRSQPSQSHGARNAAARTLVPPQLSGRSNVVTEDMGKLFVARRKD* >Brasy1G026600.1.p pacid=40059717 transcript=Brasy1G026600.1 locus=Brasy1G026600 ID=Brasy1G026600.1.v1.1 annot-version=v1.1 MEAAAAKPVLRVAAICGSLRKASYNGGLLRAAAEVCEESIPGMRVDHVDISALPLINTDLETDGGAGFPPVVEAFRDSVRQADCFLFGSPEFNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPELCVQAFQQPPKFDSDGNLIDPEIRERIKKVLLSLQAFTLRLQKE* >Brasy1G309700.1.p pacid=40059718 transcript=Brasy1G309700.1 locus=Brasy1G309700 ID=Brasy1G309700.1.v1.1 annot-version=v1.1 MGAEAKGIDDGGGRPLRRQPVIRRCGRPGGEDGVPVIPAAVPATPSGREDVHVARERRGAVGREQRRRGHLSAYLRVPEGPEAAATSSQSNSSAGAAASSGRSDSSSSNRKQSHRIEASCSSSSTSGKPRRSARDHNMRRRRRARARPAGAALCLEVGGSVESESATASAPLRPHPLRQRNRGSRRARWRGAEEWKRTTGSSTVERGGRAQGKGRQRIQRREKMGRWRRRVQRWEGMRRWASDPATGGDEEDAAADPVTRGEEAVARSSRRGGGGAG* >Brasy1G250100.1.p pacid=40059719 transcript=Brasy1G250100.1 locus=Brasy1G250100 ID=Brasy1G250100.1.v1.1 annot-version=v1.1 MSTRYPPSTPNSVPKKEEAHNALKYRSTEASASIRERGGRGGWIGGKPAIAAAREGERGRGSRCPAAPCRGEVPRLREHGVLEGASRRRHCQWPSRRQGGWGRARRREDGATGAGAGGEMPAARGHARGWLCIAVRTGAGSGQRRRGTGAAGSGGGAAAQAEQARAKVAVQAAASDGAGRGGAGSGEMGRGGVRQGESQRQREIFLRGASG* >Brasy1G547200.1.p pacid=40059720 transcript=Brasy1G547200.1 locus=Brasy1G547200 ID=Brasy1G547200.1.v1.1 annot-version=v1.1 MVLCFFSLPNNRHVYKLNRDRRDLNTAVVLVCWCIWRHRNAVVFDGVPPRMDTIRHSIAREESAWVCVGLFKRTAFSRFDRWNNGWVLSE* >Brasy1G116500.1.p pacid=40059721 transcript=Brasy1G116500.1 locus=Brasy1G116500 ID=Brasy1G116500.1.v1.1 annot-version=v1.1 MASEMSKNLKASDDQEVTSQQRDQLSGAAAAAGGEQEVVAPLERQPSSILERTLEELQYSLYEGRGLGSVNMDEFVADIWNTEEFQAATGGFMSDMENQAVVVGAAGGSGGGGAGGSGTLCRQGSFSLPPPLSRKTVDEVWAEINDEGPLAHPEVPALLPQAPQQPLAVQPPMGNGGGVAANGRQATLGSMTLEDFLVKAGVVRGGIAGQGQAPMPAGQLAHGPMNPMQQGQVQPVGPMMYPMAPANAMYPMMGDGMGFPANGYADMAILPPPPPSQGGVCVLSPGSSDGISAMTNCFGSGSQGMMMMDNGARKRSVPEDRSGGMTFERRQRRMIKNRESAARSRARKQAYTVELEAELDHLKEENARLKAEEGSILMAKIQMMENEMMEKSKENASAKKGGLRRSSSCTW* >Brasy1G549600.1.p pacid=40059722 transcript=Brasy1G549600.1 locus=Brasy1G549600 ID=Brasy1G549600.1.v1.1 annot-version=v1.1 MAMPTVLRTLTVFSVVAVLAADHAEGRHHQPDCPSFSCGPLGIISSPFRQESDPPGCGYQSYELVCSDSKATIRIDNATYYVSAINYSHSTFWVTDADLEFNSCPLPRWNRHYLAPYARGHWYIVELAPLVYSQACFVKCSQVVKDNGMYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRYAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGKVHVAVKMLEGNSNCNGEDFINEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPCFGIISSKSDVYSFGMLLLEMAGGRRNADPNVANSSQAYYPSWVYDRLTEQEVGEITIADDMHELEKKLCIVGLCCIQMRSHDRPTMGEVIEMLEAGVDGLQMPSRPFFCDEEHIHIEDSYHCSSELTAISEEE* >Brasy1G549600.2.p pacid=40059723 transcript=Brasy1G549600.2 locus=Brasy1G549600 ID=Brasy1G549600.2.v1.1 annot-version=v1.1 MLPCRLTILAADHAEGRHHQPDCPSFSCGPLGIISSPFRQESDPPGCGYQSYELVCSDSKATIRIDNATYYVSAINYSHSTFWVTDADLEFNSCPLPRWNRHYLAPYARGHWYIVELAPLVYSQACFVKCSQVVKDNGMYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRYAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGKVHVAVKMLEGNSNCNGEDFINEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G549600.3.p pacid=40059724 transcript=Brasy1G549600.3 locus=Brasy1G549600 ID=Brasy1G549600.3.v1.1 annot-version=v1.1 MLPCRLTILAADHAEGRHHQPDCPSFSCGPLGIISSPFRQESDPPGCGYQSYELVCSDSKATIRIDNATYYVSAINYSHSTFWVTDADLEFNSCPLPRWNRHYLAPYARGHWYIVELAPLVYSQACFVKCSQVVKDNGMYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G549600.4.p pacid=40059725 transcript=Brasy1G549600.4 locus=Brasy1G549600 ID=Brasy1G549600.4.v1.1 annot-version=v1.1 MYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRYAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGKVHVAVKMLEGNSNCNGEDFINEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G549600.5.p pacid=40059726 transcript=Brasy1G549600.5 locus=Brasy1G549600 ID=Brasy1G549600.5.v1.1 annot-version=v1.1 MYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRYAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGKVHVAVKMLEGNSNCNGEDFINEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G549600.6.p pacid=40059727 transcript=Brasy1G549600.6 locus=Brasy1G549600 ID=Brasy1G549600.6.v1.1 annot-version=v1.1 MYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G549600.7.p pacid=40059728 transcript=Brasy1G549600.7 locus=Brasy1G549600 ID=Brasy1G549600.7.v1.1 annot-version=v1.1 MYMPVACLSTNDSFVYVLTGFGSNYNMEYLEPSCGYLAMTPQSGGSRALDNSSYVDVVKSMRRGFAVQFPLRFPRMMSINQCITDSFRYFHEMTEDSGESIKERIMVHITYILFLGDQYFLGCAFGVVGLNNAWPVISIIWVVKWIAVVCRFVLAPLSVLIFLTYRYWKTRITIDAVEKFLRMQQMLGPTRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRGNSFVPLSALRGTVGYIAPEMISRSFTTTDANIPVGRKPTGKCVSPTGIELLCARCASRQG* >Brasy1G444400.1.p pacid=40059729 transcript=Brasy1G444400.1 locus=Brasy1G444400 ID=Brasy1G444400.1.v1.1 annot-version=v1.1 MAKLALGHLREAAEPGCARAVLAEAVLTFLFVFSGVGSAMAAGKLGGGADTMAGLTAVALAHAMAVGVMVSAGLHVSGGHINPAVTLGLAAGGHITLFRCALYVPAQLLGSSLACLLLAFLSSSSAGAPPIMPVHALAAGVGAAQGVLWEATLTFSLLFAVYATVVDPARAVGNLGPLLVGLVVGANALAGGAFSGASMNPARSFGPALVTGEWAGHWVYWVGPMIGGLLAGLVYEGVFMVRPAHQPLARDDAEF* >Brasy1G065000.1.p pacid=40059730 transcript=Brasy1G065000.1 locus=Brasy1G065000 ID=Brasy1G065000.1.v1.1 annot-version=v1.1 MARRGRVELRRIEDRTSRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYTSSSSIEGTYDRYQQFAGAVRNTYQGGASTSNDEVPSNLQSRLREITAWSLHNNADNADASNLEKLEKLLTDALRITESKKTLAKQNSNRTATGENSNGPTGGGGNA* >Brasy1G065000.2.p pacid=40059731 transcript=Brasy1G065000.2 locus=Brasy1G065000 ID=Brasy1G065000.2.v1.1 annot-version=v1.1 MARRGRVELRRIEDRTSRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYTSSSSIEGTYDRYQQFAGAVRNTYQGGASTSNDEVPSNLQSRLREITAWSLHNNADNADASNLEKLEKLLTDALRITESKKS* >Brasy1G282100.1.p pacid=40059732 transcript=Brasy1G282100.1 locus=Brasy1G282100 ID=Brasy1G282100.1.v1.1 annot-version=v1.1 MARKGATTMEVRGDGVAVITISNPPVNALSLDVLASFQRNFQEALRRNDVKAIVLTGAKGRFCGGFDINAFGNKPKNEKPGSLSIDFLTDIVEDAHKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGMGGTQRLPRLVGVSKALEMMLMSKSIKGVEAHRLGLVDAIVSANELVNTACSWALEIAEYKRPWFKSLHRTDKLGDLQEAKEILKISRVKAQKQAANLQHPLVCIDVIEAGILSGPRAGLMKEVVSGKMLEQSQTSKSLRHVFFAQRATSKIPEVTNLGLTPRRILKAAIVGGGLMGSGIATALVLNNVFVILKEVNEKFLDAGINRVKANLQSFVKKGRMTNEDYEKKLSLLSGVLDYEQFRDADVVIEAVIENILLKQKIFSDLERYCHSNCIIATNTSTIDLQLIGQKTSCQDRIIGAHFFSPAHVMSLLEIVRTQHTSSQVIVDLLDLAKKIRKTPIVVGNCTGFAVNRMFFPYGQVAGLLVDYGLDVYRIDYVITQFGMPMGPFRLADLVGFGVAVATRKQYYQSFPERCYKSMLTDIMLKEKRTGESSGRGYYLYDDKRKASPDPDIKKYVEKSRNMAGVAKDPKVMKLTDSDIVEMVFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLGAEYVFKRLEAWSKDYGEFFRPCEYLAARARQGASLVATADGAKSRL* >Brasy1G201900.1.p pacid=40059733 transcript=Brasy1G201900.1 locus=Brasy1G201900 ID=Brasy1G201900.1.v1.1 annot-version=v1.1 MATNPLSSPAAGRTPNPKATPSPSTRRSEADAASAAAAAAASDTKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGNPMVLASAIADLIKQRTESHLRKTQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPETTPEEILSMVKSLASPPHRLILFLQQSSVEWCSSLWLDSIREIDPTFRRTMIVISKFDNRLKEFTERWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDGVKGGFNEDKYGPYIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSDVSMDLSRLDSKLLATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPEIWGKTTEEEQMHSGIGSWPGISVHVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGMTEAAAEIARAAARSWLAPLTETACDRLAFVLQSLFDLAMERSRNDDSRSDQNVENMDGYVGFLAALRCSYYKFVRDLSKQCKQIVQHHLDSVTSPYSHICYESDFLSGIGSVANSFHRFNHITGVASFDLSDSGSAMEEAQENVPPKDHQHMTPPAKANESRDILKESQLTVPETPSPDLPADMHVGKKKDNGNMNDGGPRKRHARMAAYTNRNHHNNVTVGADDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDKFMDMFVAPGAVDAIQNERQSLLKRQKILMSCLNEFKNISRTL* >Brasy1G021700.1.p pacid=40059734 transcript=Brasy1G021700.1 locus=Brasy1G021700 ID=Brasy1G021700.1.v1.1 annot-version=v1.1 MNYDYCAGVVSWQASYDAVQGRRRRGRRCLWIEHADSISCLALHDGNVYSGSWGKTLEVWRMSDLRFLESLRAHDDAINAVAGIVFSKWDVGESLALAHWIYGGQTDALKLFDEMETGKES* >Brasy1G341600.1.p pacid=40059735 transcript=Brasy1G341600.1 locus=Brasy1G341600 ID=Brasy1G341600.1.v1.1 annot-version=v1.1 MGALTDSRKRVSADHRLHPSFPPSPPPPSKRPKLTSLLPVSSPPPLHHSSTSSAAPGRSAAAAATASTSSPSSLPHPRRRLPPAPPISRPIHGPQRVRRSFRGGNSRPNSNPPWYSPSPPPKPLGLDQYADLVYSVTHPPRPTPPVDVPRGAEAIPEVVTVDDNEDIRQDKEDEQDVEEEVKAKVVGRKVPLYKELYEKSSRQRDARLRTLEFEVQLAEKGRLGLEQLAEVLPRITPNKEEVPEPFIPLTDEDEDNVRHALGGRKRSETLSVHEASNIVITREILQCLNDKEWLNDEVINLYLELLKERELREPNKFLKCHFFNTFFYKKLINGGYDYKSVRRWTTKRKLGYNLIDCDKIFVPIHKDVHWCLAVINIREKKFQYLDSLGYMDMKALRILAKYLVDEVKDKSGKQIDVHAWKQEGVQNLPLQENGWDCGMFMLKYIDFYSRDMELVFGQKHMSYFRRRTAKEILDLKAG* >Brasy1G024600.1.p pacid=40059736 transcript=Brasy1G024600.1 locus=Brasy1G024600 ID=Brasy1G024600.1.v1.1 annot-version=v1.1 MAASKLPEEKSLIGGAADNNLQDGKKVGGVRWPGFVHFFFLLSVVTCALVYAPRFFLSSPTSGAAAVDFFAPAQAALSSSSPPPSSSSTSTPQAALSPPPSSSSSTAQAAVPSPPPPPPPPPSSTNTTVRAGGGQDHADGGGNASSSSSGDDEDLVLDNQVGSPCASMGKHGGICCDRSDFNTDVCFMSGDVRAHAASLSFLLFNANATVEEQRIRPYTRKWERHLMASIHEVRLRAPTASESETSKCDVVHEAPALVMTAGGYTGNLFHAFNDGFLPAWLTSSHLRHGVVLAVLAYNPWWAGTFRELIAELSGRNRHGVVDLVHDTRTHCFPAGAIVGSRFHGVLSVDPARTRDHKSLLDFHTFLATAYEPNTAALKQQHKEEQRQGRRRPRLGILARKGNRVIENQGAVSRLAESMGFEVSTLETANGAPLSASYAAVSACDVLLGVHGADLTKLLFLRPSRTNNNSTAAVIQIAPLGVGPIARGCYAEASVSMGLRYEQYDVAASESSLRLKYAADDVIVADPETAKKGAGWDLVAKVYLGSQNVTLDLARFGDTLRSLHSSIVAAAAPGPARP* >Brasy1G560400.1.p pacid=40059737 transcript=Brasy1G560400.1 locus=Brasy1G560400 ID=Brasy1G560400.1.v1.1 annot-version=v1.1 MDLPSTAAVGWALALLLITALATKIARARIAIFDPPRTTATTTTQPPPVVNGIALLRLLPTLSKKGLPAMVNDLYLKYGSVFMVSVFGIKVTILIGPEVTPHFFQGLESEISHGNLLEFTVPMFGKAVGYGRDAATRIEQTRFHIEALRPSRLKNHVYPMIQEVEDYFAKWGNEGIVDLKLEFERLLMLISSRVLLGKEVRETMFDEVYTLFREIENGVTVISFLFPYLPIPANHRRDRARIRLTEILSDVVKSRKNSGRVEEDTLQKLIDSKYKDGRSTTVPEVVGLIIGLLFAGKHTSSHTSTWTGACLLSNPKFLRAAIEEQKQIGRKYKDWLGYDAMLEMDTLHSCIKESLRMHPPTPMLVRKAHKHFTVQTKEGKQYDIPEGHTVASPIVVNNNIPYIYKDPEVYDPDRFGTERQEDKVGGKFSYTSFSGGRHTCTGETYAYMQIKVIWSHLLSNFELELISPFPKTDWSKFLPEPEGTLFVRYKRNGCRAST* >Brasy1G560400.2.p pacid=40059738 transcript=Brasy1G560400.2 locus=Brasy1G560400 ID=Brasy1G560400.2.v1.1 annot-version=v1.1 MDLPSTAAVGWALALLLITALATKIARARIAIFDPPRTTATTTTQPPPVVNGIALLRLLPTLSKKGLPAMVNDLYLKYGSVFMVSVFGIKVTILIGPEVTPHFFQGLESEISHGNLLEFTVPMFGKAVGYGRDAATRIEQTRFHIEALRPSRLKNHVYPMIQEVEDYFAKWGNEGIVDLKLEFERLLMLISSRVLLGKEVRETMFDEVYTLFREIENGVTVISFLFPYLPIPANHRRDRARIRLTEILSDVVKSRKNSGRVEEDTLQKLIDSKYKDGRSTTVPEVVGLIIGLLFAGKHTSSHTSTWTGACLLSNPKFLRAAIEEQKQIGRKYKDWLGYDAMLEMDTLHSCIKESLRMHPPTPMLVRKAHKHFTVQTKEGKQYDIPEGHTVASPIVVNNNIPYIYKDPEVYDPDRFGTERQEDKVGGKFSYTSFSGGRHTCTGETYAYMQIKVIWSHLLSNFELELISPFPKTDWSKFLPEPEGTLFVRYKRNGCRAST* >Brasy1G098900.1.p pacid=40059739 transcript=Brasy1G098900.1 locus=Brasy1G098900 ID=Brasy1G098900.1.v1.1 annot-version=v1.1 MAKQLTGGGEEYNIDGAMKEWHERGASTCRLYNAFSVAGLRVDAIEPGGRALFSFTVPPRLTHVKNGSKHMHGGAVASLVDLAGSAAFFAGGSSATGVSLDITVSFLGAARANEEIEIEARVLGIGERTGCVTVEVRRKSTGEVLAHGRHTKYLAVSSRL* >Brasy1G098900.2.p pacid=40059740 transcript=Brasy1G098900.2 locus=Brasy1G098900 ID=Brasy1G098900.2.v1.1 annot-version=v1.1 MAKQLTGGGEEYNIDGAMKEWHERGASTCRLYNAFSVAGLRVDAIEPGGRALFSFTVPPRLTNGSKHMHGGAVASLVDLAGSAAFFAGGSSATGVSLDITVSFLGAARANEEIEIEARVLGIGERTGCVTVEVRRKSTGEVLAHGRHTKYLAVSSRL* >Brasy1G230800.1.p pacid=40059741 transcript=Brasy1G230800.1 locus=Brasy1G230800 ID=Brasy1G230800.1.v1.1 annot-version=v1.1 MDDAATTPTARKQVVLYPSPGMGHLVSMIELGKVFAARGLAVTIAIVTLPYDTGAATGPFLDGVTAANPYISFHRLPPVKLRPIESDHPEAVTFEVARVSNPHLRGFLAGASPAVFVMDFFCSIALDIAEEFRIPAYFFFTSGAQALAFFLHLPVLHAQSTASFRDMGEELVHVPGIPSVPASHSIKPLMDRDDEAYRGFLRVSTDMCRSQGIIVNTFRSLEPRAVDTLTAGLCTPAGLQMPPVHCIGPLIKSEEVGVKRGGECLPWLDTQPRASVVFLCFGSLGLFGAEQIKEIANGLEASGQRFLWVVRSPPSDDPAKKFEKPPEPDLDALLPEGFLGRTSGTGLVVKSWAPQRDVLAHGAVGGFVTHCGWNSVLESVVAGVPMVAWPLYAEQRMNRVFLEEEMGLAVAVEGYDQELVKAEEVALKVRWLMESDGGRVLRERTLAAMRRAREALREGGESEATLTRLVDGWMLA* >Brasy1G386700.1.p pacid=40059742 transcript=Brasy1G386700.1 locus=Brasy1G386700 ID=Brasy1G386700.1.v1.1 annot-version=v1.1 MASSKAFLGAIFIASFYFPASIAFPAHHEGAYPVGHSPKPKLGLSPDFYRSTCPQADEIVVSVLKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDSKAVVSEKNAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWDLPLGRRDSKTAYMKLANKNLPPPNATLHRLIKFFERQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQQRDNKPDMTLEKRFYYTLASVCPRTGGDNNISPLDFVSPSKFDNSYYKLILEGKGLLNSDQVLWTGKDPKIADLVRSYAENESLFFEHYVNSIIKMGNRNPLLGHDGEIRKNCRRVNQVL* >Brasy1G446500.1.p pacid=40059743 transcript=Brasy1G446500.1 locus=Brasy1G446500 ID=Brasy1G446500.1.v1.1 annot-version=v1.1 MHQDSFRSVVCRSLSKSLPSTSKDGSYPERAQCTVPCVVTLEPTVCRSCQSQDWSPAQSNREERSILSQRDYMMASSLSRHFAEDLLRGAMDLQESLVMLEKFQSVSRSMRKSNKKIRPETGEKSPGIHESLFEASNTKKVVPGNISNRFDGQLRNSTDDLKRVIKDSLYRKNLSSVSSSDEQASLSRSLRYAQNSSAVYKSTKQKVVPRSLSCAPVQADKSKSPSLVARLMGLDGLPSHSSNTAKKDETLKTVSSPRSQFDIEMPRSKTPPSARLPKQLFGNHSGRKGKAGQEIMETSQVKRLLKTINSDEHKVQQHNVRMDFSYLRKNILPSQDTSTITELTQREQRIKQGRTKVPEDLKVVSHITRKHRIKQNTEINRRSIDKQKDHFTDRKGEGRKDRKAKTVLASPTNAKVVKKPDKKLIASSSNPSTCRTMKPILRRTPGNSREKTVSSRKTQNSTIDDIVAYEVIETDGPSTEHSATPSDESCQSTDWDTEPSINDAWEDFSGSNEASLASSLSSPPINRTPAKEVEIKDEMSLLLLSDQSFLTRAAQLLGIGAPYHLVEQYKGTSKAEMKNRELCIDIAAEQLERKLHQQNSPPYTVFRGKKCRATAHLSLEALLGDISNGTRMLKSYTEGYCDDSARKDSLSMKLEKDLGCTDPSINSVWDLGWQDWVCMEETECWLGDAGESVLSLLIEEIVLDMLVN* >Brasy1G374500.1.p pacid=40059744 transcript=Brasy1G374500.1 locus=Brasy1G374500 ID=Brasy1G374500.1.v1.1 annot-version=v1.1 MIAVATSRRSRKKCNASNREGLGPGHSSGAQQHSVARQMRGEAVTGCGRLRTPPAGVRSTSAGASREQENFFLYARSDSHIPEFSTRPNPARLLPSPPPPAHPHLRPPSPPGRPYLWPPPTPARLPLPPPPPTFPATGALSPSVLDRGVHGRRRRPLPVLDPLRPTPTTPWLDLSPSQPLMLLDGNPLLGVGDAMAARRTFGAAAPAAQHRLFYLLCWQPMAQRHRSLAAKAIAAMSLQHGHRAATAQWPLSCYVLVRNP* >Brasy1G462700.1.p pacid=40059745 transcript=Brasy1G462700.1 locus=Brasy1G462700 ID=Brasy1G462700.1.v1.1 annot-version=v1.1 MAEAKHMHEQTTGCLPVDQSCFALSRLSKAYRSAHDIDPACCSTTSYLEVLGISFASLLVILLVLCMIRCYLMRRGVNRVTVGASASASTAAKKRPAGLGKDAIAALPKFEYRGTGDEGDRWECAICLCAMADGEVARQLPGCMHLFHGACVDMWLVAHTTCPVCRAEVAKLPDDAKAGPSGPARLEDGERDLEAQ* >Brasy1G461900.1.p pacid=40059746 transcript=Brasy1G461900.1 locus=Brasy1G461900 ID=Brasy1G461900.1.v1.1 annot-version=v1.1 MDGAGPKGSGQVVWTPPMSSFMLNHLFNLVASGVRTSHGFKSVHLNACARALNDHFKLNLSGGQVGNHNRTWKRKWQRIAKLKKLSAALWDEGKCMIDNKGDEPYLNKTIEHYNEMVTIYGNGMATGDYAKGSSEPLATDFVDVEDDEPTNGNATPPPNEEVTHSHNVGESSVSRPSKRTKTTHCEEEGLGATLVAVGERLVVAIEKNVSNDNTLPEGLWENMKTLPTFGRDFLAHYYAYLVEHPRIAKAFHTLEHDEKMVWVARYVRNNIPFHPEANHPE* >Brasy1G357500.1.p pacid=40059747 transcript=Brasy1G357500.1 locus=Brasy1G357500 ID=Brasy1G357500.1.v1.1 annot-version=v1.1 MPAMRPSLVRRRLLLPSIWPKLLSIRATATSRGSAATFSTSPTRPLLQFLRAPTELAVDLVRAGARWRRAHAVARWVAQRRRARARG* >Brasy1G322900.1.p pacid=40059748 transcript=Brasy1G322900.1 locus=Brasy1G322900 ID=Brasy1G322900.1.v1.1 annot-version=v1.1 MEGALVSAATGAMGSLLGKLATMLTDKYKLLKGVRDDIKFLKKELAAMEAFLLRMSKVEEPDEQAKLRANAVRDMSYDMEDTIDDFMLLVDDQSSSEAHGFGDLFHKCKKKIKDIKTRHQIAKVLKDIKSQGGQREA* >Brasy1G064600.1.p pacid=40059749 transcript=Brasy1G064600.1 locus=Brasy1G064600 ID=Brasy1G064600.1.v1.1 annot-version=v1.1 MAGERQRQRRRTGLVAAADAASWCLALSVVALLLVSSLGAGSGAGEERGAVVSRGPCEEIYVVGEGETLHGISDRCGDPYILEHNPHVHDPDDVFPGLVINITPRPRAASANR* >Brasy1G504000.1.p pacid=40059750 transcript=Brasy1G504000.1 locus=Brasy1G504000 ID=Brasy1G504000.1.v1.1 annot-version=v1.1 MGKKGALASIFSRLLVADSPPPASEAPSPPWPWLSSPCRNPQTASSRDHRRACSSAVTRSPAGRLRGGGGAGDGDMYKTANSAYQLDDFPSFSPDDDGSCFDVDEDEEEEEDDGGFSTTSASEEWCYASEAVIRSLGRTGGSRFFVDRPDPLASNSILAGSPSSAFPKKKEEEARPSSVVVEESVAVAVDSADPYGDFRASMEEMVSAHGLRGWPDLQELLTWYLRVNGKRNHALIVAAFVDLLVALAADAADAPATTTTTTMMTTTSSGTSGSGSTSASSSTCGCAGDGGTSTTAEQCCGGGRGNDAERPCTSPSDSEEAVGDEYCTRVADS* >Brasy1G304100.1.p pacid=40059751 transcript=Brasy1G304100.1 locus=Brasy1G304100 ID=Brasy1G304100.1.v1.1 annot-version=v1.1 MDGGYRSKSYAGGRMQIEPYTGGARQDFSRSMSYGGGGRASYQYQYEYGAAGTVATVPEEEVKRSASSKRRWLSLGDPDMERKRRVAAYKAYAVEGKVKGSFRKSFRWVKDRYLHLVYGWS* >Brasy1G158000.1.p pacid=40059752 transcript=Brasy1G158000.1 locus=Brasy1G158000 ID=Brasy1G158000.1.v1.1 annot-version=v1.1 MSASVVHLTGVERLPRRRPHLTRNSSFPVRPVRSPASPAAGGGAADCDVSVGVVGGRGWERALLAGHSASRPGGGRLPRRLSLATCEAALRERVVVSWRGRRAQRAPPPQPPPPPTPTHTHISTVAAAPSLRPSAGRHLHLRRPLCPPYRRIRRRPPPSLLDPPPPSPTRAPRPRRPGTPDHVSLRWPPSPRRLDLPMSLPREEGSQVLRFHEPVSSCSSPLPPCFLLWSAP* >Brasy1G434800.1.p pacid=40059753 transcript=Brasy1G434800.1 locus=Brasy1G434800 ID=Brasy1G434800.1.v1.1 annot-version=v1.1 MASNVNPSKRPFQKNSSEHNGRGKWQKTKHTSSQQPPLVIQPGVPLVRILCPTEKCGNVIGKGGVIIAKIRQENGVKIRVDEAVPGCDERVIVITTTEKDKEASREQGKQNVGGAAVSADAEHEKEKDHIKEEKVDSENNHGKEEKVDSERDASKEEKDDPSVAKDTKAEPERVLPSPMDAILHVFDRIFITEIGNESGDPSGERTPVSFRLLVLDSQVGWLLGNRGSVIKQMSADSGCEIRVSKEKLPLCALLKDELCQITGELDSLRKGLNAVAQVLLTHPPRESDVLPSGLSSHAFNRSDALPPGMQPNFSLPFQGPSHARGPFDSIDPRPNIPPFPTFPDQRSNIPPFPAFPDALMHGHASVPPEPLTFRLLCSSDKVGSIIGKGGNNIKTIQKDTGCEIKILETVPKSEDRVIVISGPAHPGDGISPAQNAILHVQRRITPPTANNKEGAAISRLIVSPNQVGCLLGKGGSIIAEMRKLSKAHIVVLSKDKIPKGVQESDEVVQITGDSEAIQEALMQITARLRNHLFRDRMAAMGPNMQPPFGSLDPQFGAFAGSHESTSPRIYPNASQFHKDFMGRPLDEMPAPWNTKGMRDVGDPMSISGMPGMPHRGIGGFSGAGHSSMPNITRDIMVPRFVIPALCGHDGGCLNMIREFSGATITFTEPIADAADTPFMISGTLDQMHAARSLIQAFVLSEPPAP* >Brasy1G528600.1.p pacid=40059754 transcript=Brasy1G528600.1 locus=Brasy1G528600 ID=Brasy1G528600.1.v1.1 annot-version=v1.1 MTFRLVKLRSCWGIFRFFSRSSEDTKPWWYFRICSLRLASDFLSKYIFITVGRVGSSTDLIRITQKVAFVSDGKKTVYLLDLLQKQSVGSSDGKLQQPLTLVFVETKREADSLRYRLYNKGFLATAIHGDRTQE >Brasy1G152300.1.p pacid=40059755 transcript=Brasy1G152300.1 locus=Brasy1G152300 ID=Brasy1G152300.1.v1.1 annot-version=v1.1 MGNVHVEAAAAAISPELGDALAKVAVFALVQGLVYLILRNSSDVFSTAKGKKIRSLSFRPMRSMSVRRVLAAFSDVPVGVPDVDGGAADRAD* >Brasy1G060600.1.p pacid=40059756 transcript=Brasy1G060600.1 locus=Brasy1G060600 ID=Brasy1G060600.1.v1.1 annot-version=v1.1 MGSSGGGDAGEGEWLKAAELRAMAEAQDPHVKEVDNMTLRRFLRARDQDVGKASAMLLKFVSWRRELALPGGLGGTMPAEQVRVELSQDKARMGGVDRAGRPVLLAFPANHYSANRDMAEHKRFIVYLLDSVCARIPRGQDKFLVIVDLKGWGYSNCDVRAYIAAIEIMQSYYPERLGKALMIHVPYIFMKAWKMVYPFIDANTRDKFVFVDDKNLEETLRREMDESQLPEKYGGKLPLVPLDGARPLA* >Brasy1G070400.1.p pacid=40059757 transcript=Brasy1G070400.1 locus=Brasy1G070400 ID=Brasy1G070400.1.v1.1 annot-version=v1.1 MGRKPDSTKPHSGVGSASPKTARRAQPSPVFLGTALFVLGFVSLLTGHIVTDADWSRIRSRWRSNQVRNNEPIDIWKSRYSNLYYGCSRRSPRLRSAVPENASTGYLLIATSGGLNQQRIGITDAVIVAWILNATLVLPELDHRSFWKDDSEFSDIFDADWFISYLSKDVTVVKRIPYEVMTSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLSNELDEELQKLRCRVNFHALRFTNDIQTLGQKLVWKLRFMSSRYVAIHLRFEPDMLSFSGCYYGGGEQERKELAEIRKRWDTLPQDLSAEDERSRGKCPLTPHEIGLMLRALGFGNDTYLYVASGEIYGGEETIKPLQELFPNFYTKEMLVGDDLKPFLSFSSRMAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNALFQARNRMAWDVFSRKVKKIQRGLMGEPDDTRHGRDDFHEMPSSCICQRIPVNRSVTTRKGNLAAQMW* >Brasy1G070400.4.p pacid=40059758 transcript=Brasy1G070400.4 locus=Brasy1G070400 ID=Brasy1G070400.4.v1.1 annot-version=v1.1 MGRKPDSTKPHSGVGSASPKTARRAQPSPVFLGTALFVLGFVSLLTGHIVTDADWSRIRSRWRSNQVRNNEPIDIWKSRYSNLYYGCSRRSPRLRSAVPENASTGYLLIATSGGLNQQRIGITDAVIVAWILNATLVLPELDHRSFWKDDSEFSDIFDADWFISYLSKDVTVVKRIPYEVMTSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLSNELDEELQKLRCRVNFHALRFTNDIQTLGQKLVWKLRFMSSRYVAIHLRFEPDMLSFSGCYYGGGEQERKELAEIRKRWDTLPQDLSAEDERSRGKCPLTPHEIGLMLRALGFGNDTYLYVASGEIYGGEETIKPLQELFPNFYTKEMLVGDDLKPFLSFSSRMAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNALFQARNRMAWDVFSRKVKKIQRGLMGEPDDTRHGRDDFHEMPSSCICQRIPVNRSVTTRKGNLAAQMW* >Brasy1G070400.2.p pacid=40059759 transcript=Brasy1G070400.2 locus=Brasy1G070400 ID=Brasy1G070400.2.v1.1 annot-version=v1.1 MGRKPDSTKPHSGVGSASPKTARRAQPSPVFLGTALFVLGFVSLLTGHIVTDADWSRIRSRWRSNQVRNNEPIDIWKSRYSNLYYGCSRRSPRLRSAVPENASTGYLLIATSGGLNQQRIGITDAVIVAWILNATLVLPELDHRSFWKDDSEFSDIFDADWFISYLSKDVTVVKRIPYEVMTSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLSNELDEELQKLRCRVNFHALRFTNDIQTLGQKLVWKLRFMSSRYVAIHLRFEPDMLSFSGCYYGGGEQERKELAEIRKRWDTLPDLSAEDERSRGKCPLTPHEIGLMLRALGFGNDTYLYVASGEIYGGEETIKPLQELFPNFYTKEMLVGDDLKPFLSFSSRMAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNALFQARNRMAWDVFSRKVKKIQRGLMGEPDDTRHGRDDFHEMPSSCICQRIPVNRSVTTRKGNLAAQMW* >Brasy1G070400.3.p pacid=40059760 transcript=Brasy1G070400.3 locus=Brasy1G070400 ID=Brasy1G070400.3.v1.1 annot-version=v1.1 MGRKPDSTKPHSGVGSASPKTARRAQPSPVFLGTALFVLGFVSLLTGHIVTDADWSRIRSRWRSNQVRNNEPIDIWKSRYSNLYYGCSRRSPRLRSAVPENASTGYLLIATSGGLNQQRIGITDAVIVAWILNATLVLPELDHRSFWKDDSEFSDIFDADWFISYLSKDVTVVKRIPYEVMTSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLSNELDEELQKLRCRVNFHALRFTNDIQTLGQKLVWKLRFMSSRYVAIHLRFEPDMLSFSGCYYGGGEQERKELAEIRKRWDTLPDLSAEDERSRGKCPLTPHEIGLMLRALGFGNDTYLYVASGEIYGGEETIKPLQELFPNFYTKEMLVGDDLKPFLSFSSRMAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNALFQARNRMAWDVFSRKVKKIQRGLMGEPDDTRHGRDDFHEMPSSCICQRIPVNRSVTTRKGNLAAQMW* >Brasy1G070900.1.p pacid=40059761 transcript=Brasy1G070900.1 locus=Brasy1G070900 ID=Brasy1G070900.1.v1.1 annot-version=v1.1 MIGWGDVYKVVAATAPLYFALLLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVVSKAVIVAAIAVWARFHRGINGAGAGAWAITGFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAMGMYVVPAESASSPEAVIKGGGVDVEAAAVAGVGRPTVWRLFKTVAHKLALNPNTYASFVGITWACVANRLHIELPSVLEGSVLIMSKSGTGMAMFSMGLFMAQQEKILACGPSLAALGLALKFALGPAAMAVGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLFSLPLLVGFYIVLEIIR* >Brasy1G104000.1.p pacid=40059762 transcript=Brasy1G104000.1 locus=Brasy1G104000 ID=Brasy1G104000.1.v1.1 annot-version=v1.1 MGRSPCCEKAHTNKGAWTKEEDQRLIAHIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHSLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGMDPQTHRPISAAAAAASAFPSSSPAPAASRLAGAAFSVVPANVGFARPSPPSSDDGHSSSGGSSDGGPRCPDLNLDLDLDLSMGLPPPKTPAVVSSTLTSHSQQQRQSICLCYHLGVRNGEACACKTASPAGPRAFRYIRPLEEGQYI* >Brasy1G474100.1.p pacid=40059763 transcript=Brasy1G474100.1 locus=Brasy1G474100 ID=Brasy1G474100.1.v1.1 annot-version=v1.1 MAAEKPAPVKVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPDELVASSSGGDDKDVDKVAVRLQVVGISADGSTSAGGASASKQEEVKRLPGGKLKKKDKQEVIIEKIVRNKRKCVTVVKGLELFGVKLTDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDVVEFITDTWPDVPESAIYFIEDGRKVAAA* >Brasy1G529000.1.p pacid=40059764 transcript=Brasy1G529000.1 locus=Brasy1G529000 ID=Brasy1G529000.1.v1.1 annot-version=v1.1 MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKDVASGGPDALASSDKKVAAAKSAKARLEERFKTGKNRWFFTKLRF* >Brasy1G001000.1.p pacid=40059765 transcript=Brasy1G001000.1 locus=Brasy1G001000 ID=Brasy1G001000.1.v1.1 annot-version=v1.1 MVTGGNQKLDGEIHEEVAGGPGNTVVKDHIALYFDSSQRGFLETSRSTSYKFTSSESNLQSSSPCSTEQMLVGEQRNGSSQPALSRRSLRRFAVPLAKKIDWSSVWDKSKEWIKNPMNMALFMWVVAVGVSGAILFMVMTGMLNRALPNKPQRDTWFEVSNQILNALFTLMCLYQHPRRFYHLALLCRWRAGDMLALRETYCKDGSCKPNERKHMMVVILLLHLNCFAQYALCGLNLGYPRSKRPPVGVGLTISVAICAPAVAGLYNNLSPLGKDYEAQAADQESAPQLQRKTIEKSCCVFGWNMSRLGFGNMYVHMVTFILFCLAPFFIFNLAAINIDNEAVRDALGLGGILLCVFGLLYGGFWRIQMRRRFRLPANDSCCGKPDVTDCFQWLCCCPCSLAQEVRTADAYQIVQDRILFRRRDDDSNASSQMPSHGDHVVHLQQQQQQPLRFAGALASDTTVPPIVPVVIHT* >Brasy1G284900.1.p pacid=40059766 transcript=Brasy1G284900.1 locus=Brasy1G284900 ID=Brasy1G284900.1.v1.1 annot-version=v1.1 MDQQVSQGGAVAALRAFMASTGVDAQTLHLTVKLFHYGLHEFIPKPTFSPAAPLALSGSSWVPDGVDRISRLPDVDLRHIISRLPAKDAARTAALASRWRPLWLSAPLTLVDSHLLPDGGAAGPRLLGMPSRRAVTATMSRVLAAHPGPFRCVHLTCSNMDEHRNELERWLVLLAAKGVQELIFVNCPWPVDLRLPATLFSCVHLTRLYLGVWRLPDTAAVPRAASFLNLRELGLCFNFMEDRDLDFMLERSPVLEILTIIGRNAARGALRLVSHSLRCVHLGLTRLEDIYVTDTPNLERLLLLPLNGLARYLKPWILAIEVQFGVRNALKKVPAYLRSFPNLETLHVQSRKDVEPTGKVNFKFWQEGDPIKCVLQTMKVFFHEFRGSRSEVAFLKFIAEKAKDPRFPSVHSAKMAAGGEGNLRIRVKI* >Brasy1G284900.2.p pacid=40059767 transcript=Brasy1G284900.2 locus=Brasy1G284900 ID=Brasy1G284900.2.v1.1 annot-version=v1.1 MDQQVSQGGAVAALRAFMASTGVDAQTLHLTVKLFHYGLHEFIPKPTFSPAAPLALSGSSWVPDGVDRISRLPDVDLRHIISRLPAKDAARTAALASRWRPLWLSAPLTLVDSHLLPDGGAAGPRLLGMPSRRAVTATMSRVLAAHPGPFRCVHLTCSNMDEHRNELERWLVLLAAKGVQELIFVNCPWPVDLRLPATLFSCVHLTRLYLGVWRLPDTAAVPRAASFLNLRELGLCFNFMEDRDLDFMLERSPVLEILTIIGRNAARGALRLVSHSLRCVHLGLTRLEDIYVTDTPNLERLLLWNAVDRHHVSSVLCMNRRSRIKIGHAPKLCLLGYLEPG* >Brasy1G566600.1.p pacid=40059768 transcript=Brasy1G566600.1 locus=Brasy1G566600 ID=Brasy1G566600.1.v1.1 annot-version=v1.1 MIITWVFMCFMMFKEIWEMVTYLLSDWTILLLVCSYAQGSMKSRESGCVESIILSFFANRITAKRWHGKIDQYVFLESYKNRPKFWNLIHKITIGIVPSKDDGAELSSAIEVPECVMPAILETLCANLEKLSSLDLLNQPSNPSRNHEEGQSLPKVITSLSDADGNRRKRYGWACFDMATCSHVILVWHIATSICEIKLAQCHGVDLSKTGFLESLLSWFTGGCSSKPYLVDVDVDVKKDNSLLWFRNFCSTKLNEKMKGKKEVEKLPDKLRERYIIAISLSRYCTYLLLSKPDLIPDSFYVPKMVLQEAVTSALDDILKGCDSLQSRYAKLMEEEEKAATETGDVKMEDVVRLGAILGKKLIVEDSEEDRWEILSGVWLDLLVHIAPSRNADAHKRCLELGGELITYIWALLSLCGIEKSELWPVEDVSGTGGPGAPENNNADDAGN* >Brasy1G578200.1.p pacid=40059769 transcript=Brasy1G578200.1 locus=Brasy1G578200 ID=Brasy1G578200.1.v1.1 annot-version=v1.1 MATTSGSQSLAGNFSFRNLLDCCCDRDDQRNCKWLLKCLPFVIPALLVGTAAVLLFLRFAVTPHVKAYVEDARLNSFSSVAANAPPAASAGAGAYNISISVALTVRNLNGAMDIRYTRPLVAAFLFDDRRLYNVTVADQGHKHRPLRREAHVLHTGGEAAYYVLDAAAVAEFEKQNATGLLKVEMRLSGEIATGIGNKQGLDLSCPLTLRRPAPPGPDIVVFHEVNCEPDKPKKIVF* >Brasy1G306300.1.p pacid=40059770 transcript=Brasy1G306300.1 locus=Brasy1G306300 ID=Brasy1G306300.1.v1.1 annot-version=v1.1 MERSESVLDAVLDDDNFDGDDVEMADAEDTCVPVPDVPTAGVRGEDGSGQGEKGTPAGKKKKKRKSGKRKNRGRPDGPPTKIADINRFVLDTCRRLKEKKSYLVWNAVGCLGVSAVTDLVREVEAIQKCGGQTIADGSRFRTGGGVLWNILKSREPKAYKEIMLKGRELEKQFMYTKGRSQMSRNEDASSQGSALVDEEIEARDDDEHLVGVEEAPPDNKAEPRKPVADRIRVPVAYDDLFEEGEIHEGEQ* >Brasy1G306300.2.p pacid=40059771 transcript=Brasy1G306300.2 locus=Brasy1G306300 ID=Brasy1G306300.2.v1.1 annot-version=v1.1 MERSESVLDAVLDDDNFDGDDVEMADAEDTCVPVPDVPTAGVRGEDGSGQGEKGTPAGKKKKKRKSGKRKNRGRPDGPPTKIADINRFVLDTCRRLKEKKSYLVWNAVGCLGVSAVTDLVREVEAIQKCGGQTIADGSRFRTGGGVLWNILKSREPKAYKEIMLKGRELEKQSIRELVFLKRLDFFS* >Brasy1G226200.1.p pacid=40059772 transcript=Brasy1G226200.1 locus=Brasy1G226200 ID=Brasy1G226200.1.v1.1 annot-version=v1.1 MASRIFRAVVVAAVAVSSFAGVALAADEPAPSPTSGAAALSSSLVAAVLCPAVALLVGSIRH* >Brasy1G531400.1.p pacid=40059773 transcript=Brasy1G531400.1 locus=Brasy1G531400 ID=Brasy1G531400.1.v1.1 annot-version=v1.1 MTRRRRLHGTDDQLITILCFNGEILPTPCVCTRILFLLQQLVLYLLAQKPPRPAMLALLLLPLLHAAAASNGGIGIGTGCAPASCGGLNITYPFSLAGAHPLHCGYPAFALSCDAGNGKAYLSRTFREHLYRVHSISYGNASMVVSVEAAATFGAGNASCRFPDFNVSSSLALFPLKLNITANNDELVFVHNCTLPRRLRPRDVSCGGAQRVEAFVCRSGGEISEVPENCSFVTVPVRRGGREEEKAWDYENLIRHGFLAEWQILGDCEACSRGAGECRFEDGSFRCACLDASSPCSSSRDRGNLGLKIGAGVAASVLCLAIMSVVCIIHKVRRKRKRSASMAGLIREGPPLASVRKEFSFAGGSPRTHIFTYEELDEATDGFSDARVLGAGGFGTVYKGVLRDGSTVAVKRLYKNSYKGVEQFANEVDILSRLRHPNLVTLHGCTSSSSSSPSQDLLLAYEFVPNGTLAWHLHGDHGARLLLPWPARLRIAVEAATALAYLHAHQVVHRDVKTSNILLDAALHVKVADFGLCRLFFPGDGDGTGVCCTTTAPQGTPGYVDPAYHRRYQLTDRSDVYSFGVVLVELVSSRPAVDMARAGAGADVNLAAMALHMIQRGEIERLVDPRLGYEAMKRTVDAVAEVAFRCLQPEQDVRPPIGEVLDVLREAHNAMADCAADGGTGVLLKKCRDGSPDSVMHQWISPHTTSDCSS* >Brasy1G503600.1.p pacid=40059774 transcript=Brasy1G503600.1 locus=Brasy1G503600 ID=Brasy1G503600.1.v1.1 annot-version=v1.1 MAGRKRKAEAARVLEDEADRPLYSAFRSAANSLSQMHALAGSHQRLSFHAGERHAMEMLYKWILRQDENGLRITVSDVVSHIQHEIECGGEDPLVSPRSQCTVQSFQASMHIPNTSNQQASPSLLAQAIPGLQPKNSMVFCSALSPVRQSLQPYHLQHDGTGHSADGILCTGIHESNSAASNDSFMDMHSDNPAHES* >Brasy1G503600.2.p pacid=40059775 transcript=Brasy1G503600.2 locus=Brasy1G503600 ID=Brasy1G503600.2.v1.1 annot-version=v1.1 MLYKWILRQDENGLRITVSDVVSHIQHEIECGGEDPLVSPRSQCTVQSFQASMHIPNTSNQQASPSLLAQAIPGLQPKNSMVFCSALSPVRQSLQPYHLQHDGTGHSADGILCTGIHESNSAASNDSFMDMHSDNPAHES* >Brasy1G001700.1.p pacid=40059776 transcript=Brasy1G001700.1 locus=Brasy1G001700 ID=Brasy1G001700.1.v1.1 annot-version=v1.1 MATRSKASKKAPGDNKDTDPARPSRLRVLRPDVEEVLRSPRRGRPKLQQQHKEGGGDEAEDDGGSLARYDCAFQHEGEFAPPEMVWCKVRSHPWWPAQVFDAADASELALQHAKAGAPLVAYFWDKTFAWSDASALLPFRANFARLSGQSTIFNFVSSVDAALQEVGRRIEVGLSCACFAGSIAKKQEIQNTGIREGAYGAMLDDAYTRDMFRGKPFLEYISALGKNPLAGADRLDLATAKAQLRSFNRWRGARELPEFVFFEGIEDVAEAIPHAKRKRTEKSGADDVSSKENKSKRGGGSSHKGEALPEPAKEEDSRVTRKSSAKKKTETSKDADKSEAPAKKEGMVEDNSMSSSKERKSRHTKGSAKNKKDTSRDADKRESLPEPGNEEATNEENSMPSKEATDDTLSKERKSRISKSSPKKKKKKDTSKDADKRESVPEPAKEDATGEDSSTPSGGGTDGTLSKKMKSKSSKSSAKKKKDTSRDADSLEPVGGSNLPDEKAVDGVLNESKSGRRLRSAQKIEEALEGLKGLNEDARGETMKGENNDAALLKESNLGRRARSARQKDKITEDGDGLADGSAKDFASPGKKRSGRGESSAANSASISISEHGRKKKKLSELLVDTDTPNLSTGGKSKARVKRSLPASTEKPEDPDRDTADTMKTRKRKKLDTLGDLSSQSQSLSRKKSTKAGELTGKAAREKSQTPPVVEANGEASQTRSRRAKNREITVSDKSVHSVKLNKGKKDALLEDSLSCGEMLSQLCLAARNVKKISKISPAIVSFFTDFRKSLYASNSDVEEMIAEKATDSENHASSPHADEEIPETENATITEPNPSEPALADHMKDDYWADILINVEEPLSGLRKNKDKGKSQTSKKEQRGEEVAKELPVCVKNVEEPRIESKKDNTENGKAETKPSVVNGDDLNAEEVENPSLAGLVMNFGRASAVPSRSDLIKIFSQYGPVNEAKIDIADNANCAQVIFKRRMDAEAAFGAAGKMTALGPALVSFRLTDFPCTAASGNGSQQGA* >Brasy1G292800.1.p pacid=40059777 transcript=Brasy1G292800.1 locus=Brasy1G292800 ID=Brasy1G292800.1.v1.1 annot-version=v1.1 MHRKLPPLPHLALRCSSTSSSTSPPPPSRLPPPVPLRDLLAHRLPPPHTPPSRSPPPVHPHAGLLLPRRGEEADPERLHLELVKRGLTHDLFLSNHLVNLYAKGSRLADARQVFDGMLERNAVSWTCLVSGYVLSGITDEAFRVFKAMLREGSEFSRPTPFTFGSMLRACQDAGPDLLGFAVQVHGLVSKTIYASNTTVCNALISMYGNCSVGQPLQAQQVFDTTPVRDLITWNALMSVYAKKGYVVSTFTLFMAMLHDDSAIELRPNEHTFGSLITATSLSSCSSGVLDQVFARVLKSGSSSDLYVGSALVSAFARHGMLDEAKDIFISLKERNAVTLNGLIVGLVKQHCSEEAVGIFMGTRDSVVVNTDTYVVLLSAIAEFSIPEDGLMRGREVHGHILRTGLIDLKIALSNGLVNMYAKCGAIDKASRVFKLLCARDRVSWNTIISVLDQNGFCEGAMMNYCMMRQGCISPSNFAAISGLSSCASLRLLAAGQQVHCDAVKWGLDLDTSVSNALVKMYGECGARSESWEIFNSMAEHDIVSWNSIMGVMVSSRAPTAESVEVFSNMMRSGLTPNKVTFVNLLSALSPLSLLELGKQVHAVVLKHGAIEDNAVDNALMSYYAKSGDMDSCEQLFSSMSGRRDAVSWNSMISGYIYNGHLQEAMDCVWLMMHSNQMLDCCTFSIVLNACASVAALERGMEMHAFGIRSQLESDVVVESALLDMYSKCGRIDYASKVFNSMSQKNEFSWNSMISGYARHGLGKKALEIFEEMQQNGASPDHVTFVSVLSACSHAGLVDRGLDYFEMMEEHGIVPQIEHYSCVIDLLGRAGKLLKIQEYINRMPMKPNTLIWRTVLVACRQSKDRDKIDLGNEASRMLLELEPQNPVNYVLASNFYAATGRWEDTAKARAAMGGAAVKKEAGRSWVTLGDGVHTFIAGDRSHPNTKQIYEKLNFLIQKIKNAGYVPMTEFALYDLEEENKEELLSYHSEKLAVAFVLTRSSSGVPIRIMKNLRVCGDCHTAFRYISQIVCRQIILRDSIRFHHFEDGKCSCGDYW* >Brasy1G193000.1.p pacid=40059778 transcript=Brasy1G193000.1 locus=Brasy1G193000 ID=Brasy1G193000.1.v1.1 annot-version=v1.1 MADVKQPPDSSAQAARLPSPTAAVAVAAAAAPNRGGAGSRGLLMGRYELGRVLGQGTFAKVYHARHLQTGESVAIKVLDREKAVRSGLVTHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLKEDIARRYFQHLISAVGFCHARGVFHRDLKPENLLVDENGNLKVSDFGLSAVAEPFQPDGLLHTFCGTPAYVAPEVLGRRGYEGAKADIWSCGVILFVLMAGYLPFHDKNLMAMYRKIYKGEFRCPRWFSKDLTSLMTRFLDTNPSTRITLAEVMEDRWFKKGFRPVKFYIEDDQLYNVIDAENDVLDLGLADPLPQPLPPSPRQEIDTDDSGSESDSSVVSCPATSSFEERQRLRGPLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFVSSEPMSDIVTKLEEIANVKSFSVRKKDWRVSLEGTREGVKGPLTIGAEIFELTPSLVMVEVKKKAGDKEEYDDFCNKELKPGMQHLVHQMVPAPNTPTNSE* >Brasy1G239800.1.p pacid=40059779 transcript=Brasy1G239800.1 locus=Brasy1G239800 ID=Brasy1G239800.1.v1.1 annot-version=v1.1 MARCSPPLLHRFFCCAAKSASATAAAASAKKKNIIFLGSPQVAASVLDTLLAASGSPDSIFQVAAIVTQPPAAKNRGRKLIPSAVAQLALDRGFPHDLIFTPERAGEESFLTDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDDCIKAPELLSMLFNIGSKLLLHELPSVLDGSAKQKAKPQDDSKATLAPKMNSEESWLTFDEEAKVLHNKVRAFAGWPGTRAKLQLMNQKGEPDVLDIKVISTKVSTSCDKTRDENEILFSGNSLLIPCSQSTWLEVLELQLAGKKVTTARDFWNGLRGQKLLKSP* >Brasy1G239800.2.p pacid=40059780 transcript=Brasy1G239800.2 locus=Brasy1G239800 ID=Brasy1G239800.2.v1.1 annot-version=v1.1 MARCSPPLLHRFFCCAAKSASATAAAASAKKKNIIFLGSPQVAAIVTQPPAAKNRGRKLIPSAVAQLALDRGFPHDLIFTPERAGEESFLTDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDDCIKAPELLSMLFNIGSKLLLHELPSVLDGSAKQKAKPQDDSKATLAPKMNSEESWLTFDEEAKVLHNKVRAFAGWPGTRAKLQLMNQKGEPDVLDIKVISTKVSTSCDKTRDENEILFSGNSLLIPCSQSTWLEVLELQLAGKKVTTARDFWNGLRGQKLLKSP* >Brasy1G239800.3.p pacid=40059781 transcript=Brasy1G239800.3 locus=Brasy1G239800 ID=Brasy1G239800.3.v1.1 annot-version=v1.1 MARCSPPLLHRFFCCAAKSASATAAAASAKKKNIIFLGSPQVAASVLDTLLAASGSPDSIFQVAAIVTQPPAAKNRGRKLIPSAVAQLALDRGFPHDLIFTPERAGEESFLTDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDDCIKAPELLSMLFNIGSKLLLHELPSVLDGSAKQKAKPQDDSKATLAPKMNSEESWLTFDEEAKVLHNKGGQELEQNCNS* >Brasy1G239800.4.p pacid=40059782 transcript=Brasy1G239800.4 locus=Brasy1G239800 ID=Brasy1G239800.4.v1.1 annot-version=v1.1 MARCSPPLLHRFFCCAAKSASATAAAASAKKKNIIFLGSPQVAAIVTQPPAAKNRGRKLIPSAVAQLALDRGFPHDLIFTPERAGEESFLTDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERFSVDDCIKAPELLSMLFNIGSKLLLHELPSVLDGSAKQKAKPQDDSKATLAPKMNSEESWLTFDEEAKVLHNKGGQELEQNCNS* >Brasy1G032200.1.p pacid=40059783 transcript=Brasy1G032200.1 locus=Brasy1G032200 ID=Brasy1G032200.1.v1.1 annot-version=v1.1 MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNSHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITSFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS* >Brasy1G076600.1.p pacid=40059784 transcript=Brasy1G076600.1 locus=Brasy1G076600 ID=Brasy1G076600.1.v1.1 annot-version=v1.1 MAPPALLSLLRLAPLQYHLRRSLSAATPPPDEKPPSHPPSPPAPSNPKLFVAGLSWSADERSLMDAFSAFGTVTEDGAHARCSTEWFRGHGRSHSIQPHELFEKMPVRDVVACSSAIYRNARSGSFDEAVGLFVGMMRAGVCPNSFTLVGASLAAAGLGDSVLTECIHGWAVKSRLDSNPFVRTALLDSYAKCGCPIKAWALFSEMRYPGIVTWNSMISGLVHNGLFEEALLVFKRSLRSFGPIHNVVTMISTAKACAGCGDVGLCGTAHAYTVKIGLDLDVSVTNSILGMYLSFASLETGKVIFRKIPVSNVVSWTMMMGSLLEKGHAGEVIHMFVKMRLNGIVPDRVAMVCLVQACALMGDKRRGKLVHNQIVIRGFSRELPAVNSLITMYSKCEDLSSARKLFVGTSDKSLVSWTAMVAGCVENGSALEGLHLFAKMRHEGLFVVDSVTLVTLLLACYVIAKFDLSVQLHGYSYKSGLYLHRPVLNTLMAVYGKCGYVVLAQKVFDEMISRDTVSWNTMILSYAINGQGEEAITLFSEMEKSSEDRDSVTYLNTLLACSHSGLVDDGLVIFRRMINEKGINPHQEHIGCIVDMLARAGRLDEAAGMASLTDNKLGPNAWKALMGASRLHNDIKFTKIAAQKVLTMESFDYGHVVLLSNTYASLGKYSAAESVRSCHTKQVAKKTLGLSSI* >Brasy1G084200.1.p pacid=40059785 transcript=Brasy1G084200.1 locus=Brasy1G084200 ID=Brasy1G084200.1.v1.1 annot-version=v1.1 MPSIAGGGGWRLFSADRSMAWLRRRQSPVFSSLAGGGGDAPHLPVVIVGAGPVGLVLSFLLTKFGIKCTVIEKGMEFTRHPRAHFINNRTMEIFRKFDGLAGDIEKAQPPVDLWRKFVYCTSLSGSVLGSVDHMKQEDFDKVISPISVAHFSQYKLVDLLLKKLEGIGFQTCFPDDIGGRLTRDLVLENKILMGHECSSIQLTDEGILLGASFNNGGTMHERKLRCGLLLGADGAKSTVRELAGISMEGARDLQKLVSVHFLSRDLGKYLSSERPGMLFFIFNPDAIGVLVAHDLEHGEFVLQIPIYPPQQMFEDFSVKVCEQIIVKLVGWEPADVQVLDIKPWVMHAEVAEKYTGCNNRVILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLGLLLNGVAGPSILQTYESERKPVAISNTKLSVQNFKAAMSVPAVLGLDPTVANSVHQAINSSLGSVIPRNLQKTVLEGLFSIGRAQVSDYILNEKNPIGSLRLARLRNILDEGRSLQLQFPAEDLGFRYEKGALVAEDCAETTQQAEKAKHSNRSSGEYIPSAKVGSRLPHMLVKTLSASSEGVFSTMDLVSRDKVEFVLIVAPLKESYEHARAMLKIADELKLSVKVCVLWPQGSVDAEVKGSRSELAPWTNYVDVEEIPWASGNSWWEMCQVSKNNVILVRPDEHIAWRIESDMVKDADSECRRVFSQILCLNRNQM* >Brasy1G455700.1.p pacid=40059786 transcript=Brasy1G455700.1 locus=Brasy1G455700 ID=Brasy1G455700.1.v1.1 annot-version=v1.1 MDELLHHKRTTQLLQDKEGRQKIGRWRPAEARIFGCQIEPPLRPRLRGCARRRAAWGRWWCRRRRRGPCGGPRCSRQRRAAARRLAAGQAGNFGAAGQAGNFGAAPDGGDWDDGSADRDEEGGGGAFEHGGEFAGWLHRAGRG* >Brasy1G347400.1.p pacid=40059787 transcript=Brasy1G347400.1 locus=Brasy1G347400 ID=Brasy1G347400.1.v1.1 annot-version=v1.1 MGRTNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYQYERPRSYSSGRRGGVSRRSEYRVMVDGLPSSASWQDLKDHMRRAGDVCFSDVYREAGAIVGIVDYTNYDDMKYAIRKLDGTEFRNAFARSYIRVREYDTRSRSRSRSLSYSRSRSCSRSKSPRSVSRSPLPVDERSLSRSRSPDSSASPRKSVSRSPSRSRSLSRSASPVRSE* >Brasy1G347400.2.p pacid=40059788 transcript=Brasy1G347400.2 locus=Brasy1G347400 ID=Brasy1G347400.2.v1.1 annot-version=v1.1 MGRTNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYQYERPRSYSSGRRGGVSRRSEYRVMVDGLPSSASWQDLKDHMRRAGDVCFSDVYREAGAIVGIVDYTNYDDMKYAIRKLDGTEFRNAFARSYIRVREYDTRSRSRSRSLSYSRSRSCSRSKSPRSLSRSRSPDSSASPRKSVSRSPSRSRSLSRSASPVRSE* >Brasy1G443300.1.p pacid=40059789 transcript=Brasy1G443300.1 locus=Brasy1G443300 ID=Brasy1G443300.1.v1.1 annot-version=v1.1 MTRTGSLLGHRRRTSPLAGARRRPPPSQRPRRRLRRPQAVPTPPSASPGRAAPSALFPDARGSPERPAPPPPEAAPPSSPSPAKTLAAGFCFREPTSKPQRPCSARIRNSLLTEREGNELSGRKPSSSRRHGEGRNELFGGRHWSVLAPPSRLLLTRWKEICRSKRDRTPWKLGRPRRQHRSRGRAPERARGIELVSLLRLLPS* >Brasy1G122300.1.p pacid=40059790 transcript=Brasy1G122300.1 locus=Brasy1G122300 ID=Brasy1G122300.1.v1.1 annot-version=v1.1 MADKKTRSTRSFWDAAATTVEACTNCFAPRRSRIGEVDENPSYDSHDVSSSTTVSRIPPASSRRTNKSRQIGDNTQNKLWQDQFSFQEICLATSNFSEQNRIGRGNFGTVYKGKLRDGSIIAVKRANKNMYDRHLSAEFRTEIETLSKVEHLNLVKFLGHLEHEGERLLLVEYVNNGTLREHLDGTRGEPLEFSQRLNIAIDIAHAVAYLHGYTDHPIIHRDIKSTNILLTDQLRAKVADFGFARLAPYNTEATHVSTMVKGTVGYVDPEYLRTSHLTDRSDVYSFGVLLVELITGRRPVERNSGRHQRLTTQWALRKCRDGDVVVAMDPRMRRTSAAVAAVERVMALAAECAAPDRAARPAMRRCAEVLWSVRRGFQHEQEQQRVASAGVGAGAGTRRRNDSTATHGSSVTSLEE* >BrasyJ069200.1.p pacid=40059791 transcript=BrasyJ069200.1 locus=BrasyJ069200 ID=BrasyJ069200.1.v1.1 annot-version=v1.1 MKDPTPAPPPPPPVLSHPLILHLDSISVLHASADGTATDGSDRDSYRFNCARGGVDGTVDVSSEPFAASMARLGCARQWGSRHGRRRATASDPSLRLRRPLLLPPSPSRCSDLEVPLPAAPLVAPALVAPASSVMALAAVAADHAPPTDDAAAQPNLTDFLQRVTEAITPGLLQLARRAQALPSRPARGTCQVRRSGRRASKQASPDHVMSKAKRLICKKLGVAFEEAATDDAALLARYSGSFDKPLNEGQIAALTALAQRGAEKKKAKASA* >BrasyJ055000.1.p pacid=40059792 transcript=BrasyJ055000.1 locus=BrasyJ055000 ID=BrasyJ055000.1.v1.1 annot-version=v1.1 MTFADRLGPRDAIPLVLDWAIRNGSCPPASSDSDKESVPYGACISTHNKCVNASSGALGYFCNCSRGYAGNPYIIDGCTNINECESKDLFPCSGGTCQDEIGDYKCICHFGRRGDGKSPNGCEPILPTAAVAVIGTISAMALLAVLLIFLHMNREKRKLRDHFNKNGGQLLKSIQIDIFTQEKLKHITENYRSFIGKGAFGNVYKGTNENNTHVAVKRSIPINMDRQKDFFVNEITIQSKMSHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLSLETRIDIAINSADALAYMHSQASQKILHGDVKSGNILLDDEFMPKVSDFGTSRLMSIDKDHTNWIIGDNSYIDPVFMKTGLLTEKSDVYSFGIVLLELITRKKARYDKNNSLPINYMKASTNGTTKEMYDADIIASGAEEDMKCLEEVGMVAVHCLAEDVNDRPTMNEVADELKMCKSRWLVSHDQASELCT* >BrasyJ055200.1.p pacid=40059793 transcript=BrasyJ055200.1 locus=BrasyJ055200 ID=BrasyJ055200.1.v1.1 annot-version=v1.1 MSQAPLLHQIICRAALLLATGASLLPLAPAPAPGPNCPDRCGDLHIPYPFGVGPECSLPGFDLTCNETTSPPSLLTRNLEIANITLETAQMVVYVPLIYSCVLRDSQNNPMSPTINNIHAGGQPRPAYPTGCISACARVNDTGEYGAPCRGYGCCEAPITPGLSQLLFFSLRWEEGFRRVADNWCQYAFVAAKSWYVLLPSPGPDGHYKLVFCVHLIIFSTYARLFAQF* >BrasyJ055100.1.p pacid=40059794 transcript=BrasyJ055100.1 locus=BrasyJ055100 ID=BrasyJ055100.1.v1.1 annot-version=v1.1 VSIDLAADDGEQLENPAGGCWRWHGWQRRRRRHRAGRARGAAVVCHARRPVLQAPLEPTNAGEDELHGRRPTESPLPSLASLSKPTFTTEISSSLHTARSASAAPWATKHKELRVKEKNMVAPLDVRPATTSLRGVAG* >BrasyJ070000.1.p pacid=40059795 transcript=BrasyJ070000.1 locus=BrasyJ070000 ID=BrasyJ070000.1.v1.1 annot-version=v1.1 MVLLDEQAPTEMQAHHDAFFSPSLPADQLRASSPSRQKRLLVTSARALGSPPASLRNFSEILLDLFRCAVWLLSIGALSTVMLHLHHLLHFGSQVCPSGVA* >BrasyJ075500.1.p pacid=40059796 transcript=BrasyJ075500.1 locus=BrasyJ075500 ID=BrasyJ075500.1.v1.1 annot-version=v1.1 MAPLYHEKEGKRTDEKPEKKQKREQHHDVSQANLGMNAYPGAYGAPPLSQLPFGGGPKVMMPEIIVPNNILFVQNLPHDTTPMMLQMLFCQYQGFKEARMIEAKPGIAFVEYGDEGQATAAMSALQNFKISKDNLMLITYAKK* >BrasyJ075300.1.p pacid=40059797 transcript=BrasyJ075300.1 locus=BrasyJ075300 ID=BrasyJ075300.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPYVICALAQRRFCFQGLPNTSASHRWRRDAIRI* >BrasyJ075600.1.p pacid=40059798 transcript=BrasyJ075600.1 locus=BrasyJ075600 ID=BrasyJ075600.1.v1.1 annot-version=v1.1 MAEPPPPSQSPAQTPRPRSSSNARGVRARRHDGVRAALERHCCVPPARELQAVDRSLQSSHQKLQSYFISLQREDQPTTEEMLRKEITTMEEELKTKSELIAKHKKLIEGWQKELKDQLGKHNTELERV* >BrasyJ075400.1.p pacid=40059799 transcript=BrasyJ075400.1 locus=BrasyJ075400 ID=BrasyJ075400.1.v1.1 annot-version=v1.1 MYADQISTGRKRSVHERLDSDLPSGRGGADAAGRARHAVSKRQRQADEKWKHDLYREDDGSASKSIDPRDLRFKLQRKSSQQGFAGQKGTGVRDLREMLSGTMHPQPSNADPRKAKPVPEVVKVTRRENVDERPVRQSKKVSKPSSSKKASQPKAESPLDSFLKSLGLEKYSITFQAEEVDMAALRHMSESDLKALGIPMGPRKKITLALESRA* >BrasyJ059400.1.p pacid=40059800 transcript=BrasyJ059400.1 locus=BrasyJ059400 ID=BrasyJ059400.1.v1.1 annot-version=v1.1 MPSRYLVLVVSIAILFSGDAAAIHEPDMAAAAPSSDREAGFSFPVVPWKSMAEVVEEEMELAATEEKFVVPFRRRKYSTYLVQLRIGGGPADQVRSRYVLFDTGDDLSWTQCVPCRDCNSCYFRPFNPVKSRTYKHLPCEDPICEHSRITRCQISYTSPGNNLCIFHKKYGDGSNLSGYLGSDIFRFGTDGYNFGQAVIFGCAWTEHTTAVREYITGILGLGMGPLSFVAQAGVDKFSYCVVSPETRDRRDQWRESTSYLRFGSHAVTSGMIVPLKQDGAHFVVSLRSVTYRGGSRLNQEQPVPIFSREDAADFLRILVDSGTSLVFLPGVIFDPLLKRINDEIGLVRVYASNPNVNCYFGDMSHVEGVSVTLGFEGGAELELFGDTLFYEGSTGDYVCLGISVDERKSVLGMIAQRNTNVGYDISKMEVSFNREACA* >BrasyJ059200.1.p pacid=40059801 transcript=BrasyJ059200.1 locus=BrasyJ059200 ID=BrasyJ059200.1.v1.1 annot-version=v1.1 MANFDFDPASWVPQGMLWMDGGEEQTPEDHVFFGAAPPRRHDGYAPVPAGPFARRLLMQEVQALISAPPLSLEVLRARVHPFGAGIFQFSSVLIRDQLVSHALIHMEGGRTLYFIRPPMLAGRRASSRILYIMYLGWPLDFLDLELFRRAVSRFGIPIDWVDQDNRESYVLLRCLVKDNLRVPRSTIVEQLNLFGGQGRSWTVPTMVLDGNFDALLPVEEDLPLGSLNRHALPPPPPQIFGFGWDPWGAPPIHDEQPAAGGWPAAPQDSMPNEPQFPDTLVDSRAPSRPIGRCIVRPPASPDSMSSASSAAVAAPGPFRPGKRARAGVCISDGRASSLDDDDDEDLPRAPEFGYDLQVALLEQAQAPPDPSDRKGKKPCFLAAGDEGSSRPSPAWTPSARIPGLSPSLPPRAGSPIMVRIPAARPSPVPPPATSAGVLDFISTSQASVVQAIAPTSGERYLDMPAGDEPSSPPLAAGDAKGPDGDEPSSPPLAAGDAKGPEAKSGA* >BrasyJ059300.1.p pacid=40059802 transcript=BrasyJ059300.1 locus=BrasyJ059300 ID=BrasyJ059300.1.v1.1 annot-version=v1.1 MSEAAHCEKLKYIERVTECPDEVQRRVLAEILAQNAEAEYLRRIGVSGSAPDADRAFRRLAPLITYEDLVPDVLRIANGDTSPILCGKPVSEFLTSSGTSGGERKLMPTIEEEMERRCLLYSLLMPVMSQVVPGLDEGKALNLYFVKAETRTPGGLPARPVLTSFYKSRHFLERPHDPYVVLTSPDEAILCADVQQSMYAQLLCGLLQRTEKHWRRMCHDIRAGTLDAGMVTDPAVRAAVERVLSRYADPALADAVAAECGTSSWEGIVRRVWPDTKYIEVIVTGAMAQYVPTLKFYGGGLPLCSTMYASSECYFGLNLDPMCDPSDVAYTLIPAMCYLEFLPVVPSTEPAEPGQHDLVGLADVKLGHEYDRARLYRYRVGDVLRVAGLKNRAPTFTFLRRKNVALSIDVDKTDEAELHAAVSGAVQLHLEPAGAALVEYTSYAELAPMPGHYFWELRHAGPGAADSVLEACCLAVEESLNSVYRQCRADGSIGPLEIRLVTPDSFHALMDLALSKGASVNQYKAPRCVRSGDLLELLDGRVQGRYFSRQCPKWAPGN* >BrasyJ006300.1.p pacid=40059803 transcript=BrasyJ006300.1 locus=BrasyJ006300 ID=BrasyJ006300.1.v1.1 annot-version=v1.1 MEAWSGPRRSPKPQAPANQHPSWFPSFVRSQAGDRTKQCPWSSGMAMQYRAPGLNWMGYLCWNRELDGLLVRLLVEMNNNRTVHCY* >BrasyJ009000.1.p pacid=40059804 transcript=BrasyJ009000.1 locus=BrasyJ009000 ID=BrasyJ009000.1.v1.1 annot-version=v1.1 MGSSGCCSEIVESTEELDNAPVSGSSLLHIKVKPEEKDGKCRGYPVEDELDQLLKAIDSRTYRRALSPGQAGADTLLKNAQKKTSRSGSSQNTGIGICSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLIVQSSDESPLHDDDKTNLIEISITPQKISKNSSRGTSDFSEDCDFETADGSAVTSLHSASSASADIRKIRIQDVMGGDPIDSESSFVENGKLGEKVSAATDDSSPRLTILSKPITTSRLVKPVFRCKTNSKSKMKEEQSSLGNSSNSTKFCSSKESISLASTSCSSASSVTNPTSCAEEQKTNTEPEISDERSSEWLRTQEKGECSQNSKSSISEYGCSTSISDESQFGLCGYTNRPHMAKDIRWITIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLISRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPSARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMLSDFDLSLRCSVNAVLLRSSSVAVNQQPKKLAGPCAESYCINSKCLQPSCAQTSCFRQRPSVPKPRKPKSSLKRLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPVVSSNARDLIRGLLVKEPENRLGTLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATVKKKKEGKCLDFRSTEELEFEVF* >BrasyJ009100.1.p pacid=40059805 transcript=BrasyJ009100.1 locus=BrasyJ009100 ID=BrasyJ009100.1.v1.1 annot-version=v1.1 MAVICTAHQPFLLANQAPSGNRFQKNAVACSRRAATLRVRAAKLPAGVQVPRVQPKLSEPFLGFTNTAEVWNSRACMMGLIGTFIVELILNKGVLEIIGFEVGKGLDIPL* >BrasyJ035300.1.p pacid=40059806 transcript=BrasyJ035300.1 locus=BrasyJ035300 ID=BrasyJ035300.1.v1.1 annot-version=v1.1 MGKWSVGLCDCFGDLGTCCLTCWCPCITFGRIAEIVDRGSASCCMHGTMYVLLGSIGCNWLYSCTKRSSMRAQYNFQESRFIDCCIHLCCETCALCQEYKELENRGFNMSKGWEGSNKMVVGMAAPEKQGMDALLDHV* >BrasyJ028900.1.p pacid=40059807 transcript=BrasyJ028900.1 locus=BrasyJ028900 ID=BrasyJ028900.1.v1.1 annot-version=v1.1 MIQRAPLIGAMHLFLQTPLLCRLLIETPVLLPLCSHEYDTIAKKFTPSPLFPHTRRFQNIFSVPLRADNPPPNGCLPFRCSVAFFRDLNMHTTLIALYITVCSALFIISKMLISFLCYKKWARKKRIIETSLTGGKMVIFRSAAMQSLSPKSFLRMIMGLSSKDIIGWGYGTVYMLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDAILHAAKDHQMEEEKKRAAVRLDWPVRYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDETLPSFPAEEVKFVFTVAEKCLESDPRDRPTMAQVAKMLEQAKLA* >BrasyJ020800.1.p pacid=40059808 transcript=BrasyJ020800.1 locus=BrasyJ020800 ID=BrasyJ020800.1.v1.1 annot-version=v1.1 MKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCALIWGACNHAFHLHCILKWVNSQTSTPL >BrasyJ038200.1.p pacid=40059809 transcript=BrasyJ038200.1 locus=BrasyJ038200 ID=BrasyJ038200.1.v1.1 annot-version=v1.1 MKLVQSNFARDAPGYAKLLPEVDDDLWDAYNLILPGDTVEAVTFRKIARSGGRDAERVKLSLEIAADSAEYDKDGSVLRVRGRNLTKNEHVQVGQFHTLELELKRPFVLRKELWDWPALDTVRQSCDDTGASADLAVLLMQEGLAQLFLVGRSVAASRARVEAPVPRKHGAAAASAYDAALRGFFERVLEAFLSHVDFEVVRCVVVASPGFTKEQFHGYMLLEAERRGLRALLQNKARVVLARAPSGYAHSLQEVLASPGVKAMVKDTRLAQEAPALEEFFAMVTKDSDRACYGPKHVEVAHERLAIQTLLLTDTMFRNRDVAARRKCVDLAEAVKKHGGTVRVFSSMHVSGNQLEQLTGIAAILRFPLPELDEIEM* >BrasyJ037900.1.p pacid=40059810 transcript=BrasyJ037900.1 locus=BrasyJ037900 ID=BrasyJ037900.1.v1.1 annot-version=v1.1 MWCERVADVAHELLALQPDVARRLLDVAGRLDDGGVAVRAQRVEEAPLGRHGGVGAEVIDQAVEVALDIPQGSHHHAQIPKLLHAGPTVRPSAGAIEQDEHGRRVAEVDLDGKPILSAVRPHELPHISE* >BrasyJ038100.1.p pacid=40059811 transcript=BrasyJ038100.1 locus=BrasyJ038100 ID=BrasyJ038100.1.v1.1 annot-version=v1.1 MHRSSSYYLQSSSSSTTASSAAASAAGGPSGNSNNAAAAMGVDQLPTYDPQSDAAKKEALDASRANLAHALVHLVPVVVLLCALLLWSLSTTPFPPPVGVVVLKKGRLMALKSGPANDWDGSGVMAAGTEDPDLDDKAASHGLTPEQLEGDTSGKRIKKDGLKK* >BrasyJ038300.1.p pacid=40059812 transcript=BrasyJ038300.1 locus=BrasyJ038300 ID=BrasyJ038300.1.v1.1 annot-version=v1.1 MRITQGSKVEVWTTEAAPPMGAWRAGEVKWGNGHSYIMRWFDGGPDSGRISRKFVRPFPPHVDLPEDLEAGDIVEVSDRELWKWAEVVRAGHGGDRQFDVKIIGSTKVLTADRGALRVRQVLREDDVWVVHHKDKEIAAVPSRPIAGKNIKSKANVARKVIVANGDDGSKFAAQAFKLGKTKRSNDCTVDSDIVRDVKRFQGNGDTKRPLVANKEPAPRYDDNIEVIDVHPSQYLIKKQQETRNNNELDCHVAGRTDKDSDDDASSKSDTSSAGSSSGGTSSSSSSSSSNNNCGGDGAVSATGETCQDQDAAIQPLPSCKKLQSEKHDSDDRTESPAAVMKQEEEQNDERVHGLELDAYVSVMAAFHATGSLTWEKEELLSNLRLHLHVSSDEHLQVIWRLNGKRKPAGGGPRSVHC* >BrasyJ038000.1.p pacid=40059813 transcript=BrasyJ038000.1 locus=BrasyJ038000 ID=BrasyJ038000.1.v1.1 annot-version=v1.1 MVRPRGKPSTGRHRIEMSPIANRSSRQVTFSKRRSGLFKKGSELAILCGARVVLVVFSEVGNVFALGSPSADAVLGDDGGAVSDEGEREALEGMCRAREEAAERVAAETARMDSIGDKVAQAQAGGGPGGRPTWGCSGRRSCRSSPGRSRGSGTTCAATPTSCSPPPRRPPPPSRRRQPCRRARSGIPLLRILGITRLLKL* >BrasyJ076800.1.p pacid=40059814 transcript=BrasyJ076800.1 locus=BrasyJ076800 ID=BrasyJ076800.1.v1.1 annot-version=v1.1 MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRLTPGQFIKRQHNSAEGLAEAGSYDGSASKPEEFRDQIRSMIRDEIESFCRKNPEPFMRTLNNPDRTQNSAITAEATIFDVADRSTKGTSKDMESTNTGSTNLHSQAMMYARLSESPGIKTSSSTSVSYGEQFKESNGLLNVLPISAESAGLLPKCTDEPKGSDILLEAVLEAEVAENAKFFVSQPHDQ* >BrasyJ076800.2.p pacid=40059815 transcript=BrasyJ076800.2 locus=BrasyJ076800 ID=BrasyJ076800.2.v1.1 annot-version=v1.1 MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRLTPGQFIKRQHNSEGLAEAGSYDGSASKPEEFRDQIRSMIRDEIESFCRKNPEPFMRTLNNPDRTQNSAITAEATIFDVADRSTKGTSKDMESTNTGSTNLHSQAMMYARLSESPGIKTSSSTSVSYGEQFKESNGLLNVLPISAESAGLLPKCTDEPKGSDILLEAVLEAEVAENAKFFVSQPHDQ* >BrasyJ076800.3.p pacid=40059816 transcript=BrasyJ076800.3 locus=BrasyJ076800 ID=BrasyJ076800.3.v1.1 annot-version=v1.1 MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRLTPGQFIKRQHNSAEGLAEAGSYDGSASKPEEFRDQIRSMIRDEIESFCRKNPEPFMRTLNNPDRTQNSAITAEATIFDVADRSTKGTSKDMESTNTGSTNLHSQAMMYARLSESPGIKTSSSTSVSYGEQFKESNGLLNVLPISAESAGLLPKCTGTTKASLVVILAVSHSNVIDTTEP* >BrasyJ076800.4.p pacid=40059817 transcript=BrasyJ076800.4 locus=BrasyJ076800 ID=BrasyJ076800.4.v1.1 annot-version=v1.1 MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRLTPGQFIKRQHNSEGLAEAGSYDGSASKPEEFRDQIRSMIRDEIESFCRKNPEPFMRTLNNPDRTQNSAITAEATIFDVADRSTKGTSKDMESTNTGSTNLHSQAMMYARLSESPGIKTSSSTSVSYGEQFKESNGLLNVLPISAESAGLLPKCTGTTKASLVVILAVSHSNVIDTTEP* >BrasyJ076900.1.p pacid=40059818 transcript=BrasyJ076900.1 locus=BrasyJ076900 ID=BrasyJ076900.1.v1.1 annot-version=v1.1 MSLVTAFLAGAAAPAAAPRTARPSAGFFGLAGGCALTVECSSRPQKKGTKHHMKTRPKKTQRWDIKRRPTQYPPLPALPEDWTLVAAGETEDAAAQEEEEPTPAAAVAVDVEVVAAPAAAD* >BrasyJ076600.1.p pacid=40059819 transcript=BrasyJ076600.1 locus=BrasyJ076600 ID=BrasyJ076600.1.v1.1 annot-version=v1.1 MKIRVLEEGQAELKREIGKLIPERRGGVGGGGQSSSAAAAASPSTRRPFQQQQQQQQQQAAPSPRLRALALLPQASSSARHHHHRAGLSDRHCHRILQSLGQAVHVISLEGKVLYWNRFAEHLYGYSASEAIGQDLLELICDPGDFGPANDIMSSIFMGKCWRGKFPVNTKSGERFSVIANNTPLYDEDGSLVGLTCLSGDARILEEMVCSSASAKYYLNSAKPHLQVISRPKSDMPNKGSSDSQQPLQSAITSKITSLATKVTSRVRSRIKTGQNCDEQYDSSCEGQYSEHYARGELISSEASTPGGDALDVKKKNSDDSGEGKGGLHKSFSSKAEALFTKKGISWPWKGNENDGGYGKNKMTSPKFRKQENAQSHQGVPIPEPIVIPDCQDTEYIRAGKHEVSGSWWTFNNNSTSSTMSSTVSSNSSPIERADYEADCLDYEILWEDLVLGEQVGHGSCGTVYHALWYDSDVAVKVFSKLDYSEEMIQTFRQEASLMKKLRHPNIILFMGAVASQQRLCIVTEYLPRGSLFSLLRRTTGKLDPRRRIHMAIDIARGMNYLHSCSPTIVHRDLKSSNLLVDKNWNVKVADFGLSRLKLETFLSTKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVVLWELVTEKIPWDNLNIMQVIGAVGFMDQRLEIPSDMDPQWASMIDSCWDSDPQRRPSFQELLERLRGMQKQNALQRSAAGKGAEKVIAEDC* >BrasyJ076400.1.p pacid=40059820 transcript=BrasyJ076400.1 locus=BrasyJ076400 ID=BrasyJ076400.1.v1.1 annot-version=v1.1 MTLCPFAKELWHHLQSRWPRLADCAASCSSIKVWWKKIVYAKVTDKKELSSLAAYGAWHIWNERNRRVFKQKEITALMLVRLITDDYGLLKEAKEI* >BrasyJ076700.1.p pacid=40059821 transcript=BrasyJ076700.1 locus=BrasyJ076700 ID=BrasyJ076700.1.v1.1 annot-version=v1.1 MEKMFVTFENIESMKHLFLMFIHWDNKLLSANEEIETVMKGITNGACDYLVKPLCAKELKNIWQHIVRRRNPDLRNDRSSSNTGKNYYADEKQGPSKNIRKCSRMIKYYGDVSHEIKENVVLSTQTKPRVRWTKELHGIFLKAVNQLGIDKAVPTKILDMMDVDYLNRENVASHLQKYRLCLKKVKTKVENNTMMNSNPSANASTRLSSSFNAMKEPESFQYYHGHGQYQPSRINFSTRMDSHSPSSFGANSVMPTQSKQRNNLGMGQIVDVGSMPDARQHTTSSNSYANMPADAFSSFHSCGISYSNILCGKPLEASIGNNSGNYFARIPNGGLSVHANQLPVQPSPQLRHGHSSQKNPCGDDTRDIGNSWQDAKLSDHGNTDGRESQANILNINHVTSLATTSAGSKPMCQNKLQNQMGAILSTSEQNVSFNVASNDTSSIQLLNDSSALGITSGIGSYTLPNLQMVSYVTSTYMLNGGGEGGILSMQEQGSVDPEAVNDQPNYNNGPLIGTNELDGSCSWDDILDVLNEK* >BrasyJ076500.1.p pacid=40059822 transcript=BrasyJ076500.1 locus=BrasyJ076500 ID=BrasyJ076500.1.v1.1 annot-version=v1.1 MGCEVEKGERGSGSRERRRWGLRIRRQGSRGRRRPCGCAGRGNGGGVEDAVAGDERTAAGVEGAAAGVEDAGAAGRRGRGKGGHGGPQRSSALRQLRSAAHVGKGNRRTWF* >BrasyJ046100.1.p pacid=40059823 transcript=BrasyJ046100.1 locus=BrasyJ046100 ID=BrasyJ046100.1.v1.1 annot-version=v1.1 MILPLWCGEFNDSEIKKLISSIVEHRETHGYNRFGVAACENTETLGRTSTHGSLHIVNCSSLLVYTVL* >BrasyJ046400.1.p pacid=40059824 transcript=BrasyJ046400.1 locus=BrasyJ046400 ID=BrasyJ046400.1.v1.1 annot-version=v1.1 MLLIGAVQNFLGYGGRGAPAIHLRIELARFIAVLKFDLLNLGSNSIHVESNCKFNTASDPYYLLKFAQIWMYLCLKSVHIHMCVLIFVGTNGETYFNTTSLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLYAVLHTPDHATLVFMVAVGPSLVALGLMFVIRPVGGHRQVRPSDKNSFMFIYSICLLLASYLVGVMLVQDFLNLSDDVVTSLTVILFILLISPIAIPVTLSFFSKTEYPSPTEEALLSESLKGEASSSQEKEDQPELILSEVEEEKSKEIDSLPPSERRRRIADLQAKLVQAAARGGGSGLTVIDNLGQMSQLLGFKTRITLVSLTSIWNFLGRVGGGYFSEIIVRERAYPRHIALALAQILMAAGHFLFAMAWLGTMYMEPSCNFYDYEAEKQAHRHQSSVLPSPRLLHGVGLLADGPLKCEGAVCFFVSSLIMSVFCVMGAGLSLLIVHRTKRVYSRLYRSSVR* >BrasyJ046000.1.p pacid=40059825 transcript=BrasyJ046000.1 locus=BrasyJ046000 ID=BrasyJ046000.1.v1.1 annot-version=v1.1 MATAATAAAIATGIPPKPHHQCESRNHHHLPLPATGSRGIHGTRLRCSTVSPTTTTPSPPAAPLRPSAPTEPRKGADILVEALERCGVRDVSGYPGGASMEIHQALTRSPTIRNHLLRHEQGESFAASGYARASGRPGVCVATSGPGATNLVSELADALLDSIPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVQEAFFLASSGRPGPVLVDIPKDVQQQMAVPCWETPIRLPGYSARLPKPPSTDLLEQVIHLVGEARRPILYVGGGCSASADELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHISTCADVKLALQGMNALLELSTEHKSFDFSNWCSELEHKKNEFPLSYKTFGEAIPPQYAIQVLDELTNGEAIIATGVGQHQMWAAQYYTYRRPRHWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVMQWEDRFYKANRAHTYLGNPENESEIYPDFVTIARGFNIPAVRVVKKSQVRAAIKEMLETPGPYLLDVVVPHQEHVLPMIPSGGAFKDMILDGDGRTRY* >BrasyJ046300.1.p pacid=40059826 transcript=BrasyJ046300.1 locus=BrasyJ046300 ID=BrasyJ046300.1.v1.1 annot-version=v1.1 MSVEYNMDEALRARGVAENKFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLGAFADEEDVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVLYDQKRTDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHNSTKHSYGSTSRTSSIPGTGHVGYQQDSTYDSYNSQSFQWNQYSKTTPAAGTNAYSTQASEKPRRKNEESYSYNYSATGNACGPEKTPSRRGRFAKRRRNSNDGYTAVDYSGDIKETVVASTETIAFTDVGRANGTSVEKLRSAVSVRRGNVLREISQIDTRSLLIEKAKEAIRGKLQDLNMAASSRFAEKRKSEGKVYPSDNNIKANGVLSGKPGKGLKQCSSISADTLVPVIATDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSTRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVCWSKGPRGVIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEEGLTVIPLLKVAGFKAVFHRHMDTKEVRRIPKGELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVKEDEAVQTAK* >BrasyJ046300.2.p pacid=40059827 transcript=BrasyJ046300.2 locus=BrasyJ046300 ID=BrasyJ046300.2.v1.1 annot-version=v1.1 MSVEYNMDEALRARGVAENKFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLGAFADEEDVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVLYDQKRTDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHNSTKHSYGSTSRTSSIPGTGHVGYQQDSTYDSYNSQSFQWNQYSKTTPAAGTNAYSTQASEKPRRKNEESYSYNYSATGNACGPEKTPSRRGRFAKRRRNSNDGYTAVDYSGDIKETVVASTETIAFTDVGRANGTSVEKLRSAVSVRRGNVLREISQIDTRSLLIEKAKEAIRGKLQDLNMAASSRFAEKRKSEGKVYPSDNNIKANGVLSGKPGKGLKQCSSISADTLVPVIATDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSTRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVCWSKGPRGVIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEEGLTVIPLLKVAGFKAVFHRHMDTKEVRRIPKGELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVKEDEAVQTAK* >BrasyJ046200.1.p pacid=40059828 transcript=BrasyJ046200.1 locus=BrasyJ046200 ID=BrasyJ046200.1.v1.1 annot-version=v1.1 MSTGGADKSGSGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKQTVTDGA* >BrasyJ055500.1.p pacid=40059829 transcript=BrasyJ055500.1 locus=BrasyJ055500 ID=BrasyJ055500.1.v1.1 annot-version=v1.1 MASLGFSYAQIHVRQERVRKRINEEAVTKTISKGLIADEDKNKIIMGEEEKKQGSWSGGRVHPCASTTEAAEHGPKGWAPMKFAGHGA* >BrasyJ055700.1.p pacid=40059830 transcript=BrasyJ055700.1 locus=BrasyJ055700 ID=BrasyJ055700.1.v1.1 annot-version=v1.1 MRELAFGAKLHKFEYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ055600.1.p pacid=40059831 transcript=BrasyJ055600.1 locus=BrasyJ055600 ID=BrasyJ055600.1.v1.1 annot-version=v1.1 MGIGHTIELAIQQVAYMCETLLRTKYERLDKGPFKYLPRGFITCKNKFFTLQGMPEEKIADDSYDFCNFVTSQEYMVANMRVEIEHYRKQLWIALGHLSAVVDAGMYENEVCYPPRPPAPELAKMFKVDGFTPARGPPRVFESTYLPRQFLYREQKADAYVFPYSPQLLPRF* >BrasyJ055800.1.p pacid=40059832 transcript=BrasyJ055800.1 locus=BrasyJ055800 ID=BrasyJ055800.1.v1.1 annot-version=v1.1 MDGDGGSDCDTGGEWIWVRRLQEAEAAAVAAGWQAEESRPLKVVFASPAKYFTDAAPIGNGRLGAMVWGGVASERLQLNHDTLWTGGPGNYTNPNAPTVLSKVRSLVGKGLYAEATAVAYDLSGDQTQIYQPLGDIDLAFGQHIKYTNYKRYLDLESATVNVTYTVGEVVYSREHFSSNPHQVIATKVSANKPGAVSFTVSLATPLDHRIHVTDANEIIMEGCCAGERPVGDDSASDDPTGIKFCAILYLQISGANGTLQVLNDNMLKLDGADSAVLLLAAATSFEGPFVKPFESTLDPKTSAFTTLNMARTMSYSQLKAYHMDDYQSLFQRVSLQLSRGSDNVLRGNSLPNSPENSCQDIAVSHCVEHMSDRSWLKELNNSDKPTVDRIISFVDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDTRPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSINGAKTAKVNYGASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGSWLATHLWEHYSFTLDTQFLEKTAYPLLEGSASFLLSWLIEGQGGQLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSVIREVFSAVLLSADILGKSGTDVVQRIKKALPRLPPIKIARDITIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMTLEQTPDLCKAVGNSLYKRGDEGPGWSTAWKMALWAHLHNSEHAYKMILQLISLIDPKHEVEKEGGLYSNLFAAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPHGCVKGLKARGGVTVNICWKEGSLHEALLWSGSSQNSLARLHYGGHNVMISVSAGQAYRFSSDLKCLKTWLL* >BrasyJ055800.2.p pacid=40059833 transcript=BrasyJ055800.2 locus=BrasyJ055800 ID=BrasyJ055800.2.v1.1 annot-version=v1.1 MDGDGGSDCDTGGEWIWVRRLQEAEAAAVAAGWQAEESRPLKVVFASPAKYFTDAAPIGNGRLGAMVWGGVASERLQLNHDTLWTGGPGNYTNPNAPTVLSKVRSLVGKGLYAEATAVAYDLSGDQTQIYQPLGDIDLAFGQHIKYTNYKRYLDLESATVNVTYTVGEVVYSREHFSSNPHQVIATKVSANKPGAVSFTVSLATPLDHRIHVTDANEIIMEGCCAGERPVGDDSASDDPTGIKFCAILYLQISGANGTLQVLNDNMLKLDGADSAVLLLAAATSFEGPFVKPFESTLDPKTSAFTTLNMARTMSYSQLKAYHMDDYQSLFQRVSLQLSRGSDNVLRGNSLPNSPENSCQDIAVSHCVEHMSDRSWLKELNNSDKPTVDRIISFVDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDTRPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSINGAKTAKVNYGASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGSWLATHLWEHYSFTLDTQFLEKTAYPLLEGSASFLLSWLIEGQGGQLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSVIREVFSAVLLSADILGKSGTDVVQRIKKALPRLPPIKIARDITIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMTLEQTPDLCKAVGNSLYKRGDEGPGWSTAWKMALWAHLHNSEHAYKMILQLISLIDPKHEVEKEGGLYSNLFAAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPHGCVKGLKARGGVTVNICWKEGQAYRFSSDLKCLKTWLL* >BrasyJ055800.3.p pacid=40059834 transcript=BrasyJ055800.3 locus=BrasyJ055800 ID=BrasyJ055800.3.v1.1 annot-version=v1.1 MDGDGGSDCDTGGEWIWVRRLQEAEAAAVAAGWQAEESRPLKVVFASPAKYFTDAAPIGNGRLGAMVWGGVASERLQLNHDTLWTGGPGNYTNPNAPTVLSKVRSLVGKGLYAEATAVAYDLSGDQTQIYQPLGDIDLAFGQHIKYTNYKRYLDLESATVNVTYTVGEVVYSREHFSSNPHQVIATKVSANKPGAVSFTVSLATPLDHRIHVTDANEIIMEGCCAGERPVGDDSASDDPTGIKFCAILYLQISGANGTLQVLNDNMLKLDGADSAVLLLAAATSFEGPFVKPFESTLDPKTSAFTTLNMARTMSYSQLKAYHMDDYQSLFQRVSLQLSRGSDNVLRGNSLPNSPENSCQDIAVSHCVEHMSDRSWLKELNNSDKPTVDRIISFVDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDTRPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSINGAKTAKVNYGASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGSWLATHLWEHYSFTLDTQFLEKTAYPLLEGSASFLLSWLIEGQGGQLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSVIREVFSAVLLSADGSLGLMWSRGSKRHYQGSHLLKLLEILQSWSGHKTSRILRFITDMYLISLVFILDIP* >BrasyJ055800.4.p pacid=40059835 transcript=BrasyJ055800.4 locus=BrasyJ055800 ID=BrasyJ055800.4.v1.1 annot-version=v1.1 MEGCCAGERPVGDDSASDDPTGIKFCAILYLQISGANGTLQVLNDNMLKLDGADSAVLLLAAATSFEGPFVKPFESTLDPKTSAFTTLNMARTMSYSQLKAYHMDDYQSLFQRVSLQLSRGSDNVLRGNSLPNSPENSCQDIAVSHCVEHMSDRSWLKELNNSDKPTVDRIISFVDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDTRPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSINGAKTAKVNYGASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGSWLATHLWEHYSFTLDTQFLEKTAYPLLEGSASFLLSWLIEGQGGQLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSVIREVFSAVLLSADILGKSGTDVVQRIKKALPRLPPIKIARDITIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMTLEQTPDLCKAVGNSLYKRGDEGPGWSTAWKMALWAHLHNSEHAYKMILQLISLIDPKHEVEKEGGLYSNLFAAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPHGCVKGLKARGGVTVNICWKEGSLHEALLWSGSSQNSLARLHYGGHNVMISVSAGQAYRFSSDLKCLKTWLL* >BrasyJ055400.1.p pacid=40059836 transcript=BrasyJ055400.1 locus=BrasyJ055400 ID=BrasyJ055400.1.v1.1 annot-version=v1.1 MPSIRCYTGSVDYTRTSCVAHRSATSPSTNPTVLHMTRYSYGLERRLLTTSGMLRDTHARLQHNRRRMEILEERLVEANTALVAAQAAAHNAEAAAEEAINYTNNQAQAAIEANNTMAEVSVQAAQEQAQHAIEAHAAAAAERERDRVIARRRRNYYLEHGRRSTTRSAPVPRRRRLTLRAIVSPPLALPAPPTPPAVQPPIVEDSQEEEIEPEEVIPATPEPPEPRFELHFVSAVDYPYQ* >BrasyJ055300.1.p pacid=40059837 transcript=BrasyJ055300.1 locus=BrasyJ055300 ID=BrasyJ055300.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >BrasyJ006700.1.p pacid=40059838 transcript=BrasyJ006700.1 locus=BrasyJ006700 ID=BrasyJ006700.1.v1.1 annot-version=v1.1 MAIYSLLSALFFSFFPWAGGSEPIYITLFLLFLGEPMYLAAESPSRLACLPPCLSAAAECPSREHRHRRLISPSIPISPPSPHEPIPPFSSPFHLLNLPQFVPEAKIKAAIPCHTGSSVIATWSLTTMGVRRFVNLLVANRTRCTYSLRRFDLSQNQFFYESPEELASHGRVLPIQKYTEGSAYSPLKGKKANGKNKKHLAASDIGTIRLPAPFFTMRPTPCWPGKPDEQQLDVFALSESKIMLADRHRRVLSYDADSHCALTMPCLHAPKDDPLTVSIPGSQQNDGEGSIYIIERMLWPESQRSFQFEALLSNRFHAGGYHPFGTWGCQAFPLPPTSLSKRALVCSAAAVGNAICVSISGAGTYCFDRASHTWSHAGDWMMPFFGTPEYVPELNLWFGISGRDFNLPCAADLSPVARGQPPEPALFWGHDDHLPEEWHYRLGTPSQMVSLGSGRFCILRYLETWIPCPDEVIVDNSYAVFTGLEVLAGNGNGNGNGNGKKHGLRMVSHKSRRCRNPEANSIQRLL* >BrasyJ006800.1.p pacid=40059839 transcript=BrasyJ006800.1 locus=BrasyJ006800 ID=BrasyJ006800.1.v1.1 annot-version=v1.1 MGEPLNNYTAVVEAIHVLTGPPFQLSPKRITVSTVGIIHSINKFNSDLPNIKLAVSLHAPDQDIRCQIMPAARAFPLGKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQSVKKFQKVLRGVYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI* >BrasyJ007100.1.p pacid=40059840 transcript=BrasyJ007100.1 locus=BrasyJ007100 ID=BrasyJ007100.1.v1.1 annot-version=v1.1 MMIRPSSQLYVIDPFRVIAPPCAPARKKKPAARPLSPLPLAPIPSPPRTPPLSRPIDPSSPIPLPLAPIPRRQGPLFWSFAHAASVAMAFLWLEFSQSFQVLAILASTQAHAIARLWVPLLGRHGPPGRHGRCPGRTRLLGGADGLQPVVPLQRCVDALRGSRRRRIQRHRGVARVGSSSYEIQECHL* >BrasyJ007200.1.p pacid=40059841 transcript=BrasyJ007200.1 locus=BrasyJ007200 ID=BrasyJ007200.1.v1.1 annot-version=v1.1 MTNLGTETVVAAAGSVLYATGSVLAAAAGSRPPDLSPPPDPSSPPPDPATPPPDLASPPPDPAILSTLCRRRFLARGSVRRGAGGELGGEEEARGSARRREGARGGEPGGEAERGVGELTGEETSRDGRGGEPRRVTGGETGKKKRRGTMYFKRCSDG* >BrasyJ006900.1.p pacid=40059842 transcript=BrasyJ006900.1 locus=BrasyJ006900 ID=BrasyJ006900.1.v1.1 annot-version=v1.1 MGVRRFVNLLVANRTRCTYSLRRFDLSQNQFFYESPEELASHGRVLPIQKYTEGSAYSPLKGKKANGKNKKHLAASDIGTIRLPAPFFTMRPTPCWPGKPDEQQLDVFALSESKIMLADRHRRVLSYDADSHCALTMPCLHAPKDDPLTVSIPGSQQNDGEGSIYIIERMLWPESQRSFQFEALLSNRFHAGGYHPFGTWGCQAFPLPPTSLSKRALVCSAAAVGNAICVSISGAGTYCFDRASHTWSHAGDWMMPFFGTPEYVPELNLWFGISGRDFNLPCAADLSPVARGQPPEPALFWGHDDHLPEEWHYRLGTPSQMVSLGSGRFCILRYLETWIPCPDEVIVDNSYAVFTGLEVLAGNGNGNGNGNGKKHGLRMVSHKSRRCRNPEANSIQRLL* >BrasyJ007000.1.p pacid=40059843 transcript=BrasyJ007000.1 locus=BrasyJ007000 ID=BrasyJ007000.1.v1.1 annot-version=v1.1 MGLAEAGGGAGEGRGAPAGGGAKSSFAAAPDGAVWADCSADGADEGGGRALGHDGEVAGGLHRAESKDRTTTKLLIRLQNGVSVEAVVMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASLYSQIRNVVFMGMGEPLNNYTAVVEAIHVLTGPPFQLSPKRITVSTVGIIHSINKFNSDLPNIKLAVSLHAPDQDIRCQIMPAARAFPLGKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQSVKKFQKVLRGVYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI* >BrasyJ002100.1.p pacid=40059844 transcript=BrasyJ002100.1 locus=BrasyJ002100 ID=BrasyJ002100.1.v1.1 annot-version=v1.1 MGNCAASRLAGGGGGGGAGGAGDPVAVCRDRKRLIKAAADRRFALAGAHAGYAAALRAVSDALDVFVARHTAPAPILITLPTPTASPPGSPKPAPPPPTLLPPTTPSPPPPQQVPAEDVNCVAQTPEMGSPYDYYTPPPPTPPPPPPAASAVGGWDFFNPFYGAEEVAAAVAITDEEMRAVVEGNTNTPKDEASLGVAKQEESKEVGDVTGNSGGGLEVAVAQPGRELLAALKEVEELFARAAEPQGGLRHARAAARVPELKENSSKIIHAIAWHRSPSSWDEVRKSLQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRGADKTRTTVRDLYTRIWVSLRAAESISDRIQNYGMRNCNHNLLSCCMRHATLKLEVELRNWRSCFVSYVSAQKAYIEALDGWLSKISSIAPSRAGAPPLVVICHDWHTTLSKFPYKRVSFTMRNFNRSVRVLWLKQGEEQQQKRKVDSLAKELDKKITAYKRAENKVIETKLLEHRPEQDAKQRMEQLSEKKEALNALRRRVEAEKAKHHHCMRDTHDVTLNGFKIGLASIFESLADSRRTPSSSTRTS* >BrasyJ071500.1.p pacid=40059845 transcript=BrasyJ071500.1 locus=BrasyJ071500 ID=BrasyJ071500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWLALGHLSAVVDAGMYENEVRYLPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ076200.1.p pacid=40059846 transcript=BrasyJ076200.1 locus=BrasyJ076200 ID=BrasyJ076200.1.v1.1 annot-version=v1.1 MSSLAWRGSQAGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPADFSPAIGVLAAVFAAYCLLSTASFAVAGIIRSSSASASSTASSGVIGRIKRKYLLPSGPFLLPLVLLVLAKGQRINAVFPVSRLGPALLLTLQASALAFPNEAEGDVRYAVLEASTVSGILHACLYLDAVVLPFYTGTDALRRSSRFSGECATCLCRMEPLVVGGATVLYRGLSKTALAIIFALCARMVCRIYGEERLSAWTRTALEAASWVFVSGDAVYLAGWALVEGAVAGVAAYGLVAGLVFLCVFGKVYRFLAWVENRQVQWKPSLSCHNVV* >BrasyJ021500.1.p pacid=40059847 transcript=BrasyJ021500.1 locus=BrasyJ021500 ID=BrasyJ021500.1.v1.1 annot-version=v1.1 EIKEGRIVSLSELYCVVHTNKKGEPLDAFSRKDGSGFHEVHCIWEWGHDFRLFVLLLYVNKRVFCLVDMEKSLRPEHIGYEKVKVLAPL* >BrasyJ021400.1.p pacid=40059848 transcript=BrasyJ021400.1 locus=BrasyJ021400 ID=BrasyJ021400.1.v1.1 annot-version=v1.1 MSPMLKRTESDQGFRKARSVPSTPDRKPSPSPSPTSSSSNARRPSSSFNARTASPASSSSHGKMLHSSSSMATRGKADRSGGTSLWPPAATHSPSSNRGARSPSMPQKSKLPSRPGLEKTTVPPCSSPRLRTQKTQAVSAVRTPGATSKKKSVGGANSAASMQRTKGVPIEAPKIEEREVDLLMEFDEMESISTHSIEEHLQERLPDPIELKYADPFAPNKPSSNQHEAEKKEQATEEQLGAKGYQEENADDGINSRSHVLKETVDETKIRQVASGTGLNEAVDESASKEEATIEPELIVNHQETNRAKGEKVMPLKNTKELVPQWRKDEGRSSGVKEESRRKPMGQRRSKIMALIGKFETAMSG* >BrasyJ017200.1.p pacid=40059849 transcript=BrasyJ017200.1 locus=BrasyJ017200 ID=BrasyJ017200.1.v1.1 annot-version=v1.1 MMHGGGSSASDEAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSASASSSPTDRSSWKSTELEIQALLDKLQDVNDAMSRCAAPARPTTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRGDITESKSVSHGLPTSHHHKC* >BrasyJ002400.1.p pacid=40059850 transcript=BrasyJ002400.1 locus=BrasyJ002400 ID=BrasyJ002400.1.v1.1 annot-version=v1.1 MPRSPSPVEMLPRMDLVATVGDHLRFRSMAAQPMQRYCTTEATKLQCVCFASNTPFESARFHTLTIIRVTQPGAQPRQVLVTLLWSNKDQSTHELPRFKESNTLSAHSEGARAGAHGGGARVEQAGLSGTRPEPSHGDTRAGARGGGAAVGGSRHTDSELGEAKTRRLREQLLMAKARKMASCCCCC* >BrasyJ005900.1.p pacid=40059851 transcript=BrasyJ005900.1 locus=BrasyJ005900 ID=BrasyJ005900.1.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGQLSYLRMPLEGSNVQGYFGSIGGKT* >BrasyJ005900.2.p pacid=40059852 transcript=BrasyJ005900.2 locus=BrasyJ005900 ID=BrasyJ005900.2.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGQLSYLRMPLEGSNVQGYFGSIGGKT* >BrasyJ005900.3.p pacid=40059853 transcript=BrasyJ005900.3 locus=BrasyJ005900 ID=BrasyJ005900.3.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGQLSYLRMPLEGSNVQGYFGSIGGKT* >BrasyJ005900.4.p pacid=40059854 transcript=BrasyJ005900.4 locus=BrasyJ005900 ID=BrasyJ005900.4.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGQLSYLRMPLEGSNVQGYFGSIGGKT* >BrasyJ005900.9.p pacid=40059855 transcript=BrasyJ005900.9 locus=BrasyJ005900 ID=BrasyJ005900.9.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGQLSYLRMPLEGSNVQGYFGSIGGKT* >BrasyJ005900.5.p pacid=40059856 transcript=BrasyJ005900.5 locus=BrasyJ005900 ID=BrasyJ005900.5.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGSNVQGYFGSIGGKT* >BrasyJ005900.6.p pacid=40059857 transcript=BrasyJ005900.6 locus=BrasyJ005900 ID=BrasyJ005900.6.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGSNVQGYFGSIGGKT* >BrasyJ005900.8.p pacid=40059858 transcript=BrasyJ005900.8 locus=BrasyJ005900 ID=BrasyJ005900.8.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGSNVQGYFGSIGGKT* >BrasyJ005900.7.p pacid=40059859 transcript=BrasyJ005900.7 locus=BrasyJ005900 ID=BrasyJ005900.7.v1.1 annot-version=v1.1 MEPPPPEWTTEQGKQWAILVCVPHVVPGERDFPPGTEFSLRHDNPPQSSRFTVPSRIASDPKDIENHPYVAAVAAYGRFLLYATQGNDYKANPHCLDWFYTEPLGVHHGSPKAYFICDLKTRAATRLPDPERPIVNPGNVGLISCVAGSLVVELQPTPGNHLATLLCYVSWTNTWLVRDVNYPPVRRPWGAHGVIYDQPRLTWMDLSYGLLTSSLFLYDNPNPDLELRFIPLPAGCERPAGTVDLDKERCVGMSAGRLRYVQIHRPQGKPIVSKWTLVNWATGLWLYDSHVSFKEIWANESYKAMKLPEHVPTVAFIHPLCDDVVYFFLQSRIFGVDVRTGQFMHWIFFKMNSPPSRYHSSRFVRLWAQTKKLFDGAGSNVQGYFGSIGGKT* >BrasyJ005800.1.p pacid=40059860 transcript=BrasyJ005800.1 locus=BrasyJ005800 ID=BrasyJ005800.1.v1.1 annot-version=v1.1 MGRGGQRPCRWQRRSTSGLGEPQPVRGKEERENEKKGREGEKGNNRGDTNVIDVDHPLSGQVRRPQPIRFAALPLSRARARSCEARRAPCSGRFLLYATQGQGSQPAEPHVFDGFHSELRAHGGYPKAYFLCDLSTRAATRLPDPDIPILNPGNVGLLVLRGGHIAAVVSVVVELQPTAGTDRAALLCYLSLTNRWSVRPANYLPADRPWGGHGVIFDGPDLIWVDLSYGLLSEPPPRLLFIPLPDGCELPAGTEDLDKKRCVGWSGDRLRYVQIHLRGDGEPIVSRWTWIPLVDGDTGSWRHDGDISFEVIWADKGYRAMKLRPKEIPAVACIDPGGHGELVWFFQRSRLFRVNMATGKVMRSIFFKMNNPPSRYHSSRFVRLWKLTKELYDGAGFQ* >BrasyJ025600.1.p pacid=40059861 transcript=BrasyJ025600.1 locus=BrasyJ025600 ID=BrasyJ025600.1.v1.1 annot-version=v1.1 MLSPLNYYVTVYTSPIEAFGFLENHAQDVDFVLLVAVRMEELDGFQFLEAAKQIHKNLQVIMMSTETTMYTMKRSVELGARFLVKKPLDADTIQNIWQHLDLKVLRLNKMKYLFRGVGDKAQHGEEVGNSLMQQKDGSNMRTHLIWTPYLQRKFIRALRILGQSASPKKIKIIMNVDSVSRKQISTHLQKHWKRMERKEDMTVFMKSGPTVPYTHLTEVQPTEGRRTMTQSFGDTRDGDADVFAEMRRALQLGMVYDESRILVILIEREQMMFQDIY* >BrasyJ025700.1.p pacid=40059862 transcript=BrasyJ025700.1 locus=BrasyJ025700 ID=BrasyJ025700.1.v1.1 annot-version=v1.1 MIRSHRVLHVLSSAYHVLFGLVPRFSLIENYPLFACLALIDHNRCCLVPVENLDKNYYICIDQFIQQRRGKGLRSQ* >BrasyJ010300.1.p pacid=40059863 transcript=BrasyJ010300.1 locus=BrasyJ010300 ID=BrasyJ010300.1.v1.1 annot-version=v1.1 MAAPATPRLLLSPTSKDLINGSSFASLPSPTSTDPASPLDAFASDPVLSTFLSPSFSPADFSSAALSSGLAASRAEQLQDAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSNLRLLFSHSPYSPDLLLHSHRLVRLSSRLLSSSPAPDLARQAELHREIRLLYEEKNLSGINAVDEEMRKVDAAPPKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVGKCRATGAKSVTVALDMKAISMAGGGAWWHKRAAGRTLERMRQCMEELHRAVNAAWQLPNRPHQEACAFHANAFSRSQLKSVFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKAVEIFQTAFLALSQSRLSDYINKFFLCQTISTGPEARQVTGTVTPAQLKNFALCLHLHEVHTRISSILSTLPNVASEVLSPSLGVIYGVSCDSVTSLFQAMLDRLESCILQMHEQDFGAHGMDGAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSASRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGTPYRALRAFRPVLFLETSQLEKSPLLKDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSAVYPLMLQIGSALSQATL* >BrasyJ103200.1.p pacid=40059864 transcript=BrasyJ103200.1 locus=BrasyJ103200 ID=BrasyJ103200.1.v1.1 annot-version=v1.1 MQIVPRSILGVGRVGSDLLRLCRWRCPPQFARRDAPVMASVSAHLYLDRPSHMDRLSRSIYKPWSLIPFACNTTKCMDTDNLRTKATDESEYHPTVDGIFFTSVITNSSHRDGTIYKNKLIQKDFFEGDIADRAETRLEPMMFSKATERCLPDAENSRDERDGMLNYVVNYSRDDPIVVHQGDLIEMTGPKRCILMDSMVLIEFDMRIKNEALEDNDQQLIDGAISCSCYTYRAWKPVVNRITGGSGAVDVSLAVVEQAVEATIEVVISQVLSGFNLSLSSFVDVMDVYEEIKLFNGKIGQSGSLRRFVVSVSHGTTMLLMFKVGNNVQGSLHGCASRQIKFQAKLHGCASRRIKLKFATISVKVTWSTID* >BrasyJ047500.1.p pacid=40059865 transcript=BrasyJ047500.1 locus=BrasyJ047500 ID=BrasyJ047500.1.v1.1 annot-version=v1.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFHCPTVNVERLWSMVPADKAAEAAGAPDKAPVVDVSQFGYFKILGKGMLPPNTPIVVKAKLISKVAEKKIKAAGGAVLLTA* >BrasyJ047100.1.p pacid=40059866 transcript=BrasyJ047100.1 locus=BrasyJ047100 ID=BrasyJ047100.1.v1.1 annot-version=v1.1 MRAHQLPLPLISLPTLPRQAPHQERPLLLPRFRLLASLVVPCLAAEAAYKAWWYSTSADRVARRPTPPATPPRHPTCSSASSMPCHGDPPTQREPSSSIRKIPPLAKVTRAPWRSSQPFPLPLHH* >BrasyJ047300.1.p pacid=40059867 transcript=BrasyJ047300.1 locus=BrasyJ047300 ID=BrasyJ047300.1.v1.1 annot-version=v1.1 MHKEKNIDDQKELHFVFFRKPTRCLPSKNGSTVGAVELETTLLKRQKSRVFLINFWHRF* >BrasyJ047700.1.p pacid=40059868 transcript=BrasyJ047700.1 locus=BrasyJ047700 ID=BrasyJ047700.1.v1.1 annot-version=v1.1 MDAVVVNQIAGLSRLAALLLRNNNLLPGLGFFYIHDTTTARQLKDRSNSVVITLIEGVAQGRDIEIEFTNFFASGWRCSSCPIGPDQYVMRFPSAREVEKACYHETFKMRQCKATLKLTMWTAAVGAKAELHTAWVKVANIPLDKCVDRIIAYATSLVGVPFEIDMSTVNKPEYVRVLLGCRDINQLPPVAEGVLGRHFYDFYYEIDKILVGGPLKDKQVESVSTHGAGVRNSPPKRPRMGDMRPNNAPKFVFGSTGGKGKDGPYTSHATASHVLGDSVNADVSHVLGDSIPSSEDLEEDSEDDDELFIDKLSRENAKKELCQIQWQTGEQRD* >BrasyJ047600.1.p pacid=40059869 transcript=BrasyJ047600.1 locus=BrasyJ047600 ID=BrasyJ047600.1.v1.1 annot-version=v1.1 MQADACLPACALSLRILREYVCWRLGVGHSRSGGFFSTLYRVPTPVVLLCGFWRLVRRRRWSEERDGYRAMVAGTRVEVGRGACVRGRRRRRREAAAEARAVPEGGCGGGYHGGARATVVGHTVAAGTCLEVDGGACFFCPGPISLLLPLRAIFAYIRVLPCGEGLSWTRLGRFHSGSGLLLSSYFRLSNGKGQGPGLKKLSWLLR* >BrasyJ047200.1.p pacid=40059870 transcript=BrasyJ047200.1 locus=BrasyJ047200 ID=BrasyJ047200.1.v1.1 annot-version=v1.1 SGFASYPPNLVPPGGRADLLFSVNIRWPVRGSVSLFLVPMELEASSRLVRPPSCWLPSGQLPLATETLALAEKRTGPAPTNLSLVKQTTRESCLASALPSLELRRRMRKRLAVQESKNLSHLGTRRGSAGSRDTMRQVQGVGEQFSNVCC* >BrasyJ047400.1.p pacid=40059871 transcript=BrasyJ047400.1 locus=BrasyJ047400 ID=BrasyJ047400.1.v1.1 annot-version=v1.1 MAMVAETLAREKSCVRESRSGTDPGATAARSRVATPPRRRRHRAEFLAPRLLPCRIAVLLAPPPRGPHQPRSAAGSSCTASTPPLLEPDASLLLTGEEGARRAARAWASGASEGGGDDEVRRALAHAGPPSSTPPPTQLPPRRDVSTSPRLALRIPSSRG* >BrasyJ047800.1.p pacid=40059872 transcript=BrasyJ047800.1 locus=BrasyJ047800 ID=BrasyJ047800.1.v1.1 annot-version=v1.1 MSDYETTHGFPEVLRKIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLRMKYERLDKGPFKYLPRGFITCTNKFFTLPGLPEEKVTNDSYDFCNFVTSQECMVANMRAEIEHYRKQLWIAIGHLSAVVDAGMYKNEVRYPPRPPAPEFTKVFKVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQVLPRF* >BrasyJ102600.1.p pacid=40059873 transcript=BrasyJ102600.1 locus=BrasyJ102600 ID=BrasyJ102600.1.v1.1 annot-version=v1.1 MRSCHSLPSCTRPTSPDPCLDRLPRPAAWAGREAPPSSDEKGKASLTRGLGRGRCASPLLDAHLHHECRRRRRAADAR* >BrasyJ028800.1.p pacid=40059874 transcript=BrasyJ028800.1 locus=BrasyJ028800 ID=BrasyJ028800.1.v1.1 annot-version=v1.1 MPRRGGRRKPTASSSDIRWVMLDRLVHLDKVKVDEFVAAADGTLSETCYSCTDRPLIVYVRVAIPPAVSRLYLCWPDGLRPEMSMVNPPTIIAAHGHSVLFEARVPHVDQRCPDFYPIDYFVYTACRSAKSPSLRRLPPCFKGGRVDHEVDHFFQPYRLQQQRGMSSGNIGLLCCGDEEFTVAELSSSGELCLLHHAPGEGNEAKDWDIKALQMPYGRSLHDRSCSNFKITSWNLIKRKWNKDATMEASDFWAVIDADKRLPHLRPEFPTMSLVDPDVVCFVLNENYRIYWLIEVNLKKKVLGL >BrasyJ112200.1.p pacid=40059875 transcript=BrasyJ112200.1 locus=BrasyJ112200 ID=BrasyJ112200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ112400.1.p pacid=40059876 transcript=BrasyJ112400.1 locus=BrasyJ112400 ID=BrasyJ112400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYHKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYPKGLHLVREQVHHTSRLARGEGCNDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ112300.1.p pacid=40059877 transcript=BrasyJ112300.1 locus=BrasyJ112300 ID=BrasyJ112300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ008800.1.p pacid=40059878 transcript=BrasyJ008800.1 locus=BrasyJ008800 ID=BrasyJ008800.1.v1.1 annot-version=v1.1 MERRKRAAREAIALYDAYWFHRLVLHSYSLLPHLCHRLSATSRSRRGGGGREREWSGAAAGAGAVRTAAPATRSDEAKAAAFDALEPLKIPNGHRARLETILSGRCPSGGGPAGGAEEAEGAARRSLSELEFEEVKGLQDLGFTFSDADVDAELASIVPGLRRIRPRKTPGKLRRRRRKHGAGTGRLRRRGRGGRTCPRRGGRGGGGEEDAQQLQDSGGREGADLKEHLRLWAHSVASAVR* >BrasyJ008700.1.p pacid=40059879 transcript=BrasyJ008700.1 locus=BrasyJ008700 ID=BrasyJ008700.1.v1.1 annot-version=v1.1 MSEPLPSCLNPNEKYNQALSLALDSLPTVSLPLPPSAAAPQSARLLLLRRCRPRSLSSGGSAGLASAVPASHHAAPASHRASLPSSTGHLPIAPPALPSWIPSPSRRQSHRPSPHATPPRIRHRRPRSSDPPRARRLQDRGRRIRRPEPARRRISPVDLLSCRIRRPRARASPDPASLSGTRRNT* >BrasyJ008900.1.p pacid=40059880 transcript=BrasyJ008900.1 locus=BrasyJ008900 ID=BrasyJ008900.1.v1.1 annot-version=v1.1 MGGSDVQSEEEEFSPHSDPDDSIKASSTFYDIAIHV* >BrasyJ109500.1.p pacid=40059881 transcript=BrasyJ109500.1 locus=BrasyJ109500 ID=BrasyJ109500.1.v1.1 annot-version=v1.1 MDGGGGGAGGGKLTRTPSSLLRSPTVRGASSFQAFDVDPEPDDKKEQAKHRPLLRPAHHRLRPRPALSLLLLLLLLVVSIPLVVLVLRQGGPHLLLLLAAAAGAALASAAAVAGRRRSSPLPASVQWFIGEGEDEQGRKRKVKSDGRAVREGVEFYSNGDCYEGEFHMGRCSGSGIYNFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGLGAQTCSDGSSYAGEFKGGVKHGIGCYHFRNGDRYSGEYFADKIHGFGVYSFANGHCYTGSWHEGKKQGFGMYTFRNGDKRSGEWDSGTLKNPLPLSDPAVQRAVLAAQRAADNAFDLPRVDDQVKRTVMAANRAATAARVAAIKAVQNKIDGKLFITDV* >BrasyJ109500.2.p pacid=40059882 transcript=BrasyJ109500.2 locus=BrasyJ109500 ID=BrasyJ109500.2.v1.1 annot-version=v1.1 MDGGGGGAGGGKLTRTPSSLLRSPTVRGASSFQAFDVDPEPDDKKEQAKHRPLLRPAHHRLRPRPALSLLLLLLLLVVSIPLVVLVLRQGGPHLLLLLAAAAGAALASAAAVAGRRRSSPLPASVQWFIGEGEDEQGRKRKVKSDGRAVREGVEFYSNGDCYEGEFHMGRCSGSGIYNFFGKGKYEGDWVDGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGLGAQTCSDGSSYAGEFKGGVKHGIGCYHFRNGDRYSGEYFADKIHGFGVYSFANGHCYTGSWHEGKKQGFGMYTFRNGDKRSGEWDSGTLKNPLPLSDPAVQRAVLAAQRAADNAFDLPRVDDQVKRTVMAANRAATAARVAAIKAVQNKIDGKLFITDV* >BrasyJ109600.1.p pacid=40059883 transcript=BrasyJ109600.1 locus=BrasyJ109600 ID=BrasyJ109600.1.v1.1 annot-version=v1.1 MRPARGLLVFFSAALLLLAAAVPAARAQEETDREDEFSYSLDAENGPAHWGEIKEEWSACGKGEMQSPIDLSSPRVSLVRGLGYLNHSYRPAQASIVNRGHDIMVRFEGDAGSVTINGTAYYLRQLHWHSPTEHSLNGRRYDMEMHMVHESAQNKAAVIGVFYQVGAHDAFLHNLEPYLEMIADRKDREEKVGVIDPRGARGRASVYYRYMGSLTTPPCAEGVIWTIVKRVRTVSSAQLELLREAVHDDMEKNARPRQETNNRVISMFRPYKPSKH* >BrasyJ109600.2.p pacid=40059884 transcript=BrasyJ109600.2 locus=BrasyJ109600 ID=BrasyJ109600.2.v1.1 annot-version=v1.1 MRPARGLLVFFSAALLLLAAAVPAARAQEETDREDEFSYSLDAENGPAHWGEIKEEWSACGKGEMQSPIDLSSPRVSLVRGLGYLNHSYRPAQASIVNRGHDIMVRFEGDAGSVTINGTAYYLRQLHWHSPTEHSLNGRRYDMEMHMVHESAQNKAAVIGVFYQVGAHDAFLHNLEPYLEMIADRKDREEKVGVIDPRGARGRASVYYRYMGSLTTPPCAEGVIWTIVKRNKFISSDLAVGRELHSFIPARNLIFNLLFV* >BrasyJ089200.1.p pacid=40059885 transcript=BrasyJ089200.1 locus=BrasyJ089200 ID=BrasyJ089200.1.v1.1 annot-version=v1.1 MMSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSTVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ003600.1.p pacid=40059886 transcript=BrasyJ003600.1 locus=BrasyJ003600 ID=BrasyJ003600.1.v1.1 annot-version=v1.1 MSARDRETAEALWRVATALDGAVLGLGTAALAVATLGRYLAASGALRRISEAPSVAIPDLRYSLLAGLGEGESRLAVVRGLVSSPTGCRFLIPPGSNENCVVAKHTQTCLFGEWRGIFGWTFDLHALFFKSLKEQMITSFRWVPFGLVDSANEESEEMVLVKLDEAVQQSLPLTTVYHKLIPVEQNSYTLFQTIVGNGYPIALLNEEKILPIGKEITAIGLCRLNNQSVEISSYPEIPFYLSDLTKGEIEAEWVHMPELSSGLLLLLEPCQLGLLGYAIYRCWERVKLRREAREARRRFHQADDEDDTGENGSGEDDPGEMGDGQLCVICLRKRRKAAFVPCGHLVCCCNCAKRVELMNEALCPVCRQDIQYMIRVYDS* >BrasyJ003500.1.p pacid=40059887 transcript=BrasyJ003500.1 locus=BrasyJ003500 ID=BrasyJ003500.1.v1.1 annot-version=v1.1 MATGAVAPPPPLARARLGFVSARGVLHRRVAATPMKDEPVVSTNNGKEETITDNLNVAKKKSSLPGLSSSLSNRITVTPTPLHPAEPSDLRFNRLRPSIEESDCKYKRLFGCYVAREAIMDEEYWIAAWLRAEHRYEDRSGDRYVESFKRKFASQEFHALKKRCSNQVGEKYTCFVAVKNDDLTRTVVNSVVGTLDVCVRHPLHGEKFPEEPGNSPFYARIYQPHQPKFGYLTNVCVAKYARRQGIATNMLLLAIDAARFNGAESIYIHVHKDNLPARRLYDHVGFKMVDRNGSRQPSDLCLLSFSLKH* >BrasyJ097200.1.p pacid=40059888 transcript=BrasyJ097200.1 locus=BrasyJ097200 ID=BrasyJ097200.1.v1.1 annot-version=v1.1 MDIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFQDIFAGPIQVVDEYFNDVLLNCYDAIGIMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRFAEFTASLVHLNVEYGDGQLDLNLDRLRMAIEDLLVKLAKMFPKPKLQTVFLINNYDLTIAILKEATTDGGKAQHHFEEVLKSNIAIYVEELLLEHFCDLIKFVKTRTSEDTGASSDKTSIGDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGAALNKDLVSISSILYEIKKYSRTF* >BrasyJ097200.3.p pacid=40059889 transcript=BrasyJ097200.3 locus=BrasyJ097200 ID=BrasyJ097200.3.v1.1 annot-version=v1.1 MDIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFQDIFAGPIQVVDEYFNDVLLNCYDAIGIMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRFAEFTASLVHLNVEYGDGQLDLNLDRLRMAIEDLLVKLAKMFPKPKLQTVFLINNYDLTIAILKEATTDGGKAQHHFEEVLKSNIAIYVEELLLEHFCDLIKFVKTRTCASSDKTSIGDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGAALNKDLVSISSILYEIKKYSRTF* >BrasyJ097200.2.p pacid=40059890 transcript=BrasyJ097200.2 locus=BrasyJ097200 ID=BrasyJ097200.2.v1.1 annot-version=v1.1 MLMIRIIHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRFAEFTASLVHLNVEYGDGQLDLNLDRLRMAIEDLLVKLAKMFPKPKLQTVFLINNYDLTIAILKEATTDGGKAQHHFEEVLKSNIAIYVEELLLEHFCDLIKFVKTRTSEDTGASSDKTSIGDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGAALNKDLVSISSILYEIKKYSRTF* >BrasyJ097400.1.p pacid=40059891 transcript=BrasyJ097400.1 locus=BrasyJ097400 ID=BrasyJ097400.1.v1.1 annot-version=v1.1 MAMLSWRGDLRWLRWRSVICTSFGKEVLRGDWPDLHGVGSRIQGKNLLQGTKTKIRDYDREKRMLTAACNPNQSPIHNPRTRPHSHARVVEHELGAIRRGITNSNPDNHAQFMEHKLGANPQGITRIRIARTLSNLRISL* >BrasyJ097300.1.p pacid=40059892 transcript=BrasyJ097300.1 locus=BrasyJ097300 ID=BrasyJ097300.1.v1.1 annot-version=v1.1 MAGMSSSLWGDLHVSAGMGRPMASAAAELRCWRRPATAREAAGGRAPWRGISKARRLLYRAVARSRKHRDALGAGGGVGEARRRWRGCGRGNGRRQGRRAARGGGGRRCGAERWGLRVSGSTAVVVEVRAAHGGRRDELAGARGSWWRKEKRGFGGDGEKRRERREHRGEGRIRGRFGTKSENASPQSTNAPPPSTIAPPRTTTGMRAGTPSPARRGGRTVSPPVRGNPNPISPSSPPTSTAA* >Brasy9G249500.1.p pacid=40059893 transcript=Brasy9G249500.1 locus=Brasy9G249500 ID=Brasy9G249500.1.v1.1 annot-version=v1.1 MGSRRRRQQHHGRWVVPSVAPAAAAFVAAGMLLLVVASHCFLSPPPGVGGGGSFVRRPNPPFLLNKPGELRRNLVGTVDFTVPSGGSKLGEELWVSKAAHNFVGCSNATKEFHDAKAVTESNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDQASFWKDASDFVDIFDADSFISSLSNDVKIIRQVPDRNGKTPSPYKMRVPRKCTPTCYENRVLPALLKKHVVQLTKFDYRVSNRLETDLQKLRCRVNYHALQFTDPILKMGQMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGEIRKRWKTLHQSNPDRERRHGKCPLTPEEVGFMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPFSSRMAALDYVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNSKKLFSLFLNRTSMSWDTFASKVQMFQKGFMGEPNEIKPGKGEFHEHPMDCICARTKGRTGHSKPHLSKRVGEAVENHTSDVEFDWRDLDYGENTPLGRDSSNETDPDYSRVGGSDVPELEDIISD* >Brasy9G174700.1.p pacid=40059894 transcript=Brasy9G174700.1 locus=Brasy9G174700 ID=Brasy9G174700.1.v1.1 annot-version=v1.1 MSMSYDGDQSEDRQSEEVQSAYKKFSGGDEDMIRGFVNLDENEEQNEENEWSWVPQNDDPLAETISSLETAQEVLERFFKKNEVLECELGQEFGAEDSRDENRKPDIGLDALVVNKKMEYLEQKLKEASNTITEKELRLSNLEVLISSAHIPTTQMALIDIDKLEVELEHHLQEKIEAEIQCLVMVKARQSWVIRAEDQIALEEHKFSAGEDTRVLLKLRDTENKILMLKEKVDRLEAHEKELSGTTEVLRMQSRTFKIGLFGLLQLIMLCLSLKMFVGQDSIRFGDVVPT* >Brasy9G174700.2.p pacid=40059895 transcript=Brasy9G174700.2 locus=Brasy9G174700 ID=Brasy9G174700.2.v1.1 annot-version=v1.1 MSMSYDGDQSEDRQSEEVQSAYKKFSGGDEDMIRGFVNLDENEEQNEENEWSWVPQNDDPLAETISSLETAQEVLERFFKKNEVLECELGQEFGAEDSRDENRKPDIGLDALVVNKKMEYLEQKLKEASNTITEKELRLSNLEVLISSAHIPTTQMALIDIDKLEVELEHHLQEKIEAEIQCLVMVKARQSWVIRAEDQIALEEHKFSAGEDTRVLLKLRDTENKILMLKEKVDRLEAHEKELSGTTEVLRMQSRTFKIGLFGLLQLIMLCLSLKMFVGQDSIRFGDVVPT* >Brasy9G207700.1.p pacid=40059896 transcript=Brasy9G207700.1 locus=Brasy9G207700 ID=Brasy9G207700.1.v1.1 annot-version=v1.1 MEGALATSNFCVPDLYPANAAHAPRSRRLSYISPAASSAAPQNPSYRRKKSTSPPPPSAAASATVLTSPPKQIEQQVQEEHFDAPATRIATTARTAPSREPDAPRKLPPRSQPRPRRRPTAAAASLPVAFCNALEEAINTFVDPPALRPSVDPRHVLSANFAPVGELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPEGSESEPVLCSRYVHTYKYLLEREAGEPVMPNVFSGFHGLAGMARGAVTAARVLTGQMNPVEGVGLANTSLAVFGGRLYALGESDLPYAVRVDPGTGDVSTLGRCDFGGRLSMGMTAHPKKDPVTGEVFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVKQPSFLHDFAVTERHAIFPEIQIVMNPMGMVVGGGAPVGADPGMVPRLGVLPRYATDESEMRWFEVPGFNMMHSVNAWEEAGGEEIVLVAPNVLSIEHALDRMELVHSSVELVRINLRTGTVSRTPLSAGNLDFPVIHPGCLGRRNRYGYFAVGDPMPKIAGVVKLDFDRAGHGDCTVARRDFGPGCFAGEPFFVPDDVEGNGNEDDGYLVCYVHNEGNGDNRFVVMDARSPELDIVAEVQLPSRVPYGFHGLFVTQAELRSQHQ* >Brasy9G288900.1.p pacid=40059897 transcript=Brasy9G288900.1 locus=Brasy9G288900 ID=Brasy9G288900.1.v1.1 annot-version=v1.1 MAEGEEEKKKMEGDEENKEGEEFCPPLGFRFMPKDEELIKYYLLPKLQGRQHVPNDAIIEDNVYTCHPSQLIDKHAKRGEKKWYFLSPRERKYEQGKRPARNTGDGLGRWKASTGSKDSSTTVDDKKSSTSDGTVYSERVLGYFRGSIETEKKTKWLMHELMVPGYANEIDENGGDNSTLDDYVMCKIYVTPRKKGRDDDEAGPSCPAGPSVSPSGTSSSGHDQLGNPSKLSEKAAGKRPVLQERQPPCRDAAAAKRARHGNPGLRLGPPAQQVPTQTRPVVHGGGNTQVQFAAGRPMPMSAGYRGQAPRRPLGYLGQGAAPMGYQYHGAQAPRPQPAGYRGQAPVPFIGQARMREAMATMRPSCPAAGPEVRPPSASRPSPPEQQPQQQQQQKQKQTETEEMRKARVIRQHMEEYYRLGRQGLLPSSMWPPPCYAAQQQRPTMPFAQQQRPPMPFTHPQQQPRPTMAFPQQQPTMAFTQQQQQGPTMAFTQQEHQPPMAFTQQQPYFVPGVVNGYDSSMAGGMVPQFRLDNFYASEAAGEFQGCSWDPMVLATGDSAGAMAPAPAEASVLLSGAGNEASGARDCIDGGGDDKRFVEPASAAKVSAPPAGSASADGDVDLQQ* >Brasy9G059300.1.p pacid=40059898 transcript=Brasy9G059300.1 locus=Brasy9G059300 ID=Brasy9G059300.1.v1.1 annot-version=v1.1 MAAPKPISRIISHVILDLDGTLLNTDSVVSQVVKPFLVKNGKNWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMITPMFSQQWGNIKALPGANRLIKHLKSNRVPTALASNSSRSNIESKISCHKGWKDSFSAIVGGDEVEKGKPSPDIFLEAAKRMNVDPANCLVIEDSVPGVTAGKAAGMHVIAVPSVPKRTDEFSSADEIINSLLDVKPEKWGLQPFNDWVEGTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHGFDEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDGRIAEKVLDLPAYAKYKESPYLRNPLQQGSSVIGNETGQDSK* >Brasy9G075500.1.p pacid=40059899 transcript=Brasy9G075500.1 locus=Brasy9G075500 ID=Brasy9G075500.1.v1.1 annot-version=v1.1 MSVLGLDPGKEAGLPVLGLNPGEEAGSEERGGRERAGGTGLHRRNPSPVGPMCGRGGRGSGRRIRVGEEQSRLAAGGPPKPTAGGGAGGRRRRRQLAAGDRRWERRDPGPRGWGWALGGGAWARRRRRGWL* >Brasy9G333800.1.p pacid=40059900 transcript=Brasy9G333800.1 locus=Brasy9G333800 ID=Brasy9G333800.1.v1.1 annot-version=v1.1 MEDFATPKVQLERYKGVRQRHWGSWVSEMRHPSMKTRLWLGTYSTEDRAARAYDEAAEMLHGPAARVNFPASVDVTGSLHAYDRANVEKYRMLPPSSSTTTTKAAPARRRPELGGGMIFTDDEQFIEEMIKEMTHYGPIEFVPISSVRPNTN* >Brasy9G091400.1.p pacid=40059901 transcript=Brasy9G091400.1 locus=Brasy9G091400 ID=Brasy9G091400.1.v1.1 annot-version=v1.1 MTGVWVFEDGILRRAESEAPGSGRAARPGKVLVHVPSSEVVTSYDVLERRLGELGWERYLNDPCLLQFHQRSTVHLISVPRDFARIKLVHMYDIVVKTRNIFQVRDA* >Brasy9G198400.1.p pacid=40059902 transcript=Brasy9G198400.1 locus=Brasy9G198400 ID=Brasy9G198400.1.v1.1 annot-version=v1.1 MAVLSVVDPAPVSAIGFEGYEKRLEITFSEASVFADPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSQKIVIKTCGTTMLLLTIPRILELAEELCMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVDVLNRYFGGLKSGGNAYVIGDPAKPGQKWHIYYATEQPEKPMVTLEMCMTGLDKKKASVFFKTSADGHISCAKEMTKVSGISEIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGIDASALAYGDVVKRVLRCFGPSEFSVAVTIFGGRGHAATWGKKLTAEAYDCNNTVEQELPCGGVLIYQSFAVNEEVAVSAGSPRSVFHCFEGENVENPALVRDGKLANLLQWREDALEEDGVLYE* >Brasy9G352800.1.p pacid=40059903 transcript=Brasy9G352800.1 locus=Brasy9G352800 ID=Brasy9G352800.1.v1.1 annot-version=v1.1 MADRLTRIAIVNEDRCKPKKCRQECKKSCPVVKTGKLCIEVSSASKVAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGIKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIVESQESAEEIESYHRYKYPTMSKTLGNFKLTVMEGEFTDSQIVVMLGENGTGKTTFIRLLAGHLKPDTVEGTDIEIPEFNVSYKPQKLSPKFQGSVRQLLHKKIRDSYTHPQFTSDVIKPLQIEQLMDQEVINLSGGELQRVALCLCLGQPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVVVYEGRPSIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKSAGSYYYLDD* >Brasy9G352800.2.p pacid=40059904 transcript=Brasy9G352800.2 locus=Brasy9G352800 ID=Brasy9G352800.2.v1.1 annot-version=v1.1 MADRLTRIAIVNEDRCKPKKCRQECKKSCPVVKTGKLCIEVSSASKVAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGIKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIVESQESAEEIESYHRYKYPTMSKTLGNFKLTVMEGEFTDSQIVVMLGENGTGKTTFIRLLAGHLKPDTVEGTDIEIPEFNVSYKPQKLSPKFQGSVRQLLHKKIRDSYTHPQFTSDVIKPLQIEQLMDQEVINLSGGELQRVALCLCLGQVFFFSFT* >Brasy9G128500.1.p pacid=40059905 transcript=Brasy9G128500.1 locus=Brasy9G128500 ID=Brasy9G128500.1.v1.1 annot-version=v1.1 MKRRLSACITGELKVTIHQGLRTRINHTHRVWPVGDSKGWSPGVIGWPNYKPFKAGDVLEFSYNPQMHNVMVVDNLSYSMCKIPANATAYSSGDDRITLSRGMTFFICGKPGHCVRQRHEDRRHGPLNN* >Brasy9G007400.1.p pacid=40059906 transcript=Brasy9G007400.1 locus=Brasy9G007400 ID=Brasy9G007400.1.v1.1 annot-version=v1.1 MESRSTTRSRSGEHHRHGAQQQQQLRQAWSYGASFTGGVSWPPALQRSSYTCGYCKREFRSAQALGGHMNVHRRERARLRHYYCSNYPAAMAMHRQANPPPLSQHFTVVYSFFSAAEAAATTTTKDAVVEVDLELGVGGFGSDGGLDLELRLGCS* >Brasy9G365700.1.p pacid=40059907 transcript=Brasy9G365700.1 locus=Brasy9G365700 ID=Brasy9G365700.1.v1.1 annot-version=v1.1 MASAAAAALWQPQEEGLREICALLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEVRQAAGLLLKNNLRAAFISMPPPSQQYIKSELLTCIGATNRAIRSTVGTVISVLFQIVRVAGWVELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLSERPINVFMPCMLQFFKSPHASLRKLALGCINQYIVVMPSAMYMSMDQYLQGLFSLAKDPSPDVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLVSNMIYTDDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAINAWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLGRTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLGHPNGREQFDKILIGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPRLDIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLPNSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCVSLIQSQQLAKVDPAAAGVLYDKEFIVCSLDLLSGLTEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLNPRLQEFLNVAAKQLTPQSVKDAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILTSPESLNKSLIENSAITLGRLSWVCPDIVAPHMEHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLAYVCQACASWNEIKSEGLHNEVCQILNGYKQMLGSAGWEQCMATLEPAVVQRLARYGV* >Brasy9G341400.1.p pacid=40059908 transcript=Brasy9G341400.1 locus=Brasy9G341400 ID=Brasy9G341400.1.v1.1 annot-version=v1.1 MVPPPGRRRDRDRLSALPDAVLGHALSFLPTKETGRAAALSRRWRHVFCNVHTVSFSEREGDRACDWDSFYFEAEERKSCSGELLDDVCNALLCRRRCAGHSVPLRALRFAFDDIDDWDWAHVDTWLSHALRHSSSCPELCLDLRFRLGPFCARRGLKPGRSVYELPRKLFSCLALRTLCLAHCELNLPSAAGAGAIDLPFLETLSLTGVYGDSGLLISRCPRLVDLTLEGDDGLQKVSVLDRRLRRLALRCCHKVKSVEIDASELTSFVSVYHGGSDEEEGDDDAGRKGDEGPFVVMFPDDSGVESSFAALSCLRHRVKEINVVHYRGDEAQRMMARLLFGSALVLERMCVVLAKGTFGVQASMKEEIESWVVAVDAEQIFL* >Brasy9G235100.1.p pacid=40059909 transcript=Brasy9G235100.1 locus=Brasy9G235100 ID=Brasy9G235100.1.v1.1 annot-version=v1.1 MEWVEKTQKVSPVGSGENRLRAAPQSPLQGGGWFELGDFGARWRTRGPCPAGRHGREAADAGPACAHAVEGFEAPEAAGEGAPRSGFLFLPGTGRRRRRTRSRRPAAGGGEAEVGSVELERMVLSFMEESAATIEQGLVESASQGAVPALFAQLIMFARWPTASSRANGRR* >Brasy9G051400.1.p pacid=40059910 transcript=Brasy9G051400.1 locus=Brasy9G051400 ID=Brasy9G051400.1.v1.1 annot-version=v1.1 MDCDTILCDYCESAEHASGVCPLLEAPKPQMTMYGVADEELLMFEMPLTNSFRTKLDSGRVGMVTVSGGSLSIDEMAELQRMVRFGNFKVPGSPCLLTFEEWTVKVKPVWTLQEVWVLVSGIPTEALRDFLGLWGLGSLFGVTKEVDMVYTRLHSVLRIRVACVDYKRIPNRRFVLIKGEGYELFFEVEAPLEVQPVDETMADVFDSDGDGNNDNDNSSREQFDGSGNLTKNSDGPSSSPVVGDVSSPPTSQLACSPLPSIRFGSFPVGALSVGASVPGGRWADIVEEDEHAASAPPFAADGCRLPPAVADRTATAGGSASGGSVDTSLRRGPSMPAAKRSLAAVAGAAAVPVRASPQIAVGAGAAAASLPRPAVRAGSPQPGSTRELRLSGVQQQAAVVLGQSSAAPAAPVRVSGGHANGISTPTMADLIAFGGIQNAATSGVRSSARLRAQPDGDDTQLERASRRTCDRNENFNSGTNLLSKFSFAALPDSEIVERASRIGVSLGSIVSSVSESISLLKDVEMERALTLLHKNVGTANGDVDAIHNLAVSKISDLCVDLDDESVVVEDDQSDHCVRVTRPRKKKVYDCSAVRRSSRIKKQKSYS* >Brasy9G022000.1.p pacid=40059911 transcript=Brasy9G022000.1 locus=Brasy9G022000 ID=Brasy9G022000.1.v1.1 annot-version=v1.1 MVRREAAVVRVTTSAYLCRAPTGEDAASPDDEPRGNRAPTEKARAEVAPAWTGLGRPFSSSCPSRASRRRRAGPARRPAGSRARRRRHGARARGFSTGHRRRRGKGAGSGTSRSTSWACRSSAGASACAVALTLATARSGDATPETTRTCFSEPSLSLPHRRPVKRHASGTTAGGRGRREKGR* >Brasy9G109400.1.p pacid=40059912 transcript=Brasy9G109400.1 locus=Brasy9G109400 ID=Brasy9G109400.1.v1.1 annot-version=v1.1 MEMLSMLKVGYTVLRSETPATDLVNTFMDWAARRSLLLLALFMPPYYAYKLVSSAAATASPEDVAGKVVLVTSASSGIGEQIAYQYAKKGARLALVARREGSLRDVAARARDLGSPDVLVLPGDVARPEDCKAFVQATVERFGTLDHLVNNAGLANVCWFQDVPDVADFKQVLDVNFWGTVHPTHCALPHLKRSRGKIFVNSSAPALLAMPRMSFYNASKAAVLNFAETLRMELGDEVGLTVATPGWIESEMTKGKHLSKEGTMEVDQDTRDAQVGVFPVVRAERCAEAIVDAVCRGRRSVTVPAWYRALFLWRAFAPEVGDVFQRVFYRRSSGDEGSQMSSGAKALLQPPSLHTSEIKRD* >Brasy9G026500.1.p pacid=40059913 transcript=Brasy9G026500.1 locus=Brasy9G026500 ID=Brasy9G026500.1.v1.1 annot-version=v1.1 MGWLTKFFRGSTHNISEGQHQSKPAEETVWNEPSSSTALTYALSEFDNEDIDRAIALSLSEEEQRKSKGTGKDLHLDEDEQLARAIQESLNVESPPRSREKSSHPRARENGSANGGNSYQLPLMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFCCHGCSQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCQQFIPTNTNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTTECQPLYVEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDIEEGICQVLAHMWIESEITAGSGSNAASTSSSSTSSKKGGRSQFERKLGDFFKHQIESDTSVAYGDGFRAGNQAVLQYGLKRTLEHIRFTGSLPF* >Brasy9G026500.2.p pacid=40059914 transcript=Brasy9G026500.2 locus=Brasy9G026500 ID=Brasy9G026500.2.v1.1 annot-version=v1.1 MGWLTKFFRGSTHNISEGQHQSKPAEETVWNEPSSSTALTYALSEFDNEDIDRAIALSLSEEEQRKSKGTGKDLHLDEDEQLARAIQESLNVESPPRSREKSSHPRARENGSANGGNSYQLPLMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFCCHGCSQPIYDYEIPTNTNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTTECQPLYVEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDIEEGICQVLAHMWIESEITAGSGSNAASTSSSSTSSKKGGRSQFERKLGDFFKHQIESDTSVAYGDGFRAGNQAVLQYGLKRTLEHIRFTGSLPF* >Brasy9G269400.1.p pacid=40059915 transcript=Brasy9G269400.1 locus=Brasy9G269400 ID=Brasy9G269400.1.v1.1 annot-version=v1.1 MRKLKFHEKKLLKKTNFLEYKREGGHREAAVTQRYSLVDRDDYKKYNGICLMAQKLVNIIKQMDPRDPFRIEMTDMLIDKLYNMGVIPTKKSLVKCENLSVSSFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKKKVMAYNGQLDDYDAMF* >Brasy9G058300.1.p pacid=40059916 transcript=Brasy9G058300.1 locus=Brasy9G058300 ID=Brasy9G058300.1.v1.1 annot-version=v1.1 MFSLRIHSVEFPDAIAARTTAAADEVGTSSGAPGAITASSPISPRSGHPLPSTVSATTPLELPGATSAASARNPRIQHTRGILHLYRSSTSSPASSYVSAVAATPSSSSSSGPTAPQLPCDSLLPSWRGTRLLVLAVPTRVSSDDFVRFCGPYVEHASDIRVVSDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTSSAEIAVIPPVGSTELPTCPVCIERLDQDISGIVATNCDHSFQCSCVSMWVSSSCPVCQFCQKLSETPTNPTCSVCQTSENLWICVICGFVGCGRYKEGHAIRHWKGTQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHAKFKSKCEYSGDNCVNCSCNDHSDIGGAIFSSKTETIVDEYNCVLASQLETQREYYEDLLSEAKKEREHHISVAVDKAVNDKLQEMQLKLENITLEKKKVAEMNEKLTKSQDIWCQTVKGIEERERAQLKLKDDTIIDLEEQIKDFKYNIKLQKSIQKNAHADDLQGGMLVPLAMESDSGKGKRSSRTSRRRN* >Brasy9G122800.1.p pacid=40059917 transcript=Brasy9G122800.1 locus=Brasy9G122800 ID=Brasy9G122800.1.v1.1 annot-version=v1.1 MACAFSASTVSPAAAALVASPKPTAAPQFLSFPRAFAGGAARPSRLAASRTARARNFVARAGGEDSLPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHEEFEKINTEILGVSVDSVFSHLAWVQTERKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI* >Brasy9G113100.1.p pacid=40059918 transcript=Brasy9G113100.1 locus=Brasy9G113100 ID=Brasy9G113100.1.v1.1 annot-version=v1.1 MGAGRAVVLRLLVLASIFLGLAVLAAGEKDCYDERDHIMRVCKFSIRKQGPYVIPDFQCRREMNKVDMPCICRVLTAADERQVSPEKLVRCARDAGVVLPVGSKCGSKQA* >Brasy9G029200.1.p pacid=40059919 transcript=Brasy9G029200.1 locus=Brasy9G029200 ID=Brasy9G029200.1.v1.1 annot-version=v1.1 MESSAPPASSCLGNEEEEFYWDAAAEAELQAIEAAYAPESSKRRRLPDWCSPAVPVRPRANSLPAAGGAASPSWILSPPTRRGAGYVKAMHQQIVFSGKIVYCRTPSEVDKATTEILRKIEKMKATGQVSLGFDLEWRPFPRRGDPPCKVALMQLCMEKTHCYVMHIIHSGVPPKLKYLLEDSSSIKVGICIDNDARKMFNDYDVRVQPLMDLSTVANVKLAGPPKRWSLAALTEMITCKELPKPSNIRMGNWEAYTLSKQQLQYAATDAYISWYLYEVLQSLPDYNAETEKEIV* >Brasy9G286100.1.p pacid=40059920 transcript=Brasy9G286100.1 locus=Brasy9G286100 ID=Brasy9G286100.1.v1.1 annot-version=v1.1 MTRMRAMANYKALTVLAVIFLGGGLLVSAAAAGGRDQEEPLVPAVYVFGDSTMDVGNNRYLDHGVPLQHPYGIDLPGLPTGRASNGYVMSDSIARLLGFNMSPPAYLSLTPETSGQILQGFGGVNYASGGSGILDDTDPTETNPIRLSQQVEYFAATKLEMTEDKPGDIEDRLSRSLFLISAGGNDMFAFLKKHPKPTESQVLAFYDDLVSTYARHVRALHALGARRFGVIDVPPIGCLPLIRAQSPDGEHKCVKAANALALGFNDALRHNMMFVLRPSLPGMRHSVGSSFRLLLSFTKNHPGNGFTEVASACCGGGRLGVETLCSLPGATFCEDRDSHIFWDFVHSTEATANRGAQAIFALPAWQGFATPINFKQLVSSSSSSSSSSSSSSSSSSSSSSSSSFDAIARA* >Brasy9G129000.1.p pacid=40059921 transcript=Brasy9G129000.1 locus=Brasy9G129000 ID=Brasy9G129000.1.v1.1 annot-version=v1.1 MGELQIQPRASLAASALLLCCLFFVQPSQAFFGAEKAPMARGVVVPDYSPRPAPPLAPAPVAGSDDYMPRLPSEGAPSGGNAAAPAPAPAGTSTAFISSNPAAPLPAGVTDSATVLPMPTPGQEQQRQDVGMGALLQARTVPLLVPLVMMLSFWAF* >Brasy9G170400.1.p pacid=40059922 transcript=Brasy9G170400.1 locus=Brasy9G170400 ID=Brasy9G170400.1.v1.1 annot-version=v1.1 MQTEAAAAAVSPVVSRKRDSGDASTGNTAASPIVSVSKRARVDVGTGTGTDRLGDLPDCLLHEILAYLGSRQAVQTCALSRRWRDVWRSVPCVDIDQREFPAGDVSGAASSGFRLDLERFEDFADTILSSLLPPGDAPPPPLGAFRLLLQPQVDRHAMGTHFERWIRRALRRHPAAVDLHCTLGSAIEWPLRSTSDLDLGSGTGTFSRLRSLRLYEVWLRDDCFGQQLGVQCPVLEDLRIEGCVYWVCRIASPTLKTLAIIRSRSHFRGASSAIAAPRLAFLELVLIFSSTPGSPVMVAPENDALASLVKASISIEDADDMVPAAANRRPNKHKQEFLKSMCSFLSRLTNVRTLALSGFTTTALLDQESQDFPAFENLETLLLDGCDIGVEKFQVLTRILANTPNLETLGLYQCKFLGRARKKKAKAEANRTSSKQREPSLLEQKNLKSIEIKYVTNDCDHFIKAFKTIRDGMPGKMQWWRLLRNRDIENPRLIRLVRNE* >Brasy9G350800.1.p pacid=40059923 transcript=Brasy9G350800.1 locus=Brasy9G350800 ID=Brasy9G350800.1.v1.1 annot-version=v1.1 MYRGSCSSGRPSEIQPPNNSQSNSEEVSEDQQGMIQPDEIYPTEKLSMALKEAVAGQMREVRKFQDEERRLRGWLIPYQPQEKVKVEELIKEEETAELTESAKEEMDQEERLFEEYRRDWERQATAHRAMGSFKDRTTLCPMQFTHFTDKQIRGLTGATGSALQIYSIKIAAIKCESLNWPLHVYGEVAARDRVDCNRNILFSRSRSNCQELTVDDPFLHLIGPSRAIVADNPVFFEVQLKVKGRTESLDSVLMNRTYQYCGGYTGRPSTAVFDNCRCTAEISFEKLYATVQATILSVHVVDAEYEDDPCTFKHGGRVTCFSPLREIALMDTQGAVAEVIDDPARPVVLLDWHDRDGAGEMPMSFDASLLLSRRVVSVELQKSHPAAAGYKECLKVVVQAYSQSGNVAAQGYVKFRPKHCNISQAVCVVGDSTVEITVAWSVLVGSKTFLL* >Brasy9G073200.1.p pacid=40059924 transcript=Brasy9G073200.1 locus=Brasy9G073200 ID=Brasy9G073200.1.v1.1 annot-version=v1.1 MEASCIATVNKFQSLSILFLRALLKLLALPPTLLKRFSKEEHHHPPLAELPQDILMDIFTLLEIPDLLRAGSVCPSWFSAYTGLRTFQQYKQSQTPCLLYTSETDSESVARLYSLVEKRSYKLRLPEPPIRSRYLLGSSNGWLVIADDRSEMHLLNPITGEQFALPSVITLEHVTPILDESGAICKYRLMRFTFTDLTLTLDLSELREHLGCTAFVFYDTLAEGYIVVLIHKPATQLSFAWLGDEKWTWLSPKDGLPNDCFLDCAYKDGLLYAIASEGEIFAIDLRGPIITAKLIMDRNTIYGYDYIYVVPSPCGSLLQVRLELDAYKYDDDADSATYVSNTEMINIFKVDTAAQKLVKINSLDDHVLVLGHNQSSLCLSAKEYPQLKANHVYCTQRHGYFTDFGHVNNYYTVVFDLANNSRQDLLPPQLRSNLPAPIWITPTLTKLSPTPV* >Brasy9G366900.1.p pacid=40059925 transcript=Brasy9G366900.1 locus=Brasy9G366900 ID=Brasy9G366900.1.v1.1 annot-version=v1.1 MAALAMATGIVVPRVSNAHSSGAAPQRRDVSVRAAPPRQQRSSRPPPPSNRRGPPQQNRRGGPPRLRDDEEYGRDAYGGPPGKRGPPARHGRAPPRGGGPPRGSPGRGPPRGPPSGRRPPRAEMARSPVPLRREEEYGDEAGFRDYDDDDEEEEEEERFAGGTRVGAMPKPPAGFVLDDQGRCIAAASKRIVSINDETNNRPLECIIRRVFRSTQDHECLLLCPVDMPVQVLKSTNFSGWIAVDDDQIKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSSDGSSEAPFEGVEICNFNLDGAHYMIYTPVDPLLFVAVKDKDGVLQIAEDDLMDDPNIVGAIDEETEFTALVEEEEALLESILHGDDDVS* >Brasy9G197200.1.p pacid=40059926 transcript=Brasy9G197200.1 locus=Brasy9G197200 ID=Brasy9G197200.1.v1.1 annot-version=v1.1 MGKNQAYKAMQRARLGSSSGAPGEGEEDGMTDGSFHSPEWHAARLASLNKTHTLTWEEFKKKQKDEELKRGEVEADKDKMMREYRAQLDAERAQKLGRGRDNVKSKSSSSKKEKKDKDAKKRIKKRRKHRSSSESSSSSSESSSSDDEDRISRKSRSRSRSKRNKKDKKHRSRSKHAGSDSEEEGGPVRLSKFFGNAKN* >Brasy9G197200.2.p pacid=40059927 transcript=Brasy9G197200.2 locus=Brasy9G197200 ID=Brasy9G197200.2.v1.1 annot-version=v1.1 MGKNQAYKAMQRARLGSSSGAPGEGEEDGMTDGSFHSPEWHAARLASLNKTHTLTWEEFKKKQKDEELKRGEVEADKDKMMREYRAQLDAERAQKLGRGRDNVKSKSSSSKKGKKDKDAKKRIKKRRKHRSSSESSSSSSESSSSDDEDRISRKSRSRSRSKRNKKDKKHRSRSKHAGSDSEEEGGPVRLSKFFGNAKN* >Brasy9G233700.1.p pacid=40059928 transcript=Brasy9G233700.1 locus=Brasy9G233700 ID=Brasy9G233700.1.v1.1 annot-version=v1.1 MAGLPPLLNAFYDDGHRCQGWINPAGDGIEPPPTFRLRGPNKWDLDPRFVPRLAQSGLLPLARMTSTGQSLMLDSSLLTALVDRWRPETHTFHFRWGEMTPTLKDVAMITGLLLRGPPVVPQPESLTWREDLEARFGIPLPRKEGGKDLRGVPKSWLSQFTVVPPDADDYVIRKHLIAYLLFLFGSIMFPSSSGDTIPPSYINMAVEIADNQFDDIIAYSWGSAVLCHTYRGLCVAVQRKPIRKEPVLSVCYILLQLWSWEHLPIGRPQIEHPVHPYKLGDTRFEPATFGSRWTYGKLRWARQFAGKCYPLYHDDLERLHDTEVIWDPFSQEDILYVGGAPGLSMQCTCDADIWMTRCNLVFAYMVEPYEPERVMRQFGLFQEVPPPLPRELAHDVHTFGQLCSDTIAMAFPKPSLVLCSILTFLSLNCSPYHVFAKVYMVVMEDDPVVSYKASRKNIMRGEEAQKYKQIATTKHDIFLESFLPTGSYKKLYSYTHLLNGFALHAKSVETARILSGAKGVRLVQEDIKMAKMTTYTPKYIGASGVWPLLGGAENSGDGIVIGMIDTGIDPKNPSFAGFSDQAKPPPASFKGMCRSGDRFPPDSCNGKIVGARWFARAGQATGEFNATIHYASPYDPDGHGSHTASTAAGNFHTPAISRGYNFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSVSSGPASFLNLLETQLLLATKAGVSVVQAVGNGGPDASSIVSFSPWITSVAASTTDRKYNKSIITGHGQVFSCGAISPSTPGETMYPLALADDVSIANSTDGSNSCQDPKVFIRSLVQGKVIICMIVSSNYYEGGSLTNIIDTAQKIGAVGVVIADRYSGDVDIEYQPTFPTAIPSAIVVNGVDTMNLLEYYDNNTARGDDGGVMAFGASVRILEGRRASYSGEPPMVADYSSRGPNVENAQMQAADVLKPNVMAPGHHIWGAWSPTSDAMPEIQGESYAILSGTSMSTPHVAGVAALIRQRHPTWSPAMVMSAIMTTADVTDRSGRPLMARRDVGAVVAATPFDMGAGAINAARALDPGLVLDATYREYLQFLCAVPGVDEAAVRRATGALCPSARARWCSDLNTPSVTVASLVGSRRVDRRVWSVGAENETYMAYVRAPDGVAVRVSPDEFTIAPGGTAVLRIVLNTTAPGNAFSFGEVVLRGDKKHSVRIPLAVYPAAVLGP* >Brasy9G026000.1.p pacid=40059929 transcript=Brasy9G026000.1 locus=Brasy9G026000 ID=Brasy9G026000.1.v1.1 annot-version=v1.1 MIKLGILVTSPLETQLHWLSCKEALVLSQGVKLLLEHLFKAKLQRLTYKAALVLSQGGNLLLQWHQREHREPLFLQQDLL* >Brasy9G365800.1.p pacid=40059930 transcript=Brasy9G365800.1 locus=Brasy9G365800 ID=Brasy9G365800.1.v1.1 annot-version=v1.1 MEKTNPSAAHMKAFIAELNDLASLLRGNTKLAAALFDVRDEDDDKTPPISELFSHLQQYLSEYRSKFLRLKHRVDFSLYEDSEDEVDWEHLVATYWREFIRYRHGKDSAAHLDLEEETEPVKKAKEQIFLEEQQFVNYSTGKESVCHGNNFTSGTMLSPMLLTHCTPGLPIDHLGATTGTSLQVFSFKISEIKCDLEWPLLVYGMVNARDSVDCNRNILFYRTNINCQLLTRDDPFLRLTGPSRGILAINPLDFEVQLRVKGISMSRDRTLIMQRSHYSHGDAQLSLEQIENSVQATFLGVRIIRGGSFSYGGRVAISQGSTMDANEVVLLDSRGGEVPMGTDGHIDLSRRVVSVEINPGHEDLEGLKVVLEAYSESGRGIAARGATRAAPSTPPPSPPHILSSPSPPPVVAGGQSPLGDGGGGAFFLGWLLPRLASSRIRSQAREVAVSGCSLAGSGGSPAGSGVAGPAAVVGALFSLRGGAREAAEARRREEARGWRVGGAWVARREVRREEARGWRGGERRPGRGGAREAAGARQRAWRRAGGRRGVREARVEACGRRAGRRAGAVREARVEACGRVEVVAWRRHGSVAG* >Brasy9G227900.1.p pacid=40059931 transcript=Brasy9G227900.1 locus=Brasy9G227900 ID=Brasy9G227900.1.v1.1 annot-version=v1.1 MDSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQALKEAPPDMQCKDKFLVQSAVVREGTAVKDITGEMFKKESGNVVDEVKLKVVYVQPPRPPSPVREGSEEGSSPRPSWSDGGNMNYQDATRETDEPPLFSDIRAQKEPEGMTSETSALISRLTEERNSAVQQNNQLRKELDLVRREISKHDGGFPLVFVVVIALLGILLGFVMKR* >Brasy9G326800.1.p pacid=40059932 transcript=Brasy9G326800.1 locus=Brasy9G326800 ID=Brasy9G326800.1.v1.1 annot-version=v1.1 MDQQPKMQREEEEEEANLKESTGRRTEEEEPVDRREEEEKKGSEEPATDQRKAAGDEEEKERSSEEEESMEEEEAAPLSLHPCSLLQYVVRAFASRLGISDPFGGTKPSGESAPLGPSAEGQDADEKNPPSTANGFYMQGVITTSVWAVRRARPRPPGNPVEGSGGNGGHHH* >Brasy9G072200.1.p pacid=40059933 transcript=Brasy9G072200.1 locus=Brasy9G072200 ID=Brasy9G072200.1.v1.1 annot-version=v1.1 MGGELSRRRRGLGAFMSSGGGGGGLGLGRRRPSWERGGGRPRRERGGERTEFSGPIPLEIHTSLKLMGGHLCGGGGISSLLCRFLQFYHGDIRLSYYRCLPKHGFRLKNEEN* >Brasy9G023500.1.p pacid=40059934 transcript=Brasy9G023500.1 locus=Brasy9G023500 ID=Brasy9G023500.1.v1.1 annot-version=v1.1 MTVPAEVRNGCVMARTFFGDLLPNEYNAIESAAEDAIGYLRTQKEVYINDINYGDVRSLADRLSASETYQELHHEVLVKATRRSNILQGSFNEVMEKVSYICVKSAGLLPIRHRETKLVDGRTIVRPVYAGTRPPSNRRQKLASALVRLLRFKAATDRLLAPQVQTLGIL* >Brasy9G286500.1.p pacid=40059935 transcript=Brasy9G286500.1 locus=Brasy9G286500 ID=Brasy9G286500.1.v1.1 annot-version=v1.1 MKTQNGSTPCSFVSRGQSEAQLRNQPPRRELSGGFSRTPNASRHNLPPPRQSLAVMAMLLRRRLPTLVRLLRPLQTEAAASSSSSPPPPPLQKPIAAAASPSVAPGPRLRFPNAAPRVSASSAAAFLAAGAAAALASLPVVAYADANNEEGVVDTAEWVPVSTDAAVGEDLARKEKKRIMELIESRGMPLGSYPQFDVAMKGQKVVVKFNVPSTCNISCVIVNLVKHLGLEAEQFGGGSEMLLRAWDSAAARQITLNPPKMTGIGDNNEDHLCVLIFEPLVGSEYSEIEFIKPSTFSLKELEALISALKLAGQKDIKASSGKASSKGKDQRSKHLPSIDKTVSDLEAMGVKVYGFDEASSVPMDGTVMWENIAGYEPQKREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANKLPEGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLSKPELARFSIVTEEMAGRDIRDICMQAERHWASKLIRGQIPKDGKGEPTLPPIDEYVACAEQRRKSLPDRIIPTSRSSVLKLA* >Brasy9G286500.2.p pacid=40059936 transcript=Brasy9G286500.2 locus=Brasy9G286500 ID=Brasy9G286500.2.v1.1 annot-version=v1.1 MKTQNGSTPCSFVSRGQSEAQLRNQPPRRELSGGFSRTPNASRHNLPPPRQSLAVMAMLLRRRLPTLVRLLRPLQTEAAASSSSSPPPPPLQKPIAAAASPSVAPGPRLRFPNAAPRVSASSAAAFLAAGAAAALASLPVVAYADANNEEGVVDTAEWVPVSTDAAVGEDLARKEKKRIMELIESRGMPLGSYPQFDVAMKGQKVVVKFNVPSTCNISCVIVNLVKHLGLEAEQFGGGSEMLLRAWDSAAARQITLNPPKMTGIGDNNEDHLCVLIFEPLVGSEYSEIEFIKPSTFSLKELEALISALKLAGQKDIKASSGKASSKGKDQRSKHLPSIDKTVSDLEAMGVKVYGFDEASSVPMDGTVMWENIAGYEPQKREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANKLPEGGIIFLDEIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLSKPELARFSIVTEEMAGRDIRDICMQAERHWASKLIRGQIPKDGKGEPTLPPIDEYVACAEQRRKSLPDRIIPTSRSSVLKLA* >Brasy9G286500.3.p pacid=40059937 transcript=Brasy9G286500.3 locus=Brasy9G286500 ID=Brasy9G286500.3.v1.1 annot-version=v1.1 MKTQNGSTPCSFVSRGQSEAQLRNQPPRRELSGGFSRTPNASRHNLPPPRQSLAVMAMLLRRRLPTLVRLLRPLQTEAAASSSSSPPPPPLQKPIAAAASPSVAPGPRLRFPNAAPRVSASSAAAFLAAGAAAALASLPVVAYADANNEEGVVDTAEWVPVSTDAAVGEDLARKEKKRIMELIESRGMPLGSYPQFDVAMKGQKVVVKFNVPSTCNISCVIVNLVKHLGLEAEQFGGGSEMLLRAWDREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANKLPEGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLSKPELARFSIVTEEMAGRDIRDICMQAERHWASKLIRGQIPKDGKGEPTLPPIDEYVACAEQRRKSLPDRIIPTSRSSVLKLA* >Brasy9G286500.4.p pacid=40059938 transcript=Brasy9G286500.4 locus=Brasy9G286500 ID=Brasy9G286500.4.v1.1 annot-version=v1.1 MKTQNGSTPCSFVSRGQSEAQLRNQPPRRELSGGFSRTPNASRHNLPPPRQSLAVMAMLLRRRLPTLVRLLRPLQTEAAASSSSSPPPPPLQKPIAAAASPSVAPGPRLRFPNAAPRVSASSAAAFLAAGAAAALASLPVVAYADANNEEGVVDTAEWVPVSTDAAVGEDLARKEKKRIMELIESRGMPLGSYPQFDVAMKGQKVVVKFNVPSTCNISCVIVNLVKHLGLEAEQFGGGSEMLLRAWDREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANKLPEGGIIFLDEIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLSKPELARFSIVTEEMAGRDIRDICMQAERHWASKLIRGQIPKDGKGEPTLPPIDEYVACAEQRRKSLPDRIIPTSRSSVLKLA* >Brasy9G286500.5.p pacid=40059939 transcript=Brasy9G286500.5 locus=Brasy9G286500 ID=Brasy9G286500.5.v1.1 annot-version=v1.1 MYLQPVIYHASLSILSSISGLRQNNLVAAQRCYCVLGTAARQITLNPPKMTGIGDNNEDHLCVLIFEPLVGSEYSEIEFIKPSTFSLKELEALISALKLAGQKDIKASSGKASSKGKDQRSKHLPSIDKTVSDLEAMGVKVYGFDEASSVPMDGTVMWENIAGYEPQKREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANKLPEGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLSKPELARFSIVTEEMAGRDIRDICMQAERHWASKLIRGQIPKDGKGEPTLPPIDEYVACAEQRRKSLPDRIIPTSRSSVLKLA* >Brasy9G045800.1.p pacid=40059940 transcript=Brasy9G045800.1 locus=Brasy9G045800 ID=Brasy9G045800.1.v1.1 annot-version=v1.1 MASGADSAAEGVAALGISDCPAPLRRNLQLLSSDQVELAKMLLNEGQTHLFQHWPEPGVDDDKKRSFFEQVHRLHSSYPGGLASYIQNAKKLLADSRAGKNPYDGFTPSVPSGEVLTFGDDNFVSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPREITSGKCFLQHYIESILALQEASCKMDGECHTKIPFVIMTSDDTNALTIKLLESNAYFGMEPSQVKILKQEKVACLADNDARLALDPNDMYKIQTKPHGHGDVHSLLYSSGLLEHWKSTGRKWVLFFQDTNGLLFNAIPSALGVSASKGYNVNSLAVPRKAKEAIGGITKLTHLDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKSAFKSSTRLECMMQDYPKTLPPTAKVGFTVMDTWLAYAPVKNNPGDAAKVPKGNPYHSAISGEMAIYRANSLILRKASAQIADPVIHTFNGQEVEVWPRITWSPRWGLTFKDVKEKVHGNSSVSQRSVLVINGQNIVLDGLSLDGALIVNSVDEAEVKVTGHVENKGWAIQHIDHKDTSEKEEIRIRGFKLEKVEQMEVNYTEPGKHCMSS* >Brasy9G045800.2.p pacid=40059941 transcript=Brasy9G045800.2 locus=Brasy9G045800 ID=Brasy9G045800.2.v1.1 annot-version=v1.1 MLLNEGQTHLFQHWPEPGVDDDKKRSFFEQVHRLHSSYPGGLASYIQNAKKLLADSRAGKNPYDGFTPSVPSGEVLTFGDDNFVSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPREITSGKCFLQHYIESILALQEASCKMDGECHTKIPFVIMTSDDTNALTIKLLESNAYFGMEPSQVKILKQEKVACLADNDARLALDPNDMYKIQTKPHGHGDVHSLLYSSGLLEHWKSTGRKWVLFFQDTNGLLFNAIPSALGVSASKGYNVNSLAVPRKAKEAIGGITKLTHLDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKSAFKSSTRLECMMQDYPKTLPPTAKVGFTVMDTWLAYAPVKNNPGDAAKVPKGNPYHSAISGEMAIYRANSLILRKASAQIADPVIHTFNGQEVEVWPRITWSPRWGLTFKDVKEKVHGNSSVSQRSVLVINGQNIVLDGLSLDGALIVNSVDEAEVKVTGHVENKGWAIQHIDHKDTSEKEEIRIRGFKLEKVEQMEVNYTEPGKHCMSS* >Brasy9G308500.1.p pacid=40059942 transcript=Brasy9G308500.1 locus=Brasy9G308500 ID=Brasy9G308500.1.v1.1 annot-version=v1.1 MASSPRIAPIGPVPFKDLEDATEVSSYVHGVPLSSALPSSLLAGQAEMKQLYYQGFWLPEALAAAAVPLQQRFEPRPDDVIVASLPKCGTTWVNALAFAVMARRTYDPLAPAAAGHPLLRLSPHECVPFLEGLFAPGHEARLDALPSPRLMNTHMPLAFLPRAGAAADGGSGGCRVVYVCREPKDMAVSLFHYVRKLCPEVTFPATLDSVCNGDSWYGPFWEHVLGYWRASLSRGDSAVLFLRYEELLRDPGRELRRLARFVGQPFSRAEEDAGVVSGIVELCSLENLRGLEAGRSAGVVDPRLKIPRSALYRKGVAGDWRNHMTPEMARRVDGIVADRFHATGLAFD* >Brasy9G049100.1.p pacid=40059943 transcript=Brasy9G049100.1 locus=Brasy9G049100 ID=Brasy9G049100.1.v1.1 annot-version=v1.1 MSMSSSCLLSLLPCLLSFLLLRLSSTLLDPAAAVPRVKRSPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPELGGARCSDLNTTRFLRRLIEAERPDLIVFTGDNIFGSSATDAAESLLRAISPAMEYKVPWAAILGNHDQESTMTRAELMTFMSLMDYSVSQVNPPGFLVHGFGNYHVGIHGPFGSELVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLTWLSATSRELQQNLHAPALAFFHIPIPEVRDLWYTSFKGHYQEGVACSSVNSGVLSTLSSMGDVKGVFLGHDHLNDFCGGLKGIWFCYGGGFGYHAYGIPFWPRRARMIYIELKKEQRSWTEVESIQTWKLLDDEKLSKIDEQVLWRRSEDDLYHNVYL* >Brasy9G136300.1.p pacid=40059944 transcript=Brasy9G136300.1 locus=Brasy9G136300 ID=Brasy9G136300.1.v1.1 annot-version=v1.1 MATTLPPLPLLFLLPLLLVSFATAGGGSGGGENFPRDGRVIDLDDSNFEAALSSIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIMVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADLLVRNLKKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGKRAWFAIAKDFSEELMMAYGFDKAPALVALHPKYKEQSVFYGPFEGRFLEDFIRQSLLPLTVPINTDTLKLLDDDDRKVVLVILEDDSDENSTQLVTVLRSAANANRDLVFGYVGVKQWEEFVETFDVSKSSQLPKLLVWDRNEEYEQVEGSERLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFMHSLVSMNSLYILMFVVALLGVMLYFSGQDDTPQLRRVHDE* >Brasy9G169300.1.p pacid=40059945 transcript=Brasy9G169300.1 locus=Brasy9G169300 ID=Brasy9G169300.1.v1.1 annot-version=v1.1 MDGDVERPMLAKGPPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYKREMKREQEEIIAVPETEAAEIGDIMAEYGLEPHEYGPVVEGLRRNPQAWLEFMMRFELGLEKPDPRRALQSAFTIALSYVIGGLVPLLPYMFISTVQDAMLTSVVVTLLALLVFGYIKGRFTGCRPFLSAIQTTIIGAVASAAAYGMAKAVQAR* >Brasy9G164100.1.p pacid=40059946 transcript=Brasy9G164100.1 locus=Brasy9G164100 ID=Brasy9G164100.1.v1.1 annot-version=v1.1 MAPSPPIADFLVRPAKRLRSSSTTVLAATIPAASLSSSASLSPEQRRRADTNLALARARRNLRLVESKACGGAAKLEELLVEETWLEALPGELRKPYALELCRFVSQERLHGKVPVYPPPHLVFHALHSTPFYSVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLTNILKELHKDLGCTVPSHGNLERWAVQGVLMHNTVLTVREHQANSHAKKGWEQFTDAVIKTISQKKSGLVFLLWGNSAQAKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSQTNEILERLGLSTIDWQV* >Brasy9G340500.1.p pacid=40059947 transcript=Brasy9G340500.1 locus=Brasy9G340500 ID=Brasy9G340500.1.v1.1 annot-version=v1.1 MEMHGQAADRLSALPDETLELILSQLGSDEAVRTSALSRRWRDVYAAVPVVDIRGVERIGRDSPTSEIPVCFEQRVTGAILCKGPGTPIHAFRLNTCLPPSGLLDQWMVTAASSGAEEIDIMLRYLYSSRRRLCPFDSSENASADFDGYDRKRYTKTQRQLFGCTTLRCLRLTNWTLDLPPCMAMSSLETLYLARIMDPGRQLQQLLLSCPRLADLTLQECPSIRKIAVTSVHLRSFAMICCHHATRIRLSSPCLQSLHYKGGLPRRPLFKVANFPGVVVLRIEICEDLSGKQRTDVAPVSTLIARCTNLTHLYLSLRPSMACHSSLFRDAIRGLPLRLLGLGFQGYLLQHYDVSSVADLLCVTENLEVLSLFALGPPPERTSTREDTCKISAFEGCSFGGVLGCLDGWTMPM* >Brasy9G269700.1.p pacid=40059948 transcript=Brasy9G269700.1 locus=Brasy9G269700 ID=Brasy9G269700.1.v1.1 annot-version=v1.1 MATSSAYPPPPPFYRLYKDFEKDPSSAPEPPPPIDGAYQLFGATYTTDVVLPSLEDQGVRQLYPKGPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHLLESQIQRRKQAIEDIKQRREEAQRLLGESLLIIDGSQQVMTPM* >Brasy9G269700.2.p pacid=40059949 transcript=Brasy9G269700.2 locus=Brasy9G269700 ID=Brasy9G269700.2.v1.1 annot-version=v1.1 MATSSAYPPPPPFYRLYKDFEKDPSSAPEPPPPIDGAYQLFGATYTTDVVLPSLEDQGVRQLYPKGPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITAYYRWKPAGHDSNVKASDILRFLVPSWLDQDSYRCLF* >Brasy9G274200.1.p pacid=40059950 transcript=Brasy9G274200.1 locus=Brasy9G274200 ID=Brasy9G274200.1.v1.1 annot-version=v1.1 MAGSFDGRIPTRGVEQAIVALKKGAHLLKCGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVILGQKTVNFLRQRWPEKEYQSLSLIYRNGECSLDLICRDRDQAECWHLGLTALISGHSPFSSVGSKSSRQVTSCTNTPRSYIQRKSKLSAVHDTPRHKQVYPSYGSPKMTPKDFFGSYLDCSEALFYQRQQTFSDVDSYLEKLTPKVMNPVRHGLKDILVGNKHKTQITQKPKLKTFEVPRAACRLDSPNDVFLWGDILCSILDSEDIPKALPRLVGSTTMLDVQSIACGENHAAIVTKLGEVFSWGNESSGRLGHQINDSVSCPKLVESLASVHVKAVAFGSKHTCAVTVSGELLESGEGAHRLGLLSNWYERNQWSPHKLFGPMDSISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDKQGTARPKEVESLKGLRVRSVACGPWHTAAVVEVTSSFNCNAPSGKLFTWGDADRGKLGHTDKKIKLVPTCVDLLTSYDFLQVSCGAALTVVLTSTGVVFTIGSSKHGQLGNPQADGESICTVEGTLKNEFVREISSGSSHVAVLTMKGQVFTWGKGADGQLGLGDYDNRSSPTLVEALQGRHVQSIACGSNFSAAICLHKGISVRDQSICSGCQMAFGFTRKKHSCYNCGSMFCNSCSSNKIAKAALAPDKNRRYRVCDACSCQLQKVVDSSEFKPQPKTSKGDMFKAEIKAYTPKLSRLFKEANLIVEKMAVVQGLNQRNVDSAIPIQEKTRRWGQVECPAQFVSAQENFQHQPISNNQMHSVSFSQRMHDSTGLKVGNSLRRSTDSQREEMTMMETMLTEEVKQLRSQVTALAEQCQQKNLIAQLYKQRLDETWLLVRDEAAKCKAAKDIIKVLTNQCNALSEKNSVGQQSGNSKITPNSIPRRAPSTDVAVPADGSFSHQNIARTFDSDGYTTESNSTAAPIDGHQNIAREFDSDGYTTEADSTAAPIDGVIEQIERGVYATLAVSPSGQKDIRRMRFSRKHFSEKEAQRWWEENMSKVYEKYSTKKAAISLNCH* >Brasy9G155400.1.p pacid=40059951 transcript=Brasy9G155400.1 locus=Brasy9G155400 ID=Brasy9G155400.1.v1.1 annot-version=v1.1 MAPSARQRHAPPRAATLTLLLPLLLLSSPSLSLSAVATAADSPPSSPAPLQAPPGQGASESEPTAPPPRHKHHHRHRRHRPPPPPPPRRRLNFGERLGIAFTGVAVAMQVVLGAFLALRAWQLRRLDRAEVSSSTPLT* >Brasy9G107900.1.p pacid=40059952 transcript=Brasy9G107900.1 locus=Brasy9G107900 ID=Brasy9G107900.1.v1.1 annot-version=v1.1 MGWFRAASGLARVALRRNLSRVPASPFAGPAPRYFHSTRPRRFAAPEPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERPVPDVLDPAFYGFSEADLDREFFLGVWKMAGFLSDNRPVQTLRSVVERLEQAYCGTIGYEYMHIPDREKCNWLRERIETVNPREYTYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYSTGGTIHIVVNNQVAFTTDPLSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHTCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQKQMLESGKLSKEDIDKLHTKVNTILNEEFKKSKDDIPNKRDWLSAYWTGFKSPEQISRVRTPEILKRVGEAMTTLPENFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGQHYCPLDNLVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFISSGEAKWLRQSGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDSTLRKQIQQCNLQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKECKSSLSEFDDLAGHPGFDKQGTRFKRLIKDRNNHKDLEEGINRLVLCSGKVYYELDEERKKSERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYINPRLLTAMRALGRGTIEDIKYAGRAPSAATATGFFSVHGQEQTELVQKALQRDPIKCPF* >Brasy9G141400.1.p pacid=40059953 transcript=Brasy9G141400.1 locus=Brasy9G141400 ID=Brasy9G141400.1.v1.1 annot-version=v1.1 MPSITAPSSPHVLVVPFPAQGHTLALLDLAALLTARGLHLTIVTTPGNAHLLSPLLAAHPSSVRPLTLPFPSHPSLPVGLENLKACPPIYAAVFVHALAALHHPILAWAKSQPHPVVAVLSDFFCGWTQPLAAELGVPRLVFAPSGVLGTAIPHSLFRRSVKPRPSNDAVGFPGIPGEPAYQWKELSIMYKMHAEGLVEARLGEAVKQNFLWNLESWGFVSNTFRALEGRYLATPLVDLGSRRVWAVGPVAPETAVQRGGEAAVGAGELGAWLDAFPEGSVVYVSFGSQVVLRPPVAAALAEALERSGVPFVWAVGAGDEGGDVVPEGFEAKAKRRGLVVRGWAPQVAALRHAAVGWFVTHCGWNSVLESVAAGVPMLAWPMTADQFANARLVVDEARVAVRACAGGVGVSPDAGELAAVLANAVGGGEECRGVRARAKELAAEAAAAVRGGGSSCQDLESLVQEIRKL* >Brasy9G069000.1.p pacid=40059954 transcript=Brasy9G069000.1 locus=Brasy9G069000 ID=Brasy9G069000.1.v1.1 annot-version=v1.1 MARWAVVALVLAAAMALGTAQANLFTFTEEDLASEDSMWALYERWAAHHGEKKAREIHDLEPQSLSPPFKKNALPIDHGEMVLNIFGDMSDEEFTNCWICKDLDFSLPPPPPH* >Brasy9G213400.1.p pacid=40059955 transcript=Brasy9G213400.1 locus=Brasy9G213400 ID=Brasy9G213400.1.v1.1 annot-version=v1.1 MASFNAAGAGAANPNPNKSLEVNSPPGDSVSSLSFSPKANHLVATSWDNQVRCWEIQPGGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKLVKMWPLLSNGQPTVFSGHEAPVKELAWIPQINLLVSGSWDKTLRYWDPRQPQPVHVQQLPERCYALSLSYPLMAVGTADRNVVIFNLQNPQAEFKRIVSPLKFQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDSQQSKNFTFKCHREGNDIYSVNSLNFHPVHHTFATAGSDGGFNFWDKDSKQRLKAFSKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESDVKGKPRAGKK* >Brasy9G262000.1.p pacid=40059956 transcript=Brasy9G262000.1 locus=Brasy9G262000 ID=Brasy9G262000.1.v1.1 annot-version=v1.1 MAGHLAAVPEESTNGFTAPYPPRNVYRELIQGVVASGGHFISTLSSHSSHNGSSNSSYNGSSYSSHPGSNSSGYSSAMAADVKNRGILTADLGAQELTEIAHRMVSDGYPQSMVQAYSGGLDHVLESWFFELDVNWVLKIPRGRGSWFKDMPAPFLVDSAETWIRALTVIAVSMKEVIVTVHETSAVTRFCKASISAMLVFVDAVVSDIKKKPKALSTRLVSHWGGEGNRLKEAIFSTMEEVRTHMEDDYLWAIGIPQGKGEVHRNTCLMVNCIISMVKAQGSTQKSAWSHDIKSISDLIDDSVHYLKDLLLRKSELCSEPSLRYMFLLNNSYFVALLVEPWSRCELGPTPECEKYMNSYLDVYWGPVQSRIPHMPKTAILMILGVEDRCCFAYPRRFSMDRSNVGRRPLHWLNSSLRFTKHTGPRSFGRFQTLSSEIRCGKLS* >Brasy9G262000.2.p pacid=40059957 transcript=Brasy9G262000.2 locus=Brasy9G262000 ID=Brasy9G262000.2.v1.1 annot-version=v1.1 MAGHLAAVPEESTNGFTAPYPPRNVYRELIQGVVASGGHFISTLSSHSSHNGSSNSSYNGSSYSSHPGSNSSGYSSAMAADVKNRGILTADLGAQELTEIAHRMVSDGYPQSMVQAYSGGLDHVLESWFFELDVNWVLKIPRGRGSWFKDMPAPFLVDSAETWIRALTVIAVSMKEVIVTVHETSAVTRFCKASISAMLVFVDAVVSDIKKKPKALSTRLVSHWGGEGNRLKEAIFSTMEEVRTHMEDDYLWAIGIPQGKGEVHRNTCLMVNCIISMVKAQGSTQKSAWSHDIKSISDLIDDSVHYLKDLLLRKSELCSEPSLRCCFAYPRRFSMDRSNVGRRPLHWLNSSLRFTKHTGPRSFGRFQTLSSEIRCGKLS* >Brasy9G117900.1.p pacid=40059958 transcript=Brasy9G117900.1 locus=Brasy9G117900 ID=Brasy9G117900.1.v1.1 annot-version=v1.1 MGSSGCSEIVELVDETKDARPGGVTHLRVRVKPVGQEHGERLCSVEDDLDRLLRSINARTSARASGQTSTDKRLIALGKAPMSSSEIVESVSLKQALRKMCISQASEMAAMKRMSKPTAVANSSEVGAIKKLHTSVVVQTNEEQDEKNKVTKAFVLPEKAAVSSPVKSVGSSKKVQNKSSSNKHLRSASPTKAKVQKTRIQDVISNKSLEASEDPSAGRAVSKRRKGKSPKASSPRAVPVGGSRLVRPVFRNKSSTKKKVKPEPAAAVASHKHCEAKCFNSQTNKQQEALQDEPRTPTPTNKKGTDSSIISAEGADFGKGCGVGGTLGSKPGELSRSKEKGESSQSSKSSIGDYSTSTSISEDSYGSFSANGTRPHMSKDVRWGAITRVAIQQGTLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSYFTTDNLSCLVMEYCPGGDLHVLRQKQPTRCFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRCSSVGRDEPPRPSGPCAESCIDPLCIQPAWANSSCFTPRLVSSTPARTRRPRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNDETLTNVVSQGLKFPDSPAVSFHARDLIRGLLVKEPEHRLGSTRGAAEIKRHPFFQGLNWALIRWTAPPETPKSIDTSTLVASVARKKKEGKCLEFRLNGDDIEFELF* >Brasy9G301600.1.p pacid=40059959 transcript=Brasy9G301600.1 locus=Brasy9G301600 ID=Brasy9G301600.1.v1.1 annot-version=v1.1 MLLAPINGAAKQCRNLKVRVDDTKHRAVYVCGDTSCSARSEAAFSSVPGAVCKCGKTMGKMAGERPESGGGSGDGVFVRGDVKFIVTDDLQVAPASTSLMLSLFDKFKVQDPSSLEQRTLQLSSDKILSLLKRSLISKNPLNGHCLDVAIEPDDAGIDLLPRNWNPEQENDAEQTLNSVKIKVLQTKNNSSVLYAEVGGDFVDLLFGLLSIPLGSIMKTFGKCGSKGCFDNLYSSIDGSAEGCMIPECQGLLLSPKLAPFFGCSASKILQVDELAPNKQSIDACFTCFKNYGFEDLALCSLQAFVKSGGQRVPQYQYCHVSQKTTNLCELDPKLRKGESENAEGYVKQGIQNFIVTDDLRILPLSLACTLQVLREAKIQRKDLVEKEVTLTKPQIIELLKAASMTSKALSTVLLPRKNKKLHHHSAGLY* >Brasy9G295700.1.p pacid=40059960 transcript=Brasy9G295700.1 locus=Brasy9G295700 ID=Brasy9G295700.1.v1.1 annot-version=v1.1 MGREEKFKLRRDPAEVLRWGSSARGMGREEVRGSGGIRPGCSGEANGRRGSAQGLRRRRRMARGMGRERSTCGGGIRPRFSGSGGALRRGWGGSGSSGGGGARTRLGARGGRGEAHGRGRAGEEHGRRQDRGRRGGRGNSHLRAAGDPTSRLLPLLFLCFFFSSGALKRSGAPENQFLFFTAKNSCLQCSKSNPRDQRTNS* >Brasy9G326900.1.p pacid=40059961 transcript=Brasy9G326900.1 locus=Brasy9G326900 ID=Brasy9G326900.1.v1.1 annot-version=v1.1 MPTTRAPSISSVDTILHLPTTPYNDKPSPTRATSGRALEEPPTRRRPARRSKPVRMFQNMCRSLPVLTLTAPAARCGGQLLQAPSAAAATATASPSPSSSKHFLNAPSTAAATLLTSSFSRRRRAMTGTLFGFRDGRVALALQENPRCRPALVVELALPTHALLRELGTTAGARIVLETEKKLDQEDHGNEGPLPLLEEPIWCMYCNGKRVGYAVRREPTEDDVAVLETLWAVSMGGGVLPGRSPPPPPSSSSAAGVDGGGADVGEMAYMRGCFEHVIGSRDSESLYMVGPHGGDCPELAVFFVRL* >Brasy9G338700.1.p pacid=40059962 transcript=Brasy9G338700.1 locus=Brasy9G338700 ID=Brasy9G338700.1.v1.1 annot-version=v1.1 MGSRRSRRVSWAIGANLCKVRLFISEDSPSQAGLRPQDNLQAKGSWLMHAAGPNSDDSLPPGFESLQPTNDLKIDTSQIPLIRWKCPSHILLNPGWHIVAGEESKEIAIQNERNFGALEAIYPRASNIPPNPFVSPDVKDSRYDDSRTPLVPLIPVEEDDASDQLEEPTLDQPSNYHKYDPEEINASQVSNAPVTAIQQQPCGPTGALSSGLSAEPDVLAAASAAYTAIMQSNQQGSMVDHDLLVKILSDPAQVERLTKEYSQIRHEQSTSSSVVAPMPPGPPPQMTVSAPASFSNHMATFQNTNPAPPPPSMAPMRPPPLAPVPVMNRLPQGFPSVAMNLPPSPSPAMSFANAPVRPMNYYKTLIHQHGGERQEPLEKQQFGMYHQSAPPQTGTINNGMNGASMVSRDTKSRPMKLCAYFNGPRGCRNGANCAFLHDASAPSRQEQQKGSKRIKLDNGIVGRN* >Brasy9G094500.1.p pacid=40059963 transcript=Brasy9G094500.1 locus=Brasy9G094500 ID=Brasy9G094500.1.v1.1 annot-version=v1.1 MRGITCKRTNARMGFGGADLGTRASRRPRPSYLLVLQWVPNDGHIYLVVGVCETHGKAGRGDRSRHSSSVHTYTYFQESTIPNVSSIL* >Brasy9G163000.1.p pacid=40059964 transcript=Brasy9G163000.1 locus=Brasy9G163000 ID=Brasy9G163000.1.v1.1 annot-version=v1.1 MAVPGGEGEGRPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRSSMRGLEGDPRFLLLELDVRSDESARRAVGDALRELGRVDVLVNNAGVHLVAPLAEVPMESFNQVFDTNVYGAMRMTQAVIPHMMERREGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRVELRNFGINVMIVAPGGTKSNIGSNSANKYDQINDWRYYKKYEKSLRARTDISQSVGCVPAEDLAKRVVKLVLKKSPPAWFAYGQYTAILTILYYAPLWFRDYFYKKVMKM* >Brasy9G163000.2.p pacid=40059965 transcript=Brasy9G163000.2 locus=Brasy9G163000 ID=Brasy9G163000.2.v1.1 annot-version=v1.1 MAVPGGEGEGRPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRSSMRGLEGDPRFLLLELDVRSDESARRAVGDALRELGRVDVLVNNAGVHLVAPLAEVPMESFNQVFDTNVYGAMRMTQAVIPHMMERREGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRVELRNFGINVMIVAPGGTKSNIGSNSANKYDQINDWRYYKKYEKSLRARTDISQSVGCVPAEDLAKRVVKLVLKKSPPAWFAYGQYTAILTILYYAPLWFRDYFYKKVMKM* >Brasy9G120800.1.p pacid=40059966 transcript=Brasy9G120800.1 locus=Brasy9G120800 ID=Brasy9G120800.1.v1.1 annot-version=v1.1 MAALRVSFVVGALVLCLAAAQTQVAATASSDTLLRGTVACLDCAQQRNLSGVVVAIKCANGTGVRAAETDGQGRFEVAVPASKSKPGSPCAARILGGPQQLCAPPRFAASRVVVAAGRRRALPGASYALASPLGVFTQCGSATAPSGAPEKATTAADAPETATTAADAPEKATAAADAPEIATTAADDDGAALATAKPVRLPGIDSPLDYNMGLPLNLFFPFFPVVGGGVP* >Brasy9G264800.1.p pacid=40059967 transcript=Brasy9G264800.1 locus=Brasy9G264800 ID=Brasy9G264800.1.v1.1 annot-version=v1.1 MDLDTENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVDEMWRAREKELELESKMKNRYKDHGDSRSKKRRSDSRNQSSSSRIEDGIAYNSSYSDQDDGLRDDEIEKFLHSRVKRGRGAVGSRMDEPGPYLKASSHSQDNEPGADTRLEEKWERRVQGPERPLFLRSKSPDDYWHKETMEGEPSSSEAQREKEHKKEKKSEKKERREKKDKKSKHHRHHRHHHKSRRRE* >Brasy9G210500.1.p pacid=40059968 transcript=Brasy9G210500.1 locus=Brasy9G210500 ID=Brasy9G210500.1.v1.1 annot-version=v1.1 MERSPHESSFSFSRRHFKWPVLGKSSSHGATSTATAEEGFVKMSSDKKAAAEDEDEEASMAFSSTCASSFHSEHFVSPPPSKPLKQQPQQQQRGKNNKPGGGRTAVSRLRTALAAAMAGRRRQVGLGARLTGTLYGHRRGHVDPRACPALLLELTAPTASLVREMASGLVRIALECERSSKGGFHAGAGAGTTCGGRKLVEETVWRAYCNGKGCGYAVRRECGAADWRVLRALEPVSMGAGVIPASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSSNNGGGSSIGPELSVYLLRV* >Brasy9G251800.1.p pacid=40059969 transcript=Brasy9G251800.1 locus=Brasy9G251800 ID=Brasy9G251800.1.v1.1 annot-version=v1.1 MELVNVGDDYDYNGQVINGDKKTDCPGKDYSESDAEDIELEYMDRYCQQTGNYAELCDTILAQEDDDTPFPPFPLKVLPPVTRLCLLDGYCYHSVYKTHDTSTTASTLGYRRPQEMLQFFSMCLSSSEPSYPISVYGMVAVRDDLDQRRNYVFKCPRYAAVCVGKQDSFVLPLCSPCRGIYVLSQALLEVDLWVKKEGDGSADKRILSAYAEIEVRADVDMMLGGRIAGDDDCNLDLAFKLLTRSIEAVIQVYAKVDHPHHVRFTASSTPYDDEIVLFDDKLFGNEKLLQHIVAVKKNANLDVFLRVDESLFQWTFQDEYVGAVTKPDDSILEYGEFFVRVFFAQKNSK* >Brasy9G113200.1.p pacid=40059970 transcript=Brasy9G113200.1 locus=Brasy9G113200 ID=Brasy9G113200.1.v1.1 annot-version=v1.1 MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD* >Brasy9G174500.1.p pacid=40059971 transcript=Brasy9G174500.1 locus=Brasy9G174500 ID=Brasy9G174500.1.v1.1 annot-version=v1.1 MAFGEGGGGDATAPLLSSDRPKGHPSVVRNGNEWTASAHVITAVIGSGVLSLAWSMAQLGWVAGPGMMVVFASVTALQSTIFADCYRSPDPEHGPHRNRTYAHAVERNLGSTSAWVCQLLQQTALFGYGVAYTITASISFRAILKANCYHALGHDAPCRYDGSFYMLMFGGMQLLLSFIPDFHDMAWLSVVAAIMSFSYSFIGLGLGFSSTLSNGVIKGSITGVPMRTPVQKIWRVAQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASIISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIIVHLLGGYQVYSQPIYQFADRFFAERYPESGFVNDYHAVKVPLLPACRVNLLRVCFRTLYVGSTTAVALFFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSSRWVVLQGFSAVCLLVSTFALVGSIQGVISQKLG* >Brasy9G174500.2.p pacid=40059972 transcript=Brasy9G174500.2 locus=Brasy9G174500 ID=Brasy9G174500.2.v1.1 annot-version=v1.1 MAFGEGGGGDATAPLLSSDRPKGHPSVVRNGSTSAWVCQLLQQTALFGYGVAYTITASISFRAILKANCYHALGHDAPCRYDGSFYMLMFGGMQLLLSFIPDFHDMAWLSVVAAIMSFSYSFIGLGLGFSSTLSNGVIKGSITGVPMRTPVQKIWRVAQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASIISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIIVHLLGGYQVYSQPIYQFADRFFAERYPESGFVNDYHAVKVPLLPACRVNLLRVCFRTLYVGSTTAVALFFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSSRWVVLQGFSAVCLLVSTFALVGSIQGVISQKLG* >Brasy9G353200.1.p pacid=40059973 transcript=Brasy9G353200.1 locus=Brasy9G353200 ID=Brasy9G353200.1.v1.1 annot-version=v1.1 MMMAAAAPERTILKRLPPTDLASLASSIGPAPPMPSPSFGAAWDYEAQLRELQRQREWYLMSTAAADPYLQGALLLPDREDLLWQQQQAPQRSSSCPECDAAAAALRSPAFHWCVRDAVTVGFRSPVGPIERPSKKSPSPPPQANAPARLVPSFAAGVMPVCY* >Brasy9G056200.1.p pacid=40059974 transcript=Brasy9G056200.1 locus=Brasy9G056200 ID=Brasy9G056200.1.v1.1 annot-version=v1.1 MGSIHYRSAAFRTALDARFVAGDALLSFSPTHFSPLHFLPSAQALPVAISGAILFGGERRRVEREAARERRTSRGTTGGGVVGAVFLRGDERSTSVSSIGAGFGRERGHCMLLVEVKGRILLCFEKRLGEFEHRIVIDWTDRAASEANSSMGYSLQGVVCNNPLPTTTAVT* >Brasy9G056200.4.p pacid=40059975 transcript=Brasy9G056200.4 locus=Brasy9G056200 ID=Brasy9G056200.4.v1.1 annot-version=v1.1 MGSIHYRSAAFRTALDARFVAGDALLSFSPTHFSPLHFLPSAQALPVAISGAILFGGERRRVEREAARERRTSRGTTGGGVVGAVFLRGDERSTSVSSIGAGFGRERGHCMLLVEVKGRILLCFEKRLGEFEHRIVIDWTDRAASEANSSMGYSLQGVVCNNPLPTTTAVT* >Brasy9G056200.3.p pacid=40059976 transcript=Brasy9G056200.3 locus=Brasy9G056200 ID=Brasy9G056200.3.v1.1 annot-version=v1.1 MGSIHYRSAAFRTALDARFVAGDALLSFSPTHFSPLHFLPSAQALPVAISGAILFGGERRRVEREAARERRTSRGTTGGGVVGAVFLRGDERSTSVSSIGAGFGRERGHCMLLVEVKGRILLCFEKRLGEFEHRIVIDWTDRAASEANSSMGYSLQGVVCNNPLPTTTAVT* >Brasy9G056200.2.p pacid=40059977 transcript=Brasy9G056200.2 locus=Brasy9G056200 ID=Brasy9G056200.2.v1.1 annot-version=v1.1 MGSIHYRSAAFRTALDARFVAGDALLSFSPTHFSPLHFLPSAQALPVAISGAILFGGERRRVEREAARERRTSRGTTGGGVVGAVFLRGDERSTSVSSIGAGFGRERGHCMLLVEVKGRILLCFEKRLGEFEHRIVIDWTDRAASEANSSMGYSLQGVVCNNPLPTTTAVT* >Brasy9G056200.5.p pacid=40059978 transcript=Brasy9G056200.5 locus=Brasy9G056200 ID=Brasy9G056200.5.v1.1 annot-version=v1.1 MGSIHYRSAAFRTALDARFVAGDALLSFSPTHFSPLHFLPSAQALPVAISGAILFGGERRRVEREAARERRTSRGTTGGGVVGAVFLRGDERSTSVSSIGAGFGRERGHCMLLVEVKGRILLCFEKRLGEFEHRIVIDWTDRAASEANSSMGYSLQGVVCNNPLPTTTAVT* >Brasy9G027600.1.p pacid=40059979 transcript=Brasy9G027600.1 locus=Brasy9G027600 ID=Brasy9G027600.1.v1.1 annot-version=v1.1 MFNESDCGAINTSYSRRKPTVSTGEQERSWPAGLQRGDRGRGAVECDRGMGWRRPRRGARACAARAPERIYEGGMQGEARRVAIGMPGRVGRGSRVEMDGDGWRAGGQRRTEAVQRQAAGGRRWWRPQGKGRRREGRWGRARRAAGRRRRRRPQGKGGRRGGEAGGGVEEAAVPAREGKEEEEASRLAG* >Brasy9G307000.1.p pacid=40059980 transcript=Brasy9G307000.1 locus=Brasy9G307000 ID=Brasy9G307000.1.v1.1 annot-version=v1.1 MAEHLFEDIFTVSRIDPDGKKFDRVNRIEARSEQFHMYMQLDVATDVYPMHAGDRFTMVLAPTLNLDGTPDTGYYTQAGRKTLADKYDYVMHGKLYKISEDSSGGQAAKVEIYASFGGLLMLLKGDPSSAANLELDQRLFLLMRKV* >Brasy9G307000.2.p pacid=40059981 transcript=Brasy9G307000.2 locus=Brasy9G307000 ID=Brasy9G307000.2.v1.1 annot-version=v1.1 MAEHLFEDIFTVSRIDPDGKKFDRVNRIEARSEQFHMYMQLDVATDVYPMHAGDRFTMVLAPTLNLDGTPDTGYYTQAGRKTLADKYDYVMHGKLYKISEDSSGGQAAKVEIYASFGGLLMLLKGDPSSAANLELDQRLFLLMRKV* >Brasy9G283000.1.p pacid=40059982 transcript=Brasy9G283000.1 locus=Brasy9G283000 ID=Brasy9G283000.1.v1.1 annot-version=v1.1 MPWPISPSLQSEAPVEITTQKRVRNERAPTGGRSMASIFWGGGKADEVADFDEYDPTPYGGGYDITLTFGRALPPSDETCYPISTASSSSSSSHYGRDDEQQQQRRRPQDVVQESGHGASAGYGRRPHADQEESHGGGGGYGGHGRKAHGEDDDDEGGYRKPKPKYHGGEDDDERPNYGRKKNDDDDDEGERKPRYKIRDDDDDDDENDRKPHYKKRGDDEDDDDDRKPRYKKRDDDDGDDDDRKPRYKKRDDDEDDDDDRKQRHGRNNRRHDYDD* >Brasy9G246900.1.p pacid=40059983 transcript=Brasy9G246900.1 locus=Brasy9G246900 ID=Brasy9G246900.1.v1.1 annot-version=v1.1 MLSSSMENTSYKQRSKTEKVQVGCMSGLISMFHFRRSPKFLSDGSRRFSRYSVRSDIKGSEDFNGIMAADSNKDHGIKAIHAGRASIKALMEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G246900.2.p pacid=40059984 transcript=Brasy9G246900.2 locus=Brasy9G246900 ID=Brasy9G246900.2.v1.1 annot-version=v1.1 MLSSSMENTSYKQRSKTEKVQVGCMSGLISMFHFRRSPKFLSDGSRRFSRYSVRSDIKGSEDFNGIMAADSNKDHGIKAIHAGRASIKALMEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G246900.4.p pacid=40059985 transcript=Brasy9G246900.4 locus=Brasy9G246900 ID=Brasy9G246900.4.v1.1 annot-version=v1.1 MLSSSMENTSYKQRSKTEKVQVGCMSGLISMFHFRRSPKFLSDGSRRFSRYSVRSDIKGSEDFNGIMAADSNKDHGIKAIHAGRASIKALMEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G246900.6.p pacid=40059986 transcript=Brasy9G246900.6 locus=Brasy9G246900 ID=Brasy9G246900.6.v1.1 annot-version=v1.1 MAADSNKDHGIKAIHAGRASIKALMEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G246900.5.p pacid=40059987 transcript=Brasy9G246900.5 locus=Brasy9G246900 ID=Brasy9G246900.5.v1.1 annot-version=v1.1 MEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G246900.3.p pacid=40059988 transcript=Brasy9G246900.3 locus=Brasy9G246900 ID=Brasy9G246900.3.v1.1 annot-version=v1.1 MEEEMTSDTQPLKQTQKNTLVEFSNDMKSVNLHEGSEIDLNLAASLMEIYRSHNEGQDSIDSVGSGHNCDSIDQENNTGPNRIPSIIQKALEDVAEAVISHQSANAKYITSSGEARSKELVDALQLLSSNKELFLMLLQDPSSRLLRCLQNLYTSLGGPMLELAESDEETKLQGVSTCQMQRTHNSFLMEDKLVMRKPSKLNDSSRGLSRIVILKPSSTRSQSSLISSSVTSSPLSNNTNLQGQEESDKPAHHFSLRELRRRLRLATMSSTFEKAESLKQFPVESMSISSESTDSSDCEIAKQPSIVDKETNLEDSGNGMGNDATHDVGSFSYEKAKKHIIEKLQGQDEDSSQILCKSESFGRLISYPEYETFSPSRCPQEEDTSILPEASDSLALQIIEQDAEPTIQHQETISSDASVLANKQLDELRTDHGSHPFKEDTILQELTNEDVENKQDALKKLQLCTEVENSCENLEQINSDPLCLEERHHTNVLAEVPLYSPEEQNNRSPSAVIGLAKPSILTFACLSENADEKEKLSPQSVLDSSLGSTSPSHKTRKQVELSMPSSRILFEELDTPSSPTLQNRPEISVLYDKHERVSFIKYVLEASELLADGSSERWYMDVLVLETSVLAEVGTSYCLTDDVVLLFDCVEEVLLRIRDNFFGADPWVAFLKHNVRPAPLGTELVKEVAKCIDSLVSTEFPKTLDQVVLKDLETGPWVDLRCDTESVVIEVWHGVLDDLMEEMIFDLWL* >Brasy9G242400.1.p pacid=40059989 transcript=Brasy9G242400.1 locus=Brasy9G242400 ID=Brasy9G242400.1.v1.1 annot-version=v1.1 MASSDDQLASEAHAFTSGGDDGTMAALPPPVVVSVLASLLERHIARNERALALSGGSHGTAAGDEDEEDARRIAAFDGGGTVLDMSMREFLDRFARYAHVSPQVYVVAYAYLDRLGRRRRGVPPVRVVAGNAQRLLTAAILVASKFVEDRNYKNSYFAAVGGLGAAELGALELHFLFLMRFRLNVSVSVFRSYCRHLEREAGYGGGYHVERCLENALVVVCSGEAHHRQQQQQQLSDSSRTSLMTT* >Brasy9G329800.1.p pacid=40059990 transcript=Brasy9G329800.1 locus=Brasy9G329800 ID=Brasy9G329800.1.v1.1 annot-version=v1.1 MDVFMCEYHLVGLVRRGHWSDGIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGAREAVAVAAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSVNILSVRHQAFLVIIDLVRQTPELKDRRRGKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAWVPASLVAGLYLQKKKMLPSSTPSDHSHGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFQSACNEGAPVTPAPQTVFGTFRRPARNSYLSTLDLKKEAREWMLYLTEECLEAWPQVSYFSEPEECPDAWPQVSYFSEPVALADRLSGNMPDSLSRSAKTSAISSVTEGGKLV* >Brasy9G329800.2.p pacid=40059991 transcript=Brasy9G329800.2 locus=Brasy9G329800 ID=Brasy9G329800.2.v1.1 annot-version=v1.1 MDVFMCEYHLVGLVRRGHWSDGIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGAREAVAVAAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSVNILSVRHQAFLVIIDLVRQTPELKDRRRGKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAWVPASLVAGLYLQKKKMLPSSTPSDHSHGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFQSACNEGAPVTPAPQTVFGTFRRPARNSYLSTLDLKKEAREWMLYLTEECLEAWPQVSYFSEPECPDAWPQVSYFSEPVALADRLSGNMPDSLSRSAKTSAISSVTEGGKLV* >Brasy9G329800.3.p pacid=40059992 transcript=Brasy9G329800.3 locus=Brasy9G329800 ID=Brasy9G329800.3.v1.1 annot-version=v1.1 MDVFMCEYHLVGLVRRGHWSDGIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGAREAVAVAAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSVNILSVRHQAFLVIIDLVRQTPELKDRRRGKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAWVPASLVAGLYLQKKKMLPSSTPSDHSHGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFQSACNEGAPVTPAPQTVFGTFRRPARNSYLSTLDLKKEAREWMLYLTEECLEAWPQVSYFSEPGNFTLSSTSSLVSTDISVISAFILGLLSGKTDVRHISDI* >Brasy9G059500.1.p pacid=40059993 transcript=Brasy9G059500.1 locus=Brasy9G059500 ID=Brasy9G059500.1.v1.1 annot-version=v1.1 MGLHLLALAAARGFVQAFFHFHGSAPPPPPPPPLWPLNLWLPLARHLPEACAVLCGALAAHVAWLRRAYARGGTVWSRGRLGGGDDGEILRQALLNVSY* >Brasy9G368000.1.p pacid=40059994 transcript=Brasy9G368000.1 locus=Brasy9G368000 ID=Brasy9G368000.1.v1.1 annot-version=v1.1 MLPILLLLPFLQATAAADDICIVGSGISGSSTAFFLTNYTSSALALALAGAQLRVFERLDRVGGRLATVTVAGDEFEAGGSIIHPRNLHVRRFADLLGLAAKTGGDDDWLGIWDGTRFVFQTLRPAPPGSSWLRRKLQGLLNSLLLLRRYGLSLLKMDSFVQEMLQKFMLYYNGFESRPVFDNVEDMLKWSGLHGLTRRTLEEELIDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAVTGGNWQLAAGLLKTANATLHLQEGIDSISDAGDYYVLRSDKGHEYNCKVTVVATPLDEVNITITPPISIPPRKMQHTHTTFVRGLLNPKFFGLSSVSDLPELIGTMELPDIPFSCISVLKKHSEHDMTYKMFSRAKLDDSLLDQIFGTREETVQIDWAAYPHYQAPEDFAPIILDGKHLYYVNSFESAASAMETGAVAAENVARLVISRLPLGLRAGLSLSEGTAGSPPHIKSFAGEEGSQRVDL* >Brasy9G325700.1.p pacid=40059995 transcript=Brasy9G325700.1 locus=Brasy9G325700 ID=Brasy9G325700.1.v1.1 annot-version=v1.1 MAPKRKADPAESAADPAVAKHLKELSSSELKPRGTIYFPINDDTPEPTAEAAAEEDGADGDDEEDITKLLEPLSREQLVALLRVAAETSPATMAAVRRAAETDPASRKLFVHGLGWGAGAEDLRSAFSRFGDLEDCRVISDKQSGKSKGYGFVLFRSRTAALRALRRPQLQIGGRIAFCHLAASGPAPPSSQSQNPSSNTNANSNPGATNTAPATSSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKSTGKPKGFALFVYKSVESARRALEEPMRNFDGKMLNIQKAIDGRTKGTPGMSTTAANPNATTASAQMAVPSIAAINPYDTSAYGATAVHDMGFAQQAAMMGMGAQQQAFAQPNTAMLAMMAAAMQNPAMLASLNPAFAAAALGAGGQHTAGIPGFGAQGFGTQGFAAGGVNFPNAAGIQGAAAYQGAPPGFQGAPGFQTSAGFQVGQAASQTGTTAAAAAAAGYQAGAAGQGQVPSSQIGGTGFQGGY* >Brasy9G293600.1.p pacid=40059996 transcript=Brasy9G293600.1 locus=Brasy9G293600 ID=Brasy9G293600.1.v1.1 annot-version=v1.1 MAEDAGDREQQQQQHHHQPAAAAGGGGGEDGSGREESSVKLFVGQVPKQMTEAELAAMFRDVAIVDEVTVIRDKATKVSRGCCFLICPSREEADKAVNAYHNKRTLPGAPSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAMSAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAHFQPSNMSNATAMQQNSLFGALQMGYMPQYNGFGYQPQGTYGLMQYPLSPMQNQAAFQNMVQPVNQGSSIRGVNSELSPNSVTRSFNSMQLGSPYPATPGMQYPGSYPGGAINSRPYMNSHNAIKVPNANATSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLANAFQNFGRVLSAKVFVDKATGASKCFGFVSYDSPASAQAAISMMNGFQLGGKKLKVQLKRDNSKHNKLY* >Brasy9G143900.1.p pacid=40059997 transcript=Brasy9G143900.1 locus=Brasy9G143900 ID=Brasy9G143900.1.v1.1 annot-version=v1.1 MDVEAPKEPLPVGAARGSSSNNKAMHWLMVALNCGMLTLGTTGGPLLSRLYYSKGGHRQWLSAWLETGGWPLLLLPVSFSYLARRARDGPGAPLVLTRPRTLMAAAALGLATGADDFIYAYGLSYLPVSTSAILISTQLAFTVFFAFLVVRQRLTAFSVNAVALLTVGAVVLGLHASSDRPAGVTRGQYWLGFFLSLGAAALYGLVLPLIELAYKHAAGGGREVTYALVLEMQLVMGFFATAFCTVGMVVNNDFQAISREARAFELGEARYYVVLVSCAVLWQFFFLGAVGVIFCVHTLFAGILIAVFIPVTEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYSDAKAKKKKAALQAQDP* >Brasy9G071000.1.p pacid=40059998 transcript=Brasy9G071000.1 locus=Brasy9G071000 ID=Brasy9G071000.1.v1.1 annot-version=v1.1 MRRLILKQISASASSGQELFVLPIVGDGGVGKTTLAQQVYNDPSLKDFDIKIWIYVSANFDEIKLAQGILEQIPGWEHKNTKSLNVLQSEMKKYLLTKRFLLVLDDMWEESQGRWDKLLAPLTCTPVKGNVILVTTRKLSVANITNRMGAHIILKGMEKNLFWRFFKRCIFGDENYQGDKMLLDIGKDIATKLNGNPLAAKSVGTLLRRKPHMDFWRIIKDSDEWRAENEGGDIIPALRLSYNHLSYQLQLLFSCCALFPKGYKFDKDKLVRMWIALGFVMHERKKLENAGSDYFDDLVIRSFFQKDEQYFIVHDLMHDVAQEVSVLEYLTVDGSDPRQVFSSIRHIGIWTGIEPSETVEEDGIQYDNILESLEGLMLVGENDENNDMLKSLESLMLVGAYGKNFSEEFVKILAQVQYVRILRLSVSATDINADVLLSSVKRFIHLRYLELSYTYTSGEHKRPLPEAICKLYHLMILDITHWSGLNELPKGMSNLVNLRYLLVPGTGSLHSQISRVGELKLLQELNEFRVQQESGFNICQLKDLKEIKGSLSILDLQNVKDKAEASRARIKDKKHLKTLSLSWGGTNKGTAMQKEVIEGLKPHEYLAHLHVINYSGATTPSWLEAACYLQSLQLKDCTELENLPSFEKLRFLKKLSLIGMSSLKEVNIDFNCGGGSTASKSSDEEELELSEVEIAKCSALTSVRLHSCKVLTELNIKECRALSSLYGLSSSGQLVCKIEECPQLPSYVA* >Brasy9G257200.1.p pacid=40059999 transcript=Brasy9G257200.1 locus=Brasy9G257200 ID=Brasy9G257200.1.v1.1 annot-version=v1.1 MAAEPSSSATTGHGLHATEEARQAAMSGPISARRPPPPASQRAFSRQVSLGSGVTVLGMDRAGGGGRNGGRRSALPRSGKSLGVLNNNINHSGALGGGGGERRGGGDFSMFRTKSTLNKQNSMLPSRIREELDDVDLGRVEGGGQSAGRPDEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMRFLRVTPMINLAVWLLAAAVLAATSVTYALKCVFYFEAIRREFFHPVRVNFFFSPSIAAMFLAIGLPRALAPADGRAMHPAVWCAFVAPLFGLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWVEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPMELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAVPCFLSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGGARPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKTNSADKEEEEKTD* >Brasy9G166500.1.p pacid=40060000 transcript=Brasy9G166500.1 locus=Brasy9G166500 ID=Brasy9G166500.1.v1.1 annot-version=v1.1 MRVPSLALVILVLAAILASRSGVLAARSPAIFARTGGRGALPAASADRPRKLAEAGSKGASSTLGASGKPAAAASSPAPTVFDADRMSKRRVRRGFDPIHNKC* >Brasy9G151900.1.p pacid=40060001 transcript=Brasy9G151900.1 locus=Brasy9G151900 ID=Brasy9G151900.1.v1.1 annot-version=v1.1 MQQYYVPIMARHPAASSSLSVTLFSFLVGALVGQQQAARAAAQESAPVPVRVGVILDWASPVSRRRRTGIEMAVEDYYAAHPGSAAKVELHFRDSAGDVVGAASAAVDLIKNAQVQAIVGPQTSSEAKFVAHLGSRAHVPVLSYSATSPSLSPSQTPFFVRTAANDSVQALPLAAFLAAFGWRSVAVVHEDSPYGAGILPALADALASAGAGSGAAAAITHRAAVPGDASDDRLDAVLYALAAAPTRVFVVHARYALAARLFGRARAAGMVAEGYVWVATDGVGSFIDRLSREELEDMQGVVSVRPQVEHTSEVRNFTARFRARFRRDYPEVDDEHVVRDEPTVMRLWSYDTAWAIAAAADEAAAEVALQPTPQRRTGLMDLDRVGVSATGSALLRAVLDTRFDGMAGRFELVDGQLQVAAYEVVNMVGRGARTVGLWTAPESTKALELKPILWPGDTLSAPKGWTPASQDGGNPVLRVAVPVKHGFKQFVDADPENSSRFTGYCIDVFDEVMRSLAYPVAYRYVPFPNSSDSYDTLVDLVQRGEADAVVGDVTITAGRMNDGVDFTMPFTESGWAMVVAVREDTGGSCMWIFLQPLTTSLWLSSLAFFCFTGFVVWVIEHRVNPEFRGTPSQQFGLIFYFAFSTLVFSHKEKLESNLSRLVVIVWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELQRRGHNIGHQEGTFIKPLLVKMGFDERRMKKYSTVEEYAEALSRGSANGGVDAMFDEIPYLKLFLSQYCDGYMMVGPVYKTDGFGFVFPKGSPMVSDVSREILRLAEGEKMARIEKAWFGEPDAGACRSSSSAAAVGSSNLSFRSFGGLFLITGVVSSLMLLLYLATFAYRERDELRAAEAEAEAKAEAASGSGSRSASVRRMRAWLQHYDRKDLKSPTFKTWNDDSLRNGSEFAGRTPRWNAESPRNGGGDVSLSPMAGEEENATMGGRSPLSVYISSEMNTSSPPEGTPVSVMGESFERRIEGAAASDPRCEGHRPLK* >Brasy9G009500.1.p pacid=40060002 transcript=Brasy9G009500.1 locus=Brasy9G009500 ID=Brasy9G009500.1.v1.1 annot-version=v1.1 MKHERAVVASDGGAGIKSQKVSEGDKAEAGKGKRIVKVSEAYIARLIEEEAAGGRKIPTLDRLDKFTGHQAPILRALVARGNAALEKIRDHDAKLLEQHRTFGEAYYEVEEESWLDDVEVCGPLAGTPPVILKQ* >Brasy9G360500.1.p pacid=40060003 transcript=Brasy9G360500.1 locus=Brasy9G360500 ID=Brasy9G360500.1.v1.1 annot-version=v1.1 MAGGGTADEESAPAAPIHHLPPDALHNVLLRLPLRDAVVCRPVSRLFRETLSSPFLALLPALRLLLLRHPRPEGGGCLHAFDPERRRWLRLPFTSFLPHQSFSPVASSSSLLYLWIETAPAPPSLPSSSSSSSSSSTAHPAKALAVCNPFAGTYRLLPPLGSAWARHGTVLAGPGGMVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILAAGAAAVFALCDVGTPWRSQWKLFSCPLAKLTGGWAPVERAVWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGSAMPAPPTGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDEVGSTGGKWDWVDGVPGYGDGVYRGFVFDGGFTAMP* >Brasy9G025500.1.p pacid=40060004 transcript=Brasy9G025500.1 locus=Brasy9G025500 ID=Brasy9G025500.1.v1.1 annot-version=v1.1 MPNAREPPCRGSSSPLPAKRRRPRSASPRPSAPLRHAWELRIDAPSAPPPSPEPKAAQLERRGEERRREGGGGFARGCPSASPPLATPCPRGCPTWTPHVGSRPPPLDPTTSLWRPPEVEVEEREEAESGKGEEEEERREWRTARERSRRSAAATTQSYGPRPERGRGEKKGGGFSQKKCLGGHQTCGPNPRWQPRHVAGAAHTPEGPEMNHLRNY* >Brasy9G284300.1.p pacid=40060005 transcript=Brasy9G284300.1 locus=Brasy9G284300 ID=Brasy9G284300.1.v1.1 annot-version=v1.1 MGNCQAAEVAAAVVQHPAGGPGGGSGRVERLYWSTSAAEVMRANPGHYVALVTLRVAEDRGHGTGNNNATVRRLTRVRLLKPKEPLLLGHVYRLITAHEVTKAVQARKEEKQRKAQQQQQQMEYLTSKLQRKAPAATEADDEHDGDVDEEEAALDESLDQLARQDSAHRSSGARQHRQWRPSLHSIDEAAS* >Brasy9G284300.2.p pacid=40060006 transcript=Brasy9G284300.2 locus=Brasy9G284300 ID=Brasy9G284300.2.v1.1 annot-version=v1.1 MGNCQAAEVAAAVVQHPAGGPGGGSGRVERLYWSTSAAEVMRANPGHYVALVTLRVAEDRGHGTGNNNATVRRLTRVRLLKPKEPLLLGHVYRLITAHEVTKAVQARKEEKQRKAQQQQQQMEYLTSKLQRKAPAATEADDEHDGDVDEEEAALDESLDQLARQDSAHRSSGARQHRQWRPSLHSIDEAAS* >Brasy9G158500.1.p pacid=40060007 transcript=Brasy9G158500.1 locus=Brasy9G158500 ID=Brasy9G158500.1.v1.1 annot-version=v1.1 MFAMACAISDFTFEMMWLIQDLTGFKTWRNREDATRALREQMGNRPADMLDLLYTGLEAPEISEVEEHDDVSEAAGFVDKEHMTRMFEAFFRPRFVIQGEDKGEKRDALIKATGFVHRLWDLRRILDVYFDHSLDVKARSATMEGMMDRLESLIQHRTWRQGLL* >Brasy9G360900.1.p pacid=40060008 transcript=Brasy9G360900.1 locus=Brasy9G360900 ID=Brasy9G360900.1.v1.1 annot-version=v1.1 MHAASWGKSIPGLRSHLCAAAAASFHSTPVSTAKWKSKWDCKHEHGERKLSKKYERYVVRQKRAEGKKALKDYLLYGKSTPHIQDGSIGSFANSHDTPRFKTFRKRPQDHSSTKSRQGVHNQRKNKKDKAKFYNFFYETQYVNPENIFETIFGDHHGFTWSHISWEGFHFRDSSSGFRRTGESRRERVASESEDESDDDISETAGVGSHAHRVTLGLPPCGPVTLDDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLSAVLKAA* >Brasy9G207400.1.p pacid=40060009 transcript=Brasy9G207400.1 locus=Brasy9G207400 ID=Brasy9G207400.1.v1.1 annot-version=v1.1 MSTCAADLAPLLGTAAMNATDYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLQHMPQTGFDYSYFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASPVRTSGPLLFNSGVIDFAGSGVVHMVGGIAGFWGAFIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFVTILKSYGPPGSINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGLNALAARLKYDDPLEAAQLHGGCGAWGVIFTALFAKQKYVDQIYGAGRPYGLFMGGGGRLLAAHVVQILVIAGWVTCTMGPLFYALKKLGLLRISAEDETAGMDLTRHGGFAYVYHDDDDHDKSGVGGFMLRSAQTRVEPTAATNNNQV* >Brasy9G361900.1.p pacid=40060010 transcript=Brasy9G361900.1 locus=Brasy9G361900 ID=Brasy9G361900.1.v1.1 annot-version=v1.1 MGDAEVPFLLTVDGDKETETKEEPPLAWVTDFRGRPVFRSSSGGWRSALFLIVVELGGTFTYYGVSANLITYLTGPLGHSNAAAATAVNVWAGTASFMPVLGAFVADSWLGRYRSIVLAWTLYALGYGMMTLASALPVLRPSSPNHNDSSSRPWSMQAALFYASLYLIALAYGPDKPCGLVFAADQFDPHHPKESAARRSFFNWWYFSIAIGIAVSFAVVSYIQENLGWEIGFGTLFAIVLCAFSVFLLGTPTYRFYSATLGVESPFVRLARSLAALVRDSSFSLVKKRHHQQYEDDAATTGKSEEARHILRLLPIWATCLAYGVVFAQVSTIFIKQGRTLDRRIFDGLELPSAALQTFGPAAILVFVPIYDRVLVPALRCATGNPSGLTPLQRVGTGLALSLATVSMAALVEARRLGIARDHGLVDDAGATVPMSWVWLVPQFVMIGVADSFVAVGLQEFFYDQMPCGLRSLALALYFSVIGIGNFISGALVSLIHRITSGGGGDSWFADNLNRAHVDYFYLLLAGLSAAGLALYLYFASSYVYRCTATRASAQGKNLPVETCTSTVVEF* >Brasy9G286800.1.p pacid=40060011 transcript=Brasy9G286800.1 locus=Brasy9G286800 ID=Brasy9G286800.1.v1.1 annot-version=v1.1 MGTAARPRGAAAAEPLKQRVNRCLLRLSDRDTEAMAAAELDAIARALGADELAAFVSAVSDARPTDKAPLRRHTLRLLALLAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDAARAAAAAAASASAALGPLADALLHEQDQCAQLAAALAMAAAVEASALTADLASYLHRLQPRLLKLLRSNAFKAKPALITLIGASAAVAGAAEVTASIPCLRDAIASDDWAARKAAAEALAALALEYKDLLLTYKSSCVAYFEARRFDKVKIVRESMSRMIEAWKEIPDVEEEEFSSCTAPVSQSQRRSSVAGSASDGGYPTVSLGSNSVQSATRRNRPPTGRSPPPDVSPGAAKRHSPSSIRNKKLSPPSSRKVGQAKSSGYKIENAIAPDATPIKEVTEEKLLKGNNLRSRLESRRALFQGSEERTTKLSGQKAGSRVVPYEGGNLEEISEVEGGPERFQSGHKDEGLLEIKSQLLQIEKQQSGLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAVSSERMSNSEPRLNSCCILSPKFWRRHDGGRNSSRFSSSDVPNSSEGSRTSYKWERQKFGVQGGFVTNPLAEPNISSVGGTVVTQEGRRKDLALQKSRMG* >Brasy9G021800.1.p pacid=40060012 transcript=Brasy9G021800.1 locus=Brasy9G021800 ID=Brasy9G021800.1.v1.1 annot-version=v1.1 MWTELIQFCPLQKCNQDFCWLPITEEGGAEQASNRALRRALAAGVDGRAGLRLARGRRRRQGGGRRLVAAVLQHGAAGWRARGRHRAWPCPLLVAHPRPPHGRGGELARGGDRGGGGRHRAVIMSAEGRSGAGREGGWAERADDSGGDGGPEGVWRACGRLQAVDDHRQTKRIRPSKL* >Brasy9G331900.1.p pacid=40060013 transcript=Brasy9G331900.1 locus=Brasy9G331900 ID=Brasy9G331900.1.v1.1 annot-version=v1.1 MASRSGTAVLALLLVAATTFSAAAYPAADPFCPWDSGVKFSACAAALGLVGAQAGSQLIGSTKCCELVSGLAAAEAAACLCVSAKESVLGVVSAEWSVGVELLASACSKELPDGFKCV* >Brasy9G262100.1.p pacid=40060014 transcript=Brasy9G262100.1 locus=Brasy9G262100 ID=Brasy9G262100.1.v1.1 annot-version=v1.1 MEGRLAAVPELSIAGFTAPCPPRHVYRELIRSVVVPGRHNGQTLSSSQSAHTGSSYSSDSGASDSCAPNNSGCFSSSACASAADFFDAYELTKIAHQMASDGYTQRIVQAFDKASPVPASGYRGGPDRALENWFFELDVDWVLQIRNLQAMRLQLQHKSASWLQDLIERWVRALSVLVCSMTEVVFATYEMIAVARFGKASIAKMLVFIDVFLPALRAENLQAMLDMFICVSRSSHKFMLFRFAAPSEAEWIFRETGDLLWRQVNRLNEAISSKMEEMRTLMERDNDDWWAIEIKRGGGEVHRHTRLMVDCIVSMENARSSIENHAPIDKQPVTLGLLLSNTISYLKDLLSRKSELCSDPTLKYLFLLNNFHFMAQVCEPSNHQELVLTPECEKYMDSYLDASWGHVLSCIPKSNCPGLLLLWTNTSPLARFESVFHKTCQAQKFWKVPDPRLRDWLRKTIAERVISGYHNYLKEHPELEKQVSIASNSPDVLAEMLGEIFEG* >Brasy9G055500.1.p pacid=40060015 transcript=Brasy9G055500.1 locus=Brasy9G055500 ID=Brasy9G055500.1.v1.1 annot-version=v1.1 MATPQPLTVDFEALSYISRLVEAFQAFDADGDGLISAPELRGLLGSLGLDKSEPEARDMLAHADGDRDGRISVEELLDVMNAGELGLGALGALLQAAVPALESLAGPDGEGALLGADEMARVLGTVSAEDCAEIVACMDGDGDGAISVEEFKLMADLL* >Brasy9G157000.1.p pacid=40060016 transcript=Brasy9G157000.1 locus=Brasy9G157000 ID=Brasy9G157000.1.v1.1 annot-version=v1.1 MRSLFSKSPCSPAPPPPPFAAGGEALTPSSHHRHRRFPKENIDPSSSPAPPDHSPYRSPSSAAKPLAARYRGIPPRPPLKRKLDVSAASTPDRTPVGAAAVSDSGVQVVVRVRPQSRSEDDDEGAGAGDGEETCLRKTGPSSVEIQGQGFTFDSVADEASTQEDIFRLVGLPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPLFALSDESASRERGLTPRVFELLFSRIKEEQAKHSDKQLMYNCCCSFLEIYNEQITDLLDPMQKNLQIREDVGTASVYVESLTKEFVFTIHDVTQLLVKGLANRRTEATAANADSSRSHCVFTCFIKSESKNLVDGSSLSRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGTLINKLAEVSQSRKLRHHIPYRDSKLTFLLQESLGGNAKLAMICAVSPSQGCKSETLSSLRFAHRAKSIKNNAIVNEQREDDVSVLREQIRHLEEELHRARSNGVLRRSKGSSSIGWNARNSLLLKMSLSRRTAFPTGQDDSDEEMEVVDNDVETPYNSEIQSPLHHENVEENRCQLNLAASIQKGLQVIESHRTSGTWRRASLGFSTRTLNSHPTVPVCRVDVAIQTEPEVFEPRQNTLAEIPRSQIEASADESKAITNCMDLQLLTVDGAIPSDDLKQQHVLKAVEKVLSGAIRREMLRDEQCAKQAAEIRQLNRLVRQYKHERECNAVIAQTREEKFARLESLIDGYLPTEELIHAEYLSLKDEHKILHQKYENHPEVLSAKIELERLQEELETYRHFKDEKEVLLEEIQHLKNQLDYMLSSSMSLCRPPVDLSQAISTVSAGLNISAAKKDDDDDDDDGLDTVETRESRWITLTEELRVELEKSRSLNKGLQLKVESEKKCSEELKEALEMAIKGHARILEQYCELQEKHTSLLSMHRSINVEIEDVKREAAKAGVKGAESRFINALAREISVLRAEREKEWRFWMDENKGLQQQLTDTVEAVQAAGELLVRLNNAEEAASLAQKRALLAEQAAEKAYEEIDNLKKSYDQETLLLNQRLAESKPPNSSLQPAEPSDMGVARYDTGGSLGEPSDMGVARYDTGGSLGDQRWREEFKAFQQSLSADVSKSSDLTSWFSGYDKCNI* >Brasy9G157000.2.p pacid=40060017 transcript=Brasy9G157000.2 locus=Brasy9G157000 ID=Brasy9G157000.2.v1.1 annot-version=v1.1 MRSLFSKSPCSPAPPPPPFAAGGEALTPSSHHRHRRFPKENIDPSSSPAPPDHSPYRSPSSAAKPLAARYRGIPPRPPLKRKLDVSAASTPDRTPVGAAAVSDSGVQVVVRVRPQSRSEDDDEGAGAGDGEETCLRKTGPSSVEIQGQGFTFDSVADEASTQEDIFRLVGLPLVENCLAGFNSSIFAYGQEQAKHSDKQLMYNCCCSFLEIYNEQITDLLDPMQKNLQIREDVGTASVYVESLTKEFVFTIHDVTQLLVKGLANRRTEATAANADSSRSHCVFTCFIKSESKNLVDGSSLSRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGTLINKLAEVSQSRKLRHHIPYRDSKLTFLLQESLGGNAKLAMICAVSPSQGCKSETLSSLRFAHRAKSIKNNAIVNEQREDDVSVLREQIRHLEEELHRARSNGVLRRSKGSSSIGWNARNSLLLKMSLSRRTAFPTGQDDSDEEMEVVDNDVETPYNSEIQSPLHHENVEENRCQLNLAASIQKGLQVIESHRTSGTWRRASLGFSTRTLNSHPTVPVCRVDVAIQTEPEVFEPRQNTLAEIPRSQIEASADESKAITNCMDLQLLTVDGAIPSDDLKQQHVLKAVEKVLSGAIRREMLRDEQCAKQAAEIRQLNRLVRQYKHERECNAVIAQTREEKFARLESLIDGYLPTEELIHAEYLSLKDEHKILHQKYENHPEVLSAKIELERLQEELETYRHFKDEKEVLLEEIQHLKNQLDYMLSSSMSLCRPPVDLSQAISTVSAGLNISAAKKDDDDDDDDGLDTVETRESRWITLTEELRVELEKSRSLNKGLQLKVESEKKCSEELKEALEMAIKGHARILEQYCELQEKHTSLLSMHRSINVEIEDVKREAAKAGVKGAESRFINALAREISVLRAEREKEWRFWMDENKGLQQQLTDTVEAVQAAGELLVRLNNAEEAASLAQKRALLAEQAAEKAYEEIDNLKKSYDQETLLLNQRLAESKPPNSSLQPAEPSDMGVARYDTGGSLGEPSDMGVARYDTGGSLGDQRWREEFKAFQQSLSADVSKSSDLTSWFSGYDKCNI* >Brasy9G250200.1.p pacid=40060018 transcript=Brasy9G250200.1 locus=Brasy9G250200 ID=Brasy9G250200.1.v1.1 annot-version=v1.1 MCIAAWTWQSHPAYGLLLLFNRDEYLSRPTRPAGWWAAAGEEGQDILGGKDELGGGTWLGCTKDGKLAFLTNVREPSPRAGARSRGELPVRFLQGRQGPLEYATEIAKEADQYNGFNLILADVHSGNMVYISNKPSGAPVIQTVSPGCHVLSNAAIDSPWPKALRLGQSFNRFLATQDDVEVPLKQMVEELMMDTVKADRSEVPDTGVDPDWEYQLSSIFIDTEKGKARYGTRSMVAIAVKLDGEVTFYERSLASSSLWEENLVQFHMEMAK* >Brasy9G351000.1.p pacid=40060019 transcript=Brasy9G351000.1 locus=Brasy9G351000 ID=Brasy9G351000.1.v1.1 annot-version=v1.1 SESADHIDGDPLLSPPSTTKSTVSELTNEEKDSDDDDKPLDEPNHTNDVIYELADEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKEILVQIDQVYVKKCDLKCLLDSAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTNIVGNYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEHKRLQGLQYHLDILKTQENFSSCGLFMLKFMEYWTGHTLSHHITQEIIIEFRYKLAAILMCWKTNTAQASATIEESDYSEGDPNDVVMFECIDENQSNTLNSVSIEKKYQSLITVLSNMILHELEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIQTAKKTKGLISKHYLDMRFWLDLEQLAYSVCSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDTRIVYILDPTPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSTWNENIFLWHQHIINNIPIHNKELSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILAKLLTFKKNECEVNMPAGVLDIINCIRNIQTNMNVKT* >Brasy9G089900.1.p pacid=40060020 transcript=Brasy9G089900.1 locus=Brasy9G089900 ID=Brasy9G089900.1.v1.1 annot-version=v1.1 MDHSTSLLRLTFFLLGAALLVLVVRSAFRLPIDASLFDDAAGAGSSCTRFSPWACRQQQPSKPSKPPPSHDHNSDVPRHPLDPLTVTEINLAAALLRAHPPFSESPSSLIIHSLALDEPDKPLVRAWQKRNKAGLNLNAPLPPRRVVAVARFRGESHVLSLDLGATNGAIEALPVPASGYPTMTMDEQVALCAAPFADPAFNASIARRGVRITDVACLPISSGWYGPDEDDRRVIKVQCFSTEGTANFYMRPIEGLTLVLDMDTREVVHISDRGAGIPVPDAKNTDYRVHAGTDDDDAKKKKKAGAQQDPSMENPGFEVKGHKVKWGGWEMHVKADARAGMVVSMAEVEGREVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAAYMDGVFVAGDGKPYVTENIICVFERDAGDVAWRHSEGPITGMDIRESRAKVTLVARMAASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTPYSHMNQVRQNEEMYGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVKVEMARQDTAPGESPRKSYLKATRHVVSTEKDAQVRLKLYEPSEFHLVNPTKKTRVGNPVGYKIVPGGTAASLLAPDDPAQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDLVVWYTLGFHHVPCQEDFPIMPTVSASFDLKPVNFFESNPILKQRPVKEKDLPVCVAASAAAG* >Brasy9G183700.1.p pacid=40060021 transcript=Brasy9G183700.1 locus=Brasy9G183700 ID=Brasy9G183700.1.v1.1 annot-version=v1.1 MASSEANARPPCADQGWRPHRYKNVALKDGMVKGMVPPTCWCGDPCKDKESMSPPPLCRYYTWIDLEQSESVLAIMKIERNILRTRWQEMMRRDAEEEARKVKAEEERKKKEEDERIKKEARQAERERKRERARIAQEEEEERNRKGKWPCVTQ* >Brasy9G192700.1.p pacid=40060022 transcript=Brasy9G192700.1 locus=Brasy9G192700 ID=Brasy9G192700.1.v1.1 annot-version=v1.1 MASALLSYLQSLWPLSAFLRQDDLSVSERLVRTLSVPEDTKQFVFAIREPDSQGLLYILAAQNLSEQSASDADHLIRAVRPKAVITQVTHTALDDVRIEEKCLADGGAGGVPASPFQVIKGCVTEKKSKDEYVKSAACQVLQEIFGVGFHGHLLAAKRAAEETGSCFLLLGSPYERSCNAGGSSDQNSTDGSSAQQLQSSCLLPRSATSIVSSHDRKICLADDYGGQLMKLLVPTVNLLMSQAISSNVVTECKVSECKPSDGYEAPLFAQTVYPLLADLYDIFVEIPSIGRAMSSAQMLLTQVHQGKPISSEMLADVYVFRIAIEALRIGLNNAGRCCIDTRDDHDPEKLDFAELPSEEKCHILLVQALRSQLSEFGSVVAVVDASCLAGIRRHWNTPAPSEITKVAGMCFSHYGDENDDDINVMQLDGVDKRSWIAEKPVVAVGAGGTAILGFSSLSKTIQASAILKLAPYKTPAVLKCGLMQLQRHASVVFSKLLSHGVVSAGSKSSAFATAEKIRAVTHTVITSVERTSVLAMRTSFYEIMQRRHNRPFRITPWATFGCSMVACAGLLMHGDGIECAAETAPSVPMIASLGRGLESLRLTSQEVRQTRGQNVKEALRALLSNLKKSAK* >Brasy9G351200.1.p pacid=40060023 transcript=Brasy9G351200.1 locus=Brasy9G351200 ID=Brasy9G351200.1.v1.1 annot-version=v1.1 MVACCSMRRSFRDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRVLIYKVLVSVSVYVDGTTTMSTHERKASIREFYAVIFPSLMQLHNGISDVDDRRQKAVCTERYRRRDEDQSKRQVSEIDSERDEECGICMELNSKVVLPNCSHAMCIKCYRQWRSRSQSCPFCRDNLKRVNSGDLWVLTDHGDAVDMATLTRENIRRLFTYIEKLPLITLDNIFDAYDSHRMFLVLGCG* >Brasy9G351200.2.p pacid=40060024 transcript=Brasy9G351200.2 locus=Brasy9G351200 ID=Brasy9G351200.2.v1.1 annot-version=v1.1 MVACCSMRRSFRDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRVLIYKVYVDGTTTMSTHERKASIREFYAVIFPSLMQLHNGISDVDDRRQKAVCTERYRRRDEDQSKRQVSEIDSERDEECGICMELNSKVVLPNCSHAMCIKCYRQWRSRSQSCPFCRDNLKRVNSGDLWVLTDHGDAVDMATLTRENIRRLFTYIEKLPLITLDNIFDAYDSHRMFLVLGCG* >Brasy9G313700.1.p pacid=40060025 transcript=Brasy9G313700.1 locus=Brasy9G313700 ID=Brasy9G313700.1.v1.1 annot-version=v1.1 MAFLLPKLTTPSSCRSAPNPLKPQLVLPSHGGGGGKLHGGSGSAQAAAAPSHLNLNLPLLLSAARQDAPVVPTAKSTETKSRSVSSGGGDPRRSEFYLNLGMAVRTLRDDLPAVFVREPNYDIYREDITFVDPLNTFHGIENYKTIFWALRFHGRLLFSEIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPVAKVGSIADLVVAAYPPSPNLTFWDAVRTGDGCSWTKLYQAVLETVEREGDIPAGIVIEGLLTCS* >Brasy9G043700.1.p pacid=40060026 transcript=Brasy9G043700.1 locus=Brasy9G043700 ID=Brasy9G043700.1.v1.1 annot-version=v1.1 MGTSAAQESDLWKRIDNAECYLVSGSFDHAVLAALSVSDKIRAEAFGQVYDDDELLEMLELAGIVLVQALKELRRTREMFIQLKAMYGSVASVPVKIFLTGATMLMAEGSGPDLRSIFEEYLAKWRYTDDQLYVLNGEQERSSDGLIVKLTMTTEEYLEVAELYTVTFLSIASHEPETAISWVEKAELIEQDRQELLRKLNALQAAANKKSSATTGAKQSAEWNLTASVNGPTPSTHEDAPTSTARAPNGKTHGLPKSIEPSLQHVTNKFDPLFWWFHSIRIKLGKVHIVLPSGKLMLLFSLLFSTVYILRRKSAGLKRAVFQHASSLRGAFLDALQLAFSVQMNPLAAVQQAPQAPRGSW* >Brasy9G067600.1.p pacid=40060027 transcript=Brasy9G067600.1 locus=Brasy9G067600 ID=Brasy9G067600.1.v1.1 annot-version=v1.1 MSSSVAGAGAAAGGEESGAAAPQGPVPVERCKGVNGLEKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGHLEPHGFARNKTWTVEADPPPFPVPISSKAYIDLILKPTEEDVKIWPHSFEYRLRIALGPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFSISDISEIRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKALADFGDDEYKRMVCVEAAAIEKQVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSTVPEDSIS* >Brasy9G190400.1.p pacid=40060028 transcript=Brasy9G190400.1 locus=Brasy9G190400 ID=Brasy9G190400.1.v1.1 annot-version=v1.1 MPPPLLLLLLLALALPFPPAAPQPAPGSPSEPREDDLRCLRGVKDGLQDPDGRLASWNFRNTSAGALCDYSGVSCWNPQESRVITLSLSGFGLRGHVPSSLQYCRSTNTFDLSGNGLDGPIPPALCDWIPFVVSLDLSGNRLSGPLPAELANCRFLNSLKLSDNAFTGQIPASLARLDRLKSLDLSRNQLDGQIPAPLGATFPKESFSGNSGLCGRPVSSRCGRGLGGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRQSRGVSESGVTAAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLLAATQDFSTGHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRSEMGRIGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSAVMKEPGETLLDWSTRLRIAVGASRGLAWLHHGFQMPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDTYAFGVILLELVSGQEVATVSSDVAGEGFKGTLVDWVYQLKSSGRITDAVDKSLNGKGHDTEIGEFLKVAFQCIVARPKERVSMYRVYHALKSIGTGRDVSEQFDEFPLSYNKDDSDTM* >Brasy9G205500.1.p pacid=40060029 transcript=Brasy9G205500.1 locus=Brasy9G205500 ID=Brasy9G205500.1.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.7.p pacid=40060030 transcript=Brasy9G205500.7 locus=Brasy9G205500 ID=Brasy9G205500.7.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.6.p pacid=40060031 transcript=Brasy9G205500.6 locus=Brasy9G205500 ID=Brasy9G205500.6.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.4.p pacid=40060032 transcript=Brasy9G205500.4 locus=Brasy9G205500 ID=Brasy9G205500.4.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.2.p pacid=40060033 transcript=Brasy9G205500.2 locus=Brasy9G205500 ID=Brasy9G205500.2.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.5.p pacid=40060034 transcript=Brasy9G205500.5 locus=Brasy9G205500 ID=Brasy9G205500.5.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G205500.3.p pacid=40060035 transcript=Brasy9G205500.3 locus=Brasy9G205500 ID=Brasy9G205500.3.v1.1 annot-version=v1.1 MDLGSCHLGGNADVVEFCPHRPFRHILAAATYTLQEQITEEQQQDRAGTVSLFSVDAGAEDESRRLRLLHTVDTAGVFDMKWSPTAPLLAQADAHGRLVLRRLGQEDGSDKGVVLTDVCSGDISSSMCLYVDWNQNADSLSVGLSDGSLSVISMREDRLEVSEHWTAHQYEVWTCYFDRARPHLLYSGSDDCSFSCWDLRESPSNAVFRDKKSHSMGVCCIAQNPLDGNMLLTGSYDEFLRVWDMRSMVKPVTEKSLNLGGGVWRMKYHPSIADVVLAACMHNGFAIVRVGAGDATVMETYCKHESLAYGADWQTSEAPEHSTDSSVIATCSFYDRLLRVWQPEELANHSGFKS* >Brasy9G208500.1.p pacid=40060036 transcript=Brasy9G208500.1 locus=Brasy9G208500 ID=Brasy9G208500.1.v1.1 annot-version=v1.1 MDEAPEQPLSDPPTPPQELNQRSKKNKKGRTKKPKRAAAAATSSSGSTMVEDPFFVLAGGKEGGFLELEEIDGADFGIFGGTVEDVGASDRKVGGDQKKKKKKKKRKRGHGAERLDDDDDGDCASGELVVESKEEGNKGEKKGKRKKRNRKKRKVKDMEQDSESKEDVDNVEDMQDGIENMKQDNDDELKLGEDELYAWLELRLHPLLVKAMHRLGFKEPTPIQKACFPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKATRLHVEDKKATEESSTGGPLRALILTPTRELAKQVCDHLKEAAKFLGIHVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWELMSTGNQHLVELHSLSFFVLDEADRMIERGHFKELHSIIEMLPLSNSSDEQAVRATPSCETVLNLQIKKRQTFVFSATLALSANFRSKLKRGLSTSKASMADNLSSIEALSKQAGMKPNAEIVDLTNASILPEKLEESFIECSDDDKDANLYYILSVHGQGRTIIFCTSIAALRHISSLLRILGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDNVRTVIHYQLPHSSDVYIHRSGRTARKSLAGCSIALISPADKTKFYSLCKSFSKENLQQFPVDHAYMPAVMNRLSLARQIDKISRKNSQENANKSWLQRNAESMGLILEGSDSEEERVQGHKQRKAISAQLQKLQQDLNELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRDVNGNSSKNENKGSRFVIIGQDRMEPLQALQNSGQEICVNMDKQREKRRLAENWKRKKHEEKKSTREQKRKDRRKAKERD* >Brasy9G366200.1.p pacid=40060037 transcript=Brasy9G366200.1 locus=Brasy9G366200 ID=Brasy9G366200.1.v1.1 annot-version=v1.1 MFQCWNRSAYKHPTASCQDSLSRALLVLAEANKKSPSIRYAIKSNCTAEEAAQTIVSLVGAVRVELLFKTWKLVL* >Brasy9G271000.1.p pacid=40060038 transcript=Brasy9G271000.1 locus=Brasy9G271000 ID=Brasy9G271000.1.v1.1 annot-version=v1.1 MPGKRPPAAPVGGGGSKRRQPMPPAAAAAGPGASSPPSSWASLQSDLVRLVGDRLLETGDLLDYVRFHAVCPHWRSSAPSPSGRGVADARFHPRRWMMLPEGHGLYPGHHKLRGYIRFFNRSTGAIVRREIPLLSDHCVLDSADGLLLLQRDRNSAVRLLHPFTGDIAELPPLLTLIRRFSPRFSPERRDSSSTTRRLWAKFRDVTVASVTTSADGVITVMDQQWSVADCKLLSRTRRPLPFQGKLYMLDERKLSDGSNVTQIFQIEPPQHDVKGSSSPSVPPPKLIATCPASGTNIPFYLAECDSEILLIGLGDPLYSRITVYRLADLMLLGRLVPVTSIGDNVLLVSEKVLSVSSRVLPNVEGNSVVMVNGGNGGNEEAYLGQYQLSSSMWSPAADGSIRDHVPSPCSLIYHVFTCCRPDHWDKGEISFQVRGNLTWKVKGSCACI* >Brasy9G229700.1.p pacid=40060039 transcript=Brasy9G229700.1 locus=Brasy9G229700 ID=Brasy9G229700.1.v1.1 annot-version=v1.1 MSGMSDSGAGGRAGAELMAEQFHLKVLHAVLAVRAPRPLAAAPGAAASASFRRRDRWFHLPLHDPPPPPEAELLEAPPPGEPLVVDIHLAPAGSGGAGGEVVERWTVACEPWPDAAASAAGEGMAVNRAYKRCMTLLRSVYSTLRLLPAYRVFRLLCANQSYNYEMGYRVGSFAVPFSRAEEAAMRSHRFLPVETQPGRLVVSVQYLSSLTAFNLEISSLSPSMLITNYVGSPAAEPMRAFPASLTEATGSAFPQSYQQQRPHSWAPPALWPQAPMHQMRFSPPPLHYASPTPSPPTFSGGYLRSPLRGESAPVTIPGERRSPVHRQNMLDPIKGLMLPPPSPRRGEKGAAGSQESPSEISRSFGRAEGLRMVDPYGSSSPGSKVKDSKDESGRFSALSSCDSPRQDDLDDADYPFAVDDVDPPFSRPGSSDGKEAGDQAGSSSHKSQDAAVGSLVHLLRTARPLRDSSYSSQTSGVESSEVASASSVMSRRTSDALEELQSFKAIRERLLSGSRAKERESLEKP* >Brasy9G326300.1.p pacid=40060040 transcript=Brasy9G326300.1 locus=Brasy9G326300 ID=Brasy9G326300.1.v1.1 annot-version=v1.1 MAALRPCPPRIITSPSSSKLSRWQPRSILPLHRSSSSTVLKISHGICAHDGAADQPVDDPDPVERFLMRLPAEQRKRWQEIIQFSKGPPDMAFCEEVDLIFKYKMKINNASILHVEGALLSRTLCGMAQEASAIASRVVKCAGFRIGTANEISVDTTTQILRTYVGVFVSTAEEIHHKRVKTRTIVSFLDALQGVASVSHILVQDTVANRALIYSKDTSTYYEIDASDLERAHREYQLQMNNLKDKLTTATSAVDICKLLRHTLDDAFKRTQKIVRTMVASREMALDHLRGGSRVDDDNGQANK* >Brasy9G342600.1.p pacid=40060041 transcript=Brasy9G342600.1 locus=Brasy9G342600 ID=Brasy9G342600.1.v1.1 annot-version=v1.1 MAPHSPAVKHPSRAPAIAGPRIGKVAAPAQARKIRIVHVLAPEVIKTDARHFRELVQRLTGMPKGGGGASSSSSSPASSAESAGESSLSLPAAGGSPDPASGAAVVAPPPAKTKGEAAALPVEEEGFAGRALGEVEAESNDVFFQGLEDFLLNGDEF* >Brasy9G234700.1.p pacid=40060042 transcript=Brasy9G234700.1 locus=Brasy9G234700 ID=Brasy9G234700.1.v1.1 annot-version=v1.1 MEGTSRLEACLPSLYALDPYTAPPPLPPFLAPLPNQQHKLLQMPLVVQEQSGNHGVMFSSDHGGGGLYPLLPGIPFCHSAASDKPATGFAPLAAGEVGTSAARAGNEVASTTTTTTATSHGASSWWKGAEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDDAGGGEHTGACAFTYF* >Brasy9G227200.1.p pacid=40060043 transcript=Brasy9G227200.1 locus=Brasy9G227200 ID=Brasy9G227200.1.v1.1 annot-version=v1.1 MDRCLQVALLAGTDAIARQSNFIFSPLSLRAALALLAAGTKGETLRQLLAFLGSQELRQLNAANAGLIAEMRAWPQLSSAACIFADKSLVLRPEFVSTAASAHRAYAKSLDFQNQPEAAAAEVNALITHATRGRLRNIVSPNSFNGGDAKIVLANAMHFRATWSRRFDPSDTVHDDFHRLGGGGTDVRVPFLSDPGMQYATSFDGLGFKVLQCFYKMVGRDGRLSRDAPCFSMLVFLPHRRDGLAGLLRLAATEPDFVMRCVPRREQVVSPCMIPKFKFSFKFDARAALRGLGLAAPFEPSDADLSGMVSNMPDFGMYVSSMQQVCAVEVDEEGTTAAGATYTATSPGYGGPPPGPPPPPPMSFVADHPFMFSIVEYGSAEVLFLGHVLDPSKEL* >Brasy9G146500.1.p pacid=40060044 transcript=Brasy9G146500.1 locus=Brasy9G146500 ID=Brasy9G146500.1.v1.1 annot-version=v1.1 MDGDHAPPPLSERDGSFNYDIESTDGGGWRGAAAGRNGSSEALLRYDDGEGPREPLLRKRTMNTTSQIAIVGANVFPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGVLTGLVGFFNNLAVENIAGFKLLLTGDLMLQERYFTAFLAYGGCNLVLGATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSGGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLKYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKALIEICRSGKCGLFGQGGLIMFDLSANIPTYGTQDVIAIIVLGIIGGVFGGLFNFLLDRILRVYSIINEKGPPFKILLTITVSIITSACSYGLPWLAPCTPCPVGSMEECPTIGRSGNFKNFQCPAGHYNGLASLFFNTNDDAIRNLFSSGTENEFHMTSLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPMSDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNELHMLPLVMLVLLISKTIADCFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVAGDVVSGPLISFSGVEKVGNIVQALRITGHNGFPVVDEPPISEAPELVGLVLRSHLLVLLKGKTFMKEKVKTSGSFVLQRFGAFDFAKAGSGKGIKIEDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDLMPEHIHGLFPNLRKSH* >Brasy9G093100.1.p pacid=40060045 transcript=Brasy9G093100.1 locus=Brasy9G093100 ID=Brasy9G093100.1.v1.1 annot-version=v1.1 MANNGREHKRGVPRPPPLSLFIAREQEEVVISTTPHMTRTKSVTAGSNNKKRTLSKQLSMKETTREVKWEKRRRQIQRQRSNMGDAADHVCTVHANAKGVTDEDLDELKGSMDLGFGFNEENGGQNLCDTLPALDLYFAVNRQFSDPKMRASSSSKSLPSLSLPTRSSSTFSAAASPAGSPTAQPSLLDSLQICSPGENPQLMKTRLRQWAQVVACSVKHSS* >Brasy9G283500.1.p pacid=40060046 transcript=Brasy9G283500.1 locus=Brasy9G283500 ID=Brasy9G283500.1.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVVKVLRDSKVHEFRMKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAVNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLKTKTAKAATKDILTTHCIPSAMSDDLKT* >Brasy9G283500.2.p pacid=40060047 transcript=Brasy9G283500.2 locus=Brasy9G283500 ID=Brasy9G283500.2.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVVKVLRDSKVHEFRMKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEVLVADINIGYEEIVNTQVLAVNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLKTKTAKAATKDILTTHCIPSAMSDDLKT* >Brasy9G283500.3.p pacid=40060048 transcript=Brasy9G283500.3 locus=Brasy9G283500 ID=Brasy9G283500.3.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVVKVLRDSKVHEFRMKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQIVVLKTKTAKAATKDILTTHCIPSAMSDDLKT* >Brasy9G283500.4.p pacid=40060049 transcript=Brasy9G283500.4 locus=Brasy9G283500 ID=Brasy9G283500.4.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVVKVLRDSKVHEFRMKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAVNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLKTKTAKAATKDILTTHCIPSAMSDDLKT* >Brasy9G283500.5.p pacid=40060050 transcript=Brasy9G283500.5 locus=Brasy9G283500 ID=Brasy9G283500.5.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVVKVLRDSKVHEFRMKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEVLVADINIGYEEIVNTQIVVLKTKTAKAATKDILTTHCIPSAMSDDLKT* >Brasy9G283500.6.p pacid=40060051 transcript=Brasy9G283500.6 locus=Brasy9G283500 ID=Brasy9G283500.6.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTGACGRYQYWL* >Brasy9G283500.7.p pacid=40060052 transcript=Brasy9G283500.7 locus=Brasy9G283500 ID=Brasy9G283500.7.v1.1 annot-version=v1.1 MDSSSSKGKRKRGRKSYKTAAADQRPPSPDAASAVPAPAPAPAAAGRRGRKPRRVEAPADADSSRPSSPPRGEEAKPVANGGDAVAELGPGAWEEAVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPIEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIQHFIHDYEKSGEYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTGACGRYQYWL* >Brasy9G193100.1.p pacid=40060053 transcript=Brasy9G193100.1 locus=Brasy9G193100 ID=Brasy9G193100.1.v1.1 annot-version=v1.1 MSHARAAPFGTRFEATGGDAYNGMELSKPRVDGDTDDDGRPRRTGTAWTASAHIITTVLGSGVLSLAWGVAQLGWVGGPGVIVLFAAVIYYTSALLADCYRTGDPVSGPRNRTYMAAVRATLGGAKVRLCGAIQFANLFGIGIGITIAASVSMLAIKRAGCFHVEGHKSECKSSITPYIAIYGIMQVAFSQIPGLDNMWWLSTVATVMSFTYSTIGIALGVAQIIANKGIQGNLTGIVVGMTAAGTSVTAMEKLWRSLQAFGNMAFAYGFSIVLLEIQDTLKAAAPSEAKVMKKATAVSVAATTVIYLLCGCVGYAAFGDGAPDNLLTGFGFYEPFWLLDVANAAVAVHLVGTYQVISQPVFAFVEQRAAAAWPGSAFVGEKEVRLWPARVRVSVCPLRLTWRTAYVCVTTAVSMLMPFFGSVVGLIGAISFWPLTVYFPVEMYIAERGVARGTRTWLFLQTLSAVCLLVSLAAAAGSVADVVAAFKEHNPFCWRC* >Brasy9G324300.1.p pacid=40060054 transcript=Brasy9G324300.1 locus=Brasy9G324300 ID=Brasy9G324300.1.v1.1 annot-version=v1.1 MAGEEGTSEQRTVKSLAAHEDLIGGPFLKPCTTAIHLAANSKKADAGQERPLNGYTINQHLALNPCTNKRKAEEAAAGEESSTKRKVEADASDASAKKISRMPQEEVDDILAHVVNNNAPYYFESLKRKNPDLLPSPEEENDESTVDLYTAARAYYAAGDAGEGFIELQNFVREQYRKHGYVEVDEDFVTRRAQVMEWNRKAKEEVLQDFALSDSDED* >Brasy9G200900.1.p pacid=40060055 transcript=Brasy9G200900.1 locus=Brasy9G200900 ID=Brasy9G200900.1.v1.1 annot-version=v1.1 MDPAPATPRWNLERPYLTGRFHQETKVSSAAQAPGSKSFSLDSLSRDAGAGAGSVLGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKDGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMAAQLEHQFRLGRLSVQGLWFFCQRMMSPLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMAECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPTFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLTSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEELTCCIERSSLLKKLTTLKDLDCAYSSDKLASADVDQPMTLSMTGLETFCLGYKIQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLESARSIDEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKALCLQYATSIQLLIPSIDVANPEKTPKSGLSRSRIKRSQHRDQQLKLASENVVMSESILKFETEFNSELQSLVPTLSKSSQAEPYLTHLAQCILGVGIDQ* >Brasy9G200900.2.p pacid=40060056 transcript=Brasy9G200900.2 locus=Brasy9G200900 ID=Brasy9G200900.2.v1.1 annot-version=v1.1 MDPAPATPRWNLERPYLTGRFHQETKVSSAAQAPGSKSFSLDSLSRDAGAGAGSVLGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKDGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMAAQLEHQFRLGRLSVQGLWFFCQRMMSPLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMAECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPTFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLTSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEELTCCIERSSLLKKLTTLKDLDCAYSSDKLASADVDQPMTLSMTGLETFCLGYKIQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKALCLQYATSIQLLIPSIDVANPEKTPKSGLSRSRIKRSQHRDQQLKLASENVVMSESILKFETEFNSELQSLVPTLSKSSQAEPYLTHLAQCILGVGIDQ* >Brasy9G200900.3.p pacid=40060057 transcript=Brasy9G200900.3 locus=Brasy9G200900 ID=Brasy9G200900.3.v1.1 annot-version=v1.1 MSKKSHSELLVIDDLLSALVGIEGRYISIKRVRGKDGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMAAQLEHQFRLGRLSVQGLWFFCQRMMSPLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMAECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPTFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLTSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEELTCCIERSSLLKKLTTLKDLDCAYSSDKLASADVDQPMTLSMTGLETFCLGYKIQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLESARSIDEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKALCLQYATSIQLLIPSIDVANPEKTPKSGLSRSRIKRSQHRDQQLKLASENVVMSESILKFETEFNSELQSLVPTLSKSSQAEPYLTHLAQCILGVGIDQ* >Brasy9G200900.4.p pacid=40060058 transcript=Brasy9G200900.4 locus=Brasy9G200900 ID=Brasy9G200900.4.v1.1 annot-version=v1.1 MSKKSHSELLVIDDLLSALVGIEGRYISIKRVRGKDGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMAAQLEHQFRLGRLSVQGLWFFCQRMMSPLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMAECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPTFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLTSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEELTCCIERSSLLKKLTTLKDLDCAYSSDKLASADVDQPMTLSMTGLETFCLGYKIQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKALCLQYATSIQLLIPSIDVANPEKTPKSGLSRSRIKRSQHRDQQLKLASENVVMSESILKFETEFNSELQSLVPTLSKSSQAEPYLTHLAQCILGVGIDQ* >Brasy9G362700.1.p pacid=40060059 transcript=Brasy9G362700.1 locus=Brasy9G362700 ID=Brasy9G362700.1.v1.1 annot-version=v1.1 MAGGVKKDREVRMEIQPPNRSVSISIDQAVRQMQRGGKSAGEEGKARTGDEMEMGPKFRDLGKCTDISINEIARQLRRDWISVVGEDEGRTTAKMHVMEYLEFLKRRSPAVSKLLFLEFLKGEAIKHAYGQEMNRGSCSSERPSEIQPPCNSQFNSEEVSEYQQDMIRPDCSSEIYPTEKLSITLKEAVAGQMREVRKFQDEERRLRGWLIPYQPQEKVKVEELIKEEETAELTESAKEEMDQEERLFEEYRRDWEWQATAHRAMGSFKDRTTLCPMQFTHFTDKQIRGLTGATGSALQIYSIKIAAIKCESLNWPLHVYGEVAARDRVDRNRNILFSRYRSNCQELTMDDPFLHLIGPSRAIVADNPVFFEVQLKVKGRTESRDSVLMNRTYQYCGGYTGHPSTAVFDNCRCTAEISFEKLQATVQATILSVHVVDAEYEDDPCTFKYGGRVTCFSPLCEIALMNTQGAVAEVIDDPARPVVLLDWHDRDGTGEMPMSLDASLLLSRRVVSVELQKSHLAAAGYKECLKVVVQAYSQSGNVAAQGYVKFRPKHNNISQAVCVVGDSTVDITVAWSVLVGSKAHLM* >Brasy9G159800.1.p pacid=40060060 transcript=Brasy9G159800.1 locus=Brasy9G159800 ID=Brasy9G159800.1.v1.1 annot-version=v1.1 MAVLKSSVTTQGLALYTPEVSRHRRNAVISVSAPVHRRQIPIGLTMKFWGINRLPIRGATKIPAVGPGPVNVPAGNLPVPNMPSWVTLIVGAVIVAIPIYRRMRALEDKVEKTAEVAIEVVDTVAEATEKIAGDIAEAFPGNERLKEAASKIKTVTDAIEEDAEKAEALIQKVDEIKKEVDSIVDPIIDKVMKVEGGIVEKEGDK* >Brasy9G109100.1.p pacid=40060061 transcript=Brasy9G109100.1 locus=Brasy9G109100 ID=Brasy9G109100.1.v1.1 annot-version=v1.1 MEMLSMLKVGYTVLRSETPATDLVNTFMDWAARRSLLLLALFMPPYYAYKLVSSAAATASPEDVAGKVVLVTSASSGIGEQIAYQYAKKGARLALVARREGSLRDVAARARDLGSPDVLVLPGDVARPEDCKAFVQATVERFGTLDHLVNNAGLANVCWFQDVPDVADFKQVLDVNFWGTVHPTHCALPHLKRSRGKIFVNSSAPALLAMPRMSFYNASKAAVLNFAETLRMELGDEVGLTVATPGWIESEMTKGKHLSKEGTMEVDQDTRDAQVGVFPVVRAERCAEAIVDAVCRGRRSVTVPAWYRALFLWRAFAPEVGDVFQRVFYRRSSGDEGSQMSSGAKALLQPPSLHTSEIKRD* >Brasy9G040500.1.p pacid=40060062 transcript=Brasy9G040500.1 locus=Brasy9G040500 ID=Brasy9G040500.1.v1.1 annot-version=v1.1 MGEYRDVWGFYPTNKVKPSIHKPYFSRIFSYTYNLFADRSQVEAGQSGNGTKNSLLIERLLDHLSPFGAGHRTEPGGSDGYYGFCATFDVYDPSLSPEQYSLASVWLVDAGDGKITSLNAIQIGWEDIISGDWVVHSGLNDAAPALIGRFPKSLFDGGLADRATTIQMGGYVSARTTNLAPMGSGYLAADSSTAAASIRDIQFIDQSGHAWPVTRNLPVYVTDPYTYNVGPMADGRFFYGGPAAA* >Brasy9G322400.1.p pacid=40060063 transcript=Brasy9G322400.1 locus=Brasy9G322400 ID=Brasy9G322400.1.v1.1 annot-version=v1.1 MAGVAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANVQSRGGLSQGKKLSPAAAMAERRKTEKAEEGLRTVMYLSCWGPN* >Brasy9G118400.1.p pacid=40060064 transcript=Brasy9G118400.1 locus=Brasy9G118400 ID=Brasy9G118400.1.v1.1 annot-version=v1.1 MMMMMGLGRPKIRNDGSSPGPRSSSRSHTTTPCSSSSFCFHLLSSFSYQDYYYDFTAPPMPILQYHLQEWEKRKNKGDNDAASQPGFSWLYKWEQFT* >Brasy9G362100.1.p pacid=40060065 transcript=Brasy9G362100.1 locus=Brasy9G362100 ID=Brasy9G362100.1.v1.1 annot-version=v1.1 MASNSRSSGGALVAMVTMAMLLSSAAAATTTVLSPNFHAANCPQLESIVLSSVQSALRNEIALAAGLLRIFFHDCFPQGCDASVYLKGRGTEQSMGPNSTLQPKALQLIEDIRAKVHAACGPVVSCADISALATRDAVIVSGGPTYTVPQGQMDSLTPAAETLVNGLPSPRTSSVSALATAFRGKGLADIADLVALSGAHTVGRTGCPFFSDRAGRMDDAFSRRLAANCSRQPAVKQNLDVVTPDLFDNGYFMALVNGQGVFTSDMALVKDRATAPIVRQFARSKDAFFQQFAKSMAKLAASPRPGGNVGEIRRSCFSRNPARVIDTVEAVGDEQDGFAASA* >Brasy9G148700.1.p pacid=40060066 transcript=Brasy9G148700.1 locus=Brasy9G148700 ID=Brasy9G148700.1.v1.1 annot-version=v1.1 MLFKASIENPLFWSPAYFHWLRNSRRIRNSGQNLGKIEVNDQ* >Brasy9G014700.1.p pacid=40060067 transcript=Brasy9G014700.1 locus=Brasy9G014700 ID=Brasy9G014700.1.v1.1 annot-version=v1.1 MKTLFFLAFLALAVTSAVARADTTCSQSYGGQSQQQQLLLINLGRAYLQQCTPRTVPFTYATGGQASSCQVMRQQFCQQLAQIPEEFRCQAVNGVAQAIMQQQQQQQQQQQQSQGSYQPEEQAHFHIMMAALHTLPQMCAVYVPPYCIIATTSPCSIAKAATSAGGAYY* >Brasy9G285400.1.p pacid=40060068 transcript=Brasy9G285400.1 locus=Brasy9G285400 ID=Brasy9G285400.1.v1.1 annot-version=v1.1 MAGSSSSFGTSWADQWDYGSDPSPRADHGKKQGGGGGVEKTKAAAATGLKKVKEGTAHGFQWIKDKCQKKKQGQEQGSGIAAGY* >Brasy9G301000.1.p pacid=40060069 transcript=Brasy9G301000.1 locus=Brasy9G301000 ID=Brasy9G301000.1.v1.1 annot-version=v1.1 MATASSSASSSAAGSRDGGDEEEVLDQLDYSPWVAVAEAKSRLEEAAAAAGARLRGEGQEAWEEDEMRDNQQRQEDELMALEAIYGDDLVESESKGGLRYFQIYISYDLHDGAEVCAKFSSANGDRDDCGCPDDVTEEHHDEPDEFSYTCDFEHLPPVILTFLLPQSYPSKDPPYFTVTAKWMDGSNVSQLCQMLDNIWAELPGQEVVYQWVEWIRNSSLPYLWFDRKITLGQDIHMHEGDNRAISRSLPLESLIPKLLSYSTKKHYQNFLEDLHMCIICLNQSKGSNFIRLPCQHLFCVKCMETLCVMHVKEGSLFQLVCPDTKCSASIPPYLLKTLLSEGEFQRWDRLTLEKALDSMSDVVHCPRCSIGCLEDEDYNAQCPKCCFVFCSLCKDPRHPGKECLTPEQKLQRLQASGKMTARGMVEEMMSIKMLYGDARSCPKCKMTISKTEGCNKVVCISCGQAFCFLCGKAIISGYGHFSKRCDLFEPGKEDTKDWQKQMDRLEIRNRIRAQKHPVGSTVKCPKCHQKIYKDNVEYIFCWACQASYCTLCRKQVEFTGLQSDHWGSPQCVGIKF* >Brasy9G301000.3.p pacid=40060070 transcript=Brasy9G301000.3 locus=Brasy9G301000 ID=Brasy9G301000.3.v1.1 annot-version=v1.1 MALEAIYGDDLVESESKGGLRYFQIYISYDLHDGAEVCAKFSSANGDRDDCGCPDDVTEEHHDEPDEFSYTCDFEHLPPVILTFLLPQSYPSKDPPYFTVTAKWMDGSNVSQLCQMLDNIWAELPGQEVVYQWVEWIRNSSLPYLWFDRKITLGQDIHMHEGDNRAISRSLPLESLIPKLLSYSTKKHYQNFLEDLHMCIICLNQSKGSNFIRLPCQHLFCVKCMETLCVMHVKEGSLFQLVCPDTKCSASIPPYLLKTLLSEGEFQRWDRLTLEKALDSMSDVVHCPRCSIGCLEDEDYNAQCPKCCFVFCSLCKDPRHPGKECLTPEQKLQRLQASGKMTARGMVEEMMSIKMLYGDARSCPKCKMTISKTEGCNKVVCISCGQAFCFLCGKAIISGYGHFSKRCDLFEPGKEDTKDWQKQMDRLEIRNRIRAQKHPVGSTVKCPKCHQKIYKDNVEYIFCWACQASYCTLCRKQVEFTGLQSDHWGSPQCVGIKF* >Brasy9G301000.2.p pacid=40060071 transcript=Brasy9G301000.2 locus=Brasy9G301000 ID=Brasy9G301000.2.v1.1 annot-version=v1.1 MALEAIYGDDLVESESKGGLRYFQIYISYDLHDGAEVCAKFSSANGDRDDCGCPDDVTEEHHDEPDEFSYTCDFEHLPPVILTFLLPQSYPSKDPPYFTVTAKWMDGSNVSQLCQMLDNIWAELPGQEVVYQWVEWIRNSSLPYLWFDRKITLGQDIHMHEGDNRAISRSLPLESLIPKLLSYSTKKHYQNFLEDLHMCIICLNQSKGSNFIRLPCQHLFCVKCMETLCVMHVKEGSLFQLVCPDTKCSASIPPYLLKTLLSEGEFQRWDRLTLEKALDSMSDVVHCPRCSIGCLEDEDYNAQCPKCCFVFCSLCKDPRHPGKECLTPEQKLQRLQASGKMTARGMVEEMMSIKMLYGDARSCPKCKMTISKTEGCNKVVCISCGQAFCFLCGKAIISGYGHFSKRCDLFEPGKEDTKDWQKQMDRLEIRNRIRAQKHPVGSTVKCPKCHQKIYKDNVEYIFCWACQASYCTLCRKQVEFTGLQSDHWGSPQCVGIKF* >Brasy9G041100.1.p pacid=40060072 transcript=Brasy9G041100.1 locus=Brasy9G041100 ID=Brasy9G041100.1.v1.1 annot-version=v1.1 MDVYGLSLSGEQASLSSVWLADTGLGSIQIGWQVMPSLYGDSRTHLDAFWTDDGFAKTGCRDATCSVGFQPEKGAPIAIGDVIENVSQPKGLKQTITIKVIKDGVEGDWLVHYGANTGDLALIGRFPRSLFTGGLADQAAAVQFGGYVATYTNELGSDGQRILSRGISN* >Brasy9G229200.1.p pacid=40060073 transcript=Brasy9G229200.1 locus=Brasy9G229200 ID=Brasy9G229200.1.v1.1 annot-version=v1.1 MLSRAAMAAWWVCGFLSLLAVAADGAEGKWDPLLRMPTEKGYAPAPAPAAADEGVTKWAVLVAGSSGYGNYRHQADVCHAYQILKRGGLKEENIVVFMYDDIATNVLNPRPGVIINHPKGKDVYAGVPKDYTRDQVTTENFFAVLLGNKTAVTGGSGKVIDSKPNDHIFIFYSDHGGPGILGMPNMPYLYAGDFIKVLREKHASNSYSKMVIYVEACESGSIFEGLMPEDLNIYVTTAANAVENSWGAYCPEMEPPPPPEYITCLGDLYSVSWMEDSDAHDLKKETIKDQYEVVKNRTSNSNKSDRGSHVMEYGDKTFKEEKLFLYQGFNPANDNVANRLIWPGPSAAVNQRDADILFMWKRYEQLSVGSEEKLRALMEIIETMAHRKHLDSSIDFIGKLVFGFANGPSVLEAARSPGQPLVDDWDCLKRMVRIFESRCGSLTQYGMKHMRAFANICNNGVSEDKMMEASTSACCSYDLARWSSVAQGHSA* >Brasy9G364100.1.p pacid=40060074 transcript=Brasy9G364100.1 locus=Brasy9G364100 ID=Brasy9G364100.1.v1.1 annot-version=v1.1 MRRREYACLLQHHVNSGCSHDAVAVAEDGGDRSRAMLVCRVSGCRRRRRRRRSRRRRMICRRWRRRRRRRSTTRWRGRRGCT* >Brasy9G203400.1.p pacid=40060075 transcript=Brasy9G203400.1 locus=Brasy9G203400 ID=Brasy9G203400.1.v1.1 annot-version=v1.1 MAIIDLNLPPDEADEYSGHAFDLNDDAGADGGRGNAGVAGAGVGGRGNAVMGLGGHGGTGHGPLGYGHAGGAYAGRGHRWLGLVGRGHVDVAGLGVGGRGGDVPGLVGRGRGRTAGDGHAGRGHAGEAHPGRGHPRLGLVGRGHAAVAGASDVPGLVGRGRGHAAVAAASDVPNLVGRGRGHGRGHAGEVHPGRGHPRLGLVGYGHAAAAAANDIPGLVGRGRGHAVGRGQARLGLVGANDVPGQGVGRGRAGGHAGRGQLGPAGRGHRGRRGAGRGRSSMGLVGRSHAGHADAGHAAHTDAGHAAHADAGHAGHADAGHAAHTDAGDADAGHAGHADAGHADDGQANGEEGVPPRVVQRIWRKGKVAGGVHGVKNKKVKNCGRKRIDINPEVVGFSVQHLLVCAGGQCLHAVELLHAHGVEVPSMVVVGVRSMAGGHCLHAVDLLLAHGVEVPTMAVVRVRSMAGGEVLRAQGVEVLQHLLDRKGVEVVHLLPHCIEVLPAHGLEVLQLLLHGVELVQVLPHSVETLHAHGVEALHLLLHGVEALQLFLHDLEALQLRAHGVERLQHMLALHGRVEGGGRRRRKEEEETTA* >Brasy9G162900.1.p pacid=40060076 transcript=Brasy9G162900.1 locus=Brasy9G162900 ID=Brasy9G162900.1.v1.1 annot-version=v1.1 MAAGDEIWAGMRVLAIDEDRVCLKILEATLRRCNYNNVTSVMDAKTALQRLREAKDPFDLVISELHMPDMDAFKLLRHIAVELGIPVVVLSAYEETETVIKGLSSGACCYLAKPVSTEKLKNIWQHVLRRQVEARNHNNSDNDDADHNVQSGIAQAEQGAKTPSKNSMRNRNYAGDDSDEKNKENSSKKPRVTWTMELHDKFLEAFNRLGDSPSSANIPKINQLTSFVGASSCQIPMAQNELQNPMVAFISNTTPMAGLNEHMAPFNIGSNTSSVEMSNGNFVPDNSATMTEMVNGLSNNYTLPNIEAESSVVSTQKLNGGDDVGFLPVQEDMAEQECLDGQLNDNTEFPLDDILAMDDQNFSQDNDLLDGDWF* >Brasy9G148900.1.p pacid=40060077 transcript=Brasy9G148900.1 locus=Brasy9G148900 ID=Brasy9G148900.1.v1.1 annot-version=v1.1 MSSSMESSYLPATTESIAKAQEAKDASESISILYQVIQDPSSSADALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVAGIISSKASLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIGHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDTKTEAIFPATLETITNVGKVVDSLYMRSVKIMA* >Brasy9G148900.2.p pacid=40060078 transcript=Brasy9G148900.2 locus=Brasy9G148900 ID=Brasy9G148900.2.v1.1 annot-version=v1.1 MSSSMESSYLPATTESIAKAQEAKDASESISILYQVIQDPSSSADALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVAGIISSKASLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIGHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDTKTEAIFPATLETITNVGKVVDSLYMRSVKIMA* >Brasy9G048200.1.p pacid=40060079 transcript=Brasy9G048200.1 locus=Brasy9G048200 ID=Brasy9G048200.1.v1.1 annot-version=v1.1 MKIKTRHGSAITNVSPAAVPRSISPLLPRHLFLASATARRTSTPPENAARNEGRYTRRTWTSQPRCLRGWTPIMLAVGPPSVPPASHGRATAGICTAAFTRPMVHVNQVQRLASPDSRWERETEVAKAKENELYTIRGLAPSIKDKGNAISPRYALPPRSPLLSHFRFPPPLHAAQTLAIPFPLLLLLVPLSLLLLLAAERRLTGAVRANRSKQQGGGCASSGNALVLRFTGIAVQTLVNMKDLCLACFLLAFVLQVVLGTHDVYIVTMEGDPVVSYRGGVEGFPATAVDLDEEMEITSESVVSYSLHLQRHHEKLLDSLFVAGTYEKLYSYHHLINGFAVHMTSLQADFLRKAPGVKYVERDTKIQKLTTHTPQFLGLTTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSTHKTDPYGPVPHYKGKCEIDPVSRRSFCNGKIVGAQHFAKAAIAAGAFDPDVEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLILGNGKLLPGLGVSPATHGNKSFGLISATDALLGSSTTKYSALDCQRPELLNKRKVQGKILLCGYSYNYISGTASIKKVSQTARSLGAAGFVVAVESSYPGTKFDPVPVNIPGILITDVSKTKDLIDYYNSSTTRDWAGRATVFQATVGIADGLAPTLFNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGMFLSEFFSSAMAHVIVFSMLSSINCSWRRICNDVRN* >Brasy9G048200.2.p pacid=40060080 transcript=Brasy9G048200.2 locus=Brasy9G048200 ID=Brasy9G048200.2.v1.1 annot-version=v1.1 MKDLCLACFLLAFVLQVVLGTHDVYIVTMEGDPVVSYRGGVEGFPATAVDLDEEMEITSESVVSYSLHLQRHHEKLLDSLFVAGTYEKLYSYHHLINGFAVHMTSLQADFLRKAPGVKYVERDTKIQKLTTHTPQFLGLTTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSTHKTDPYGPVPHYKGKCEIDPVSRRSFCNGKIVGAQHFAKAAIAAGAFDPDVEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLILGNGKLLPGLGVSPATHGNKSFGLISATDALLGSSTTKYSALDCQRPELLNKRKVQGKILLCGYSYNYISGTASIKKVSQTARSLGAAGFVVAVESSYPGTKFDPVPVNIPGILITDVSKTKDLIDYYNSSTTRDWAGRATVFQATVGIADGLAPTLFNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMMSGTSMAAPHIAGIAALIKQKNPKWSPSVIKSALMTTANTMDKGSHPLRAQQFSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLEATHQDYITFLCSIPDVDHSEVSNITGSHCNSIPKGQRPYDLNIPSITVSQLKGTQTVKRTVTNVATEAETYTIMTRMSSEIALQVSPPAVTVLPGSSREITATLTTRSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK* >Brasy9G142600.1.p pacid=40060081 transcript=Brasy9G142600.1 locus=Brasy9G142600 ID=Brasy9G142600.1.v1.1 annot-version=v1.1 MPLLKRTPFFLLDPPKDLDPKEKVFRVRFTNEIFRDYQQYLNRLNLYRKRVWTCKVSGKSNLTYEEALVSEQHAAEKAQQLPKELISPVLQMVQYSTLNLTDLVNKIYASLQEDLFEGLELHAKKDASEAACKILKVIGSGNTATYEVGWIGQENAVISTSVLTADDLIRKKAPTGRNMLKIFIRESTSQNSPWIVRVNLAQKYGIPTDPPKDMMNGEGLSKARKRLENGTTEDARKRLKKDEEQVEPPVKYPIDDLLVNPSADDLVLSKKCPPSTDFKVPTNSVGDLLMVWDFCMSFGRLLCLSPFSLSDLENAICHKETNLVLIVEIHAALFHLLIKDEGGYFACLQNKNRKSKVSLLTWTDYLCDFMEMTSKKDFSSNISTVRRGHYGLVHTGRKLQILRELVDEAIATSAVKEKLEERIDQQQALVAEKREVIRKNKEEQKLNMEGATKNEMNQTHAAQNGNESANGQPVGKEGKERKIASASKTEDGKLYLRKHLETEMVDQSIRTSPLGKDRLYNRYWFFRREGRIFVENADSEEWGYYSTKEELDALIGSLNIKGIRERALKRQLEKFYDKISNALEKRLKDVTQKMLLEEAVLRRSSRVHAQPKDSPSMSFLDYVNAWKPVSKRNRWKENRAM* >Brasy9G161600.1.p pacid=40060082 transcript=Brasy9G161600.1 locus=Brasy9G161600 ID=Brasy9G161600.1.v1.1 annot-version=v1.1 MAGGGFVAADGAAHDYGGGVTFSVVVTSLMAASCGLIYGYDTGVTGGVTQMESFLSKFFPEVLRGMKSPRRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVTRKVGRQAVMLIGGSMFVAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLAETAPARWRGAFTSAYNTFVVIGILSATITNYFTNRIPGWGWRVSLGLAAVPGVIIVAGAFFVPDTPSSLVLRGQPDEARSALQRVRGAGADVGAEFKDIVRAVDEARQNDVGAFRRLFSRRYRHYLAVGLAIPVFYQFTGMIVISVFSPVLFRTVGFNSQKAILGSVINSTTNLVATVLSTFVMDRTGRRFLFIVGGIGMMLCEVAISWVMAGHLGKHQGVAAMPRGYATGVLVLICMCTFSFGLSWAPLRWVVPSEIYPVEIRSAGQAVSISVALCLAFVELQVFIALLCAMKYGVFLFYAGWLLVMTVFVAAFLPETKGVPLEAMRSVWTRHWYWRRFVSDAKQESQISAVSAD* >Brasy9G263400.1.p pacid=40060083 transcript=Brasy9G263400.1 locus=Brasy9G263400 ID=Brasy9G263400.1.v1.1 annot-version=v1.1 MPAGGGSANGGNGAAPATPLHGSGSASAAASVNGDGYDSDGYNFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIQSSGKRGFFIKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKVTNDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRSWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKIVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLTALQILVEIGFVENPETCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARNQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSGSVTSTVSQNDVSQVDKPPHVETYEKRVQELSKEVDESQKKADQLRDELERKTKLEREMQEELEGLRDTLQSERHVIKEVTSERDKLKSLCDEKDSSLQVALVEKSRLETRLTSQGQENNKKIEVRDTLTTAGSLNSDIEMVTKLEEGLKSCQKELDASKEISKKLITERNLLEQQVQRLERAKSEEKSKMERVYEDECRKLKARAAELEQRLESTSRSLNVAESTLALRNVEVDKLQNTLKELDELREFKADVDRKNQQTVEILKRQGAQLVELESLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLTEKELAVRDKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDACTTQEDVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATSELFRVIKRDGNKYSFDLKAYMVELYQDNLVDLLLPKNATRQKLEIKKDSKGVVTVENVTLVNISSYEELRAIIARGSERRHTAGTNMNDESSRSHLILSIIIESTNLQSQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVISALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVAPKEIMRLKKLISYWKEQAGKRSEGDELEEIQEERISKEKTDTRLTS* >Brasy9G314200.1.p pacid=40060084 transcript=Brasy9G314200.1 locus=Brasy9G314200 ID=Brasy9G314200.1.v1.1 annot-version=v1.1 MRVKVISRSTDEFTRDRSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFAKPFIGAMDGHIDAVSCMAKNPNNLKAVFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLISCGVDCTVRLWKDPMRRMMDTSDAIGDASQPSAVYTWKHAFWGVDHQWDGNIFATVGAQVDIWDHNRSEPINSFEWGKDTLLSVRFNPGEPDVLITSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDSRKLEEAKIVHRGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVILPRERKKQEYLDAVKERYKHLPEIKRIVRHRHLPKPIYKAANIRRTMIEAESRKEERRRAHSAPGSRPVQPFRKRRLIKEVE* >Brasy9G219500.1.p pacid=40060085 transcript=Brasy9G219500.1 locus=Brasy9G219500 ID=Brasy9G219500.1.v1.1 annot-version=v1.1 MSTSMVSLVLVVLVMPFATASAVITARKNCPRICGLTEILYPFGIGPSCSLPGFNLTCEVDTYNNTYTDLRLGNSTIHELVGYGEVYDDYDTYLYTRISYSVKMIPSVQDYSVRWESPARPFAISGSSKMSLIVVGCGVTASLFTGNSEVEVLLVGSCFVVCLEHPYMEKLPAGQCGGLGCCFINIDVNLRIEVHPRHLANQKFFIVETVPSQDLPDAHLNWGIPYKPNCKRAMEDKDSYACVSDHSECRDSPIGGYVCYCQSGLGNAYVHGGCSGDNAPGFDSIQPRTDCPISCGNVSIPFPFGTELGCFAKIHLYLTCLPGPVLPVLWLSERTLVTDISIDDGVLHVHEVVEPDNFVSGSESDGPVLYSLSGEWGVVKWAIDNITCEHTKLNTYKREDYRCISSHSDCVDVTDDRTLKHVGYRCKCSSGFEGNPYIKNGCTDTNECRQPDKYICNGICENSFGSYTCTSCPHGTDFDGITRKCKPSTIILGVTIGLSSGGGILFLAISVAILTRRWKKGVQKRVRRRYFRKNRGILLEQLISSDESASEGTKIFSLEELEKATNNFDQARVVGRGGHGTVYKGILTDQRVVAIKKSTLAAISEIDQFINEVSILSQINHRNVVKLHGCCLEAEVPLLVYEFVSNGTLYDLLHREQSGSSTLLPLSWEERLRIATEIAGALTYLHSAASVSILHRDVKCMNVLLSDSYTAKVSDFGASRLIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLTRRKPIIQNEHGEMQNLSNHFLWAMRERPLEETVDAQILEEGSEEGVLCMARLAEECLSLTRGERPTMKDVEMRLQLLTGRRVAPRARPRCEAAEEDASGDVVPVVVGRHGSRQYSQEQEFVSSLRVPR* >Brasy9G123000.1.p pacid=40060086 transcript=Brasy9G123000.1 locus=Brasy9G123000 ID=Brasy9G123000.1.v1.1 annot-version=v1.1 MREAGFSSTQIKANVEQTVCSSTAAASAPPRQNPNPSSSTATTTSKPQEATKAKLPLPGQLARDEDVAAVLDCLAGTARSKRRVVVIAESTATAEATVRAVVDKVKKADQPDASLPLRDAQVVSLRVSSFRDMPREEAERRLSELRCLVKSRGHGGHVLLVVEDLKWAAEFWAGRRPGYYCAVEHVVTEVRALACGGGGEQHALTWLVGFGTYQTYTKCRAGQPSLESLWGLQTLTVPAGCSLALSLTTAFDDSALGAVNQSMKASSDTDGAPRWPLLGGGQLLSRCCGDCSAARTDTKAALPPSFLSSSSIPSWLQHCRDQEPAHIMDLGRNWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHQPRHSWLLADLDGKHPWKPKPEADVEKVLSHDSGASNGSVEVECRSRFKELNAENLKVLCAALEKEVPWQKEIVPEIASTVLQCRSGIAKRRDKSRSTDAKEETWMFFLGGDVDGKEKVARELANLVFGSRKNFVSIKPAGASSPSASCSTEEHRSKRPRTSAAATGACLEQLYEAINENPHRVILMEDIEQADQYCQVTIKETIDSGVVRGQAGGEVGLGDAIVILCCESFDAKSRACSPPSKQNMAEPKEEHTSDNEHKEVGASSSSGFDLNLDIESEHADHESNPSDVCLLTAVDRTLFFRTQEDL* >Brasy9G209200.1.p pacid=40060087 transcript=Brasy9G209200.1 locus=Brasy9G209200 ID=Brasy9G209200.1.v1.1 annot-version=v1.1 MAGEGDKAPLTAAMSSVAEEAPVSADRPVPVDLETCIPKPYLARALVAPDVYHPEGTEEAGHDHRQMSVLQQHVAFFDLDRNGVIYPWETYGGLRALGFNMIVSFILAMAINCGLSYPTLPGWIPSPLFPIYIKNIHRAKHGSDTATFDTEGRFMPVNFESIFSKNAHTAPDKLTFGEIWRMTEDQKMAFDFFGRIANKGEWILLYVLAKDDEGFLSREAIRRCFDGSLFEFIAQQRKEAHEKQE* >Brasy9G234200.1.p pacid=40060088 transcript=Brasy9G234200.1 locus=Brasy9G234200 ID=Brasy9G234200.1.v1.1 annot-version=v1.1 MTRRFSGRSASTRTGKPHPHRLLAPRVRSRRISSVAASTVSLPTIAPATAPTNLAVFAARPIEGHRDGDCKRPRSPTGVVDAPQQRRHVAPAPAASRPAVASTSTATPRSSHPVSASTSMAHAAVPSPPSPAWCPPPARSPSRVLRVVSPPPCRPELSEEEDDEGSAGPLPLESNVLAAVAKEIGDPSSEDSGLSEPPSQRCSLQGRDWGGGGRRNGGGRGARSGRRRRSDGRRGARGYWVRAGGGGERDHRVSSRQART* >Brasy9G361100.1.p pacid=40060089 transcript=Brasy9G361100.1 locus=Brasy9G361100 ID=Brasy9G361100.1.v1.1 annot-version=v1.1 MDKQSSPEGSNKNKKRLKKRKNKNVACNMELQLLPSDILRDILSRLSIKEVVMMSVLSRQWRQLGICHPNLVFTQETFFSSNTDRNTGPLKPMPAEFVTKVDNVLHPLWSSSTTTTTTLDRFVVKFRLGSKYKYHIDRWISFSTASRAKHIALDFMIERCDFEDSQYTVQICNLSGPNSSCVESLDLCCVCLMVPPRFCGLMNLKKLALKNMYIDSGDLQCLLLSCALLESLSIKWCSLSSRSICIRQELRRLQYLHVRAYGLEMIELHAPNLTKFEFDDCVHKVTMSESLKLSEATFLSNFRGIEIDYKLDRTFQKLPTALPHLHKLFLLLNSDQVRTFSNTQIRFITLRYLNMNLGIFSDPRDTSWVTGMVNLLETAPLLEELELHVNYNMRRHQYPVWRMVTAVQGPQLRRLRSAYMSGFSAIFGLAELLLYILGNATSLERLVVDPVVRMEYNPGGDHCYSATKASNSKEFVLPPNPTNKEDMSSIAKKRMFVRKHLEKEEFRHIVTIL* >Brasy9G337600.1.p pacid=40060090 transcript=Brasy9G337600.1 locus=Brasy9G337600 ID=Brasy9G337600.1.v1.1 annot-version=v1.1 MAKCSKIRNIVWLRQTLRRWRSRAAARSAAFSSSSSSSPVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEELGGFPSFHGPVALPTCDEALFEHVLRHLSSPSPAARFLTLDDLQSGAAFSPCCCAAGAADALPLLRGISSDKFVW* >Brasy9G218600.1.p pacid=40060091 transcript=Brasy9G218600.1 locus=Brasy9G218600 ID=Brasy9G218600.1.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEASEIDRAVQHILEENNRLLSQIETNIVTFQAQNNIDLFHRIRRNIDGLLQTMSQMPGIMSKMPQLPVSVNENLASYMLPGLTMAQVLGNRHFKEEPRGW* >Brasy9G218600.2.p pacid=40060092 transcript=Brasy9G218600.2 locus=Brasy9G218600 ID=Brasy9G218600.2.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEASEIDRAVQHILEENNRLLSQIETNIVTFQAQNNIDLFHRIRRNIDGLLQTMSQMPGIMSKMPQLPVSVNENLASYMLPGLTMAQVLGNRHFKEEPRGW* >Brasy9G218600.3.p pacid=40060093 transcript=Brasy9G218600.3 locus=Brasy9G218600 ID=Brasy9G218600.3.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEVQHILEENNRLLSQIETNIVTFQAQNNIDLFHRIRRNIDGLLQTMSQMPGIMSKMPQLPVSVNENLASYMLPGLTMAQVLGNRHFKEEPRGW* >Brasy9G218600.4.p pacid=40060094 transcript=Brasy9G218600.4 locus=Brasy9G218600 ID=Brasy9G218600.4.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEVQHILEENNRLLSQIETNIVTFQAQNNIDLFHRIRRNIDGLLQTMSQMPGIMSKMPQLPVSVNENLASYMLPGLTMAQVLGNRHFKEEPRGW* >Brasy9G218600.5.p pacid=40060095 transcript=Brasy9G218600.5 locus=Brasy9G218600 ID=Brasy9G218600.5.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEGSKQHRPLPSHQKEHRWSFTNHEPNAWNNE* >Brasy9G218600.6.p pacid=40060096 transcript=Brasy9G218600.6 locus=Brasy9G218600 ID=Brasy9G218600.6.v1.1 annot-version=v1.1 MQMADDPNQNFRAFSQPFCTQDVVSFQTSATSSGSGGMPVYLDCSSGMDSAIGMMSSTTPSVAVSTSSSSMPADSAPSLKYGGPLAADWSYLELEVLKDCLDKYVNEQGIMKYIKIAAAIPTKTVRDVAMRCQWIGTKQNTRRRKPAEHHIGRKVKDRKEKMVEPSSWGTSHPVQRDTRVSSFVSSNIQNSLFPSEGSKQHRPLPSHQKEHRWSFTNHEPNAWNNE* >Brasy9G221100.1.p pacid=40060097 transcript=Brasy9G221100.1 locus=Brasy9G221100 ID=Brasy9G221100.1.v1.1 annot-version=v1.1 MHPPPQYSLPPAPACPVPPPPYPRAASSLSRGTELRRLLLRRRPTLPPPHPPTPSATGPALDQPLHLPRPPQPPIPSCTPTPPPRHRPSPASTSTATNSDF* >Brasy9G331400.1.p pacid=40060098 transcript=Brasy9G331400.1 locus=Brasy9G331400 ID=Brasy9G331400.1.v1.1 annot-version=v1.1 MAAQQAQQQLMTVPTEAELLQAQADLWRHSLYYLTSMAFQCAVKLGIPTAIHSLGGAASLPDLVTALSLPPAKLPFLRRIMRLLSMSGVFSATDNAAGPPKEIIYRLTPLSYLLLDGVVEGHPSQTSVVLAASSRHCVEAAVGLADWFKKDTSETSPSSPFEDVHGATLFHESFAELDPENDTMINQGRAAHDNSGFAAVLKECGGSVFAGLESLTDCCGGDGTAARAVVEAFPRIKCTVLDLPRVISNVSATADGGVVSYVAGDMFKFVPPAQAVMLKLVLHHWST* >Brasy9G122900.1.p pacid=40060099 transcript=Brasy9G122900.1 locus=Brasy9G122900 ID=Brasy9G122900.1.v1.1 annot-version=v1.1 MYYTFLSRVLCAAGLLLLLVISVTDAKIHMGVEGHFIPYTLSPERKRSYTVPDSFRAQRSLSGKENQFKWALSKDERSLQATSDRIDHIKYHMLYRHHCNIGHIPC* >Brasy9G112400.1.p pacid=40060100 transcript=Brasy9G112400.1 locus=Brasy9G112400 ID=Brasy9G112400.1.v1.1 annot-version=v1.1 MPTRAMEQKHHMPTFHLPAESESSLPHERPVGPLRQESFPEHVERFSLTSGSKSVASSPVDKLNPVGAKVVNQLELMEPYKLMDQKTSFGEHKLLGQQRHVNLPPTAWRADQDPAEQHDSFSKPLALFPNVRKGHLSAIHYENGLFSSSLPDIFDKKLRLTSQNGLVGQPVEKELNNVDDEPFELTQEIEAQVIGNLLPNDDDLLSGVLDNVGYPACANNRDDIDDDIFYTGGGMELETDDTNKLLKLNSIASNGQTGLNGLLSGENPYGEHPSRTLFIRNIDGIVEDSELELLFQKYGEIQTLYTACKHRGFVMVSYYDIRSAETAMKALQSKPFRHWKLDIHYSVPKENTLEKDNNQGTLAVFNLDPSVTNDDLRHIFGGYGKIKEIHETSQQGHHKYIEFYDVRAAEAALYALNRSDIAGKTIKLVPCCMGDTKRLMQHRPPELEPEDFGACKPGNANSPLKNYYGSVNMASTGPEHGISRVLRTRVQPPINQFREGNFLDIPSITPQSQSMSSPVRIATAGTHKNHSALGEHGHSLGKMNGHLNYGYQGMGAFHPHSLPEFDNSQSNCFPYNLSTIPPIGVKSNSRTADGMDSRHLYKVCSANLSGHSSGHSEALGVSRTGSCPLHGHQVAWNNSNNSHHHTSSPMLWPNSGPFINNIPSRPPTQVHGISRASRMLENVLPMNHHVGSAPAVNPSIWDRRHGYAGERMEVPSFHPGSAGSRGFPGSPHLHQLELSSMFPQSRGNPAMSPAHIGARSPQQRGHIFHGRSHIGPLPSSFDSPVERTRSRRNESCANQSDNKRQYELDIERIVCGEDSRTTLMIKNIPNKYTSKMLLTAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMITPEHIVPFYKIFHGKRWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPLGTHIRSRPGRSRILSCEDSLSTSANSWTPSNGGRHTSGYSKEADPTTA* >Brasy9G075600.1.p pacid=40060101 transcript=Brasy9G075600.1 locus=Brasy9G075600 ID=Brasy9G075600.1.v1.1 annot-version=v1.1 MLPSSNLFSREVLTTRKNTQPSACHVCGAPSSLPAQHVHPVQYRLTNIIAMELRRCLIAGTPSADCPPPAPWAKGERAAQPADLVEARPDSDLGWPDPPIWGRGRPDPAVPAAAEPKMARVAVAFVEEESAAVAGGRRRGQAREAEMRWWPASGPRQGGDGLLREVGELPALSRERGREGGASQGRRRSAVLVAGERQGEKRERGEKRERGREIGGEKRDRGVAAAGRKKRDGRGWVRVVTFYREGCAAFCI* >Brasy9G323700.1.p pacid=40060102 transcript=Brasy9G323700.1 locus=Brasy9G323700 ID=Brasy9G323700.1.v1.1 annot-version=v1.1 MAKTEPLPDCVRHDGRRNDGQPDDGTAPAPASAEGTAVGRLELNPADCCLDFYVGGGGLRGYALHEGIFGYCWSGARATVGVRGGGKYCFGCKLVAEQPVSRQDTPADEHHRSRVGFSRGDDPVGSLGNSRYCFGRWVVADQDVAVAADQRHLCRVGVSRGDEPVGSLGESIHSFGFGGTGNLSHMGKFFDYGVKFGVGDTIVCAVDLDSKPLASIGFSKNGEWLGIVQNFDSSDKGLGLVDAPVRPLPWESALFPHVTLKNFIVEMQFSKEDGLLLPDGYEPWSLACADGNAVFGPIFAEQEECEVIMMVGLPGSGKSTWAEKWVKEHPEKRYVILGTDLAMIQLKLTQQKARQSIHIPSVDWDQAARSVTATLLRRAPTVRRNYIIDLSNLDKISRIRMLGPFTNHLKTAIVVFPMLNELKTRAAKQCRGTSMKAWTELIGQLTASFELPQQKDVPASFEAFDRVIYVEQSQADAHRILDRMKHFKTHGEPMSTASGALLSEPWVSLGYERPTLSQLRIQAMLNAPRQYLVTSANENQPSSNAAELQQQPIHTDSAKDKPPDEDLIMSKTFDLMEEYELMDSLYDKITERSKNSRTSETLLKALWKAFNAKLKRCRAIRRDIRKAGGAPYPIPERFLE* >Brasy9G323700.2.p pacid=40060103 transcript=Brasy9G323700.2 locus=Brasy9G323700 ID=Brasy9G323700.2.v1.1 annot-version=v1.1 MAKTEPLPDCVRHDGRRNDGQPDDGTAPAPASAEGTAVGRLELNPADCCLDFYVGGGGLRGYALHEGIFGYCWSGARATVGVRGGGKYCFGCKLVAEQPVSRQDTPADEHHRSRVGFSRGDDPVGSLGNSRYCFGRWVVADQDVAVAADQRHLCRVGVSRGDEPVGSLGESIHSFGFGGTGNLSHMGKFFDYGVKFGVGDTIVCAVDLDSKPLASIGFSKNGEWLGIVQNFDSSDKGLGLVDAPVRPLPWESALFPHVTLKNFIVEMQFSKEDGLLLPDGYEPWSLACADGNAVFGPIFAEQEECEVIMMVGLPGSGKSTWAEKWVKEHPEKRYVILGTDLAMIQLKKARQSIHIPSVDWDQAARSVTATLLRRAPTVRRNYIIDLSNLDKISRIRMLGPFTNHLKTAIVVFPMLNELKTRAAKQCRGTSMKAWTELIGQLTASFELPQQKDVPASFEAFDRVIYVEQSQADAHRILDRMKHFKTHGEPMSTASGALLSEPWVSLGYERPTLSQLRIQAMLNAPRQYLVTSANENQPSSNAAELQQQPIHTDSAKDKPPDEDLIMSKTFDLMEEYELMDSLYDKITERSKNSRTSETLLKALWKAFNAKLKRCRAIRRDIRKAGGAPYPIPERFLE* >Brasy9G233400.1.p pacid=40060104 transcript=Brasy9G233400.1 locus=Brasy9G233400 ID=Brasy9G233400.1.v1.1 annot-version=v1.1 MKIVVWNCRGLGNGPAVCGFLDIQKKEDPDIIFLSETKMVEKRLDWFRWKLGLTQMVARDCEGKSGGLALFWRRGLKVTVGMKSRFHIDAEIEGENGDKWRFTGIYGEPKQDERDNTWRLLRTICHHSDCPWLCAGDFNEILSQEEKEGGVACPQRCLDNFREALEECDLHDLGFIGDLFTWRNNNHTVEGYIRERLDRAVASTDWCLKFPDFRIIHGEPRHSDHRPLIISLGEAPLMSRGVGASKQFRFEARWLEEDACEAIVENAWRREVSGASTPISEAICGVAKDLSDWSVDSIGDIEKRISRLKKELEGCRRKAISQRSVNREKVLRVRIGSLENQRNLH* >Brasy9G065000.1.p pacid=40060105 transcript=Brasy9G065000.1 locus=Brasy9G065000 ID=Brasy9G065000.1.v1.1 annot-version=v1.1 MNYLRSRSLKRLLSLGRRSNPDEECADADAVVAVEPPTPPSKPTWRCFSYEEVDQATNGFHPDNVVGRGGYGEVYCGILEDGRAVAVKRLASSSAAAADEKKEKDFLTELGTVGHVRHPNVSCLLGCCLDRGLHLVFEFSTRGSVSANLHDEKRPVMTWAQRHGVAVGTARGLRYLHKGCARRIIHRDIKASNILLDADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHMSLLAWAKPYLNDGVVQGLVDPRLGDDGYDAAQLRRLMFVASLCARSAAAWRPTMTEVLELLESGEISQERWRMPEEAVEDEFWDFDDLDDFDDDDYDDESDTPSIPSSACSIHASD* >Brasy9G034700.1.p pacid=40060106 transcript=Brasy9G034700.1 locus=Brasy9G034700 ID=Brasy9G034700.1.v1.1 annot-version=v1.1 MREVAVVSKSSPVVVVGADDRQSGSGTIDLSSFDRSILPLPVTLVLVFDRPIKDPVESIKNALSQSLAVGHYHPMAGRLTADGGAIACTGEGVSFVGASASCALDEHLSWCHPVVDPLLLMQVTEFSCGGFVVGVTWNHIMADGAGMAQFLRAVGEFARGVSPSVVPVRSSSLLPRLPPSIVAAQRAMMAVASKEMASLDITIPSSLIGRIKAEWDQEDGHDHEQPCTVFEAITALVWRCRARAAVAEDDEPAPLAFLSNVRRLLGAEDGYYGNCLSVQLVQATSGAVASSGINDLVKLIKRAKERKPDQLLFKDGGGDQQQPRVGWYDTLLVSSWRNLGFEAAEFGGGRPARVMWQQEQTVAPICMVCPPCKGEGRRQPLHAGPYLQFKARPVCNIQHGALIYIGRC* >Brasy9G275900.1.p pacid=40060107 transcript=Brasy9G275900.1 locus=Brasy9G275900 ID=Brasy9G275900.1.v1.1 annot-version=v1.1 MAKSSSAAAPGSKKRKSKSGALTHEEVKSLGYELLSSRAHLNHAPVLLALLSPSAPLNLALEALISLQSFFEPLLPSIPSSSAATAAAGGASSDPELVFGSWLRQRFDEFVAALVELSVSPHSDDAIRDVALDAFMNFVKLGKDGRFHSAIYHKFLHAVVHAPDSIDLLLELLGSKYFKYADVCYFTYTSLDKITNSLSSETTGSNKDGSQNGDDGSEDRVVICIRNIYNILVHIRTLDFQKESKFDMWSTVGLSSKGEKDFSEGSSATNINKKLKVKFTKAWLAFLKLPLPLDVYKEVLATIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHSLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDACLKSSYVPAYLAASFAKRLSRLTLSVHPAGALIIIALIHNLLRRHPSINFLVHREIAQNDTSGEASQPKKIGADPFNNEETDPEKSGAMRSSLWEIDTLRHHYTPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFQDEVRRRIKQVPLAFYRTTPSSLFQESDFPGWTFGYQSNIVAQASLEGNESRTVETSKGSPAKRSRVET* >Brasy9G333500.1.p pacid=40060108 transcript=Brasy9G333500.1 locus=Brasy9G333500 ID=Brasy9G333500.1.v1.1 annot-version=v1.1 MAGSNDHCAERGREKVSFPNDSPAVDNNAGHGDGGEARKQRQRPETKELRDARRGSQNNRPFPSVGR* >Brasy9G210800.1.p pacid=40060109 transcript=Brasy9G210800.1 locus=Brasy9G210800 ID=Brasy9G210800.1.v1.1 annot-version=v1.1 MLFQNETQNTESACPTQIRTSPAPEPGRMPKQGGNRGFWPCCARRPHASLVATHSHSSSYLGSASRLPSSSLLLPAPSHRTQTNSNLPTTTTPRRTVPSLRHSMQPPSSGGDAREADALLARSDSAGRRRRASPVQSASPAPQGWGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMTQLLALAVYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDNTRPCPVMAFVTGGAWIIGYKAWGALLGRRLADRGIIVACIDYRNFPQGTISDMVADASQGISFVCNNIASYGGDPNQVYLMGQSAGAHIAACALMEQAVKESSGQPISWSVTQIKAYFGLSGGYNIYNLVDHFHQRGLYRSIFLSIMDGEESLSCYSPEIVVKESSPQTIALLPPIVLMHGTDDYSIPSSASQTFVDVLQQVGAQAKLLLYEGKTHTDIFLQDPLRGGKDPLVEDVLSVIHTDDATRRNIASAPTPRRLVFEWQLQLARRISPF* >Brasy9G210800.2.p pacid=40060110 transcript=Brasy9G210800.2 locus=Brasy9G210800 ID=Brasy9G210800.2.v1.1 annot-version=v1.1 MLFQNETQNTESACPTQIRTSPAPEPGRMPKQGGNRGFWPCCARRPHASLVATHSHSSSYLGSASRLPSSSLLLPAPSHRTQTNSNLPTTTTPRRTVPSLRHSMQPPSSGGDAREADALLARSDSAGRRRRASPVQSASPAPQGWGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMTQLLALAVYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDNTRPCPVMAFVTGGAWIIGYKAWGALLGRRLADRGIIVACIDYRNFPQGTISDMVADASQGISFVCNNIASYGGDPNQVYLMGQSAGAHIAACALMEQAVKESSGQPISWSVTQIKAYFGLSGGIMDGEESLSCYSPEIVVKESSPQTIALLPPIVLMHGTDDYSIPSSASQTFVDVLQQVGAQAKLLLYEGKTHTDIFLQDPLRGGKDPLVEDVLSVIHTDDATRRNIASAPTPRRLVFEWQLQLARRISPF* >Brasy9G210800.5.p pacid=40060111 transcript=Brasy9G210800.5 locus=Brasy9G210800 ID=Brasy9G210800.5.v1.1 annot-version=v1.1 MPKQGGNRGFWPCCARRPHASLVATHSHSSSYLGSASRLPSSSLLLPAPSHRTQTNSNLPTTTTPRRTVPSLRHSMQPPSSGGDAREADALLARSDSAGRRRRASPVQSASPAPQGWGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMTQLLALAVYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDNTRPCPVMAFVTGGAWIIGYKAWGALLGRRLADRGIIVACIDYRNFPQGTISDMVADASQGISFVCNNIASYGGDPNQVYLMGQSAGAHIAACALMEQAVKESSGQPISWSVTQIKAYFGLSGGYNIYNLVDHFHQRGLYRSIFLSIMDGEESLSCYSPEIVVKESSPQTIALLPPIVLMHGTDDYSIPSSASQTFVDVLQQVGAQAKLLLYEGKTHTDIFLQDPLRGGKDPLVEDVLSVIHTDDATRRNIASAPTPRRLVFEWQLQLARRISPF* >Brasy9G210800.3.p pacid=40060112 transcript=Brasy9G210800.3 locus=Brasy9G210800 ID=Brasy9G210800.3.v1.1 annot-version=v1.1 MLFQNETQNTESACPTQIRTSPAPEPGRMPKQGGNRGFWPCCARRPHASLVATHSHSSSYLGSASRLPSSSLLLPAPSHRTQTNSNLPTTTTPRRTVPSLRHSMQPPSSGGDAREADALLARSDSAGRRRRASPVQSASPAPQGWGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMTQLLALAVYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDNTRPCPVMAFVTGGAWIIGYKAWGALLGRRLADRGIIVACIDYRNFPQGTISDMVADASQGISFVCNNIASYGGDPNQVYLMGQSAGAHIAACALMEQAVKESSGQPISWSVTQIKAYFGLSGGYNIYNLVDHFHQRGLYRSIFLSIMDGEESLSCYSPEIVVKESSPQTIALLPPIVLMHGTDDYSIPSSARILLGVEKILWLKMSCLLFTLMTQHAEI* >Brasy9G210800.4.p pacid=40060113 transcript=Brasy9G210800.4 locus=Brasy9G210800 ID=Brasy9G210800.4.v1.1 annot-version=v1.1 MLFQNETQNTESACPTQIRTSPAPEPGRMPKQGGNRGFWPCCARRPHASLVATHSHSSSYLGSASRLPSSSLLLPAPSHRTQTNSNLPTTTTPRRTVPSLRHSMQPPSSGGDAREADALLARSDSAGRRRRASPVQSASPAPQGWGGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMTQLLALAVYAILLMPGFLQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDNTRPCPVMAFVTGGAWIIGYKAWGALLGRRLADRGIIVACIDYRNFPQGTISDMVADASQGISFVCNNIASYGGDPNQVYLMGQSAGAHIAACALMEQAVKESSGQPISWSVTQIKAYFGLSGGIMDGEESLSCYSPEIVVKESSPQTIALLPPIVLMHGTDDYSIPSSARILLGVEKILWLKMSCLLFTLMTQHAEI* >Brasy9G321000.1.p pacid=40060114 transcript=Brasy9G321000.1 locus=Brasy9G321000 ID=Brasy9G321000.1.v1.1 annot-version=v1.1 MWDFCGTRDNQAPIHVDLVLVDEKGNSMYAEIPGAEAVKYKKLIKEHNVYALKKFLVSPNKQAYKPFPGQYMLRFTPWTVAEPKADVSNDFPKHVYHLTPFSDLSTRVT* >Brasy9G126400.1.p pacid=40060115 transcript=Brasy9G126400.1 locus=Brasy9G126400 ID=Brasy9G126400.1.v1.1 annot-version=v1.1 MVRKETSTSMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGHTTCPATMLPLPSTDLVPNLTLRSLIAHWSASAASCSPVAAGSAAFFAGGPSPAGLVRQVAASGADPSPALCELAAYLSDDDVDEFEKNALVGAGRAAETVASVLRRKGEKTVSVEGMEAAVRVLAAIVALDGIEDANKKRVAAGLAVDAAASAASLARVLRGGSGLEARIDAARLADFLLDNAAADAKAAVAESSELVAELIRLIGPVDEKGSLDKKAMGAGLSCLATISGLRRGARAEMVRLGAVSAAVRALQATAEPGASAKALRILESAVGCAEGRAELCKDAEETVPAVLDKMMKSGRDGAEAAVAVLWAVCHKYKDRRAADAAATSEGGLTRLLLLLQSGCSPPARQMAVELLKIYKVNAKSCLAGYDSKTTHIMPF* >Brasy9G073500.1.p pacid=40060116 transcript=Brasy9G073500.1 locus=Brasy9G073500 ID=Brasy9G073500.1.v1.1 annot-version=v1.1 MPPQEIEIEANNVDAAVEEILGILEGRSQRNVIYFSGWAGFGVSATLKLVAQRLKSSTGPNKFDKVIHVDCSVWKGRRALQKAIAEELDLPQSVMAIFDWHDEDDDFNGVDQGSRDEVGEVWEHIFRSSFASKFAVIFHNGSNGYVDLSEFGIPVYPYLSNKILWTFDGRSRNHFLAASTTRDEKEVQKVLGNTNVSLYCHFTVDVNELDSAMWGIVHEDAKDVAERIGMPKYINHKIVEECFMYMLPPQKMKGIDWETHAFNYWVCDGILQGDTSAWEISDAMQSYMHLDWNFHEEWTGEASFSTTLHLHRDVPRQLPICCSSSSEISNVPPEATSFFAADTILLVGMFQHPAGSSISLRVLHASFCKFSFASPPFLCCSNLRFLLLDNCEDKDDLTNVVHVEHGSRSELQSGCFRRLWVLDLRHTHWYQLLSEEMTSFMADLRELNVEGVNEKGKNWSITDLCGCGTSLVKLRVIVDPIPTEDEETSENNLKMVMPPSLQSFSFINKAATTAKISSISFQGCSQLKSILLRGFLGSLEELDLSGTAVKTLDLREVQAPNLKRLILLGCEKLCAILWPPVNKRTRVLKVLHINTIRSASPSQPNCEESTKKASAATRSLSIHNVATTQQGIGQTAPFDFNWYISVRDVRLLRSIVPVENYIWPVCVHMEMDSPPTSSISGGGSKVAQGIGSLKQPDKYLYARDAIFLGHLQATAGNEGAISWMWDCPTSPTPTGQDWYVHTQDEVEMKSRLLHQPGPGSTEETISSAALVPAFICDNARMMHVHDSLAITCIPCQQSSKWYCLKWCRVERCPKIRSVFATPQESGTTNIFWFLATLWASQLPKACYIWNWSAIRQLGERSFQHLVFLHLDYCPRLIHVLPLSEHMFTLRSLETLEIVCCGNLREVFPLDPKRQEGQKIIKFPKLRQIHLYELPMLQHICGSKMSASKLETVKIRGCWSLRRLPAVKGNTTKRPKVDCEKDWWDNLEWDGLAANHHPSLYELTHSMYYKKAQLPRGPLLT* >Brasy9G302300.1.p pacid=40060117 transcript=Brasy9G302300.1 locus=Brasy9G302300 ID=Brasy9G302300.1.v1.1 annot-version=v1.1 MPRKIFSGPDCDGEYDDYDEYEDDYYDSDEAGQGDIQPPVKEKESLKNSSNKVPVLWKCSMCTFDNHETMVYCEMCGVFRESFVKSAKDGLIKGSINGVSSDSGTYAVSSSDSAKIPAKTGTTNFHGDSEKKYASTSNDKVNSTQLASVGSSSGTGRKKQPVIRPEEVVPVERTSQLIADHFQPKEDQSSRASSSAQNKDSMQTLSSSIGQLSIEKNNINVTKPYLLEDYKAEEWMLADQESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKNEKEAKEKGKGSFAYAWAMDESTEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMNGAGGISIGQTKEHAQLIRSFGVEQLIVAVNKMDAIEYSKERLEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIQPPSDARFTSWYRGSCLLDAIDSLQRPSRDVTKPLILPICDVIKSQSTGQLAAFGKLEAGAIRNGSKVLVLPFGQEATVKTIERDSIPCSIARAGDNVSVCLQGVDGNRIIPGGVLCHPGFPVLVADYLELKIRVLDITIPILIGYQVEFHIHHVKEAAKITKIVALLDKTGKPSKTAPRFLKSKQSAVVQVKLDGAVCVQEFSKCRALGRAFLRSSGSTIAVGIINKILGQDQN* >Brasy9G253200.1.p pacid=40060118 transcript=Brasy9G253200.1 locus=Brasy9G253200 ID=Brasy9G253200.1.v1.1 annot-version=v1.1 MHRMGNFQSENLREGEEMLFTTRCSMTGIKMLHNLQFQDQHLDRCVFDNGSVHVEEIKWKQKNSRCSAHNERAMYFVGGCKHKTRGKSLLGKQKARF* >Brasy9G282400.1.p pacid=40060119 transcript=Brasy9G282400.1 locus=Brasy9G282400 ID=Brasy9G282400.1.v1.1 annot-version=v1.1 MSGAVASSSAAFLLLVATSSSSLRRRRSRVGTAVSSYGAAGTRLHWARRGFARDGAVLCAAAAGGGEEGAAEAPAAGGESSKGVSVQRGKVKAAKNRDPASSPKAVTSAMKQDSSVAKQNGAAVSRAESGAQVAESTAASGYWKDVVVAEPVEAKVDTGGDAEVSTSAADDSENKGSYVPLAGPNVMNVVVVASECAPFCKTGGLGDVVGALPKALASRGHRVMVVIPKYGDYAEACDLGVRKHYKVAGQESEVTYFHSYIDGVDFVFLEAPPFRHLHNDIYGGKRTDVLKRMILFCKAAVEVPWYAPCGGVVYGDGNLVFIANDWHTALLPVYLKAYYRDNALMQYARSVLVIHNIAHQGRGPVEDFFDMDLPGHYIDHFKLYDPTGGEHNNVFAAGLKMADRVVTVSHGYMWELKTMEGGWGLHDIINQNDWKLHGIVNGIDTAEWNPEVDTHLHSDDYTNYTFETLDAGKRQCKAALQQELGLQVRDDVPVIGFIGRLDRQKGVDLIAEAMPWITQQDVQLVMLGTGRADLEDMLRRFEGEHRDKVRGWVGFSVKMAHRITAGADVLLMPSLFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGGTGLGWTFDRADAGRMIDALGHCLNTYWNYKESWRGLQQRGMSQDLSWDHAAELYEDVLVKAKYQW* >Brasy9G186900.1.p pacid=40060120 transcript=Brasy9G186900.1 locus=Brasy9G186900 ID=Brasy9G186900.1.v1.1 annot-version=v1.1 MRLLHGIVRLLVLVFMVVVSSSVDQAVGVGAIRLHDRRTHGEQWAEERKQMRSYMTMDYSPVHRRTPKHN* >Brasy9G143600.1.p pacid=40060121 transcript=Brasy9G143600.1 locus=Brasy9G143600 ID=Brasy9G143600.1.v1.1 annot-version=v1.1 MLPERMTKRACAGVLADTDASDRLSALPDVLIHAVLSFLPARQLVQTTVLSRRWRDLWCSVPFINIDEREFEIGAGRGVAAKKWNKFEKFTTNLLLYHSNAVALDKFQIYAHEHNHRDVDKWIRRGIKYCPAVLNISILNHDAAFIMPPLGSSVCNLRRLHLYNVSLDRRFAELICSDCHALEGLELVECCNYFQDITSQTLKNLHMDSCVHYDTHPVVIMAPRLVSLFLGITDSCYRGGVTLHETASLVKASIGIQSWEFFPAKNQHSLLGSLSSVITLKLHGFQTTAMLIDTEEFDEFPMFPDMRTLSLGACFLDDDECDLNGKMEALGSFIQNVPCLEKLTLLCCMFDGNSEMEWDIKRKSINLKQHDQKAFHCEKLKVVEVIYKDDHDHRLVELLWRVTKSLPDASITLTKI* >Brasy9G143600.2.p pacid=40060122 transcript=Brasy9G143600.2 locus=Brasy9G143600 ID=Brasy9G143600.2.v1.1 annot-version=v1.1 MLPERMTKRACAGVLADTDASDRLSALPDVLIHAVLSFLPARQLVQTTVLSRRWRDLWCSVPFINIDEREFEIGAGRGVAAKKWNKFEKFTTNLLLYHSNAVALDKFQIYAHEHNHRDVDKWIRRGIKRFAELICSDCHALEGLELVECCNYFQDITSQTLKNLHMDSCVHYDTHPVVIMAPRLVSLFLGITDSCYRGGVTLHETASLVKASIGIQSWEFFPAKNQHSLLGSLSSVITLKLHGFQTTAMLIDTEEFDEFPMFPDMRTLSLGACFLDDDECDLNGKMEALGSFIQNVPCLEKLTLLCCMFDGNSEMEWDIKRKSINLKQHDQKAFHCEKLKVVEVIYKDDHDHRLVELLWRVTKSLPDASITLTKI* >Brasy9G184400.1.p pacid=40060123 transcript=Brasy9G184400.1 locus=Brasy9G184400 ID=Brasy9G184400.1.v1.1 annot-version=v1.1 MSAPMEISFDPQPSHPAVLVSSSSPPLEPAAATVVAAATVSSSPQPTAVAVAASSPADDKVLVSVEVLLHATSTARVEDVRVAVERMLETRSLSYVDGPVPIPSDNPFLLKNVKKIQICDTDEWVENHKVLLFWQVKPVVHVFQLSEDGPGEEPSEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKAWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELFRVGILTYRQGGDLPCILSYSTLKEKLHCPEASEPHTLHLSKLLHEGAELCDGLSGRSLRKLPFLAHASVPNPSCCDASSFLHTLIQTARRELLESRG* >Brasy9G184400.2.p pacid=40060124 transcript=Brasy9G184400.2 locus=Brasy9G184400 ID=Brasy9G184400.2.v1.1 annot-version=v1.1 MSAPMEISFDPQPSHPAVLVSSSSPPLEPAAATVVAAATVSSSPQPTAVAVAASSPADDKVLVSVEVLLHATSTARVEDVRVAVERMLETRSLSYVDGPVPIPSDNPFLLKNVKKIQICDTDEWVENHKVLLFWQVKPVVHVFQLSEDGPGEEPSEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKAWPNVIILTTSNITTAIVCRYCIC* >Brasy9G198000.1.p pacid=40060125 transcript=Brasy9G198000.1 locus=Brasy9G198000 ID=Brasy9G198000.1.v1.1 annot-version=v1.1 MGSSLQNESHDSSAPSPPRRPRAPHRPPALPSHLRSAAPPSRASAHRPRSPFIDLRRPPSRRPAHHAVEDRRCLLHHYHLGREVEALPSPDLLRLTRLPPPSRCLASPPPPSLLSRVARARLRRLAPSHACRPRAPANQRPTSAARRPARLQPSPSPPPTVD* >Brasy9G355600.1.p pacid=40060126 transcript=Brasy9G355600.1 locus=Brasy9G355600 ID=Brasy9G355600.1.v1.1 annot-version=v1.1 MGARLSKVTSWCCFRGQHHGSTRLESTDTVEEEQGEAYELPAFQEYSFEQLRLATAGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWENQAMKWPMRLRVVLYLSEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLAGQFSNEEGTELVRLASRCLHYEPRERPNVRSMVQALTPLQKDVETPSYELMDMPQGGASSMQSLTLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLTSKKKGDNAFRQKDFTAAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDMAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEALKDGSAQETSSSSGR* >Brasy9G075300.1.p pacid=40060127 transcript=Brasy9G075300.1 locus=Brasy9G075300 ID=Brasy9G075300.1.v1.1 annot-version=v1.1 METNSPSSAEEGSGSGGGAHVLLLAFPGAQGHLNPLLQFGRRLAYHGLRPTFVTTRYLLSTVPPPAGPFRVAAISDGFDAGGMAACSTGFGDYGRRLAAAGSETLEALFRSEAAAGRPVRALVYDPHLPWAARVARAVGVRTAAFFSQPCAVDVIYGEVWSGRVGLPIKDGSALRGLLSVELEPEDVPSFVAAPDSYRLFLDAVVGQFEGLEDANDVFVNSFHELEPKEADYLSSTWRVKTIGPTLPSFYLEDDRLPSNKTYGFDLFDSTAPCMAWLDSQPPCSVVYASYGTVADLNLAQLEEIGNGLCNSGKQFLWVVRAVDEHKLSEELRGKCNERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIGTGVPLLAMPLWTDQPTTAKYVESAWGIGVRVRRDNEGVVRKEEVERCIREVLDGERKEEYRKNATRWMKKAKEAMQEGGSSDKNIAEFAARYASS* >Brasy9G075300.2.p pacid=40060128 transcript=Brasy9G075300.2 locus=Brasy9G075300 ID=Brasy9G075300.2.v1.1 annot-version=v1.1 METNSPSSAEEGSGSGGGAHVLLLAFPGAQGHLNPLLQFGRRLAYHGLRPTFVTTRYLLSTVPPPDGSALRGLLSVELEPEDVPSFVAAPDSYRLFLDAVVGQFEGLEDANDVFVNSFHELEPKEADYLSSTWRVKTIGPTLPSFYLEDDRLPSNKTYGFDLFDSTAPCMAWLDSQPPCSVVYASYGTVADLNLAQLEEIGNGLCNSGKQFLWVVRAVDEHKLSEELRGKCNERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIGTGVPLLAMPLWTDQPTTAKYVESAWGIGVRVRRDNEGVVRKEEVERCIREVLDGERKEEYRKNATRWMKKAKEAMQEGGSSDKNIAEFAARYASS* >Brasy9G220300.1.p pacid=40060129 transcript=Brasy9G220300.1 locus=Brasy9G220300 ID=Brasy9G220300.1.v1.1 annot-version=v1.1 MHPTRLALTAPSPLPTIPSRSHLAVPGPKSKPNPLNPAPNPSAAAAMLRRLASAAAPRAFFSSSPAAGYTPRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPAKPIHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIESIEKCMERDMFMDPVEAHSWGLIDEVIEHRPVSLVSDAVASDPPSTGGAGGGENKGTEEPSPA* >Brasy9G083400.1.p pacid=40060130 transcript=Brasy9G083400.1 locus=Brasy9G083400 ID=Brasy9G083400.1.v1.1 annot-version=v1.1 MQVHCDLAWWVGLLLGAVPLLALAVWHCNDAFYQAAFALRRRHHGRLPPGHMGIPFVGETAALIWYFKIIRRPDSFIAAKKSKYGEGVGMYRTHLFGSPTIIVCLPDVNKFVLHSPDSFGVSWPSPELVGLASMLNVEGSKHTRIRSFVLAAFSQPRFLRNIARVAQPRIVAALQSWAAKGTIVAAAEIRKVMSETICEIFISMKPSPLTEKMDKWYVGLLGGVRALPLDLPGTSYNHARKCRRKLNMVFQVELEKRKRANGAQSEEEDYDDLMSGLMQMEDEHGMKLSDEEVVDNIVSLVLGGYESTSSAILWAVYHLAKSPDVLAKLRDENAAMSQGKKSNFIDRDDIYKMKYTAKVVEETIRVANIASMVFRVTRQNDVEYGGYTIPRGWQVAVWLRSIHTDEKYYTDPLTFNPDRWDKPPKAGTNQLFGGGYRTCPGNMLARLNITIMLHHLSLGYEWELLNPDAGVNYFPTPMPVDGAPMTFRKLNTST* >Brasy9G083400.2.p pacid=40060131 transcript=Brasy9G083400.2 locus=Brasy9G083400 ID=Brasy9G083400.2.v1.1 annot-version=v1.1 MQVHCDLAWWVGLLLGAVPLLALAVWHCNDAFYQAAFALRRRHHGRLPPGHMGIPFVGETAALIWYFKIIRRPDSFIAAKKSKYGEGVGMYRTHLFGSPTIIVCLPDVNKFVLHSPDSFGVSWPSPELVGLASMLNVEGSKHTRIRSFVLAAFSQPRFLRNIARVAQPRIVAALQSWAAKGTIVAAAEIRKVMSETICEIFISMKPSPLTEKMDKWYVGLLGGVRALPLDLPGTSYNHARKCRRKLNMVFQVELEKRKRANGAQSEEEDYDDLMSGLMQMEDEHGMKLSDEEVVDNIVSLVLGGYESTSSAILWAVYHLAKSPDVLAKLRVVEETIRVANIASMVFRVTRQNDVEYGGYTIPRGWQVAVWLRSIHTDEKYYTDPLTFNPDRWDKPPKAGTNQLFGGGYRTCPGNMLARLNITIMLHHLSLGYEWELLNPDAGVNYFPTPMPVDGAPMTFRKLNTST* >Brasy9G083400.3.p pacid=40060132 transcript=Brasy9G083400.3 locus=Brasy9G083400 ID=Brasy9G083400.3.v1.1 annot-version=v1.1 MQVHCDLAWWVGLLLGAVPLLALAVWHCNDAFYQAAFALRRRHHGRLPPGHMGIPFVGETAALIWYFKIIRRPDSFIAAKKSKYGEGVGMYRTHLFGSPTIIVCLPDVNKFVLHSPDSFGVSWPSPELVGLASMLNVEGSKHTRIRSFVLAAFSQPRFLRNIARVAQPRIVAALQSWAAKGTIVAAAEIRKVMSETICEIFISMKPSPLTEKMDKWYVGLLGGVRALPLDLPGTSYNHARKDENAAMSQGKKSNFIDRDDIYKMKYTAKVVEETIRVANIASMVFRVTRQNDVEYGGYTIPRGWQVAVWLRSIHTDEKYYTDPLTFNPDRWDKPPKAGTNQLFGGGYRTCPGNMLARLNITIMLHHLSLGYEWELLNPDAGVNYFPTPMPVDGAPMTFRKLNTST* >Brasy9G256600.1.p pacid=40060133 transcript=Brasy9G256600.1 locus=Brasy9G256600 ID=Brasy9G256600.1.v1.1 annot-version=v1.1 MDLRNARWTAPSLCLVLVILQASISACAGAPKTYIVQMAASEMPSSFDYHHEWYASTVKSVSSAQPEAEAGDDDAYTRIVYNYETAFHGFAARLDEDEAERMAEAAGVLAVLPETVLQLHTTRSPDFLGIGPEISNSIWAAGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTKDCNRKIIGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDAGLFGYARGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVSDGVDVLSISLGGGASPYYRDSLSIASFGAMQMGVFIACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGRQNLSPRQQYPVVYMGGNSSIPDPRSMCLEGTLEPRDVAGKIVICDRGISPRVQKGQVVKEAGGIGMILTNTAANGEELVADSHLLPAVAVGESEGTAAKKYSKTAPKPTATLSFAGTKLGIRPSPVVAAFSSRGPNYLTLEILKPDVIAPGVNILAAWSGDASPSSLSSDRRRVGFNILSGTSMSCPHVAGVAALLKASHPDWSPAQIKSALMTTAYVHDNTYRLLKDAATGKASTPFQHGAGHIHPLRALSPGLVYDIGQGGYLEFLCTQDLTPMQLKAFTKNSNMTCKHSLSSPGDLNYPAISAVFTDQPSVPLTVHRTVTNVGPPSTYHVKVTKFKGADVVVEPNTLHFSSSNQKLAYKVTLRTKAAQKTPEFGALSWSDGVHIVRSPLVLTWLPPM* >Brasy9G272100.1.p pacid=40060134 transcript=Brasy9G272100.1 locus=Brasy9G272100 ID=Brasy9G272100.1.v1.1 annot-version=v1.1 MGAGQSVPADAAAAAAATTASVSQIEKVTSVHEFTIREYSRTRGMGVGKSVLSQCFDVDGRRWYVRFYPDGYCTADAAWVAFYAQTLYKPQLRAVRAEFSFALLNAAGDPAYTRRSDRACKYDTLCNSWGIRRFIARTELEGAALGAVHGDDSITVRCTVTVHKDRRRSLKSRRLFNHHGLPAVPEAPPSCHAANSLRFLATGKAPFDVRFDLGGEVFEAHRMVVAAQSPWFESLLYGHGSESRSNTVEITADTDDAITPAAFAGVLYYIYHDELPDEAAAGDKASAWRRKKAFEFTMDLFAAADYCLIERMKLMCAGRLCEFVADDNVEMLVQLAELHSCEELEQACRNYADFKGISLVPMSQIPATTAPTTGPDAVDPDAVPATG* >Brasy9G270200.1.p pacid=40060135 transcript=Brasy9G270200.1 locus=Brasy9G270200 ID=Brasy9G270200.1.v1.1 annot-version=v1.1 MARPKGKKRAAAADAEAEEAKAPAVRGRPKKSAKTEPKPPAAVARGRPKPANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPCKLNWDCFS* >Brasy9G270600.1.p pacid=40060136 transcript=Brasy9G270600.1 locus=Brasy9G270600 ID=Brasy9G270600.1.v1.1 annot-version=v1.1 MEAVLQAGDAEDWVYKGEGAANLILSYTGSSPSMLGKVLRAKKILNDKAQPAPNCVVFSSFEQLLWGEIPELVESVKQDCLPQAYAVHVMSQHLGANYVDGGVRVRVSKDFLEIVGKNVLSARPAWRVNASAVDTSADSALLISDHSLFSGKSRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHQGEISKTSEYDPLDLFSGSKERIHTAIKSFFSTPQNNFRIFVNGSLVFGGMGGGADKVHPNETEKCLEDLSKVSGLQLSDFIELLSEAIYKSGVLDKLLATQKLDDHDIEGAIHLYYNITSQPCLVCKNITDAELLRKYALLHSLSLDKSCKIVRDLLISATAKDCSLMMSFQPRECETTDSEYDSVFLESVNRIYDYKANFVDLDVKPLDKMVHYFKLDQKIVNFYTKHGEVGRVACDSSKGGGSTDGTKVQLQH* >Brasy9G270600.2.p pacid=40060137 transcript=Brasy9G270600.2 locus=Brasy9G270600 ID=Brasy9G270600.2.v1.1 annot-version=v1.1 MEAVLQAGDAEDWVYKGEGAANLILSYTGSSPSMLGKVLRAKKILNDKAQPAPNCVVFSSFEQLLWGEIPELVESVKQDCLPQAYAVHVMSQHLGANYVDGGVRVRVSKDFLEIVGKNVLSARPAWRVNASAVDTSADSALLISDHSLFSGKSRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHQGEISKTSEYDPLDLFSGSKERIHTAIKSFFSTPQNNFRIFVNGSLVFGGMGGGADKVHPNETEKCLEDLSKVSGLQLSDFIELLSEAIYKSGVLDKLLATQKLDDHDIEGAIHLYYNITSQPCLVCKNITDAELLRKYALLHSLSLDKSCKIVRDLLISATAKDCSLMMSFQPRECETTDSEYDSVFLESVNRIYDYKANFVDLDVKPLDKMVHYFKLDQKIVNFYTKHGEVGRVACDSSKGGGSTDGTKVQLQH* >Brasy9G127300.1.p pacid=40060138 transcript=Brasy9G127300.1 locus=Brasy9G127300 ID=Brasy9G127300.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy9G368700.1.p pacid=40060139 transcript=Brasy9G368700.1 locus=Brasy9G368700 ID=Brasy9G368700.1.v1.1 annot-version=v1.1 MVSGGSAAAAIGVGKKNKKSNKKQAVVAVLFFITLLICALIAVLIKTLQHRRNYLELLPPSTRTTSTSIPQPPYASSYQVDWDSIARVTAIGSSGRRRRVALLNFSPAEVKRWRRSAAAGAFRAVRLQAVDSGVTWADLYPEWIDEDKLNNSCPSFPEPHVPSSQPFHLVAVKLPCRRLNTSSSSSSSWITRDVGRLHLQLSAAKLAVSASASAEVLVVSECLPLPNLFPCKHLVRRHGHAWLYRARDSSYLRSRIRLPVGSCHLAQRLPSPDQHPPPSTIPSRRQAYATVLHSSDAYVCGAIATAQSIRLSGSTRDMVALVDHASISADQRAGLAAAGWQVRPMERIRNPHAVPGTYNEYNYSKLRLWQLLAGDYDVVVFVDADQLVLRNIDFLFSDNGGSGSSISATGNSGSLFNSGVMVLEPCLCTFEMLMASVQEVVSYNGGDQGFLNEAFVWWHRLPHALNVLKYNLQDQAAVESSCYVLHYLGIKPWMCLRDHDCNWDVPSMRRFASDHAHAMWWALHDRIKPRDLAATFCALPPSQHGRTAGPMNQTTVGNGLVATPTP* >Brasy9G298900.1.p pacid=40060140 transcript=Brasy9G298900.1 locus=Brasy9G298900 ID=Brasy9G298900.1.v1.1 annot-version=v1.1 MEQPEMEIELPAVVSEVLGDGNLLDLILLRLDVPVFLVLASRTNSFWRTRAADPYFLTCFRDRLALRPRPRPLGLYVSFVNQYPHDRTNPPELADVVSRAFFGRFGDNLDPGEESHVLDCRNGLILMNLRRRDPAGPYAVLGRLDPAPAVSLLPPLPSALVDDTVLRFLADYGRGGPLCLVVGIYCMPDNPAAMVRLAFLQNGYWADSISYDRLSAATLGYPVDKLFVRDNVYMLSSNRYIVRLDLASMRFLVMGLPDGIELDNWGTEVGANKQILSRAYDDFGIYFLQIKGLRLRVWVCKSDGDNAGTGMVEANISLPEAFGHLAKLIDDEGRDPDDEPDDRRYDVLRLLSAGDNADFVFLVDGNFYYRHIFYLDIRTRNVEEVYCRLGEDGEVIDISHFMMT* >Brasy9G343100.1.p pacid=40060141 transcript=Brasy9G343100.1 locus=Brasy9G343100 ID=Brasy9G343100.1.v1.1 annot-version=v1.1 MPAGGGSANGGNGAAPATPLHGSGSASAAASVNGDGYDSDGYNFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIQSSGKRGFFIKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKVTNDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRSWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKIVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLTALQILVEIGFVENPETCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARNQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSGSVTSTVSQNDVSQVDKPPHVETYEKRVQELSKEVDESQKKADQLRDELERKTKLEREMQEELEGLRDTLQSERHVIKEVTSERDKLKSLCDEKDSSLQVALVEKSRLETRLTSQGQENNKKIEVRDTLTTAGSLNSDIEMVTKLEEGLKSCQKELDASKEISKKLITERNLLEQQVQRLERAKSEEKSKMERVYEDECRKLKARAAELEQRLESTSRSLNVAESTLALRNVEVDKLQNTLKELDELREFKADVDRKNQQTVEILKRQGAQLVELESLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLTEKELAVRDKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDACTTQEDVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATSELFRVIKRDGNKYSFDLKAYMVELYQDNLVDLLLPKNATRQKLEIKKDSKGVVTVENVTLVNISSYEELRAIIARGSERRHTAGTNMNDESSRSHLILSIIIESTNLQSQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVISALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVAPKEIMRLKKLISYWKEQAGKRSEGDELEEIQEERISKEKTDTRLTS* >Brasy9G203600.1.p pacid=40060142 transcript=Brasy9G203600.1 locus=Brasy9G203600 ID=Brasy9G203600.1.v1.1 annot-version=v1.1 MMGSPVNRMAITRPLKKAGLVCVLAATAVASAAALLLLRLGCPASPKKLWSPLAAVEECDFFDGKWVWDDNYPLYESKDCPFVDAGFRCSENGRPDTAYTKWRWQPSRCDLPRFDAKIMLERLRNKRVVFAGDSIGRNQWESLLCMLSAGVSDKSSIYEVNGNPITKHMGFLIFKFGDYNCTVEYYRSPFLVPQGRAPAGAPKAATSTVRVDVMDWMSDRRRGKWGEADLLIFNSGHWWTYEKTIGSGSYFQEGDEVKMDMTVDDAYGRSIRTLFDWLHKEVDTSKTQTIFRTYAPRHFSGGGWNGGGRCQLETLPYVTLKSVERWADMLKPVNDVLGNDKRPKLPGLDILNVTQMTAQRKDGHLSVFSSPLRQDQNVLLNGTSETQRLEDCSHWCLPGIPDTWNELLYAVFMRRHMMLDQNVSLSG* >Brasy9G026400.1.p pacid=40060143 transcript=Brasy9G026400.1 locus=Brasy9G026400 ID=Brasy9G026400.1.v1.1 annot-version=v1.1 MRKREIEFNKTERPALRIRVRCDTAVIPGSSCRSCFPSSASWLVFSSFLLCWETVRRSPNVPRGHLLPYHPHPRLLPGRRRRAGRWPRSSERAPPPPPRYLFFSRRVGAAARSRSIGTRRAPARSIRIYIRPRRVYCVHPGHAHPIHHGRRPPPEPRRPPKSNLARSPTTSGAGCRSIISSAR* >Brasy9G338300.1.p pacid=40060144 transcript=Brasy9G338300.1 locus=Brasy9G338300 ID=Brasy9G338300.1.v1.1 annot-version=v1.1 MGSLGGEAAFFWGQWNIQILVILSFTLQVILLCCAGIRRREGSAPPRILLWLAYLMADYTAIYVLGHMSTTMRRSESSDNHRRQMVPFWAPFLLLHLGGPDTITAYAFEDNRLWLRHLLNLAAQVLGAAYVMYLFITAGRNPEGTLIAAAALMFVAGCLKYGERIWALKCGGIDSITSSVDDDGKPSGGAGGPYHGRAEGGGRLDTEEVLLGAHYMLSFCKGHLADWPVMQSFQYEAVRQGIQLNGGEYLFELAAMELSLMYDIIYTKAAVIHTWHGLCIRAVSSLAAVAAFVLFQLSRKGAYGSADVAVTYVLLVGAMALELVSSLRVAGSSWVCASFHARGWHRLCGAVMRLRRTLKAGARRTACLDSLGQYNLLDICTDADKDGSLLGKVCKMVGLGDRWKVMHYSSTVPISDGIKALVLEEIRKRKIDDLRNARGRWILKEEGMYEDLTRIADDTELDRSIIVWHIATDLYLSLCPDPDNDDDREIRDNIRVLSNHMLFLMVVHPYLLPGVVRTGRYKENLKYYDMVWWVNLRSTKEGTKKLSRPEIVKKIAEWQLPADSRHKYVYGIGDERADDVDDGPVYADGAWLAGMLHGNRWCLTPADVLRVIAGVWVEMLCYASHHCGQESHAKKLSTGGGFMNAVWLVLGHCTQYDRFALSGEMLTGGLGLSKPERKRKRPATRSHMMPPEADVGGVNRPRPPGVPLPPGVHPAYRPFFDVQARQTPATSSAEGHHQ* >Brasy9G310500.1.p pacid=40060145 transcript=Brasy9G310500.1 locus=Brasy9G310500 ID=Brasy9G310500.1.v1.1 annot-version=v1.1 MKAVPPTRPRASPPIPGVWVLAVAGFIFIALGYAYLHPPQHYTSPMRVWLPAEPDRELTDEERASRVVFRQILTAPPLMSRRSKPKIAFMFLTPGTLPFEKLWEEFFEGHEGKYTIYVHASREKPEHVSPLFIGRDVHSEKVVWGTISMVDAERRLLANALGDIDNQHFVLLSDSCVPLHNFDYIYNYLMGSNLSFIDSFYDPGPHGNFRYSKNMLPEVTEADFRKGSQWFSVKRQHALLTVADSLYYTKFKLYCKVRMEDGRNCYADEHYLPTLFHMMDPNGIANWSVTHVDWSEGKWHPKAYRAKDVSYELLKNITSIDMSYHVTSDSKKVVTENPCLWNGMKRPCYLFARKFYPESINNLMNLFSNHTRYRNR* >Brasy9G310500.2.p pacid=40060146 transcript=Brasy9G310500.2 locus=Brasy9G310500 ID=Brasy9G310500.2.v1.1 annot-version=v1.1 MKAVPPTRPRASPPIPGVWVLAVAGFIFIALGYAYLHPPQHYTSPMRVWLPAEPDRELTDEERASRVVFRQILTAPPLMSRRSKPKIAFMFLTPGTLPFEKLWEEFFEGHEGKYTIYVHASREKPEHVSPLFIGRDVHSEKVVWGTISMVDAERRLLANALGDIDNQHFVLLSDSCVPLHNFDYIYNYLMGSNLSFIDSFYDPGPHGNFRYSKNMLPEVTEADFRKGSQWFSVKRQHALLTVADSLYYTKFKLYCKPGMEDGRNCYADEHYLPTLFHMMDPNGIANWSVTHVDWSEGKWHPKAYRAKDVSYELLKNITSIDMSYHVTSDSKKVVTENPCLWNGMKRPCYLFARKFYPESINNLMNLFSNHTRYRNR* >Brasy9G116800.1.p pacid=40060147 transcript=Brasy9G116800.1 locus=Brasy9G116800 ID=Brasy9G116800.1.v1.1 annot-version=v1.1 MTKSERIRDGGTETERETKKKRYLSPSPSGEVHPPLCEPDDRAALQHDRPEMRGVGDDQDELSSFTPKSPISRPYIPDELNDPTAYPGIVAAFNEAHNKYEAKLRRRDDLFTLAHNGELAPSCLYHHPHLYPIRESAKKAVLHAAKSVIRLSSSVDGKPLANCCGLWIKWKEESKTGIILTTSHLIRTKQTENQWEGRDEYNIKANVIVHLLDDTTAEGHYLYHQEHYDLAFFEVRVDEPVQLLSFSGSVHSGQDVFQLGRDDSMTLRITHGRVEYWDPIIIERHHCMYLSHQKEDYPSHKKKHYFLSHQNNDDYLRDCGDDGGSIIDLDGKVAGLVNKHFRKSFVPSCILDKCVDLWSEFRCIPRLHLGMRFTSIKLLDPVHVEKMWRMYKIEDGLIVEEVSKESHAEKLGIYLGDVIERFNGECISTTIELENMLLGRCRDHLDQGNQLNVDIDVSIQVFHMEERLRRTINLTVKVSDGGEIVITRTHPITEATSTSVQSSQHVAELRRFLVSRASTQNVQPEAEVETVEPPPNVDGEFNPNQIERDPGKRKQIDEYPPDIQDHVRRAYILKGPMQPDIAKFRRTEFGNVTRAFWYFHWY* >Brasy9G233200.1.p pacid=40060148 transcript=Brasy9G233200.1 locus=Brasy9G233200 ID=Brasy9G233200.1.v1.1 annot-version=v1.1 MMPSARRVPPPCWTPDETLALARAYTARRLAVGRAHLASADWAAVAAEATPSKTARQCRHKIEKLRRRLRSKRRRPFPLLDGIDLLDDPSSSPSHSRSQSPSPPPPASPPPPSASPPMKRKRPDTAAESDVVKALKAIGEGFVRAELRRMEAARETQRMRMEMALRHLDAQRQLVDGLVGRIVDALE* >Brasy9G045700.1.p pacid=40060149 transcript=Brasy9G045700.1 locus=Brasy9G045700 ID=Brasy9G045700.1.v1.1 annot-version=v1.1 MGWGTILSRRLKVFSLALFVYLDYKAVQKRVQWVSTIKKNAIWSKTHERNARRVLSLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMGELFANFDIVPLATASIAQVHRATLEDGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSKNLSRKTESGSGSVSSAVDVLIPEVIQSTDRVLILQYMDGIRLHDNDSLEAYGVDKKKLVEEITRAYAHQIYIDGFFNGDPHPGNFLASKEPPHKPILLDFGLTKRISESMKQALAKMFLSCAEGDQVALLSAFAEMGLKLRVDMPQQSMEIASIFFRQSTTAIEAKENIKALNEQRERNAKALQEKMKLSKKEVKHFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGNVMRGPSTNTQWICDSPVNSEVESKLRNLLLEMGSDKILGIQVCAYKDGKVIIDTAAGSLGKYDPRPVQSDSLFPVFSVTKGITAGMVHWLVDKGKLKYDETVADIWPKFGTNRKELIKVHHLLNHTSGLHNALGDVFKTDPLLVCDWEETLQKIAKCTPETEPGSSQIYHYLSFGWLCGGLVEHASGKKFQEILEEAIVHPLQIEGELYIGIPPGVESRLAALTVDVEELQKLSGFRAGPDVPPELVSSIAQMASGVPALFNTLNVRRAIIPAANGHCSARALARYYAALAAGGAIPPPHSGNSKPPLGSHLHTPVFPTAEPKKKKKGSTKKGGGSSPEKGEYAQLRTSDADSEVSTAAVTGSAGTMFANSDILDAFMGVGDYSGMIYPNGKFGLGFRRYGRSGSEPTGFGHSGMGGSTGFCDPEHGFAIAVTVNRMSLGSPTRRVVRFVCEELGVPVPDEFSVSGEKGPDMVLNLAPPAPESNPV* >Brasy9G045700.2.p pacid=40060150 transcript=Brasy9G045700.2 locus=Brasy9G045700 ID=Brasy9G045700.2.v1.1 annot-version=v1.1 MGWGTILSRRLKVFSLALFVYLDYKAVQKRVQWVSTIKKNAIWSKTHERNARRVLSLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMGELFANFDIVPLATASIAQVHRATLEDGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSKNLSRKTESGSGSVSSAVDVLIPEVIQSTDRVLILQYMDGIRLHDNDSLEAYGVDKKKLVEEITRAYAHQIYIDGFFNGDPHPGNFLASKEPPHKPILLDFGLTKRISESMKQALAKMFLSCAEGDQVALLSAFAEMGLKLRVDMPQQSMEIASIFFRQSTTAIEAKENIKALNEQRERNAKALQEKMKLSKKEVKHFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGNVMRGPSTNTQWICDSPVNSEVESKLRNLLLEMGSDKILGIQVCAYKDGKVIIDTAAGSLGKYDPRPVQSDSLFPVFSVTKGITAGMVHWLVDKGKLKYDETVADIWPKFGTNRKELIKVHHLLNHTSGLHNALGDVFKTDPLLVCDWEETLQKIAKCTPETEPGSSQIYHYLSFGWLCGGLVEHASGKKFQEILEEAIVHPLQIEGELYIGIPPGVESRLAALTVDVEELQKLSGFRAGPDVPPELVSSIAQMASGVPALFNTLNVRRAIIPAANGHCSARALARYYAALAAGGAIPPPHSGNSKPPLGSHLHTPVFPTAEPKKKKKGSTKKGGGSSPEKGEYAQLRTSDADSEVSTAAVTGSAGTMFANSDILDAFMGVGDYSGMIYPNGKFGLGFRRYGRSGSEPTGFGHSGMGGSTGFCDPEHGFAIAVTVNRMSLGSPTRRVVRFVCEELGVPVPDEFSVSGEKGPDMVLNLAPPAPESNPV* >Brasy9G094800.1.p pacid=40060151 transcript=Brasy9G094800.1 locus=Brasy9G094800 ID=Brasy9G094800.1.v1.1 annot-version=v1.1 MAFSHLLLLLALALLLSSLAAAAPKDHLVTHLPGFHGALPSKHYSGYVTVDESNARRLFYYLVLSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFERGSTIGGLPNLQLNPYSWSKVSSMLYLDSPAGVGMSYSLNKSDYTTGDMKTAADAHIFLLKWFELYPEFQSNPFYISGESYAGIYIPMLADEIVKGTQKAMKPRINLKGYLIGNGATDKDYDFNSFVPFAHGMGLISIDLFEDVSTACDGTFWGKVNDLCQEKIDRVRWELKDLNKYNILAPCYHHPEIQEEVFMNSSLPSSFRKLGETERPFPVRKRMAGRSWPLRVAVSNGHVPMWPGLGGRSLPCTSDELATIWLDDEDVRAAIHAKPKSLIGSWELYTARIDYTTEVVSMVKYHKKFTAMGYRVLIYSGDHDLCIPFTGTEAWVRSLGYRVVDSWRPWYFGEQVAGYTQGYDHNLTFLTIKGAGHTVPEYKPKETLAFYTHWLSGERI* >Brasy9G094800.2.p pacid=40060152 transcript=Brasy9G094800.2 locus=Brasy9G094800 ID=Brasy9G094800.2.v1.1 annot-version=v1.1 MAFSHLLLLLALALLLSSLAAAAPKDHLVTHLPGFHGALPSKHYSGYVTVDESNARRLFYYLVLSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFERGSTIGGLPNLQLNPYSWSKVSSMLYLDSPAGVGMSYSLNKSDYTTGDMKTAADAHIFLLKWFELYPEFQSNPFYISGESYAGIYIPMLADEIVKGTQKAMKPRINLKGYLIGNGATDKDYDFNSFVPFAHGMGLISIDLFEDVSTACDGTFWGKVNDLCQEKIDRELKDLNKYNILAPCYHHPEIQEEVFMNSSLPSSFRKLGETERPFPVRKRMAGRSWPLRVAVSNGHVPMWPGLGGRSLPCTSDELATIWLDDEDVRAAIHAKPKSLIGSWELYTARIDYTTEVVSMVKYHKKFTAMGYRVLIYSGDHDLCIPFTGTEAWVRSLGYRVVDSWRPWYFGEQVAGYTQGYDHNLTFLTIKGAGHTVPEYKPKETLAFYTHWLSGERI* >Brasy9G289000.1.p pacid=40060153 transcript=Brasy9G289000.1 locus=Brasy9G289000 ID=Brasy9G289000.1.v1.1 annot-version=v1.1 MRCGGGSVGGGPKTKPMAARPVHPRYVPKRGAVLKRALRAVLSFFLSTMKHGPATASAAVGHAGR* >Brasy9G027300.1.p pacid=40060154 transcript=Brasy9G027300.1 locus=Brasy9G027300 ID=Brasy9G027300.1.v1.1 annot-version=v1.1 MAAAEGSGKGLEGGGGSEASEAPNGDLSADNSDPTTAAAAAAPMASSSTSSADNGNLHRSSTMPGVIKDAEITNETLAPSNLERSRTERRRQNNPADDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSIPSTLEATPVDVGGEDGYDVAVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGVPFNVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYIGFGSLPVQDPAKMTETIVQALEMTGQRGIINKGWGGLGTLAEPKDFVYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDLFSLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSRTEQNSPRPPSGFLEFLGPVGKCLGCS* >Brasy9G027300.2.p pacid=40060155 transcript=Brasy9G027300.2 locus=Brasy9G027300 ID=Brasy9G027300.2.v1.1 annot-version=v1.1 MAAAEGSGKGLEGGGGSEASEAPNGDLSADNSDPTTAAAAAAPMASSSTSSADNGNLHRSSTMPGVIKDAEITNETLAPSNLERSRTERRRQNNPADDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSIPSTLEATPVDVGGEDGYDVAVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGVPFNVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYIGFGSLPVQDPAKMTETIVQALEMTGQRGIINKGWGGLGTLAEPKDFVYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDLFSLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSRTEQNSPRPPSGFLEFLGPVGKCLGCS* >Brasy9G027300.3.p pacid=40060156 transcript=Brasy9G027300.3 locus=Brasy9G027300 ID=Brasy9G027300.3.v1.1 annot-version=v1.1 MAAAEGSGKGLEGGGGSEASEAPNGDLSADNSDPTTAAAAAAPMASSSTSSADNGNLHRSSTMPGVIKDAEITNETLAPSNLERSRTERRRQNNPADDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSIPSTLEATPVDVGGEDGYDVAVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGVPFNVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYIGFGSLVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDLFSLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSRTEQNSPRPPSGFLEFLGPVGKCLGCS* >Brasy9G027300.4.p pacid=40060157 transcript=Brasy9G027300.4 locus=Brasy9G027300 ID=Brasy9G027300.4.v1.1 annot-version=v1.1 MPGVIKDAEITNETLAPSNLERSRTERRRQNNPADDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSIPSTLEATPVDVGGEDGYDVAVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLGGDPKILAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGVPFNVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYIGFGSLPVQDPAKMTETIVQALEMTGQRGIINKGWGGLGTLAEPKDFVYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDLFSLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSRTEQNSPRPPSGFLEFLGPVGKCLGCS* >Brasy9G027300.5.p pacid=40060158 transcript=Brasy9G027300.5 locus=Brasy9G027300 ID=Brasy9G027300.5.v1.1 annot-version=v1.1 MPGVIKDAEITNETLAPSNLERSRTERRRQNNPADDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSIPSTLEATPVDVGGEDGYDVAVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGVPFNVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYIGFGSLPVQDPAKMTETIVQALEMTGQRGIINKGWGGLGTLAEPKDFVYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPPPIPVDLFSLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSRTEQNSPRPPSGFLEFLGPVGKCLGCS* >Brasy9G050400.1.p pacid=40060159 transcript=Brasy9G050400.1 locus=Brasy9G050400 ID=Brasy9G050400.1.v1.1 annot-version=v1.1 MGHTGDGKAVCGGGFSENGKFSYGYASCLGKRSSMEDFHETRIYGVDGETVGLFGVFDGHGGARAAEFVKQNLFSNLIKHPKFFTDTKSAIAETFTRTDSELLKADTSHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPITDAQEAAKKLLNEASRRGSADNITCVVVRFLDQPEEATATDKAS* >Brasy9G050400.2.p pacid=40060160 transcript=Brasy9G050400.2 locus=Brasy9G050400 ID=Brasy9G050400.2.v1.1 annot-version=v1.1 MGHTGDGKAVCGGGFSENGKFSYGYASCLGKRSSMEDFHETRIYGVDGETVGLFGVFDGHGGARAAEFVKQNLFSNLIKHPKFFTDTKSAIAETFTRTDSELLKADTSHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEKKTILLTGSCGHGEADN* >Brasy9G050400.3.p pacid=40060161 transcript=Brasy9G050400.3 locus=Brasy9G050400 ID=Brasy9G050400.3.v1.1 annot-version=v1.1 MGHTGDGKAVCGGGFSENGKFSYGYASCLGKRSSMEDFHETRIYGVDGETVGLFGVFDGHGGARAAEFVKQNLFSNLIKHPKFFTDTKSAIAETFTRTDSELLKADTSHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEVSTNSKSQNTNPRNKI* >Brasy9G368500.1.p pacid=40060162 transcript=Brasy9G368500.1 locus=Brasy9G368500 ID=Brasy9G368500.1.v1.1 annot-version=v1.1 MDSSSSSSSSTAQQPDFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKAPEADAAAGGCC* >Brasy9G208300.1.p pacid=40060163 transcript=Brasy9G208300.1 locus=Brasy9G208300 ID=Brasy9G208300.1.v1.1 annot-version=v1.1 MGNCGLKPKALGDDDAPPPPAEAEPQTPAATGEKEGEEAPAVEEPKQPAVPGEQSEEATIAAAEAEKPEDPKVQKESGGELPESTPATVVA* >Brasy9G062500.1.p pacid=40060164 transcript=Brasy9G062500.1 locus=Brasy9G062500 ID=Brasy9G062500.1.v1.1 annot-version=v1.1 MQLPRHNVLHPPHHRCRCPRPSVPHHHLARQEATTLATGTEAAASCTGASQSAGATFADLPAGTRSADSKAAASSTDASRRAAATWADSRATEVARRHTSSSVASRAPSWPWSPPYRRPPHRLQARKHRRIAERSGDLGGLAHGDALGRGFLSRSGALGGRDVEHPNTSEGSGFLGGLACGGAFRRDLSHGGAPACGTARGQGRRSGWRSRKRGAGRCGPRAGGGGVGAGGWVAAGAPHSSSSPVTVILLDAGEIDPANQLVSTPAIRKRPDSARVRGGGG* >Brasy9G086000.1.p pacid=40060165 transcript=Brasy9G086000.1 locus=Brasy9G086000 ID=Brasy9G086000.1.v1.1 annot-version=v1.1 MTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVEESVELFPTLARNGGDRSLRGTVVYYDGQMNDSRLNVGLACTSAVVGAAILNYAEVISLIKDESGERIIGARIRDALSGKEFDTFAKVVVNASGAFCDNVRKMANSDVVPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANDCVTDHLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVAAIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARRKLELQKGIEFLETFKSSKNAQFRDGKHNGQ* >Brasy9G154500.1.p pacid=40060166 transcript=Brasy9G154500.1 locus=Brasy9G154500 ID=Brasy9G154500.1.v1.1 annot-version=v1.1 MGIKGEVKGKSGELEIEQGKGVRGEAKGRDEVAPKLEGMGGALSFDIYCRVRFLESSWSRLFSSHSTTETTSLSPMHFTHNTTGCARYDSFAGSTLQMYSIQVTEIKDALKLQWPLDVYGMVAVRDAADGNRNFIFLRQRRKYQRLTQKDPCLHLTGPSRAIVFTDFVDFDIELKVKGRT* >Brasy9G348100.1.p pacid=40060167 transcript=Brasy9G348100.1 locus=Brasy9G348100 ID=Brasy9G348100.1.v1.1 annot-version=v1.1 MANIDMAAVLADLERDAAADARVPRTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEVTVTTDYDIKGDSTMISMSYKKLPVDVKPGHVILCADGTISLTVLSCDPEAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILEWGVPNDIDMIALSFVRKGSDLVTVRKLLGQHAKRIMLMSKVENQEGIVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNDAVFKEMIRSAPLPMSPLESLASSAVRTANKARATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLIPLLGEGSAKATDSESTEVILDAALKSAVEKQLCKAGDAVVVLHRIGMASVIKICTVK* >Brasy9G043900.1.p pacid=40060168 transcript=Brasy9G043900.1 locus=Brasy9G043900 ID=Brasy9G043900.1.v1.1 annot-version=v1.1 MMKQQQQQPAPAPPVSSAAGAAVTVASLAGPGCEGEKKAPVINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPNYPNLPSKLICLLHNITLHADLETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDERQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHADANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQGSSFANTAMQSEYLRSITNPSMQNIGSTDLSRQLLQNQLLQQNNIQFNTPKLPQQMQPNNDLSKAALPLNQLGVSTKLQEQTQDASNLQRQQQSMNYALPLSQAQTSIAQAQVLVQNQMQQQPMSQNQLPAASQPPLPQQQQHQQQQQQQKLLHQQQQQLLLQQQQLQQHNQNQQQLNKMPAQLPNLANQQLQLSDQEIQLQILQKLQQQQHSFLSQPGITLAQLPLIQEQQKLLLDMQQQLSNSHSLAQQQMMPQQSTKIPSQTTSMPLPMQPDTQRKLPQKQAVPADTSEAAVPPTTSLNFSSANGSPLRMPGATHSVVTEEIPSCSTSPSTANGNHLLQPGTGRNQYCNMINTEKVPQSTAPMSVPSSLEVVTGPPSMTKELPKLTSNVKQSMVASKLQNAGAGPQNFVDNAPPTDYLETASSATSVWLSQTDGLLHQSFPMSNFNQQPMFKDAPPDTEIHADVPSNNTLFGISNDGQVGFPMGTDDFLTNGLDAAKYQNHISTDIDNNYIIPKDAQQEISSSMVSQSFGASDMAFNSIDSGINDGTFFNRSSWPPAPPIKRMRTFTKVYKRGAVGRSIDISQYAGYDELKHALARMFSIEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKYIRILSPQEVQQMSLDGDLGSNIIPNQACSSSDGGGNAWRARCDQNSGNPSTGSYDQFE* >Brasy9G043900.2.p pacid=40060169 transcript=Brasy9G043900.2 locus=Brasy9G043900 ID=Brasy9G043900.2.v1.1 annot-version=v1.1 MMKQQQQQPAPAPPVSSAAGAAVTVASLAGPGCEGEKKAPVINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPNYPNLPSKLICLLHNITLHADLETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDERQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHADANNSPFTIFYNPRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQGSSFANTAMQSEYLRSITNPSMQNIGSTDLSRQLLQNQLLQQNNIQFNTPKLPQQMQPNNDLSKAALPLNQLGVSTKLQEQTQDASNLQRQQQSMNYALPLSQAQTSIAQAQVLVQNQMQQQPMSQNQLPAASQPPLPQQQQHQQQQQQQKLLHQQQQQLLLQQQQLQQHNQNQQQLNKMPAQLPNLANQQLQLSDQEIQLQILQKLQQQQHSFLSQPGITLAQLPLIQEQQKLLLDMQQQLSNSHSLAQQQMMPQQSTKIPSQTTSMPLPMQPDTQRKLPQKQAVPADTSEAAVPPTTSLNFSSANGSPLRMPGATHSVVTEEIPSCSTSPSTANGNHLLQPGTGRNQYCNMINTEKVPQSTAPMSVPSSLEVVTGPPSMTKELPKLTSNVKQSMVASKLQNAGAGPQNFVDNAPPTDYLETASSATSVWLSQTDGLLHQSFPMSNFNQQPMFKDAPPDTEIHADVPSNNTLFGISNDGQVGFPMGTDDFLTNGLDAAKYQNHISTDIDNNYIIPKDAQQEISSSMVSQSFGASDMAFNSIDSGINDGTFFNRSSWPPAPPIKRMRTFTKVYKRGAVGRSIDISQYAGYDELKHALARMFSIEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKYIRILSPQEVQQMSLDGDLGSNIIPNQACSSSDGGGNAWRARCDQNSGNPSTGSYDQFE* >Brasy9G257500.1.p pacid=40060170 transcript=Brasy9G257500.1 locus=Brasy9G257500 ID=Brasy9G257500.1.v1.1 annot-version=v1.1 MEGGPSLQPLLCLAWLAATLPIVAAALPIPAAAGGRLVHGLLSAFSARGKTVKPSSSSSSSKPVKSAKFTVPQKYFLHFYVVGVAVTTSLLLVICFYAYMKMTPLLPEPSSYSTIASHLVGSNSFSIGNVRSRTMEHKYRVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYTAAPLSLASSCLPEATYYLQGQIAEFIVKGRARMPDLVIDFSSLLKPLFKLGWSQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIPCGDWFSRLSCPHYLAELVIYLGMLIASGGSDISVWFLFIFVITNLSFAAVQTHRWYLQKFEDYPRSRYAIIPFVL* >Brasy9G112200.1.p pacid=40060171 transcript=Brasy9G112200.1 locus=Brasy9G112200 ID=Brasy9G112200.1.v1.1 annot-version=v1.1 MDPDVETLVEDDELAGGDGAEAERFEAEAEAELLRDRLRLAVINIATSEGKKAGMDVADPVVACIADLAFKSAEQLAKDVELFAQHGGRKSIRMEDVILTAHRNEHLMGQLRTFSQNLKGKEPCMGRKRKISSKKADKVTEI* >Brasy9G112200.3.p pacid=40060172 transcript=Brasy9G112200.3 locus=Brasy9G112200 ID=Brasy9G112200.3.v1.1 annot-version=v1.1 MDPDVETLVEDDELAGGDGAEAERFEAEAEAELLRDRLRLAVINIATSEGKKAGMDVADPVVACIADLAFKSAEQLAKDVELFAQHGGRKSIRMEDVILTAHRNEHLMGQLRTFSQNLKGKEPCMGRKRKISSKKADKVTEI* >Brasy9G112200.2.p pacid=40060173 transcript=Brasy9G112200.2 locus=Brasy9G112200 ID=Brasy9G112200.2.v1.1 annot-version=v1.1 MDPDVETLVEDDELAGGDGAEAERFEAEAEAELLRDRLRLAVINIATSEGKKAGMDVADPVVACIADLAFKSAAHRNEHLMGQLRTFSQNLKGKEPCMGRKRKISSKKADKVTEI* >Brasy9G005700.1.p pacid=40060174 transcript=Brasy9G005700.1 locus=Brasy9G005700 ID=Brasy9G005700.1.v1.1 annot-version=v1.1 MSKARNALVATGLLIFAGSGLAFPFFFVKSKSRPIIDSSKALPPQATFRGPYVNTGSRDIGPDPTEYPKK* >Brasy9G005700.3.p pacid=40060175 transcript=Brasy9G005700.3 locus=Brasy9G005700 ID=Brasy9G005700.3.v1.1 annot-version=v1.1 MSKARNALVATGLLIFAGSGLAFPFFFVKSKSRPIIDSSKALPPQATFRGPYVNTGSRDIGPDPTEYPKK* >Brasy9G005700.2.p pacid=40060176 transcript=Brasy9G005700.2 locus=Brasy9G005700 ID=Brasy9G005700.2.v1.1 annot-version=v1.1 MSKARNALVATGLLIFAGSGLAFPFFFVKSKSRPIIDSSKALPPQATFRGPYVNTGSRDIGPDPTEYPKK* >Brasy9G243100.1.p pacid=40060177 transcript=Brasy9G243100.1 locus=Brasy9G243100 ID=Brasy9G243100.1.v1.1 annot-version=v1.1 MYQQIWRFVPNAHSPLPPIHAGAGRSCWPAGRSAPIRRPALASAATQRICRPLAVCAQSASPEAGLRVDKFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESIGISADAVARSGESLALSEMHLKYVAPLRSGDKFVVKVRLASIKGVRMIFEHYIEKLPNRELMVEAKATAVCLNKDYRPARLPPEFLSRLQLFSSEESSV* >Brasy9G063800.1.p pacid=40060178 transcript=Brasy9G063800.1 locus=Brasy9G063800 ID=Brasy9G063800.1.v1.1 annot-version=v1.1 MMESHQIEMGAFKANGPQVPNGGMLSSVVQTWSLQRPVERVLQSIRTVIFTSKLNLLLPFGPATIILYYTTRMHGLIFLFSMLGITPLAERLGYATEQLAIYTGPTLGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVVGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVKQGASEVALSRFSSCVMLVAYASYLYFQLSGRNSSYSQIGSEEVPNEDATEEDEETEISMWEAITWLAVLTIWISVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGIANYLKGLMLILCYLIVAASFFVHVDPQSSDD* >Brasy9G063800.2.p pacid=40060179 transcript=Brasy9G063800.2 locus=Brasy9G063800 ID=Brasy9G063800.2.v1.1 annot-version=v1.1 MMESHQIEMGAFKANGPQVPNGGMLSSVVQTWSLQRPVERVLQSIRTVIFTSKLNLLLPFGPATIILYYTTRMHGLIFLFSMLGITPLAERLGYATEQLAIYTGPTLGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVVGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVKQGASEVALSRFSSCVMLVAYASYLYFQLSGRNSSYSQIGSEEVPNEDATEEDEETEISMWEAITWLAVLTIWISVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGIANYLKGLMLILCYLIVAASFFVHVDPQSSDD* >Brasy9G128700.1.p pacid=40060180 transcript=Brasy9G128700.1 locus=Brasy9G128700 ID=Brasy9G128700.1.v1.1 annot-version=v1.1 MEANSGIAAKRLVSDDGSSTPRGTKKLRRQDGLVIDINKAPYCDDNNFSIPLASEINAKRLALKQQHCMWATANLKKDMELEKMRLENKMMKLDNEQLEAQVKYKEEELGLHVKSKRI* >Brasy9G325200.1.p pacid=40060181 transcript=Brasy9G325200.1 locus=Brasy9G325200 ID=Brasy9G325200.1.v1.1 annot-version=v1.1 MEALQATIVRLSELARHARPRREALQRLRERLALAMARARTDGAFCARLTKAFDEFCDVLGAGACKLADLGAHLVASSRGGGPSPVASRLRDTLAGLSGVGLYRALRGLQLPAAAPADAHLAAALAAQRFVLNDRLDAFIDALGAKVGVVPEPAAVGRFGAFLQSQMRLENLIEAHINLAAAAPAAG* >Brasy9G296400.1.p pacid=40060182 transcript=Brasy9G296400.1 locus=Brasy9G296400 ID=Brasy9G296400.1.v1.1 annot-version=v1.1 MRGENLLTTAGYGSVRRAYIMVEDDRALPVAFQRHMIAQSTGVEVEEMVLGGADHMPMLSRPKELVEILVRVAVDGGMLRSKGERLHVLPPSRIK* >Brasy9G086900.1.p pacid=40060183 transcript=Brasy9G086900.1 locus=Brasy9G086900 ID=Brasy9G086900.1.v1.1 annot-version=v1.1 MAAVVLLLLLLMPLSASATGQQVCGKSGNYTANSTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTAADNATACSGCISDSFRYAQDSCPNARAAAVYFEYNDSDSRPGCLLGFSPDPAFLSLTPSAIAAADESTLFQGWYFQSMRGDPAAVAADVRALLNGTAHDAAAARRFFATAVMDSGSSEVPTLYSLAQCTPDLSAGDCLACLHRLLAMVNDTTAVRMGGRILALRCTIRFEAFMFYNGLAMRRINPSSSGLIPAPPVRDPPGKRNRVRPWVIAISVAAPVALVALCFILYYRRLRRKYTKGKVRLNGKRARKLQGGDELLWEMEAEFSVFEFHQILEATCNFSEEKKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDEDKKALMDWNKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVGTYGYMAPEYASEGLFSVKSDVFSFGVLILEILSGKRNSGSNQCGDFINILGYAWQLWEEGRWIEIVDASLNPKSHSEEIMRCINIALLCVQENAADRPTMLDVVAMLSSKTMILRETKHPAYFNLRVGNEEASSGTQSCSVNDLTISVTTAR* >Brasy9G086900.2.p pacid=40060184 transcript=Brasy9G086900.2 locus=Brasy9G086900 ID=Brasy9G086900.2.v1.1 annot-version=v1.1 MAAVVLLLLLLMPLSASATGQQVCGKSGNYTANSTYSSNLSLLAATLPLNASSSPTLFATATAGQSPDAVHALALCRGDTAADNATACSGCISDSFRYAQDSCPNARAAAVYFEYNDSDSRPGCLLGFSPDPAFLSLTPSAIAAADESTLFQGWYFQSMRGDPAAVAADVRALLNGTAHDAAAARRFFATAVMDSGSSEVPTLYSLAQCTPDLSAGDCLACLHRLLAMVNDTTAVRMGGRILALRCTIRFEAFMFYNGLAMRRINPSSSGLIPAPPVRDPPGKRNRVRPWVIAISVAAPVALVALCFILYYRRLRRKYTKGKVRLNGKRARKLQGGDELLWEMEAEFSVFEFHQILEATCNFSEEKKLGEGGFGPVYKGQFPDGMEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDEDKKALMDWNKRLAITEGIAEGLLYLHKHSRLCVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNATDEGNTTRRVVVATWLPSTRPRACSLSNPMYSALAF* >Brasy9G097000.1.p pacid=40060185 transcript=Brasy9G097000.1 locus=Brasy9G097000 ID=Brasy9G097000.1.v1.1 annot-version=v1.1 MAIRIPNSMQLREWWSAIVGPRELVKDLRTSVTLVFWTIWRHRNDVVFNGATPSVCAILRTFCEEVEWWEAAGLLRGSLSFAAVRASGLLTTV* >Brasy9G054500.1.p pacid=40060186 transcript=Brasy9G054500.1 locus=Brasy9G054500 ID=Brasy9G054500.1.v1.1 annot-version=v1.1 MIGDLLEPRFWAFPPKNAIAIGEEIEELLAKTKGSVTGPAPAPAAPAKAAPPSPPPPPSPLRRVVAAGPAPSLRPLAFPAAEAEHPNDFSSLPSTTSPTPIHDLKPPGRGRNRAPAAPSCRPSPISLTVNFQLSAPEIEIVSVCPPCVRQKLQPPRLRCSACYHR* >Brasy9G204000.1.p pacid=40060187 transcript=Brasy9G204000.1 locus=Brasy9G204000 ID=Brasy9G204000.1.v1.1 annot-version=v1.1 MGQSPSFPLSKSSPPPPCRRPLSSSMAPPMPALPVPAGSGSIAPFVAPPPARDHTQDLPDEILTLVFASLTPAERNACSLACARWKEVDAATRHRLSLEARALLGDAAPNLFARFTAVTKLALRCARGSGADSLSDDGATLVAAALPSDRLARLKLRGLRQLSDAGLASLVAAAPVLRKLSVASCTFGPKAFVAVLRSCPLLEDLSVKRLRGLTDTSGAVTAITEDILFPPASSLRSVCLKDLYSALCFVPLIASSPNLRSLKILRCSGAWDLPLEVIAARAPGLVEIHLEKLQVGDRGLIAVSACTNLEVLFLVKTPECTDAGIISVAQNCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRMLERLALCGCETVRDPEIICLAERCAALKKLCIKGCPISDRGMGALNGGCPSLVKVKLKRCCGVSYACVEHLKVARGDSFSISLDIVLEHDAGGARENAVQETGQVQITELTGQMAAMDLPTNAAGAQSFAQANSRMRSVMSALRRRFGNPPPM* >Brasy9G284900.1.p pacid=40060188 transcript=Brasy9G284900.1 locus=Brasy9G284900 ID=Brasy9G284900.1.v1.1 annot-version=v1.1 MRAMVHYKALTLLAVIFLGSGGGGLLVSAAAVPLVPAVYVFGDSTMDVGNNHYLPGWGPPQLPYGIDFPGSVSTGRASNGYVMSDSIARVLGFNMSPPAYLSLTPETSGQIFQGYGGVNYASGGSGILDDTDPTETNPIPLSQQVAYFAATKSNMTQHNPGEINELLSSSLFLISAGGNDMFAFIKKNPKPTKPQVAAFCEYLVSSYGLRVRRLHALGARRFGVIDVPALGCLPLVRNSSETGESECVQGANDLAEHFNNRLRARMAYLAKSVLPGMSYSVGSSFNLVLSFTANHPENGFVKVASACCGGGRLGVGIGCLDPNATYCENRDDHIFWDGVHSTQATYERGARAIFYDPVEKGFAAPINFEELVSSPFAAIARS* >Brasy9G064700.1.p pacid=40060189 transcript=Brasy9G064700.1 locus=Brasy9G064700 ID=Brasy9G064700.1.v1.1 annot-version=v1.1 MADHDAARDGLTSLALRLAKHLLPPAPASASASGTATEPRIVAFSPLCVHAALALVTAGARGATQAQLLDFLGAPSAAELAAFGRLVADTVVVSQTSVHPRATSALRWRRVGRRFLRIKKAFRDVASECYKSEARTVSFAKEPEKAVDMINNWVKKETCGPYEVIIDFDIISSGDVSAATDIVVAVAINFSAAWQVPFSSTKMTTFHRLDGSRVNAPFMHQHVRRHYLSCVDGFKVLKLGYKNDDRRPASANDTQYSMFVFLPDKLGVGGVTPDRPSYGICRDTTTTLGGIATMVDMITAAHGYLYSVLPKSEMKLVSVKLPRFEVSFNCDLGSDLRRLGLSLPFSPEVADLRDVCEKDYDGRATILSKVAHPGIVKVKEAGTEESRVPAHYVGGEKPEPDVVEFVADHPFTFLVMEERSGVIVFAGHVLDPTK* >Brasy9G100700.1.p pacid=40060190 transcript=Brasy9G100700.1 locus=Brasy9G100700 ID=Brasy9G100700.1.v1.1 annot-version=v1.1 MVNKEVFLSSKRPTDIQIWLDLVDDCNEYYEELCIYHISLMDDRAAASAFFSLVDALDELQKLAPTPYEKVIKNLAATESYSSWQLNINRRQGNMEYLRRTMKYRYDKGYDDYKDDIEGLLLMLRNSRQHSGKWIEQHFVSVMCQHFPKLLADLQKAMFEIGYLPNLYLKHSMGFLKEKGVSPQNRSDCLN* >Brasy9G205800.1.p pacid=40060191 transcript=Brasy9G205800.1 locus=Brasy9G205800 ID=Brasy9G205800.1.v1.1 annot-version=v1.1 MPAGHAAHTDDGHAGHLDVVRVQQLDGVKALPAGADEQMLDAEPMLQGESQPLDDEPYILPGESQPLHDESDEDEFPILAGHSDLIVEDSYQEDEEENAIVEKKKARKVSQSNDVSVHDFQAGETFFGNFPSFHES* >Brasy9G135900.1.p pacid=40060192 transcript=Brasy9G135900.1 locus=Brasy9G135900 ID=Brasy9G135900.1.v1.1 annot-version=v1.1 MAPKKKQPVSKQKHKPKPSAAASSSSSAAPRLEISSENERRLRRLLLNSSTATAPSPAPVDAPVARSESREQKGRRLRGVYDKLALEGFTSAQIEQALSAIPDTATFESALDWLCFILPGDELPLKFASATASSSRAGTDGSVKVVSAAKDNWVPKSREPVEDKDITKGVEIKIVRRQEEDGALDDGPSSQAAWIQQYMEQQEEDDANSNDFSTWEDRYLASLEVVKAKPSRRKKKGTKENAKNGNTKEHISHSASHVFPNSETASVEGGEIGFGATGNESDSSINIDEG* >Brasy9G153200.1.p pacid=40060193 transcript=Brasy9G153200.1 locus=Brasy9G153200 ID=Brasy9G153200.1.v1.1 annot-version=v1.1 MGGANSREDLDLASSSGEEEEDEDEEYDAEESPASGRQREDPLRTTTPASIELLDARLKSLDLKYQHPNAAKLYLHVGGASADARWIPAERRATYAFVNKAAQDSSDSSSSEPRWVLEVGPGRRVSAPVGPELQLKALPSQRRADFAASGSVWALRLPTDAAFRRFREEYDRCLFENTYGVEATDEGRREVFGADFAAWARPGEADDAVWADAENDFSPPATASARDLLEEFEEEAGDGGSIQSLALGALDNSFLVGGAGIQVVKNFRHGVHGKGVSVKISGGRGGSSSTCYSTPKKALLMRGETNMLLMSPGDAGAPRSNGVHHVDIETGKVVTEWRFEKDGTDITMRDIANDSKSAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLHWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTVFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFGGRMGSKIAAPRLLKLSPLDSILAGTDNKFHGGQFSWVTENGKQEKHLVATVGKFSLIWNFQQVKDSNHQCYRDQEGLKSCYCYKVVLKDESIVDSRFMHENFATSDSPEAPLVVATPMKVSSFSIANRR* >Brasy9G334500.1.p pacid=40060194 transcript=Brasy9G334500.1 locus=Brasy9G334500 ID=Brasy9G334500.1.v1.1 annot-version=v1.1 MGSSKLSMLVSLLPFLLFALVAAAAKEELSTYIVHVQHQDVNHVFGTANDRKTWYKSFLPEDGHGRLLHTYHHVVSGFAARLTRRELDAITAMSGFVAAVPNVVYKVQTTHTPRFLGLDTPLGGRNVTVGSGDGVIIGVLDTGVFPNHPSFSGAGMPPPPAKWKGRCDFNGSACNNKLIGAQSFISADPSPRAPPTDEVGHGTHTTSTTAGAVVPGAQVLDQGSGNASGMAPRAHVAMYKVCAGEGCASVDILAGIDAAVSDGCDVISMSLGGPSFPFFQDSIAIGTFAAAEKGIFVSMAAGNSGPIPSSLSNEAPWMLTVAASTMDRLILAQVILGNGSSFDGESVFQPNSTAVVALAYAGASSTPDAQFCGNGSLDGLDVKGKIVLCVRGGGVGRVDKGAEVLRAGGAGMIMTNQLLDGYSTLADAHVLPASHVSYAAGAEIMTYINSTTNPTAQIAFKGTVLGTSPAPAITSFSSRGPSTQNPGILKPDITGPGVSVLAAWPFQVGPPRFDLRPTYNIISGTSMSTPHLAGIAALIKSKHPEWSPAAIKSAIMTTADVNDRSNSPILDEQHKTANLFAVGAGHVNPEKAVDPGLVYDIAPAEYIGYLCSMYTDKEVSVIARAPVNCSAVPNISQSQLNYPSIAVTFPANRSELAPVVVKRTAKLVGESPAEYQAVIEVPAGSSVNVTVTPSVLWFSEASPTQNFLVLVFSWATEASPAPVQASIRWVSARHTVRSPISISYSPR* >Brasy9G007300.1.p pacid=40060195 transcript=Brasy9G007300.1 locus=Brasy9G007300 ID=Brasy9G007300.1.v1.1 annot-version=v1.1 MASAAGSTNVGAAAGAGGGEGSSSSSSNNLAAECLRAGRRRRVADSGFDADVCSAAAMAAAAADASTKRARSESDVPEPVDPATIPVVVCALPAPTRGPAAPAPAAPVAFGSVALAGRMREMEDVVALRPGFFVWPDGSPMHLFAVFDGHGGPHVAEMCKEQMPPALEEELEAAAQLHGRPTARDEVTAWTGALRRAFARVDAIGARCCQCGHVAPEEAGPFCQLCDRRGDVIGSTAVVALLVRDMVIVANSGDSRAVISRDDGRAVALSRDHKPDRPDEMRRIMEAGGQVIFNNGARVRGILAMSRAIGHRILKPEVICDPEILMTRRSAGDDCLILASDGVWDVISNQMACDVVRQCLQDGGPPDADPVAAQDGQQQQSTPRCDMAAAALGRLALGRDSSDNISAVVIDLKRRD* >Brasy9G007300.2.p pacid=40060196 transcript=Brasy9G007300.2 locus=Brasy9G007300 ID=Brasy9G007300.2.v1.1 annot-version=v1.1 MASAAGSTNVGAAAGAGGGEGSSSSSSNNLAAECLRAGRRRRVADSGFDADVCSAAAMAAAAADASTKRARSESDVPEPVDPATIPVVVCALPAPTRGPAAPAPAAPVAFGSVALAGRMREMEDVVALRPGFFVWPDGSPMHLFAVFDGHGGPHVAEMCKEQMPPALEEELEAAAQLHGRPTARDEVTAWTGALRRAFARVDAIGARCCQCGHVAPEEAGPFCQLCDRRGDVIGSTAVVALLVRDMVIVANSGDSRAVISRDDGRAVALSRDHKPDRPDEMRRIMEAGGQVIFNNGARVRGILAMSRAIGPSG* >Brasy9G007300.3.p pacid=40060197 transcript=Brasy9G007300.3 locus=Brasy9G007300 ID=Brasy9G007300.3.v1.1 annot-version=v1.1 MASAAGSTNVGAAAGAGGGEGSSSSSSNNLAAECLRAGRRRRVADSGFDADVCSAAAMAAAAADASTKRARSESDVPEPVDPATIPVVVCALPAPTRGPAAPAPAAPVAFGSVALAGRMREMEDVVALRPGFFVWPDGSPMHLFAVFDGHGGPHVAEMCKEQMPPALEEELEAAAQLHGRPTARDEVTAWTGALRRAFARVDAIGARCCQCGHVAPEEAGPFCQLCDRRGDVIGSTAVVALLVRDMVIVANSGDSRAVISRDDGRAVALSRDHKPDRPDEMRRIMEAGGQVIFNNGARVRGILAMSRAIGPSG* >Brasy9G244500.1.p pacid=40060198 transcript=Brasy9G244500.1 locus=Brasy9G244500 ID=Brasy9G244500.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQVQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPVKIHLHGAFFLPFPLARPTPIRPNVALEPSPCPICHWFLRYERFVIHD* >Brasy9G329900.1.p pacid=40060199 transcript=Brasy9G329900.1 locus=Brasy9G329900 ID=Brasy9G329900.1.v1.1 annot-version=v1.1 MVPRPSVPSRFSFLSGKELLQVLTANRVGAGEVGSGAKVQSTGAAGARRRRDSRRGEGRQAAARRRRRPTGGYQIRCSALTPAQPSSSSTAEGTASSGGWNRLQGGAQVDGGGREGVRRLAAVEVVGEQGKRAAAWRLCSEAARRLIKQPSKPHCFSYSMSHCSVFSFSGGPGHAIDYIFCGTKEAVAVSAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSMNLLSVRHQAFLVIIDLVRQTPELKDRRRRKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAQVPASLVAGLYLQKKKMLPSSTPSDHSQGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFHSACNEGAPVTPAPQTVFGTFRRPARNSYLTTLDLKKEAREWMLYLTGYQ* >Brasy9G055800.1.p pacid=40060200 transcript=Brasy9G055800.1 locus=Brasy9G055800 ID=Brasy9G055800.1.v1.1 annot-version=v1.1 MKLPTAGMLYLDSDCLKNHWFVLQNSMGEEAACCFSFVVLSSSSSLEENGKGKLNLRVITRSPMINSRREQFQCGLWSRNLPRKKAGSRRLRMKGTGVEDSSVCKALLLLVVVGACRST* >Brasy9G222100.1.p pacid=40060201 transcript=Brasy9G222100.1 locus=Brasy9G222100 ID=Brasy9G222100.1.v1.1 annot-version=v1.1 MLPTRFPSRRADDAAGPEPLMPSASRAVLSELVATAVFVFAAEGSLYGLWKMYGETGTVGGLLVVAVAHALALAAAVALSINTSGGHVNPAVTFGLLVGRRISFARAVLYWVAQLLGSVLAALLLGLVSGGTRPMGSALGHGIHERHALLLEVVMTFGLVYTVYATAVDRNDHGGVGAIAPIAIGFVLGANILTGGPLDGAAMNPARAFGPALVGWNWRHHWVCWVGPLIGAGLAGALYEFVVGEQPDQAPSAARVPVPVEDY* >Brasy9G196400.1.p pacid=40060202 transcript=Brasy9G196400.1 locus=Brasy9G196400 ID=Brasy9G196400.1.v1.1 annot-version=v1.1 MDVPSASASTSAAAGSASRDVAAMPDSPPRRAARHRRAQSEILLGATALPDDLTFDADLGVVGEGSGGGGDNYDDEDEYEEDEEGSAGGGSGGSRMFQMFLESGGGLAEQLEPTPYPPPLPARPRHQHSMSMDGSTSLAAASSGMAGRAGADAKKAISDAKLAELSLVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLAAQLSMLQIDTTGLTSENGDLKLRLQTIEQQVRMQDALNDRLRDEVQQLKIATGQVNASSGKMGNFGLSSYGTNPQSYQRSHIQSLLAAQQLQQLQFHHSQQQQHQMQLQDQQHLATVQRQRQQQLLQEAMPFPGDLKMKGIAMTSHVQNAAAFDAHARSEP* >Brasy9G196400.2.p pacid=40060203 transcript=Brasy9G196400.2 locus=Brasy9G196400 ID=Brasy9G196400.2.v1.1 annot-version=v1.1 MDVPSASASTSAAAGSASRDVAAMPDSPPRRAARHRRAQSEILLGATALPDDLTFDADLGVVGEGSGGGGDNYDDEDEYEEDEEGSAGGGSGGSRMFQMFLESGGGLAEQLEPTPYPPPLPARPRHQHSMSMDGSTSLAAASSGMAGRAGADAKKAISDAKLAELSLVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLAAQLSMLQIDTTGLTSENGDLKLRLQTIEQQVRMQDALNDRLRDEVQQLKIATGQVNASSGKMGNFGLSSYGTNPQSYQRSHIQSLLAAQQLQQLQFHHSQQQQHQMQLQDQQHLATVQRQRQQQLLQEAMPFPGDLKMKGIAMTSHVQNAAAFDAHARSEP* >Brasy9G135000.1.p pacid=40060204 transcript=Brasy9G135000.1 locus=Brasy9G135000 ID=Brasy9G135000.1.v1.1 annot-version=v1.1 MYLFVSLFCVLTYFHLLMVQTADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKQLLARIFVANPAKRITMREIRSHPWFLKNLPRELTEPAQAMYYKRDNSAPTYSLQSVEEIMKIVQEAQKPPPSSTPVAGFGWAEEDEQEDGKKPEEELEEEEEEDEYEKQLNEVRASGEFHIS* >Brasy9G152400.1.p pacid=40060205 transcript=Brasy9G152400.1 locus=Brasy9G152400 ID=Brasy9G152400.1.v1.1 annot-version=v1.1 MRAFSAAPSAASLPPSPSAAAPPLTPDAAAVLSRAAGDASRRRHAHTTPLHAAAALLSGPAPLLRDACAAGLASPHPLRCRALDLCFAVALDRLPTSSPSDSGFHGAPLPPPLSNALSAALKRAYAHHRRIGGEAAAGDDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPDHSSAFSSTTATRLQQQHRQWQESSSSSSNRDEEVAKVVQVLKRSNKRNPVLVGDTADVDAVVQEVVTMIQRQRLGNARVISLPQELGDLDRSELVGKIRELGEAIRSSEAALSQSIVVNLGNLQWLVEETRRVGFGDGGEQEEATKRRVVVLDTARAAVAEMARVLEQCGSGSGELGEGERRRVWVIGTATCATYVKCQVHHPALESQWDIQAVPVAPRPPPTPSPSVGVNRGILSSSVEVLSSAMSTTGATSTHRSAPGGGMCAACVDGYDRERGEMMPSSEQTMPRWLQIGTPATARPPFDMADELRRRWLDRCAQLHSHARPAAAAVTCSEWNGASVLGSMQAQAQAALPVVRPSTGTVDTALALGSASASDETTTLARRLTEAVTWQPEAAAALASTIAKARRAKGAADTWVLFAGPDAEGKRRMAEALSVSVFGAAAVTVHLASSSSCSAGVYANGGESIVSSWRAPGLDRVAEAARANPFRVIVLDGLDHADDLVRAAVARAAESSRLEDSRGLGGGAIFVVMSSWSSSLEPDHLNRSSQAAAAPDHSPWSLELRVRPLQETTPKRCRPEQPLDGDQYRRVKPRKTPLPLDLNLSMCGDEDDDRVDEGADSGGEGSRNSSSDLTVEHELEPWPSQPGLFATMRLSSQPNVVIPELIRAVVDGIVEFKPAVDLELEPLKRSVSAKFGGGELLDRLAGAATWTAGASATSLVAWADEARCRPSVRQMKRSLSTNDVDDDDGAMKTVEGVGAGVFPPLTETN* >Brasy9G239000.1.p pacid=40060206 transcript=Brasy9G239000.1 locus=Brasy9G239000 ID=Brasy9G239000.1.v1.1 annot-version=v1.1 MATAPSDSTKKKFLSRHGFALTAALTFLVLLFVAGSIGTLHDHHKDPNHPRSISRKILISIERTTTEDEPSRRPTSTGGGSNNNPLPRGIVQATSDLEMESMVGNPKERRPQGKNQEPSKSLLAIPVGIKNKAAVDKLVSKFPADRFAVMLFHYDGALEQWADLEWSARAVHVSAQGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVELDAFDPVSRPAGDAHGAEWVKVMVPVFSQAAWRCAWGMVQNDLVHGWGLDYKLGYCAGGDRTVTKVGGVDSEYVLHRGVPMLGQGGGNRVAVRRRSFVEMQMFDRRWKEAVAEDGSWTDPYAQPQDEMIG* >Brasy9G086600.1.p pacid=40060207 transcript=Brasy9G086600.1 locus=Brasy9G086600 ID=Brasy9G086600.1.v1.1 annot-version=v1.1 MAESNFLTYKKPSCGPSSQLTPSAIDKRLSVGTVLAFGLKGGHFCRLNFARGEQKILLHQGRAVTERGTCLIFVAFEHGDGEERGRRSRRSPLAWASSSPVSRDVCFVEYNEFDAHGMKANCWTAVWQRGHKGILAQMIQNIVMMEEARAQVMRAPVLDDALFCFFRAEAIDSLKRNFSTFCRGSKLVNDQDLAIQRTMTLV* >Brasy9G042300.1.p pacid=40060208 transcript=Brasy9G042300.1 locus=Brasy9G042300 ID=Brasy9G042300.1.v1.1 annot-version=v1.1 MSVSSLLSTLRAAGRQQLTASTAVVARKPDRPVQASPEDVPQGHGAHVERVRRRRLRLAGRVLPERRLRGAGAGGLHLPLPPQREPRQDRGRHGQVRVQHPRQRRPPVSAKERRFAGHHWGLREFVRIQDLNHEKNKFLAGGCLNVLCEVTVAQARIVEHMEGEDAAAATAEEDAAGEDEEEADVKIVVGRETFAAHRRVLEARSPAFARDLACSTAGGGGDDDDCEVVWLRVDDMDPQVFNALLHFIYTDKLPPGTNQLHVLDTAATAKQLLIAADTHKLEKLKLSCEKALCKHVANSSVGAFLALAEARGLPVLRDACLEFLSSPGRLKAVVGSVNFQQLLKTGCPSALMKLIVEQLPAAACVANEVPEDTTQMNA* >Brasy9G041300.1.p pacid=40060209 transcript=Brasy9G041300.1 locus=Brasy9G041300 ID=Brasy9G041300.1.v1.1 annot-version=v1.1 MHGSSFHPNEMTTLRVCDPRRARLAPDDDRPPRRRPLQPHRLHQRCDHLPREHEGQPLRGDGGGLFRVETASLVLRAWLELRRAQGVRRNADAGRTSPSTAYLGTLQVS* >Brasy9G294800.1.p pacid=40060210 transcript=Brasy9G294800.1 locus=Brasy9G294800 ID=Brasy9G294800.1.v1.1 annot-version=v1.1 MNRSFRNVGAMRAPLHIRGRNFLLNKQPTKELAFLRRTTVPKKFIKRLPEKAMELSKVGRSGRVDTDRIHLPRDMVRSVGSELNEADIMEEIHELTQRHRAKLAPKITDPTIIL* >Brasy9G075900.1.p pacid=40060211 transcript=Brasy9G075900.1 locus=Brasy9G075900 ID=Brasy9G075900.1.v1.1 annot-version=v1.1 MKGIVLVITALVLINLCNGIPKIIEGNGMHENMQGKDMRKLTSNIDGRSAPAGEEIHHVCPLGSYPCRATSENSEESTQDAGGN* >Brasy9G063400.1.p pacid=40060212 transcript=Brasy9G063400.1 locus=Brasy9G063400 ID=Brasy9G063400.1.v1.1 annot-version=v1.1 MDMDAAQQHHHYPWLDFSLAHHCGMEEEERGAAAELAAIAGAAPPPKLEDFLGGGGGGNGNGGGGGPGVPAGAAAEMYDSELKFLAAGGFLGSGGAGTSPAAPSPPVAALEEQADAKLALPLVAAAPAPETKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGASTTTNFPVADYENELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGTASGANRGSKCALEPAPVISDVDAPSIAPHSLAFTALPMKYNQHENDYLSFLAMQHHQQGNLQGLGYGLYSSGVNLDFANAHNIAAAQCYGNGGGSLHQQQQQQEQQQEDQSQSANGCPSSVPFATPMAFSGGAYESSVTPSPFGYYSPSVAAFQTPIFGME* >Brasy9G063400.2.p pacid=40060213 transcript=Brasy9G063400.2 locus=Brasy9G063400 ID=Brasy9G063400.2.v1.1 annot-version=v1.1 MDMDAAQQHHHYPWLDFSLAHHCGMEEEERGAAAELAAIAGAAPPPKLEDFLGGGGGGNGNGGGGGPGVPAGAAAEMYDSELKFLAAGGFLGSGGAGTSPAAPSPPVAALEEQADAKLALPLVAAAPAPETKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGASTTTNFPVADYENELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGTASGANRGSKCALEPAPVISDVDAPSIAPHSLAFTALPMKYNQHENDYLSFLAMQHHQQGNLQGLGYGLYSSGVNLDFANAHNIAAAQCYGNGGGSLHQQQQQQEQQQEDQSQSANGCPSSVPFATPMAFSGGAYESSVTPSPFGYYSPSVAAFQTPIFGME* >Brasy9G288100.1.p pacid=40060214 transcript=Brasy9G288100.1 locus=Brasy9G288100 ID=Brasy9G288100.1.v1.1 annot-version=v1.1 MTGRKLVVAMLFLVLVAMSGSWTRAAARPLQGHGQVYAAGAGEEPSSGGSINIVMPSPGSKWRFHMLPLLEEMAVGPSCSTHDHNHPNCPPA* >Brasy9G236900.1.p pacid=40060215 transcript=Brasy9G236900.1 locus=Brasy9G236900 ID=Brasy9G236900.1.v1.1 annot-version=v1.1 MSGAAATMDERGGGGKKTEAWPWWVGATAAQLATGLIWFRRGKSGGDMAMPFKAFAIATLFVGAGATAVTAGVSAAGVGSVKEMKDLGARIRRWSRVPPRRVEGSE* >Brasy9G337000.1.p pacid=40060216 transcript=Brasy9G337000.1 locus=Brasy9G337000 ID=Brasy9G337000.1.v1.1 annot-version=v1.1 MLPVEDALAAVLSAAADRRASASAAVPLLDALGLVLAEDVRAPDPLPPFRASVKDGYAVVASDGPGEYAVIVEARAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVAAAPDGTKRVRISVRVAEGHDIRNVGCDIEKDLVVLKSGEHLGPAEIGLLATVGVTAVKAYRRPTIAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEVSLKELMDAALCSDADIILTSGGVSMGDRDLVKPCLAKMGKIYFDKIQMKPGKPLTFAEISTDDTTRPSKTALAFGLPGNPVSCMVCFNLFVVPAIRLLSGWSNPHLQRVHVRLSHPLRADSHRPEFHRAVIRWVLDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPASGQTLAAGVSIQAIVIADTTSPPLYKQPAATTTSLLPTHFVSSANSVSTDVSQVAASQNAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGAVVVATAVVPDEVDQIKDVLVKWSDVDRVNLILTLGGTGFTPRDVTPEATKCVIEKEAPGLAFVMIQESLKVTPFAMLSRAASGIRGSTLIINMPGNPNAVAECMEALLPALKHAVKQLKGDKREKNPRHVPHADAAPVDQWERSFKAASAGGGCSCDP* >Brasy9G148100.1.p pacid=40060217 transcript=Brasy9G148100.1 locus=Brasy9G148100 ID=Brasy9G148100.1.v1.1 annot-version=v1.1 MSRMPPPPPPLSSKPPTLPFSPKKTPPMPVYKDLHFNHDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIGKTSDALVSELRSMSREIEDHEIAHVAAVSAGNDYAVGNMISDAFKRVGRKGMVRIENGRGTENSLEIVEGMQFERGYLSPYFVTNHANMSVEFTDCKILLVDKIIIDPRELLKVCFSAVKEDFPLVIIAEDVEEEALATLTRNKLSGMIKVAAIEALSFGEQKTQCLEDIAIMTGGTVVRDDMGYTLEEAGKEVLGSASKVVIKKDSTLIVTDGSTLHAVEKRVAQIKGQIENSKERYQKKILGERIARLCGAIAIIQVGAQTVIEMKDKKLRIEDALNATMAAIDEGVVVGGGCSLLRLSKKIDIIKESLDNTEQKIGADIFKHALSYPTILIANNAGMSGKFVIQKVLSNENTNYGYNAANDCYEDLMAAGILDPSKVVRCCIEHAAVVARSFLTSDVVVVEAKESKPVRIRPPMPPRNLIPPIPASVSSIRV* >Brasy9G148100.2.p pacid=40060218 transcript=Brasy9G148100.2 locus=Brasy9G148100 ID=Brasy9G148100.2.v1.1 annot-version=v1.1 MSRMPPPPPPLSSKPPTLPFSPKKTPPMPVYKDLHFNHDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIGKTSDALVSELRSMSREIEDHEIAHVAAVSAGNDYAVGNMISDAFKRVGRKGMVRIENGRGTENSLEIVEGMQFERGYLSPYFVTNHANMSVEFTDCKILLVDKIIIDPRELLKVCFSAVKEDFPLVIIAEDVEEEALATLTRNKLSGMIKVAAIEALSFGEQKTQCLEDIAIMTGGTVVRDDMGYTLEEAGKEVLGSASKVVIKKDSTLIVTDGSTLHAVEKRVAQIKGQIENSKERYQKKILGERIARLCGAIAIIQVGAQTVIEMKDKKLRIEDALNATMAAIDEGVVVGGGCSLLRLSKKIDIIKESLDNTEQKVLSNENTNYGYNAANDCYEDLMAAGILDPSKVVRCCIEHAAVVARSFLTSDVVVVEAKESKPVRIRPPMPPRNLIPPIPASVSSIRV* >Brasy9G225300.1.p pacid=40060219 transcript=Brasy9G225300.1 locus=Brasy9G225300 ID=Brasy9G225300.1.v1.1 annot-version=v1.1 MKPSALTPQATARCAWGLSPPIQLRPPELRPRRRLLRLRRDPADAAVRLHRPWHPSRAPGLDSASGKLDLSGGSSRNFLYSDSDIKFRVERSISVLMEGAISISSNTRVAVVTGGSKGIGLEVCKQLSGSGITVVLTARDKTRGTAAVEQILRLGHSDVIFHQLDITDALSIARLTDFLKTRFGKLDILVNNAATDGIEQVLDPVYGSIPGAEKFDGMDAYQRIDWMWANCRETCETAKQGLQTNYYGTKRVTEALLPLLKSSSDGRIVNVSSNFGLLSLFRNEELKRELNDVERLTEERLDELLAMFLEDFEAGAVEARGWPAEFSAYKVAKAAMNAYSRILARRHPDQLRVNCAHPGYVRTDITRNSGILTPEEGARNVVRVALLPEDGPTGAYFHEGQEASFV* >Brasy9G225300.4.p pacid=40060220 transcript=Brasy9G225300.4 locus=Brasy9G225300 ID=Brasy9G225300.4.v1.1 annot-version=v1.1 MEGAISISSNTRVAVVTGGSKGIGLEVCKQLSGSGITVVLTARDKTRGTAAVEQILRLGHSDVIFHQLDITDALSIARLTDFLKTRFGKLDILVNNAATDGIEQVLDPVYGSIPGAEKFDGMDAYQRIDWMWANCRETCETAKQGLQTNYYGTKRVTEALLPLLKSSSDGRIVNVSSNFGLLSLFRNEELKRELNDVERLTEERLDELLAMFLEDFEAGAVEARGWPAEFSAYKVAKAAMNAYSRILARRHPDQLRVNCAHPGYVRTDITRNSGILTPEEGARNVVRVALLPEDGPTGAYFHEGQEASFV* >Brasy9G225300.3.p pacid=40060221 transcript=Brasy9G225300.3 locus=Brasy9G225300 ID=Brasy9G225300.3.v1.1 annot-version=v1.1 MEGAISISSNTRVAVVTGGSKGIGLEVCKQLSGSGITVVLTARDKTRGTAAVEQILRLGHSDVIFHQLDITDALSIARLTDFLKTRFGKLDILVNNAATDGIEQVLDPVYGSIPGAEKFDGMDAYQRIDWMWANCRETCETAKQGLQTNYYGTKRVTEALLPLLKSSSDGRIVNVSSNFGLLSLFRNEELKRELNDVERLTEERLDELLAMFLEDFEAGAVEARGWPAEFSAYKVAKAAMNAYSRILARRHPDQLRVNCAHPGYVRTDITRNSGILTPEEGARNVVRVALLPEDGPTGAYFHEGQEASFV* >Brasy9G225300.2.p pacid=40060222 transcript=Brasy9G225300.2 locus=Brasy9G225300 ID=Brasy9G225300.2.v1.1 annot-version=v1.1 MEGAISISSNTRVAVVTGGSKGIGLEVCKQLSGSGITVVLTARDKTRGTAAVEQILRLGHSDVIFHQLDITDALSIARLTDFLKTRFGKLDILVNNAATDGIEQVLDPVYGSIPGAEKFDGMDAYQRIDWMWANCRETCETAKQGLQTNYYGTKRVTEALLPLLKSSSDGRIVNVSSNFGLLSLFRNEELKRELNDVERLTEERLDELLAMFLEDFEAGAVEARGWPAEFSAYKVAKAAMNAYSRILARRHPDQLRVNCAHPGYVRTDITRNSGILTPEEGARNVVRVALLPEDGPTGAYFHEGQEASFV* >Brasy9G267200.1.p pacid=40060223 transcript=Brasy9G267200.1 locus=Brasy9G267200 ID=Brasy9G267200.1.v1.1 annot-version=v1.1 MGRRGRHSRCWRQGRERSRRRGGGGGGGTADVGGRVESAPGGKEEVAVEVDDETTWVEADGEETAGDGAAEPGVVVGTADVGGRVESAPSGKEEVEVELDDETTWVEGDGEETTGDEAAEPGVVVGTADGGGGVESAGGGEEVVVEELDDETTWAEGDGEETAGEEGSEASDSGIIVGTGDGGVGVESACGGEEVVVEELDDETIWAEGDREETAGEEGSDSGIIVGTGDGGVGVESACGGEEVVVEELDDEATLVEADGEGAAGDDAAEAGVVVGTADGGGGVKRAGGGEEVAAEELDEEEDPGAGGDAMVLEQREGEGIGEEGSVGVGGDARGEGDAVGGSPSAARLQAKPRPPKPRAAVKSSAGTHTAASVRGNAAHGMAPGRSTRCARTRCSGRGHHRRAGAGLDAAMN* >Brasy9G098400.1.p pacid=40060224 transcript=Brasy9G098400.1 locus=Brasy9G098400 ID=Brasy9G098400.1.v1.1 annot-version=v1.1 MEDLRPPERGAMQRPWRDAAAISTAVVGEVVGEMQLSSSHRRRPILPQLSVGHHPPEELLHLHARPVIKQILSRVRRSSEQQM* >Brasy9G082000.1.p pacid=40060225 transcript=Brasy9G082000.1 locus=Brasy9G082000 ID=Brasy9G082000.1.v1.1 annot-version=v1.1 MKNLPEKHFDIDIHNCLRTSENILRDSMQALFASIAFVGGMVFYHTSRTGIWGQEKANNSSGAAMSQKKLV* >Brasy9G319900.1.p pacid=40060226 transcript=Brasy9G319900.1 locus=Brasy9G319900 ID=Brasy9G319900.1.v1.1 annot-version=v1.1 MAAAKSTSSSSFTFLKEALLLPTRNPKLFTPVLLILFVTSLVTTLINVLCIQPLAADIGRLAEEMKTADPSSAEYARIFEELRRDTMEVLAASAVLLLVALPLAFAKQILAFFAASTTFSGDRYSLAELLRALATKGGALSIKGPSITIAVAEVLQISGMAVLAALFSAAMMMGVGRHSSKSGVISFAIHGLLFVLAFIAFLYLNVVALVGVAASVADGGDCRGVRALRRAWRLMTRVSRKEGFVLVLAACLLPTLLDPLHGLAMVYVKKNMAMGLCLLSVYVLFSCAFQLFSMAAATVYYYQAMESKEGTSACDYVKIPSGETNV* >Brasy9G364900.1.p pacid=40060227 transcript=Brasy9G364900.1 locus=Brasy9G364900 ID=Brasy9G364900.1.v1.1 annot-version=v1.1 MAGGGEDKDEARMPREMEIEGESSKTMEQQSGDPSCDLEILRREITSLMILLTDKQSDVLCCDSEILKKITHIGTPHTHHLTQALKTSRRCRRQLTRRHCLLRRLSQTPSKCIAWQSEITSLKLARKRKDLVPPPDVSPTVRRKISSVRRIAEEKEDMADQEMVDLSNSSGHKDTDSRKIKPTSRFKSNFEQECVSCGGRHDAQEPVECQFDYILHDTEATSNQLASLASILRESSSPIISLDKIKDLMDTTYCLFRVSSGIAEDFMKSENLMTKEMVLQTDSKFRLGAMEEEKTEGEVEDLKTSDLKREETEDETSDEDEDEVVEKTLEEKEFDDNLYKVLEQVNKETTYYRMQMASLEEKGENDDDDEEEEEEEEEELMEMEFTESDKEEMDMEERMFASHQSSWESTWGSKTSAPMYFTHSTPGHVPSYPTAFTGSTLQIYTIKIQTKGKLSWPLYVYGVVAARDSVDRNRNILFCRTRFNCQKLTQEDPFLHLTGPSRAIIMEDPVGFEFQLKLKGRVESEDRVLMNQTYHYRGGYPWGLYTASLGNRFCTAELNVEQLIDTVQATILGVCIGEGDPLSLFKYGGRVSCFSPPQEVMKADMHATDTACRQVVLLDFRGVKMPIGADGYLQLSRNVVSVDFQEKPGCSREYAENLEVVIQAYSDSGSVAAEGRVKFMPKKSNISQGACYLGDSKVEIVVAWSALVSSRIHIVDRD* >Brasy9G169900.1.p pacid=40060228 transcript=Brasy9G169900.1 locus=Brasy9G169900 ID=Brasy9G169900.1.v1.1 annot-version=v1.1 MMLWNWCQREDKLLVGRPEYKRIIEGSLGLTCLYDAAVMEVMWGLQNLMHTLLPEEKSVISKEDRLPICRGMAIILNRYHIDVKPEMVNERIVKLIRRVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATALKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRTYNDVISLHDAKIQILLELKYLVEEATKAEAEWKPAINGVDSKLQVDCTYLTGDQPTVHNQSSVDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRAQLVQR* >Brasy9G169900.4.p pacid=40060229 transcript=Brasy9G169900.4 locus=Brasy9G169900 ID=Brasy9G169900.4.v1.1 annot-version=v1.1 MMLWNWCQREDKLLVGRPEYKRIIEGSLGLTCLYDAAVMEVMWGLQNLMHTLLPEEKSVISKEDRLPICRGMAIILNRYHIDVKPEMVNERIVKLIRRVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATALKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRTYNDVISLHDAKIQILLELKYLVEEATKAEAEWKPAINGVDSKLQVDCTYLTGDQPTVHNQSSVDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRAQLVQR* >Brasy9G169900.3.p pacid=40060230 transcript=Brasy9G169900.3 locus=Brasy9G169900 ID=Brasy9G169900.3.v1.1 annot-version=v1.1 MMLWNWCQREDKLLVGRPEYKRIIEGSLGLTCLYDAAVMEVMWGLQNLMHTLLPEEKSVISKEDRLPICRGMAIILNRYHIDVKPEMVNERIVKLIRRVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATALKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRTYNDVISLHDAKIQILLELKYLVEEATKAEAEWKPAINGVDSKLQVDCTYLTGDQPTVHNQSSVDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRAQLVQR* >Brasy9G169900.2.p pacid=40060231 transcript=Brasy9G169900.2 locus=Brasy9G169900 ID=Brasy9G169900.2.v1.1 annot-version=v1.1 MMLWNWCQREDKLLVGRPEYKRIIEGSLGLTCLYDAAVMEVMWGLQNLMHTLLPEEKSVISKEDRLPICRGMAIILNRYHIDVKPEMVNERIVKLIRRVHDIELRERAHAKFLHRLDKDFEELSGVDSKRWCLIKLATALKLMCDPGRIDLGDPIKMFAPNEYRIIEEDAAKYQDKMTKHSILRTYNDVISLHDAKIQILLELKYLVEEATKAEAEWKPAINGVDSKLQVDCTYLTGDQPTVHNQSSVDEEQHYGIAGENSPSSLFSKVDGKKSAQGTSAESLPELPLRAQLVQR* >Brasy9G200500.1.p pacid=40060232 transcript=Brasy9G200500.1 locus=Brasy9G200500 ID=Brasy9G200500.1.v1.1 annot-version=v1.1 MSGSNARGRLSPASGGGDSEPRSAGSRTRSISATRGRKPSPRPGRDLASATAALEEKKPPGVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKSVSQIDPKDVAMLEREIGSASPSDASSLKRRCAWVTANTDPCYVAFHDEEWGVPVHDDKKLFELLVLSGSLAELTWPTILNKRSIFREVFMDFDPVLVSKLSEKKIIAPGSPSSSLLSEQKLRGVLENARQILKIKEEFGSFDKYCWSFVNHKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLTSCYRFAECASPATGANLTESVREANSGGSDHTTEQNTNGTNGLAVDIELSRTIDELSIS* >Brasy9G147000.1.p pacid=40060233 transcript=Brasy9G147000.1 locus=Brasy9G147000 ID=Brasy9G147000.1.v1.1 annot-version=v1.1 MGQWSELPYDILGMIRSRVASASPPPWRAAASRRPSPHAPPPLLLLSPMYGDRATKALYCLEDGGVMRLRPPRDVWGKWLVGGYDGGWIAWWVHDKVEPIVIMNLFSGAEIALSEKQRSLECKVCSPRARRASLIRKITFSEAPTSSSCILTAITSNTCKIAVCKVGCPDDGWMTHGCSDKEKLADIAFCRGELYGITRYGEKLFKFDIGQLLNKDDAPTLTAHLLVSRRYDSPTRWSVGNESVVYLIQLRHELVMAVRAQLLPPERETSLFLRQVDNADGGYRWVEMRSLDNHALFLGPNCSTAVHVPLGGLHGVERNTIYYSNHRSKKNKRVSGDEIKSIGYYVRDNPFTPTWLLPPII* >Brasy9G312900.1.p pacid=40060234 transcript=Brasy9G312900.1 locus=Brasy9G312900 ID=Brasy9G312900.1.v1.1 annot-version=v1.1 MATGSTRLTSSAWALMVVQRQSAASRSATPDSSGQQDSPALAPTTACTSPFSASTHATSFNASTGHFPVGVDGVGVTGVGV* >Brasy9G300200.1.p pacid=40060235 transcript=Brasy9G300200.1 locus=Brasy9G300200 ID=Brasy9G300200.1.v1.1 annot-version=v1.1 MGRSPCCCHEAGVKKGPWTEEEDRALVEHIQKRGGHVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDDERLIVRLHALLGNKWSTIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPEQQYHGNQHLPEPLLWAAAAASLGGQLDTGLIMQAQLLQQLLQAIGSSSNNNNSFVANLAAGAANAMLGSSASIVNPNLMLDNTMGLLSGSANYQLQPAGYLSNISSFAEQDVVQQLINAPSTAPVTSSSAAAEPAGHQQQHCGTEVAFVSDDVVAPAVERPPVVQEFADLLEPMEPLPNLCSLESDSFWKDILEDSYRLC* >Brasy9G087300.1.p pacid=40060236 transcript=Brasy9G087300.1 locus=Brasy9G087300 ID=Brasy9G087300.1.v1.1 annot-version=v1.1 MATQGVASMFALALLLGTFVSIPKSVESIGVCYGMSANNLPSPSTVVNMFKSNGISSIRLYAPDHAALDALAGTGINVVVGAPNDVLPTLAASPAAAAAWVRDNIQAHPAVSFRYVVVGNEVAGGLTWNLGPAMDNMHGALAAMGLGHIMVTTSVSQAILGVYSPPSAGKFTTEADYFMRYVIPILARTGAPLMANIYPYLAWAWNPRAMDVNYALFTAPGNVVQDGGYGYQNLFDTTVDAFYAAMARYEGGWGVKLIVSESGWPSAGGVAASPENARIYNQNLISHVGHGTPRHPGAIETYIFSMFNEDQKEEGVEQHWGLFYPNTQPVYPISFW* >Brasy9G116300.1.p pacid=40060237 transcript=Brasy9G116300.1 locus=Brasy9G116300 ID=Brasy9G116300.1.v1.1 annot-version=v1.1 MGAYELVRSDESAGPAADLEAGGRCSPSPPSPAKGSPAAPSRPQRLVSLDVFRGITVLLMIIVDDAGSFLPAMNHSPWEGVTIADFVMPFFLFIVGVALPLAYKRVPDKLEATGKATLRALKLFCVGLVLQGGFFHGVRSLTFGVDVTQIRLMGILQRIAIAYLLTALCEIWLKGDNDVDSGFDLIKRYRYQLFAGLLITVTYMVLLYGTYVPDWEYQISGPGSTQKTFSVKCGVRGDSGPGCNAVGMIDRKILGLQHLYGRPVYARSKQCSIDSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIVHFQKHRERIMHWLLPSFGMLVLAIAMDFFGMRMNKPLYTVSYTLATAGAAGLLFAGIYTLVDLYGFRRPTLAMEWMGMHALMIFILLACNILPIFLHGFYWGEPKNNLLKFIGIRA* >Brasy9G317500.1.p pacid=40060238 transcript=Brasy9G317500.1 locus=Brasy9G317500 ID=Brasy9G317500.1.v1.1 annot-version=v1.1 MRQHAPAYTPEAASASATGGAGERQSLPALQAKMKRDPEGYETELRQLQRHFESSVFLFKQQAALSTASASGGGGEVAKELGDLALFLAHVAPFYPNDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTVELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNEAKNRKLQNILFTLVQDGEESRAKRAFTILCDLHRRRVWFDERTANAICNACFHPSLRIMTAAISFLLGYENAEQEDDSDASSSEDEASQNPNIILSKEDVYKANNKGTAASKKKKKAKLQRVVRSLKRQQRKSTEETGSNYYSPLTYLKDTQGFAEKLFSRLQNCHERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHEMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPIDPKARPKAFGETTIASDVPGAELLDEDISSEGEGSDDESDAFGSEDEKVLPSANDTQQSLEGSSDKADIDEDNREEEEVSGEQDDTEEVDEDDSDEDIDELDNDSDMDGDTDASDEDDDEELNDDSENEGSDQVEDSDEEDKSKDSSSKVQKRKLSDYAGQLDAADASLRALKRLAAGAKKAETSTDEAGRIFSDEDFKRIKELKAKKEAKLALVQHGLSKGDTRSVTFKLPSSDQLSMKRVDPSQLEAHIKRKMSKEQRLELVKAGREDRGKYQARASIKKKKTGGSSNKQKQHNKRMPLAANRAKAARSRQEKKTKAKHSAKQFRGRKAWK* >Brasy9G345900.1.p pacid=40060239 transcript=Brasy9G345900.1 locus=Brasy9G345900 ID=Brasy9G345900.1.v1.1 annot-version=v1.1 MAATAAAAALAVTDELALPLRAVGDLAAAAGISREEVVVITQCATLGGKLPFDDASVGAVLSVIKKVESFGDQLVAEVSRVLKAGGIVLVQSFTPSTEQKPNNYIERQLLMGGFVEVQASATSSQDSVQSVTIKAKKPSWSMGSSFPLKKATKALPKIQIDDDDDELIDEDSLLTAEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEQKVEKLELTAEQINNPVSSCGSCGLGDAFRCSTCPYRGLAPFKLGEKVSLSDNFLSADI* >Brasy9G345900.2.p pacid=40060240 transcript=Brasy9G345900.2 locus=Brasy9G345900 ID=Brasy9G345900.2.v1.1 annot-version=v1.1 MAATAAAAALAVTDELALPLRAVGDLAAAAGISREEVVVITQCATLGGKLPFDDASVGAVLSVIKKVESFGDQLVAEVSRVLKAGGIVLVQSFTPSTEQKPNNYIERQLLMGGFVEVQASATSSQDSVQSVTIKAKKPSWSMGSSFPLKKATKALPKIQIDDDDDELIDEDSLLTAEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEQKVEKLELTAEQINNPVSSCGSVSLSDNFLSADI* >Brasy9G345900.3.p pacid=40060241 transcript=Brasy9G345900.3 locus=Brasy9G345900 ID=Brasy9G345900.3.v1.1 annot-version=v1.1 MAATAAAAALAVTDELALPLRAVGDLAAAAGISREEVVVITQCATLGGKLPFDDASVGAVLSVIKKVESFGDQLVAEVSRVLKAGGIVLVQSFTPSTEQKIKAKKPSWSMGSSFPLKKATKALPKIQIDDDDDELIDEDSLLTAEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEQKVEKLELTAEQINNPVSSCGSCGLGDAFRCSTCPYRGLAPFKLGEKVSLSDNFLSADI* >Brasy9G345900.4.p pacid=40060242 transcript=Brasy9G345900.4 locus=Brasy9G345900 ID=Brasy9G345900.4.v1.1 annot-version=v1.1 MAATAAAAALAVTDELALPLRAVGDLAAAAGISREEVVVITQCATLGGKLPFDDASVGAVLSVIKKVESFGDQLVAEVSRVLKAGGIVLVQSFTPSTEQKIKAKKPSWSMGSSFPLKKATKALPKIQIDDDDDELIDEDSLLTAEDLKKPQLPVVGDCEVGAAKKACKNCTCGRAEAEQKVEKLELTAEQINNPVSSCGSCGLGDAFRCSTCPYRGLAPFKLGEKVSLSDNFLSADI* >Brasy9G333200.1.p pacid=40060243 transcript=Brasy9G333200.1 locus=Brasy9G333200 ID=Brasy9G333200.1.v1.1 annot-version=v1.1 MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQGKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTSSVLCLTTVKNEDKLDFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMT* >Brasy9G247200.1.p pacid=40060244 transcript=Brasy9G247200.1 locus=Brasy9G247200 ID=Brasy9G247200.1.v1.1 annot-version=v1.1 MPSWWGKSSSKDAKKTTKENLIETFHRFISPNEQKGTTKSKRGFRRGNDTAVEKGCRSTTVSRPTSPSKEVSRCQSFSADRLHSQPLPVPGLRPAVTRTVSDITESKPILEKRGKPPLLLPLPKPSKPQKRSGNSGLVSEIVIASISSNCSADSDDRGDSQLPSPVGIDADNTTNVTPKSKSSNVRKDRPGAIATKNTKDMTKTANQFLGNHILSTSPRGIVADNHQSNLLNQRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSKGSQECSPIPSPRLTSPGPSSRVHSGSVSPLHTRSGVMAPESPTSRNDGGKKKQTHRLPLPPLSISNSSFFPNKSTPASPISVSRSPGRTENPPCPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDSKSKESAKQLGQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAMRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGHQCPFSFKGSPYWMAPEVIKSSNGGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPTAMELLQHPFIQNRVPLEKSVVSDPLEHLAVISCRPNSKVAGHTRNISSLGLEGQTIYQRRGAKFSSKHSDIHIRSNISCPVSPCGSPLLRSRSPQHTNGRMSPSPISSPRATSGTSTPLSGGNGAIPFNHLKQPTYSNEGFAIASRGPDDHFPSRPTDPILGQYVRVHQVSQGLQDRVVSEADILSPQFGKRLENVFDLRDRLSPSEHFNRHAFVDHVKSNPSLDFTSGSPHLGLRHDN* >Brasy9G247200.2.p pacid=40060245 transcript=Brasy9G247200.2 locus=Brasy9G247200 ID=Brasy9G247200.2.v1.1 annot-version=v1.1 MPSWWGKSSSKDAKKTTKENLIETFHRFISPNEQKGTTKSKRGFRRGNDTAVEKGCRSTTVSRPTSPSKEVSRCQSFSADRLHSQPLPVPGLRPAVTRTVSDITESKPILEKRGKPPLLLPLPKPSKPQKRSGNSGLVSEIVIASISSNCSADSDDRGDSQLPSPVGIDADNTTNVTPKSKSSNVRKDRPGAIATKNTKDMTKTANQFLGNHILSTSPRGIVADNHQSNLLNQRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSKGSQECSPIPSPRLTSPGPSSRVHSGSVSPLHTRSGVMAPESPTSRNDGGKKKQTHRLPLPPLSISNSSFFPNKSTPASPISVSRSPGRTENPPCPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDSKSKESAKQLGQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAMRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGHQCPFSFKGSPYWMAPEVIKSSNGGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPTAMELLQHPFIQNRVPLEKSVVSDPLEHLAVISCRPNSKVAGHTRNISSLGLEGQTIYQRRGAKFSSKHSDIHIRSNISCPVSPCGSPLLRSRSPQHTNGRMSPSPISSPRATSGTSTPLSGGNGAIPFNHLKQPTYSNEGFAIASRGPDDHFPSRPTDPILGQYVRVHQVSQGLQDRVVSEADILSPQFGKRLENVFDLRDRLSPSEHFNRHAFVDHVKSNPSLDFTSGSPHLGLRHDN* >Brasy9G080000.1.p pacid=40060246 transcript=Brasy9G080000.1 locus=Brasy9G080000 ID=Brasy9G080000.1.v1.1 annot-version=v1.1 MPYREDARLLPDDELRAADLATNELHMDYLHKATNPKEYLKEYRCQIPKEYNFQKEEMSRTFRIFFKDLHGMLNYKELDSSMIRLWCVMMEHEIRRKKVNAVVIDPQLMNDYFMKDNEFLREFIVDQWLANKEKDYMLMPYNPTGHWVLLIFVNAWSKVIYLDSLKERADGKTTDFSMVQSVLDGAYIHFKSRGGTSPCPTKAKSKLSHEFNYQCMQQPAGSNLCGYFVCQYMQDVMDAFGKGISEDQFKQHLYGKWGKQNVDKDLHHIRRRSCEFMNHMLSPISPFYGGVTTINTPEPPTSPQLSHVQNLCSTSLTHACYIFF* >Brasy9G006500.1.p pacid=40060247 transcript=Brasy9G006500.1 locus=Brasy9G006500 ID=Brasy9G006500.1.v1.1 annot-version=v1.1 MPRVAPTETETVWRWLITDSRIYTNDIEQAVREIIPYLEETNKTTHGTIYIDGWRGLVASAVLRAIAKDPPPSLLRKFNKIVHLDCSSWKSRRALQRTIVQEQKLPLRLMAILDKQDEEDDFNGIDEVSRAEIGGISGEIHLFLLQYKFLVVFHNGSTDMVNLSAFGIPQPEFFGTKVLWTFRGRLRLNPIISEKVDN* >Brasy9G113300.1.p pacid=40060248 transcript=Brasy9G113300.1 locus=Brasy9G113300 ID=Brasy9G113300.1.v1.1 annot-version=v1.1 MASEKLVARKGRLRQRYEDEHRLVAGCVPYRVGKDGQLQVLMVSTTNRDGLVFPKGGWEDDEDVHEAACREALEEAGVRGNINRNSLGHWVFRSKSSQSESGDSPRGACKGQVFALEVIEELEQWPEQDTHGRRWVSPAEAYGLCRYDWMREALTALLDRCSVASASAIPAPATELNEHAGMCMNMTLMKPTAAADRAVALC* >Brasy9G175000.1.p pacid=40060249 transcript=Brasy9G175000.1 locus=Brasy9G175000 ID=Brasy9G175000.1.v1.1 annot-version=v1.1 MKLAVALLIATTLTISLPLAGAHRRVLVDSPPAPPPENFAHGSRSNLTYILAPGGRFQTFVMYLQQTGLVEVFEIQAHRTHHGITILVPTDRAFAAIEPSVLSGLKKHQVKSLMMYHALARHYALKEFDALSRVSPVTTFAGGLYTVNVTYDAGAIRVVSRWADARVVRAVYEMPPMAVYEIDRVLLPDAIFRVQPAVEAIPPSPDGTTSPSDGGAKSTPGGKAGGTPDDAKSSACRALGRSASYVIAAAALCALAPAVAL* >Brasy9G332200.1.p pacid=40060250 transcript=Brasy9G332200.1 locus=Brasy9G332200 ID=Brasy9G332200.1.v1.1 annot-version=v1.1 MASAAAPDSLFGLRNSFRVGAYQAAITGSQSAPSHVLSPDEVVERDALLYRSYIALGSHQLVIDEIGPRAATPLQAVKLLAAYLISSGNKESAISKLKELLGDAAVGSNPILRLVAGTVFMHERDYAEALKHTNSGGSMELLALNVQIYLLMNRPDHADKQLRVMQQLDEDHTLTQLANAWVNLVMGGSKIREAQLIFQDFSEKYPATCMILRGKAQCLMHMGKFEEAEGLLLESLNKDAKDAETLANLIVCSLSLGKPASRYLNQLKLAHPEHMLVKRLSSAEESFNRAIQAMFSMVDEHATYASQ* >Brasy9G332200.4.p pacid=40060251 transcript=Brasy9G332200.4 locus=Brasy9G332200 ID=Brasy9G332200.4.v1.1 annot-version=v1.1 MASAAAPDSLFGLRNSFRVGAYQAAITGSQSAPSHVLSPDEVVERDALLYRSYIALGSHQLVIDEIGPRAATPLQAVKLLAAYLISSGNKESAISKLKELLGDAAVGSNPILRLVAGTVFMHERDYAEALKHTNSGGSMELLALNVQIYLLMNRPDHADKQLRVMQQLDEDHTLTQLANAWVNLVMGGSKIREAQLIFQDFSEKYPATCMILRGKAQCLMHMGKFEEAEGLLLESLNKDAKDAETLANLIVCSLSLGKPASRYLNQLKLAHPEHMLVKRLSSAEESFNRAIQAMA* >Brasy9G332200.2.p pacid=40060252 transcript=Brasy9G332200.2 locus=Brasy9G332200 ID=Brasy9G332200.2.v1.1 annot-version=v1.1 MASAAAPDSLFGLRNSFRVGAYQAAITGSQSAPSHVLSPDEVVERDALLYRSYIALGSHQLVIDEIGPRAATPLQAVKLLAAYLISSGNKESAISKLKELLGDAAVGSNPILRLVAGTVFMHERDYAEALKHTNSGGSMELLALNVQIYLLMNRPDHADKQLRVMQQLDEDHTLTQLANAWVNLVMGGSKIREAQLIFQDFSEKYPATCMILRGKAQCLMHMGKFEEAEGLLLESLNKDAKDAETLANLIVCSLSLGKPASRYLNQLKLAHPEHMLVKRLSSAEESFNRAIQAMA* >Brasy9G332200.3.p pacid=40060253 transcript=Brasy9G332200.3 locus=Brasy9G332200 ID=Brasy9G332200.3.v1.1 annot-version=v1.1 MASAAAPDSLFGLRNSFRVGAYQAAITGSQSAPSHVLSPDEVVERDALLYRSYIALGSHQLVIDEIGPRAATPLQAVKLLAAYLISSGNKESAISKLKELLGDAAVGSNPILRLVAGTVFMHERDYAEALKHTNSGGSMELLALNVQIYLLMNRPDHADKQLRVMQQLDEDHTLTQLANAWVNLVMGGSKIREAQLIFQDFSEKYPATCMILRGKAQCLMHMGKFEEAEGLLLESLNKDAKDAETLANLIVCSLSLGKPASRYLKSVNSTP* >Brasy9G134000.1.p pacid=40060254 transcript=Brasy9G134000.1 locus=Brasy9G134000 ID=Brasy9G134000.1.v1.1 annot-version=v1.1 MIPVPFRLPGPLLHQRAPSAEEIYEATWAKGNQNHNSDTEIPGRRFLPLPPGAPDYEAIFFEFENRLRSGDEFVDLMMKPIYEEDLNEIGDKRHEILHNLYRKGDKRTAKRLGQEFYGLMGMVSAKIARLTNLTNMVIKLPSFFNTYPSLG* >Brasy9G028700.1.p pacid=40060255 transcript=Brasy9G028700.1 locus=Brasy9G028700 ID=Brasy9G028700.1.v1.1 annot-version=v1.1 MTTNGDPAAQVAAQAQQQQAAQLRQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKVINKEQSTQCNLPGSSRPGLISASLVDKALLKLQTPSSPTTRPQRPSLLPSPARNSS* >Brasy9G314500.1.p pacid=40060256 transcript=Brasy9G314500.1 locus=Brasy9G314500 ID=Brasy9G314500.1.v1.1 annot-version=v1.1 MSSSEGSHPATPNGAKRTVMVDSKTEPAGEGLPDGWSKGCRPRKIRPGSRIRGDKFYIDPTNSYEFRSLKDVHRYIEAQDISNCVVTPIKRKTEDLQIARNQSHDAGRLSGNSQMDTAHEYNPEIRENSENIPSSEPKGVSKGDETELELQKARKSNRSLKHESSPREEANVEKKPREKKQKTKPVKQFTAPLRASPRLTAMKINQEANNVPRDAIVSTRTDIADHLPPKQVKDPKSKSVSSLLSQKKDGAHSTSANTEVKYPSVPEQILGGSVACPLTNVGCQNAPAELHIPQQQVGLVETADAMPGSSLSLLFRSIWSDPCLEFAFKTLTSDIPVLDNNLAVANYFLPPQDLNKGTAPNCSSSTYDGTRKNHAQVDHVRRLPMPRPSDKLYSSGWFPPQ* >Brasy9G314500.2.p pacid=40060257 transcript=Brasy9G314500.2 locus=Brasy9G314500 ID=Brasy9G314500.2.v1.1 annot-version=v1.1 MSSSEGSHPATPNGAKRTVMVDSKTEPAGEGLPDGWSKGCRPRKIRPGSRIRGDKFYIDPTNSYEFRSLKDVHRYIEAQDISNCVVTPIKRKTEDLQIARNQSHDAGRLSGNSQMDTAHEYNPEIRENSENIPSSEPKGVSKGDETELELQKARKSNRSLKHESSPREEANVEKKPREKKQKTKPVKQFTAPLRASPRLTAMKINQEANNVPRDAIVSTRTDIADHLPPKQVKDPKSKSVSSLLSQKKDGAHSTSANTEVKYPSVPEQILGGSVACPLTNVGCQNAPAELHIPQQQVGLVETADAMPGSSLSLLFRSIWSDPCLEFAFKTLTSDIPVLDNNLAVANYFLPPQDLNKGTAPNCSSSTYDGTRKNHAQVDHVRRLPMPRPSDKLYSSGWFPPQ* >Brasy9G197700.1.p pacid=40060258 transcript=Brasy9G197700.1 locus=Brasy9G197700 ID=Brasy9G197700.1.v1.1 annot-version=v1.1 MPTSVATTLPYPKPPQSPRLLHRSRHYSGLRLLLATASPFSPPLACSRRKPNSTIHASSDPAPSSSSSSSFPSSPTPPPRPPPTDPPSTVAHAGRSKKNKNTSGGRIEGSGDVRREAKSRAKRRSRRLGENAFYRRKRQAAAGQADVFTDEELEMIGLGYDRSVRFMDGPDDPRLRHPHDWYRFGQYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVVDHDDWDRHHQFEMSNQFSNRLNELDATVGFRYFWVFVRHPSWRPNELPWQQWTLSAEVAVQASKDERLDKWSLMGRFGNPTRELITRCAAWTRPDILYVKRPLYQSRFEPQEEFFSRLRPLVDPSTENQFLFDLEQDGRVIQTTYFGGLCRIVKANPKSYVDDVVDAYSKLSDADKSRCLEFLLTNHPTELLHPYTKEWKVKLEEMELGCDAPDESDDEGADDTENEVIDWVEDDDVDVIDDIEDDVDNNYEAEEVADVSGEVEADEIIENSEENEEYWDEQWKKAMKSSDKMEKLVKTRFEESSEYEKQQMQQQKEMESEVGTSNTMLMEQEQTEEYEVKQFQLDSARGRSGKDRVKGEAHLRAAVRPFTYRNLVKEIVLMRHQIIEGEIV* >Brasy9G242800.1.p pacid=40060259 transcript=Brasy9G242800.1 locus=Brasy9G242800 ID=Brasy9G242800.1.v1.1 annot-version=v1.1 MSTLEVSKFDGNGDFRLWQVKIHSVLVDKGLDMVLEGGEKELSDEVKKLDKKALAVLRLNLADNVLRQVCGEKTALALWKKLEALYLDKSLSSRFYLMMRLFRMHMTEGSSMDKEHLAILFLCSLPDSYEGIRDQILYGKESISMDDAISILLSKDLLKKTRMDLFQSSPPRMVH* >Brasy9G157400.1.p pacid=40060260 transcript=Brasy9G157400.1 locus=Brasy9G157400 ID=Brasy9G157400.1.v1.1 annot-version=v1.1 MTSPRSAARSVRQQQAPSDVVSTSGLVPVQAARTGIHNKDSSKQQPVVHTRSEFAAAMQSALVKIQEAAAAGSEGEAAFDEMEQAMTGLMALSYKEAEPPKLPRVFATRWAQDDANPLMEDVMDDPVTLASGYSVDETYYQWFVSQKNTCPVSGHSLPHSISVPNHLLRDMIAAWCLDHSKPAPSTTADILSVPLIPPSEEQIQVILEKLSGSLGPPKETLHLIQLMSKTSKGVQPCLEKCPDLTAVLLDLKKKWKLLWTPDLEEERITIILNLSMHRPNREILAEQRKLPGVLLKVIEKTDSLGSSASLLAIVASIIALLSEFDMFRKKMLDIRGMKLLRDLLKIEDVVVRKESGTAILALCTDEEGKTSAEDYDVADTLLECFMVTDEFLLLLELLPKSPHALDKICDQAVEWVNIVMGEHASGMVTSRGIHSAISLISIIAERDVGKLKVKNLEDFKERLRELTSKRMPMQTMFLVDRIMKTLSEMFPAPTSRLQN* >Brasy9G157100.1.p pacid=40060261 transcript=Brasy9G157100.1 locus=Brasy9G157100 ID=Brasy9G157100.1.v1.1 annot-version=v1.1 MFYDAACPMCSLLACSAARSGRRGLVRCTALISTGCRHVWLQGVQAAGSIWGRPGAQRRLRHCRPPLRPGWIRPSPCLRCALSLVQGVLSLSPAEPTTSSSAGGTGVLRCGSIGRRHNPCRMCSPSFKQPGSGDKATSPRPKRPDPLATTANPRQSPSSSFPRGAPPPLHMAEATDRPPLQLAGSTHADPRDQKTQSPSSMPKPTPSGRRRSSGHFIPDTAADISAKRAAQRTLTNLQLRRETGDPTATTGRRIRVPARHPTPKGLRRRGGTDGRAGGAENARNRPSVSSLYCSEPRGFWKSCGGCFSTCLHFTLDPTFQHDF* >Brasy9G056900.1.p pacid=40060262 transcript=Brasy9G056900.1 locus=Brasy9G056900 ID=Brasy9G056900.1.v1.1 annot-version=v1.1 MIPQVQDFDFCSRPFQEICVYQPSLTKIPPPQRQRSAENGFPNLAGGRRSRRVQGARRRRPPPEDPPPRRLPHHPPPSRTRLPALVASRRRHGLPPPIPRAPPAQPPRLVGQIHPFMMIWPPAFPALKDDSTSYKSHG* >Brasy9G131100.1.p pacid=40060263 transcript=Brasy9G131100.1 locus=Brasy9G131100 ID=Brasy9G131100.1.v1.1 annot-version=v1.1 MEATAPTAGADANGSLSVAAARAQVGPPTNPMATALLTDQYQFSMAYAYWKAGKHADLAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEDDISFLQSVMPMCEDAFFDYLREIDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFINLINYASLVTTNAARHRHVAGKSKVLMEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGHLFGIPLRGTHSHAYVSSYMSLDEIPDRTLRNKDGSRICKDFVGLVKEWLHKIQVADSLCSVFGETNQSELAAFASYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIESRKVFHAIEKEFNIPGFGKMIITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGSSDKPRAELPSLEKIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHYLWLNEAPVGELQ* >Brasy9G039400.1.p pacid=40060264 transcript=Brasy9G039400.1 locus=Brasy9G039400 ID=Brasy9G039400.1.v1.1 annot-version=v1.1 MSPLRQAGRALLLPAPAAPSVSSSRSRLQQWEYFPGPPFARGLRHESLFSPSRHYRLPLSWAGLSARMLSTCLEHQDFTWSSSLGARMAQMDIVNALRKGDRQRASVMLSNLQRTKEALTSEDISDILEYCAEAPDPLFVMETLELMEENAISLSKNNYRSVTRALSKGGHMKEALSWLNSLGEKESTHSPLPIFNIFLSACASIRNLNDAESCLETMGIHLVGKSEITYCELLKLAVFQRNLSAVNDLWKDCNRYYSPSIIIQRKFLWAFSTLGDLQSAYQILQRMVVLAGESPDHLRVSCKRRYQSTRLDIPVPALNEVEDLKLVLDSDLSSSFERKMGTRGDPIDAQLELLQVETQSSKHEQLEGYVAFLAAGDSHVDNSEIDSRRMTKTLRFAPLAVRKILRWSFNDIIHACMRLDNCELSEQLFLEMCKIGLRPSRFTYDGFIKSVVAGKGVAHAIKVIEVMDRRGIEPYNDTLAALSVGYSKSLQLDLAEDFLERISEIQPKYIHAFNALLSGCDIENEPERAIRVLAKMKHLDLKPNLRTYEHLFSVFGNVNAPYEEGNMLSHAEVLKRISIIEMDMLNHEIKHSFLSMRNLIRAFGAEGMIGEMLRYFNVAENVLWKMTYSQKSDLYGIVLHALVKAKETHKAIRAFKVMRSCGLPANIAIYNIMIECCELLPCFKSASALLSLMLRDGLCPTIYTFTSLVKVVSVKEDFEGALDLLDICITGGIQPDIQIFNTILSEANAKGQIHVVEYIVECIHRAKTRPDQSTLWYTFCAYVDKELYNTAIEALQVLSMRMISLDASILKEKGAVLEDLILDEEPDAELRIMKAFEPTEEHIVTALLNLRWCLTTGSTISWSPEDSLWARRLASSYDGKKRPDVL* >Brasy9G278800.1.p pacid=40060265 transcript=Brasy9G278800.1 locus=Brasy9G278800 ID=Brasy9G278800.1.v1.1 annot-version=v1.1 MASPATPTEPTGTQEEAAARQSLMGISRSVPDVGAAPSGKLPNGRVGNGQDDGGAADKYRSMLMSISNQSPDASQSSPTPCPPHSNGAA* >Brasy9G294900.1.p pacid=40060266 transcript=Brasy9G294900.1 locus=Brasy9G294900 ID=Brasy9G294900.1.v1.1 annot-version=v1.1 MGKLCCGSEPEDEAGFNFMGLLVAAVIALVLMLLCTPPRRRSVTIYPCC* >Brasy9G232000.1.p pacid=40060267 transcript=Brasy9G232000.1 locus=Brasy9G232000 ID=Brasy9G232000.1.v1.1 annot-version=v1.1 MLCESNDHNLFRMGAWCWRPGGSVPRRIWLIPFLLYRAPAITSARSWLRRNHWGAGRARYTHDRSPPSSGTKSDRPPSACLILARLADCCQKSQ* >Brasy9G083900.1.p pacid=40060268 transcript=Brasy9G083900.1 locus=Brasy9G083900 ID=Brasy9G083900.1.v1.1 annot-version=v1.1 MDSTDAGMAVAPATSSSVGHGGGEGASILFLPIPGAQGHTNPMLQFGRRLAFQYGFRPTLVVTRYMLSTAPRPDAPFRVAAISDGFDAGGMASCPDMAEYVRRLEAVGSETLSRLISDEARAGRPVRVLVYDPHVPWARRVARDAGVPAAAFFSQPCAVNILYGEVYAGRMAMPVTETDARALLARGALGVELGLEDLPPFVAVPELQPVFTKTSIWQFDGLEDADDVLVNSFRDIEPTEVEYMESTWQAKTIGPSLPSLYLDDDRLPSNKSYGFNLFSGDDVVCMEWLENQTISSVVLASYGTFSEYDESQLEELGNGLCSSDKPFLWVVRSNEAHKLSEELKTKCDKNGLIVSWCPQLEVLAHKAIGCFLTHCGWNSTLEAIVNGVPLVGIPHWADQLTIAKYVESAWDMGVRVQKGLNGQVRREEVERCIKEVMDGERKDEYKRNAAKWMQKAKEAMRAGGSSDNHIAEFAAKYSSS* >Brasy9G136600.1.p pacid=40060269 transcript=Brasy9G136600.1 locus=Brasy9G136600 ID=Brasy9G136600.1.v1.1 annot-version=v1.1 MESLASQAKPAAVLWLAGFLQAARLHRVVFFCASSRPLSIRIAQCFLLNGFIFLGSLLTLKSVVIPTILWILPEECDQLRGHLCDHTAAVATYSFLRSGLVEIFYVFWFYPLYIFSFIISTLWYGDIAKHALDVVKSKKLDASQAFDADTDKTSESADRPEGFDGLAIGVGEQVYSILLLTIFFAEVTVVGYIPYLGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNHRLDFFESNWAFFAGFGSPCVLPIFFLSPLASYGVMAILYPLFVMTAAGTQAEQEIDELKPLHGGKLKRIPLFFVAKRLATQLLELFPEAQKEQ* >Brasy9G136600.2.p pacid=40060270 transcript=Brasy9G136600.2 locus=Brasy9G136600 ID=Brasy9G136600.2.v1.1 annot-version=v1.1 MESLASQAKPAAVLWLAGFLQAARLHRVVFFCASSRPLSIRIAQCFLLNGFIFLGSLLTLKSVVIPTILWILPEECDQLRGHLCDHTAAVATYSFLRSGLVEIFYVFWFYPLYIFSFIISTLWYGDIAKHALDVVKSKKLDASQAFDADTDKTSESADRPEGFDGLAIGVGEQVTVVGYIPYLGKAMNFLLLSLMYAYYCFEYKWNFFAVSLNHRLDFFESNWAFFAGFGSPCVLPIFFLSPLASYGVMAILYPLFVMTAAGTQAEQEIDELKPLHGGKLKRIPLFFVAKRLATQLLELFPEAQKEQ* >Brasy9G162000.1.p pacid=40060271 transcript=Brasy9G162000.1 locus=Brasy9G162000 ID=Brasy9G162000.1.v1.1 annot-version=v1.1 MERAVPVRNPHTSTADLLTWSATGADASAASPAASSRPSLKPAGGITPAMFGAPVSEHDAEDLSNSERKFVSGSKMKEMTGSGIFAEKDEYGDSESANPANRTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTSESDAEAKINKHLSEAKNKELSGSDIFGPPPETPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEDPVTKTSKKIHNQKFQELTGNNIFKEDASPGSAEKSLSSAKLKEMSGNDIFSDGKASSRDYLGGVRKPPGGESSIALI* >Brasy9G192600.1.p pacid=40060272 transcript=Brasy9G192600.1 locus=Brasy9G192600 ID=Brasy9G192600.1.v1.1 annot-version=v1.1 MADGDSSDFTFCKVDYAGNDDHLESPNSIPVESMALEDVGEDDRQTDNPFTDGRSSSISSRVNSLSLQDSNIKEPVAPKSSGESVQLNVPPQPKPTKKPAARAKVPFEKGFSPMDWLKLTRTHPDLAGLKGQTNRRLISLEEVKQHKTGDCIWTVLKGRVYNIGPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPSE* >Brasy9G192600.2.p pacid=40060273 transcript=Brasy9G192600.2 locus=Brasy9G192600 ID=Brasy9G192600.2.v1.1 annot-version=v1.1 MADGDSSDFTFCKVDYAGNDDHLESPNSIPVESMALEDVGEDDRQTDNPFTDGRSSSISSRVNSLSLQDSNIKEPVAPKSSGESVQLNVPPQPKPTKKPAARAKVPFEKGFSPMDWLKLTRTHPDLAGLKGQTNRRLISLEEVKQHKTGDCIWTVLKGRVYNIGPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPSE* >Brasy9G215800.1.p pacid=40060274 transcript=Brasy9G215800.1 locus=Brasy9G215800 ID=Brasy9G215800.1.v1.1 annot-version=v1.1 MSSLSWSALPLDLLLEISARSNPVTLVRCAATCKALRRETADPAFHARLRLRHADQFVPRLLRGFFLQDLEVLEGGHPRFVVPQHPMDPSPEPFRSFLSEYVTLFDFYHGDMAGSRGLVTLRCDTPDGSMARPGPGGACVFNPMTGYTCCLGQPKIKAQSYVLLTSDDKDGGECHYLLLAAELAPVGRIRTQAFSQDQDACPRWQPVTETAVAPCPPEDAALLRRPPLVLQGDDAHWLCGSSEYHFVLRLSCACEHKLQASVTKIDGRCGEQLLGRRPEELLLVSDGQGGKQPRLLVATGLQISLWTLSSDDYGEGGGGWSMQVLVEPERIRCGAPPGPWSERLELRWFGEKSGCVFVRMFGAPAEEESSSPSTSWYFVLELLPERRIRGLCKRSLARDELVFFPYEMDLPFWHPSFTRQLQ* >Brasy9G098900.1.p pacid=40060275 transcript=Brasy9G098900.1 locus=Brasy9G098900 ID=Brasy9G098900.1.v1.1 annot-version=v1.1 MDPRSGGGGGGGGAAGAGDDQILWDWQAKEHCEPSDANHDVAKFVWDCLNQDDDDDELLGMLGNQTPLRDCRACFDIGDISCKETLDLEESRESKRRRVLAYPSEFSQPEFGDHEMGSTSVMSEVTETSLLCTDELEGLNWDVQHNSNNLVSDKTSSLSIGAPYWPSDNHSESCSDGTIMYHTPDQMPSSQESVTYIDVDGQTDVQGTTETAPVTESLIMQETRKLSKLKVSKGGSSLIKVKQNITTTIAYPFTLIKPSWEEGDVTLKDINQRIHAPPKKPPEILGTSAFSGKPVINKTRIRTEGGKGSITILRTKG* >Brasy9G098900.2.p pacid=40060276 transcript=Brasy9G098900.2 locus=Brasy9G098900 ID=Brasy9G098900.2.v1.1 annot-version=v1.1 MDPRSGGGGGGGGAAGAGDDQILWDWQAKEHCEPSDANHDVAKFVWDCLNQDDDDDELLGMLGNQTPLRDCRACFDIGDISCKETLDLEESRESKRRRVLAYPSEFSQPEFGDHEMGSTSVMSEVTETSLLCTDELEGLNWDVQHNSNNLDKTSSLSIGAPYWPSDNHSESCSDGTIMYHTPDQMPSSQESVTYIDVDGQTDVQGTTETAPVTESLIMQETRKLSKLKVSKGGSSLIKVKQNITTTIAYPFTLIKPSWEEGDVTLKDINQRIHAPPKKPPEILGTSAFSGKPVINKTRIRTEGGKGSITILRTKG* >Brasy9G027400.1.p pacid=40060277 transcript=Brasy9G027400.1 locus=Brasy9G027400 ID=Brasy9G027400.1.v1.1 annot-version=v1.1 MVAAEQENGSSAATAAGDMNSKAQLVSVKRGEPTLVAPAGPTPTGEHYYLSNLDQNIAVIVQTVYCFKRPPSGRGAGHEGAAGVLRDALSRVLVHYHPLAGRLAISPEMKLAVDCTGEGVVFVEADAACDLADMGDLSTTPDPAALGQLVYSVPGAKNILQMPPITAQVTRFKCGGFSLGLAMNHCMFDGLGAMEFVNSWAEMARGATELTVPPYLDRAAVLRARDPPLISFPHREFEEIPDVSGMAALYGDQDLTYRSFCFDPDRLERVRGLAQAQSGPALSGARFTTFEALSGLVWRARTAALGLAPEQQTKLLFAVDARRRLAPPLPRGYFGNGIVLTNALATAGELLASPVSTAAAMVQDAVRMVTDEYVRSAVDYFEATRARPSLASTLLITTWSRLAFDGADFGWGQPAMSGPVTLPEKEVILFLAHGQERKSINVLLGLPASAMDAFQDLMDQI* >Brasy9G359400.1.p pacid=40060278 transcript=Brasy9G359400.1 locus=Brasy9G359400 ID=Brasy9G359400.1.v1.1 annot-version=v1.1 MVIHYPDLQTAVREPAGGAGAGDGARALAAHQVRAPNATIGSEGRAAVALLLLLVGAQAEHERAPNAALCSAVALLLLLVGAQAEHRRAPNAAICSEGCAAVALLLVLVGAQAEHRRAPNAALCSEGCAAVALLLVLVGAQAEHERAPNAALCSEGRAAVALLLLLVGARAAQERAPNAALCSEGRAAVALLLVLVGAQAEHERAPNAALCSEGRTAAALLLLHGGGDRDEESHLGGGRALLALGCDGDARRSEDDIACCVLDGVLGGVLEGVLDGVLGCGYGRALLTLAYHEIRGAKERLSPAEERPSPSSQSGSIPTAIELRSQVYRVNMSSDFKVAGLAGVEGTDLTSLDVLATNPMTYHCVWERALRKDARRGDARKSCRIHRRRRCLPSASLAAAAAAAAATSQADARRTRACSLRPCMSWDTYSSRPSRARTAPSAPPARASPLLTLHLHHAGGTSRLALPPQCTVYLIYQPAASC* >Brasy9G171800.1.p pacid=40060279 transcript=Brasy9G171800.1 locus=Brasy9G171800 ID=Brasy9G171800.1.v1.1 annot-version=v1.1 MVKTMAGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAGPARKTFARTAIAISKFEPVTICASAEQYPYVHELMQHQPNIRVLEMSMNDSWFRDTGPTFITRKGGSDIGLTDQTIAGIDWEFNAWGGVGGGCYDDWSLDRNIAKKIIDIERIPRFPHRMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVTKIIWIPRGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDENDPQYERSVEALATLSQAVDAKGRHIEVVKIYVPRPLYITKEEGDGIDATGHAVPRVPGDRLAASYVNFYAANGGIIAPAFGDKQRDDEAREVLQKAFPDHEILMVEGAREIVLGGGNIHCITQQQPVRPS* >Brasy9G106400.1.p pacid=40060280 transcript=Brasy9G106400.1 locus=Brasy9G106400 ID=Brasy9G106400.1.v1.1 annot-version=v1.1 MGRQRGRVVLRRIEDRRRRGICFRKRRDGLVKKAEELAVLCEADVGLIVVNPFDRSFHCFAAPATSPCSAQDGSTFNFLMLTASKVFYEIS* >Brasy9G196700.1.p pacid=40060281 transcript=Brasy9G196700.1 locus=Brasy9G196700 ID=Brasy9G196700.1.v1.1 annot-version=v1.1 MTMGFCGPLLLWAIGACWHSCVSNCPSGVFPKFPGVRFSRGFSPAWGGRPPQPPRCASSAPRTARRGASRISSLCSPARRLALSPLRVARASSVITSSHGRAQRLAVTSLVRLGAPPRRFLHARSPAVDPPMPTLLPTLGLGPPLAVTGRRRVWRTAHFPPGSRSRFSRIVRWLPLSPSTQSSSAEASHTRSRAPPPLPLPPPPALSRDPPRSFSLHPTPLPLPPVHAALCSATSRTPPPSCPAASNLLPLPSEVFFFNLHLLYPPNFLLPPEPYFPPSIAFFFSGTICELLCPIEMLLAG* >Brasy9G035900.1.p pacid=40060282 transcript=Brasy9G035900.1 locus=Brasy9G035900 ID=Brasy9G035900.1.v1.1 annot-version=v1.1 MEHQSPRGEFSVITSTLERGDNVTRISAMNHTMAHLTMGMSLPDSMQFHRLIASLSSILCQSHNNITINRAVHNTLKAIRASIINARMMDPLAHYNALEAINNGWEDEAFGYILRDPVDLRCTGLPRLSGNVNDVRCVVTFVSLLTSPHTDVVYGCADALLSLSPFVPGFACAVTKAYCNCLSKTPPLQIDNMIKVLDHLRQVSSAIKADSDVDNVAICVLRALAIRDHVLQQRKILDLAVNIFNPRNDENIMDPPVTIVEYRIMLEKMIDACYIQYLMG* >Brasy9G016500.1.p pacid=40060283 transcript=Brasy9G016500.1 locus=Brasy9G016500 ID=Brasy9G016500.1.v1.1 annot-version=v1.1 MPKAAGDAKLLIQSLTKAYAATPTNLKIIDLYVVFAVATAVVQVVYMGVVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLAPERAFADFVLCNLVLHLVIMNFLG* >Brasy9G257000.1.p pacid=40060284 transcript=Brasy9G257000.1 locus=Brasy9G257000 ID=Brasy9G257000.1.v1.1 annot-version=v1.1 MTERKPVCSPPSKLPRLSGARVDDGEVTMAAPSPLILGLGLGVGGSDKEPSASSSRDTRPTPKPTASLTFMQRQELECQVLIYRYFAASAPVPVHLVLPIWKSLASSSSSSSGLQRFPSLTGLGRLCFDQRSSVEPEPGRCRRTDGKKWRCSRGVVPGLKYCERHVHRGRGRSRKPVEAAATNAVPIRAVHADAGAQAANARALALPPPPPPPQRFSCSTGAGVLLVHGAARAPR* >Brasy9G318100.1.p pacid=40060285 transcript=Brasy9G318100.1 locus=Brasy9G318100 ID=Brasy9G318100.1.v1.1 annot-version=v1.1 MTAAAKAAVAGEESQHGRTGKRKQRSCSSERKAAADEEQKGRASKSKAGCSELKLGSETAGAAKSEEEAPKKKMTRLPQAEVNMILALPNDDDHAPPCVKAWYRQNPGRRPTEEEDDTALLFIEIQEEFGEFQAWIRSEYDKNGYVEVDEDFLADRAQVQAWSDEAREASLKELNLPEEHEDLKIFFRRAYP* >Brasy9G195700.1.p pacid=40060286 transcript=Brasy9G195700.1 locus=Brasy9G195700 ID=Brasy9G195700.1.v1.1 annot-version=v1.1 MVLHAACRVALFLLVWAAGRAAASRGEYDGPLLNGNFEDTPDRSQMDGLRVLGPYAIPYWKTTGFVEYVERGAKQGDMALTVPEGARAVRLGTESSIEQQLSVTPGRHYAITFSAARTCTQADERLNVSILPDGVAAGQLPIQTIYSHSGWDSYAWAFKAKHGLVTLVIHHADDKVEDPACGPIVDNISIKTLNPPHITHDNFLRNGDFEEGPHINPGSPWGVLLPPTNEDPTSPLPGWSIMSYSKVVKYISSEHSRVPRGARAVELVAGLEAALVQEVDLVPGRSYKLEFTVGDAANGCVAPMSVMVATAHGSQSVTHNSTGAGGCTRGRVDFTAEVNRTRVVFYSSGYHTTSDGTGTLCGPVIDDVSLVFVHPHARRLLR* >Brasy9G324700.1.p pacid=40060287 transcript=Brasy9G324700.1 locus=Brasy9G324700 ID=Brasy9G324700.1.v1.1 annot-version=v1.1 MRSRWSMESAAFLLAAVIGLLLASAPVARSWSTEGHMLTCQIAQDLLEPAAADAVKNLLPEEAGGDLSALCVWPDQVRHWYKYKWSSPLHFIDTPDQACSFVYSRDCHDPSGAKDMCVAGAVANFTSQLMHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSVNLRWFKHKSNLHHVWDREIILTALAEHYGKDMDAFRKDLEHNISKGAWSDDISSWGDCQDLLSCPTKYATESISLACKWGYSSVHDGDALSDDYFTSRLPIVARRIAQGGVRLAMILNRVFGERNRDVLASPNLAAATDEL* >Brasy9G064800.1.p pacid=40060288 transcript=Brasy9G064800.1 locus=Brasy9G064800 ID=Brasy9G064800.1.v1.1 annot-version=v1.1 MPTRDSKDPLLRLIGPSRAIVYREPVDFEVQLRVTGRNMSRDRPLISRVCSYTGWQNGVSTLCLENCFCKIEMCMEIVARTVQATILSVRVKDKAWPFDYGGRVACSSPPRTAVNGRDVIYGTDPSSREFVLLDSCGEAMPDCSDGYLCLSRKVVSVQLGGTLKFFIQAYSSSGDVTAEGEVSFMAKSFSVSQSTCFLGDTKVEVEITVAWSLLVSDKDSVASHGWEFEASDQLDHLLSWKLQ* >Brasy9G064800.2.p pacid=40060289 transcript=Brasy9G064800.2 locus=Brasy9G064800 ID=Brasy9G064800.2.v1.1 annot-version=v1.1 MPTRDSKDPLLRLIGPSRAIVYREPVDFEVQLRVTGRNMSRDRPLISRVCSYTGWQNGVSTLCLENCFCKIEMCMEIVARTVQATILSVRVKDKAWPFDYGGRVACSSPPRTAVNGRDVIYGTDPSSREFVLLDSCGEAMPDCSDGYLCLSRKVVSVQLGGTLKFFIQAYSSSGDVTAEGEVSFMAKSFSVSQSTCFLGDTKVEVEITVAWSLLVSDKDSVASHGWEFEASDQLDHLLSWKLQ* >Brasy9G061100.1.p pacid=40060290 transcript=Brasy9G061100.1 locus=Brasy9G061100 ID=Brasy9G061100.1.v1.1 annot-version=v1.1 MALFASTPSTKAKLGTIVAALELSLIVTILAAPVALPGCPEACGNITVPYPFGIRQGCSRPGFNLTCDETRRPPKLFLGDGVEVDAISLADGTMRVQSKIITVTSRDFNGSWSSGMMPNATISVSTEHNVFAVLGCNVIAHLAPSYNVSKQLYNSACAALCDDYWTDIGWAWDAPCSGVGCCHTTIASGLPAYGVQFKDFSQKSYPVSDEVKFREDTPFSFAAAIVDSNWFSGNVGFMFNNTYNDAHHHQGEVTVVPTVLDWWLEQWRDDDILFFDPNLSIGRCISLNSVVTNVFTSLVSSKSRCSCLDGYEGNPYIVHGCQDIDECQQPDVYSCVHGTCINMPGTYRCLSKKSIISLPGLITVIAISAGLGLLFSVLGAAKITKKLKQQRAKKLRQIFFKKNHGLLLQQLISSNKDIAERTRIFSWEELEQATNKFDNNRILGGGGHGTVYKGILSDQRVVAIKKAKIVVQREIDQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFHLHGQSENPLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLADTLTAKVSDFGASRSIAIDETGILTAIQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVTPVFSSQSSEGTSLASHFVSIVRDNRLLDILDTQIFEEGGAEDAAVVARLAEACLSLKGEERPTMRQVEISLEDVQSSKVHHNSQTARVSQDALTDQSCKGNKVGEGTRLYSLEKEFIQSSEIPR* >Brasy9G093500.1.p pacid=40060291 transcript=Brasy9G093500.1 locus=Brasy9G093500 ID=Brasy9G093500.1.v1.1 annot-version=v1.1 MRCAPPPPAAAAAPWGAQGEGSPHHVGAASFDPHADAAGRSGFAAMWLFWRTRNRFSIEELRYLTEQLQKVHVIYEANKDFVVEALRSIAELMIYGDQHDASFFEFFMEQEIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSVYYIFSNEHINFLITYSYDFQIEEMLSYYISFLRAISGKLNKNTISLLVTTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDMVKHFQKQCIDLDKLVVRSARNANSSVAMASIEDAIVQIEDALYYFSDVMSSGIPDLGDFITENILQLLVFRIVLPSLQRQSTELLISVSTSMYLLCCILHIFKDKDMASTVAAALFHQPDCPDRKQGAPNGCISGHGHGISDNQVSSTSAADQSHEDKLTPLSSANLQYLPDHPSPSDFCQGNTLREHLLSYITGGDNFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDIAERQLFSSNSGLADDSICSDFDIYIRKLQNKYGLQCFHPRQMTSKVHRYQVLDALVALFCRSNINADVRLVGGWLFRQLLPHGEEEFTTFHLKRLKASHKDCSAKLLEESGGCWRDLLFPIVREAWKNCKKAIEASSPPKGSKSIISPLDPCSLGGDSSVAIAERIYEMVKGFVLQHQVILFCLGETLTDQPPTYSPVDLPVNKRANAAGLDGSVPKPGLEVNLVNAVPCRIAFERGKERHFCFLALSNGSSGWILLLEELPLKQNGIVRVTAPLAGSDPRTDEKHPKWLHLRIRPSTVPFLDPEKFKGKAKKYLVDGRWTLAFRDEQACMAAEAMVMEEMKLQQEAVAKQLKPLVELDMPEDGLQHTLPSQQTLSDDSP* >Brasy9G093500.2.p pacid=40060292 transcript=Brasy9G093500.2 locus=Brasy9G093500 ID=Brasy9G093500.2.v1.1 annot-version=v1.1 MRCAPPPPAAAAAPWGAQGEGSPHHVGAASFDPHADAAGRSGFAAMWLFWRTRNRFSIEELRYLTEQLQKVHVIYEANKDFVVEALRSIAELMIYGDQHDASFFEFFMEQEIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSVYYIFSNEHINFLITYSYDFQIEEMLSYYISFLRAISGKLNKNTISLLVTTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDMVKHFQKQCIDLDKLVVRSARNANSSVAMASIEDAIVQIEDALYYFSDVMSSGIPDLGDFITENILQLLVFRIVLPSLQRQSTELLISVSTSMYLLCCILHIFKDKDMASTVAAALFHQPDCPDRKQGAPNGCISGHGHGISDNQVSSTSAADQSHEDKLTPLSSANLQYLPDHPSPSDFCQGNTLREHLLSYITGGDNFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLNKYGLQCFHPRQMTSKVHRYQVLDALVALFCRSNINADVRLVGGWLFRQLLPHGEEEFTTFHLKRLKASHKDCSAKLLEESGGCWRDLLFPIVREAWKNCKKAIEASSPPKGSKSIISPLDPCSLGGDSSVAIAERIYEMVKGFVLQHQVILFCLGETLTDQPPTYSPVDLPVNKRANAAGLDGSVPKPGLEVNLVNAVPCRIAFERGKERHFCFLALSNGSSGWILLLEELPLKQNGIVRVTAPLAGSDPRTDEKHPKWLHLRIRPSTVPFLDPEKFKGKAKKYLVDGRWTLAFRDEQACMAAEAMVMEEMKLQQEAVAKQLKPLVELDMPEDGLQHTLPSQQTLSDDSP* >Brasy9G194000.1.p pacid=40060293 transcript=Brasy9G194000.1 locus=Brasy9G194000 ID=Brasy9G194000.1.v1.1 annot-version=v1.1 MGHSARKKKKKRGGAGRKAAKDHAAQLEGDQAALTDELTALAAIFLEDFKVTSESPHTRFNICVRPYSDGMGFGDLNVSAILDVICFPGYPNKCPKLRIIPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFDLVEAAQEFLSEIAPANDSGSTDPHLGSSTIQQTTNADVKVGIDSGPCAGISYIYHLFDLYSQLSDDNSWQRQGVDPTTDSARKNVGSQVKSNVRSKRKTVDEKSPFSADKVNAAKSSSLDNAEQQHVMKHSFTREAVPSLHVVAEETDNDSKTLSTNDGGGLSDTPERSFSVVHETEDSDADEAWNDEECGSASGSSQSYAPDMFDDASRNKKRDLILVHLLRLACASKDSLSGALPVISSELCNIGVLSEWAKELISESPADFGETFDHVFGQQMISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGHHHVLNTGGSRTAESSMFSYDDISLSDAGAGNKQESTYLYIQMEYCPRTLRQDLETYSSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDNDQYLPTEAIGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSAGVIFFELWHPFSTAMERHLVLTDLKQKGDSPISWAAQFPGQSNLLRRLLCPSPSDRPSAVELLQNELPPRMEDEWLNDVLRMIQTPEDSYVYDQVISTIFNEDRLVAKMQCQRESSKKSTCKNDYSELLESIIEVSKEVFKRHCAKRFQISPLHTLDWKSTEISGKTVKVLTQRGEMLELCYELRTPFVMWVAANQVSSYKRYEVSWVHRRAVGHSTPYRFLQGDFDIIGGSSPITEAEVIKVALDLVRRFYDSKATVIRLNHSKLAEAVCSWAGVPHDRRQNVAEFLSSTLVQYCPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADLVLARLRGTLFYDKSACKALDDLSTFLKCLRVWSVEEHITIDVLMPPSDYYYTDLFFQVYSKEGNPVPSSHEKLLAVGGRYDMLMEQAWDKTYKSKPPGAVGVSIALEKFLPNNPSYDVGLPRIEHSISILVCSKGGGGLLNERMELVAELWEANIKAQFVPLEDPSLQEQYEYASDHDIKCLVFITEAGLSQTDLMKVRHLDAKKEKDVKREDIVKFLSDAISLQFKNPTIWS* >Brasy9G201600.1.p pacid=40060294 transcript=Brasy9G201600.1 locus=Brasy9G201600 ID=Brasy9G201600.1.v1.1 annot-version=v1.1 MAHFPRGTALLRSAVGRLKTAPAARCAAFSSAAAPAGPDAAATGREGVIAAAAAAAAAGSGLGLWLMPSLADRGEAVGATAGQISEAAAGAGAVEERQEKRRRFLFGDSYRKRVFFNYEKRIRLRSPPEKIFEYFASVRNPDGEMFMSPADLMRAVVPVFPPSESNIVREGRLRGERSPGELHCAPSKFFVLFDTNTDGLISFAEYIFFVTLLSIPESNFSAAFKMFDVDFSGEIDREEFKKVMALMRSCNRQGAMHRDGLRTGFKVGQSVENGGLVEYFFGNDGNEPLHFDKFTSFLKELHDEIIRLEFSHYDVKSTETIPAKDFALSMVASADMNHISKLLDKVDMLDNDPYLKHLRITFEEFKAFADLRRRLEPLTMAIFAYGEVNGLLTKHDLMRAAQHVCGVELSDRVVDIIFHVFDTNEDGNLSSEEFLRALQRRENDIHQPTTRGPVGWLNSKRCSWLPQMIL* >Brasy9G260100.1.p pacid=40060295 transcript=Brasy9G260100.1 locus=Brasy9G260100 ID=Brasy9G260100.1.v1.1 annot-version=v1.1 MTFPLVCYCNAVPRPIVAVFRFIHATALAFVLVLCLLGLYEFPYTPDDHPPLINGQRRRAPARDGLPPETVKQLLPSVEFLAHLAEAEERPQCLPATKKTGRHHGDDDDDQATTTCRVCLERLEMTDEVRRLGNCAHAFHTGCIDQWIDVGEVTCPLCRSSLLPRQRRRGGLLARVRFG* >Brasy9G041600.1.p pacid=40060296 transcript=Brasy9G041600.1 locus=Brasy9G041600 ID=Brasy9G041600.1.v1.1 annot-version=v1.1 MRLLSLALAVALASTMAASQPQPDPPPTWSFPNPRLRAAYIALQTFRRTAIFSDPSNLTANWSGPNVCAYTGVFCAPHPALPGAPLVVAGLDLNGADIAGFLPPYLPAGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGAFPQVVLGLPQLKYLDLRFNDFEGPIPRQLFDRPLDAIFLNSNRLTNPIPPNLGNSPASVLVLAHNRLGGCIPPSIGQMADTLNEIVLIDDGLTGCIPPQVGLLGKVTVFDVSGNLLQGTLPGSVAGLAAVEQLNVAGNLLEGAVPGSVCGLRSLRNFTYEDNFFTYRPGCAAATADGRWNCIPGAPAQRPPAQCAAAAAHPFDCSKAQCQAAAPGSGRGRGRRGGGRVPPSPRGAPPRSTFRRPPLPPRGSTTPLPPPGSGAPPSYPSPPVSSSSPTTPGMTPPPPPTFSESPGHAMPPSSSAPAPPSHGGSPPSTGYEPPSASSPSGGQPTTAPPPAEHPGYVLPPLAPPTAPAPGPSSPLPLPAPGSSWTPPPPQSGGGPPSSPPTLPFPPVHGVQYGSPPPPLPPVYGVSYASPPPPTGP* >Brasy9G050200.1.p pacid=40060297 transcript=Brasy9G050200.1 locus=Brasy9G050200 ID=Brasy9G050200.1.v1.1 annot-version=v1.1 MAGAISCRCSSVVFVGNIPYQASEQELRDACEEIGPVESLRVALDKDTGKPRGFAFAEYRDDETARSACRNLHGRALRVGIQQRQRQGHDGTDRPVGVEEATHAASLVDYGGTAPRPSEAVTRYLAGLSRRQVREFLGAVAGEREECVERAKREFKGLATLIEQARILVDIEAAATPASDEWVGGKRPAAGASGSPEPGAPAAKLRKVEDGVPVPGVACR* >Brasy9G060000.1.p pacid=40060298 transcript=Brasy9G060000.1 locus=Brasy9G060000 ID=Brasy9G060000.1.v1.1 annot-version=v1.1 MWDLNDSPAAEAAPPPPSPPSVDDSGASSSSAAAVVEIPDDDDSAVLTRQFFPPAVVPGEPAAADGARAGWLRLAGAPPPPAAAPSGGGGAAAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYDDIKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARSYDRAAIKCNGKDAVTNFDPSIYAEEFEPTAATDDGEQNLDLSLGSSAGSKRGSLDGGVGDDDGAAGSDQRVPMAFELDWQTAARSTKAKLDQNLKHQQMPPPGLQASRLPFSPRHHQFVSHGGDPGTAGGLSLTVGGASGGAGHWPPHLQQQQQRLHGGWGNNNGAGAGTSWPPPHPAAPPSNAAAAAAAAAAASSRFPPYIASQAQSWLQKNGFHSLARPT* >Brasy9G060000.2.p pacid=40060299 transcript=Brasy9G060000.2 locus=Brasy9G060000 ID=Brasy9G060000.2.v1.1 annot-version=v1.1 MVSSVLPFCSFLFSRARGRRRGGERRECLCVSAQKKRSEDGFGIGFVLFWAFLASAPFAFHSNILSKLFPFSLSSPFVSPSLSLFSRSAALLFFFFSLSPHPFVQCRDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYDDIKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARSYDRAAIKCNGKDAVTNFDPSIYAEEFEPTAATDDGEQNLDLSLGSSAGSKRGSLDGGVGDDDGAAGSDQRVPMAFELDWQTAARSTKAKLDQNLKHQQMPPPGLQASRLPFSPRHHQFVSHGGDPGTAGGLSLTVGGASGGAGHWPPHLQQQQQRLHGGWGNNNGAGAGTSWPPPHPAAPPSNAAAAAAAAAAASSRFPPYIASQAQSWLQKNGFHSLARPT* >Brasy9G060000.3.p pacid=40060300 transcript=Brasy9G060000.3 locus=Brasy9G060000 ID=Brasy9G060000.3.v1.1 annot-version=v1.1 MWDLNDSPAAEAAPPPPSPPSVDDSGASSSSAAAVVEIPDDDDSAVLTRQFFPPAVVPGEPAAADGARAGWLRLAGAPPPPAAAPSGGGGAAAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYDDIKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARSYDRAAIKCNGKDAVTNFDPSIYAEEFEPTAATDDGEQNLDLSLGSSAGSKRGSLDGGVGDDDGAAGSDQRVPMAFELDWQTAARSTKAKLDQNLKHQQMPPPGLQASRLPFSPRHHQARITLFG* >Brasy9G318600.1.p pacid=40060301 transcript=Brasy9G318600.1 locus=Brasy9G318600 ID=Brasy9G318600.1.v1.1 annot-version=v1.1 MAVGGETKKAPTAAPTPTTGASMMEQLVPEITTHALSYLDCISLCRLSMTNSAMRRAANDDGPWKALYLKDFTTEQDNITPPNGWKAYYAATKAIINVNAEFYNIIRGGSLPAMSQFWLNADYVKCIHDTGELFTGYNAVMESWGLLFNWGQDGGQGTDFQLRDVRVRVLADVAWVNMKMQVDVGPGLFHVTNIYEFRNGRWYMVHHHRSLMADAAPHNMFG* >Brasy9G119800.1.p pacid=40060302 transcript=Brasy9G119800.1 locus=Brasy9G119800 ID=Brasy9G119800.1.v1.1 annot-version=v1.1 MPQLAGSDESRPKVVCDTIKFAGSDAGGVNIALDAGAYADDADGWAEWRRISWAWSGLAVAVLERAGSTKGSSNPKTAAAAKEETRSKGAPRPRRLPAMVIGVLPAGKLVVEQRRSPAPGRRGWRRPAGGARVFASEAVETAEPVSPKVSCFGAVRSETKSRPAAPAPAPLGQEEQAEDARSGCWASVASALRALCRSDDDGREGEFWASELSTATALDLESPTKVSVLSPPRTVLGLGDVKRLASRRWTDGMAGDGRCPPV* >Brasy9G228400.1.p pacid=40060303 transcript=Brasy9G228400.1 locus=Brasy9G228400 ID=Brasy9G228400.1.v1.1 annot-version=v1.1 MYPSKFRTRSPKPWSAPCVRVLHAAVPVSFVPRDSQTNASNHQQHLQNSRKTTRGNGMMARPATTARGFQHTPSARAMRLLCLLAAILVSSSLQCHGLLLLPLTNTLSSLGLTNGTSIHHLIRSSSLRSAARHGRHRTHHLPSSRRHRQLSLPLAPGSDYTLSLSVGPLSTASPVSLFLDTGSDLVWFPCAPFTCMLCEGKPTPPGNNNNSSPFPPPIDSRRISCASSLCSVAHSSAPPADLCAAAGCPLDDIETGSCAASSHACPPLYYAYGDGSLVAHLRRGRVGIAAPVAVDNFTFACAHTALGEPVGVAGFGRGPLSLPAQLAPAALSGGFSYCLVAHSFRADRPIRPSPLILGRGPEDPGSETGFVYTPLLHNPKHPYFYSVALEAVSVGGTRIRARPELGHVSRAGNGGMVVDSGTTFTMLPNETYARVAGEFGRAMAAARFERAEAAEDQTGLSPCYYYDYAAAAEDSARAVPPLALHFRGEATVALPRRNYFMGFRSQEGRRVGCLMLMNGGEDDGAGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDSLSRR* >Brasy9G067100.1.p pacid=40060304 transcript=Brasy9G067100.1 locus=Brasy9G067100 ID=Brasy9G067100.1.v1.1 annot-version=v1.1 MAASNASVVFLALIIVLVVVIFILLGICWKFLGPAILRRLLRPRRAPSDVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLEDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGTQRLLVYEYMKNKSLDKILFAAGGAAAADGPFLGWRRRHQIIVGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEILSGRKNTDLSLPNEMQYLPEHAWRLYEESRVPELVDGRVQAGEGFEAAEAMQVCQIALLCVQPHPSQRPAMSEAVRMFTMKTDQSIPPPLKPAFLDRKSLRDSKQEGDMTALEMRSPTSYWMMTPSPMVDRPYDMSFGK* >Brasy9G264600.1.p pacid=40060305 transcript=Brasy9G264600.1 locus=Brasy9G264600 ID=Brasy9G264600.1.v1.1 annot-version=v1.1 MAPSTSSASTLLHLPPGLHPPRGPQRGGWLDHPRRRRPRHAAVRCSFAFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALVNSQAQHPLQIGEQLTRGLGTGGNPNLGEQAAEESKEVIANALIDSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARILEAKEKAASLASVGAQQQAPAPTWSRRLFS* >Brasy9G291500.1.p pacid=40060306 transcript=Brasy9G291500.1 locus=Brasy9G291500 ID=Brasy9G291500.1.v1.1 annot-version=v1.1 MGAASSPSCAALAGWTVVAVLLQVAGLSLFLYGFFPVKPTLPGLSGAESYRTPSCGPVGGGEEPALPPDQLRSLYRELSGVPHVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKSMVSGAIGGFLDVALNFNTQAFLDDNLLDQLHTIGYKLVMLGDETWIKLFPTLFYRQDGVSSFYVKDTVEVDFNVSRHLEFELYAKDWDTLILHYLGLDHVGHTGGRRSVLMTKKLKEMDDVIRRIHTASMSLQDNPDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESSYCSPYDQKEALQVDLAPTLALLFGIPIPKNNIGILLPELVNSLTDDQKLRSLELNSWQIVRLLQKQLPAFCFEDCINPDDGLGIGMLPGSTEEKLCDLLSKAYASRQSPRLHRDSDFKSVEAVGHFGTAVDAYYGFLRYASEWLSHRATDKPLYLLVSAISLMIVSCFSLAGIISCLFRGKSSSQVEQHSELHLDKHWHLDEVFVLMGMLLYVISLGSSSFVEEEQYTWHFLTSSLYLIFLFKTVQSMLTGSNSTLVHRSEAKIFHRNSYSFLSSYKFGPGQRDGYKLYTVLVVLVSGRILRAWHQGGVNWVHIPDISKILAQADPNIIKCLQIISVLVVVVLYAVSLMLLRTRKMHVIGLWFSHFFCGLLVVLHIWESQLNTSVLINHSTTSIAQIFYAIASMSIVLTILVSPWVSPIHSEEAEPTMFLTEYLCGINYSVFLTGITYTMFWCLLQLLLQQAINAIPLLLIFLQIISSVIHFSLEKSLHRQWVQVVAMQLLGLTGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGLLMFIITYGSPLLLYLGMVVYISVKDNDDISAPQQFKWSSILNKMIALPCLIPLLINSTALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFIIAMTAVYTCAVFSFRTRSYRNKSI* >Brasy9G291500.2.p pacid=40060307 transcript=Brasy9G291500.2 locus=Brasy9G291500 ID=Brasy9G291500.2.v1.1 annot-version=v1.1 MGAASSPSCAALAGWTVVAVLLQVAGLSLFLYGFFPVKPTLPGLSGAESYRTPSCGPVGGGEEPALPPDQLRSLYRELSGVPHVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKSMVSGAIGGFLDVALNFNTQAFLDDNLLDQLHTIGYKLVMLGDETWIKLFPTLFYRQDGVSSFYVKDTVEVDFNVSRHLEFELYAKDWDTLILHYLGLDHVGHTGGRRSVLMTKKLKEMDDVIRRIHTASMSLQDNPDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESSYCSPYDQKEALQVDLAPTLALLFGIPIPKNNIGILLPELVNSLTDDQKLRSLELNSWQIVRLLQKQLPAFCFEDCINPDDGLGIGMLPGSTEEKLCDLLSKAYASRQSPRLHRDSDFKSVEAVGHFGTAVDAYYGFLRYASEWLSHRATDKPLYLLVSAISLMIVSCFSLAGIISCLFRGKSSSQVEQHSELHLDKHWHLDEVFVLMGMLLYVISLGSSSFVEEEQYTWHFLTSSLYLIFLFKTVQSMLTGSNSTLVHRSEAKIFHRNSYSFLSSYKFGPGQRDGYKLYTVLVVLVSGRILRAWHQVSLMLLRTRKMHVIGLWFSHFFCGLLVVLHIWESQLNTSVLINHSTTSIAQIFYAIASMSIVLTILVSPWVSPIHSEEAEPTMFLTEYLCGINYSVFLTGITYTMFWCLLQLLLQQAINAIPLLLIFLQIISSVIHFSLEKSLHRQWVQVVAMQLLGLTGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGLLMFIITYGSPLLLYLGMVVYISVKDNDDISAPQQFKWSSILNKMIALPCLIPLLINSTALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFIIAMTAVYTCAVFSFRTRSYRNKSI* >Brasy9G291500.3.p pacid=40060308 transcript=Brasy9G291500.3 locus=Brasy9G291500 ID=Brasy9G291500.3.v1.1 annot-version=v1.1 MGAASSPSCAALAGWTVVAVLLQVAGLSLFLYGFFPVKPTLPGLSGAESYRTPSCGPVGGGEEPALPPDQLRSLYRELSGVPHVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKSMVSGAIGGFLDVALNFNTQAFLDDNLLDQLHTIGYKLVMLGDETWIKLFPTLFYRQDGVSSFYVKDTVEVDFNVSRHLEFELYAKDWDTLILHYLGLDHVGHTGGRRSVLMTKKLKEMDDVIRRIHTASMSLQDNPDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESSYCSPYDQKEALQVDLAPTLALLFGIPIPKNNIGILLPELVNSLTDDQKLRSLELNSWQIVRLLQKQLPAFCFEDCINPDDGLGIGMLPGSTEEKLCDLLSKAYASRQSPRLHRDSDFKSVEAVGHFGTAVDAYYGFLRYASEWLSHRATDKPLYLLVSAISLMIVSCFSLAGIISCLFRGKSSSQVEQHSELHLDKHWHLDEVFVLMGMLLYVISLGSSSFVEEEQYTWHFLTSSLYLIFLFKTVQSMLTGSNSTLVHRSEAKIFHRNSYSFLSSYKFGPGQRDGYKLYTVLVVLVSGRILRAWHQGGVNWVHIPDISKILAQADPNIIKCLQIISVLVVVVLYAVSLMLLRTRKMHVIGLWFSHFFCGLLVVLHIWESQLNTSVLINHSTTSIAQIFYAIASMSIVLTILVSPWVSPIHSEEAEPTMFLTEYLCGINYSVFLTGITYTMFWCLLQLLLQQAINAIPLLLIFLQIISSVIHFSLEKSLHRQWVQVVAMQLLGLTGHFGLGNTNSLASIDVAGAFILLHGSFRPADVHYYIWITSAVIPWYGGLYIGER* >Brasy9G291500.4.p pacid=40060309 transcript=Brasy9G291500.4 locus=Brasy9G291500 ID=Brasy9G291500.4.v1.1 annot-version=v1.1 MMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKSMVSGAIGGFLDVALNFNTQAFLDDNLLDQLHTIGYKLVMLGDETWIKLFPTLFYRQDGVSSFYVKDTVEVDFNVSRHLEFELYAKDWDTLILHYLGLDHVGHTGGRRSVLMTKKLKEMDDVIRRIHTASMSLQDNPDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVESSYCSPYDQKEALQVDLAPTLALLFGIPIPKNNIGILLPELVNSLTDDQKLRSLELNSWQIVRLLQKQLPAFCFEDCINPDDGLGIGMLPGSTEEKLCDLLSKAYASRQSPRLHRDSDFKSVEAVGHFGTAVDAYYGFLRYASEWLSHRATDKPLYLLVSAISLMIVSCFSLAGIISCLFRGKSSSQVEQHSELHLDKHWHLDEVFVLMGMLLYVISLGSSSFVEEEQYTWHFLTSSLYLIFLFKTVQSMLTGSNSTLVHRSEAKIFHRNSYSFLSSYKFGPGQRDGYKLYTVLVVLVSGRILRAWHQVSLMLLRTRKMHVIGLWFSHFFCGLLVVLHIWESQLNTSVLINHSTTSIAQIFYAIASMSIVLTILVSPWVSPIHSEEAEPTMFLTEYLCGINYSVFLTGITYTMFWCLLQLLLQQAINAIPLLLIFLQIISSVIHFSLEKSLHRQWVQVVAMQLLGLTGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGLLMFIITYGSPLLLYLGMVVYISVKDNDDISAPQQFKWSSILNKMIALPCLIPLLINSTALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVFIIAMTAVYTCAVFSFRTRSYRNKSI* >Brasy9G230000.1.p pacid=40060310 transcript=Brasy9G230000.1 locus=Brasy9G230000 ID=Brasy9G230000.1.v1.1 annot-version=v1.1 MGFHDQCEPEPAPPLVRLNHVSFLCASVEDSVDFYRRVLGFELVQRPASLDIRGAWMYKYDMGIHLLQRNSDGNMPSTSRPPAIDPKGNHISFQCSDMALMKARLRDMNLELVTTGVRDGETAVEQLFFHDPDGNVIEICNCENIPVVPLAAAAPLAQQTAQMNVHV* >Brasy9G184500.1.p pacid=40060311 transcript=Brasy9G184500.1 locus=Brasy9G184500 ID=Brasy9G184500.1.v1.1 annot-version=v1.1 MVMGSAAPESSSSSAATGMRSVTAVTPLDATPAPETTASATPIGLSSSSALESTTDGSLLAGFTSPALESTAYVPLLGAPSSSALEATSFAALSLGSSSSVPATGSVSSSALVSTALVASSATTLTSASASATAPSSSSSASAASTDLEPFRAPATGEPGAMPNALLLPEIPPGSAFGDVLDPYARIFPWAVNCPFPPSLQAKLPDTSLTMSTNPSHIMMVRHAKKYQDSEIGPSRQASDEDVALGTGLNEVTQHVVQTLFEDELEYKKQYPRLDLGNKAAPCFSEVKISNRGGHALGPKPGKETILRVGINGFGRIGRLVARLVLQNKNMELIAVNDPLVSANDMTKALEDTSLGVFPVIKVLAVADPGKIPWDKSGVDYVVESTGHFTDTVTASAHLKGGAKKVVICALIKEAPSFVFGVNEDNYRPHIDIISIADCATICLALLAKILHTRFGIKRCHGTIKGSYSSAAEIKKALGFIIPDLVGNFDVTVRLVPHAKLSCAEMTVELDRCDDYAMIKDSVRMEVSKFGNFEYLC* >Brasy9G338900.1.p pacid=40060312 transcript=Brasy9G338900.1 locus=Brasy9G338900 ID=Brasy9G338900.1.v1.1 annot-version=v1.1 MDGAAGVRVAANGGQAAPCRASGTRWTPTAEQVRILRELYYGLGIRSPNAEQIQRIAGRLRQYGRIEGKNVFYWFQNHKARERHKKRLTAIDVSPNDGNTNSSNGDINATVLSLSPSGAAAASSAGLYGAGNNGGGGSAHLQMDASATACWDSSACMANDRSFMQLLQEQDYMGVRSTVAPTPWPACFSPYQTPPAREPETLPLFPTGGNGGGHQEIVHGGSYQMQQPNSQLWWGQQQHHHHQLLLQEQQNHQYSSYSSSNQLMMPIQDAGGNTSLELTLSSHYPAGSSM* >Brasy9G271400.1.p pacid=40060313 transcript=Brasy9G271400.1 locus=Brasy9G271400 ID=Brasy9G271400.1.v1.1 annot-version=v1.1 MVRRREGKEGGEGKTLTDEAESLGRRGDDHHHGEGRETLEAADMIGGGGEAAAHGGADGPVLGEGGARVWRWRRRRREVRFPVGGAVGADETRRDERRERRRVGSCTDGSEAGGGGGRRGGEEKRFFLLRGSGLNTGARPAGLRI* >Brasy9G047400.1.p pacid=40060314 transcript=Brasy9G047400.1 locus=Brasy9G047400 ID=Brasy9G047400.1.v1.1 annot-version=v1.1 MGLFVKQLLRLSVLVWMLDLMLPSIELGGFICEYTFPFVCEYAHPMIAGNLLASPICALYSVNLQPFEIFREFRMLHHWSTSLRLHNHKLI* >Brasy9G309500.1.p pacid=40060315 transcript=Brasy9G309500.1 locus=Brasy9G309500 ID=Brasy9G309500.1.v1.1 annot-version=v1.1 MASEAAKVVVPESVLRKRKREELWAADKKEKAVAEKKKASENRKVIFARAKQYAEEYESQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN* >Brasy9G018300.1.p pacid=40060316 transcript=Brasy9G018300.1 locus=Brasy9G018300 ID=Brasy9G018300.1.v1.1 annot-version=v1.1 MAAPPLAAALLGVLLLLASPAAAEIRETVIRADPRTIIPLDEFGFSHQGILELNVSGIAFDPPASPDLDLSQFGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAYSFDRLKPPSNPAGVPVARSSSFSAAFPVSEPGQYTLVFANCLNGGDLKISMDVSSAMYNVDPATGQRAYLSVGAAALPSIYFLFCLAYAALVAAWVSILLRKRAAVFRIHYFMLAVLVLKGFNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLRGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWRQVFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALVTITSYRYLWTSDMAREVATLAFYMFTGYRFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL* >Brasy9G133300.1.p pacid=40060317 transcript=Brasy9G133300.1 locus=Brasy9G133300 ID=Brasy9G133300.1.v1.1 annot-version=v1.1 MDIPKWWIGSCGDIGDATREIIWYCRWGDGRVIYFHGWSGVGASAVLRSIEDMLSRRTDPSLCFDRIIHIDCSVWKSRRAMQRAIAEDLKLDHSVMTILDEKDEEDDFQGIQESSRNEVLSVSRSIYQTLKDSRFVAIFHNGSDNEVDLYGCGVPPFGKFGDNFLIWTFKKLLTIKDHYHGNRELVDKLRLTHVFAYDIIKDLTGDQFYALLCEEAVARAAGMDPTMVADCHMYELFLYCSFDTTTKSDWAGHFSNYWICDGILQEDTARGVSNVLHKEISWDCDTSLLEGVLIKFKKHLRIPLLVLKDDDVYEEGPYRWISITSTDMRVHGMQHIPAKTSSFLLASLMSAPPLALPNGWFAHSSKLRVLILRFCAFGFASPPFVKCHSLRFLGLDHCIDDKTGEGEDHAEWACLYTLWVLDLRFTDWNEILSEEKVNLMTNVRELNIEGVICWQYTSRLQDGLPNLQRLRIIKPTQVLEASTNTCNSFLDKKKLEILDLSGNSEMETLPNSLSKASSLQVLVLDGCTGLEDVVLPDGLPHLLRSFSFDGYGPSSQWTPTVELPPKHLRPSTTADKKEINVSKISLEGCTHLENLFIRGLAMIDSVDRSRCGSNREFVFSLL* >Brasy9G097700.1.p pacid=40060318 transcript=Brasy9G097700.1 locus=Brasy9G097700 ID=Brasy9G097700.1.v1.1 annot-version=v1.1 MVKFGIITMMLSLIVFPIVIGPPAQPETWGIPSVSLAFYPAIPDYSIVSNELFRKATRLSTFQVARIIALGRQCFNGPPPELIFVKVINKQWQTTLVLRSDNLYLCGFCNRRGRWFALNEYQYMIKNSIPLGFGGSYRDLNGERKNLSSVELSMLTTIDALKVLSDYEQSTGNIDYLKKSLTLFVLVLCEAQRFTEINDCLLQGWTTTCFINNPDHLLVSWSILSCGILENWKPSEYISNTLQKKRMDGYNKTLENLNIKKEDAPSMLRMLIQGKACQDNIKSKKWITRRHFP* >Brasy9G321600.1.p pacid=40060319 transcript=Brasy9G321600.1 locus=Brasy9G321600 ID=Brasy9G321600.1.v1.1 annot-version=v1.1 MRATASNLLLSIIYFFLLSIQAHAISDKLEKGQNLTDGDTLVSAGGTFTLGFFSPGASTKRYLGIWFSVSNDTVCWVANRDQPLLDRSGVLAFDDAGRSLILHDGSRRTAWSSDFMAASAAVVRLLESGNLVVRNGSSSGSGNAYLWQSFDYPSDTLLPGMKLGKSLWTEGVWELTSWRSPDDPAPGEYRRTLETTTSGGLPELVLWRRREREHDEYAKVYRTGPWNGFFFNGVPEASAYADKYPLRATMTSPWEVTYGYTATPGAPLTRVVVNHTGKAERLVWDAGVVRGSWVTFFSGPRDPCDAYGKCGPFGLCDASAAASQSFCSCLDGFSPVSLPEWQMKNTDDGCKRDAPLDCSGTTTKTTDGFVVVRGVKLPDTQNATVDTGVGVGLGDCRARCLADCSCVAFAAAADIREGGGDGDGTGCVMWKDAVVDVRLVAGGQNLYLRLSKSEFDDKKRFPTLLVATPIASAVTILLVVFLIWWRRKRRIIDAIPQNPAMAVPSVSLDIIKDITGNFSEINMIGQGGFSIVYKGKLPEGRVVAVKRLKQSALTTKGKKDFAREVEVMAGLRHGSLVRLLAYCNQGKERILVYEYMQNKSLNVHIFGTASLRASLDWARRLELIRGVAHGVAYLHGGSGESVIHRDLKPGNILLDDQWMPKIADFGTAKLFAVDQKLTGPDQTIVVSPGYAAPEYARQGEMTLKCDVYSFGVILLETLSGERNGGMQRLISHAWELWEQNRTVELLDKATAPLLDPESEPELLSELKRCVQIGLLCVQETPCDRPPMSAVVAMLTSTASQIDRPRRL* >Brasy9G128400.1.p pacid=40060320 transcript=Brasy9G128400.1 locus=Brasy9G128400 ID=Brasy9G128400.1.v1.1 annot-version=v1.1 MEWMDASVQCQKKRPPLTMKVATGLFLCGLFGITINQNLLVFAIKLTNSTTIVTALSNLTPQSTFIVAILSRMETLKLKKPSGQAKLGGTLVGLGGAMLLTFYKGPEIKFLHHLAHGLNSHAHGDHKQLLPPPPAAGTRILGSFLAITSCFSFAIWLTIQAKVSQVYPCHYSIAALVCVFGAAQSTLLALCMHRDADHWRLGLNVRLYSSAYAGIVASGSAFPLMSWCLRKKGPLYVAMFGPLIVVFVAVMSSVVLEETLHLGIVLGAALIVAGLYMVLWGKAREQEEEEEEADAPKIVSQDEELGKEPIPPADHGEKERG* >Brasy9G060300.1.p pacid=40060321 transcript=Brasy9G060300.1 locus=Brasy9G060300 ID=Brasy9G060300.1.v1.1 annot-version=v1.1 MQGQRNSVEQLAEVFGFDHGSSSGNPVMDQQAYWNNILGSVESQNLQGYEMNRSDATIPHENELHQDGQFLGFWQSGEASSSVNSLNYGNSNMIKAEQLNIGGGLRIGERRMVAEHNLSLDNGDINLNVNSFDLYGQSSNANSTAQGSEQYVGCSRNGTNAQATELRLHPYRTFILDDQQPESFSSSNASENPLSNFPLMTEDIDHRPGSSLDGRRLACKRKNIEGVHGQCSAGASTSFSHRNDNVFHNIPSSSFNPPPSTNLSSHNYLLLPSSIEEQLPRYGATAGLPSSSSNNPSRGNNNSENSQRSFRARMTTAQQISPYGVWPSSSTIRHPSSWNHQVPPLQTTFDEPQEGIPMVGGLNLQYQHPVNVVPGIPQIAHRFAGHEASSSRAGSLENRIFSGDEVTGWNVVAPNFPNPSPLAALDMRHPVPESSNWNSDGRSTAIAGNVSSVSRANGSSGSPVINHQNLHRRHPRNLSEELGRLSGALRSQQHPRLRSGFLLERQGDGVWGVPLPTRSREGRRLMEIRNALEMIHRGENVRFEQSIFYGGVEIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEDVMNLLKQRKFSSWRLSSVEHEPCSICQEEYIDGDDLGTLHCGHDFHTGCIRQWLVVKNLCPICKNTALKT* >Brasy9G060300.2.p pacid=40060322 transcript=Brasy9G060300.2 locus=Brasy9G060300 ID=Brasy9G060300.2.v1.1 annot-version=v1.1 MQGQRNSVEQLAEVFGFDHGSSSGNPVMDQQAYWNNILGSVESQNLQGYEMNRSDATIPHENELHQDGQFLGFWQSGEASSSVNSLNYGNSNMIKAEQLNIGGGLRIGERRMVAEHNLSLDNGDINLNVNSFDLYGQSSNANSTAQGSEQYVGCSRNGTNAQATELRLHPYRTFILDDQQPESFSSSNASENPLSNFPLMTEDIDHRPGSSLDGRRLACKRKNIEGVHGQCSAGASTSFSHRNDNVFHNIPSSSFNPPPSTNLSSHNYLLLPSSIEEQLPRYGATAGLPSSSSNNPSRGNNNSENSQRSFRARMTTAQQISPYGVWPSSSTIRHPSSWNHQVPPLQTTFDEPQEGIPMVGGLNLQYQHPVNVVPGIPQIAHRFAGHEASSSRAGSLENRIFSGDEVTGWNVVAPNFPNPSPLAALDMRHPVPESSNWNSDGRSTAIAGNVSSVSRANGSSGSPVINHQNLHRRHPRNLSEELGRLSGALRSQQHPRLRSGFLLERQGDGVWGVPLPTRSREGRRLMEIRNALEMIHRGENVRFESIFYGGVEIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEDVMNLLKQRKFSSWRLSSVEHEPCSICQEEYIDGDDLGTLHCGHDFHTGCIRQWLVVKNLCPICKNTALKT* >Brasy9G033300.1.p pacid=40060323 transcript=Brasy9G033300.1 locus=Brasy9G033300 ID=Brasy9G033300.1.v1.1 annot-version=v1.1 MQNGYWGAGGFEFEREELDALERVIRDRSEKPISLTLWLLKRITNNFDTRSEVGSGGFSTVYLGVLPNGLCIAVKKFSQHHIIQERGFEDEVSNTLTVAHKNIVRLIGYCSHTQAKATDYNGTTVFAEVRERLICTEYVPNQALDRHINTGTYDGFGWNQRYEILKGICHGLHYLHHEAHIIHRDIKPGNILLEDDLVPKICDFGSSRSFREREEECTSPSTRFSGTMGYMAPEAFDGVLSTKTDIFSLGVTIMWLLIGLEWSKHRSAEKELKRLKKRLVEQGVYSSWENKYHQVRTCLEIGFKCMDANPHKRPNVREIILLLDETENLNYSASSGYATPTWQSGDEESDSSDTDAFDEDRAPSDGFYSSDEECGSTKTDGQSSMLEPAPSDNFCSSEEERASTETGGQPSMPEPAPLDDFCSRDEERASTETDGKPSMLEPATVTTVELSSLDFLEKITDNFSHKRIVASGMVEKAFVYKGNISDRTILAVKRYIGVEIPVEKFEREAKLFKTLNHNNIVKLVGYCYVASRSGRHKLVQYKGKELQDYGNEPEQLLCYEYMPNGSLRDYLIGQGSQDDWHMRYRMIKGTCEGLHYLHEGEGRENCPIVHLNLSPSTVFLDENNAPRITGFDFAKLIGEKETKSFYATKNGPLGYQPPDFQYFKGTNPKYLATVDIYSLGLMILQVATRQEKGDLKTLIHSVKKKWREESQIAPLYTPALEGDTARQAKLCIDIGLDCVKSKPDDRPKAGDIIGWLNQGSKPVPVHNTGVPKPPVGTSSTNVDDDVQGKEKQKSWRRMFLGRK* >Brasy9G309700.1.p pacid=40060324 transcript=Brasy9G309700.1 locus=Brasy9G309700 ID=Brasy9G309700.1.v1.1 annot-version=v1.1 MSPPLSPPERQSEEVRARHGAEGMMPGLSSFSCDRRPESGGRCRRQWRCPCCGGPVVATDVESERRILFCLPLCLKNKRKYSCSRCLRRLVTLYT* >Brasy9G258300.1.p pacid=40060325 transcript=Brasy9G258300.1 locus=Brasy9G258300 ID=Brasy9G258300.1.v1.1 annot-version=v1.1 MVLHLLHFRLVGVELPTNVTDITPFDRPPPALIPCFPQFFFIPSSSPMVQRSQPGEAPPVQSISDLLLCPAFLLFAILQNLTTIRSNPLPYFSSLDPIRAELPRIRCRCSAAKNGPPPASLGCPGTRRPV* >Brasy9G331000.1.p pacid=40060326 transcript=Brasy9G331000.1 locus=Brasy9G331000 ID=Brasy9G331000.1.v1.1 annot-version=v1.1 MAARSALPIVDLAPFFAAGDDEAEPAEFREALEECYAKLTELGLLVQEVLNECMGLPRGSLAGYNGDRSFDFLAALRYFPTTSAEDSNGLSAHEDGNVVTFVLQDDVGGLEVLGENGGWIPAEPVEGSIVVNVGDVVQVLSNGEFRSGTHRVVRKPGAPHRHSLAFFLTVHGDAWVEPLPEFAGGVGQAPRYRGFRYGEYQQLRMRNKTHPPSRPEDVVHITHYAI* >Brasy9G080300.1.p pacid=40060327 transcript=Brasy9G080300.1 locus=Brasy9G080300 ID=Brasy9G080300.1.v1.1 annot-version=v1.1 MSTAAAALILLLVASSAPTTAESQTISPECPASCTGVDIPYPFGIGAAGSGCFREGFEISCTNNGTDLPVLANTSTPVVQLSVDPPFSQVMLPIGYQCYNVSWSSSNNRNEASRNGTSNASKNRMSIGGVHRISNKNNTLVVLGCATVAVAVTKNIEKRQLDSASGCVSFCRDDQAAQDGDCTGMGCCKVDTLPELHGATEFEFLMNARSGRGRRQVLEYSPCDYAFLVATGNYTFRRSDLMSMETSRTMPVNLDWAIRDPSSCPDATDPKYACKSNNSRCVKSTNGPGYTCSCKDGYKGNAYLPDGCTDIKECQNKEKYCFGNDCEEKDGSFKCHCPKGYTVNNNDPYTERCTPDPELSLAAKLAIGITLGISFLLIAVLSTLLKLQRRRTKGFFKKNGGLTLRNVGTLNIFTKEEIKKITKNNSEVLGRGCFGKVYKGILPDGTAVAVKTSIEINKARKEEFTKEVEIQSQMIHKNIIKLIGCCLEVDVPMLVYEFAANGSLQDILHGKTSVLPNFPLDLRLDIAIDAAEGLAYMHSSTTHTIRHGDVKPANILLDDKNMPKISDFGTSKFLTKDKDHTVLVVGSMEYIDPMFSETGQLTQKSDVYNFGVVLLELITRKPIVYDGNRRLIVEFRDVYRKENSGGSMFDKDIATEEDTYILEEIAKLAVMCLRKDVEERPEMKEVAERLAMLRRARKSGNINHKSPQYFEEIGADGAPMSFTSEINN* >Brasy9G008100.1.p pacid=40060328 transcript=Brasy9G008100.1 locus=Brasy9G008100 ID=Brasy9G008100.1.v1.1 annot-version=v1.1 MQQQSCKQKGDAGWRRRGEKPGGVGRHRREGPVARWSRGWGYTTDLEGWGGWGAGSGRRERGWGGVRKKGMGKRAREATERGCGGAFEKGGAVARHGERGGAGGAVTCRRVGEEASRRYEGRRFGRRVVRPARTRGGAGAAGEGGLRRQAG* >Brasy9G079600.1.p pacid=40060329 transcript=Brasy9G079600.1 locus=Brasy9G079600 ID=Brasy9G079600.1.v1.1 annot-version=v1.1 MDHNVKTATFEDFDNRPLKKTKCSGSGVLDDLLPSPSISTSSLVSECSETKSTLSPVSDLINEEKTSEDDDKQTISADDDKQPDVPQHTNDGTYDYLPQDYALTELDLCAHLVVEDSSEEEILVKIDQVYVKQCDLMCLLDSAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTKIVGDYLKHDMIHLPINIKHTHWYLACVNVEKSEIQVLDSLCWEHNRVDLTNTLQGLQYHLDILKTQENLSNHNWKDLDVTKWMITEQLHNPIQKDSSSCGLFMLKFMEYWTGHTLTHPITQENIVYFRYKLAAILLCWKTNTAQSTAMIEESDYSEGDPYDVMMLEGLDDENHPNPLNSLSIEKRYQSLISVVSNMSIHELEGGLCNYIKSISVAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFWMITDFGRHPNYRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDTKTVYILDPTPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSQACPGSTWNENIFLWHQQIINNIPIHNRELSGFLITLFMSTWDDEKLSLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKIQHMNMKT* >Brasy9G079600.2.p pacid=40060330 transcript=Brasy9G079600.2 locus=Brasy9G079600 ID=Brasy9G079600.2.v1.1 annot-version=v1.1 MDHNVKTATFEDFDNRPLKKTKCSGSGVLDDLLPSPSISTSSLVSECSETKSTLSPVSDLINEEKTSEDDDKQTISADDDKQPDVPQHTNDGTYDYLPQDYALTELDLCAHLVVEDSSEEEILVKIDQVYVKQCDLMCLLDSAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTKIVGDYLKHDMIHLPINIKHTHWYLACVNVEKSEIQVLDSLCWEHNRVDLTNTLQGLQYHLDILKTQENLSNHNWKDLDVTKWMITEQLHNPIQKDSSSCGLFMLKFMEYWTGHTLTHPITQENIVYFRYKLAAILLCWKTNTAQSTAMIEESDYSEGDPYDVMMLEGLDDENHPNPLNSLSIEKRYQSLISVVSNMSIHELEGGLCNYIKSISVAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFWMITDFGRHPNYRKKLDVEQLAYSVRSWPGIKYNVSSCKTACPGSTWNENIFLWHQQIINNIPIHNRELSGFLITLFMSTWDDEKLSLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKIQHMNMKT* >Brasy9G099800.1.p pacid=40060331 transcript=Brasy9G099800.1 locus=Brasy9G099800 ID=Brasy9G099800.1.v1.1 annot-version=v1.1 MVPVGFGYGYSSTIPVPDDPTLNRYPVEVACCCCCPVAGSGEMLLPRGIKDGEVACVQLDASRRGGRVTGRRTGAGAVGAGRRSGETGAQDGGRSCGGRKRRGGGREPSRREEAGHGAATDRRLGGGSGSGSREDEGATRRRFPESAQPMPTRVLVPVFLLVPKSAHTRPRRSNLRPQRCLLDP* >Brasy9G188500.1.p pacid=40060332 transcript=Brasy9G188500.1 locus=Brasy9G188500 ID=Brasy9G188500.1.v1.1 annot-version=v1.1 MIKQILGRLPKKTGKAGDSRDAAMGNGYESSNSNSVVRNVEPAGNKRAGNGEYLAPAGSGPSPAMNGTAVYHSSEPLPAFKDVPASEKQNLFVKKANLCCAVYDFTDPTKNLKEKEMKRQTLMELVDYVTSANGKFSEVIMLEISKMVAINLFRSSRPNPRENKAIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGVAELLEILGSIINGFALPLKEEHKLFLIRALIPLHKPKCVAMYHQQLSYSITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQLAEFQRCMVPLFRQIAGSMNSSHFQVAERALFLWNNDHIESLIKQNYKVILPIIFPALERNARGHWNQAVRSLTLNVRKIFSDHDPAFFGECMEKFNDDELKQEESSSKREALWKRLEEMVASKSNGNIPSGITNGKSSQ* >Brasy9G092500.1.p pacid=40060333 transcript=Brasy9G092500.1 locus=Brasy9G092500 ID=Brasy9G092500.1.v1.1 annot-version=v1.1 MPSTKPPSVPPAPSLQLLPSSAVRQSTRIDLREIKSKIVKRIGPDRAKKYFQHLERFLSSKLSKNEFDKLCLVALDRENLSLHNHLVRSILHNACAASGPPASCASKLTGDVTSSEYTVVPPVWNGDTLNQHVKDKQSLSKSVNTLTQHSPLNHHGDNVTGENGAPSLLDPKRHAQVQQSEHVEPLTKHSRVEKAPLFFYGSPHSNGPSSVDARETMEEEIIHHAQGLVQAPIGIQFGAATSGRTLIPSALGSVTSDDSSINCYDLGELCDTLSLRKKMEKTAEAEGLEGVSIECANLLNNGVDVFLKQLIGSCVELAGAGCQQGKLSHAALKQKLFRKLINGVSLQNQANMQGGITPPGTRSISMRDLKAVSELNPRLLGRNASVLLEKINSHD* >Brasy9G162800.1.p pacid=40060334 transcript=Brasy9G162800.1 locus=Brasy9G162800 ID=Brasy9G162800.1.v1.1 annot-version=v1.1 MALASTSATASAAVLKNPFLGARRALANAASLGAAAKPATRRVVVVAAAAAKKSWIPAFKSDAEFINPSWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAYSGVPWFEAGAQPGAVAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTADNFANSTGEQGYPGGKFFDPLGLGGDTKDGVYIPDTDKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL* >Brasy9G145100.1.p pacid=40060335 transcript=Brasy9G145100.1 locus=Brasy9G145100 ID=Brasy9G145100.1.v1.1 annot-version=v1.1 MPWPEGSRGEHDAPLPTGKPSLGERDDAPRTSEPTGQHLYLIFDDWPWGYSIRKLKLPPRSPHQPQRRLRPPEQRRLPPPSICLEATRRQPLFFAAVGSNIIAAHRRNDFWNAPVPEWILPIVDVRSLGVRFGPGLLYPARPIMITVGDEVFALDMDSFKMLSLKPLCPPHEWSWCDLPLPPLNSMDVTSFAVGSDGCTIFASSDCATFAFDIVNSEWKQSSNCSLPFAGPANYVHVLDIFVGLSKAPDTYGHLCFCRKLGGDENVRLSKENLLSMDPAESHEGATLVYLGGSEPGFCLVECVSITEGKSVNMRLEECDQLMECVDEGGGNCGELDELKKFVDEGDGASGSMQEFGELDELKKFVDEGDGASGSMHYRYLYRLTTFSLSFDNNGDLTTGETCVVQCYKVPEEVSEAIYLVNPVAFWL* >Brasy9G301400.1.p pacid=40060336 transcript=Brasy9G301400.1 locus=Brasy9G301400 ID=Brasy9G301400.1.v1.1 annot-version=v1.1 MQRQLSTPAMPRFPGEGEEGEEEEEYDDLEAKPGNKAPAVRSSSSVHLIPLLTLLCFVVLFLCSHVPSASDMSSFGGKAASRKPK* >Brasy9G209000.1.p pacid=40060337 transcript=Brasy9G209000.1 locus=Brasy9G209000 ID=Brasy9G209000.1.v1.1 annot-version=v1.1 MATKARNSDRGVDARGATAKADGKADAGGGIDVRGAANRADGKQGADAAGKAGELRGSMSRPVDAKDSLKIVALEAPVTLERPVRGDLEEHVPKPYLARALAAPDIYHPEGTTDDHHQHHNMSVLQQHVAFFDRDGDGIIYPSETYSGCRALGFNVIMSALIALAIHLAMSYPTLPGWLPSPLFPIYIHNIHKVKHGSDSGTYDREGRFMPVNFENIFSKYARTYPDRLSFSELWRMTQGNREVFDFFGWIAMKLEWSILYVLARDEEGYLAREAIRRMYDGSLFEYVERQRQEHAKMS* >Brasy9G219300.1.p pacid=40060338 transcript=Brasy9G219300.1 locus=Brasy9G219300 ID=Brasy9G219300.1.v1.1 annot-version=v1.1 MAFSASPSLSSLASSTAAAAAATAISSSTRFPPSNSFHDPKSLQPSLRLFSPLPKSQSLSCSGPHVPCAATGDGSGAGNSGNDSGGNGGKDGGGRDDAGGDDDYEEAEFGPLLGFHEVVRLAAARGVALPADMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATVAEVQKRGDDFWAEFELYAADILIGVVVDIALVGMLAPYVRFGKTSTSTGLLGRFSRMAGALPSSVFEAERPGCRFTVQQRIGTYFYKGVLYGSVGFVCGIIGQGICNMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKGAPPVAMAFTVGVRFANNIYGGMQFIDWARWSGVQ* >Brasy9G078400.1.p pacid=40060339 transcript=Brasy9G078400.1 locus=Brasy9G078400 ID=Brasy9G078400.1.v1.1 annot-version=v1.1 MSESKGSDDATEYDSNEETQLSSSSKSATSRTSAKTLYWIIKKFNDVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNCAIVVDAIRALPFGPMDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGMPGNKISLKEAEKIVLMELTPESTRLQKDSFKMAYVIILMGHMLSPSTKYDHVNIDFLGALRCTDEIGEYNWCAYVIKGVIDAARRVQDDIAMKKVVSNIAGCHIFLQAFPTDVLNKLILADTKPGGGFGLKQFDARGTFRQTYAPTPLPGKTPAITTRATTPPAMPHAPANSSTSVPMSAATLPRFLREKYPALSNSALATCFKKYNANMTRAMHERHAAEKNSTLEQNLWLADQVF* >Brasy9G342700.1.p pacid=40060340 transcript=Brasy9G342700.1 locus=Brasy9G342700 ID=Brasy9G342700.1.v1.1 annot-version=v1.1 MAPLSFHVEALLPSSIAPKLDSLLHAHVYPQVAGVLRAVARLKALLLNAIDDLNNNSGGKKNRRRRRSKMAGKFFTARAARRVDLLPDARCPSYHDECSAWNAAWEELQVQVTGDGGTEAECGYLCWLEEEEKPSGAGDGEEGDGEEVGNEIDRLAEKFIARFHAKCLLEKQESYRRRHLLIATTI* >Brasy9G289600.1.p pacid=40060341 transcript=Brasy9G289600.1 locus=Brasy9G289600 ID=Brasy9G289600.1.v1.1 annot-version=v1.1 MGQGLLIRYPFEDRFLYTQRIGWGSFACKPFSSVPTAPVKSMVHHHLLLSCLLAFPLRLAWAIKPSATPQLSFLSISSDLFPDSSKPHRRALLLLLRGRTATGCGKPKPVLLLLLALHWRRRLD* >Brasy9G211500.1.p pacid=40060342 transcript=Brasy9G211500.1 locus=Brasy9G211500 ID=Brasy9G211500.1.v1.1 annot-version=v1.1 MERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFVVADGAKAHLFYLPYSSQHLRLSLYVPDSHNLRPLAVYLRDFVKGLAAKYPFWNHNRGADHFLVACHDWGPYTTTAHRDLRRNSIKALCNADSSERVFTPGKDVSLPETTIRTPKRPLRYVGGLPVSRRRILAFFAGNMHGRVRPVLLKHWGGGQDDDMRVYGPLPNRVSRRMSYIQHMKNSRFCLCPMGHEVNSPRIVEALYYECVPVVIADNFVLPFSDVLDWTAFSVVVAEKDIPDLKKILQGISLRRYVAMHDCVKRLQRHFLWHARPIRYDLFHMILHSIWLSRVNHLELPE* >Brasy9G182400.1.p pacid=40060343 transcript=Brasy9G182400.1 locus=Brasy9G182400 ID=Brasy9G182400.1.v1.1 annot-version=v1.1 MWRRVFLAAAFALALAPALGVPFTEKDLASEESLRGLYERWRSRYTVSPMTTPGGGGLRGRLADRDPARRFNVFKENVRYIHEANKKDSRPFRLALNKFADMTTDEFRRSYAGSRVRHHRALSGGRRAGGNFTYSDAEDLPAAVDWREKGAVTGIKDQGQCGSCWAFSTIAAVEGINKIRTGKLVSLSEQELMDCDDVNDQGCDGGLMDYAFQFIQKNGGVTTEANYPYQGQQNTCDQAKENTHDVAIDGYEDVPANDESALQKAVAFQPVSVAIEASGQDFQFYSEGVFTGQCATDLDHGMAAVGYGTARDGTRYWIVKNSWGLDWGEKGYIRMQRGVSQAEGLCGIAMQASYPIKSAPRATTARQADEL* >Brasy9G320500.1.p pacid=40060344 transcript=Brasy9G320500.1 locus=Brasy9G320500 ID=Brasy9G320500.1.v1.1 annot-version=v1.1 ASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQANKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEDGNTNNLNEYISMSMDDVGDLESMVNDVYSNKHGVVTKRNFAARVLLSVALIGC* >Brasy9G320500.2.p pacid=40060345 transcript=Brasy9G320500.2 locus=Brasy9G320500 ID=Brasy9G320500.2.v1.1 annot-version=v1.1 ASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQANKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEGMKKKAVRRKFTHLDIQ* >Brasy9G320500.3.p pacid=40060346 transcript=Brasy9G320500.3 locus=Brasy9G320500 ID=Brasy9G320500.3.v1.1 annot-version=v1.1 ASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQANKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEGMKKKAVRRKFTHLDIQ* >Brasy9G195400.1.p pacid=40060347 transcript=Brasy9G195400.1 locus=Brasy9G195400 ID=Brasy9G195400.1.v1.1 annot-version=v1.1 MAKSAMPLVATLLAVGLAVLLLSGAGPAAAQNCGCPDDLCCSQWGYCGTGDAYCGDGCQSGPCTVMSGGGAARKMSGDKAVGSKRTP* >Brasy9G153300.1.p pacid=40060348 transcript=Brasy9G153300.1 locus=Brasy9G153300 ID=Brasy9G153300.1.v1.1 annot-version=v1.1 MPSLSCHNLLDLANAATDELPPLPPPSPLRLPRVMAAGSPSSPASPSPSAPPRRVIVSHRLPLRAAPDAAAPFGFSFTVDAGTVAYQLRSGLPASAPVLHIGTLPAAAAEAFSDELAAYLLANFSCLPVYLPADLHRRFYHGFCKHYMWPLLHYLLPLTPSTLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDFVWIQDYHLFALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGEVARQVAEAYRGRRLMLGVDDVDLFKGIGLKFLGMEQLLVEHPELRGRAVLVQIANPARSEGRDVQEVQDEARAISARVNERFGSPGYTPIVMINRPMSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTAQEEAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALMMSEGEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSFRKTDNRLILLDYDGTVMPESSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSKDSPWETCGLAVDFDWKKTAEPVMRLYTESTDGSYIEHKESALVWHHHEADPDFGSCQAKELLDHLESVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVRSGKAPDFVLCIGDDRSDEDMFESIVCPTNSSVKLPATSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQQRPWPVQLRVTFEGSL* >Brasy9G282900.1.p pacid=40060349 transcript=Brasy9G282900.1 locus=Brasy9G282900 ID=Brasy9G282900.1.v1.1 annot-version=v1.1 MEVAAAAEAAGEWPEERGVEEAVHLPRLLADVISGALTGLFALAGALTGAVTGAVAGRASDSGALRGAGLGAIAGAILSIEVLEASRAYWCSDRLGTSSMADFIEQLLHARFVQEQLGSSAHTAYRWQVSISDFAHDDMYDIFGDISSKGLSRESLKKLPEFVVADQAQGSFGENLPCTICLQDMVAGETGRRLPNCSHAFHQPCVDKWLIGHGSCPVCRQDV* >Brasy9G282900.2.p pacid=40060350 transcript=Brasy9G282900.2 locus=Brasy9G282900 ID=Brasy9G282900.2.v1.1 annot-version=v1.1 MEVAAAAEAAGEWPEERGVEEAVHLPRLLADVISGALTGLFALAGALTGAVTGAVAGRASDSGALRGAGLGAIAGAILSIEVLEASRAYWCSDRLGTSSMADFIEQLLHARFVQEQLGSSAHTAYRWQVSISDFAHDDMYDIFGDISSKGLSRESLKKLPEFVVADQAQGSFGENLPCTICLQVRLDNEYSGGVQRPPCLCLL* >Brasy9G282900.3.p pacid=40060351 transcript=Brasy9G282900.3 locus=Brasy9G282900 ID=Brasy9G282900.3.v1.1 annot-version=v1.1 MEVAAAAEAAGEWPEERGVEEAVHLPRLLADVISGALTGLFALAGALTGAVTGAVAGRASDSGALRGAGLGAIAGAILSIEVLEASRAYWCSDRLGTSSMADFIEQLLHARFVQEQLGSSAHTAYRWQVSISDFAHDDMYDIFGDISSKGLSRESLKKLPEFVVADQAQGSFGENLPCTICLQVRLDNEYSGGVQRPPCLCLL* >Brasy9G319400.1.p pacid=40060352 transcript=Brasy9G319400.1 locus=Brasy9G319400 ID=Brasy9G319400.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G093200.1.p pacid=40060353 transcript=Brasy9G093200.1 locus=Brasy9G093200 ID=Brasy9G093200.1.v1.1 annot-version=v1.1 MESEKKPHAVCLPFPAQGHITPMLKVAKLLHARGFHITFVNTEFNHRRLQGSLGPDAFHGCPGFRFAAIPDGLPPSDPDATQDIPALCYSAMTTCLPHVAALIAGLNDDPASGVPPVTSLVCDGVMSFAYDAAKQAGLPCAALWTASACGFMAYNYYKDLVDQGFVPLKDEAQLTDGFLDGTAPHDPPGLCHGFQLRDFPSFIRTTDRGDVMLNYLLRETARLLSLPDAVIVNTFDGLERQVLDEMRAQALAPVYTLGPLLLHARRVVPEGSPLDLGVRSNLWKEQDGLLEWLDGRAPRSVVYVNYGSITVMTNEQMLEFAWGLASSGYPFLWNVRPDLVKGDAAVLPPEFLRDIEGRGILTTWCPQEKVIEHEAVGVFLTHSGWNSTVESLCTGVPMLCWPFFAEQQTNCRYVRTEWGVGMEIGGDVRRAEVAGKIREAMEGEQGKEMRRRAAEWKDLAARAALPGGSAEANLDALVQVLLGKTTTGQAPPRLGN* >Brasy9G146100.1.p pacid=40060354 transcript=Brasy9G146100.1 locus=Brasy9G146100 ID=Brasy9G146100.1.v1.1 annot-version=v1.1 MEGGGVEGVMRVLLVDDSPVDRKVVQLVLGSSACAGSFHVIAVDSAKKAMEFLGLKDGKEQQDIDMVLTDYCMPEMTGYDLLKAIKALSPLKPIPVIVMSSENEPQRISRCLKAGAEDYIVKPLRSKDVPRLMSCSNVKPKDTPCNTVSKRKLPADHIVVDGAPSSGRRAHLTEIAMDGAPSSGRRAHLTEIAMILHSSSAGLSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLSLW* >Brasy9G367700.1.p pacid=40060355 transcript=Brasy9G367700.1 locus=Brasy9G367700 ID=Brasy9G367700.1.v1.1 annot-version=v1.1 MASRSAALCFSAAVTTGSSKSRGRFLVVCCDSSRRSDLLSSSLAAKVLGAPTTFDAAKLTVQFAGDDRRTAFPRAYTLSHCDFTANLTLAVSETITSDQLRRWQRDDVFAEWKEMSSTSTSGGGEMTLHLHCHVSGHNALQELAAGFRYYVFSKELPLVLKAVLHGDGQLFADRPELMEAKVWVHFHSASRKYNRIECWGPIRDAAKRNLLDGRLDELQSEIAKRRRKWNIFHALVSLLL* >Brasy9G223000.1.p pacid=40060356 transcript=Brasy9G223000.1 locus=Brasy9G223000 ID=Brasy9G223000.1.v1.1 annot-version=v1.1 MTLKATEKSEARHVQDAVPENLSPPYCHGMPARFRRYNASIREMGQTKQSRNWSTVSKPHKNSNNPTQPPGSMCSTGIGESPGSSLRIGQQTNGSTRIESSHRRCCSPPPLRARRLGRTYTGSVCWRGRLRERMSWCYSSCPTERVEFDI* >Brasy9G310600.1.p pacid=40060357 transcript=Brasy9G310600.1 locus=Brasy9G310600 ID=Brasy9G310600.1.v1.1 annot-version=v1.1 MGGHGALLIAIAVLLCSSFLLTQCTVVTPSASSSSQDHEQEIRRLRSKVASLEDEVSGRKEETTQLEGVVREKTAQIAALVGGLEVMQGTNVADDESVMKASTNSAMLEKQIERLGNDLEDQVKKGESLEARASEAEKSLLEIGQKLERVEKINIEQRKKIEELEHHLQNAEGQLSEVQREAKLKAEELAMDRGMWLPYWFASRSETLSGVSISQMAPPWETCG* >Brasy9G203900.1.p pacid=40060358 transcript=Brasy9G203900.1 locus=Brasy9G203900 ID=Brasy9G203900.1.v1.1 annot-version=v1.1 MPWGGPNWVWTMETGQPAVSSALRWVRAFHTSTSPSLPRDDAQRLGTIMDPLALVCNLTCTRFLVVASFLLSPGIPAI* >Brasy9G173800.1.p pacid=40060359 transcript=Brasy9G173800.1 locus=Brasy9G173800 ID=Brasy9G173800.1.v1.1 annot-version=v1.1 MDPTAPSPGDHAASSPTNTTVNLPPLTLRDVPRLPAAPSAADAIPNPISSHPYFHPPPTFYISPGDVSLRHAFFDLASGTPNPLVAYRRAGPRGSIAVDPARARAALVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGADVDHVRLDAAAVDDWHKKGGTALKTTRGGFDLDKIVDGIVARGYTQVYAIGGDGTMRGAVAIFEEFKRRGLHISITGIPKTVDNDIGIIDRSFGFQTAVEVAQQAINAAHVEAVSAVNGIGLVKLMGRSTGHIALYATLSSRDVDCCLIPEIDFHVEGKGGLFEFLYERIKQKGHAVVVVAEGAGQDLIPRTDDQKREQDESGNNLFLDIGPWLKSEVGRWWKREHPSELFTLKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPAPINGTYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTDQPDFLKPQDY* >Brasy9G106900.1.p pacid=40060360 transcript=Brasy9G106900.1 locus=Brasy9G106900 ID=Brasy9G106900.1.v1.1 annot-version=v1.1 MLDWAPVVVGLVLFVLLSPGLLIELPGTHRWVDFGSLRVTGKSATVHTLLFFTLFAVIILGFNVHIYTGA* >Brasy9G136000.1.p pacid=40060361 transcript=Brasy9G136000.1 locus=Brasy9G136000 ID=Brasy9G136000.1.v1.1 annot-version=v1.1 MIWVSICGGSEETHRRPAAAPASAEAAALRAPKTPCTPIGASARCLCCNVAHSHTRRHSQARRVATAAPAPNDAVHAHRLGLFFPVSDRVSRLGLVVNDSKPTASTPPHPILSSLRCSELSTLLHTSSIPLHRIPGGSRGHLRIFLRQEFELSDELEASAAPLVAVPCH* >Brasy9G169700.1.p pacid=40060362 transcript=Brasy9G169700.1 locus=Brasy9G169700 ID=Brasy9G169700.1.v1.1 annot-version=v1.1 MRASGARPSRFTAPLAASAAAELAALPVGTSVHGYSVKFGLLAGDGSVAVSSSLVYMYARCGCISDAVKLFDEMVERDVVAWTAVVSGCVRNGECGKGMSYLMQMIRLAGDSGVRPNSRTMESGLEACGVLGELSAGRCLHGYAVKEGIRDCALVVSALFSMYSKCDMTKDACILFPELTEKDVVSWTGLIGAYCRRGLAREAVELFQEMEQSGLQPDEVLVSCVLSGLGNSANVRRGKAFHAVIIRRNFGDSVLIANSLISMYGKFELVDVAGTVFGMLHQRDDESWSLMVAGYCKAGLDVKCLELYRQMQCRDHDEFLCDITSLVSAISSCSRLGKLLLGQSVHCYSIKCLLDENSIANSLIGMYGRCGNFEIACKIFAVAKLRRDVVTWNALISSYSHMGRSNDALSLYGQMLTEDVEPNSATLITVISACASLAALQHGELLHSYVKNMGLESDVSISTTLVDMYTKCGQLGTARGIFDSMLQRDVVTWNVMISGYGMHGEAKQALKLFSEMEAGSIKPNSLTFLAILSACCHAGLVDEGRKLFIRMGGYRLEPDLKHYACMVDLLGKSGLLQEAEDLVLAMPIEPDGGVWGTLLSACKVHGNFEMGLRVAKKAFSSDPRNDGYYILMSNSYGSAEKWDEIEKLRDTMKNYGVEKGVGWSAVDV* >Brasy9G194200.1.p pacid=40060363 transcript=Brasy9G194200.1 locus=Brasy9G194200 ID=Brasy9G194200.1.v1.1 annot-version=v1.1 MAKHTRCVHRLHAFLLLILVHTCLAATTSRFRCPADQAAALLRLKRSFQDPLLLPSWRARTDCCQWEGVSCDAGNNASGAPVAALDLGSYGLQSPGGLDGALFQLSSLRRLNLAGNDFGGASLPASGFGQLTELTHLNLSNAGFAGQIPAGFGRLTKLMSLDLSSNQGYSSGFFGAIPEFFADFRSLAILQLSNNNFNGLFPRGIFQLKNLRVLDLSSNPMLSGVLPMDLPARSSLEVLRLSETKFSGAIPSSISNLKHLKTLDIRDSTGRFSGGLPVTISDLKSLSFLDLSNSGLQIRELPDAIGRLQSLSTLRLRDCGISGAIPSSIENLTRLSELDLSQNNLTGVIPMYNKTAFLNLENLQLCCNSLSGPIPGFLFSLPRLEFVSLMSNNLAGKIQEFSNPSPSLASIYLNYNQLNGTIPNSFFRLMSLETLDLSRNGLSGAVHLSLFWRLTNLSNLCLSANKLTVIVDDEEYNTSLSPSIPPINSLGLACCNMTKIPSILKYVVVGDLDLSCNQIGGSVPKWIWASQSENIDVFKLNLSRNMFTGMELPLANANVYYLDLSFNNLPGSIPIPMSPQFLDYSNNQFSSISQDLIPRLNSSFYLNMANNTLHGSIPPMICNASSLQLLDLSYNNFSGHVPSCLVDGRLTILKLRYNQFEGTLPDDIQGRCASQTIDLNGNQMEGQLPRSLSKCNDLEVFDVGGNNFVDSFPTWLGNLTKLRVLVLRSNKLSGPVGEIPANFSSLQILDLASNNFSSSLRPQWFQNLTAMMVTEKSIDARQALENNLAGKFYRDTVVVTYKGTAMSFGRILVAFTVIDFSANAFTGSIPESIGGLASLRGLNMSHNSLTGMIPPQLGRLTQLESLDLSSNQLHGVIPEALTSLTSLAWLNVSSNQLEGVIPQRGQFLTFAADSFQGNAGLCGMPLPKQCDPRVHSSEQDDNSKDRVETIVLYLVVGSGYGLGFAMAILFQLLCKGKRWGWNSRMIISTSGRHCC* >Brasy9G294300.1.p pacid=40060364 transcript=Brasy9G294300.1 locus=Brasy9G294300 ID=Brasy9G294300.1.v1.1 annot-version=v1.1 MFGIPLESCGCGVKRLIAYTKVTELTKGITHISCSYKVITCPVIRHSQKDITC* >Brasy9G091100.1.p pacid=40060365 transcript=Brasy9G091100.1 locus=Brasy9G091100 ID=Brasy9G091100.1.v1.1 annot-version=v1.1 MARCPGAAAAHARWTARSLAGAFLDLAIVYAFLCAAAAAALASRVLAMCGIPLPCTCSRPHLPCILGFLFRYPSSVLESLLLSIRSRFPFVVNSDDDQREEKEQGGKAVDGEEEDGDLRRLVDEARDARSALQQELDKERSAAASAAEEAMAMILRLQKEKSTLEIEARQQRRTSDERCAFYEDEVEELRDILLMREREARSLQKEVDSYRRLLGLTGDDEDEEEEEMVTPHTFFLEGDPSSSKSVDRNVGSDGMVRLCNDPLFSFKRQFVREGLVPPIRVGHVKDGNGDSLSFQAVEQVPALGPNSGVDSCEDDGAETVVILPLSARSLDFPLSARSLDQVGDVEVDAAAGMKALEQRTADEFQEVDCGRVDKISHDFVASENDANIFDVHVVDDICFSTEVKGLIGRSFSDATMQADKLQNRTATDDLLGKSLNAIKGAQDKIRLAASERRQSLQLQLLEDIADQLQEIKDAADAGRHLQCTSPKNLKKN* >Brasy9G091100.2.p pacid=40060366 transcript=Brasy9G091100.2 locus=Brasy9G091100 ID=Brasy9G091100.2.v1.1 annot-version=v1.1 MARCPGAAAAHARWTARSLAGAFLDLAIVYAFLCAAAAAALASRVLAMCGIPLPCTCSRPHLPCILGFLFRYPSSVLESLLLSIRSRFPFVVNSDDDQREEKEQGGKAVDGEEEDGDLRRLVDEARDARSALQQELDKERSAAASAAEEAMAMILRLQKEKSTLEIEARQQRRTSDERCAFYEDEVEELRDILLMREREARSLQKEVDSYRRLLGLTGDDEDEEEEEMVTPHTFFLEGDPSSSKSVDRNVGSDGMVRLCNDPLFSFKRQFVREGLVPPIRVGHVKDGNGDSLSFQAVEQVPALGPNSGVDSCEDDGAETVVILPLSARSLDFPLSARSLDQVGDVEVDAAAGMKALEQRTADEFQEVDCGRVDKISHDFVASENDANIFDVHVVDDICFSTEVKGLIGRSFSDATMQADKLQNRTATDDLLGKSLNAIKGAQDKIRLAASERRQSLQLQLLEDIADQLQEIKDAADAGRHLQCTSPKNLKKN* >Brasy9G139600.1.p pacid=40060367 transcript=Brasy9G139600.1 locus=Brasy9G139600 ID=Brasy9G139600.1.v1.1 annot-version=v1.1 MDPEAVRRTLEPTASPADITGSARYDALVVSGVRLDAAEHGRVLFSFVVTPRLASPQGYLLSGVTATLADQLGSAVFYSSGVGLIGVSSGVGLSGVSLEINISYVDTATVGEEIEVEAKLLRAGKSVGVVSVDFRKKRTGKLMAQARHTKYLALSSKLWTHLLLQDNTWISPTLR* >Brasy9G007000.1.p pacid=40060368 transcript=Brasy9G007000.1 locus=Brasy9G007000 ID=Brasy9G007000.1.v1.1 annot-version=v1.1 MMMGTEVCSSRMLSLPRYESGDEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDEIEGNNSFCSSFDMGDKDMDYSSIEYQKPTKPRVRHTRPWSSCTTSSSRGNFHSSSKLRARVNLTKLGTPTLWRYWKHFNLVGMNPNPSDEQLFHGVQQHFQSQQLDELQVILGFIQTAKRLKTLYNS* >Brasy9G339700.1.p pacid=40060369 transcript=Brasy9G339700.1 locus=Brasy9G339700 ID=Brasy9G339700.1.v1.1 annot-version=v1.1 MHRTYVCMHADAAVARSSLGLGRPKRDEEDEEETEAWGRHVGGSGGQNPGNVACGRGVARTGHACLLPWIFLPRDLSSPLPGRLSDHGGHAPPPGAPPPARPPLPFHPRPPPFRLHHHHGLHPAPRRPPCRASPSSRPPPSSPDTMRPRATPRSAPPRPSSSRQQRGRLLSDAVPGSTAAAVVCGLSHGCPHRADLVLI* >Brasy9G145500.1.p pacid=40060370 transcript=Brasy9G145500.1 locus=Brasy9G145500 ID=Brasy9G145500.1.v1.1 annot-version=v1.1 MASLLLFVARQVNDERLDRGKKARTGSINEHWDSNILGLPKIEKRKMCGRLIYTWWRIWKERNRRIFRGTTLPALEVAHLIWEEIQGRSRACSNDPGD* >Brasy9G091900.1.p pacid=40060371 transcript=Brasy9G091900.1 locus=Brasy9G091900 ID=Brasy9G091900.1.v1.1 annot-version=v1.1 MASPSVTTTAAILLLLLLLTLASFSNSAKFNITEIISESPEFKTFNTLLSKTKVAEEINARQDAITVLVVDDKAAGAITALPADTQKKVLAVHVILDYYDPMKLDSVHGKAAMLTTLFQTTGAAGDSMGLLKYTQGADEQMSFASAEPGSSASSQLVKVVACRPYNLSVMQVSAAIIPPGIGKGSSGSGNGSSSPTTPGPETPPLGTEAIDADAPDQMPDYASDQDVDGPSSPAAASPTVKSDKGTTDLLASAGSMLRQDIMGTTNSVVGIMGALAMFVIW* >Brasy9G217100.1.p pacid=40060372 transcript=Brasy9G217100.1 locus=Brasy9G217100 ID=Brasy9G217100.1.v1.1 annot-version=v1.1 STDGSSFLLLSFLSLSSICSSPSRSPPPSLLSFSSSRSPPPSRSLLPLSCCKGPRLSSWCRSTLLPQRTGACILLAPATPCLNPPPAKPRPPPRTMDMAGSGLPTPRAVWGRRPPRASSPHPWPGSTSPWCPGCLVFFDFGIPSPVVKDVDFESRGLSLRVHP* >Brasy9G321700.1.p pacid=40060373 transcript=Brasy9G321700.1 locus=Brasy9G321700 ID=Brasy9G321700.1.v1.1 annot-version=v1.1 MVFCLLGPLKRYIIKQIGIRRIKGPQRVVEARPYADENIFESDSLKGEVLSSPLIEFSTVLSATNNFNDKLGAGGFGPVYKGRLPDGQEIAIKRLSNSSSQGLEEFKNEVTVLSKLQHRNLVRLFGCCVHGEEKMLVYEYMPNNSLDSFIFDENKRVELGWKLRYNIIQGIGKGLLYLHQDSRLKIIHRDLKASNVLLGDDFNPKISDFGMARIFGEYQLQALTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVCGRRNSSFIHDEWSMNLVGHAWTLWKEDRTSELIDALMGTTYSQDEVCRCIQVGLLCVQELPGERPAMPLVLRMLSGDVALPAPKRATFFVGRAPVDDKDTESGNHLTYTELEGR* >Brasy9G198700.1.p pacid=40060374 transcript=Brasy9G198700.1 locus=Brasy9G198700 ID=Brasy9G198700.1.v1.1 annot-version=v1.1 MILGAPEYLSTVMGTGRRPQWGVLCAALVLSALAAVASSQSPLPAPSRRAAVPSFPPAASRASGPALSPAPKPSSPPAASPAPKPTPRPAASPAPKPSSPLPAPKPPSPPAQAPKPSAPPAASPVPKPPSPPMPSPSRAPKPSPAAPAPAPAQNKPSPAPAPAPKASPPTTTPQPVLKPSVPPPAPPPSPANSAPPTASLALSPSFYAASCPSVELAVNDVVRSASTLDPTIPGKLLRMVFHDCFVEGCDASVLIEGSGTERTDPANLSLGGFNVIDEAKRLLEAVCPATVSCSDIIVLAARDAVTFTGGPSVPVSLGRRDSLVSLASNVRANIIDTGFSVDAMTASFASKGLTLDDLVTLSGGHTIGSAHCGTFRERFHPDANGSMVPVDASMNADYANELMQTCSSGNGTVTVDCDEGSAKAFDNRYFSNLLDGRGLLRTDAVLVQNARTRARVSAFAQSQESFFSSWAGSYARLTSLGVKTGSDGEIRRLCSSING* >Brasy9G076800.1.p pacid=40060375 transcript=Brasy9G076800.1 locus=Brasy9G076800 ID=Brasy9G076800.1.v1.1 annot-version=v1.1 MTKRQTILMLIVFVIMFSYTVVEGGAYKNEEALSRKGLKEERKLSFSTGEVASGATNVGGLSGNAEIANVETGTVHTTITSNRDMSLDAYTKLKRNILIHKP* >Brasy9G004500.1.p pacid=40060376 transcript=Brasy9G004500.1 locus=Brasy9G004500 ID=Brasy9G004500.1.v1.1 annot-version=v1.1 MPPTREGAAPGGSHVTLPRTAGSSYSVSSPASGGPSLPTPPISVRGCCRPYVRAKQAQVMQSSGSEIERHATCPLRPTARKKLHCRGSSRASPMVEEAGSRRRAEEEMYAEQISTTEPAATPRHPPWNRPPPPPPRNRQPRANFYRETGCRRTARTPPRKQLSLPSSPRAPAPRGAHGSITISWIS* >Brasy9G341100.1.p pacid=40060377 transcript=Brasy9G341100.1 locus=Brasy9G341100 ID=Brasy9G341100.1.v1.1 annot-version=v1.1 MVSPAAGRRRRDRLSGLSDAVLGHMLSFLPTKEAGRAAGLSRRFRTVFCNVHTVSFSERPGERANDWYTTYCEAEERKSCSAALLDDVCAALLCRRRCAGHNIPLRALRFAFDSCHGWDWAHVDTWLAHALRHSGSAPELHLELRFSLGPFCARRLGHGGGRNSGYALPKKLFSCLALRTLCLAHCDLNLPSAYAIDLPFLETLSLTGSCGDSGLLISRCPRLVDLTLEGDDGLQKVSVLDRRLRRLALRCCHKVKSVEIDASELTSFDYSGELPMESLLSLHGLQHALSYCTLDFCKARPMASEFDRFRRLLEKLSDARHLHLHHGSLDSRFFVRIPPFPNLTRLGLQGPLRGVAAVGAVGMVLEQTPCLEVLSLFMEVAEDERRQSSTRRSYMLAGSDDEMMRDLVGDDDDDDAGRGNEGPSSVVLDESSFAAMACLRHRVKEINMVHYCGDEAQRTMARVLFGNALVLERMCVVLVKGTFEAQAKMKEEIESWVVAVDVEQIFL* >Brasy9G055900.1.p pacid=40060378 transcript=Brasy9G055900.1 locus=Brasy9G055900 ID=Brasy9G055900.1.v1.1 annot-version=v1.1 MQPLNLSSSGSSRSTKSSVPFFGLVVAVLLYFASPTRSCTEQDRSSLIEFLGGLVRGRNGGFNVSWVNGTDCCKWEGIICSSDGTVTDVLLASKGLKGGISPSLGNLTGLLHLNLSHNSLDGSLPMELVFSRSILVLDVSFNRLDGHLEEMQSSNPALPLQVLNISSNLFTGQFPSGTWEAMKNLVAFNASNNSFTGQIPSAICMYAPSLTMLDLCYNKFSGNISQGLGSCSMLRVLKAGHNNLSGVLPDELFSATSLEQLSLPNNVFQGVLDGSNIVKLSSLTVLNLGSTGLSGTIPDSIGQLRRLEELYLDNNHMSGELPAALGNCANLRYITLRNNIFTGELSKVNFTMLDLRIADFSMNKFTGTIPENIYSCSNLIALRLAYNSFHGQFSPRMGNLKSLSFLSITDNSFTNITNALQMLKSCKNLTSLLIGTNFKGETIPQDETIDGFENLEILSIDACPLVGTIPLWLSKLKKLEMLDLSLNQLTGPIPSWINVLDFLFFLDLSSNRLTGNIPTELTKMPMLLSEKNAAKLDTKFLELPVFWTPSRQYLMVSAFPIRLSLGDNNFTGVIPPAIGQLKMLDVLNLSSNSLTGEIPQEICNLTNLQILDLSNNQLTGVIPSALSDLHFLSWFNVSDNRLEGPVPGGGQFDSFSNSSYSGNPNLCGLMLSNHCKSREASSASTNRRNKNKAIIALALGVFFGGLCILLLFGRLLMSLRRTNSVHQNKSSNDGDTETTSFSSTSDRSCNVIKGSILMMVPRGKGESDKITFSDIVKATNNFDQQNIIGCGGNGLVYKAELTNGPKLAIKKLNGEMCLMEREFTAEVEALTVAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNKDNANSLLDWPTRLRIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFKAYVADFGLARLILPYNTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWVREMRSQGKQIEVLDPALRERGHEEQMLKVLEVACKCINHNPCMRPNIQDVVTCLDNADVNLQVEM* >Brasy9G132700.1.p pacid=40060379 transcript=Brasy9G132700.1 locus=Brasy9G132700 ID=Brasy9G132700.1.v1.1 annot-version=v1.1 MAAAAYQTEETRGGCTSTVLMDLPTRCHPECIESISANGQMSATAVAAEEDAANSMIYVLRNKLKIQFKDANLHRFINCHRKHWMVRAGLGTMIEKHIDAAKKARLMEEGWREALADLKAVYDACTGLPSHDGNPLDAPEIHKDHRTGTRAFDQGTAKLSAARPNGSP* >Brasy9G283200.1.p pacid=40060380 transcript=Brasy9G283200.1 locus=Brasy9G283200 ID=Brasy9G283200.1.v1.1 annot-version=v1.1 MITAADFYHVMTAVVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISSNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRKGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGLRTGATPRPSNYEDDAPPKAAAPKYQIPAAVNGGAAGQYPAPNPSVAPKRKVAAANGQGKGGGEDLHMFVWSSSASPVSDVFGGGAPDHYNDVAAAAKSPRKMDGAKDRDDYVERDEFSFGNRGGAMERDAEAGDEKAAVGGGGHGGAVGAGAAATAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATYAMAVRFFAGPAVMLAASFAVGLRGTLLHVALVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYIVLGLF* >Brasy9G153400.1.p pacid=40060381 transcript=Brasy9G153400.1 locus=Brasy9G153400 ID=Brasy9G153400.1.v1.1 annot-version=v1.1 MIQMSSLAAVHSHNLLHFHTEEYCAYRDLSVFCEAITRILASFPTVGCFLEVEAVPACRKAGRQESSVRL* >Brasy9G124200.1.p pacid=40060382 transcript=Brasy9G124200.1 locus=Brasy9G124200 ID=Brasy9G124200.1.v1.1 annot-version=v1.1 MDAYSVVGYFKGKNILITGSTGFLGKVLVEKILRVQPAVKKVFLLVRATGVDSAKHRIQTEVTGRKIFQILKEKHCEGFEDFIEDKLCPLAGDVMYENFGLDKTKLKELYTDIDIIVNGAATTDFYERYDVAVNTNVLGAKHIVAFANKCTRLKMLLHVSTAFIAGEQQGLILEKPFLKGETLKEGAHLDIDSELNLITDTLIELRDNCSSEKAERKTMKELGLKRARQFGWPNTYVFTKAMGEMLLGHLRGDIPVVIVRPSIITSLLKEPLPGWIEGIRTIDSVILAYAKQALKFFLVGPDTIMDVIPGDMVVNAMMVAIVAHAEEQAQTIYHVTSSLRNPASYKLLRESAHHYFLDNPPRAGNNGEPIRMNKMRFFSTVARLRGYMFIKYKLPLEILHMVNIALCGLFSRRYNELSGKYRLAMHLIELYAPYTLFKGRFDNMNLERLRMAMEQNNNAEYYFDFDPKTIDWDHYFYRVHIPGVLKFLA* >Brasy9G124200.2.p pacid=40060383 transcript=Brasy9G124200.2 locus=Brasy9G124200 ID=Brasy9G124200.2.v1.1 annot-version=v1.1 MDAYSVVGYFKGKNILITGSTGFLGKVLVEKILRVQPAVKKVFLLVRATGVDSAKHRIQTEVTGRKIFQILKEKHCEGFEDFIEDKLCPLAGDVMYENFGLDKTKLKELYTDIDIIVNGAATTDFYERYDVAVNTNVLGAKHIVAFANKCTRLKMLLHVSTAGEQQGLILEKPFLKGETLKEGAHLDIDSELNLITDTLIELRDNCSSEKAERKTMKELGLKRARQFGWPNTYVFTKAMGEMLLGHLRGDIPVVIVRPSIITSLLKEPLPGWIEGIRTIDSVILAYAKQALKFFLVGPDTIMDVIPGDMVVNAMMVAIVAHAEEQAQTIYHVTSSLRNPASYKLLRESAHHYFLDNPPRAGNNGEPIRMNKMRFFSTVARLRGYMFIKYKLPLEILHMVNIALCGLFSRRYNELSGKYRLAMHLIELYAPYTLFKGRFDNMNLERLRMAMEQNNNAEYYFDFDPKTIDWDHYFYRVHIPGVLKFLA* >Brasy9G051600.1.p pacid=40060384 transcript=Brasy9G051600.1 locus=Brasy9G051600 ID=Brasy9G051600.1.v1.1 annot-version=v1.1 MMGKGTTFELILCSALLAGLARAAAGNFYQDVDITWGDGRGRILGNGNLLTLSLDRASGSGFQSKSQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSAHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHTYSVLWNPTHILFYVDGTPIREHRNREAATGVPFPKTQPMRVYASMWDAEEWATQEGRVRTDWSAAPFVASYRALAATGCTSGDAAACARPGSTWMYQELDSTAQERLRWVQRNYMIYNYCADTWRFPQGVPPECAAQ* >Brasy9G366400.1.p pacid=40060385 transcript=Brasy9G366400.1 locus=Brasy9G366400 ID=Brasy9G366400.1.v1.1 annot-version=v1.1 MKLAVEVADAADLSPKDGSATCNAFVEVDFDGQKQRTATKPADCAPQWNQTLVFSVADPSRFPSLHVEVSVYHDRRLNDHNALRPHAFLGRVRVSAAASVARSAGEAVLQRYPLDKRGLFSRVSGDIALRLYLINDGEDAAPAAAVDQAAEPVAMDPERAVRNAFAPNQAPPSSSSAPSAAESKGKSSHDHEQATPPPRVFRAVPSAEPRRATLHAMAAPSAPPGQTVVMPKPPAAATQQAAPGSAYGLVETKPLLPAKLGPRGAALAASKVASTYDLVEPMSYLYVTVVKARDLPTKDITGALDPYVEVKLGNFKGTTKHLEKNPNPVWRQTFAFSKEHLQANQLEVIVKDKDVVKDDFVGRVLFDMSDVPSRLPPDSPLAPQWYKLADAGGDKLRHGGEIMLAVWLGTQADESFPEAWHSDAHGVASQEGLASTRSKVYYSPKLIYLKVNVIAAQDLVPADKGRAMAPAIAKIQMGSQIRRTRPQQSANPGWNEEFFFVAGEPFEDPLVVTVEEKVSGGRDEAIGRVIIPVGAPFVARNDLAKSIASRWFSLSRGMTVDEASAGVTEKMKDRESSKTFTSKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSAIGILEVGILSAKNLAGKKNPYCVAKYGAKWVRTRTVVGTAAPAWNEQYTWEVFDLCTVVTVACFDNSAVHGSGGDKDARIGKVRVRISTLESDRVYTHYYPLMALTPSGLKKTGELHLAVRFTCTSWANMLGQYGKPLLPKMHYTNPISVLQLDYLRFMAMQTVAARLGRAEPPLKREVVEYMLDVDSHMFSLRRSKANFHRITSLFSGAAAVGKWLEGVCKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFMIGAWNYRRRPRKPPHMDTVLSYAELAHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATSIFVTLSLLVAIVLYVTPFQVVAVIAGLYLLRHPKFRGKQPSVPFNFYKRLPARGDMLI* >Brasy9G159600.1.p pacid=40060386 transcript=Brasy9G159600.1 locus=Brasy9G159600 ID=Brasy9G159600.1.v1.1 annot-version=v1.1 MPPHRRRMLFSDDPNHGDCNGGDRYGCYSPPSPPTPSPSPSPADGPSPWASPSPGSAAPAPSPFRHPAAGRRDQGGTSGYGPAAGAGHHRHFVNYIIIAFAAFAFLSLILIGVSVAVRRRQLRRRRQALLAPAPAVATNVGNDDPEAGGGGVVHHVWYIRTVGLDEAAIDSIAVTRYRAGAGLLGAADCSVCLGEFNDGELVRLLPKCAHAFHVPCIDTWLRAHVNCPLCRSDVLDPVATAANGVAVESNSDPPPADPDVNANAAAEQVDAASDSALEHEDEEENAPHLQEDQQEQQFSTREPLPPLPCPLPWNVRRAASMDAAIASTAANVAALDRLPEAAPEGEQSGRDKHRSGATGHLSNPSIERPAPSGAPRSFFSRHCRARSSVLPL* >Brasy9G064000.1.p pacid=40060387 transcript=Brasy9G064000.1 locus=Brasy9G064000 ID=Brasy9G064000.1.v1.1 annot-version=v1.1 MDLLERSTIKGEEGRKEQEKETQESQQGQGLGRPPLANGSSRSGMLPMSSPPANPAQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKTTAATSVKPPVMPANNAAQAAALTRSLSLQSTSVAAGQPMAVTDPSSISKLQADLPIARRHSLQRFLEKRRDSRIVSKAPYSPAKPSEGMGASGMEIAAEGKAQ* >Brasy9G064000.2.p pacid=40060388 transcript=Brasy9G064000.2 locus=Brasy9G064000 ID=Brasy9G064000.2.v1.1 annot-version=v1.1 MDLLERSTIKGEEGRKEQEKETQESQQGQGLGRPPLANGSSRSGMLPMSSPPANPAQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKTTAATSVKPPVMPANNAAQAAALTRSLSLQSTSVAAGQPMAVTDPSSISKLQADLPIARRHSLQRFLEKRRDRIVSKAPYSPAKPSEGMGASGMEIAAEGKAQ* >Brasy9G311000.1.p pacid=40060389 transcript=Brasy9G311000.1 locus=Brasy9G311000 ID=Brasy9G311000.1.v1.1 annot-version=v1.1 MEIASSCQLQHLRPQTSMHQFSSVLVSLQNLPSHIAMKLPISIEALRIIMVKVNHFQEFVSRRLGSLTKCTTDFFRRSYLLLLFQSNPLIVQLIYFMLLSFAGFLALKNLKPLNKPTPRNLDLMFTSVSTVTVSSMATVEMEDFSGQQLWILIILMLFGGDTFTSMLGMYLKNAQGNTGDILQKRLSFISRDIETCDIFNDSQNNMEAIQSEATMPHNQVQESKGLNQNSRNVLAHVVTGYFIAAIVCGSVVITIYLWIDSDARHLLKSKDIKICTFSVFTAVSSFANCGFTPVNSNMAIFRNNPTLLLLVTPQILAGNTLFPPLLRLSIWALGKVSRREEFAYILQHPKEIGYRHLRPYKNSVHLVLTGVLIILLQAIFLCYFEWDSKALEGMKWFQKLVGSLFQSANSRHAGETVINISTLSPPILVIFAVVMYLPSDTSFFPTYGDSQILTNKKEHPNSRAMWKKSIITKPACLAILTILACITERKSMSADPLNFSIFSVVFEVISAYCNVGYSLGYSCDKLLKPDARCKDASYGFVGRWTDEGKVIIIVAMFLGRFKTFTLKRRKP* >Brasy9G285500.1.p pacid=40060390 transcript=Brasy9G285500.1 locus=Brasy9G285500 ID=Brasy9G285500.1.v1.1 annot-version=v1.1 MGAPTSPLLILATAAAMAVFVASPAAGFPAGLPEPAEAEPPLFPNPWSAFHNLSGCHVGEERDGLGKLKDYLSHFGYLPEPSSSFSDAFDADLESAIATYQRNFGLNTTGALDASTVSQMLAPRCGVADVINGSSTMDRSSSSNATSAAARGRHLYAYFPGGPSWPPFRRELRYALTATSAVSIDRATLSAVFARAFARWAAATTLRFAETGSEADADITIGFYAGAHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWAVSGGGGPGSVDLESVAVHEIGHLLGLGHSSVQGAIMYPTIRTGTRKVELEQDDVLGIQSLYGSNPDFKGGVAPTSPSTSSRETDSSVGAVGARPGIVSGFVGGVAVAVGLLLLLELPL* >Brasy9G062200.1.p pacid=40060391 transcript=Brasy9G062200.1 locus=Brasy9G062200 ID=Brasy9G062200.1.v1.1 annot-version=v1.1 MGERLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGVSVSDPKKRTKGVLLAFLLTFSKDFQKYFRKLVRRHTERRAIEQYMNDFSGKVSPEQKLVQLTTEHVEYRKNYYFPSYQEGWKIMRIGKVSNSMNSGAMLAIDCEMVLCHDGTEAVVRVCVVDNKLEVKLDTLVNPCKAIADYRTNITAVSKKDLEGVTCSLVDVQKSLKKILAKGKILVGHSLYRDLHALKFDYSRVIDTAYIFKYANLPTTASASLNSLCKSVCGYSVREEGEPHNCLKDAEAAMNLVIAKLKNGFDDPIEIAENCVPESDQLKLLAHRIPVYLPCQELIKIFSGNPSIEEKIDSRIRGDFYSTCISFKDIDEADKAFEELDGQETKDSSGRLQKSVLLKRDNGDVVSFFVRKMVYGSRITNSEISKKRPQPIENEDPKKEDANGDKQKKQRTSKKHVKKAKTPVVE* >Brasy9G223500.1.p pacid=40060392 transcript=Brasy9G223500.1 locus=Brasy9G223500 ID=Brasy9G223500.1.v1.1 annot-version=v1.1 MASSAPFLLCILLLASTASAAFLLDGGKSAAKGKAGVDTEWRPATATWYGDAEGDGSTGGACGYGTLVDVVPMKARVGSVSPVLFKGGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGICGGGHTHFDLSGAAFSRMAVAGAGAHLRDRGQLSVVYRRTACKYGGKNIAFHVNEGSTNFWLSLLVEFEDGEGDIGSMQLKQASSAQWLDMKHVWGATWCMYGGPLAGPFSVRLTTLSAPKTLTARDVIPRNWAPRGTYTSRLNFEASL* >Brasy9G223500.2.p pacid=40060393 transcript=Brasy9G223500.2 locus=Brasy9G223500 ID=Brasy9G223500.2.v1.1 annot-version=v1.1 MKARVGSVSPVLFKGGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGICGGGHTHFDLSGAAFSRMAVAGAGAHLRDRGQLSVVYRRTACKYGGKNIAFHVNEGSTNFWLSLLVEFEDGEGDIGSMQLKQASSAQWLDMKHVWGATWCMYGGPLAGPFSVRLTTLSAPKTLTARDVIPRNWAPRGTYTSRLNFEASL* >Brasy9G003300.1.p pacid=40060394 transcript=Brasy9G003300.1 locus=Brasy9G003300 ID=Brasy9G003300.1.v1.1 annot-version=v1.1 MAELVATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEILKRKLPAILDIITDAEQAATHREGVKAWLEAIKKVAYEANEAFDEFKYEALRRQAKKNGHYNKLGFHVVKLFPTHNRFAFREKMGNKLCKIVQAIDVLVAEMNAFQFKYQQQAPASKQWRQTDHVMFDPKKIISRSRDQDKEKIVDILVGQASNADLMVIPIVAMGGLGKTTLAQLVYNQPEIQKHFNLLIWVCVSDSFDVDSLAKIIVEAAPDKKDGTGAAPDKKDGTGAAPDKKNGTGAAPTKKNPLDRLQDAVSGQRYLLVLDDVWNREADKWEKLKARLQHGGAGSAVLTTTRDEGVAKIMGTVRTYNLTSLEDNFIKEIIETRAFSLHKEEERPPFLVNMVGEIVKRCRGSPLAATALGSVLHTKTTEEEWKAISARSNICTEETGILPILKLSYNDLPSHMKQCFAFCAVFPKDYEIDVDKLIQLWISHGFIQEQKEVRLETIGQQIFDELASRLFFQDVKQVQVPVEDINRLVIAGTRRCYSRTTCKIHDLMHDVALSVMEKECAVITQEQSKIERAVASEEPGNQIEWLLNTVRHLFLSCEEPEMKLNNSLENSSPAIQTLLCDSQMKSSLYHPSKYSSLQALQLCSKRRSFLLKPKHLHHLRYLDLSGSRIRVLPEDITIMYNLQMLNLSGCYKLHRLPRQMKYMTALRRLYTHGCPNLKSMPPGLAKLTSLNTLTCFVAGTGSDCSNVSELQNLKLGGVLELDKLENVREEDAEKANLGKKDITDLALRWSRGGENDAKVLERLEPNGSVKAVRIVSFGGTDFPTWLAMLQNMVEIHIFWCRKLRCLYSCGTSFTFPSLKEITLHGLSDLEVWWEVNNGVQGEEIMFPQLEKLFIIDCGKLTALPGQPTSFPNLQNVCIQRCPELTTRVKSPKLDALEMHGCEEEMLQWVARHMTSLTTLTLRNHEDTETTSKAADHSFNEVMDGQEKFHNNEFVLTVMELDGLKSSVAKLCTCFVQLQDLSIVDCDALVHWPEKEFQSLVSLRKLYISRCKNLTGYAQAAPAEPSTWTSETSNQLLPRLESLEIWACESLVEVFNVPAASMRRMRIYYCSKVESISGWRRRLQQQDNSEPIQGPSLSSAAVTGEHLLPPFLESVYIGHCDSLTGALHLSRSLKLLSIDECPGLTSLVESHSGELPSLERLFLEDCKTLSSIPDGSQAYSSLQELTVRNCPGIKTLPTCLEQRLSSIEWKLLDARYEGPRLLKPWTWKYASRRD* >Brasy9G003300.2.p pacid=40060395 transcript=Brasy9G003300.2 locus=Brasy9G003300 ID=Brasy9G003300.2.v1.1 annot-version=v1.1 MAELVATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEILKRKLPAILDIITDAEQAATHREGVKAWLEAIKKVAYEANEAFDEFKYEALRRQAKKNGHYNKLGFHAIDVLVAEMNAFQFKYQQQAPASKQWRQTDHVMFDPKKIISRSRDQDKEKIVDILVGQASNADLMVIPIVAMGGLGKTTLAQLVYNQPEIQKHFNLLIWVCVSDSFDVDSLAKIIVEAAPDKKDGTGAAPDKKDGTGAAPDKKNGTGAAPTKKNPLDRLQDAVSGQRYLLVLDDVWNREADKWEKLKARLQHGGAGSAVLTTTRDEGVAKIMGTVRTYNLTSLEDNFIKEIIETRAFSLHKEEERPPFLVNMVGEIVKRCRGSPLAATALGSVLHTKTTEEEWKAISARSNICTEETGILPILKLSYNDLPSHMKQCFAFCAVFPKDYEIDVDKLIQLWISHGFIQEQKEVRLETIGQQIFDELASRLFFQDVKQVQVPVEDINRLVIAGTRRCYSRTTCKIHDLMHDVALSVMEKECAVITQEQSKIERAVASEEPGNQIEWLLNTVRHLFLSCEEPEMKLNNSLENSSPAIQTLLCDSQMKSSLYHPSKYSSLQALQLCSKRRSFLLKPKHLHHLRYLDLSGSRIRVLPEDITIMYNLQMLNLSGCYKLHRLPRQMKYMTALRRLYTHGCPNLKSMPPGLAKLTSLNTLTCFVAGTGSDCSNVSELQNLKLGGVLELDKLENVREEDAEKANLGKKDITDLALRWSRGGENDAKVLERLEPNGSVKAVRIVSFGGTDFPTWLAMLQNMVEIHIFWCRKLRCLYSCGTSFTFPSLKEITLHGLSDLEVWWEVNNGVQGEEIMFPQLEKLFIIDCGKLTALPGQPTSFPNLQNVCIQRCPELTTRVKSPKLDALEMHGCEEEMLQWVARHMTSLTTLTLRNHEDTETTSKAADHSFNEVMDGQEKFHNNEFVLTVMELDGLKSSVAKLCTCFVQLQDLSIVDCDALVHWPEKEFQSLVSLRKLYISRCKNLTGYAQAAPAEPSTWTSETSNQLLPRLESLEIWACESLVEVFNVPAASMRRMRIYYCSKVESISGWRRRLQQQDNSEPIQGPSLSSAAVTGEHLLPPFLESVYIGHCDSLTGALHLSRSLKLLSIDECPGLTSLVESHSGELPSLERLFLEDCKTLSSIPDGSQAYSSLQELTVRNCPGIKTLPTCLEQRLSSIEWKLLDARYEGPRLLKPWTWKYASRRD* >Brasy9G093600.1.p pacid=40060396 transcript=Brasy9G093600.1 locus=Brasy9G093600 ID=Brasy9G093600.1.v1.1 annot-version=v1.1 MSSSQSLVPLFRPSLSLSPHQHPRPVAPIPPSSASTTARSVRHLPRLAAKLCLPPRATSGSGGFGDGGRIGSGGDGGGGGRGWWQGGSGDPPDPGDGWWRWLQSLHPELFLLFLLFQSDAFASIPSALAEALGASGGEGASVWEVRGSARTLLVPDPDGTSYRVAGDEKGKQEDGTERVVDRDDLGALRRQLEQSWRRCTDVALQLLLPDGYPHSVSSDYLHYSLWRGLQGVASQISGVLSTQALLYAVGLGKGVIPTAAAINWVLKDGLGYLSKILLSKFGRHFDVNPKRWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAARSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANQIGSSVPLALISFAGVTVVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVSSVKEVNDEEPLFLNSSMGAKLKQNKILSAEAKDAADRICRRLQLGSKLSEIIDNEEDAYALFDLYKDEQYLLLEYKGKFCVVLKEGSSPEDMLKSVFHINYLYWLEKYMGPKPCNVASACRPGGRLEVSLDYAQREFRHVKQDGSDGGWVMDGLIARPLPVRIRVGDVVPS* >Brasy9G093600.2.p pacid=40060397 transcript=Brasy9G093600.2 locus=Brasy9G093600 ID=Brasy9G093600.2.v1.1 annot-version=v1.1 MSSSQSLVPLFRPSLSLSPHQHPRPVAPIPPSSASTTARSVRHLPRLAAKLCLPPRATSGSGGFGDGGRIGSGGDGGGGGRGWWQGGSGDPPDPGDGWWRWLQSLHPELFLLFLLFQSDAFASIPSALAEALGASGGEGASVWEVRGSARTLLVPDPDGTSYRVAGDEKGKQEDGTERVVDRDDLGALRRQLEQSWRRCTDVALQLLLPDGYPHSVSSDYLHYSLWRGLQGVASQISGVLSTQALLYAVGLGKGVIPTAAAINWVLKDGLGYLSKILLSKFGRHFDVNPKRWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAARSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANQIGSSVPLALISFAGVTVVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVSSVKEVNDEEPLFLNSSMGAKLKVVLKEGSSPEDMLKSVFHINYLYWLEKYMGPKPCNVASACRPGGRLEVSLDYAQREFRHVKQDGSDGGWVMDGLIARPLPVRIRVGDVVPS* >Brasy9G359900.1.p pacid=40060398 transcript=Brasy9G359900.1 locus=Brasy9G359900 ID=Brasy9G359900.1.v1.1 annot-version=v1.1 MHVCQSDQGSRFQVRSAQLLQPRSPSPFVMLAWSWRTCCHLPFLGATLAEEEAASIGSAPGEADASSMSALRRVSPCCCMALVVARPSDQLV* >Brasy9G224700.1.p pacid=40060399 transcript=Brasy9G224700.1 locus=Brasy9G224700 ID=Brasy9G224700.1.v1.1 annot-version=v1.1 MLLPNQTMPFLLLLLFLGLGGLLRPAASADEQFVFNGFTGANLAMDGMARVTPNGLLQLTNATSQLKGHAFFPTPLQFHRAPNSTAMQSFSTAFVIGIIGAYEDLSSHGMAFIIAKSRNLTSALPGQFLGLVGSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGAFKNMSLVNRKPMQVWVDFDGQAMQVNVTMAPLEVARPKKPLLSATVNLSSVIDDTAYVGFSSSSGILFCRHYVLGWSFRMNGAAPALNVSLLPSMPVTTPKPRSRTLEIVLPIASAVLVFAVAAVVFAAMRRRRMFAELKEDWEVAFGPHRFAYKDLFHATDGFGDSRLLGIGGFGRVYRGLLPSSKAEIAVKKVSHGSRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKRLYDQRKVSLSWGQRFRIIKGVASGLLYLHEDWDQVVVHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVVQDASDNHLVLVDWVLDQWRAGSVTGAVDPRLGGDFVEHEASLVLRLGLLCSHPLPGARPATRQLAQYLDGDVKLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSITAGTMSQMSDLSGGR* >Brasy9G261700.1.p pacid=40060400 transcript=Brasy9G261700.1 locus=Brasy9G261700 ID=Brasy9G261700.1.v1.1 annot-version=v1.1 MFPAVVVPLRALKPCRMAPPHRAGFPSKRNRARSPPIARTVVASGAEPPRCRSPPMLRPVLLLKDFVVNELWRTADSNGWRSSSAPRTYWPPPPTESESSGYLRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELDTNSFWHDQSGFVDIYDVPHFIKTLKYDVRIVTSVPKITAQGKTKKLRAYKIDPPRDAPVTWYRTTALGMIRKYGAIYLTPFSHRLAGEIDDLELQRLRCRVNYHALQFKSNIRKTSSDIVNKLRSEGHFMSTHLRFELDMIAYAGCIDIFTPKEQEILLKYRGEHFPEKNLVYRERRLIGKCPLTPEEVGIIIRAMGFDNTTRIYLASGKLFGGKRFMKPFKAMFPRLENHSMVRSGKLEENTRGLAGSAVDYIVCLLSDVFIPTYDGPSNFANNLMGHRLYSGFRTTITPNRKALAPIFMDMEEGHASGYEERIRQVMFNTHFGAPHKRIHPESFYTNPWPECFCQMKAKNHADRCPHNNVNDVLESQFQNKEYIVS* >Brasy9G261700.2.p pacid=40060401 transcript=Brasy9G261700.2 locus=Brasy9G261700 ID=Brasy9G261700.2.v1.1 annot-version=v1.1 MLTSVLLLKDFVVNELWRTADSNGWRSSSAPRTYWPPPPTESESSGYLRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELDTNSFWHDQSGFVDIYDVPHFIKTLKYDVRIVTSVPKITAQGKTKKLRAYKIDPPRDAPVTWYRTTALGMIRKYGAIYLTPFSHRLAGEIDDLELQRLRCRVNYHALQFKSNIRKTSSDIVNKLRSEGHFMSTHLRFELDMIAYAGCIDIFTPKEQEILLKYRGEHFPEKNLVYRERRLIGKCPLTPEEVGIIIRAMGFDNTTRIYLASGKLFGGKRFMKPFKAMFPRLENHSMVRSGKLEENTRGLAGSAVDYIVCLLSDVFIPTYDGPSNFANNLMGHRLYSGFRTTITPNRKALAPIFMDMEEGHASGYEERIRQVMFNTHFGAPHKRIHPESFYTNPWPECFCQMKAKNHADRCPHNNVNDVLESQFQNKEYIVS* >Brasy9G009200.1.p pacid=40060402 transcript=Brasy9G009200.1 locus=Brasy9G009200 ID=Brasy9G009200.1.v1.1 annot-version=v1.1 MAAAADHDGDRAADLRALDATFSGVHGLAASGITRLPRIFRLADDAAGQVQEPPPPPSPTAIPVIDLSGGRAATVAAVGRAAAEWGFFQVTGHGVPPDVASAAVRAARAFHETPGGEGSEKARLYSRDPAMAVKYNCNFDLHQSKVANWRDTLFLRMAPHPPPPADMPDSCRDVFFDYAEHVRNLWDKLFGLLSEALGLTTSHLSDMGCNKGQMILCHYYPPCPEPSHAVGTTRHSDSGFLTVLLQDAVGGLQILQGDRWLDVTPIPGAFIVNVGDLLQMVSNDRFRSVEHRVVLGAAKDARVSIACFPSDPDSNRVYGPIKELLSEENPALYRETLVRDYVAHYFSLGLGPKTAMNDFRL* >Brasy9G038300.1.p pacid=40060403 transcript=Brasy9G038300.1 locus=Brasy9G038300 ID=Brasy9G038300.1.v1.1 annot-version=v1.1 MTMMGFCGPSLPAGLLVRPSSVFAKFPGRRLSGVRFSRGGSRRVLCWCCVVSGLFLLAAVVVRRGGGAAESLLFSRRFRSVVLGGGGGQWGGCAGGLFVGDFFAVPGPALLLDHLALCRACCRSWPLASFPEQKRFPLSRSRWKAMADARVHGTPPPRRLPEYRVAARLPAGALPPRPTPPRTSATASPRRRWGSIMVTEGELGEAVVAAVGQIIATTLVVGFHGKSFL* >Brasy9G039700.1.p pacid=40060404 transcript=Brasy9G039700.1 locus=Brasy9G039700 ID=Brasy9G039700.1.v1.1 annot-version=v1.1 MEVEGVEGLLRSLKLSEAERKSVKIVVSRPSGKVDSAPLAVGKLFSERPALAEALEQTVGWIWCPGKGVTCKALGDNTFLFTFSQATGKRKALEGGPWNFNNDLLVLEDFVPTKTIKEYAFEKFPIWVRVFDVPLGMMDMEAGAAIGGLIGEVQEVGRDEIKVKINRSAPLMRGVSLEVEEEVREEKVGLTNAARGDQELAEEKKKKKKDRFCRIEYEHLPDFCYFCGILGHNNRECSIKVKDGEEKGWGRWLRVEARWAKKQFFGVVLCLAVAQVLGGNSQR* >Brasy9G000800.1.p pacid=40060405 transcript=Brasy9G000800.1 locus=Brasy9G000800 ID=Brasy9G000800.1.v1.1 annot-version=v1.1 MVEAHGMKRQRRRGGGMRTARARGRAPDGGRQRLEHGGMGVEEASSHGSGPAVAGSEEEAGGSGRSTRRRRRPEHELRWRVEEAHDWRTPRRHCRRHAPGLPAPASGSGEGGQGKGGWRRRALRFHPRVALGEATRGSGP* >Brasy9G012200.1.p pacid=40060406 transcript=Brasy9G012200.1 locus=Brasy9G012200 ID=Brasy9G012200.1.v1.1 annot-version=v1.1 MSFKCVVGAMVASFGIAYVCDTTVSDKKIFGGTVCKTATDKEWQQATDAKLQAWPRTAGPPVIMNPISRQNFIVKPSE* >Brasy9G140800.1.p pacid=40060407 transcript=Brasy9G140800.1 locus=Brasy9G140800 ID=Brasy9G140800.1.v1.1 annot-version=v1.1 MPVPGWWPDKLRPKSKPKPGAATVSAASSPRKSVDLDYPSPSPTPRAREKARSLDSPATRHAASGGGSGGRCGARVGVVQYKLPVPVIEPDPETLAAGSLCEEAGEGCCSVSGSSVCSSSDEAADHHVSRSIDPVAFAKGRNMPSDTHRILNEDKQYMSCSMPREHQSFFEVPISNVRELHLHNDDPSTSGTSSRGRMLSEDVFGPRTRSPSPGPKGRNFTMNNVHSREFGFSPRSPLKMMDGLRSPPHPLPLPPASGACSPLPPSPTACSPLPPSPTACLQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLKQPSHPNIVQYYGSEMTEDTLSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGKNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDIFSEEGKSFLQMCLKRDPAARASASQLMDHPFVQDHPSVRATKSNLRNAFSAPADGKHTMSNRDFSSRRSITPLTDIGVSARDFTGFSTTVSSPRTSSSPIPVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTLSSSTMAYNSSGYGLNQARRSPAVSDPWQDAGPLKLQSPCGSPKRF* >Brasy9G140800.2.p pacid=40060408 transcript=Brasy9G140800.2 locus=Brasy9G140800 ID=Brasy9G140800.2.v1.1 annot-version=v1.1 MPVPGWWPDKLRPKSKPKPGAATVSAASSPRKSVDLDYPSPSPTPRAREKARSLDSPATRHAASGGGSGGRCGARVGVVQYKLPVPVIEPDPETLAAGSLCEEAGEGCCSVSGSSVCSSSDEAADHHVSRSIDPVAFAKGRNMPSDTHRILNEDKQYMSCSMPREHQSFFEVPISNVRELHLHNDDPSTSGTSSRGRMLSEDVFGPRTRSPSPGPKGRNFTMNNVHSREFGFSPRSPLKMMDGLRSPPHPLPLPPASGACSPLPPSPTACSPLPPSPTACLQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLKQPSHPNIVQYYGSEMTEDTLSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGKNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDIFSEEGKSFLQMCLKRDPAARASASQLMDHPFVQDHPSVRATKSNLRNAFSAPADGKHTMSNRDFSSRRSITPLTDIGVSARDFTGFSTTVSSPRTSSPIPVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTLSSSTMAYNSSGYGLNQARRSPAVSDPWQDAGPLKLQSPCGSPKRF* >Brasy9G117400.1.p pacid=40060409 transcript=Brasy9G117400.1 locus=Brasy9G117400 ID=Brasy9G117400.1.v1.1 annot-version=v1.1 MIDAATTLPLGNSHLRWLHLLQLQPSVIPLPPLPRVRPRRISLPRPLSPLPSSPPSVSLPIALSESQRQETEGPPPPDSTASADADGRDIHRLRALRPDPWPPPRSRSRKAPPQRSPSRWNYARRGGAVLEQERRGRPSLVGRAASSHHYAYAYPTVEVVPLPLPHLIVPSACRPASARILQGYSARKVHGLFRW* >Brasy9G143800.1.p pacid=40060410 transcript=Brasy9G143800.1 locus=Brasy9G143800 ID=Brasy9G143800.1.v1.1 annot-version=v1.1 MSSGVGLFVVLIAREQRCQQAPSHVGEHDEEEEQQGSIVRCATGVWVDSSLRIKPAFEATAASSFDAEARAPSARAPSRPGPRSTAGSRARRAACGSSSSPRAPSAPPRPSSSPTRSTSEAAGTTPSTPPSQRTATSSSTPAPAPATFAHAPFMVGGSFHEYTCIACHPGFKVLRMPYLGGHNRRGYSMCIYLPDDRDGLPELVRVLSSSDPEALLDVPTKLVPTGALRIPKPKFGVSLRLEASQLLRDLGLDLPFRPAPAGQTFSEMLALDDSSSEMPMAVSFVIHQCSVHVDEKGTVATAATDMEVCGFAMPPDPEMLVDFVADHPFLFFIREEQHDRGVMVFAGQVVNPLLG* >Brasy9G127400.1.p pacid=40060411 transcript=Brasy9G127400.1 locus=Brasy9G127400 ID=Brasy9G127400.1.v1.1 annot-version=v1.1 MLCCHRLHFTWQGFICGDKLVSQDGKFALGFFQTGSKSHNTLNWYLGIWFNKVPKITPVWVANGDNPITEPASVRFTVFQDGNLVILDQANNSMIWSSQVSPKTNTTIAVLMNNGNLVLQNNSSPSNILWQSFDHPTDTFLPGAKIGRDKTTGLTRRLVSRKNSIDPAHGLYYYELEPNRLILAVLSSSIVYWSSGEWNGQYFSSIPEMLSRNLIDFEFVNNKHEEYFTYTLLNDTMIMHHLLDVSGQMKTLIWNEASQDWIGSYANPKAQCDVYALCGPFTVCNENSALCCSCMKGFSIRSQEDWELNDRSSGCVRNTPLNCGSNRSTAGMTDEFYSMSTVELPENAHNIGPATSAGECAEVCLRNCSCTAYSYTDSRCSIWQEELLNVKQQHAENTDTNGGVLYLRLAAKEMQTQKHDKRVIIGVATAAIVTALSLLALILLFLLLLVWRNNKGWYGGTFKNLQDGGGIIAFRYIDLQRATRNFSEKLGAGGFGSVFKGYLSDSATIAVKRLDGACQGEKQFRAEVSSIGIIQHINLVRLVGFCCEGERRLLAYEHMPNRSLDIHLFQSNNTVLNWSTRYKIALGVARGLAYLHESCQDLIIHCDIKPQNILLDALFVAKIADFGMAKLMGRVLAGF* >Brasy9G116900.1.p pacid=40060412 transcript=Brasy9G116900.1 locus=Brasy9G116900 ID=Brasy9G116900.1.v1.1 annot-version=v1.1 IFFDIWMVIWGAILLLEKIASLVLRQEFGCQVTRVLSLFWSIKLSMGELYRLVNFKTSYVGTMFRFSLYCWRGFVVS >Brasy9G313800.1.p pacid=40060413 transcript=Brasy9G313800.1 locus=Brasy9G313800 ID=Brasy9G313800.1.v1.1 annot-version=v1.1 MRKSLSALQNADPSKDLLAAYLGGEHREKSSRGVVSRRRRGQSRAPSKSEEGPAGARDGSLSPVRGGRRRSLEQISRRLRPEKGIDAGGQRSGCGVQRRGGGSGDVGTGCG* >Brasy9G312000.1.p pacid=40060414 transcript=Brasy9G312000.1 locus=Brasy9G312000 ID=Brasy9G312000.1.v1.1 annot-version=v1.1 MELSAATLIFLSLISLPILVSLLRRKSTAPTSQKKRPPGPRSLPLIGSLLHLITSQPQVALRDLAKKHGPVMHLRLGQVDTVVISSAAAAQEVLRDSALNFASRPSLLGSQIICYGDLDIAFAPYGAYWRTLRKVCTVELLSARKVRQFAPIRDSETLCLVSNIRDAAGRGGGVEPVNLGRLLVSCTNNISAKATFGEGCDAELQEQFLAAIDVVLRDSGGLSVGDLFPSLRFVDAVTGLKRRLWRARRQLDAVFDKIIAKCEARREQKKKTTATAGEDDLLSVMLRIKDEGELEFPIRETNIKAIIVDLFTGGTETTSSAAEWIMSELMRNPEVMAKAQKEVRRTFDNLSPQDHESHLDKLTYTRMVVKEGMRLHPVLPLLLPRVCQNTCDVGGFEVTKGSRIMVNAWAVARSPEYWHGDAEEFRPERFQDSTADYNGTQFEYLPFGSGRRMCPGSNFGLAALELILARLLYYFDWSLPAGIRPDELDMDMIVGSTARRKNQLHLVASPYNVPIDILS* >Brasy9G133700.1.p pacid=40060415 transcript=Brasy9G133700.1 locus=Brasy9G133700 ID=Brasy9G133700.1.v1.1 annot-version=v1.1 MAATFCAYPAGAGAANPTYRRPRTITAPAALPDVRKPSRLPSFLSFRRPNAALPPLRVAGADPKIVNGEDFPPMNDLIRLYKKAFLDGNDDVVSDIEKAITSMEKEKSKAASQFESITAEIISGKSKFLRLNADLENFRKQTEKDRAKFTSNIQVELVQSLLPLVDSFEKANLELTLETDKEQKISTSYQGIYKQLVETLKGLGVGLWKLSASHLIHWSMRLSPEKNLCSSRQGSSHTKSTVGSF* >Brasy9G327800.1.p pacid=40060416 transcript=Brasy9G327800.1 locus=Brasy9G327800 ID=Brasy9G327800.1.v1.1 annot-version=v1.1 MGGRLSDLPDDLLRRILFFAPLKEAASTSALARRWRMLWLSSGAVNIDSRTYDHLEDRDVKRDAVRHHAAGAVYGHDRRRLWPIKKLAFRYMEGDDGVDDDYVWDAKASVVEKLVRLLSAPGARHVEDLRVGIFFGPCPDEPGSLYHLSIGTLPSAASLRVLHLSKAMDFRPKGPGSSPSAYPRLTEVRLHLRDVSLGILQAFVDAAPLLGTLHLHGVQLSPFPDCQYPPVPRALRLRLRLPRVADLGGTPWRSRRRRFAYQGRVRSLSLEPPPDMMSRVSLRFHVPAQVRPDDDGTCQVFWRFVRSVGNVKNFNLSFQLQSEDSELDFCF* >Brasy9G154700.1.p pacid=40060417 transcript=Brasy9G154700.1 locus=Brasy9G154700 ID=Brasy9G154700.1.v1.1 annot-version=v1.1 MAERRAAAMETVRAEIHSYLDLIAKNAMKLKPGSKLRISRYSSSEVQIHLNDMRSEATNLLGTMGTMEKMGIKIRADDRHGKSILKALEQIHASLVCEMEAQGVVVEEPGPAASDDKKKLLELEEARTHIVLTDEVMTEEECFDQYRRSWVSNYSGLYGSSFTETTTVSPMHFAHATPGHGPHASFCPRTLQMYSIKVTDIKDALELKWPLQVYGVVAARDTVDRNRNIIFSRQRDDCQQLSQKDPFLRLTGPSRAIVTEDPINVEIELRVKGRTESEDRVLMSQVWYYSSRVCPLYTPLHGQFCTLVLSSEELERSVQATIVGVRATEGTPWPFEHGGRVVCSSRPRKARLPDSKHIAGPLFRQIVLEDGAMAMDPDGYLGLSRQVVSVELFGSLEVGVYAYSQSRGIAAQICVCIEAQKCNVTRHECCLGNSKMEITVAWSRLVQDKRCLAPSC* >Brasy9G154700.2.p pacid=40060418 transcript=Brasy9G154700.2 locus=Brasy9G154700 ID=Brasy9G154700.2.v1.1 annot-version=v1.1 MAERRAAAMETVRAEIHSYLDLIAKNAMKLKPGSKLRISRYSSSEVQIHLNDMRSEATNLLGTMGTMEKMGIKIRADDRHGKSILKALEQIHASLVCEMEAQGVVVEEPGPAASDDKKKLLELEEARTHIVLTDEVMTEEECFDQYRRSWVSNYSGLYGSSFTETTTVSPMHFAHATPGHGPHASFCPRTLQMYSIKVTDIKDALELKWPLQVYGVVAARDTVDRNRNIIFSRQRDDCQQLSQKDPFLRLTGPSRAIVTEDPINVEIELRVKGRTESEDRVLMSQVWYYSSRATIVGVRATEGTPWPFEHGGRVVCSSRPRKARLPDSKHIAGPLFRQIVLEDGAMAMDPDGYLGLSRQVVSVELFGSLEVGVYAYSQSRGIAAQICVCIEAQKCNVTRHECCLGNSKMEITVAWSRLVQDKRCLAPSC* >Brasy9G160800.1.p pacid=40060419 transcript=Brasy9G160800.1 locus=Brasy9G160800 ID=Brasy9G160800.1.v1.1 annot-version=v1.1 MSVSSSSMCGGDAGMKSVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWSPEEDGPYFPGRVSRWWLSQSLKHLEASLQRLGAGKLVTRRSADAVVALLQLVRDTGATHLFFNHLYDPISLVRDHRLKEMLTAEGIVVQSFNADLLYDPWEVVDDEGRPFTMFMPFWNRCLSMPYDPPAPLLPPKRINSGDLLMCPSDDLIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLVDYSVNRKKADSANTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYICFNHPCSHEKPLLAHLRFFPWVVNECNFKFWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDSRELDHIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIIELDAAKSRLQEALSEMWQLEAASRAAMDTGMEEGLGDSSEVPPIEFPQDLQMEVHWEPARVTANVLTTARRRQDQMVPTMTSSLNRVETEISADLGNSVDSRAEVPSHMHVEPQTEREEMIRSTGNAVRTNDFRHHNNFQQPQQRMRDMFAASVSEASSSWTGREGGVVPVWSPPAASGHSETYVADEADVSSRSYLDRHPQSHRLMNWSQLSQSLTTGRDVENSVQPNFIG* >Brasy9G299500.1.p pacid=40060420 transcript=Brasy9G299500.1 locus=Brasy9G299500 ID=Brasy9G299500.1.v1.1 annot-version=v1.1 MSVSSASLVGSLPPSGLLQCPISTSPSLYFVLGFGAELQIFFDDEWVESVDVNGSDMLLKMFLPLKQSYLKAFKLMDEVLKLQPKIDTTAVTLVKQIFLCGNYRWEKNGALFDMYLENSLHLYIYGVSEWDFATVGTEVA* >Brasy9G256900.1.p pacid=40060421 transcript=Brasy9G256900.1 locus=Brasy9G256900 ID=Brasy9G256900.1.v1.1 annot-version=v1.1 MAAPRPLLFLLALSLAFAAACAHNITGILDGYSEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDPKKLHSLHGGSELTTTLYQTTGDASGDMGHVNITNLRGGKVGFASAAPGSKFQSTYTKSVKEEPYNLSVLEVSDPITFPGLFSSPSAASSNLTALLEKAGCKQFARLIVSSGVIKTYQAAMDKGLTLFAPNDDAFQAKGLPDLSKLSSANLVTLLEYHALPQYAPKASLKTMKGGIPTLASTGSGKYDLSVVTKGDDVSMATGMDKSRVASTVLDDTPVTVHTVDSVLLPPELFGGAPSPAPGASADAPASAPAPEASAPAPSPKAGKKKNKGKSPSHSPPAPAADSPDMAPADAPDGDEEADKVHDKKNGAAALAVSFAAVLASVALVGASFL* >Brasy9G157600.1.p pacid=40060422 transcript=Brasy9G157600.1 locus=Brasy9G157600 ID=Brasy9G157600.1.v1.1 annot-version=v1.1 MDDDFDFPAANGDEMTGSADEDEQAMKDLYAGAGGAAGAGEEDDEEYDIAAMKAGEEKGIGKEGLRKKLVKEGEGFEHPGAGDEVEVHYTGTLMDGTKFDSSRDRGTPFKFTLGRGQVIKGWDLGIKTMKRGENAIFTIPPELAYGEDGSPPVIPPNAMLQFDVELLSWASVKDICKDGGIFKKILVEGDKWENPKDCDEVFVKYEARLEDGSIISKSDGIEFTVKEGHFCPAISKAVKTMKKNEKAVLTVKPQYGFGEHGRPASADKAAVSPNARLHIDIQLVSWKTVTEIGNDKKIQKKILQDGEGYDRPNDCATVKVKFIGKLEDGTVFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEIAFVTIAPEHAFGSEETKQDLAVVPPNSTVYYDLELVSFDKEKESWELKSNTEKIEAAAKKKDEGNVWFKMCKYTRASKRYGKALSFIEYDSSFSEEEKQPSKALKVSCKLNNAACKLKLKDYKKAKELCTEVLELDNTNVKALYRRAQAHTHLVDLDLAELDIKKALEIDPDNRDVKMGYRRLKETVKQYNRKEAKFYGNIIDKLGKLEDTEADVSQVPSKKHSRWPLVPRLRRFFTTDGSIESMLWLLLRLLIPVMILVSLGVAYYLQSEVPEIDCINC* >Brasy9G330900.1.p pacid=40060423 transcript=Brasy9G330900.1 locus=Brasy9G330900 ID=Brasy9G330900.1.v1.1 annot-version=v1.1 MVAASGLAPPRAAAVAPCTRARGGGQHHRVSFSRSPPVAAASAAAKLRCCASAVDDSAVAAAAPKPRLPRVVGMGSKLIGCGSATPALCISNDDLSKLVETSDEWIAARTGIRNRRVLSGDETLGGLSVQAAQRALDMAQVRAEDVDLVLVCTSTPDDLFGGAGRVLAELGCKNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVVGADALSNYVDWTDRGTCILFGDAAGAVLVQACNADEDGLLGFSVQSDGNGQKHLHCSSSNTEDVLSKTNGAPTFPPKKGTFSCIEMNGKEVFRFAVRCVPQSIERALEEAGLPASSIDWLLLHQANQRIIDAAANRLDIPSDKVISNLANYGNTSAASIPLALDEAVRGGKVKTGDIIAASGFGAGLTWGSAIVKWG* >Brasy9G330900.2.p pacid=40060424 transcript=Brasy9G330900.2 locus=Brasy9G330900 ID=Brasy9G330900.2.v1.1 annot-version=v1.1 MLRCCASAVDDSAVAAAAPKPRLPRVVGMGSKLIGCGSATPALCISNDDLSKLVETSDEWIAARTGIRNRRVLSGDETLGGLSVQAAQRALDMAQVRAEDVDLVLVCTSTPDDLFGGAGRVLAELGCKNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVVGADALSNYVDWTDRGTCILFGDAAGAVLVQACNADEDGLLGFSVQSDGNGQKHLHCSSSNTEDVLSKTNGAPTFPPKKGTFSCIEMNGKEVFRFAVRCVPQSIERALEEAGLPASSIDWLLLHQANQRIIDAAANRLDIPSDKVISNLANYGNTSAASIPLALDEAVRGGKVKTGDIIAASGFGAGLTWGSAIVKWG* >Brasy9G009100.1.p pacid=40060425 transcript=Brasy9G009100.1 locus=Brasy9G009100 ID=Brasy9G009100.1.v1.1 annot-version=v1.1 MGFISFVGRVLFASIFLLSAYQEFSEFGSDGGAAASSLKPKFNLFVKQVSKNIGMAAPHIDIKTVVASTMFLKAFGGLLFIISSSFGAVLLLVYLAFITPVVYDFYNYEMESQQFVVLFTKFSQNLALFGALLFFLGMKNSIPRRHSKRRTTKVKTN* >Brasy9G021200.1.p pacid=40060426 transcript=Brasy9G021200.1 locus=Brasy9G021200 ID=Brasy9G021200.1.v1.1 annot-version=v1.1 MTPIGCLLLTPYGLQSITDTPSLPPAPRPMGAETSLAPLRRRSHAPPPRPPTPCGLPLSPVAARPELLVADGRSTHAVRGAEAPPLPMGRRGGTTRTEDRTAGAPDAAAGQAHARRWSAHKVRANKTMDSMPHISVPALTLVGAPLWIAYSLAGNDCKLYITVCRILVQFSLIDLVLYINSTGPGLVVDR* >Brasy9G265600.1.p pacid=40060427 transcript=Brasy9G265600.1 locus=Brasy9G265600 ID=Brasy9G265600.1.v1.1 annot-version=v1.1 MASPAEKESLRRDRISDLTNDVLGHVLSFLPNKEAGRAAALARRWRYIFGSVHDVSFAEEQGDRDNDWYTYYAKAEERKSCSDELLDGISAALLCRRRCAGLLPVPPLRSLRFAFDSYHWFDKVAVDQWLAHALSRHSRPHGGSSLSELHLDLCFCLGVCCSREVVDGKEAADSGSDDDDMRLEEAIWTYVLPRRLFSCTALRTLCVTGCRLKLPAIIDMPFLETLHLAAIADSRRSIQRLISSCPRLADLTLEALGKLRTVTSGDKRLRRFALLCCHNIKSIDIDASELNYRGAVPLESLLPLHGSRSLIPSWTIDLCVLPEAAGFAGFARFLEKISHAKHLQLGKSVCSDRRFFAASAFPLFSGLTCLTLEWRIRSCETVGTVRMILEQTPNLEVLSLLMLDGDVVPDEIMVHPEESSFSIPCLRRRVRDISIKGYKGSKPEKMLAKLLLCNALVLERLHVVFVEGFRRSRLEIQMTGWAAADSEKTFI* >Brasy9G068900.1.p pacid=40060428 transcript=Brasy9G068900.1 locus=Brasy9G068900 ID=Brasy9G068900.1.v1.1 annot-version=v1.1 MAAASVPAGQRRERERGGIERGEGRGGDGEGGGGRGRDRGRIRRRLPTAARGRRPGPRSGVTGIGGFPHGGAGQKREERERRCRAREDSRGRVRGREESREQRDGGGDEGERRGGAWGGVIYTSL* >Brasy9G125900.1.p pacid=40060429 transcript=Brasy9G125900.1 locus=Brasy9G125900 ID=Brasy9G125900.1.v1.1 annot-version=v1.1 MLNHPHSHSRHGPLSPLLRVLSRAAAGSPSEHHRARQPMDSPSSSGRPSTPRRQLQLQGPRPPRLNVRPESHAIKKPSGAPAQAQGRRDQQQQHGPPAARAPVIIYDASPKIIHTKPSEFLALVQRLTGPSSSAGPFPSEAQDQDYHMEDAVQLGQSSFLPPELLLSPSAAMSPAARLATIERSVRNPMHALPASGYVDFSNDRFDDGSLAAVLGGAARPGILSPLPSSLPPAAASGLFSPLPFDASCISWLNELSPILRAASTGAGSSGSGSGGGGGAGNGGAARPPPAYYSDPFVPSPRNLLATPTMPSPATFAELLRNLPDL* >Brasy9G118600.1.p pacid=40060430 transcript=Brasy9G118600.1 locus=Brasy9G118600 ID=Brasy9G118600.1.v1.1 annot-version=v1.1 MLFVSKKKKEAEMLFGDGPFSLASPRRSPANHACRPDILVLIGQSQEITSFGHCQSYIDDD* >Brasy9G043000.1.p pacid=40060431 transcript=Brasy9G043000.1 locus=Brasy9G043000 ID=Brasy9G043000.1.v1.1 annot-version=v1.1 MHRWIIASCGDMRPVRRRDAQDQPEEPRRRRSATASPPRLRKEGSGGIPVPKDMAEFRTMSEYGHLRLFTYDQLRHATADFSPRLIVGEGGFGVVYKGVVGGAEVAVKALNPQGLQGDREWLTEVSCLGQYSHQNLVELIGYCCEDDHRLLVYEYMAKGSLENHLFRRSCNISWTTRVKIALDVAQGLAFLHGAERPIIYRDFKTSNILLDADFKAKLSDFGLAKEGPMGGNTHVSTRVMGTYGYAAPEYMATGHLTAMSDVYGFGVVLLEMLVGRRALDPPPRPTARPGPPGPGPPPAGRPGGTLVDWARPILIRGKKLEKIVDRRMEQQGQGYSARALERVARLAYDCLSQNPKVRPAMARVVKVLEAALAADAEDDGRGSDSSPAAARP* >Brasy9G034100.1.p pacid=40060432 transcript=Brasy9G034100.1 locus=Brasy9G034100 ID=Brasy9G034100.1.v1.1 annot-version=v1.1 MLEIFNTEKRAVILMTLWRISRIHNGITHDKPAPPVEVSRRLLNSYIETLFLSRQQSNSAVDKGKQVVNLTAICSLKKEVKPPAAIMPWTPPESGVQKLNVDESFCYETFFFVNFCYETGEGGAGMVLRDSGRTIFLACPNLQFCMDPVDAELSALINGVQLALIVQKLNS* >Brasy9G034900.1.p pacid=40060433 transcript=Brasy9G034900.1 locus=Brasy9G034900 ID=Brasy9G034900.1.v1.1 annot-version=v1.1 MYYVYMVAGAAPIWFISLASLGALYMSTICLRLLAHFAVCLRRPKDLRHYYGSWALITGPTTGLGRSMAMELARQGLNLILLDLDAANLEETSSTVRKTHPEIQTKTVVFDLSLAGTEAGDEAMQWLKDAIEGLDVGVLVNNAAVARPGALFVHEADVERLVKMVRVNVTGLTEVTKAVLPGMLERGRGAVVNVGSGSTVAVPSFPLYTVYSATKRYVEHLSKCLDVEYKSRGINVQCQVPFYVHTGMLSPAVKATMTVTLPFVATADAYARDAARWIGHGGALCVPDASQQLQWFLAAFVPDAVHDWYRLRQHLHHRAILRNLNRS* >Brasy9G169000.1.p pacid=40060434 transcript=Brasy9G169000.1 locus=Brasy9G169000 ID=Brasy9G169000.1.v1.1 annot-version=v1.1 MDEWTFDSDEGVLRIPRTMGVLMYTPIPTDRVGAPYPTIPLVLLSSLPRNLPAPSRLRRFAPPPASPPALSPPPAPPAAPCPATPANRAALLLHIPAPPFPPPSPSAAAAHLLPATACLASGHVASPSSSRTPCCPSCSRGGESSRLAPPHPGAFASSTLFRRRHRSSPPGRRSSHPSLAARLPARRRWRPPTACSSTSSAGPLHLLPRRLHHSGHPLLHCSLAGTHPAAVAVVRRVAVVRLD* >Brasy9G180300.1.p pacid=40060435 transcript=Brasy9G180300.1 locus=Brasy9G180300 ID=Brasy9G180300.1.v1.1 annot-version=v1.1 MASWCENMVLPPRVLIAPRPSCANGQGNILSLRHPKLEEETQYLFTDGRLHEFNWFKERYGSWFLGDYVCEDGSIYYCTVVDPIFILLPLFEAARMSNGKDLGKFRQLDEILYIEGYPGYQHLMSIAGNHMDLVCEVKEVANMKFFRLDNSKVLTWLCCKVHNIKEVIPKFGKNYAAQGERELLKDAIQIIRENLKDEPWLTVLCKKLQLDINEINETTKSNDTSFCAESSPIPAPARPSEGNIGNGSAKSSKGRPAKKLKAEVGSKNIKDMFRRVTRSGT* >Brasy9G180300.2.p pacid=40060436 transcript=Brasy9G180300.2 locus=Brasy9G180300 ID=Brasy9G180300.2.v1.1 annot-version=v1.1 MASWCENMVLPPRVLIAPRPSCANGQGNILSLRHPKLEEETQYLFTDGRLHEFNWFKERYGSWFLGDYVCEDGSIYYCTVVDPIFILLPLFEAARMSNGKDLGKFRQLDEILYIEGYPGYQHLMSIAGNHMDLVCEVKVANMKFFRLDNSKVLTWLCCKVHNIKEVIPKFGKNYAAQGERELLKDAIQIIRENLKDEPWLTVLCKKLQLDINEINETTKSNDTSFCAESSPIPAPARPSEGNIGNGSAKSSKGRPAKKLKAEVGSKNIKDMFRRVTRSGT* >Brasy9G209400.1.p pacid=40060437 transcript=Brasy9G209400.1 locus=Brasy9G209400 ID=Brasy9G209400.1.v1.1 annot-version=v1.1 MTAAQVHHLQQLLRPGSPYIRYYVCSMNKTLTSSKSKMYFTVHFTKEFLLPFLGNPIEHLHLKLRDSSIRQRVRLLKSHDNRYLSFDANIYT* >Brasy9G154300.1.p pacid=40060438 transcript=Brasy9G154300.1 locus=Brasy9G154300 ID=Brasy9G154300.1.v1.1 annot-version=v1.1 MISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIEKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >Brasy9G302100.1.p pacid=40060439 transcript=Brasy9G302100.1 locus=Brasy9G302100 ID=Brasy9G302100.1.v1.1 annot-version=v1.1 MVMELSNANPVVHEKKERRIRLAPENTDENAAEPIDQLEIFDHIRDIKDPEHPYSLEELNVVTEESVEINDKLSHVRVTFTPTVEHCSMATIIGLCVRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLAPTFD* >Brasy9G002200.1.p pacid=40060440 transcript=Brasy9G002200.1 locus=Brasy9G002200 ID=Brasy9G002200.1.v1.1 annot-version=v1.1 MYHPQCELLMPHESLDMDAVVVGQQSHLAASGVSAIPSELNFHLLHHSFVDTAASPQPPTVDYFFPGTDPPPAAVQFEQLAATNHHAMSMLRDYYGQQQYPAETYLRGGPRTTTGSSSLVFGVANDDESAAYNMVGPFVESSPTPRAAGGGRKRNRGSRAGGGPAHGGVEKKEKQRRMRLTEKYTALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVGKKRRRNGAGEHHHQGDVVDAAPALGAAGELALAAESSEGEVAAPMAALQPIRSTYIQRKSKETFVDVRIVEDEVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHQGSPVFASAVASKLIEVVDEY* >Brasy9G002200.2.p pacid=40060441 transcript=Brasy9G002200.2 locus=Brasy9G002200 ID=Brasy9G002200.2.v1.1 annot-version=v1.1 MYHPQCELLMPHESLDMDAVVVGQQSHLAASGVSAIPSELNFHLLHHSFVDTAASPQPPTVDYFFPGTDPPPAAVQFEQLAATNHHAMSMLRDYYGQQQYPAETYLRGGPRTTTGSSSLVFGVANDDESAAYNMVGPFVESSPTPRAAGGGRKRNRGSRAGGGPAHGGVEKKEKQRRMRLTEKYTALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVGKKRRRNGAGEHHHQGDVVDAAPALGAAGELALAAESSEGEVAAPMAALQPIRSTYIQRKSKETFVDVRIVEDEVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHQGSPVFASAVASKLIEVVDEY* >Brasy9G032500.1.p pacid=40060442 transcript=Brasy9G032500.1 locus=Brasy9G032500 ID=Brasy9G032500.1.v1.1 annot-version=v1.1 MDILISAIVGDLVSRSASFVISKCFGQHPDVDKILQRLHRLVLRIDTIVEEAEGRHITSKGMLRQLEMLRQGMYSGHYILDALRVREFGEEVEVDVSHSSSVLSRFNPSKRLRLSGTGSGSSNRGATLLMVANNSIREELQQMVDTLEDTMADMKEFIFFLESYPRIIRQPYGTYLVLDNCMFGRQTERKHVLNFLLRPSATPDLDVLPIVGPRRVGKRTLVEYVCRDESVRDHFSMILFFPEGSLKDDGVIDLRGNNIKVRHQNSDSRNRFLVIVEITEDIKEGTWKMLKSSVTCMTPCSGSKIIITSRSDAIVNLGTTEALRLDYLSQESYWHFFKSIAFGSTNPDEQPKMTTMAMEIALEQRQCWLSARLIAGLLRDNFNAQFWRTILECVRAYKKSHLVMFDKHPNLRVRKAEPVYYWRLARSCRYFLVCNYHQSDSTEEVPRIAVRDILLGCGGTLPHGEFGALAMRSHIPPYYNYTISCKMQTPQPTVRRKKRVPQEEAYFV* >Brasy9G063000.1.p pacid=40060443 transcript=Brasy9G063000.1 locus=Brasy9G063000 ID=Brasy9G063000.1.v1.1 annot-version=v1.1 MCNCHDRSTDASSAYTPTALAAPVALPGCREACGNVTVPYPFGIGQGCFREGFDLTCNDTSQPPKLFVGDGGMAAPEVVEILLLNGTIRINTKIFNIPSTTTTFNGTWSAGLTPVGRLRVSWRNRFVAIGCEFVAGLVDDADRYISVCATYCHGLYANWCNGLGCCQMRVPGLGLSSIGVRLNYLVQPGGHYSGDRAFFIADEEWLFSKKSGMLQQNYSAESQKIADSTVIPTMLEWSLDFNRDRDLFFVRERCTTGNSIIIYNDNDYKRPRCKCSKGYEGNPYISNGCQATRRSGPCEMLSSPPIRFGQTPPIRSPPAPATWRPNPRRTATNGGPAPEARDDDRTPRWRPASARVGGGGRDHTWWWQRRLPARLVGLSCATATLLPGDPALHHAPVVC* >Brasy9G134800.1.p pacid=40060444 transcript=Brasy9G134800.1 locus=Brasy9G134800 ID=Brasy9G134800.1.v1.1 annot-version=v1.1 MRQYRRMPSPSGFIGATDVGGATEWIIRYLQDTDNERVMYFHGWSGFGASAVLRSLADVLPSRRTAPALCFDRIIHIDCSVWKNRRATQRAIAEELKLDRSVMAILDEKDEDDDFEGVQETSRNEFVLIFHNGSDDEIDLYGFGFPPFGKFGNNFFLWTFGRMLLTIKDHDHEKRQLVDKLRFTRVLAYGRTCYLSGEQFYAVLCKEASTIGAVGIDQTVVADCHMYELFLHSSFDTTPKSDWAGHFSNFWICDGILQEDTTREISNVLHREISRESDASLLDGVLIQFNQHSKIPLLVLKENDEYEEGPYRWISIKSRDMKVHGMQRIPADTSSFLLASKRSTSPLELPNGFFGHSSKLGVLILCCCEFNFASPPFVNCHSLRFLGLDRCIDDKNTGQGEDHTKWACLYNLWVLDLRFTDWNEILSEEKIDLMINTRELNIEGLMSWQYIARLQDRLPNLQRLRIIKPTQVLEASTNTCNSFLDKTKLEILDLSGNSEMETLPNSLSKASRLQVLVLDGCTGLDDVVLPDGLSHLLRTFSFDGYGPSSQWTPALELPPKHFRPSTTAHKKEINVSKISLEGCRHLQNLFIRGLANLLELDLSGSAIKTFDFETMVLEVPRLKRLFLLGCERLRAIQWGKTDISLELLCIDTRAQVGCPRPSFNQNKSSRLHVYAVVSDARLACSGGDIKDVYLNIRVTSSSVHSESVQFEAICKEKKIVMYSGQVQRPAVPVGMYGDILSMVCDAPMQAFPEPPDTTNLDGHIEIAEESRGLDENNFPEIWQQHARSLHVHDVFIDAGFGGVGEWNLLKQCRMERCPKLDAVFPYWSYKFDELENFWVLDLLMVRWICKKTYYQFNADRPFRNLRHLHLGSCPRLQYVLPMWFSSFPSLETLHIIHCGDLKHVFVLSREYPEEIVTNGVLFPKLTSIHLHDLPTLQQICEVNMVAPALESIKIRGCWSLHRLPIVEARGPGVKKPIVEIEKDVWDKLEWDGAEPSQHYEALVHCRYYKKKLPRGSVLR* >Brasy9G117100.1.p pacid=40060445 transcript=Brasy9G117100.1 locus=Brasy9G117100 ID=Brasy9G117100.1.v1.1 annot-version=v1.1 MWGNIDPITHECLMVSWPPYKPDTMKIGRIGVMRTVDEISQPNRVEYAINILEMQMSVVEGSCRGPLLNGRGEVIGVLHDAHDSSQAYFISAEHLRDYLVRFHIQAAPFVAEPVAGKG* >Brasy9G264000.1.p pacid=40060446 transcript=Brasy9G264000.1 locus=Brasy9G264000 ID=Brasy9G264000.1.v1.1 annot-version=v1.1 MAAAATLARTSPPPPLLCGHREGRNRLRLSPRRPAAGCRCRATAQSSFQGGPAASYAREMERLSAKESLLLAFKDAGGFESLVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPYLEGRWNFEWFGDNSPGAFAARILFERSPTSVAHFTGLDVVIKDGYSKLSSNVKFFNTIQNKFVLTTQLSVEGPIRMKEEYVEGFLETPKISEETLPEQLKGFLGQTTGALQQLPAPIRDAVSEGLKVPLNGMFQRLFMISYLDEEILIIRDAAGAPDVLTKLEGPQPNPIDTSDTVIPEYQS* >Brasy9G264000.3.p pacid=40060447 transcript=Brasy9G264000.3 locus=Brasy9G264000 ID=Brasy9G264000.3.v1.1 annot-version=v1.1 MAAAATLARTSPPPPLLCGHREGRNRLRLSPRRPAAGCRCRATAQSSFQGGPAASYAREMERLSAKESLLLAFKDAGGFESLVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPYLEGRWNFEWFGDNSPGAFAARILFERSPTSVAHFTGLDVVIKDGYSKLSSNVKFFNTIQNKFVLTTQLSVEGPIRMKEEYVEGFLETPKISEETLPEQLKGFLGQTTGALQQLPAPIRDAVSEGLKVPLRLSLPAPLAQARLEG* >Brasy9G264000.2.p pacid=40060448 transcript=Brasy9G264000.2 locus=Brasy9G264000 ID=Brasy9G264000.2.v1.1 annot-version=v1.1 MAAAATLARTSPPPPLLCGHREGRNRLRLSPRRPAAGCRCRATAQSSFQGGPAASYAREMERLSAKESLLLAFKDAGGFESLVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPYLEGRWNFEWFGDNSPGAFAARILFERSPTSVAHFTGLDVVIKDGYSKLSSNVKFFNTIQNKFVLTTQLSVEGPIRMKEEYVEGFLETPKISEETLPEQLKGFLGQTTGALQQLPAPIRDAVSEGLKVPLNYQRCCWST* >Brasy9G298300.1.p pacid=40060449 transcript=Brasy9G298300.1 locus=Brasy9G298300 ID=Brasy9G298300.1.v1.1 annot-version=v1.1 MELVLAAIMGEVANRGRCITNQAMICPLNILRKEMYRGYYTLDSFRTQANGADLGVSHAFALSKFNPAKRLSLSIAHKNDGKELQQVVNNLNNIIVNLERIMDFLRQTKSPGTETSVDVLPIVGPVHVGKSSLVSHVCNDERVRNLFSQIMLVGEDDLRRRNSRKIIITSRSSKIVEFGTTQPLVLSYLPREAFWYFFKVRTFGSADPKEQPELSSIAMELVMGMKQSFGATNVLADLLRSNLDVQFWRRTIKLARQYVQANITEFGEHPCALVWKNRPTRLVRMSNSNSYVMRKQYQTSFEDEEVPKITCMDLLFGSVECEGRIEVLAWRSRLPPYRNHLCSCEIQKPRRTAIIGTDPSTTSTLSK* >Brasy9G124500.1.p pacid=40060450 transcript=Brasy9G124500.1 locus=Brasy9G124500 ID=Brasy9G124500.1.v1.1 annot-version=v1.1 MDAGHATAAQEADDDAPRKKKTAARKRWADEGARERERGLSPAAPPEDPGKDAALAECAVSCCIFCACLPVAALCCVARAPVRAARRCWRWRATRRRPPRRLAPGGSSSFSDAELGDFRQGRRRAMADEDHRPRSRSPRGSRTAPPTAPPQQPPPLDRRR* >Brasy9G111800.1.p pacid=40060451 transcript=Brasy9G111800.1 locus=Brasy9G111800 ID=Brasy9G111800.1.v1.1 annot-version=v1.1 MADDNCDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAQAQALAAAQDVAKAAAAAGVNIDAAGLVTDLNKQTQEKSTAPSIILAMATLYWFWFLTDCGGYSVTH* >Brasy9G074600.1.p pacid=40060452 transcript=Brasy9G074600.1 locus=Brasy9G074600 ID=Brasy9G074600.1.v1.1 annot-version=v1.1 MGVVLVTALVACLASHGTNMATASTAWSDQDFFRNCPPSRCRKDGPEIRFPLQIDSSNTSSSCGATCAKLACSGQDTIMFHPLLGPCSVTAIDYSLATLNITPLMSASAMIQKFVLTSTSLAGASHRCAPQYSRTGKLVGCSKEFTPSRITQVPLRDDRGVYYASVSAADDIAGPISYLSNTTHFSYLVQADAFMFDLPSDCKVISGARIPIFGTGYYGSTLEQGVEVGTLHFHDIAASWSEPESSSIPYKCRKCELSGQRCAFSTQRNQTFCLPQPHGSRVKVIAVSSSVAAFVGLLMVASGLYLSLKTRYNKEIHLKVEMFLKTYGTSKPTRYTLSEVKRIARRFKDKLGHGGFGSVYKGELPNGVPVVVKMLESSEGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPKDSLEKYIFSSGSNISRELLVPQKMLDVALGIARGMEYLHQGCNQRILHLGIKPHNILLDYNFNPKISDFGLAKLCARDKSIITLTTARGTMGYIAPEVYSRSFGGISYKSDVYSFGMLVLEMVSGRRNQDPRIESQNEVYLPEWIYEKVVTGEELGLAMEMTSEEKEKVRQLAVVALWCIQWNPQNRPSMTKVVNMLTGRLQNLQMPPKPFVPSENRPMP* >Brasy9G299200.1.p pacid=40060453 transcript=Brasy9G299200.1 locus=Brasy9G299200 ID=Brasy9G299200.1.v1.1 annot-version=v1.1 MAAPPAVDSNGNGADVKARDGVVRSETMGGINCGDNLLHSTVPVPVSGDPMETNGSLGTTEADDIQFPADGHGDSTESSSSFGPSCSISGDEAMSDFNGIEVDSPLLGHINVDDTISVPKIVSDKWRETVGPIRWRCQWLELRMKELSSQIAKYDKELDLINHEKDLQLEMIKADNSNLESAKVNVQSHDRNTMRRRKRRRHEDDMDTALYMKNHQIFSYYRENKNSGAETDGVLINDGFDSVVAADTKSRAFDNTLLQAKETDRVFEQYSLREILLRMDGIQSQILRLQDHLSKVRNKHEELSSDVDHTRVKVPQKSQKARIQIASRKKDGTRPQKKKDLHSLVQKEDKRKPLAGTDRSADYAMGYAKRSIAEEGATQPDAKEVTVQMLFSGDNPLIRAHIGELYKENVDDVLIDNRAAQEEGYQQLVEVKQAAKNRVDGKDQMEKSPNVDDIFFFFCEW* >Brasy9G141000.1.p pacid=40060454 transcript=Brasy9G141000.1 locus=Brasy9G141000 ID=Brasy9G141000.1.v1.1 annot-version=v1.1 MYSYPPPYSPYGPHPHPPPPSPAPEPSSHPPSYPPSPAPDVALPPSPDYHDPAPPPTSYPGGVAPDVPPTTPYHDPITPPPTSYPDDVPTPYYPGSDNTTAYTPPPGGSHGHHHVLVAVLVPIAGLLLLGLLAGLCFMLVRPRRRRREPQPECPPPAAAEEEEATYVEVSEHVVRVQEQVVVGPEGEVVVIDVVDEVLEVRQEHAGGGGGRRAHGDEGPPY* >Brasy9G110500.1.p pacid=40060455 transcript=Brasy9G110500.1 locus=Brasy9G110500 ID=Brasy9G110500.1.v1.1 annot-version=v1.1 MAEGGEPKVDGTKEEERERTEEEEVEVKFRLFDGSDIGPIRCNAAATTVAALKDRVVTDWPKDKTIVPKTASDVKLISGGKILENDKSIAQCRAPFGDLPSTVITMHVVVQPSSTKSKPDKKSNKLPKTSRCSCTIL* >Brasy9G232700.1.p pacid=40060456 transcript=Brasy9G232700.1 locus=Brasy9G232700 ID=Brasy9G232700.1.v1.1 annot-version=v1.1 MRRLALGEARGGRRHAEEQRRRGLGGARKEERGGADPAGGEAGGGAAGGGEEASATRGGRRRAGEPEVGCARWAAARGEHEAAAAGGREEESAARAGEGEKRSVGVEVGEKTGGRRRGG* >Brasy9G128200.1.p pacid=40060457 transcript=Brasy9G128200.1 locus=Brasy9G128200 ID=Brasy9G128200.1.v1.1 annot-version=v1.1 MREARAAPSAQAIDQTAARKALTSVQTLPKGVEVLDPLGLGIMDNKSLRLITDSSVSSPVSREKSQGLDPSMRDKVVYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTVHLYSVTTKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKTMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNGRIHGLFEKCTLDHEARMEILQNKIREKVLSDSKWRQLQQDSNKSLEVDSGDSFQDDQLSSNFMAEEADSLRATYIRRLNVVLIQHVPAFWRLALSVFSGKFAKAAAGNVLADADMNAKSGTNKTDDKSVEAKYTNHSLDEVASMVCATVSAFDTKVQNTFRDFAECNILRPYMGDAIKEIAKACQTLEGKDSSPSAVQMLHALHFEMTKLYILRLCSWMRATTKEVSKCETWVTLSTLERNKSLYAISCLPFEFRGITISAMDRIESMIFNLRSETAKSFDISQQLQEINESVRLAFLTSFRDFAGYLGTFGGELAQSRSNKENNHVQNGYINGTDRETFSMDGDLHKKLLVVLSNIGYCKAELSEELYNKYRHIWSPIRDNDERSADMRELMTSFSRLEDQALEQYTCAKSNLIRSAAQSYLLDSGIHWGAAPVVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMNILVEGLIDIFLSLFYEHKAKDLRMLDANGFCQLMLELEYFETVLNTYFSTEAQQALKSLQESLLEKACESVAEALENPGHQRRPTRGSEDAASDDKQVPSVSPDDLLVLAQQCGSDLLQGELEKTRLNIACFMESTLQSTSAPAGSKPSAYSSYQAPAPHHPVQVSSPSFRRQQTSTNSPVVSRRRR* >Brasy9G207200.1.p pacid=40060458 transcript=Brasy9G207200.1 locus=Brasy9G207200 ID=Brasy9G207200.1.v1.1 annot-version=v1.1 MAETSAAAASTEEPKDPRTIARKYQLDLCKRAVEENIVVYLGTGCGKTHIAVLLIYELGHLIRKPSSDVCIFLAPTIPLVRQQAAVISNSTNFKVQSYYGNGKSSRDHQDWEKEMRESEVLVMTPQILLYNLRHCFIRMSSIALLIFDECHHAQAHKRHPYAQIMKEFYDNNAVKPPRVFGMTASPVMGKGGSNKLNYTKCINSLEELLHAKVCSVDNVELESVIAFPDMEVYPYGPVSHSNLTATYIKELDDLKLQSECILRESLYDFKDSQKKLKSLWRLHGNLIFCLQELGSFGALQAARNLLSFDVDAFDKKEVDINGNSTRFVHHYLNKAVSVLSCNILDGTHDDSFDLETLEEPLFSNKFAVLINVLSRYRLEENMKCIIFVKRIAVARAISHIIRNLKCLDFWKCEFLVGCHSGLKNMSRNKMDDIVEKFSSGEVNLLVATSVGEEGLDIQTCCLVMRFDLPETVASFIQSRGRARMTISKYVVLLERGNQSQEKLLNNYIAGESIMNEEIDSRTSNDMFDYLEENTYRVNHTGASISTACSVSLLHRYCYNLPSDMFFNPSPAFFYIDDIEGIICRVILPPNAAFRQMDGQPCQSKDEAKRDACLKACMKFHELGALTDFLLPGPGSRKNKSSSPNGSASNKEEDDSLREELHEMLIPSVLKPSRCKQDCLLDLHFYYFNFFPIPADRHYQMFGLFVINPLPEEAEKLEVDLHLARGRIVKTGIKHLGTMVFDKEQMILARNFQEMFLKILLDRSEFTSSHVILCNDDTLEISSTFYLLLPIKQKCCGDIFMIDWPTVERCLSSPVFRDPVDVSVHGSYMPNESLWLLDKVYSRTDVVGSLIFVPHNNTFFIIDVILDKLNGRSEFNGATYEDHYRERFGIELSRPEQPLLKAKQLFNLHNLLHDRLWGTTGGRELVEHFVELPPELCSLKITGFSKDMSSSLSLLPSLMCRLENFLVAIELKDLMLSSFPEASQISASAILEAITTERCLERISLERFEVLGDAFLKYVVGRHNFMSYEGLDEGQLTRRRSDIVNNSNLHELSVKRNLQVYIRDQQFEPTQFFALGRPCKVVCHADMEVSIHQKNIDPDKRENCNVRCTKSHHWLHKKTIADVVESLLGAFLVEGGFKAAFAFLHWVGIDIDFEDSALYRVLNASSINLSLMNHINVAELEELIGYKFKHKGLIIEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLMTSYLYSAYPDLKPGEITDLKSLAVCNDSFAYAAIQKCIHKYIIKDSNHLTKAVSKFENYIKLPNSEKDLVEEPACPKVLGDIVESCVAAVLLDSGFNLNYVWKLVLMLLKPVLSFSGMHMNPMREIRELCQCNELELGLPKPMKADGEYHVKVEVNINSTMISCTAANRNSKVARKLAARETLSKLKNYGYKHKNKSLEEILHDARKKEPELLGYNEEPLIVEADISVQMKSLQISEERDANFSFKNMEVPIGGSSKTSNQRTAGDTKIFKDDVNNERNNQLMVAMQNGCLLRGTTQKNNKKEYKGDMVHKTAKSFLFELCAASYWKPPEFQLCIEEGPSHLRRFTYKVIVQIRGPSETLLECYSDAKLQKKAAQEHAAQGALWYLKQLEYLPKDELRVQKPSEILWPH* >Brasy9G207200.2.p pacid=40060459 transcript=Brasy9G207200.2 locus=Brasy9G207200 ID=Brasy9G207200.2.v1.1 annot-version=v1.1 MAETSAAAASTEEPKDPRTIARKYQLDLCKRAVEENIVVYLGTGCGKTHIAVLLIYELGHLIRKPSSDVCIFLAPTIPLVRQQAAVISNSTNFKVQSYYGNGKSSRDHQDWEKEMRESEVLVMTPQILLYNLRHCFIRMSSIALLIFDECHHAQAHKRHPYAQIMKEFYDNNAVKPPRVFGMTASPVMGKGGSNKLNYTKCINSLEELLHAKVCSVDNVELESVIAFPDMEVYPYGPVSHSNLTATYIKELDDLKLQSECILRESLYDFKDSQKKLKSLWRLHGNLIFCLQELGSFGALQAARNLLSFDVDAFDKKEVDINGNSTRFVHHYLNKAVSVLSCNILDGTHDDSFDLETLEEPLFSNKFAVLINVLSRYRLEENMKCIIFVKRIAVARAISHIIRNLKCLDFWKCEFLVGCHSGLKNMSRNKMDDIVEKFSSGEVNLLVATSVGEEGLDIQTCCLVMRFDLPETVASFIQSRGRARMTISKYVVLLERGNQSQEKLLNNYIAGESIMNEEIDSRTSNDMFDYLEENTYRVNHTGASISTACSVSLLHRYCYNLPSDMFFNPSPAFFYIDDIEGIICRVILPPNAAFRQMDGQPCQSKDEAKRDACLKACMKFHELGALTDFLLPGPGSRKNKSSSPNGSASNKEEDDSLREELHEMLIPSVLKPSRCKQDCLLDLHFYYFNFFPIPADRHYQMFGLFVINPLPEEAEKLEVDLHLARGRIVKTGIKHLGTMVFDKEQMILARNFQEMFLKILLDRSEFTSSHVILCNDDTLEISSTFYLLLPIKQKCCGDIFMIDWPTVERCLSSPVFRDPVDVSVHGSYMPNESLWLLDKVYSRTDVVGSLIFVPHNNTFFIIDVILDKLNGRSEFNGATYEDHYRERFGIELSRPEQPLLKAKQLFNLHNLLHDRLWGTTGGRELVEHFVELPPELCSLKITGFSKDMSSSLSLLPSLMCRLENFLVAIELKDLMLSSFPEASQISASAILEAITTERCLERISLERFEVLGDAFLKYVVGRHNFMSYEGLDEGQLTRRRSDIVNNSNLHELSVKRNLQVYIRDQQFEPTQFFALGRPCKVVCHADMEVSIHQKNIDPDKRENCNVRCTKSHHWLHKKTIADVVESLLGAFLVEGGFKAAFAFLHWVGIDIDFEDSALYRVLNASSINLSLMNHINVAELEELIGYKFKHKGLIIEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLMTSYLYSAYPDLKPGEITDLKSLAVCNDSFAYAAIQKCIHKYIIKDSNHLTKAVSKFENYIKLPNSEKDLVEEPACPKVLGDIVESCVAAVLLDSGFNLNYVWKLVLMLLKPVLSFSGMHMNPMREIRELCQCNELELGLPKPMKADGEYHVKVEVNINSTMISCTAANRNSKVARKLAARETLSKLKNYGYKHKNKSLEEILHDARKKEPELLGYNEEPLIVEADISVQMKSLQISEERDANFSFKNMEVPIGGSSKTSNQRTAGDTKIFKDDVNNERNNQLMVAMQNGCLLRGTTQKNNKKEYKGSLTRLLFRSGDPRRHFWSATAMLNYRRRPHKNMPHRVLCGISSNSNTYQKMNFVSRNLRKFCGPINMLLVRHAQ* >Brasy9G207200.4.p pacid=40060460 transcript=Brasy9G207200.4 locus=Brasy9G207200 ID=Brasy9G207200.4.v1.1 annot-version=v1.1 MRESEVLVMTPQILLYNLRHCFIRMSSIALLIFDECHHAQAHKRHPYAQIMKEFYDNNAVKPPRVFGMTASPVMGKGGSNKLNYTKCINSLEELLHAKVCSVDNVELESVIAFPDMEVYPYGPVSHSNLTATYIKELDDLKLQSECILRESLYDFKDSQKKLKSLWRLHGNLIFCLQELGSFGALQAARNLLSFDVDAFDKKEVDINGNSTRFVHHYLNKAVSVLSCNILDGTHDDSFDLETLEEPLFSNKFAVLINVLSRYRLEENMKCIIFVKRIAVARAISHIIRNLKCLDFWKCEFLVGCHSGLKNMSRNKMDDIVEKFSSGEVNLLVATSVGEEGLDIQTCCLVMRFDLPETVASFIQSRGRARMTISKYVVLLERGNQSQEKLLNNYIAGESIMNEEIDSRTSNDMFDYLEENTYRVNHTGASISTACSVSLLHRYCYNLPSDMFFNPSPAFFYIDDIEGIICRVILPPNAAFRQMDGQPCQSKDEAKRDACLKACMKFHELGALTDFLLPGPGSRKNKSSSPNGSASNKEEDDSLREELHEMLIPSVLKPSRCKQDCLLDLHFYYFNFFPIPADRHYQMFGLFVINPLPEEAEKLEVDLHLARGRIVKTGIKHLGTMVFDKEQMILARNFQEMFLKILLDRSEFTSSHVILCNDDTLEISSTFYLLLPIKQKCCGDIFMIDWPTVERCLSSPVFRDPVDVSVHGSYMPNESLWLLDKVYSRTDVVGSLIFVPHNNTFFIIDVILDKLNGRSEFNGATYEDHYRERFGIELSRPEQPLLKAKQLFNLHNLLHDRLWGTTGGRELVEHFVELPPELCSLKITGFSKDMSSSLSLLPSLMCRLENFLVAIELKDLMLSSFPEASQISASAILEAITTERCLERISLERFEVLGDAFLKYVVGRHNFMSYEGLDEGQLTRRRSDIVNNSNLHELSVKRNLQVYIRDQQFEPTQFFALGRPCKVVCHADMEVSIHQKNIDPDKRENCNVRCTKSHHWLHKKTIADVVESLLGAFLVEGGFKAAFAFLHWVGIDIDFEDSALYRVLNASSINLSLMNHINVAELEELIGYKFKHKGLIIEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLMTSYLYSAYPDLKPGEITDLKSLAVCNDSFAYAAIQKCIHKYIIKDSNHLTKAVSKFENYIKLPNSEKDLVEEPACPKVLGDIVESCVAAVLLDSGFNLNYVWKLVLMLLKPVLSFSGMHMNPMREIRELCQCNELELGLPKPMKADGEYHVKVEVNINSTMISCTAANRNSKVARKLAARETLSKLKNYGYKHKNKSLEEILHDARKKEPELLGYNEEPLIVEADISVQMKSLQISEERDANFSFKNMEVPIGGSSKTSNQRTAGDTKIFKDDVNNERNNQLMVAMQNGCLLRGTTQKNNKKEYKGDMVHKTAKSFLFELCAASYWKPPEFQLCIEEGPSHLRRFTYKVIVQIRGPSETLLECYSDAKLQKKAAQEHAAQGALWYLKQLEYLPKDELRVQKPSEILWPH* >Brasy9G207200.3.p pacid=40060461 transcript=Brasy9G207200.3 locus=Brasy9G207200 ID=Brasy9G207200.3.v1.1 annot-version=v1.1 MRESEVLVMTPQILLYNLRHCFIRMSSIALLIFDECHHAQAHKRHPYAQIMKEFYDNNAVKPPRVFGMTASPVMGKGGSNKLNYTKCINSLEELLHAKVCSVDNVELESVIAFPDMEVYPYGPVSHSNLTATYIKELDDLKLQSECILRESLYDFKDSQKKLKSLWRLHGNLIFCLQELGSFGALQAARNLLSFDVDAFDKKEVDINGNSTRFVHHYLNKAVSVLSCNILDGTHDDSFDLETLEEPLFSNKFAVLINVLSRYRLEENMKCIIFVKRIAVARAISHIIRNLKCLDFWKCEFLVGCHSGLKNMSRNKMDDIVEKFSSGEVNLLVATSVGEEGLDIQTCCLVMRFDLPETVASFIQSRGRARMTISKYVVLLERGNQSQEKLLNNYIAGESIMNEEIDSRTSNDMFDYLEENTYRVNHTGASISTACSVSLLHRYCYNLPSDMFFNPSPAFFYIDDIEGIICRVILPPNAAFRQMDGQPCQSKDEAKRDACLKACMKFHELGALTDFLLPGPGSRKNKSSSPNGSASNKEEDDSLREELHEMLIPSVLKPSRCKQDCLLDLHFYYFNFFPIPADRHYQMFGLFVINPLPEEAEKLEVDLHLARGRIVKTGIKHLGTMVFDKEQMILARNFQEMFLKILLDRSEFTSSHVILCNDDTLEISSTFYLLLPIKQKCCGDIFMIDWPTVERCLSSPVFRDPVDVSVHGSYMPNESLWLLDKVYSRTDVVGSLIFVPHNNTFFIIDVILDKLNGRSEFNGATYEDHYRERFGIELSRPEQPLLKAKQLFNLHNLLHDRLWGTTGGRELVEHFVELPPELCSLKITGFSKDMSSSLSLLPSLMCRLENFLVAIELKDLMLSSFPEASQISASAILEAITTERCLERISLERFEVLGDAFLKYVVGRHNFMSYEGLDEGQLTRRRSDIVNNSNLHELSVKRNLQVYIRDQQFEPTQFFALGRPCKVVCHADMEVSIHQKNIDPDKRENCNVRCTKSHHWLHKKTIADVVESLLGAFLVEGGFKAAFAFLHWVGIDIDFEDSALYRVLNASSINLSLMNHINVAELEELIGYKFKHKGLIIEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLMTSYLYSAYPDLKPGEITDLKSLAVCNDSFAYAAIQKCIHKYIIKDSNHLTKAVSKFENYIKLPNSEKDLVEEPACPKVLGDIVESCVAAVLLDSGFNLNYVWKLVLMLLKPVLSFSGMHMNPMREIRELCQCNELELGLPKPMKADGEYHVKVEVNINSTMISCTAANRNSKVARKLAARETLSKLKNYGYKHKNKSLEEILHDARKKEPELLGYNEEPLIVEADISVQMKSLQISEERDANFSFKNMEVPIGGSSKTSNQRTAGDTKIFKDDVNNERNNQLMVAMQNGCLLRGTTQKNNKKEYKGSLTRLLFRSGDPRRHFWSATAMLNYRRRPHKNMPHRVLCGISSNSNTYQKMNFVSRNLRKFCGPINMLLVRHAQ* >Brasy9G175700.1.p pacid=40060462 transcript=Brasy9G175700.1 locus=Brasy9G175700 ID=Brasy9G175700.1.v1.1 annot-version=v1.1 MAKSTEDEMVFLWKWRKYLLLLATLVASVTYVAGLNPPGGVRSEELTADAPAPAPAPRVGSGSPSTPVKHTNRVGSPAPAPEVQYPNRVGDPVLRKTYAARYTTFFYCNAAAFVASLVIIMFLLDPRISGNRVGLTVLRSAMLLDLLALMAAFAAGSCRSVPGSAYVSALFAVVFVYVFVHVRLASSSCDLANRLKAKEDPSDAADHESIKERRKFLLLLATFATPLTYGAGLAPPGGFWSDTKDGHRAGAPLLHDGRYKIRYHAFFYANATAFVASLAIIMLLMSSTLSDRLARSYALLVCVLVELLGLLAAYAAGSCRRVDTTIYVFSLAGAVLLNILLQVAVALIAMGRFKSWRKRVCEVLTCANCSWGPAKPARAADTPGAVPSIDNGQQDEDKLDESRSLLLLLATLAATVTYQAGLSPPGGVWPEDDKENRQHIPGNPVLLDVYPQRYRAFYHCNTAAFVASLVVIIILQSRQLSSRGVFALMTAMILVLFGLMGAYAAGSCRDVPTTIYVSALAVAVFVYSIAKVMAFTAHGQSNAMLWVQDKLKSIANTLHLSYNKQGGQESPDERVDLERKRKFLLQLAILAATVTYQTGLNPPGGFWPQSKDGSLMPGDPVLLDYYKVRYQVFFYCNATGFMASVAVILLLANQTLYKQGIRSNALHVCVLIGLLGLMGAYAAGSCRKLRTSIYVFALVAAVIAFLLLQILLYMFAGCVNWLKEEKQQTRLERRLKKLFQPLSKGPAGDRSKDKKEPSEVYKKHKFLMLLGILAASVTYQAGLAPPGGTWGDDDKPAASPAPAPSPSAYLIEAGNPILLDTNGKRYQAFFYCNATSFVASVVVIMLLLQRNMERRGAPLWAIQTAVVLDLLGLLGAYAAGSCRDWETSAYVIALVAVVVIFITVHVLLSIQVVMTKVRSLKVYKKCFGDPGKAINIADQVSGNATSA* >Brasy9G016600.1.p pacid=40060463 transcript=Brasy9G016600.1 locus=Brasy9G016600 ID=Brasy9G016600.1.v1.1 annot-version=v1.1 MASNGGGGGGGVLRHSNSSRLSRMSFSGEDGRAQAPGAGGGGGADRPMVTFARRTHSGRYVSYSRDDLDSELGGSEAAGFSPDREEFLSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGSKGSSCAINGCDSKVMSDERGEDILPCECDFKICAECFGDAVKNGGALCPGCKEPYKATEMEDLVGGAEGGARPTLSLPPPPGGVAASRMERRLSIVRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGGLSGADGQPAEFTSKPWRPLTRKLKIPAGILSPYRLLVLIRMVVLGLFLTWRIKHKNEDAMWLWGMSVVCELWFGFSWILDQLPKLCPVNRATDLIVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHGIEPRNPESYFSLKKDPYKNKVRSDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREAALDDAVEAVKIAKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGSNGEEGRPLDFTDIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGFVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSTEHGGCCSCCFPKKRKIKISSSASEETRALRMADFDEEEMNMSTFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIAYCFLPALSLFSGQFIVRTLDVTFLTYLLVITLTLCMLAVLEIKWSGINLEDWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDENDDYADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP* >Brasy9G022300.1.p pacid=40060464 transcript=Brasy9G022300.1 locus=Brasy9G022300 ID=Brasy9G022300.1.v1.1 annot-version=v1.1 MARGPMDVWNEWATQILVVFSLALQVILHLFAKVRRHKASSGVQKLLLWLAYHLADSTATYAVGQLFFSSIRRDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLLVQVLGAGYVLYKHIAGSGILLLLASILMYVVGVAKYGERSWALWSANLRSLQSTVKVVASDKRHQRFYTEYNDDIEHTDGEVLLQRAHSLFHICKRGIVDSVIEVDEEVDEKDSSDTKVIWDLMKDSEDMWTVMEIELSLMYDILYTKASVMHSWFGYCIRVISPLAVVTSLVLFQLSGKDGHSRVDVAITYTLMGGALVLETKSLLGALGSSWAFAFLCGTRWNWLRHAALCSGRWHRFRRILVSLRRSGPVKMIKAGSSRRWSGTMGQHNMLRFCTGQVDETSRQLDKRSKILGLGEWWDKWCYSWTILVPEMVKKRAQDVGEMFSLADINTMGLLRHKWGELALKENKYPGLLEDLRTWHGVDFHESIIIWHIATDLILAARKTGRGHDAADDHDCEEAVRAVSNYMMFLLVYRPDMLPGLPQNWLYKRTCNNLDEICKKHLVGCPCNSFCTTLKKLFRPHYLHNCLKFSREEKLARIILNMTGKELPYSKVPRLEDAKKIAHKLLRREEDVVSVLLDLWMDFLAYAANRCSREAHARNLGSGGELTTVLWLMIEHLCRIKANAPKGSGNV* >Brasy9G022300.3.p pacid=40060465 transcript=Brasy9G022300.3 locus=Brasy9G022300 ID=Brasy9G022300.3.v1.1 annot-version=v1.1 MARGPMDVWNEWATQILVVFSLALQVILHLFAKVRRHKASSGVQKLLLWLAYHLADSTATYAVGQLFFSSIRRDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLLVQVLGAGYVLYKHIAGSGILLLLASILMYVVGVAKYGERSWALWSANLRSLQSTVKVVASDKRHQRFYTEYNDDIEHTDGEVLLQRAHSLFHICKRGIVDSVIEVDEEVDEKDSSDTKVIWDLMKDSEDMWTVMEIELSLMYDILYTKASVMHSWFGYCIRVISPLAVVTSLVLFQLSGKDGHSRVDVAITYTLMGGALVLETKSLLGALGSSWAFAFLCGTRWNWLRHAALCSGRWHRFRRILVSLRRSGPVKMIKAGSSRRWSGTMGQHNMLRFCTGQVDETSRQLDKRSKILGLGEWWDKWCYSWTILVPEMVKKRAQDVGEMFSLADINTMGLLRHKWGELALKENKYPGLLEDLRTWHGVDFHESIIIWHIATDLILAARKTGRGHDAADDHDCEEAVRAVSNYMMFLLVYRPDMLPGLPQNWLYKRTCNNLDEICKKHLVGCPCNSFCTTLKKLFRPHYLHNCLKFSREEKLARIILNMTGKELPYSKVPRLEDAKKIAHKLLRREEDVVSVLLDLWMDFLAYAANRCSREAHARNLGSGGELTTVLWLMIEHLCRIKANAPKGSGNV* >Brasy9G022300.2.p pacid=40060466 transcript=Brasy9G022300.2 locus=Brasy9G022300 ID=Brasy9G022300.2.v1.1 annot-version=v1.1 MARGPMDVWNEWATQILVVFSLALQVILHLFAKVRRHKASSGVQKLLLWLAYHLADSTATYAVGQLFFSSIRRDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLLVQVLGAGYVLYKHIAGSGILLLLASILMYVVGVAKYGERSWALWSANLRSLQSTVKVVASDKRHQRFYTEYNDDIEHTDGEVLLQRAHSLFHICKRGIVDSVIEVDEEVDEKDSSDTKVIWDLMKDSEDMWTVMEIELSLMYDILYTKASVMHSWFGYCIRVISPLAVVTSLVLFQLSGKDGHSRVDVAITYTLMGGALVLETKSLLGALGSSWAFAFLCGTRWNWLRHAALCSGRWHRFRRILVSLRRSGPVKMIKAGSSRRWSGTMGQHNMLRFCTGQVDETSRQLDKRSKILGLGEWWDKWCYSWTILVPEMVKKRAQDVGEMFSLADINTMGLLRHKWGELALKENKYPGLLEDLRTWHGVDFHESIIIWHIATDLILAARKTGRGHDAADDHDCEEAVRAVSNYMMFLLVYRPDMLPGLPQNWLYKRTCNNLDEICKKHLVGCPCNSFCTTLKKLFRPHYLHNCLKFSREEKLARIILNMTGKELPYSKVPRLEDAKKIAHKLLRREEDVVSVLLDLWMDFLAYAANRCSREAHARNLGSGGHEELEKED* >Brasy9G085300.1.p pacid=40060467 transcript=Brasy9G085300.1 locus=Brasy9G085300 ID=Brasy9G085300.1.v1.1 annot-version=v1.1 MSQAPLLHQIICRAALLLATGASLLPLAPAPAPAPGPHCPGRCGNVHIPYPFGVGPECSLPGFDLTCNETTSPPSLLTRNLEIANITLETAQMVVYVPLIYSCVLRDSQNNPMSPTINNVSLEFASPFLLSPVDNVFTGVGCSLIAVLRGQIHAGGQPRPAYPTGCISACARVNDTGEYGAPCRGYGCCEAPITPGLSQLLFFSLRWEEGFRRVADNWCQYAFVAAKSWYKYNKKDLTGNMTFAKKVARSHGIPLVLDWAIRNGSCPPAPLGGDKESVPYGACISTHSNCVNASSGVFGYFCNCSQGYAGNPYVPNGCTNINECERKDLFPCSTGSTCHDEIGDYKCICHFGRRGDGKRPNGCEAILSGAAIAVIGTISAMALLAVLLIFLHMKREKRKLRDHFNKNGGQLLKSIKIEIFTEEKLKHITKNYYSSIGEGAFGKVYKGTTEDGTHVAVKRSIAVNKDRQKDFANEITIQSQISHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLPLETRMNIAINSADALAYMHSQASQKILHGDVKSGNILLDDGFMPKVSDFGTSRLMSIDKDHTNWIIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYAKNNSLPINYIKASIDGTTKEMYDADIIVSGAEEDVKCLEEVGLVAVQCLKDDVNDRPTMSEVVEKLKMCKRRWFQSRGQDSEVCT* >Brasy9G099000.1.p pacid=40060468 transcript=Brasy9G099000.1 locus=Brasy9G099000 ID=Brasy9G099000.1.v1.1 annot-version=v1.1 MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDMFTDFISLYNTVMKLIFLGSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFIVLPCLLLALLIHEKFTFKEVMWTFSIYLEAVAILPQLVLLQRTKNIDNLTGQYVFFLGAYRGLYILNWIYRYFTEPHYVHWITWIAGFVQTLLYADFFYYYLNSLKNNVKLTLPA* >Brasy9G317000.1.p pacid=40060469 transcript=Brasy9G317000.1 locus=Brasy9G317000 ID=Brasy9G317000.1.v1.1 annot-version=v1.1 MEVIDLSSDSEDSTPLCLDGKENLGSDDPSDGAVKSIVLFNTPFGQNNAAQPITLDDDDWLDSTEASTSYRSPGSVCHTAQAVSARRIYPLVSSSVMLHDSPDSNSRKVLTENDDDDVYMYTVPPQSFPTNHLSLSYNRIKEDLTANSNYFGTSAANGTGMSSTMSAHWKLPVKSSQKVETDSDNDDVYAYEDPLSHRNSVNDAELLYDSDTQSHPNRENRLFGGDERAIYHEALQHISQERREEDLPEGVMSISLLKHQKIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKERVQQSNFMSSDSDSKQCLSLDLDEDDIVMVLDKKELKGEPSDRLAINLEPSASQPGSAVNRMVSTVRVEPKKTRVSLPSSASNLKSTTRPSAGTLVVCPASILKQWASEISAKVTESSKLSVLVYHGGSRTRDPTELTKYDVVVTTYTIVGQEVPKQDNDDDMEQKKSEMYGICPAFAAGNKRKRPKKTKKKVKTKKPNHSDADLDGGPLARVRWFRVVLDEAQTIKNYRTKSARACCALRAKRRWCLSGTPMQNTIDDLYSYFRFLKYEPYSAYRSFHSMIKNPISKGASQGYKKLQTVLKIVLLRRTKETILDGEPIIKIPTKTIQLKKINFTQEERYFYLALEEGSREKFKKFAAAGTIKQNYANILVLLLRLRQACDHPFLLKEDNQENLTDPGSIEMAKQLPRNTLINLLQKLDARHPICLICEEPADNAVVTTCHHVFCYQCVLERLSEENVCPLPWCRNKLSAETLFSRPVLRLCISDELESYATTSYSAAADEPSPICERSYISSKIQAAIDVLKSIFNTHALTDSDTIESSSSQIAPKAIVFSQWTGMLDMLGLSLDSNLINFRRLDGSMSLNSRETAVEEFKTDPEVRVMLMSLKAGNLGLNMIAASHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVTRFTVKDSVEDRILALQAKKRKMVESAFGDDKSGGNATRLTVEDLGYLFDI* >Brasy9G317000.2.p pacid=40060470 transcript=Brasy9G317000.2 locus=Brasy9G317000 ID=Brasy9G317000.2.v1.1 annot-version=v1.1 MEVIDLSSDSEDSTPLCLDGKENLGSDDPSDGAVKSIVLFNTPFGQNNAAQPITLDDDDWLDSTEASTSYRSPGSVCHTAQAVSARRIYPLVSSSVMLHDSPDSNSRKVLTENDDDDVYMYTVPPQSFPTNHLSLSYNRIKEDLTANSNYFGTSAANGTGMSSTMSAHWKLPVKSSQKVETDSDNDDVYAYEDPLSHRNSVNDAELLYDSDTQSHPNRENRLFGGDERAIYHEALQHISQERREEDLPEGVMSISLLKHQKIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKERVQQSNFMSSDSDSKQCLSLDLDEDDIVMVLDKKELKGEPSDRLAINLEPSASQPGSAVNRMVSTVRVEPKKTRVSLPSSASNLKSTTRPSAGTLVVCPASILKQWASEISAKVTESSKLSVLVYHGGSRTRDPTELTKYDVVVTTYTIVGQEVPKQDNDDDMEQKKSEMYGICPAFAAGNKRKRPKKTKKKVKTKKPNHSDADLDGGPLARVRWFRVVLDEAQTIKNYRTKSARACCALRAKRRWCLSGTPMQNTIDDLYSYFRFLKYEPYSAYRSFHSMIKNPISKGASQGYKKLQTVLKIVLLRRTKETILDGEPIIKIPTKTIQLKKINFTQEERYFYLALEEGSREKFKKFAAAGTIKQNYANILVLLLRLRQACDHPFLLKEDNQENLTDPGSIEMAKQLPRNTLINLLQKLDARHPICLICEEPADNAVVTTCHHVFCYQCVLERLSEENVCPLPWCRNKLSAETLFSRPVLRLCISDELESYATTSYSAAADEPSPICERSYISSKIQAAIDVLKSIFNTHALTDSDTIESSSSQIAPKAIVFSQWTGMLDMLGLSLDSNLINFRRLDGSMSLNSRETAVEEFKTDPEVRVMLMSLKAGNLGLNMIAASHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVTRFTVKDSVEDRILALQAKKRKMVESAFGDDKSGGNATRLTVEDLGYLFDI* >Brasy9G095000.1.p pacid=40060471 transcript=Brasy9G095000.1 locus=Brasy9G095000 ID=Brasy9G095000.1.v1.1 annot-version=v1.1 MGTALRKGLQVDASYKTSGGARPLPRTRPAVVRPRKPPPSPRRHRADPRKRPAAVCRPHVVTGPRPCDLRPPPSPRRDWTPSLRPPPPAVSTTRPRPSLLPSYADPRCHRTHAPTSAVPVPVGGRGGTEDAATGTWFSCVPLTVRRKVEPHGAPPPPAGTRSQPRPLRV* >Brasy9G045100.1.p pacid=40060472 transcript=Brasy9G045100.1 locus=Brasy9G045100 ID=Brasy9G045100.1.v1.1 annot-version=v1.1 MHVQQPRSSSIAGPPGSISWAAFVYVRALAPLSVHSIVQPARSPPRRACRPARGSSGSKARSGPGMAGSADLGERAAGSGSSSSGGAQDGKGGGGRRRGGERSGGRRPASGPSQGGRDAVVTGVRAEVGRRWASEGGGGTAGPQQREREGGRRESGKAALGRPRRRRETWREERKGREERTWERNRGREER* >Brasy9G093300.1.p pacid=40060473 transcript=Brasy9G093300.1 locus=Brasy9G093300 ID=Brasy9G093300.1.v1.1 annot-version=v1.1 MHRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIVGVTYYAVVLCNYGPVLLVGGTSTIAALAVLLLFHFLLGMLLWCYFSVVFTDPGSVPPNWNLDFDEERGETAPLSSSEFSSQMNSQQSMVSDTGNPRMRYCRKCNQLKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPQFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSILGFLIMHVSLVSANTTTIEAYEKKTSPRWMYDLGRKRNFAQVFGNDKKYWFIPAYTEEDLRRMPALHGLDYPVRADLDGQEL* >Brasy9G034300.1.p pacid=40060474 transcript=Brasy9G034300.1 locus=Brasy9G034300 ID=Brasy9G034300.1.v1.1 annot-version=v1.1 MSAFSGRGSGSAFATTSAVSGRSSGSAFATPSGISADQRDRKGRAGGQGRNPSRRPCPRRRFHFCLSASSPARLSGNHPPLAPLGRLPFAAVPPRNPSSAAATIRCSRGR* >Brasy9G164900.1.p pacid=40060475 transcript=Brasy9G164900.1 locus=Brasy9G164900 ID=Brasy9G164900.1.v1.1 annot-version=v1.1 MGSVQRQDSFDSDHSLYLSDDDLREAPTLTSKQLEEAREEARRIMETHTREEAFKIFTNGLAYPVANPPGQAVEDKAKAGPSNPGGAKPQTAVPPPKN* >Brasy9G217900.1.p pacid=40060476 transcript=Brasy9G217900.1 locus=Brasy9G217900 ID=Brasy9G217900.1.v1.1 annot-version=v1.1 MHLWPSLRIRDSFKHAYLEKLELNLANKKHAQRQGQQDQGQQQAPLLERRPSSRGSLVAGALELAWDAAMLLTCCCCCFCCGACGEEEDQLTAR* >Brasy9G178400.1.p pacid=40060477 transcript=Brasy9G178400.1 locus=Brasy9G178400 ID=Brasy9G178400.1.v1.1 annot-version=v1.1 MAAPFSSLCRRAAQTARRTGVITFSAEACAASPAASSRYLASLAEYPDVSADACVDLGSYLAQHLKVQPLVLSSLSYLARRAPDVQASLWYVAKRAGITPSSPTASSSSCMASAASHWMRKFIETQQFSAHAHQAAKQGAKHAAEQGSQGASQGAKEVAQSPDLKELQRFRLQADFSQVHKSMLDFLVLEAKPSKVPESAFVRLGRILAEIGNDLVQYPKRFYSGASSFVSIIWGSTLMLLVLAVLCTHSLEEIVGLAYGAALGTSDEAKVTFTESYCRSMDALKKVGRGQEVPPSFAAILPEVLPVKPEEVAAAVKTPS* >Brasy9G015600.1.p pacid=40060478 transcript=Brasy9G015600.1 locus=Brasy9G015600 ID=Brasy9G015600.1.v1.1 annot-version=v1.1 MYRFQGWADLPEGLLESIIARLGLVSSLDLVAFATTCRSWHAAFSSFLPLLPPLLLQPDAPPCSPRPTPIINNLVLTQPCCVTNIANQETYQSCKIPMLSPIGRNNTLPGVRVSSPQLPVFKDTELFYGALTAPLASPNSHLIVDTGSQNLFWRVGNHSWVPCTPGNGPIKQIVVVKGQVFGMDSDRRIFKVHLTPEINIQELPVMESSMISKYHLTNAWLVACGDMLLLVGFWGPIAVSGVTFEVFRLDLSIEPALWLKVEKVENWAIFISTDKRSQTLSCMNPEVWGGRSNCIYCYNHESKHWIALELGKPLQGDRSKYNPDVFIYTGCDSTVQPMWVVPSVLSLCR* >Brasy9G254900.1.p pacid=40060479 transcript=Brasy9G254900.1 locus=Brasy9G254900 ID=Brasy9G254900.1.v1.1 annot-version=v1.1 MNDDYMGLSAAAVCAALALLLYIVHRWRNPRCNGRLPPGSMGLPLVGETFQFFSPDASFDIPPFIRHRLTRYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPNSFVEILGRDNVGEQQGTMFRYLKNMVLRYLGPENLRDSSMLRDVQHAACSALCTWSTLPAVELKEAVSTMVFELTANKLLGLEPSRSKVLRKSFFDFVRGLISFPLYLPGTAYYSCMQGRMSAMEVLQEVLDERKRSVLDQVLEGGEESRRHGDFLDYVVQEITKEKPVMTERMALDLMFVLLFASFHTTSLALTLAVKLLADHPRVLEELKVEHETILNHREAGGEPDSTGVTWKEYKSMTLTSQVIIETVRLANIAPCIFRKALKDVQFKGYTIPAGWGVIVCPLAVHLNPDIYPDPLTFNPSRFKDKAEINRGSRHFMAFGGGLRFCVGADFSKLQMAIFIHCLVTKYRWVQLGGGKIVRSPGLEFPDGYQIQIKQRD* >Brasy9G363000.1.p pacid=40060480 transcript=Brasy9G363000.1 locus=Brasy9G363000 ID=Brasy9G363000.1.v1.1 annot-version=v1.1 MATSKTLQQQQQQIEELCVQMEKTVSLLRNKTKPPPLAAAALTEIEEEVDDDQALPPAPGISEHMISRLRSDMSDLESALIRPNNKLAGPDHASDSQSVDDDEEEEYHASDSQSEDDDEDGEEYEGQINWEELLAAQRRRISEEYEEQLIRDTLPLIPYQQEYKYLEREEEPESTRSAREEIAMEEKIFAGYRQGTEQLLSQKSLRSSRLSPMHYTHCTPGVPIRYPRSTTGTSLQIFSFKIAEIKCDLEWPLRVYGVVNARDNVDRNRNLLFCRTRDHCQELTQDDPYLRLTGPSRGILAEDPLEFEVQLRVKGITESRDRALITQRYHYNGTLRTLTFDNCLCTAQLSLEQIRNSVQATILGVRIVEGSGPYTFKYGGRVACSSPPHEVVILDSQGVVLDVTDPPSTQVVLLDSRHSDGGKLPMGADGYLDLSRRVVSVELHRSHVYHPEKSEGSLKVVVQAYSESDDIAAQGHVKFRPKLCNISQAACDVGDSKVEITVAWSVFVLSAMWAF* >Brasy9G093700.1.p pacid=40060481 transcript=Brasy9G093700.1 locus=Brasy9G093700 ID=Brasy9G093700.1.v1.1 annot-version=v1.1 MSKMADEKVMAAAESAGGEVDAGDQTVAEARVRPVETLLRAVPLGLCVAAMAVMLRDTQTNEYGTVSYSDLGGFRYLVYANGLCAAYSLVSAFYTAVPRPATLCRSWIVFLFDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGGFCRQARTSVGITFGSVVCYILLSLISSYRLFSAYDAPLPAGNKGIEIAAFPR* >Brasy9G047200.1.p pacid=40060482 transcript=Brasy9G047200.1 locus=Brasy9G047200 ID=Brasy9G047200.1.v1.1 annot-version=v1.1 MQNNFRASNYPSNLQHMNNVMSSVNQYETPNVRNFYSMQESVSSFYSSASDSPPVGTPLAMPMDVGIGHATTSYLASYSQPSYATPHVTNFSAPYATSDIHYSALHLHNGAHVPSPSTVAYATFPAHLQSFGTMSLPKETKSIVGQSYAGLDELKDRLLSNFNEFEAIRRQLIERPHDPATIQAYEAYKKRREERNTFKIKVSPPQLQSFGNTSLPKEAKSIGGQSCLEEANILEQEHGECSETTVLDFSGCKETYVLPYEFRAKEIDDHQKEENIAEQCSVNIKEARNPIKKEDNALENHPKAERAIVYLTNNLLVYRFGHNFIVNASISKNFISFERPIERSILFARNLIVT* >Brasy9G286200.1.p pacid=40060483 transcript=Brasy9G286200.1 locus=Brasy9G286200 ID=Brasy9G286200.1.v1.1 annot-version=v1.1 MKLLSWNCRGMNSPAAMRAIVDLHGELKADVIFLSESHLNKVKAESLRRNLGFDCLNIMLQYITPNYIDVVVDGGTNASWRLSCFYGEPGWDDRHLSWDCLRDLHARASLPWLVFGDFNEILFGHEKEGGNPRPQRMMQEFHECLMDCNLADLGYFGDKFTWQRGLIRERLDRAVSNAQWCNLFPGAAVINEQHVHSDHRPVLVDTEYYDGNQLHAHSGGRRFEARWLAEECVEEIVKTAWQRAKASGAAPSLADRTQAIHTDLHRWDHAVLKGPKKRIRKLKKELEKVRCEARSPESVARQKELQVLIENLLEQEELHWMQRGRANWLLHGDRNTAYFHNAATARKKRNNIKRLLDDSGTWREGTTELNNIVSDYFSSLFTASVLAPDMDVIDKIPNRVTDSMNEMLTAPYTAEEVRKALFDIGDLKAPANPMEDFVAWNLTKTNVFSIGM* >Brasy9G110700.1.p pacid=40060484 transcript=Brasy9G110700.1 locus=Brasy9G110700 ID=Brasy9G110700.1.v1.1 annot-version=v1.1 MQYGAAAEQAWYMPSAIAAVAAAPETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGLELERALAGLLGGGSGAGAGGVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKDAGALWL* >Brasy9G185700.1.p pacid=40060485 transcript=Brasy9G185700.1 locus=Brasy9G185700 ID=Brasy9G185700.1.v1.1 annot-version=v1.1 MASSLTPLVLGLLLVLLASARAVSVSLPALPLSTASRWVVGADGRRVKLACASWASHLEPVAAEGLSRRDVGGIAARVAAMGFNCVRLTWPTYLATNTTLSSLPLRWSLERLGLRESVAGVRVNNPDLLDLPLIDVFREVVSALASNNIMVILDNQMTTPGWCCSRSDGNGFFGDKYFDPEEWLKGLSAMATMFRYTKNVVGMSLRNELRGPYQNVSLWYRYMQQGAEAVHAANPNVLVILSGLDFDNTLSFLFSKQVELSFTGKLVFEQHWYGFSDGTDWEDWNQNDACGVALESIRTKGLFLLQQGWPLFFSEIGFDMSGMHIPDNRYLTCFISVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWYTARNPSFIKRINSLLSPFQGPGLPNSEKPYNAIFHPLTGLCVLVKSPNSLELGPCDESNAWNYTSTHELVLKHTGQCLEAKSVGDIAKLGTVSSKSCSKWQLISDSRMHVSTELTKNGTRVCLDASPDGVITTNQCKCLIEDPTCNPESQWFKVILSSKGIPGEASVLQLPSLGPWPPVSSSQW* >Brasy9G062900.1.p pacid=40060486 transcript=Brasy9G062900.1 locus=Brasy9G062900 ID=Brasy9G062900.1.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPRAVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDAIQRPDAKTLLMHPWLQNSKRASSSLRQPVRSVRHIDEDEEAPSGDNHAGFSGPQGNTKTPVASDIEQEDGTKELVSEFAGQGNSDKLHDGKPAESSSSNSVEMMKDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLASRNSQESKNGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTLGDASLDDLFPIDKRGDHGAEASTSTTSQELQYSGRQNDLAKELKDRMAKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGENLFPLQSVEYSKIVSQLKPGESEEVILSACQKLVLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACPGRLYVEVTNLASHFVSTVRNNSLLDILDTQIVEEGGAEDAAVVARLAEACLSLKGEERPTMRQVKTTLEDVQSSKVHQISQTTSVIQNAPNDQSLKGNKGGEGTRLYSLEKEFIQSSEIPR* >Brasy9G108000.1.p pacid=40060487 transcript=Brasy9G108000.1 locus=Brasy9G108000 ID=Brasy9G108000.1.v1.1 annot-version=v1.1 MGWFRAASGLARVALRRNLSRVPASPLAGPAPRYFHSTRPRRFAAPEPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHMKAKLDPLGLEERPVPDVLDPAFYGFSEDDLDREFFLGVWKMAGFLSENRPVQTLRSVVERLEQAYCGTIGYEYMHIPDREKCNWLRERIETVNPREYTYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVFETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHTCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQKQLLESGKLSKEDIDKLHKKVSTILNEEFQKSKDDIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDNLVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFISSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKECKSSLSEFDDLAGHPGFDKQGTRFKRLIKDRNDHKDLEEGINRLVLCSGKVYYELDEERKKSDRNDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYINPRLLTAMKALGRGSIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQKDPIKGPF* >Brasy9G246800.1.p pacid=40060488 transcript=Brasy9G246800.1 locus=Brasy9G246800 ID=Brasy9G246800.1.v1.1 annot-version=v1.1 MIICPAGGQSSLWLCRFQRKFSRGKEHLRRHPDTRGESHAFPSPAGNAKGECQVVLRFTSSQVIVGPHDGDFSCQYFSEFLCSFLSFPLPLRPHVLGILDSASVLHCYQHDRLSLASRVYYKAAVPSHTHHPLPCSRRTHAPAGADRSIHAGMDTPSSTWEDGSEADARSRSDYADLDDDGGASDVSSECSGEPGSPYGTPPYPRWPVRKLAARMPSQLPPPPLLQRLGTAARRGGGGGVRERKAGFSELQLMKERFSKLLLGEDMSGGGKGVSTAVAISNAITNLYATVFGSCHRLEPLPAEKKTMWRREMDCLLSVCDYIVELFPSKEILPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDGFQKTEFWYLNDKGHKDSCGDDRPVSHRGEERWWLPVPCVTKPGLTESARRDLQQKHDCANQIHKAAMAINNGILAEIKIPESYRQTLPKCGRASVGDSIYRHMSFPGKFAPEYLLDCLEISSEHEALEAADRVEAAMHVWRRKANHGHGQPRSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYTIVTCIDDVLFADESARKI* >Brasy9G254300.1.p pacid=40060489 transcript=Brasy9G254300.1 locus=Brasy9G254300 ID=Brasy9G254300.1.v1.1 annot-version=v1.1 MSNGDVEAGAPARATTTGIKPPPGRYNADGHGQYAAAPVSPFYYAEAAAQEKQHRTWLVPLVVLANVAMFIVVMYYNDCPRNGRGDCVGRGVLRRFSFQPLKENPLFGPSATTLGKYGGLERYKVVHGNEAWRLETSTWLHAGLIHLGANMISLIFVGVRLEQQFGFWKVGLVYLVSGLGGSILSVLFIRNGVSVGASGALFGLLGAMLSELITNWSIYTNRIAAMANLIIIAAINLAVGILPHVDNFAHIGGFATGFLLGFVLLIQPRFGWLEQPFGAKSKSKYTACQIILLVVAVILSIAGFAVGLLMVFRGVNGNDHCSWCHYLSCVPTSSWKCDN* >Brasy9G053900.1.p pacid=40060490 transcript=Brasy9G053900.1 locus=Brasy9G053900 ID=Brasy9G053900.1.v1.1 annot-version=v1.1 MPPAAAAASAALPREELLGIASPLRELLAAAPYAPPEGSSTSIKSLLASLLPSPSQPPTGGEAVDLLLFCAAARAASAEAPALHWVPEELSKGSAEAMEKMAAAGGWHGVGEMVVAVMPEAVPPLKAVLKDTNTDAEDDVIGAATPPKEHAVVAAHQFRWLLSQVNYPKLGDLCWLVIPCALTALDHWSPDVKEQGVVCFMHIAKNVKVTELSLYEDAILDACCNNIPADDDLWYHIVEVSVLLLTCTQRSNPRSPWYDRMLSAMLGHLERQPLKKKRRVEWLTLIGPVLDAMGLFLLAHFRLLFSLCFQWMHADDDQTVLLVLERIHTVVKLTWIRKSPYTSRLVDELVLLYKESATRKSREVIRNHIVEILTLLQNLSELIRFRNENSTSIQYDSLCREDGPAASAWSGMSLDHRCKGRQFEEAWKKHEVDPDLTLLLSRFKEFCMQDC* >Brasy9G053900.3.p pacid=40060491 transcript=Brasy9G053900.3 locus=Brasy9G053900 ID=Brasy9G053900.3.v1.1 annot-version=v1.1 MPPAAAAASAALPREELLGIASPLRELLAAAPYAPPEGSSTSIKSLLASLLPSPSQPPTGGEAVDLLLFCAAARAASAEAPALHWVPEELSKGSAEAMEKMAAAGGWHGVGEMVVAVMPEAVPPLKAVLKDTNTDAEDDVIGAATPPKEHAVVAAHQFRWLLSQVNYPKLGDLCWLVIPCALTALDHWSPDVKEQGVVCFMHIAKNVKVTELSLYEDAILDACCNNIPADDDLWYHIVEVSVLLLTCTQRSNPRSPWYDRMLSAMLGHLERQPLKKKRRVEWLTLIGPVLDAMGLFLLAHFRLLFSLCFQWMHADDDQTVLLVLERIHTVVKLTWIRKSPYTSRLVDELVLLYKESATRKSREVIRNHIVEILTLLQKFRNENSTSIQYDSLCREDGPAASAWSGMSLDHRCKGRQFEEAWKKHEVDPDLTLLLSRFKEFCMQDC* >Brasy9G053900.2.p pacid=40060492 transcript=Brasy9G053900.2 locus=Brasy9G053900 ID=Brasy9G053900.2.v1.1 annot-version=v1.1 MPPAAAAASAALPREELLGIASPLRELLAAAPYAPPEGSSTSIKSLLASLLPSPSQPPTGGEAVDLLLFCAAARAASAEAPALHWVPEELSKGSAEAMEKMAAAGGWHGVGEMVVAVMPEAVPPLKAVLKDTNTDAEDDVIGAATPPKEHAVVAAHQFRWLLSQVNYPKLGDLCWLVIPCALTALDHWSPDVKEQGVVCFMHIAKNVKVTELSLYEDAILDACCNNIPADDDLWYHIVEVSVLLLTCTQRSNPRSPWYDRMLSAMLGHLERQPLKKKRRVEWLTLIGPVLDAMGLFLLAHFRLLFSLCFQWMHADDDQTVLLVLERIHTVVKLTWIRKSPYTSRLVDELVLLYKESATRKSREVIRNHIVEILTLLQKCKGRQFEEAWKKHEVDPDLTLLLSRFKEFCMQDC* >Brasy9G094100.1.p pacid=40060493 transcript=Brasy9G094100.1 locus=Brasy9G094100 ID=Brasy9G094100.1.v1.1 annot-version=v1.1 MEHNEQADAPPFSHAPLPAEQDGGAADDWTRDDADLMAVDSHHSGALAEHVAEAVDAAPPAPSAEVVKEIQSSFQSLELKPNAGAHEDAQMVVDEEEETKRHLNVVFIGHVDPP* >Brasy9G013100.1.p pacid=40060494 transcript=Brasy9G013100.1 locus=Brasy9G013100 ID=Brasy9G013100.1.v1.1 annot-version=v1.1 MSRYQVWADLPDEMLHSIIAHSGSSVLLAFAATCRSWRAAFSSYPSKSTFSTLFPPVLLQPDVPVGSPRHQPFGDKLPCHVIDLASKRLRLCCQIPIANLPPVNNDSQSPLGSLRFIPDNGYIKIRVERMAVSWAEISSTRCHLANLYLVACGDMLLLVGCQGSFPARGDTFEAFRLDQSTERAKWVKVEDLGNWAVFISTDERIQPLSFMNPERWGGKSNCIYCYSHDSEDWTAFELGKPASHPDIFVFIGCRNIVQPMWVVPNIFSLCGPDG* >Brasy9G002400.1.p pacid=40060495 transcript=Brasy9G002400.1 locus=Brasy9G002400 ID=Brasy9G002400.1.v1.1 annot-version=v1.1 MRIDQELLWSLGWGVLGGSATRNQPTTHLATSALAIRHHPASYLIDLQRAPASASRRQQRVAVGADGACEFAGQWVRTA* >Brasy9G255400.1.p pacid=40060496 transcript=Brasy9G255400.1 locus=Brasy9G255400 ID=Brasy9G255400.1.v1.1 annot-version=v1.1 MLGSELNLVSAALGFGMTAVFILFVCARFICCRARRAEDGTLPDFDADFPADLERPVGNAHSGLEPLVVAAIPTMKYNSEAFLPKDDSQCSICLGEYNEKEVLRIIPTCRHNFHLACLDVWLQKQTTCPICRISLKELPDRKAAGTPAGSTPQLPTLPENSINPTPEWFLPIHQDYPGQQNNSGTQESVEVVIEIRQ* >Brasy9G255400.2.p pacid=40060497 transcript=Brasy9G255400.2 locus=Brasy9G255400 ID=Brasy9G255400.2.v1.1 annot-version=v1.1 MLGSELNLVSAALGFGMTAVFILFVCARFICCRARRAEDGTLPDFDADFPADLERPVGNAHSGLEPLVVAAIPTMKYNSEAFLPKDDSQCSICLGEYNEKEVLRIIPTCRHNFHLACLDVWLQKQTTCPICRISLKELPDRKAAGTPAGQQNNSGTQESVEVVIEIRQ* >Brasy9G010700.1.p pacid=40060498 transcript=Brasy9G010700.1 locus=Brasy9G010700 ID=Brasy9G010700.1.v1.1 annot-version=v1.1 MAMHRLLLLAAVLLFLAVAANGDDLLGPLIAAANGEKSPHAPSSEHEREEAVIADKVAKVEQALAGGGEGDDARGGLKTYLVVVSRANGPKEGGDKLIEWHASLLASLLNTTAGTLLLEALSAVSPRLVFSFAHVVSGFAARLTDAEAGELSRLPWCVDALPDARYRLATTYTPELLGVSAPSTGAWSVGGSMGEGVIVGVLDNGIDPRHVSFSDDGMPPPPAKWRGKCDFGEPRPCNRKLIGGKARAMEHHGTHTSSTAVGAFVGGVKLFRADAGNASGMAPRAHLAFYEVCLADTCSATEILTVTEKGAFVDGVDVISISAGDDTQKSFYKDLIAVGSFSAVMSGVFVSTSAGNAGPVARTVTNCAPWLLTVAASTMGRHVVSKVQLGNGVALYGEALKRFKPVRNRPLVFIAGMFADGALNATDVRGKIVATERKEDPITLGEMIQKAGGVGMVSWSSAVRGNATTPMDDLAIASSRISHADGEAIVAYINSTANPTASIRFGGAQVNKSSWPAIAEYSSRGPCNVSNVGVLKPDITGPGTSIAAAVPGGGNNSAMPTRMFGLLSGTSMSTPHLSGIVAMLKKARPEWSPAAIKSAMMTTADVAHPDGTPIVDETTGRPNCFAMGAGLVNPTRALDPGLVYDLTPADYISYVCGLGYNASLVNDIIAQPIQNVSCDNVEKIQGKDLNYPSIMVTLAPPPAAPEVEVRRAVTNIGEPLSVYTAEVVAPEGVGVEVVPNTLAFGSMLQRMEFTVKLMRGADAAVNGTAEGSLRWVSGKYSVRSPIAVLFETLPN* >Brasy9G163600.1.p pacid=40060499 transcript=Brasy9G163600.1 locus=Brasy9G163600 ID=Brasy9G163600.1.v1.1 annot-version=v1.1 MAASADVLLLRLRRWWWAAAVFSVVLGVSQVAANTEGDALYSLRQSLKDANSVLQSWDPTLVNPCTWFHVTCNTDNSVIRVDLGNAQLSGTLVSQLGQLKNLQYLELYSNNISGTIPYELGNLTNLVSLDLYLNNFTGVIPDTLGQLLKLRFLRLNNNSLSGQIPNSLTKITTLQVLDLSNNNLSGKVPSTGSFQLFTPISFANNLNLCGPGTTKPCPGDPPFSPPPAFNPPATPVAQGDSKTGAIAGGVAAGAALIFAVPAIGFALWRRRKPEDHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNEPPLEWPKRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSEYTEHEVEALIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAVELSGPR* >Brasy9G221700.1.p pacid=40060500 transcript=Brasy9G221700.1 locus=Brasy9G221700 ID=Brasy9G221700.1.v1.1 annot-version=v1.1 MGQGQSQPDQAMEEPSPPVAEAPPPQSAAPASSLEALAAEAMSFGEGDNEESIDVKVQKALECPCIADLKNGPCGSGFVDAFSCFLRSTEEEKGSDCVKPFIALQNCIKENPAAFSKEILEEEENDEEAEKSNLKVRPPAWSREAKPKL* >Brasy9G072800.1.p pacid=40060501 transcript=Brasy9G072800.1 locus=Brasy9G072800 ID=Brasy9G072800.1.v1.1 annot-version=v1.1 MRKGDVRGDSGGDTETRRREAGTDPNPEDAGRILAGRGFREDEGRTALAVAGGGDADVPMWGRRYGAEREEAVADDLLEEEEKSRFKRRMMAIIEAGAKSEIPEDWSGRGDPELLPELAMMRAKFEEIIREGIRRRRHAAKVTNPNLTPLPSGTTCSHGDVAGVVIRGQAPLSVSVGPECVGNDFSDSAEVHVTQEMNVQGNGNPPIFSQNKEGIESEFIMQSWPSNDQATQSRRWAEIEEKSTEFRICDMGDTILLVWAVDTNGDGIINKENQGLQDREDQFIRRSAWYRKLSNSCHKIRGIRWPVVYKERGKPHDRRHISPNQQTHTEKTERKKMASKYSEGQGSHAGGSFEADERLARYQREDSGRPDPATLNVRLEIDEPVVELDFEELEKDIEKNCEEGSQKRSRTDGQTGGQIPEEGDTTKEATGTGAAGELTGPTVAPRQE* >Brasy9G332100.1.p pacid=40060502 transcript=Brasy9G332100.1 locus=Brasy9G332100 ID=Brasy9G332100.1.v1.1 annot-version=v1.1 MISSQSSASSSPTTHKSLWEGTIQLDSYRKATLVACLESGQNIQDIKWPKSIVVKGRVKLEDFDKFVKELPHSRSRIMMVISLHEKFGSSKVGLKGTKEAANSFEERQRVGFAEICEGCGLYVCPRSDHIIKLLDEYGFYKRTSTTNTNQDSLIGFVVWNKPPQSDTSKVHNNVEKIEEIQGTYVEAQDFADGKLVHPLACGLFPHHYPSMEAGGGSFPRCPSHPPSAPEDQVLFHGHPAYILPEQGSGHMHPPHVPADQVLFHQQQHHARRDQGFIPHRPPNFAAESFISYHGNKNDIFSNQQHLRFGQHPEINYASSSSQHIRPPNSFAPRGTWRPTPHARCGNNHHGFRSSDDFSDTRTSSTYYSRFGDWSCNSPSSLGER* >Brasy9G014500.1.p pacid=40060503 transcript=Brasy9G014500.1 locus=Brasy9G014500 ID=Brasy9G014500.1.v1.1 annot-version=v1.1 MAGGSSRRRHRRRARPSQDAEPSSPPPAPAPVPDASPSTREVAVAVRPSKKTSDASGSTPSLSSGSHVWENLLDSMLHQIIALITSFHDFLAFTGTCRSWRIAASSFPSAYNFTFPPLQLSPDFGGAIYNPNRTWQLVDPAKKTYSLRCSAPGITPYPMRYLGCSYGYLIFSDRERCHLADVYTGTKVTPPKFRSGGNFFIYCGILVAPLNSPNSQLILFSRTALLQWQVGTNSWIEHPRVGEHILQIVTFKGQMFAMDFVQRLHIISLSPQLSIQEVAVVWEESMLVGLHSKPWLVVCGDMLLLVDLSVSTGQLFGFSGTFQVFRLDFSEEPAKWVKMQKLENWALFLTNDRRTPTFSCMNPERWGGKSNNIYVPMGSEDLDEPWTAIEVGQPVPSSTHHMSFSSAPTAHCSPLNSLWVLPSLVYGVCQ* >Brasy9G014500.2.p pacid=40060504 transcript=Brasy9G014500.2 locus=Brasy9G014500 ID=Brasy9G014500.2.v1.1 annot-version=v1.1 MAGGSSRRRHRRRARPSQDAEPSSPPPAPAPVPDASPSTREVAVAVRPSKKTSDASGSTPSLSSGSHVWENLLDSMLHQIIALITSFHDFLAFTGTCRSWRIAASSFPSAYNFTFPPLQLSPDFGGAIYNPNRTWQLVDPAKKTYSLRCSAPGITPYPMRYLGCSYGYLIFSDRERCHLADVYTGTKVTPPKFRSGGNFFIYCGILVAPLNSPNSQLILFSRTALLQWQVGTNSWIEHPRVGEHILQIVTFKGQMFAMDFVQRLHIISLSPQLSIQEVAVVWEESMLVGLHSKPWLVVCGDMLLLVDLSVSTGQLFGFSGTFQVFRLDFSEEPAKWVKMQKLENWALFLTNDRRTPTFSCMNPERWGGKSNNIYVPMGSEDLDEPWTAIEVGQPVPSSTHHMSFSSAPTAHCSPLNSLWVLPSLVYGVCQ* >Brasy9G316400.1.p pacid=40060505 transcript=Brasy9G316400.1 locus=Brasy9G316400 ID=Brasy9G316400.1.v1.1 annot-version=v1.1 MESIIARALEYTLKYWLKSFSRDQFKLNGRTAELSNLDINGDALHASLGLPPALTVDTARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDESENLSSPNSNVSPVSSTKSSGYGYADKIADGMTVQVGIVNLLLETHGGARRQGGATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSYTKGFIYVFKKLEWQSLSVDLLPHPDMFADAQFNSSNSQDNKRDDDGAKRMFFGGERFLEGISGEANITLQRTEQNNPLGLEVQLHITEAICPALSEPGLRAFLRFMTGVSVCLNRGDLDPKSQQHADAAGSSLVSFIVDHIFLCIKDAEFQLELLMQSLFFSRASVSDGESSKNLSCINVGGLFLRDTFSRPPCTLIQPSMQAATQESLPIPDFGQNFCPPIYPFGNQFLEFSAGVPLFSLYCLQITPSPSPPKFASKTVITCQPLMVTLQEQSCLRIASFLADGVVPNHSAILPDSSINSLSFSLKEFDLSVPLDAEEITRCSGTKTASPQSSFSGARLHIEDLHFCQSPSAKCTLLNLDRDPACFLLWEYQPVDASQRKWVSRASHLSLSLETSSASNGQRVVRDSSANLWKCIELDEFRFEAAMVTADGSPLLDVPPPEGVVRIGVSFQQFTSNTSVEQLFFVLGLYSYFGQVGERITKVSKGNRSVKDSEPSADNVDKKLPSDTAVSLTMNSLQLNFLEHLSAGDLQMPLVQFGGEDLYLKVSHRTLGGAFAVTTNLMWRTVSVNCLEGESATIHENSTAVTGERNIVVCENGHPKMRAVFWVDHRSKHQAKNSQFIDINITHVMPYDMRDMECHSLNVSAKVSGVRLGGGLSYTESLLHRFGILGPDGGPGEGLLRGLNDLSSGPLGKLFRSSHITDKEEGRSNDNDSNSKFDLGVPDDLDVSIELRNWLFALEGTEEVGDWSSPRGGYHISREEKCWHTTFRNLHVSGKSNDRPNLGGAEKVLDKKAFPVERFTAGIEGLEAIKPRLRSQLIGNGISNNNQSGDGFNNTSVVDQGVDVEATMVIGEDEIEGAKWTMDNVKFAVKEPIEAVATKEELEHLAMICRSEADAMGRITAGFLRLLKLDKSLGQGTIEQLRNLGSGGMDNIFSPNRLSRQNSFGSTGTPRTPNFHSTAYGGPKDALEATIASLQSEISESKARCASLVSHASSAEDQNHTEDIRQLNDKLENMQSLVTRLRTLI* >Brasy9G278400.1.p pacid=40060506 transcript=Brasy9G278400.1 locus=Brasy9G278400 ID=Brasy9G278400.1.v1.1 annot-version=v1.1 MDCVTKLDHHLCIYVYYQAKQEESVIIGSCGKAHAGRMGGPQWRLGDGKPGGCRHRGRSGTETTSKRTQRALRPSGGGGGVSCGKAYAGRWGRHQWCHRGVERAGINGATGAWRGQAGADTDEGHETKPGAGERERSCGQEGEVEEEKEVGGPGGSSITVKHTPVDGGDQHINGTLRTKNLQGPGAGREPGKQPSMENQRSYGQEGEEEKEEVGGPGGSSITVKHMPVDGEDLHINGTLKTKNLQGPGAGREPGKQPSMEHQRSYGQEGEEEKKEVGLLSKEAEKKPFKSIVKNATSEQPGGELLLSRYHQGLGACLEQTRLNEALEKPVRALRSTMKYSRRRATITNLPQPWVEKILENLKYPDLCRAVSSSYFFLRVSMSPCHCGAFIKHSSFLAAIVQPTQGCPRVTVASRMSRRLLEPASRMLQRYIAVVYRSRRWYKILDCRKGHILVSRHSTSMQLDVMRPFHTMLAQTLPTVSLSDQETLIAAVILPWEEHFSVIMISGSVESINWRIFRSDEGFWIQRRASCSIDTSNLSSLRSHAVFASDRLYLLDAHNNRILHFSTDKNVLGTVPLLPACSGKLIVKNNILGRTRCGNVCWAMLDQTDISIFILDGTHWTRRWKWLLPENLYSCCLVGFAEQSGLLMIECFGIIGCLDMETGKVTYLEETISRRDCLCFEMGHSLADHV* >Brasy9G145700.1.p pacid=40060507 transcript=Brasy9G145700.1 locus=Brasy9G145700 ID=Brasy9G145700.1.v1.1 annot-version=v1.1 MLLLLTRLAKPGCCCSPTISPRLAHAALCWNRLHTSDAHSLFDAVPATDHRHCSELLRARTASGDHSGSVSLLRGMLGRGLRPDWLALAAAIKSASALRDGGALGRCLHGFVVRTGHAVGVAVAKAVMDMYGRCGTLADARLVFDEMSCPDTVCWNILITGSSRAGYFDDVFDLFRSMVACGADESMPTAVTVAVVVPVCAKLRVLRAGRSIHGYVVKTGLESDTLCVNALISFYAKCSGSGTMDDAHRAFSSICCKDVVSWNSIIAGHSENGLFEEALMLFGQMTSDKCLPNYSTVANILPVCSFMEHGKYYGKEVHGFVFRVGLYMDISVCNALMTHYSKVYEMRAVESIFRSMNTRDIITWNTIISGYLMNGYHSRVLDLFHTLLSTGMTPDSVSLISLLTACAQVGDAKGGMGVHGYIFRHPVLHQETSLMNALVSFYSQCNRFDDALHAFADILSKDSISWNAILSACAKGGKHIEEFFKLFDEMCHEVTRWDSVTILNVIRVSNLCGSINMVREAHGYSLRVEYIGETSVANAILDAYAKCGYPQDADILFRNLAVRNIVTYNTMISCCLKNSCVEEAEIIFNQMPKKDQTTWNLMIQMYAQNDMCDQAFSLFHQLQCPDTVSITNILLVCINLSLVQLVRQCHGYMLRASLEDIHLEGSLLDAYSKCGNITNAYNLFQVSPTKDLVTFTAMIGCYAMHGMAEEAVELFSEMLTLGIGPDHVVLTVLLSACSHAGLVDAGIKIFKSVREIYRIEPTAEHYTCMVDLLSRGGRLQDAYNFALDMPPHLVHANVWGSLIGACKVHGEVRIGQLAADQLFSMEVGDIGNYVTVSNIYAAGEKWDGVEHVRKLMKSKDMKKPAGCSQTLS* >Brasy9G266200.1.p pacid=40060508 transcript=Brasy9G266200.1 locus=Brasy9G266200 ID=Brasy9G266200.1.v1.1 annot-version=v1.1 MLSKLLLRNALVLQRLHVVFVEGMKPKRRSRLEAKLGEWAAANSEKIFR* >Brasy9G155700.1.p pacid=40060509 transcript=Brasy9G155700.1 locus=Brasy9G155700 ID=Brasy9G155700.1.v1.1 annot-version=v1.1 MLPHQHLHIHLRLRLPPCHPLLCLLHSYSTNDRPPPQNQPPHDAELWIAKALASAAFLRPHHLLAFRRLAPSPVAAAAALRHAPCASSTLQLFSALHSSQLAIPPSAHSYRYVISLMCGSSRHTDALNLFDQMTEQSGHSPNARFLSFLAGSCANAGLLDAAASLLSKAPQFGCCIEAYAYNKLMNSFIGCGRVQDAVALFEGWIQGGVYSPDVWSFNVTIKGVCQVGDVQKALELVERMPEFGCSPDTVTHNILVGGLCRVKEVSRGREVLRRLQRDGVCMPNVVTYTSVISGYCKSGRMEDAMAVYNDMIVCGTTPNAVTYNVLINGYGKAGNMGSAVAVYQQMILRRCPPDVVTFSTLIDGYCRCGQLDDAMRTWTEMSQHQIQPNAHTFSIIILSFCKQNRSAEALRFLKELNMRTDIAPRAFICNPVIDVLCKGGKVDEANLILMEMEGKGCRPDKYTYTILIIGHCMKGRISEAITFFNKMVDTGCSPDSITVNSFIGCLLKAGMPSEVDRIMQIASGSTSSSQEVPSPVSQSIDISVAV* >Brasy9G155700.2.p pacid=40060510 transcript=Brasy9G155700.2 locus=Brasy9G155700 ID=Brasy9G155700.2.v1.1 annot-version=v1.1 MLPHQHLHIHLRLRLPPCHPLLCLLHSYSTNDRPPPQNQPPHDAELWIAKALASAAFLRPHHLLAFRRLAPSPVAAAAALRHAPCASSTLQLFSALHSSQLAIPPSAHSYRYVISLMCGSSRHTDALNLFDQMTEQSGHSPNARFLSFLAGSCANAGLLDAAASLLSKAPQFGCCIEAYAYNKLMNSFIGCGRVQDAVALFEGWIQGGVYSPDVWSFNVTIKGVCQVGDVQKALELVERMPEFGCSPDTVTHNILVGGLCRVKEVSRGREVLRRLQRDGVCMPNVVTYTSVISGYCKSGRMEDAMAVYNDMIVCGTTPNAVTYNVLINGYGKAGNMGSAVAVYQQMILRRCPPDVVTFSTLIDGYCRCGQLDDAMRTWTEMSQHQIQPNAHTFSIIILSFCKQNRSAEALRFLKELNMRTDIAPRAFICNPVIDVLCKGGKVDEANLILMEMEGKGCRPDKYTYTILIIGHCMKGRISEAITFFNKMVDTGCSPDSITVNSFIGCLLKAGMPSEVDRIMQIASGSTSSSQEVPSPVSQSIDISVAV* >Brasy9G151700.1.p pacid=40060511 transcript=Brasy9G151700.1 locus=Brasy9G151700 ID=Brasy9G151700.1.v1.1 annot-version=v1.1 MWAQTPLKQPGMPASPRLSPSATCSLAAFSASPSSPPRRRRRLASPMGQSTSAPQGSSRSRSSGSTRWSPPPALRLDLGLLFGRKPRPGGERLDLGNWVRCLLSRRLPPPAAHTGAEVEAEAEEEGRDAGNRVEVEVGGEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVYVHRSECNHSKLTYDGVDLMGERLAEEVRQVIQRRRNLRKISFVAHSLGGLISRYAIGKLYEASIREEPCLNMDMHSDQDNIYRGGMIAGLEPVNFIASATPHLGSRWNKQLPFLFGVPLLERTAAETAHFIVGRTGKHLFLSDKDDGKPPLLVQMVEDCDAGKFMSALRSFKRRVAYANITYDHIVGWRTSSIRRQHELPKLPLTASDEKYPHVINVDTGAKPESHQQEDSVEASLTDSLEEMMISGLTQVTWERVDVCFHESRLKYNAHYNIQVRTHPMNLEGEDVIYHMIDNFVV* >Brasy9G343800.1.p pacid=40060512 transcript=Brasy9G343800.1 locus=Brasy9G343800 ID=Brasy9G343800.1.v1.1 annot-version=v1.1 MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFTGGLFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG* >Brasy9G161800.1.p pacid=40060513 transcript=Brasy9G161800.1 locus=Brasy9G161800 ID=Brasy9G161800.1.v1.1 annot-version=v1.1 MPVDHTALVVLTRRPEPSTRHLNSVEMEDCVCVVQNGDVRGAADKVIQSYKHNTSHKALSAWAPRVGLKEYYEPLTDKNES >Brasy9G273900.1.p pacid=40060514 transcript=Brasy9G273900.1 locus=Brasy9G273900 ID=Brasy9G273900.1.v1.1 annot-version=v1.1 MCKSRSTGLARFSSTLHRSHPLPRFASLHSIPLTHTDEHLGAMAPPLLLLVLFLLPALAAGHQHPSSYGSSALSEWRHAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFDRGASCGGCYEVKCVEDLKYCLPGTSIIVTATNFCPPNYGFPADAGGVCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLRDGGVRFSVSGRSFFFTVLISNVGGAGDVSSVKIKGTDSGWLSMGRNWGQIWHINLDLRGQPVSFELTSSDGAMLTDFTAVPKNWEFGKTYTGKQFLL* >Brasy9G318200.1.p pacid=40060515 transcript=Brasy9G318200.1 locus=Brasy9G318200 ID=Brasy9G318200.1.v1.1 annot-version=v1.1 MSAGGGRKTKTACVTGGSGYIASALVKSLLEKGYTVNTTVRDLDDTEKNSHLRDLQALGPLEVFRGQLDEEGSFDEAVSGCDYAFLVAAPMNLGSTDPEVNAQICHSHCNC* >Brasy9G119700.1.p pacid=40060516 transcript=Brasy9G119700.1 locus=Brasy9G119700 ID=Brasy9G119700.1.v1.1 annot-version=v1.1 MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPICDVPGFENARMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDDIRGGVAGGRILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEINFFLLRRLMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL* >Brasy9G077900.1.p pacid=40060517 transcript=Brasy9G077900.1 locus=Brasy9G077900 ID=Brasy9G077900.1.v1.1 annot-version=v1.1 MSLGYAKKLSYREDVGTVGMPEMFDSPELLQKKIEELAVMIRKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRSGKGVPDASLPFHRAAPTLTHMALVELERAGLLKFVISQNVDSLHLRSGLPREKLSELHGNSFMEICPCCKAEYLRDFEIETIGLKDTPRRCSDKNCGTRLKDTVLDWEDALPPEEMNAAKEQCLAADLVLCLGTSLQITPACNMPLMSIKNGGKVAIVNLQATPKDKKASLVIHGLVDKVIAGVMCILNLRIPPYVRIDFIQLSLRHTVKKKCVRWTLRVTSIHGLRAPLSFLRSIEVSFPERPDMKPVVLMEQPFSLQRETSMARAFFMLLTLNFSDGCGCSSSSIECHVDFQKQKENFFRDRSLVLQELNCTAERQCRAGQQTIVERQSLARAETSMHAFVTNVVSYDAEDLKVAKPRGTWMDSSSNLTKRHLEVPTGDSPPSKKLK* >Brasy9G121800.1.p pacid=40060518 transcript=Brasy9G121800.1 locus=Brasy9G121800 ID=Brasy9G121800.1.v1.1 annot-version=v1.1 MWNLETSIPVFGCFRHWGLETKTNSELAPAPPNLMYRDSEGFPSVFPGIGLPRTASNRTYREAEQRSAGGEQRRDPAIQSGGGDAEFPGEVVSREADCWYDLRVTPSAEILAALQTIPDLAKCDMLRAYGRLSISERLVESLMELPMTLRKAWLMTLP* >Brasy9G178100.1.p pacid=40060519 transcript=Brasy9G178100.1 locus=Brasy9G178100 ID=Brasy9G178100.1.v1.1 annot-version=v1.1 MIRARVSCQRGYLGPHVRCQVDYFLQKKKKSSSPCPSSSPKESFSLLCLPLFPLPELCSLLAPLLSSLRSHLPSSPAVPSSGCSPRPVLSPKRTAVSPRTQEREHLDPHAPTQPARVLRRATELAAPVPAPSRASPPCQEDLRRGHEASSPVLLKPREPSRSRDGVPHRRRELRLLRDTPPPPPARIARSSSPTPAGCRRRRRFRAAAPRSDSQKQRADRAKSRAAVPRLSLSRSAAPPRQRSSPTPQALRGPRARPAAMTYGTVRWAEESVTATVDFMGWTGMGIPKRNPVPRSPTAPPSSDQTEVLRRMDAGRRNLPRRSSSVSSGSPADDAIAIGCRLV* >Brasy9G120100.1.p pacid=40060520 transcript=Brasy9G120100.1 locus=Brasy9G120100 ID=Brasy9G120100.1.v1.1 annot-version=v1.1 MAMAMANPAPLATFSSQSRTLTPSNSLRGFGVIAHSRALALTATPACSFRLRVRASKGSSPPPHPLSEIFPYVAAEWRTIAKGWACAAVAVYCLSRAVPAAGRLPRVLAAGVGGGAEGQIPRGVVTVAALASAHAAAAYVQQALLWEAALRVVWRLREHAFERLLARDLAFFDGREGMAAGDIAHRITDEADDVADALYSVLNTIVPTSLQLIAMGTQMVAINLQLSLVAAMVIPCMCIVIANLGERLRQISKKAHLSLAMLAAYLNDVLPSILTVKVNNGEHKEILRFHELGFGELKNNLDKKNMKALIPQAVRTTYIVGLVVLCAGSIAVSGTTFDAEGFLSFLTALALFVEPIQDLGKAYNEYKQGEPALERIFDLTRFIPEVRDKPSALHLKSVMGDIKFQDVTFRYAAGMPPVADGVNLHIRPGETVAIVGPSGGGKTTIAKLLLRLYHPQTGYIVLDNHDIQDIQLQCLRTHIAFVSQDAMLFSGTIAENIAYGDPMGDINMRKVENAAKIANADEFIKMLPEGYDSYVGQRGSSLSGGQKQRLSIARAIYQNSSILILDEATSALDNRSEVLLKETLMTFMTNHTVLIIAHRLEMILMADRIVLLEGGKLQEITKSAFLSRDGHFGSPEVLNP* >Brasy9G120100.2.p pacid=40060521 transcript=Brasy9G120100.2 locus=Brasy9G120100 ID=Brasy9G120100.2.v1.1 annot-version=v1.1 MAMAMANPAPLATFSSQSRTLTPSNSLRGFGVIAHSRALALTATPACSFRLRVRASKGSSPPPHPLSEIFPYVAAEWRTIAKGWACAAVAVYCLSRAVPAAGRLPRVLAAGVGGGAEGQIPRGVVTVAALASAHAAAAYVQQALLWEAALRVVWRLREHAFERLLARDLAFFDGREGMAAGDIAHRITDEADDVADALYSVLNTIVPTSLQLIAMGTQMVAINLQLSLVAAMVIPCMCIVIANLGERLRQISKKAHLSLAMLAAYLNDVLPSILTVKVNNGEHKEILRFHELGFGELKNNLDKKNMKALIPQAVRTTYIVGLVVLCAGSIAVSGTTFDAEGFLSFLTALALFVEPIQDLGKAYNEYKQGEPALERIFDLTRFIPEVRDKPSALHLKSVMGDIKFQDVTFRYAAGMPPVADGVNLHIRPGETVAIVGPSGGGKTTIAKLLLRLYHPQTGYIVLDNHDIQDIQLQCLRTHIAFVSQDAVIYCKGNLPELFHTDIG* >Brasy9G120100.3.p pacid=40060522 transcript=Brasy9G120100.3 locus=Brasy9G120100 ID=Brasy9G120100.3.v1.1 annot-version=v1.1 MAMAMANPAPLATFSSQSRTLTPSNSLRGFGVIAHSRALALTATPACSFRLRVRASKGSSPPPHPLSEIFPYVAAEWRTIAKGWACAAVAVYCLSRAVPAAGRLPRVLAAGVGGGAEGQIPRGVVTVAALASAHAAAAYVQQALLWEAALRVVWRLREHAFERLLARDLAFFDGREGMAAGDIAHRITDEADDVADALYSVLNTIVPTSLQLIAMGTQMVAINLQLSLVAAMVIPCMCIVIANLGERLRQISKKAHLSLAMLAAYLNDVLPSILTVKVNNGEHKEILRFHELGFGELKNNLDKKNMKALIPQAVRTTYIVGLVVLCAGSIAVSGTTFDAEGFLSFLTALALFVEPIQDLGKAYNEYKQGEPALERIFDLTRFIPEVRDKPSALHLKSVMGDIKFQDVTFRYAAGMPPVADGVNLHIRPGETVAIVGPSGGGKTTIAKLLLRLYHPQTDVIFWDDC* >Brasy9G246700.1.p pacid=40060523 transcript=Brasy9G246700.1 locus=Brasy9G246700 ID=Brasy9G246700.1.v1.1 annot-version=v1.1 MESSRSLVLLSLLPSLLLVAIATEASAGDELLSTFIVHVQPQENHEFGTADDRTAWYHSFLPDNGRLLHAYHHVATGFAARLTRQELDAISAMPGFLSAVPDSTYTVQTTHSPEFLGINVEAQRNQPGLGAGVIIGVIDTGIFPDHPSFSDHGMPPPPAKWKGRCDFNGTACNNKLIGARNFVAALNNGTSGAPVPPVDLVGHGTHTASTAAGAVVPGANVLGQAMGAASGMATRAHLAMYKVCYTNRCADSDMLAGIDTAVADGCDVISISLAGPALPFHQDPVLVATFGAVEKGVFVSMAAGNSGPVESSLLNEAPWILTVAASTMDRSIRSTVQLGNGVSFHGESLYQPHDSPALFSPLVHAAASGKPLAEFCGNGTLDGFDVKGKMVLCESGGNISATLKGIVVQSAGGAGMILKNQFVQGYNTFADAHVLPASHVGYTASTALESYINSTANPVARISFQGTILGTSPAPSIVFFSSRGPSLEHTGILKPDIAGPGVNVLAAWPFQVGPPSTTVLPGPTFNIISGTSMSTPHLSGIAAVIKSKHPDWSPAAIKSAIMTTAEITDRSGNPILNEQRVAANLFATGAGHVNPTKAVDPGLVYDITPADYISHLCNMYTSQEVSVIARKPVNCSAIVVIDGNHLNYPSIAVAFLPSSGNSSGAAVVVKRKVRNVGEVPSVYYAAVDMPDNVVSIDVFPQKLAFTEANKEIDFEVVVWPGQSGAKVVQGALRWVSEMHTVRSPISVTFA* >Brasy9G341800.1.p pacid=40060524 transcript=Brasy9G341800.1 locus=Brasy9G341800 ID=Brasy9G341800.1.v1.1 annot-version=v1.1 MAAKTPQTPDPPPGARSRWSVPRPPGSVIPVMSPSFSRAPLRSRLAAAADTDAAAASSSSSVEPPCVTLWDWWLVRVEGEERKIAVSGFTQRDDTFTSAPIVKCHEPLTLEDEDGVVVRIYGSMSFSRMRENGFSPQICEKFMIGFPYWWESWDSHIESQPTSFSNSQEGSSQFNLEMFQLGKFHEKLEPSFIKNLLNDAKNFPRGYEDAFTECSRFEEYTFDNDISTKEKSAVSNDASEGPAAVANEVDNMEINLIVSSTSQERGHVDISCNVSFAPAEKCTCDETYKEAENQNDTVHPDAREQEAGSHPVNSDLICNRSPYRMPNDLEGGNTNAGNSTDVALCHLAAVPLGRANCCLEISGALQNIQPLSYQRNPVASLKNQGHLQRTEDISLNQKAVPSDDRSTSICSHVQTQEKTVGPSKKQRSARDIFLSPTRLPVTRSPMPSKKQISAHDKLLSPSTLPVTRSPISSVYHSPLTRGRAQSLISISTPESLNMKRTKSGRLVVPPLDLGCERILYDNNHLLLGVAPVELHSPLKGNKTGTPVKKKRAC* >Brasy9G307800.1.p pacid=40060525 transcript=Brasy9G307800.1 locus=Brasy9G307800 ID=Brasy9G307800.1.v1.1 annot-version=v1.1 MDEHMGRRTVGGLLFTKGGSILLFREDSSRRKPGSCCSRHACSAKHSSADKCRQALNRSSSSAAAAAKESSTPRRSSQNLRKPPQGSSSNAATSCSGTETREETAETSGADPPGAGRDLLARLKDRVSASSRKRSLLAAEKSPSSSSSSSSSSPGGFGAGSSSRPSVSRRAASRVRKADGGSGGGGGGDGGGGAGRRISGRRSGGGGEEMGVEQRMAARGEQAAAGEGSSFLSGFLARYRSGLHGGSRLPSLEDGSEDSRGYWRFDVEGSEELENYFMLSDRHRGMRMDIDGMSYEELLALGDRIGTVNTGLSEDALSKCLARSTYAPTAPPETHQDCDRKCSVCQEEYTGGEEVGEMACRHYYHIACIQHWLRQKNWCPICKSVAVKTN* >Brasy9G070500.1.p pacid=40060526 transcript=Brasy9G070500.1 locus=Brasy9G070500 ID=Brasy9G070500.1.v1.1 annot-version=v1.1 MWAIRGLTGAVEASADCVVEVAHLCTRMISALIRVVRALLTLARALVTAARVIAATTVHLVEMLLELRDVFSTPARTAYRQDYHRNLRTAAWENQRREAARVAEMEGMGMHRPSSTSWSHESRRRRRGNYFTSDPALPPEPKSPIPLRACLFAHLTLSSSHLFPHLARPPPHLASSCQPRAPTHPRPRCLAPPSAPASASRSTRPRRLRLGAPYRCPPSRGLLAPRPPHRAPSRAGRSLAPALERTPSPQSRRCLHS* >Brasy9G230100.1.p pacid=40060527 transcript=Brasy9G230100.1 locus=Brasy9G230100 ID=Brasy9G230100.1.v1.1 annot-version=v1.1 EDGVLGTTPVPSTEAAGEGRSEGSQRSGGGKVPLAELLSSLHLREDEEEEVILEEDPDELAASARWLALATVHTSKTFSHGALYGDMRAAWNLAKDVVFRPIEDNLFSVQFHCLADWERVMRGGPWLFRRCPVSMAEYDGWGEVADVELFKFPAWVHVLDLKEKMRTGNIAKQLSKRAGDFVALDEQSVKGASGGVRVRVMIDACKPLSRATTITLGKTKHYFRCRIFVECGDGVWPQEKIIYKPDLIVPAFRRDLPLIRGTMC* >Brasy9G300800.1.p pacid=40060528 transcript=Brasy9G300800.1 locus=Brasy9G300800 ID=Brasy9G300800.1.v1.1 annot-version=v1.1 MENPHGGSHGETDLLPEAARMLHEMALRNREEGEEPDLSEEQLRSNDQLQQDEMLAMEAIYGDNLYIFDEKSVPIRVHCEIPDGISVSAELVHGIDNDPNSQSFDTFSVKHLSPISLTCLMPPSYPNHHPPYFTLGVQWLDSMKVSSLCHMLDSIWAQQPGQEVIFEWVQWLQSSMLSHLGFDDGIVIWQPGSRMDPVDVRVVGDILLYSEEQCHESFRHGLHVCMICFSEYTGVDFIKLPCRHYFCLSCMGTYTRMHVKEGSVLKLVCPDNKCGGVVPPDLLKRLLGNADFERWERLILQKTLDSMSDVVYCPRCETACLEDEDNAQCSKCLFSFCTLCRDRRHVGGRCITPEEKLISLQESEKARHLGKGNTERRVNLANEIISIKEIIRSSVPCPHCGTFISRISGCNHMCCSNCNKFFCYDCGKALNPDHTRIYRENLRMNVETNDVFKKIQKELKHELIRAHPCPGCHQPNLKMGNNNHILCGTCQVHYCALCRTVVRKSSEHYGPRGCKQHTADPEITQIRTKKNDDSQS* >Brasy9G283300.1.p pacid=40060529 transcript=Brasy9G283300.1 locus=Brasy9G283300 ID=Brasy9G283300.1.v1.1 annot-version=v1.1 MIFALHVLLLLVLFLDSSLAMEARDPDLAAPPPAHRPALRRRSGRRFGRRGEGV* >Brasy9G193300.1.p pacid=40060530 transcript=Brasy9G193300.1 locus=Brasy9G193300 ID=Brasy9G193300.1.v1.1 annot-version=v1.1 MDSQSPMGLYTNLLSEGYSQEAWGQNLDSPFGEQVMQSQVLTPSARANKRTRNFTDKEDEVLVAAWLHASLDPIVGTEQKNATYWKRIHEEYEVHKPEGSDRNVSSLSHRWSVVKEQVGRFCGCYDQIMHRHESGKTVHERE* >Brasy9G237900.1.p pacid=40060531 transcript=Brasy9G237900.1 locus=Brasy9G237900 ID=Brasy9G237900.1.v1.1 annot-version=v1.1 MAICTVATMHAVVHPRHPLHHVRPPRRRVLLIRAKAGAASAAKTSSWEADTLSKAFWDYNLLFRSQRVETGDPVQLRVIEGAVPPDFPAGTYYLAGPGMFSDDHGSTVHPLDGHGYLRSFRFSPDHGVHYSARYVETAAKAEEKRGESWRFTHRGPFSVLQGGHRVGNVKVMKNVANTSVLWWGGRLLCLWEGGTPYELDPRTLRTVGPFDLLGLADRAAGRRWSGRSRPWLAEAALDVATLLLRPVLSGVFSMPPKRLLAHYKVDPKRNRLLMVSCNAEDMLLPRANFTFYEFDASFELVQKREFVLPAHLMIHDWAFTDSHYIVLGNRIKLDVPGSMLAMTGTHPMIAALALDPSKQTTPVYLLPRSTEAVASGRDWSVPVEAPAQMWSMHVGNAFEEDNGRGGRDIRLHMSGCSYQWFHFHRMFGYNWKNKKLDPSFMNAPKGKEWLPRLVQVAIEFDKRGASQRCSVRRLSDQWTKPADFPAINPSFANKRNRFIYAGAASGSRRLLPYFPFDTVVKVDVSNGSTRWWSSEGRKFVGEPVFIPTKGGEDHGYVLLVEYAVSEDKCYLVVLDARKIGKRSALVVKLEVPKHLTFPMGFHGFWADE* >Brasy9G258600.1.p pacid=40060532 transcript=Brasy9G258600.1 locus=Brasy9G258600 ID=Brasy9G258600.1.v1.1 annot-version=v1.1 MASTVVTISSSSAPHLPAAIRSLPSLPRPQLATRLGHRRRALLRCAAVSELAPAASAAYGALLLGGGALAYARSGSKGSIFGGLTGSALMATTYYLMQSPETKAIGDAVGFGSAFLFACVFGIRLYNTRKLVPSGLLLALSFGALGVFYSAYLQDKV* >Brasy9G258600.2.p pacid=40060533 transcript=Brasy9G258600.2 locus=Brasy9G258600 ID=Brasy9G258600.2.v1.1 annot-version=v1.1 MASTVVTISSSSAPHLPAAIRSLPSLPRPQLATRLGHRRRALLRCAAVSELAPAASAAYGALLLGGGALAYARSGSKGSIFGGLTGSALMATVSGRRLFSIFRLLSLFDLSGGV* >Brasy9G213600.1.p pacid=40060534 transcript=Brasy9G213600.1 locus=Brasy9G213600 ID=Brasy9G213600.1.v1.1 annot-version=v1.1 MASNNSKSSSPSPRPPAPAPAEWTWRQNKQFERALAVYDKDTPDRWHNVARFMGGVKSVEEVRRHYERLVEDVAEYESGRVPFPW* >Brasy9G299900.1.p pacid=40060535 transcript=Brasy9G299900.1 locus=Brasy9G299900 ID=Brasy9G299900.1.v1.1 annot-version=v1.1 MDQLALANLPYQPTYQHRVRPCAPTKQSACVCSLLPLSPRACRLFLISPRRDESARAMPPPTTMTSPASPTTTANNKFPSHSSICALISFLHHHLRALLADPAALLATRRRCAALLTPSTSGAVTSSEDAAVLAALHGAIGSLPSADGDADGAVEAALQAPALLPEEGETAGVENRRVAACAYFCLALAQQRADAWQTAVHFFQAVLVSPAAAAQLAPRDLWAGLFDDDVQGPDAARRAARKYKDWLMYYRVVASSSADAPDVAGCLELGKSASSAIPRWPNVSDSEERTIQSVVGQVKSTAFVSNFSGHGGLAELKDFLSHADQEFQDDAKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEASDNEQAAPHEKGRSAKIMPIDADFLSTKLHERSCHKKSLTWCTSPENAMIYAPESPLYIVDGSEMQPNHLQSSRSHGSMNNPSNSVLDPQNADSYSASNYFNNKDDMSPQCTPRHDLRCFSNFSTKFIKRSALSDIVSRGSMSRKFKAFSNSDGWSDVSSRCGNNSQLDFLERFEIAVSKLLVSDGLENCLDAGSEVTTIWQLLNHTTEARHKSSVRQDILDQLLDSISTAKKDKVIRASVYVLLLMISEDRSVMRGIKRKDFHLYNLATALKRNVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPILLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLTQATPMEPFFHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLKEIRQLGGITIMHTLMACLHQTEPEHQVLAANLLLQLDMLGKQDGTSVFKEEAMEVLLESLSAREDSTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTKRSHRNMIRNIDWLDTCLQDTAINSWSSQCARTIIRIGAPVISTLAKGLQSKVKGTSHDCLVCVAWLGCELASLGENDIRHSACEILLHDIVSHLHPGCELDERVLACMSIYNYTSGKGKQKLMSLSEGSRESLRRLSPFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFNGTIRAWDIKDQRAVNIREITEHKKAVTCFALSETGENLLSGSADKSIRVWKMTQRKLECVDVIQIKEAVQKFDVYSDKIIVLTQKNVLKFCCSSRSTQTFYKGKHVKSLALAQGKAYLGCGDLSIQELDISVESKIEIRMPTRSWRISKQPISSIVVYKDWMYCAGSQVEGSAMKDWRRRCKPTMTMPIPKGTNIQAMTVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTESGLIKAWIPL* >Brasy9G299900.2.p pacid=40060536 transcript=Brasy9G299900.2 locus=Brasy9G299900 ID=Brasy9G299900.2.v1.1 annot-version=v1.1 MDQLALANLPYQPTYQHRVRPCAPTKQSACVCSLLPLSPRACRLFLISPRRDESARAMPPPTTMTSPASPTTTANNKFPSHSSICALISFLHHHLRALLADPAALLATRRRCAALLTPSTSGAVTSSEDAAVLAALHGAIGSLPSADGDADGAVEAALQAPALLPEEGETAGVENRRVAACAYFCLALAQQRADAWQTAVHFFQAVLVSPAAAAQLAPRDLWAGLFDDDVQGPDAARRAARKYKDWLMYYRVVASSSADAPDVAGCLELGKSASSAIPRWPNVSDSEERTIQSVVGQVKSTAFVSNFSGHGGLAELKDFLSHADQEFQDDAKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEASDNEAAPHEKGRSAKIMPIDADFLSTKLHERSCHKKSLTWCTSPENAMIYAPESPLYIVDGSEMQPNHLQSSRSHGSMNNPSNSVLDPQNADSYSASNYFNNKDDMSPQCTPRHDLRCFSNFSTKFIKRSALSDIVSRGSMSRKFKAFSNSDGWSDVSSRCGNNSQLDFLERFEIAVSKLLVSDGLENCLDAGSEVTTIWQLLNHTTEARHKSSVRQDILDQLLDSISTAKKDKVIRASVYVLLLMISEDRSVMRGIKRKDFHLYNLATALKRNVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPILLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLTQATPMEPFFHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLKEIRQLGGITIMHTLMACLHQTEPEHQVLAANLLLQLDMLGKQDGTSVFKEEAMEVLLESLSAREDSTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTKRSHRNMIRNIDWLDTCLQDTAINSWSSQCARTIIRIGAPVISTLAKGLQSKVKGTSHDCLVCVAWLGCELASLGENDIRHSACEILLHDIVSHLHPGCELDERVLACMSIYNYTSGKGKQKLMSLSEGSRESLRRLSPFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFNGTIRAWDIKDQRAVNIREITEHKKAVTCFALSETGENLLSGSADKSIRVWKMTQRKLECVDVIQIKEAVQKFDVYSDKIIVLTQKNVLKFCCSSRSTQTFYKGKHVKSLALAQGKAYLGCGDLSIQELDISVESKIEIRMPTRSWRISKQPISSIVVYKDWMYCAGSQVEGSAMKDWRRRCKPTMTMPIPKGTNIQAMTVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTESGLIKAWIPL* >Brasy9G299900.3.p pacid=40060537 transcript=Brasy9G299900.3 locus=Brasy9G299900 ID=Brasy9G299900.3.v1.1 annot-version=v1.1 MSRCLELGKSASSAIPRWPNVSDSEERTIQSVVGQVKSTAFVSNFSGHGGLAELKDFLSHADQEFQDDAKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEASDNEQAAPHEKGRSAKIMPIDADFLSTKLHERSCHKKSLTWCTSPENAMIYAPESPLYIVDGSEMQPNHLQSSRSHGSMNNPSNSVLDPQNADSYSASNYFNNKDDMSPQCTPRHDLRCFSNFSTKFIKRSALSDIVSRGSMSRKFKAFSNSDGWSDVSSRCGNNSQLDFLERFEIAVSKLLVSDGLENCLDAGSEVTTIWQLLNHTTEARHKSSVRQDILDQLLDSISTAKKDKVIRASVYVLLLMISEDRSVMRGIKRKDFHLYNLATALKRNVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPILLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLTQATPMEPFFHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLKEIRQLGGITIMHTLMACLHQTEPEHQVLAANLLLQLDMLGKQDGTSVFKEEAMEVLLESLSAREDSTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTKRSHRNMIRNIDWLDTCLQDTAINSWSSQCARTIIRIGAPVISTLAKGLQSKVKGTSHDCLVCVAWLGCELASLGENDIRHSACEILLHDIVSHLHPGCELDERVLACMSIYNYTSGKGKQKLMSLSEGSRESLRRLSPFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFNGTIRAWDIKDQRAVNIREITEHKKAVTCFALSETGENLLSGSADKSIRVWKMTQRKLECVDVIQIKEAVQKFDVYSDKIIVLTQKNVLKFCCSSRSTQTFYKGKHVKSLALAQGKAYLGCGDLSIQELDISVESKIEIRMPTRSWRISKQPISSIVVYKDWMYCAGSQVEGSAMKDWRRRCKPTMTMPIPKGTNIQAMTVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTESGLIKAWIPL* >Brasy9G299900.4.p pacid=40060538 transcript=Brasy9G299900.4 locus=Brasy9G299900 ID=Brasy9G299900.4.v1.1 annot-version=v1.1 MSRCLELGKSASSAIPRWPNVSDSEERTIQSVVGQVKSTAFVSNFSGHGGLAELKDFLSHADQEFQDDAKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEASDNEAAPHEKGRSAKIMPIDADFLSTKLHERSCHKKSLTWCTSPENAMIYAPESPLYIVDGSEMQPNHLQSSRSHGSMNNPSNSVLDPQNADSYSASNYFNNKDDMSPQCTPRHDLRCFSNFSTKFIKRSALSDIVSRGSMSRKFKAFSNSDGWSDVSSRCGNNSQLDFLERFEIAVSKLLVSDGLENCLDAGSEVTTIWQLLNHTTEARHKSSVRQDILDQLLDSISTAKKDKVIRASVYVLLLMISEDRSVMRGIKRKDFHLYNLATALKRNVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPILLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLTQATPMEPFFHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLKEIRQLGGITIMHTLMACLHQTEPEHQVLAANLLLQLDMLGKQDGTSVFKEEAMEVLLESLSAREDSTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTKRSHRNMIRNIDWLDTCLQDTAINSWSSQCARTIIRIGAPVISTLAKGLQSKVKGTSHDCLVCVAWLGCELASLGENDIRHSACEILLHDIVSHLHPGCELDERVLACMSIYNYTSGKGKQKLMSLSEGSRESLRRLSPFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFNGTIRAWDIKDQRAVNIREITEHKKAVTCFALSETGENLLSGSADKSIRVWKMTQRKLECVDVIQIKEAVQKFDVYSDKIIVLTQKNVLKFCCSSRSTQTFYKGKHVKSLALAQGKAYLGCGDLSIQELDISVESKIEIRMPTRSWRISKQPISSIVVYKDWMYCAGSQVEGSAMKDWRRRCKPTMTMPIPKGTNIQAMTVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTESGLIKAWIPL* >Brasy9G299900.5.p pacid=40060539 transcript=Brasy9G299900.5 locus=Brasy9G299900 ID=Brasy9G299900.5.v1.1 annot-version=v1.1 MSRCLELGKSASSAIPRWPNVSDSEERTIQSVVGQVKSTAFVSNFSGHGGLAELKDFLSHADQEFQDDAKGSSDSRCLHEMLEESQSDSPVSFYSHLDSSEASDNEAAPHEKGRSAKIMPIDADFLSTKLHESEMQPNHLQSSRSHGSMNNPSNSVLDPQNADSYSASNYFNNKDDMSPQCTPRHDLRCFSNFSTKFIKRSALSDIVSRGSMSRKFKAFSNSDGWSDVSSRCGNNSQLDFLERFEIAVSKLLVSDGLENCLDAGSEVTTIWQLLNHTTEARHKSSVRQDILDQLLDSISTAKKDKVIRASVYVLLLMISEDRSVMRGIKRKDFHLYNLATALKRNVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPILLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLTQATPMEPFFHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLKEIRQLGGITIMHTLMACLHQTEPEHQVLAANLLLQLDMLGKQDGTSVFKEEAMEVLLESLSAREDSTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTKRSHRNMIRNIDWLDTCLQDTAINSWSSQCARTIIRIGAPVISTLAKGLQSKVKGTSHDCLVCVAWLGCELASLGENDIRHSACEILLHDIVSHLHPGCELDERVLACMSIYNYTSGKGKQKLMSLSEGSRESLRRLSPFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFNGTIRAWDIKDQRAVNIREITEHKKAVTCFALSETGENLLSGSADKSIRVWKMTQRKLECVDVIQIKEAVQKFDVYSDKIIVLTQKNVLKFCCSSRSTQTFYKGKHVKSLALAQGKAYLGCGDLSIQELDISVESKIEIRMPTRSWRISKQPISSIVVYKDWMYCAGSQVEGSAMKDWRRRCKPTMTMPIPKGTNIQAMTVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFTANDIIFCGTESGLIKAWIPL* >Brasy9G261500.1.p pacid=40060540 transcript=Brasy9G261500.1 locus=Brasy9G261500 ID=Brasy9G261500.1.v1.1 annot-version=v1.1 MDPDQNRLEALVASVLPSVVSVVWTTRKGRNEVSVVSAGCVLHKCGNHTYILTTSRGGGIRSNSRLLVRFYDGVEIRAKVLVRDTNLEFIVLRTETSHESSLSIQFREEPMIFSNALCVVPKDKSKFHKMQGFIPVPSCRANDMSNETPEITDQHFIFVCQHQDISIMMSAPVFHENSQVNGFILQSCSLPNNPGEAPDQELIDIEAKICVKPNNVEAWLRRVTNDHDWRAGLHKREIKK* >Brasy9G190200.1.p pacid=40060541 transcript=Brasy9G190200.1 locus=Brasy9G190200 ID=Brasy9G190200.1.v1.1 annot-version=v1.1 MAAVSDARSKGVDQEEDWISKLPDDVLISVLTRLDVRCATSIEDYELTVDGFKSTLSGKARANVAVAKAARTMLARRSPQRPLRVLRLGFYVLRAESADIVGAVDDAIARGCSIAKVAFEILAEKTDFMCKDKDLTRHATRFLYYFSAHPRAFAGLTSLHLESVKLWQSEISGLLATCEKLESFSLLNCDAGRRTVLALEHPRITALRLVMCRRDSVELRWLPELVRLTCEAWLPSQSRDPLLFGYVPLLGKLALSTLCTKCQHGGAVVGLRS* >Brasy9G225500.1.p pacid=40060542 transcript=Brasy9G225500.1 locus=Brasy9G225500 ID=Brasy9G225500.1.v1.1 annot-version=v1.1 MSRLFLNDYKNGQLKSHGWPADAEYLAYKVSKALINGYTRMMAKDFPALRINSVHPGYCMTDINYDTGELTAAEGARSIVTVALVPAGGPTGVFFYQNEVAPAV* >Brasy9G144700.1.p pacid=40060543 transcript=Brasy9G144700.1 locus=Brasy9G144700 ID=Brasy9G144700.1.v1.1 annot-version=v1.1 MGGGCSEGDGDWAVLPSPPIADVTLALVGKIGSGKSATANCILGNEAFVSEYSYKSVTETCQKSSSTFHDGSVSRTVNIIDTPGLFDMDISMEHTRKEIVKCIEISKHGIHAMLMVFSAASRFTREEQKTVEAIKLFFGDKILDHIILVFTHGDAVGDENTWKKILTEKSPAYLQDILKLCQNRVVLFDNKTSNIEQRVMQRQKLLDAVDFVLSRNCGKPFSFKMFPYIQEALGTQDETSVDGHSAEKNYEQLTQITKTVDEKLINTEDEIRRPREGLEKAQQDHDNVWKEIRSSGNLRRLDEEKRNEQNDEIRNLKEELEKARKEATLRLEEEIRRLGESLEKATLEKDNYKRMYETKCIVM* >Brasy9G217000.1.p pacid=40060544 transcript=Brasy9G217000.1 locus=Brasy9G217000 ID=Brasy9G217000.1.v1.1 annot-version=v1.1 MLTFTELACPAADEVAAAVDSRLWLACAGSMCSVPLGHAEQAAAAGAVDLPRVPALVPCRVSAVWFMADPQSDEVFAKIRLLPLRRGEPVADVGEAAAREPLQQDADNNKPSASFAKTLTQSDANNGGGFSVPRFCAETIFSALDYGAEPPVQSIFVRDVHGEEFKFRHIYRGTPRRHLLTTGWSNFVNQKKLLAGDSVVFLRASGDGGGEVHVGIRRARHVFCGADVEGPSSASGWDHYRGLMRGNASSGDGGGKGNNNSKVSAVDVAVAARLAAAGQAFEVVYYPRASTPEFCVRAGAVKAAMQVRWCPGMRFKMAFETEDSSRISWFMGTVAGVCAADPVRWPQSPWRLLQVSWDKPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPQIPTCADFPFDGSHFFLQPPFAPLGLNPPSSLAQHGHHGFSFFPFPGSGGTPPAPAPLTGGIQGARHAHFGPSPSSVDLRSSKHPRSGLRPHTDIRRPASSALVVAPGISTDLTIGNGTSPAREDDTAMCALPKAGNAKPPLTLQLFGQEILTEEQMTNASSNTGGLTLTSSPNSEAEKAGDVSEGSDSVVTQGSTSSSNNNSTSSWRLRWFRDNSQASELGLLQPGQCKVFIESDAVGRNLDLSQLSSFEELYSRMSDMFDIESAELRNHVHYRSAAGEVKNVGDEPFRAFVKSARRLTIFAEAGSDCIGV* >Brasy9G198100.1.p pacid=40060545 transcript=Brasy9G198100.1 locus=Brasy9G198100 ID=Brasy9G198100.1.v1.1 annot-version=v1.1 MQATVPPFGLAMKPASPKRLRCCLHASTGFLLAGRWLTLCSATGLLLGWFKEGTPKGLASRALC* >Brasy9G120700.1.p pacid=40060546 transcript=Brasy9G120700.1 locus=Brasy9G120700 ID=Brasy9G120700.1.v1.1 annot-version=v1.1 MDEYRPRVSPATERFVGLFSSPSSSPTEPSFVAGDEFHEDDFLFSSAPAAASDAPPDGLGSQSRVPHSHLGLLAALHEGDKRLLVRRGSGAGGVAASAAAATAGTLLRRKATIAAAASASGKSPSPTLSPNSVAWAIPAIPRPKSREQAPQYHQSAPVKVPVRPPLKPAMDRWDELDDDDELRHGDAAMLPPHEMVARASAAATGPSAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGWLD* >Brasy9G230500.1.p pacid=40060547 transcript=Brasy9G230500.1 locus=Brasy9G230500 ID=Brasy9G230500.1.v1.1 annot-version=v1.1 MLHQTLIPSSSPSCPSVSASLSSPLLFPSNAKDAAAATTASSSPLLCSPAMPSYAHHRSSLDERMEALKGSSRQEETAEEVAAAAEAPAAWGFGDRDGFSVEDLLDLEEFCEADKDGADEHEAAPAAADNQGEKSNDDSLQSVVSYDVVVPHAPSVPEIVDLPAHDAEELEWVSRIMDDSLSELPPPPPPPTATMMASLAGRPPQHRLMMLQQRRPHDGAYRALPSSASDPLRTPTICALSTEALVPVKAKRSKRSRASGWSLSGAAPDSTSSSSTTTTSSCSSSASFSPYFLMDSAHLGATDLAEEYNLGGPPPKKSKHGKHSKHKPKKRGRKPKHLPPHPSSAAVSLPSDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFESTIHSNSHRKVLEMRRKKEDGPLTVSATAPAVASF* >Brasy9G230500.2.p pacid=40060548 transcript=Brasy9G230500.2 locus=Brasy9G230500 ID=Brasy9G230500.2.v1.1 annot-version=v1.1 MEALKGSSRQEETAEEVAAAAEAPAAWGFGDRDGFSVEDLLDLEEFCEADKDGADEHEAAPAAADNQGEKSNDDSLQSVVSYDVVVPHAPSVPEIVDLPAHDAEELEWVSRIMDDSLSELPPPPPPPTATMMASLAGRPPQHRLMMLQQRRPHDGAYRALPSSASDPLRTPTICALSTEALVPVKAKRSKRSRASGWSLSGAAPDSTSSSSTTTTSSCSSSASFSPYFLMDSAHLGATDLAEEYNLGGPPPKKSKHGKHSKHKPKKRGRKPKHLPPHPSSAAVSLPSDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFESTIHSNSHRKVLEMRRKKEDGPLTVSATAPAVASF* >Brasy9G336800.1.p pacid=40060549 transcript=Brasy9G336800.1 locus=Brasy9G336800 ID=Brasy9G336800.1.v1.1 annot-version=v1.1 MFFLIPPSVTGKLPYHPKPKALPSFVLLPRAARNLPEPLQLAPMVTRWNQKSPGLKILWIWTLGTAGIMIANVVRTRVNDMEMILRDEDEAAAATGDGSGGGTSGERVMRDDELSSE* >Brasy9G178300.1.p pacid=40060550 transcript=Brasy9G178300.1 locus=Brasy9G178300 ID=Brasy9G178300.1.v1.1 annot-version=v1.1 MCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNPNSMEESEAHFIRLLDHQTFEFLSTHPLDQYECGCSMISCSFSDDNNFYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMTREDGSHELQSECGHHGHILALFTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMTAVEMIDDDIYVGAENSYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDTEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSILGKFIKGVGSLSHDQWRSFHNEKKTAEARNFLDGDLIESFLDLNRSKMEEVSKGMGVSVENLSKRPGGRADETALDETGWGGGSVYQFYVFQLL* >Brasy9G347800.1.p pacid=40060551 transcript=Brasy9G347800.1 locus=Brasy9G347800 ID=Brasy9G347800.1.v1.1 annot-version=v1.1 MAVEEASSSGGEEGSGSGSGGWTREQEKAFENAVAAAGEEAPEGDAAWEEMAAAVEGKTAEEVRRHYELLVEDVDGIETGRVPLLVYAGDAAGAEEGGSGGGGGGGGGKKGGGGGHGEKVSAAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGTNGQVANPGKSPKQPLQPANPPPGVDAYGTTIGQPVGGPLVSAVGTPVSLPVPAPPHLAYGMHAPVPGTVVPRAPINMSYPMPPPSSR* >Brasy9G165200.1.p pacid=40060552 transcript=Brasy9G165200.1 locus=Brasy9G165200 ID=Brasy9G165200.1.v1.1 annot-version=v1.1 MAEETGKAEAAGAGNCSGGGGCEAVKKRVEQSVAFHELFSFADPLDWLLMAAGTAGAVVHGAAMPVFFLLFGELVNGFGKNQHHLRRMTDEVSKYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQSTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRSGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSADGRCLYEVHGNIEFKEVSFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTIIENILYGKPDATMAEVEAAASAANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRIMIGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGASTRKNRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTVLGAIGSVMSGFIGPTFAIVMSNMIEVFYYRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDEEENNSSLVAARLATEAADVRSAIAERISVILQNMTSLMVSFVVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQSHSLRRSQVSGALYGLSQLSLYASEALILWFGAHLVRHHVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGESIRSVFAVLNSRTRIDPDEPETEQVESVRGEIELRHVDFAYPSRPDVMIFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPMAGKVMIDGKDIRRLNLRSLRLKIGLVQQEPVLFATSILENIAYGKDGATEEEVIEAAKVANVHGFVSALPDGYGTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALGRIMKGRTAVLVAHRLSTIRCVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG* >Brasy9G322300.1.p pacid=40060553 transcript=Brasy9G322300.1 locus=Brasy9G322300 ID=Brasy9G322300.1.v1.1 annot-version=v1.1 MAGAAKKASWTAAMSVGAVEALKDQAGLCRWNYALRSVHRAAKADVRARAELPQGKKLRPASAAAAAAERRRAEKAEEGLRTVMYLSCWCPN* >Brasy9G088600.1.p pacid=40060554 transcript=Brasy9G088600.1 locus=Brasy9G088600 ID=Brasy9G088600.1.v1.1 annot-version=v1.1 MLSVFSRVCISLILFFFVNAPILPAAAQANMSEIDRQALLCFKSGISSDPLGILNSWSGASLNFCAWKGVTCGTKFPPRVISLDLTSAHLSGQISTCIGNLTYLSGINLADNHLSGTIPDELGKLADLDMLMLAGSNLEGTIPDSLGASMSLSYVNLANNTLTGGIPLSLANSSSLRTLTLSRNNLSGEIPATLFHSSFTLAMVDLQLNSFTGLIPPFHKITALKFLCLAENFLSGSIPPSIGNVSSLTSILLHQNKLSGLIPETVSHITKLLELDLSHNSLSGSVPLSLYNMSFLEYFSVGSNGLVGQIPSHIGYTLPNLQFLIVESNRLKGLIPASLANLSNLQTLDLSNNSLHGSAPSLGSLANLHQVVLGRNLLQAHDWLFLSSLANCTQLTKLSLEENALNGSLPMAVVKLSTKLEDLSLGSNQISGSIPLGISNLVNLTSLRMESNLLSGTIPSTMGKLHKLFILNLSKNKLSGQIPSSVGDITQLGKLYLNDNNLFGNIPGSLGQCMGLLELNLSRNNLEGSIPVELFANPPLSLGLDISHNNLTGKLPLELGRDRGSGPLYLHMERNKFHGEIPARWNLEWTKEVNLSHNDLSGAVPEIFGSLEQLDLSYNNFEGPVPTGGIFENSTRVLLEGNERLCSKSPRPSLPICAGIPASVTPASVTKITHHLSLLATLLLIVLPPPTIGLLLLSWFLFTLWNKGVFSFPRLDVVFNTFPRWDVLSKMFSFPRWDVVSSMFFFFYHGNRREMHIVPCHDEMKLKRVSYREILEATNWFSSDNKVSSTRTGSVYVGRFRFDNDRVAIKVFNLNESGANESFFTECQVLRSTRHRNILKCVTTCSTLDTKGNDFKALVCEFMANGSLDRWLHPKEHSGIPRRTLCLGLRIWIAVDVASALDYLHDQLTPSLVHCDMKPSNILLNYDMTAHIGDFGSAKFLSPVSGSLEHFSIIGGTIGYMAPERGIGCQISAGADVYSFGVILLEMITGKRPTDDMFVDGLSLHKFCESMFPDRVAEILDPHMAHDEHQGCTEVWMQRYVIPLVALGLSCSVESPKYRPGMHDVCAKLFAIKEAFLELFDD* >Brasy9G318500.1.p pacid=40060555 transcript=Brasy9G318500.1 locus=Brasy9G318500 ID=Brasy9G318500.1.v1.1 annot-version=v1.1 MTSAAGDARRKTACVTGGSGYIASELIKMLLQNGYAVKTTVRHPEDTEKNAHLKVLQELGPLEVFRADLDEEGSFDDAVAGCDYAFLVAAPVALMPQNPEKEVIEPAVRGTLNVLRSCAKAGTVKRVVLTSSTAAVSSRPLEGDGHVLGEESWSDVGWLRANKIGTWAYPASKVLAEKAANEFAEANGLSLVTLLPVVAVGAAPATELHTSVPEVLSLLSGDDAMVDNLELIEKASGGIPLVHVDDVCRAEIFLAENQASSGRYVCCSLNTTAIELARFLAAKYPQYKLKTDRIGHLPEKPRVCISSGKLASEGFEYKYKNLDEIYDDVVVYGRALGILPY* >Brasy9G236100.1.p pacid=40060556 transcript=Brasy9G236100.1 locus=Brasy9G236100 ID=Brasy9G236100.1.v1.1 annot-version=v1.1 MEAPNCSGGGGGAPVVLNVYDLTPINNYLYWFGLGIFHSGIEVHGVEYGFGAHDLPTSGVFEVEPKRCPGYVYRRSVWMGATEMSRAEFRSFIETLAGKYNGNTYHLISKNCNHFTDDVCRDITRKPTPGWVNRLARVGFFFNRLLPKSIQVSAVGHVPTRPAFSDDDMDSTSSSVTGDSDVDELDQHLLPAAGIDLHSVNGPPKLAKDLL* >Brasy9G038100.1.p pacid=40060557 transcript=Brasy9G038100.1 locus=Brasy9G038100 ID=Brasy9G038100.1.v1.1 annot-version=v1.1 MAGGGGDNTAPGMAMEVEAQASPCPGPSPPPLSGSPTPSSDSGSNSVTSSRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECTGHTGTIHEISFSAPSSPHVVCSSSSDGTVRAWDTRCYKQISLLSGGASHEMFSFSFGGSSGNLLAAGSNSQVLLWDWRSSKRLACLEESHMDDVTQVRFAPNQQSRLISAAVDGLVCVFDTDGDIDEDNHLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLSTWDWNEGNRELNIEDARSLATDKWNLDHVDYLVDCHYSLPDDRLWAIGGTSAGTLGYFPVKNDPAGVIGSAEAILEGGHTGVIRTIYPAGSSHQNLGQNRGIFGWTGGEDGRLCCWRSEETAAANKSWISSMLVSRAQKRIRSSRHQPY* >Brasy9G038100.2.p pacid=40060558 transcript=Brasy9G038100.2 locus=Brasy9G038100 ID=Brasy9G038100.2.v1.1 annot-version=v1.1 MAGGGGDNTAPGMAMEVEAQASPCPGPSPPPLSGSPTPSSDSGSNSVTSSRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECTGHTGTIHEISFSAPSSPHVVCSSSSDGTVRAWDTRCYKQISLLSGGASHEMFSFSFGGSSGNLLAAGSNSQVLLWDWRSSKRLACLEESHMDDVTQVRFAPNQQSRLISAAVDGLVCVFDTDGDIDEDNHLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLSTWDWNEGNRELNIEDARSLATDKWNLDHFGLAIMHSLDGRDQPQEDQIESDRLLRL* >Brasy9G038100.3.p pacid=40060559 transcript=Brasy9G038100.3 locus=Brasy9G038100 ID=Brasy9G038100.3.v1.1 annot-version=v1.1 MAGGGGDNTAPGMAMEVEAQASPCPGPSPPPLSGSPTPSSDSGSNSVTSSRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECTGHTGTIHEISFSAPSSPHVVCSSSSDGTVRAWDTRCYKQISLLSGGASHEMFSFSFGGSSGNLLAAGSNSQVLLWDWRSSKRLACLEESHMDDVTQVRFAPNQQSRLISAAVDGLVCVFDTDGDIDEDNHLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLRG* >Brasy9G038100.4.p pacid=40060560 transcript=Brasy9G038100.4 locus=Brasy9G038100 ID=Brasy9G038100.4.v1.1 annot-version=v1.1 MAGGGGDNTAPGMAMEVEAQASPCPGPSPPPLSGSPTPSSDSGSNSVTSSRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECTGHTGTIHEISFSAPSSPHVVCSSSSDGTVRAWDTRCYKQISLLSGGASHEMFSFSFGGSSGNLLAAGSNSQVLLWDWRSSKRLACLEESHMDDVTQVRFAPNQQSRLISAAVDGLVCVFDTDGDIDEDNHLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLR* >Brasy9G038100.5.p pacid=40060561 transcript=Brasy9G038100.5 locus=Brasy9G038100 ID=Brasy9G038100.5.v1.1 annot-version=v1.1 MAGGGGDNTAPGMAMEVEAQASPCPGPSPPPLSGSPTPSSDSGSNSVTSSRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECTGHTGTIHEISFSAPSSPHVVCSSSSDGTVRAWDTRCYKQISLLSGGASHEMFSFSFGGSSGNLLAAGSNSQVLLWDWRSSKRLACLEESHMDDVTQVRFAPNQQSRLISAAVDGLVCVFDTDGDIDEDNHLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLR* >Brasy9G035600.1.p pacid=40060562 transcript=Brasy9G035600.1 locus=Brasy9G035600 ID=Brasy9G035600.1.v1.1 annot-version=v1.1 MDKLSSEIKLRVDTFEKAVEDVLNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDSFLYVKQDLELLQKLANAEELDKAILAETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYKGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQHDKKIVDLRASLKLAEEKLVETQTQETELEAFFKDNGVTRQDCYNLSINVKKMADRGQLVKAEAEKQMEYAGENSKSSQPKPVRSLLAYMQSSSCEE* >Brasy9G022900.1.p pacid=40060563 transcript=Brasy9G022900.1 locus=Brasy9G022900 ID=Brasy9G022900.1.v1.1 annot-version=v1.1 ELRGLEWDKRYQIIKGICSGLHYLHMEKYILHMDLKPANILLDDQMVPKITDFGLSRPTENSQTMSISYFSTPGYGAPENLSGRGRMSVKSDMYSLGVIIIEVVTGNKGIPDSDNNNILRRWRHRWNKSAKETPLEYHQQVTKCIEIGLLCQKIDPCARPSISQVMSKFFEMEMTYDNSRNANESTVSKINSPAYWEDDMLGVEPLELHFGHNKMNHILSCSIELINDMDGFIAFKIQSLSPLPYSIEPNKDILKPRSKCSVDITLPAANTQEHKAARQYSTNKQCTEVFIVQSIKVDEGLAPKDINEDMFDKHTVAHHVDEIHLVVVSEEPHSKEDIDLGSKHAKEQYASSGTRPRPAPMEPRRVQLAVKKNYDAPLEEYNKKVLLELTGMDSTSDRRGLDLVAVLDVSSSMQGEQMDQLKNAMAFVIRKLNRIDRLSVVTFSDAAMKLCSLRQITEASQRELPELINLDLKACDQTNIMDGLRTGLKVLADRKVSEGRAVGIMLMSNGHQSTGDATLVAIGDVPVHTFSIGADSDPMVLSAVAANSMGGTFSHVQDIGGGGLTMAVSQCLAGLLTVLVQDLELTVAAVGDESRIVKVTAGSYPQEYANNESGGSVTVRASARRVDILKVTYSHSSSSSTGRLQYVTPRKKLTVWRGRGVEISEANEEPAELLTEEARLQMAKMIKEARTMADRKELGNAQDKLFEAQYMLEGDMVAEPNMKALLRTELLELLELLETTETYEKHGRPYALSSESSHDWQRFAARVGDVEVMRLFATPRMDRYLEQAKTFHDEPWTPVKSTDDDVKEELFARLMINVASSENEIRRLSLDTEED* >Brasy9G061000.1.p pacid=40060564 transcript=Brasy9G061000.1 locus=Brasy9G061000 ID=Brasy9G061000.1.v1.1 annot-version=v1.1 MVGMSGAYGGSYNGVGVGDSKPAAEVPVHKVAPQPAQSTASKMKEKVKETFFPDDPFRSFKGKPLRAKWLMAVKYLFPILEWVPGYSFSLFKSDLVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPSAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVFQHTNEWSWQTILMGACFLLLLLTARHVSIRWPKFFWISACAPLASVIISTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTMKTGLVTGIISLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAAYHIWKMDKMDFLVCLCAFAGVIFISVQEGLAIAVGISIFRVLMQITRPRMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERTKRWIEDESSSGNKQTELRFIILDLSAVPAIDTSGIAFLVDLKKSTEKRGLELVLVNPTGEVMEKIQREIDAHNHFRPDCLYLTTEEAIASLSGFAKITTP* >Brasy9G061000.2.p pacid=40060565 transcript=Brasy9G061000.2 locus=Brasy9G061000 ID=Brasy9G061000.2.v1.1 annot-version=v1.1 MLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVFQHTNEWSWQTILMGACFLLLLLTARHVSIRWPKFFWISACAPLASVIISTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLLFDPTYLGLTMKTGLVTGIISLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAAYHIWKMDKMDFLVCLCAFAGVIFISVQEGLAIAVGISIFRVLMQITRPRMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERTKRWIEDESSSGNKQTELRFIILDLSAVPAIDTSGIAFLVDLKKSTEKRGLELVLVNPTGEVMEKIQREIDAHNHFRPDCLYLTTEEAIASLSGFAKITTP* >Brasy9G345000.1.p pacid=40060566 transcript=Brasy9G345000.1 locus=Brasy9G345000 ID=Brasy9G345000.1.v1.1 annot-version=v1.1 MDPDQNRLEALVASVLPSVVSVVWTTRKGRNEVSVVSAGCVLHKCGNHTYILTTSRGGGIRSNSRLLVRFYDGVEIRAKVLVRDTNLEFIVLRTETSHESSLSIQFREEPMIFSNALCVVPKDKSKFHKMQGFIPVPSCRANDMSNETPEITDQHFIFVCQHQDISIMMSAPVFHENSQVNGFILQSCSLPNNPGEAPDQELIDIEAKICVKPNNVEAWLRRVTNDHDWRAGLHKREIKK* >Brasy9G144400.1.p pacid=40060567 transcript=Brasy9G144400.1 locus=Brasy9G144400 ID=Brasy9G144400.1.v1.1 annot-version=v1.1 MGGDGRHDDDDGDWVLPTAALTNITLALVGKIGSGKSATANSILGKEAFASEFSYSGVTGTCQKRSRTFHDGCAARTLNVIDTPGLFDMDTTCENVRKEIGKCLEYMAKDGIHAILMVLSATARFSREDEKTMESIKLFFGDNVFDRVVLVFTHGDQVGEEIIWKKMLTDSAPAYLKEILELCKNRVVLFDNKASHKKHRLAQLKKLLDAVDFVISSNHGKPFSNQITHPQEAQSKEDISVDEYSTEKMSEMKKQIYDECLAQIAKMVQENPNSTITRLEKLLLEEEKARLESENKVAEVILRSKGEIQKLSEMLENGKKETENIQKEMEKVKKRVRTLEKIQDTKK* >Brasy9G310900.1.p pacid=40060568 transcript=Brasy9G310900.1 locus=Brasy9G310900 ID=Brasy9G310900.1.v1.1 annot-version=v1.1 MATSLPPGYRFHPTDVELTVYYLKRKLLGRPLRCNAVTDIDLYKFAPWELPEKASLQTGDREWYFFCMRDRKYSSGSRTNRSTEAGYWKATGKDRPVIHKNQTVGMKRTLVFHSGKPPQGTRTDWVMYEYRLVDKDISSSDVKLDNCVLCKIFKKSGAGPKIGEQYGAPFNEEEWNDLNGAPSVPHSVPGSSNSVLNSAGQQLPVSDDGKVTLSPLSENSVERAANYTRADASFDSIHIQQLADIIGRFSTNFSITAGQAGALPDLTAKYDNERSYELQPDLTADHNKLSFDDETIFDELDKVPSGSVESISKQCASCGGYLIEPMLEPVEGEQYFELNDLLSSLADDHPGPSCLVVSNDASHECHLDLELNGISKSSTTSAVAAGSLPC* >Brasy9G054600.1.p pacid=40060569 transcript=Brasy9G054600.1 locus=Brasy9G054600 ID=Brasy9G054600.1.v1.1 annot-version=v1.1 MRFPLLNSTAVVLLLVIFACVDQIMDLVERFKMTRFPSLEIVSSNEVVFLIGSSLFAIHAEVNLGTVLNHMPRYQLVVPSKDLLRISKKPSAYLPFLEMSLSLEASAWPAKMVSVVAEIAGMSRKKSKVKTSRRCMMS* >Brasy9G179500.1.p pacid=40060570 transcript=Brasy9G179500.1 locus=Brasy9G179500 ID=Brasy9G179500.1.v1.1 annot-version=v1.1 MAMAVRNLPPGYVFRPKALELVQHFLVPKALGRDVIPGFVTEGVDVFSASPDALPFSSNNRRGHGEVWGYFFAPQPAGEGPLAAPGGCWLPYGPVKAYRGGDGEAVAFRQRFAYHRVRVIMWEVCGGGREAWAQTRWLMAEYRLNKGAAAFRYARPGDPKVNMDCVIRKVFTEAEVAPTLPLPSPARSSDEESTGSNYASADEEAGYSGEEQARKRARFR* >Brasy9G170600.1.p pacid=40060571 transcript=Brasy9G170600.1 locus=Brasy9G170600 ID=Brasy9G170600.1.v1.1 annot-version=v1.1 MASSAKVTITLGRSGQVVKRKAIADIGNDDEVPVSGRKRPVRERLGNNVVDSDSFGGQPRSKRRQTESNSSQLGDDSLGDDTHFICAVQYARMYIVSCLSDIFFFLYMLGCIIVEVRQIGRDDLRLKLMKKGLSKKSNGDTEQNGVDLREKLSRNSKNLLRYEARGHDQESRPSYAVRDVLESRSRYGLRERVPESRASTLVSELTSARSADDLFKMDSSGKPYPSWNADWGRSPDKLSSFRRDMSPPRSVRRDMSPPRSVRRDMSPPRSVRRGMSPPRSVRRGMSPPRSVRRGMSPPGSYGQMRSIPPLRSVGTTRSSSHISRDSPDTLRTHQHYEGKSTISNDTLQPANGITPSGPRLPAAPVMTEVPLTVTGLLNSLGLEKYVVLFQAEEVDMAALSQMKDSDLKDMGVPMGPRKKILLAAAPYAKQRQR* >Brasy9G170600.3.p pacid=40060572 transcript=Brasy9G170600.3 locus=Brasy9G170600 ID=Brasy9G170600.3.v1.1 annot-version=v1.1 MASSAKVTITLGRSGQVVKRKAIADIGNDDEVPVSGRKRPVRERLGNNVVDSDSFGGQPRSKRRQTESNSSQLGDDSLVEVRQIGRDDLRLKLMKKGLSKKSNGDTEQNGVDLREKLSRNSKNLLRYEARGHDQESRPSYAVRDVLESRSRYGLRERVPESRASTLVSELTSARSADDLFKMDSSGKPYPSWNADWGRSPDKLSSFRRDMSPPRSVRRDMSPPRSVRRDMSPPRSVRRGMSPPRSVRRGMSPPRSVRRGMSPPGSYGQMRSIPPLRSVGTTRSSSHISRDSPDTLRTHQHYEGKSTISNDTLQPANGITPSGPRLPAAPVMTEVPLTVTGLLNSLGLEKYVVLFQAEEVDMAALSQMKDSDLKDMGVPMGPRKKILLAAAPYAKQRQR* >Brasy9G170600.4.p pacid=40060573 transcript=Brasy9G170600.4 locus=Brasy9G170600 ID=Brasy9G170600.4.v1.1 annot-version=v1.1 MWLILIRLVGSREAKVEVRQIGRDDLRLKLMKKGLSKKSNGDTEQNGVDLREKLSRNSKNLLRYEARGHDQESRPSYAVRDVLESRSRYGLRERVPESRASTLVSELTSARSADDLFKMDSSGKPYPSWNADWGRSPDKLSSFRRDMSPPRSVRRDMSPPRSVRRDMSPPRSVRRGMSPPRSVRRGMSPPRSVRRGMSPPGSYGQMRSIPPLRSVGTTRSSSHISRDSPDTLRTHQHYEGKSTISNDTLQPANGITPSGPRLPAAPVMTEVPLTVTGLLNSLGLEKYVVLFQAEEVDMAALSQMKDSDLKDMGVPMGPRKKILLAAAPYAKQRQR* >Brasy9G170600.2.p pacid=40060574 transcript=Brasy9G170600.2 locus=Brasy9G170600 ID=Brasy9G170600.2.v1.1 annot-version=v1.1 MWLILIRLVGSREAKVEVRQIGRDDLRLKLMKKGLSKKSNGDTEQNGVDLREKLSRNSKNLLRYEARGHDQESRPSYAVRDVLESRSRYGLRERVPESRASTLVSELTSARSADDLFKMDSSGKPYPSWNADWGRSPDKLSSFRRDMSPPRSVRRDMSPPRSVRRDMSPPRSVRRGMSPPRSVRRGMSPPRSVRRGMSPPGSYGQMRSIPPLRSVGTTRSSSHISRDSPDTLRTHQHYEGKSTISNDTLQPANGITPSGPRLPAAPVMTEVPLTVTGLLNSLGLEKYVVLFQAEEVDMAALSQMKDSDLKDMGVPMGPRKKILLAAAPYAKQRQR* >Brasy9G107500.1.p pacid=40060575 transcript=Brasy9G107500.1 locus=Brasy9G107500 ID=Brasy9G107500.1.v1.1 annot-version=v1.1 MILPLWCGEFNDSEIKKLISSIVEHRETHGYNRFGVAACENTETLGRTSTHGSLHIVNCSSLLVYTVL* >Brasy9G316200.1.p pacid=40060576 transcript=Brasy9G316200.1 locus=Brasy9G316200 ID=Brasy9G316200.1.v1.1 annot-version=v1.1 MWAVYETIREVEEDLRYGPINKKLGREATISSGWRSIADGLVTCKAIRKEITKAGGAPYPIPVQFLNSAVHTIPSAKAHLETLPGQSAPAPPPPSDTERKQLDQDGITSRNFDLLEEYEKMWAVYETIREVEEDLCYGPISKKLGREATISSGWRSIADGLVTCKAIRKEITKAGGAPYPIPVQFLNSAVHTIPSAEAHLETLPGQSAPAPPPPSDTERKQLDQDGITSRNFDLLEEYEQMWAVYETIREVEEDLRYGPVRKKLEREAMISAGWRMIADRLVICKAIRKEITEAGGVPYPIPIQFLD* >Brasy9G107000.1.p pacid=40060577 transcript=Brasy9G107000.1 locus=Brasy9G107000 ID=Brasy9G107000.1.v1.1 annot-version=v1.1 MITKCFDINVLLKEARSRWLKPSEVYYILLNHERLPITHEPPNKPPSGSLFLYNRRVNRFFRKDGYAWRRKKDGRTVGEAHERLKVGNLDALSCYYAHGDENPCFQRRCFWMLEPAYDHIVLVQYREVAEGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G107000.2.p pacid=40060578 transcript=Brasy9G107000.2 locus=Brasy9G107000 ID=Brasy9G107000.2.v1.1 annot-version=v1.1 MITKCFDINVLLKEARSRWLKPSEVYYILLNHERLPITHEPPNKPPNGYAWRRKKDGRTVGEAHERLKVGNLDALSCYYAHGDENPCFQRRCFWMLEPAYDHIVLVQYREVAEGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G107000.5.p pacid=40060579 transcript=Brasy9G107000.5 locus=Brasy9G107000 ID=Brasy9G107000.5.v1.1 annot-version=v1.1 MLEPAYDHIVLVQYREVAEGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G107000.4.p pacid=40060580 transcript=Brasy9G107000.4 locus=Brasy9G107000 ID=Brasy9G107000.4.v1.1 annot-version=v1.1 MFLDAGTGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G107000.3.p pacid=40060581 transcript=Brasy9G107000.3 locus=Brasy9G107000 ID=Brasy9G107000.3.v1.1 annot-version=v1.1 MFLDAGTGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G107000.6.p pacid=40060582 transcript=Brasy9G107000.6 locus=Brasy9G107000 ID=Brasy9G107000.6.v1.1 annot-version=v1.1 MFLDAGTGRNYSASVSNESAGSLSALSYPNDMYGKQYHNSTSGSSESSESRHSYSNSITEVSSGSASKMYNNHSGVLLSIPEFEQTTVIGAPELGQSSMEQSSEFCLTNKSGLKQALKKIGEHLGLADDDDDDDYIYINQSQPLDFDTSIEAADRQGHHTSNSLGNVSGEKQADRIQAGETQNGVSRGILPSWGNVLQSNSASSASSAYMQSSEYQPPGGLDSSDLQLQLSAATRFLLGPEDSIDSPSYNCIARDEGINGIDTLSVHNSGLQSCLNPDWQSLTPITLESNACGSEIFELLSDHCQFEPSSGLDTRLTLTQKQQFNIHEISPEWAFCSEVTKVIITGDFLCDPSNSRWGVMFGDNEVPVEIVQPGVLRCHTPLHSSGKLTLCITNGNREVCSEVKDFEFRAKPTVSSSRDLTPSSRSMKSSEELSLLAKFARMLLCENGSSAVLDGDPQSTQRPKLNMNEEHWQQLIDGLNVGCENPLSMVDWIMEELLKSKLQQWLSLKLQGNDGTCSLSKHEQGIIHLISALGYEWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASKRGHMGLAGYLSEVSLTSYLASLTIEESDISKGSAAIEAERAVESISQRSAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFSDKAAVSIQKKYRGWKGRKIFLNMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQPAMIAAEEEEEEDDDDFDDDEAVKIFRRQKVDESVKEAVSRVLSMVESPEARMQYRRMLEEFRQATIETGASDEATSILNDDLLMGMDFMF* >Brasy9G136500.1.p pacid=40060583 transcript=Brasy9G136500.1 locus=Brasy9G136500 ID=Brasy9G136500.1.v1.1 annot-version=v1.1 MAAAAFSIREYAASMRGEVAAEGLRPFQIKDLPAMEAPRFRWWANELASAVAAAAAASQGRSPGKAKPPMKRSMSDLFAAAPPMAVPQAGGSGSDQQPEVDDDEALCAIERRTREKKMKRKRRLQDDDEEEDAATTAAESTGAREDEGNFATRKRKALKDTARSAPQLKASFGNTTSEGELCLGGTFADSPKSSQAPTSVPGINQIDQLKTRLNELDKIVPTTRDRLSKIALPPGHGLNEQVVQEAHNLDLGVIESLRRSTKYLHDAFVKLCLTHLEIHQP* >Brasy9G123100.1.p pacid=40060584 transcript=Brasy9G123100.1 locus=Brasy9G123100 ID=Brasy9G123100.1.v1.1 annot-version=v1.1 MGKGKYHSWVLRRFCGSIAACILTLAVLVGFVVLVVYLALHPSKPSFYLQDVQLRSIDLSDPALSLDVQVTIASRNPNDRVGIYYKTLHAFTTYREEPITVPVSLPAIYQGHKDESVWSPVMSGENVPVAAYVADAMKQDIAAGYVLLHVKLDGRVKWKVGSWVSGGYHVFVNCPALLSATGGNAGGAFAMSATAGGGGGAGKGTVSLKFTQPTYCTVDV* >Brasy9G220600.1.p pacid=40060585 transcript=Brasy9G220600.1 locus=Brasy9G220600 ID=Brasy9G220600.1.v1.1 annot-version=v1.1 MSNCETAQGFPEVLRDIMRHIGFRYQPEYTVFVDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G214900.1.p pacid=40060586 transcript=Brasy9G214900.1 locus=Brasy9G214900 ID=Brasy9G214900.1.v1.1 annot-version=v1.1 MPVPASLLVSSALCVGASACASTGLAFHATFAASVVFMLRALSPELVDGFFTRVDSCARRDSCLVRSAARSLRDGARASPAIAPIYARAEERFRRAHASVAGAIDGLDKTARAKLSCIADAAAAAVLSLRVAAGVINLAATLLIPLALLEAKSRAPSVLRWTGVKQIRVRSPPGSGSKQRDTTLLVISCSAIFMYCAQLLVLNTLCSGITTFAACFSCFAALCGFALLEAERVYLWDSYALDGTRGSSSSATNAERGSTEMDHAARDAWKSLWTQIIVTHFSDACSLGFVLGKRPLALASLAVFNLAALKAARRDYRAPDDDGGARGGSVNEWHRAAAAVVAVDIAKVVATYVVIDFHLGALCFATLCFKVALMVFHAVSLSDAGASGSGDEDIPVEGAGLAGDAGASGSGGDDIPVEGAGLAGDAVGSDDVSTEDSSPIAASVHEEESLAAEEHLDVSDSEEQRCEESDHSCTSSMDDWSLVGADPMMPVNVNGGISRRFRFLT* >Brasy9G321400.1.p pacid=40060587 transcript=Brasy9G321400.1 locus=Brasy9G321400 ID=Brasy9G321400.1.v1.1 annot-version=v1.1 MSSVTSHGRRHYLSLLLSLLMLGTRAVVNAADTFDSGRNIADGETLVSAGGSFTMGFFSLGVPARRYLGIWFSVSEDAVCWVANRDRPINGTSGLLMLGDAGRLLLLVDTGAGDQQVIWSSNSTGSTANSPTAQLLDSGNLVIRDGVSSITDGGLPILWQSFDHPSNTLLPGMKTGKNLWTGAEWHITSWRSPTDPSPGPYSRGTETKKGSLPENAIWNGRAKTYRTGPWNGLYFNGVPEMASYADMFAYEVTVSPGEVSYGYAAKPGTPLSRIVVTDAGAVQRLVWDAGSRAWKTFYSAPRDACDAYARCGAFGLCDTGAASTSLCGCVRGFVPASPSAWYMRETSGGCRRSVALDCAGATDGFVVLRGVKLPDTYNASVDVSVGMEECRERCLGNCSCVAYAAADVRGGGCIIWSDAIVDIRYVDRGQDLYLRLAKSELAEDASRKMSAAIIATICVACAAAGVFLSLAFVLHKNDAAIHVEEGKPDLDAAATAVAAGSVDLATLEKATRNFSTRNVIGEGAFGVVYEGQLPKDHPLVAGLPGNGRKVAVKRLKVSSSLPNRVLSDYTREVETVCNLRHDNLVRLLAHCSDGNERVLVYEYVHNKSLNLYIFGKASTRASLNWARRLEIIRGIARGVWYLHEGSGEENVLVHRDLKPSNVLLDHQWRPKIADFGTAKLFRDDLTGTQTVVVSPGYASPEYAKDGDLTPKCDVFSFGVVLLETVSGRRNSASPSVVSQAWKLWEERRVMDLLDPAVPRPRSSDSSELSSSELRRCIQVGLLCVQEAPGDRPAMSAVLGMLASKDSRLEQPKCPALLQLGPTCYGGNGMSLDWEPSTVVNLT* >Brasy9G326100.1.p pacid=40060588 transcript=Brasy9G326100.1 locus=Brasy9G326100 ID=Brasy9G326100.1.v1.1 annot-version=v1.1 MAMAAPPAPVPAQLRRCPCSAPLWAPSPFHHHRRRRRSAASPFIGGRRQEYSPSSGLGMTDNNALKLGVCLNFNVRSSKQEWIEESKRLYNIKTTNSVMNNVYNGAHLRAGIFHHEPLEDSKSLNQSSLYSVRERMAPNSLANRHANVELAKHHVTSHAAGAVLALTSVVNDDIKSLSRPSGSEVKIHWPNGSNVDASLPKIREVEKIMQLDDKAIDGYDGDECTSKTMVQSSPVKAPLSKEAEDARKALATIYDKVLVVDTVKSARSVVQLLTTKYKSFIHACDTEVANIDVKQETPVGHGEITCFSIYCASSDAEADFGNGKKCIWVDVLDGGRDVLMEFVPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDSRVMGVVPKELQNIGKRSMKTIFGRKKIKKDGTEGKITSIESVEILQREDRELWISYSSLDSMSTLRLYESLKSKLEKKQWTFDGCPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRSYLSEIEKVAVAQRKLAADKFQKWASKHCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPKSRAIKVPNDDNTVTEGKKAPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKLKTDLAYPMDDAEGDQYGSDSEISEDDVEDTTSYGTAYEAFGGGRKGKEACYAIAALCEICSIDSLISNFILPLQGNRISCNEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCRSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKRVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQRELAEEKCEVYTLLGRSRRFPNIAYASPGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNSRLKELGWTLLLQVHDEVILEGPSESADVAKAIVVECMSKPFYGTNILTVDLAVDAKCAQNWYAAK* >Brasy9G326100.2.p pacid=40060589 transcript=Brasy9G326100.2 locus=Brasy9G326100 ID=Brasy9G326100.2.v1.1 annot-version=v1.1 MTDNNALKLGVCLNFNVRSSKQEWIEESKRLYNIKTTNSVMNNVYNGAHLRAGIFHHEPLEDSKSLNQSSLYSVRERMAPNSLANRHANVELAKHHVTSHAAGAVLALTSVVNDDIKSLSRPSGSEVKIHWPNGSNVDASLPKIREVEKIMQLDDKAIDGYDGDECTSKTMVQSSPVKAPLSKEAEDARKALATIYDKVLVVDTVKSARSVVQLLTTKYKSFIHACDTEVANIDVKQETPVGHGEITCFSIYCASSDAEADFGNGKKCIWVDVLDGGRDVLMEFVPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDSRVMGVVPKELQNIGKRSMKTIFGRKKIKKDGTEGKITSIESVEILQREDRELWISYSSLDSMSTLRLYESLKSKLEKKQWTFDGCPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRSYLSEIEKVAVAQRKLAADKFQKWASKHCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPKSRAIKVPNDDNTVTEGKKAPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKLKTDLAYPMDDAEGDQYGSDSEISEDDVEDTTSYGTAYEAFGGGRKGKEACYAIAALCEICSIDSLISNFILPLQGNRISCNEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCRSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKRVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQRELAEEKCEVYTLLGRSRRFPNIAYASPGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNSRLKELGWTLLLQVHDEVILEGPSESADVAKAIVVECMSKPFYGTNILTVDLAVDAKCAQNWYAAK* >Brasy9G156700.1.p pacid=40060590 transcript=Brasy9G156700.1 locus=Brasy9G156700 ID=Brasy9G156700.1.v1.1 annot-version=v1.1 MAPNPQDLTLIPNSPSGHPCPPPSRGARPPRARVRTRPRPASDHLHRPVAPRAASCTGLPPLRAASSPVSAAAMEVGRLPLDRDPTPSDPVDRAPATASSLLHGSLRRRPVPPSAIYFNVPAGRVKIPAGNPYPWRVAGMRLRVRSSGTGMVVIYPYPNPSGAIRTWESIRCG* >Brasy9G025800.1.p pacid=40060591 transcript=Brasy9G025800.1 locus=Brasy9G025800 ID=Brasy9G025800.1.v1.1 annot-version=v1.1 MSEPASGSSCGDGDAIKPATGKKAARKGNEAAASGSSGDATIKSQKLAEGDKAEAGKVKKMWTVTPEYIDRLRKEKDAGPKVRNFDYLNDHTGPVADALRAIAARGAAVTAEMEAHKATILAEYDTHGSAQVEVVEDPWSDDEMARMLMAWKKKRQQASS* >Brasy9G245700.1.p pacid=40060592 transcript=Brasy9G245700.1 locus=Brasy9G245700 ID=Brasy9G245700.1.v1.1 annot-version=v1.1 MALTRSDRRESQREAAGRIIAYPDGVRLHHPREAQRSRGRVRGVRLLVSLPLPPSTPPPPPPILPQDFEEMISSISLLDSSHQLIHKLYRRCWTSNSHFALSMHSTAKSGGKSMRVKMAHLKPHATDFKRNPQAHEEDNVFYKLVYRLPESLSWLLVSQERAKRPAAPKKKQRKEGAVAGNRFGVILEWEGVVVEDDDPDLEPRVWYVLSLEESKSFPPDAVLKEIEGMRTDQAISKVLNWSVDPKEIKRLTARKEAVYQKLRGRFYQLRPGVLDFLSTLVEFDIPIAIVTSRPRMSLEEEVKAVGLQGCFDAIVAAEDFRCGRPDGEMFEVAAEQLGLEPDVCLVMGSSNLTTESAHTAGMRCVAVASRHPAYELHAANHVVRWLDQLSVVDLQRLANGEILGCRGRRSDMDMEILIEE* >Brasy9G245700.2.p pacid=40060593 transcript=Brasy9G245700.2 locus=Brasy9G245700 ID=Brasy9G245700.2.v1.1 annot-version=v1.1 MESVSTIQGKRSAQGDECVGFACSSLFPSPLQLLLRLLPFFLRRCWTSNSHFALSMHSTAKSGGKSMRVKMAHLKPHATDFKRNPQAHEEDNVFYKLVYRLPESLSWLLVSQERAKRPAAPKKKQRKEGAVAGNRFGVILEWEGVVVEDDDPDLEPRVWYVLSLEESKSFPPDAVLKEIEGMRTDQAISKVLNWSVDPKEIKRLTARKEAVYQKLRGRFYQLRPGVLDFLSTLVEFDIPIAIVTSRPRMSLEEEVKAVGLQGCFDAIVAAEDFRCGRPDGEMFEVAAEQLGLEPDVCLVMGSSNLTTESAHTAGMRCVAVASRHPAYELHAANHVVRWLDQLSVVDLQRLANGEILGCRGRRSDMDMEILIEE* >Brasy9G100300.1.p pacid=40060594 transcript=Brasy9G100300.1 locus=Brasy9G100300 ID=Brasy9G100300.1.v1.1 annot-version=v1.1 MAETLALAPVQDPEAPLDAAAIRSRFEQLQMLRGAGDEEPVDDEDAVLGLRSGWEVDLQEVDVWDSSTAALGGDSLEAYIEWLRNEASLAEEENCKLSKEISATGETVFTDTILLDADIQALERSLVTTESEGLEHSEASSITGLSVSTDSGRNQTNVEDYKYEVLELDYQIGKSETDLKLLELQSTSMQRDEAMWELQSMLSGCNVLECNGNCLRVLLKAPILTPDCVIYGQKMDCVADSFVSDHELLIEVDEGSMEVKKVQIFPSDICVDILFEKLKSSREIISVPSLGWLIRQCQHHIIINTLRRSLVNDANNSRHSFEYLEKDETIVAHLVGAIDVFIKITADWPLSSYDLKLISIRNSGTQPTDISLNLLCKTKEHANGLELQTRQHLVRFVDAVDEILVRERQSELQASRGSG* >Brasy9G100300.2.p pacid=40060595 transcript=Brasy9G100300.2 locus=Brasy9G100300 ID=Brasy9G100300.2.v1.1 annot-version=v1.1 MAETLALAPVQDPEAPLDAAAIRSRFEQLQMLRGAGDEEPVDDEDAVLGLRSGWEVDLQEVDVWDSSTAALGGDSLEAYIEWLRNEASLAEEENCKLSKEISATGETVFTDTILLDADIQALERSLVTTESEGLEHSEASSITGLSVSTDSGRNQTNVEDYKYEVLELDYQIGKSETDLKLLELQSTSMQRDEAMWELQSMLSGCNVLECNGNCLRVLLKAPILTPDCVIYGQKMDCVADSFVSDHELLIEVDEGSMEVKKVQIFPSDICVDILFEKLKSSREIISVPSLGWLIRQCQHHIIINTLRRSLVNDANNSRHSFEYLEKDETIVAHLVGAIDVFIKITADWPLSSYDLKLISIRNSGTQPTDISLNLLCKTKEHANGLELQTRQHLVRFVDAVDEILVRERQSELQASRGSG* >Brasy9G213800.1.p pacid=40060596 transcript=Brasy9G213800.1 locus=Brasy9G213800 ID=Brasy9G213800.1.v1.1 annot-version=v1.1 MLNNRVNTPPRAEAAAAATLLSLLCFCSAISCSAISSSAGSSGMLGSITLLTVDQSGKGDHKRIQDAVNAAPANNSAGTVIRIKPGVYREKVLVDKAYITLAGTSANTTVITWNDAWVSDDSPTVSVLASDFVAKRLTFQNTSGSCVAAVAMRVAGDRAAFYGCSFLSFQDTLLDDTGRHYYRGCYVEGGTDFVFGNGKALFDKCHLHSTSRMGGAFTAQQRASESEDTGFSFVGCKLTGVGVGTSILGRPWGPYSRVVFGLSYMSSAVSPQGWDDWGDHHKQRTAFYGQYQCYGQGSKTDGRVSWSRDLSQAEAAPFITKAWVGGQQWLR* >Brasy9G131500.1.p pacid=40060597 transcript=Brasy9G131500.1 locus=Brasy9G131500 ID=Brasy9G131500.1.v1.1 annot-version=v1.1 MVVSSEPATEVVDVARQPASEDVDVASKPDADETSDAMFLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPRFTPVSQPRPDVDGTVERDGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSTGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVQFAAEGEKSKTPKEKPHKVRVKRPSRFVCSPFDEAIQVTAEQEVVYDKLMTFTTKSKNSNIKTLKIIDYKTIFAEIQELADAVHPRGELSNNVAEVAVHFLQETNKVEDKVILSFLISHYMMTCNNLQMRIIANAFERSNKFALSCQDRIYFPVLEIVHKEAGGGHWYLLCLNLVAQRFEALDSIRGPGNICLEDHATRLMGKIKAAWTMYYHKSRVQIKDYELVVIDVPKQGNCTLRCGTGKTCPNCVLLTSPRLGKLWPTSGFQLNSTGAKIGSGI* >Brasy9G161000.1.p pacid=40060598 transcript=Brasy9G161000.1 locus=Brasy9G161000 ID=Brasy9G161000.1.v1.1 annot-version=v1.1 MQKLGLVGLRSLEGFRSLAGPTSMAAKATNPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEAKLQQAVNENVKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLASQAERAEEDKKFFEEMLGKNSKALDELNCLLHDSSIKLERAEENIMSGKEEMLRIKQEKEEMDRSYKEQLDANGTTIKGKDSLIKQLGGSVEENKARSLCAESRLQCVEQELKLKQDVCICLKENLASVEREKNDLELRNRAYCLEVERLRKDNKDGNELLSSFVAKVTELDKEHASMSSHVSRLFSSFEKYCGMVQEEKVLSTRSAKDKFEHLQNQFVDLTSENSILKTEIGELKSRITELLKTQEIVMVQHVEECQAAEDKIRRLESEAEISASNVSHLEKLSSELEGRVQKLLEDSSLAQNHKEELLQKILKLESDNQELVGRVQSILDEKSNDTESLQGEITKRDQQVDTLENQISELRATLDEKEQLYVCSVEREKGLEEQKLQVQASLAATECQLTEAKKQYDIMLEGKQIELSKHLKELSHRNDQAINDIRKKYESEKVEIINAEKEKAEKLTREMQNKCNEKILENKRESERCLMHLKEEHVAVVTRIQQDNEHKESTLRAYHKEELQRVHSQAENELRERLSSLRKEHEAQINSLNIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVSSKKRRDPYVRKESQIKLAGPETKRKDVSLSGVIQSPISNMLRKVEKGTQDVSNHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLRNTAEKDVTKTRKVVAGSRPHPANIGELFSEGSLNPYAEDPYAFG* >Brasy9G161000.2.p pacid=40060599 transcript=Brasy9G161000.2 locus=Brasy9G161000 ID=Brasy9G161000.2.v1.1 annot-version=v1.1 MQKLGLVGLRSLEGFRSLAGPTSMAAKATNPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEAKLQQAVNENVKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLASQAERAEEDKKFFEEMLGKNSKALDELNCLLHDSSIKLERAEENIMSGKEEMLRIKQEKEEMDRSYKEQLDANGTTIKGKDSLIKQLGGSVEENKARSLCAESRLQCVEQELKLKQDVCICLKENLASVEREKNDLELRNRAYCLEVERLRKDNKDGNELLSSFVAKVTELDKEHASMSSHVSRLFSSFEKYCGMVQEEKVLSTRSAKDKFEHLQNQFVDLTSENSILKTEIGELKSRITELLKTQEIVMVQHVEECQAAEDKIRRLESEAEISASNVSHLEKLSSELEGRVQKLLEDSSLAQNHKEELLQKILKLESDNQELVGRVQSILDEKSNDTESLQGEITKRDQQVDTLENQISELRATLDEKEQLYVCSVEREKGLEEQKLQVQASLAATECQLTEAKKQYDIMLEGKQIELSKHLKELSHRNDQAINDIRKKYESEKVEIINAEKEKAEKLTREMQNKCNEKILENKRESERCLMHLKEEHVAVVTRIQQDNEHKESTLRAYHKEELQRVHSQAENELRERLSSLRKEHEAQINSLNIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVSSKKRRDPYVRKESQIKLAGPETKRKDVSLSGVIQSPISNMLRKVEKGTQDVSNHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLRNTAEKDVTKTRKVVAGSRPHPANIGELFSEGSLNPYAEDPYAFG* >Brasy9G161000.3.p pacid=40060600 transcript=Brasy9G161000.3 locus=Brasy9G161000 ID=Brasy9G161000.3.v1.1 annot-version=v1.1 MQKLGLVGLRSLEGFRSLAGPTSMAAKATNPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEAKLQQAVNENVKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLASQAERAEEDKKFFEEMLGKNSKALDELNCLLHDSSIKLERAEENIMSGKEEMLRIKQEKEEMDRSYKEQLDANGTTIKGKDSLIKQLGGSVEENKARSLCAESRLQCVEQELKLKQDVCICLKENLASVEREKNDLELRNRAYCLEVERLRKDNKDGNELLSSFVAKVTELDKEHASMSSHVSRLFSSFEKYCGMVQEEKVLSTRSAKDKFEHLQNQFVDLTSENSILKTEIGELKSRITELLKTQEIVMVQHVEECQAAEDKIRRLESEAEISASNVSHLEKLSSELEGRVQKLLEDSSLAQNHKEELLQKILKLESDNQELVGRVQSILDEKSNDTESLQGEITKRDQQVDTLENQISELRATLDEKEQLYVCSVEREKGLEEQKLQVQASLAATECQLTEAKKQYDIMLEGKQIELSKHLKELSHRNDQAINDIRKKYESEKVEIINAEKEKAEKLTREMQNKCNEKILENKRESERCLMHLKEEHVAVVTRIQQDNEHKESTLRAYHKEELQRVHSQAENELRERLSSLRKEHEAQINSLNIQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVSSKKRRDPYVRKESQIKLAGPETKRKDVSLSGVIQSPISNMLRKVEKGTQDVSNHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLRNTAEKDVTKTRKVVAGSRPHPANIGELFSEGSLNPYAEDPYAFG* >Brasy9G166300.1.p pacid=40060601 transcript=Brasy9G166300.1 locus=Brasy9G166300 ID=Brasy9G166300.1.v1.1 annot-version=v1.1 MAAAIAVALIRRSTSSPHRRILLPLLSHLHRAAPQPPSPWRPPYNRFLSSDATDDQDRKPPPLDSKQLWHELSTSEPATSSSRLPKATWDDVVSLIRRFSKDPAIADQALALYIPASAFPAYAKRFIHFMPPRLSRESAERLLSLPAEDAHALLLPSFAEYCVTHLADELRKHKSVMSAADLTAPQTWYPFARAMRRRVVYHCGPTNSGKTHNALARFSTAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEIKEVPFSNHVACTIEMLSTEELYEVAVVDEIQMMADSVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKVCADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLTKYNGDKMVPVAASQVKQIAGRAGRRGSVYPDGLTTTFLSDDLDYLIQCLQKPFEEAQKVGLFPCFEQVEMFASQFPDLTFTDLLNKFRENCRIDNTYFMCQQDSIKKVANMLERVQGLSLKDRYNFCFAPVNTRDPKAMYHLLRFATHYSQSRRATIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMAVNIADLLGKSLAKVRWKPESRQQRKQRPEEEEENDSNVGHASDDDANNVSKDGYERPRSLSKTFARLTFSKQGQKCKWGAMIPLHNWLGCLASLDRVVLLLITVITESTEQTSCRFSRSSNLMGFLDFIGYGIDNCVICEVSWHARPENICSIRVRTENLILVHP* >Brasy9G166300.2.p pacid=40060602 transcript=Brasy9G166300.2 locus=Brasy9G166300 ID=Brasy9G166300.2.v1.1 annot-version=v1.1 MAAAIAVALIRRSTSSPHRRILLPLLSHLHRAAPQPPSPWRPPYNRFLSSDATDDQDRKPPPLDSKQLWHELSTSEPATSSSRLPKATWDDVVSLIRRFSKDPAIADQALALYIPASAFPAYAKRFIHFMPPRLSRESAERLLSLPAEDAHALLLPSFAEYCVTHLADELRKHKSVMSAADLTAPQTWYPFARAMRRRVVYHCGPTNSGKTHNALARFSTAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEIKEVPFSNHVACTIEMLSTEELYEVAVVDEIQMMADSVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKVCADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLTKYNGDKMVPVAASQVKQIAGRAGRRGSVYPDGLTTTFLSDDLDYLIQCLQKPFEEAQKVGLFPCFEQVEMFASQFPDLTFTDLLNKFRENCRIDNTYFMCQQDSIKKVANMLERVQGLSLKDRYNFCFAPVNTRDPKAMYHLLRFATHYSQSRRATIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMAVNIADLLGKSLAKVRWKPESRQQRKQRPEEEEENDSNVGHASDDDANNVSKDGYERPRSLSKTFARKRQDRSSQKNSSLDFVA* >Brasy9G248100.1.p pacid=40060603 transcript=Brasy9G248100.1 locus=Brasy9G248100 ID=Brasy9G248100.1.v1.1 annot-version=v1.1 MVDVRSQYNLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLLRRADVEDIRREVTILQHLSGQPNIAEFRGAFEDGESVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDVLTVVHVCHFMGVMHRDLKPENFLLASPAEEAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGREIDVWSAGVILYILLCGSPPFWAETEKGIFDAIQVGQLDFSSSPWPTISENAKDLIRQMLNRDPQKRITAVQALEHPWLKEGGASDRPIDSAVLLRMKQFKAMNKLKQLALKVMAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKIGLTKLGSKISEAEVQKLLEAVDVDKSGSIDYTEFLTAMMNKHKMEKEEDLIRAFQHFDKDNSGYISREELKQAMTEYGIGDEANIKEVLDEVDKDKDGRIDYEEFVEMMRKGIYT* >Brasy9G248100.2.p pacid=40060604 transcript=Brasy9G248100.2 locus=Brasy9G248100 ID=Brasy9G248100.2.v1.1 annot-version=v1.1 MVDVRSQYNLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLLRRADVEDIRREVTILQHLSGQPNIAEFRGAFEDGESVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDVLTVVHVCHFMGVMHRDLKPENFLLASPAEEAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGREIDVWSAGVILYILLCGSPPFWAETEKGIFDAIQVGQLDFSSSPWPTISENAKDLIRQMLNRDPQKRITAVQALEHPWLKEGGASDRPIDSAVLLRMKQFKAMNKLKQLALKVMAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKIGLTKLGSKISEAEVQKLLEAVHIKRGA* >Brasy9G339400.1.p pacid=40060605 transcript=Brasy9G339400.1 locus=Brasy9G339400 ID=Brasy9G339400.1.v1.1 annot-version=v1.1 GFVCLSSLKREPRNPNPRPKRPLLFRDRRDAKIGTETPAAAAAASGEGDAGRKRPSGDDAPADSKRRRAEAPSSGSRDRNHHHRHHHQKPREGDGGKEKKEKLRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREQIAAGELKFEAAATDNSDCNSAKRGGDLGPFERGKMQKAFEKAVVALKVGEMSDVVDTDSGVHIILRTG* >Brasy9G285600.1.p pacid=40060606 transcript=Brasy9G285600.1 locus=Brasy9G285600 ID=Brasy9G285600.1.v1.1 annot-version=v1.1 MGGSKFGSFKSENKGDSAAVGAVAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASEKFQEATFSYNILSDPDKRRQYDTSGFEAIEADSHELELDLSSLNTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSIEISQLHLGKSLCRKVEKQSAHFYSVDITDEEAKLGLVCRVRSTAKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEQNHSAAAAKDPDSAFFKRLDGFQPCEVNELKAGTHYFAVYGDNFFKSATYTLEVVCAKPFTDEKEKLRNVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLNERNAIHASYTNSPSLQRSSSGGKGKTSPKGSKSDVDQVVKKKKKSNGRSLEASVSDEEGPKKEKKPKERLRRKKWFNIHLKVDKRRPC* >Brasy9G120000.1.p pacid=40060607 transcript=Brasy9G120000.1 locus=Brasy9G120000 ID=Brasy9G120000.1.v1.1 annot-version=v1.1 MAVRASLGCFPSDPVLHSSCGLPWGVAVTPFSSTDERGSSPATGDEGHLLPRCSSCFAYFNILCPLHRWSWTCPICSEDNDLPAAAAARYARDGSHDPPELRSAFVDLLLPEEGEAAAVTTPVYVAAIDLSSSEEFLELVKSALLAALEALSPGSLFGILTFSSKIGLYDVQGPIPIVKNVFIPPDSDGTLFVDLKDVMPFQSFLAPVDTCKDRIAEALETIKPISSWEMATNTSQVEDHALHHTRGFGVAMDALVNYLSVEYGTTFELARIFVFLSGPPNYGAGRLDRRSNEDHSTRKVVDSDHTLLPEQTRFYTNLAASAVQAGVCVDLFAITNEYTDLTSLKVLSVESGGSLFLYSNTDESTLPQDIYKMLTCPYAFGCVLRLRTSSEFKITDSYGHFFPDPQYMHVQHINCCDSFASYTYDFEFEKDSQFGRKSRPPVLQIAFKYTLIVNHGDTSDDASNSGSRSKFSLQRRLRVRTIQYNTTANIWDLYDFADPDVVLTILVHQVIVASLSDVVEARLWLHDWLAFFIAQYNKAYKIVRSANTGVSDIDVDFSNCSQLQPLARLVFAFLVSPLLEVRDQNIRPDYQTYLQCLFSALEPASLRQAICPTMISYISPDIEAEVHQSLSRSVFTSERPIFLLDAYTDLLVYYLPTASTLIPFPPPRDCLLRSTINRLKQERNLTPRLVFIHGAHDDTTIFEKYLIEDETLDGTLVGSSAGFRSFLEEVRSRVAEFGI* >Brasy9G090900.1.p pacid=40060608 transcript=Brasy9G090900.1 locus=Brasy9G090900 ID=Brasy9G090900.1.v1.1 annot-version=v1.1 MTQPNLTYTPLVSATHKNCVTITSMSSSSRSRHSSSKPFLVSTNASRAAAFPLTTTNNTVLQEASHNNNNNNNMGDAAIAVMDPEEEQEEVEHIFRSRFPPVPVPDGVTVPDFVMAGAEAYADKVALVEAAPGGRSYTYGEMARDIARFARALRSVGIRKGHVVVVVLPNLAVYPVVSLGVMAAGAVFSGVNPRALAAEIRKQVEDSEAKLVVANEVAYDKVKDVGVPVIGIGNNNMPGAIRWDELLAAADRTGAPVVPLDPVLQSDLCALPYSSGTTGVSKGVMLSHGNLVSNLCSSMFAVGPELRGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLGALVTHRVMFAPVVPPVMLAMVKNPIADEFDLSGLALKSVMTAAAPLAPDLLEAFQKKFPGVQVEEAYGLTEHSCVTLTHAGDDPEKGHIAKKNSVGFILPNLEVKFVDPDTGRSLPKNTPGELCVRSQCVMQGYYKKKAETERTIDSKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEVPVSCVVRRSGAAESEADIMGYVASRVASYKRLRMLHLVDAIPKSVSGKILRRQLRDEFIKMIKLAA* >Brasy9G337400.1.p pacid=40060609 transcript=Brasy9G337400.1 locus=Brasy9G337400 ID=Brasy9G337400.1.v1.1 annot-version=v1.1 MAFSSFTWPFRRRSSGTGGPAGPSKPPAAAGKSKEEEEEEAHGVTPQLLDFLRTLSPDAFKSSALQLQGASAEAAAAAAAELTDWQQRHAVLVLAKAKELAKIRYDLCPRHMKDKQFWRVYFLLAKSYILPYELRAIQKEKVRRMEAENGKSKDVITVEVEMQESKCSRESQMLPVDSEFQNS* >Brasy9G254000.1.p pacid=40060610 transcript=Brasy9G254000.1 locus=Brasy9G254000 ID=Brasy9G254000.1.v1.1 annot-version=v1.1 MRKRKRPHFRHSPEQIQELQALFDKCPHPDEMQRAELSRRLFLDPSQVKFWFQNRRTQNKAKLVRDENVQLRQENDRLRAENLSIREAMRHPVCGDCGRPVVLGVLSLEEQHLRAQNARLTEELSRVCAAASEFVGKSISLPAPLQTHQPEPMPGSPVEPAVGGVGSVPSTTEASSMITEFTGTASTSIGTAIMTMREEPLEIAGIDKSVLLELAKSAMDELVKMAQMEDPLWTPSVYLSDSPAKETLNYEEYLNTFSPCIGVKPAGFQSEASRESGIVISDNSVALVEVLMDERRWSNIFSCMLAKSSTIAEISTGVTGSRDGALLLIQAELQVLSPLVPIRKVTFLRFCKQLGEGAWAVVDVSIDGLVVDQGLAAASTTANMNCRRLPSGCLVQQDTRNGFCKVKWVEHAEYDESSVHPLYRPLLRSGLAFGAGRWLATLQRQCKCWATLQSCVAAREQYSSDVLAAGTQSLLKLSQRMMESFFSGVSASSALEWSKLDGFTDNIGNDVRVIIERKSVDEPGVPPGVVLCAATSVWMLVTPERLFQFLCDEGTRAEWDILSTGGPMQEVTNIAKGQQDGNTVSLLRTNTTNTQQNGILILQETCTDASGSMVVYAPVDIPAMHLVMSGGDSASVPLLPSGFVILPDGPTIPGDGHKTCGSLLTFAFQILVKNSEPTAKLTVESIQTVNNLISCTINRIKTALHCDV* >Brasy9G144300.1.p pacid=40060611 transcript=Brasy9G144300.1 locus=Brasy9G144300 ID=Brasy9G144300.1.v1.1 annot-version=v1.1 MLPGPPGTACGPLRIVREGTKLPFFCSLLPLGISDGWRRLPRRRRQRHPRGHATLLLVGKVGNGKSATGNSILGRDAFASKRSFRSVTLGFQKESATLDDGRVVNVIDTPGLVNTGGAAEDVHGEDIIQCMSMAKDGIHAVLVVFSAASRFSEEDVAAIQSTHELFGERLIMAFTHGDEVEEDEFKDMLNDAPEYIREMVRLCKDRVVLFDNRTKDSQIQAGKIENTKKQGSVKIVSCGYAVQRRMLRPKLVTSYRLPLMELTACGAL* >Brasy9G273300.1.p pacid=40060612 transcript=Brasy9G273300.1 locus=Brasy9G273300 ID=Brasy9G273300.1.v1.1 annot-version=v1.1 MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQGKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTSSVLCLTTVKNEDKLDFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMT* >Brasy9G279400.1.p pacid=40060613 transcript=Brasy9G279400.1 locus=Brasy9G279400 ID=Brasy9G279400.1.v1.1 annot-version=v1.1 MWMRRRNLCRRGGRTRRKTGEEDDRKETRMWYDASQTGRGRSSRAGFGRCYTYTSVECCTTRPASSHKPIVIAQIKKMACVAVLRFLVLVLAWTLAAFAVSGEKDCYDDRDQIMDLMDVCYESIKKEGRYVLPNVTCRREARKVDMPCICRVLTAADERVISAEKLVRCARDSGVNLPFGTKCGSHTIVVPPPPPPFPRA* >Brasy9G123900.1.p pacid=40060614 transcript=Brasy9G123900.1 locus=Brasy9G123900 ID=Brasy9G123900.1.v1.1 annot-version=v1.1 MAGDRRGGSSPTAERRRGIRRLLLPRGEASSSSPTPLPPPAAAEVGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRGKDRRKERGGGGGGAGLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMLGRSRGGEAERPHMHRERPCLSRRSAMQEQVSSSFMESPPPPHLDGPFFGAELLPSARLRRMRGYRPSPGGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGERIGHVNTGLREDEIVRNLRKVKHPALDSSFRFPTEVEKKCSICQEEFETNDEMGRLHCGHSYHVYCIKKWLSQKNVCPVCKTAVTKT* >Brasy9G213000.1.p pacid=40060615 transcript=Brasy9G213000.1 locus=Brasy9G213000 ID=Brasy9G213000.1.v1.1 annot-version=v1.1 MKLRVKGFGLLLLLVLLALCSTIDVCDARRGQHWRPRSSPGSSLFKRKGKPKKGSSHRQHGVNRPSPKQPVTPPPGPGVGKGYQTPYQPSPSPNPSPISPVSPSPKPSPANGNAHSSPKPPSPSCGKGNQPPAQPPAAASQGAVFNVVDFGAKGDGVSDDTKAFQAAWAAACKQGSSTVLVPSELEFLVGPISFSGPYCKPNILFQLEGTILAPTNAKAWGSGLLQWLEFAKLNGLSIQGSGVINGRGQQWWTYSDPNDDEDDDTQYNQELERMPHVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVLVRDLTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNIYIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGIGLVQDIRFSNIQVSEVQTPIMIDQFYCDKRTCTNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYHLNNPFCWQAFGELYTPTIPPVACLQIGKPAGNNLQSYTDIC* >Brasy9G213000.2.p pacid=40060616 transcript=Brasy9G213000.2 locus=Brasy9G213000 ID=Brasy9G213000.2.v1.1 annot-version=v1.1 MKLRVKGFGLLLLLVLLALCSTIDVCDARRGQHWRPRSSPGSSLFKRKGKPKKGSSHRQHGVNRPSPKQPVTPPPGPGVGKGYQTPYQPSPSPNPSPISPVSPSPKPSPANGNAHSSPKPPSPSCGKGNQPPAQPPAAASQGAVFNVVDFGAKGDGVSDDTKAFQAAWAAACKQGSSTVLVPSELEFLVGPISFSGPYCKPNILFQLEGTILAPTNAKAWGSGLLQWLEFAKLNGLSIQGSGVINGRGQQWWTYSDPNDDEDDDTYNQELERMPHVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDNCQGVLVRDLTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNIYIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGIGLVQDIRFSNIQVSEVQTPIMIDQFYCDKRTCTNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYHLNNPFCWQAFGELYTPTIPPVACLQIGKPAGNNLQSYTDIC* >Brasy9G012100.1.p pacid=40060617 transcript=Brasy9G012100.1 locus=Brasy9G012100 ID=Brasy9G012100.1.v1.1 annot-version=v1.1 MLLNYALLAPRSLTAMAHRALLFFLLLLVVATAAAGSEEEAVVHAAETATTVPVEELAGLLGRLEEVASSLAASARALESALAARSAAEPEPQPQEMAAAGAALGAGAGDWRAPLPQAQGVAVTKRRPVWSERFHFAAAVRLGAGAYAAAAAALPYEDADGLTKYFAVGDSRGRVFVFSASGDALLELEAAGAEGESPVTSLLAYLSPRRTDCLLFSGHADGSIAAHRLTESSPHGDDWLTLAVASSRILVRGLDGSPVLHLEAHHAGRSRYVLSCDAGRRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMTVRETPCEGLAEALNGSRPKAYSFDPSERFKAYGFTDAGDLVHLLLLGDVASLKCRVRAVKKAEADSPVAIQTIKGYLLVASQDKIMVYNTSSQYYGRVGAPQPLFTTTINEIKSVFAGPGAVMSALPPGKPLIVADREKLVILGLGDGYIAIYRSNFPVYRPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFSTSATAPTGSILNHPASDRAFADSTTRAADRGYVDGTARGSDRSYVDSTARSTDRGYAEAARAVDLRGGALRSAPRRYVSPTRYAGPAGIPYRPVSAEPGLRATPELKYRGPGMEPPGFPKKRDMFSNNQAVVDDHVE* >Brasy9G085900.1.p pacid=40060618 transcript=Brasy9G085900.1 locus=Brasy9G085900 ID=Brasy9G085900.1.v1.1 annot-version=v1.1 MDLRTAFSCALLLATVLFPLSAHASSKLYIVYMGDKKHDDPTMVTASHHDMLTSVLGSKDEALQSIVYSYKHGFSGFAATLTKSQAEAIAKFPEVISVKPNTYHQAHTTRSWDFLDLDYSQKPQQPASLLQKANYGEDTIIGVIDSGIWPESPSFDDAGYGPVPARWKGTCQTGQEFNATGCNRKIIGARWFTGGLSDEALKGDYMSPRDFGGHGTHVASTIAGSPVRGASYYGGGLAAGVARGGAPRARLAIYKVLWGRAGGSDAAILAAIDHAINDGVDVLSLSLGSAGSEIVGSLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTVDRAFPTLMTLGNDEKLVGQSLHHTASSISNDFKALVYAGSCDALSLSSSNVTGKIVLCYAPAKAAIVPPGLALSPAINRTVEAGAKGLILAQYASEGLDTLAACDGIMPCVLVDFEIAQRILSYRDTTENPVVKVSRTVNVVGNGVLSPRVASFSSRGPSPAFPDILKPDIAAPGVSILAAERSAYVFKSGTSMACPHVSAVTALLKSVHRDWSPAMIKSAIITTASVTDRFGMPIQAEGVPRKLADPFDFGGGHIDPIRAVDPGLVYDVDARDYNKFFNCTLGLLEGCESYTRNLNLPSIAMPNLKEKVMVRRTVTNVGPSEATYRATVEAPAGVVVSVEPSLIRFTRGGSRSATFTVTFTAKQRVQGGYTFGGLTWSDGNTHSVRIPVAVRTVIQDFVADTS* >Brasy9G195900.1.p pacid=40060619 transcript=Brasy9G195900.1 locus=Brasy9G195900 ID=Brasy9G195900.1.v1.1 annot-version=v1.1 MSSSGSAMSGGRRWGRGTVGERRSPVPYGEDPMEYEPAKYCLCGVKAPRWISWSSLNPGRRYYACVDALIGGCGYMEWHDVWAARTQVNVEPMEAQRSRNMEMR* >Brasy9G272300.1.p pacid=40060620 transcript=Brasy9G272300.1 locus=Brasy9G272300 ID=Brasy9G272300.1.v1.1 annot-version=v1.1 MVRLGAMSAHPAAAVLDLGILGLGSPGLLVFLALVATVAVAAVAAFGCAKGAKKPRRNNNDVYYYGQGYPPPPPPAGAYGYPAQPQAGYGYQPPPNGGKQGRGGMGAGAGLALGGAAGLATGVIVGSALSGGGGGCGGGCGGGCGGGCGG* >Brasy9G227500.1.p pacid=40060621 transcript=Brasy9G227500.1 locus=Brasy9G227500 ID=Brasy9G227500.1.v1.1 annot-version=v1.1 MPERAVDPAGSNYYNELSQFMDDLISQEAPVFEQDAGEQWGDETQEGQKGTAYWKRIYDYLHKHRLLPPYSFMSDRGEVSLQKRWGLVQSECNKFAGAQDHFKARPVSGVGVDDMAYQTLKYFKVMYKKPFTLIHCWRILKEAPKWQDLYLATKKSHGEGKKRDCSVIDLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKLQLKEKQMKEFIDVQKRKLAIEEANAATTRTAAAAALLAEETRIMTADLSLMDEATRAWFLAKRKMIQERDAPAPSEE* >Brasy9G327200.1.p pacid=40060622 transcript=Brasy9G327200.1 locus=Brasy9G327200 ID=Brasy9G327200.1.v1.1 annot-version=v1.1 MAIVAALVAPTANPRNPLKLGAGAQHRHWRVAAASSSSSPAAAASGVDLQALRSAIDKKDSNEAKRTLDELKELGWAKRWSSQPYMSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLTGSISLIVLAVGSVSPGLLQVAIGSFSAVFPDYQERIARHEAAHFLVAYLTGLPILGYSLDIGKEHVNLVDEQLQKLIYSGQLDQKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFLNRTKPPLSKDQQQNLTRWAVLIAASLLKNNKAAHDALVSAMSEKASVLGCIEAIENAS* >Brasy9G327200.2.p pacid=40060623 transcript=Brasy9G327200.2 locus=Brasy9G327200 ID=Brasy9G327200.2.v1.1 annot-version=v1.1 MSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLTGSISLIVLAVGSVSPGLLQVAIGSFSAVFPDYQERIARHEAAHFLVAYLTGLPILGYSLDIGKEHVNLVDEQLQKLIYSGQLDQKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFLNRTKPPLSKDQQQNLTRWAVLIAASLLKNNKAAHDALVSAMSEKASVLGCIEAIENAS* >Brasy9G199300.1.p pacid=40060624 transcript=Brasy9G199300.1 locus=Brasy9G199300 ID=Brasy9G199300.1.v1.1 annot-version=v1.1 MVIFICHNLSVQAGVWHMESERYLFMWWYLLLLKMVVDDPVGVTGQAGRPGRDRPVNAVRHP* >Brasy9G053500.1.p pacid=40060625 transcript=Brasy9G053500.1 locus=Brasy9G053500 ID=Brasy9G053500.1.v1.1 annot-version=v1.1 MSRRFSGMATDLFGIHRIISALLEKERIAIIRGLESKGSVQKLAEILLKASGQKLSSDILLEPMDKYSKHNSKESIRRTIMEHDEVFRQQVHELHRLYSVQKSLMAQLGGVKHSFQFRAEENQELVQSHRPNLKNSPCTSEASQCVRLGNAQYSAPQQVPERPFVQECKPASCLNLFTEETSRNQEGRSARGKSVEGESWSASMESDLDLKLSIAPSSHVTKAPHWLFSGRRERKSSGQHR* >Brasy9G343600.1.p pacid=40060626 transcript=Brasy9G343600.1 locus=Brasy9G343600 ID=Brasy9G343600.1.v1.1 annot-version=v1.1 MKKVVMKLDLQDEKQKQKALKAVSSLQGIDQIAVDKDNKMTVIGTADPVDVVTKLRKLFPAAHMVSVGPWPEKKDGDKKDGDKKDGDKKDGDKKDGDKKPAQVYYPYMYPPYSYPQHHPQYFVRSAEDDPNSCVIC* >Brasy9G193600.1.p pacid=40060627 transcript=Brasy9G193600.1 locus=Brasy9G193600 ID=Brasy9G193600.1.v1.1 annot-version=v1.1 MQQRHAKNIHKLAKDVDWWSLLGLRELQHLPTNSLYKHMTFAGAIITKIQDLCKSKLPLESECSLMPSLQGKFQTGLLARG* >Brasy9G346100.1.p pacid=40060628 transcript=Brasy9G346100.1 locus=Brasy9G346100 ID=Brasy9G346100.1.v1.1 annot-version=v1.1 MPGVFALVKFRKWMVPVTPIWQFLNAQGFPSPDLGFLPAGSILQSCFGSISLCPFSGGTFRLGQIASCREFRLVRVLIAYARSGKVHGSYARHLLWLNSAKGRFLSSEFSILKCLCTRNSERFVLRQFAKLWNTCLLTEISLAGLGTKQILQIRDLHGTCDGANAAENCRNRVEKFRSGMPSNEVKEGNRNLLQWGTRLIEQVAREAFEASNGWNPLRRRLGA* >Brasy9G085100.1.p pacid=40060629 transcript=Brasy9G085100.1 locus=Brasy9G085100 ID=Brasy9G085100.1.v1.1 annot-version=v1.1 MTFADRLGPRDAIPLVLDWAIRNGSCPPASSDSDKESVPYGACISTHNKCVNASSGALGYFCNCSRGYAGNPYIIDGCTNINECESKDLFPCSGGTCQDEIGDYKCICHFGRRGDGKSPNGCEPILPTAAVAVIGTISAMALLAVLLIFLHMNREKRKLRDHFNKNGGQLLKSIQIDIFTQEKLKHITENYRSFIGKGAFGNVYKGTNENNTHVAVKRSIPINMDRQKDFFVNEITIQSKMSHRNLVQLLGCCLETEVPMLVYEFVPRGSLHDVLHDKRDPLSLETRIDIAINSADALAYMHSQASQKILHGDVKSGNILLDDEFMPKVSDFGTSRLMSIDKDHTNWIIGDNSYIDPVFMKTGLLTEKSDVYSFGIVLLELITRKKARYDKNNSLPINYMKASTNGTTKEMYDADIIASGAEEDMKCLEEVGMVAVHCLAEDVNDRPTMNEVADELKMCKSRWLVSHDQASELCT* >Brasy9G064300.1.p pacid=40060630 transcript=Brasy9G064300.1 locus=Brasy9G064300 ID=Brasy9G064300.1.v1.1 annot-version=v1.1 MLHPGQIFAIGASIMIAVAAMLAIASRCLKSGGGGGGGDLEGGGGGGGGGGGGGEDGPDGGDDGGYGGGDGGGGGGDGGGGGGDGGGGYGGDGGGGGGGGGYGGGDGGGGGGGGFGGGGGGDGGGGGGWGGGGGGGAGAF* >Brasy9G304400.1.p pacid=40060631 transcript=Brasy9G304400.1 locus=Brasy9G304400 ID=Brasy9G304400.1.v1.1 annot-version=v1.1 MAALRCYPYGATIIPRKICSRTLVRASMDSCSSSESKQASSSVSFACKVNKVYEDKNMGILCYTDESGELVCEGLDEGPRLTWQDMENLSKERTKKINRGGRGGSRGGIDWSNLQTAAGMGKR* >Brasy9G243400.1.p pacid=40060632 transcript=Brasy9G243400.1 locus=Brasy9G243400 ID=Brasy9G243400.1.v1.1 annot-version=v1.1 MERQDEVYTSSARILAAGRAAPVAEPPSCSRRRPLNRTARRDGRDGSWNHTFRAQRGGRGGGARHRGGGGRSDFGVDAARGCADFRRRLEPAARTAADRRLGDESGGGWETNPRRTKVAAGGRIRGDEERYSSPGGISAGNGRRPAARERQKEDVAAPWPERSVREPTRQGRRHHETAGRRRRLSRRRWRHHGFMGERRRGKDSWDGGGFGLGWNPSCHWRRRGGIARGSGKKRRPNR* >Brasy9G157900.1.p pacid=40060633 transcript=Brasy9G157900.1 locus=Brasy9G157900 ID=Brasy9G157900.1.v1.1 annot-version=v1.1 MAPPPVPPAFASRLPSQSSPRNPNRNLNSVLQDILLDLEDIFLKAVYNGDLRLVKKMVRALDDGEGRIAQKMGAVTHSSFGIGVLHFAALGGSLPMCRYLVEDLRMDVDDVCPAGETPLTFAIGFENVDVVRYLLDHGAHIEKLNEDGLTPLYVAAATGQCEIVEVLLSKGAHIDALSTGETALHAAAHGRHDNVVKILLDHCADHNKINFGDCTPLVCAIYARSLKCVKLLLEAGADVNCIGFETPLIVAAGIGLTDILKCLVLAGADPNVHDSFGRTPVEFAACYSTRKDVEILFPVTSRIPSVGDWSVDGIISYAKPVLPSKEVMLAVTKSEAREAYTNTDFLAAVEKYTKLDEGQKALMDAEACRRMRPDWPKACHLQGIALMLLKDYENACSALLDGLKLDPWNDHIEDDLRQAMEFLQTRHILKRSKVKDI* >Brasy9G176500.1.p pacid=40060634 transcript=Brasy9G176500.1 locus=Brasy9G176500 ID=Brasy9G176500.1.v1.1 annot-version=v1.1 MREHKEAKRAALSDLSGGGFFIRRVSSPGALAGRGAGKPLPRRFLSPSSNKENVPPVWAVRAKMPRRRSPLPGWHPRTPLRDITVIVKAIERSRLKIAAAQQQSQRPEQSPQPGNLTTPVPAEQDIHHRAEAQDSLAAVSGSGLTQIVASPAPSMADHSFEVYSSPSKSSMKTPSKPVDPALADLMEKKLSSSIEQIEKMVKKNLKQTTKAAQPSKRANQRRTLMSMR* >Brasy9G176500.2.p pacid=40060635 transcript=Brasy9G176500.2 locus=Brasy9G176500 ID=Brasy9G176500.2.v1.1 annot-version=v1.1 MREHKEAKRAALSDLSGGGFFIRRVSSPGALAGRGAGKPLPRRFLSPSSNKENVPPVWAVRAKMPRRRSPLPGWHPRTPLRDITVIVKAIERSRLKIAAAQQQSQRPEQSPQPGNLTTPVPAEQDIHHRAEAQDSLAAVSGSGLTQIVASPAPSMADHSFEVYSSPSKSSMKTPSKPVDPALADLMEKKLSSSIEQIEKMVKKNLKQTTKAAQPSKRANQRRTLMSMR* >Brasy9G027200.1.p pacid=40060636 transcript=Brasy9G027200.1 locus=Brasy9G027200 ID=Brasy9G027200.1.v1.1 annot-version=v1.1 MGSSGGGAAARMDPEAATELVRKGGTLLLLDVPQHTVFGIDTQVFSVGPKFKGIKMVPPGPHFVYYCSPSRHGNEFAPTVGFFLTTHTSQVIVRKWHAQEERLVKLSEEEEIRYSEAVKRFEFDDQLGPYNLDFFGDWKQLSSYLSQSVIERIEPIGGEITIACETSWMDRAPQTDMEKRLMEQLREDKFAQNVSIQSERRGCYYTTIPASVKHKDISADELTLLNLDRTSLLESVLAKNYQGQEDLLLGELQFSFIAFMMGQSLQAFMQWKALISLLLSCSEAPLHTRTNMFVKFIRVIYYQFKHGFQRTHDSRSGEDKSNSLFLDEAWFSRDIFLYRLSKDFLSVIHEAPVVDGDLLSWARELKTLLETTFGWDLENNAANLIDEDDEFAPVVVEMDGS* >Brasy9G027200.2.p pacid=40060637 transcript=Brasy9G027200.2 locus=Brasy9G027200 ID=Brasy9G027200.2.v1.1 annot-version=v1.1 MGSSGGGAAARMDPEAATELVRKGGTLLLLDVPQHTVFGIDTQVFSVGPKFKGIKMVPPGPHFVYYCSPSRHGNEFAPTVGFFLTTHTSQVIVRKWHAQEERLVKLSEEEEIRYSEAVKRFEFDDQLGPYNLDFFGDWKQLSSYLSQSVIERIEPIGGEITIACETSWMDRAPQTDMEKRLMEQLREDKFAQNVSIQSERRGCYYTTIPASVKHKDISADELTLLNLDRTSLLESVLAKNYQGQEDLLLGELQFSFIAFMMGQSLQAFMQWKALISLLLSCSEAPLHTRTNMFVKFIRVIYYQFKHGFQRTHDSRSGEDKSNSLFLDEAWFSRDIFLYRLSKDFLSVIHEAPVVDGDLLSWARELKTLLETTFGWDLENNAANLIDEDDEFAPVVVEMDGS* >Brasy9G027200.3.p pacid=40060638 transcript=Brasy9G027200.3 locus=Brasy9G027200 ID=Brasy9G027200.3.v1.1 annot-version=v1.1 MGSSGGGAAARMDPEAATELVRKGGTLLLLDVPQHTVFGIDTQVFSVGPKFKGIKMVPPGPHFVYYCSPSRHGNEFAPTVGFFLTTHTSQVIVRKWHAQEERLVKLSEEEEIRYSEAVKRFEFDDQLGPYNLDFFGDWKQLSSYLSQSVIERIEPIGGEITIACETSWMDRAPQTDMEKRLMEQLREDKFAQNVSIQSERRGCYYTTIPASVKHKDISADELTLLNLDRTSLLESVLAKNYQGQEDLLLGELQFSFIAFMMGQSLQAFMQWKALISLLLSCSEAPLHTRTNMFVKFIRVIYYQFKHGFQRTHDSRSGEDKSNSLFLDEAWFSRDIFLYRLSKDFLSVIHEAPVVDGDLLSWARELKTLLETTFGWDLENNAANLIDEDDEFAPVVVEMDGS* >Brasy9G049200.1.p pacid=40060639 transcript=Brasy9G049200.1 locus=Brasy9G049200 ID=Brasy9G049200.1.v1.1 annot-version=v1.1 MAQHDGKPYQPRRGPERPPPAPQPADDAALGADAVDHLASVAAEAEAMNRYEEEHEQQEMEEEDEEEEEVEEEEMDEDDDDEGEGDGQHEQLDGGGNGESVPMDADAAAAAAAAAGVPLDPHGAMVAATAANASTNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGAGSSSSTPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEATSELATPDGSPNWGSVEGRPLSAAECHHCGINAKNTPMMRRGPDGPRTLCNACGLMWANKGMLRDLAKSTPAPHQVMVSAPNDSNGNAVVAPAAEQENPTAVITNGHESST* >Brasy9G049200.2.p pacid=40060640 transcript=Brasy9G049200.2 locus=Brasy9G049200 ID=Brasy9G049200.2.v1.1 annot-version=v1.1 MAQHDGKPYQPRRGPERPPPAPQPADDAALGADAVDHLASVAAEAEAMNRYEEEHEQQEMEEEDEEEEEVEEEEMDEDDDDEGEGDGQHEQLDGGGNGESVPMDADAAAAAAAAAGVPLDPHGAMVAATAANASTNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGAGSSSSTPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEATSELATPDGSPNWGSVEGRPLSAAECHHCGINAKNTPMMRRGPDGPRTLCNACGLMWANKLKKFNLDQHEVRCKVCMFGFI* >Brasy9G264300.1.p pacid=40060641 transcript=Brasy9G264300.1 locus=Brasy9G264300 ID=Brasy9G264300.1.v1.1 annot-version=v1.1 MAPVSFHVDALLPGSIAPKLDSILQAHVYPQVAGVLRAVARFKALLLNNCKRTAAGPGRKKNKRTMKIAGFVVKLHLFGTARSARRVHLPADARCQDECSAWNAAAVWEEEEVEVCSGGNGGTEAECGYLCWLEEEQEEERPSGAGDGEGEGDGEEVVTNEIDRLAERFIARFHAKCLLEKQESYRRRLHHQTLIAGTAI* >Brasy9G234500.1.p pacid=40060642 transcript=Brasy9G234500.1 locus=Brasy9G234500 ID=Brasy9G234500.1.v1.1 annot-version=v1.1 MVKEGPCRHCGVTSTPLWRNGPADKPVLCNACGSRWRTKGSLENYTPMHSRDDIDVEEPRFSKLKPPMSRLKEQRQLKKRPSHIIKKNEPFSDQNFRKMGDADPSRSSSGSAVSYSESCAPYGSADASEMTGSAQSHAWESLVPSRKRSCVTRSKPSQVEKLVKDLNSIMHEEQFYCLSGSSEEDLLYHSETAVGSFEIGYGSVLLRHPNSKSVEGDSEANSVPADNKSYITSESLSYSGTASFVVHGESKGASNSNALSEKPKWFPVQIHDNARRDKLHYSKQHTLENVDSALVSVALEVKDSKEIGEKENISAVKCLVKPAMKHLKRPHESQLQSFQEVKETTRSPKRASESGAMAPQFKGSFLPKSGALNLFMLPPDKMSMLAPQYIDDSDQDLLLEVPPNGRHPEAELLCPPSQMSSVTHISTSERVADGDVRLRQP* >Brasy9G276300.1.p pacid=40060643 transcript=Brasy9G276300.1 locus=Brasy9G276300 ID=Brasy9G276300.1.v1.1 annot-version=v1.1 MAMAMTMKPSSMFYVHEADVAHIHHFLEDCSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKHAAAHAVSARKEQQHRHHHHHHHHQHRQHQQPRRAAAIGGASPWTDAGFASRGPALRV* >Brasy9G357900.1.p pacid=40060644 transcript=Brasy9G357900.1 locus=Brasy9G357900 ID=Brasy9G357900.1.v1.1 annot-version=v1.1 MAGKQQPQVVPALGPEAGHRTTVSSGGGDDDDDKKEMNKGKSAQQIAQEEQEMAAEEELFDSYRRYWESTWGGSSQCGCFTDMTQVSPMHFTHLTPECIPEIAGAIASDTLQVFTVKLAEIKGGLQWPLSLYGVVAVRDSVDQNRNLLFSCGRRRSQTLDRDDPFLRLIGPSRAIVSTEPVMLEIQLVVKGRKMSQDRALIRRRHRCMGGPEPGVFTVCLENCFCKIEFCMEGVERTVQATVVGIRVKNGPWPFQYGGQVACSSPSRTPTLVDDELTYVTDDSPSVAVVLLASRGRTMPKDSAGYLCLSRHVVSVELEGSLKFFIETYTQSGDAAERGEVCFMPKAHGISQSTCFLGDAGAEVEITVAWSLLVSSKQYIAAQGSVFECSDAVERSLTRMGR* >Brasy9G318000.1.p pacid=40060645 transcript=Brasy9G318000.1 locus=Brasy9G318000 ID=Brasy9G318000.1.v1.1 annot-version=v1.1 MSTEALLANGGDRRRVSIDEALAEHAGEFGRWQLRHFVLVSAAWALEALHSMVIIFADREPPMACLAAAGASCAAADRCAVGSGTSGWEWAQGSASSTVAEWGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVACFLSAIFGLLTALSPNYWAYAALRLLTGFSTGSVGLCSFVLATEPIGPARRGAAGMSTFYFFSGGIAALAGVAALFQSSWRALYVVTSLPSLAFALAVLPFVSESPRWFLVRRRAHDALRVLRDIASANGRRFPDGVTLKLDDDVEEDENFDKKKVEEQESNSGSGSSILDVFRSRTTRSRLVLSVLINLLCSVVYYGLSLNVVNLKTNLYVSVAINSLAEMPAYLLTALLLDRFGRKPLGIGTMLLSGAFCVAGCLVPVAGHGASAMRIVRMGCGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTSQASQMGAILAPLVVVLGERVPFAVFGVSGIVGGLLVFYLPETMNKPLYDTMAGLEEGEKTVLK* >Brasy9G367200.1.p pacid=40060646 transcript=Brasy9G367200.1 locus=Brasy9G367200 ID=Brasy9G367200.1.v1.1 annot-version=v1.1 MGNIIDSVASGFTKAIADILAKPLDFLSGKTCSSACGSTWDIICYVDNFCLANLAKMAAILFLLYLVSYTYTHAVLLFFYLTYKLGICSCVCHGTCAVLRACISCSSSACKNGCALLCNKMRSVKRERQERRRRLRGSDDIEGRFYSGSSDGESEDTARHRYAARSGHSERSLSRRSGDRRKVYLEKSLRPRNHRVTVGISRRPDIVDRRVNHRHERALHHGIKVTHTSRFVHKGSGRRADYRKEWAQS* >Brasy9G327300.1.p pacid=40060647 transcript=Brasy9G327300.1 locus=Brasy9G327300 ID=Brasy9G327300.1.v1.1 annot-version=v1.1 MLLLRPLPAPALRVKPAARRPPPRRRRPPRLRASASSVGSSEEDAFTRCSGYLFEAGVANAEGELPIAYDISSIAAVYRRRPLLVLRRSLQIGASFGRWFALRYLDSVNERADDMFEIRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDQLSLLQDRIAPFSTEVAFNIIEKELEMPLDMIFSEISPEPVAAASLGQVYQARLCSNGKIVAVKVQRPGVQAAISLDIYILRFLAGVARKARKLNTDLQAVLDEWASSLFREMDYRAEARNGLKFRELYGKLRDVLVPEMYVEQTRRRVLVMEWVEGERLSEVRDQYLVEVGVYCSLSQLLDYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFITLGLLPATAQKDEVTKALTGVFESAVNKGVQNISFGDLSGNLGQTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLSSSYPWIARKVLTDSSPNLRSTLHTLLYKDGTFQIDRLESLLTESLRARTEQSLVRNPEDSDSSRFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGVATLSSVTSAAVSRFPFAPSLSPSLNNEEVTNLRNLYRLLLVLSKAPQKENSSSAPGYDSTREKGDDSTDDISLVLYEMRSLPEFLPVLSVIPELPPESQQQFLLLPADLTNRVLSRAVARTIRRMFI* >Brasy9G189000.1.p pacid=40060648 transcript=Brasy9G189000.1 locus=Brasy9G189000 ID=Brasy9G189000.1.v1.1 annot-version=v1.1 MEAEYPQINPLRLCSRRRKREEEEGLVLLSMDLDASAEMAPESRSQLQAAVPDKLKAVAEELGHEIRIFSSTTFETTSRLPKADQEEDDDFYELQPADYFKLVSNRLAEQSKVLKTRKIREAELAAQRARITKAIMRVRFPDGYILEAEFHSSATVHSLVDLLKKVIARPDLPFYLYTAPPKKRILDISQDFYTIGFVPGANVFFSYDLPEGSIDGVKSGPYLREEIRTLDGLSLLSKPSDQPDDSKTASALAHQSDVLQSDSAAPANKKSSKPKWFKR* >Brasy9G321300.1.p pacid=40060649 transcript=Brasy9G321300.1 locus=Brasy9G321300 ID=Brasy9G321300.1.v1.1 annot-version=v1.1 MKMGKNLWTGDEWFLSSWRSPDDPSPGDFRRMLDYSTTRLPELILWQRDAKVYRTGPWNGRWFNGVPEASTYAHEFPLHVTASTSEVTYGYTAKPGAPLTRVMLTDVGVVRRLVWDASSRAWKTFFLGPRDVCDAYARCGPFGLCDASAASSAFCGCLKGFSPASPPAWNMRETSGGCRRNVALNCGGNGTAKDGFVLVRGVKLSDTHNASVDTSISTEECRDRCFANCSCLAFASAEIREGGGESGCIMWTDGIIDLRYVDRGQDLYLRLAESELAATRSSKFAIVTVLVPIASGIAILLALFFAIWWRRKHRISRKRLNDGIPQSSALAVPLVDLHTLKEVTLNFSESHVIGQGGFGVVYKGQLPDGRTIAVKRLRQSALTRKGKSDFTREVEVMARLRHGNLVRLLAYCDERDERILVYFYMPNKSLDLYIFGVSSLRGTLSWRQSLDIIRVIAQGVAYMHEGSGESVVHRDLKPSNVLLDDNWQAKVADFGTAKLFVPDRLESNLTIVNSPGYASPESLRAEMTLKCDVYSFGVVLLETLSGQRNGETQRILSHAWGLWEQDRTVALLDSTVNLPCLSGPDSEIGSELVRCIQIGLLCIQESPDDRPAMSEVVAMLTSKTSQIGRPNRPGVYNRARYVAREADFTRSSTVDLQ* >Brasy9G195500.1.p pacid=40060650 transcript=Brasy9G195500.1 locus=Brasy9G195500 ID=Brasy9G195500.1.v1.1 annot-version=v1.1 MANSPAAMFTDLALGLAAAVALLSAAGPAAAQNCGCRANECCSQYGYCGTTGEYCGKNCRSGPCSGSGSTGTSGVPVESVITEAFFNGIRSQAGNGCAGKSFYTRQSFLTAARAYPGFAKGRSNDASKAEIAAFFAHVTHETGHMCYTEEINGPSKDYCDEKNTDWPCSPGKGYYGRGPLQLSWNYNYGAAGKSIGFDGLKNPERVAQDAVVAFKTALWYWMNNVHQVVPQGFGATTRAINGDQECNGRNSGAVNARAGYYRDYCRKFGVDPGNSLTC* >Brasy9G138000.1.p pacid=40060651 transcript=Brasy9G138000.1 locus=Brasy9G138000 ID=Brasy9G138000.1.v1.1 annot-version=v1.1 MSSSSRSTRSSISPFRSRRSPAMAAAAAPPPARTSSGGRAPTSSSSARPTTPSSSSGGRPTTPSAAFARPTTPTSARPTTPSSTASARPTTPSSVSSRATGRGPSSAAVDASNAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDVAAQHVVSGAMKGISGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENEEEEEVRLCQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKAAHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSNVSEKASLRRRHSFGEDELAYLPDRKREYCMEDDDVSLDSEFSVEAKLDSNHSDESVRFDRRNRKRGMLGWFKLKKSDQLSGLSPSVDSESTASGSPSFSRSSQQKHLLLDMKDGRRKSVTRKTEDPTLADSFLERTQAGDLFSAAPRARHPLPSGTTIVDQIDLLQEQVKMLAGEVAFCTSSLKRLLEQAANSPDDSQFQDQIERLKNEINEKKSHIRVLELRIMQSLESTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNKILQDQLQAKVSENAEFQEVVAQLRQEISNLLKASRSENNVTGMLSGVPSSMARNDPRDQTNDVSSHENISAKAAEENKESALKSQVLMQAAEIEILKQDKLRLAEEKEGLEIHSQKLAEESYYAKELAAAAAVELKNLAEEVTRLSYENAKLIADLTAAKELTASVARGSTHNDIKRCDHENVILVEELQKELVASCQREAALEDTLSQKSRRENELLKIVDDAKCHEHDLENELANMWHLVAKIKKESSQDGLFEFKSKENGFHSSKTDTGRIISEMEASDNWKWDGINTLEEAKAAYNFERRRCNELENVVSRLKGEDIRGLEVKVLEELQNFHVEALSRICQEKMAKQML* >Brasy9G006300.1.p pacid=40060652 transcript=Brasy9G006300.1 locus=Brasy9G006300 ID=Brasy9G006300.1.v1.1 annot-version=v1.1 MERMVMRLMRRKSLLHGGSGGLLAASTANGSVVSGAGSSPFFSTQQQQQEDPILPALKIRDTAAHLIGRTPLVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMMEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVVMRAFGAQLVLTDPAKGMGGTVRKATQLYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMEKVLEVKGEDAVKMAQQLALKEGLLVGISSGANTVAAIELAKRPENKGKLIVTIHPSAGERYLSSALFEDLRKEAEAMQPVPVD* >Brasy9G005000.1.p pacid=40060653 transcript=Brasy9G005000.1 locus=Brasy9G005000 ID=Brasy9G005000.1.v1.1 annot-version=v1.1 MRSARSCREEHRELVSVQPAPRSSAPHRRRVARREESSEAVDPLRNLVLLLGLLAGEVAVAGGGIGRRGSAAKGSGGGGVSAGAGGRAQPRAAEETRWGFVQRRSEGRSTMGRSGAAEEERGQHGEAKAAGRASLATARGSAAPRGAEQQRPRAAVTMGVGGGAGKGGRGERWTGGGAARWRGRAGGEADGRRRAPADEQQEGREREEGREGEEEDAREE* >Brasy9G178800.1.p pacid=40060654 transcript=Brasy9G178800.1 locus=Brasy9G178800 ID=Brasy9G178800.1.v1.1 annot-version=v1.1 MRNEATNLLHSVDSCAIFKDYASNLNFNADMLSVDDAINFASKILLPTEFDFPSDSDKIVLNNIKSAKQGLLATSGYLKCVQNNLHVTVSSLVASAVVWMSGLLPSKLNPVILPLMAAIKREQEELLQDKAADALAELIFSCFGRKPGPNDKLTKNLCTLACTDVSETPQAAVINSMQVIEDQNFLSIGKRFGNKISRGHVSSSSEERAKMEVL* >Brasy9G219700.1.p pacid=40060655 transcript=Brasy9G219700.1 locus=Brasy9G219700 ID=Brasy9G219700.1.v1.1 annot-version=v1.1 MKIQSTAFTLNIRDEVNYRESTPGSQRETTASLITLVVWELWNERNARVFISNVAPQPSIIIGRIKMEAANWHFVGANVLRNILPG* >Brasy9G299800.1.p pacid=40060656 transcript=Brasy9G299800.1 locus=Brasy9G299800 ID=Brasy9G299800.1.v1.1 annot-version=v1.1 MVAAAAVEGLAAYRAVLRAARRTFTGDQLMLKESAAEIRRRFEDHRALAPGSDDAARALSEAREAAHFITNMIVQAQRAPSGAFVVKPEKAHAGATLEIPSEEILSKLK* >Brasy9G299800.2.p pacid=40060657 transcript=Brasy9G299800.2 locus=Brasy9G299800 ID=Brasy9G299800.2.v1.1 annot-version=v1.1 MVAAAAVEGLAAYRAVLRAARRTFTGDQLMLKESAAEIRRRFEDHRALAPGSDDAARALSEAREAAHFITNMIVQAQRAPSGAFGTTTVTRYQPPSHA* >Brasy9G204800.1.p pacid=40060658 transcript=Brasy9G204800.1 locus=Brasy9G204800 ID=Brasy9G204800.1.v1.1 annot-version=v1.1 MQRLLASTIVASTPRWLPLADSIVRRRRPRRSPLPVLLFHRSLYKPRKVSRGITMVSNKVNKQGDLCNEGMLSHIMWWKEKMESCRKPSSVQLTQRLVYSNILGLDPTLRNGSLKDGTLNMEMLQFKSKFPREVLLCRVGEFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPIMNLRQTLDDLTRSGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDLEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKCFEWFDGSPIEELLCKVREIYGLDEKTNFRNVTVSLEGRPQPLYLGTATQIGVIQTEGIPSLLKMLLPPNYGGLPSMYIRDLLLNPPSFDVASAIQEACRLMGSITCSIPEFTCIPSAKLVKLLESKEVNHIEFCRIKNVLDEIILMNGNTELSAIMDKLLEPASVVTGLKVDADILIRECSLISQRIGEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFRNVDVAAEALSTVVTEDFLPIIVRVKSVMSSHGGSKGEISYAKEHEAVWFKGKRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTIKVENALARYHEACDSAKGKVLELLRGLSSELQDKINILVFCSMLLIITKALFGHVSEGLRRGWVLPAISPLSKDYSTEEGSSEMDLLRLFPYWLDSNQGNAILNDVNMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVSRATGRSLVLIDEICRGTETAKGTCIAGSIIEKLDDVGCLGIISTHLHGIFDLPLSLGNTDFKAMGTEVVNGCIQPTWRLMDGICRESLAFQTARKEGMPDLIIKRAEELYSTMGRSKTSSTVHHGPSVAKSKASGLVDRPDGLGNGLELPSGAFALLRNDVESIVTAICKDKLLDLYNKRSISELVEVVCVTVGAREQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLVGRLAAHRSKEGMQGATILYIVVPGKSVACQLETLLINQLPSKGFKLTNKADGKHRNFGMSVISGEAIAAH* >Brasy9G193400.1.p pacid=40060659 transcript=Brasy9G193400.1 locus=Brasy9G193400 ID=Brasy9G193400.1.v1.1 annot-version=v1.1 MMMMTEVANHSKRDHTDSYFSGKAGVATSGSGSGSEEFGSMGSKKPRNSSPRSGAPISPKEKKDKVGERVAALQQLVSPYGKTDTASVLQEASGYIKFLHKQLETLSSPYMRAPPAAGAAPEDPEHHSLRNRGLCLVPVEQTLQLTQDNGADLWAPANTARRR* >Brasy9G157700.1.p pacid=40060660 transcript=Brasy9G157700.1 locus=Brasy9G157700 ID=Brasy9G157700.1.v1.1 annot-version=v1.1 MASKFFLLALLALSVSPALASDPSQLQDFCVADRTSPVFVNGFACRDPKTAVAVDFFFSGLHMAGNTSNKQGSAVTAVNVVQIAGLNTLGVSLARVDKVKTRHTSTPAPPRS* >Brasy9G305900.1.p pacid=40060661 transcript=Brasy9G305900.1 locus=Brasy9G305900 ID=Brasy9G305900.1.v1.1 annot-version=v1.1 MGWAVVVYEGTVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRQRACLVAALFLMVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKALERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHFQNLLGYALSVYCVYKMLKALQSVVFKSAGSVDPVTMTITIFLRHFDIGIDVSLLSQYISLMFIGMLVVVSIRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITEVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID* >Brasy9G129700.1.p pacid=40060662 transcript=Brasy9G129700.1 locus=Brasy9G129700 ID=Brasy9G129700.1.v1.1 annot-version=v1.1 MAKAKAPRPKPTRAVTRRLLGFAGLSFAAVAYVGIDYLRYLSPTWHERLQPLLWAALALSAAARAPFYRHWDAELRAALPFLGSIAFMLGAFLFEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLALNEKLPESIVDLLRAHIITLHHFLMLFMMLGFSVLFDCIKAPGLGIATRYMFTMAIGRLLRTVTFIGTILPSARPWCAAARYQIPGHPHPWAQKYFVPYASDSDAIRSVIDNDVAYADVQAYPGEYSPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTWFIWSARDASRARRLAKLEEVQSRLIHAAKDSDIDEVRDLLKEVELAGHEKQAFSQRAILAFAAATIIFTLSCVVLALTLTSDG* >Brasy9G022400.1.p pacid=40060663 transcript=Brasy9G022400.1 locus=Brasy9G022400 ID=Brasy9G022400.1.v1.1 annot-version=v1.1 MRGRRTFKSPGGLRHARRGAPCCKIKLTTTAGGLLDLWNEWATQILVLLSLALQVVLHVFAKVRRRKASSPVQRLLLWLAYQLADSTATYTVGQLFFSSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G022400.2.p pacid=40060664 transcript=Brasy9G022400.2 locus=Brasy9G022400 ID=Brasy9G022400.2.v1.1 annot-version=v1.1 MYHILPLFRSCCKIKLTTTAGGLLDLWNEWATQILVLLSLALQVVLHVFAKVRRRKASSPVQRLLLWLAYQLADSTATYTVGQLFFSSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G022400.5.p pacid=40060665 transcript=Brasy9G022400.5 locus=Brasy9G022400 ID=Brasy9G022400.5.v1.1 annot-version=v1.1 MSSPSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G022400.3.p pacid=40060666 transcript=Brasy9G022400.3 locus=Brasy9G022400 ID=Brasy9G022400.3.v1.1 annot-version=v1.1 MSSPSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G022400.6.p pacid=40060667 transcript=Brasy9G022400.6 locus=Brasy9G022400 ID=Brasy9G022400.6.v1.1 annot-version=v1.1 MSSPSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G022400.4.p pacid=40060668 transcript=Brasy9G022400.4 locus=Brasy9G022400 ID=Brasy9G022400.4.v1.1 annot-version=v1.1 MSSPSTPQDHHLVAFWAPFLLLHLGGPDNITAYALEDSKLWKRHFLNLVVQVLGAGYVLYKHIAGSGILLVLAAILMSAVGVVKYVERTWVLWCANFSSLQSSLKVLARKKHHQHFYTEHQGQYNDSEDGDEELLLQHAHSLFHICKRGIVDSVVEVDPDSPSEVDSLDRKIIWSLLKDRERMWTVMKMELSLMYDILYTKMVKA* >Brasy9G319500.1.p pacid=40060669 transcript=Brasy9G319500.1 locus=Brasy9G319500 ID=Brasy9G319500.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G045200.1.p pacid=40060670 transcript=Brasy9G045200.1 locus=Brasy9G045200 ID=Brasy9G045200.1.v1.1 annot-version=v1.1 MGGMKRYYHDFIHIKLHSFSRISRYVADSILFLYRFVTLHVHPFWIQLSYLLAISILSSVLLMSLKPSNPEFSPRYIDMLFLSTSALTVSGLSTVKMEDLSSPQIVVLTLLMFVGGEIFVSLLGLMLRVNHQDMPDLSTVKISLVSVELEAIDSSSSVAFCYESQLEEATQAIPPKNSDLKRRSLKCLGYVVFGYFAVIHILGFLLVLLYITHVPTARIPLNKKGINAVLFSVSVTVASFANAGLVPTNENMVIFSKNSGLLLLLTGQSLAGNTLFPLFLRLLVWFLRKLTKVKELGLMIKSPEEVHFGHLLPRLPTVFLSSTVIGLVAATVTLFCAVDWNSSVFDGLSSYQKIVNAFFMAVNTRHSGENSIDCSLVSPAILVIFIVMMYLPSSATFAPPNGDTKTTDDNMEHKRGSLVQNLAFSPLGCNIVFVIVVCITERRRLRNDPLNFSTLNVIFEVISAYGNVGLSTGYSCSRLQLLHPKSICQDRPYSFSGWWSDGGKLVLVLVMFYGRLKVFSMGTGKSWKVGRS* >Brasy9G086200.1.p pacid=40060671 transcript=Brasy9G086200.1 locus=Brasy9G086200 ID=Brasy9G086200.1.v1.1 annot-version=v1.1 MGSTHLPIVGFNASTTPSLSTLRQLNSAGTAFQSSAPSRTSPKKKSRRVQSIREDGDGGVSDPAGHNKSIRQGIAGIIDLPKEGTSAPEVDISHGSEDPRGSYQMNGIMNEEHNGRHASLSKVVEFCMALGGKTPIHSVLVANNGMAAAKFMRSIRTWANDTFGSEKAIHLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLPWSGSQVEIPLELCLDSIPEEMYRKACVTTAEEAVSSCQMIGYPAMIKASWGGGGKGIRKVNNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQVPEIRRFYGMDHGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGVSRSAAITSMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGAIYKTITTNTATVSDYVSYLIKGQIPPKHISLVNSTVSLNIEESKYTIETIRSGHGSYRLRMNGSVIEANVQTLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSRLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPAAGVIHVLLSEGQAMQAGDLIARLDLDDPSAVKRAEPFDGFFPEMSLPIAASGQVHKRCAASLNAARMVLAGYEHPINKVVQDLVCCLDTPELPFLQWEELMSVLATRLPRDLKSELEGKYSDYKLSVDHGKNKDFPTKTLRGIIEENLAYCSEKEKATNERLVEPLMSLLKSYEGGRENHAHFIVQSLFEEYLSVEELFSDDIQSDVIERLRLQHSKDLQKVVDIVLSHQGVRNKTKLILTLMEKLVYPNPAAYRDQLIRFSSLNHKRYYKLALKASELLEQTKLGELRTSIARSLSELEMFTEERVGLSLQERTLAINESMGDLVTAPLPVEDALISLFDCSDQTLQQRVIETYISRLYQPHLVKDSVQLKYKESGVIGLWEFAEGHTNIRNGQKPTLPEKRWGAMVILKSLESVSTAIGAALKDASHYSSSEGNMMHIALLGDDNQMNKSEDSGDNDQAQDRIDKLSVILKQNIVTTDLRAAGVKVISCIVQRDGARMPMRRTFLLSDEKLCFEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPTAGNKFTSGRTSDIEVGHAEESLSFTSSSILRSLMTAIEELELHAIRTGHSHMYLCILREQKLLDLVPVSGSTVVDVGQDEATACSLLKEMALKIHELVGARMHHLSVCQWEVKLKLDSDGPASGTWRVVTTNVTSHTCTVDIYREAEDTEAQKLVYHSATSLSAPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWYNINTDNKQCYVKATELVFADKNGSWGTPLIPMDRPAGLNDIAMVAWILDMSTPEFPNGRQIIVIANDITFRAGSFGPREDAFFESVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLTEEDYARISSSVIAHKTQLNSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRTDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMAANGVVHLTVPDDLEGVSNILRWLSFVPAKIGGPLPITKPLDPPDRPVEYIPENACDPRAAIRGIEDSHGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLIPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPKAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQGAKHENGTLPDVESLQKSIEARKKQLLPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEDSRSFFYKRLQRRISEDVIAKEIRGVTGEQFSHQSAVELIKKWYLASQAAEAGNTEWDDDDAFVAWKDNPENYKAYIKELRAQKVSQLLSDLGDSSSDLQALPLGLSMLLDKMDPSRRADFVEEIRKVLG* >Brasy9G031000.1.p pacid=40060672 transcript=Brasy9G031000.1 locus=Brasy9G031000 ID=Brasy9G031000.1.v1.1 annot-version=v1.1 MGRKRKLPERGPRSPVKECSINVASFFGMFEIRNLVEGFNENKRNLVRLANLGCFLDLPDFRNINRYFTAWLLSRLDIARRTLRITDTLEIKLTVLDVERIMGMKPEGKKITLLGRKASIPIPPAVRQILGLGELAKVVTHNDLLEVLGRDCPADRPMSTAEGDAFIVAFTLLCAARVIAPQDKQWLVPAELLHCVVDPKQIGDYNWAQYSLDILFAGAELLQQSLQAGISSTVLYMEWKNVEWHQLDMNKLPRMNSYSHDMMAVFIDKDYDFTGRMRHYGKCKVVELALHTEESEFQGNCLIRI* >Brasy9G362800.1.p pacid=40060673 transcript=Brasy9G362800.1 locus=Brasy9G362800 ID=Brasy9G362800.1.v1.1 annot-version=v1.1 MGWVGRRARHLCPSPTGRRARHPIASTLPPLTPAPAAPRPRRVRRRQPRPPAPRPPTHLPSRHCPSPPSRALPFADPSCAARRGRPAAISALHRVAVLAAPARRRRPVPPSRHCGLHPPSSPAQIKVYRRRDITLLASPPVMEETDPWFFSVDRRMAYPASD* >Brasy9G197600.1.p pacid=40060674 transcript=Brasy9G197600.1 locus=Brasy9G197600 ID=Brasy9G197600.1.v1.1 annot-version=v1.1 MEGEEKSAAAGAGAYWGVGARACDACAGEAARLFCRADAAFLCTGCDARAHGHGSRHARVWLCEVCEHAPAAVTCKADAAALCAACDADIHAANPLARRHERVPVAPFFGALDVDAPNKHFVGGAGAHAPAAAAGNNEDEEDDRSNDAEAASWLLPEPDQRDGGTFFADSDPYHLDLDFARSMDDIKAISVQLNGAQAELGLTDGTNKLFYSDHSMNHSVTSSEAAVVPESAPVAVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRVKGRFAKRTGNGGAAALGEEEEEHDDQLYSSAAAAVAALLQAPGGAGHGHGPELDYGVDGVVPTLV* >Brasy9G267500.1.p pacid=40060675 transcript=Brasy9G267500.1 locus=Brasy9G267500 ID=Brasy9G267500.1.v1.1 annot-version=v1.1 MAATNSEIERQQREYARELAKYQEDWKEFKRMVCLIVVSLSVAFAFLISGFPVPGPHRISCRFSAGAFFLVSAYGITVHQQTFGCRVFPKRPECEVQLVGGDRV* >Brasy9G107800.1.p pacid=40060676 transcript=Brasy9G107800.1 locus=Brasy9G107800 ID=Brasy9G107800.1.v1.1 annot-version=v1.1 MRAREVLSDPKRSCAGVGYDVQLRCGGGAAGSHAMRRMGKVQLSGCNGGRRRRGDVHGGAGCAARMRRCETHYPGQRRGSGCTEGQRRAREASTAGGARRRSEEARGVVGGGGGGGVAGGAGRRADERRGSGTLAFSPWRVVPRFVRLTIAGREVKDIEGNEMADGERKENRAWDRFFSLFLASGGNADGKQFCFAGYEGTQTTYEGVRRRRRNLTSFITRDR* >Brasy9G197400.1.p pacid=40060677 transcript=Brasy9G197400.1 locus=Brasy9G197400 ID=Brasy9G197400.1.v1.1 annot-version=v1.1 MHSQNHWGGSFEIADGAEDDHSRNMDIDRGALQHQQHHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWILWAVLGAFVLIGLPIIIAKSIPKKKPHAPPPDKYTDALHKALLFFNAQKSGKLPKNNGIPWRGNSGLKDGSDLTDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVVEYSARYKAIGEYDHVRELIKWGTDYLLLTFNSSASTINKVYSQVGTAKINGSTPDDHYCWNRPEDMAYPRPVQTATSGPDLAGEMAAALAAASIVFRDRPAYSKKLVRGAATVYKFARDSGKRTPYSRANPNIEYYYNSTSYWDEYMWSASWMYYATGNNSYISFATDPRLPKNAKAFFSILDFSVFSWDNKLPGATLLLSRLRMFLNPGYPYEESLMGYHNVTSMNMCMYFPKFAAFNFTKGGLAQFNHGEGQALQYAVANSFLAALYADYMEAVNVPGWYCGPNFMTVDDLRNFAKSQLNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPKNGVKYSCTGGNKWRDAKGSDPNVLTGAMVGGPDKNDQFKDARISYAQNEPTLVGNAGLVAALVAITDSGRGTGVGAVDKNTMFSAVPPMFPAAPPPPSAWKP* >Brasy9G316500.1.p pacid=40060678 transcript=Brasy9G316500.1 locus=Brasy9G316500 ID=Brasy9G316500.1.v1.1 annot-version=v1.1 MDTGTPPPPPPPPPPPELGMVARAVQRLVARNDAAAAEGDRPVGIVNGMAVFEAAGRKGAARIGVGEYLERVHRYAGLEAECYVAAYAYLDMAAHRRPAAAVASRNVHRLLLACLLLASKVLDDFHHNNAFFARVGGVSNAEMNKLELELLTVLDFRVMLSRRLYDLYRAHLHKSSEATASPTDHVKKLEQPQRSPDGDDGDGEVDDGHDVQRRTTKLPNGTVAHGWSEVMANGGTGVRRPPARYSFSG* >Brasy9G335800.1.p pacid=40060679 transcript=Brasy9G335800.1 locus=Brasy9G335800 ID=Brasy9G335800.1.v1.1 annot-version=v1.1 MPGKRPPAAPVGGGGSKRRQPMPPAAAAAGPGASSPPSSWASLQSDLVRLVGDRLLETGDLLDYVRFHAVCPHWRSSAPSPSGRGVADARFHPRRWMMLPEGHGLYPGHHKLRGYIRFFNRSTGAIVRREIPLLSDHCVLDSADGLLLLQRDRNSAVRLLHPFTGDIAELPPLLTLIRRFSPRFSPERRDSSSTTRRLWAKFRDVTVASVTTSADGVITVMVALFRAVADCKLLSRTRRPLPFQGKLYMLDERKLSDGSNVTQIFQIEPPQHDVKGSSSPSVPPPKLIATCPASGTNIPFYLAECDSEILLIGLGDPLYSRITVYRLADLMLLGRLVPVTSIGDNVLLVSEKVLSVSSRVLPNVEGNSVVMVNGGNGGNEEAYLGQYQLSSSMWSPAADGSIRDHVPSPCSLIYHVFTCCRPDHWDKGEISFQVRGNLTWKVKGRWRYG >Brasy9G320100.1.p pacid=40060680 transcript=Brasy9G320100.1 locus=Brasy9G320100 ID=Brasy9G320100.1.v1.1 annot-version=v1.1 METIPQWSELPPELLGSIFSRLPTADDCACARTVCRSWRSAMRHHASPARQLPPWVVLRDGSFMTSPQGSSHYRSHTFPDDARCVGSTDGWLALDRVDDEKRHSYLLHNPFSSTTVPLPELGAVIGVVPESFMIRKVLVRSTPADIVVLMPNNKNYPIILVRPGKGVWVPKPHKVPFTYIVDVAFLGDTLYGITEAEDLISFDITFDGDGIPVVASIHHVIKGSEGDDNTNAWSNDEGLDNNNDDEDENDNNEIESSDEDKDDSDSDDVEGHYEDEDASDSEEAEINDGEEVDMDQIFDGIVYGEDKINTIWYFVESRGKLLMVRRQMQCPPYHHNYTRKVEVFQADVIAGTWMPVLDGLDGQAIFISKPFCKSIAACKEIEADTIHFIDTRDTFNMRSQITSAPQDDQDYCMIVWRFSDLEWPTWIFPPELVV* >Brasy9G290600.1.p pacid=40060681 transcript=Brasy9G290600.1 locus=Brasy9G290600 ID=Brasy9G290600.1.v1.1 annot-version=v1.1 MSYYLKNSRSALSRLLLRYRPDGRPPAAPSSREPAARHYYASPRRPEATILRREPAACLRPPPAHPRCCYFTSSRRPDPEVIHFARRSRRGARWYHDRRKVTAAVVVSCGAAVAVYFGNLETVPYTNRTHFVLVSPQLERQLGESQFADLKKELAAKILPPLHPDSVRVRLIATEIVRALQRGLSDRRSEGFDDASYGDISSDLAIRGRDMDAEDVMPPRVSAPQDASAARRDDELLDDRWVSESRKRGKERGAKAQTRHLNELNWEVIVVNDKIVNAMCLPGGKIVVFTGLLDNFKSDAEIATVLSHEIGHAIARHLPEMITKNMWFTILQIIILQFIYMPDLINAMSALLLRLPFSRRMEIEADHIGLMLLASAGFDPRVAPMVYEKLGKISGNSSTLKSYLSTHPSSKKRAELLSQAKVMQEAMQLYKEARAGHGIEGFL* >Brasy9G181400.1.p pacid=40060682 transcript=Brasy9G181400.1 locus=Brasy9G181400 ID=Brasy9G181400.1.v1.1 annot-version=v1.1 MAAAAARRLLRPTSSTALSSAHRFLSSTDSRPPNRCPNTNSPVSFDWSDDEGNPSAPPPESPAKNPIVAPPYDPFSKKPAVADPADPTNLQEIFHKMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDNGAMPDVVAHTAVLEAYANAGPAHWRDAVRTYNRMLASGVAPNAYTFAVLVKGLAASDRCAEAGKYLVEMLDRGMWPNVATCLAAFEAHVRMEKVEEGKALLETMKNEGFTLDEDAVRGGTVKRGHVFRGVMNLLFGK* >Brasy9G294400.1.p pacid=40060683 transcript=Brasy9G294400.1 locus=Brasy9G294400 ID=Brasy9G294400.1.v1.1 annot-version=v1.1 MGGHLYALDFDGVICDSCGESSLSAVKAAKVRWPWVFEQVDAAMEGWIVEQMYTLRPVVETGYENLLLVRLLVEIRVPSARRSSVSDGLSIQEVLENWLKLKPTIMSEWNEDRDSLVELFGRIRDDWIENDLPGWIGANRFYPGTADALKFSSSEVYIVTTKQARFAEALLKELAGIEIPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDGWNLYLVNWGYNTPKEREEAGGISRIEVIDLPDFSKKLK* >Brasy9G216500.1.p pacid=40060684 transcript=Brasy9G216500.1 locus=Brasy9G216500 ID=Brasy9G216500.1.v1.1 annot-version=v1.1 MDREGEMEMKEEAEAPPGVEVTGAPSRFRRICVFCGSSKGKKTSYQDAAVELGEELVARNIDLVYGGGSVGLMGLVSQAVYSGGRHVIGVIPKTLMPREVNTPPPSNIWGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNALLSFIDKAVEEGFIKPTARHIIVLAPTPKELLNKLEEYSPRHEEVVPKMKWVTELQLCSCKNCEIPGLKEGAAAIIPAQRGSML* >Brasy9G276600.1.p pacid=40060685 transcript=Brasy9G276600.1 locus=Brasy9G276600 ID=Brasy9G276600.1.v1.1 annot-version=v1.1 MAATASGRTLPHQQYEPLRHMEGLSLKSALRNNPRASALAAAALLVPLGGALLALSGLVLLATIAGVVLAAPLLVLFSPVLVPAALGSALAVAGLAGAGTLAVAGLSTIAWIIGYVQRSGAWGDNGRVAGMVLQPLDSSGKQRHGTEEPEFVGHRLRTPGTALGNDALGVKT* >Brasy9G039200.1.p pacid=40060686 transcript=Brasy9G039200.1 locus=Brasy9G039200 ID=Brasy9G039200.1.v1.1 annot-version=v1.1 MAASPLPRWAPTPSPSKPLWPTTTAPSTAAATAPCCGCLSSSVFFAPLPVGTRENAVRRDDDSKSSCPATTMFLTWEDLSVIAVNGGSSSEALILDGLSGQARPGELLALMGPSGSGKTTLLDALAGRLGPNMEMRGDILINGVRGKLAFGTSAYVTQENVLMATLTVAEAVHYSAQLQLPDSMSPAEKRARAEDAIQTMGLAAVAGTRIGGRVCKGISGGQRKRVSICMELLSSPALVFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVVAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKTIEFFDDNGFPCPLRMNPSDHFLRIINTDFEESEEGSTINLPHAAQVIQILFSSSSSRCTLKTKTEAAKTVTQSASVKKKKQASFLTKSVILTKRSFVNMHRDLGYYWLRFAIYIALCLSIGTIYFNVGHSYASIQARASMLMFTSTFLTMMAIGGFPSFVEDMKIFEKERLSGHYGATEFVIANTVSSIPYLALISILPAAMAYYLTGLQRGIDHFLYFATTLWASTMLVEGLMMIVAAIVPDFLLGIITGSGIQGLLMLNAGFFRLPADLPKPVWKYPTYFISYHKYASQGLYKNEFIGMVFQDYDGAGGLNITGQYILKNNLQVELGYSKWVDLAILVAMIVIYRVLFLVIIKVSEIAKPMIKCLIVKV* >Brasy9G332000.1.p pacid=40060687 transcript=Brasy9G332000.1 locus=Brasy9G332000 ID=Brasy9G332000.1.v1.1 annot-version=v1.1 MAGCPCASTSSSPLFLLPSVSTSSRSSVAFATCGVRLRKAGARRGVAASSSNKEDTAEQGGDPAFNPFGFVTDNPSSRGAIQLQPSPAEDGNVGQMLYRTEYKGREYGKSVKSGDLRWFVRETGSPDAQRGTIMFIHGAPTQSFSYRMVMSQMSDAGYHCFAPDWIGFGFSEMPQPGYGFDYKEEEFHKAFDDLLGTLDVTEPFFLVVQGFLVGSYGLTWALKNSSKVRKLAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAILAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKARFQDVLSRISAGFSSNSWEKPILLAWGESDKYLPLSIAEEFKKSNPAVVKLNPIEGAGHMPQEDWPEKVVTALTSFLF* >Brasy9G050300.1.p pacid=40060688 transcript=Brasy9G050300.1 locus=Brasy9G050300 ID=Brasy9G050300.1.v1.1 annot-version=v1.1 MLCALLGIVAMAAGATALAIYLIDKAKTPQTVINDARLAFDQDAGVIRNLMVTVDVLGRNTKSKVAASFSNVTIAAGFLGLDLAHVEALPFTVAPESSSAPQEYSVGMAQGQGARPLDPGAARLMAVALEQGVVPLDLSVRARTRWRQGVFLLGVRFWTRAFCRDGFFFPGNGTPCPSTATPAARVPIK* >Brasy9G358900.1.p pacid=40060689 transcript=Brasy9G358900.1 locus=Brasy9G358900 ID=Brasy9G358900.1.v1.1 annot-version=v1.1 MEPPSAAERAALAASLPPPRRTALASSPAAGPDLVPPSTKPRVRRGGASTAEHHWRRCRLGGSHRRTRPRLPGPPPSPPCWSASSTSPRPSSSVSSRCYTHRQRAPRTSSPAHLATPFAGLPHERHCCKQVAAALLCSLRLWNSLPCLICSSPTVQEENVYSDVPC* >Brasy9G358900.2.p pacid=40060690 transcript=Brasy9G358900.2 locus=Brasy9G358900 ID=Brasy9G358900.2.v1.1 annot-version=v1.1 MEPPSAAERAALAASLPPPRRTALASSPAAGPDLVPPSTKPRVRRGGASTAEHHWRRCRLGGSHRRTRPRLPGPPPSPPCWSASSTSPRPSSSVSSRCYTHRQRAPRTSSPAHLATPFAGLPHERHCCKQVAAALLCSLRLWNSLPCLICSSPTVQEENVYSDVPC* >Brasy9G297300.1.p pacid=40060691 transcript=Brasy9G297300.1 locus=Brasy9G297300 ID=Brasy9G297300.1.v1.1 annot-version=v1.1 MDATGGDEKLQKAPAPGFDGASSPRAPLPEAVASGEDEQVERFYALLANIRALRGVCGFGGDGGGGSSRKRARAAEPPWRPAFRMEDFREADEDDGASAKKERRDSAERQRPESDAAEEEGEVVQGKDRVPASQNARVLAADQPA* >Brasy9G109600.1.p pacid=40060692 transcript=Brasy9G109600.1 locus=Brasy9G109600 ID=Brasy9G109600.1.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPSFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGFITAVKQFQFRQCKEYELNVYIDDGSHISEAFVDSAIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109600.3.p pacid=40060693 transcript=Brasy9G109600.3 locus=Brasy9G109600 ID=Brasy9G109600.3.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPSFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGFITAVKQFQFRQCKEYELNVYIDDGSHISEAFVDSAIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109600.2.p pacid=40060694 transcript=Brasy9G109600.2 locus=Brasy9G109600 ID=Brasy9G109600.2.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPSFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109600.4.p pacid=40060695 transcript=Brasy9G109600.4 locus=Brasy9G109600 ID=Brasy9G109600.4.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPSFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109600.5.p pacid=40060696 transcript=Brasy9G109600.5 locus=Brasy9G109600 ID=Brasy9G109600.5.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPSFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G224100.1.p pacid=40060697 transcript=Brasy9G224100.1 locus=Brasy9G224100 ID=Brasy9G224100.1.v1.1 annot-version=v1.1 MTSPPPWFSKTSANEITPFTTEQNFASGSINVLVRSEGARGPQEPVDQINPWAPRQPAVNQRRKQNGQSTVS* >Brasy9G236500.1.p pacid=40060698 transcript=Brasy9G236500.1 locus=Brasy9G236500 ID=Brasy9G236500.1.v1.1 annot-version=v1.1 MADTAAPAQARFCGIDVPARLQQALALLFPSNLAAKAVLFAVVLALLPTGEAPRIWELPHILLLGLVISYGVFGQRNADDADADIPAAKIVDDDDDHEDESLESYVSQLMQGPLVFEPNGGDGESSSNGAAAKDGVDQAWSSQYYADDPLVVVSGDKGDGTDKPLLLPVRKLKPAPEEPSATGGNLRDDGIDEETEFLVRKEEGYGAVRERAMSSPSSVLDAGMTLSPPPPPPPAKFIAGGQGSGLGKAKARSFNEYGAGDLSMSWRAGSRSGGGDKYRFRSNSASQATRRSAFAGYDPVAPFEDPVAADDDELDEAAVASDCSFSSEGMATDGDEEHDGEEDDYEEEEAGSCDEELFDLATRPGPEEEEEEDEVDRKADEFIAKFREQIRMQRAEQGRS* >Brasy9G328300.1.p pacid=40060699 transcript=Brasy9G328300.1 locus=Brasy9G328300 ID=Brasy9G328300.1.v1.1 annot-version=v1.1 MLLRRLPGLGRSAAVSGRSLSTAGVSHPSWAMMHQTLPVTASVPAQLDEPPRQSFVFVPEYLLNTSARPDHSRSRSERYLEGGICSASGDGFLLISYLDTWATDPVLDKEARQVYGIDPDHVPDITRFVCNPVTAELARLPDIDGTSKILPRGTSTMGLLTRADRHGRPGPPDRYAVAGFFEDSRHWVMERFLSETQEWEIVGRAPFRLPRARAVCLEQEPLAFGGRLWWVDLTWGAISADPFDDRPEPRFVELPRGSVLPERTERSVVFAQGLPRYRRMGVSEGRLRYADVSQREPFGLSSFSFDEEGGGGWTLEHRVALSRVWAHGGGGGYPWLPLRGKETPEIAVLDPLNANVMYLAVGKHSVVVDMRIGEVIGSSPLQIDGDHFIPCVLPPWLGSTRIPSAGKKNIVKNKYFVVDIAPFSGKKNVEKNKTLADVLVRSDRR* >Brasy9G179200.1.p pacid=40060700 transcript=Brasy9G179200.1 locus=Brasy9G179200 ID=Brasy9G179200.1.v1.1 annot-version=v1.1 MAALRYAARRFGGSVLQPTQAAVASPAVPEGQRLFLPRFNQSNTPNQVTNYQRSFTLSEIQQKKEELYDSILKAEDELTFLHGRLIHHLALQVRPRPKDPKWLGIRIVKRLNDAVDVTGRCALALVLNGFYMSWCKCSAEDGKVSSGCEEQA* >Brasy9G266500.1.p pacid=40060701 transcript=Brasy9G266500.1 locus=Brasy9G266500 ID=Brasy9G266500.1.v1.1 annot-version=v1.1 MASRKKRPSSSEKQQQRRDRISELTDDVLGHVLSFLPNKEAGRAAALAQRWRHVFGSVHTISFEEAEGERADDWITFYYEAEERKSCSDQLLDGVNGALLCRDRCGAGFPVPLRRLSFAFDHWHVWDKVAVDQWLASALRHRRGSLPELHLDLCFRLGPICTLVDDADGGIVADSDSGDSTTEDSNSGTDDGGTEVDIVSGDSTTDDSMTEDSSSDTDDGGTKADNCSGTDNSGMESDSGSDTKGSGTEADNNCDTDNSGIEANSSSDTDDSGTEADNGSDTDDSMTEGSSSDADDGGTETHGSPYDREREESNLTYVLPGRLFSCSALRTLCLTRCRLELPAIIDMPFLQTLRLTSLLDSERSIQRLISSCPCLVDLTLEALDKLERLTILDKRLHRFALRCCHNMKSVDIDTSGLRSLHYRGTMPTESLISLHGSPLVPSLTIDLCRAPSKSKEAAFAGFARFLDKISDAKHLHLHRRSIDNGFFTSGGFPLFCNLTQLTLQGPIESCETVAAVRRVLEQTPNLESLSLLMEEHLDDPSGRLIVPEETTVPDRSSFSILCLRHRLREIGMEGYEGSKAQKMLAELLLRNALVLQRLHAVFVEGMKPSRRSRLEIQLGEWAAANSEKIFR* >Brasy9G275300.1.p pacid=40060702 transcript=Brasy9G275300.1 locus=Brasy9G275300 ID=Brasy9G275300.1.v1.1 annot-version=v1.1 MAPASVAVSLSFRLIPPRRCCGRPRWSRPVRASSDTSGAPNGNQRVGTLERRVGDLRALVASVPLAVSSIRKNIGLSSVAGFCFGIAFLAAVARQIIRRTQEKDNSGSVADLVRRGQLKSGQRGIAKPRVYNDPFNNPLVKIDKGTSTAQMFGKEYRLDPVRLTNEQQAMHQKRRSHAYQWKRPTVFLKEGDPLPPDVDPDTVRWIPVNHPFAAASAEVDEETAKKNVYQKDGVPSRVKAEHEALRTRLEVSNNITKFSSGPRGMQDIERSLRVSDEPSVNLEQFESDMVNNQNGQPILSEKHSSDNSSQSKSLEGQ* >Brasy9G167000.1.p pacid=40060703 transcript=Brasy9G167000.1 locus=Brasy9G167000 ID=Brasy9G167000.1.v1.1 annot-version=v1.1 MPDDTEEDTSVSIIIIHLFINYCMFINSQCYIMSLSFGRHLNSILMEQVSVYLHSTF* >Brasy9G138100.1.p pacid=40060704 transcript=Brasy9G138100.1 locus=Brasy9G138100 ID=Brasy9G138100.1.v1.1 annot-version=v1.1 MAERLAAAAALRTAAPYHPSPSGRRAGPSNSAFFPSSSSPSPALRRVSLRAAPSQKAKAAGRGRSVRCMAAASDAAQLKAAREDIRELLNTAHCHPILVRLGWHDSGTCDKNIEEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSITYADLFQLASATAIEEAGGPKLPMKYGRVDVTGPEQCPPEGKLPDAGPSAPADHLRVVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETRYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVYAEKYAEDQVAFFKDYAEAHAKLSSLGSKFDPAEGFSLDD* >Brasy9G054100.1.p pacid=40060705 transcript=Brasy9G054100.1 locus=Brasy9G054100 ID=Brasy9G054100.1.v1.1 annot-version=v1.1 MRRVSSLVLFAGSASRSSRLHPRWGAVPMSSAAVSSSSGSIAVPLKDQNRVKSLLKSASKCLSLLSCTSICGINACLLIQSGIERPLNFE* >Brasy9G001700.1.p pacid=40060706 transcript=Brasy9G001700.1 locus=Brasy9G001700 ID=Brasy9G001700.1.v1.1 annot-version=v1.1 MLTHRILTLTWICILLARKILMGILCLITAAFIIDVLFYVGTNHRYFAMCTPCHVFVCFCVYMYAAQKRANTICAFVYACTPCYVSSTAVVSHLNSMMSFLMFSVEYAPN* >Brasy9G108300.1.p pacid=40060707 transcript=Brasy9G108300.1 locus=Brasy9G108300 ID=Brasy9G108300.1.v1.1 annot-version=v1.1 MLSSSLCSTRAAAATLPSLLVSMADAATGRGSRAVREGGERGRDREREGIKPYRQRRRGPKRRRRRARSGGGGGMGGRISGAGAHPAAHGVRARRHGGSGAAGSRLDPAAVGLGRQDWRRGGLAQSRREREREREKREIGREGRRGDGSWRERKKEWCGDG* >Brasy9G056100.1.p pacid=40060708 transcript=Brasy9G056100.1 locus=Brasy9G056100 ID=Brasy9G056100.1.v1.1 annot-version=v1.1 MLADTNWNKAKLQRQGPVRGDQFTGRGRGSRGGRMGGRGAGREQAAPKEHDNRKRSSGDASLDSSPAKNNSLPPLLTNQAEGGDSSAKKKLELTLAGGENNNMQIVVVPPPPPVYVAPRDKKRVKKGNAATTDIAGTSNQAASMVEDRRAQ* >Brasy9G278600.1.p pacid=40060709 transcript=Brasy9G278600.1 locus=Brasy9G278600 ID=Brasy9G278600.1.v1.1 annot-version=v1.1 MEGGRSLHYSSVLDRIPRRVQEMFLHAGLLDDSSGSIILQYLRKWPEIYSPCFWTSTFALILLVFITSIVAQFMFKRIRWCKQRLQTATPESNKHSYQEQKHADIKLGVSYQASKVCCLLILASHVLRILFFQLQRRISDCKYPPFVLGEGLQVLSWIIFSLAVFSLQKTKSAKHPLTIRAWWIFNFLQSIISVVFDLRSISSDHGYIGLTELIDLFTLVICTYLFVISARGKTGITLINSSITEPLLSPAAGQQTETKRTCLYGRASFLDLVTFSWMSPLFAIGYKKPLDKNDVPDIDGRDYADLLSGSFGRIIADVESRHGLSTLSIYRAMFIFIRRRAAINAVFAILCACASYVGPSLINDLVKFLGGERKYGLKKGYVLAAAFLSAKVVETVAQRQWIFGARRLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGAGAWAGLAATLAIMTCNIPLTRLQKRLQSKIMAAKDNRMKATTEVLRSMKILKLQAWDTKYLERLEDLRREEHNWLWKSVRLTALTTFIFWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAQYLQEEELKCDAITEVPRNATDYAVEIDHGAFSWELETASPTITDVDLKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLVGTVRVSGSKAYVPQTAWILSGNIRDNILFGNPYDKEKYEKIIQSCALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGGQLFKDCLMGILKDKTILYVTHQVEFLPAADLILVMQDGKIVQKGQFDDLLQQNIGFEAIVGAHSQALESVINAESSSRVLSTDNQNLADSEDEFEKENDTDDQLQGIVKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLTAVHGGALAPVIVAAQSFFQIFQVASNYWMAWACPPTSATTPRVGLGLLFFVYIALSIGSALCVLSRSMLVSLVGLLTAEKFFKNMLHCILRAPMSFFDSTPTGRILNRVSNDQSVLDLEMANKLGWCAFSVIQILGTIGVMSQVAWPVFAIFIPVTAICYVFQRYYIPTARELARLSQIQRAPILHHFAESLTGAASIRAYGQKERFSKGNISLINNHSRPWFHNISAIEWLCFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNGQLSAITWNICNAENKMISVERIMQYSRIPSEAPLTIDDHRLPNSWPKDGTINIRNLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNVDLSKLGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDERIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQQTLREEFGDCTVLTVAHRIHTVIDSDLILVFSEGRIIEYDTPSRLLENKNSEFSRLIKEYSQRSKSF* >Brasy9G289200.1.p pacid=40060710 transcript=Brasy9G289200.1 locus=Brasy9G289200 ID=Brasy9G289200.1.v1.1 annot-version=v1.1 MAAGSAACLHGAIACAVLLFLASAVSGDVATLESVPDLVKAMYVNIESFPCVRLLNLSGEIGCSNPGHGQIIAPIVRFKNIGDQLVQPSAVLLPLDQMRDFFLRVSNDPKLYNKVAGVLVESNGVHNNLLELSPDRKFPQEDFAPYSNLSHDWNPAGSGIMWNIYDFPAFLLTEESTQTLQKIADENDKSNNGYQANVAEFDLVMQTTKAETHDSESCLKEQSCLPLGGQSVWASLPPMSNASTKHQKPIIMVIATQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDLSKLKKQLIFAAFDGEAWGYLGSRKFLQELDEGADSVNGISSSMIEQVLEIGSVGKGISQGHPLFYAHAARNSSISKKMLDALQSGSDSLGSDNVKVKLAASSNPGVPPSSLMSFMRKNTSTSGVVLEDFDSQFSNKFYHSYLDNPENINSSSIAAAAALVARSLYILATADMPLDLITLNTIKVNVTLVKELIGCLLTCDPGLSCGIVKSFISPSNSCPSHYVGVFQDSPSSTQFPSYADDTSRFVWNFLADRTSVLAGNKSSCTGKCSNESEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFQDNVWHVLPANLSDPMGAADPVWTESYWNTIGLRVYAVQSVTSDWIVLLAGLIVTAASYLAVLIGRTYISKITKRD* >Brasy9G204900.1.p pacid=40060711 transcript=Brasy9G204900.1 locus=Brasy9G204900 ID=Brasy9G204900.1.v1.1 annot-version=v1.1 MRVKKEKEKIKRKDNPTRPSTAEQSARSSGLRSCTLRGAAEQPAAPKTKRMAVPVRLIPSPPTVYLSTPLLSLPPIARKPPPSSSCSGSSRARPGFRCSAANKPSPPPPSAAPGNEVSSSSSSSNSSNSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGSLIALTQLVPALTNPARAAGAAETLRGLGIDVAAVAVFAFLYSRDRKASDAQVARLTREENLSRLKLRVGAGGRVVPLGELRGSARLVIVAGPAEFVEESFRRSKPFLKDLMDRGVLVLPFPTDGNMPALQFDGEAEEGETEGDEVGEKSRRLWQLTPVYTSEWAKWLDEQKKLANVTPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPLVKGMWSGLFDGMDGRV* >Brasy9G204900.2.p pacid=40060712 transcript=Brasy9G204900.2 locus=Brasy9G204900 ID=Brasy9G204900.2.v1.1 annot-version=v1.1 MRVKKEKEKIKRKDNPTRPSTAEQSARSSGLRSCTLRGAAEQPAAPKTKRMAVPVRLIPSPPTVYLSTPLLSLPPIARKPPPSSSCSGSSRARPGFRCSAANKPSPPPPSAAPGNEVSSSSSSNSSNSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGSLIALTQLVPALTNPARAAGAAETLRGLGIDVAAVAVFAFLYSRDRKASDAQVARLTREENLSRLKLRVGAGGRVVPLGELRGSARLVIVAGPAEFVEESFRRSKPFLKDLMDRGVLVLPFPTDGNMPALQFDGEAEEGETEGDEVGEKSRRLWQLTPVYTSEWAKWLDEQKKLANVTPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPLVKGMWSGLFDGMDGRV* >Brasy9G204900.3.p pacid=40060713 transcript=Brasy9G204900.3 locus=Brasy9G204900 ID=Brasy9G204900.3.v1.1 annot-version=v1.1 MRVKKEKEKIKRKDNPTRPSTAEQSARSSGLRSCTLRGAAEQPAAPKTKRMAVPVRLIPSPPTVYLSTPLLSLPPIARKPPPSSSCSGSSRARPGFRCSAANKPSPPPPSAAPGNEVSSSSSNSSNSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGSLIALTQLVPALTNPARAAGAAETLRGLGIDVAAVAVFAFLYSRDRKASDAQVARLTREENLSRLKLRVGAGGRVVPLGELRGSARLVIVAGPAEFVEESFRRSKPFLKDLMDRGVLVLPFPTDGNMPALQFDGEAEEGETEGDEVGEKSRRLWQLTPVYTSEWAKWLDEQKKLANVTPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPLVKGMWSGLFDGMDGRV* >Brasy9G034400.1.p pacid=40060714 transcript=Brasy9G034400.1 locus=Brasy9G034400 ID=Brasy9G034400.1.v1.1 annot-version=v1.1 MPFTPGPYSGVSTLALVARASALGIGVVYGTVKLGILKATKPHKEEATAHH* >Brasy9G324100.1.p pacid=40060715 transcript=Brasy9G324100.1 locus=Brasy9G324100 ID=Brasy9G324100.1.v1.1 annot-version=v1.1 MGTRSAAEGDAVTGESEPGTLLEAVLRRQVQVDGRSTQSWEGFLEGLKIGWMHVHLYQKKNVHMLHDQVLGFTMYIPFFFSRSLTYSMYYYVGSFLHAAVVLQGYVCMLGLFSQVIFVVLLFNTWISLYVRWIC* >Brasy9G309300.1.p pacid=40060716 transcript=Brasy9G309300.1 locus=Brasy9G309300 ID=Brasy9G309300.1.v1.1 annot-version=v1.1 MRDLGERRRHGHGGHVNVVGFGAGAGGGQHAEHDQEKHKEKKLDMSGMSMDTLPHITMSLGHITTLDLSNNNLESIPESMIARLLNVVVLDVRSNQLKSLPNSIGCLSKLRVLNVSGNLLESLPATIEECRALEELNANFNQLTRLPDTLGFELHSLRKLSVNSNKLASLPFSTSHMTALRALDARLNCIRALPDGLENLINLEVLNVSQNFHFLRELPYAVGLLTSLRELDVSYNSISVLPDSMGCLTKLAKFSAVGNPLVCPPMDIVEQSLDAMRSYLSSRMNGTGVNAKKKKGWVPKLVKYSTFSGRMTPGRMTPGRKSAHDNSEGLLMSDYRSLHGVASPGFLSMLSPRRLFSPRRNSPKH* >Brasy9G072400.1.p pacid=40060717 transcript=Brasy9G072400.1 locus=Brasy9G072400 ID=Brasy9G072400.1.v1.1 annot-version=v1.1 MEASCIATVNKFQSMSILFLRALLKLLALPPTLLKRFSKEEHHHPPLAELPQDILMDIFTLLEIPDLLRAASVCSSWFSAYTGLRTFQQYKQSQTPCLLYTSETDSESVARLYSLVEKRSYKLRLPDPPIRSRDLLGSSNGWLVIADDRSEMYLLNPITGEQFALPSVITLEHVTPILDESGAICKYRLMRFTFTDLTLTLDLSELRDHLGCRAFVFYDTLAEGYIVVLIHEPATQLSFAWLGDEKWTWLSPKDGLPYACFLDCAYKDGLLYAIASEGEIFAIDLRGPIITAKLIMDRNTIYGYDYIYVVPSPCGSLLQVRLELDAYKYDDDADSATYVSNTEMINIFKVDTAAQKLVKINSLDDHVLVLGHNQSSLCLSAKEYPQLKANHVYCTQRHGYFTDFGHVNNYYTVVFDLANNSREDLLPPQLRSNLPAPIWITPTLTKLNPTPV* >Brasy9G302900.1.p pacid=40060718 transcript=Brasy9G302900.1 locus=Brasy9G302900 ID=Brasy9G302900.1.v1.1 annot-version=v1.1 MDAMERGQSSPRLPKSRGSKIDEESLKVPLIEAKKSGSRAPAVVLGFECLESTAFNGIATNLVLYLERVLHGSSLGSASNVTTWIGTSYLTPVFGAMLADTFWGNYNTILVSLVVYLLGMMLVTFSAFLPTTALCGVGSSCHPVLGSHTVAFAGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYIAVDFGMIVSGLFLVWVQQNVSWGLGFGIATACIALAFAGFVLATPMFKRRMPSGTPLKSLCQVVVAASRKVALRVAPSEAANLYEVSGKIEQPARIAHTDEFTFLDRAAMIVESDLAEVTANDAGAGAGSSSSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLQTTFVQQGNAMDTRVMSFSLPAASMVSFEVICVLAWVLIYDFVILPALRSFSPASSEPSQLQRMGAGRLLMAVAMAAAALLEMKRLDAAANGASVSIAWQMPQYFVLAGGEVFCYIAQLEFFYNEAPESMKSMCTSFALLTVALGSYMSSLIYGVINMLTATDGRPGWIPDNLDEGHLDYFFWVMAALCTLNFVVYSAFARNYQVKTVVS* >Brasy9G176000.1.p pacid=40060719 transcript=Brasy9G176000.1 locus=Brasy9G176000 ID=Brasy9G176000.1.v1.1 annot-version=v1.1 MAGNSMLCLQRFPSKMGEQRPGFISARNPWLRRSRMFQHCLDLRHLCRLPNQIVTLPNYRPKHAPSVAVYRGVSSPLTEESVNEVGSRSRIIHFYRKPFLQESETEDLLRKVQAKVSCNVIDIKTEQCFNVELVRALASEKLATLKWLLAETYEPDNLQTRSFLEEEIASDRCSVLVEVGPRMTFSTAFSTNAVSICKALSLMEVTRLERSRRYLLCLQPGSGALDESQLNSFAALIHDRMTECVYPSKLTSFWSDVVPEPVSVIPVIERGREALEEINMRMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMASTLFQLVKSPLKANPNNSVIGFKDNSSAIRGHTVNHLRPALPGSTSPLSLMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLQMEESYAPWEDSSFAYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRSFGMRLPNGERREWLKPIMFSGAIGQIDHAHITKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVIRACVEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPDSRSLLEALCERERVSMAVLGEIDGSGKIVLIDSAAVEHARSNGLPPPRPVVDLQLEKVLGDMPQKTFEFNRVSRLGEPLDIAPEVTLMDILKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPMKGFLNPQAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMIDAAVALSDCMIELGIAIDGGKDSLSMAAQCDGELVKAPGNLVISAYVTCPDITLTVTPDLKLRKDGVLLHIDLAKGKRRLGCSALTQVFDQIGNDCPDIEDVPYLKIVFEAVQELLSERLISAGHDISDGGLIVTILEMAFAGNCGVNLNIDLKGNGLLQALFAEELGLVVEVHSDDLDAVKQKLQAAGVSVHVIGEVNATPEIKLVVDGEVRLKESILDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWHLSFASKFTNKKLLTASSKPKVAIIREEGSNSDREMSAAFLAAGFEPWDISMSDLLNQEASLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGKGGDISQPRFIHNESGRFECRFTSVAIGDSPSIMFRGMEGSTLGIWAAHGEGRALFPDENVLSAVVNSNLAPLRYCDDANNATELYPFNPNGSPLGIAALCSPNGRHLAMMPHPERTFMMWQYPWYPKEWQVERGGPSPWLRMFQNAREWCS* >Brasy9G320900.1.p pacid=40060720 transcript=Brasy9G320900.1 locus=Brasy9G320900 ID=Brasy9G320900.1.v1.1 annot-version=v1.1 MAMHYIPIFFLLFLSSFCKSDDQLTRIKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSLPGPRTFVWVANRDKPISSPSSAMLAITNGSQMVLSDSKGHNIWTTTNNIVTGRSEAYAKLLSSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRILVTYKGQVAMRLVAWKGPDDPSPGDFSCSPDPSSPTLQRIVWNGTMPYCRGNVMNGALVFGGTYLSNASSVMYETFISEGDRFYYMFTVSDGAPFTRAILDYTGMIRLLNWNHQLSSWTVNSEKPKAACEVYASCGSFSYCDLTGTIPMCQCFDGFEPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLRSIGVMADSSRCLVWTGELVDTGKFMNFGENLYLRLATPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G204300.1.p pacid=40060721 transcript=Brasy9G204300.1 locus=Brasy9G204300 ID=Brasy9G204300.1.v1.1 annot-version=v1.1 MIKIQGSGLYNDSGVYEAKKRESLRARYVYGFIFFATNLLAWFIRDYGAKVLHGLHHVPVCGAGDSKCFHSGGVLRVSLGCFIFFWLMFATTFGTRKLDEVRNSWHSGCWVLKFLVYFGSIVIPFIIPNIFIQLYGEIARMGAGIFLILQLISMLHLISWCNNRWMPHPGSNQCGLFGLLLSTVSFIASFAGIAVLYALYVPKSSCVFNIFTIILTAILVKIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPQTEKCHSQMKIWKDGNWATIVSFIIAICSIVMATFSTGIDTRSFQFRNDEVQLEEDVPYSYEIFHIVFAMGSMYFAMLFISWELHHPTREWSIDVGWASTWVKFMNEWLAASIYIWRLIARAISRKQPANDEESAPHILVA* >Brasy9G204300.3.p pacid=40060722 transcript=Brasy9G204300.3 locus=Brasy9G204300 ID=Brasy9G204300.3.v1.1 annot-version=v1.1 MIKIQGSGLYNDSGVYEAKKRESLRARYVYGFIFFATNLLAWFIRDYGAKVLHGLHHVPVCGAGDSKCFHSGGVLRVSLGCFIFFWLMFATTFGTRKLDEVRNSWHSGCWVLKFLVYFGSIVIPFIIPNIFIQLYGEIARMGAGIFLILQLISMLHLISWCNNRWMPHPGSNQCGLFGLLLSTVSFIASFAGIAVLYALYVPKSSCVFNIFTIILTAILVKIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPQTEKCHSQMKIWKDGNWATIVSFIIAICSIVMATFSTGIDTRSFQFRNDEVQLEEDVPYSYEIFHIVFAMGSMYFAMLFISWELHHPTREWSIDVGWASTWVKFMNEWLAASIYIWRLIARAISRKQPANDEESAPHILVA* >Brasy9G204300.2.p pacid=40060723 transcript=Brasy9G204300.2 locus=Brasy9G204300 ID=Brasy9G204300.2.v1.1 annot-version=v1.1 MIKIQGSGLYNDSGVYEAKKRESLRARYVYGFIFFATNLLAWFIRDYGAKVLHGLHHVPVCGAGDSKCFHSGGVLRVSLGCFIFFWLMFATTFGTRKLDEVRNSWHSGCWVLKFLVYFGSIVIPFIIPNIFIQLYGEIARMGAGIFLILQLISMLHLISWCNNRWMPHPGSNQCGLFGLLLSTVSFIASFAGIAVLYALYVPKSSCVFNIFTIILTAILVKIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPQTEKCHSQMKIWKDGNWATIVSFIIAICSIVMATFSTGIDTRSFQFRNDEVQLEEDVPYSYEIFHIVFAMGSMYFAMLFISWELHHPTRERCIQ* >Brasy9G167500.1.p pacid=40060724 transcript=Brasy9G167500.1 locus=Brasy9G167500 ID=Brasy9G167500.1.v1.1 annot-version=v1.1 MASDAGANPPVASWASGLCGCFHDVSGCCLTLCCPCVTFGRIAEILDQGNSSCCANGLLYMLLASTGLGCLYSCTYRSKLRGQYGLEEKPCGDCCVHLFCGSCALCQEYRELKNRGFDMAIGWHANMERMGKGAPTAAPQMHPGMTR* >Brasy9G169400.1.p pacid=40060725 transcript=Brasy9G169400.1 locus=Brasy9G169400 ID=Brasy9G169400.1.v1.1 annot-version=v1.1 MACSQLAAASSPAATASALRSRSTSPTVSFARIPATLRSENGGLVVRGRRAAAPVVVAAGPAAAAPVADLDGLPATVKRPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTVEDVKRMNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCMGEAFGGKIVRVPSGVMHGKSSPVYYDEDLGKAIFDGLANPFTAARYHSLVIEKESFPHDALEITAWTEDGLVMAARHKKYKHIQGVQFHPESIITPEGKRIIQNFARYVEEFEKESSKGN* >Brasy9G138600.1.p pacid=40060726 transcript=Brasy9G138600.1 locus=Brasy9G138600 ID=Brasy9G138600.1.v1.1 annot-version=v1.1 MNITTVIPIVLRLGKVHLVYKKLTGHFSTSVQAKGKGKEHPRTIGMGGTGKTRRQFSSSNARRENRELMLSFVFPSPLLLDPLSLPLPS* >Brasy9G311500.1.p pacid=40060727 transcript=Brasy9G311500.1 locus=Brasy9G311500 ID=Brasy9G311500.1.v1.1 annot-version=v1.1 MSRTPSGRAPRGGGPLGHATASKAAALAPTPQGKKTSIKKERSCVPPPATFSFHFPSPLRRFSHSGIRRALINPPRHWAIDRPLPMSSLRVAGGCSVLPAPRCHALLLPPAAAAAPLFLREVRSGRLTPARAARGASGISLAGPRRLGYFSRGPHGPPPQPHWICAGDRGISSCSACVERGLVGETASLQNVHTKTWEASIRRKLQKVELSPSSYDTAWVAMVPLPGSPQAPCFPQCVEWILENQRGDGSWGINEFGSSANKDILLSTLACVVALKKWSVGQEHIRRGLNFIGRNFSIAMDEQIALPVGFNIIFPGMLSLAIGMGLEFPVRQTVIDGIIHLREMELKRQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEIWLQLLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G311500.5.p pacid=40060728 transcript=Brasy9G311500.5 locus=Brasy9G311500 ID=Brasy9G311500.5.v1.1 annot-version=v1.1 MSRTPSGRAPRGGGPLGHATASKAAALAPTPQGKKTSIKKERSCVPPPATFSFHFPSPLRRFSHSGIRRALINPPRHWAIDRPLPMSSLRVAGGCSVLPAPRCHALLLPPAAAAAPLFLREVRSGRLTPARAARGASGISLAGPRRLGYFSRGPHGPPPQPHWICAGDRGISSCSACVERGLVGETASLQNHTKTWEASIRRKLQKVELSPSSYDTAWVAMVPLPGSPQAPCFPQCVEWILENQRGDGSWGINEFGSSANKDILLSTLACVVALKKWSVGQEHIRRGLNFIGRNFSIAMDEQIALPVGFNIIFPGMLSLAIGMGLEFPVRQTVIDGIIHLREMELKRQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEIWLQLLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G311500.2.p pacid=40060729 transcript=Brasy9G311500.2 locus=Brasy9G311500 ID=Brasy9G311500.2.v1.1 annot-version=v1.1 MSRTPSGRAPRGGGPLGHATASKAAALAPTPQGKKTSIKKERSCVPPPATFSFHFPSPLRRFSHSGIRRALINPPRHWAIDRPLPMSSLRVAGGCSVLPAPRCHALLLPPAAAAAPLFLREVRSGRLTPARAARGASGISLAGPRRLGYFSRGPHGPPPQPHWICAGDRGISSCSACVERGLVGETASLQNVHTKTWEASIRRKLQKVELSPSSYDTAWVAMVPLPGSPQAPCFPQCVEWILENQRGDGSWGINEFGSSANKDILLSTLACVVALKKWSVGQEHIRRGLNFIGRNFSIAMDEQIALPVGFNIIFPGMLSLAIGMGLEFPVRQTVIDGIIHLREMELKRQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEILLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G311500.6.p pacid=40060730 transcript=Brasy9G311500.6 locus=Brasy9G311500 ID=Brasy9G311500.6.v1.1 annot-version=v1.1 MVPLPGSPQAPCFPQCVEWILENQRGDGSWGINEFGSSANKDILLSTLACVVALKKWSVGQEHIRRGLNFIGRNFSIAMDEQIALPVGFNIIFPGMLSLAIGMGLEFPVRQTVIDGIIHLREMELKRQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEIWLQLLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G311500.4.p pacid=40060731 transcript=Brasy9G311500.4 locus=Brasy9G311500 ID=Brasy9G311500.4.v1.1 annot-version=v1.1 MECWPGAHKERQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEIWLQLLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G311500.3.p pacid=40060732 transcript=Brasy9G311500.3 locus=Brasy9G311500 ID=Brasy9G311500.3.v1.1 annot-version=v1.1 MECWPGAHKERQAGEKSYGREAYMAYVLEGLGNLLDWNAIMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLTLLVSKFGHAVPTVCPLNIHCQLSMVDSLEKIGISQHFFSEIKGILDMTYCFWLQRDEEIMLDIATCAMAFRLLRMNGYDVSSDGLSHVAEASNFHNSLQGYLNDTKSILELYKASKVSVSENELILDNIGYWSGSLLTQKLCSNGDGLQQITLYGEMEYALKFPFYATLERLDHKRNIEHFDARGSHKLKTDYLPCHANQELLSLATADFTISQSIYQDELLDLDSWVKENRLDKLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEEIENLIALVEKWHEHREDEFYSEQVKIVFSALYTTVNQLGSMASAVQNRCVRNHLIEILLRSMMTEAEWQRSQYVPTMEEYMTNGVVSFALGPIVLPALYFVGEKLSDCVVKDQEHKELFRLVSTCGRLLNDSQGFEREDSEGKLNSVSLLVLHSGGSMSTEAAKEAIQKSIVTSRRDLLRLVLKEGTVVPRPCKELFWKMCKILNVFYFQTDGFSSPKEMASAVNAVIHEPLKLNATNPSVTVSSE* >Brasy9G158700.1.p pacid=40060733 transcript=Brasy9G158700.1 locus=Brasy9G158700 ID=Brasy9G158700.1.v1.1 annot-version=v1.1 MNESRRVATGVAVRPCQVADFPLLPFLGQASGGLACRPVVLLQYCGKPLSPPPSRKVAAASDPTPLSPLCCFRFPLHFPSLLVSSLPRASAGGGEREGFRLRASASVRALEEQARELRPRGSRGVCFVGSSEDPRAPANARSASDLLCR* >Brasy9G218200.1.p pacid=40060734 transcript=Brasy9G218200.1 locus=Brasy9G218200 ID=Brasy9G218200.1.v1.1 annot-version=v1.1 MGHTRYRIQTEKLIRFSESARFHALCVALGRVVRIKIQTRRLPFPFRRRTPNESTARVSSSAMVSNRRPKNVTGQQLRLDWGGGARGGGHSGGRVIPLESESARKRAACFRSNWAGLDRFLPDILRRHAEAEAIRVARARRARESEFSAESSSPSCRHRAQEETEADTRRPDSRRSRRRRKTRLGGRRSTRKTSPRPSVARGPTVNSSPIEDAAAPAPATMFKSIFVLVPIV* >Brasy9G229000.1.p pacid=40060735 transcript=Brasy9G229000.1 locus=Brasy9G229000 ID=Brasy9G229000.1.v1.1 annot-version=v1.1 MPRTRRSHGGFRLGRKLLSAWRWALCGRRRRSRRGGYLRLQTSPRRSDAACEEDRAEDKKPSPVLRWGQSLARLLSLGRRDGGGRRMLDGGEAAKTPKGQVAVYVGGGGPGEPLRYVVPVVYFNHPMFGALLREAEEAFGFQHPGGITIPCAVATFERAAAVAAAGKKGFGRW* >Brasy9G294200.1.p pacid=40060736 transcript=Brasy9G294200.1 locus=Brasy9G294200 ID=Brasy9G294200.1.v1.1 annot-version=v1.1 MGGGHEMQGHGGGVKGFVSNLVTGGKGQGHGQHGHGYQQGYGQQHGYPAAATGAYPPHGHGGYAPAAYPSHGPQHGHMGMGSYYTGHHQHGGGVYGGGKHKGGGLFGGKHGRKWK* >Brasy9G088700.1.p pacid=40060737 transcript=Brasy9G088700.1 locus=Brasy9G088700 ID=Brasy9G088700.1.v1.1 annot-version=v1.1 MLSMLSRVCISLILFFFFNVPILPAAARANMSDIDRHALLCFKSGINFDPHGILNSWNNNSLNFCSWKGVTCSTKFPPRVVSLDLSSAQLCGKISGCVAGLTFLSGIYLAGNRLSGTILKELGNLPNLRTLILEGSNLEGNIPDSLGTSNSLAYVNLANNTLTGGIPLSLANSSSLNTLILSRNNLSGEIPATLFDNSSTLIKVDLQMNSFTGPIPPFHKLTALKFLCLTGNFLSGSIPPSIGNISSLTSILLAQNKLSGLIPETLSHINKLLDLDLSFNSLSGNVPLSLYNMSSLKYFSAGSNGLVGQIPSHIGYSLPNLQFLIMESNRLNGLIPASLTNMSNLQTLDLSNNSLHGSVPSLGSLANLRRVILGRNLLEAHEWLFLTSLANCTHLTKLSLEGNALNGSLPMTVVKLSTKLEDLSLGSNQISGSIPVEISNLVNLTSLRMESNLLSGSIPSTIGKLHSLFILNLSKNKLSGQVPSSIGNITQLGKLYLDDNNLSGKIPGSLGLCKGLLEVNLSTNSLEGSIPVELFAGPALSLGVDFSHNRLTGVIPSEVVSANLALLNVSYNMLLGTIPEALGRCATLLFLHMEANKLDGPIPQSFRNLQSIQQINLARNRLYGPVPEFFENLILLEKLDLSYNNFEGPIPTGGLFHNSSMVVLDGNAMLCARISMLGLPICDCPSSTPKNHVPLLLILVLIVILSCLAGSLLSCLVVAIWKRRVQLTFPSCNKILNVLCFVVNRKRREVHAFPSHKETLKKISYGDILKATNWFCSVHTISSTSTGSVYVGRFKSDRSLVAIKVFNLNEPGGYDSYFIECEVLRSTRHRNIMRPVTLCSTLDSQNQEFKALIFKFMVNGSLERWLHPEQHNGIPSKVLSFGQRICIATDVASALDYVHNQLTPQLIHCDLKPNNILLDDDMTARLGDFGSAKFLLPGLVTRKSLVDIGGTIGYIAPEYGIGCKLSVGGDVYSFGVLLLELLTGKRPTDDMFVDGLSLRMFSESMFPDRVAKILDPHMAHEEQQGCAEIWMQRYIVPLVALGLSCTMESPKDRPGMQDVCAKLSAMRDAFLEPLDD* >Brasy9G088700.2.p pacid=40060738 transcript=Brasy9G088700.2 locus=Brasy9G088700 ID=Brasy9G088700.2.v1.1 annot-version=v1.1 MLSMLSRVCISLILFFFFNVPILPAAARANMSDIDRHALLCFKSGINFDPHGILNSWNNNSLNFCSWKGVTCSTKFPPRVVSLDLSSAQLCGKISGCVAGLTFLSGIYLAGNRLSGTILKELGNLPNLRTLILEGSNLEGNFLSGSIPPSIGNISSLTSILLAQNKLSGLIPETLSHINKLLDLDLSFNSLSGNVPLSLYNMSSLKYFSAGSNGLVGQIPSHIGYSLPNLQFLIMESNRLNGLIPASLTNMSNLQTLDLSNNSLHGSVPSLGSLANLRRVILGRNLLEAHEWLFLTSLANCTHLTKLSLEGNALNGSLPMTVVKLSTKLEDLSLGSNQISGSIPVEISNLVNLTSLRMESNLLSGSIPSTIGKLHSLFILNLSKNKLSGQVPSSIGNITQLGKLYLDDNNLSGKIPGSLGLCKGLLEVNLSTNSLEGSIPVELFAGPALSLGVDFSHNRLTGVIPSEVVSANLALLNVSYNMLLGTIPEALGRCATLLFLHMEANKLDGPIPQSFRNLQSIQQINLARNRLYGPVPEFFENLILLEKLDLSYNNFEGPIPTGGLFHNSSMVVLDGNAMLCARISMLGLPICDCPSSTPKNHVPLLLILVLIVILSCLAGSLLSCLVVAIWKRRVQLTFPSCNKILNVLCFVVNRKRREVHAFPSHKETLKKISYGDILKATNWFCSVHTISSTSTGSVYVGRFKSDRSLVAIKVFNLNEPGGYDSYFIECEVLRSTRHRNIMRPVTLCSTLDSQNQEFKALIFKFMVNGSLERWLHPEQHNGIPSKVLSFGQRICIATDVASALDYVHNQLTPQLIHCDLKPNNILLDDDMTARLGDFGSAKFLLPGLVTRKSLVDIGGTIGYIAPEYGIGCKLSVGGDVYSFGVLLLELLTGKRPTDDMFVDGLSLRMFSESMFPDRVAKILDPHMAHEEQQGCAEIWMQRYIVPLVALGLSCTMESPKDRPGMQDVCAKLSAMRDAFLEPLDD* >Brasy9G195600.1.p pacid=40060739 transcript=Brasy9G195600.1 locus=Brasy9G195600 ID=Brasy9G195600.1.v1.1 annot-version=v1.1 MVIKGARRVALFLVVCAAARAASAGDGPLPNGNFEDSPDRSQMDGSTVTGPDAIPQWKTSGHVEYIASGQKQGDMILTVPEGLYALRLGDDASIQQQLAVTPGTIYSVTFRSARTCAQNEKLSAWVVPGGAPDEVHVQTLYTSIGWDSYCWAFQAQASAVTLVIHNPFHEDDKSCGPMIDSVAIKTLHAPQATQSNLLRNGDFEEGPYIAPGSQLGVLVPHRDEHDISPLSGWMVLSYPNSKVVKYIRTPQGSHAVELVAGGEAALVQEVDTVPGSSCRLDFSVGDAGDNCVAMDQQPMRVQASTADNSTTVEYSSEGSGGSVRASLEFKPSQSRTRVVFCSTGYHSKSDSSGTRCGPVVDDASLVCVSPTPARRLLR* >Brasy9G245600.1.p pacid=40060740 transcript=Brasy9G245600.1 locus=Brasy9G245600 ID=Brasy9G245600.1.v1.1 annot-version=v1.1 MAAHAPTSIPPLPTSEYTVATDMKPPHPPPPPPLSAAESDAPPKKRKLEEVGFQVSPYYKIRATIANLRGRFLQVCQATGFQKKDAALEIQKEIKVVMELSKKMRLDISTAAEPVKPLDIPSVRAAMNKPAGEVLYGENNQVPQVGQQKVPLEPVSSQEAVMNHTNHPAERVQGTYVFGGSPIGWNFRTWPVGKAVYYGPTKADWLARQAAK* >Brasy9G014300.1.p pacid=40060741 transcript=Brasy9G014300.1 locus=Brasy9G014300 ID=Brasy9G014300.1.v1.1 annot-version=v1.1 MQSPSKLFVASVSTQPAVFGPQGWADLPDGLLHSILALSGSFRDLLAFAATCRSWRAAFSSYPTKSTFCAKFPPLLVQLNTNVEAPGLPSNIGRHKLRTCNVIDPINQNIGLRCQIPQKIYEKMHFAGSSYGQLICFRGGRCLVVDVFSGAEVSPPHLPFSGNCEREFYFSGILTAPLTSPNSHLLVSTESSLFDWPVGSDSWSELKLSDAWIEQIVEFNGQFIAMDDSYKIYTLQLSPQLGLQEISTEWIDDLRPSPYIDPWLVACGDMLLMVFCFTTMCPGWWVLQCIPHRLDTSTNPAKWVEVTQLDNWALFVGRDVRSQPFSCMSPEQWGGRSRWLHYAARHSFTVHGVGLERDPSAGPKSVYKRSRSRKMRPLWVYPSMFYSDVQ* >Brasy9G227000.1.p pacid=40060742 transcript=Brasy9G227000.1 locus=Brasy9G227000 ID=Brasy9G227000.1.v1.1 annot-version=v1.1 MKFMSSFLLCAIAFLVRNLPASTAGAEQFVYNGFAGVNLTLDGNAMVTPDGLLELTNDTVNLGHAFHPTPLTFHNGSSNSTAVQSFSLSLVFAIISVHNDISADGMAFFIAPIKNLSNTWAQYMGLLNSGNEGNASNHMFAVELDTTQNEEFLDMDNNHVGVDVNSLKSLQAHHTGYYNDDGSFNNLTLISGKAMQVWADYDGESTQITVTLASVGVAKPVRPLLSTSYNLSAILKDPSYIGFAATTGAISTKHCVLGWSFAMNGPAPAIDLSKLPKLPRLGPKPRSKVLEITLPIATAIFVLAVGTVILLFVLRKLRYKELREDWEVDFGPHRFSFKDLFHATKGFKQRNLLGEGGFGKVYKGVLPKSKMEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMSNGNLEQYLYCGDNKPSLNWEQRFHIIKGVAFGLFYLHDKWEKVVIHRDIKPSNILLDGKMNGRLGDFGLSRLYDHGSDPQTTHIVGTMGYLAPELVRTGKASTLTDVFAFGIFLLEVACGQRPIKQNPQGGHCTLVDWVVERWHNGSLTETVDTRLQDYDSDEACLVLQLGLLCAHPFTSARPNMRQVMQYVEGDTPLPELTAAHFSFTMQALTQNKGFESPNMMHPQLTTSFATFSGISGGR* >Brasy9G265100.1.p pacid=40060743 transcript=Brasy9G265100.1 locus=Brasy9G265100 ID=Brasy9G265100.1.v1.1 annot-version=v1.1 MVPPPGRRRDRDRLSALPDAVLGHALSFLPTKETGRAAALSRRWRHVFCNVHTVSFSEREGDRACDWDSFYFEAEERKSCSGELLDDVCNALLCRRRCAGHSVPLRALRFAFDDIDDWDWAHVDTWLSHALRHSSSCPELCLDLRFRLGPFCARRGLKPGRSVYELPRKLFSCLALRTLCLAHCELNLPSAAGAGAIDLPFLETLSLTGVYGDSGLLISRCPRLVDLTLEGDDGLQKVSVLDRRLRRLALRCCHKVKSVEIDASELTSFVSVYHGGSDEEEGDDDAGRKGDEGPFVVMFPDDSGVESSFAALSCLRHRVKEINVVHYRGDEAQRMMARLLFGSALVLERMCVVLAKGTFGVQASMKEEIESWVVAVDAEQIFL* >Brasy9G180900.1.p pacid=40060744 transcript=Brasy9G180900.1 locus=Brasy9G180900 ID=Brasy9G180900.1.v1.1 annot-version=v1.1 MGSDPDMEKQQPLLLLPPTAPADGLPPLPAAGCCQCRPCSCSSPTEARTLALVVAASGAAFAAQLVAREEYVLLAVFASQLLSFVVLTSLLALCALPEPEPDPDQGLATRRRRRLAWARAAAGQVLLWSFAMALLASMALWAAQSAPVALGAVLFGLALVVVFACYAELVQSLWAGQ* >Brasy9G023700.1.p pacid=40060745 transcript=Brasy9G023700.1 locus=Brasy9G023700 ID=Brasy9G023700.1.v1.1 annot-version=v1.1 MSSQLASVQVDPHVEHERVSCRLNNLETSNRSSFWSDRDRGYALALLQERVSQVGVFAEAGRETLKLVYKSFFPLRQPPVGLEALMQKFRGGAAMANFARSLLTRGAQTALAFVVSRHPEVNMERVHELPFPPEGGVDLRPQLAIALEPARRIISYREQIQQKQVEAQARSSGPSN* >Brasy9G007100.1.p pacid=40060746 transcript=Brasy9G007100.1 locus=Brasy9G007100 ID=Brasy9G007100.1.v1.1 annot-version=v1.1 MSMAAGADDAQAMKIPCVTLNTGHPMPVLAFGTGSSRAPADLADTIAHAVRLGYRHLDTASIYGTEPAVGAAVAEAVRSGAVASRADLFVTSKLAMADARPGRVVPALRASLARLGLDYLDLFLVHWPAADVSSSPAPVEFDMEGVWRAMEECQRLGLAKSVGVSNFSAAKMSKLLSLAAVPPAVNQVEMNVGWRQEKVRRVCAAHGVVVAAYSPLGANGDAWGSDAVMESAVMRQVAAARGKSVAQVALRWVYEQGVCLVARSFNRERLEQNMAIFDWELGEEDKAVIATIPQRRACKGQHIVSPNGPYKSFEELWDGEI* >Brasy9G144900.1.p pacid=40060747 transcript=Brasy9G144900.1 locus=Brasy9G144900 ID=Brasy9G144900.1.v1.1 annot-version=v1.1 MSQPAVAAVAAQPQGQAPAARGGLGQSLAGIVRMAVFWYFASKFFGPKRAPTEPGMLMSNLFQKGEPMDMWMYLSENDKFNDFSNEDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPIVAFLRKSKAGTKKSLLGNSNESEQPTLKENKDAEDKDEGPVEYIAYWKPNVTINLVDDFTRYPHNNIPPNVAPYLNVDPTTSSYYPTVFFNEFWLLRDKLIALNETVEELTLNLEVGPISMTKWQLYLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQLVIFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHVEIDRSGRFPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLMVGFAIYSLKYDKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLQSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPLLHRLSVFRDDIIFLIYLYQRWVYPVDKKRVNEFGFGGEEEPPAAQTLEGTDSAAAAAQQIEAETSTEDKKTK* >Brasy9G093800.1.p pacid=40060748 transcript=Brasy9G093800.1 locus=Brasy9G093800 ID=Brasy9G093800.1.v1.1 annot-version=v1.1 MRRPLAAAVLRLHLLSPSSRPLVHSPSPLHLLLSRRDDDREDRHPTPPPSPFSPHPLLSSRSGAAGLLGLRGWRTLPPAASPPRGTIADAPPVLLTISRSYSLRVATSKKKPLFDDEHSHRAVNTALWCNFLVFTLKFGVWFSTSSHVMLAELVHSVADFANQALLAYGLRSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSESPENIHYAALVIGGSILIEGASLLVAIKAVKKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQMTGNPIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGVWAKQFREASLNKDDEELRRVMANYGEGVVDALGYEVDRLESEIQKIVPGIRHVDIEAHNPEGLSI* >Brasy9G159700.1.p pacid=40060749 transcript=Brasy9G159700.1 locus=Brasy9G159700 ID=Brasy9G159700.1.v1.1 annot-version=v1.1 MGPLRSPIRPSPVLFLGCQHSQTPPPAAAAATAQNIARARVAAAPPRWTGGISLPTRRRLRTPTMATVVSWYGPLIDLSAAAGHVGGFVQLLASVRSVLPHQEQNAATGRSYQRTMLEVGDNTRSSFCVSLWSNHSSSAIVAGDVLLLQNIKIVEFRNGLEGRASQISGVQVLLNSKELMRPEGIDELIINCKVGNATRSKLRRVAEWIVHTKRTVTENHHKVMSKNWKERIEKDSADFLSITELLSQSKLCNVNIYASICKIVLMGSLTPHFKRQLSVIERLSLDEHNDIVRDFICSGCKLCGLPLYPKNLRGESTSLIDCPNNPKYLHVVGQIYKPFMIYVRDQSGQIPVLVRNKAAETLFANIIADDVSECYKSSHCMLLDTCEPGNLSTSRILDGTCKTGITKRKRSKQKLDFHLIWLIVIKCLLNQGKNSPFGFQISVSPDKNVENGRFELVSLTLPIP* >Brasy9G014100.1.p pacid=40060750 transcript=Brasy9G014100.1 locus=Brasy9G014100 ID=Brasy9G014100.1.v1.1 annot-version=v1.1 MIQVLNNAPGPRFFGRERECGRRFWKRATRGDKAGEDAGTSQPPPHRRRRLQRTHPPLPREFAIMQSPSKLSDPSVSSPPNVLGLQGWADLPDSLLHSIVALLDSFIDLTAFASTCRSWHAAFSAYPSKSTFRTILPPLLIRPNVRVQAPDLPSTNSRHKLRTCKVIDLANQNRALRCQIPQETFQKMHFCGSSYGHLICCRRGNCLIVDVFTGAEVSPPCLPFSGDSEEEFYFGGTLTAPLASPNCHLLVSTQSSLFDWPVGSDSWSELKLSDARIDQIVEFNGQFIAMDYSQRIYTLQLAPQLGLQEIKTKWWDDMTECPYLRPWLVVCGDMLLIVDHYISFSFGAPVTYKPYRLDMSSKPAKWVEVKKLNNWALFVGGDVRSPPFSCSSPERWGGRSNRLYYAHYSQPWSVHGFGNEADAAWDDSTDPDLVYKRNWYRQLQAFWVYPSMFYSNGQ* >Brasy9G014100.2.p pacid=40060751 transcript=Brasy9G014100.2 locus=Brasy9G014100 ID=Brasy9G014100.2.v1.1 annot-version=v1.1 MIQVLNNAPGPRFFGRERECGRRFWKRATRGDKAGEDAGTSQPPPHRRRRLQRTHPPLPREFAIMQSPSKLSDPSVSSPPNVLGLQGWADLPDSLLHSIVALLDSFIDLTAFASTCRSWHAAFSAYPSKSTFRTILPPLLIRPNVRVQAPDLPSTNSRHKLRTCKVIDLANQNRALRCQIPQETFQKMHFCGSSYGHLICCRRGNCLIVDVFTGAEVSPPCLPFSGDSEEEFYFGGTLTAPLASPNCHLLVSTQSSLFDWPVGSDSWSELKLSDARIDQIVEFNGGDVRSPPFSCSSPERWGGRSNRLYYAHYSQPWSVHGFGNEADAAWDDSTDPDLVYKRNWYRQLQAFWVYPSMFYSNGQ* >Brasy9G014100.3.p pacid=40060752 transcript=Brasy9G014100.3 locus=Brasy9G014100 ID=Brasy9G014100.3.v1.1 annot-version=v1.1 MQSPSKLSDPSVSSPPNVLGLQGWADLPDSLLHSIVALLDSFIDLTAFASTCRSWHAAFSAYPSKSTFRTILPPLLIRPNVRVQAPDLPSTNSRHKLRTCKVIDLANQNRALRCQIPQETFQKMHFCGSSYGHLICCRRGNCLIVDVFTGAEVSPPCLPFSGDSEEEFYFGGTLTAPLASPNCHLLVSTQSSLFDWPVGSDSWSELKLSDARIDQIVEFNGGDVRSPPFSCSSPERWGGRSNRLYYAHYSQPWSVHGFGNEADAAWDDSTDPDLVYKRNWYRQLQAFWVYPSMFYSNGQ* >Brasy9G303400.1.p pacid=40060753 transcript=Brasy9G303400.1 locus=Brasy9G303400 ID=Brasy9G303400.1.v1.1 annot-version=v1.1 MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKIMKPRSMAKEMTGTVKEILGTCVSVGCTVDSKDPKDLQTEIDDGEVEIPSA* >Brasy9G151300.1.p pacid=40060754 transcript=Brasy9G151300.1 locus=Brasy9G151300 ID=Brasy9G151300.1.v1.1 annot-version=v1.1 MPCGLSSTVCSLLGLWVRRWLGRQHRRRGGSWCGPCRDSLVVTDESSAGSNKYESRLQGLSPNSFSLRPSINAKLKKERKKMPNSAACPPAPPPPPTPPARAAPPSDARCPPASAAATQAAADSYCPAAADSSRPPPSLASRAAPSTRRRPVESPSRLRP* >Brasy9G076600.1.p pacid=40060755 transcript=Brasy9G076600.1 locus=Brasy9G076600 ID=Brasy9G076600.1.v1.1 annot-version=v1.1 MAKRQTILMLIVFVIMFSYTVVEGGAYKNEEALSRKGLKEERKLSFSTTGQVVSGATNVGGLSSNAEIANVETGTMHTTITSNRDISPETFRRINNNRINKPYP* >Brasy9G076600.2.p pacid=40060756 transcript=Brasy9G076600.2 locus=Brasy9G076600 ID=Brasy9G076600.2.v1.1 annot-version=v1.1 MAKRQTILMLIVFVIMFSYTVVEGGAYKNEEALSRKGLKEERKLSFSTTGQVVSGATNVGGLSSNAEIANVETGTMHTTITSNRDISPETFRRINNNRINKPYP* >Brasy9G050500.1.p pacid=40060757 transcript=Brasy9G050500.1 locus=Brasy9G050500 ID=Brasy9G050500.1.v1.1 annot-version=v1.1 MEQEDFSSWLGLATGGGGAVRRNQDDGERRRAKEERACDRQQLAAIGETGAEGATKQRISVRGGGRSPSNGPCPSDGGSDDVAGGSSGTRKKLRLTKEQCALLEDSFHAHVILSQVQKQELARRLNLSPRQVEVWFQNRRARTKLKQTEVECEFLKRCCESLTDENQRLKHELLDLQRSAGPRAAAAAAMLNFCPACEKVTLNN* >Brasy9G315300.1.p pacid=40060758 transcript=Brasy9G315300.1 locus=Brasy9G315300 ID=Brasy9G315300.1.v1.1 annot-version=v1.1 MKHANGRRLVAFYRIAFPTDCSSASQPAERDRRPGRSKMDWLNLNELPTDIVADTDDPVGKGYATPMIPSYCTQPAPGEEVRQVIGTEVNAVGSHNGSMLFVSYEGHVGQLESTELNQETTSVPEDDNIDAQIPEDEVLSQPSEPYVGMRFDTLLCAKDHYNAYALRLGFSTRSSTSRRSVYTNELEKQLIVCNKFRKPKADGDKTQKTKVAPDVSSESEVESESDQEEVLPMVKNARKKRRRETIKGTNCKARMIVKLMDSRWQVTYFIAEHNHPLIAKPSLTKYLRSHQGIPKEEENFLRILHDSNLETGRMMQLMSSFYGSGLLVPYTTKAISNYQSRMRAQTRGGDMAETISYFTQKRLDDPDLLLA* >Brasy9G009300.1.p pacid=40060759 transcript=Brasy9G009300.1 locus=Brasy9G009300 ID=Brasy9G009300.1.v1.1 annot-version=v1.1 MKQERAAAASGPSGGGDAIKPAIGKKAARKGSETAASGSSGGGGGGGAAVIKSQKLAEGDKAGAGKAKKRMGLVSQKYIDRLLEEEATGGPRVPNFDFLDKCAGSDDPILRALAARTAACMEKFRAHNAEILRQYREQGHAYAEMEEEPFDIEKLWIVKATRKTLLASS* >Brasy9G212400.1.p pacid=40060760 transcript=Brasy9G212400.1 locus=Brasy9G212400 ID=Brasy9G212400.1.v1.1 annot-version=v1.1 MIDDKPRSCLWLEQCCARFISTAGDVGYKHQTREHHMCHVSSLMYFRN* >Brasy9G220500.1.p pacid=40060761 transcript=Brasy9G220500.1 locus=Brasy9G220500 ID=Brasy9G220500.1.v1.1 annot-version=v1.1 MEKAEESGKHIAQQSDGVSWIPKNRRKKNRQKTRSDCSGKPDATIAPENICNIDNEITEQITATEKDTGKSAMEGQLPRINSEFPAEKEAEAMMGSEADEQHEELTAGKSQEGMMDRGKSAIQEQEIGMEERHKSAMQDDWKTEEIFASLLSSHGWTYVSSRRCHSMTSTLLVMSSKLDHHVSNISNTVHLRYKQPSYRRDWESTWGGLCDFFENKISEPRHIPIYGAGPETTLQIFSIKITLKESAEFPLSVYGVVAARDYVDHNRNFLFLRQSLMGGDVQDPFLPLIGPSRAVLCTEPVDFEIELKVKGTTKSQDSVLITARQCLMSGAYNRLSTICLQNRFCIAEISFEKLQSSVQATMLGVRVIQNDTWPFEFGGRVACYSPIRQGMNTDSKGDLEVNNPQPYEVMLLDYKGADIPVGFDGYLHLSRHVVSVELHRLRSSLLNYEESLQVVIQAYSQSGNIAEQSHVNFQPKLCNISHGDCMLGGSKLEITIAWSGLASKESIALEGYVDGLE* >Brasy9G231700.1.p pacid=40060762 transcript=Brasy9G231700.1 locus=Brasy9G231700 ID=Brasy9G231700.1.v1.1 annot-version=v1.1 MQCGERETRDVKAEESLLLFPERQSRWTRACGGRRGWLHRCHQNSDASPCRRHAGRAFCLVSVRGRPSQLRPLLHLRVHASFHPSRHCCSGTADSGEGLVFFFVRVTSAISEAKSC* >Brasy9G186800.1.p pacid=40060763 transcript=Brasy9G186800.1 locus=Brasy9G186800 ID=Brasy9G186800.1.v1.1 annot-version=v1.1 MTLCADFDARRASPSDVRVVTSDGQSIAAHSYVLATASPVLERMIDRARRGWGAAECTIRVLGVPTDAVRAFLHFLYTSKVAPEEEDLVGTHGAQLLALAHAYRVGWLKRAAEASVSARLSPERAVDMLKLARLCDAPRLYLRCARLAARDFAAIEQSDGWRFARRHDAALELELLQLLEDADQRRDRWGRERAAQEACRQLGDAMASLEHVFFGDGDGEGAGTCAGPECTCRGLRLLMRHFATCAKKIAPGGCARCKRMLQLFRLHASVCGRTGQACRVPLCSHFKAKARTEKADKTWRLLVKKVTRAKAMSCLTNRKVPEVVAMSWARYNTRVAKLR* >Brasy9G093000.1.p pacid=40060764 transcript=Brasy9G093000.1 locus=Brasy9G093000 ID=Brasy9G093000.1.v1.1 annot-version=v1.1 MAADVLRAAHRPRPHRRSPWPSLSFAPHAAVVLLARRRRPPRGSPRAAPGPHSGRRCPSRRALAPTFSCATAALLQCPVTALRAARRRSARCGCHHPPRAVAVCLRPLRSAPATSSHRPSPPSSSVPPPLSRSPCVNFRTRERLLQLRDFNTPPY* >Brasy9G057100.1.p pacid=40060765 transcript=Brasy9G057100.1 locus=Brasy9G057100 ID=Brasy9G057100.1.v1.1 annot-version=v1.1 MSDVFYDPEEMPALAKTMRALWHWREEEGDGGGSVAGTVGWAASEVRGGVEECVGVLREEGFEVAEVDRVTRPLLRERDPDAGKDADFVVYRLQLRRPRGGG* >Brasy9G174900.1.p pacid=40060766 transcript=Brasy9G174900.1 locus=Brasy9G174900 ID=Brasy9G174900.1.v1.1 annot-version=v1.1 MDGGHTHIAMAMEQWKQHPNAGPECLMPSFLTDSFPPDPSAEDGTGNEAGFEKHGLSVAVGSPQDEGKPAQLTPHFGGRMSGSSSSLSERMQARTGFSVPKLSMPFATPAGDDSEQSGVQPPIFTVPPGLSPASLLESPVFLSNAMGQASPTTGKLFMLGDADDNNPTRVEAPSIEDGPAAFSFKSLDLKSSRYIAEEMKETLPSNQHPSLPSRDVPVKTETNIQATQGANSLGHPNKVYFNGQELMKSTYNDSNKRNRLAADTIVGRDNGSPPDHSQPAADSEANPAMTATAATATPAEDGYSWRKYGQKQVKHSEYPRSYYKCTHQSCQVKKKVERSHEGHVTEIIYKGTHNHPKPAAQGRRLPGVPQVHPFGDMDLAAADNNNNGNAGGATQQPNAEARPLWHGGGSMGVQDWRGGDGLEATSSPGELCDSSASMQVHDGAAARFESPEGVDVTSAVSDEVDGDDRVCAHGSMSQGHNQAAADAGEGDELESKRRKLESCAIEMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNGGHATSGSAAAAQLAHARRPEPPSMAQDGLMMGRLGAPFGLPPRDPLGPMSNFPYSLGVGGGHASAAAGAGSLPSLPMPAAVEGLKLPMLSPCSLHPLFRHRQAMETAGSGFRVPKGEVKDESNNSSASAYQQMMNRLPLGHRM* >Brasy9G289500.1.p pacid=40060767 transcript=Brasy9G289500.1 locus=Brasy9G289500 ID=Brasy9G289500.1.v1.1 annot-version=v1.1 MGGKTLKISGFPATVHADHVKDLLEQIVGAGNVYAVKLRPPRNITANSRSFAIVQFQTEEYASLVENAARRNALRTESYYLKVRPADRDIVPRPRTALFTLDGATLRFGCLLKENVLSVLWSGTDVSVEFGFAMKKVYFYLECRKYKLELSYESIWEIQLHRPPAYRSQKKFLLIQVEAAPKIYEQNQRRAGFMFEDPLFNYFRDDTDDQWTRTTDFTPSASIGQSFILCLELPYHDDLPKIRDYFVYYEEHNDDFHCRSGYSYSFDTRFVPNVKSHRFIDVPYEILFKINHLVQNGTLSGPTLDDNFFRMVSPGFVSIDHIKRALEKMSYQKKTCLNPTNWLSEEYAIIKRSRYVLASPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRHYAADLENFLRISFVDEDCEKLRSTDLSPRSAPGDNTRRTALYNRVLSVLSNGLTIGDKHFEFLAFSSSQLRDNSAWMFASRPGLSASDIRQWMGNFCNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEIPDITNGTKYVFSDGIGTISADFANEVSMKCKTRFTPSAFQIRYGGYKGVVAIDPRSDWKLSLRRSMSKFQSDNITLDVLAYSKYQPCFLNRQLITLLSTLGVRDYIFELKQQEAVGQLNRMVTEPQAAFEAIDLMPMGEITNVVKELLSCGYRPDVEPYLSMLLQTFRASKLLELKTKSRIFITNGRAMMGCLDETRTLKYGEVFVQVSNSANDHGKFAVTGKVVVAKNPCLHPGDIRILQAVYRPVLDHMVNCVVFPQLGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMLAPMDYTPAPTETLDHDVMIEEVHEYFTNYIVNESLGIIANAHVVFADKEHVKAESAPCLKLAELFSIAVDYPKTGVPAQIPSDLHVREYPDFMEKLDRATYESKGVIGKLYREIKKQNPHIEHFTKDVARRSYDTDLIVDGYQDYISEAVYFKEEYDFKLGNLMEHYGIKSEAEIISGCILKMAKNFTKKSDSDAIRLAVKSLRKEARSWFSEMSADENDDGQDASYAKASAWYHVTYHPQYWGCYNEGYGHRTHHLISFPWCVYDKLLLIKQRKNFLRRMQPEMHSLQNRMSRSTIFG* >Brasy9G289500.2.p pacid=40060768 transcript=Brasy9G289500.2 locus=Brasy9G289500 ID=Brasy9G289500.2.v1.1 annot-version=v1.1 MPIMSRICWNKLLVLFQTEEYASLVENAARRNALRTESYYLKVRPADRDIVPRPRTALFTLDGATLRFGCLLKENVLSVLWSGTDVSVEFGFAMKKVYFYLECRKYKLELSYESIWEIQLHRPPAYRSQKKFLLIQVEAAPKIYEQNQRRAGFMFEDPLFNYFRDDTDDQWTRTTDFTPSASIGQSFILCLELPYHDDLPKIRDYFVYYEEHNDDFHCRSGYSYSFDTRFVPNVKSHRFIDVPYEILFKINHLVQNGTLSGPTLDDNFFRMVSPGFVSIDHIKRALEKMSYQKKTCLNPTNWLSEEYAIIKRSRYVLASPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRHYAADLENFLRISFVDEDCEKLRSTDLSPRSAPGDNTRRTALYNRVLSVLSNGLTIGDKHFEFLAFSSSQLRDNSAWMFASRPGLSASDIRQWMGNFCNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEIPDITNGTKYVFSDGIGTISADFANEVSMKCKTRFTPSAFQIRYGGYKGVVAIDPRSDWKLSLRRSMSKFQSDNITLDVLAYSKYQPCFLNRQLITLLSTLGVRDYIFELKQQEAVGQLNRMVTEPQAAFEAIDLMPMGEITNVVKELLSCGYRPDVEPYLSMLLQTFRASKLLELKTKSRIFITNGRAMMGCLDETRTLKYGEVFVQVSNSANDHGKFAVTGKVVVAKNPCLHPGDIRILQAVYRPVLDHMVNCVVFPQLGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMLAPMDYTPAPTETLDHDVMIEEVHEYFTNYIVNESLGIIANAHVVFADKEHVKAESAPCLKLAELFSIAVDYPKTGVPAQIPSDLHVREYPDFMEKLDRATYESKGVIGKLYREIKKQNPHIEHFTKDVARRSYDTDLIVDGYQDYISEAVYFKEEYDFKLGNLMEHYGIKSEAEIISGCILKMAKNFTKKSDSDAIRLAVKSLRKEARSWFSEMSADENDDGQDASYAKASAWYHVTYHPQYWGCYNEGYGHRTHHLISFPWCVYDKLLLIKQRKNFLRRMQPEMHSLQNRMSRSTIFG* >Brasy9G028900.1.p pacid=40060769 transcript=Brasy9G028900.1 locus=Brasy9G028900 ID=Brasy9G028900.1.v1.1 annot-version=v1.1 MKEAFDPPASVVTTPENATSSCMRSIMRNDVLAGRDTISPHRSWDSMKCEEDANFLSHANRALSLLDYDAESSESKYAVAIGSDVYSTEEISPESEYDRQHPYFDLSNSSWENSSSSSEAVARNPDASTHVLAKMRTKSNIFGPGHDYLNSYRTTGPRMRRSYPEAAKVDFSFDCHPELKYKGSNRFTALPNCNGQSIEHRNEIVELPRGTRYVDETTSLSSRWCFDNGGRGDPSLPRGLMYGDEIPSLSTRRCNGNEALSWHHGSEIPSLSSRQGYGDEIPSLSTHKCNGISRSSQWPFGAESPSLSSRQSYGDEIPSLSAQKCNGISYSRQFQYGAESHLFSSRQVYSGEIPALSRRCRYQGTAPLYSGQRCHDAEARRLSSYQQGASHGRGHPRDNYASSLVSNPQIKITTNRHTGTRARVANRVVNSTNHCRNSKKENPLRNSEDIRDQVCGPRANKLNNATTATTKKDTLSPLVRRDQFNRSDFSVQYEHAKFYMIKSYSEDDIHKGIKYNVWASTPNGNSKLDAAFHEARNLMKETGSKCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMEFWQQDKWNGFFPVIWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIHLPQGLELLKIFKAYRHVTSILDDFDFYEEKENSRCAQEGINVDSLHEARLSYFGKDDLKSMGDLEAGMESMNFYESWED* >Brasy9G028900.2.p pacid=40060770 transcript=Brasy9G028900.2 locus=Brasy9G028900 ID=Brasy9G028900.2.v1.1 annot-version=v1.1 MKEAFDPPASVVTTPENATSSCMRSIMRNDVLAGRDTISPHRSWDSMKCEEDANFLSHANRALSLLDYDAESSESNSWENSSSSSEAVARNPDASTHVLAKMRTKSNIFGPGHDYLNSYRTTGPRMRRSYPEAAKVDFSFDCHPELKYKGSNRFTALPNCNGQSIEHRNEIVELPRGTRYVDETTSLSSRWCFDNGGRGDPSLPRGLMYGDEIPSLSTRRCNGNEALSWHHGSEIPSLSSRQGYGDEIPSLSTHKCNGISRSSQWPFGAESPSLSSRQSYGDEIPSLSAQKCNGISYSRQFQYGAESHLFSSRQVYSGEIPALSRRCRYQGTAPLYSGQRCHDAEARRLSSYQQGASHGRGHPRDNYASSLVSNPQIKITTNRHTGTRARVANRVVNSTNHCRNSKKENPLRNSEDIRDQVCGPRANKLNNATTATTKKDTLSPLVRRDQFNRSDFSVQYEHAKFYMIKSYSEDDIHKGIKYNVWASTPNGNSKLDAAFHEARNLMKETGSKCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMEFWQQDKWNGFFPVIWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIHLPQGLELLKIFKAYRHVTSILDDFDFYEEKENSRCAQEGINVDSLHEARLSYFGKDDLKSMGDLEAGMESMNFYESWED* >Brasy9G028900.3.p pacid=40060771 transcript=Brasy9G028900.3 locus=Brasy9G028900 ID=Brasy9G028900.3.v1.1 annot-version=v1.1 MKEAFDPPASVVTTPENATSSCMRSIMRNDVLAGRDTISPHRSWDSMKCEEDANFLSHANRALSLLDYDAESSESKYAVAIGSDVYSTEEISPESEYDRQHPYFDLSNSSWENSSSSSEAVARNPDASTHVLAKMRTKSNIFGPGHDYLNSYRTTGPRMRRSYPEAAKVDFSFDCHPELKYKGSNRFTALPNCNGQSIEHRNEIVELPRGTRYVDETTSLSSRWCFDNGGRGDPSLPRGLMYGDEIPSLSTRRCNGNEALSWHHGSEIPSLSSRQGYGDEIPSLSTHKCNGISRSSQWPFGAESPSLSSRQSYGDEIPSLSAQKCNGISYSRQFQYGAESHLFSSRQVYSGEIPALSRRCRYQGTAPLYSGQRCHDAEARRLSSYQQGASHGRGHPRDNYASSLVSNPQIKITTNRHTGTRARVANRVVNSTNHCRNSKKENPLRNSEDIRDQVCGPRANKLNNATTATTKKDTLSPLVRRDQFNRSDFSVQYEHAKFYMIKSYSEDDIHKGIKYNVWASTPNGNSKLDAAFHEARNLMKETGSKCPVFLFFSDTPHWI* >Brasy9G250300.1.p pacid=40060772 transcript=Brasy9G250300.1 locus=Brasy9G250300 ID=Brasy9G250300.1.v1.1 annot-version=v1.1 MTTKAPAVAVFTLSARAAAELCQTSCFLLPP* >Brasy9G030900.1.p pacid=40060773 transcript=Brasy9G030900.1 locus=Brasy9G030900 ID=Brasy9G030900.1.v1.1 annot-version=v1.1 MGLEDEFSARPSPPPSIVGLRRAAPGPVPFIASRACSRAPNSIPLPPERSSLSVSLPCIPLSLSLSLSLRRRRRPRPQRRQLQTRRCLPSPDAIREGWHPPSQPASTAAATGTSAAAPEGGSLHRSIGANHVGAVLPLARAPKVRPSLPRRPAVAAHLPSRHFSSARGASERRCNSSAAPAPQQSVQTNRESSLAPLLWNEAKTSLPNRCGIRWILLLNRVKQMMPKDVATLILRRIFDKKPDKAGSVLAKKCHMLEKFFQGFSSDTNL* >Brasy9G182700.1.p pacid=40060774 transcript=Brasy9G182700.1 locus=Brasy9G182700 ID=Brasy9G182700.1.v1.1 annot-version=v1.1 MFWEITTIMQFGWIKSFLALPKVASGAEAYDGLYGSIPRSLSMFVSGLDYAEYRVTWMHKGEVGGW* >Brasy9G335000.1.p pacid=40060775 transcript=Brasy9G335000.1 locus=Brasy9G335000 ID=Brasy9G335000.1.v1.1 annot-version=v1.1 MKLVHRNFARNGPGSAKFLPEEEDDLWHAYNLIAVGDTLQAVTVRKVLRDSASGGRDAERVKLKLEIVVESVDYDKEGNVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKENWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMHLEAARRDLRVIIENKSRIILAHAPSGYKHSLKEVLDSPSVMSLIKDTKAAQEVQALKEFFAMLTNDSARACYGPKHVEIANDRLAIQTLLMTDSLFRNTDIATRKKYVNLVESVKKFGGIVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM* >Brasy9G083800.1.p pacid=40060776 transcript=Brasy9G083800.1 locus=Brasy9G083800 ID=Brasy9G083800.1.v1.1 annot-version=v1.1 MSTSPPSSDHESGGGAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLAATRYVLSTGPVPFRVAAFSDGFDAGGMASCADPVEYCRKFEAVGSSTLAQAIESETAAGRAPSVLVYDPHMAWVPRVARAAGVPVAAFMSQSCTVDLVYGEAWAGRAPLPMADGSALLRRGVVSVDLAAEDLPPFVVSPELYPKYLDVSIRQFEALEDADDVFVNSFRDLEPQEAEYMESRWRAKTVGPTLPSFFLDDHRLPSNKAYGVNFFSATAPCMAWLDQQRPCSVVLASYGTVYNLDAVELEELGNGLCDSGKPFLWVVRSSEAEKLSEELLGRCKERGLIVPWCPQLDVLVHKAIGCFLTHCGWNSTTEAIVAGVPMVAMPRSADQPTTAKYVESAWGIGVRMRTDEKGSVSRAEVEGSIKKVMDGEMKDEFRRNAAEWMRKAKEAMQEGGSSDKNIAEFAAKYLES* >Brasy9G238600.1.p pacid=40060777 transcript=Brasy9G238600.1 locus=Brasy9G238600 ID=Brasy9G238600.1.v1.1 annot-version=v1.1 MAKDEVMESGGGHQDFAAKDYTDPPPAPLIDAAELASWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDVSASGPDAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMVAQCLGAMCGVGLVKAFQSAYFVRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIFNKDKAWDDQWIFWVGPMVGAAIAAFYHQYILRAGAIKALGSFRSNA* >Brasy9G165900.1.p pacid=40060778 transcript=Brasy9G165900.1 locus=Brasy9G165900 ID=Brasy9G165900.1.v1.1 annot-version=v1.1 MAATQEELLGVVESAPLTPSVFLDLPPTNHGDSKQPQNNLSLEYISCMLMEDDIVDKFTYQYTDHSMLLQVEQPFAQILSAADTTSFDADKSSAPDQLASALLPSKVQDPAFFSNGTGTVEPRNAVFPMESITSMNNMPSTAFFKGVEYTKMFLPRDFVMVHSRGCKNKFDMDGVTESGMGRSSKQIAALVHADSEEDELKKSLDRLILNGYDRHPGEMQEVLIIPDKENKVAQKSSRMRGRRSKMQTVVTDLETLLIRCAEAVASNDRRSASEVLEKIKRYSSPTGDARQRLAHYFAQGLEARLAGTGSQFYRSLVGTRTSTMKLVQAYHLYSATFCFFKVAFLFSNKTIYKAVAGRKKLHIVHYSINIGVQWPELIQWLADREGGPPEVRMTSISKPQPGFRPSEQIEAGHRLSNYASKFGMSIKFHAITAQPEAVQAEDIHIDPDEVLVVNSLFQFKTLMDESLTMDRVSPRDMVLNTIKKMKSSVFVHAITNGSYSAAFFMTRFRHALYNFASFFDVLDTTIPRNNDRRLQMERDFFARSVMNMIACEGADRVERPQNYREWQTQNHRAGLRQLPLDPDIVLMLKNKVKNQYHKHFMINEDDRWLLQGWKGRVLYALSTWVADDASSSNVA* >Brasy9G281500.1.p pacid=40060779 transcript=Brasy9G281500.1 locus=Brasy9G281500 ID=Brasy9G281500.1.v1.1 annot-version=v1.1 MFILTPTPRNSKTNRNCSSYGKGLLNSAGRIFQFFIFPFLSPPSPHINFTEKSDQEKGEGKGEGTQLSSRISSFLSSLLLLLSPGGPRIFAGVEEEEEGMGREDRFPVWEASLGAGVAAVFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAASAGASSCYFLSKLIGKPLVFSLWPDKLTFFQKQVSKRREKLLNYILFLRVTPTLPNTFINLASPIVDVPYHTFLLGTLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSMALLFLIGVVSVTPALLSKDEAQEKTPEIAVGTS* >Brasy9G240600.1.p pacid=40060780 transcript=Brasy9G240600.1 locus=Brasy9G240600 ID=Brasy9G240600.1.v1.1 annot-version=v1.1 MPASLLPPTFLPHHRHRLPARSCSTSSSSSSVSGSAAGHASRYDFEPLLAYLSAPSNVASLTSPSPPPSVPAPERRLAASYSAVPSHEWHALLRGLAASDASLPLAFALLPFLQRHRLCFPLDLLLSSLIHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAIALRLLALIREHGFLPDLASYSHLLASLLNTRDPPDAAILERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALDLLASAQAIGLTPRSNAVTALISALGGAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSDCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVQPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHRKGGRHDRAMELFEEMRESNCPPGTTTYNIMINLLGEQERWVGVETMLSEMKEQGLVPNIITYTTLVDVYGRSGRFKEAIECIEVMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLMNAFGEDRRVVEAFSVLQFMKENDLRPDVITYTTLMKALIRIEQYDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKYTKVA* >Brasy9G262300.1.p pacid=40060781 transcript=Brasy9G262300.1 locus=Brasy9G262300 ID=Brasy9G262300.1.v1.1 annot-version=v1.1 MSPNLESTLKSQVPALLLRRLFSAGGGARRDEAAAAAAKQQKRQMPAGRRRVFVQTESGCVLGMDLDRGDNAHTVKRRLQLALNVPTGGTSLTFGDRVLENDLSSVRSDSPLLLTRNSIHRSCSTPCLCPVSEDFEQKDCSGLVEILGSSSVSVKCLVDDVATAIRSGLDPVPIDSGLGGSYYFKNVGGDRVAIVKPTDEEPFAPNNPKGFIGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSIFNINCPMNGGTPAHDQKQQITSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHGGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQASIPFSEEELEYIRKLDPMRDVEMLRRELPMIREACLRVLVLCTIFLKEAATFGLCLAEIGEMMTREFRGMEEEPSKLEVVCMEARKRVTEWEPFSPGVEQGEDMDFQFSMDVLGGYNDMIRSPRFNCSGMKTSNFRNPLTKLVESMNEDNDDDEDRKESFMHSSNRIPSAQFNPPSVHRTTSLNGSAHTLNRSADEQLPSSMCFVRFSDMSTEEWHVFIEKFQELLKEALDECKAAAGQRMKLRMGTSCKF* >Brasy9G124600.1.p pacid=40060782 transcript=Brasy9G124600.1 locus=Brasy9G124600 ID=Brasy9G124600.1.v1.1 annot-version=v1.1 MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTTEFNHVNNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSDSMEREVKFFTETEENNQMRGPRTAVPYFL* >Brasy9G343900.1.p pacid=40060783 transcript=Brasy9G343900.1 locus=Brasy9G343900 ID=Brasy9G343900.1.v1.1 annot-version=v1.1 MAALAASRRLLHLRPELELCLRSRVLPLYSCRANGPGRSGHAPPRRRLVSCRQGPCGEGNAFAGKNNVMPSPDEQLNPGKDDSALEPMEKKNFSDEFLQLSLEEEEGHGVVCETTVQDVRKSAVELLAARAFTVSELRKKLCGKKFPVDTVDSVIADFKSRGLLNDGYYAESFSRSRWQSSTWGPRRIKQALRQKGVPDAEADQATRKVFQDDHDDEKQTARGISEASMDHLFVQASKQWQQGKSLPLENRRARIVRWLQYRGFSWGVTNTIVRKLEAQHPP* >Brasy9G313000.1.p pacid=40060784 transcript=Brasy9G313000.1 locus=Brasy9G313000 ID=Brasy9G313000.1.v1.1 annot-version=v1.1 MATPDLLFNLRNLFYLGAYQSAINNSDVPGLDADAAAERDVIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHTGGTLDLHALNVQIFLKMHRSDYADKQLKIMQQTDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFFSQLKLSHPDHVLVKSAASAEDNFERALQSVA* >Brasy9G179000.1.p pacid=40060785 transcript=Brasy9G179000.1 locus=Brasy9G179000 ID=Brasy9G179000.1.v1.1 annot-version=v1.1 MTSNFQRVLDRPAPSTTASCLIAEHPIKLWSESSKQGVRDRGSDLDEDSPQESRTCPWSRLLQGYGECMALVYDRAHVQRKPPKTNLEEP* >Brasy9G069900.1.p pacid=40060786 transcript=Brasy9G069900.1 locus=Brasy9G069900 ID=Brasy9G069900.1.v1.1 annot-version=v1.1 MEEDKAQPPPPQQQQQQEIELAGDKCKREEDSPEPATGDELAAASTAAAAGQDKAQPPPQQQEEIELPGDKRKREEDSPEPATGDELAAASAAASAGEEAGSGGGASQLHPMSKTSLCSYFRRHGAGPDGCRHGEACRYAHTDEELRPRPDGTWDPTSDRAKKLRKVSAEAEEADDEVVTFDDKSLDKCLVGLPRAWVTDRLKTFLQQQGISYATAKKKKGMTVGFVTFETVEGLKNAVEVLKENQSGGKEIKIADANRRSHQKSHTEGPITDNGAATGNSSVAPAIAEGAPKPEAEAASKKTVRDAVTPLAHMTYNDQLEHKKNSVAQLLKKLTRNAKKACPADVPNPDWVFKSKQIGGLPCKLEGILESPVIDGYRNKCEFSAGHSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSGIACKYASMFQDFLQLSSLPVWNRMDNSGFWRQFTVREGRSPPQAVAQDAETQISEVMLIVQVCSTGVDEVLMKDELDKLSTTLVRGAATCLPPLPLTTIVVQDHKGISNVAAADCPLIPLLVPKVDQSEEEAVDKTRIHDYISNLRFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVLDAQRNALINGVKNCRFVCGKAEDVMGSLLTEYLGSPQQDIADSESNSEVGPTGKKEDTVSGNEENMNSLTEKNDNGESPQLKDMSTDHPTCVSDEAKGGSDNRGSGDLEGGHEYNEAIHKQNFEEASLVNAESLDTKASDCLEEHTKKSKDDSSISKSSVIATSASQFKNFVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPESLVANAIELCTPTSENREKNKGHRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER* >Brasy9G261400.1.p pacid=40060787 transcript=Brasy9G261400.1 locus=Brasy9G261400 ID=Brasy9G261400.1.v1.1 annot-version=v1.1 MAPSLVLLSALEETSPKVCERWPASRWVIAAIISIRYVPCW* >Brasy9G153500.1.p pacid=40060788 transcript=Brasy9G153500.1 locus=Brasy9G153500 ID=Brasy9G153500.1.v1.1 annot-version=v1.1 MSNNSSSSMAPPSPESSALETVETKISPSILFVVAVLAVVFFVCGLLHLLVRHLLRLHRRRRRRMAEQQLGDGGESATAFQGQLQQLFHLHDAGVDQAFIDALPVFLYKNVVGGGGAGKDAFDCAVCLCEFAAEDELRLLPSCSHAFHVDCIDTWLLSHSTCPLCRSSLLLLAELSPPARGGPVVVMVLESDSASEESSPEMADVATRAANGEPSGVPGAGEEVVEVKLGKFMCVEGNAIAASAGDGAGAAAGSSSNGEAGLGLGQRRCHSMGSSYEYVMEEHASLRVSITKPPPKKTKHPAAVSRARGAMSECELGASLRLPPLTTSTTSTQKQHPPPAPAMAAAGSKLLAKDSFSVSKIWMARKDAAGPGERRTVSFRWPVKEDEDQGRRKSGSEAAEWGGDDVESCGGNSAVSAAASLAGEQRPSFARRTLLWVVGAGGRPQTSNRVGSCS* >Brasy9G229900.1.p pacid=40060789 transcript=Brasy9G229900.1 locus=Brasy9G229900 ID=Brasy9G229900.1.v1.1 annot-version=v1.1 MTGITECTVSDIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSSENTSLRLWDDAEKGTYVENLKEVILRDWNHLKELISMCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLAASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSYMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKHLQRELARLESELRYPATYSSLEALVKEKDNHIWKMEKEIKDLKVQRDLAQSRLQDLLQVVGDNHVTKRPLASGRNFTFDVPQPCEDQKSTNESLQVVDSAQNFRFQGHHAAQRELGSQQSENSVQFPTPSSYSVSSPPFSGMPPTNSRDDVSQISNEDSDDVCKEVRCIETNETEGNDGLDSLATGNSSLPDSNVGTSMHGNNGASHHDVSPITLEQHLETVRKPFANLVEDLGSSTRNPSSSKGIGRSRSCRSLMGSTLFEDLVKDDSTPPSRRFMDFPGRPEGCERRVPALNYDAESETLSRAGSMLSEITTTRGGPKANDSVAGDTEFTGIGEFVAELKEMAQYQKQLGGQCGNGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHACYASLVHRTYFFLLFKGDPADSIYMEVEIRRLSFLKDTYSNGGMESKVVAGSLNTSLVSSAKKLQREREMLCRQMQKRLTIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD* >Brasy9G229900.2.p pacid=40060790 transcript=Brasy9G229900.2 locus=Brasy9G229900 ID=Brasy9G229900.2.v1.1 annot-version=v1.1 MTGITECTVSDIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSSENTSLRLWDDAEKGTYVENLKEVILRDWNHLKELISMCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLAASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSYMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKHLQRELARLESELRYPATYSSLEALVKEKDNHIWKMEKEIKDLKVQRDLAQSRLQDLLQVVGDNHVTKRPLASGRNFTFDVPQPCEDQKSTNESLQVVDSAQNFRFQGHHAAQRELGSQQSENSVQFPTPSSYSVSSPPFSGMPPTNSRDDVSQISNEDSDDVCKEVRCIETNETEGNDGLDSLATGNSSLPDSNVGTSMHGNNGASHHDVSPITLEQHLETVRKPFANLVEDLGSSTRNPSSSKGIGRSRSCRSLMGSTLFEDLVKDDSTPPSRRFMDFPGRPEGCERRVPALNYDAESETLSRAGSMLSEITTTRGGPKANDSVAGDTEFTGIGEFVAELKEMAQYQKQLGGQCGNGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHACYASLVHRTYFFLLFKGDPADSIYMEVEIRRLSFLKDTYSNGGMESKVVAGSLNTSLVSSAKKLQREREMLCRQMQKRLTIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD* >Brasy9G229900.3.p pacid=40060791 transcript=Brasy9G229900.3 locus=Brasy9G229900 ID=Brasy9G229900.3.v1.1 annot-version=v1.1 MTGITECTVSDIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSSENTSLRLWDDAEKGTYVENLKEVILRDWNHLKELISMCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLAASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLRLESELRYPATYSSLEALVKEKDNHIWKMEKEIKDLKVQRDLAQSRLQDLLQVVGDNHVTKRPLASGRNFTFDVPQPCEDQKSTNESLQVVDSAQNFRFQGHHAAQRELGSQQSENSVQFPTPSSYSVSSPPFSGMPPTNSRDDVSQISNEDSDDVCKEVRCIETNETEGNDGLDSLATGNSSLPDSNVGTSMHGNNGASHHDVSPITLEQHLETVRKPFANLVEDLGSSTRNPSSSKGIGRSRSCRSLMGSTLFEDLVKDDSTPPSRRFMDFPGRPEGCERRVPALNYDAESETLSRAGSMLSEITTTRGGPKANDSVAGDTEFTGIGEFVAELKEMAQYQKQLGGQCGNGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHACYASLVHRTYFFLLFKGDPADSIYMEVEIRRLSFLKDTYSNGGMESKVVAGSLNTSLVSSAKKLQREREMLCRQMQKRLTIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSSLD* >Brasy9G340200.1.p pacid=40060792 transcript=Brasy9G340200.1 locus=Brasy9G340200 ID=Brasy9G340200.1.v1.1 annot-version=v1.1 MKRGHGDRLSGLPDAALERILSSLPSDEAVRTSALSRRWRDVYAAVPVVDLVDTKVGNMNLPDEDLKVCFDQQVTGAILCKSPGTPVRAFRLDVFSPPGALLDQWIATVVSSGAEEIDVKLRYWHYSKRRLCPFGSSKEASADFHRHDRKRFTKTQRYIFGCRTLRGLRLKNWTLDLPPSMAMSSLEKLHLARIMDPDGLLQQLLSNCPRLADLTLQECPSVGKITVSSAHLQRFAMICCHHATRIRLRSPGLQSLHYKGRLPRKSLFKVANYPGVMALAIEICEDLSTKVQTDVAPVTRLISRCTNLTYLHLSLRPSMAYNSSWFTAAVSGLPLRQLGLWGCLLNDHDLESVAALLRDVQNLEVLSLFPRGPPKKRRCSYSDGESDTESEDGIIGEGDDHNSQVPDSLLGMHISAAPAQEPVEKRVVAGSSKGGSGTGRAACGRRVFEGRRPVDASRRRVAGGRNVGGDSVRIQLTEDPDDCPF* >Brasy9G248900.1.p pacid=40060793 transcript=Brasy9G248900.1 locus=Brasy9G248900 ID=Brasy9G248900.1.v1.1 annot-version=v1.1 MPCCGGPSDPSEGIRAPPQPQRHGRKPFRCPKVIVLLLHVLFIGAVFLLDPTLRRQIRQDKWCMNLYGALVLLTLVQYLYTANSCPGYVGDMLRAGSGMHATFINTATLSKQASSKNGSLNSAMSRSKIEQQIAQPTIPSSFLAMMDLYPPGSSSRDLTCSHCHLIQHCHDCEKCVLQFDHHCAWLGTCIGKRNHCRFWWYVLEQAILASWTVALYIQFLHVDINESWLKGLTGLILLVALSLIAIVLLILLVLHTYLALTNQTTYEIARKKRISYLRGVPTTVYPFSKGICRNLYDLCLSRQEGYVLEVVPPLEELEARARPYTCRDVICCRCC* >Brasy9G248900.2.p pacid=40060794 transcript=Brasy9G248900.2 locus=Brasy9G248900 ID=Brasy9G248900.2.v1.1 annot-version=v1.1 MPCCGGPSDPSEGIRAPPQPQRHGRKPFRCPKVIVLLLHVLFIGAVFLLDPTLRRQIRQDKWYVGDMLRAGSGMHATFINTATLSKQASSKNGSLNSAMSRSKIEQQIAQPTIPSSFLAMMDLYPPGSSSRDLTCSHCHLIQHCHDCEKCVLQFDHHCAWLGTCIGKRNHCRFWWYVLEQAILASWTVALYIQFLHVDINESWLKGLTGLILLVALSLIAIVLLILLLPCSYQPNNI* >Brasy9G363800.1.p pacid=40060795 transcript=Brasy9G363800.1 locus=Brasy9G363800 ID=Brasy9G363800.1.v1.1 annot-version=v1.1 MAKCWLLLQRLLALLLPAAIGTVASACHPDDLRALRGFADNLSGGAVLLRATWSGASCCGWEGMGCDGDASDGRVTTLSLPGRGLAGPIQGAAPLAGLRQLESLNLAHNRLQVGTTFNSHHGSSRRTLADGQPNTISGTNNSVRSGSGNTVMGEDNTVIYICDNVASGSNNSVSGSHNTVSGSNHVVSGDNKVVTGG* >Brasy9G196200.1.p pacid=40060796 transcript=Brasy9G196200.1 locus=Brasy9G196200 ID=Brasy9G196200.1.v1.1 annot-version=v1.1 MGLSPSKRVDAALRRAPAFAASCDAAFDRCLADAQRAFAGVRPYQLADASAHLHSALRASLPLVRRWVPSPPPRARVDGALRAAGLDGAGELSRGQFGEFAAELFREAVLAGAMGAALVRAPAGAAGIVGMGLVSRAGAGAVGRLVAIYAAGVTAAVYLSLG* >Brasy9G296700.1.p pacid=40060797 transcript=Brasy9G296700.1 locus=Brasy9G296700 ID=Brasy9G296700.1.v1.1 annot-version=v1.1 MTSTRCRLGHGNMGRHEASLRRKLEEQQPAAELEAGAGHRAHGAAAPRPQEQRPSPPSCLPRLLSFWSPAPQ* >Brasy9G266000.1.p pacid=40060798 transcript=Brasy9G266000.1 locus=Brasy9G266000 ID=Brasy9G266000.1.v1.1 annot-version=v1.1 MEMHGQAADRLSALPDETLELILSQLGSDEAVRTSALSRRWRDVYAAVPVVDIRGVERIGRDSPTSEIPVCFEQRVTGAILCKGPGTPIHAFRLNTCLPPSGLLDQWMVTAASSGAEEIDIMLRYLYSSRRRLCPFDSSENASADFDGYDRKRYTKTQRQLFGCTTLRCLRLTNWTLDLPPCMAMSSLETLYLARIMDPGRQLQQLLLSCPRLADLTLQECPSIRKIAVTSVHLRSFAMICCHHATRIRLSSPCLQSLHYKGGLPRRPLFKVANFPGVVVLRIEICEDLSGKQRTDVAPVSTLIARCTNLTHLYLSLRPSMACHSSLFRDAIRGLPLRLLGLGFQGYLLQHYDVSSVADLLCVTENLEVLSLFALGPPPERTSTREDTCKISAFEGCSFGGVLGCLDGWTMPM* >Brasy9G209100.1.p pacid=40060799 transcript=Brasy9G209100.1 locus=Brasy9G209100 ID=Brasy9G209100.1.v1.1 annot-version=v1.1 MRAHFPHELAVLSVLFFSASTLMADGVLPEAMSSVAEEAPVTADRPVRADLETRLPKPYLARALVAPDVDHPEGTGEGHAHRQRSVLQQHVSFFDLDGDGVIYPWETYGGLRALGFNVIASFVLAIAINVGLSIPTLPSWIPSPLFPVYIKNIHRAKHGSDTSTYDTEGRFMPVNFESIFSKNAHTEPDKLTLGEIWRMTEGQRLAFDFFGWIASKGEWILLNVLAKDDQGFLPKEAIRRCFDGSLFEFIAQQRKEAHEKKQ* >Brasy9G209100.2.p pacid=40060800 transcript=Brasy9G209100.2 locus=Brasy9G209100 ID=Brasy9G209100.2.v1.1 annot-version=v1.1 MADGVLPEAMSSVAEEAPVTADRPVRADLETRLPKPYLARALVAPDVDHPEGTGEGHAHRQRSVLQQHVSFFDLDGDGVIYPWETYGGLRALGFNVIASFVLAIAINVGLSIPTLPSWIPSPLFPVYIKNIHRAKHGSDTSTYDTEGRFMPVNFESIFSKNAHTEPDKLTLGEIWRMTEGQRLAFDFFGWIASKGEWILLNVLAKDDQGFLPKEAIRRCFDGSLFEFIAQQRKEAHEKKQ* >Brasy9G149400.1.p pacid=40060801 transcript=Brasy9G149400.1 locus=Brasy9G149400 ID=Brasy9G149400.1.v1.1 annot-version=v1.1 MEDERNTQAHQAGGEADQVEVTDRGLFDKFIGKKKEEEDKKQEEVLVTGMEKVSVEEPAVKKEEVHQDGEEKESLFSKLQRSSSSSSSSSDEEEEVIDDNGEVIKRKKKKGLKEKIKEKLPGHKDTETAAPTPAQPTPAVTHGGHHDDGAVVVEKIDGEVKTEAPEEKKKGFLEKIKEKLPGGHKKPEDAAAVPVTHAAPAPVHTPAPAATEEVSSPEKKGILGKIMDKQPGYHKTPGEEDKAAAGEHKTTA* >Brasy9G251700.1.p pacid=40060802 transcript=Brasy9G251700.1 locus=Brasy9G251700 ID=Brasy9G251700.1.v1.1 annot-version=v1.1 MGQKNKKYPSYITVTASPLALRLYPTQTLLPHATRPRRRRPLPSLFFTRGGRLPSHPSPPPLKTGAAPTPPSRAAPSPPSPSPRTSLPPLWPGAAPSLHLLRPRAATSLAPLPSAATSLPPSTLCCQQPPSPATPMFFLVSA* >Brasy9G077700.1.p pacid=40060803 transcript=Brasy9G077700.1 locus=Brasy9G077700 ID=Brasy9G077700.1.v1.1 annot-version=v1.1 MTRNSMSDRSFVIESDDDDDETKHRGEEESDSSSSCGSPRVAGGLPVSSYNSTHQWPQSYRQSMDMYSSVQSPSLSGFLHQGTPTLSRLSSSFLSSFRGKPPAPPEIAVSGGLIKPLLPPDDHDNDEEEGGVRKSSHQHLPPSRKASSSLFKIPEDQQKKKPLPGAGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAVRQGGWLGLVILAVLAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTPGRIIISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGGFTLDSHVLFTILTALIVMPTTWLRDLSCLSFISAGGVVASIVIVACLFWAGLVDHVGTDKGEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKSNQFNAVVFTCITLSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVSSKIAVWTTVTNPITKYALTMTPLALSLEELLPPNRQTYPNIIMLRSALVLSSLVVALSVPFFGLVMSLVGSLLTMFVAYILPCACFLAILRSTVTWYQRKKKKMLGLVPRGCGEGRDRLIRVGVTLLIKQSFGGEGPYKLLFLFLSNAPF* >Brasy9G077700.2.p pacid=40060804 transcript=Brasy9G077700.2 locus=Brasy9G077700 ID=Brasy9G077700.2.v1.1 annot-version=v1.1 MTRNSMSDRSFVIESDDDDDETKHRGEEESDSSSSCGSPRVAGGLPVSSYNSTHQWPQSYRQSMDMYSSVQSPSLSGFLHQGTPTLSRLSSSFLSSFRGKPPAPPEIAVSGGLIKPLLPPDDHDNDEEEGGVRKSSHQHLPPSRKASSSLFKIPEDQQKKKPLPGAGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAVRQGGWLGLVILAVLAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTPGRIIISIILYMELYACCIEYLILESDNLSKLFPNAHLTIGGFTLDSHVLFTILTALIVMPTTWLRDLSCLSFISAGGVVASIVIVACLFWAGLVDHVGTDKGEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKSNQFNAVVFTCITLSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVSSKIAVWTTVTNPITKYALTMTPLALSLEELLPPNRQTYPNIIMLRSALVLSSLVVALSVPFFGLVMSLVGSLLTMFVAYILPCACFLAILRSTVTWYQVVLCVFIIAVGLCCAGIGTYSSLSKIIQNYQ* >Brasy9G348600.1.p pacid=40060805 transcript=Brasy9G348600.1 locus=Brasy9G348600 ID=Brasy9G348600.1.v1.1 annot-version=v1.1 MFVPKTDNQSDLEEPCRNPGPWQCTICTHQNDTSYISCELCGVLRDLSLYFNDAVGTEGGAKRRSKHYGVSVLARSLFTPPSPKSKAVVFSDGFQGNKNTTGYIQASLDALHETYMTRKQRHVNIVPFKFDTPSPDDMVTAGLKSFKHVRKVNAEAPSIDSVDVAGKKVMVDHDLVTQDAHTNPSSSVKLDELGENSSSVAVHIQNKTPALDDELQHLSLEEKPKNRKAKIKKPVSVSQYKPEPWMLQGEDQKMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESAEERTRGVTMTVGVAYFDTENYQVVLLDSPGHKDFVPNMISGATQSDAAVLVVDASIGSFESGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDSVEYSKERFSFVKSQLGIYLRSCGYKESAISWVPLSAMVNENLVTIASDPRLSSCRYDGNCLLKAIDSLAPPHRDVSKPLRLPICDVVSSHMLGQVAICGKVATGAIRSDSKVLVMPSGELATVRIIERDSSRLNLARAGDNIAIGLQGIDPIHVTSGGVLCHPDYPVSVASSLELKILVLDIAVPILVGLQFELHIHHAKVSAGLVKILSLLDQKTGKASAKKPRMLTARQAAIIEVKLEREVCVEEFSALKALGRAFLRSQGNTVAVGVVTRVPEQV* >Brasy9G348600.4.p pacid=40060806 transcript=Brasy9G348600.4 locus=Brasy9G348600 ID=Brasy9G348600.4.v1.1 annot-version=v1.1 MFVPKTDNQSDLEEPCRNPGPWQCTICTHQNDTSYISCELCGVLRDLSLYFNDAVGTEGGAKRRSKHYGVSVLARSLFTPPSPKSKAVVFSDGFQGNKNTTGYIQASLDALHETYMTRKQRHVNIVPFKFDTPSPDDMVTAGLKSFKHVRKVNAEAPSIDSVDVAGKKVMVDHDLVTQDAHTNPSSSVKLDELGENSSSVAVHIQNKTPALDDELQHLSLEEKPKNRKAKIKKPVSVSQYKPEPWMLQGEDQKMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESAEERTRGVTMTVGVAYFDTENYQVVLLDSPGHKDFVPNMISGATQSDAAVLVVDASIGSFESGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDSVEYSKERFSFVKSQLGIYLRSCGYKESAISWVPLSAMVNENLVTIASDPRLSSWYDGNCLLKAIDSLAPPHRDVSKPLRLPICDVVSSHMLGQVAICGKVATGAIRSDSKVLVMPSGELATVRIIERDSSRLNLARAGDNIAIGLQGIDPIHVTSGGVLCHPDYPVSVASSLELKILVLDIAVPILVGLQFELHIHHAKVSAGLVKILSLLDQKTGKASAKKPRMLTARQAAIIEVKLEREVCVEEFSALKALGRAFLRSQGNTVAVGVVTRVPEQV* >Brasy9G348600.2.p pacid=40060807 transcript=Brasy9G348600.2 locus=Brasy9G348600 ID=Brasy9G348600.2.v1.1 annot-version=v1.1 MFVPKTDNQSDLEEPCRNPGPWQCTICTHQNDTSYISCELCGVLRDLSLYFNDAVGTEGGAKRRSKHYGVSVLARSLFTPPSPKSKAVVFSDGFQGNKNTTGYIQASLDALHETYMTRKQRHVNIVPFKFDTPSPDDMVTAGLKSFKHVRKEAPSIDSVDVAGKKVMVDHDLVTQDAHTNPSSSVKLDELGENSSSVAVHIQNKTPALDDELQHLSLEEKPKNRKAKIKKPVSVSQYKPEPWMLQGEDQKMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESAEERTRGVTMTVGVAYFDTENYQVVLLDSPGHKDFVPNMISGATQSDAAVLVVDASIGSFESGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDSVEYSKERFSFVKSQLGIYLRSCGYKESAISWVPLSAMVNENLVTIASDPRLSSCRYDGNCLLKAIDSLAPPHRDVSKPLRLPICDVVSSHMLGQVAICGKVATGAIRSDSKVLVMPSGELATVRIIERDSSRLNLARAGDNIAIGLQGIDPIHVTSGGVLCHPDYPVSVASSLELKILVLDIAVPILVGLQFELHIHHAKVSAGLVKILSLLDQKTGKASAKKPRMLTARQAAIIEVKLEREVCVEEFSALKALGRAFLRSQGNTVAVGVVTRVPEQV* >Brasy9G348600.3.p pacid=40060808 transcript=Brasy9G348600.3 locus=Brasy9G348600 ID=Brasy9G348600.3.v1.1 annot-version=v1.1 MFVPKTDNQSDLEEPCRNPGPWQCTICTHQNDTSYISCELCGVLRDLSLYFNDAVGTEGGAKRRSKHYGVSVLARSLFTPPSPKSKAVVFSDGFQGNKNTTGYIQASLDALHETYMTRKQRHVNIVPFKFDTPSPDDMVTAGLKSFKHVRKEAPSIDSVDVAGKKVMVDHDLVTQDAHTNPSSSVKLDELGENSSSVAVHIQNKTPALDDELQHLSLEEKPKNRKAKIKKPVSVSQYKPEPWMLQGEDQKMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESAEERTRGVTMTVGVAYFDTENYQVVLLDSPGHKDFVPNMISGATQSDAAVLVVDASIGSFESGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDSVEYSKERFSFVKSQLGIYLRSCGYKESAISWVPLSAMVNENLVTIASDPRLSSWYDGNCLLKAIDSLAPPHRDVSKPLRLPICDVVSSHMLGQVAICGKVATGAIRSDSKVLVMPSGELATVRIIERDSSRLNLARAGDNIAIGLQGIDPIHVTSGGVLCHPDYPVSVASSLELKILVLDIAVPILVGLQFELHIHHAKVSAGLVKILSLLDQKTGKASAKKPRMLTARQAAIIEVKLEREVCVEEFSALKALGRAFLRSQGNTVAVGVVTRVPEQV* >Brasy9G004600.1.p pacid=40060809 transcript=Brasy9G004600.1 locus=Brasy9G004600 ID=Brasy9G004600.1.v1.1 annot-version=v1.1 MGGGEERRGEERGEEKETRALHPPRPSSTPAAHGLSPDRRAMSWQRRRRAGDGGGARGAADGAMGKMEDGRGAAAGEMEEARAAADGENGGRGAAEVEQEPGARRRTRAHVGWIRYCCTGRGATERGRRTGNRRRVAGAGGLSKQQATRDARKRSKMRAGQAAYAWLLESSQPDAAR* >Brasy9G019600.1.p pacid=40060810 transcript=Brasy9G019600.1 locus=Brasy9G019600 ID=Brasy9G019600.1.v1.1 annot-version=v1.1 MESDDEEMRDASSSSSGGGPDEEGNGGGAGFEEAGEEVMVMEVRWFEVDLDYEFDAPRWFDLAQEEAPVEAAAAEMWFANAPSYPPSPLIAMMLAEDLGLPNLRTISDANIDAVHCSKSLQGCSVAAQQSTYRSHAPNEGRTPCYRLSENERKPGTRSIVKGNFLKGSTLMKPTASQLARQNRQLEVKKAMQSKKSVGVRSEGSTMSSNDCTHQAGKRQRLENGHLNKAVGTNQHELVHKAHEKNVMNSSSDRATGLPKSKVTIPREPELATKLRAERSRILRAVPTNKKQLNKRDEQSASMMQAASTRKVMQPFWNTYHQHANVQHANVARNMPMCTSNRAANLQNVDKKPEECRDGPFKFKAQPLDRKILASKGDIGVFQSTKRNTTVFKEFNLSTSRKSRQVPLSELFNKLSLTAEARRAMDRQASERPNYITTKDCKENMIANIHC* >Brasy9G365300.1.p pacid=40060811 transcript=Brasy9G365300.1 locus=Brasy9G365300 ID=Brasy9G365300.1.v1.1 annot-version=v1.1 MADEPPAAAAVPKQDEGDTEELRALLDRAGAVISRVVERGEANPNPRLLHTLATICEDQEARHFHECASNPTFNNANSMSSHAIGKLANLLRENDEFYELVFCKFLSDTSYSVAVRCAAARLLLSCHSAWTFPHAFEDAIIENIKRWIKEDGEASNECELKHLGSNKKPTDVEMLRTYAIGLLYMALCSNGNLVEDVLNMGVSAKLMRFLRTRVHGDGTSSQRDASLPVDTRHPRVRDESRGKVQLVQDSSGLDGTKAGDGISTDPTLEKGFDHGVGMMQPHGELSMDDTVYLQRENADSSFDPADLPQADRMMCSAGSDTKPVNGEKHPTYECLRDELMKRKLGRTGCRLRGKTKAGESLPESECSPLSPTSRLRVGSRASRDKNAAKVEDPKKAIDLNNSAADIEPYTAISKEEFEDRFRDCIIGLKDITDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAALEVCKSENSGDAVVLAAEKAATAVIDAAMSTSVSRSSDQVNKEHVVEEAAKISEDQDLEDFVIVDQEQLLQLKEKYCILCLQILGEYVEALGPVLHEKGIDVCLALLQRGIKDNERRGHFMLLHEIVRLICALAAHRKFAALFVDRGGIQKILSVPRITQTYTSLSTCLFTFGSLQSTMERVCALSSDTINNVVELALQLLECPQDPARKNAAIFFAAAFVFKAVLDAFDARDGMQKVLNILHVAASVRSGGNSGGLGSSNLNQGNDRSPAEVLTQSEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAIFRQIQRDRKLGPALVRARWPVVDRFLASGGHITMLELCQGLPVDRYLRDLAQYAFGVLQIITLMPQSRKLIVQATLSNSRLGMTVLLDIANSVVGYVDPEVLCPALNVLVNLVCPPPSISNKQYSAANQQPAAAQALAGSYSESRDRNAEKSNSDRILAANQNESRERYGEGTPVVPSGVVGDKRISLGIGAGGPGLAAQLEQGYRQARELVRANNGIKILLQLLGTRMVTPPMAIDLIRALACRVLLGLARDDTIAHMLTKLQVGKKLSELIRDTSAQTPGGENGRWLTELTQVAIELIAILTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGLAATAAMLQKEAGITPLPSTGAVLPVHQHQVTALEASSVEQQWPSGRVQAFLQDKTMIATDQAGKGSDSVVPSSKKKALVFSSSFSKRSQPLLPFSGNRASNSLRSPVPAGNMDSMTCAASAAGDAETSHIIPTPFPLKRKLVDTEISSASAAKRPAIVDHACQSPVFQTPAPTRRGLSVAVDSPTVAFHSGRTNFNNVSSENLDDSQGTPGVVTGTPHLGANDQQSGNSERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSISAPANVAVRMGSREICRQFSGIQAPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGEASRVAAGNHTGELRIFDCNTANLLETQSCHQHLVTMVESTSSGGNELILSSSINEVKLWDASSLSTGPLQTFENCKSARFNHAGTLFATLSTDETQRAVLLYDVQTHNIDRQFPDNSSLSDSNRGYVQPIIHFSPSDDMFLWNGVLWDIRSPNPVHQFDLFTDYCGGGFHPAGNEVIINSEVWDLRKFKLLKSVPSLDQTVIKFNGRGDVIYATLRRNLEDITSAINTRRVRHPLFPAFRTIDAVTYTDIATVQIDRGVLDLATEPNDSLLGVVAMDDPGEMFSSARLFEVGRKRPTDDDSDPEDAGDTEDDDDDDDDSDVDVLLGTNLALGDSDSDDDPSNSSDDDDGGDDEEGIDSGDENDDDADFEEEGEFDVGGGLLEIMGDRDGDDSDMIESFSSGDEEGGGWMM* >Brasy9G008300.1.p pacid=40060812 transcript=Brasy9G008300.1 locus=Brasy9G008300 ID=Brasy9G008300.1.v1.1 annot-version=v1.1 MEMEGMSAPQQSPSAAPQAPRRRPPPALDRPCRRAGSATVSLARTSGKPNQTGLS* >Brasy9G363700.1.p pacid=40060813 transcript=Brasy9G363700.1 locus=Brasy9G363700 ID=Brasy9G363700.1.v1.1 annot-version=v1.1 MVEPAGRSAAAKKSTTSMSSSAMRKKKPEKERLAAEKQQQNSGSKKQGSSGTAAGAEPAAAAESPSYRLALRSLFSCRNTHAQHHAHRIPPPAESGADAAAMASKKCKKQQQQLGCSSGASICKLRDAGPRRPVLLKPEEEPMTAKRRASVSGAGGGGSGGERRVVKKALQQRQGGEAVAVVVSSSSSSSSAAGGSFRLRRLSGCYECHHMVVDPMSGGGGGSMRAAVFSCPDCGDVFVRAESLHRHQSTRHAVSELGPEDTSRNIIEIIFQSSWLKKQSPVCAVDRILKVHNAPATLARFEAHRDAVKAKALAVSSSSGGGGGGARCTADGNELLRFHCAALACSLGLRGATHLCGHASCAACAIVRDGFVVAPGAGNGVRTMATSGRAHDAVVVEEEDGGERRRAMLVCRVIAGRVKRAAQEKEAAAPESEKKGDLPEMETKTAEEEGEEYDSVAGSAGVYSSMDELEVFNPTAILPCFVVVYKA* >Brasy9G304100.1.p pacid=40060814 transcript=Brasy9G304100.1 locus=Brasy9G304100 ID=Brasy9G304100.1.v1.1 annot-version=v1.1 MIIGGDYFEGSHDHSLMAGSLIHDSSQAPKCNGNTDIELQKFKVPSFSSEVITNSTNLSSEAARAINHLQHQLGIDLEQDMQPVETATWDASICSIQDHIINNQISEDPQNILVEQQIQQYDAALYPNSAYTPAPDLLNLLHCTVAPAFPTTTSIFGDTSLSNTNYLDLNGEFTGVAATPESGLMFTSDSALQLGYHATQSHPLKDICHSLPQNYGLFPGEDEREVMIGVGSVGGDIFQDIDDRQFDTVLECRRGKGEFGKGKGKANFATERERREQLNVKYKTLRDLFPNPTKNDRASVVGDAIEYIDELNRTAKELKILVEQKWHGNKRRKIIKLDEEVAADGESSSMKPMRDDQDNQFDGTIRSSWVQRRSKECHIDVRIVENEVNIKLTEKKKANSLLHAARVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSSVYACAVAKRLLQAVDAQHQAINIFH* >Brasy9G127500.1.p pacid=40060815 transcript=Brasy9G127500.1 locus=Brasy9G127500 ID=Brasy9G127500.1.v1.1 annot-version=v1.1 MSSYSGSVRGDGRPARQSASAIIADAVAEPGLVDGDKAAQNESAAIVADSEPRSVHDCGDMLPQQAASTMIAEAVSGPAIGQGDTSAIVADSVPGPFYADAGDEMPPAQHGASTITTNATMLAGCDSDASAIVAKTVSGSHVLKIKSYSHTKGLGLGGILSDTFRVGGHCCRIVYYPDGCRSDCADWVSIGLLFVETHASHINARIKFSLLDHGGKPVPLYTTEAHTATTLSTRQEPLVSARFIERKTLESSYLKGDCFTVRCDVTITSGIRTEGTTKQSVVVPPSDIHQHLGQLLLAGKGADATFEVGGETFAAHRCVLAARSPVFMAELFGPMKENTATSIRIDDMEPSVFQAFLYFVYNDSLPDIDRGEEILMAQHLLVAADRYDLKRLKLICEDILSNSIDTETAAATLALAEQHGCCGLKDECFKFLTTPGKNLELVMTSDNFQHLWRVSPSVLKELLAKLDAINGIQAL* >Brasy9G257300.1.p pacid=40060816 transcript=Brasy9G257300.1 locus=Brasy9G257300 ID=Brasy9G257300.1.v1.1 annot-version=v1.1 MAALSTANQGVRLGWKEPGSLPSSSRLALPRLAAAALASHRVSRSKFAVAAITVDDYLPMKSTEVKNRTSVDGIKCLRLITAVKTPYLPDGRFDLEAYDSLINTQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTNIKVIGNTGSNSTREAVHATEQGFAVGMHAALHVNPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPPVIEAISRYSNMAGVKECVGHERVKCYTDKGITIWSGNDDECYDSRWKYGATGVISVASNLVPGLMRNLMYEGENVMLNEKLLPLMQWLFCQPNPIGLNTALAQLGVVRPVFRLPYVPLPLERRIEFVRIVEAIGRENFVGEKEVRVLDDDDFVLISRY* >Brasy9G017600.1.p pacid=40060817 transcript=Brasy9G017600.1 locus=Brasy9G017600 ID=Brasy9G017600.1.v1.1 annot-version=v1.1 MDKILAFSILSSSPADIAGAPGYATTRVISWRSGADTKKQQHQQQAEERKVQQSAPLPPERKPEARFAPEFDGINCFESIVSF* >Brasy9G205200.1.p pacid=40060818 transcript=Brasy9G205200.1 locus=Brasy9G205200 ID=Brasy9G205200.1.v1.1 annot-version=v1.1 MEAALEAARAKDTKERLAGVERLHEALEAAARRGLTSAEVTALVDTCMDLTRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEISSPTIIVERAGSYAWAHKSWRVREEFVLTVATAVGLFASTELLMQRVLLSPVLQLMNDSNQSVREAAISCIEEMYRNMGSQFHEELQRHNLPPYMLKEINSRLDRIEPQVPSSDGTATKYKAAESRSLSVNPKRGSPRTKSTARESTLFGGDTDITEKPVEPIRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSTIVKQACHLLNVLSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRVADTAKNDRSAVLRARCCEYALLILEYWADALEIQRSADLYEDLIKCCVADAMSEVRATARTCYRMFTKTWPERSRRLFMLFDPAIQRTINDEDGVHKRYASPSLRERVVQPSRATSHASGTHLPGYGTSAIVAMDKSAAISSDPSLSLNNLRLSQSKTSSRVSERSLESVLSSSKEKVSAIESLLKGVSISDRQNFSVARSTSLDLGVDPPSSRDPPVPASNHLSLQNSGLVDSTISSIRNVGSRLLDATATQLATKERSKSPYLSNVSSESTTGLSLPYLRRSSERLHDGGRMDESNDIRSTRPFPQMHMEKNYVDVPYRDAATHRDSHNSNVPNFQRPLLRKQVMSRASASGRHSFDDSQVPSGDVARYTDTLASLHDALSEGLNPSSDWVARVSAFDFIRNVVKQGHKGIQEILQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAEIIPACKKPFESYVERILPHVFSRLIDPKELVKKPCSLTLEIVGRLYAIDMLLPALVRSLDEQRSPKAKLAVLDFANKSFSKYTVVSEGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSQFDSTAVLNFILSLSVEEQNLLRRALKQKTPRIEVDLVNYLQSKKERPRPKSYDQTDFGASSEDGYAQTLKKSYPFGRYSSSSLDAEGGKKTNTVQQSTQHNVSMGRTTSDMSIDTSQSLEPATGTEVNRSWTKYPEKTDASLDVETAIGTPRLDFSQLCTPDGHDAVGSATAKGVLEEDMVVNLSSIKTNPLADNMLSIPQLLHQISSDTEVSTLEKHAALQQLIKASLGNDSSIWSKYFNQILTSVLEVLGDSDSSTRELALSLVAEMLNNQKDAMEESIEIVLEKLLHVTKDLVAKISNEANRCVNVLLAKYDPFRCLAVVAPFLVSDDEKMLVVCINCLTKLVGHFSQEELMNQLPAFLPALFDAFSNQSPDVRKSVVFCLVDIYIMLGKAFVPYLEGLSSTQLRLVTIYANRISQARSGAPIDADQ* >Brasy9G098700.1.p pacid=40060819 transcript=Brasy9G098700.1 locus=Brasy9G098700 ID=Brasy9G098700.1.v1.1 annot-version=v1.1 MRALCTLQSPSHRPPSIPSPAMACSRTLLCTAISLSLSLSLSLSLAASALAPPPNNTTQPAATTTVRVGYYFAADAHLRPLLSLDASLYTHLYYFSVPPTLHLPADPTQARLLSAFSSTLKAQNPALVTLLSVATQAASAASAAAAAADPAFAAMATDRASRAAFARAAVALARGNGFDGLDLSWRFPASALEMAHFGLLVANLRAAAAAMAAPGFLLTATVYFSNHVFGFGVDYPSEAVARSLDWVNVMAIATAFDAPLYDAASHFSASYGVVSWLDAGVPAGKLVVGLPLYGRSWFLRNKANSGAGAPAVAAGPKQRGSNTTGAMSYAEVQDLAAAGAGRAPAPVMTSYDNASVSSYVAVGDVWVAFDGASVVAEKLGFAARRGLLGYFLWPVNYDDANLTMSRRASDVWVQSKVSSDFRNATAVRQRKAPLELPPALRSPAPASSPVPVPTSESFSWLSWKKLDAHLHFAFLILLLY* >Brasy9G053300.1.p pacid=40060820 transcript=Brasy9G053300.1 locus=Brasy9G053300 ID=Brasy9G053300.1.v1.1 annot-version=v1.1 MRLSSSRNLWQASGATLVAALRKNSWSSLIHGRLRFNHTLLHTPNNRVKRTEGWAVRVMPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLMLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIHDTETGLELNLENVEKVLDEIRPYLVGTGGGSLDLVQIDGFVVKIQISGPAASVMTVRVAVTQKLREKITSILAVQLTE* >Brasy9G016700.1.p pacid=40060821 transcript=Brasy9G016700.1 locus=Brasy9G016700 ID=Brasy9G016700.1.v1.1 annot-version=v1.1 MDSLPPSSYWLKIWTVDQHVIPNFRDETLLNHQAKLRKNMSQNTSLTPKQVEENHYFHNNQFCHMETSKDGDAPVPCKHGDAPVSKPQNSSELPRRPAPAPAGPPRPPPHSSQRPALPSSSAAAAAAGVRRSHRRRLLAAAAARLAAGAVPQPALPAPPRSGRRPAPEPASPPAATASSQRPPPGSRAGQSSSGHRQHRPPVSLPPLFSSPAQLSAWLAGDALTAAAVASFLFLSRLNFSVGLFTFLPLFLDTPFRYSVHLSWSIRK* >Brasy9G150300.1.p pacid=40060822 transcript=Brasy9G150300.1 locus=Brasy9G150300 ID=Brasy9G150300.1.v1.1 annot-version=v1.1 MDDGVLSAAIHPKLQSPDHVFRQYLGRRAGIVKALTEDVAEFYEQCDPEKAGLCLYGLPDGTWKVNFPVEKIPSILPEPVCGINFARDGTTKKDWISLIAVHSDAWLMSMAFYHAGRLAFDREARTELFRMINSFSTTFEVVRESYKKRSQVRNGSIENKPSFQPPRKPNSNSKPVKQALQTLEEENGAEAGEGGDDQASNECAACCEAYSDDEWHFWILCDDCTRWFHGKCVQVTPAMAKAMEKYVCPGCSYRSKATKASGANVVTSLDS* >Brasy9G150300.2.p pacid=40060823 transcript=Brasy9G150300.2 locus=Brasy9G150300 ID=Brasy9G150300.2.v1.1 annot-version=v1.1 MDDGVLSAAIHPKLQSPDHVFRQYLGRRAGIVKALTEDVAEFYEQCDPEKAGLCLYGLPDGTWKVNFPVEKIPSILPEPVCGINFARDGTTKKDWISLIAVHSDAWLMSMAFYHAGRLAFDREARTELFRMINSFSTTFEVVRESYKKRSQVRNGSIENKPSFQALQTLEEENGAEAGEGGDDQASNECAACCEAYSDDEWHFWILCDDCTRWFHGKCVQVTPAMAKAMEKYVCPGCSYRSKATKASGANVVTSLDS* >Brasy9G052500.1.p pacid=40060824 transcript=Brasy9G052500.1 locus=Brasy9G052500 ID=Brasy9G052500.1.v1.1 annot-version=v1.1 MGSASDDNSSREHLLDVDGLAGAGGGPKIRVRGLRRRSEASGEEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVSLDGADICGLDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTDAEVKNLLSLSDLDPALSSKPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVDGEVVEVLAPSDLSAAKHPMARRFLELS* >Brasy9G096400.1.p pacid=40060825 transcript=Brasy9G096400.1 locus=Brasy9G096400 ID=Brasy9G096400.1.v1.1 annot-version=v1.1 MGSLPPAEKRPQPHAVMIPYPAQGHVTPMLKLGKLLHARGFHVTFVNNEYNHRRLLRSQGAGMLSSVPGFRFEAIADGLPPSDNEDATQDITSLCYSTMTTCFPRLKELILRLNRDAEDSGGALPPVSCVIGDSVMSFSLGVARELGIRCATLWTASACGFMAYYHYKDLAQRGLVPLKDEEQLSNGYLDTTIDWIPGVPKDLRLRDFPSFVRTTDPKDIMFNFFIHETAGMSQASAVVINTFDELDAPLLDAMSKILPKVYTVGPLQLTVRNNIPEESPIVGIGSNLWKEQDAPLRWLDSRPAGSVVYVNFGSITVMSKEHLLEFAWGLANTGYSFLWNVRPDLVKGDEAALPPEFFKLTEGRSMLSTWCPQEKVLEHEAVGVFLTHSGWNSTLESISAGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIDDNVRRVEVEALIREAMEGKKGQEMKRRVLDLKKSAVASAQPGGRSMSNVDKFIEEVLLA* >Brasy9G321900.1.p pacid=40060826 transcript=Brasy9G321900.1 locus=Brasy9G321900 ID=Brasy9G321900.1.v1.1 annot-version=v1.1 MRRQFERGGVAFSDVSSASPPDACSPRLLLIIPFLPPPSLKSFQALNCSAEAESIESTRKYAPSFASRMDGDANGTNGSSSKSCRPLTHYCIPDYILKPDSDQVIIDNAPCCPVVVFINSRSGGQLGSGLIKTYRELLNEAQVFDLSEESPDKVLHKLYANFEKLKSNGDTLAFEIQRSLRLIVAGGDGTASWLLGVVSDLKLSPSPPVATVPLGTGNNLPFSFGWGKKNPATDQEAVKSFLGQVKGAREMNIDSWHIIMRMRAPKEGPCDPIAPLELPHSLHAFHRVSGSDSLNVEGYHTFRGGFWNYFSMGMDAQVSYGFHSERKKNPEKFKNQLTNQGTYAKLGLKQGWFAPSLTHPSSRNIAQLAKVKIVKRPGGLWEELKIPHSIRSIICLNLPSFSGGFNPWGTPNKRKVQDRDLTAPFVDDGLIEIVGFRDAWHGLVLLSPKGHGTRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDETVVVEISHLRQVTMLANGSCKSKSFEDPTSPSCRGHEDDESDSLEDEDEWEEGRKKFGAAATFKLPDEVEIAHLS* >Brasy9G271700.1.p pacid=40060827 transcript=Brasy9G271700.1 locus=Brasy9G271700 ID=Brasy9G271700.1.v1.1 annot-version=v1.1 MKLVHRNFARNGPGSAKFLPEEEDDLWHAYNLIAVGDTLQAVTVRKVLRDSASGGRDAERVKLKLEIVVESVDYDKEGNVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKENWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMHLEAARRDLRVIIENKSRIILAHAPSGYKHSLKEVLDSPSVMSLIKDTKAAQEVQALKEFFAMLTNDSARACYGPKHVEIANDRLAIQTLLMTDSLFRNTDIATRKKYVNLVESVKKFGGIVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM* >Brasy9G290400.1.p pacid=40060828 transcript=Brasy9G290400.1 locus=Brasy9G290400 ID=Brasy9G290400.1.v1.1 annot-version=v1.1 MDLPPLSHQALFAAVRSADADAVRGLLPDAGSSPAAAAALAAAQTDSGETALYVAAEAGAAEIVRLLLPLYDLEAASLRSRIDLDAFHVAAKQGHTEVVKEFLGRWPELCQVCDSSKTSPLYSAAVKDHLDVVNAILDTDDNCIRIVRKNGKTALHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMDDVSILNVRDKKANTALHIATRKWRPQMVQLLLAYESLEVNAINNQNETAMDLAEKVPYGESKMEIMEWLSEAGAKNAVNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTLVATLIASIAFVSIFNLPGQYYQDRKQGGEIGEAYISKLTGFRVFCLLNAIALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWSACLSTCAAFVSLAYVVVGPQHAWMAFTISAVGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSVHEGLSDLEAVEEHEKKIYAL* >Brasy9G042800.1.p pacid=40060829 transcript=Brasy9G042800.1 locus=Brasy9G042800 ID=Brasy9G042800.1.v1.1 annot-version=v1.1 MMGHATPSQANSVLLPKISLEGYHAKVSEENKQASVEIFLKPARYLECAMQHVLPRMLPEKRCCSVRTNTMQCNKCANIWTKTQISPIARLAHITLT* >Brasy9G167100.1.p pacid=40060830 transcript=Brasy9G167100.1 locus=Brasy9G167100 ID=Brasy9G167100.1.v1.1 annot-version=v1.1 MEHEEQQAMDLPPGFRFHPTDEELITHYLAKKAADARFTAFAVSEADLNKCEPWDLPSLARMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRAKGVLAGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKSTTSSKNEWVLCRVFKKSLVGVAASSASASSAAAKKGAAGMEDTIGTSSMAAVTATQLPPLLDMSGAAGFGDPAAAHVTCFSNNALEGGHGHGHFFNSTAADDHHHGGPFLANYAQYGLVQLLESSGGYRGLDMAAPCKQQQPAAACKEMLMSASQDTGLTSDVHPEISSSSGGAQKFDHEPALWGY* >Brasy9G167100.2.p pacid=40060831 transcript=Brasy9G167100.2 locus=Brasy9G167100 ID=Brasy9G167100.2.v1.1 annot-version=v1.1 MEHEEQQAMDLPPGFRFHPTDEELITHYLAKKAADARFTAFAVSEADLNKCEPWDLPSLARMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRAKGVLAGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKSTTSSKNEWVLCRVFKKSLVGVAASSASASSAAAKKGAAGMEDTIGTSSMAAVTATQLPPLLDMSGAAGFGDPAAAHVTCFSNNALEGGHGHGHFFNSTAADDHHHGGPFLANYAQYGLVQLLESSGGYRGLDMAAPCKQQQPAAACKEMLMSASQDTGLTSDVHPEISSSSGGAQKFDHEPALWGY* >Brasy9G280900.1.p pacid=40060832 transcript=Brasy9G280900.1 locus=Brasy9G280900 ID=Brasy9G280900.1.v1.1 annot-version=v1.1 MAFYDESPPLRINTRGGHMGGGVEHDEAENQRWPPWLKPLLATSFFGQCKQHADAHKCECNMYCLDCVNGALCSQCLAYHHGHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEYRGRKKHAGGKKRKLKKGAAASDSEDSSTITGGGSDKSSVVQSFTPSTPPATANSYRSAKRRKGIPHRSPFGSLMVEF* >Brasy9G333100.1.p pacid=40060833 transcript=Brasy9G333100.1 locus=Brasy9G333100 ID=Brasy9G333100.1.v1.1 annot-version=v1.1 MPPSVASTSMRCLVLSPSLLSLPHLLSTQIPQRTGCLPLVTCRLPRHRCTSLHSVVQPQPVGRCRCHKSSHTIVCAFDCSSVGLDDFYF* >Brasy9G276900.1.p pacid=40060834 transcript=Brasy9G276900.1 locus=Brasy9G276900 ID=Brasy9G276900.1.v1.1 annot-version=v1.1 MAASVACAFFFDAEPAGETGKHALDACALCAKPLARDSDIFMYRGDTPFCSQECRCEQMQLDAFYARQAAKAAARRQRQFSSGTEAGSGKQESREVPVAS* >Brasy9G077800.1.p pacid=40060835 transcript=Brasy9G077800.1 locus=Brasy9G077800 ID=Brasy9G077800.1.v1.1 annot-version=v1.1 MSTAAMASSEVQPASRKKVCVIGAGYAGLAAARGLRQEGHAVTVLEQSADVGGQWLYDHDSAVHSSIYASLRVLSPRELMGFTGFQFRPSTTSGGRDARRFPGHREVQLFLRDFCQASGLLDSIRFRTRVLRVSSMTITPPRHGQEWVVKAADQAAGVVEEEVFDAVVVATGHYSQPRLPRIDGMAEWGRRQLHSHWYRVPEPFRGETVVIVGSGDSGRDIALDILAVAKEVHLSAKSTEAAAMAMRKTLARHPNLHLRPEVRRLCADGHVVFFSGAGGEEDSAVVLADSVVYCTGYRYSFPFLDGDHQIAVDEEGGAVAPLFEHTFPPSMAPWLSFVGVPRKVPVPWFFEAQGRWIARALSGRTPLPPEPEMTRRVLERRRAMELQGVPVDRTHQLQPQEVLEFWEKYCGDLPPVEEWKRELMEAVSRDFDDDMETFRDRADDDSLSENVRMGLQRWYGLSTQEDQDQNSGAVAAPGTAADQL* >Brasy9G348000.1.p pacid=40060836 transcript=Brasy9G348000.1 locus=Brasy9G348000 ID=Brasy9G348000.1.v1.1 annot-version=v1.1 MGVAVTTTTTLLFFLLVFVSTSGASPSSPPPSSPSLRFHYINPLNFTTAAASSSAPSTTTRPSLKLLHRDTVSGTKHPSRRHAVLALASRDTARVAFLQRRLSPYPSPSSSSPSAVESGGAISQGSGEYLVRVGIGSPPLEQHLVADTGSDVIWVQCSPCADGGCYPQADPLFDPANSASFSPVPCGSGVCRAAAEHSSSSSCGSGGECEYKVSYGDKSYTSGVLALETLTLDGGTEVQGVAMGCGHENRGLFAEAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLAGYSGGGSGSLVLGREDAAPTGAVWVPLVRNPNAPSFYYVGVSGLGVAGERLPLQDGLFDLADDRGGGVVMDTGTAVTRLPAEAYAALRDAFAGAFEDGAPRAPGVSLFDTCYDLSGYASVRVPTVALYFGGAGQDAAALTLPARNLLVPVDDGGTYCLAFAAVASGPSILGNIQQQGIEITVDSASGYVGFGPTTC* >Brasy9G347900.1.p pacid=40060837 transcript=Brasy9G347900.1 locus=Brasy9G347900 ID=Brasy9G347900.1.v1.1 annot-version=v1.1 MADQKETGTKAKQKQRGELVAEERTSPSSQAISIRISPRTQSPALPPLTMLPSHLNGHSPLARRPRLSGATGDPPAAEAAGAGAASLEAHDRVYFQSYSHIGIHEAMIKDRVRTDAYRTAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIAAQASEIVKANNLADKIVVIHGRVEDVDIKEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGIDMSALVPLAKKFTSEEPSIEIISGENVISWPFVVKHIDCYTFTVEEFKSVTTTYKVSSMMLAPIHGFGLWFEVEFNGPAESLDDLSSNSNPLDIIQKKRRRGSDNTVLLSTAPEDEPTHWHQTILYFPDPIEVTQDQIIEGSVMVTPSEENPRCLNIRLECSTGGQTLVKDFAMR* >Brasy9G347900.2.p pacid=40060838 transcript=Brasy9G347900.2 locus=Brasy9G347900 ID=Brasy9G347900.2.v1.1 annot-version=v1.1 MADQKETGTKAKQKQRGELVAEERTSPSSQAISIRISPRTQSPALPPLTMLPSHLNGHSPLARRPRLSGATGDPPAAEAAGAGAASLEAHDRVYFQSYSHIGIHEAMIKDRVRTDAYRTAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIAAQASEIVKANNLADKIVVIHGRVEDVDIKEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGIDMSALVPLAKKFTSEEPSIEIISGENVISWPFVVKHIDCYTFTVEEFKSVTTTYKVSSMMLAPIHGFGLWFEVEFNGPAESLDDLSSNSNPLDIIQKKRRRGSDNTVLLSTAPEDEPTHWHQDQIIEGSVMVTPSEENPRCLNIRLECSTGGQTLVKDFAMR* >Brasy9G347900.3.p pacid=40060839 transcript=Brasy9G347900.3 locus=Brasy9G347900 ID=Brasy9G347900.3.v1.1 annot-version=v1.1 MADQKETGTKAKQKQRGELVAEERTSPSSQAISIRISPRTQSPALPPLTMLPSHLNGHSPLARRPRLSGATGDPPAAEAAGAGAASLEAHDRVYFQSYSHIGIHEAMIKDRVRTDAYRTAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIAAQASEIVKANNLADKIVVIHGRVEDVDIKEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGIDMSALVPLAKKFTSEEPSIEIISGENVISWPFVVKHIDCYTFTVEEFKSVTTTYKVSSMMLESLDDLSSNSNPLDIIQKKRRRGSDNTVLLSTAPEDEPTHWHQTILYFPDPIEVTQDQIIEGSVMVTPSEENPRCLNIRLECSTGGQTLVKDFAMR* >Brasy9G347900.4.p pacid=40060840 transcript=Brasy9G347900.4 locus=Brasy9G347900 ID=Brasy9G347900.4.v1.1 annot-version=v1.1 MADQKETGTKAKQKQRGELVAEERTSPSSQAISIRISPRTQSPALPPLTMLPSHLNGHSPLARRPRLSGATGDPPAAEAAGAGAASLEAHDRVYFQSYSHIGIHEAMIKDRVRTDAYRTAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIAAQASEIVKANNLADKIVVIHGRVEDVDIKEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGIDMSALVPLAKKFTSEEPSIEIISGENVISWPFVVKHIDCYTFTVEEFKSVTTTYKVSSMMLEEKAARIR* >Brasy9G223900.1.p pacid=40060841 transcript=Brasy9G223900.1 locus=Brasy9G223900 ID=Brasy9G223900.1.v1.1 annot-version=v1.1 MTRARDRTEDFKESVRVAALAHGYTEAQLAALMSAFIIRKPNPKSPFTNAAIKTLESIRELEKFIVKHRKDYVDTHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEDEKNRSAKTWLSTRDEGSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQEAINRAMPRKKIKKKPEIKPSESSMSNLVLQSDVSRVGDQEVSTAPSRVQEQLLDDETRALQVELGNLLDTVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFILLFFFVLTFSVLFLDWYKN* >Brasy9G076500.1.p pacid=40060842 transcript=Brasy9G076500.1 locus=Brasy9G076500 ID=Brasy9G076500.1.v1.1 annot-version=v1.1 MDPSRKFFPAVFVLLLLVAATEVASTEAKRECEAASVRFKGLCMVQTNCANVCLTEGFTGGRCSSFVRKCMCTKPC* >Brasy9G152900.1.p pacid=40060843 transcript=Brasy9G152900.1 locus=Brasy9G152900 ID=Brasy9G152900.1.v1.1 annot-version=v1.1 MCGAARRPISSVNSGAPSKAHTLVRPANRRSRMAANFWASSHSKQLLDPVEVDVVPAADRARGITPMEFRLVKIHMSFHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMTEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRADMNIVKNISMEILDFYDTYKIDPQRGLPEDKISPVMNKLPSKV* >Brasy9G235600.1.p pacid=40060844 transcript=Brasy9G235600.1 locus=Brasy9G235600 ID=Brasy9G235600.1.v1.1 annot-version=v1.1 MAIARTGVYVDDYLEYSSTLAGDLQRILSTMHELDERADGIMGQTKEQIKHLLGVPSHGFERPNMVGDDEAASERMKRDIESSQDNALSLSTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPHVLPPMPVGGRDDKRRHGLSTPQATKKFREREWERVMDFDLMPPPGSNKKTVTSMDADQMIDPNEPTYCVCHQVSYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ* >Brasy9G235600.2.p pacid=40060845 transcript=Brasy9G235600.2 locus=Brasy9G235600 ID=Brasy9G235600.2.v1.1 annot-version=v1.1 MAIARTGVYVDDYLEYSSTLAGDLQRILSTMHELDERADGIMGQTKEQIKHLLGVPSHGFERPNMVGDDEAASERMKRDIESSQDNALSLSTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPHVLPPMPVGGRDDKRRHGLSTPQATKKFREREWERVMDFDLMPPPGSNKKTVTSMDADQMIDPNEPTYCVCHQVSYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ* >Brasy9G171600.1.p pacid=40060846 transcript=Brasy9G171600.1 locus=Brasy9G171600 ID=Brasy9G171600.1.v1.1 annot-version=v1.1 MGRKSSFFCAIFSFSRKSRRYHGDDEDSDWEQPTPAGLRKVRSSDEDYGWWVGERDVDQKAADFIASFHQRSLVV* >Brasy9G044800.1.p pacid=40060847 transcript=Brasy9G044800.1 locus=Brasy9G044800 ID=Brasy9G044800.1.v1.1 annot-version=v1.1 MMVMGYFRAPKKRGSRKKKDSEEALREALLEQPAGGEGDGGVPKGYFAVYVGEEEEAMEPRRFVVPTGYLREPAFRELMERAADEFGFAQAAGLRVPCALDDFEDLLRRLRCKNNGKAGIGKKKPIS* >Brasy9G247100.1.p pacid=40060848 transcript=Brasy9G247100.1 locus=Brasy9G247100 ID=Brasy9G247100.1.v1.1 annot-version=v1.1 MEGKEEDVRLGANKFSERQPIGTAAQGSEDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYVTILTVMGVNNSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQQGLYMSSGGGANAVAAGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKSHNWADHWIFWVGPFIGAALAAVYHQVVIRAIPFKTKS* >Brasy9G000600.1.p pacid=40060849 transcript=Brasy9G000600.1 locus=Brasy9G000600 ID=Brasy9G000600.1.v1.1 annot-version=v1.1 MERSHHLLVLGLLAALLPAAAATYGTTQPEHGAPCEPRRFAIQISLFCVPDKSTAQCCEPVVASFDLGGGGVPCLCRVAAEPQLMKENIRAPPTSSRSTPPAVDSAPERTSSSLHVKTRRSHPRRLNMTTALTTRPHPHHPVAPPLRHPRDPSLSPFSSSSSRSSSSLSSLSDSPTIMSGYDPVAVVVLFCFMFLAIWFCLESEEDGGF* >Brasy9G101200.1.p pacid=40060850 transcript=Brasy9G101200.1 locus=Brasy9G101200 ID=Brasy9G101200.1.v1.1 annot-version=v1.1 MLGSGNVDDMPPSPLSDDLLCSPIYSHPKRSLPGQMDTIFQGCYVKDDIHGFRVALTGLKAAHEKTPDNLRKSGEEIYNSLFYHACESDAVNCLSVLLEGVCGFKSSSVDMRDRHGRTLLHVAASALSTNCIKLLISNGARTDLRLFNQTRHLALQCAVSSLGDKVGWSKDDPASSCLANLKKDEKCMDAITLLAAKTRGVEEMAYRYAMGGSLTSFAILMVVAQDKLLGPISLMIKPNGFRTITSIYDSVLDQALSVGDSSAPQDVERRKALLAVIEIFNLFEADLVPRKCCTIKISSENNRGTKICAIMKDDTALLDSLASSFVKEASVLLCRGTYTPISMRQEISSRLLHLACQHDAVKCAEVLLEGTVWLTAAQVDGKDRLGCSALDVAAEAHSIKCIELLISKDVSSNVPSVDASVNGPLPLEVALMSSSGSFACPRLHGCPRLRCY* >Brasy9G032700.1.p pacid=40060851 transcript=Brasy9G032700.1 locus=Brasy9G032700 ID=Brasy9G032700.1.v1.1 annot-version=v1.1 MDILISAIVGDLVSRSASFVIRKCFRQQPDIDKILQRLHTVVLRIDTVVEEAERRHITSKGMLRQLKILRQGMYRGHYVLDALRFQDFGEEEKVSYSSSALSRFSQSKRLRLSRNGGGSSNREAAPLLVANNSIREELQHMVDTLEDTMTGMNEFLFFLDSYPRIIRQPYGTYLLLDNCMFGRQTEQEQVLNFLLCPSVTADLAVLPIVGPLGAGKSTLVEYVCRDESVRERFSMIIFLPEGCLKNEGVINLTGNNTKIRHQNCTSQNRLLIIVEIAEDINEGTWRRLKSSTNSMAPRGGSKIIITSRSERIVNLGTTEALRLNHVRPEPYWHFFKSLAFGSTNPDEQPNMAAMAMEIALEQRQCFMGAHIVAGLLRDNFNARFWRRILECVRANKQTHLLLFRKHPNLRLLEEGPLYNWRLEGSCRYFLVCNYQSDSGDEVPKISARDIILGSGGTLPRGEFEALAWRSRIPPYYNYTIRCIIQAPQPMVGRKKRVPQEEGHLI* >Brasy9G046100.1.p pacid=40060852 transcript=Brasy9G046100.1 locus=Brasy9G046100 ID=Brasy9G046100.1.v1.1 annot-version=v1.1 MAIGMEARYSDEEIRAMVHRGIVDPDEEDESLTAQQRAVRRALQAPTAFPTTAHLDWVDYVEDTAVALADDMAGAGDKLRNGARVFAGRRLGASCEEEAALVAELRRQAAGCEERRAQAQALAADARRLRDKYLRAASGISVGNKQDGGEALRAATAEFLGHVAREMEDDGVSVADMARPEAVDAAARAGQGIGERFVEEVLAGLSQRLRRHALDYGPRDKALKEALGRRAAELEKMCADPEKLVERMLASSEWRAMIRHG* >Brasy9G092400.1.p pacid=40060853 transcript=Brasy9G092400.1 locus=Brasy9G092400 ID=Brasy9G092400.1.v1.1 annot-version=v1.1 MDKGVVVYICDSCGALDIYEVDGASTFPASEPLVLLEGGS* >Brasy9G092400.2.p pacid=40060854 transcript=Brasy9G092400.2 locus=Brasy9G092400 ID=Brasy9G092400.2.v1.1 annot-version=v1.1 MDKGVVVYICDSCGALDIYEVDGASTFPASEPLVLLV* >Brasy9G024500.1.p pacid=40060855 transcript=Brasy9G024500.1 locus=Brasy9G024500 ID=Brasy9G024500.1.v1.1 annot-version=v1.1 MEGASLIYSLLNLAGSKLASKIFCEFSSALGVKKDLHQLFDLVEEIKNFLHSVGDKVIKNDPAFTWLKELKDIAYNIDDLLNDFHMESEKQKAKQIDGDKLVMVKCFLDRPKSIWFQHRMANKIKIIREKFEAIISKRKDFNTLRDGLPMVDSTEERQIVTWELPSLTIIDETTVLGRDDDKSNVIYELTKSEDNEAILQKTNDQERVSVMSIIGLGGSGKTTLAKLVFNDENEKKKHFEVRIWVYVSQKFDACKLIGKLFEAITEQKSESHPLQSMSRVITEHLNGKRFLLVMDDVWNKDLHEWEQFMLHLKPGAAGSRILLTTRSQEVAEVVNSKLLHNLQVLSEDDSWKLFLQSTGRAKEGLDSEFIQTGKDIVRKCGGVPLAVKALGGTIGSKKEINSWRAVKDSELWNINDKVFTSLLLSYFHLPAYLQQCFLLCSIFPKGYLIDKDHLIVQWIAHGLVIPMNELEQLEDVGNDYFDSLLKISFLQDIAQDKHTSIVTCKMHDLVHDLALHILEDEIAFMPEKAINDPRQSCRYLSLTNCMKKIDRKLIEKARAIYVSDSVFIFDKPIKKANYVRTAILEHIYTVSLSPAISILKFEFLGYLRMSYLQCETLPDAISCCWNLQALHIIDCRELLRLPECIGKLKKLRSLDLSGAWKLESLPQSICDCDNLCSLRLVSCVDIKILPSRMPQNLQSINLNACSRITLLPDSVVQLEMLKSLNLSFCSDLRHLPSSFNWYMLCSLKVSSTKIARLPDGIVNLRRLKELDLKGCDELCGMPVGIGQLTQLQRLALFVMGNSREFASMSELCNLVMLSGDLEIKNIRYIKGLDDDGEKFYLTEKNGLQELKLWWHSTYFEVDMEQELSLLNKLQPPSGIRKLCIHGYPGQQFASWIMKQNDSSVSLEGKINHLYSPCFSLLAHIKLVDFPNLKHLSGLVNLPSLNTLELDNFFVLETIGGGPFSSLKELYMQKMPHLSKFSMITDGENGESNYQEVESFPRMTTLQIIQCPKIKVKPSFPSSLESLVLASSNMQLLVSPGSPDSLDAVSTVFCSASTSNALMLPFLKVLRLARMMVPSSGWVMLQQLTALQSLEISSCNDLTRLPEGMQNLCLPPATDDLELCFASDTSGVDRETRLFTNDRYQALHRS* >Brasy9G290000.1.p pacid=40060856 transcript=Brasy9G290000.1 locus=Brasy9G290000 ID=Brasy9G290000.1.v1.1 annot-version=v1.1 MPAASCTRRCLLARRRLPAPAVSSPERCAIGPPDICPRGRLLAPPCARLLAAGLHARHLLTRACLPVCTPRQLHARLPACFARRTASAPACAAGLRRMDDSGTDVHE* >Brasy9G314700.1.p pacid=40060857 transcript=Brasy9G314700.1 locus=Brasy9G314700 ID=Brasy9G314700.1.v1.1 annot-version=v1.1 MPAHSPSFAGPAAGPMAAGQSRKEAKQVWFPPAHGWLKLNTDSSFLPSTNESWWGAVLRDTAGHVVASAWGASEGCDSILEAEAMARLYGITTLCSNLDTNLFLESDCLHLTEGLQDAASNRSACFRLREIARILFDYNDQQTSSGFLSGSIPEPVRDLLQPCTPFPAN* >Brasy9G056800.1.p pacid=40060858 transcript=Brasy9G056800.1 locus=Brasy9G056800 ID=Brasy9G056800.1.v1.1 annot-version=v1.1 MIPEADRLKMDPQPSPAAAAAVVSKVLDDDDLLREILLRVGFPTTLVRAALVCTRWLHHAADPAFLHQFRERPPRLLGLYVNGIFFTDTPEPAKVLTRFVPMLTQAQEPELATVLRRMATFSLDTIGETRIEEGCNGTVFISRFRYQKHTYEVHNPLSPERGVVVLPAPTLAMTDDGHMSFSCFLSRGGGGCLSYMWLAIESNVIAGRTTGNVYMLRDGVWVMLTKATTQLPHPRCWPKPLLINDRIYMAGDTFNDILVLDLVSSSFFTIQLPEGVERSSRYKYGGFHYRDVVFSRADDSRVYLIDLKEHQLRIWLHKDDNWLLVDDICLREMCAAFEMSDVMAEDEHTAAVRINQVGDNAEFVFLRMGRCTLYLDIRCRVLRKVYEMALNDRYYGQIHPFMMTWPPAFPSLKDDSTRSAA* >Brasy9G056800.2.p pacid=40060859 transcript=Brasy9G056800.2 locus=Brasy9G056800 ID=Brasy9G056800.2.v1.1 annot-version=v1.1 MIPEADRLKMDPQPSPAAAAAVVSKVLDDDDLLREILLRVGFPTTLVRAALVCTRWLHHAADPAFLHQFRERPPRLLGLYVNGIFFTDTPEPAKVLTRFVPMLTQAQEPELATVLRRMATFSLDTIGETRIEEGCNGTVFISRFRYQKHTYEVHNPLSPERGVVVLPAPTLAMTDDGHMSFSCFLSRGGGGCLSYMWLAIESNVIAGRTTGNVYMLRDGVWVMLTKATTQLPHPRCWPKPLLINDRIYMAGDTFNDILVLDLVSSSFFTIQLPEGVERSSRYKYGGFHYRDVVFSRADDSRVYLIDLKEHQLRIWLHKDDNWLLVDDICLREMCAAFEMSDVMAEDEHTAAVRINQVGDNAEFVFLRMGRCTLYLDIRCRVLRKVYEMALNDRYYGQIHPFMMTWPPAFPSLKDDSTRSAA* >Brasy9G056800.3.p pacid=40060860 transcript=Brasy9G056800.3 locus=Brasy9G056800 ID=Brasy9G056800.3.v1.1 annot-version=v1.1 MDPQPSPAAAAAVVSKVLDDDDLLREILLRVGFPTTLVRAALVCTRWLHHAADPAFLHQFRERPPRLLGLYVNGIFFTDTPEPAKVLTRFVPMLTQAQEPELATVLRRMATFSLDTIGETRIEEGCNGTVFISRFRYQKHTYEVHNPLSPERGVVVLPAPTLAMTDDGHMSFSCFLSRGGGGCLSYMWLAIESNVIAGRTTGNVYMLRDGVWVMLTKATTQLPHPRCWPKPLLINDRIYMAGDTFNDILVLDLVSSSFFTIQLPEGVERSSRYKYGGFHYRDVVFSRADDSRVYLIDLKEHQLRIWLHKDDNWLLVDDICLREMCAAFEMSDVMAEDEHTAAVRINQVGDNAEFVFLRMGRCTLYLDIRCRVLRKVYEMALNDRYYGQIHPFMMTWPPAFPSLKDDSTRSAA* >Brasy9G056800.4.p pacid=40060861 transcript=Brasy9G056800.4 locus=Brasy9G056800 ID=Brasy9G056800.4.v1.1 annot-version=v1.1 MATFSLDTIGETRIEEGCNGTVFISRFRYQKHTYEVHNPLSPERGVVVLPAPTLAMTDDGHMSFSCFLSRGGGGCLSYMWLAIESNVIAGRTTGNVYMLRDGVWVMLTKATTQLPHPRCWPKPLLINDRIYMAGDTFNDILVLDLVSSSFFTIQLPEGVERSSRYKYGGFHYRDVVFSRADDSRVYLIDLKEHQLRIWLHKDDNWLLVDDICLREMCAAFEMSDVMAEDEHTAAVRINQVGDNAEFVFLRMGRCTLYLDIRCRVLRKVYEMALNDRYYGQIHPFMMTWPPAFPSLKDDSTRSAA* >Brasy9G188900.1.p pacid=40060862 transcript=Brasy9G188900.1 locus=Brasy9G188900 ID=Brasy9G188900.1.v1.1 annot-version=v1.1 MFRAMKQVVGGGGGRSRSAEAATSFSSAFLSRFSSAAPNYTQRLAGKVAVITGGASGIGKATAAEFVRHGAKVILADIQDELGHATASELGVDATYTRCDVTDESQVAAAVDLAVSKHGKLDVMFNNAGVSGSMTPTPLASLDLADFDAVMRVNARAVLAGVKHAARVMVTANNANGPGPGGSIICTASTAGVLGGVAFPAYTASKAAVLGIVRAAAGEMARAGGVRVNAISPNYLPTPLVMGYMAECYPAASDEERRRIVEREMNEMRGAAVLAAEDVALAALYLASDEARYVNGHNLVVDGGFTVGKSPNMPAPEARR* >Brasy9G366700.1.p pacid=40060863 transcript=Brasy9G366700.1 locus=Brasy9G366700 ID=Brasy9G366700.1.v1.1 annot-version=v1.1 MEAAAGGLGSVRAVLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIQVEPEDRWKRIFPMSFVFCMNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSMTELSFNIFGFCAAMIGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPALLLEGGGVVDWFYTHDSIVSALIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAVGCAITLVGCTFYGYVRHLISQQQAAAPGIPQTASPRSRVEMLPLVGDKQEKV* >Brasy9G070100.1.p pacid=40060864 transcript=Brasy9G070100.1 locus=Brasy9G070100 ID=Brasy9G070100.1.v1.1 annot-version=v1.1 VGTLRRMCWRTAMVLETAAAGAGEGDRGGGRGAPGGGDSATEKDLGRLRRWSASRLLRRQRTSGSAEYKKCRHRSKLNMAAGGPLELWNHRSMQILVILSLGLQAVLFVFAGIRRHEASPVRRLLLWLAYLMADSTAIYAVGHLSFSSTVREHQLVAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLQTLVVQVLGAAYVLNKNIAGGDAAGSLLRLASLLMFAVGVVKYGERTWALKCGTLESIGSSVKKQPPAMHKHFHPQDEASEEEFLVRRAHSLFHICKRAIVDSSVIEKDSVEGQEEYTSKMMQRVELWALMEIELSLMYDVLYTKAAVVHTLSGYIVRVVSPVTTAVSLLLFWSTVKGGHNRADVAITYVLLGGALFMETTSLVNALGSSWTFAFLSTTRWRWLRYAALCNSRWDRLRRAVVHLHHLVKGGAAGCGWYNSRRWSRTMGQYNMLHFPLLGRLAKAVGPNEWWNRKHYAGTTKLPDSIRRCISLHMRQLYKKGRLNSLGMLRKKWGEEPLDRRGLYKEGTMLRHSLGVEFQEGIIIWHIGTDVFLAKSDRAKAEDAAPRVEAIRVLSNYLMYLLVERPYMLPGLPQNRLYQRTCENLVSMRKSGRKAGTIKNLFRLRDGPGSSSRAAEREELANDVYEEFESRKFSHDAPRLTYVARLAKQLLEKERDGTVDSLELVLDVWTDILVYAGNKCSRESHAKKLNSGGELTTILWLMAEHLYQVSLEEASTAQRDDDNDDNSNVP* >Brasy9G105200.1.p pacid=40060865 transcript=Brasy9G105200.1 locus=Brasy9G105200 ID=Brasy9G105200.1.v1.1 annot-version=v1.1 MRPSRGFVGFLPTASFFLRFLSSPSLFRSVAASYDSSCLRLLRRRLLWPSVGVRCWYFPSIAGAWCRKELTCCLSSYTDYSVDDDDYFLLFRCIGFDLLG* >Brasy9G034200.1.p pacid=40060866 transcript=Brasy9G034200.1 locus=Brasy9G034200 ID=Brasy9G034200.1.v1.1 annot-version=v1.1 MAAAASPALEDGRDEWRRIYGRLISMLRKRDKEVGSLLADRSRLEALIKIQHEFSVAREAILQGRLKETRRTEGCLRRCDEVHLRNKERELRRYQIYTELQDEDLEDFITCAADLATENLKLKIKLRETESPPELIENTTTDHEHSGRDLRAEIRELKKAYRTLSSKKDKEIKTLDAEKNFVWNQFKTMEKDYVSLLKKKKMEEALATEGAQKLQQTVEELQMAVQKKEDEIDKLQAEAKMKISTLEDKLQNMCSLVNEKDGEIQILKGGRLQASQKRKQNINGTHRKSRSEGHALKGKSKSNANRQLVEDQPETSQKRQCASSLANGLTLRRCSSRLHLRSSPSPAPQQVLFHSSFKVPKLKTPTPPHPL* >Brasy9G034200.2.p pacid=40060867 transcript=Brasy9G034200.2 locus=Brasy9G034200 ID=Brasy9G034200.2.v1.1 annot-version=v1.1 MAAAASPALEDGRDEWRRIYGRLISMLRKRDKEVGSLLADRSRLEALIKIQHEFSVAREAILQGRLKETRRTEGCLRRCDEVHLRNKERELRRYQIYTELQDEDLEDFITCAADLATENLKLKIKLRETESPPELIENTTTDHEHSGRDLRAEIRELKKAYRTLSSKKDKEIKTLDAEKNFVWNQFKTMEKDYVSLLKKKKMEEALATEGAQKLQQTVEELQMAVQKKEDEIDKLQAEAKMKISTLEDKLQNMCSLVNEKDGEIQILKGGRLQASQKRKQNINGTHRKSRSEGHALKGKSKSNANRQLVEDQPETSQKRQCASSLANGLTLRRCSSRLHLRSSPSPAPQQLLDTSDDGI* >Brasy9G046900.1.p pacid=40060868 transcript=Brasy9G046900.1 locus=Brasy9G046900 ID=Brasy9G046900.1.v1.1 annot-version=v1.1 MSNGTNLDELGYFSRQLGLSRHAALGIFIGILVLCASFVVACWCCMTTCEWWQERAATAIVHPRNNGRKSAVPTRYPAVGTDGSGPRSLPFAPLPFSFEDDAAASEAPAASPRHRYPRRGDAAPFAYPHTRDDRVVSTVEHEKAAKHRRLKTVAAIPLEMNILI* >Brasy9G088800.1.p pacid=40060869 transcript=Brasy9G088800.1 locus=Brasy9G088800 ID=Brasy9G088800.1.v1.1 annot-version=v1.1 MLAGSNLEGNIPDSLGNSNSLSYVNLANNTLTGGIPFSLANSSSLHTLILSRNNLSGEIPGTLFDNSSTLTIVDLQMNSFTGFIPPFHKLTALKFLCLTGNFLSGSIPPSIGNISSLTSILLAQNKLSGLIPETLSHINKLLDLDLSFNSLSGNVPLSLYNMSSLQYFSVARNGLVGQIPSHIGYSLPNLQFLIMESNRLNGPIPVSLGNLSNLQMLDLSNNTLHGSVPSLGSLANLHRVILGMNLLEAHEWLFLTSLANCTQLTKLSLEANALSGSLPMTVAKLSTKLEDLSLGSNQISGSIPLQISNLVNLTSLRMESNLLSGGIPSTIGKLQNLYILNLSKNKLSGQIPPSVGDITQLGKLYLNDNSLTGNIPGSLGQCKGLLELNLSRNKLDGSIPVELFAYPPLSLGLDFSHNNLTGKLPLELGTHGNGPISLHMEGNKFHGQIPKDWNLVSTQQINLSHNALSGVVPKFFENLAMLEQLDLSYNNLEGHVPTRGIFDNSTKVHLDGNDGLCSNSSTLALPICAVISTPATKAKHHLSLHVNLLLIVPPVLTIGFLLLSWFMFTLWKKGVFSFQRWDVLFKMFCFFAHRKGTEMHTVPCHDEKKLKRVSYQDILKATNCFSSLHTISSTCTGSVYVGRFKFDRSLVAIKVFNLDEPGAYESYFIECEVLRSARHRNIMRPVTLCSTLDSQNYEFKALIFEFMVNGSLEKWLHSEQHNGIPDRVLSFGQRICIATDVASALDYLHNQLTPPLIHCDLKPNNILLDNDMTARLGDFGSAKFLLSGLVIPKSLADVGGTIGYMAPEYGMGCQISAGADVYSFGVFLLELLTGKRPTDDMFVNGLSLCMFAESMFPDRVAEILDPHMAHEEHRWCSEVCMQRYIVPLVALGLSCTPSVPN* >Brasy9G083500.1.p pacid=40060870 transcript=Brasy9G083500.1 locus=Brasy9G083500 ID=Brasy9G083500.1.v1.1 annot-version=v1.1 MAVPEKVKHQGKEREQEVAGKEVALLGIIDGGSKEDSAGAVPGVGSKRQYERRKEEGDIR* >Brasy9G083500.4.p pacid=40060871 transcript=Brasy9G083500.4 locus=Brasy9G083500 ID=Brasy9G083500.4.v1.1 annot-version=v1.1 MAVPEKVKHQGKEREQEVAGKEVALLGIIDGGSKEDSAGAVPGVGSKRQYERRKEEGDIR* >Brasy9G083500.2.p pacid=40060872 transcript=Brasy9G083500.2 locus=Brasy9G083500 ID=Brasy9G083500.2.v1.1 annot-version=v1.1 MAVPEKVKHQGKEREQEVAGKEVALLGIIDGGSKEDSAGAVPGVGSKRQYERRKEEGDIR* >Brasy9G083500.3.p pacid=40060873 transcript=Brasy9G083500.3 locus=Brasy9G083500 ID=Brasy9G083500.3.v1.1 annot-version=v1.1 MAVPEKVKHQGKEREQEVAGKEVALLGIIDGGSKEDSAGAVPGVGSKRQYERRKEEGDIR* >Brasy9G096900.1.p pacid=40060874 transcript=Brasy9G096900.1 locus=Brasy9G096900 ID=Brasy9G096900.1.v1.1 annot-version=v1.1 MNLPHNSVKSWSDRCSQFLGAFQGGYKRPGTVTSLRRRSSLRTTTTELYALADKCARQEEVRRVPVEPRSDSDSHGRKKKKEAQKREANRLLAAEPGTASAKKAKGTDATPDPGAGMWCPIHKSPMHNLHDCSKVKSIVNDNRSGRMEWKNPADGKCFNCGKPGHISRECSAKENATGNAGAGAGRGGCGGQRRQLRRR* >Brasy9G357200.1.p pacid=40060875 transcript=Brasy9G357200.1 locus=Brasy9G357200 ID=Brasy9G357200.1.v1.1 annot-version=v1.1 MMHWAGAVLLALHCGVVLLRCSAAASAVGGEVSALIAFKRAVIEDPHSALADWTDADGNACDWHGVICSSAQGSVISLKLSNASLKGFIAPELGQLVFLQELYLDHNMLFGTIPKQLGSLRNVRVLDLSVNRLAGPIPPELSGLRSASVIKLHSNGLTGSIPPELGMLQNLVELRLDRNRLKGSIPGSNATGYSPAADTGSIAHNGLCPSRLTVGDFSYNFLAGKIPPCLKYLPRSSFQGNCFQDEYSIRQRASQICISGSTAGRLKGFKRPTSEHKHDRVQQPTWLIVLEIATGVLLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSTPETVVYKGTMNDGPEVSVISLCAFEGHWTSQHELFYQKKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLYEHLHYGEAAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFIPKLVDFECWKMMFSKHEKSLGHFNTKAFCGRMDSSEDKHADVQGNTFAFGVILLEIISGRLPYCKDKGYLVDWAIKYLQQPEEIGKLVDPELTNVRTEDLAVICSVVSRCIDPDPSKRPSMQIIAGALETGIDLSAAGILKESSLAWAELALAL* >Brasy9G179600.1.p pacid=40060876 transcript=Brasy9G179600.1 locus=Brasy9G179600 ID=Brasy9G179600.1.v1.1 annot-version=v1.1 MGPSTGGMILAPGNVFQVSGRQLITLYLSHHALRGQLSNAIFPGGCSVEAGVDIFAASPHALPFVASHRAPHGVVWGYFSAEQAAEHIQPAPGGCWVRYGAEKRYMINGEELGYRSRFAFHVTRVEDDRTVMWATTWWLMKEYRLNKGAPLFRKHPKANMDFVIRKVFNKPTVLIRKRASAVQPLKVQRVESPLPSPNVEDSIAPVLPPPPPPSHASASQALQRGGTRIPPRAARPPRPPKPSKKPKVAPPPPAGPTVAPRPPAGPRVAAPPPAGPRVAPRPPARPRFAPPPPARPTVAPPPPVPSSSGAQPDSSKANTDVVICKVKTLAPPPAPNPDSNRPGVSVNNPAVARTSFPPNMFKVPSGLHAITYYLGPKAVHGRLPGHCYVAQGVDVFAAPPDALPFQSSCSWLDRRVWGAGYFFAAKPTTSTRRVPGGCWIQIQNVIEKRYQICEQIFACRRIFVFRAARGHGRVTWERTPWVMKEYQINEGAPCVRRLLRRESNMDLVVLKIFPNTKPRLRAIIAPPPPVRPFVAPPPPALPSWEDCFSGAQPMGNTEVCKIKTMALLPAPSPDSNRQEAASLNNRAASTRIKGKIPSGAHVMMQYLMPKAVLGRLPGDVDRGPDYVAQDQRVFSISPDMLPFPAENRGQHGSVWGYFFDSTPTPSMCTVPGGCWMQYGMEKRYELCGEIFASRRRFVFHAAHTATMTWKQTRWLMKEYRLNKVSPTMVRAAQRGLCPQSNLDLAVLKVFTKPMPMGMALPPGPPLPPPPIPPYKAPPPVPPPAPADMLEALPPRLTDEENLGSNHRSENEESSGPNDEERATKRARGSSSGSPLILD* >Brasy9G057900.1.p pacid=40060877 transcript=Brasy9G057900.1 locus=Brasy9G057900 ID=Brasy9G057900.1.v1.1 annot-version=v1.1 MAGIDLSANMLDGEIPRELGNLSHVKSLNLSHNFFVGPIPTTFGGLEEMESLDLSHNELTGPIPLQLAHLSSLGVFSVAYNNLSGCIPNSGQLGSFGMDSYLGNTNLRKITQGSICAAPGPDPVLEEVVGETVGDPVLYAVTAASFILAFWATVAFSFCHPYGSADLQWDKTLPVRNNLWLITQCQNCLLVNTQPTFRDS* >Brasy9G367100.1.p pacid=40060878 transcript=Brasy9G367100.1 locus=Brasy9G367100 ID=Brasy9G367100.1.v1.1 annot-version=v1.1 MAMASIVSKLARAALASPSAARPAAAAIPTAVARAALATRASPSADSPAAAAIPTAVSPAGGLKYAHILGDRIQIHPDENVFKSKEAMWAFYKKWCKYHAIFRDSYEMERRFKTFSEMARLVYDSGGLMYMSHYSDLNSEELRRLHPKRVAPHLQRRRDRERKRKLLSHACDVGDAPGHPGSQST* >Brasy9G360400.1.p pacid=40060879 transcript=Brasy9G360400.1 locus=Brasy9G360400 ID=Brasy9G360400.1.v1.1 annot-version=v1.1 MDEADGDSRNGVEEEEEGVGESSGSGGGGGGGGAHGGLLAVRKQIYNRLLEIGHEEAVADPNFERLLDDHYDRLPLSYSIDLDVSKAEVVVLHRRILAECADPDKRPVFHARFLGYFQTHEDNKPPDAASSPTENGHPGPSVASNSRDNEINKVMADLSIERRSKGVADSEASSARRDTDIRLHHEIIFSSVDKQKLLSQLSALLSGLGLNIREAHVFSTTDSFCLDVFVVDGWETEETDGLVQLLKETAYRDHPSLSNPTNSSTSERILELQEKIGDSDIDRNLLQVKDRIASGSSGDLYRGTYLDMDVAIKYLRTEHVNDSSKVEFLQEIMILKSVNHENVVRFYGACTKQRKYLIVTEYMSGGNLYEFLHKQNTTLELSTILRFAIDISKGMDYLHRNNIIHRDLKTANLLIGNGQVVKIADFGVSRQRPQEGDMTAETGTYRWMAPEVINHNPYDLKADVFSFGIVLWELVTSKVPYENMTPLQAALSVRQGFRLDIPLSVHPRLSTLIQRCWGVDPHKRPVFSDITAELEGILRPIQASSSKGAHRYSKTKIQMKSQR* >Brasy9G168400.1.p pacid=40060880 transcript=Brasy9G168400.1 locus=Brasy9G168400 ID=Brasy9G168400.1.v1.1 annot-version=v1.1 MTEKEEEKLRKAAKFLYKTQPLFSPATWAPSTSVWQWWLDRREVSRSSLCKLACRGASSLFLLSLWSAWKERNAGVFQRKFSPPADVTRAMSEEGVWWCRAGKLLSGVDDVP* >Brasy9G130700.1.p pacid=40060881 transcript=Brasy9G130700.1 locus=Brasy9G130700 ID=Brasy9G130700.1.v1.1 annot-version=v1.1 MPHAIRGACVAASIRQEELVPTFLNFLLCPYFNSVFKSSSCSRLEHQISTSLDLTLFLHLLNLSI* >Brasy9G009600.1.p pacid=40060882 transcript=Brasy9G009600.1 locus=Brasy9G009600 ID=Brasy9G009600.1.v1.1 annot-version=v1.1 MKHEVAPDGDAAIKSQKLSEGDKAEAGKVKRIVKVSQTYIDRLIEEEAAGGRKVPTFDRVDKYTGRGADALRALVARSTAAFASIRDHDAMLLKQYRTFGEAYDEVEEESWLDDEAVCGPLAGTWTKDDPAAGYILKQ* >Brasy9G344800.1.p pacid=40060883 transcript=Brasy9G344800.1 locus=Brasy9G344800 ID=Brasy9G344800.1.v1.1 annot-version=v1.1 MLTSVLLLKDFVVNELWRTADSNGWRSSSAPRTYWPPPPTESESSGYLRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELDTNSFWHDQSGFVDIYDVPHFIKTLKYDVRIVTSVPKITAQGKTKKLRAYKIDPPRDAPVTWYRTTALGMIRKYGAIYLTPFSHRLAGEIDDLELQRLRCRVNYHALQFKSNIRKTSSDIVNKLRSEGHFMSTHLRFELDMIAYAGCIDIFTPKEQEILLKYRGEHFPEKNLVYRERRLIGKCPLTPEEVGIIIRAMGFDNTTRIYLASGKLFGGKRFMKPFKAMFPRLENHSMVRSGKLEENTRGLAGSAVDYIVCLLSDVFIPTYDGPSNFANNLMGHRLYSGFRTTITPNRKALAPIFMDMEEGHASGYEERIRQVMFNTHFGAPHKRIHPESFYTNPWPECFCQMKAKNHADRCPHNNVNDVLESQFQNKEYIVS* >Brasy9G204500.1.p pacid=40060884 transcript=Brasy9G204500.1 locus=Brasy9G204500 ID=Brasy9G204500.1.v1.1 annot-version=v1.1 MAYRDGGGTGRRGRSGGHQYQGGWGGDERATTGFHAMVVSRNPAATGPPQCVYQHGVVLRGPAPFTIRPSNRPLSPNAAPFLPARFSSTAPPPMAAPAPSPSTASVGKELEQKLFAAPGVDLAPVSKKGLAYPARPGAGTIGEKVMIRADHFLVDVADKNFIYYDVSINPESKSSCTNREVLSEPIKVLGKKSLGGKLPAYDGRNSLYTAGSLPFESEEFVVTLVGPEKRDKERAEREYNIIIRIAGRTELYNIQHFLAGRQRDMPQETIHVLDVVPRESPSWESFFSTMFGHRGDIGEGLECWRGYSQSLRPTQIFSCITNISATSFFKPVTVIQFVQEFLNLNDASRPLADRDCMKKMINGGTMEWACISFSRMCPEEVHRFYWDQVQMCNATGMAFCARPLLDIRTATPNNIENALRDVHRRSKEMIAEQEKENKLQLFTVILPEICCSCGKIEKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTLLKRAFVRNGIPFVSKVPKITFAAAATVVVASKEGQAAEAAPEVEHRPVSKKGLTHPAGPGVGTISEKVMIRANHFLASAALVKDYLPPVACAVVQKRHLLEDHERADTTEKIGNILPGIVVDPIICHLMENDFVRLKDEETMQVKILLPHKTVTASAKKDDSLQSILSTFDLHMFDTYYTCESRVLRSDMKFSESKITNGSIITANSRLRGGTFGQTSGSSDTSVSIPRPIPLTRVPFERFIAEKKRGGKWFEVVQIPLKLRSLTDPQFAVMLHAIERIHKRGRCLRGNFEQADIFFIVEHGILEVANFLEREFIDTHGYNNDKAKTADIISSVFGYSKKDSTVIAYPMYVDALIEELRRVGSEQADYMNELNRSLIYNGGATMSSSKRFQVYMAVVRYAHSLKGQNRIKFMEALDPGVSIGKHDTVSWESQIPVNAKVLDNRLKYDAKKTYEPTNFSRLDFSRCTLEQGRRTR* >Brasy9G085600.1.p pacid=40060885 transcript=Brasy9G085600.1 locus=Brasy9G085600 ID=Brasy9G085600.1.v1.1 annot-version=v1.1 MPWWTPDGKIILPRDLAWCPTISKLKTSELNKQCEYDNVTTLARFLQKIPLLERLFLQLICPTSKVKTEVSYVTLGKSFLKGKRVVIKCRKIDAMVDEILKLLSDCSIPRNQC* >Brasy9G103100.1.p pacid=40060886 transcript=Brasy9G103100.1 locus=Brasy9G103100 ID=Brasy9G103100.1.v1.1 annot-version=v1.1 MGICTVRCGISLQSLRPGRIVALSSLGGFPRVAYCLCLCGTACQRVWRLAVRSAYVSAAHRAGRGAGLVVRCCSPCSLPFIRWWALQVVLVGSVRLVCSPSSVRASPAVDCCSFPRRLVRISERTTIVMLLTESTAIWGLIQGVFVYGAVSVVRRSSAENKEAKGASKKRTFETFPNSVAFSSDAEGITAKYMPSSDFRNGCIVMHH* >Brasy9G146200.1.p pacid=40060887 transcript=Brasy9G146200.1 locus=Brasy9G146200 ID=Brasy9G146200.1.v1.1 annot-version=v1.1 MQSQVTPPVKANTKRTRNFTDKEDEVLVAAWLHASMDPIVGTEQKHATYWNMIHEEYELHKPQGSERNVNSISHRWSVVKEQVGRFCGCYEQIMHRHESGKTEQDKIVDALKLFKSQDKTNKGFVLLHCWNMLRFEQKWLSQIDRSSHSNKKQKSSSNASPSMSTPGVDTIHIDDFETTSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEARETARQAREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDQKEYYKMLRRDNIDRRSKRSI* >Brasy9G259600.1.p pacid=40060888 transcript=Brasy9G259600.1 locus=Brasy9G259600 ID=Brasy9G259600.1.v1.1 annot-version=v1.1 MGFPVGYSELLLPKQLLHLLLLLGCIRRFLLWAFDAVGLGDLLDLGDDHLPPPHHHDQQAVAAPAQQSPHLRPELLFRPVSALVIEEVLPVVRFDELPQCGGGGDCAVCLSGIGGGDEVRRLSNCRHAFHRACLDRWMEHDQRTCPLCRAPLIPDEMAGALCHAAAGVPDASDFDFFYLGASAHAPLTAPTLLRPHELFLSGLGGYQ* >Brasy9G005100.1.p pacid=40060889 transcript=Brasy9G005100.1 locus=Brasy9G005100 ID=Brasy9G005100.1.v1.1 annot-version=v1.1 MARIRRKAGMPAGSDCSVELGLNGVPVEPQVTEKPPPEPQVAENPPPGQEEEVAMAGKRAAKRGRKPGIPAGSDCSVELGLNGVPVEPQVAEKPPPAEVEDGDAEEIDGSQQSEEAEREGEQSDLHSSSKDGSEEAEEGDESMGEEAADESAPALQSPSKGRNGVRKPAPSARVAEASPSGNNKRRRSNEVGEQSASPLQSPSKGKRGGNKPAPSAAGDKRRRRSSEEPVPSPRPNKRNMLTRSHQTEVPSPSPSKRNMVTRSHQTELPSPSPNKTNMVTRSLQTEVPAPEQHEPEDKSHEPEDQEEHEPEHEGAAIIKSKKKKKSKKMRKSAQEQHEPEDKGHEPEDQEEHEPEDEGAGIIKSKKKKKKSKKMRKSAPEQHEPGDEGHEPEDQEEHEPEDEGAGIIKSKKKKSKKMRKSAPEQHEPEDEGHEPEDQEEHEPEDEGAGIIKSKKKKKKSKKMRKSAQEEHGPEDERLQPEDPEEHGPEDEGAGIIKLKNKKNKKMRKPAPEEHEPVEQGAEVIKSKKRKSERIKPAQQQGEPGTSKVKRIRREWAPNDEILIIEQVINYSHTHGYAPGVKDRGFFESLLKQLGDQSLKLRTVKDKMSSLRRRYFEKKHKSTTEHGKRLDSLSEQAWGKPSRVGAKDSSGSNLSKKALRKHSRKDSNGSKTGGKSFEEMCEMYPLLAQEVELIADINPSAKSSFTRINAKVACKLEKQLDVAKAQVSQFRQALAGVLAEN* >Brasy9G175600.1.p pacid=40060890 transcript=Brasy9G175600.1 locus=Brasy9G175600 ID=Brasy9G175600.1.v1.1 annot-version=v1.1 MAKSTEEDMVFLWKWRKYLLLLATLVASVTYVAGLNPPGGVRSEELTADAPAPAPAPRVGLGSPSTPVKYTNRVGSPAPAPAVQYPNRVGDPVLRKTYAARYTTFFYCNAAAFVASLVIIMFLLDPRISGNRVGLTVLRSAMLLDLLALMAAFAAGSCRSVPGSAYVSALFAVVFVYVFVHVQLASSSCDLADCLKAKEDPTDAADQESIKERRKFLLLLAMFATPLTYGAGLAPPGGFWSDTKDGHKAGAPLLHDGRYKIRYHAFFYANATAFVASLAIIMLLMSSTLSSRLARSYALLVCVLVELLGLLAAYVAGSCRRGDTTIYVVSLAGAVLLNILLQMAVPVFAMDIFNKWRKCVCGVLTCAKWGQAKQARSTGPADTPGAAATGSGSTSQEKDKLEESRSLLLLLATLAATVTYQAGLSPPGGVWPEDDDQDHPQGPKRTPGDPVLLDVYPQRYRAFYHCNTAAFVASLVVIIILQSRQLTSRGVFALKTAMILVLFGLMGAYAAGSCRDVPTTIYVSALAVAVFVYSIAKVIAFTAHGQSKAMHWVQGKLKRIADALHLPYNQQRGQASADERVDRKRKFLMQLAILAATVTYQTGLNPPGGFWPQSEDGSLKPGDPVLLDHYGVRYQVFFYCNATGFMASVAVILLLANQVLYKQGIRSNALHVCVLIGLLGLMGAYAAGSCRKLRTSIYVFALVAAVIAFLLLEILLYMFAGCVNWLEEKEQQTCLQQWLRKLFKPLSKGPAPGNTSKEKKPSEGYKKRKYLMLLGILAASVTYQAGLAPPGGTWGDDDKAASPAPAPSPSAYLNRAGNPILLDTNGKRYQAFFYCNATSFGASVVVILLLLQQNMERRGAPLWAIQTAVVLDLLGLLGAYAAGSCRDWETSAYVIALVAVVVIFITVHVLLSIQVVMTKVRSLKVYKKCFGDPGKAINIADQVSGNATGA* >Brasy9G073000.1.p pacid=40060891 transcript=Brasy9G073000.1 locus=Brasy9G073000 ID=Brasy9G073000.1.v1.1 annot-version=v1.1 METGANTDLVVTAVDSKKETMEKTVKEPAEAESNVTELLERLNLTSEEADAPILEDENEADLVNLEWALIGKVLSPNTLHLHTIMSALWPAWGNPKGLVAKCVADNDIFIVEFATKLDKERVKNGAPWTVMRHAVLFNDFDPKLKPSEVTFKSIVLWARIMNPRFELMNKVWGIHLGQKLVGLKKWMSTARTGLGEVLCVLEFQLVST* >Brasy9G037100.1.p pacid=40060892 transcript=Brasy9G037100.1 locus=Brasy9G037100 ID=Brasy9G037100.1.v1.1 annot-version=v1.1 MVLVAEAVIVTVVGWFVSPHITKLMEVARSCASSMLGKLSKGTKEKLQRLAQDLEDIKGFLDPDNMGFVDGQTRLDRLWRLKDAIHDAEEILDLFQLEIHEAETAKASTNNSLGKKKTKKKMMKMKMKMKMKRQSGFVAAGTSSKASLGQLDKVLKNLDELRGRAQELYRDSHRGASSILKREETGPNPVKSQQSPPIPAGILLTELGLTDQKSFFGYQEEYTQLVSMLQPEPQPDGNKKQQTDSKLQQQQQVIAIVGHAGMGKTELARQAYRDVQEKFDLRIWVHAYDKNTEFELLKEIWKSAAGDKPVGEMNVSSLQTGLGKLLMSKRCLLVLDDVWNHESATTAMERKQARVALDSFARFAGHGSRIVLTTRAKICAMTLGADATNTIVLNGIKPKEMTLVVNETANLIGTDGIITGDPRIQELLHKQVHKLKGSPLAAVDIGDELKEQRARASLRRCCDVLNNIDHYIGSVISGHLFTYRHLPPHLQRCFSFCSIFPYGCRLEIEKLTRMWIAHGFVEDTESCPGGNNSMEDIARGYFNSLVDRSLFQREDSSNKGGGSGDRDKEETAYVIHEHIHRMIRWASAKNCISISRTSVPRSIPATVRHLSVTSGFLDQLKAYSITLSNLRTLLVLKDDDDNGPWASNPLVAIDKSVLTQFKGVRVLDLSETGLTQLPASIKMLKHVRYLGLPSTMTDLCDQVTGLLFLQTFSVKEGNKQCKFPDDMNRLINMRHLDIHTECISTISRIGSLVKLRGPIEFKVIKGSEKEGHAMSELAGMSSLCGTLSIKGLEAVESKEEAEQARLASKGSVKVLKLEWQPLQAKLQCQVHEVPSAAGSSAAPPLASAADIAVAVLEGLRPHPDLRGLHITRYPGATWPGWLGALDKLTRLYLRNCRKMKCLPALGGLPCLELLDIKELTAVERIDGGFCGGGGAFPKLKKLVLDDMPELVAWDDAPKQAFSRLAEVSITDCPSVSSLSALGGCIGPIHLRVKGRTAIREDALPANFTDGDSTCVFE* >Brasy9G068100.1.p pacid=40060893 transcript=Brasy9G068100.1 locus=Brasy9G068100 ID=Brasy9G068100.1.v1.1 annot-version=v1.1 MAAAPAQQKMMVAVDDSECSQYALEWTLRNLAPGRLVLLTVQPFAPLGYIPAAAGSPLGPSVVSPELIRSVTEHQRQLAQALVDKAKAICADQGVDAETVIEVGEPKETICEAAEKLNVDLLILGSHSRGPIQRFFLGSVSNYCTHHAKCPVLVVKKKE* >Brasy9G052400.1.p pacid=40060894 transcript=Brasy9G052400.1 locus=Brasy9G052400 ID=Brasy9G052400.1.v1.1 annot-version=v1.1 MEPSRRLLLSDYDGAIVSPLPSPTPSASATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGSYGSGGGGGGGGGEGRKRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPEQKAAAPAATKEKDPASAPAPSPAWSRISGRHSTGSVRAAGKVGPTSRRSADLAGSGAAATATVGCFDAAKVRKDRVLLLVEPAAAVAEPDPVAFERRFGHRILVSTACGCDGETAPAAQQRWSDTRPSDLMFVRSDMLVTDAAAWGRYSCSAAVSSGGRGRTEIGGRCLSELAGVSRLPPIAAGAREGNGGGARRWPGSSWWARGSPGLNGPST* >Brasy9G145200.1.p pacid=40060895 transcript=Brasy9G145200.1 locus=Brasy9G145200 ID=Brasy9G145200.1.v1.1 annot-version=v1.1 MASVCLVYNLPSTGAADAELHFTRTITGAGSSEYRIDGRLVTWHDYNAEVRSLGILVKARNFLNPKELTALLEQIAGSDELRREYDEKEDLKTRAEEKSALIYQEKRTVITEAEEGAKGRGREASTKVLKTEQLYTIEKDMEKIEAELEEDRESLQQVVEENQSSDHELAAKKEQSAFLKKMTLCEKSKAKKNLISTRLHSALVDVTRAIEELNEQGQDKSVKLQLADDQVQEYHRIEEDAGMRTAELRDVKEVLDRELKADMSSHIYVEHNKIAKERQSSGAKYQTLKQRVDEIDTQLRELKADKHESERDARFSETVRSLKRLFPGPQKKYNLAVTVAMGKFMDAVVVEDESTGKECIKYLKEQRLPPQTLFPYNQSG* >Brasy9G024600.1.p pacid=40060896 transcript=Brasy9G024600.1 locus=Brasy9G024600 ID=Brasy9G024600.1.v1.1 annot-version=v1.1 MVHLNSLQYLTIGYCDTLQLPEWLGKLQSLRSLDVWGLPKLTCLPASLQHLTSLQELKISCFDALMVLPEWLGEISALRRLKIETCPGLTSLPSSIQRLTALQELEIIRCPELRKRYRKGEGPDWDLISHIPRVDIQRITDED* >Brasy9G345100.1.p pacid=40060897 transcript=Brasy9G345100.1 locus=Brasy9G345100 ID=Brasy9G345100.1.v1.1 annot-version=v1.1 MMTESARGSVIGALGGLVLGHGLLEEQERREGIGGAGAWSRGVGGGDRRRRCLVAWGDWRPWLTGRDRRRRLARWGGARGGGAEAAGLRAGGARRGREGGGGGVRDWRGEEKRKERTKRTGLKDSPAVKFQP* >Brasy9G363100.1.p pacid=40060898 transcript=Brasy9G363100.1 locus=Brasy9G363100 ID=Brasy9G363100.1.v1.1 annot-version=v1.1 MDNCPAWGKELHMAQFVGSANGGLWFFHINVEEKTTNKWLNMRNCGIIAVTHGEITTSELILKMAENWDATWPWQVRQLEEKSFLVRFPPSKKITDLIGLPSINLKEGADNDRVTIKILPWDGDLAEVGELTEIWLQIRGIPPKWISWRVITQISKCFGLLLDVDWNGIFKSLYETVRKFYMLQFTVEWDGVDIDRIMGLDERDYEGDDDYDLMDEELQDLEKTKEVDQQDKNKKEPLQHNTGGTQNPTTKTQASGFQVLMDDNLIESDEELDGPNPILIELDQQEEDTARDTNISVEQPTVEMEKHLTKDTIQSTRIAQDGRSILSRAQDLMSHKNLEKPSKGNNFKHYFVNICNSELANHAKKVNICLGHNPTIIHKQIDHLKIIEKERLEQLHIEQPDLFLPAEIDITMEDWS* >Brasy9G332300.1.p pacid=40060899 transcript=Brasy9G332300.1 locus=Brasy9G332300 ID=Brasy9G332300.1.v1.1 annot-version=v1.1 MFFWDLSRRSRSAPLLPLLMFLLCRVAPSSSSSSMSTTATTTDGDMPGAAAVVAASAAAPAATPSADEHICIDVPRDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLIISKTVADAFNANVYDLLVNLKGFPFLEGHAEPYMRQLSVSDVVTGPLQTFNGLEKVGRIVDVLKTTGHNGFPVVDEPPFSDIPLLYGLVLRAHLLVLLRKKDFISSCTASALDASKHFSPDDFAKRGSGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.2.p pacid=40060900 transcript=Brasy9G332300.2 locus=Brasy9G332300 ID=Brasy9G332300.2.v1.1 annot-version=v1.1 MSTTATTTDGDMPGAAAVVAASAAAPAATPSADEHICIDVPRDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLIISKTVADAFNANVYDLLVNLKGFPFLEGHAEPYMRQLSVSDVVTGPLQTFNGLEKVGRIVDVLKTTGHNGFPVVDEPPFSDIPLLYGLVLRAHLLVLLRKKDFISSCTASALDASKHFSPDDFAKRGSGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.6.p pacid=40060901 transcript=Brasy9G332300.6 locus=Brasy9G332300 ID=Brasy9G332300.6.v1.1 annot-version=v1.1 MAPREQKGGGGDPEADIEAPLISSGSSFLFQDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLIISKTVADAFNANVYDLLVNLKGFPFLEGHAEPYMRQLSVSDVVTGPLQTFNGLEKVGRIVDVLKTTGHNGFPVVDEPPFSDIPLLYGLVLRAHLLVLLRKKDFISSCTASALDASKHFSPDDFAKRGSGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.3.p pacid=40060902 transcript=Brasy9G332300.3 locus=Brasy9G332300 ID=Brasy9G332300.3.v1.1 annot-version=v1.1 MFFWDLSRRSRSAPLLPLLMFLLCRVAPSSSSSSMSTTATTTDGDMPGAAAVVAASAAAPAATPSADEHICIDVPRDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.4.p pacid=40060903 transcript=Brasy9G332300.4 locus=Brasy9G332300 ID=Brasy9G332300.4.v1.1 annot-version=v1.1 MSTTATTTDGDMPGAAAVVAASAAAPAATPSADEHICIDVPRDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.7.p pacid=40060904 transcript=Brasy9G332300.7 locus=Brasy9G332300 ID=Brasy9G332300.7.v1.1 annot-version=v1.1 MAPREQKGGGGDPEADIEAPLISSGSSFLFQDAACEEDGEGDEEAEQRRNRRRLLNGSLRQSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRARDRAHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNLMLARRFESAFTVFLVSNFVLTMFATVLTVYVSPAAAGSGIPEVKAYLNGVDAPNIFSLKTLVVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G332300.5.p pacid=40060905 transcript=Brasy9G332300.5 locus=Brasy9G332300 ID=Brasy9G332300.5.v1.1 annot-version=v1.1 MSTTATTTDGDMPGAAAVVAASAAAPAATPSADEHICIDVPRVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSHKYHMTCKWLRYFKNDRDRRDLVTCGSGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAMVAVVLRALIDICKSGRCGLFGKGGLIMFDVTSGYINYHVVDLPPVITLGVLGGVLGSLYNFFLDRVLRFYNLINEKGQTYKLLLAATVTICTSCCLFGLPWLATCKPCPTGGEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDHEFHLSSIILFFMASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGKHDRIEDIELTADEMEMFVDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPYLIKSRWKKVRFGKSAFTHFF* >Brasy9G100600.1.p pacid=40060906 transcript=Brasy9G100600.1 locus=Brasy9G100600 ID=Brasy9G100600.1.v1.1 annot-version=v1.1 MRFRIGKKKKKSASSDPAAAPVAAAGGHNVDGGGGRVLSVASPDHYGTENGGSKDESFFEARPWLDSDSEDEFQSVRGDFTPSRGSTSTPDHQMQASFAAGRISVDRLQPSLIEKKQRLLELLQEKQQYDDEQDGATDAGSETGNSVVHAEEHLNPSEKVERAKKPSKTGCFACSVWKLSFKRCLKKKKEQKDL* >Brasy9G119900.1.p pacid=40060907 transcript=Brasy9G119900.1 locus=Brasy9G119900 ID=Brasy9G119900.1.v1.1 annot-version=v1.1 MGCISSKLLPPGPGGRDGGARRATVRGRVDHVVSLTSTTYGVLDLQTKHAVATVASCQQAKEQAPPPEQDKPISREWKRASSKRPPPLVIPEVKKPAPAAKPESGMEVINAWEIMAGLEDADAAADSPAKKPAKPGRWSPARVLAMALSSPKRSSAKRRNTPGKENSPLQRCSGNSKPSDVADEDRVLRPYNSIDNSKLSRASRRFSSPASARIIRKPSAAAAESGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRVIGSEKPKQLKVVPAIVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGRELRVPAVFVRGKHVGGAAEVTKLEEEGKLRAMLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGEKKETVQCAECNENGLVRCPICS* >Brasy9G126200.1.p pacid=40060908 transcript=Brasy9G126200.1 locus=Brasy9G126200 ID=Brasy9G126200.1.v1.1 annot-version=v1.1 MAAALRSSSAAAVRRVLRISQAALTTLPSPSRPAAVVPFSRSIAAISGGNNAFSCNFRRLLSSNEKHLPAMSDPEIESAFKDLMAASWSELPQSLVEEAKKAVSKATDDASGQEALKNVFRAAEACEEFGGVLVTLRMALDDLCGLTGENVGPLPGYVEDAVKSTYNRYMTYLESFGPDEHYLRKKVESELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA* >Brasy9G344300.1.p pacid=40060909 transcript=Brasy9G344300.1 locus=Brasy9G344300 ID=Brasy9G344300.1.v1.1 annot-version=v1.1 MASSLARLGAVLQPRARRPLAAASRRILLPPGGRWDTPAAAASCPVSLYACRCQVHSNVKVSPPTSEAKDGGNSSQSWRIKMLYDGDCPLCMREVNMLRERNKSYGAINFVDISSKDYSAKDNQGLDYETAMGRIHAILADGTIVTDVEAFRRLYEEVGLGWVYAVTKYEPVATIANAVYGVWAKYRMEVTGRPPLEEIFAARRQVGECKDDTACKM* >Brasy9G275200.1.p pacid=40060910 transcript=Brasy9G275200.1 locus=Brasy9G275200 ID=Brasy9G275200.1.v1.1 annot-version=v1.1 MEESRAGPLEERPGVLFVGAPGVGKRTILSRLLAAVVPDTYDLSSGVLCQGWKIDTKYYSADLSIWTAHLEEGFSLGSLPHLDQLAALVMVFDMNDESSLLTLRNWVDSIDIQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRIGESSTDPHPEYLDFGINESEGCGLLSEDEPRIEIRDSTLQWCIEQNIEYIEACASNADFDKCLSVDGDSQGVERLLGALSAHMWPGMILKSGNKITAPSLAEKESTDDESNYEFEYEVLSHESDEQWEFIGESSTSRSFEGLNEAKAMQDNTHQVVNAKVDSLVSNPLSNDSSTESAEEKRVTQSHKIEDSGHVDNTEADEDQRTDTQEVNKLFDDEHYGLDDLERLMSEIGNMRSNLRLVPDFQRREMAAKIAMKMATMFGDSDDEGFQAI* >Brasy9G275200.2.p pacid=40060911 transcript=Brasy9G275200.2 locus=Brasy9G275200 ID=Brasy9G275200.2.v1.1 annot-version=v1.1 MEESRAGPLEERPGVLFVGAPGVGKRTILSRLLAAVVPDTYDLSSGVLCQGWKIDTKYYSADLSIWTAHLEEGFSLGSLPHLDQLAALVMVFDMNDESSLLTLRNWVDSIDIQRFEVLLCIGNKADLVPGHGAHVEYRRRMQRIGESSTDPHPEYLDFGINESEGCGLLSEDEPRIEIRDSTLQWCIEQNIEYIEACASNADFDKCLSVDGDSQGVERLLGALSAHMWPGMILKSGNKITAPSLAEKESTDDESNYEFEYEVLSHESDEQWEFIGESSTSRSFEGLNEAKAMQDNTHQVVNAKVDSLVSNPLSNDSSTESAEEKRVTQSHKIEDSGHVDNTEADEDQRTDTQEVNKLFDDEHYGLDDLERLMSEIGNMRSNLRLVPDFQRREMAAKIAMKMATMFGDSDDEGFQAI* >Brasy9G121400.1.p pacid=40060912 transcript=Brasy9G121400.1 locus=Brasy9G121400 ID=Brasy9G121400.1.v1.1 annot-version=v1.1 MASTQDATSAALRNPPRLEIESRRLQAPPTAAAVDLRPPCRVRHVANAAANSVQSTLKRGTPR* >Brasy9G327900.1.p pacid=40060913 transcript=Brasy9G327900.1 locus=Brasy9G327900 ID=Brasy9G327900.1.v1.1 annot-version=v1.1 MGRRRLSDLPDDLLRRILYFAPLKEAASTSALARRWRTLWLSSGAVNIDSRNYDHLELEDHVKRDAFDHHAAEAIYGHADSRRLWPIKKLAFRYTEGEDEDDDSLSYQDYMWDAKSSMVKELMGLLSAPGARHVEDLRVGLFFGPCPDEPSSLFPLSIGTLPSAASLRVLHLSKVMDFCPQGPSATSPSQYPRLTEARLHFCEVSLGILQAFVDAAPLLGTLEVRGVQLCLSQDTQYPPAPRSLRLRLPRVTDLVLDSFYCWGPEGRNTMEIQAPLLRRFAYEGHVRALSLESPRDTMARVNLRFKVPAQLVHPDDDGTCQLFWRFVRSFGNVKNFSVSFQLQPKYCQFDSCF* >Brasy9G274700.1.p pacid=40060914 transcript=Brasy9G274700.1 locus=Brasy9G274700 ID=Brasy9G274700.1.v1.1 annot-version=v1.1 MAGGDELKLLGSWASPFVTRAKLALALKGLSYEDVEEDLQNKSGLLLSSNPVHKKVPVLIHNGVPVCESMLIVQYIDEAFAGTGPSVLPADPYERATARFWAAYVDDKLVASWVKSSKSNTEEDRAEAMKQTFVAVEALEGALKECSKGEGGFFGGDSVGFVDISLGSLLSWLRATEQMSGTKIFDPAKTPLLAAWMERFGELDAAKAVLQDVDRVVEYAMKKAQAAAAAAADNQN* >Brasy9G028000.1.p pacid=40060915 transcript=Brasy9G028000.1 locus=Brasy9G028000 ID=Brasy9G028000.1.v1.1 annot-version=v1.1 MGGGDANPAGESLPYEHDPYDVDYYVSGNFIAANTGGAASLYLMGGGNMSCDDPRFSSSDVPGGVELDPKMIISVNPLFI* >Brasy9G350900.1.p pacid=40060916 transcript=Brasy9G350900.1 locus=Brasy9G350900 ID=Brasy9G350900.1.v1.1 annot-version=v1.1 MEIQPGNFSISIDQAVRQMQTGGKGAGEEGKARTSDEMVEMGRKFQELVYRTDISVNKVGRQVPREGKGVASVAEEYLEFVKKLSPEFLKQLFLEMHVKLLMGEERTEMADIEKEEAIKQAYGQEMTRGSCSSERPSEFQFKSKEVSKEDEVNMAMKEAIAAHMCRVRKFQDEERRRSRSGWLIPFQPQEKLKVKEINEETAELAESTKKEMDQEERLFAEYRRGWEWEAKATPSRGSFQDRTTLSPMQFTHWTSKQLRCLNGTTASALQIYSIKIACIKCKSLNWPLRVYGEVAVRDRVDCNRNVLFSRCRSSCQELTIEDPFLHLIGPSRAIMAEGPVVFEVQLKVTGRTKSQDSVLMNRTHQYYGCYTGRPATAIFDNCRCTAEISFEKLSATVQATILSVRIVEDRDEDDPCTFKYGGRVTCFSPLCEIALMNTQGTVEEVIDDPARPVVLLDWHDLDGTGEMPMSSDASLLLSRRVVSVQLRKSYPADFKYEESLKVVVQGYSQSGNVAAQGYVKFRPKLHNISQDVCAVGDSMVEITVAWSALVSSKTFLL* >Brasy9G055200.1.p pacid=40060917 transcript=Brasy9G055200.1 locus=Brasy9G055200 ID=Brasy9G055200.1.v1.1 annot-version=v1.1 MRKAKPPQPQPQASPKVEIRYRGVRKRPSGRYAAEIRDPTKKTPIWLGTFDSAEDAARAYDTAARNLRGPTARTNFPSAAPLPRPPAAAATSSHSSTVESWSGGAPRAPAVARSAAPTDITGEEEDCRSYCGSSSSVCEDDGDDAAASRSPLPFDLNMPPPQDGADASAEADQMSFRYDTLLRL* >Brasy9G224400.1.p pacid=40060918 transcript=Brasy9G224400.1 locus=Brasy9G224400 ID=Brasy9G224400.1.v1.1 annot-version=v1.1 MAQGTLEVLLVGAKGLENTDFLSNMDPYAVLICTSQEQKSTVASGKGSDPEWNETFVFTVSENATELIIKLLDSDNGTEDDCVGEATIPLEAVYTEGSISPTVYNVVKDEEYRGEIKIGLTFTPEPEESGE* >Brasy9G004200.1.p pacid=40060919 transcript=Brasy9G004200.1 locus=Brasy9G004200 ID=Brasy9G004200.1.v1.1 annot-version=v1.1 MVSSRGSMSNRARAKRALAAMNSLGFSKKLASPVLKRLFKLYDQKWELIEDDSYSALAEAVLDAQMNGAPVDDGDQELEQDEDRHQHHSTVRSDSDDEMDTPDSPDFRTDLTANRRDNSDDSPSPPPQRGAMVISPRGASPLQTRASPRLLGRRRRQVMDEDLQHDVFLREPKPEPDDMDASNCQNAQLVLVDLPHGARSSRVPALPPPDRNSKRISGAENRTTQHCRNREMSSSVSPTSNIMNNETGSRVRNMQAATCLDIDVASSAMGEVKMSLKCSVDPSKFRMPALEAVFKMVENKCFLSHKVLPPDLSIGSLMKEICQCVVQLGTEDTIEHNMQSDSIGNGSRSENGVNQKQKAAEKLFVSKDSGNGPVNSTLAQEQHLALSTPRTIHDVTDISKGEERVRISIVNEFGEEKCPPSFYYMPRNTVFRNARVSVSLAKIGDEDCCTDCFGNCLSAPVPCACARKTGGEYVYTPEGLVRPAFMDDCVSVSRFPEKHHMVFCKTCPLESSRNKASPEPCRGHLVRKFIKECWSKCGCSMQCGNRVVQRGISCNLQVFFTEKGTGWGLRALDELPRGAFVCEYAGEILTNTEVHERAAQNMHPIVLDAGWCSSEGLLKDEKALCLDATFYGNVGRFINHRCCDANLVVIPVEVEIPDHHYYHVAFFTSKKVEAFEELTWDYGIDFDRAEASFQCVCGSRYCRGRKRRLHDQRNMLRGG* >Brasy9G272900.1.p pacid=40060920 transcript=Brasy9G272900.1 locus=Brasy9G272900 ID=Brasy9G272900.1.v1.1 annot-version=v1.1 MPKTHQYKGVRQRSWGSWVCEIRHPVLKTRIWLGSHKTAEAAARAYGEAARFLSGPAARTNFPGSIYATGNLTKDVRVKLEKCPVPPSSPSTTVAAPPAPSSSSTGGAMDEDDVEFVEEMIREMTDYGPVEIDPFASVRSTTS* >Brasy9G071500.1.p pacid=40060921 transcript=Brasy9G071500.1 locus=Brasy9G071500 ID=Brasy9G071500.1.v1.1 annot-version=v1.1 MRGFRRRTEPNAPVREIERGSRGREDERRKREYQREGGRRSLTCRGRARGGGAGSAASRLPPWRRLGRGARGVDGGGLSRMRGGGRPRGRRRAAGSAAAVSRSARSEAAAGVWGLGGDGREGSRGRSGVGGSREKGGRSGRRREKEGRRRQGERGGGGG* >Brasy9G332900.1.p pacid=40060922 transcript=Brasy9G332900.1 locus=Brasy9G332900 ID=Brasy9G332900.1.v1.1 annot-version=v1.1 MPKRAAILLLLTASMAASRPAAWTIGAAPLLPQKLVFVPGLTPDETEPHIAAGPGTTSRSANAEETVLHP* >Brasy9G224600.1.p pacid=40060923 transcript=Brasy9G224600.1 locus=Brasy9G224600 ID=Brasy9G224600.1.v1.1 annot-version=v1.1 MEYRNKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIVDHKFLKCERVANESLGTTDFLERGTDNVVFRTCPQERDRVVFQLGTSDAVRALKAAQIVCNDVAAIDINMGCPKSFSVSGGMGAALLSKPELIHDILTTLRRNLDSTVTCKIRLLNTRQDTVELARRIEKTGVPALAVHGRKVKDRPRDPSKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGATSVMAARGALWNASIFCAKGKTPWEDVKREYVRKSILWDNDVKSTKHTLKEMIMRYSCLELAEGKGVIKCDSSADIAKLYKEEDYYNFVVSNRK* >Brasy9G224600.2.p pacid=40060924 transcript=Brasy9G224600.2 locus=Brasy9G224600 ID=Brasy9G224600.2.v1.1 annot-version=v1.1 MEYRNKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIVDHKFLKCERVANESLGTTDFLERGTDNVVFRTCPQERDRVVFQLGTSDAVRALKAAQIVCNDVAAIDINMGCPKSFSVSGGMGAALLSKPELIHDILTTLRRNLDSTVTCKIRLLNTRQDTVELARRIEKTGVPALAVHGRKVKDRPRDPSKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGATSVMAARGALWNASIFCAKGKTPWEDVKREYVRKSILWDNDVKSTKHTLKEMIMRYSCLELAEGKGVIKCDSSADIAKLYKEEDYYNFVVSNRK* >Brasy9G291200.1.p pacid=40060925 transcript=Brasy9G291200.1 locus=Brasy9G291200 ID=Brasy9G291200.1.v1.1 annot-version=v1.1 MAPRVASFLSLFLFFLGAGTYTSSVLGAADDDSASFVYAGCSQGRYAAGTQYESGVDSVLTSLANSAPYAPYANTTSPASSAVSAVSGLYQCRSDLASSICTSCVRSAINRLSSLCAWSSGGAVQLRGCFVRYGNDSFVGKPNTAVLFKKCGGGTPGGDAGGATAAMAMRDSALGALASEAAPGYRAGGAGGVQAMAQCVGDLDAKACSECVSAAAAQLKSGCGNAGAGEVYLGKCYARFWSNGGGFVSSGAGAAHGTRLASRLAVAGGLFGSSLACLPFVLM* >Brasy9G274500.1.p pacid=40060926 transcript=Brasy9G274500.1 locus=Brasy9G274500 ID=Brasy9G274500.1.v1.1 annot-version=v1.1 MSSSSSTGTDPLGEGDDAKTNEELYQQFTNLVSSWPCSEALSNYKLYRHDKGWHSSLPSMVGTMVADACFAARRSDIIVATLPKSDTTWIKALLYATVRRGEHPVDQHSTSNHPFNSLGPHECVRFFKYQLYTQNKIPDLDGLPADTPRLFATHAPFVSLPRSVGASGSCKVVYVCRDPKDTLVSLWSFANKFRARDGLEPLSVEAAADLFCDGLSPFGPYWDHVLGYWRAHLACPEKVLFFRYEEMSRDPAGHVRRLAEFVGCPFGLDEEEAGTVEDIVRLCSFEHMSGLEATKGGKTELVFGAVENSSFFRRGRVGDWENQLSPETSRRIDAITEARFRGSGLCFS* >Brasy9G243000.1.p pacid=40060927 transcript=Brasy9G243000.1 locus=Brasy9G243000 ID=Brasy9G243000.1.v1.1 annot-version=v1.1 MQQIGALVPLANYQFPRHPCRRYTASAGRSNSGHLVMPLGQAYLRRHQFRVAPRTSSTLQVVTTASENQNSNIRKDKYFKVEMKVHESELDQYGVVNNVIYSSYIQNGRDKLLESLGISVDSMISKGNAMALSDLHLKYLAPLRNGDKFVVKIKVMEIKGVRIILEHIIETLTDHKVVLKAKETAVCLNKDYRPTRVFPEMSTKLLQFFSSDD* >Brasy9G080600.1.p pacid=40060928 transcript=Brasy9G080600.1 locus=Brasy9G080600 ID=Brasy9G080600.1.v1.1 annot-version=v1.1 MPSQPKCLWMTQDHPQILNMETKTEVKSHMPLQSICKLRSVHTNTHNKPLRGKENSIPARVDEQSRTEN* >Brasy9G262500.1.p pacid=40060929 transcript=Brasy9G262500.1 locus=Brasy9G262500 ID=Brasy9G262500.1.v1.1 annot-version=v1.1 MAWGVPTRPSSSRVQALYELCKRTFPSPPTGASSSPPPAHAVRSISSLMDTITPADVGLRDDNDLEDEGGHGFFESSFMKGSARAARWTQPITYLHIYECDAFTIGIFCLPTSAVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPIVLASNQSVRLAKLHKDDVLTAPCPTTILYPQSGGNLHCFTSVTSCAVLDVLAPPYSEDAGRSCTYFHDYPFSSFSAGRTKVVDSADNYAWIEAIRTPVNIYMRPGMYTGPTVQDRLS* >Brasy9G109300.1.p pacid=40060930 transcript=Brasy9G109300.1 locus=Brasy9G109300 ID=Brasy9G109300.1.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPPFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGFITAVKQFQFRQCKEYELNVYIDDGSHISEAFVDSAIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109300.4.p pacid=40060931 transcript=Brasy9G109300.4 locus=Brasy9G109300 ID=Brasy9G109300.4.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPPFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGFITAVKQFQFRQCKEYELNVYIDDGSHISEAFVDSAIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109300.5.p pacid=40060932 transcript=Brasy9G109300.5 locus=Brasy9G109300 ID=Brasy9G109300.5.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPPFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109300.2.p pacid=40060933 transcript=Brasy9G109300.2 locus=Brasy9G109300 ID=Brasy9G109300.2.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPPFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKIIENITGYSSEEATVVLLDANSASAIALKQLMIGFRQYLEKFEGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G109300.3.p pacid=40060934 transcript=Brasy9G109300.3 locus=Brasy9G109300 ID=Brasy9G109300.3.v1.1 annot-version=v1.1 MRRRNLVIASDSDEEDDGATIASVSAASGGGGSVGRPSSQNPSQVPYPLPSSAPPSPPVEISDDEEEVDEIEDPDEDYPFVDVAYEMQDSDVEDPFVDVPDDLSPPAPVPPPHPPGRNPPSAPAPASAPPFRTPTPTPPPAPAPAPAPPFRTPTPTLPPAPAPASVPPFRTPTPPASAPPFRTPTPTPAAAPFRTPTPTPPLAPPSAPLARTPTPTPPSAPAFSPMARTPTPTPPSGAAPAPPGRTATPTPLPAWTPTPTPLTASTPPSALSARLRPVDGFLRRLGLRVRPEWLELCAAEIPEFNGSGGTEVLARRCFEQFLFADMNACGAGVLPEGVGSMNAAILDGPFVLQVDEIVNISTPLRERYHGANAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIIIRNVHIRRGLLMLVPEVIEILGGVVDELEAARDRLVSEVNKPPRGKRKQGGLLLSARATQAAWRCNISVTNGGEQGVPMPRTVNPSNPTGLGNVSQVGRTTQTMAEGRINPPVLVNVLQQNQHSQEFSMQDRSTSLTRNDVGVSAPATYRYEPQPSISRTTSTLVEGYVDHPIVSNSVHEQMQRVQEITMQDRGAASTRNRGEPSASTPCGSGSQQGPHGIGTSSNGAARSSNVDDKIERPVILSGENEKPFTYAFNMMSDWLIEQGTRPYIRGKIKGTMLIEFNKTSSIPIVREMNEGCSSSDAWLLLQRVKTFSDQRHIRSLDFMDITP* >Brasy9G256400.1.p pacid=40060935 transcript=Brasy9G256400.1 locus=Brasy9G256400 ID=Brasy9G256400.1.v1.1 annot-version=v1.1 MLCKPQAGRRHDLHLHGCTYAQMAPAQPLFAIISASFFFLALLCCCPPQARAANNYTFARDAGQAAPVSYYDYIVVGGGTAGCPLAATLSQRFRVLLLERGGSPYGDERVENMTHFEHTLSDSSPGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVREAGWDLGAAKQAYRWVEDVVAFQPELGPWQAALQRGLMEAGVAPDNGFTFDHIDGTKVGGSIFDAEGRRHTAADLLRYARPDGLDLLLRARVAKILFNVRAGRRPVAHGVVFHDSEGRMHKAYLNAGRRNEIVLSAGAMGSPQLLMLSGVGPADHLRSFGISLVLNQSAVGQGMADNPMNAIYVPSPSPVEVSLIQVVGITRLGSYIEGASGSNWALRRRSDSGNHRPPRNFGMFSPQTGQLATVPPKQRTPEAIARATAAMGQLDDSVFRGGFILEKVLGPLSTGHLELRNLNPDDNPAVTFNYFSHPEDLRRCVDGLTVIERVIQSKALENFTYPYLTVEDMLNMTADFPVNMRARHDNDSKSLEQFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLHAEGLARRKL* >Brasy9G119000.1.p pacid=40060936 transcript=Brasy9G119000.1 locus=Brasy9G119000 ID=Brasy9G119000.1.v1.1 annot-version=v1.1 MAQSTGKRPPVPAPASRGMAGKLALALFVFLAALLYQQIQPPAPKICGSPGGPPVTGTRTKLKDGRHLAYLESGVPKEKAKYKIIYVHGFDSCRYDALPISPELAQELGIYLLSFDRPGYAESDPNPARTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKHIPHRLYGVAVLGPVGNYWWPGLPSNVSREAWYQQLPRDQWAVWVSHRLPWLTYWWNTQRFFPGSSVIAYNPAILSEEDALLIDKFGMRPYMAQIRQQGEHECLHKDMMVGFGKWDWSPMELEDPFAGGQGKVHLWHGTEDLIVPVTLSRYISERLPWVVYHELPKSGHLFPIADGMADAIVKSLLLGDDQ* >Brasy9G103700.1.p pacid=40060937 transcript=Brasy9G103700.1 locus=Brasy9G103700 ID=Brasy9G103700.1.v1.1 annot-version=v1.1 MVLSFPSKLQESQVGKFRANVAAGWLVPANMLVNSEPTLRLHVISPRHMWLNSLCLLPEIVFSIFQ* >Brasy9G227400.1.p pacid=40060938 transcript=Brasy9G227400.1 locus=Brasy9G227400 ID=Brasy9G227400.1.v1.1 annot-version=v1.1 MEPPAKVDRLTRSLQAAPCLAVNGGLFAFFVAIVAGAFVSAYWISASARVAPIIPTAVPAIAQNAATSPFRAPGLTTPFTSSLDAANRTPSELPAGLTQQPSPAPASQAAAESSSATTTGSCPAYFRWIHEDLRPWRATGITRETLEGAHRYRPKFRVTVVAGRLYVRRYGRCFQTRDVFTQWGILQLLRRYNTGRRAVVPDLDLMFDCQDLPVVDAGNHRGGHTPPPPPLFRYCGSEPTLDIAFPDWSFWGWPELNIKPWGALRREIDEGNAAVDWTRRAPYAYWKGNPMVGAARLELLKCNVSRKRDWNARIYAQDWGKEVRGGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACDSVALVVRPRFHDFFSRGLMPLQHYWPIRDRGQCRSIKFAVDWGNSHTDKAREIGGNASKFIQEELTMGHVYDYMFHLLTEYAKLLRYKPTIPDGAVEVTVESMTRGRRGLEREFMMHAGLNASGSGRAGPCEMLSPFSSGELEKLRRKADAVEQVETWERRAR* >Brasy9G227400.2.p pacid=40060939 transcript=Brasy9G227400.2 locus=Brasy9G227400 ID=Brasy9G227400.2.v1.1 annot-version=v1.1 MEPPAKVDRLTRSLQAAPCLAVNGGLFAFFVAIVAGAFVSAYWISASARVAPIIPTAVPAIAQNAATSPFRAPGLTTPFTSSLDAANRTPSELPAGLTQQPSPAPASQAAAESSSATTTGSCPAYFRWIHEDLRPWRATGITRETLEGAHRYRPKFRVTVVAGRLYVRRYGRCFQTRDVFTQWGILQLLRRYNTGRRAVVPDLDLMFDCQDLPVVDAGNHRGGHTPPPPPLFRYCGSEPTLDIAFPDWSFWGWPELNIKPWGALRREIDEGNAAVDWTRRAPYAYWKGNPMVGAARLELLKCNVSRKRDWNARIYAQDWGKEVRGGFRESDLAKQCTHRYKIYIEGRGWSVSEKYILACDSVALVVRPRFHDFFSRGLMPLQHYWPIRDRGQCRSIKFAVDWGNSHTDKAREIGGNASKFIQEELTMGHVYDYMFHLLTEYAKLLRYKPTIPDGAVEVTVESMTRGRRGLEREFMMHAGLNASGSGRAGPCEMLSPFSSGELEKLRRKADAVEQVETWERRAR* >Brasy9G143500.1.p pacid=40060940 transcript=Brasy9G143500.1 locus=Brasy9G143500 ID=Brasy9G143500.1.v1.1 annot-version=v1.1 MDASRKRAREGSSSEEDRLSALPDALIHAIMSFLKAHQVVQTSVLAKRWRHLWRSVPSLDIDLPEFNSKINDRAGIGRSYEKFVDFADYLLFHRHQDGSPLDTLRLHVSAGHMARDTDAGRWVRRGLKCSPRMLHVYHSSYHEAQIPLDLGSGPCSSCRLTKLHLVGLSLNCSFAEQIRSVCVVLVELEIKKCGINFQKIASSSLKNLIIDGEHVYYGGHDDHNLLIITAPCLASLHLNLDACYGFLVVSVNETSSLVKASICIWNSDPHDVVYDNQCKLLNSLFNVTSLELVGFCIMAMPDEEPFQFSAFKNLTTLLLDKCNLGDKFQLLQLFLQNSPNLETLIVDCCQLSQGSKRGKGKAMWKKIMSQYQDILDFKCLKLKYTEIIYKRGDIIFPLVNTLLDFSDRLPKNIITLKKV* >Brasy9G143500.2.p pacid=40060941 transcript=Brasy9G143500.2 locus=Brasy9G143500 ID=Brasy9G143500.2.v1.1 annot-version=v1.1 MDASRKRAREGSSSEEDRLSALPDALIHAIMSFLKAHQVVQTSVLAKRWRHLWRSVPSLDIDLPEFNSKINDRAGIGRSYEKFVDFADYLLFHRHQDGSPLDTLRLHVSAGHMARDTDAGRWVRRGLKCSPRMLHVYHSSYHEAQIPLDLGSGPCSSCRLTKLHLVGLSLNCSFAEQIRSVCVVLVELEIKKCGINFQKIASSSLKNLIIDGEHVYYGGHDDHNLLIITAPCLASLHLNLDACYGFLVVSVNETSSLVKASICIWNSDPHDVVYDNQCKLLNSLFNVTSLELVGFCIMAMPDEEPFQFSAFKNLTTLLLDKCNLGDKFQLLQLFLQNSPNLETLIVDCCQLSQGSKRGKGKAMWKKIMSQYQDILDFKCLKLKYTEIIYKRGDIIFPLVNTLLDFSDRLPKNIITLKKV* >Brasy9G155100.1.p pacid=40060942 transcript=Brasy9G155100.1 locus=Brasy9G155100 ID=Brasy9G155100.1.v1.1 annot-version=v1.1 MLLRVAAAAAHRLLLACPVCRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVGPGGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVFGIRSRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKERDDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >Brasy9G256200.1.p pacid=40060943 transcript=Brasy9G256200.1 locus=Brasy9G256200 ID=Brasy9G256200.1.v1.1 annot-version=v1.1 MAGLGEDGCARRLGLAAEICGGAANPAAWSRRQGEDRDGRLTAGLRWRARLTARGRLGLPESMERAAPCSRLRSGAGGAAEGGALEHSVRGRRGRGRPSGGHLLLSCPPASASPAAGLRSRALACGLAGPGAGLRPSAPRLRTRPPRRRPLPVRPPPPPRPRQRQHGDDGGLPGNPTGLGLGGRRRTKGALPGRVGSDPSFVPTSGPQLSGILSKLLTHGVLGVLVHFARMVVA* >Brasy9G166600.1.p pacid=40060944 transcript=Brasy9G166600.1 locus=Brasy9G166600 ID=Brasy9G166600.1.v1.1 annot-version=v1.1 MAALLNPTARRLALAACRRLSTPPAAEPLVAHWSTQARNNSSLVEINGRFKEMVTGTQSYYVVGGKGGVGKTSIAASLAMKLAKHGQPTLIVSMHPAHSLGDTFEQDLSGNKIVPVNGVDSLFAAEIGHLNIKAESSKAGSFISDLLGKIGLGVLADPLGSNKLNEMLMKTPGFGEALAMSKLAEIVNLQQSNKFRRIVLDTAATGHTLNLLSATNLMEKFLGMANKAVNVASSIPALRSAFEKGEIDFATIEELRKQIAILHKLLQDPQSTEFITVTIPTAMAITESSRFHTSLKKDGAPVKRLIVNQVLPPSACDCRFCAVKRKEETRALNMISEDRELSGLELIQAPLLDVEVRGVPALKFFSDVVWK* >Brasy9G090300.1.p pacid=40060945 transcript=Brasy9G090300.1 locus=Brasy9G090300 ID=Brasy9G090300.1.v1.1 annot-version=v1.1 MSDGSNDSVHSKRWESTLTYSLNRPEHTGRILGEGEGAPWKNFFGKDDSKCRKRKRPEQLAQRKLSLCYSMAYTKAAWTLMRCCKSPVRKAFMVFCFKVSPRAIGVRHVRRGAVALLPGLPLPQFQALIRVQATTSR* >Brasy9G199500.1.p pacid=40060946 transcript=Brasy9G199500.1 locus=Brasy9G199500 ID=Brasy9G199500.1.v1.1 annot-version=v1.1 MGSPGAAILFSCVAMSMVAVGSAASNNSSFISMAALESADPASSRKLFGIPLMDQGLCPVRFDQMRHFGRIEATCKGDQAPTSQCCDAFDAFACPYRDELNDLENGCSTEMLIRINTICMLPTSFFVECGNSRQGIDCESVKG* >Brasy9G050700.1.p pacid=40060947 transcript=Brasy9G050700.1 locus=Brasy9G050700 ID=Brasy9G050700.1.v1.1 annot-version=v1.1 MAEHLFEDIFTVSRIDPDGKKFDRVNRIEARSEQLHMYMQLDVATDVYPMYAGDKFTMVLAPTLNLDGTPDTGYYTQAGRKTLADKYDYVMHGKLYKISEDSSGGQAAKVEIYASFGGLLMLLKGDPSSAANLELDQRLFLLMRKV* >Brasy9G314800.1.p pacid=40060948 transcript=Brasy9G314800.1 locus=Brasy9G314800 ID=Brasy9G314800.1.v1.1 annot-version=v1.1 MSGAARVGAGGEIADDVLREVLARLIPAGGIQGLLRCAATCKRWRLVATDRAFLRRIGLWPDHARRPSVLLGAFFQTSYRPASTAADYDFDEPPERSPRHDNPPRFRSLQPGGARLAFESFVPNADGRFDLARPLASRRGLLLVRLLPVGDCCVRVLQKLHLAVCRPQIGKKNHQGSQHLLLPQPPFYLTEHLDRALAGCALLTDDDYGAAADDDNLVDRQPTFKVLVLYIDLDELACACAYSSATGNWSAPVSCCRATKFIRCGPRAGVVAGSGTVHWVCRNKASFYTLDVDISAAATTRVSFTEIPIKAPALQKQPPMPCVVRGGRLSLVTMGDRGVPELWTKRELQYDDDDDCRDRVCEWDWEHSELIDLGSDRIDIVFFAESRGALLVEQGGAFSAIDLESKEKWLLDNEDEEMGRDKGTRWFPAAHCSSSCYKAYHCGWTCDYNPPVLYEADWVFRFHVDDEPTVVAAMPLHGESGARAQRPGGRPEEETLS* >Brasy9G084900.1.p pacid=40060949 transcript=Brasy9G084900.1 locus=Brasy9G084900 ID=Brasy9G084900.1.v1.1 annot-version=v1.1 MTLAVIAAVTVVTAFAGKLSLAYQLEFVGARDSRVKAITEMLNNMRVIKLQAWEETFGSKVRQLRQAELGWLKKVIVFVCGSTVLFSSGPVAMTVLVFGTYLASGGELDAGKVFTATAFFSMLDGPMRNFPQTIAMSLQAFVSLRRLDKFLSDAEIDGAAVDCRLDIAGAGDVAVAVKVEGGVFAWDVQDNADGPGEEPVLKGIEMEVRKGELVAVVGTVGSGKSSLLSCIMGETHKVSGKVSVRGSTACVTQTAWIQNGTIQENILFGQPMHPEKYQEVVHACCLGKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSSIFKECVRGILKNKTILLVTHQVDFLRNVDTVFVMKNGEIIQSGKYGELLDSCSDFLALVAAHDSSMEAPGVHGCHVQNTENSQDTMVLPKTPSVNSKSSNENSVAPSKEAGSSKIVQEEEKESGRVSWQVYKLYITQAWGWWGVVLILAISVLSETSRMASNYWLSYETSGGTIFDISMFLGVYVSIVAASVVFQFITILFIAFLGLKSAQAFFGKMFSSILRAPMSFFDTTPSGRILSRASSDQSKIDTALLFYFGTGISMCISVVSSIAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTRAPVIDHFTETFLGSPTIRCFGKEDEFYQTNLDRINSNLRMSFHNYAANEWFGFRLELIGTLVLSVTAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISISCMLENDMVAVERVNQYSTLPSEAAWTVSDCLSLPNWLCQGDIDIKDLEVRYRPSTPLILKGITFSISSGEKIGVVGRTGSGKSTLIQALFRLVEPAKGRIIIDGVDICTLGLHDLRSRFGVIPQEPALFEGTLRTNIDPIGQYSEAEIWQALERCQLKDTVAAKPEKLDALVADMGENWSVGQRQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFLACTVVSIAHRVPTVMDSDRVLVLDAGLVVEFDAPSKLMGRPSAFGAMAQEYASRSSSTKATD* >Brasy9G100200.1.p pacid=40060950 transcript=Brasy9G100200.1 locus=Brasy9G100200 ID=Brasy9G100200.1.v1.1 annot-version=v1.1 MMLGQCTNHVFLTEGSSNVLHCPSSRGTASRSRGTVRFHRCCVRANLWRTDHLHVNIARSEVKVHDVLQVSDVLNSINNWSKLQLVTVTGLVACVVLVVPSAGATDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNDCAVSRKKCVPKKSDVGEFPVPDPSALVKNFNIADFTGKWYISSGLNPTFDTFDCQLHEFHVEGDRLVANLAWRIRTPDTGFFTRGAVQRFAQDPSQPAVLYNHDNEYLHYQDDWYILSSKIENKEDDYIFVYYRGRNDAWDGYGGAVVYTRSKELPETIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVDRIEKTVEKGEKIIVNEVKEIEGELEGEVEELEKEEETLVKRLADGLTEVKQDVMNFLQGLSKEEMELLDQLDLEATQVEELFSRSLPIRKLR* >Brasy9G053200.1.p pacid=40060951 transcript=Brasy9G053200.1 locus=Brasy9G053200 ID=Brasy9G053200.1.v1.1 annot-version=v1.1 MRACAAMPLLPPPPPPRAAPSLLTPTVSHLARLLLTQAPAIPPLLTAILPASPSLLTPLLSHLLLSHSPPLPALSLFRSLLALPHFPVPESSLPVLLRLLARSRRHARLSFQLLDSLPSTHPHLLSVPALSVVLSTALCASAPGAPFAAAVSCFESAAGVWARAGRSFGAPELNALLRVFCARGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDALCKKGRFLDALELLDEMRRRENCEPTLQVFTTLIYGAGIARDAARARRLFDEMEQCGVTPDRGAHNALMGAYVRARDLQSGMAVMDEMEQKGIGLDDVTYNTMLCGFRRVGDLEGIWKIYSKMIGSGFMPRTRTTMLLMKVFCENGRPDLGLELWDYLIGKGCVPHRHALDVLVTGLCCRDVVVEAYRCFREIIEMGMAPTERAFRVLEGFLKKRQEFGKIEEIRQMMKAIQLEEHQIEEDEV* >Brasy9G091300.1.p pacid=40060952 transcript=Brasy9G091300.1 locus=Brasy9G091300 ID=Brasy9G091300.1.v1.1 annot-version=v1.1 MMVPLDPSNKPTSQRRIAEGDTVVVYERHDVMRAVTVAGGGVLQNRFGVFRHADWIGRQFGSKVFSSAGVGGKDGRKAGGGFIYLLAPTPELWTLVLSHRTQILYIADISLVVAYLELIPGCVVLESGTGSGSLTTSLARAVAPQGRVYTFDYHDQRASSAREDFEKNGLSSLITVAVRDIQGEGFPDEHCGAADAVFLDLPQPWLAIPSAGRMLRQDGVLCSFSPCIEQVQRSCEAMRPCFTDIRTFEILLRTYDVNEGALKSATANEEANVGRLCKKKRKVRPAGEVLDSTQSSSVMVKPCNTARGHTGYLTFARRRVHGSQTEAT* >Brasy9G021900.1.p pacid=40060953 transcript=Brasy9G021900.1 locus=Brasy9G021900 ID=Brasy9G021900.1.v1.1 annot-version=v1.1 MHAGTMSGGPLDLWNHWSIQILVLLSLALQIFLFVFARIRRRGANPVLRMLLWLAYMQADSTAIYALGHLALSKARENEKLVAFWAPFLLLHLGGPDSISAYALQDNQLWLRHLQVLVVQVLGAGYVLYKRIADNGFFVMVASILMFTVGFVKYVERTWALKRGNMDTIRSSLKNELHVKHHQFHTLDQDQGFREGAANREDERYVRLAHSMFHVCKHAIVDSWIEKDFENRGGEMLRDIKKEEDKGMWMLMEVELSLLYDILYTKAAVIHTWPGYSIRIVSPLATVASFLLFYFSDKDGDSKVDVGITYTLLSGAFLLELTSLLSALGSSWTYTFLCTTRWRWLRKTALCSGRWDQLRQLVKKITRIQVGGQARRWSGEMGQYNMLQLCSRRETAFSPLLGRLAKMVGQNEWWNRKHYSGTIQISDELRLWLHLYIKRLPRKNNVNTQGMIRTNWGVEALQFYKCYDDFKDSLGIELQEGIIIWHIGTDVFLAESSRDKAYNAAQEEVVKTIRTLSNYMMFLIVERPDMLPGLAQTRLYQQTCQNLVRMWCNNKCNAEEEPSSRSPPTRSRSPPTRTNCSKVKELFWLNDDPNTFRLFERDNLATLLYKKQPRYSTDVPRLCYANWLTDALLKRENKEGGMAVLELIRRVWTDFLVYTANRCSRESHAKRLGSGGELTTVLWLMADYFHKQSVLSGDR* >Brasy9G048300.1.p pacid=40060954 transcript=Brasy9G048300.1 locus=Brasy9G048300 ID=Brasy9G048300.1.v1.1 annot-version=v1.1 MALPPPLAGRRRRRRQGERGAEEGWLDVAAGYRPVSRRSGSRGARVFHLSALCIVHNIYMKFGELESALRIGLLLDDSKCVKKVFAATGDFPLKQQFAYIIARYGLSMEIHAEMAADENEKDALQEIVNNRKLSEGYLTLARDICVMEPKSPEDIYKEPLIHHRGGIISSLGSAKQNLAATFVNAFVNAGFGQDKLMTVPSASSGDGCSGIWLFMNEGHMRSSAAASLGMILLWDPDSGLTKFEKYLHSNNTDIIAGALLGIGIVSCGVRNNSDPALVRISEHMKTDNSFQRLGGILGLGIAYAGSQNNKVRAHLSFILTDHRTPFELLVFCAISLGLVFVGSCNEEIAESIIFVSMDRTKAQLADPIIRLLPVALGLLYLGKQEAVDATIEVSKTFDGKIKKYCVVTLMSLAYAGTGNVDKVQKLLRICSKRHKKGGTRQGPAVIGIALVAMAEELGVGMAVRLFERLLQYGDHNIRRAVPLALGMLCISNPKANVIDTLSRLSNDENSEVSMAAIISLGLIVAGTNNARIAKLLRGLSSHCKNDNSLFCVRIAQGFVHLGKGLLTLDPYHSNRQLLSPVALAGLVTVLHACLNMNSTILGEYHCLLYILVLAMQPLPVPVRVGQAVNVVGQAGRPRTVTRFQTHLTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPECHKD* >Brasy9G160200.1.p pacid=40060955 transcript=Brasy9G160200.1 locus=Brasy9G160200 ID=Brasy9G160200.1.v1.1 annot-version=v1.1 MEALLELEKVQRVLSFMGSRGISDYAGGGDGDRFLAHFLLFLVQPFDSLSMEKKVVVVSELLREVNSDTLKEVQRLTSLEGIDSEDKVRIITPLPSDQDIPSGDLLRPTKKFKMHAEKLTIQDVPMIGFDAMTRANSTLEDFCRSYFIFHGLDVNKPQSVFKFLPFLSFTESYIYQLDASNEDSLHFLPGENSSTVLEMKKEVSSEIFLSDKLDSLDNLLQCQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMKAIHLKSFDYRVLNLMMYRLTGQQVNELHMEFLSVSEFLVEISDDLYDYEVSVANG* >Brasy9G311900.1.p pacid=40060956 transcript=Brasy9G311900.1 locus=Brasy9G311900 ID=Brasy9G311900.1.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKLQRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.3.p pacid=40060957 transcript=Brasy9G311900.3 locus=Brasy9G311900 ID=Brasy9G311900.3.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.4.p pacid=40060958 transcript=Brasy9G311900.4 locus=Brasy9G311900 ID=Brasy9G311900.4.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.5.p pacid=40060959 transcript=Brasy9G311900.5 locus=Brasy9G311900 ID=Brasy9G311900.5.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.6.p pacid=40060960 transcript=Brasy9G311900.6 locus=Brasy9G311900 ID=Brasy9G311900.6.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDRWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.7.p pacid=40060961 transcript=Brasy9G311900.7 locus=Brasy9G311900 ID=Brasy9G311900.7.v1.1 annot-version=v1.1 MLPNISNVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.9.p pacid=40060962 transcript=Brasy9G311900.9 locus=Brasy9G311900 ID=Brasy9G311900.9.v1.1 annot-version=v1.1 MLPNISNVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.11.p pacid=40060963 transcript=Brasy9G311900.11 locus=Brasy9G311900 ID=Brasy9G311900.11.v1.1 annot-version=v1.1 MEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.10.p pacid=40060964 transcript=Brasy9G311900.10 locus=Brasy9G311900 ID=Brasy9G311900.10.v1.1 annot-version=v1.1 MEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.15.p pacid=40060965 transcript=Brasy9G311900.15 locus=Brasy9G311900 ID=Brasy9G311900.15.v1.1 annot-version=v1.1 MVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.8.p pacid=40060966 transcript=Brasy9G311900.8 locus=Brasy9G311900 ID=Brasy9G311900.8.v1.1 annot-version=v1.1 MLPNISNVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDRWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.12.p pacid=40060967 transcript=Brasy9G311900.12 locus=Brasy9G311900 ID=Brasy9G311900.12.v1.1 annot-version=v1.1 MEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDRWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.16.p pacid=40060968 transcript=Brasy9G311900.16 locus=Brasy9G311900 ID=Brasy9G311900.16.v1.1 annot-version=v1.1 MVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDRWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.2.p pacid=40060969 transcript=Brasy9G311900.2 locus=Brasy9G311900 ID=Brasy9G311900.2.v1.1 annot-version=v1.1 MSNPKVAAGPASPVVPARRRRQRSRDTPLQPSRANKLRLVTSAHASAGACHLELFSGGCRRTPRLCSTGVRTRTMISSCLANVGRVVAGENASLQNMEWKARIRKQLLQPELLPSSYDTAWVAMVPLPGSPHVPCFPQCVEWILQNQRGNGSWGLSQIDSSVNKDVLSSTLACVLALKRWNVGPENIIRGLRFIGSNFSLAMDEQTPAPVGFNTTFAGMLSLAIEMDLEFPVRQTDVDGILHLRDMELERLCGDKSYGREAYMAYVAEGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKYNLQLDVFVCTSLNSTYVLTHGE* >Brasy9G311900.13.p pacid=40060970 transcript=Brasy9G311900.13 locus=Brasy9G311900 ID=Brasy9G311900.13.v1.1 annot-version=v1.1 MLMGFFTYGIWNWKGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDSWVKEYELDQLQFARQKLTYCYLSVAASVFPPEMSDARISWAKNTVLVTVIDDFFDIGGSKEEQENLIQLVEKWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G311900.14.p pacid=40060971 transcript=Brasy9G311900.14 locus=Brasy9G311900 ID=Brasy9G311900.14.v1.1 annot-version=v1.1 MLMGFFTYGIWNWKGLGNLLNWNEVMKFQRKNGSFFNSPSSTAAALIHNYDDKALQYLNLTVNKFGSSVPTVYPTNIYCQLSMVDSLEKIGISHHFSCEINSILEVTYSFWLQRDEQIVLDVATCAMAFRLLRMNGYDVSSDELSHVAEESTFHNSLQGYLNDTKSILELYKASNVSVLENEMILDKIGSWSGSLLTQKLFSDVGQRISIHGEAEYALKFPFYTTLERLDHKWNIEHFDTSGSHMLKTEYLPCRVNQELLSLATEDFTCSQSIYQDELLALDRWHDHHKDDFCSEQVKILFCALYTTLNELGSMASTLQNRCVRNHLIEIWLQMVRCMMTEAEWQRSKCMPTMEEYMKSGYVSIGLGPTILPTLYFLGGKLLGHVVKDEEYNELFRLASVCGRLLNDRQGLKRESSNGKVNSISLLVHHSGGSMSIEAAKEAVYKIIVTSRRDLLRLFLKEDTAVPKPFKELFWKVCKILHFFYLQTDGFSSPNEKVSAVNAVIHEPLKPNILIQL* >Brasy9G321800.1.p pacid=40060972 transcript=Brasy9G321800.1 locus=Brasy9G321800 ID=Brasy9G321800.1.v1.1 annot-version=v1.1 MASSMISLQEDDNRRRQKEATELQLALIGAFQPRAEKTGQRGRSRRPTSSSTRTASRWRQREGDKAGRGANFGSCVTAPPLLI* >Brasy9G131200.1.p pacid=40060973 transcript=Brasy9G131200.1 locus=Brasy9G131200 ID=Brasy9G131200.1.v1.1 annot-version=v1.1 MFGCMNWGLELGIWNLKSRNPAFGCFWHWRLESETNSALAPAPRHLTSRDTEGFPSVFSGIGLPQFPGAVVSREADWRRRTGDAILCMMGDMKSEFQEALKTTDPVTLPKVTPSAEILAALQTIPDLAEGDMLRAYGRLSISERLVESLMELPMTLRKGWLMTLP* >Brasy9G155600.1.p pacid=40060974 transcript=Brasy9G155600.1 locus=Brasy9G155600 ID=Brasy9G155600.1.v1.1 annot-version=v1.1 MASSSGVGGGGADGGVGEGPTTLDELYQINVVPAELHFKFRKELRGLRVGLNLEFYNLEVNDFEAKVVLKPLDFDRKWKFQYKPISGDVQLLSKKIPVTKYLNLQVGIGHNFQLKATGWKWKLSTCLGGDGISQIRNKSKLNLFPGFDLRVGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDQY* >Brasy9G155600.2.p pacid=40060975 transcript=Brasy9G155600.2 locus=Brasy9G155600 ID=Brasy9G155600.2.v1.1 annot-version=v1.1 MASSSGVGGGGADGGVGEGPTTLDELYQINVVPAELHFKFRKELRGLRVGLNLEVGIGHNFQLKATGWKWKLSTCLGGDGISQIRNKSKLNLFPGFDLRVGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDQY* >Brasy9G084500.1.p pacid=40060976 transcript=Brasy9G084500.1 locus=Brasy9G084500 ID=Brasy9G084500.1.v1.1 annot-version=v1.1 MLQWGTRTFGAVRTELRQLRKKLQEQGAAGPLLPATCEAATARILRCSGRSCVFLPSRTTEDTRRPPVLIPSLTYDYETPAAGLPTPASRMAARFPSSRARPNRAPAARGREKMLTMREGGGGEQGPKRDAAHAGARRRNPRRGEPPHEPARQPLERMMAVEKTRGRGRAARGVDGAEQAAGAEHPARPRLAETKQPVRRGGDASGRAVRGWGGATYGSGGAESRGDGAASDRAGRAARGRQRGAAASPVTEQRLVEKEQPPRRSSAPLNPLVATSPISLGFVYVRSNLLNH* >Brasy9G244600.1.p pacid=40060977 transcript=Brasy9G244600.1 locus=Brasy9G244600 ID=Brasy9G244600.1.v1.1 annot-version=v1.1 MHADASLRFPYISADPSSLPGLENLARRLRLRHCRCAPPPGHRRVRLWEEEKPRASAMANSASGMAVSDECKHKFQELKAKRSFRFITFKVNENTQQVVVDRVGQPGDTYADFTASIPADECRYAVFDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATEPSEMSMDIVKARAL* >Brasy9G047700.1.p pacid=40060978 transcript=Brasy9G047700.1 locus=Brasy9G047700 ID=Brasy9G047700.1.v1.1 annot-version=v1.1 MPIKTCARTCSQNIMPSSAIPREQKNQSSDTLLTSDIKKTYKDEGLRVSDKIVAFALDGCLAKTSMKSIGADKWSLQHKSIPDKLQRLYNGYKLVVFTNESNIEHWKNKWQQAVDSKVGRLDTFIECVKASIQIFIACGIGKGKGRRSISQTKSWNMVVDDRPFQFWNSHQHGSSQGTVNPKDYQLADAQLMMTILDLDLIQQAANYKQLKKGANEATKTLNRGISEFVVIGADTEPLKILHLSLLADKV* >Brasy9G248200.1.p pacid=40060979 transcript=Brasy9G248200.1 locus=Brasy9G248200 ID=Brasy9G248200.1.v1.1 annot-version=v1.1 MHDPTPERSIYELTRYPCCLHSRLSLNLGKSSSKEKTPKTPGSGKMAFPAYSITRAEIEGFWRRKETEEEELRLAAEKEAARTKAKALKMEDYMLFEQMIREVLEGNEGDGTRRTETGITKNSKMVAIAASGAEARIGIKHWWKRSTYAYLNEPAVTTSTDENGRTKHAIIYFPQERCVRVCSSVPTASVIF* >Brasy9G179300.1.p pacid=40060980 transcript=Brasy9G179300.1 locus=Brasy9G179300 ID=Brasy9G179300.1.v1.1 annot-version=v1.1 MLRRQRLQQRRLRRPRRAPPLRTAANLALSSPPSLLLLDDAPPAATAEAAAAATSTSTSRRTSVFALDAPPLWGLESVCGRRPEMEDAAAVLPRFHRLPLSMLSAPADPGADGLDRASFRLPAHFFAVYDGHGGAQVADHCRHELHHALVRELLAAELHDDDQPAAAADPKKRWEKAFVDCFRRVDAEVAAKAADTVGSTAVVAIVCSSHVVVANCGDSRAVLCRGKEPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTTVVARARDDECLVLASDGLWDVLSNEEVCDAARKRILLWHKKNAAAADARGSDGGSPDPAAQAAAEYLSKLALQKGSKDNITVLVVDLKAHRKFRSKPDNNNRQTDRQ* >Brasy9G219400.1.p pacid=40060981 transcript=Brasy9G219400.1 locus=Brasy9G219400 ID=Brasy9G219400.1.v1.1 annot-version=v1.1 MDFIIAVRKMVMTTAPLLPLVMTLLATQAAAAASRSCRTSCGLIDFSYPFGVGSGCSLPGFNLTCDDNVSGKRLRLSAAPT* >Brasy9G077400.1.p pacid=40060982 transcript=Brasy9G077400.1 locus=Brasy9G077400 ID=Brasy9G077400.1.v1.1 annot-version=v1.1 MPDMSGKTVLDDLPESVVTNEILLRLSAEDIVRCRAVRKSWRHDTSTQEFLVAHRLRQRSLPLIKIAGAEDEIYDRHMVVFSGAGADDRWRPVLTLPDPHRDDIDDAFLIHAACDGLFVVSFHGHEMVMDICNPATRQRAPLPLIPHVVEPMQSSFWDPIIQIGIAGFYRHRPTGEYRLVYWTREWEEEGSEFYTQAFYVFAVGSDQNKPRPIPGPPILQHAVMDQLVCYQNAPTLHRGRLHWELGRQYYPITAIMVFDTTAETFRWMRRPVWIFPWAWTALFEMGDSLAMSGSLDGTIVNIFVMMDYAAELWAFRSRIDLSPFPRLDPLARRELNIAVLNERELLIEVDGAVFHYDNDGKFLGNVGCDEDEDKYTMELTHYRFLENIIPFPFFEQQEDHRYNDWMMKDEDI* >Brasy9G335300.1.p pacid=40060983 transcript=Brasy9G335300.1 locus=Brasy9G335300 ID=Brasy9G335300.1.v1.1 annot-version=v1.1 MMSRFMHIGKSSSRKLAHAALANSKPSVSGAHNIGPSYASGLAYRSRSFLHGTVHSGPSTSFVLGRAKEGLYWSPGARNFSVLSSCSRNAFHSQLAWKQLTAMGSRAPRASPLLSRAACAITLAATGSKVVPYLVAFIAGEIMLAEKTSADGEYLPIRDNIYMRAQDSRIYVTSLIFSAVEMVIIIFRSIYLAMLFTPSVLMAPFADNLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSFAHTKKTVEKAFGRKLSDIFENFEEEPVASGSVAQVHRASLRFRHPGKKTKRETVAVKVRHPGVGDSIRRDFSIINTVAKISRYIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRMWKDVSFPTPLYPLVHPAVLVESYEHGESVSHYVDDHDGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKVFIEEVERAFSFWGTPEGDVIHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP* >Brasy9G192500.1.p pacid=40060984 transcript=Brasy9G192500.1 locus=Brasy9G192500 ID=Brasy9G192500.1.v1.1 annot-version=v1.1 MQRNGVLECSVCHSKVVAGTPRSISRAYDKHHSKISSKQRVLTYLLVSGDCILVGLQPILVFMCKVDGKFQFSPISVNFLTEVTKVFFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARNNVLLAIPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKFIMRRKFSIIQWEALGLLLIGISVNQLRSIPEGAKTFGLPVTTIAYIYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNVFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHSLTINFLLGISIVFISMHQFFSPLAKVKDDKPAGTLELGDAQKHRSSDSSFVNMTAGAAGDASHLSATDDRKPLLPT* >Brasy9G163300.1.p pacid=40060985 transcript=Brasy9G163300.1 locus=Brasy9G163300 ID=Brasy9G163300.1.v1.1 annot-version=v1.1 MYGGNKTLKARGALSIGVPGEIAGLHEAWRRHGKLPWEQLVMPAAELARAFRVSPYLRMQMEATRAGILGNAGIRAVYAGSDGDILKVGDVCRNDKLARTLRAVARRGPGVFYRGSVARRLVKDVREAGGIMTMEDLKRYQVKVRRPLSQRVMGLTVLTMPPPSAGGAGLMLVLNILAQYGISGVSGSPGIHRIVESLKHYMAIKMNLGDPDFVNVSEVISDMLSPKFAAELKKTIYDNMTFSPQHYGGRWNILQDHGTSHLSVVDGERNAVSMTSTVNSYFGSLILSPSTGVLLNNEMDDFSMPANTSANSPPPAPANFVSPLKRPLSSMCPTIVLKDGKLKAVVGASGGSMIPAGTLEVFLNHFAKKMDPLSSVMAPRVYHQLIPNVVQYENWTTVSGDHFELDAATRADLRKKGHVLAPLAGGTITQLVVHNFETRGDLTAVSDPRKGGFPAGY* >Brasy9G182200.1.p pacid=40060986 transcript=Brasy9G182200.1 locus=Brasy9G182200 ID=Brasy9G182200.1.v1.1 annot-version=v1.1 MGGLDEVGSAGIHNLPTEIPTPAGGEEGNDNSSWARELVRRGWDLSRKAAIAGAAVAAAPVVAPPLIVLSAAGIALSVPFAAYLGSLAATNHLMSALLSSSSPTQELHHTCNREDGEVDAEEEFLDASEEHGQEETPAAFRHSDMTEEGIIKAEIESDDSLPLSRDPGVSEASVPGFAEEVRGEFSAQESGDGSLASNSGDKTVEESESAGKEVVPCGFDVSESGEPLLQYERIVNVVQTKGADDVHESEKESYESKNRAEKEDGTAKKTLLQGICSSESLVPLLGDNDNVVQGDGDGESSLQNLDRDLLLSTSTDKKEGITMQEKKSTEDMPPGDFVFSESTVPVFHGEDNELQSKGIVEVTVQGVVEEMNSNAGLLSCEVIDVQQVEITTVVPQSEVLPHSNLAACDSPADLVKGDVDDVQVKFVAAAAPEGEVLPHSSKLVACESPADLVTGEDIGVEIDIITVAAPESEMLPLSTLCESPGDVTDGIVDLPANIAADAKPNSEVVPLSYLTACELPAVVETEISMIEDIVRDSGDLSKENVQCHFVSVATVVSAHDVEDVLSSGSTPYVSAIGDEMSDNANEEAGMEYTVMDERFGKTGVAGDEIHCTEEQLREQLDTIRTITGYGAVPCPTLEGELAGLYMFVGVEPPLGSWDSNSRLPELNAKVRFLKSIIGVD* >Brasy9G282300.1.p pacid=40060987 transcript=Brasy9G282300.1 locus=Brasy9G282300 ID=Brasy9G282300.1.v1.1 annot-version=v1.1 MQCMHPNEFSSDLAKPQPLARTKQRKHIKKNLIHIRSKPSNQPIQRPASPAIPSQRPHPIPPLQYHLRALLARSPPSHSRNPSPNRPRARGRGGSNGVPLLLGFRGARHHHLPPAFVILLPLPRQQRQEVLFPRGGDPGGGDEPEAPGRTETPRGGGRRGPRGRRGGGGAGEGRGGDGADRAEDGQLQALRRRHPAVHGVRVRPAAGPRRPEGHQDEDDLALQRRRRHRPHPGPARVHRDGPTRGARRLPQDAGAEGRRRGPQWPLPKVRGAQGSQRHVHGALQPLRQLERQGGRREAVVRGGRDRGRGRRQLPRGQGHGRRRLRVRHRLPGARQDPRRQDALLRGARRDVRRRRRVPRLLRLGLPQVRPRRRRHRHRHPQGRHQEVPGRHPPPRQGQDRRRPHHPRRGPPHPRAPPPQTGVGAGDAGGGRGGVRDQVLRGRDLLRGQEREDVRGGDRGRVGERDEAGGGRGPAQVPGGVRQALLADLQGARHPAEGLLPLQRRQGGLRRDVRRRLRAADDLRQLPLQAGRAGEPRRGHQARRQHHRQPRQGHGPAQGDEQAHLVITLQSNPTISAPGGIVRRYSTQRSILRLIKQICLRRRRISWSRLEYDRSCSCPIFLYDTHSTR* >Brasy9G159500.1.p pacid=40060988 transcript=Brasy9G159500.1 locus=Brasy9G159500 ID=Brasy9G159500.1.v1.1 annot-version=v1.1 MGAVRAHLLLVLCLVGAGAAAMAQNSAAPPRGHAQPPPRQTPFGRAMSTVITVAISVFFFMLFFCAYINQCRLAGEGGGAPRGGNVAAGGAGAGASRRGKRGLDPAVVATFPIMPYREIKEHKIGRGVLECAVCLTAFEEGDDLRLLPHCSHAFHPECIDPWLEARVTCPLCRANLEKPPPPPTAAAVVTPPSPEQMAPSPAPSPPPHAVAVAIPVREEEEESDEEDRKEEAMELELLRSERRAARLPRSHSTGHSLYAASAAAAERSDHERFTLRLPHHVREQVLRSRRLRHATSLIDISGLSPEGSSRGGRRVGSFGNAEGGGGSSRGGRRWQAFLARTMSWARGGGDGSVRKGWDGSTRRGREDAGSSRKGLASPSPAAGRP* >Brasy9G004000.1.p pacid=40060989 transcript=Brasy9G004000.1 locus=Brasy9G004000 ID=Brasy9G004000.1.v1.1 annot-version=v1.1 MMWWAAVAAAAGLAVVVVDGLVRKAHAWYWMGSLGAERRARLPPGDMGWPLVGGMWAFLRAFKSGKPDAFIDSLVERYGRTGLYISFMYSSPSVLLTTPEACKKVLMDDVAFVNGWPEATLNLMGTRSFTSPAMPREEHRRLRKLTAAPVNGSTALASYLGFIDHTVASTLRRWSSSSSMEFLTELRRMTFRIIVQIFMSRAAEDDGTMAALERSYSDLNYGMRAMAINLPGFAYRKALKARRKLVAVLQGVLDERRRCPRQASVEMDMMDRLIEVEDEGGRRLEDEEIVDMLVLYLNAGHESSAHITMWATVFMQENPHILAKAKAEQEEIMKNVPPTQKGLTLRDYRKMEYLSQVIDETLRFVNISFVTFRQATRDVFVNGYLIPKGWKVQLWYRSVHMDPQLYPDPNKFNPSRWEGPPPKVGTFLPFGLGPKLCPGNDLAKLEISVFLHHFLLGYKLTRENPRSRIRYLPHPRPVDNCLAKVTRVSSHEY* >Brasy9G184600.1.p pacid=40060990 transcript=Brasy9G184600.1 locus=Brasy9G184600 ID=Brasy9G184600.1.v1.1 annot-version=v1.1 MDSLPIDVLLDIVERLDVAAVVRCAATSKPFRGTILGPAFRRLLAQRALGGFDPALLLGVSFHRPYVRAPRYRAVLITTPSNPSNCVRLGGLLGSFQPAASRDGLLVLRRDATDQAAGEEAPAVELCVRDTLTGRDAVLPSVAVLDAFPVALLTAGRRSSFELLVMDERLRFNTFSSSDGRWGLLRQATQHPQYLERRPANKARPPVVIGRTVYYSCWSRSSPLSCWDSILALDTSTAVATVVDLPRRCFSGMMAGKNHGYLLLASVQGRLGLLVAECFRISMWTPPLELDSGAAWTRQTVINKSEIQRQTLLDSSVYGPVALRGLGERSGAIILQLRSSKGTILRLDLGTKEPLAVILDKQGNTMLEHTYLHEIDISSLLQAMKSF* >Brasy9G236600.1.p pacid=40060991 transcript=Brasy9G236600.1 locus=Brasy9G236600 ID=Brasy9G236600.1.v1.1 annot-version=v1.1 MMERAEDLGLSLSLSSTLAPRTHHVAMLFHAPERRFLEMPLLPAKRSSEAAGDDSSLRGGSDEEDGGCGVDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRSRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPRHYMHMSPPTTLTMCPSCERVSNNNNNNNNNNNNNNNNNNSAAADRRGDVAICHRPIAVRPQQS* >Brasy9G262900.1.p pacid=40060992 transcript=Brasy9G262900.1 locus=Brasy9G262900 ID=Brasy9G262900.1.v1.1 annot-version=v1.1 MKKVVMKLDLQDEKQKQKALKAVSSLQGIDQIAVDKDNKMTVIGTADPVDVVTKLRKLFPAAHMVSVGPWPEKKDGDKKDGDKKDGDKKDGDKKDGDKKPAQVYYPYMYPPYSYPQHHPQYFVRSAEDDPNSCVIC* >Brasy9G140600.1.p pacid=40060993 transcript=Brasy9G140600.1 locus=Brasy9G140600 ID=Brasy9G140600.1.v1.1 annot-version=v1.1 MAAALRFVAKKICGRAVLQPQSYFTTASSAAVREEQRLLLPRISHGGSSLRRFSSSESPNLNKHGAKSTNNAEPIPSSWSPRSNKFVPNVIMLTVMAVTIYVGTFYVEVKRGRIFEVMKQSDERSSNASDSESN* >Brasy9G092100.1.p pacid=40060994 transcript=Brasy9G092100.1 locus=Brasy9G092100 ID=Brasy9G092100.1.v1.1 annot-version=v1.1 MAAAEENSSLFLIFILTMIALPLVPYTIMRLCHAATAKAKTIHCRCSGCHRSGKYRKSIYKKISNFSTWSNLTILLLWIVVIFLVYYIKLISREVQVFEPYSILGLDPGASESDIKKSYRRLSIQYHPDKNPDPEAHTYFVESISKAYQALTDPTSRDNYEKYGHPDGRQGFQMGIALPKFLLNMDGASGGIMLLGIVGLCILFPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTREAFALTPALAKDYKHMLELAPRLLEELVKIALLPRSPHGFGWLRPAIGVVELSQSIIQAVPLSARKAGGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSEGERAALLTQVAGLSEEAARDVELVLEMVPTIEVDIRCETEGEEGIQEGDVVTMYAWVSLQRRSGLTAALPHAPFFPFHREENFWLLLADTASNNVWLSQKVSFMDEATAITAASKAIQDTQEALGASPKEVGTAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSFCLCDTWIGCDSKTNFKLKVLKRSRAGTRAHVPEEGPVAEDGIEEEEEDEEEEYDDYESEYSDDEEEEKKKGKGKVANGAAHQRANSDIDSGSDD* >Brasy9G092100.2.p pacid=40060995 transcript=Brasy9G092100.2 locus=Brasy9G092100 ID=Brasy9G092100.2.v1.1 annot-version=v1.1 MAAAEENSSLFLIFILTMIALPLVPYTIMRLCHAATAKAKTIHCRCSGCHRSGKYRKSIYKKISNFSTWSNLTILLLWIVVIFLVYYIKLISREVQVFEPYSILGLDPGASESDIKKSYRRLSIQYHPDKNPDPEAHTYFVESISKAYQALTDPTSRDNYEKYGHPDGRQGFQMGIALPKFLLNMDGASGGIMLLGIVGLCILFPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKIALLPRSPHGFGWLRPAIGVVELSQSIIQAVPLSARKAGGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSEGERAALLTQVAGLSEEAARDVELVLEMVPTIEVDIRCETEGEEGIQEGDVVTMYAWVSLQRRSGLTAALPHAPFFPFHREENFWLLLADTASNNVWLSQKVSFMDEATAITAASKAIQDTQEALGASPKEVGTAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSFCLCDTWIGCDSKTNFKLKVLKRSRAGTRAHVPEEGPVAEDGIEEEEEDEEEEYDDYESEYSDDEEEEKKKGKGKVANGAAHQRANSDIDSGSDD* >Brasy9G247800.1.p pacid=40060996 transcript=Brasy9G247800.1 locus=Brasy9G247800 ID=Brasy9G247800.1.v1.1 annot-version=v1.1 MEGSSPQVTYGSLWGLAVVAVGWLMHCVYKWIYPTCNSGRLPPGSMGYPLIGETFQFFKPSPSLDIPAFYRQRLQRYGPVFKTSLVGQRVVVSMDSELNRFIFQQEGKLFRSCYPDTTNSIFGRDSIALYDGSIHKYTRSLASRLFGVDLKDVLLTEVERSVTTSLAAWAAEPEGIEVKEAIATMIFDLMAKKLIGFGPEKSRKLRKNFDEFLQGLVSFPLYFPGTIFYRCIQGRKTVLKVLKDLLKERLSTPEKRHGDFLDEVVDELQNGTGMIKERYAIDVVAALLFGTFATLSKSITVAMKSLSDHPNVVESLKEEHEAILKRRDGQHSGITWEEYKSMTFTAQVTNEIARVSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPMSVHLNPELFEDPMKFNPWRWQDESKRSTMLKNFMPFGGGIRLCVGAEFSRIQIAVFLHVLVTSKYRWKEIKGGDVERITEIVFPKGYHIQIIPRDGINSQAA* >Brasy9G154800.1.p pacid=40060997 transcript=Brasy9G154800.1 locus=Brasy9G154800 ID=Brasy9G154800.1.v1.1 annot-version=v1.1 MESKSAVPDGGGGNGLPPKPSRRDGAAAAPESDMSHMPESPRRAVGHRRAHSETIGGLPDDLDLGVPGGGGGEGRASLSDENEEELFSMFLDAEKLNASEAESSSCAPAGVGARPRHHQHSHSMDASSSFDAEQLLGAAAAAAAEGMSNAEAKKAMSNAKLAELALVDPKKAKRIWANRQSAARSKERKMRYISELERKVQTLHAEATTLSTQLALLHRDTAGLSTENSELKMRLQNVERQVHLQDALNDALKSELQRLRMATGQMGSNVGGMMNFMGPPPPQPFGGNQPMFHIQSQTAMQPLHHMQIHHHHQQQQQQALLHPLQLQAQQHAAAPPNPKMKRTISAPNQWVGGWSESSSN* >Brasy9G295400.1.p pacid=40060998 transcript=Brasy9G295400.1 locus=Brasy9G295400 ID=Brasy9G295400.1.v1.1 annot-version=v1.1 MASRFLARSKTLALALSRADAAPAPLSGSRALSSLPRYPAASAPSPAVGSPARVGKILGYEPTSHLSGTQFSPRWFSTIVPSGSPMQKTQISETCKYVPGVEHSDALKATEGTSPKVVAFSPLEAAIAKPRSSPLTSESSKVRRSEIATQVTFYMIPALLLASKNSISTSLMVGAVYHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLVMAKDTIVYFDLF* >Brasy9G209700.1.p pacid=40060999 transcript=Brasy9G209700.1 locus=Brasy9G209700 ID=Brasy9G209700.1.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEVIQNARLRGSDDKKTLQVRKRSKRRRLLSLAKAWKLRKGVRAVIDGIKRLRLRIRVRALDRLRRQCRCFAVPRRKENKYRKLE* >Brasy9G209700.14.p pacid=40061000 transcript=Brasy9G209700.14 locus=Brasy9G209700 ID=Brasy9G209700.14.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEVIQNARLRGSDDKKTLQGKQIYGTSAQFQ* >Brasy9G209700.7.p pacid=40061001 transcript=Brasy9G209700.7 locus=Brasy9G209700 ID=Brasy9G209700.7.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEVIQNARLRGSDDKKTLQVPQLN* >Brasy9G209700.3.p pacid=40061002 transcript=Brasy9G209700.3 locus=Brasy9G209700 ID=Brasy9G209700.3.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEVIQNARLRGSDDKKTLQAKLS* >Brasy9G209700.4.p pacid=40061003 transcript=Brasy9G209700.4 locus=Brasy9G209700 ID=Brasy9G209700.4.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEKWKYERHAWMQIQLLLIVS* >Brasy9G209700.8.p pacid=40061004 transcript=Brasy9G209700.8 locus=Brasy9G209700 ID=Brasy9G209700.8.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEKWKYERHAWMQIQLLLIVS* >Brasy9G209700.2.p pacid=40061005 transcript=Brasy9G209700.2 locus=Brasy9G209700 ID=Brasy9G209700.2.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAYVKYHIKCTRSYIQSRMRKREEILTEKWKYERHAWMQIQLLLIVS* >Brasy9G209700.10.p pacid=40061006 transcript=Brasy9G209700.10 locus=Brasy9G209700 ID=Brasy9G209700.10.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMGRHGLGRGGVLLPGAAGRRELLRGRAQVQLVADPAPGAPRGARAAPHHQRRLRLLRHFLAARQGEEGGQNCLDSAQFPGVRQVPYQVHPKLHSEQDEKAGGNLDRGDPKCKAKRKR* >Brasy9G209700.5.p pacid=40061007 transcript=Brasy9G209700.5 locus=Brasy9G209700 ID=Brasy9G209700.5.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G209700.6.p pacid=40061008 transcript=Brasy9G209700.6 locus=Brasy9G209700 ID=Brasy9G209700.6.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G209700.9.p pacid=40061009 transcript=Brasy9G209700.9 locus=Brasy9G209700 ID=Brasy9G209700.9.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G209700.11.p pacid=40061010 transcript=Brasy9G209700.11 locus=Brasy9G209700 ID=Brasy9G209700.11.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G209700.12.p pacid=40061011 transcript=Brasy9G209700.12 locus=Brasy9G209700 ID=Brasy9G209700.12.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G209700.13.p pacid=40061012 transcript=Brasy9G209700.13 locus=Brasy9G209700 ID=Brasy9G209700.13.v1.1 annot-version=v1.1 MAFFNGGSRALVEILTRLQSAETPIPVDHTFFEFGSIRYHIQASATDPDNIYLSISTPSLSHEALPATGLPEFTLQEARKLYHKFAEIVEPAKEGYALTLKLNFSGLTRPKDRAKATSQISRLQSVVLSSQLKDMLGRLGPSGTTKLVFNRSDPFFVSRMPAAPAGKISAIFPMRFRDDTDSAVAASFFQELQDVGSSFAGAPKCSWSPIPPPELRGELVQHLTTNGGFVSFDIFSRHVKGKRAAKTAWILLNFQAAPEATFRAG* >Brasy9G290800.1.p pacid=40061013 transcript=Brasy9G290800.1 locus=Brasy9G290800 ID=Brasy9G290800.1.v1.1 annot-version=v1.1 MGGRESGDAVGDLGDRRRRRLTLAPDTGSRFSVLDAELGVPPEGVVAAVVGSEEDAVEAASMPFLSAAHLSDKELKKELWTFMGFPTRVSRFWERDDTSSSSEEEQSSELAPLAAGKASCLSCADQPLVNVRRSPEKSKLRVFPRRGRGVGWLRPWRGPLPRPRPPPVVVLGDFFPEEPPARGVRPAAVARSADMRLRSVSNSETAAPSSAWPLLARSPDHASGRNPALGCVAALAQTDRAPGLPQRHVRSRRPSAWTEQIFVHRSLRSLQGRAPPPLQRSFAAVVRGMAGRGAGRSADAPGGAGLSPTPGGRGGALPAVRGTFPPNPATRGLMAAGAAAGAASAASPAAAPIHVNSFSVLFNIAVGPGSSTGGSFTFGAGPSRSGLGGSSGPGGDRRRRPPPRGRPQEQPLAAAQTAVDAAATEVQPLGQATPASQASAPPAATALAGVQGATTAPAAPVPGKGKRTKIYCYKCKSKEHFATDCTAELYCVICDKYDHNTDRCPIHKVPKPMALLYGYGGPQMGFHHVPEELAIAPKSSGSSPTALVTVTGGSLPASVIEAEIHRLVPVQENWKWEAVKHDEGSFLVVFPSTEALARVVDVEMRLKAHGVTLAFIGTLVRVPQEVDMDCLRGICRIRVGALHTESFLVVTDLTVGMAGFDLKFVLEDAVQVDAPHGPSPMDADAGGDDKGVSGAGSHSFPSNISSSTPATGGSGGKTSSSAPPPTTAGKGGGASRGALGLDSSR* >Brasy9G114900.1.p pacid=40061014 transcript=Brasy9G114900.1 locus=Brasy9G114900 ID=Brasy9G114900.1.v1.1 annot-version=v1.1 MGQMVSDGAVQEQAARNGGIIKNGREILFQAFNWESHKHNWWSNLEGRVADIAKSGFTTAWLPPPTQSLSPEGYLPQNLYSLDSCYGSLQRLNALIQNMNDHNIRAMADIVINHRVGTTQGSNGMYNRYDGIPIAWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRETIGFQDFRFDFTKGYASKFVKEYIEESKPLFAVGEYWDSCEYSPPDNRLSYNQDSHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEEKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHVMEGYAYILTHPGIPTVFYDHFYDWGDSFHDEIKKLMEIRKCQDIHNRSAVKIMEASSNLYSAIIDDKVSMKIGEDSWCPTGPEWKLAACGDRYAVWHK* >Brasy9G249700.1.p pacid=40061015 transcript=Brasy9G249700.1 locus=Brasy9G249700 ID=Brasy9G249700.1.v1.1 annot-version=v1.1 MERARENRRPVVGKPVPSVRDMGNRRPLRDINNLVGAQPYLFAIAKKPMLEKKRDEQKPAPVSRRPVTRKFAASFNPGGEPVAPGVDPHNEPIPDGTSDDDIESVDDNDEMDEEEQNENVDESLMDIDSADSGNPLAATEYVEEIYKFYRENEETSCVHPDYMSSQEDINEKMRAILVDWLIEVHYKFELMDETLFLTVNIIDRFLEKEVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTRGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCTINHYRHWTKICELHSRYSRDQLIECSNMMVQFHQKAGGGKLTGVHRKYSTLKFGCAAKVEPAVFLLL* >Brasy9G249700.2.p pacid=40061016 transcript=Brasy9G249700.2 locus=Brasy9G249700 ID=Brasy9G249700.2.v1.1 annot-version=v1.1 MERARENRRPVVGKPVPSVRDMGNRRPLRDINNLVGAQPYLFAIAKKPMLEKKRDEQKPAPVSRRPVTRKFAASFNPGGEPVAPGVDPHNEPIPDGTSDDDIESVDDNDEMDEEEQNENVDESLMDIDSADSGNPLAATEYVEEIYKFYRENEETSCVHPDYMSSQEDINEKMRAILVDWLIEVHYKFELMDETLFLTVNIIDRFLEKEVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTRGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCTINHYRHWTKICELHSRYSRDQLIECSNMMVQFHQKAGGGKLTGVHRKYSTLKFGCAAKVEPAVFLLL* >Brasy9G278300.1.p pacid=40061017 transcript=Brasy9G278300.1 locus=Brasy9G278300 ID=Brasy9G278300.1.v1.1 annot-version=v1.1 MNEPTHERVAVAFNISKCAFDILPCETCLNKDQDPRTPTPPPSLPLRAPPRATAADPPRDQAPPPPIPTTRPRIPIATRRRCRSPHDTSQAARDTPPSHPVGAKRREVSGLDAVVTAVPPPPPPRVPRLPARPSATPRILPGRELMDTSRPRPALPRLACSDRWLLCSW* >Brasy9G292600.1.p pacid=40061018 transcript=Brasy9G292600.1 locus=Brasy9G292600 ID=Brasy9G292600.1.v1.1 annot-version=v1.1 MVEGYETDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTVVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRVEKRHNYLRKAAELATQFFINPATNQPNVVGLILAGSADFKTELGKSEMFDQRLQSKVIKSVDVSYGGESGFNQAIEMSVEVLSEVKFVQEKKLLGKYFEEIGQDTGKYVLGVQDTMTALEMGAVDTLIVWENLDARRYELKNSATGETVIKHLSSDQETDQGNFVDEATSGELDVVDRMLLLEWFAENYQQYGCRLEFVTNRSQEGSQFCRGFGGIGGILRYPADVTAYDDDDMSDEDVYEDME* >Brasy9G075200.1.p pacid=40061019 transcript=Brasy9G075200.1 locus=Brasy9G075200 ID=Brasy9G075200.1.v1.1 annot-version=v1.1 MLYRVLDAVTSAKCETGRRARKQLKVLDALDAARTQLYHFTTIVIAGMGFFTDAYDLFSICLVADLLGHIYYPGRGNKLPGSFAGAVSGVALCGTLLGQLFFGWLGDRMGRKRIYGVTLKLMVLCSLASGLSFHRDPECVLATLCFFRFWLGFGVGGDYPLSATIMAEYANKRTRGAFIAAVFAMQGLGNLAAGAVVLMVSARFKNTAAYVADPLGQADYVWRIVLMLGAAPALLTYYWRMKMPETARYTALVAKNLKLAASDMAEVLDIDIDAVTEDKEDVSVSVSARGGHEFGLFSAEFVRRHGRQLLATTVCWFVLDVVFYSLNLFMKDIFAGIGWFGDADRMGPLEQAYAIARTQAIVAAAGSLPGYVLTVLFVDRMGRIKIQLMGFAMMTVFMLGLAGPYKFWSDPGMHAGFATMYAFVFFFANFGPNSTTFILPTEIFPTRLRSTCNGISAAGGKCGAILGVLWFQYSRTGVQGSLLMLAGCNLVGIMFTLALPEPKGLSLEDITGEMDEGSEQRSEESVVTAVDSDFIHSVEIL* >Brasy9G224500.1.p pacid=40061020 transcript=Brasy9G224500.1 locus=Brasy9G224500 ID=Brasy9G224500.1.v1.1 annot-version=v1.1 MVRDAGGTVLPSTTLLAMLLLILASELATFSCGHRILRAADVAAPSKRAPTPTPTQHRVSPAAAAETAGGDAAAAEFGDSKRLVPQGPNPLHN* >Brasy9G322000.1.p pacid=40061021 transcript=Brasy9G322000.1 locus=Brasy9G322000 ID=Brasy9G322000.1.v1.1 annot-version=v1.1 MSQLGRVGARAAAQAVRESTGGSTARHVKDRAQQSASSSSSSMARTSKLRTAALATKAAAEEELEKLRRAAAEESLRTVMFLSFWGPNT* >Brasy9G199700.1.p pacid=40061022 transcript=Brasy9G199700.1 locus=Brasy9G199700 ID=Brasy9G199700.1.v1.1 annot-version=v1.1 MDTSRSSTMAISTSSDLDVWTTEDTRNDLRNFVRVIALQKFHLYSFRQEDDWMSEEDTMSAAEQPRHPTPKLNERIMSSLSRRCKAAHLEIGEELVSPPNTTIK* >Brasy9G105000.1.p pacid=40061023 transcript=Brasy9G105000.1 locus=Brasy9G105000 ID=Brasy9G105000.1.v1.1 annot-version=v1.1 MAARSPYFAPEEAARGIRAGESPAAALRRILATPGAHQAPCCFDALGARLVERAGFPICFMGGFCVSAARLGLPDVGLISYGEMIDQGRLITEAVSVPVIGDGDNGYGNSMNIKRTIKGYINAGMAGIMLEDQVAPKACGHTEGRKVISREESVMHIKAAIDARKDSASDIVIVARTDSRQAVSLDEALWRVQAFADAGADVLFIDALASVEEMKAFCSIAPGVPKMANMLEGGGKTPILTPAELQEIGFSLVVYPLSLIGVSMRAMEDALLAIKGGGIPPAGSMPSFQEIKDTLGFNRYYEEDKQYSVPQTQSTFPSGNA* >Brasy9G041000.1.p pacid=40061024 transcript=Brasy9G041000.1 locus=Brasy9G041000 ID=Brasy9G041000.1.v1.1 annot-version=v1.1 MDAVEEEKMNVEQQVAPVDEQQTTPEGCVQLLPKIQKKATLLLSFNTIFSLVFFNLSSHCADRGKKRKQIIWKRRGGRNSRRREKVGR* >Brasy9G300900.1.p pacid=40061025 transcript=Brasy9G300900.1 locus=Brasy9G300900 ID=Brasy9G300900.1.v1.1 annot-version=v1.1 MAKTTSQVVTMLVILTTLAVAALAVADVPPKRKGQEVHLFEVTVSVPEDGKVDDEYNFRILATVLGSVEAAESVISENDVGSFKAYLTNNQARRLSRVPGVLEVRESDDQPSGGQ* >Brasy9G182800.1.p pacid=40061026 transcript=Brasy9G182800.1 locus=Brasy9G182800 ID=Brasy9G182800.1.v1.1 annot-version=v1.1 MEPICSCSVKQLGKSGTSCIWKSCDLLLVPPLEGEESKLRRPALGPWLLCPPSDGLSRLASPRPNGLPRPASPRPDGLVLPAPTVGAIGRGPRPLPLLSPGPTASLAPPLRALTASSACCRPTPAAAGSRARSAFTMARHWILDLWKLSCRRRRLQVPIFVEFRS* >Brasy9G263700.1.p pacid=40061027 transcript=Brasy9G263700.1 locus=Brasy9G263700 ID=Brasy9G263700.1.v1.1 annot-version=v1.1 MSAYVSELERFLMEDDDEESGRATTATEGEIAADEHKYFFGHLLLDELEAGAGAEEEEEDVAAREDETTSRKRASEFDRFFLLVNAIQQHPSPRAEEVRRCRGAAKRRSRVRGTEEAWTWWHLNRARVSPSPPVAAFFLRACARQQRMAPGLIDAPPANSVEIAVAQQQRMPYSRGIWTLTPQSFFVSARTCLALARRVPECGGSLSKFSSCGLVLSPAPAATRRPACRRAHSAQRPPSLPPRSFSFSSITMQDSQQNPAAGHVLPSLTHENSENIDFFSTR* >Brasy9G207100.1.p pacid=40061028 transcript=Brasy9G207100.1 locus=Brasy9G207100 ID=Brasy9G207100.1.v1.1 annot-version=v1.1 MSRYRSTSVYVGNLPSDIREREVDDLFYKFGDIIRIEIKTKDRSSCYAFIEFKRERDAAAAVHERQGYKFDGYRLKVEFARGRIGSTSTARNCCMGPSYVGVSYHPEFQVLVTQLPSSTSAEELKLHMEQAADVSFSEVTIGTIGIVEYKDKEGMEDAAQKLHYSEFINQYWRGYINVKQHNGEYSPPYPRAASPSLSPSPRSSTSPRHDHGYPRHRSKSPRARNGSASRSRLGSNSPSASRNISHPFQPLPSFEDRGLLWNSVD* >Brasy9G161900.1.p pacid=40061029 transcript=Brasy9G161900.1 locus=Brasy9G161900 ID=Brasy9G161900.1.v1.1 annot-version=v1.1 MAGGGFAVGDGAPSVHYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLEEFFPGVLRRMAAARRDQYCVYNSHVLTAFTSCLYLAGLVASLAAGRVTRAVGRQAVMLAGGAFFLAGAAMNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLAANLTNYGAARIPRWGWRLSLGLAAVPASVILVGALLIPDTPSSLIVRGRVEQARAALRRVRGPKSYVDAELEDVARAVDAARVHEQGAFRRILRREHRPHLVMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSNAALMGAVILGAVNLGSALVSVATVDRYGRRPLFLAGGLVMIMCQVAVAWIMGSQIGRDGESTMARKYSVAVLALTCVFSASFGWSWGPLTWVIPGEIFPVEVRSAGQGISVAVNLGATFVLTQTFLSMLCSFKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAVWARHWYWGRFVQVQQQPKPSNAEELIN* >Brasy9G119300.1.p pacid=40061030 transcript=Brasy9G119300.1 locus=Brasy9G119300 ID=Brasy9G119300.1.v1.1 annot-version=v1.1 MASTFTAPILCNFRANPCLQQLPLRNHNSTRQPQQHLHLSSARITPTATTSPGSRASNDFRAAAAVYKVKLVGPEGKESVLDVAEDSYILDAAEEAGVELPYSCRAGAAPPAPARSWRAPWTSRTSRSSTTPRSAPGTRSPASPTPPPTASSRRTESPTCTRRYSSST* >Brasy9G111900.1.p pacid=40061031 transcript=Brasy9G111900.1 locus=Brasy9G111900 ID=Brasy9G111900.1.v1.1 annot-version=v1.1 MVPARNLQLLRDAHGTPPPPFVAAVTAQPQHMAQEPINQESPKPQQHQVPTQEEEEDEQPVLPPPQPAGTSGSSSGGTSSSNGGGDWLRLGLGPRASTAAGPETDLFAAADRGPRQDMLVLPGLDLPPGPAFLRPGIPQASLQIPGGGPRAGPPWQTMPPWNPAQQHQPFLLPFAHQAQAQRAFYAPGATASAASGYDRIRVVLPPPVPAGVWFLFQAAPNQGREPFLPQIPRSYLRIKDGRMPVRLLIKYLVNKLGLEDESEVEITCRGRPLLPFMTLQHVRDSIWCQRDAVSPLVAPDMSTANHIMVLQYGRRT* >Brasy9G111900.2.p pacid=40061032 transcript=Brasy9G111900.2 locus=Brasy9G111900 ID=Brasy9G111900.2.v1.1 annot-version=v1.1 MVPARNLQLLRDAHGTPPPPFVAAVTAQPQHMAQEPINQESPKPQQHQVPTQEEEEDEQPVLPPPQPAGTSGSSSGGTSSSNGGGDWLRLGLGPRASTAAGPETDLFAAADRGPRQDMLVLPGLDLPPGPAFLRPGIPQASLQIPGGGPRAGPPWQTMPPWNPAQQHQPFLLPFAHQAQAQRAFYAPGATASAASGYDRIRVVLPPPVPAGVWFLFQAAPNQGREPFLPQIPRSYLRIKDGRMPVRLLIKYLVNKLGLEDESEL* >Brasy9G326000.1.p pacid=40061033 transcript=Brasy9G326000.1 locus=Brasy9G326000 ID=Brasy9G326000.1.v1.1 annot-version=v1.1 MRRHALGARLVRRVLLLLLVVVLLLAPTGDSIRPAPDDAKPALLTQGETPTASQQGDEKKKKKNVAAGFLQEEVYATGSSLPDCSHACGPCKPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS* >Brasy9G326000.2.p pacid=40061034 transcript=Brasy9G326000.2 locus=Brasy9G326000 ID=Brasy9G326000.2.v1.1 annot-version=v1.1 MRRHALGARLVRRVLLLLLVVVLLLAPTGDSIRPAPDDAKPALLTQGETPTASQGDEKKKKKNVAAGFLQEEVYATGSSLPDCSHACGPCKPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS* >Brasy9G231400.1.p pacid=40061035 transcript=Brasy9G231400.1 locus=Brasy9G231400 ID=Brasy9G231400.1.v1.1 annot-version=v1.1 MTQGGNKGRSICFSQNLRLSTSSHASSTAALTPPSTTAVDASVSYRRRINRRHCIKLHRLPQPPQSPPPSAAIAASTTADGLPSTPGAGSTSSSVCHRRPVQIRYAAVPDLPLSISSCSTLLVPREAAAARSV* >Brasy9G292300.1.p pacid=40061036 transcript=Brasy9G292300.1 locus=Brasy9G292300 ID=Brasy9G292300.1.v1.1 annot-version=v1.1 MKKSLLQYLKLFLLLGLGRVTTMHVAKQDVPASLGTLPLDGHFSFHDLSTAAQDFGNISSSPPVAVLHPGSVADIAITVRHVFLMGDHSTLTVAARGHGHSLYGQSQAAGGIVIRMESLRSVRMQVHPGASPYVDASGGELWINVLHETLKYRLAPKSWTDYLHLTIGGTLSNAGVSGQTFQHGPQISNVNEMEIVTGRGDVVTCSPEQNSDLFHAALGGLGQFGIITRARIALEPAPQMVRWIRVLYLDFTSFTEDQEMLLSAEKTFDYIEGFVIINREGILNNWRSSFNPQDPVRASQFEPDEEVLFCLEMTKNFNPEEADTMEQEVNALLSQLRYTPPSLFHTDVTYMEFLDRVHSFEMKLRAKGMWEVPHPWLNLIIPRSTIHKFAREVFGKILKDNNNGPILLYPVNRSRWDNRTSVVIPDEEIFYLVGFLSSAPGPHSVEHTLNLNMQIIEFSNKASIGVKQYLPNYTTEPEWKAHYGARWDTFQQRKTTYDPLAILAPGQRIFQKASASLPLSS* >Brasy9G124900.1.p pacid=40061037 transcript=Brasy9G124900.1 locus=Brasy9G124900 ID=Brasy9G124900.1.v1.1 annot-version=v1.1 MPPHFPSDSNHARHAVRPLGRHKSIHRLLGGGKAADILLWKNRNLSAGVLAGATLIWFLFDVVEYNIIPLLSQIAIFAMLVIFIWSNAAPIFDRAPPRIPEVVISEHAFRELVLTSHHKLSYAVSLLYDIACGKDLKKFLLVVASLLALSVIGDSCSLTSLLYLGFLCAHTLPALYQRYETEVDHLLARGGEDVRKFYEKIDSNVLNKIPRGPVKTKFR* >Brasy9G367300.1.p pacid=40061038 transcript=Brasy9G367300.1 locus=Brasy9G367300 ID=Brasy9G367300.1.v1.1 annot-version=v1.1 MSLSEQDVQPDGSGKPVAIKSPSSDSDQKPSNPRVSNCSTDKDYGLSLCRVCHCAEPDLRGESALGFLGIVPPYPEPSCARTDDKDSSNDATKTSTSKNGGSDAPSFLEFISPEGEIFVCATDVESGPMHRQDAVVNLGCSCKNELALAHYACALKWFISHGSTVCEICGSVASNVRPQDFNKVLASVKDYEALRERTSTGELSYLQYRPDASVDPVALAAIRRQRLCEISSWFNPHNSHAAVYQGQTVQSPVSPGNNSVEHNVVAPRAARVSLNLEGTGVYVALALGFVILAWLIAPRVGKKAAAICLHMLLGGLCSLTIIISLRFVFPRIQFGSLRRWAILFVAWFLIFGVWASRTHSIRSS* >Brasy9G315900.1.p pacid=40061039 transcript=Brasy9G315900.1 locus=Brasy9G315900 ID=Brasy9G315900.1.v1.1 annot-version=v1.1 MAVCAEGVGIPRGSGMFRTALQAVAFLSEEKIAAKMDYLRKLFGWSDPEVRIAVSRAPWVLRRSKDMLRRKSRFLISEVGLEPVYIAHRPTMISLSLTMISLSLEKCFKDKYICPHKEAAPHLAEDYATACKGEMPTRFRFT* >Brasy9G216100.1.p pacid=40061040 transcript=Brasy9G216100.1 locus=Brasy9G216100 ID=Brasy9G216100.1.v1.1 annot-version=v1.1 MARRSSMKGGRSSNANRRLLRSFLNACRKLSAGGDWARLGAGGEDQAAGDIPWDVPRGHTVVYVGEELRRHVVRVSSLGHPLFRELLDRAGEEYEFAGAGANRLCLPCDEDFFLGVLCLVGDSKQVHHWRLSLCI* >Brasy9G323200.1.p pacid=40061041 transcript=Brasy9G323200.1 locus=Brasy9G323200 ID=Brasy9G323200.1.v1.1 annot-version=v1.1 MEHDLDMLRILVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRFCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVHPVLVKKGTTTVALYGLGNIRDERLNRMFQTPHSVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPNKIPLRSVRPFEYAEVVLKDEADVDPNDQDSVLEHLDKIVRNLIEKSSQPTASRPVPKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAVKKRQTTGGENVDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKTAFYACLQRNLDETRKKLNSEAEKFKIEEEDIIIKVGECMQERVKEISLRSKGDTRFTSGSQNLDTGGKSVTAQSSLNTFSDDEDTREMLLGTRSTNVGRKTSGFTRPSKDATGDAKAGGSRRGRGRGTSSLKQTTLSFSQSRASAAIRSEDVDSSSNEEAEIEANEVADSEPEDSFQQSGRKRPAPRGRGRARGTTTAKRGRKTYIASIQSMVMSKDDDSDEDDTPKKPPPRVTRNYGAIRRR* >Brasy9G323200.2.p pacid=40061042 transcript=Brasy9G323200.2 locus=Brasy9G323200 ID=Brasy9G323200.2.v1.1 annot-version=v1.1 MLRILVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRFCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVHPVLVKKGTTTVALYGLGNIRDERLNRMFQTPHSVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPNKIPLRSVRPFEYAEVVLKDEADVDPNDQDSVLEHLDKIVRNLIEKSSQPTASRPVPKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAVKKRQTTGGENVDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKTAFYACLQRNLDETRKKLNSEAEKFKIEEEDIIIKVGECMQERVKEISLRSKGDTRFTSGSQNLDTGGKSVTAQSSLNTFSDDEDTREMLLGTRSTNVGRKTSGFTRPSKDATGDAKAGGSRRGRGRGTSSLKQTTLSFSQSRASAAIRSEDVDSSSNEEAEIEANEVADSEPEDSFQQSGRKRPAPRGRGRARGTTTAKRGRKTYIASIQSMVMSKDDDSDEDDTPKKPPPRVTRNYGAIRRR* >Brasy9G144500.1.p pacid=40061043 transcript=Brasy9G144500.1 locus=Brasy9G144500 ID=Brasy9G144500.1.v1.1 annot-version=v1.1 MSPCGRPLPAAFTGLTQLSVPREKRKNKSQITFPFHPSIEPPRLPSLPSRSLPGSLREPAVSAAGEPPRARRPSLPAGSLHARARRLFPSRTLRLPSISSLVRSRSSPGTTCGTSPWSALTRSSSTSHPSTRSLLSTDGR* >Brasy9G144500.2.p pacid=40061044 transcript=Brasy9G144500.2 locus=Brasy9G144500 ID=Brasy9G144500.2.v1.1 annot-version=v1.1 MSPCGRPLPAAFTGLTQLSVPREKRKNKSQITFPFHPSIEPPRLPSLPSRSLPGSLREPAVSAAGEPPRARRPSLPAGSLHARARRLFPSRTLRLPSISSLVRSRSSPGTTCGTSPWSALTRSSSTSHPSTR* >Brasy9G104300.1.p pacid=40061045 transcript=Brasy9G104300.1 locus=Brasy9G104300 ID=Brasy9G104300.1.v1.1 annot-version=v1.1 MSADPVKKPETTGGGGPQLPWPDFVKSFDALWFCYSPFHQMQHYYRHGDFDNCFGKWGDLLDCLNLKTKKVEEILLAREKAKLHFWTFRTVDEASESLVIMAAPRKPADSAAAPVKSGGSS* >Brasy9G202900.1.p pacid=40061046 transcript=Brasy9G202900.1 locus=Brasy9G202900 ID=Brasy9G202900.1.v1.1 annot-version=v1.1 MASANNWLGFSLSGQGNSQAPPAAAAIDVSGAGDFYGQQAQSAPVAHLGMPGLRADANYGVMDAFHGGGQETQDWAMRGLDYHGGSSELSMLVGSSSGRMTVDDGGAPKLEDFLGGGNSFSDVQDQAGGYLFSGAGAMGGSDQAAAHTVDGRGGGSTIELSMIKSWLRNDNNQGHAQPDQEMSSTDVASAASYACSGALGNGNGNGNGNGVGAGAGAAGARGGQLSGALALSMSMGSHHAHSQLSVVAAAAAGGGGGAAESTSSDNKRVDSPSAGAADAGQRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGKQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNIPISTYEKEIEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFTTEEEAAEAYDIAAIKFRGLNAVTNFEMSRYDVKSILEGSTLPVGGAARRLKEAAELAEAGVWRAEDGSIVSHLTHADAGVGIGMGGGPYHHGWPTSIAFGGHGHGQLMHASPAAQALAVHYPPYGAGWCKPEQDAVIAAAGVHDAQGQGQELHLGTHNFFHPAARSSYSNGTGSGWYQGVNGNGYLMPQVGTVVDADNVQGHSGSTATTNEEGRLMAGYVDGGGVDPYAAMRRAYELSQGSSSSVSVAKVADGYSNNWSSPFNGMG* >Brasy9G055600.1.p pacid=40061047 transcript=Brasy9G055600.1 locus=Brasy9G055600 ID=Brasy9G055600.1.v1.1 annot-version=v1.1 MKHITHNTHIVNLINDKKIKTLKCVGTRGGSMKHNTTLDGELGDVYVHQRKRPKRRRIAVDPDFKNRLIGSEGLRFRPVTFSLTRKRIETTTTTTTRLTKPWAGRGGPGHDRSDAVARPQPVVVIGVGPDATRFVPAFVAVARGRNSSKLLVAWSYQRPSLSRSDGHGLPHG* >Brasy9G031400.1.p pacid=40061048 transcript=Brasy9G031400.1 locus=Brasy9G031400 ID=Brasy9G031400.1.v1.1 annot-version=v1.1 MDHPKLQMEKERLGKAITKVQIIYKILLGTRHDYALALHSMRMNVILIQSGASNRTNSEAASVRFLKQNGKILWHPQVALHPATFSWWIVIFRSSKMLQFRFTFIFNLKCKVMNYDSPVQHLFKVEKAHGGDVHSVDWNLHNVNCDLTWTYGDRREEAFLLLYNLR* >Brasy9G244200.1.p pacid=40061049 transcript=Brasy9G244200.1 locus=Brasy9G244200 ID=Brasy9G244200.1.v1.1 annot-version=v1.1 MSRLSSPLAPKTRQLKVTANSTTVLIALVFLALFVRSCEARRLLAHGKDSSRRSPPALCKDDGSPTNQMKNPSNAVDATGTFVDQVDAKVKEGLLAMASSGAGVQTTIASRVSRRLSQRELQGEGTEFHLDYAGPITHPPSHN* >Brasy9G355200.1.p pacid=40061050 transcript=Brasy9G355200.1 locus=Brasy9G355200 ID=Brasy9G355200.1.v1.1 annot-version=v1.1 MESPVGLRPHFPAERKWAAIGLQSRANPREVMTEVIKAMQEVMTEVLAFLVKRI* >Brasy9G199800.1.p pacid=40061051 transcript=Brasy9G199800.1 locus=Brasy9G199800 ID=Brasy9G199800.1.v1.1 annot-version=v1.1 MAITVRRSTMVRPAGETPRVRLWNSNLDLVVPRFHTPSVYFYRRAEAGGDAGAAGAFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDAAVDDYGDFAPTMELKRLIPAVDYTDDISSFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGLQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSAPQVLTGKPMLPPTAVDIFKLTRSELGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARSLPPEQPTKLYCATDGRQRLQPPLPDGYFGNVIFTATPLAEAGKVTSGLEDGATVIQGALDRMSDDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVIPSSSKDGSLSIAISLQAEHMEKFRKLIFEV* >Brasy9G313300.1.p pacid=40061052 transcript=Brasy9G313300.1 locus=Brasy9G313300 ID=Brasy9G313300.1.v1.1 annot-version=v1.1 MSAVITKFAVTSMVMWMAPVAIVYGFYYQIFPGVSQLSPSAQTMASGFLAVISVNLVIGFYIYMAMKETPHQEPQPDPAFLANAKASINQPTSSQVSDDSHGKGKVE* >Brasy9G257800.1.p pacid=40061053 transcript=Brasy9G257800.1 locus=Brasy9G257800 ID=Brasy9G257800.1.v1.1 annot-version=v1.1 MFNSRITYADTVEATRQFDEENVLSRGHHGLMFKACYSEGTVLAILRLPSTSADGAVVVEEGSFRKEAESLGRVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVIHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTPVGSLGYVAPDAATAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMVDVVFMLEGCRVGPDIPSSADPTSQPSPA* >Brasy9G231500.1.p pacid=40061054 transcript=Brasy9G231500.1 locus=Brasy9G231500 ID=Brasy9G231500.1.v1.1 annot-version=v1.1 MEGTELENPTSRNSSQKSSRRSSSRRSQKSAGQQSSPTVFPEKRGKSRSLRQKHAAVDNRDAKKGKNHDGRTDVVDERSNFVGFEVYTGKLVFDKKNRSIGGDDHLSASRKADTTDARLTSKALIWGSNVLSLEDVISVSYNSGVQHFTLHAYPAKNSLFRKTRRVQKDFRFIAPTLDEAILWVTCFAEQNIYINVLPRPVTSSVKEDPDAPLGGVLFDHQPIKCRTPQRILVILNPRSGHGRSSKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFGTFPDGIVCVGGDGIVNEVFNGLLSRSDRTEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTTLDVLSVEWLQSGLVHFGTTVAYYGFVSDVLELSEKYQKKFGPLRYFVAGVLKFLCLPSYFYELEYLPMSKEMSGHGKGTEQDKFELSDVYTDAMRSRSKREGITRASSFSSIDSIMTPSRMSLGDFDTSGGTAASSEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLRPQQHHASYWPRTRSKTRTDRNSVGVTAANDTRLSWAAPSMHDKEDISSTISDPGPIWDNEPKWDTGPRWDTEPTWEPDHPIELPGPPEDIEIGASKELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSSQIVAPKASHDDNSLDLLLVGGRGRWKLLRFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVVCSLLPEQCRLIGRQCRQSI* >Brasy9G344100.1.p pacid=40061055 transcript=Brasy9G344100.1 locus=Brasy9G344100 ID=Brasy9G344100.1.v1.1 annot-version=v1.1 MDPAAAAAPASTRGTSPSRPRTKPRGLEEETRAASPNSKAGGRPSAALLQAADVRMEARVWGALPDDLLVEVLARVPPFLLFRLRSVSRRWGSVLRDPAFLAACAAAPSSHGPCLLTFWRGGAPPQCSVLSVPLGARYKLPMGFLPAWDLWLVGSSHGLLCFSGFEGSAFRTLVCNPLTQDWRVLPDMHYNQQRQLVLAVDRKRRSFKVIAASDVYGDKTLPTEVYDSKEDRWSVHQMMPAANLGSSKMAFCDSRLYLETLSPLGLMMYRVDAGRWEHIPAKFPRSLLDGYLVAGARKRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDADKKAWSWIAGCATQLCNSQFCFYEPRFDASIY* >Brasy9G147900.1.p pacid=40061056 transcript=Brasy9G147900.1 locus=Brasy9G147900 ID=Brasy9G147900.1.v1.1 annot-version=v1.1 MADFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKSDWIMHEYRLEEIDEAQGGTSEDGWVVCRVFKKKCFFKIAGGGEGSTSQSAEAGGGGGHHQLAVTSPLAMASHYMHGMHGHPQYHHQQHASSFYYSQMQPPEAAYSHHVQVQDLLTNHRPAADHAGYDFSGLPAAVDHPAAGLDVGSSDGADGLVAEGRDQTTNGAAADEQQQWQASMDGFSNGGASTAVQQMSALNSSGQRGGEMDLWGYGR* >Brasy9G261000.1.p pacid=40061057 transcript=Brasy9G261000.1 locus=Brasy9G261000 ID=Brasy9G261000.1.v1.1 annot-version=v1.1 MATKVLAASMAITILAAAASCAAATGGTGPRSYLTSWDGPACTTNSGRMSSVGTCGCSPVRFHGGHEFNFRGETATLYSEHGCAGTPYQVFEDTQACGDFGWRSIHIDC* >Brasy9G060800.1.p pacid=40061058 transcript=Brasy9G060800.1 locus=Brasy9G060800 ID=Brasy9G060800.1.v1.1 annot-version=v1.1 MAAAARSADEGTIRSTTADGGFEESLRKLQSDVARALDEAQESGFLPAFSQLDWADGLPGYAASLAVELSNNAEYYRRGEEKLRHLAAWSDSRRAEAELLGTAARRLLDGYLRAMAAAAEEEGAEDVVVHMAAMEFLEEVYDEMGIHEAGAAARADEVDAAARAPGGCGARFAEKHARRADMLRRGAAAFEGRPGEEEKIVAALHKYAALVEAQCADAEALVAKMVSSPFWRVWRHVNGQQPSGGR* >Brasy9G266800.1.p pacid=40061059 transcript=Brasy9G266800.1 locus=Brasy9G266800 ID=Brasy9G266800.1.v1.1 annot-version=v1.1 MKITVHSSKAVKPDYGSRPAPATDDVIPLTVLDKANFDCYISVIYAFYPPSPPNPTLEAGLAKCLAEYREWAGRLTTDPATPGSRGIVLNDAGARFVEATADVALDSVMPLEPTPEVLALHPCVGEDEPEPEPELMLIQVTRFACGSMTVGFTTQHIVSDGRATGNFFVAWSQATRGVALVPAPVHDRASFFSPSDAPKVQQHQHRGVEFKPAPSMDDIAVAVHEDEDEVVVSKVHFSRDFISKLKSEASVGRNQQRPYSTLQCLVAHLWRRITKARGLLPHEHTSVSIAVDGRARIVSPRPVPEGYTGNVVLWARPTSTAQDLVEFRPLAHTVELINKAVGRIDDGYFRSFVDFAGSGAVEKERLVPTADAKEMVLSPDIEVDSWLRMPFYDVDFGAGRPFFFMPSYLPVEGLLILLPSFLGDGSVDAYVPLFGRHVDTFKSCCHTLE* >Brasy9G233500.1.p pacid=40061060 transcript=Brasy9G233500.1 locus=Brasy9G233500 ID=Brasy9G233500.1.v1.1 annot-version=v1.1 MWPTIERGRRWNASGTRFAPVRVGGAELKHGSTGTNRRQRARKISPETSSRGGGGASAEEREREIALLTGAGGGLARESTGEEDSPGGAEGHSSVERAVAGVGEEGGRFAVSFVFGSGLLLSKLRSDTGRSVVVVAGWGVVRGGEATSPDLGKNRRWRAELMAEGGGGLAAASGQGRGKRRGGSAPLIGRPGHGQAREAGPAARRRPCRYSGRAGGEDRDDGGVRVSAR* >Brasy9G232400.1.p pacid=40061061 transcript=Brasy9G232400.1 locus=Brasy9G232400 ID=Brasy9G232400.1.v1.1 annot-version=v1.1 MDQRALGQPAHEIEKTAPEAGPDVESEPALAAQRAVPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLVPTLNVSAALLAFLALRGWTHALARLGIASRPFTPQENTVVQTCAVACYTIGFGGGFGSFLLGLDKKTYEQSGVNTPGNVPGSYKEPGIGWMMGFLLSISFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLKYFGISFFWSFFQWFYTGGDACGFLQFPTFGLQALKQTFSFDFSLTYVGAGMICSHLVNLSLLFGAILSWGVMWPLMSKQKGNWYPANASGSSMTGLYGYKAFLCIALLTGDGFYNFFKVIIITLKSMHERSKRGRLNRVADADSVSIDDRQRDEVFNRDNIPAWVAYSGYAVLSAMAVVAIPLMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDNGVVAGLVTCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAGQAAGTLMGCVVAPLTFFLFYEAFDVGNPDGYWKAPYALIYRNMAILGVEGFSALPRHCLQLCAAFFAFAVLANVARDFLPRRIGRYVPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHKLDGKKAALLVPAVASGLICGDGIWIFPSSLLALAKVKPPICMKFTPGS* >Brasy9G300700.1.p pacid=40061062 transcript=Brasy9G300700.1 locus=Brasy9G300700 ID=Brasy9G300700.1.v1.1 annot-version=v1.1 MESPRGHGGGSHGERDLLAEAARMLHEMALGDREEGEEPDLPDEQLRFNDQLQQDEVLALEAIYGDNLDSFGEKTVPRSFKIHVYCEIPDGISVSAELLQGVDDYPNNRFFDTFSVKHLAPISLTCLMPPSYPSHHPPYFTLGVQWLDSVKVSSLCHMLDLIWAQQPGQEVVYEWVQWLQSSTLSHIGFVDRIIIRQPNSMSGPVDVRVVGEILSVKSMVERLISCNEEQCHESFLRGLHACMICFSEYTGIDFIKLPCRHYFCWRCMETYSRMHVKEGSVLKLVCPDIKCGGSVPPDLLKRVLGDADFERWERLILQKTLDSMADVAYCPRCETACLQDDDSAQCSKCLFSFCTLCRDRRHIGERCISPEEKLLSMEARTKVRHLSKDNTVRRTNLGNEILSIKEILSSSIPCPHCGTAISRVSGCNHMVCRNCVHNRP* >Brasy9G300700.5.p pacid=40061063 transcript=Brasy9G300700.5 locus=Brasy9G300700 ID=Brasy9G300700.5.v1.1 annot-version=v1.1 MESPRGHGGGSHGERDLLAEAARMLHEMALGDREEGEEPDLPDEQLRFNDQLQQDEVLALEAIYGDNLDSFGEKTVPRSFKIHVYCEIPDGISVSAELLQGVDDYPNNRFFDTFSVKHLAPISLTCLMPPSYPSHHPPYFTLGVQWLDSVKVSSLCHMLDLIWAQQPGQEVVYEWVQWLQSSTLSHIGFVDRIIIRQPNSMSGPVDVRVVGEILSVKSMVERLISCNEEQCHESFLRGLHACMICFSEYTGIDFIKLPCRHYFCWRCMETYSRMHVKEGSVLKLVCPDIKCGGSVPPDLLKRVLGDADFERWERLILQKTLDSMADVAYCPRCETACLQDDDSAQCSKCLFSFCTLCRDRRHIGERCISPEEKLLSMEARTKVRHLSKDNTVRRTNLGNEILSIKEILSSSIPCPHCGTAISRVSGCNHMVCRNCVNSA* >Brasy9G300700.2.p pacid=40061064 transcript=Brasy9G300700.2 locus=Brasy9G300700 ID=Brasy9G300700.2.v1.1 annot-version=v1.1 MESPRGHGGGSHGERDLLAEAARMLHEMALGDREEGEEPDLPDEQLRFNDQLQQDEVLALEAIYGDNLDSFGEKTVPRSFKIHVYCEIPDGISVSAELLQGVDDYPNNRFFDTFSVKHLAPISLTCLMPPSYPSHHPPYFTLGVQWLDSVKVSSLCHMLDLIWAQQPGQEVVYEWVQWLQSSTLSHIGFVDRIIIRQPNSMSGPVDVRVVGEILSVKSMVERLISCNEEQCHESFLRGLHACMICFSEYTGIDFIKLPCRHYFCWRCMETYSRMHVKEGSVLKLVCPDIKCGGSVPPDLLKRVLGDADFERWERLILQKTLDSMADVAYCPRCETACLQDDDSAQCSKCLFSFCTLCRDRRHIGERCISPEEKLLSMEARTKVRHLSKDNTVRRTNLGNEILSIKEILSSSIPCPHCGTAISRVSGCNHMVCRNCVNSA* >Brasy9G300700.3.p pacid=40061065 transcript=Brasy9G300700.3 locus=Brasy9G300700 ID=Brasy9G300700.3.v1.1 annot-version=v1.1 MESPRGHGGGSHGERDLLAEAARMLHEMALGDREEGEEPDLPDEQLRFNDQLQQDEAIYGDNLDSFGEKTVPRSFKIHVYCEIPDGISVSAELLQGVDDYPNNRFFDTFSVKHLAPISLTCLMPPSYPSHHPPYFTLGVQWLDSVKVSSLCHMLDLIWAQQPGQEVVYEWVQWLQSSTLSHIGFVDRIIIRQPNSMSGPVDVRVVGEILSVKSMVERLISCNEEQCHESFLRGLHACMICFSEYTGIDFIKLPCRHYFCWRCMETYSRMHVKEGSVLKLVCPDIKCGGSVPPDLLKRVLGDADFERWERLILQKTLDSMADVAYCPRCETACLQDDDSAQCSKCLFSFCTLCRDRRHIGERCISPEEKLLSMEARTKVRHLSKDNTVRRTNLGNEILSIKEILSSSIPCPHCGTAISRVSGCNHMVCRNCVHNRP* >Brasy9G300700.4.p pacid=40061066 transcript=Brasy9G300700.4 locus=Brasy9G300700 ID=Brasy9G300700.4.v1.1 annot-version=v1.1 MESPRGHGGGSHGERDLLAEAARMLHEMALGDREEGEEPDLPDEQLRFNDQLQQDEAIYGDNLDSFGEKTVPRSFKIHVYCEIPDGISVSAELLQGVDDYPNNRFFDTFSVKHLAPISLTCLMPPSYPSHHPPYFTLGVQWLDSVKVSSLCHMLDLIWAQQPGQEVVYEWVQWLQSSTLSHIGFVDRIIIRQPNSMSGPVDVRVVGEILSVKSMVERLISCNEEQCHESFLRGLHACMICFSEYTGIDFIKLPCRHYFCWRCMETYSRMHVKEGSVLKLVCPDIKCGGSVPPDLLKRVLGDADFERWERLILQKTLDSMADVAYCPRCETACLQDDDSAQCSKCLFSFCTLCRDRRHIGERCISPEEKLLSMEARTKVRHLSKDNTVRRTNLGNEILSIKEILSSSIPCPHCGTAISRVSGCNHMVCRNCVNSA* >Brasy9G284600.1.p pacid=40061067 transcript=Brasy9G284600.1 locus=Brasy9G284600 ID=Brasy9G284600.1.v1.1 annot-version=v1.1 MAAGENYPFSFRPAQIDPIPIRHHQARAPVPPHEAPMRSPRPPRPAPARLVAAALLLVALAGAAADDISGRDGYGRARRLYMRDKVVEMFYHAYDNYMAYAFPHDELKPLTKSFTDSLSELGNLNLEHLPHDYNGSALTLVESLSSLVVLGNLTEFERGVSWLSENLTFDVDARVNLFECNIRLLGGLISAHILAKDYSSQRKDGVYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKHGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAARRALRKLWSMRSSLNLVGSTLDVLSGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADIRTGEATYWQLTSLQAFWPGVQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIRSLNYYTKVEGGFASIRDVSTMKQEDHQHSFFLSETCKYLFLLYDDSFLRKQNYIFTTEGHPLPIRSTWHEKIPASDVPSNWTYVKDADQPIRVSALSSQVCPETIFQQSVGSTWESACHVPDVFPNHKCRADGDCGIESVSCKRRTCSTAGYCGLWLAVL* >Brasy9G126100.1.p pacid=40061068 transcript=Brasy9G126100.1 locus=Brasy9G126100 ID=Brasy9G126100.1.v1.1 annot-version=v1.1 MPRSDSDRDDTFFDAFDDIESAREPSSSDDCSTSGEGLAPRKFEYEIWASEPMSVQERRQRFLKGMGFDDFAAAKGDPSQGQEAQDEIAIKDSDADLEERTISDILSLNSSSPDNESSFDAACCIRDLDSGKRYVVHNGGHDGLTSLLKEVATDKVLSFLEFESLVGVSRSVQKLFRKAYCNSPAAETKRAVGIKKNDIKSLCKSFMKKRSFGGICKSNVHVKNCTTSIPSRTRVQHQKKKNAEFSAVYMGQEIRAHKGLIKVMKFSPSGWYLASGGEDCVVRIWQITEVEAYSKIYGKENHRHEYVEKINILKPKLAEGQSRALAVMPNKGFHISETPLHEFHGHTSDVLDMTWSKSDFLLTSSKDTTVRLWKAGSDGCLAVFKHKDYVTCVQFNPTDERYFISGSIDGKVRIWDVLDRRVVNWADTRNIISAVSYQSDGKGFVVGTTTGVCRFYDQSGEDIQLDKELFMQGKKSAASWIKSVQLCTSNPPRFLVTSTDSKIRVADGVDIVQKFKGPWKSKALSSPSLTSDGRYLISAGMDSNVYIWNFDNSSRTLQKREAKTVRSCEMFFSKDVTTAVPWPGVHRDRHVKPSCLTEKSVSTPSLRRQGECRSPGAWFFADVMRGSVTWPEEKLISAKPVNGPRLADCLSAISAAWNMVIVTASRGGVIRTFHNYGLPVRL* >Brasy9G126100.4.p pacid=40061069 transcript=Brasy9G126100.4 locus=Brasy9G126100 ID=Brasy9G126100.4.v1.1 annot-version=v1.1 MPRSDSDRDDTFFDAFDDIESAREPSSSDDCSTSGEGLAPRKFEYEIWASEPMSVQERRQRFLKGMGFDDFAAAKGDPSQGQEAQDEIAIKDSDADLEERTISDILSLNSSSPDNESSFDAACCIRDLDSGKRYVVHNGGHDGLTSLLKEVATDKVLSFLEFESLVGVSRSVQKLFRKAYCNSPAAETKRAVGIKKNDIKSLCKSFMKKRSFGGICKSNVHVKNCTTSIPSRTRVQHQKKKNAEFSAVYMGQEIRAHKGLIKVMKFSPSGWYLASGGEDCVVRIWQITEVEAYSKIYGKENHRHEYVEKINILKPKLAEGQSRALAVMPNKGFHISETPLHEFHGHTSDVLDMTWSKSDFLLTSSKDTTVRLWKAGSDGCLAVFKHKDYVTCVQFNPTDERYFISGSIDGKVRIWDVLDRRVVNWADTRNIISAVSYQSDGKGFVVGTTTGVCRFYDQSGEDIQLDKELFMQGKKSAASWIKSVQLCTSNPPRFLVTSTDSKIRVADGVDIVQKFKGPWKSKALSSPSLTSDGRYLISAGMDSNVYIWNFDNSSRTLQKREAKTVRSCEMFFSKDVTTAVPWPGVHRDRHVKPSCLTEKSVSTPSLRRQGECRSPGAWFFADVMRGSVTWPEEKLISAKPVNGPRLADCLSAISAAWNMVIVTASRGGVIRTFHNYGLPVRL* >Brasy9G126100.2.p pacid=40061070 transcript=Brasy9G126100.2 locus=Brasy9G126100 ID=Brasy9G126100.2.v1.1 annot-version=v1.1 MPRSDSDRDDTFFDAFDDIESAREPSSSDDCSTSGEGLAPRKFEYEIWASEPMSVQERRQRFLKGMGFDDFAAAKGDPSQGQEAQDEIAIKDSDADLEERTISDILSLNSSSPDNESSFDAACCIRDLDSGKRYVVHNGGHDGLTSLLKEVATDKVLSFLEFESLVGVSRSVQKLFRKAYCNSPAAETKRAVGIKKNDIKSLCKSFMKKRSFGGICKSNVHVKNCTTSIPSRTRVQHQKKKNAEFSAVYMGQEIRAHKGLIKVMKFSPSGWYLASGGEDCVVRIWQITEVEAYSKIYGKENHRHEYVEKINILKPKLAEGQSRALAVMPNKGFHISETPLHEFHGHTSDVLDMTWSKSDFLLTSSKDTTVRLWKAGSDGCLAVFKHKDYVTCVQFNPTDERYFISGSIDGKVRIWDVLDRRVVNWADTRNIISAVSYQSDGKGFVVGTTTGVCRFYDQSGEDIQLDKELFMQGKKSAASWIKSVQLCTSNPPRFLVTSTDSKIRVADGVDIVQKFKGPWKSKALSSPSLTSDGRYLISAGMDSNVYIWNFDNSSRTLQKREAKTVRSCEMFFSKDVTTAVPWPGVHRDRHVKPSCLTEKSVSTPSLRRQGECRSPGAWFFADVMRGSVTWPEEKLISAKPVNGPRLADCLSAISAAWNMVIVTASRGGVIRTFHNYGLPVRL* >Brasy9G126100.3.p pacid=40061071 transcript=Brasy9G126100.3 locus=Brasy9G126100 ID=Brasy9G126100.3.v1.1 annot-version=v1.1 MPRSDSDRDDTFFDAFDDIESAREPSSSDDCSTSGEGLAPRKFEYEIWASEPMSVQERRQRFLKGMGFDDFAAAKGDPSQGQEAQDEIAIKDSDADLEERTISDILSLNSSSPDNESSFDAACCIRDLDSGKRYVVHNGGHDGLTSLLKEVATDKVLSFLEFESLVGVSRSVQKLFRKAYCNSPAAETKRAVGIKKNDIKSLCKSFMKKRSFGGICKSNVHVKNCTTSIPSRTRVQHQKKKNAEFSAVYMGQEIRAHKGLIKVMKFSPSGWYLASGGEDCVVRIWQITEVEAYSKIYGKENHRHEYVEKINILKPKLAEGQSRALAVMPNKGFHISETPLHEFHGHTSDVLDMTWSKSDFLLTSSKDTTVRLWKAGSDGCLAVFKHKDYVTCVQFNPTDERYFISGSIDGKVRIWDVLDRRVVNWADTRNIISAVSYQSDGKGFVVGTTTGVCRFYDQSGEDIQLDKELFMQGKKSAASWIKSVQLCTSNPPRFLVTSTDSKIRVADGVDIVQKFKGPWKSKALSSPSLTSDGRYLISAGMDSNVYIWNFDNSSRTLQKREAKTVRSCEMFFSKDVTTAVPWPGVHRDRHVKPSCLTEKSVSTPSLRRQGECRSPGAWFFADVMRGSVTWPEEKLISAKPVNGPRLADCLSAISAAWNMVIVTASRGGVIRTFHNYGLPVRL* >Brasy9G298100.1.p pacid=40061072 transcript=Brasy9G298100.1 locus=Brasy9G298100 ID=Brasy9G298100.1.v1.1 annot-version=v1.1 MELVIAAIMGEVANRSISFLFDKYSSPMAPAKEEKLQILQRFLQRVRIIVEEAEGRHIGNRAMVHQLSILRKEMYRGYYILDTFRSQGNGEDAGVSHSFALSKFNPAKRLFLRIGDTNDDKELQQVVNNLSNIIVDVSDFVVFLKNYPPLYRQPYSMHLLLDKCMFGRQMELERIMDFLRQTKSPGTETTVDVLPIVGPVHVGKSTLVSHVCNDERVRDLFSQIVLMMATGPKHRNNSLSEGEAMLIIMELPEDIDEVTWRNLYSSYDGHTQTTRGTRKIIITSRSSKIAEFGTTQTLVLTSLPREAFWYFFKVLTFGSADPEEHPKLASTAMELVMGMRQSFVTANMFAGLLRSKLDSKFWGNSVQFGRLSVQASICKFGDHPAALLRKNRPTCLKRMSNGDSCVISKHYQTSLDDENVPRITFTDVLFGSMECQGRIEVLAWRSRIPPYKNHIFSCEIQNPGHMATTRTDTSNAATLSHLL* >Brasy9G187000.1.p pacid=40061073 transcript=Brasy9G187000.1 locus=Brasy9G187000 ID=Brasy9G187000.1.v1.1 annot-version=v1.1 MAAAAGEGDSVAPDTTGVEAASEPQRRVAARHGSTPGHMSMENCAYAIAKALKHPTVRFLKERMEKAGCPMWPGLIRAAICNSSGGYAPGDAVRVCCNHMKNQDQIEQVIIHELIHAYDDCVTKNVDWNNCAHHACSEIRANHLSGNCHFKQELFRGIMKIKGHEPECVKRRALLSVNHNPNCSEKASKDAVEAVWDICYNDTRPFDKAP* >Brasy9G148600.1.p pacid=40061074 transcript=Brasy9G148600.1 locus=Brasy9G148600 ID=Brasy9G148600.1.v1.1 annot-version=v1.1 MLHMLFSPTNESSESEDIQELIKSSPKCEASTSANDMESGRGAGSTSSSPPSIPGRSDQRVQCRVEEDPTSCSGSCSFFAKYFSVESFLLLMLVTASLLILPLVLPPLPPPPSMLMLVPVAMLLLLLVMAFMPMSGGGRGAAGPTYL* >Brasy9G148600.2.p pacid=40061075 transcript=Brasy9G148600.2 locus=Brasy9G148600 ID=Brasy9G148600.2.v1.1 annot-version=v1.1 MLHMLFSPTNESSESEDIQELIKSSPKCEASTSANDMESGRGAGSTSSSPPSIPGRSDQRVQCRVEEDPTSCSGSCSFFAKYFSVESFLLLMLVTASLLILPLAAAAARRARPTCN* >Brasy9G271600.1.p pacid=40061076 transcript=Brasy9G271600.1 locus=Brasy9G271600 ID=Brasy9G271600.1.v1.1 annot-version=v1.1 MDAKGAAPPENPNPSGGGGVIFESAAAAAEAASSSTTKAMLAFHFVRALSRVIHGGGGSARRRTRTIRRAAYASMARAAGPRRAWSRALLRQARARRSAAASAGVLVRRRRRVAAGSTAWRSSSAASTHGETSSAARGEAPLPPPAARREAATGGEPARTEALRRLVPGGAGMEYCSLLEETADYVRCLHAQVQLMQGLADLFSCQ* >Brasy9G135300.1.p pacid=40061077 transcript=Brasy9G135300.1 locus=Brasy9G135300 ID=Brasy9G135300.1.v1.1 annot-version=v1.1 MFYQASPFYQFAHFTANQAILEAFEAGARRGLHVVDFDVSFGFQWPSLIQSLSDAAAATSPQSSDNSNVEPQLPAALSLRITGFGTSADELRDTEARLARFAAGCPNLRFEFEGIVMTENGSALHGRNINIKVDPGSTVVVNLVFPAATTNAVSSLACIRSLNPSLVFLIDKDVVQGSASNGASVLPRFAASLRYYAAVFESLHECLPADSAERLAIERDHLGAEIGHAMASLQGDRRQHGGDWTDAMEGAGFEGARLSSRTVSQAKLLLKMKSGGCGGFRVIEGGGQDKAMSLGWRDRALITATAWRPCRKQNDL* >Brasy9G210300.1.p pacid=40061078 transcript=Brasy9G210300.1 locus=Brasy9G210300 ID=Brasy9G210300.1.v1.1 annot-version=v1.1 MISSHDLYTVLAAVVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISANDPYQMNLRFLAADTLQKLLVLAALAACSRLIPWLGLGLDWSVTLFSLSTLPNTLVMGIPLLVAMYGPDAGSLMVQIVVLQCIIWYTLLLFLFEFRAARALIADQFPDTAASIASLHLDPDVVSLEGGRAETEAEVAPDGRLHVTVRRSSASRQSLSLLGVTPRPSNLTGAEIYSVNSSQNPTPRGSNFNHLDFFATAGAPPPPTTPARARGSSFGTSSEHFSLHTSSQGPTPRGSNFDEHSAAAGARKPAAAVAHSSHDAKELHMFVWSSSTSPVSEVSRLPVFSGGADRARPDAGGAKEIRMVVPADPPQNGSCKEAAVEDGDAAAEAGRDRLTKLSSSSTAELRPNVFADTAGAEDAKRPRQQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHISMPSIVGKSISILSDAGLGMAMFSLGLFMALQPSIIACGNTVAVVSMVVRFIAGPAVIAAASAAVGLRGTLFKISIVQAALPQGIVPFVFAKEYNVHPTILSTAVIFGMLVALPIALAYYAILGLVTV* >Brasy9G187600.1.p pacid=40061079 transcript=Brasy9G187600.1 locus=Brasy9G187600 ID=Brasy9G187600.1.v1.1 annot-version=v1.1 MIKLRCPKRLFRRSSSSKSSSSSSGSSDGGGDVVRSGSGEIEWEVRPGGMLVQRRDGRGDVEVITVRVATTGFSWHEVSIGATCTFGELKVIVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRASLAAQAVQSPYQSFIQV* >Brasy9G335200.1.p pacid=40061080 transcript=Brasy9G335200.1 locus=Brasy9G335200 ID=Brasy9G335200.1.v1.1 annot-version=v1.1 MAARMRGGDGRRRRGQQRIRSFSTRRPWRLGRRDVTRSPLLHHGGRSWEKERGMGEKGIDRFGRRKEKRPTFGIALGSPLEMLSDKALLSKKMYMCGV* >Brasy9G124300.1.p pacid=40061081 transcript=Brasy9G124300.1 locus=Brasy9G124300 ID=Brasy9G124300.1.v1.1 annot-version=v1.1 MPEKPWALIPSPLNDLDMCLFAAATTNTVRDSRFTDFWHDAWLDGLRPKDWAPAFFELARRKHRSVRDARWQFKWVSDVAHGNNHGNLRQFILLFLRINNAPALSVGDDSIVWNLNPNGCYSAKMWAPEKCKFFSWLLAHSRIPTADLLQRRGIPNDKWCPFCHVIEETALHILVDCRYARVIWTSIARWTGRPLFHPTAWPALSSVRNWWLDRIEVAGRLGKHLRKSLASMLLLGLWEIWKERNRRTFQHKLLLPPAVFILIRNEASLWNRAGAGLGELVSGDDDVP* >Brasy9G031500.1.p pacid=40061082 transcript=Brasy9G031500.1 locus=Brasy9G031500 ID=Brasy9G031500.1.v1.1 annot-version=v1.1 MCLCLVVERTLENWALAHRAAMAAGICMVWGFTQSGALIPVGYHVVVHGFAHLPISPTLFYHV* >Brasy9G357700.1.p pacid=40061083 transcript=Brasy9G357700.1 locus=Brasy9G357700 ID=Brasy9G357700.1.v1.1 annot-version=v1.1 MLKSAKAVVVQAWKDQDIQVTVVISLIVQLLLLFAGILRRRKINRFIRFIIWLAYVGADAVAVFAIGLLCKYEEKYKLRSHHSPGELTLPFLWAPFPLLHLGGQDTMTAFSIEDNNLWLRHLLNLVVQVTLTLYVFAKSFDILDSQLLAVAIPIFVAGMIKYGERTWALYTGSRDNLGRSRREQQKQLPDYTVAGDDVVASAYALNTVLRVRGLLMGRTLFQLGEKIENELVKDFAKHGRKQGKLKIVMMELGMMYDLLYTKAMVLQSWTGQIFRCIAEISMVVAFVLFLANRELHAHNRANVAITYTLFALSKQDIFICWLSCGACSVYNAIVQRRTMKQEQGEQQQKQQLSSFSLGQFNLTDYSMSEKCTPKLISKHVEDNEISNYIEKLLCTGEGSQQLELGRELNYVLSMPFEHALFRLHIFTDLKLSDYLMFLMAVYPSMLPVSSAAQDLEYVFAKWVRKKHTGTMTKSEIPKKYANEKLIDGTYPGSPFRLLRPSDPWHISLRTIKEVWARLLIYAAGKCPVELHARQLGNGPELLTAEDISPETDVAASNLEQNQDHVVLEMEQAVDATPGIEEADDDEAAVALEIERAQSGDVALQID* >Brasy9G143400.1.p pacid=40061084 transcript=Brasy9G143400.1 locus=Brasy9G143400 ID=Brasy9G143400.1.v1.1 annot-version=v1.1 MEDEKNTQSHQAGGEADQVEVTDRGLFDKFIGKQKEEEDKKQEEVLVTGMEKVSVEEPEVKKEEVRQDGEKKESLFSKLQRSSSSSSSSSDEEEEVIDDNGEVIKRKKKKGLKEKIKEKLPGHKDTETAAPAPAPPAPAVTHGGHHDDGAVVVEKIDGEVKTEAPEEEKKGFLEKIKEKLPGGHKKPEDAAAVPVTHAAPAPVHTPAPAATEEASSPEKKGILGKIMDKLPGYHKTPGEEDKAAAGEHKTTA* >Brasy9G030400.1.p pacid=40061085 transcript=Brasy9G030400.1 locus=Brasy9G030400 ID=Brasy9G030400.1.v1.1 annot-version=v1.1 MAATPASSSPSRLLDLEGPPPEPDKKKKKQKTEEEAEDVRDWAELPRDALLLVLEKLSQVDVFRGPELTCRPWRRAALDEPTLWRHIDLRHCHVDASSRWCLRLMARAAVRRSQGCEALRGEGAVDEWVISLLENSPHSLKSLRMISCERITDRLSNSIPWFYKLEDLEISNCDPGAFSSTCIVVGNSCPDMKRFRLSSPRFYKRRRRWIDSEANGITRMRGLRSLQLFAQTISTDGLASILNSCVQLESLDIRHCFNVEMEDEMVARCSRFQSLKLPYDSTHDYDLEFSAPDMRSESPRATTYEDCYGAWASSY* >Brasy9G072000.1.p pacid=40061086 transcript=Brasy9G072000.1 locus=Brasy9G072000 ID=Brasy9G072000.1.v1.1 annot-version=v1.1 MIFGIENICRRSGSQVPQEVKSMNVKMRGVCSTLSGHRLTTEMNFETTQLGSLMFSSCPCNSEISMNIMLQGEASF* >Brasy9G069200.1.p pacid=40061087 transcript=Brasy9G069200.1 locus=Brasy9G069200 ID=Brasy9G069200.1.v1.1 annot-version=v1.1 MEDTIGSLKASAVRRLPKLSRWIPTEAAARSGLQLLSMGLNKRLAADARRSNLVFSPLSVYAALSLVAAGARNRTLSELLGAPSREALAGHVRSMAEHALADQSHAGGPKISFACGVWHDKSCPLSPAYQSAAVESFKSVTRAQKGDDPIYLRGDMFHLASNLRVLKICNCSFNFSSPPFQCCQNLRFLWLDHCTNTEKEQGEGSFFPSLLVFDLRFTEYVLLPQIVELMTNLRELNTLGVSLKSICHAWEKLQKLNKLRVTESSYLIAFDSCSSEHVNNLELLDLSGNTLMESLPTLSSARSLKMLVLDGCSSLEQVALEGGAPLLESFSFDGYGPVENWTHSIQLPQKELRLKTPISPVEKVTKISLHGCVLLHDIFFRALPNLEELDLSCTAIKTLDLSAMDVPQLKKLFLLGCQQLRSLHWRGWKWNPHLTVVHIDTQVKVRSITCTGEKTTFGFEARIAFTDGRFIWSFIEGLYWKSFPSITQYSTKVYLLISCMSYGQANITKGIEEIGPSQEGMVPIGPLLTYSDIALAKDVAHSSLVWDRQRLQPLLMHIEIGEGSYNLESMQDKEGFRDFIDDWVESLYVHDNSSVTAIPPTTSASWTYLKWCHVERGINGNFRRTCFQQLQHIYLHNCPRLVFVLPISFHLPNLESIQIAYCSNLRHVFPVDDEVPQEIASGVTFKNLRHIKLHHLHKLEQICEAKLTVPALQTIGLRDCWRLRRLPAVARQGPKPVVDCEKDWWDKLEWDGLDAGHDPSLFQTRHSAYYKKTIPRGSVLRSDTH* >Brasy9G118100.1.p pacid=40061088 transcript=Brasy9G118100.1 locus=Brasy9G118100 ID=Brasy9G118100.1.v1.1 annot-version=v1.1 MDVDKQETMEETILVGDDLMRGPPCPVIPKEIASHVLEGVELCDGILKNLFLCLQINDIEPFCQDEIVLYQQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERVTQLQSEVTLLERRMILASGLQGMEGFRQRWSLHGQISDTRQRLEALNHGMAKRESPSSPGEGTAPAAKKRWFF* >Brasy9G094700.1.p pacid=40061089 transcript=Brasy9G094700.1 locus=Brasy9G094700 ID=Brasy9G094700.1.v1.1 annot-version=v1.1 MTDNGKTKSGSAAYTINLENFSKRLKLFYDHWNKNKSDLWGSSDAIAIATPPPSEDLPLHVWLLGYEFPETIIVLMHKQIHFLCSQKKANLIGVLKNAANEAVGADIILHSGGKFDLKPGASSNDDYLYYDSASVIICAIWSSYCNYCSNVARTFLIDATPTQSKAYEILLKAQEAALAACKPGNQMCAVYQAAVAVFQKNAPELLPNLTKSAGTGMGLEFRESGLNLNPKNDRLIKEGMVFNVCLGLNNVQAETNNEKTKQFSLLLADTALVSDKTVEILTNCSKAVKDVAYSFNEDEEDAGSCTIQGDTSEMSKEELRRQHQAELARQKNEETAGRLAGGASGSGDGRGPARASNELVAYKNDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASTKTKQMRLNDVWIRPPFGGRGRKLTGTLEAHVDGFRYSTSRTDERVDILSALDPDEIEEQRERDRKKRINTEFQNYVNKVNDHWSQPQFKGIDLEFDIPLRELGFHGTPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVIRIDSIPSTSLDATKEWLDTTDLKYYESRLNVNWRPILKTIIDDPQKFVDDGGWEFLNMVQNQTAGKKGGAAMSRASASPVRRQIVAT* >Brasy9G346500.1.p pacid=40061090 transcript=Brasy9G346500.1 locus=Brasy9G346500 ID=Brasy9G346500.1.v1.1 annot-version=v1.1 MCPRWRWGWMTRGSWIDDGGHRPRPARARSGYGSGARPPPAAALLQVKQEVMEEEALVGVKRRRFLFIFDSFFLLLED* >Brasy9G299000.1.p pacid=40061091 transcript=Brasy9G299000.1 locus=Brasy9G299000 ID=Brasy9G299000.1.v1.1 annot-version=v1.1 MKLKLKTDVLIKFAMLYSSMRKYTEAKVNLNMDDVNGVVDWNVDIIGPDGGGSSSKLAKIEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYHPNGDAAALLDAAAADNLDRSLKKKKVTDHWRTYISPLMWRCQWLELRMKELHSQASRYDRELAVLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRTEEKINASSYIATHTILSYYEKEKAEADGHSIDDNGILADDSTKGNNDADWLLGNGSDATVEQILASIQSVQDRVLVLRSYLKTEIDKKSNGIDLKVKTRVNVAQSSNCAHGKGKVAEMLEISPQDASDCDMDDTDMPDSAVSSFGEANNMDIFESTMNLLSAEDPHKMGELQQSSEDVLIDNQPAEEGYQNFEVISHPCKRLRVSVKSEAGVVKSETGAHSEDESIAAPTVAIVKKEPPEDGTARFGLQGILKPCYTGKRKGRKPKILRRGGSSSSALSSWRSARTRKKRKL* >Brasy9G299000.2.p pacid=40061092 transcript=Brasy9G299000.2 locus=Brasy9G299000 ID=Brasy9G299000.2.v1.1 annot-version=v1.1 MDDVNGVVDWNVDIIGPDGGGSSSKLAKIEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYHPNGDAAALLDAAAADNLDRSLKKKKVTDHWRTYISPLMWRCQWLELRMKELHSQASRYDRELAVLKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRTEEKINASSYIATHTILSYYEKEKAEADGHSIDDNGILADDSTKGNNDADWLLGNGSDATVEQILASIQSVQDRVLVLRSYLKTEIDKKSNGIDLKVKTRVNVAQSSNCAHGKGKVAEMLEISPQDASDCDMDDTDMPDSAVSSFGEANNMDIFESTMNLLSAEDPHKMGELQQSSEDVLIDNQPAEEGYQNFEVISHPCKRLRVSVKSEAGVVKSETGAHSEDESIAAPTVAIVKKEPPEDGTARFGLQGILKPCYTGKRKGRKPKILRRGGSSSSALSSWRSARTRKKRKL* >Brasy9G367900.1.p pacid=40061093 transcript=Brasy9G367900.1 locus=Brasy9G367900 ID=Brasy9G367900.1.v1.1 annot-version=v1.1 MASPPSFDFFDDLDDEPATAHRQNPNPPLAAPTPNGLNDRLLRLAQSRPRPQNPNPDPLPPPPPPPPEQVAGEPQDRKVKLAGRRRLCKISSSSPDADADDEGDNIRDILDDLTTRLDSLSVDRPKPRPRPQVAPMPCAIDNLDDDISLPHKGAKSTDEDRAPSPVDISSSDELTVVQKVKKPQTLFSSAFTDRAQETNDKGKKTTTGAYGGGKFGKRASKPSSFLDYEDDREEEEEDRAYEEKENCDTDADDIGWEKTEDFKMEPTGSGVMAKPYKLPGKIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIRRVLVVAPKTLLTHWMKELSVVGLKEKIRDYSGSSVNVRNSELQYAFRVGGILLTTYDIVRNNYKLMRGDFYNDADDEEEGRLWDYVILDEGHIIKNPKTQRAQSLLQIPCVHRIVISGTPIQNNLKEMWALFFFCCPEILGDKDEFKTRYELPILRGNDKSATNREKHIGSNVAKELRERIKPYFLRRMKSEVFLDTGSTDDKKLSKKNELIIWLKLTACQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLILTKRAAEGILEGMEGMDGLNNQEIGMVEKMAMNLADMAHDDDALQVDQEVSCKLSFIMSLLRKLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKISERERIVKDFQEGPGAQIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHEQQLVMDESLREHIQFLEQQGIAGVSHHSLLFSKAAILPTLSENESLDNKPPTMPMMGRQYKASSSDYVANGAAHAFKPKEFTPRTYSPINTSSESPEEIKAKINRLSQTLANTTLVSRLPDRGEKLKKQIHDLDEKLTVIESSPESAASKGATEVICLDDRKIR* >Brasy9G367900.2.p pacid=40061094 transcript=Brasy9G367900.2 locus=Brasy9G367900 ID=Brasy9G367900.2.v1.1 annot-version=v1.1 MASPPSFDFFDDLDDEPATAHRQNPNPPLAAPTPNGLNDRLLRLAQSRPRPQNPNPDPLPPPPPPPPEQVAGEPQDRKVKLAGRRRLCKISSSSPDADADDEGDNIRDILDDLTTRLDSLSVDRPKPRPRPQVAPMPCAIDNLDDDISLPHKGAKSTDEDRAPSPVDISSSDELTVVQKVKKPQTLFSSAFTDRAQETNDKGKKTTTGAYGGGKFGKRASKPSSFLDYEDDREEEEEDRAYEEKENCDTDADDIGWEKTEDFKMEPTGSGVMAKPYKLPGKIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIRRVLVVAPKTLLTHWMKELSVVGLKEKIRDYSGSSVNVRNSELQYAFRVGGILLTTYDIVRNNYKLMRGDFYNDADDEEEGRLWDYVILDEGHIIKNPKTQRAQSLLQIPCVHRIVISGTPIQNNLKEMWALFFFCCPEILGDKDEFKTRYELPILRGNDKSATNREKHIGSNVAKELRERIKPYFLRRMKSEVFLDTGSTDDKKLSKKNELIIWLKLTACQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLILTKRAAEGILEGMEGMDGLNNQEIGMVEKMAMNLADMAHDDDALQVDQEVSCKLSFIMSLLRKLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKISERERIVKDFQEGPGAQIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHEQQLVITCTPKCLSPPGVT* >Brasy9G367900.3.p pacid=40061095 transcript=Brasy9G367900.3 locus=Brasy9G367900 ID=Brasy9G367900.3.v1.1 annot-version=v1.1 MASPPSFDFFDDLDDEPATAHRQNPNPPLAAPTPNGLNDRLLRLAQSRPRPQNPNPDPLPPPPPPPPEQVAGEPQDRKVKLAGRRRLCKISSSSPDADADDEGDNIRDILDDLTTRLDSLSVDRPKPRPRPQVAPMPCAIDNLDDDISLPHKGAKSTDEDRAPSPVDISSSDELTVVQKVKKPQTLFSSAFTDRAQETNDKGKKTTTGAYGGGKFGKRASKPSSFLDYEDDREEEEEDRAYEEKENCDTDADDIGWEKTEDFKMEPTGSGVMAKPYKLPGKIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIRRVLVVAPKTLLTHWMKELSVVGLKEKIRDYSGSSVNVRNSELQYAFRVGGILLTTYDIVRNNYKLMRGDFYNDADDEEEGRLWDYVILDEGHIIKNPKTQRAQSLLQIPCVHRIVISGTPIQNNLKEMWALFFFCCPEILGDKDEFKTRYELPILRGNDKSATNREKHIGSNVAKELRERIKPYFLRRMKSEVFLDTGSTDDKKLSKKNELIIWLKLTACQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLILTKRAAEGILEGMEGMDGLNNQEIGMVEKMAMNLADMAHDDDALQVDQEVSCKLSFIMSLLRKLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKISERERIVKDFQEGPGAQIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHEQQLVM* >Brasy9G367900.4.p pacid=40061096 transcript=Brasy9G367900.4 locus=Brasy9G367900 ID=Brasy9G367900.4.v1.1 annot-version=v1.1 MASPPSFDFFDDLDDEPATAHRQNPNPPLAAPTPNGLNDRLLRLAQSRPRPQNPNPDPLPPPPPPPPEQVAGEPQDRKVKLAGRRRLCKISSSSPDADADDEGDNIRDILDDLTTRLDSLSVDRPKPRPRPQVAPMPCAIDNLDDDISLPHKGAKSTDEDRAPSPVDISSSDELTVVQKVKKPQTLFSSAFTDRAQETNDKGKKTTTGAYGGGKFGKRASKPSSFLDYEDDREEEEEDRAYEEKENCDTDADDIGWEKTEDFKMEPTGSGVMAKPYKLPGKIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIRRVLVVAPKTLLTHWMKELSVVGLKEKIRDYSGSSVNVRNSELQYAFRVGGILLTTYDIVRNNYKLMRGDFYNDADDEEEGRLWDYVILDEGHIIKNPKTQRAQSLLQIPCVHRIVISGTPIQNNLKEMWALFFFCCPEILGDKDEFKTRYELPILRGNDKSATNREKHIGSNVAKELRERIKPYFLRRMKSEVFLDTGSTDDKKLSKKNELIIWLKLTACQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLILTKRAAEGILEGMEGMDGLNNQEIGMVEKMAMNLADMAHDDDALQVDQEVSCKLSFIMSLLRKLLEEGHHVLIFSQTRKMLNLIQHD* >Brasy9G286600.1.p pacid=40061097 transcript=Brasy9G286600.1 locus=Brasy9G286600 ID=Brasy9G286600.1.v1.1 annot-version=v1.1 MARSKGSGDHYRRRVGFLLLVLGTSAYAWLRYRRARGNDEAKMVAAAAAAATVRPDLEAAAVGAEDRA* >Brasy9G186500.1.p pacid=40061098 transcript=Brasy9G186500.1 locus=Brasy9G186500 ID=Brasy9G186500.1.v1.1 annot-version=v1.1 MGEKTGKASFLEMVRYADAHDMCLMALGVLGSLGDGMMQPLAMLVLGDIVNSYGAVGSAGTAGITFSSGAVDKFALRLLYVALAVAVCSFLEGVCWTRTAERQASRMRRLYLEAVLRQEVAFFDAAPSSQATTFRVISTISDDADTIQDFLGEKLPMVLANVTLFFGALSVSFVFAWRLALAGLPFTLLFIVPTVILGKRMAAAAGEARAAYEAAGGIAEQAVSSIRTVASYNGERQTLERFRRALAVSTALGIKQGLIKGAVIGSMGVIYAVWSFMSWVGSLLVIHLHAQGGHVFVASICIILAGMSIMMALPNLRYFVDASAAATRMRGMIEKLPPLKEAGKTGATKESVRGRIEFKDVRFSYPSRPDTLVLNGINLTISEGATVGLVGGSGSGKSTVVALLQRFYSPDAGEVTLDGHDIGTLNVEWLRSQIGLVSQEPVLFATSIKENILCGNETASLKQVVDAAKMANAHEFITKLPNGYETQVGQFGTQMSGGQKQRIAIARALIRDPKILLLDEATSALDSESERTVQDALDRASVGRTTVIVAHRLSTLRKADKIAVLVEGRVLEFGTHDELVSMDNGGEGGLYGKMVKLQNSSVARNQGRQLVVEEEEVEEESDTTQYHSLEIMAAAAAYVRAASPVPSFGSVEHNTVEDDDKHAAAAAASGPRGSKPSQLRLLKMNRPEWKQALLGCAGAVVFGAVLPLYSYSLGALPAVYFLPDEALIRSKIRAYSLIFLAIAVVCITANIVQHYNFAVMGERLTERVRDQMLSRILSFEVGWFDEDDNSSAAVSARLATQASKVRSLVGDRICLLVQAGASASLGFALSLSVSWRLASVMMAMQPLIIASFYFKKVLMTAGSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLQLYAAAQEGPRKDAMTQSWFSGFCLSLCQFSNTGSMALALWYGGKLMASGLINTTHLFQVFFILMTMGRVIADAGTLTSDLAQGGDAVRSILDTLDREPNIKDAGDEYSSGSDSDKKNQKGIKGAIEFRNAHFTYPTRPEVTVLAGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDVQKGSVLIDGRDIRRYALTHLRSHVALVSQEPTLFSGTIRDNIMYGDEHATEDEVASAAALANAHGFISGMEGGYDTHIGERGTQLSGGQRQRIALARAVLKNARILLLDEATSALDTVSERLVQDAVDRMLQGKRTCVVVAHRLSTVQKADMIAVVKEGRVAERGTHHELVAVGPVGMYYNLIKLQHGTSPCHSPM* >Brasy9G240200.1.p pacid=40061099 transcript=Brasy9G240200.1 locus=Brasy9G240200 ID=Brasy9G240200.1.v1.1 annot-version=v1.1 MPPPAAGLRSTIITSTGLRSTAIASAASQPPVTKKSNPAPKRNIQRLNPLFFPIKIPISLSFSEGNGREKSTGGGEEGASQPGSGREAHKRAARFEIRATSDSTARPRFFSDVSFSSGPDLLLSSPPPTSSDRWRGAREAAAVKRNGSSGGGGREEGRARVGRQPRRAAAAAAAKSGGVGCAGRQPRRGPAATRWADGKSGAGAQGAASPRRAAVAAQPRRPAGRTGRAAAWGARGGREEQQRRQPRRAPGAAEPGSPSDPCAPPCCRFPRRALNGATSPPQAHPGFFLTSGVTDAGVHACRVCNAYGSARSTATAASTTRLRRPRPPPHVFIVHDLLLTEGPRRCRRRREEKRKAAAATVAFLQPLDMPPRIMALGHGTTTLSNNRPRQCRDGGEVAMTALAGM* >Brasy9G232100.1.p pacid=40061100 transcript=Brasy9G232100.1 locus=Brasy9G232100 ID=Brasy9G232100.1.v1.1 annot-version=v1.1 MGEGSAAGRGDRSAAAEVAGARRGEGERRRGELDGEETGRGARWGRYGSVLGGRGQRSAGLRALLSAAPRPVAPPRRPAARRAAPRAAPPRRPAARRPAPVALLRPPARRAARRPVALRVVRVRGGGGMAVPPLVPPRRSPRQPWTSRRGEELAAPRVDGDDAFRPANGELEVALGGARVGGGEAVAGLGRQAMEAPGGGGFGRAEKLVGACGFGRGKKKKGKGPMKKTCHLTCGAHL* >Brasy9G219900.1.p pacid=40061101 transcript=Brasy9G219900.1 locus=Brasy9G219900 ID=Brasy9G219900.1.v1.1 annot-version=v1.1 MGSQSSYSGGLAALAAGLGKRLADQNADSNLVFSPLSIYAALALLAPGARGPTLDEILRVLGAPSRGGLEDFVSIMAEGALSDQSGAGGPCVAFACGVWSDRSRALKPAYRAAVAGACDNKAEARAVDFRGDPAPRRATRGLIDSVIGPGSITPLTRVVLGNAVYFKGKWEKPFQEKWTTKEPFYRPDGSSVETPFMQSGSSQYIAVHDGFKVLKLRYQTARAARRAGSRGKKKHVAGLPSSRQLRHDALHPYSRAGSAQARSGHGSPSTGSNRIKRTQFSRCIFLPDDQDGLRGLVDMVESQPGFLHRHLPKEKVCVNEFRVPKFKLSFQSSVVAVLKKLGLELPFGDRADLSEMVEDDGSGLPMVLSEVVHKAVIEVNEEGTEAARGRLMVKC* >Brasy9G186100.1.p pacid=40061102 transcript=Brasy9G186100.1 locus=Brasy9G186100 ID=Brasy9G186100.1.v1.1 annot-version=v1.1 MAWKEGELQRGAKWDDSSSVTTKADAGALLQFVAAAVTEAGHVAPSSEFAAMAAFAEAAALRLADTMAGTVERVERKAAAHFAGKPGEAEAKPLAEALGRCAASVVRLRAQAAQFAASARRMASRAAVVEEAESSEMSGSPRETRTGERSAAVVDEGEGRREGRKRKRNGRYFGPDWSS* >Brasy9G345700.1.p pacid=40061103 transcript=Brasy9G345700.1 locus=Brasy9G345700 ID=Brasy9G345700.1.v1.1 annot-version=v1.1 MDEACDLQVLVNGRHTLLLHQRVVCGFSGKLRAMVATKKKPGQEAESAAAGALLLSIELAGFPGGGEALELAARFCYGNGDPPPLLWRPATLPPLYCAAVFLEMTEEARPGNLLALAEAFADDGRRLWTWADALAAVRSCEKFLVPDSGSAIADALLEKLVSALFSKITFNRTTNCSSFSSSSPLSMSSSSSSSSPDTKTPPPEPVTTTKPCSSGGGGNEWWFDDAASLSPPTVEKAMRLLGCPGAENNDKDPTMTRFLLHYLRRRRLPPNSNTLSALADTAAHGVAALAASGTSGCFSSCRSLFRVLRTVTAAGASRESRTNLETLLGSLLDQATLDDLLVPVSGAVPGGGVYDVSLVVRLVRVFVTSSVAMESTPEEEGMRRLRKVGRLVDKYLGEISPDQGLTVGRFLAVAESLPDSARACFDGVYRALDIFLQSHAGLTAEERATACRCVNYGKLTLEACRDLAKNRRVPAAVAVEALASQRRPDKLRNVYPPSTTPIPVDEEEKDVQRPRPSLWRVPLQSRVAGELMETAACCEETTRGRLRTSKLQGSKSLGGRGLPWMC* >Brasy9G345700.3.p pacid=40061104 transcript=Brasy9G345700.3 locus=Brasy9G345700 ID=Brasy9G345700.3.v1.1 annot-version=v1.1 MDEACDLQVLVNGRHTLLLHQRVVCGFSGKLRAMVATKKKPGQEAESAAAGALLLSIELAGFPGGGEALELAARFCYGNGDPPPLLWRPATLPPLYCAAVFLEMTEEARPGNLLALAEAFADDGRRLWTWADALAAVRSCEKFLVPDSGSAIADALLEKLVSALFSKITFNRTTNCSSFSSSSPLSMSSSSSSSSPDTKTPPPEPVTTTKPCSSGGGGNEWWFDDAASLSPPTVEKAMRLLGCPGAENNDKDPTMTRFLLHYLRRRRLPPNSNTLSALADTAAHGVAALAASGTSGCFSSCRSLFRVLRTVTAAGASRESRTNLETLLGSLLDQATLDDLLVPVSGAVPGGGVYDVSLVVRLVRVFVTSSVAMESTPEEEGMRRLRKVGRLVDKYLGEISPDQGLTVGRFLAVAESLPDSARACFDGVYRALDIFLQSHAGLTAEERATACRCVNYGKLTLEACRDLAKNRRVPAAVAVEALASQRRPDKLRNVYPPSTTPIPVDEEEKDVQRPRPSLWRVPLQSRVAGELMETAACCEETTRGRLRTSKLQGSKSLGGRGLPWMC* >Brasy9G345700.2.p pacid=40061105 transcript=Brasy9G345700.2 locus=Brasy9G345700 ID=Brasy9G345700.2.v1.1 annot-version=v1.1 MKLMDEQRVVCGFSGKLRAMVATKKKPGQEAESAAAGALLLSIELAGFPGGGEALELAARFCYGNGDPPPLLWRPATLPPLYCAAVFLEMTEEARPGNLLALAEAFADDGRRLWTWADALAAVRSCEKFLVPDSGSAIADALLEKLVSALFSKITFNRTTNCSSFSSSSPLSMSSSSSSSSPDTKTPPPEPVTTTKPCSSGGGGNEWWFDDAASLSPPTVEKAMRLLGCPGAENNDKDPTMTRFLLHYLRRRRLPPNSNTLSALADTAAHGVAALAASGTSGCFSSCRSLFRVLRTVTAAGASRESRTNLETLLGSLLDQATLDDLLVPVSGAVPGGGVYDVSLVVRLVRVFVTSSVAMESTPEEEGMRRLRKVGRLVDKYLGEISPDQGLTVGRFLAVAESLPDSARACFDGVYRALDIFLQSHAGLTAEERATACRCVNYGKLTLEACRDLAKNRRVPAAVAVEALASQRRPDKLRNVYPPSTTPIPVDEEEKDVQRPRPSLWRVPLQSRVAGELMETAACCEETTRGRLRTSKLQGSKSLGGRGLPWMC* >Brasy9G078800.1.p pacid=40061106 transcript=Brasy9G078800.1 locus=Brasy9G078800 ID=Brasy9G078800.1.v1.1 annot-version=v1.1 MSTPLNIAKSGHPKLKDDVKGKVCVDEPAEVPKVGRKYEILYVDSLDFKSEELKKEGPRICVLSNKLVRMAVNLDNSDSCFGMLLLKKKYRSQCSLFCNTTSKIDSLIKFHAQDNLGEQDISTYRSAVIGMCKDFEFGLGQFIKKWSSGKEDGEPSNQHVAARSEKRKRKVYLIELMFMLCRKSLKRSSSSKRFLTIPTVLTLKKGNYRGGAGSAESWCPRLHRLWVMKPTGGA* >Brasy9G326700.1.p pacid=40061107 transcript=Brasy9G326700.1 locus=Brasy9G326700 ID=Brasy9G326700.1.v1.1 annot-version=v1.1 MSTVRIIDASFVNVPATAAPQPEPIKLTAMETQWVIFPLLQHVLLYEGATMPPFDAILQSLKSSLEATLGSGFAPLAGKLVHLEDTGDVALSCSASDGVRFVVAESDADIGMLASDEEHDLHVLEKLAPEMDMGKLPTPVLSVQATRFEGGVALGAWAAACRGETPAAAVAPSFDRSLVNLPDGEALARSVLRKWAPNLPLATAPPKIVDDRTQFTRRTFTMDAQDIQRLKQQILHLAEFNNTPFPRPPSTFTAAVALAWTCFARCKPFAMDEDVFLFFLADARRRLDPPVDARYIGVCLTGCLARLPARELHGERALAAAALAVQDEVRKMAEDPLAGWDFMRLADTTPMEKVMNVSGSSGFRAYEVADFGWGKPRRTEPVRLNHDGQVALMGARDGNGVQVSVSLLQPAHMEEFKSQFLKLLG* >Brasy9G168800.1.p pacid=40061108 transcript=Brasy9G168800.1 locus=Brasy9G168800 ID=Brasy9G168800.1.v1.1 annot-version=v1.1 MAGGPAAVKFLTNIAKAAAGLGVGASLLSASLYTVDGGERAVVFDRFRGVLPDTVGEGTHFIVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVANLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDSLIKRAREFNIILDDVAITHLSYGIEFSLAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAIAGTGLIELRRIEAAKEIAAELARSPNVAYIPSGQNGQMLLGLNAAGFGR* >Brasy9G106200.1.p pacid=40061109 transcript=Brasy9G106200.1 locus=Brasy9G106200 ID=Brasy9G106200.1.v1.1 annot-version=v1.1 MDIRLYKQRARDIRHKTAMPFYPASPAAPAQAGSDKAAPWPLTPVPPPGVVTVQVMAAGNGTYCGGDADVDRRAALFISRVQERLRRERMHEQ* >Brasy9G072500.1.p pacid=40061110 transcript=Brasy9G072500.1 locus=Brasy9G072500 ID=Brasy9G072500.1.v1.1 annot-version=v1.1 METGANTDLVVTAVDSKMETMEKIVKEPAEAESNVTELLERLNLTSEEADALILEDEKEADLVNLEWALIGKVLSPNTLHLHTIMSALWPAWGNPKGLVAKCVADNDIFIVEFATKLEKERVKNGAPWTVMRHAVLFNDFDPKPKPSEVTFKNIVMWARIMNPRFELMNKFWGIHLGQNLVGLRNWMSTAGQGMGRLFACKSSNWYQHNEICYGILKEMQRPGILPFRKKKGILKEA* >Brasy9G222500.1.p pacid=40061111 transcript=Brasy9G222500.1 locus=Brasy9G222500 ID=Brasy9G222500.1.v1.1 annot-version=v1.1 MRGGEAENTPRRTAAGQAMVELQANAATATSAASGAMVVGLSPLSETLWRDSKSLPGAGAALVGEVSARLTWKDLSVTVALGPGKTQTVLDELTGFAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKTVYFGQASQACEFFAQVGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARVERSDDPLDKITTSEAIRKLVSSYNRSQYYYAAREKVNDIARIKGTVLDSSGSQASFLMQACTLTRRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACAAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVIANTLSALPFLILICFLSGTVCYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKVFWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVNRSKWIDLSVIFSMIFIYRLLFFIMIKVNEDVLPWVRGYIARKRLQKKVPAIGKTPSLRGYVVDPELGPNDS* >Brasy9G352400.1.p pacid=40061112 transcript=Brasy9G352400.1 locus=Brasy9G352400 ID=Brasy9G352400.1.v1.1 annot-version=v1.1 MAAARKNAGVLALFDVDGTLTAPRKEVTPEMLEFMRLLRENVTVGVVGGSDLVKISEQLGKSVITDYDYCFSENGLVAHKDGKLIGTQSLKSYLGDDQLKDFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDDFEKYDKVHNIRPKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFKEIHFFGDKTYKGGNDHEIFESSRTVGHTVTSPDDTVEQCRAIFLSK* >Brasy9G040300.1.p pacid=40061113 transcript=Brasy9G040300.1 locus=Brasy9G040300 ID=Brasy9G040300.1.v1.1 annot-version=v1.1 MLLGVAKLQLQLQATAAAAFRRNGTRRRPLLVPVPGRHPSGASAGRFAACFLACLLSLAAATVLALTLRRIDPDAASPRGGGGGGYAVVVNTWKRYGLLRRAVAHYSGCAGVDAIHVVWSEPREPPEALRRSVLNCSSAAEVRFEVNREDSLNNRFRPIQGLRTDAVFSVDDDLIVPCATLRFAFAVWRSAPSAMVGFVPRMHWLADPRSNTEEFRYGSWWSVWRTGTYSMILSKASFFHRKYLDLYTNHMLPSIRDYVTENRNCEDIAISFLVANVTGAPPIWVQGRIYEIGSSGISSLKGHDLQRSRCLNKFAAMYGHMPLVATTAKAVDSRTSWFW* >Brasy9G239600.1.p pacid=40061114 transcript=Brasy9G239600.1 locus=Brasy9G239600 ID=Brasy9G239600.1.v1.1 annot-version=v1.1 MPLRQHKFLSSSPLFFFPLSFIPLFFPSPSRSLTPACSRPPGHSRRCGRGLTRALSCRPSHEPVRRRAALPASACTGGGGGRIRANREELSRPASMAAGSGRDDACTGGGASLEPSRAAPPQWRPDPGETTTSTSKLGEGGKGDGEERRALGEGRGRAAKVWRGLGTLTVAGIRRIGAGGGGPGRGRGGTGWAGEDRRRWERGSRGPAVVGKERAELAGAGGSQI* >Brasy9G226400.1.p pacid=40061115 transcript=Brasy9G226400.1 locus=Brasy9G226400 ID=Brasy9G226400.1.v1.1 annot-version=v1.1 MIQLLFTLLAAEAALVLVLLFRTPVRRLALLAVDRSKRGRGPIMARTVAATMFMVLGSSGYSIAKIRRREGDFAQLTPTDQVLASRHLLEASLMGYSLFLGLVIDRLHHYIRQIRTMKKNMEAVTKQSKTLEEAKLQGTEEIQGYQKKISSLNEQVQVVKHQYETKTEELKTAEANTLALRKQSEGLLTEYERLIAENEELRNKLQSMEVRFSHSDGKKNS* >Brasy9G084800.1.p pacid=40061116 transcript=Brasy9G084800.1 locus=Brasy9G084800 ID=Brasy9G084800.1.v1.1 annot-version=v1.1 MPESSSVPWWLATTACSLPLASSSLADGLAFLFLSPCPQRALLGAVDLLFLLAALYLSIRARLRPRGTQQEEEPLLAKPTAAAAAPRRGGRSFRHRLALGASTALAAASLVLLALALLLLPGPASWRAVQCAFLAAQFLAHLAAAGTVAAEKTAATAAARAHPVHLRLFWVGTAAIGALFSGSAVARYAAGEPILPDDPIAFAGLVLSLPLLYFAVDGSSGLGDAAATTASEEERTGASDPAAAPVTSYATASWLSLATFSWISPLIAKGSRAALRAEEVPPVAPSDTAVAAYTLFLSNWRAMAAPGSKPKHPVITALMRSFWPQFLLTAVLGLAHLSVMYIGPSLVDRFVQFVRRGGEMTEGLQLVAILLAGKAAETLASHHYEFQGQKLGMRIHAALLAVVYRKALRLSTGARRAHGTGTIVNYMEVDAEEVANVTHELHNLWLMPLQIAVALALLYTHLGPSVLTAVAAIAVVTVVVALANRRNMEYQLKFLGKRDERMKAITELLNYIRVIKLQAWEDTFGSKIRNLREAELGWLAKSMYFMCANTIVLWSGPLAMTVLVFGTCVLTGVKLDAGKVFTATAFFRMLDGPMQSFPEAIAAVSQATVSLGRLDRYLLDAELDNDTVEHVHDTGIGAADRVVVMVHDGTFAWDVRGKENEKEDVENDDDEGEEDEKNVEETPVLETVLKGINMEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKVTVCGSTAYVAQTAWIQNGTIQENILFGQPMDAQRYKEVTRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSYIFKECLRGVLKGKSILLVTHQVDFLHNVDKIFVMKDGMIVQSGKYDELLEAGSGFAALVAAHDSSMELVEQSRQVEKTEHSQPPAVIRIPSLRSRSIGKGEKMLVAPEIQAATSKIIQEEERESGQVSWRVYKLYMTEAWGWWGIVGIFALALVWQGSGMASDYWLSYETSGSIPFNPSMFIGVYVAIAAVSMVLQVIKTLLETVLGLQTAQIFFSKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFTETVVGATTIRCFKKENEFFQENLDKINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAAWKIEDHLPSPNWPIHGDIDIKDLKVRYRPNTPLILKGITVSIRGGEKIGVVGRTGSGKSTLIQALFRLVEPAEGKMIIDGIDLCTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWQALERCQLKDVVASKLEKLDALVADSGENWSVGQRQLLCLGRVILKQNQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSKLFEQPSLFGAMVQEYADRSANL* >Brasy9G243800.1.p pacid=40061117 transcript=Brasy9G243800.1 locus=Brasy9G243800 ID=Brasy9G243800.1.v1.1 annot-version=v1.1 MSSTLLRVYPSELKIPYEVKRQRSCCMQLINKTDKYVAFKVKTTNPRKYSVRHTCGILLPRSSCSVTVTMKAPKEMQLDYHCKDKFLVQSVVARDGATMRDFLPELFTRTPGRLIEEFKLRVVYIAANPPSPVPEEAEEEDASPRSEAMGYEAKISSAFDVASRYMDNSGAKLSCAEGASVVSKLVGESAYSVDENEKLQQDIELLREARSSQQGFSVMFVLLVFMSSVFIGHLMKHIKV* >Brasy9G243800.2.p pacid=40061118 transcript=Brasy9G243800.2 locus=Brasy9G243800 ID=Brasy9G243800.2.v1.1 annot-version=v1.1 MSSTLLRVYPSELKIPYEVKRQRSCCMQLINKTDKYVAFKVKTTNPRKYSVRHTCGILLPRSSCSVTVTMKAPKEMQLDYHCKDKFLVQSVVARDGATMRDFLPELFTRTPGRLIEEFKLRVVYIAANPPSPVPEEAEEEDASPRSEAMGYEAKISSAFDVASRYMDNSGAKLSCAEELLREARSSQQGFSVMFVLLVFMSSVFIGHLMKHIKV* >Brasy9G243800.3.p pacid=40061119 transcript=Brasy9G243800.3 locus=Brasy9G243800 ID=Brasy9G243800.3.v1.1 annot-version=v1.1 MSSTLLRVYPSELKIPYEVKRQRSCCMQLINKTDKYVAFKVKTTNPRKYSVRHTCGILLPRSSCSVTVTMKAPKEMQLDYHCKDKFLVQSVVARDGATMRDFLPELFTRTPGRLIEEFKLRVVYIAANPPSPVPEEAEEEDASPRSEAMGYEAKISSAFDVVSV* >Brasy9G140500.1.p pacid=40061120 transcript=Brasy9G140500.1 locus=Brasy9G140500 ID=Brasy9G140500.1.v1.1 annot-version=v1.1 MQPLGDAAPIDLVPNRTLRHLIDRWLSAATDHRLPESAADEEEPSLAALKRCLLLQPDAAKVVGALKKILALASESDVGRACMLQLGFLRALLPLVFSHHAECRAEQAELALRCALSLMPTNPAAPELECLNVLKREPCLASFVRLLERGSARARAGLCRILETIATAAATRDDLALLVAASPLVWRALLMPLLASEANPEPEAEAAAVRAVAAVVRASEPARGSAVSHGAVGALVRHLSKARKATEDGAVASALAAVESLAAGSEAGRRAVAGAPGATRALVRHVFRMASSSSDACSENAVAALLAVCGESRAARSEAVGAGVVTQLLLLLQSQCGARAKAKARALLKLLKSKSK* >Brasy9G042000.1.p pacid=40061121 transcript=Brasy9G042000.1 locus=Brasy9G042000 ID=Brasy9G042000.1.v1.1 annot-version=v1.1 MVGHWSFDRAIVVLACRVIRVRRDCCGELLATCGLLASCGRVAKLLGSLGRI* >Brasy9G026300.1.p pacid=40061122 transcript=Brasy9G026300.1 locus=Brasy9G026300 ID=Brasy9G026300.1.v1.1 annot-version=v1.1 MQNQLYSIEPLKMQSESKEDLRQIICLIYIYINTCTIQPTKNFINPNSHSASSVILNPRILASHYIRRTEAVRGSKGGCTSGHVGAGVGGSIAPSRDGRVGGRRLRSVGRLGAWSGEAGRGDGGAWGRETPGYRTSRQRRRTWRFRSADRRRAAAPEAGERRSNGGGV* >Brasy9G150800.1.p pacid=40061123 transcript=Brasy9G150800.1 locus=Brasy9G150800 ID=Brasy9G150800.1.v1.1 annot-version=v1.1 MGADAGRRVEVANLLALTDDLVGVLRGSKDGEALAQACDGARLLRSACRSESGDLELQVKEYQEKINSCQEKLDKTKAETVTDEKLSALQNEMEEKLQNEQQLRQDLRVISNELDNLDLQRASIEERRGAVKKKEKDMLKAQSMLSMCVSVTNIMPDFEDQDKISGYIVDKNMKKIEKFEFEKTTPPVEICNKLWEMI* >Brasy9G147200.1.p pacid=40061124 transcript=Brasy9G147200.1 locus=Brasy9G147200 ID=Brasy9G147200.1.v1.1 annot-version=v1.1 MDESGKEAQSSPNNASVSSQSQYRGVRKRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDSAQLNFPGSVGRLPRPASSHPDDVRAAAAEAAELVRCEPALYGWGNQDQEASRVEEELQVGGTRGSDEEEFDVDSPRLWAEMAEAMLLDPPAWATAGASCEMDQAAYCWSQGSLWDAY* >Brasy9G355700.1.p pacid=40061125 transcript=Brasy9G355700.1 locus=Brasy9G355700 ID=Brasy9G355700.1.v1.1 annot-version=v1.1 MPTRSTQRNAYRLVPHTNCNGLVSHFVQPGDSQWPLFSWGLRPWDLAASSRDPRLPQPHAVTHAPQLFDGMPGQARPAIVPIGHHPVSGKCLPAHTLAVHPVGQLAWCLQTTALSFWPRCVRLPDFMPSSTRALCLCPSAGDHHHPSSTVSVL* >Brasy9G322800.1.p pacid=40061126 transcript=Brasy9G322800.1 locus=Brasy9G322800 ID=Brasy9G322800.1.v1.1 annot-version=v1.1 MLHTVGACKHHAQQPAALLLPRPCLRRPFFSVPAGAEGEHRKTGAASRLVVRRRCQEKSEQSGNGGGKQKQQEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVAIEELNAGRVCDWFLKDKLKKEQNLDSAVLQWDDPAV* >Brasy9G322800.2.p pacid=40061127 transcript=Brasy9G322800.2 locus=Brasy9G322800 ID=Brasy9G322800.2.v1.1 annot-version=v1.1 MLHTVGACKHHAQQPAALLLPRPCLRRPFFSVPEGEHRKTGAASRLVVRRRCQEKSEQSGNGGGKQKQQEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVAIEELNAGRVCDWFLKDKLKKEQNLDSAVLQWDDPAV* >Brasy9G337800.1.p pacid=40061128 transcript=Brasy9G337800.1 locus=Brasy9G337800 ID=Brasy9G337800.1.v1.1 annot-version=v1.1 MCKVSIAAPSLVRWLARRWRRSRSRSRSGATSSVTVPAGHVAVVVGGEEEEEGARRFVVRAADLSHPAFLELLRDAEEEYGFPSGASGPLALPCDEAKLRDVLSQVYDSSGEERLCRCLSGVTVMARRQGESRRPLLQGMAVEKLVL* >Brasy9G263000.1.p pacid=40061129 transcript=Brasy9G263000.1 locus=Brasy9G263000 ID=Brasy9G263000.1.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQFMWSLGVPQGEAEFHDVYGLDPDALAMVPQPALAVLFCFPDPPEDPSNPPEQVLAKEEKTTSDEVYFIKQIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G263000.2.p pacid=40061130 transcript=Brasy9G263000.2 locus=Brasy9G263000 ID=Brasy9G263000.2.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQGEAEFHDVYGLDPDALAMVPQPALAVLFCFPDPPEDPSNPPEQVLAKEEKTTSDEVYFIKQIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G263000.3.p pacid=40061131 transcript=Brasy9G263000.3 locus=Brasy9G263000 ID=Brasy9G263000.3.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQFMWSLGVPQGEAEFHDVYGLDPDALAMVPQPALAVLFCFPDPPEDPSNPPEQVLAKEEKIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G263000.4.p pacid=40061132 transcript=Brasy9G263000.4 locus=Brasy9G263000 ID=Brasy9G263000.4.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQGEAEFHDVYGLDPDALAMVPQPALAVLFCFPDPPEDPSNPPEQVLAKEEKIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G263000.5.p pacid=40061133 transcript=Brasy9G263000.5 locus=Brasy9G263000 ID=Brasy9G263000.5.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQDPSNPPEQVLAKEEKTTSDEVYFIKQIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G257400.1.p pacid=40061134 transcript=Brasy9G257400.1 locus=Brasy9G257400 ID=Brasy9G257400.1.v1.1 annot-version=v1.1 MPAMAKPASRTAKPAAARKPKPAAAKPKANAGPAHPPYFEMIKEAIVALKDRTGSSLVAIAKYMEEKHGASLPANYKKMLSVQLRGFAAKGKLVKVKASYKLSDAAKKDSPKAKAAPKPTKDAAKPKKVAAMPKEAAKPKKAAAAGTKLKAPEKKKIVAKPKKSPAAKAKAKPKTVRSPAGKKARKVAPA* >Brasy9G129200.1.p pacid=40061135 transcript=Brasy9G129200.1 locus=Brasy9G129200 ID=Brasy9G129200.1.v1.1 annot-version=v1.1 MSLGVVVGSGRCIDVPGFPETLCFMLQELNFERMPEYTVHLRDGEFGKEHVVEVLVHARVAENNNRPYRFIGCEMNKEIAIQEAACVAIKRLRHDLPDMAATSFRLLPAQSSNGEVFYNNDFRQGDNPFVMAMAQLVESKEQERLTLLDELRETRRLLKAVEGLISDTYNMNIARVSGAGPGSVPAQQYEHEGPTPPSEQDPSGL* >Brasy9G129200.2.p pacid=40061136 transcript=Brasy9G129200.2 locus=Brasy9G129200 ID=Brasy9G129200.2.v1.1 annot-version=v1.1 MSLGVVVGSGRCIDVPGFPETLCFMLQELNFERMPEYTVHLRDGEFGKEHVVEVLVHARVAENNNRPYRFIGCEMNKEIAIQEAACVAIKRLRHDLPDMAATSFRLLPAQSSNGEVFYNNDFRQGDNPFVMAMAQLVESKEQERLTLLDELRETRRLLKAVEGLISDTYNMNIARVSGAGPGSVPAQQYEHEGPTPPSEQDPSGL* >Brasy9G318300.1.p pacid=40061137 transcript=Brasy9G318300.1 locus=Brasy9G318300 ID=Brasy9G318300.1.v1.1 annot-version=v1.1 MTAHGRAAAAGEERLRSCRHGRIGKQAERKAKKAPAVAGDAKKASAIEVGEAPGKKMTRLPQAEVNWILAQVNDEDAGLRRPPPKEDDAALAFIEIREEFSRFQAWVRSEYQKRGYVEVDEDYLADTAQVQGWIEEAREAAFKNIEFADSDDDLKQLCMMACT* >Brasy9G163100.1.p pacid=40061138 transcript=Brasy9G163100.1 locus=Brasy9G163100 ID=Brasy9G163100.1.v1.1 annot-version=v1.1 MASMFAGELVEAYVLKNACREKMKTARADAVGDGAEAKKASSGNGAAEAEQKAPDTSKGERRGFFGLVKKKVHPKADRGGASS* >Brasy9G255900.1.p pacid=40061139 transcript=Brasy9G255900.1 locus=Brasy9G255900 ID=Brasy9G255900.1.v1.1 annot-version=v1.1 MRLLKKAWGGQLLREAAPGSRKEPGLYLVSSIHALKEGGIGIGPSSQSPNPPAPCILVCNRTRLILAHLAAERPNPVARLPRASAATPPSPSRCRAPQPPRSVARLPTRASAATRTESPHQHLMAGTAALDPRRAEAASKHVRALNTQFASWVQLQLQNHPAELWEDGIKDYISHASEIMEKFKDVVNWLRQNKAGRIDVSSPGPAKDDKTTSPATVGTRFVVQSGSDNGQKGPTTESFPAFQNSSSQNAFSFSSHKQAPAFSGIFGDKNDTPGDSSKSTFQFSANNGFSTTTTPSIFSAPASQSFSMPTPTLFSVNQQPTFAGNKNAAEVSGDADEDAEAEQPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRCKEGAERASKESSPTVVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSSESDSGAVVARPYLFRLRNEEAATMLSAAIKENAPLD* >Brasy9G051500.1.p pacid=40061140 transcript=Brasy9G051500.1 locus=Brasy9G051500 ID=Brasy9G051500.1.v1.1 annot-version=v1.1 MASSSSGEPLGRPGGRFWALTAEFAGDVCDDDGVAATSPLDLARWCCTPVSALSRDLPAGSGVSVTSSAREEKRRRKKAEVRMALMNFSPASGSSAEQSSCTPARMSRPALGKVQEPPESVLPPLPMLLDAESFDAAEWTMVIRRRRRAAATRQVSLPRCRSTARSNSAVGLRGQTVRFVAGRCDVGRVGPPSRSGMLGRAQHGDRIDVISVGWGLRRIFRSFWGQSSSFAPVVPAVKMANRGGGNFGGGGGGFNGNQARGGYGRGGGFNGGNFDGGHGDFEGPGDQGFHPGYGYGGGFGGGYGGGGRGAGSYGGGGFHARQSGTGYGNRRRFEFRAGRGDGARGRGSSTDRGRTHGSSLGASSPVQASGSAAGTTAAAADLTPAAVASSAAPPVALGAGVTAVARVGSTSASAPPVTPVVPVAMVVQAVGAPAATGRSSTRPPVAAKDAAGPGPTSLPWRWRKERQQRCCRPLKLLMRVLRLTRLMVVLRSKRRRELDAIVAI* >Brasy9G256100.1.p pacid=40061141 transcript=Brasy9G256100.1 locus=Brasy9G256100 ID=Brasy9G256100.1.v1.1 annot-version=v1.1 MSGSLSSVTHISSLSTPFVDKNGHFKTGYTGSIFIRFGTATNSDQETDRSRYSVCLQDIGSRARGCGSL* >Brasy9G324600.1.p pacid=40061142 transcript=Brasy9G324600.1 locus=Brasy9G324600 ID=Brasy9G324600.1.v1.1 annot-version=v1.1 MSTATATTTTLTSSHLSRPRPRIPRRRPRTRSCATVFHPEVSRAVEALQAEFREVDRALALNSSRVSAAFRAARVAPHHFGGSTGYGHDDGGGREALDSVFAHVVGAEAAIVRPQFFSGTHAIACALFALLRPGDELLAVAGPPYDTLEVVIGIRGSANVGSLKDFGVTYREVPLEADGGLDWDALARAVRPETRCALIQRSCGYSWRKSLGVADIRRAIDLIKMQNPNCKVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSAPGHVMRALFQGLFLAPQMVGEAVKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFVKPTAGETPGYASEVIFADGTFMDGSTSELSCDGPLREPYAVFCQGGTHWTQWALVLGEVLKVI* >Brasy9G324600.2.p pacid=40061143 transcript=Brasy9G324600.2 locus=Brasy9G324600 ID=Brasy9G324600.2.v1.1 annot-version=v1.1 MSTATATTTTLTSSHLSRPRPRIPRRRPRTRSCATVFHPEVSRAVEALQAEFREVDRALALNSSRVSAAFRAARVAPHHFGGSTGYGHDDGGGREALDSVFAHVVGAEAAIVRPQFFSGTHAIACALFALLRPGDELLAVAGPPYDTLEVVIGIRGSANVGSLKDFGVTYREVPLEADGGLDWDALARAVRPETRCALIQRSCGYSWRKSLGVADIRRAIDLIKMQNPNCKVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSAPGHVMRALFQGLFLAPQMVGEAVKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFVKPTAGETPGYASEVIFADGTFMDGSTSELSCDGPLREPYAVFCQGGTHWTQWALVLGEVLKVI* >Brasy9G081400.1.p pacid=40061144 transcript=Brasy9G081400.1 locus=Brasy9G081400 ID=Brasy9G081400.1.v1.1 annot-version=v1.1 MAEAILVAISAIGSVLLDQTVSAVLEKVSRKVDHLKELPAKIKTIEEELRMINAVIQSMGAPHLRNDVVKNWIACVRRMAYHVEDVIDKFSYEALKLREEGFLHKYVFRGTRHIKVFSKIAADVVVIEGEIKQVKELRTYWSNTDQPIKNEHADVDRQRFGGCFPELVNDDDLVGIEENRNKLTEWLGTDEGESTVVTVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQKYDVVHLMENLRRQIDHTEHPDNPAVKADVYQLTEAIKAILQRKKCLIVLDDVWDSKACTHICSAFHGVQGNRIIITTRKEDVAALAPPIRRLLVQPLGSTESFKLFCKRTFHNFPGRNCPPDLVKVAGDVVESMFPEDYAISRESLVRLWVAEGFALRRDNSTPEEVAEGNLMELIGRNMLEVVEKDELDRVSTCKMHDIVRDLALAVAKEERFGSANDLGEMMRIDNEVRRFSTCGWKDSTGRREAAGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLTVLELQDSPISTLPASIGNLFNLRYIGLRRTLVQSLPDTIEKLSNLQTLDIKQTKIQKLPPGIVKVDKLRHLLADRYADEKQTEFRYFVGVEAPKGISNFEELQTLETVQASKDLSVHLKKMKTLENVWIDNISAADCVDLFSALSDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWTDGTLKCPIFQGHGKYLKYLALSWCDLGKEDPLEMLASHVPDLTYLSLNRVSSAATLVLSADCFPRLKTLVLKRMPNVKQLVIEKDAIPCIDGIYIMSLLELHMVPHGIESLRSLKKLWLLNLHEGFKTEWTLCQMRNKMKHVPELYD* >Brasy9G331600.1.p pacid=40061145 transcript=Brasy9G331600.1 locus=Brasy9G331600 ID=Brasy9G331600.1.v1.1 annot-version=v1.1 MSVMDWHAWLSTAARLEPAAVHEYALLLARNELHPGRDAAHFDHDLLRSMGVSVAKHRLEILKLARPAHAHAHARARGRSLSRRLLAAAGRVARCALRSLACRREECPGAGLVLAPGQLQQQPEDDGGGIGQAAGSGSGCKAPKRTRSKPKGAAAGAGRPAVSFRGAATVHAIGDVVESGGEETVRWDRLFQGLNPN* >Brasy9G066200.1.p pacid=40061146 transcript=Brasy9G066200.1 locus=Brasy9G066200 ID=Brasy9G066200.1.v1.1 annot-version=v1.1 MPRAMARSCWRPSKMEDAWDAAARAEAAWGAEARGTGQRHRRLWTEAAPAGGSDGRRTRRAAAEGRLDPYGEERGGRRPSSQYCLASPTTTP* >Brasy9G327000.1.p pacid=40061147 transcript=Brasy9G327000.1 locus=Brasy9G327000 ID=Brasy9G327000.1.v1.1 annot-version=v1.1 MANFAAQLKDKFLGLVDRVAGCGRAVDKDAIAKEDTTTKPPAVQEVEIRSRGPNVSGGSGAGVN* >Brasy9G210200.1.p pacid=40061148 transcript=Brasy9G210200.1 locus=Brasy9G210200 ID=Brasy9G210200.1.v1.1 annot-version=v1.1 MGATSPSGLELTMAVPGLSSSSGSEGFVGCNNSNNGNGNMRDLDMNQPASGGEEEEFLMGSVEEDEEEVRGAGGPHRPKKLRLSKEQSRLLEESFRLNHTLSPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKHTEMECEYLKRCFGSLTEENRRLQREVEELRAMRMAPPTVLSPHTRQPLPASALTMCPRCERITAATGPRAARLPSSFNPRRPSAAF* >Brasy9G101800.1.p pacid=40061149 transcript=Brasy9G101800.1 locus=Brasy9G101800 ID=Brasy9G101800.1.v1.1 annot-version=v1.1 MSRLHHKSIRYPLSLHRNAAPPTPLHGHGHAAPPPPPAPAPAPAPAPPPPPRLPPPAPPPPPRGGCGQPPPFASRRRAPPGPGHGPRRGLPGQLHARHRRLHRAGARAPPRTDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGVIEDVFQRQSEVGDPPVANVDRLVLLFSLDQPQPEPATLTRFLVEAESTGIPFVLVFNKVELVDEQTKSYWRDRLKSWGYDPLFVSVDQRSGLAALEEMLEAQTTVVVGPSGVGKSSLINALRCNQNISEEDPIHQLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIVHGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLEENEPTKCTFNDCVHLGEHGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGSMGVKQAEPRLQLKKHRRVSRKKMNQSILDYMDDEMDDTDDDYQFGVSRRASKR* >Brasy9G016900.1.p pacid=40061150 transcript=Brasy9G016900.1 locus=Brasy9G016900 ID=Brasy9G016900.1.v1.1 annot-version=v1.1 MPRREWIKLAWEERGERRRGLRPLAIRRRCCGGEGGAACAWREAPASASTARDHC* >Brasy9G213900.1.p pacid=40061151 transcript=Brasy9G213900.1 locus=Brasy9G213900 ID=Brasy9G213900.1.v1.1 annot-version=v1.1 MIATATFFPFASSFHRPRLRPCGRAVVLLPPARSAASSSSAVPSWEEREEARWLREEQRWLREEQRWLREESRWRAEREALLSEITALHLRLRALETPLAPAAAAVEGPVAAAPVPPPAPAPAPKARVASVPPPKPAPVEVRKEVVVEEKPKAKSGSGGGGGKRRALRVGSEGEEVRAMQEALEKLGYYSGEEDTEFSSFSTGTERAVKTWQASIGTSEDGLMTSELLEMLFTGQTVDDLKTKAGINGAIVLPVTGISEIQQTAVRENGISVSEHKVYLLGENRWEDPSRLTKKNNPISGATTASTKQCITCRGEGRLLCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGYTVCDVCAGSKTVQS* >Brasy9G312100.1.p pacid=40061152 transcript=Brasy9G312100.1 locus=Brasy9G312100 ID=Brasy9G312100.1.v1.1 annot-version=v1.1 MSSLSKLEANNLVITALAKLPAMEGWLSLCLVALATLPALWFLKLSGCKSKPAKNRLPPGPWTLPIIGSLHHLVGVLPHRTMMALSRRYGPLMLLRLGEVPAVVVSSAEAVALVMKTNDLTFSSRPSIPTMDILACGGKGFVFAPYGEHWRQMRKVCIMELLSSKQVKRMEGIRAELVGDLIRHIADTASTGAAVNISEKVTRLSNDVVTRAVFGSKFAQQGEYLRTLYDTLALLGGFHLIDFFPSSRLVRWLSNGERNMRRRYSRVQRIIADIIEGRREATKAAGSGACNTNDDDMLDVLLRLQQEDTLAFPLTNEIIGTVVFDIVGAATETTGRLLEWAMSELACHPEAMAKAQLEVRKVLGEDHRAVITNSDLAELHYMRMVIKEVLRLHPPTPIILRMNQEDCKIMDYNIPKGTSVYVNIFAVSRDPKHWENPERFKPERFENSKMDYMGTCSEFTPFGAGRRRCPGIQFSSSLTELALANFLYHFDWMLPDGATPASFDMSEKFALTVSKRYDLHLRAIPHVSLKSMPSK* >Brasy9G307600.1.p pacid=40061153 transcript=Brasy9G307600.1 locus=Brasy9G307600 ID=Brasy9G307600.1.v1.1 annot-version=v1.1 MSVSMKDLDPAFRGAGQKDGLEVWRIENFKPVPVPTSSYGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGNETEKLLSYFRPCIMPQPGGVASGFNHVEVNEQEHVTRLYVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWAQFGGFAPLPRKTTSEETGKDSEIAVKLLCFNQGKLELITSESLAHDLLETNKCYLLDCGAEMYVWLGRSTSLQVRKGASEAAEKMLVADSRTQSHVMKVIEGYETVMFKSKFHEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMKSAPVKEEPEPYIDCAGHLQVWRVSGNGKTLLSSSEQSKFYTGDCYIFQYTYAGDDKEECLIGTWFGNKSVEEERVSAISLASKMVQVAKFQAVMARLYEGKEPIQFFVIFQTLQVFKGGFSSGYKNFIAQNGLDDDSYSEVGLALFRIQGSGSENMQAIQVDAAASSLNSSYCYILHDGNSVFTWIGNGTTSLDHDLVERQLDAIKSDLPSRSQKEGRETDKFWELLGGKTKYSNKKIEREQESDPHLFSCILSKEGNLKATEIHHFTQDDLMTEDVFVLDCHSYIFVWFGQEVDAKVRTQAMDIGEKFLVHDFLMENLSRETTIFTVSEGSEPQFFTRFFTWDSSKSLMHGSSYQRKLAILKGGATRLLDKPKRRTPAVSGRSAGQDKSQRSRSMSTSPECHRVRGRSPAFAALTSAFEKPSTRNLSTPPPAVKKLFPKSAGPEVSKQSAISALTSAFEGPMKRTIPKSVKAGQEAEKSIQEEDARGGDDGNEAEDDEGRTIYPYERLVTNAEDPAPDIDLTKREAYLSAAEFSEKFSMTRAEFNKIPKWKQNKLKTALQLF* >Brasy9G307600.2.p pacid=40061154 transcript=Brasy9G307600.2 locus=Brasy9G307600 ID=Brasy9G307600.2.v1.1 annot-version=v1.1 MSVSMKDLDPAFRGAGQKDGLEVWRIENFKPVPVPTSSYGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGNETEKLLSYFRPCIMPQPGGVASGFNHVEVNEQEHVTRLYVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWAQFGGFAPLPRKTTSEETGKDSEIAVKLLCFNQGKLELITSESLAHDLLETNKCYLLDCGAEMYVWLGRSTSLQVRKGASEAAEKMLVADSRTQSHVMKVIEGYETVMFKSKFHEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMKSAPVKEEPEPYIDCAGHLQVWRVSGNGKTLLSSSEQSKFYTGDCYIFQYTYAGDDKEECLIGTWFGNKSVEEERVSAISLASKMVQVAKFQAVMARLYEGKEPIQFFVIFQTLQVFKGGFSSGYKNFIAQNGLDDDSYSEVGLALFRIQGSGSENMQAIQVDAAASSLNSSYCYILHDGNSVFTWIGNGTTSLDHDLVERQLDAIKSDLPSRSQKEGRETDKFWELLGGKTKYSNKKIEREQESDPHLFSCILSKGNLKATEIHHFTQDDLMTEDVFVLDCHSYIFVWFGQEVDAKVRTQAMDIGEKFLVHDFLMENLSRETTIFTVSEGSEPQFFTRFFTWDSSKSLMHGSSYQRKLAILKGGATRLLDKPKRRTPAVSGRSAGQDKSQRSRSMSTSPECHRVRGRSPAFAALTSAFEKPSTRNLSTPPPAVKKLFPKSAGPEVSKQSAISALTSAFEGPMKRTIPKSVKAGQEAEKSIQEEDARGGDDGNEAEDDEGRTIYPYERLVTNAEDPAPDIDLTKREAYLSAAEFSEKFSMTRAEFNKIPKWKQNKLKTALQLF* >Brasy9G137500.1.p pacid=40061155 transcript=Brasy9G137500.1 locus=Brasy9G137500 ID=Brasy9G137500.1.v1.1 annot-version=v1.1 MDEEGFMVGIGMKEEDITTMLFGKKVSELREDGFDGSEDERQIFEDVFGQTGTGRTSGHQPDGRGGQTTSQTDASKALVPASTPSSSPSNHKMVCCRIVESFTHGNLSSYHVLYHSSIEQMHKAMSCTDHTRPSELLVQWTPPSIDRVYTRRSVTRRSQRAKLCSVVDWEKVDITTVARRRDVHGYGMLWNHLRLHAHLLIMDAGWKIEGKERGDKSKTDLMYESPDKVMRLFSLPRAWKCFGQWLLIHSSRFDRYDCGKEWFSMHEFLYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFVAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLTRSNASKALELNCLSNHNNKHPTSRRNILPLLLSDGQSDKVENSLHVERSSIFGTSKHSQYKGYQSSVTMEEMNERSIRNTAHRIVKGLQDAADIVSTRPTCFSTKNKFSYPKMSRDVQDESDPLYLPPSYSSYHLIENAQTKGLTEITDVGNSADSPSDELLIGQNLLFSHEVDEMLLGTTDDASNEHHDAAAVSDSQEANEDLRDGPSAGMLSLLEEKETHFKANGDDTNNEHDVAVVPEFKVADEGVGDGPSDGVLSTETDTNLKAKEMSLEEITKTGWLSSEASGSPLMISEPQVLFVSPQDGRLSFMNNSTYNQEMWSCLNFSHDSMGTNMQPDIQSSSYEASLIQGFLYLDNEGSPIGWEVINPEPPVQSICGPSAEPNSKVPGHYGEMNMKNEGAAFEPRQISESSPSKKVHKRSKGVAHIKDKVSGKKQKVNDDPASHCGQSMDSTSDNPTCYVSRDGGEQICAASSEHVASNKKRSEKGTDNQDKVVRKKQKINDDGLISDFIIGQCMDSTAENPTGCVPRNEQQIGASSSEQVPLNLVPEINDRKEHAEDSSEPRKDLVSEQSPKKDVKSGRKTWLRKCKFDDDDLLMTAVIHRLTARYRNFFNRMLAKRVGFKYLPRSHWEGEEKCDQKMFPKRARTVLSKLLEMGIVGKVNILQYRRPGTKNVLKDGTITKDGIRCRCCDNIFTMSNFRCHAGLKQDIPSLNLFLGYGKSYSLCQLQAWYVEHKVREERAKATMSLQADQNDDTCGLCGDGGELICCDNCPASYHEACLPCQEIPDGSWYCSSCLCDVCGEVVSSKEPRTPLYAFECSQCEHQYHVKCISGKVLCNEEGGPGTWFCGRRCQQIYTSLRSRVGIPDHLIDGFCCTILRNNGDQKVRAATDIALLAECNMKLIIALSILEECFLPIFDPRTGMDIMPLILYNWRSNFVHLDYKGFYTIVLEKDDNIISVASIRLHGAVVAEMPLIATCTENRQQGMCRHIVDYIEQMLKSLKVGMLLLSAIPSLVDTWTSAFGFRPIEDCDKKKLSKIRLASVPGTVLLKKDLHEFSETETAAGDGVEYLSRGNQSSAIAAAAALRHAGTPVVVEAKQQQSIEDTVPASATQNDLLIDELGDLQISLPCAYPPSAVALGKCPVN* >Brasy9G137500.2.p pacid=40061156 transcript=Brasy9G137500.2 locus=Brasy9G137500 ID=Brasy9G137500.2.v1.1 annot-version=v1.1 MDEEGFMVGIGMKEEDITTMLFGKKVSELREDGFDGSEDERQIFEDVFGQTGTGRTSGHQPDGRGGQTTSQTDASKALVPASTPSSSPSNHKMVCCRIVESFTHGNLSSYHVLYHSSIEQMHKAMSCTDHTRPSELLVQWTPPSIDRVYTRRSVTRRSQRAKLCSVVDWEKVDITTVARRRDVHGYGMLWNHLRLHAHLLIMDAGWKIEGKERGDKSKTDLMYESPDKVMRLFSLPRAWKCFGQWLLIHSSRFDRYDCGKEWFSMHEFLYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFVAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLTRSNASKALELNCLSNHNNKHPTSRRNILPLLLSDGQSDKVENSLHVERSSIFGTSKHSQYKGYQSSVTMEEMNERSIRNTAHRIVKGLQDAADIVSTRPTCFSTKNKFSYPKMSRDVQDESDPLYLPPSYSSYHLIENAQTKGLTEITDVGNSADSPSDELLIGQNLLFSHEVDEMLLGTTDDASNEHHDAAAVSDSQEANEDLRDGPSAGMLSLLEEKETHFKANGDDTNNEHDVAVVPEFKVADEGVGDGPSDGVLSTETDTNLKAKEMSLEEITKTGWLSSEASGSPLMISEPQVLFVSPQDGRLSFMNNSTYNQEMWSCLNFSHDSMGTNMQPDIQSSSYEASLIQGFLYLDNEGSPIGWEVINPEPPVQSICGPSAEPNSKVPGHYGEMNMKNEGAAFEPRQISESSPSKKVHKRSKGVAHIKDKVSGKKQKVNDDPASHCGQSMDSTSDNPTCYVSRDGGEQICAASSEHVASNKKRSEKGTDNQDKVVRKKQKINDDGLISDFIIGQCMDSTAENPTGCVPRNEQQIGASSSEQVPLNLVPEINDRKEHAEDSSEPRKDLVSEQSPKKDVKSGRKTWLRKCKFDDDDLLMTAVIHRLTARYRNFFNRMLAKRVGFKYLPRSHWEGEEKCDQKMFPKRARTVLSKLLEMGIVGKVNILQYRRPGTKNVLKDGTITKDGIRCRCCDNIFTMSNFRCHAGLKQDIPSLNLFLGYGKSYSLCQLQAWYVEHKVREERAKATMSLQADQNDDTCGLCGDGGELICCDNCPASYHEACLPCQEIPDGSWYCSSCLCDVCGEVVSSKEPRTPLYAFECSQCEHQYHVKCISGKVLCNEEGGPGTWFCGRRCQQIYTSLRSRVGIPDHLIDGFCCTILRNNGDQKVRAATDIALLAECNMKLIIALSILEECFLPIFDPRTGMDIMPLILYNWRSNFVHLDYKGFYTIVLEKDDNIISVASIRLHGAVVAEMPLIATCTENRQQGMCRHIVDYIEQMLKSLKVGMLLLSAIPSLVDTWTSAFGFRPIEDCDKKKLSKIRLASVPGTVLLKKDLHEFSETETAGDGVEYLSRGNQSSAIAAAAALRHAGTPVVVEAKQQQSIEDTVPASATQNDLLIDELGDLQISLPCAYPPSAVALGKCPVN* >Brasy9G137500.4.p pacid=40061157 transcript=Brasy9G137500.4 locus=Brasy9G137500 ID=Brasy9G137500.4.v1.1 annot-version=v1.1 MDEEGFMVGIGMKEEDITTMLFGKKVSELREDGFDGSEDERQIFEDVFGQTGTGRTSGHQPDGRGGQTTSQTDASKALVPASTPSSSPSNHKMVCCRIVESFTHGNLSSYHVLYHSSIEQMHKAMSCTDHTRPSELLVQWTPPSIDRVYTRRSVTRRSQRAKLCSVVDWEKVDITTVARRRDVHGYGMLWNHLRLHAHLLIMDAGWKIEGKERGDKSKTDLMYESPDKVMRLFSLPRAWKCFGQWLLIHSSRFDRYDCGKEWFSMHEFLYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFVAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLTRSNASKALELNCLSNHNNKHPTSRRNILPLLLSDGQSDKVENSLHVERSSIFGTSKHSQYKGYQSSVTMEEMNERSIRNTAHRIVKGLQDAADIVSTRPTCFSTKNKFSYPKMSRDVQDESDPLYLPPSYSSYHLIENAQTKGLTEITDVGNSADSPSDELLIGQNLLFSHEVDEMLLGTTDDASNEHHDAAAVSDSQEANEDLRDGPSAGMLSLLEEKETHFKANGDDTNNEHDVAVVPEFKVADEGVGDGPSDGVLSTETDTNLKAKEMSLEEITKTGWLSSEASGSPLMISEPQVLFVSPQDGRLSFMNNSTYNQEMWSCLNFSHDSMGTNMQPDIQSSSYEASLIQGFLYLDNEGSPIGWEVINPEPPVQSICGPSAEPNSKVPGHYGEMNMKNEGAAFEPRQISESSPSKKVHKRSKGVAHIKDKVSGKKQKVNDDPASHCGQSMDSTSDNPTCYVSRDGGEQICAASSEHVASNKKRSEKGTDNQDKVVRKKQKINDDGLISDFIIGQCMDSTAENPTGCVPRNEQQIGASSSEQVPLNLVPEINDRKEHAEDSSEPRKDLVSEQSPKKDVKSGRKTWLRKCKFDDDDLLMTAVIHRLTARYRNFFNRMLAKRVGFKYLPRSHWEGEEKCDQKMFPKRARTVLSKLLEMGIVGKVNILQYRRPGTKNVLKDGTITKDGIRCRCCDNIFTMSNFRCHAGLKQDIPSLNLFLGYGKSYSLCQLQAWYVEHKVREERAKATMSLQADQNDDTCGLCGDGGELICCDNCPASYHEACLPCQIPDGSWYCSSCLCDVCGEVVSSKEPRTPLYAFECSQCEHQYHVKCISGKVLCNEEGGPGTWFCGRRCQQIYTSLRSRVGIPDHLIDGFCCTILRNNGDQKVRAATDIALLAECNMKLIIALSILEECFLPIFDPRTGMDIMPLILYNWRSNFVHLDYKGFYTIVLEKDDNIISVASIRLHGAVVAEMPLIATCTENRQQGMCRHIVDYIEQMLKSLKVGMLLLSAIPSLVDTWTSAFGFRPIEDCDKKKLSKIRLASVPGTVLLKKDLHEFSETETAGDGVEYLSRGNQSSAIAAAAALRHAGTPVVVEAKQQQSIEDTVPASATQNDLLIDELGDLQISLPCAYPPSAVALGKCPVN* >Brasy9G137500.3.p pacid=40061158 transcript=Brasy9G137500.3 locus=Brasy9G137500 ID=Brasy9G137500.3.v1.1 annot-version=v1.1 MDEEGFMVGIGMKEEDITTMLFGKKVSELREDGFDGSEDERQIFEDVFGQTGTGRTSGHQPDGRGGQTTSQTDASKALVPASTPSSSPSNHKMVCCRIVESFTHGNLSSYHVLYHSSIEQMHKAMSCTDHTRPSELLVQWTPPSIDRVYTRRSVTRRSQRAKLCSVVDWEKVDITTVARRRDVHGYGMLWNHLRLHAHLLIMDAGWKIEGKERGDKSKTDLMYESPDKVMRLFSLPRAWKCFGQWLLIHSSRFDRYDCGKEWFSMHEFLYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFVAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLTRSNASKALELNCLSNHNNKHPTSRRNILPLLLSDGQSDKVENSLHVERSSIFGTSKHSQYKGYQSSVTMEEMNERSIRNTAHRIVKGLQDAADIVSTRPTCFSTKNKFSYPKMSRDVQDESDPLYLPPSYSSYHLIENAQTKGLTEITDVGNSADSPSDELLIGQNLLFSHEVDEMLLGTTDDASNEHHDAAAVSDSQEANEDLRDGPSAGMLSLLEEKETHFKANGDDTNNEHDVAVVPEFKVADEGVGDGPSDGVLSTETDTNLKAKEMSLEEITKTGWLSSEASGSPLMISEPQVLFVSPQDGRLSFMNNSTYNQEMWSCLNFSHDSMGTNMQPDIQSSSYEASLIQGFLYLDNEGSPIGWEVINPEPPVQSICGPSAEPNSKVPGHYGEMNMKNEGAAFEPRQISESSPSKKVHKRSKGVAHIKDKVSGKKQKVNDDPASHCGQSMDSTSDNPTCYVSRDGGEQICAASSEHVASNKKRSEKGTDNQDKVVRKKQKINDDGLISDFIIGQCMDSTAENPTGCVPRNEQQIGASSSEQVPLNLVPEINDRKEHAEDSSEPRKDLVSEQSPKKDVKSGRKTWLRKCKFDDDDLLMTAVIHRLTARYRNFFNRMLAKRVGFKYLPRSHWEGEEKCDQKMFPKRARTVLSKLLEMGIVGKVNILQYRRPGTKNVLKDGTITKDGIRCRCCDNIFTMSNFRCHAGLKQDIPSLNLFLGYGKSYSLCQLQAWYVEHKVREERAKATMSLQADQNDDTCGLCGDGGELICCDNCPASYHEACLPCQEIPDGSWYCSSCLCDVCGEVVSSKEPRTPLYAFECSQCEHQYHVKCISGKVLCNEEGGPGTWFCGRRCQQIYTSLRSRVGIPDHLIDGFCCTILRNNGDQKVRAATDIALLAECNMKLIIALSILEECFLPIFDPRTGMDIMPLILYNWRSNFVHLDYKGFYTIVLEKDDNIISVASIRLHGAVVAEMPLIATCTENRQQGMCRHIVDYIEQMLKSLKVGMLLLSAIPSLVDTWTSAFGFRPIEDCDKKKLSKIRLASVPGTVLLKKDLHEFSETETGDGVEYLSRGNQSSAIAAAAALRHAGTPVVVEAKQQQSIEDTVPASATQNDLLIDELGDLQISLPCAYPPSAVALGKCPVN* >Brasy9G137500.5.p pacid=40061159 transcript=Brasy9G137500.5 locus=Brasy9G137500 ID=Brasy9G137500.5.v1.1 annot-version=v1.1 MDEEGFMVGIGMKEEDITTMLFGKKVSELREDGFDGSEDERQIFEDVFGQTGTGRTSGHQPDGRGGQTTSQTDASKALVPASTPSSSPSNHKMVCCRIVESFTHGNLSSYHVLYHSSIEQMHKAMSCTDHTRPSELLVQWTPPSIDRVYTRRSVTRRSQRAKLCSVVDWEKVDITTVARRRDVHGYGMLWNHLRLHAHLLIMDAGWKIEGKERGDKSKTDLMYESPDKVMRLFSLPRAWKCFGQWLLIHSSRFDRYDCGKEWFSMHEFLYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFVAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLTRSNASKALELNCLSNHNNKHPTSRRNILPLLLSDGQSDKVENSLHVERSSIFGTSKHSQYKGYQSSVTMEEMNERSIRNTAHRIVKGLQDAADIVSTRPTCFSTKNKFSYPKMSRDVQDESDPLYLPPSYSSYHLIENAQTKGLTEITDVGNSADSPSDELLIGQNLLFSHEVDEMLLGTTDDASNEHHDAAAVSDSQEANEDLRDGPSAGMLSLLEEKETHFKANGDDTNNEHDVAVVPEFKVADEGVGDGPSDGVLSTETDTNLKAKEMSLEEITKTGWLSSEASGSPLMISEPQVLFVSPQDGRLSFMNNSTYNQEMWSCLNFSHDSMGTNMQPDIQSSSYEASLIQGFLYLDNEGSPIGWEVINPEPPVQSICGPSAEPNSKVPGHYGEMNMKNEGAAFEPRQISESSPSKKVHKRSKGVAHIKDKVSGKKQKVNDDPASHCGQSMDSTSDNPTCYVSRDGGEQICAASSEHVASNKKRSEKGTDNQDKVVRKKQKINDDGLISDFIIGQCMDSTAENPTGCVPRNEQQIGASSSEQVPLNLVPEINDRKEHAEDSSEPRKDLVSEQSPKKDVKSGRKTWLRKCKFDDDDLLMTAVIHRLTARYRNFFNRMLAKRVGFKYLPRSHWEGEEKCDQKMFPKRARTVLSKLLEMGIVGKVNILQYRRPGTKNVLKDGTITKDGIRCRCCDNIFTMSNFRCHAGLKQDIPSLNLFLGYGKSYSLCQLQAWYVEHKVREERAKATMSLQADQNDDTCGLCGDGGELICCDNCPASYHEACLPCQIPDGSWYCSSCLCDVCGEVVSSKEPRTPLYAFECSQCEHQYHVKCISGKVLCNEEGGPGTWFCGRRCQQIYTSLRSRVGIPDHLIDGFCCTILRNNGDQKVRAATDIALLAECNMKLIIALSILEECFLPIFDPRTGMDIMPLILYNWRSNFVHLDYKGFYTIVLEKDDNIISVASIRLHGAVVAEMPLIATCTENRQQGMCRHIVDYIEQMLKSLKVGMLLLSAIPSLVDTWTSAFGFRPIEDCDKKKLSKIRLASVPGTVLLKKDLHEFSETETGDGVEYLSRGNQSSAIAAAAALRHAGTPVVVEAKQQQSIEDTVPASATQNDLLIDELGDLQISLPCAYPPSAVALGKCPVN* >Brasy9G031700.1.p pacid=40061160 transcript=Brasy9G031700.1 locus=Brasy9G031700 ID=Brasy9G031700.1.v1.1 annot-version=v1.1 MSVLADLLAGVFREPTLAGVARELATLAAPLWLAVLVGLLIGWAWRPRWAAAVQQEQPAPPALAPSTAASASAVVPRAKVTSAAPVEDDLAVNTADLMHLRRVVEEKDGGPAWIHMMERTLPTMRYQAWRRDPENGPPQYRSSTIFEDTSPDVVRDFFWDDEFRMKNTWDDMLLQHEILEQCTKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRSSKPRRVDLYYSSWCIRPVESRNGDGAMTACEVLLFHHEDMGIPWGIAKLGVQQGMWGCVKRIEPGLRAYQIARTAGEPLSKYAAMAHANTKFVADELIAAEDNNDAGSTSNNALAEKPKHWTCNIPKVFLIGGAVALACTFDQGLLTKAVIFGTARRFAGPGRR* >Brasy9G025000.1.p pacid=40061161 transcript=Brasy9G025000.1 locus=Brasy9G025000 ID=Brasy9G025000.1.v1.1 annot-version=v1.1 MAEGAAAARRLAAAVGAVFVVTLVVFAGSLAVSSGRLRAGSAAAATFSTAAASGARRWLRDSTSLLPAASRRSGGDADASAAAVDDPETVASQVHMSIKNSTARRNLGYLSCGTGNPIDDCWRCDSDWHNNRQRLADCGIGFGRNAIGGRDGKLYVVTDAGDDDPVNPKEGTLRYAVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYLKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTTWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGVLSCRKGSSC* >Brasy9G002900.1.p pacid=40061162 transcript=Brasy9G002900.1 locus=Brasy9G002900 ID=Brasy9G002900.1.v1.1 annot-version=v1.1 MRLKLGQKSVVDAWTDLAAAWNSGDISDTVSHTDIYRPCEMCGSVVAQQMLPWWSSFATAPEEAAGGRGRGRRQEGQMRGRVEGKAGERQPPGGERRRCSVQRRGFVAAGEEKKGEGVKGAAGAQQAEAGWEMRGHGGRWEGRRGTATGGR* >Brasy9G088100.1.p pacid=40061163 transcript=Brasy9G088100.1 locus=Brasy9G088100 ID=Brasy9G088100.1.v1.1 annot-version=v1.1 MDFSHLEKKEIQILDRGVPPSSTFQAAAALLLGCRRHRPPLCPQPAPSQRAAALSSFPTAAVHDASHRGLLAARRRYPRREPPRPPRGPPPPPCPRAAAPSSLPATAVLTAYRRCPRPRGRPRSEPSRIRALLAARRRRSSTRGRPPPTRWLSILSSSPYYSVKF* >Brasy9G305800.1.p pacid=40061164 transcript=Brasy9G305800.1 locus=Brasy9G305800 ID=Brasy9G305800.1.v1.1 annot-version=v1.1 MRDEVLPPPMTCMEVKESSARIAFPKPQSARALPRNRSLQRRKAKQLPLESSTKTPLLRREKPRLHRHVKTEYDLSRHKDLEHGVTNETVTPTPKPPRRTPEFRIKTLEGVVNEIPGVPCLLHHAHKALHLGPKALKVKAPPSHKTGVQSHQKRTVIGCRCAKRGKEGIGKGCFPIHGGESTVELLESGVLPLVRPRVSPAIKIKLLQLEVPEGPPEFPHHPPIDNRIAFAARVHDEVEVTGQEPRPSVEVADISQFLKEERLLRRGEQGCTTFFLGRRDTGRASTGEDRRRTIERKAFTWRADSAEGPAAGERAAGGDGATSDKLTMKVLITDPSAATGDDTGELTTSGKDTSSSSEAPMEGLGGGESASSRIGSHSSAAQGNGVIHSNRGSGAREEPRRAGRNSGASAERGAEGRTALTSLPAKCRRKPPVWSPKSSSSTRPREQRKKPPITIATIRVAIVATSTIVRWPLIQGWPIRRRRRGLHPKEVNENIVLQEVDLRRDQHLRRHKQVILLGVLNHRRLRDLEPLPTGDEARIRRPRVDAEAPHILPVDGACAQSLHSTGRPKERRSRAGTPRVRRHAFNLQVYTAVRPTACRNRFQAENLKENPRPSIDPVVRTASRAPLESKITKKSSGQNLARSSASSSGLRGMMQSSIRGRREAAPRGRGSTVGGGEDSGGATVDNPGGGATASTGARPGVGAGAAGSRVSSTRGPRRARRAGLGLGRLPTSGCHARSQRRAPRSRTGLRCRDGSNTGLKCRDGSSTGRWGGGRMGGRCRAGHPGRRRARGHPLPSCAGRPGRRRAGRPLPSRVGHPLPSRAGRTGRHHAGHTRPSRIHRPRRLTGSAIHARTQPRSHRPRTAPSRRSRTTGRTAPRAAQPHHVPLTATAEALRRVGAQSSHVILAEAVEAAPGEVGELLPDHRRWERRQVAAALARQPSNTLRAWGGRRVYLHGILGRSPAAQHLTVGTRRLLAAGRGRRRLPPAQLLRRWPGRGPWRELHRARAENRGRERGERETEGGGERVAAGSGVAAGGRWTPTPEWRRRWSQP* >Brasy9G102000.1.p pacid=40061165 transcript=Brasy9G102000.1 locus=Brasy9G102000 ID=Brasy9G102000.1.v1.1 annot-version=v1.1 MATGGNPNPAAGPGHPRQPQPPQGSSPATPHTHMRPPSLAGSPFQGLFNTPPSHSPAFQIHMGASPSPQTPLMAAAASAAASAKRPPQKPPSRPPAPTSSAAAAAAAAASMAAAYKAANAGGIDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKLDIQEALKTPPSLQRTLRIYVFNTFSNQAARIIPPPKTAELPTWSLKIIGRVLEDGSELDPAGVVPKHNPAYPKFSAFFKRVTIGLDPSQYPENHTIVWENARSAAPQEGFEVKRKGDKEFIANIRLEMNYTPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPGDPSYFICDPQLKKVFGEDKMRFAMLSQKISQHLAPPPPINLEHKIKLSGNQAHASACYDVLVDVPFPLQKEMAAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNVERERRADFYNQPWVEDAVIRYLNRKPPGGNEGPGAGGS* >Brasy9G102000.2.p pacid=40061166 transcript=Brasy9G102000.2 locus=Brasy9G102000 ID=Brasy9G102000.2.v1.1 annot-version=v1.1 MATGGNPNPAAGPGHPRQPQPPQGSSPATPHTHMRPPSLAGSPFQGLFNTPPSHSPAFQIHMGASPSPQTPLMAAAASAAASAKRPPQKPPSRPPAPTSSAAAAAAAAASMAAAYKAANAGGIDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKLDIQEALKTPPSLQRTLRIYVFNTFSNQAARIIPPPKTAELPTWSLKIIGRVLEDGSELDPAGVVPKHNPAYPKFSAFFKRVTIGLDPSQYPENHTIVWENARSAAPQEGFEVKRKGDKEFIANIRLEMNYTPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPGDPSYFICDPQLKKVFGEDKMRFAMLSQKISQHLAPPPPINLEHKIKLSGNQAHASACYDVLVDVPFPLQKEMAAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNVERERRADFYNQPWVEDAVIRYLNRKPPGGNEGPGAGGS* >Brasy9G323400.1.p pacid=40061167 transcript=Brasy9G323400.1 locus=Brasy9G323400 ID=Brasy9G323400.1.v1.1 annot-version=v1.1 NSKTAVTGEECPPSGCQHGTIEVVLAKAGSKRKAEEAAAGEECSTKKQGEEAVGPAKKLSRMPQEEVDYILAHVVNNNSPHYFESMKRTNSDLLPSPEEEKDESTVALYTAARAHYAAGGRFIELQDFVREEYEKHGYVEVDEDFVARRAQNHQADEKVLREFPLSDSDED* >Brasy9G308300.1.p pacid=40061168 transcript=Brasy9G308300.1 locus=Brasy9G308300 ID=Brasy9G308300.1.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKVLDKIGAFPCVKNCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKVRMGLCDCEYGQFCDSQSNPTDRNLAEAVEI* >Brasy9G308300.2.p pacid=40061169 transcript=Brasy9G308300.2 locus=Brasy9G308300 ID=Brasy9G308300.2.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKVLDKIGAFPCVKNCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKVRMGLCDCEYGQFCDSQSK* >Brasy9G308300.3.p pacid=40061170 transcript=Brasy9G308300.3 locus=Brasy9G308300 ID=Brasy9G308300.3.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKVLDKIGAFPCVKNCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKVRMGLCDCEYGQFCDSQSK* >Brasy9G308300.6.p pacid=40061171 transcript=Brasy9G308300.6 locus=Brasy9G308300 ID=Brasy9G308300.6.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKVLDKIGAFPCVKNCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKSDRQKPS* >Brasy9G308300.4.p pacid=40061172 transcript=Brasy9G308300.4 locus=Brasy9G308300 ID=Brasy9G308300.4.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYEVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKVRMGLCDCEYGQFCDSQSK* >Brasy9G308300.5.p pacid=40061173 transcript=Brasy9G308300.5 locus=Brasy9G308300 ID=Brasy9G308300.5.v1.1 annot-version=v1.1 MAKSGYTRAPPPPIALDTTTCPAPSAVLYVANCGRAVGLTHDDVAAAFGAYGEVEGVHAADDSGARVIVRFREPAAAGAAMAALHGRPCSLLSGRVLHIRYSVPAAPKARPGAPILPVALSSSELGIPGVHLVQEFVTAAEEQELLAAVDSRPWRRLAKRRVQHYGYEFLYEVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPPSIGNGTDEVSIQDPQCIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRISFTFRKVRMGLCDCEYGQFCDSQSK* >Brasy9G145900.1.p pacid=40061174 transcript=Brasy9G145900.1 locus=Brasy9G145900 ID=Brasy9G145900.1.v1.1 annot-version=v1.1 MYRQKVPNSGWAAFDRRLRGTDDGGDDVDVNSFPVLPSSKGSSSASSSVIESNNVSKAKPFASVVRPSVQFAAVGNGNRNKNLIDHVAKSNFDVNSASDNKIKLLKDAHSWADSNLIEDILAGVNNDVGQASILLKAMVSADLPPGESRTTGQAAFDMNKAHGLQLGNATAENKHSNGSRLTVPMSVVSIPLEPELEEVDDGYLNHRKDALKMMRAATKHSQAASNAFLRGDHAAAKELSIRAQEERTAAEKLNNKAAEEIFHLRNSNNGTWKIDMHGLHASEAVAALERHLHKIEFQLPGNSAASTEGFDKSEPTIPGSNEVATEKVVVFLRPRQSVLEVITGIGKHSKGQASLPVVVRGFLIENGYRFDETRPGVFSVRPKFRRQ* >Brasy9G330200.1.p pacid=40061175 transcript=Brasy9G330200.1 locus=Brasy9G330200 ID=Brasy9G330200.1.v1.1 annot-version=v1.1 MFPVPAHATGQPPRRRPGPKIQPFRRRADVAPDFAVNSCLALSSAFRQCYAGNARDLDFETLYRCAYDVVLFGHGEALYTQVATTMASEAEKLASSLLADHAAQTDDGAFLRGLLGKWKTHSAAVAMINDVVRYMDAVFVPKRGKAPVRELGNRAWLDGVVRSDQSTPVRARLRAALLEMSRQERAGVAVDAELCGLMAGAARMLVELGGGAYEETLEAPFLDEAAQFYAVESVRLRLRLELGSSSSCGEYLGEVESMADAERARASR* >Brasy9G087600.1.p pacid=40061176 transcript=Brasy9G087600.1 locus=Brasy9G087600 ID=Brasy9G087600.1.v1.1 annot-version=v1.1 MKTNRKYNYREGRRGPRGGGGAHLGRGGEGPGRGGGTLGRGDRAEKAGGAAAERRRPGARRPSARRGPGARRPSGEGRGRGGRAERAGGAAAERAERAGGAAAERSGRASPPET* >Brasy9G087900.1.p pacid=40061177 transcript=Brasy9G087900.1 locus=Brasy9G087900 ID=Brasy9G087900.1.v1.1 annot-version=v1.1 MPSLSSVSLVRLSLSLSRVRVARRHLSTLPPTSRHKAAPAGRALALRRRRMSWSRADRVGFPEVDEEEQEASIRWDCFRFDDEESDLPLEAAAPADEFSHPSSFQGLVTDPSCPKTTAPAPKKKKAAKRSGRIAMDGAGSGRPRPCRRLIWPP* >Brasy9G288500.1.p pacid=40061178 transcript=Brasy9G288500.1 locus=Brasy9G288500 ID=Brasy9G288500.1.v1.1 annot-version=v1.1 MSAMAGKKLVVAMLLVLVATSGSWTRAAARPLQGHQVNAAAGEPSSGGSTNTVPPSPPTSQWRGQKLPPLEEKYTTKDCGLTNDPNTHCP* >Brasy9G094400.1.p pacid=40061179 transcript=Brasy9G094400.1 locus=Brasy9G094400 ID=Brasy9G094400.1.v1.1 annot-version=v1.1 MGVECRYEVAQAAYVKLALHALKHPTAAVNGLLVGRLLDSASPAVVSVVDAVPLSHQPHHLPLLPTLELALTLVEDHFAPQGFAVVGYYHANARRDDTDLPAVAKRVGDHIFRYFPRAAVLLLDNQKLEKVVKGDSRDPVVQLYSRDSSKSWRQAGSDGSSQLTLKEPSTNVVLADHVTTKKWQQIIDFDDHLDDISKDWLNPSLLG* >Brasy9G215400.1.p pacid=40061180 transcript=Brasy9G215400.1 locus=Brasy9G215400 ID=Brasy9G215400.1.v1.1 annot-version=v1.1 MGRAPCCEKMGLKRGPWTPEEDKTLVAHIKSFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSVEEDQTIIQLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLDPASARQEHDEEQGQASKKRKPAAASRKRKPKPATAPLSSPERSVSTSTVTESSMAAEHGNSGSSAVSVKEESFTSASEESDEFQIDESFWSETLSMPLLDSGSNNDVVASMEPHEAFGAGSSSVDGDMDYWLRVFMEGGGDDVALDLPQI* >Brasy9G065200.1.p pacid=40061181 transcript=Brasy9G065200.1 locus=Brasy9G065200 ID=Brasy9G065200.1.v1.1 annot-version=v1.1 MAAPLLLLPASQIRRFPALLLHPTTQIRRASPSPGRHGVLPRPGPLPRASRASDMACAGPDAGRCALGQARPGRDAPCYNLRPRLVRPPPPRLTPPPLAARRWLSTKRRPGPDLAMLPDLESAPPTHPSLPASDPSHLSPSLCCRSFLMTVCVCCGVC* >Brasy9G127200.1.p pacid=40061182 transcript=Brasy9G127200.1 locus=Brasy9G127200 ID=Brasy9G127200.1.v1.1 annot-version=v1.1 MYARRTLLHAFSGQSSGPSQPVAATSATDDGAPGSNFDANVVMILAVLLCALICVLGLNSIVRCALRCSSRAVVESEPNLVARLAKGGLRRKALQAMPILVYSAGLKLSTASPLCAICLSDFEAGEHVRVLPKCNHGFHVRCIDRWLLARSSCPTCRQSLFEAPQKTAGCSEVSRNEPVQLQSVLVPLRPEGLVTAYDF* >Brasy9G139100.1.p pacid=40061183 transcript=Brasy9G139100.1 locus=Brasy9G139100 ID=Brasy9G139100.1.v1.1 annot-version=v1.1 MDDVDFDATLSETGEHEDEDMSTPTVSLSPEPVEHRLEDSTPTPSLSPLPDANPSTISRPPVPIPSSGSGRLGYLLGVPPTSRKMAAGGSGSGSSSSRCSAAARAVARVRAMARSPSLLGGAGRGRGSGAATAATEGNMP* >Brasy9G308400.1.p pacid=40061184 transcript=Brasy9G308400.1 locus=Brasy9G308400 ID=Brasy9G308400.1.v1.1 annot-version=v1.1 MAPPQCAASPPPAPTKADTAGGGGGKGRTQLAATQVKKLCKQGRLEHARRLLLDALPRPPPTLLCNVLLIAYVSGALPDHALGLYGVLNHAARPPLRSDHYTYSCALTACARSRRLRLGRSVHAHLLRRARSLPDTAVLRNSLLNLYASCAQYRHGGVDVVRRLFDATPKRNVVSWNTLVGWYVKTGRPDEALEMFVRMLEDGIRPTPVSFVNVFPAAASDDPSWPFLLYGLLIKHGVEYVSDLFVVSSAIGMFSEIGDVQSARMVFERAAKKNIEVWNTMITGYVQNGQFSEAMDLFIQIMGSKGVPLDVVTFLSAITAASQSQDVKLGQQLHGYLMKGMRSTLPVILGNALVVMYSRCGNVHAAFDLFDQLPEDDIVSWNTMVTAFVQNDFDFEGLLLVYQMQKSGFLADAVTLTAVLSAASNTGDLQIGKQSHGYLIRHGIEGEGLESYLINMYSKSGRIDMAQRVFDGYGTDRDEVTWNAMIAGYTQSGQPEQAVLAFRAMVEAGVEPTSVTLASVLPACEPVGEGVQAGKQIHSFALRHSLDNNIFVGTALVDMYSKCGEITAAENVFDRMTEKSTVTYTTMISGLGQHGFGERALSLFYSMQDKGLKPDAVTFLAAISACNYSGLVDEGLSLYRSMETFGLSATPQHHCCIVDLLAKAGRVEEAYDFVESLGEDGNFIAIWGSLLASCKAQGKQELTAFVTDKLLNIEKQYGHAHAGYNVLLSQLFAAEGNWSSADSLRREMRVRGLRKDAGSSWIKVQGAALEDRFLERNPNYCEHEQTFLMLDRDTYSTDEII* >Brasy9G206800.1.p pacid=40061185 transcript=Brasy9G206800.1 locus=Brasy9G206800 ID=Brasy9G206800.1.v1.1 annot-version=v1.1 MAAATVAAEELRQMGLRPTPRVEKADDVLGGDDDEILRFMDSADSYLLLMDSLSSALRQGWLDLASARHSMGASRVSSTLFDHKEQCAATKLQVVYPADLQPSESNPHFALSKWCLQESNSGDVIGVQDSTKPKLRYRGLAAAPGDGINESDATTAKSSTGVDTSSQVQMARSKALSVFGALVSPKLRTTQVSFETALELIVELANSRSTMLSSFSQIKP* >Brasy9G206800.2.p pacid=40061186 transcript=Brasy9G206800.2 locus=Brasy9G206800 ID=Brasy9G206800.2.v1.1 annot-version=v1.1 MAAATVAAEELRQMGLRPTPRVEKADDVLGGDDDEILRFMDSADSYLLLMDSLSSALRQGWLDLASARHSMGASRVSSTLFDHKEQCAATKLQVVYPADLQPSESNPHFALSKWCLQESNSGDVIGVQDSTKPKLRYRGLAAAPGDGINESDATTAKSSTGVDTSSQVQMARSKALSVFGALVSPKLRTTQVSFETVSCST* >Brasy9G316100.1.p pacid=40061187 transcript=Brasy9G316100.1 locus=Brasy9G316100 ID=Brasy9G316100.1.v1.1 annot-version=v1.1 MAGEEGPSKRLSAGEIGITKSKVEMAAMDQEQPEKAAQIAPERKKRKTRLPQEEVDQILSRGAVRTRRAPRAFEALRRQNPSLIPSPEEEKDESKVAMYTAIRAYYESRESGFQAWVGGQLEKHGYVEVDDEAVALRDDLRAWSKKARKEAFESIGFPDSDN* >Brasy9G215600.1.p pacid=40061188 transcript=Brasy9G215600.1 locus=Brasy9G215600 ID=Brasy9G215600.1.v1.1 annot-version=v1.1 MGPPASRLPILAALVAAALLAGMAATAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDMVVLVSDGVSEYSRGLLEADGWIVKRITLLANPNQVRPTRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDVFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMISQVDRLPSYTGGDQGFLNSYYADFANSHVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDDKDLRVIHYTLGPLKPWDWWTAWLVKPVEIWQDIRQKLEESLPGTGGGRNPHDQLMVKILFILPFCLLLFGYYQSCFQNNKEFLSMRSLCVFARSARHKYKSEEALPSYSAVDASSSTFSNSNQRFSNGAPLKLPSYFRAGAVLVSFMSAGVSVAFAFTIIPRQVMPWTGLLLMFEWTFVAFFLLFGSYLRFVYRWGSTSANHAGYNSSGSSENRMGTGHQLSMSDCNMDVTFYWTGMAVIATVTVFSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAVSAFYKGQKDRNTLRTRGICFLCL* >Brasy9G180100.1.p pacid=40061189 transcript=Brasy9G180100.1 locus=Brasy9G180100 ID=Brasy9G180100.1.v1.1 annot-version=v1.1 MDAASRTWPQPNPSPAPFSSRPRAPSDPHRRRRRRHSKKPKPDQLPPAPKSATSGSGADFSALPPELVHRALSASAATDVAAASRACRAWRNALQPLREAAALHAHGRRLKHGPTTAGCDGEKRDVSRQSALGLFQRAAQLGSAAAMVDAGLMCWEEGQRGKAVGYYQRAAELGHPVGMCNLGVSYLEGIEDFSFLGNLFGERDKICWRSILADPPEAEEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNVSLCYSFGEGFTQDPVRAKKWLQLAAECGHRKALYECGIKLCAAGDKVRSLMYLELATRRGETDASHMRDVILESLSVVNAQRALSDADKWRPKALYTRR* >Brasy9G180100.3.p pacid=40061190 transcript=Brasy9G180100.3 locus=Brasy9G180100 ID=Brasy9G180100.3.v1.1 annot-version=v1.1 MDAASRTWPQPNPSPAPFSSRPRAPSDPHRRRRRRHSKKPKPDQLPPAPKSATSGSGADFSALPPELVHRALSASAATDVAAASRACRAWRNALQPLREAAALHAHGRRLKHGPTTAGCDGEKRDVSRQSALGLFQRAAQLGSAAAMVDAGLMCWEEGQRGKAVGYYQRAAELGHPVGMCNLGVSYLEGIEDFSFLGNLFADPPEAEEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNVSLCYSFGEGFTQDPVRAKKWLQLAAECGHRKALYECGIKLCAAGDKVRSLMYLELATRRGETDASHMRDVILESLSVVNAQRALSDADKWRPKALYTRR* >Brasy9G180100.2.p pacid=40061191 transcript=Brasy9G180100.2 locus=Brasy9G180100 ID=Brasy9G180100.2.v1.1 annot-version=v1.1 MDAASRTWPQPNPSPAPFSSRPRAPSDPHRRRRRRHSKKPKPDQLPPAPKSATSGSGADFSALPPELVHRALSASAATDVAAASRACRAWRNALQPLREAAALHAHGRRLKHGPTTAGCDGEKRDVSRQSALGLFQRAAQLGSAAAMVDAGLMCWEEGQRGKAVGYYQRAAELGHPVGMCNLGVSYLEADPPEAEEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNVSLCYSFGEGFTQDPVRAKKWLQLAAECGHRKALYECGIKLCAAGDKVRSLMYLELATRRGETDASHMRDVILESLSVVNAQRALSDADKWRPKALYTRR* >Brasy9G039500.1.p pacid=40061192 transcript=Brasy9G039500.1 locus=Brasy9G039500 ID=Brasy9G039500.1.v1.1 annot-version=v1.1 MASLARAAASAARSAVRTAPLAGRSLGSSLPAPTPARAARILRRSAVAGLETLLPLHTAVASARLKSCIAVDSTCWSSLSQGFKKRI* >Brasy9G039500.3.p pacid=40061193 transcript=Brasy9G039500.3 locus=Brasy9G039500 ID=Brasy9G039500.3.v1.1 annot-version=v1.1 MASLARAAASAARSAVRTAPLAGRSLGSSLPAPTPARAARILRRSAVAGLETLLPLHTAVASARLKSCIAVDSTCWSSLSQGFKKRI* >Brasy9G039500.2.p pacid=40061194 transcript=Brasy9G039500.2 locus=Brasy9G039500 ID=Brasy9G039500.2.v1.1 annot-version=v1.1 MASLARAAASAARSAVRTAPLAGRSLGSSLPAPTPARAARILRRSAVAGLETLLPLHTAVASARLKSCIAVDSTCWSSLSQGYALPL* >Brasy9G035200.1.p pacid=40061195 transcript=Brasy9G035200.1 locus=Brasy9G035200 ID=Brasy9G035200.1.v1.1 annot-version=v1.1 MAAGLFCFSPCTTEMPSHSSACVLSRKLRGRAPKPPRHPMKLLSCRIARIHEALPESQVAIASSTCDEKQTGHEPSPWGDFIGYEPMQGRRNG* >Brasy9G328000.1.p pacid=40061196 transcript=Brasy9G328000.1 locus=Brasy9G328000 ID=Brasy9G328000.1.v1.1 annot-version=v1.1 MAAGTGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPTEEGMGIKPDLLQCSLCGTRLCLVAQVHAPLAKLNIEERTLYVLVCPTAKCSPKPQSWKVLRVQKCHSRIQTNGNGDELVQRKENVCSNEPSPTSAAKNRNEENTGLDSNDDDFDLDALAEALEQAATLASNTKKQNKSKRTNAPVKCTVVKEKVNDLTIPVLPCFYIYYDKEQLRGKCNIGSSSSEAVLAKEIMDTGNDEEEKWEGEKYEYDRALGADRTFLKFKKRLDEYPQQCFRYSYAGRPLLAATDLQDVGSCKLCGSPRQYELQLMSPLSYFLHQAGDGSSNCAPNSWTWLTLIIYTCSKSCCPSSCGGKLGNCCWGVAEEDILMQEDEACDA* >Brasy9G328000.2.p pacid=40061197 transcript=Brasy9G328000.2 locus=Brasy9G328000 ID=Brasy9G328000.2.v1.1 annot-version=v1.1 MYLFARQQNAALSLKGNWKVLRVQKCHSRIQTNGNGDELVQRKENVCSNEPSPTSAAKNRNEENTGLDSNDDDFDLDALAEALEQAATLASNTKKQNKSKRTNAPVKCTVVKEKVNDLTIPVLPCFYIYYDKEQLRGKCNIGSSSSEAVLAKEIMDTGNDEEEKWEGEKYEYDRALGADRTFLKFKKRLDEYPQQCFRYSYAGRPLLAATDLQDVGSCKLCGSPRQYELQLMSPLSYFLHQAGDGSSNCAPNSWTWLTLIIYTCSKSCCPSSCGGKLGNCCWGVAEEDILMQEDEACDA* >Brasy9G042900.1.p pacid=40061198 transcript=Brasy9G042900.1 locus=Brasy9G042900 ID=Brasy9G042900.1.v1.1 annot-version=v1.1 MVLLLDPKSLFTSDSIPPFPQSIKTLTFMIFVLHVLLLLVLFLDSSLAMEARDPDLAAPPPAHRPALRRRSGCRFGRRGQGV* >Brasy9G117600.1.p pacid=40061199 transcript=Brasy9G117600.1 locus=Brasy9G117600 ID=Brasy9G117600.1.v1.1 annot-version=v1.1 MGRLTGPRSGTPGWGAAAAVGESGVGGAAAAAAAAAGEAGVGGAAAASGEDGGWGSGGGVWGGRGLGAWRRLGRPRQGGGVRLRTARVGARAYQEGERERGMNGGVVPWWIRSVRNKAEESVTVPTTQRCLAGENRGTLLIHGDGAAEAEQHHERRQPPFRASPADYLNVTP* >Brasy9G359100.1.p pacid=40061200 transcript=Brasy9G359100.1 locus=Brasy9G359100 ID=Brasy9G359100.1.v1.1 annot-version=v1.1 MEETILVGDDLMRGPPCPVIPKEIASHVLEGVELCDGILKNLFLCLQINDIEPFCQDEIVLYQQCAEKRDKEIRERLQDSEHKLGFSMPLEEAKERVTQLQSEVTLLERRMILASGLQGMEGFHQRWSLHGQISDTR* >Brasy9G323100.1.p pacid=40061201 transcript=Brasy9G323100.1 locus=Brasy9G323100 ID=Brasy9G323100.1.v1.1 annot-version=v1.1 MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLSTKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLAADGTS* >Brasy9G297900.1.p pacid=40061202 transcript=Brasy9G297900.1 locus=Brasy9G297900 ID=Brasy9G297900.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy9G185800.1.p pacid=40061203 transcript=Brasy9G185800.1 locus=Brasy9G185800 ID=Brasy9G185800.1.v1.1 annot-version=v1.1 MRFASSVFTAWLALGLACHCALLRHGAEAVTLWTASRWIVDEAGDRVKLACVNWPSHLEPMLAEGLSKRPVGSIAGDVAAMGFNCVRLTWPTFMVTNASYASLTVAQSFQRLNLTEPLAGIRANNPALVDLRLIDAFKAVVGSLGENSLMVILDNHVSMPKWCCSNTDGNGFFGDAYFQPDVWVDGLTKMATAFAAVPNVVGMSLRNELRGPRQNANDWYKYMQRGAEAVHAANPRALVILSGLSYDNDLAFLGSRQVTLSFSRKAAFEVHWYSFSNSQDWASSNPNEACARVGAGVSRRALYLLDQGWPVFLSEFGVDNRGGNANDNRYYGCAAAVAADLDLDWALWTLQGSYYLREGVRDLDEVYGVLDRSWREPRNATALRRVRALQRAFRGPGLAEAAPYVALFHPLTGLCVARRSSPLELGNCEGAEAWAYDAQRQRLALRDSPLMCLRAEGGGRPARVGLACAGDEMARWRLVSDSKLHVAANASSSSGAGMLCLDVGADGRSVVTNPCRCLSGDNSCDPESQWFKLVSSTRRVGARSMLAQPPLKLKDSKIRSL* >Brasy9G290500.1.p pacid=40061204 transcript=Brasy9G290500.1 locus=Brasy9G290500 ID=Brasy9G290500.1.v1.1 annot-version=v1.1 MALLTDLLNLDLSGSTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHAAAKIFSNPAVSAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCSIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYLLERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTESMRKDGGFQVIVAAVEKLKLRHKEHIAAYGEGNERRLTGKHETADIHTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTAMIADTTILWKP* >Brasy9G043200.1.p pacid=40061205 transcript=Brasy9G043200.1 locus=Brasy9G043200 ID=Brasy9G043200.1.v1.1 annot-version=v1.1 MDLAISAITGDLASRFISFLMNKCSDHVCSSEEEKVERLQQLLLRVCTVMEEADGRYITNSCMLIQLKTIAAAMYQGHHVLDSIRCRRHKDGSKEPVSNSSALSVFIPFKRPRTSGSSSTSKAFNLGLHSALQKLEAVVANMVEFVVLLGGCDRISPRPYDSYLHLENFMFGRHVEKQQITSFLLQHNMPGPPAVLPIVGRRGVGKKTLLAHVCNYERVRSHFAMILHLNEDDLVRITEHGRLSGRVLVVVEFVSDIDDNEWTKFYSSIMRMDRGSKVIIFGRNEDLKKFGTTKPVSLNCLPFEEYRYLLRTLAFGTANPIDHPRLASIVEEFAMVLGGSLVSANLIAHSLRKNQNAHLWLSKLNRIRTTVNMNMSRFGVHPVVLFDRGHPVHLKGHYLMSARSCLVPWASAPSNIPRTNVPNMKFEDLEEAGHVNLPKEDFNLIAWESRLPPYASFVHLVQFAPSCVGEKKPEAPLSGKKRRGLFASSHDDSVLTDVPN* >Brasy9G288000.1.p pacid=40061206 transcript=Brasy9G288000.1 locus=Brasy9G288000 ID=Brasy9G288000.1.v1.1 annot-version=v1.1 MSKMAGKKLAVAMLLVLVATSSGLWARAAARPFQGDLQAGEPSSGARGSVNMVQLPSPGSQWRAVQMLPPFEEKYNPPCRETHDHNKPCPPA* >Brasy9G047800.1.p pacid=40061207 transcript=Brasy9G047800.1 locus=Brasy9G047800 ID=Brasy9G047800.1.v1.1 annot-version=v1.1 MAQVFIERGAYWAISATSTGTFFESVGTGNLGLVRSAKSNLCQKTIRVATILFFLIGGRYEIVCTHGQLTNNGLSPKIKNKQCSVPSNERTGGRSIRWVDGERRPFPGPSAISLRLNRVGIHSSGLR* >Brasy9G032600.1.p pacid=40061208 transcript=Brasy9G032600.1 locus=Brasy9G032600 ID=Brasy9G032600.1.v1.1 annot-version=v1.1 MDIVVSAIVGDLISRSATFVINKYFQQQPGIDEILQRLQRVVLRIDTAVKEAEGRHITNQGMLLQLKMSSQGMYRGHYVLDAMRFQDFGEEEKLNNSSSALSKFSPSKRLRFSCTGTGSVSSNREALLFGTKNNIREELQLMVDTLEDTMAGMKEFFIFLESYPRILRQPYGTYLLLDNCMFGRQTERQQVLNFLLQPSATPDLDVLPIVGPRRVGKRTLVEYVCRDEKGSLKDEGVIDLRGNNIKVRHQNSDSRNRFLVIVEIAEDINEGTWKRLKSSAACMSPCSGSKIIITSQSDAIVNLGTTEALRLDYLSQEAYWHFFKSIAFGSTNPNEQPKLATKDMEIALEQRQCWPGAHLIAGLLRDNFNARFWRTILECVRAYKKTHLVMFDKHPNLRVRKDEPVYYWRLARSCRYFLICNYHQSDSSEEVPKITVRDILLGCGETPPRGEFEALAMRSHIPPYYNYTISCKMQTPKATVGRKKRVSREEGHLI* >Brasy9G069500.1.p pacid=40061209 transcript=Brasy9G069500.1 locus=Brasy9G069500 ID=Brasy9G069500.1.v1.1 annot-version=v1.1 MGSCASRPRGFPKGEKPEVVDTPVSPKASAPTEYDAPVVVDKYAVIEAPLVDLSTPKAEDTKVNEELIPGISELLIPMVEDDDKNDTEAVVIIKEVPVAAENQN* >Brasy9G295600.1.p pacid=40061210 transcript=Brasy9G295600.1 locus=Brasy9G295600 ID=Brasy9G295600.1.v1.1 annot-version=v1.1 MSFLRLLPQRLPQIVRQMERDVETVINVLQPGPIGIVEHKFTDAEIREAQVTVRSAVENWRRNWTLERNLGNGSSAK* >Brasy9G078300.1.p pacid=40061211 transcript=Brasy9G078300.1 locus=Brasy9G078300 ID=Brasy9G078300.1.v1.1 annot-version=v1.1 MVALMDWCTDAGPQYMNITWLTVELPRFITLKGSHVRDQLVHSHMLDFEMCDLLVRRLTQLDTNMMYSCKMRWRHMLESDFSVSVLAAADVTSALSIRQQFIGQSIHYNMSSTRMFAVPSFVNEYWSAYMFDMKEEIIHVLDPFLQQDCTGKMKDLHVHTSGLLHDKLFDCLNSFFENWNPRKKDWPLVFPVLTDDTFDKNQSGLCMLHCVRNYNGDELEQPLKLKGYTRMKHTFLFELLSIEKNKTQLPVPVLKIIGEPKEF* >Brasy9G183400.1.p pacid=40061212 transcript=Brasy9G183400.1 locus=Brasy9G183400 ID=Brasy9G183400.1.v1.1 annot-version=v1.1 MELGDKAIGLVLTMTSLSIFTYYTFWVIILPFVDDDHFVHEYFLPQEYAIFIPVLAGVVLLSFLSIFVGLVMLNSKKKKKTA* >Brasy9G183400.3.p pacid=40061213 transcript=Brasy9G183400.3 locus=Brasy9G183400 ID=Brasy9G183400.3.v1.1 annot-version=v1.1 MELGDKAIGLVLTMTSLSIFTYYTFWVIILPFVDDDHFVHEYFLPQEYAIFIPVLAGVVLLSFLSIFVGLVMLNSKKKKKTA* >Brasy9G183400.2.p pacid=40061214 transcript=Brasy9G183400.2 locus=Brasy9G183400 ID=Brasy9G183400.2.v1.1 annot-version=v1.1 MELGDKAIGLVLTMTSLSIFTYYTFWVIILPFVDDDHFVHEYFLPQEYAIFIPVLAGVVLLSFLSIFVGLVMLNSKKKKKTA* >Brasy9G163400.1.p pacid=40061215 transcript=Brasy9G163400.1 locus=Brasy9G163400 ID=Brasy9G163400.1.v1.1 annot-version=v1.1 MVISAEPQQRPSDPAVAGGGGAAAAEEGDAARARRAPLSSSLKTWGSHRVLRIGAVREKLLLSLRDVAAHGGHGGCGCNSAAAADAEALSAEAEVAPPPWKLRTRRRRPAMAPAAAAASASPSSGRRAVLTDALDRPRFSATLTADEIEKDVYALTGALPHRRPRRRPRAVQKQLDVSSFLPDRWAPPVRIQVKPPPNPVSG* >Brasy9G041200.1.p pacid=40061216 transcript=Brasy9G041200.1 locus=Brasy9G041200 ID=Brasy9G041200.1.v1.1 annot-version=v1.1 MNKTNAFASASHDIRSALAAFAGYIEVSRPEAQTNPIVMHNLDAMDVCTKKLFDILNTILDTSKVESGKMQLEEVEFNMADALEESVDMVSVIGINKGVEVVWDPCDFSVLRCESVVGDCRRFKQILDNLLGNALKFTQEGHVVLRAWANRPIARSSICTPSRFAHRRRGGGGGCFGSLFGKAIDCTKQNPCNSLWNDPDSVEFYFEVVDTGIGIPMEKREAVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKDKEPGETGTCFGFNVSLKISERQDQQVEDIEEGTYTSSSKMSDSDIRASLFRETNCFKGGHCLLVVHEYETRRILHTWMESIGMKVWIIPRVELISSTLERVHSTSVSPSKASLSHSGLDCETTDWCFSPKEMVNQVLPVALRNNNNMGGYSGGDHPFGILVILDVSNERLDDISREVASLGKIKNQAPCKLVCLADLKTSSEDFAMLERSFDLVLRKPMHGSRLYRLLRTMRDIQVSPAQYPYQACPGNPGASQKYFQGIAIRELPDQTAGSAETACLAQEPKIQDDRLLDGMRVLMAEDNQMLQIIQKRMLSQLGATVEVAGDGSRAVDMFIDALEREGVSEGHTVPLPYDLVFMDCQMPLMDGYEATKRIREEERHYKIRTPIVALTAHALEEDLHQTIQAGMDLHLTKPIQREQIVDALHQIWKEKSC* >Brasy9G111700.1.p pacid=40061217 transcript=Brasy9G111700.1 locus=Brasy9G111700 ID=Brasy9G111700.1.v1.1 annot-version=v1.1 MIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKIPKDFDIITTADLRQVKDTFTGSAVIVGRRFPIVHVHDNNSIVEVSSFNTYARGSSGNQAHTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFHEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRLLMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTHFKRKDKGTNMLLILFSKLDSFLAPNRPCHNSLWISILAFHEALVRQPRDPLVVATFALAMYLGGDLSLAVDIGQSINRRHDAGFSELLEPQVWGDKHLVAEVQGLAISMRRALTEMTDEYYVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKERGYEPKRDGNIDYHSLSHGTPAEVRNLFTLVIFDTIYPPNLEKEDDDSS* >Brasy9G111700.2.p pacid=40061218 transcript=Brasy9G111700.2 locus=Brasy9G111700 ID=Brasy9G111700.2.v1.1 annot-version=v1.1 MKKIPKDFDIITTADLRQVKDTFTGSAVIVGRRFPIVHVHDNNSIVEVSSFNTYARGSSGNQAHTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFHEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRLLMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTHFKRKDKGTNMLLILFSKLDSFLAPNRPCHNSLWISILAFHEALVRQPRDPLVVATFALAMYLGGDLSLAVDIGQSINRRHDAGFSELLEPQVWGDKHLVAEVQGLAISMRRALTEMTDEYYVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKERGYEPKRDGNIDYHSLSHGTPAEVRNLFTLVIFDTIYPPNLEKEDDDSS* >Brasy9G122000.1.p pacid=40061219 transcript=Brasy9G122000.1 locus=Brasy9G122000 ID=Brasy9G122000.1.v1.1 annot-version=v1.1 MRQRNTMPPLLHPPHLHFHKLKKQARAAAGTGTGSPRPVAKARKGLAAMLYKLRDVHRPPSSPSPATTPQYRKQLCYPPPPSTWPWPSCRHPRTSSFRARHPDQDAAGVYRTANAVYDASSERFVVLRRSSVDEAFWPGLAAAAVDQGPEAEAEEEETKELRLRETAVVRGVRSERLFFEPAGAEFFLPTTTQAEALGNETEAATTTTKNEDVEAPTAPGGELKAGSGAAVVVTVESEDPYGDFRASMSEMVAAHGVRDWEGLEELLAWYLKLNAKGVHAVIVGAFVDMLLGLAASPPSTSPSPSSSCITFEGYSSATLDEEDKTS* >Brasy9G125700.1.p pacid=40061220 transcript=Brasy9G125700.1 locus=Brasy9G125700 ID=Brasy9G125700.1.v1.1 annot-version=v1.1 MLARHLSNSAMDVDHGREVSNRETVGGRGASCGLLLARLGRCAAGFGRKVDGIAREDPRRVAHSLKVGLALALVSVVYFVPPLFNGFGVSTMWAVLTVVVVMEYTVGATLGKGSNRALATLVAGCIAVGAHKLAEVAEHWAVQQGEPILLTIFVFLIASAATFSRFIPEIKAKYDYGVTIFILTFSLVAVSSYRVEELIQLAHDRFYTIAVGVLICLCTTVFVFPVWAGEDLHKLAYGNLDKLAQFLEGMESECFGENAATENLEAKDFLQVCKSVLNSKATEDSLCTFAKWEPRHGKFRFRHPWSQYQKLGTLCRQCASSMEALSSYVITTSTRNQYPAANPELSAKVRTTCGEMSSLSAKVLRELATATRTMTVPSPDEVSVSAAVSAAEGLRSELAQDAALLQVMRVAVTASLLADLVSQVKEIAECVDVLARLAHFKDPGNAAKDVAVDVVGRRRAEPSPSDVVVSCGE* >Brasy9G285900.1.p pacid=40061221 transcript=Brasy9G285900.1 locus=Brasy9G285900 ID=Brasy9G285900.1.v1.1 annot-version=v1.1 MIARLPPTDAELDNLNQQGRSSRADVDAGPWCCWKCGTIHQPIANLFVDLPWPRCTCTTPPVLNTSFFEFNLTNMSACDVPLTMPVYNQGDKRE* >Brasy9G223600.1.p pacid=40061222 transcript=Brasy9G223600.1 locus=Brasy9G223600 ID=Brasy9G223600.1.v1.1 annot-version=v1.1 MGATGPDADEKEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPLAFLKIAAVVTLQLWTATLLRDAGWLKILTVSYLFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSQAEAHVVKNAISKSVWVVLQLFFYALRPLFLKPKPPGMWEFTNLTIQIALDAMVVYLYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGSKLHKVKEIAPEYYNSLKSYRSWSQVIYMYVMDQTVGPFSRMKRKAPKKDS* >Brasy9G189300.1.p pacid=40061223 transcript=Brasy9G189300.1 locus=Brasy9G189300 ID=Brasy9G189300.1.v1.1 annot-version=v1.1 MGWFRLGRTQQRPPWARAPRPAQGQNRKNVLTNNRASRYPTVSRTSRCSSEEERGSVEAIDRKEAMENGGDGGSDVPENANEHCPGTQSEAAGKEDSCAGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDYQVGLLDIDICGPSIPKMLGLEGQDILVASVC* >Brasy9G300600.1.p pacid=40061224 transcript=Brasy9G300600.1 locus=Brasy9G300600 ID=Brasy9G300600.1.v1.1 annot-version=v1.1 MENPDGGCPGERDLLAEAARMLQEMALRGREEGEEPDLPDEQLRSNDLLQQDEIHVHCEIPDGISVSAELFQGVDDDPDSWLLDKFSVEHLAPLSLTCLMPPSYPSHHPPYFTLGAQWLDSVKVSSLCHMLDSIWAQQPGQEVVYEWVQWLQSSTLSHVGFDEGIFIRQSDSLGPVDVRVVGEIASVESVVQRLISYNEERCHKSFLHRLHACMICFSEYTGNLNAPDDAQVLFLVLISYTALH* >Brasy9G159100.1.p pacid=40061225 transcript=Brasy9G159100.1 locus=Brasy9G159100 ID=Brasy9G159100.1.v1.1 annot-version=v1.1 MVTVDWERVVLIQFLFSSPRTRPTSLPPPLPLSASREMRWRAAPRRRRRTRARSAKRRLAMAGTSSYQRTAEVDYGGDELIPASSLCRLWIPSRRPPFLPPQPPPPRRCALLAVPKP* >Brasy9G051100.1.p pacid=40061226 transcript=Brasy9G051100.1 locus=Brasy9G051100 ID=Brasy9G051100.1.v1.1 annot-version=v1.1 MRFFWCIQKGTEKVHHDLFLAVLQKLPELGCTWEEQRSPTKIKQRRGKATELRGRRRKLQRIQRFQREQTREAGPGGKKEEQSRPRTLLSPEWRQRRSSSEPISYFTPSFHPGDVLHYLMSNDRPNLIVDM* >Brasy9G106600.1.p pacid=40061227 transcript=Brasy9G106600.1 locus=Brasy9G106600 ID=Brasy9G106600.1.v1.1 annot-version=v1.1 MAISTAKQQFLLLLLLVAVIFLAVPTASARRRPVHLRLYMHDIVGGPGQTSMVIVKGPGPANPSMAPGNHFGDTVAIDDVLTDGPSLDSPSSRAVGRAQGTYMLGSLEKPVFVVAMTVVLTEGPYNGSTLLVAGRDDTSEDVRELAVVGGTGMLRRAAGYVLWRTAKVESKVHAVLELDVHASVPALSAAVAGGGRSVAAS* >Brasy9G128900.1.p pacid=40061228 transcript=Brasy9G128900.1 locus=Brasy9G128900 ID=Brasy9G128900.1.v1.1 annot-version=v1.1 MEGRQAAGGGGGCGVTAEAARPAAAMVGLQVLFAVLQIFFKLALNDGMDARVLVAYRFLFAAAVLCPVAFIVERKKRPPLTMKVVQYLFLCGLFAIVINQNLYVLAIKLTSATFVTAIANLTPATTFLLAILTRLETLKLRNPAGQAKLVGTLVGMGGAMLLTFYKGPEFTLLRRLPRPRLVHITEAHHPSHPPSTGNQILGSFLGIMSCFSYAAWVVIQAKVGEVYPCHYSIAAMVCLFGGLQSTVVAVCVHRDMAHWRLGLNIRLYCSAYAGLIASGAAFPLLSWCLRKKGPLFIAVFSPLMLIFVAVLSSVFLNEALYLGSGLGSILIVCGLYLVLWGKAKEQTDVSKDEDLGEESIPVTATSESGVRQVESGN* >Brasy9G128900.2.p pacid=40061229 transcript=Brasy9G128900.2 locus=Brasy9G128900 ID=Brasy9G128900.2.v1.1 annot-version=v1.1 MEGRQAAGGGGGCGVTAEAARPAAAMVGLQVLFAVLQIFFKLALNDGMDARVLVAYRFLFAAAVLCPVAFIVERKKRPPLTMKVVQYLFLCGLFALETLKLRNPAGQAKLVGTLVGMGGAMLLTFYKGPEFTLLRRLPRPRLVHITEAHHPSHPPSTGNQILGSFLGIMSCFSYAAWVVIQAKVGEVYPCHYSIAAMVCLFGGLQSTVVAVCVHRDMAHWRLGLNIRLYCSAYAGLIASGAAFPLLSWCLRKKGPLFIAVFSPLMLIFVAVLSSVFLNEALYLGSGLGSILIVCGLYLVLWGKAKEQTDVSKDEDLGEESIPVTATSESGVRQVESGN* >Brasy9G244300.1.p pacid=40061230 transcript=Brasy9G244300.1 locus=Brasy9G244300 ID=Brasy9G244300.1.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G244300.3.p pacid=40061231 transcript=Brasy9G244300.3 locus=Brasy9G244300 ID=Brasy9G244300.3.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G244300.5.p pacid=40061232 transcript=Brasy9G244300.5 locus=Brasy9G244300 ID=Brasy9G244300.5.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G244300.2.p pacid=40061233 transcript=Brasy9G244300.2 locus=Brasy9G244300 ID=Brasy9G244300.2.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G244300.6.p pacid=40061234 transcript=Brasy9G244300.6 locus=Brasy9G244300 ID=Brasy9G244300.6.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G244300.4.p pacid=40061235 transcript=Brasy9G244300.4 locus=Brasy9G244300 ID=Brasy9G244300.4.v1.1 annot-version=v1.1 MGTTKPLGIQLFECVRGGPLSFRSSQALVLILTFLSYASYHATRKTTSIVKSVLDPKTANVGMLHWPSNLHLQHLNGAENNNTALHSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTAAFGAGYWFNIHSFYYFLGVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWCWSFAVPGAMIALVGLAVFLFLPVAPEVIGIEEDRRVKDSEKDDMDVPLLEKRHSDARDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTAIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSVSLGWNVALMFLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMESRRADHGSDLPVSSLREV* >Brasy9G025900.1.p pacid=40061236 transcript=Brasy9G025900.1 locus=Brasy9G025900 ID=Brasy9G025900.1.v1.1 annot-version=v1.1 MPNLHLPSFSLPRNGSRPARTSPSSTATSSSSSRRSLISVSIPSSQPTRASRHPPNPRFLLLPLPGQRWYSSSSRGRRAAYREPSMHNSVRTRRICGAANTCGPAYDRTLTSAAPRNHLARQVLMWLGQPGAERESRWELAGKEDRRRRGKRRGITGQRR* >Brasy9G089200.1.p pacid=40061237 transcript=Brasy9G089200.1 locus=Brasy9G089200 ID=Brasy9G089200.1.v1.1 annot-version=v1.1 MAPQVFGSKSRFAPSCHAPFLLCSLLIFLSSNTIILSSAQASNRSESDRQALLCFKSGISKDPVGVLGSWRNDSLNFCSWQGVNCSITLPIRAISIEFKSMRLTGKLSSCMAALTSLVQMNLQNNKLSGSIPDEIAELQNLQILMLAGNRLAGNIPLSLGTAASLRYVNLANNSLSGVIPDSLSNSSSLSEIILSRNNLSGVIPTNLFKSSKLVAVDLRWNALSGPIPQFEKMAALQVLYLTGNLLSGAIPTSLGNVSSLRSIMLSQNNLRGPIPETLGQIPNLQMLDLRENIFSGYVPATIYNVSSLIIFDLGNNKFNGRMPSGIGNSLPNLQTLVMRGNRFSGSIPDSLTNMSKLQVLDLSINLLTGVVPSLGSSVNLNQLLLGNNNLEADDWAFLTSLSNCTQLLRLAMDGNILNGSFPESVGNLSRKLERLNFGQNQISGNIPAEIGNLVNLTLLDMGQNMLSGQIPLTIWNLSKLERLNFGQNQISGNIPAEIGNLVNLTLLDMGQNMLSGQIPLTIWNLSKLERLNFGQNQISGNIPAEIGNLVNLTLLDMGQNKLSGQIPLTIWNLSKLERLNFGQNQISGNIPAEIGNLVNLTLLDMGQNKLSGQIPLTIWNLSKLERLNFGQNQISGNIPAEIGNLVNLTLLDMGQNKLSGQIPLTIWNLTNLFVLKLSMNRLSGQIPSTVGNLLQLGQLYLDDNELSGNIPPNIGQCKRLLMLNFSANHFNGSIPIELVGISSLSLGLDLSNNNLTGPMPQQVGNLINLGLLSVSNNRLSGGLPAGLGQCVQLLSLHMEHNMFSGNISKDFRALKNIQQIDLSENNLTGPVPEFFENFTSLNVNISYNKFEGPIPTGGIFRNLKVVSLQGNIGLCEKAAAIFELPICPTTPTSPATNRRSHARLILISIPLVIIALFTFLYVVVIVMKGTETQPPENFKETKKRVSYGDILKATNWFSLVNRISSSHTASVYIGRFEFETDLVAIKTFHLSEKGSQNSFFTECKVLKHTRHRNLVQAITCCSTVNFENNEFKAIVYEFMANGSLDMWIHARLHQGTPRRLLSLGQRISIAADVVSALDYLHNQLIPPLVHCDLKPSNVLLDYDMTSRIGDFGSAKFLSSSLGGPEGLAGVGGTIGYIAPEYGMGCKISTGGDVYSFGVLLLEMLTAMRPTDAVCGNALSLHKYVDLAFPDRVADVLDPHMPYGEDELAASLCMQNYIIPLVGIGLMCSAESPKDRPGMQDVCGKIVAIKEAFVQTV* >Brasy9G216400.1.p pacid=40061238 transcript=Brasy9G216400.1 locus=Brasy9G216400 ID=Brasy9G216400.1.v1.1 annot-version=v1.1 MFELVISIPAVLLVLILALGCYVLGRNRGRAEATSLPQFAPPAPPPQFAPPAPPK* >Brasy9G216400.2.p pacid=40061239 transcript=Brasy9G216400.2 locus=Brasy9G216400 ID=Brasy9G216400.2.v1.1 annot-version=v1.1 MFELVISIPAVLLVLILALGCYVLGRNRGRAEATSLPQFAPPAPPPQFAPPAPPK* >Brasy9G108700.1.p pacid=40061240 transcript=Brasy9G108700.1 locus=Brasy9G108700 ID=Brasy9G108700.1.v1.1 annot-version=v1.1 MPRAHASSSCVPVPGCSASYIFAMDRKTYELLGPEYPVTKWKTLEILLLVGSSVSSSSLLSWSHFVLSCRKRYW* >Brasy9G172600.1.p pacid=40061241 transcript=Brasy9G172600.1 locus=Brasy9G172600 ID=Brasy9G172600.1.v1.1 annot-version=v1.1 MRLLGAAGSAAEDGKVNDEGLALRRAEEAAARRCEAARWLRKMEPAAVDALPERPSKEEFRMALRNGLVLCKVLNRVNPGAVPKVVENPVDAEQSADGAAQSAIQYFENMRNFLVAVCEMNLLTFEASDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWRYGGIVKIASSSKRLPSHSSRFGSSADQNQQMLEFVHLLSEVSLEETKVGESQHSFFQHFVLRVVRSFLLEWSEAEDLPLDDMVLETILEQASKEFTILLVSHRNQVRSLLRKMMKDENGVCSKLELIEAISKTLKENSECLSSSLQLPSGNRKHLDDGGGLERQQEELEKLKLSFNEMKSQVESTRAKWEGDLTRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFSKMQLDQQSTVDHIGENGEIMIINPQKQGKDGRKIFSFNKIFGPNVSQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITSEETLGVNYRSLNDLFDISQNRSDTTTYDVKVQMIEIYNEQVRDLLMADGANKRLEIRNSSHVNGLNIPDANLVPVKCAQDVLDLMKVGHRNRAVGATALNERSSRSHSVLTVHVKGKEIVSGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVIAALAQKSTHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPEADAFGETVSTLKFAERVATIELGAARVNKEGAQVKDLKEEIGKLKLALDDKEREAAQLKDATSRAASGTRNARARSPLTTSFRFKPEAGQDSSVDTCTSETRSSSSGKQRRFRSPMSMRELDDKSPAISRELYFSSRKFKTPPPTVRSSLSAERSSTAKSMEKKENIECTPTSKMELPAIAPHSSSSKNTPASILTAQSLRKFRDSEENRITKPSVRESMTKNRPDSATKTQKEETQQQTTNGCTYSGNKVRSEAKITKNSPEMENEFANSEPTFHFNRKAKKFPPQVTRQSQSIDLRASVQEMEAVTEGKHRRNRPPYAERTNIPLPETRRSVSLPRGKFAPV* >Brasy9G310400.1.p pacid=40061242 transcript=Brasy9G310400.1 locus=Brasy9G310400 ID=Brasy9G310400.1.v1.1 annot-version=v1.1 MAASAAPSSIRIPSSAPPPLAALHRPRRRRVPSSVRCSLAAAPGLRAPPELVDSILSKVKGTDRGVLLPEEGHQEVADAALQLGKYCIDEPVKSPLIFGEWEVVYCSVPTSPGGIYRTPLGRLVFKTDDMVQVVEAPDVVRNKVAFSIFGLDGAVSLKGKLNVLDSKWIQVIFEPPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRQG* >Brasy9G310400.2.p pacid=40061243 transcript=Brasy9G310400.2 locus=Brasy9G310400 ID=Brasy9G310400.2.v1.1 annot-version=v1.1 MAASAAPSSIRIPSSAPPPLAALHRPRRRRVPSSVRCSLAAAPGLRAPPELVDSILSKVKGTDRGVLLPEEGHQEVADAALQLGKYCIDEPVKSPLIFGGKLNVLDSKWIQVIFEPPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRQG* >Brasy9G176700.1.p pacid=40061244 transcript=Brasy9G176700.1 locus=Brasy9G176700 ID=Brasy9G176700.1.v1.1 annot-version=v1.1 MSKRRQEQEHGQGQGTGKRLQKQKHLYLVLDDWAKGFTIHKIDADSPHLREPPVLRLAAPVPRRPMSFAALGSNIFAASNRHRGTLVYDTETAALATGPSLPDPLLGGVNTFMAAADTLYAFAYCFAEGRHSFEVMSTAGTTKDLPRLSTSPSMDWSWRSAPPFSKDERIVSCAVHPDGRAIFMSAHRTRGGRNRTFSFDTRRCEWRCHGEWALPFEGQGYFDAQLDAWVGLHEDGRICSCQVVAHGSTSTDKLWSQDEVARGHGPTLTYMGRARFCLVDCAVREGLEYEDAFGDRDGCVLRITTFGLEYGNKGELQTIGRTTSSCLVSKHISSFSPVAFWM* >Brasy9G144800.1.p pacid=40061245 transcript=Brasy9G144800.1 locus=Brasy9G144800 ID=Brasy9G144800.1.v1.1 annot-version=v1.1 MDVESSAAHEVSVDWRGRPCDPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSEAANVVTNFVGTIFLLSLVGGFLSDSYLGCFWTILTFGFVELSGFILLSVQAHLPQLKPAPCNMASMDGSCEQARGFKSSIFFVALYLVALGSGCLKPNLIAHGADQFAAGAGVDNAKRLSTYFNSAYFSFCLGELFALTALVWVQTHSGMDIGFGISAAAMAAGLVCLVSGAAFYRNKPPQGSIFTPIARVFVAAFNKRKQICPASPANVGVCEPARLDGNFRHGNKFRFLDKACIRENAGQQQGQGGNTKPESPWRLCTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGHGSFRVPPASLQAIPYAMLLVLVPCYELLLVPLMKRLTGTRSGIIPLTRIGVGLCTVAFSMVAAATVERRRRDLALSPGGAQQKMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQAAASGAGIGMQSFLTALTYCSYAFGFYLSSVLVTLVNRVTGSHGGRGGWLGDNDLNKDRLDLFYWMLAVLSVLNFFCYLVCARWYNSGADGLDAASAQIAAEGDGKEIS* >Brasy9G271500.1.p pacid=40061246 transcript=Brasy9G271500.1 locus=Brasy9G271500 ID=Brasy9G271500.1.v1.1 annot-version=v1.1 MMSRFMHIGKSSSRKLAHAALANSKPSVSGAHNIGPSYASGLAYRSRSFLHGTVHSGPSTSFVLGRAKEGLYWSPGARNFSVLSSCSRNAFHSQLAWKQLTAMGSRAPRASPLLSRAACAITLAATGSKVVPYLVAFIAGEIMLAEKTSADGEYLPIRDNIYMRAQDSRIYVTSLIFSAVEMVIIIFRSIYLAMLFTPSVLMAPFADNLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSFAHTKKTVEKAFGRKLSDIFENFEEEPVASGSVAQVHRASLRFRHPGKKTKRETVAVKVRHPGVGDSIRRDFSIINTVAKISRYIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRMWKDVSFPTPLYPLVHPAVLVESYEHGESVSHYVDDHDGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKVFIEEVERAFSFWGTPEGDVIHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLECVGSILPSFPHQLKLLDGLVRYLQFNIIPVPRALEFKIWPMQ* >Brasy9G271500.2.p pacid=40061247 transcript=Brasy9G271500.2 locus=Brasy9G271500 ID=Brasy9G271500.2.v1.1 annot-version=v1.1 MMSRFMHIGKSSSRKLAHAALANSKPSVSGAHNIGPSYASGLAYRSRSFLHGTVHSGPSTSFVLGRAKEGLYWSPGARNFSVLSSCSRNAFHSQLAWKQLTAMGSRAPRASPLLSRAACAITLAATGSKVVPYLVAFIAGEIMLAEKTSADGEYLPIRDNIYMRAQDSRIYVTSLIFSAVEMVIIIFRSIYLAMLFTPSVLMAPFADNLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSFAHTKKTVEKAFGRKLSDIFENFEEEPVASGSVAQVHRASLRFRHPGKKTKRETVAVKVRHPGVGDSIRRDFSIINTVAKISRYIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRMWKDVSFPTPLYPLVHPAVLVESYEHGESVSHYVDDHDGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKVFIEEVERAFSFWGTPEGDVIHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLECVGSILPSFPHQLKLLDGLVRYLQFNIIPVPRALEFKIWPMQ* >Brasy9G271500.3.p pacid=40061248 transcript=Brasy9G271500.3 locus=Brasy9G271500 ID=Brasy9G271500.3.v1.1 annot-version=v1.1 MMSRFMHIGKSSSRKLAHAALANSKPSVSGAHNIGPSYASGLAYRSRSFLHGTVHSGPSTSFVLGRAKEGLYWSPGARNFSVLSSCSRNAFHSQLAWKQLTAMGSRAPRASPLLSRAACAITLAATGSKVVPYLVAFIAGEIMLAEKTSADGEYLPIRDNIYMRAQDSRIYVTSLIFSAVEMVIIIFRSIYLAMLFTPSVLMAPFADNLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSFAHTKKTVEKAFGRKLSDIFENFEEEPVASGSVAQVHRASLRFRHPGKKTKRETVAVKVRHPGVGDSIRRDFSIINTVAKISRYIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRMWKDVSFPTPLYPLVHPAVLVESYEHGESVSHYVDDHDGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKVFIEEVERAFSFWGTPEGDVIHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP* >Brasy9G074900.1.p pacid=40061249 transcript=Brasy9G074900.1 locus=Brasy9G074900 ID=Brasy9G074900.1.v1.1 annot-version=v1.1 MVFPFKWSKPMARYLQLRRHLSAAAAATGAPLPVRSVHRALDKSAHSARIRELARLGRLREAREVFDAMPHRDIIAWNSMISAYCNSGMLDDARTLFDAISGGNVRTATILLSGYARLGRVLDARRVFDGMLERNTVAWNAMVSCYVQNGDITMARRLFDAMPSRDVTSWNSMVTGYCHSRQMVDAWNLFKQMPQRNLVTWTVMISGYVRIEQHGKGWDIFRMMHHEGASPDQSNFASVLSAVTGLRDLGVLEALRPLVLKTGFESDVVIGTSILNVYTRDASALDIAIKFFDGMVERNEYTWSTMIAALSHGGRIDAAIVVYGRDPVKSIPSQTALLTGLARCGRITEARILFEQIPDPIVVSWNAMITGYMQNGMVDEAKELFDRMPFRNTISWAGMIAGYAQNGRSEEALDLLQALHRNGMLPSLSSLTSSFLACSHIGALETGRQVHSLAVKAGCQFNSYVCNALISMYGKCRNMEYVRQVFNRMRVKDTVSWNSFIAALVQNNMLEDARHIFDNMLSRDVVSWTTIISAYAQAERGDDAVEFFKTMLHEHEKPNSPILTILLSVCGGLGSAKLGQQIHTVAIKHGMDSELIVANALMSMYFKCGCADSHKVFDSMEERDIFTWNTFITGCAQHGLGREAIKMYEHMESAGVLPNEVTFVGLLNACSHAGLVDEGWQFFKSMSRDYGLTPLLEHYACMVDLLGRTGDVQGAEQFVYDMPIEPDTVIWSALLGACKIHKNAEIGRRAAEKLFTAEPSNAGNYVMLSNIYSSLGMWVEVAELRKFMKQRGVSKEPGCSWMQIRNKVHSFVTGDKQHQQIEEIDSTLQDLYTLLRGTGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGDCHTFIKFVSHVTKRDIDIRDGNRFHHFRKGSCSCGDFW* >Brasy9G187500.1.p pacid=40061250 transcript=Brasy9G187500.1 locus=Brasy9G187500 ID=Brasy9G187500.1.v1.1 annot-version=v1.1 MTPPRRENTPMDIVVIDIATHIETKLPSKTSHTTTPPNHDEGDPTTPVVTNAAASIPPDHDSRGGRTI* >Brasy9G186300.1.p pacid=40061251 transcript=Brasy9G186300.1 locus=Brasy9G186300 ID=Brasy9G186300.1.v1.1 annot-version=v1.1 MEEEATRDARGETFDPDLIHAIFKHVWSRRTDRSGGGGGDEDFIDIEPVPETSRRTRSTTANASALQVSCELLRMFVTEAVQRSAVIAEAEGTTTIEPTHLERVLPQLLLDF* >Brasy9G279100.1.p pacid=40061252 transcript=Brasy9G279100.1 locus=Brasy9G279100 ID=Brasy9G279100.1.v1.1 annot-version=v1.1 MADMPDESAGQSQARGCSSYIHSFPSEPPDIRNWFSSYEYESPEDTEMSPFPSQPPDIRNWFSSYEYESPEDSELAADPGDGNGSETQDPFEYLVPGHSLLKHSRQDGGTASRGGCSVGQSEHEVSAARDFIPVSRSMVERGAKRKQSPRELLGASFLDDHDKATESETLAVSAVHINAVEHLSNCNAVSERSHEGAVRYTELPAECNGISSAETQENPPGGQETKHIRLPINFGGTSLAADTEEGFLEYVTEQTEVPVNSNCNVLDDTVKTGIKHRIPPVKSNGIVSAVTNESSPVEGINCGKPTLVHQKAGETTSGNGFITIRKKVEPAERCRATKIPKSSKENEAATLQENHCRILGQNVSARDSTRNPLSDRTNVSEVAGAPAPEPSGKWKCPRRGKPYVGPPLKQLRLEQWVRRMN* >Brasy9G279100.2.p pacid=40061253 transcript=Brasy9G279100.2 locus=Brasy9G279100 ID=Brasy9G279100.2.v1.1 annot-version=v1.1 MADMPDESAGQSQARGCSSYIHSFPSEPPDIRNWFSSYEYESPEDSELAADPGDGNGSETQDPFEYLVPGHSLLKHSRQDGGTASRGGCSVGQSEHEVSAARDFIPVSRSMVERGAKRKQSPRELLGASFLDDHDKATESETLAVSAVHINAVEHLSNCNAVSERSHEGAVRYTELPAECNGISSAETQENPPGGQETKHIRLPINFGGTSLAADTEEGFLEYVTEQTEVPVNSNCNVLDDTVKTGIKHRIPPVKSNGIVSAVTNESSPVEGINCGKPTLVHQKAGETTSGNGFITIRKKVEPAERCRATKIPKSSKENEAATLQENHCRILGQNVSARDSTRNPLSDRTNVSEVAGAPAPEPSGKWKCPRRGKPYVGPPLKQLRLEQWVRRMN* >Brasy9G356600.1.p pacid=40061254 transcript=Brasy9G356600.1 locus=Brasy9G356600 ID=Brasy9G356600.1.v1.1 annot-version=v1.1 MAEEDLTTLTAQLKAAAAAFPARRAVAVPGKVDLTHAALDALVDAAAASLAARAGVRPGHTVALCFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGAGLLLTDLSAANPAAEAAAAKLGLPHSAASLQSTSPTIHLTNLPEENTTTTDISGEELLGPNEASDVALFLHTSGTTSRPKGVPLTQRNLAATVRNIRAAYHLTSTDATVVVLPLFHVHGLLCSLLSSLASGAAATLPAGVRFSASTFWADMRGAGATWYTAVPTIHQIILDRHVSRPEETQPLRFIRSCSASLAPAILEKLETSFKAPVLEAYAMTEASHMMTTNPLPCDGAHKRGSVGIPAGDMELAILSDSGALLPAGTPGEVCIRGPNVTAGYSSRTTDSSAANAEAFKYGWFHTGDIGVRDPDGYLRLVGRIKELINRGGEKISPIEVDAVLLGCPGVKQAVAFGVPDEKYGEEINCAVILREEEDGKVGEKEVVEFCRKNLAAFKVPKKVFIADDLPKTATGKIQRRVVAQHFLAPPPPAAATTAAKA* >Brasy9G028600.1.p pacid=40061255 transcript=Brasy9G028600.1 locus=Brasy9G028600 ID=Brasy9G028600.1.v1.1 annot-version=v1.1 MMLETPIDVASKGIRPSEPASGSSFVGDAIKPAIGKKAARKGIRLSETASGSSSDGEGDAIKSQKLSDGDKAEAGKGKRIARVSQEYIDRLLKHGTPKIPTFDYLDNRTGPNASLLRALAASSTASMKKFQADTADVLDQFRTKGYAEVEVEDLDEEVLWILKVLRKTKKLASS* >Brasy9G118700.1.p pacid=40061256 transcript=Brasy9G118700.1 locus=Brasy9G118700 ID=Brasy9G118700.1.v1.1 annot-version=v1.1 MDDARSIRGCAPTREGVGGAPDRAVRDVVAGAAESGGATAGVQDDGLHGDTRQLLVNGTGRLGDAEPANRLTAGLALHAETDEGNAIDSDAETYATAMRSTQMQDPAQMSRQKMTERWWRILLASWQG* >Brasy9G331800.1.p pacid=40061257 transcript=Brasy9G331800.1 locus=Brasy9G331800 ID=Brasy9G331800.1.v1.1 annot-version=v1.1 MESTKVSALLLLAMLALSSSPVILACSSCGSTGSSATTPSTGVALPTLPPALGAVAPVVGSIAPVVGSIAPVVGSIAPVVGSTVPPSPVVGSTVPPVLGGSLPPVMGGSGSGSPITLPKLPIIGGSGSPSSPKVRHGGRKACPPSPPTPTPSPTPPTPTPSPPTPSYDTCPIDGLKLGVCLDILGNEVHIGDASVKCCPLVQGVAGLTVAACLCTAIKAKVLDISLYVPLALKVLVNDCGCAIPPGYTCA* >Brasy9G160700.1.p pacid=40061258 transcript=Brasy9G160700.1 locus=Brasy9G160700 ID=Brasy9G160700.1.v1.1 annot-version=v1.1 MAKFCCFGAGCSERHASATSGKGKGCQGEVKVSYGFSLVRGKTNHPMEDFHVAELTEAKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILNEEEIWTHPDRAITKAYEKTDQSILSHTPDLGQGGSTAVTAILINGKKLWVANVGDSRAVLLKRGEAIQMSIDHDPNVERGAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVKVEDIDHTAELLVLASDGLWKVMNNQEAVDLAKRFKDPQAAAKQLVAESLKRDSKDDISCIVVRFKM* >Brasy9G160700.3.p pacid=40061259 transcript=Brasy9G160700.3 locus=Brasy9G160700 ID=Brasy9G160700.3.v1.1 annot-version=v1.1 MAKFCCFGAGCSERHASATSGKGKGCQGEVKVSYGFSLVRGKTNHPMEDFHVAELTEAKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILNEEEIWTHPDRAITKAYEKTDQSILSHTPDLGQGGSTAVTAILINGKKLWVANVGDSRAVLLKRGEAIQMSIDHDPNVERGAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVKVEDIDHTAELLVLASDGLWKVMNNQEAVDLAKRFKDPQAAAKQLVAESLKRDSKDDISCIVVRFKM* >Brasy9G160700.2.p pacid=40061260 transcript=Brasy9G160700.2 locus=Brasy9G160700 ID=Brasy9G160700.2.v1.1 annot-version=v1.1 MAKFCCFGAGCSERHASATSGKGKGCQGEVKVSYGFSLVRGKTNHPMEDFHVAELTEAKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILNEEEIWTHPDRAITKAYEKTDQSILSHTPDLGQGGSTAVTAILINGKKLWVANVGDSRAVLLKRGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVKVEDIDHTAELLVLASDGLWKVMNNQEAVDLAKRFKDPQAAAKQLVAESLKRDSKDDISCIVVRFKM* >Brasy9G160700.4.p pacid=40061261 transcript=Brasy9G160700.4 locus=Brasy9G160700 ID=Brasy9G160700.4.v1.1 annot-version=v1.1 MAKFCCFGAGCSERHASATSGKGKGCQGEVKVSYGFSLVRGKTNHPMEDFHVAELTEAKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILNEEEIWTHPDRAITKAYEKTDQSILSHTPDLGQGGSTAVTAILINGKKLWVANVGDSRAVLLKRGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVKVEDIDHTAELLVLASDGLWKVMNNQEAVDLAKRFKDPQAAAKQLVAESLKRDSKDDISCIVVRFKM* >Brasy9G335900.1.p pacid=40061262 transcript=Brasy9G335900.1 locus=Brasy9G335900 ID=Brasy9G335900.1.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G124700.1.p pacid=40061263 transcript=Brasy9G124700.1 locus=Brasy9G124700 ID=Brasy9G124700.1.v1.1 annot-version=v1.1 MAGGDGDRTRAPKRQKSSAPSKAALVDETSEMNYADDFDDDARDGDIEVKKRDFTKLELKPDHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETTTIISVLSKLSKTKLPHEIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLKTLLNDEVIARARQSPEDSLGGPSFTVSKTAGEIASGHEDLLDGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDEGPNLSFHTLDEQLDLLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGLMSAFSGAGGMVYMEYNTGKGKGAKKNKDPAKRHHLFKKRYT* >Brasy9G239300.1.p pacid=40061264 transcript=Brasy9G239300.1 locus=Brasy9G239300 ID=Brasy9G239300.1.v1.1 annot-version=v1.1 MNFWTVSVSSVQTIRKYHRPLSSISLLFPPPDPPPPLRRPPLLPDPNPGRRLRNLLEPFLAFSPPPPASHGPPPPPSQDPSSSHGPRRLGGSDAACCRQQCDTTVLSHDD* >Brasy9G342500.1.p pacid=40061265 transcript=Brasy9G342500.1 locus=Brasy9G342500 ID=Brasy9G342500.1.v1.1 annot-version=v1.1 MAAAATLARTSPPPPLLCGHREGRNRLRLSPRRPAAGCRCRATAQSSFQGGPAASYAREMERLSAKESLLLAFKDAGGFESLVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPYLEGRWNFEWFGDNSPGAFAARILFERSPTSVAHFTGLDVVIKDGYSKLSSNVKFFNTIQNKFVLTTQLSVEGPIRMKEEYVEGFLETPKISEETLPEQLKGFLGQTTGALQQLPAPIRDAVSEGLKVPLNGMFQRLFMISYLDEEILIIRDAAGAPDVLTKLEGPQPNPIDTSDTVIPEYQS* >Brasy9G089700.1.p pacid=40061266 transcript=Brasy9G089700.1 locus=Brasy9G089700 ID=Brasy9G089700.1.v1.1 annot-version=v1.1 MAAAGKVPPVRGGAEAQFEEPQSESFLNMMDDAIDIETFSMSNEVEEVSAPKPQKRSSNYTHDEDIQLCKSWINISTDAIVGNEQPSKSYWARIAEHYHENRTFDSDRSSNSLEHRWSVLQKECMKWQANFEQVERRHPSGIPYKEHVSYTLNASISLQHCAKFFFAADEGMSCIICKGEAYAKVLSKRPRSTPSDEVGDDDDDSKSPTPDTARQARPLGRKKSKELMKNAGEGGSYKEALKDLLQVREKEAKMRENRWKEVEDRQERKLSLEERKFQWEQEQKIMFCDVNALEPNVKVWVLAMRSQMAATAAARVGGLGGDGMVGGLGGDGMTGSFSGDGNGGRDMSNI* >Brasy9G292400.1.p pacid=40061267 transcript=Brasy9G292400.1 locus=Brasy9G292400 ID=Brasy9G292400.1.v1.1 annot-version=v1.1 MRSGGQSPGFGAEGTDEGKEEEERRRFKARMLAIIEAGQDLRNRKTGSAGACQISPRRWRRYGRRW* >Brasy9G360800.1.p pacid=40061268 transcript=Brasy9G360800.1 locus=Brasy9G360800 ID=Brasy9G360800.1.v1.1 annot-version=v1.1 MAAQQQPASSYIGIDGGGGGAGTVDVVARDAAAQALGGVVQLHFDKTVEKKRAADLQKQELWRLFLGFFVFLSVLFLAVSSSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAAERLRKLKAAGEVVAAADVEVPYQEPHGSYLAKFRRSWAIHFAFLIATFAFSVAAAVAILCF* >Brasy9G245200.1.p pacid=40061269 transcript=Brasy9G245200.1 locus=Brasy9G245200 ID=Brasy9G245200.1.v1.1 annot-version=v1.1 MESVAVVAVPFPAQGHLNQLLHLSLHLASRGLPVHYAARPPGAVARARLGPPGPGLRPLPRPRPAGVRAAAAGPNRPDVLPVPPDAHAGRPRGPRGGPAGQAPRQSLRPPPPRGRGLRPPELLRGRRGGEAFCVQCVSVSYNVAFKDAGHRLLRGHGLEFHPVDACVSKEFEEYIARTWQDGQQGATVRGLLVNTCRALEGEFIDAEAQSPEYGGQRIFAIGPLNPLLLDARTTTTTPGLPRHECLAWLDRQPPASVLYVSFGTTSSLLAEQVAEIGAALRDSKRRFIWVLRDADRAAHDEEATESRHAKALPSLPGFERQTEGTGLVVTGWAPQLEILAHGATAAFMSHCGWNSTVESLSHGKPILAWPMHSDQPWDAELLCKYLKAGLLVRPLEKRNTVVPAEAIREVIERAMVSEEGLQTRERAKALGESIRASVAEGGSAHKDMEEFITYIAR* >Brasy9G310000.1.p pacid=40061270 transcript=Brasy9G310000.1 locus=Brasy9G310000 ID=Brasy9G310000.1.v1.1 annot-version=v1.1 MAATVRFGLLVAMFQAMSRDRTSAKKRGRLRTFLDRAYGATGRDDYFSALRLVLPSLDRERGSYGLKEAALAAALVDALGIAKDSPDAVRLTNWRRGGGGSGRNAGNFSLVAAEVLQRRQGMTSGGLTIKEVNDALDRLSATENRSEKALVLSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVSNASSAWKKLHGKPVVAECKFDGDRIQIHKNGEEIHFFSRTFLDHSEYEPGMSRYIKENILVDRCILDGEMLVWDTALNRFAEFGSNQEIAKAARDGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVKPLKGHLEILVPTGGLNVHRPSDEPCWSIFAHNIEDAEKFFKETVDNREEGIVLKDLDSKWEPGDRSGKWLKLKPDYIHAGTDLDVIIVGGYYGSGRRGGEVAQFLVGLAVPSNDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNNSKERPDVWIESPDKSVIISVTSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQSFVEIVHSSNGTMQKAEDDKSLKNDNVKHTRTNKRGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNTPPSYNLEYFHKVVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRHGRIIHYSWILDCCKQKCLLRLQPKYILFLADFARHKFLEEIDSYADFYYWDIDVADLKQIFSNMNTITVDQSIVQRYKKKHCAYERFCFFQGCCVYLHHAPLVNEDYNVISDLALKRVKHDLTMHGGQVCSSLAPATHLVIVSVLQAYNFDISYKSFSPAERRYLHDKRLHVVSNNWLEDSVDKQMKLPETLYNLKPDTLEEIHIERSEVNVQPSNDKHEEDEEVEISHVKNARKRGRPSSSSSRTVRAAPRPVRRTRARRGNQRAKIGDVESEESGPGETGQDDQKLNADHISKMEEDNSDKDQRPPQAAPRPARRTNARRGNQVAKIDHHESEESDHDETGKADYISKMEEDNLDKGQGPPPGAQFITLEEQQPNGVKLSAGEETTSSPRYKSKVTSERANAAETTSTTGEKVEQKFDPVHAMLLNMMPSLRQKRGQDASVDPPATKPENDTPARAVSSTSSYRVAVPVTGGFASNSVVSAPQAGSSTYSAGVPASDPNAAAPAKKKKVSYKDMANDLLKDW* >Brasy9G241900.1.p pacid=40061271 transcript=Brasy9G241900.1 locus=Brasy9G241900 ID=Brasy9G241900.1.v1.1 annot-version=v1.1 MGTQDQQYIVIGLGEEKGSEEEDRGLEEPTAQTTLAPRFRRSANVIIIDLDSMAAAAALRSEANKICGRPPLRLQAYLTAAVKEEQRGLLPSALRGFSSAESPNLVNKMQLPRGCATNNAGEPTASLAPRIDEKKRELLHLLRQTQTGHPHAAKCALEENKELLDLLRRTPPSSSRFVLSDDDLFTITVPITVAVGKLSNFENNNSMNNSSI* >Brasy9G238400.1.p pacid=40061272 transcript=Brasy9G238400.1 locus=Brasy9G238400 ID=Brasy9G238400.1.v1.1 annot-version=v1.1 MPVRAVDPTDPNYYNEPSQFMDDLISQEAPVFEEDAGEQWGDETQEGVSIDTEPLYTDVGTDPGSDTSRCQTKGKSKRTHAYAECEEKLLCEACLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYSFMSYRGEVSLQKRWGLIQSQCNKFAGVQDHVKARPLSGVGVGYMAYQTLEYFKVMYKKPFALIHCWRILKEAPKWQDLYLATKKGHGEGKKRDCSVIDLEASGHTEAASRAVRPRVRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKVLQLKEEQIKEFIDVQKRKLAIEEANAATTRTAAAAALLAEETRIMTADLNLMDEATRAWFLAKRKMIQERDAPAPSVE* >Brasy9G238500.1.p pacid=40061273 transcript=Brasy9G238500.1 locus=Brasy9G238500 ID=Brasy9G238500.1.v1.1 annot-version=v1.1 MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF* >Brasy9G191500.1.p pacid=40061274 transcript=Brasy9G191500.1 locus=Brasy9G191500 ID=Brasy9G191500.1.v1.1 annot-version=v1.1 MKEDPHPVSLDENDRISKRALIALFLILSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGNLPDLQTDLVEAPHKLMQEAVILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWARRRRPALDGDNWWRGHAAAFLRFVDIAPTALVRGRVRQSKREAAYFVVVLHDKRTVLIGVRGTETPEDLLTDGLCRECTFTMEDLDGLINSDQLPVTTRERVISTFPHYGHGGIVEAARELFMQLNDCTGEHTPSRKLGFLSTLLREGSECQGYKIRLVGHSLGGAVATVLGMMLFGRYPDVHVYAYGPLPCVDFVIAEACSQFVTTIVNNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILNMNKYQGNAPDSDIIEDYVDNHGRLAGRAVTNERRFPHQGALCNSEPDLQDLQNGFGGYRGSNSSVDEHRSYQSISIDQDVRRIPLDGQDSGLEEHQTSYGEIPVEPPEMFLPGLIIHIVRQRRGLFPLWKCWNFQEAEPPYKAVLAKRENFKDIDVSPSMFVDHLPWRCRCAMQRTLEVQTSKSSIISDSPVQHLV* >Brasy9G090600.1.p pacid=40061275 transcript=Brasy9G090600.1 locus=Brasy9G090600 ID=Brasy9G090600.1.v1.1 annot-version=v1.1 MSYAAYKMMHWPTGIDHCAAGFITHCPSDAAGFCSAAAASGQEGDVGLVAAARHPKRVGPTPNLVVAAANVLEVYAVRADAAAADGAGGAQPSSSSGAVFDGISGARLELVCHYRLHGNIESMAILSDGAENRRDSIALAFRDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALVYGLQMIILKSAQVGQSLVGEDEPTRALSSAAVRIESSYLIDLRALDTNHVKDFTFVHGYIEPVLVILHEREPTWAGRISSKHHTCMISAFSISMTLKQHPMIWSAANLPHDAYQILSVPPPISGVLVICANSVHYHSQSTSCSLALNNFASQPDGSPEIPKVNFHVELDAAKATWLSNDIVMFSAKTGEMLLLTVVYDGRTVQKLDLMKSKASVISSGVTTIGSSFFFLGSRVGDSLLVQFSCGVPTSVIPDIADERSADIEGDLPFSKRLKRVPSDVLQDVTSVEELSFQNNMLPNSLESAQKISYVVRDALVNVGPLKDFSYGLRANADPNATGNAKQSNYELVCCSGHGKNGALSVLQQSIRPDLITEVELPSCRGIWTVYYKSSRGHTTEDNEFHAYLIISLESRTMVLETGDDLGEVTETVDYYVQGATITAGNLFGRRCVIQVYATGARVLDGSFMTQELNFTALSSESSSSGSESLGVASASIADPYVLLKMVDGTIQLLVGDHSTCALSINAPSTLTSRGERISACTLYHDRGPEPWLRKTRGDAWLSSGVTVAVDVSGSSSQDQSDIYCIICYESGKLEIFEVPSFRQVFSVGSFFSGESLLVDAFAQGITEDSAKGRRDETKVSLKKEVANNIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYQAYCYEGLESNIKGTSFSPDGSVDLSNASDSRLKNLRFHRVSVDITSREDISSLARPRITIFNNVGGYEGLFLSGTRPVWVMVCRQRFRVHPQLCDGPIEAFTVLHNVNCSHGLIYVTSLGFLKICQLPSAYNYDNYWPVQKIPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVISIMADQEMIHHMDNDASSADDLQKTYTVEEFEVRVLELEKPGGRWETRSTIPMQSFENALTVRIVTLHNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLVIASGPKITLNKWNGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSVYFLSWKEQGSQLTLLAKDFGSLDCFATEFLIDGSTLSLVVSDSDKNVQIFYYAPKMVESWKGQKLLSRAELHVGAHMTKFLRLQMLPTQGLASEKTNRFALLFGTLDGSIGCIAPVDELTFRRLQSLQRKLVDAVSHVCGLNPRSFRQFKSNGKAHRPGPDNIIDFELLTYYEMLSLEEQLDMAQQIGTTRAQILSNFSDISLGTSFL* >Brasy9G090600.2.p pacid=40061276 transcript=Brasy9G090600.2 locus=Brasy9G090600 ID=Brasy9G090600.2.v1.1 annot-version=v1.1 MSYAAYKMMHWPTGIDHCAAGFITHCPSDAAGFCSAAAASGQEGDVGLVAAARHPKRVGPTPNLVVAAANVLEVYAVRADAAAADGAGGAQPSSSSGAVFDGISGARLELVCHYRLHGNIESMAILSDGAENRRDSIALAFRDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALVYGLQMIILKSAQVGQSLVGEDEPTRALSSAAVRIESSYLIDLRALDTNHVKDFTFVHGYIEPVLVILHEREPTWAGRISSKHHTCMISAFSISMTLKQHPMIWSAANLPHDAYQILSVPPPISGVLVICANSVHYHSQSTSCSLALNNFASQPDGSPEIPKVNFHVELDAAKATWLSNDIVMFSAKTGEMLLLTVVYDGRTVQKLDLMKSKASVISSGVTTIGSSFFFLGSRVGDSLLVQFSCGVPTSVIPDIADERSADIEGDLPFSKRLKRVPSDVLQDVTSVEELSFQNNMLPNSLESAQKISYVVRDALVNVGPLKDFSYGLRANADPNATGNAKQSNYELVCCSGHGKNGALSVLQQSIRPDLITEVELPSCRGIWTVYYKSSRGHTTEDNEFHAYLIISLESRTMVLETGDDLGEVTETVDYYVQGATITAGNLFGRRCVIQVYATGARVLDGSFMTQELNFTALSSESSSSGSESLGVASASIADPYVLLKMVDGTIQLLVGDHSTCALSINAPSTLTSRGERISACTLYHDRGPEPWLRKTRGDAWLSSGVTVAVDVSGSSSQDQSDIYCIICYESGKLEIFEVPSFRQVFSVGSFFSGESLLVDAFAQGITEDSAKGRRDETKVSLKKEVANNIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYQAYCYEGLESNIKGTSFSPDGSVDLSNASDSRLKNLRFHRVSVDITSREDISSLARPRITIFNNVGGYEGLFLSGTRPVWVMVCRQRFRVHPQLCDGPIEAFTVLHNVNCSHGLIYVTSLGFLKICQLPSAYNYDNYWPVQKIPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVISIMADQEMIHHMDNDASSADDLQKTYTVEEFEVRVLELEKPGGRWETRSTIPMQSFENALTVRIVTLHNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLVIASGPKITLNKWNGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSVYFLSWKEQGSQLTLLAKDFGSLDCFATEFLIDGSTLSLVVSDSDKNVQIFYYAPKMVESWKGQKLLSRAELHVGAHMTKFLRLQMLPTQGLASEKTNRFALLFGTLDGSIGCIAPVDELTFRRLQSLQRKLVDAVSHVCGLNPRSFRQFKSNGKAHRPGPDNIIDFELLT* >Brasy9G328400.1.p pacid=40061277 transcript=Brasy9G328400.1 locus=Brasy9G328400 ID=Brasy9G328400.1.v1.1 annot-version=v1.1 MLLLRRLLGRAPALSGRLRRSLSTAASHPSWVMIMDEMPACESVPPLAPGAFPVLAEPPRQSSVFVPKHLLNTSAVPDPDSGVKQFLLGHVRSATGDGLLLLSYLDMHVTDLRLDKQKGELTGIDPNHVSDITRLVCNPVTGELFRLPDIDGSTKIRDGTITHMGLFTQTDSGRGPPDRYAVVDFAELEHDARRNWVVQRFLSETRKWDTVVSAPCKLPRARTMMVDIGHGSLAFGGRMWWVDLTWGVISMDPFDNRPERRFVELPRGSVLPECTDSGSVLALTLARYRRMGASEGRLRYAEVSQREPFVLSSFVLDEEGGDWTLEHRVALSRVWADGGYPWLPLQGNETPQIGVLDPLNANVMHLTVGEHIVSVDMHTRKVVGSSLCGGGGGRCFIPCVLPPWLGSSQIPSAGKQLLLPIISN* >Brasy9G225600.1.p pacid=40061278 transcript=Brasy9G225600.1 locus=Brasy9G225600 ID=Brasy9G225600.1.v1.1 annot-version=v1.1 MFAPEPSSSAPANHRSLLRFLLSIYPIPRPGKRSDWRGRPATSYAHTQASPSLHVTTTMSFTGTQDKCFACDKTVHFIDLLTADGVIYHKTCFKCSHCKGILSVRTYVPAASSWPMLQRKCISFEKTMIALYALVALQMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGTKSDRGELSRAPSKLSSIFSGTQDKCTACTKTVYPLEKMTLEGEAYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYSHMKKKSDSQEVLPDVTAEEQPAAAPAAEDETSEDK* >Brasy9G225600.2.p pacid=40061279 transcript=Brasy9G225600.2 locus=Brasy9G225600 ID=Brasy9G225600.2.v1.1 annot-version=v1.1 MFAPEPSSSAPANHRSLLRFLLSIYPIPRPGKRSDWRGRPATSYAHTQASPSLHVTTTMSFTGTQDKCFACDKTVHFIDLLTADGVIYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGTKSDRGELSRAPSKLSSIFSGTQDKCTACTKTVYPLEKMTLEGEAYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYSHMKKKSDSQEVLPDVTAEEQPAAAPAAEDETSEDK* >Brasy9G240700.1.p pacid=40061280 transcript=Brasy9G240700.1 locus=Brasy9G240700 ID=Brasy9G240700.1.v1.1 annot-version=v1.1 MGTGSGATGSKAVVALALLLCLSSAAVGAWARPVASKGKHAGDEKFLLLKKHFGKGLGGGVGKGVGLGGGYGKGGGLGGGLGGGGGLGGGIGHGVGGGLGGGFGKGGGLGGGVGHGIGGGIGHGVGGGLGGGIGKGGGLGGGVGHGIGGGFGKGGGLGGGIGKGGGLGGGIGHGVGGGLGGGFGKGGGLGGGVGHGIGGGFGKGGGLGGGIGKGGDLGGGIGQGIGGGFGKGGGLGGGIGKGGGLGGGIGHGIGGGLGKGGGIGHDIGGGYGKGGGLGGGIGKGEGLGGGFGKGGGLGGGIGKGGGLGGGFGKGGGLGGGGGGGFGGGSGFGGGFGKGSGFGFGFGKGGGLGGGGAGGIGGGH* >Brasy9G314400.1.p pacid=40061281 transcript=Brasy9G314400.1 locus=Brasy9G314400 ID=Brasy9G314400.1.v1.1 annot-version=v1.1 MLSPYECAWCCLTYYGATQWELICAHALLCIHASHGPLVATILNWHASMQAKNRKTYCVELLCKLSLVHQYSSV* >Brasy9G230400.1.p pacid=40061282 transcript=Brasy9G230400.1 locus=Brasy9G230400 ID=Brasy9G230400.1.v1.1 annot-version=v1.1 MAREDRDFMQSVFFLANLCSQIDLFPLFIACQDLSSEQKGAAPPKAKDEYDHAIQRTLWVTDTDTESKLQKAPAMSFLSFPVAGGDYPTDKFDPDYLYFLRHIRPDGNSYVLELPHDGAFPPSVIKYDPPIANSDGECVSDPSPGRASTNRQTEERDSSSVEVVAAPSWLDSLVDIDEDYRVFLQHTRVVNNRLKLEIGGVVVNYEPDPDSAQSGGSSGIEEESGAAIASPGNDDMGVASDEPVEIISAPNACDWRADPSPGQKVQGEEDMGRHHAEPSGASSHRSHGVIWPAHITNRPDSDFKRRLVDALCKPFSRKEYLNLFDMASIRTPLVKLRQVRNDEKFYPTDEMGNSYFDHYPDLVEQVTNTSYSKGLALMRGFFFWLQNSAHEDQFMPWIDDSKDREVIPLVD* >Brasy9G230400.2.p pacid=40061283 transcript=Brasy9G230400.2 locus=Brasy9G230400 ID=Brasy9G230400.2.v1.1 annot-version=v1.1 MSFLSFPVAGGDYPTDKFDPDYLYFLRHIRPDGNSYVLELPHDGAFPPSVIKYDPPIANSDGECVSDPSPGRASTNRQTEERDSSSVEVVAAPSWLDSLVDIDEDYRVFLQHTRVVNNRLKLEIGGVVVNYEPDPDSAQSGGSSGIEEESGAAIASPGNDDMGVASDEPVEIISAPNACDWRADPSPGQKVQGEEDMGRHHAEPSGASSHRSHGVIWPAHITNRPDSDFKRRLVDALCKPFSRKEYLNLFDMASIRTPLVKLRQVRNDEKFYPTDEMGNSYFDHYPDLVEQVTNTSYSKGLALMRGFFFWLQLSFNRTPPCSAYFSLWC* >Brasy9G352100.1.p pacid=40061284 transcript=Brasy9G352100.1 locus=Brasy9G352100 ID=Brasy9G352100.1.v1.1 annot-version=v1.1 MTTMLATILILLLSLTVTDGAGIGVVYGRRATRLPPPSSVARFLARDTVIGRVRLRNADPVAVRAFAGTGLAVDITVPNKLLPRLAASRASARRWVRANVALHVAAGANVSRVLVGHEVASQTDVALALALVPAMENLHAALLGAGVAAGVEVSTAHSLSVLATSSPPSAGMFSAAAETVMKPVLAFLRATGAPFMVNAYPYYALTGAGDDDGNNNDTRALDFALFRGSSMAAGATDPGTGLLYTNALDAQLDAAHAAMARLGFGDVDLAVAETGWPSAGEDWEPAAGAGAGLAAEYNRNAVRHLGSGVGTPLMPGRAFEVSISSLFDEDLRPGPVSERRFGLLRPDFSPVYDAGILSAAAAAPEVSVKVTPAPAPEMNTTKGGQRQWCVPKPAADVVALQENIDFACGQGGGGVGVDCAEIRPGGSCYEPDTVEAHAAYAMNLYFRRNGGNEFDCEFGHTGAITTVDPSFGSCKFT* >Brasy9G139200.1.p pacid=40061285 transcript=Brasy9G139200.1 locus=Brasy9G139200 ID=Brasy9G139200.1.v1.1 annot-version=v1.1 MNERSFRGLLARRASPRVLPRAPPRCLSPRAFLLCPSRRRAAQAAPMHPAGRCRLCAAQPPPCTPPAAAPALRYPRGGAALAAPVRAAPRCPAPTAAGRRPTLAAPAAPGRGRRRAGPAAPVLRCPCLRWARPLPRLLAPAAAGATECRRRRTLPPRSPSLPSLGMAICDGVAIYDH* >Brasy9G222200.1.p pacid=40061286 transcript=Brasy9G222200.1 locus=Brasy9G222200 ID=Brasy9G222200.1.v1.1 annot-version=v1.1 MATCYPSGVSALLLPNPRTPATRFSGPASGSAPCAIFRRERRRRKTVGIARACFNPFGDERILREAMKEPVAFMGGVFAGLLRLDLNEDPLKEWVTRTVEASGIAEENSTEESNEGAQNDAPQQIEIE* >Brasy9G319100.1.p pacid=40061287 transcript=Brasy9G319100.1 locus=Brasy9G319100 ID=Brasy9G319100.1.v1.1 annot-version=v1.1 MDMTSLPIFVLLSLICFCQSDDRISPAKPLSPSDKLISQNGVFALGFFSLTNSIADSYIGIWYNKIPEPTYVWVANRDSPITSNSPGKLVLTNNSELVLSDSKGRSLWTTMTNITSGTAGTAAILLDSGNLVVRLPNGTHIWQSFHHPTDTILPDMPLPLNNNDDLYNRLVAWRGPDDPATSDYSMGGDSSSDLQIVIWNGTRPYWRRAAWDGALVTALYESSAGFIITETIVERGGVFYITFTVSDDSPNVRMMLHYTGMFKFLAWNSSSLSWEPFIERPSPSCDRYAFCGPFGYCDATETVPKCNCLSGFEPDGVNFSRGCRRKVDLKCGGGDSFLTLRDMKTPDKFLYVRNRSFDQCEAECSRNCLCTAYAYANLKIVNTTVDQSRCLIWLGELIDTGKFRGGSGENLHLRLPSSTVDKESNVLKIVLPVTVSLLILSCICLVWICKSRGKRRIKEIEDKHTPQRSKDSESSELENANMELPPICFKDIVTATDDFSDHNMLGKGGFGKVYKGLLGDGKEVAVKRLSKGSGQGASEFRNEVVLIAKLQHRNLVRLLGYCTYEDEKLLVYEYLPNKSLDTFLFDATRNFVLDWPTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGGNDQQANTIRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSHLIVDFPSLIAYAWSLWKDGNARELVDSSILENIPLHGVLRCIHIGLLCVQDHPNARPLMSSAVFMLENETAQLPTPKEPVYFRQRNYETEDQRDDLGISVNNMTITMQEGR* >Brasy9G319100.3.p pacid=40061288 transcript=Brasy9G319100.3 locus=Brasy9G319100 ID=Brasy9G319100.3.v1.1 annot-version=v1.1 MDMTSLPIFVLLSLICFCQSDDRISPAKPLSPSDKLISQNGVFALGFFSLTNSIADSYIGIWYNKIPEPTYVWVANRDSPITSNSPGKLVLTNNSELVLSDSKGRSLWTTMTNITSGTAGTAAILLDSGNLVVRLPNGTHIWQSFHHPTDTILPDMPLPLNNNDDLYNRLVAWRGPDDPATSDYSMGGDSSSDLQIVIWNGTRPYWRRAAWDGALVTALYESSAGFIITETIVERGGVFYITFTVSDDSPNVRMMLHYTGMFKFLAWNSSSLSWEPFIERPSPSCDRYAFCGPFGYCDATETVPKCNCLSGFEPDGVNFSRGCRRKVDLKCGGGDSFLTLRDMKTPDKFLYVRNRSFDQCEAECSRNCLCTAYAYANLKIVNTTVDQSRCLIWLGELIDTGKFRGGSGENLHLRLPSSTVDKESNVLKIVLPVTVSLLILSCICLVWICKSRGKRRIKEIEDKHTPQRSKDSESSELENANMELPPICFKDIVTATDDFSDHNMLGKGGFGKVYKGLLGDGKEVAVKRLSKGSGQGASEFRNEVVLIAKLQHRNLVRLLGYCTYEDEKLLVYEYLPNKSLDTFLFDATRNFVLDWPTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGGNDQQANTIRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSHLIVDFPSLIAYAWSLWKDGNARELVDSSILENIPLHGVLRCIHIGLLCVQDHPNARPLMSSAVFMLENETAQLPTPKEPVYFRQRNYETEDQRDDLGISVNNMTITMQEGR* >Brasy9G319100.2.p pacid=40061289 transcript=Brasy9G319100.2 locus=Brasy9G319100 ID=Brasy9G319100.2.v1.1 annot-version=v1.1 MDMTSLPIFVLLSLICFCQSDDRISPAKPLSPSDKLISQNGVFALGFFSLTNSIADSYIGIWYNKIPEPTYVWVANRDSPITSNSPGKLVLTNNSELVLSDSKGRSLWTTMTNITSGTAGTAAILLDSGNLVVRLPNGTHIWQSFHHPTDTILPDMPLPLNNNDDLYNRLVAWRGPDDPATSDYSMGGDSSSDLQIVIWNGTRPYWRRAAWDGALVTALYESSAGFIITETIVERGGVFYITFTVSDDSPNVRMMLHYTGMFKFLAWNSSSLSWEPFIERPSPSCDRYAFCGPFGYCDATETVPKCNCLSGFEPDGVNFSRGCRRKVDLKCGGGDSFLTLRDMKTPDKFLYVRNRSFDQCEAECSRNCLCTAYAYANLKIVNTTVDQSRCLIWLGELIDTGKFRGGSGENLHLRLPSSTVDKESNVLKIVLPVTVSLLILSCICLVWICKSRGKRRIKEIEDKHTPQRSKDSESSELENANMELPPICFKDIVTATDDFSDHNMLGKGGFGKVYKGLLGDGKEVAVKRLSKGSGQGASEFRNEVVLIAKLQHRNLVRLLGYCTYEDEKLLVYEYLPNKSLDTFLFDATRNFVLDWPTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGGNDQQANTIRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSHLIVDFPSLIAYAWSLWKDGNARELVDSSILENIPLHGVLRCIHIGLLCVQDHPNARPLMSSAVFMLENETAQLPTPKEPVYFRQRNYETEDQRDDLGISVNNMTITMQEGR* >Brasy9G333000.1.p pacid=40061290 transcript=Brasy9G333000.1 locus=Brasy9G333000 ID=Brasy9G333000.1.v1.1 annot-version=v1.1 MSFRDIGNMVQLEGKQQDHNNHNIQNGNNTGNNISGPNAASPFTGASAVISGNTVNGLQQPHVKIVKRPSPLLKRPPPYRVRSLCLQC* >Brasy9G350200.1.p pacid=40061291 transcript=Brasy9G350200.1 locus=Brasy9G350200 ID=Brasy9G350200.1.v1.1 annot-version=v1.1 MGLPGAAAADGTPGFFLGTKSGSRYVRMDDVLHQDHAGAGDGGVGVRGGGGARRYVLACSVFASLNHVLLGYDVGVMSGCIIFIQKDLGINSVQQEILVGCLSFISLLGSLAAGRTSDAIGRKRTIGLAAAVFQAGAAVMTLAPSFRVLMAGRLLAGVGIGFGLMVAPVYISEISPASQRGAMASFPEIFISLGILLGYVSNLAFSGLPDHLGWRVMLAAGILPSLSVAYALAVIPESPRWLVMQSRTPEALAVLLKVTEREEEAEERLAEIEAAAAMDSGGEKAAVWRELARPSPAVRRMMVVGLGVMFFQQATGIDALVYYSPTIFRDAGITSESALLAATVAVGVSKTAFIVFAIVLIDRVGRKPLLYISTAGITACLAALAASLSMPSMAGGGVGIGVAILTVCGFVAFFSVGIGPVNMVLSSEIYPLRLRAQAVALGLAVNRLTSGAVAMSFLSICGAVSVAGAFAAFAAVSALSVVFVHWFVPETSGKTLEQIESLFGGANGGGGEVELGIGDEEHLVHGEG* >Brasy9G350200.2.p pacid=40061292 transcript=Brasy9G350200.2 locus=Brasy9G350200 ID=Brasy9G350200.2.v1.1 annot-version=v1.1 MGLPGAAAADGTPGFFLGTKSGSRYVRMDDVLHQDHAGAGDGGVGVRGGGGARRYVLACSVFASLNHVLLGYDVGVMSGCIIFIQKDLGINSVQQEILVGCLSFISLLGSLAAGRTSDAIGRKRTIGLAAAVFQAGAAVMTLAPSFRVLMAGRLLAGVGIGFGLMVAPVYISEISPASQRGAMASFPEIFISLGILLGYVSNLAFSGLPDHLGWRVMLAAGILPSLSVAYALAVIPESPRWLVMQSRTPEALAVLLKVTEREEEAEERLAEIEAAAAMDSGGEKAAVWRELARPSPAVRRMMVVGLGVMFFQQATGIDALVYYSPTIFRDAGITSESALLAATVAVGVSKTAFIVFAIVLIDRVGRKPLLYISTAGITACLAALAASLSMPSMAGGGVGIGVAILTVCGFVAFFSVGIGPVNMVLSSEIYPLRLRAQAVALGLAVNRLTSGAVAMSFLSICGAVSVAGAFAAFAAVSALSVVFVHWFVPETSGKTLEQIESLFGGANGGGGEVELGIGDEEHLVHGEG* >Brasy9G351400.1.p pacid=40061293 transcript=Brasy9G351400.1 locus=Brasy9G351400 ID=Brasy9G351400.1.v1.1 annot-version=v1.1 MLPTGAAKMPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYALQMLYVSAPMPAAAMEEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNDKFQGNMQKYLVDAGSLPREPISSSVTGRLPTLTELENYALEQWECFLLQLINSSQVERGTSFSSSMMKTFQRGLLSSRDGDAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGAAYSLNTLTDVQRIAIRDLAELGLVKVQQGRKDSWFIPTKLATNLSSSLSDSSASKEGILVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLKQNAHPRVADKIPVVPENVTDQIRLWETDRNRVDMVLSHVYEDFPSKDLFEQCCDLARDNGFLLWEDSKKMRLIVRVEFHQEMREFLRRQR* >Brasy9G351400.2.p pacid=40061294 transcript=Brasy9G351400.2 locus=Brasy9G351400 ID=Brasy9G351400.2.v1.1 annot-version=v1.1 MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYALQMLYVSAPMPAAAMEEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNDKFQGNMQKYLVDAGSLPREPISSSVTGRLPTLTELENYALEQWECFLLQLINSSQVERGTSFSSSMMKTFQRGLLSSRDGDAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGAAYSLNTLTDVQRIAIRDLAELGLVKVQQGRKDSWFIPTKLATNLSSSLSDSSASKEGILVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLKQNAHPRVADKIPVVPENVTDQIRLWETDRNRVDMVLSHVYEDFPSKDLFEQCCDLARDNGFLLWEDSKKMRLIVRVEFHQEMREFLRRQR* >Brasy9G351400.3.p pacid=40061295 transcript=Brasy9G351400.3 locus=Brasy9G351400 ID=Brasy9G351400.3.v1.1 annot-version=v1.1 MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYALQMLYVSAPMPAAAMEEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNDKFQGNMQKYLVDAGSLPREPISSSVTGRLPTLTELENYALEQWECFLLQLINSSQVERGTSFSSSMMKTFQRGLLSSRDGDAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGAAYSLNTLTDVQRIAIRDLAELGLVKVQQGRKDSWFIPTKLATNLSSSLSDSSASKEGILVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLKQNAHPRVADKIPVVPENVTDQIRLWETDRNRVDMVLSHVYEDFPSKDLFEQCCDLARDNGFLLWEDSKKMRLIVRVEFHQEMREFLRRQR* >Brasy9G351400.4.p pacid=40061296 transcript=Brasy9G351400.4 locus=Brasy9G351400 ID=Brasy9G351400.4.v1.1 annot-version=v1.1 MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYALQMLYVSAPMPAAAMEEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNDKFQGNMQKYLVDAGSLPREPISSSVTGRLPTLTELENYALEQWECFLLQLINSSQVERGTSFSSSMMKTFQRGLLSSRDGDAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGAAYSLNTLTDVQRIAIRDLAELGLVKVQQGILVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLKQNAHPRVADKIPVVPENVTDQIRLWETDRNRVDMVLSHVYEDFPSKDLFEQCCDLARDNGFLLWEDSKKMRLIVRVEFHQEMREFLRRQR* >Brasy9G112600.1.p pacid=40061297 transcript=Brasy9G112600.1 locus=Brasy9G112600 ID=Brasy9G112600.1.v1.1 annot-version=v1.1 MVSALEHVIRAPPEDEHQQQQQQQQGFYPDAAAAVSGQHQQQQIGLAAAAAEEQGRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFVVTRGIPDRSSLHHQQQHYPGSTAMRPPQQLLQQQQQTVVPYPDLMRYAQLLQGAGGRPSGSGADADAAARLGMMMGAGSGVMNLPFGPMSPSSTMTSSSSSSPQILDFTTQQLIRPGPRPSSPAAAMSGSGGAATSSSTTTASSRPASAWPYGAEQHRSNKD* >Brasy9G113500.1.p pacid=40061298 transcript=Brasy9G113500.1 locus=Brasy9G113500 ID=Brasy9G113500.1.v1.1 annot-version=v1.1 MPTLIVTVDMDCCRCSAKIQKVLSSIQDRGKFTIEKIVYGEEKVLVIGPFDADELSCKLRCKAGKVIRNIEVAKPPKPKNDEKPTEPEPKPAQWNLVPYAYPVPVPHGVALCAALRVPLQAGAGAHVPVPDVAVLPLRLLPAVPDADAHAVHAYGLLRGDPALRRLRRHSREMSILVITVDLDCCRCKKKIEKVLECLKEDYCIEKIEYEDKNNKVIVRGKFDAEKLRKTIYSKACKVVKDIIIVPEWPPKNPCPNPCKCCKCEPEKKPDPKPCKCCCKCEPEKKPDPKPCKCCCKCEPDKDPKPCKCCCKCEKKPEKKPDPPKPTYKAVPYPYPVPYPMQCQNTPWWSCPPQQPCRCCPKPPEPPKPPPPPPPCSCNNKPPTWPPCNCSRPPCNCSRPSCNCSRPPIWPPPICFEDNQPPEACSIM* >Brasy9G029400.1.p pacid=40061299 transcript=Brasy9G029400.1 locus=Brasy9G029400 ID=Brasy9G029400.1.v1.1 annot-version=v1.1 MGDLDMSSLPLETRCPPFRLRQHGGFWLPETSLPDVAAVRAFFAPRPSDILLASFPKSGTTWLKALAFATLNRAEHPPRAADHPLRRRNPHDCVKFLELDLGLAAHGKASAVMASPRLLATHLPLPLLGERITPPSTAGCRIVYICRDPKDAFVSSWLFTKKNMHAAAAFELFCDGRCLFGPQWHHVVGYWEESRRNPDKVLFLRYEEVLQDPVSNVKKLAEFMGCPFSAEEEAAAQDIVELCSLDTLKSMEVNRNGAQKLARNDGFFRKGVAGDWRNHMTSAMAARLDRIVQDELQGSGFTFTVAAQATT* >Brasy9G210700.1.p pacid=40061300 transcript=Brasy9G210700.1 locus=Brasy9G210700 ID=Brasy9G210700.1.v1.1 annot-version=v1.1 MASVKAVKPAGPAAQAKEPAKLSATKEPAKLSATAKKPAAAKGGIKKAESKPREPKKRQVKSSKPAAAKN* >Brasy9G210700.2.p pacid=40061301 transcript=Brasy9G210700.2 locus=Brasy9G210700 ID=Brasy9G210700.2.v1.1 annot-version=v1.1 MASVKAVKPAGPAAQAKEPAKLSATKEPAKLSATAKKPAAAKGGIKKAESKPREPKKRVKSSKPAAAKN* >Brasy9G097300.1.p pacid=40061302 transcript=Brasy9G097300.1 locus=Brasy9G097300 ID=Brasy9G097300.1.v1.1 annot-version=v1.1 MDGAGSGAGRCGSGRSPPPPVFLRHAWTGPDPAALLLPEPVHLPSGSGWSCPRHGRAAISPVAPDPATIRLPRHGRGLICHPRPRPRRIHTPSTPSPPDLAALKPCSTGSGSARRR* >Brasy9G095100.1.p pacid=40061303 transcript=Brasy9G095100.1 locus=Brasy9G095100 ID=Brasy9G095100.1.v1.1 annot-version=v1.1 MDLTNLTLVLRAALSHAPEERKAAEASLNQFQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKAWSPIDPEETRKIPEDDKSMVRENILGFVTQLPLLLRAQLGESIKTLILADYPEQWPSLLHWVTHNLESQDQIFGALYVLRILSRKYEFKSEEERIPLYQIVEECFPRLLNILSTLVQIANPPIEVADLIKLICKIFWSSIYLEIPRQLFEPNIFNTWIVLFLNLLERPVPAEGQPLDADARKAWGWWKVKKWITHILNRLYSRFADMKVHKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFVHFIVEIFRRYDEASVELKPYRQKDGALLAIGTLCDRLKQTDPYKAELERMLVQHVFPEFSSHCGHLRAKAAWVAGQYAHINFSDQDNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYAIGLCQSLAAAFWRCMASSEADDEVEDSGALAAVGCLRALSTILESVSSLPHLFIQIEPTLLPILRRMLTSDGQDVYEEVLEILSYMTFYSPAISLNMWSLWPVMMEALNDWAIDFFENILVPLDNYISRGTEHFVACKDPDYQQSLWKGLSSVMTDQNMEDSDIVPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLHRAQKPYLKSLLVQVIANTFYYNPSLTLAMLHKLGVATQIFNLWFVMLQQVKKNGKRVNFKREHDKKVCCLGLISLISLPANHIPAEALERIFKATLELLIAYKDQVAESKRQNDAATDDLDEFDADEEEDEDDKDMGVDDEDQDEVTSLSIQKLVQARGFQLHDNDDDDDDSDDDFSDDEELQTPIDEVDPFIFFVETIQAIKASDPARFQNLMQTLDFSYQALANGIAQHAEERKVEIEKEKLEKANAQ* >Brasy9G029100.1.p pacid=40061304 transcript=Brasy9G029100.1 locus=Brasy9G029100 ID=Brasy9G029100.1.v1.1 annot-version=v1.1 MTDMCDLCCFGEVALALHHVPLPLSSHRKGRTRGEGKYFAGGGRSSGAGTRPRTAAHRRICSRRRTSPRTRGPPGWDLLEPPRRTTEQNAAAPRHGSERHHASPRSRAPLGRICWAPPAV* >Brasy9G354900.1.p pacid=40061305 transcript=Brasy9G354900.1 locus=Brasy9G354900 ID=Brasy9G354900.1.v1.1 annot-version=v1.1 MAMASIVSKLARAALATRASPSAARPAAAIPTVVSKLARAALATRASPSAARPAAAIPTVVSKLARAALATRASPSAARPAAAIPTIVSPAGGPKSEEVRPNSKVAPHALVGNDRTELDPDENVFKSKEAMWALYEKWCKFHGVVRDRSEMERRFKTFSESARQVYESGGLMYMSQFSDMTMDEITLLHCRPRLAGHIRRKRYLDQRKRELLRYARHVGNAPIGAGHPGSKST* >Brasy9G098800.1.p pacid=40061306 transcript=Brasy9G098800.1 locus=Brasy9G098800 ID=Brasy9G098800.1.v1.1 annot-version=v1.1 MANPAPAAGGKSFLQAIATVTEEAPPPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPTREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVSQCDFDRLKLSTAPFMERNLEFMIGCMDALSSEQNKFQYYYRNLGRQQSQQQAWLQKRRQENMSRKAAGEEPLPEEDPSNPIFKPLPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLAKALQED* >Brasy9G098800.2.p pacid=40061307 transcript=Brasy9G098800.2 locus=Brasy9G098800 ID=Brasy9G098800.2.v1.1 annot-version=v1.1 MANPPAAGGKSFLQAIATVTEEAPPPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPTREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVSQCDFDRLKLSTAPFMERNLEFMIGCMDALSSEQNKFQYYYRNLGRQQSQQQAWLQKRRQENMSRKAAGEEPLPEEDPSNPIFKPLPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLAKALQED* >Brasy9G130300.1.p pacid=40061308 transcript=Brasy9G130300.1 locus=Brasy9G130300 ID=Brasy9G130300.1.v1.1 annot-version=v1.1 MRTILLFGKMAQGALPPDSLPTTAASRGSSVVSRPPPPQPTTPASRPSSESPDTASTPSAAAGDTLDLNASPATMPSLPTKVPRPFPASSFDGGRNLFDDPVDPADPNYYNEPSHFMSDRGEVSLQKRECNKFAGAEDHVKARPVSGVSDMAYQTLEYFKVMNKKLFALIHCWRILKEDPKWQDLYLATKKSHGEGKKRDCSVIDLEASGHTEAASRAVRPTGRTNSKLDAKREASNLAFEETLKKMWSEKEAAMEKMLQLKEEQMKEFIDVQKRKLAIEEANAATTRTAAAAALLAEETRIMTADLSLMDEPTRAWFLAKWKMIQERDAPAPSEE* >Brasy9G322900.1.p pacid=40061309 transcript=Brasy9G322900.1 locus=Brasy9G322900 ID=Brasy9G322900.1.v1.1 annot-version=v1.1 MRRFCSIPRGRRASPAASPYGHPPLPPPEWIEPYTDLADPSPYTSASAAPPTPSPWLSRVVSLVLRAPPATLAADLRAFCKTFLLRLSPSFVAAALRSPQLLAHPLPSLHFFRSLPNGAELAAHPQDLLNCYVSLLHSFAHSREATPDAADHARQLVAELRARGDAVLMHLTPPSSASLIRSLAALGLADELLWAWSAMRLAGVEPSRVTYNCLLDGLVNAGLLDTAINVFDAMSTEDRVRPDVVSYNILIKGYCRAGRAQDAMSRLAHMREQIELSPDKVTYLTLMQLHYSEGTFSQCIRLFQEMEEMGMGKDIPQHAYVLVIGALCKEGKPFEGLAVFERMLKSGYPAKAAMYTALIDTMGKFGRENEAMLLFERMKASGLELDAITYGVIVNCLCRFGKLDEAILCFRNCVEKGIAVNAIFYTSLIDGFGKAGMVDRAQELFEEMKVKGFVPDSYCYNVLIDGLAKAGRLDDACALYKRMEDDGCDQTVYTYTIIIDGLFKKHKNEEAIKFWNTMIDKGITPTAAAFRTFANGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVQKGREIPGRVRTMMITALRKAGNTDLAVKLVHSKIGIGYVRSGSIKRRVKFQTLFV* >Brasy9G225200.1.p pacid=40061310 transcript=Brasy9G225200.1 locus=Brasy9G225200 ID=Brasy9G225200.1.v1.1 annot-version=v1.1 MLKHIRETIEGATKSVQTNYYGTKRVIEALLPLLQSSSAGQIVNVSSDSGLLRLVSNQEVRMELDDIGNLTEERLDELLGKFLKDFEAGALEAHGWPTGSPAYKVSKVAMNAYSRILARRHPALRVNCASPGYVMTDMTRNSGILTPEEGARNVIMVPLLPDGGPTGAYFTEGQEASFV* >Brasy9G254400.1.p pacid=40061311 transcript=Brasy9G254400.1 locus=Brasy9G254400 ID=Brasy9G254400.1.v1.1 annot-version=v1.1 MGLLSSLRPHRRGALSGVSGGGQWQWSFLDAVWAVFLLAVVVFLALVFTPRRGNTLAPLAGAVQPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPRGYRVPVSWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLTQYVPLKSGLLRTGLDMGCGVASFGGFLLKENIITLSFAPRDSHKSQIQFALERGIPAFLLMMGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWGELQAMTRSLCYELIIVDGNTAIWKKPAKASCLPNQNESGLDLCSTNDDPDEAWYFKLKECVSKVSLVEEIAVGSIAKWPYRLSKPSARASLMDDGANLFEADTQKWSKRVSYYKRSLGVKLGTTHIRNVMDMNAFFGGLAAAVASDPVWVMNVVPAQTPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINSLITDPKSGKSRCDLFDVMLEMDRMLRPEGTAVIRDSLDVIDKAVHVAQSIRWIAQVHDSEPESGSTEKILVATKTFWKAPLTSQ* >Brasy9G103400.1.p pacid=40061312 transcript=Brasy9G103400.1 locus=Brasy9G103400 ID=Brasy9G103400.1.v1.1 annot-version=v1.1 MTIYNDLPLIFIVLFEILRHGTRRHRRTHRGRRRWTPRRRRTRRRCTHVAVLVDIKDLGCRRRSLLQSKPCRSLHQDGVKSMKPCPRRSTL* >Brasy9G121300.1.p pacid=40061313 transcript=Brasy9G121300.1 locus=Brasy9G121300 ID=Brasy9G121300.1.v1.1 annot-version=v1.1 MLDAPVVPSMDHSSLLNPHNSSTEQRPSLPQTAARAEPLLSIFLPRTAARAAPLLSIFLPTNSSQQQPSSPSSSPLIASPSSASPIHLPSDEHHLAAPLLLLPNGGAPLLPPHVQQQQPATPLPSISPIGGESSDGGAANPATAPNPATAPLGCTDPAAPLPSPTVAGRRIQRRPSPHLTAADPATPLPSPRLTITNGGKKLPRNGRRRRVDGDLSSAARVTSAGTVGAGSFAMVVGASAGDLDVVAP* >Brasy9G343000.1.p pacid=40061314 transcript=Brasy9G343000.1 locus=Brasy9G343000 ID=Brasy9G343000.1.v1.1 annot-version=v1.1 MYRKRPSSALTACSSRKRRRPATLESDSSSWATLHEDLVRLIGWRVLDGDLLDYVRFRAVCPHWRSSTICPSGRGVVDPRFHPRRWMMLPEGHGLHPGHYKLRGYVRFFNLSTGAIVRCKLPLFSDHCVLDSSDGLLLLQRDQDSAIRLLHPFTGCLVDLPPLKTLRTGIAEEVVPWEFYRTVGATIFSVAANGVVTVMLGLSEMGRVACATTMDQRWRFSAWTFRHMWRPMAFQGKMYLLECRNFQSDLQIFEIDPPRQEDVAETGRFCSPPEPKLIATCPAGKLQMPYRLVECDSEILVVGHDGVLLPHIVVYRLADIIRGTIAPVTSIGGNAIFVEERLLSVSSRVHPTIVPDSVVILHPEEFYLGHYHLASGTWLPTADGCIRGNNGVPSPCSFIYHIFTCCHRATWNKGALLFQGLLQPPWKVKRKWRTGASMQHA* >Brasy9G343000.2.p pacid=40061315 transcript=Brasy9G343000.2 locus=Brasy9G343000 ID=Brasy9G343000.2.v1.1 annot-version=v1.1 MYRKRPSSALTACSSRKRRRPATLESDSSSWATLHEDLVRLIGWRVLDGDLLDYVRFRAVCPHWRSSTICPSGRGVVDPRFHPRRWMMLPEGHGLHPGHYKLRGYVRFFNLSTGAIVRCKLPLFSDHCVLDSSDGLLLLQRDQDSAIRLLHPFTGCLVDLPPLKTLRTGIAEEVVPWEFYRTVGATIFSVAANGVVTVMLGLSEMGRVACATTMDQRWRFSAWTFRHMWRPMAFQGKMYLLECRNFQSDLQIFEIDPPRQEDVAETGRFCSPPEPKLIATCPAGKLQMPYRLVECDSEILVVGHDGVLLPHIVVYRLADIIRGTIAPVTSIGGNAIFVEERLLSVSSRVHPTIVPDSVVILHPEEFYLGHYHLASGTWLPTADGCIRGNNGVPSPCSFIYHIFTCCHRATWNKGALLFQGLLQPPWKVKRKWRTGHA* >Brasy9G172200.1.p pacid=40061316 transcript=Brasy9G172200.1 locus=Brasy9G172200 ID=Brasy9G172200.1.v1.1 annot-version=v1.1 MGCGFPPVGQCNAGMGTAMMPSMVAPHLTPAFLAANRMTMNGTGTGVWHNQGVAGPGALWGGQQQWNFGGYEMPWQQPRLQQQHCQQYRKGDYGKMSGTGRERPSGRNEDRDSGNVRGNTERRQFGRGDGERLRQHNRGEGNRHREHVPEKERERDRNCDENDRRGGEKRRHPEYTEHDDWERRGRARSRSQSRDSDDDDYRRRRC* >Brasy9G201800.1.p pacid=40061317 transcript=Brasy9G201800.1 locus=Brasy9G201800 ID=Brasy9G201800.1.v1.1 annot-version=v1.1 MARKKPLGRNRVRGLEEADWGRPPRPTTRARRLVNDADTRIIWRCLLFAAGTRASVSLPVGPGCRFRERAAPSSSSSLPGQAAAPAGFSSPSAPPGRRPRRRRRSRRTRTLVVLGATKPAAQSGCRAPAGYPTSSALSLPLPCGPVTFPTSYLPHLRTTPLTTRPTCYTDPLVSAKTYGVRPQRHVELPSCSGSLYKPFLLRLSLPSVSRSVRHSLETSPDWVRWRFQALGLLSSNPRPKPAYPDLVVLGDRIPHPIGMVGRKAKSVPSRSHARDGC* >Brasy9G251600.1.p pacid=40061318 transcript=Brasy9G251600.1 locus=Brasy9G251600 ID=Brasy9G251600.1.v1.1 annot-version=v1.1 MNAKEIVEAQYQTCAAACRRHQESPDPADPVLRSARSERPAPYPGRAGARRSRKPELAGPAPPPAKPRRQVEGTNPETVGEWRIWTAVAGRRRNRPGHAMAGRPTARLLPGRRRTGESADHRQPRGRRKGRGRNNRRRLGQTEGGDKFLGFGGAPGVALSRATREAESAR* >Brasy9G101600.1.p pacid=40061319 transcript=Brasy9G101600.1 locus=Brasy9G101600 ID=Brasy9G101600.1.v1.1 annot-version=v1.1 MATSALNLPLLPISQPMPPRAPRTTLTRKTRTRPLRAACAYALREGQSRRSHRLPCGLDLEVIAQHPPTPGPAAGRSERPPLVFVHGSFHAAWCWTEHWLPFFSRAGFSCFALSLRAQGESSVPSEAVAGTLETHTGDIADFIRKEVSVPPVLIGHSFGGLIVQQYMSSLQDSLADSWLRMCSQVQNLFIQSFLVLFLCVLCLPQETVDWYGVTFWLNQLLLSRLHSAWPQKHMQIRCPSARKHFSHHKWMMNLSKGTDGVHYKQLYWRNKCTIRWVKLKTPNYFTWYQDLMKESSKLPLFDLRKLNASLPVPRVRNRTLDVLVMGASNDFIVDAEGLSETAKFYNVQPVCVEGLAHDMMLDCSWQKGAEIILYWLDKLAATSI* >Brasy9G101600.2.p pacid=40061320 transcript=Brasy9G101600.2 locus=Brasy9G101600 ID=Brasy9G101600.2.v1.1 annot-version=v1.1 MATSALNLPLLPISQPMPPRAPRTTLTRKTRTRPLRAACAYALREGQSRRSHRLPCGLDLEVIAQHPPTPGPAAGRSERPPLVFVHGSFHAAWCWTEHWLPFFSRAGFSCFALSLRAQGESSVPSEAVAGTLETHTGDIADFIRKEVSVPPVLIGHSFGGLIVQQYMSSLQGSEPLHPKLSGAVLVCSVPPSGNSGLVWRYLLAKPIAAVKVTLSLAAKAYANSLSLCKETFFSPQMDDELVQRYQDLMKESSKLPLFDLRKLNASLPVPRVRNRTLDVLVMGASNDFIVDAEGLSETAKFYNVQPVCVEGLAHDMMLDCSWQKGAEIILYWLDKLAATSI* >Brasy9G101600.4.p pacid=40061321 transcript=Brasy9G101600.4 locus=Brasy9G101600 ID=Brasy9G101600.4.v1.1 annot-version=v1.1 MATSALNLPLLPISQPMPPRAPRTTLTRKTRTRPLRAACAYALREGQSRRSHRLPCGLDLEVIAQHPPTPGPAAGRSERPPLVFVHGSFHAAWCWTEHWLPFFSRAGFSCFALSLRAQGESSVPSEAVAGTLETHTGDIADFIRKEVSVPPVLIGHSFGGLIVQQYMSSLQGSEPLHPKLSGAVLVCSVPPSGNSGLVWRYLLAKPIAAVKVTLSLAAKAYANSLSLCKETFFSPQMDDELVQRYQDLMKESSKLPLFDLRKLNASLPVPRVRNRTLDVLVMGASNDFIVDAEGLSETAKFYNVQPVCVEGLAHDMMLDCSWQKGAEIILYWLDKLAATSI* >Brasy9G101600.3.p pacid=40061322 transcript=Brasy9G101600.3 locus=Brasy9G101600 ID=Brasy9G101600.3.v1.1 annot-version=v1.1 MATSALNLPLLPISQPMPPRAPRTTLTRKTRTRPLRAACAYALREGQSRRSHRLPCGLDLEVIAQHPPTPGPAAGRSERPPLVFVHGSFHAAWCWTEHWLPFFSRAGFSCFALSLRAQGESSVPSEAVAGTLETHTGDIADFIRKEVSVPPVLIGHSFGGLIVQQYMSSLQGSEPLHPKLSGAVLVCSVPPSGNSGLVWRYLLAKPIAAVKVTLSLAAKAYANSLSLCKETFFSPQMDDELVQRNGWSTLQATILEKQMHHQMGQIENSKLFHVVPRSNEGKLEVATI* >Brasy9G363500.1.p pacid=40061323 transcript=Brasy9G363500.1 locus=Brasy9G363500 ID=Brasy9G363500.1.v1.1 annot-version=v1.1 MGKKNPSSRACLLLVFLICFLSGTARLADSARVFTIINQCKTDIWPAVTPSGGESFGGSDGGFLLRAGQSMVFTAPAGWVGRVWARTGCDFDAAGNGTCDTGSCGTSLHCSASASAMPPASLAEFTLASVDYYDVSLVDGFNLPMVITPSSNSSGNGGNGSSCTVAGCDGDLRKDCPPELEVKAGGKTVACRSACDVFGTDEYCCRGQYANPVTCQPSFYSKRFKAACPAAYSYAYDDPTSIFTCSQSPDYTIAFCSNRKQSVCSYHNERLVCSGSGRSWPTISALTLVLLLLSSFLPL* >Brasy9G204400.1.p pacid=40061324 transcript=Brasy9G204400.1 locus=Brasy9G204400 ID=Brasy9G204400.1.v1.1 annot-version=v1.1 MKPSGSLRSVRLHPSRQGLTKNDANLVQFLQNSCWNIFPSERSRPATRPWRSTRWQGRPAAWLTAARAWLVLVAMCFGKQGASALAVGRGGQHAGRQRGPEQQAGGGGWRGSRRWAAVLRPQDSPWAMAEAFGCAPCLISFFSFGTSCA* >Brasy9G073800.1.p pacid=40061325 transcript=Brasy9G073800.1 locus=Brasy9G073800 ID=Brasy9G073800.1.v1.1 annot-version=v1.1 MAMAASSSMASMEQLLKDPATATYSILAVALVTAVLVLINRIGGSGSGKQQRRRGLPPSPRRLPVIGHLHLLRPPVHRTFSELASRLGAPLMHIRLGSTHCLVASSAAAATELIRSHEGKISERPLTAVARQFAYGSDSGFAFAPYGPHWRAMKRLCMSELLGPRTVELLRPVRRAGLVSLLRTVIRKSPEPAVDLTAELIRMSNASIIRMMASTVPGSVTEEAQALVKAVAELVGAFNVEDYIAVCRGWDLQGLRKRAADVHRRFDALLEDMIAHKEEARAANKAVRGEEDDQEPETKKTVAESKDLIDILLDKMEDETAAEETKLTREKIKAFTIDVVTAGSDTSAAMVEWMLAELMNHPECLRKVRDEIDAVVGDERIAGEADIPNMPYLQAAYKETLRLRPAAPIAHRQSTEDMELATGGCFTVPAGTAVFINLWAIGRDPEHWGETALEFRPERFMPGGENEKLEPRGQHFQYLPFGSGRRGCPGMGLALQSVPAVVAALVQCFHWTVVPKAGEEKAVIDMEESDGLVCARKHPLLLRASPRLSPFPAVV* >Brasy9G342400.1.p pacid=40061326 transcript=Brasy9G342400.1 locus=Brasy9G342400 ID=Brasy9G342400.1.v1.1 annot-version=v1.1 ELAPAKNKTVPLNGCSKKSKPSIIKFNQDPQKTQYIAYSPCNLYVLIWMISFITKCTSNYQKNSKYIYCDDLATLY* >Brasy9G243300.1.p pacid=40061327 transcript=Brasy9G243300.1 locus=Brasy9G243300 ID=Brasy9G243300.1.v1.1 annot-version=v1.1 MGCRLLLVLAAALASLVPLLISCQAATTPTSCEAARRGGGHHQYRQPVGVRRIVVDATGAGDFLSIQQAVDSVPANNTVRVIMQINAGSYIEKVLVPASKPYITFEGAGRDVTVVEWHDRASDRGPDGQQLRTYNSASVTVLSNYFTAKNISFKNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIQGSIDFIFGNGRSLYKDCELHSTAQRFGSVAAQGRNGPCERTGFAFVNCRVIGTGQLYVGRAMGQYSRIVYAYTYFDSVIAPRGWDDWDHTSNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFSARPFLGKSFVNGFHWLAPDV* >Brasy9G187100.1.p pacid=40061328 transcript=Brasy9G187100.1 locus=Brasy9G187100 ID=Brasy9G187100.1.v1.1 annot-version=v1.1 MTDTRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASASDNMIWETASSNGVPIELSRSTHHQLIEEDGDFEAEAAMGSERSFRAPNARTTSYRKSSVVKIRGLNPTRNKQVHRVRADGHRKSVDSNHSNNSSLRQLTNNVVNNMEEKKEEEEVNSYERADLLLPEKTDEEVKMPGQSKFRDKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRVRSNDVVGSNFSGCGISYCWSGASKYRDLYSDSDGPEQPLLSTEGTEVAFQDNAPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKLDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDLDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKRVTVSLVHELVGLVSDDELIELLDLALSSDTTNTVRRARELMASSIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLERYALAEVGIQKLRHALKILSETEKQLRTSRNKATWVTVALLQFGTNECNIVAETNDTNAHSATGYTDDWVSKVNSSSNFCDACNSNKSNCSERYCRRLKLENIWRRAIGKCQSRSAKSFLKKEGILSSVHVTEELAIAEVGFSHPDHLSRGEKMQSLIESVLQHVLGFNVEIRFKIVPRAVRKDGRLKRHSFSLLSCSGRKQELSDSTVTDEDETVRHGARETPLKGYSSSQQQSPFIVQRVDSKPRVHGCEDDARSTLTSNRSMTDDQTRTCRSETNYSKGASEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICFRIQPHNKMCFLPKKEFDTYFCAYEPYEQCPRSNSRATYGSRDEDLSIKSSRFGSNLLCWRGPKQSI* >Brasy9G187100.2.p pacid=40061329 transcript=Brasy9G187100.2 locus=Brasy9G187100 ID=Brasy9G187100.2.v1.1 annot-version=v1.1 MTDTRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASASDNMIWETASSNGVPIELSRSTHHQLIEEDGDFEAEAAMGSERSFRAPNARTTSYRKSSVVKIRGLNPTRNKQVHRVRADGHRKSVDSNHSNNSSLRQLTNNVVNNMEEKKEEEEVNSYERADLLLPEKTDEEVKMPGQSKFRDKSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRVRSNDVVGSNFSGCGISYCWSGASKYRDLYSDSDGPEQPLLSTEGTEVAFQDNAPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKLDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDLDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKRVTVSLVHELVGLVSDDELIELLDLALSSDTTNTVRRARELMASSIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLERYALAEVGIQKLRHALKILSETEKQLRTSRNKATWVTVALLQFGTNECNIVAETNDTNAHSATGYTDDWVSKVNSSSNFCDACNSNKSNCSERYCRRLKLENIWRRAIGKCQSRSAKSFLKKEGILSSVHVTEELAIAEVGFSHPDHLSRGEKMQSLIESVLQHVLGFNVEIRFKIVPRAVRKDGRLKRHSFSLLSCSGRKQELSDSTVTDEDETVRHGARETPLKGYSSSQQQSPFIVQRVDSKPRVHGCEDDARSTLTSNRSMTDDQTRTCRSETNYSKGASEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICFRIQPHNKMCFLPKKEFDTYFCAYEPYEQCPRSNSRATYGSRDEDLSIKSSRFGSNLLCWRGPKQSI* >Brasy9G364000.1.p pacid=40061330 transcript=Brasy9G364000.1 locus=Brasy9G364000 ID=Brasy9G364000.1.v1.1 annot-version=v1.1 MAKYCPLLLQLLSALLMILPAATSTAAACHPDDLSALRGFAGNLSGGAAVLLRATWSGASCCGWEGVRCDDGASGRVTTLWLPGRGLVGPIHGASSSLAGLAQLESLNLANNRLHVGTTFPSWIGELDRLCYLDLSHNASPLHVKRNRRTLADGEPNTITGTNNSVESGNGNTLSGNDNVVICGNNNVVSGNHNKVVSGSDNAVSGNMHVVCGTHHVVTGTNNTVSGTNNAVSGSNHIVSGSNKVVTG* >Brasy9G067300.1.p pacid=40061331 transcript=Brasy9G067300.1 locus=Brasy9G067300 ID=Brasy9G067300.1.v1.1 annot-version=v1.1 MATTSLAAASHRHILFPSRSNLNSNFRTPYRSRLCPTSPPFLCSSSSSASPQPTAGGEEEGEEAGRGRRLSKQSSWEATDAEGDDYLYRLGKEADNMNIAVGARSGIIDDLFVGKFLGRDSDIVFDYRQKVTRKFEYLQGDYYIAPSFLDKVVCHIVKNYLANNLNIKFPLILGVWGGKGQGKTFQTELIFKAMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDLDAGVGRFGNTQMTVNNQIVVGTLMNLADNPTRVSIGQKWRESDITHRVPIIATGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHRMYTKDGLSIEEVSRIVDTFPNQALDFYGALRSRTYDQAILQWVNDIGGYEQLGEKLLKKKKREKLPTFIPPKPTLDALIESGDSLVKEQELIMNSKLSKEYMKNLEA* >Brasy9G067300.2.p pacid=40061332 transcript=Brasy9G067300.2 locus=Brasy9G067300 ID=Brasy9G067300.2.v1.1 annot-version=v1.1 MATTSLAAASHRHILFPSRSNLNSNFRTPYRSRLCPTSPPFLCSSSSSASPQPTAGGEEEGEEAGRGRRLSKQSSWEATDAEGDDYLYRLGKEADNMNIAVGARSGIIDDLFVGKFLGRDSDIVFDYRQKVTRKFEYLQGDYYIAPSFLDKVVCHIVKNYLANNLNIKFPLILGVWGGKGQGKTFQTELIFKAMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDLDAGVGRFGNTQMTVNNQIVVGTLMNLADNPTRVSIGQKWRESDITHRVPIIATGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHRMYTKDGLSIEEVSRIVDTFPNQALDFYGALRSRTYDQAILQPTLDALIESGDSLVKEQELIMNSKLSKEYMKNLEA* >Brasy9G095700.1.p pacid=40061333 transcript=Brasy9G095700.1 locus=Brasy9G095700 ID=Brasy9G095700.1.v1.1 annot-version=v1.1 MSAAAGPSMPVADGLLALAEEAERRRDFSTATLCLESALTPPHAASLLPLAEARARLRLAGLLLARSKGLASAKAHLERALLVLNPLPSAPPRLKLLAHSLLANVYGLLGSVPSQKHVLGRGLGLLASVSSSGLLPAGPSLLWTSNFHAQLASALAVDGDPSSALSSLSAGAAAAAQLQSPQLDLFFAATALHVHLICWEDSAVVEAAVVRISGLWDVLPAEQKEHWVGLFFYTELLQTFYLLRICDYKAASKHVERLDIAAKSEMQRGRRIKELGTELSSVERTLAQPMLKERERAALAHKQRQLKAHLRSLCGYDTVNDVFDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGIFRECRKRIHSGLQLIHDELLKLGIVDGVTEANLEHSTIWTAGIYLMLLLQFLENNVAVELTRSEFVEAQEALAQMKTWFTRFPTILQGSESTIEMLRGQYAHSVGCFDEAAFHFLEALRLTENKSMQSMCQVYAAVSYICKGDAESSSQALELIGPAYRTMDSFVGVREKTCIIFVYGLLLMRQNNPQEARVRLASGLRIAHQQLGNIQLVSQYLTILGTLALQLHDTGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELEERENEMENTEYGSKKEIDLQRRLTEARSHTFHQELVEKVRIQVKPLHGLLQKQHEIPAGSAANNDLDIPESVGLSAPQPSSVKRLVDPSSARRSTRRRVS* >Brasy9G014200.1.p pacid=40061334 transcript=Brasy9G014200.1 locus=Brasy9G014200 ID=Brasy9G014200.1.v1.1 annot-version=v1.1 MQSPSKLSDHSVSSPQNVPELQGWADLPDGLLHSIVALLGSFLDLTAFAGTCRSWRAAFSGYPSKSTFCTILPPLLIRPNVCVNAPHLPSTNGRHKLRTCKFIDLTNQNRALRCQIPQETFQKMHFAGSSYGHLICIRRGKCLIVDVFTGAEVSPPCLPLAFSHDSDEDIYFGGTLTAPLASPNCHLLVSTRSSLFDWPVGSDSWSELKTSDARIDQILEFNGQFIAMDYYHRIYTLQLAPQLGLQEITTKWWDDMTECPYLRPWLTVCGDMLLMVDHYLLFSIQAPVTYRPFRLDMSTEPAKWVEVKKLDNWALFVGGDVRSPPFSCLSPERWGGRSNRLYYAHYSQPLSVHGFGDEADAAWDPSTNPDLVYKRNWYTQLQAFWVYPSMFCSNGQ* >Brasy9G001900.1.p pacid=40061335 transcript=Brasy9G001900.1 locus=Brasy9G001900 ID=Brasy9G001900.1.v1.1 annot-version=v1.1 MSYNLNRDREVRWTEVLGPRRPIFEGPPRRWIHIHMLGKSPDVKATLAIAHDDLYVFGFKNKSGDWNTMRGFNYLPDCTELPFGPQYFHYGNSEDFHLVPLGKQSALDALQILSDYNPSTTNVEGAKYAVLKFILMVSEGSRFKGLNRRLVEQWGRGQDIFMLKREAKHYLLAWSKLSQALLTWKWSGERTWDGTPFATEFKVFGVNSAWQALDVVRFILRPTPQ* >Brasy9G345300.1.p pacid=40061336 transcript=Brasy9G345300.1 locus=Brasy9G345300 ID=Brasy9G345300.1.v1.1 annot-version=v1.1 MAMAVAGAAASCSYSFARAPRPPSLRRGPTSPHASGKPAEKVEIRVCTNRTCARQGAREVLAALGGLAPPPPRVDVDSCGCLGRCGAGPNVAASLSSSGAAAVFGHVGTAARAAALLEHLLGAAEFDAAAGLAALAVREKAEAALGNGDAAEAEALFTESIGLNAPGGLHLVYGSRCKARLAIGDIAGALADAEEAIRIAPNFTQSHLSRGDVLFAMGEYHAAEDAYADALNLDPSIRRSKSFKARLEKLRGKLISVSSSS* >Brasy9G338600.1.p pacid=40061337 transcript=Brasy9G338600.1 locus=Brasy9G338600 ID=Brasy9G338600.1.v1.1 annot-version=v1.1 MTKSKNGCLKILVCAGAGSDPSAGSDPETDAHADESKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNNVYSSTYAYASEKPLHQEKPDEKILHEEKPDEKPLHEEKPDEKPMEKPTEKPVDQIIEKSIEQPDEKITETPSEEPAEAVSEETIEEPTEKAVEELDQKPDESIAISSTELKHDETASLIDESIADPEEDHVEPAAVVIQSGIGTYIGNQELSNHKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKVQGLVRAHQAQQSAGMFEGTLVRSSSEKLLRNGFAVKLMDTAPTSKSMNIRCDPSGTDVAWEWMERWTTLILPSTGGNFLENTEDSGLVVEKMEEDVHHEEKVVPLDLGMSFPKLVADNVEETLRPSDSSALEAPECVPEETSGLEIKDDSVPELTEKINDDAEQLTDPKTESVVEQPFEFSGEQSTQTVPSREPNTLPDPEKSESSSEDVMDAYKSEQTLEMEGRSFTGRKSCNPAFAAAQMKFEELTSNSTVSRSNSSSYLDGPSKPKVHTPRSQDGASPKQNRDTSMPDNTVGHDAKIIAASECGTEISISSTLDSPDRSEADGGEIVMEIGSLGVRNYAPENANKDAHVLHSEAKNTSQGVVQPDSEEELNGNVANPVIVTDPVPEQAHVVLEKPDLHDQIEKSIESYAKSPEGTPMSRTTFAESHGTPSSEVSVNTNKSKSKKPKSRVSRRSLTSPSSNSVGRSSTDNLSKDYKHSKREGSAKVAKTDNVDQEPRMSNSTPLPSYMQFTESARAKAAVSLSPKLSPDVQENNPRKRHSLPITNGKQDTSPRMQRSSSQAQQNVKSNVAVPQNPSDKRWNI* >Brasy9G180400.1.p pacid=40061338 transcript=Brasy9G180400.1 locus=Brasy9G180400 ID=Brasy9G180400.1.v1.1 annot-version=v1.1 MGRAVPVLCLVLSEQKRRGSWAAGGARVGQGRRLGASPRRAEAAAAPATVSWTAESAEWIQTGRELGRARARACVCGGGGGGGFQRGGRPAGGGDDVAGGCIAMARREEENGMRFELVWLGLDENWDGQS* >Brasy9G256300.1.p pacid=40061339 transcript=Brasy9G256300.1 locus=Brasy9G256300 ID=Brasy9G256300.1.v1.1 annot-version=v1.1 MVNFGKKLMADQVEEWKEYYINYKLMKKMLKQYVQQTQIGGKDCEQVLKDFSRVLDDQIERIVLFMLQQQGYLASRIEDLGGQRAAILGRVDTSIVFQLREDYRQVGRDLVKLLRFVDMNATGLRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVAGALSRNLAYLEDEHRGSFLSIYDNPSVVLKDPIIDQVNRAVQKLTHATNFMQYLGQHALIVQDDTQSGSEDLDKDESYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFFGNLLYALAYDMNSLIVLLIGRILCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPGLAGFLQTKFTIYSLTFNQSTLPGWVMSIAWLLYLVWLWFSFKEPEHFAKAAVSTPSSESSHQESANLEEGLAQPLLQDSDERLDENVEDNDDNEETENSHAPAASFVSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFNWNTSAVAIFLAILGLTVLPVNAVVGSYVTNWFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVSSALITFVFSEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNVTLLPPLVISIVSIVATFCTYNTLY* >Brasy9G285800.1.p pacid=40061340 transcript=Brasy9G285800.1 locus=Brasy9G285800 ID=Brasy9G285800.1.v1.1 annot-version=v1.1 MMRMRVANDNALLVLAVFVFLFGSRGGLLVSAARGREGVHLVPAVYVFGDSTVDVGNNQYLAGEKPLQLPYGIDFPGSIPTGRFSNGYNLADSIARLLGFKMSPPAYLSLTPETSRQIYRGFGGVNYASGGSGILDTTGKTLTLTKQVEDFAATKSNMTEKHEPRRIDELLSRSLFLISDGGNDMAEFFKSHDRTVPSFYEDMLSSYERHVRALYGLGARRFGLIDVPPVGCVPVARAIFASGECVEAANGLAKGCNDALRELMAGLLRGEL >Brasy9G287700.1.p pacid=40061341 transcript=Brasy9G287700.1 locus=Brasy9G287700 ID=Brasy9G287700.1.v1.1 annot-version=v1.1 MRGSAAATAFLPLRRVGAVVLPLLLLLLIPVIASSSPASAAEGSSSSSNKQRKNRGHNYEEALRKSLLYFEAQRSGRLPHGQRVPWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLVEYGGDVAAVPGGELAHALEAIKWGTDYFIKAHTQPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFRSSNPHYSHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRASYLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHTERQRETLEQYRAKAEHYVCACLGRNTAQDDGDSEPNSNNVERSPGGMLYIRQWNNMQYVTNAAFLLSLYSDYLSDSATTIPTTVTCAGGETADAGEVWALARSQVDYVLGDNPRGVSYLVGYGPKFPARVHHRAASIVPYKRSKAFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAKLNRVAREEERQRLAMAAEV* >Brasy9G216300.1.p pacid=40061342 transcript=Brasy9G216300.1 locus=Brasy9G216300 ID=Brasy9G216300.1.v1.1 annot-version=v1.1 MEYENGHAATYGDDLCVAAPLAPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVKMEGASLTIAQVAAVAAGAEARVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGEDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGRKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVRSAVKNCVTTVARKTLSTNVNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVETSVFAKLAAFEQELRAVLPKEVEAARGAVENDTAAKQNRIAECRSYPLYRFVREELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPLC* >Brasy9G245500.1.p pacid=40061343 transcript=Brasy9G245500.1 locus=Brasy9G245500 ID=Brasy9G245500.1.v1.1 annot-version=v1.1 MAEGEEQKKSGGEGEEKKSTYPPGFRFMPTDEELVEYYLLPRLQGRPHVPNDCIIEDNVYRCHPDELINGHKDKGETSWYFLTPRTRKYTKGERPDRCTDDHRGRWKASTGKTENEKETVGNVRVKFFESMLGYHVGPVETEKKTKWLMRELTVPDYEIDLDQNSMKLDDYVMCRIYVTPRHKSKNDDEAGSSGTSTCQEQEASPAPSQPEPVESGPQRRAAPNKLSARQKGKRPVEAMRRGPMATPKRASPLDLLLGQPAPLHAGGGMQPPFGPSAGYQYAGPGRPMSMQRPPAPMAFSGRVGPRARTSMPRPPVAFNGQMRPSAHTSMPRPPVAFSGQVPRYRAAHGAAAAGNFGQAMMMPRPMNQAGQTFRIPPPPTVTRSPEERMQPETEEMRENRVLQQYENEWMMHAGGMVQVQQQPAGNVAAYPTQPMAFTQQHEWMCGTMQQQPGDMTYATQQPQPMAFFTQQQQQQQLYFDGDVNHHQYLGQPMVLQSQFTPFHYQHVEVGQQFQSSCAVVVPDSAAATTPAAASTAEVQRVEEAAEPETGARMRGQTSEGDATTMRGSALLSWQQRTGVLPEGTSASADDDDTRHDSQR* >Brasy9G193700.1.p pacid=40061344 transcript=Brasy9G193700.1 locus=Brasy9G193700 ID=Brasy9G193700.1.v1.1 annot-version=v1.1 MSSRSQKRQFNSTTHVSPGGPALCCPGRRLPPSSTQNQIPRRSERSREKKSRHRKPLSSTRPPVHTSPFIRHLFPTVLSTLHSQSSKPQRFRAVNYLRRLRAEMRIQCDACEGAAATVVCCADEAALCARCDVQIHAANKLAGKHQRLPLHDSPSTPRQPLPPRCDVCQDKPAFVFCVEDRALFCADCDLSIHVQGALSGNHHRFLATGIRLGFAFTTAPVLSARRPPPPPSQPPTVVPAPAAAPQEVPSSPAYLSPSGWAVEDLLQFSDYESSGDKKGPTSPLGFKELEWFADIDGLFHHDSPLTPATKAGSRTAAAAEVPELFASPQLASNAGFYKTAGSRPSKKARVELPDDDEDYLIVPDLG* >Brasy9G155200.1.p pacid=40061345 transcript=Brasy9G155200.1 locus=Brasy9G155200 ID=Brasy9G155200.1.v1.1 annot-version=v1.1 MLSSPSPPTLCTLVSSHSGHPHHSRRLSQILRSSNPNAPSFPKPNPDPPPPPPRRLNGEDRRLSSLVHRGELDAALRVVESSPCPPDVALANRLVRDLCRRGRPADAARVVEACGSAATAVTYSALADGYCRVGRLDEAHRVVDGMPVLPSAYAYNPLMHALCVRGQVRDALVLLDNMLCRGCAPDVVTYNILLEAACKGRGYRQAMELIDLMRVEGCTPTNVTYNVIMDGMCREGDVDNARELLNSLPSYGCTPNTVNYNTVLKGLRTAGRWEDVEELIAEMFSENCPPSEATLSVVISSLCQEGLFQRAIRFLEKMSKHDCTANIVTYNAVVSGLCEQGHVDGALYLLSNMQTDGCKSSIFTYNILVKGLCNAERWEDAEDLMAKMSQTDCLPDILTFNTIIGFLCQKGLTMQAVEVFKQMPEKGCCPNSVTYSSLIEGLAKAGKMEQSLELLNEMGSKGFNPEINYHLLAECLNEEDKIVEAIQMVHKLQDTGISPQAVLYNTILLGLCRNGKTDQAIDILSNMVSDGCMPDESTYSILIQGLAHEGYMKEARELLSKLSSRDVIVDNLIKNDASLLDQSIHTS* >Brasy9G014000.1.p pacid=40061346 transcript=Brasy9G014000.1 locus=Brasy9G014000 ID=Brasy9G014000.1.v1.1 annot-version=v1.1 MSRLARLPALPLAVLLCSSSLALALASAYGPGPWTCGDDRVAILEASDGRLHNMSINGVFVQDLARGCESLRSYFRSGCLRCGDERAEAWMAAWAHYCRGQSSDDVVTSVPNMPRKVLKQPSDNGAKSEDGPCGNMGLHEDKQDGSDSYEKEDPLLAVPGVLLICCGLMLPCFHAERKEGSRHNTTAVQRNAVDSIASFDVSTSSEKVPPTPHRIPPSPSRFAPSPHIGRVGSVDLSVQQIIRATQNFSHSFKLGEGGFGTVYRAVLSDGQVVAVKRAKKDQFAGPSDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGQHGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPNDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISARRPVELKRAAEERITIRWTFKKFNEGNMKQILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGRSLRKA* >Brasy9G014000.3.p pacid=40061347 transcript=Brasy9G014000.3 locus=Brasy9G014000 ID=Brasy9G014000.3.v1.1 annot-version=v1.1 MSRLARLPALPLAVLLCSSSLALALASAYGPGPWTCGDDRVAILEASDGRLHNMSINGVFVQDLARGCESLRSYFRSGCLRCGDERAEAWMAAWAHYCRGQSSDDVVTSVPNMPRKVLKQPSDNGAKSEDGPCGNMGLHEDKQDGSDSYEKEDPLLAVPGVLLICCGLMLPCFHAERKEGSRHNTTAVQRNAVDSIASFDVSTSSEKVPPTPHRIPPSPSRFAPSPHIGRVGSVDLSVQQIIRATQNFSHSFKLGEGGFGTVYRAVLSDGQVVAVKRAKKDQFAGPSDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPNDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISARRPVELKRAAEERITIRWTFKKFNEGNMKQILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGRSLRKA* >Brasy9G014000.4.p pacid=40061348 transcript=Brasy9G014000.4 locus=Brasy9G014000 ID=Brasy9G014000.4.v1.1 annot-version=v1.1 MPISSIEEKLPIPPILDVVTSVPNMPRKVLKQPSDNGAKSEDGPCGNMGLHEDKQDGSDSYEKEDPLLAVPGVLLICCGLMLPCFHAERKEGSRHNTTAVQRNAVDSIASFDVSTSSEKVPPTPHRIPPSPSRFAPSPHIGRVGSVDLSVQQIIRATQNFSHSFKLGEGGFGTVYRAVLSDGQVVAVKRAKKDQFAGPSDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGQHGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPNDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISARRPVELKRAAEERITIRWTFKKFNEGNMKQILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGRSLRKA* >Brasy9G014000.2.p pacid=40061349 transcript=Brasy9G014000.2 locus=Brasy9G014000 ID=Brasy9G014000.2.v1.1 annot-version=v1.1 MPISSIEEKLPIPPILDVVTSVPNMPRKVLKQPSDNGAKSEDGPCGNMGLHEDKQDGSDSYEKEDPLLAVPGVLLICCGLMLPCFHAERKEGSRHNTTAVQRNAVDSIASFDVSTSSEKVPPTPHRIPPSPSRFAPSPHIGRVGSVDLSVQQIIRATQNFSHSFKLGEGGFGTVYRAVLSDGQVVAVKRAKKDQFAGPSDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPNDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISARRPVELKRAAEERITIRWTFKKFNEGNMKQILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGRSLRKA* >Brasy9G214400.1.p pacid=40061350 transcript=Brasy9G214400.1 locus=Brasy9G214400 ID=Brasy9G214400.1.v1.1 annot-version=v1.1 MGLREIESTLPPGFRFYPSDQELVCHYLYKKVTNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPSTRAIVGMRKTLVFYQGRAPNGTKTSWVMHEFRLDMPHLPPREDWVLCRVFEKQKGDGEQDKISASSPTFAGSSQAAAAQEPQQPMLDTSNDLQIMGSAMGFVPRQDQEEVCGSNYPLLNAAMWQQYSLVLDTFPLQEEIMVAGSPVMGLGAARSGAGGDDCGFLFDSGFDDMANLGAGMRFPQGWS* >Brasy9G138400.1.p pacid=40061351 transcript=Brasy9G138400.1 locus=Brasy9G138400 ID=Brasy9G138400.1.v1.1 annot-version=v1.1 MPLVGCPRRGSPLKLADRAQRRPPPRTIAPKCDISSPGPLLTRRAVSIGSMLLTAIPFPASSPQLLAASASETEAEVGGGGGGAAVLELERYTDQDQGFTLLKPASWLKVEKAGATALFQQEGKGSNNIGIVVNPVRLSSLKDFGTPEFVADRLLQAEKKKESTKSAEVISTGERSGRDGLTVYEIEYSLDSTRGGMKRIFSAAFVASRKLYLLNVAYSDTAEKPLDNQTRLVLEEVLHSFDTV* >Brasy9G147400.1.p pacid=40061352 transcript=Brasy9G147400.1 locus=Brasy9G147400 ID=Brasy9G147400.1.v1.1 annot-version=v1.1 MGNEFDYLFKLLLIGDSSVGKSCFLLRFADDAYVDSYISTIGVDFKIRTVEIDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWLSEIDKYANDSVCKLLVGNKCDLAESRVVDTSVAQAYADEIGIPFLETSAKDSINVEEAFLAMSEAIKKSKAGIQGALERKASNLVQMKGQLIQQQQQNKSRCCST* >Brasy9G341700.1.p pacid=40061353 transcript=Brasy9G341700.1 locus=Brasy9G341700 ID=Brasy9G341700.1.v1.1 annot-version=v1.1 MDLDTENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVDEMWRAREKELELESKMKNRYKDHGDSRSKKRRSDSRNQSSSSRIEDGIAYNSSYSDQDDGLRDDEIEKFLHSRVKRGRGAVGSRMDEPGPYLKASSHSQDNEPGADTRLEEKWERRVQGPERPLFLRSKSPDDYWHKETMEGEPSSSEAQREKEHKKEKKSEKKERREKKDKKSKHHRHHRHHHKSRRRE* >Brasy9G191200.1.p pacid=40061354 transcript=Brasy9G191200.1 locus=Brasy9G191200 ID=Brasy9G191200.1.v1.1 annot-version=v1.1 MACASNSIAIGLPNYGLNAGTRVLSPSYRNFPRKSSYRLLKVRALQGNDGRRRLVDIIRIIPELSRNYFRSGSRRALFGGIALLGGFYVAQTISLSFGALAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS* >Brasy9G191200.2.p pacid=40061355 transcript=Brasy9G191200.2 locus=Brasy9G191200 ID=Brasy9G191200.2.v1.1 annot-version=v1.1 MACASNSIAIGLPNYGLNAGTRVLSPSYRNFPRKSSYRLLKVRALQGNDGRRRLVDIIRIIPELSRNYFRSGSRRALFGGIALLGGFYVAQTISLSFGALAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS* >Brasy9G241000.1.p pacid=40061356 transcript=Brasy9G241000.1 locus=Brasy9G241000 ID=Brasy9G241000.1.v1.1 annot-version=v1.1 MASPKAMILFVLLLACGGATAQPLFPRPPWNFTFPTGPGDAAAGGTGGGGGGPSVPYMFVFGDSLTDNGNNNDLTSLAKANYLPYGIDFASGPTGRFSNGYTMVDAIAELLGLPLLPSNNEVSSADGNDGALHGVNYASAAAGILDNTGQNFVGRIPFNEQIKNFQGTLDKMKGRLGASKLSGSLGRSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQHYTKQLTSLYNLGARRFVIAGVGSMACIPNMRARNPRNMCSPDVDDLIVPFNSKVKGMVNTLNVNLPRARFIYVDTFEMISEVLRNPLNYGFSVVDRGCCGIGRNRGVITCLPFLRPCPNRSTYIFWDAFHPTDRVNVLLGKAAYSGGTDLVYPMNIQQLAAWQP* >Brasy9G034600.1.p pacid=40061357 transcript=Brasy9G034600.1 locus=Brasy9G034600 ID=Brasy9G034600.1.v1.1 annot-version=v1.1 SRDYLDLAINPLPLRRLDSPTSRPLGRRFPNNRRRRMPSRRMAALPPPAKIHVWPAAAVLFPLLVPPPSARQPPPPPTKAAAVASGSQDPWTARGRRRRSRWRFNTSPRRQAPSRWPLSLPPSSALPTRIPSLPPPHP* >Brasy9G060400.1.p pacid=40061358 transcript=Brasy9G060400.1 locus=Brasy9G060400 ID=Brasy9G060400.1.v1.1 annot-version=v1.1 PSSPISLDGDKESDDFACGEPEEHDTEWNSLLFAEGKEKQEMNRVTKEEKARLNEEKKWQREGNKVLKEASKAQKAEQKKYAKENEDWVSEKYDLKYLVAEIDQTIILRSSFTGALLARFAENDLQYRIMLNDPMRGSILWKKEVPQIGQDPASVSEVPYILFVLQDEELCDLIRSGSFMDHVLKVQSRYPTYTICYVTDKLMNDIINREQSQYRNPSSSNSWERPPVEEVLCNLATHYVGVHSRQCTDEGEVAEHVVSFTSSLANCKYRMKSLIAILEVHPRYALAIYKKYPTMRSLLNVYMDPSKTDREKELLLKDLKCEDLVGDESRRVGPIFSRRVYKYLTAEEEAAEADSAE* >Brasy9G165300.1.p pacid=40061359 transcript=Brasy9G165300.1 locus=Brasy9G165300 ID=Brasy9G165300.1.v1.1 annot-version=v1.1 MAAERRVAARAEQGRDAAGATGGARRATSRRSSASRGAAQRGRAAAAVTGACGATAGGAAMPRAAVRNGSSGRDNSSSPFPVRGHGSELEEVGPVHAQEHVAGAHGHEGAPAAPRRWPRWHWRWAGGRGGRRARQRGCGEEGEMADATLDGHVGLTR* >Brasy9G156800.1.p pacid=40061360 transcript=Brasy9G156800.1 locus=Brasy9G156800 ID=Brasy9G156800.1.v1.1 annot-version=v1.1 MASKRAAKRGRKSGIPTGSDGSVQLGLNGVPVEPQVTEKPPPGPQVTENSPPEQEEVAMAGKRAAKHGRKPGIPAGSGCSVELGLNGVPVEPQVAEKPPREPAEEVEDGEAEEVEGSQQSDLHSSIKEGLLDEDEEEGDESMEEEAADELASALQSLSKGRNGVKKPAPSARVAEARKPPPSGNNKRRSNEVGEQSDLQSAGKSTESMEEEAAGESASALQSPSKGKRGGKKPAPSARDVEAEKSPPPGNRRRNQEPVPCPSPNKRNMLTRSHRTEVPSPSPNKRNMVTRLLQPEVPAPEEHEPEDKGHEPEDQEEHEPEHEGAGIIKLKKKKSKKMRKSAPEEHGPEDEGHDPEDPEEHGPEDEGAGIIKLKNKKNKKMRKPAPEEHEPEDQGAEAIKFKKRKLERIKPAQQQGEPGTSKVKIIRREWAPNDEILILEQVVNYRHTHGDPPELKGSGFFESVLKQLGDQSLELRSVKDKMCSLRRRYFENKHKSTTEHGKRLDNLSEQAWGKPSQAGAKDSSGNNLSKKALRKHSRAGAKDSNGSKTGGKIFEEMCEMYPLLAQEVELIADIDPSAKSSFTRIDAEVACKLEKQLDVAKAQVSQVRQALAGGRPEAC* >Brasy9G005800.1.p pacid=40061361 transcript=Brasy9G005800.1 locus=Brasy9G005800 ID=Brasy9G005800.1.v1.1 annot-version=v1.1 MYDSYRNPNPPGMQMPPTNPQPGQFDNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNISRYLSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFTRGLIGWGLQIVFLKGLLYSMGGGEVPLLDLVAYGGYLFAGVSLAIVARLLWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRGSERHSTRQHYFLLFMAIAQFPLFFWLGSIGA* >Brasy9G005800.2.p pacid=40061362 transcript=Brasy9G005800.2 locus=Brasy9G005800 ID=Brasy9G005800.2.v1.1 annot-version=v1.1 MYDSYRNPNPPGMQMPPTNPQPGQFDNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNISRYLSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFTRGLIGWGLQIVFLKGLLYSMGGGEVPLLDLVAYGGYLFAGVSLAIVARLLWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRGSERHSTRQHYFLLFMAIAQFPLFFWLGSIGA* >Brasy9G346800.1.p pacid=40061363 transcript=Brasy9G346800.1 locus=Brasy9G346800 ID=Brasy9G346800.1.v1.1 annot-version=v1.1 MPMPALLLNTSVSLSNPKPSSSPSWPVASFRPSSSTRPHHRCHAASSSARSTGGGSSSSSSSSSSNGGGGGRARTGSSWTTEYDLYELLGVEPTSPHAEIKAAYRALQKRCHPDVVASSCSSSADGAETPSAHDMAVVLNEVYALLSDPAARRAYDREHAARSEFRGYTGRPLYSSWRGGEGETRAVFVDEVACVGCLKCALHAGRTFAIESAHGRARVVAQWADDEDRIVDAINTCPVDCISMVERADLAALEYLMSKQPRRRVRCSESSGAGSPDIFAEVRKFKARFQQMEQKSATRQYEESEAARQSRTSVVQTIISMSNWWYWRPFRAPAGAAAAAVPAPLRLLPPPRPSSSSSSDPVTERLKEAAARHKAGGSTAASAADARRRDEYWTPQLNLPSSASFPAPGAQSAAAAAAQKESRTRRSTGAARSVRRRSIDLTAPLLVGIVAAGITGYNREMAAGGGGIEDHFGGPAALGVVNSFELQVVLAGVTWFVIAAAVAGFLQLLGRGIEGEFRE* >Brasy9G161200.1.p pacid=40061364 transcript=Brasy9G161200.1 locus=Brasy9G161200 ID=Brasy9G161200.1.v1.1 annot-version=v1.1 MAALPSVLPSQHTASHRTRGRKRDRAEQPWRGTGTGSWAYSTRGCLSCPSSSSAAKLQSREEGQRRDGGRRPAPCPDGGGGAYTLGATVPSWRKRREGAARCPGHLAASLVAAAQRNEIDEMGGRGRGRELRRAGRGPPAPKEEEGGRRHGVTGLDLARERAALRREAPGRRIGKRGFLWLGAGIERGS* >Brasy9G109800.1.p pacid=40061365 transcript=Brasy9G109800.1 locus=Brasy9G109800 ID=Brasy9G109800.1.v1.1 annot-version=v1.1 MDARKVCLPVGLGHDPSTGTYKVLRSFFRSTDPMHMGMEVFTVGAAAPSWREIAADQPYPVTWYLPSRSVPGAIYWVIDREHVKPCPHSLLRFDLKEEAFSLTRLPDSLPLGEEYFVDVMHGGVLCVNGFARREGPGEGEQPLTIWALLEDGDGASSRWEPRYSVHILYHCHPISLLPDGVAIMISLGPTVYRYQMDADELTALCDLTEFRYQRRRAGTFEPPWKKIPIFNFIPYTESLVPISAHLSNQL* >Brasy9G078700.1.p pacid=40061366 transcript=Brasy9G078700.1 locus=Brasy9G078700 ID=Brasy9G078700.1.v1.1 annot-version=v1.1 MTVGGQDSVTPVPQPHHMRLVKAAKTNMSPYTAYEKQPAVPKATTELYDSVCRRGLQTGSGANDEIIIDFGKDFISLGDLASSVCPGAWLSNSTCEIMLHVISKELKEKKILVMPLHISKKLRECNIHCKEIKRLFSFSPENRLDHNEQIMFPVLQNLSNVEKIHIGHYYLINLNLKARRFEVMDSMRREGVKALKDDYVKIVASIKYLWEAGYKESRIQIKKYGIVHVDAPMQQNTWDCGFFMLKNIESWDGRKMSTYKQSDMPRIRKLHTKRCVENIENRVDWKKVLSL* >Brasy9G071200.1.p pacid=40061367 transcript=Brasy9G071200.1 locus=Brasy9G071200 ID=Brasy9G071200.1.v1.1 annot-version=v1.1 MESEVVPATSAGGREGDLQIWASGSGIGEEVGLLNSALRQMKSVLADVERKEIQNVQLVRSLKEAHHAASQAEDLRGELEYYRIQEKVEREDHECMDGLIDEQDMVLQELTYLFQAEEDTTESSTFCTEHSSPASPIVVPAGNVSPGHLLAISSEINDHIESCHNMIKDLPEALEIEEWNDLIRIEMKKQSTGTDPRETSSCPTEPKVYGRDQEQDLIINKLTSEKSAGENLSVLAIVGYGGIGKTTLANAVFNDPRVSKHFEERLWVYVSVFFDQAKIMHKLLESLIGDKHEKLTSLKELQDNLKYALKSKRVLLVLDDMWEDTQEERWRDLLTPLLSNDVQGNKVLVTTRKPSVAKFTRATDHINLDGLKPDDFCKLFKEWVFGNEDFTGERILQEVGKKIVIQLKGNPLAAKSVGTVLRNKLDVDFWTTVLTHNEWKHGEDDYDIMPALMISYKYLPDDLKPCFSYCAVFPKYHRYEKECLVNMWIALGLICSTNMHKRLEDIGSEFFNDLVEWGFLQKEFEFGSLLTMHDLIHDLAQKVSSHENFTIVDNESGEAPQLIRHVSIVTEWQYMTQIDGSVGPNEDFLQGFSSFFGELQQKKLSTVMLFGPHDLDFAHTFCQELTEVKSIRVLKLEMAVFDLDSLIGNISAFVNLRYLELGCIYKGPRLELPEFICKLYHLQVLDIKKNWGSSTVIPRGMNKLVNLRHFIAIEELVAKVPGIGKMVSLQELKAFGVRRAGEFSISQLKRLNQLRGSISIYNLGHVGSQQEAIEASICDKVHLTTLQLSWYLVSGQRTGVSSELPILEDLRPHAGLVNLRIEACRNSVPSWLSTNVHLTSLRSLHLNNCSRWRTIPKPHQLPLLRELHLINMVRLLQIEIGCLEILELHNLQILTQCRVVDKEQLAVNLRVLEVKYCNRLGEFPEELFISNDLQSECQFTRLRRLQAYENKKSFDHTNICHLLLIDSLTDIHLSLHSNLGEFRLQQVGLPNRLCMKMNGSRNALRIEGRLFPFGKLRSLVELEISNYPLLTSLPWEGFQQLASLKKLKMIRCSKLFLGSVELSLPPSVEELEFSFCNITGTQVSQFLVNLKSLKNLKLINCEEVTSLPVELFTDEQNQLAEGSWLIPPNCVTTLESLHISFGIEGPVMHFSSKKGLGRFVSLKKVVIENCPILLSTMVSGGTSDIHRSSLIKLHVQGIKDSFLQLSEISSLVELLVSNCPALTCVNLDFCTALQELHIVGCELLSSLEGLQLCKALSKLSIKGCTVLCSLSVSLNTLTELSIERNPNLEDLNLHSCTALQKLCIENCTKMASCEGLKSLVGLEDLKVVNSPGFTMSWLSAEAEGCSQHNYFPQTLQVLDTDDIGFLCVPICSQLSSLKTLIVHGNLESPLGHLKVLTDDHEKALLRLNSLRHLEFDKFEHLKSLPAEFQSLTSLKRLTLDKCGRITSLPMGGSPSFAQGHGCQSLQPPAKCVMQKDAPISEDTRAIYSGFGFGSFRHSVSATLFPHDP* >Brasy9G020500.1.p pacid=40061368 transcript=Brasy9G020500.1 locus=Brasy9G020500 ID=Brasy9G020500.1.v1.1 annot-version=v1.1 MASQLFSLGASTMICLVSAFAIALLVITLYIIDVVLSFAVFCIREFAHRAQERPPLIGTVFRQLKNFDRIFDEHVKYALLHPTTRLVYPGHSEILTADPAVIEHVLKTNFGNYSKDLFGNGIFATDGEKWRHQRKLASHEFSTKVLRDFSSDVFRMNAAKLLEKISCAAAKRITINMQDLLMRTTMDSIFEVGFGFELNTLYGTDESSIEFSKAFDEANSLVYYRYVDLFWKLKRYFNIGSEAKLKKSIQIIDNFVMHLIHQKREKMKNGSDHKAREDILSRFIQESEKDPQTMNDRYLRDIVLSFLIAGKDTTGNTLSWFFYMLCKNPVVQDKIAFEIRESVEWAQKDNNMEMFTARLKQGAIDKMHYLHAAITETLRLYPGVPVDGKMADEDDVLPNGYRVMKGDGMNYMIYAMGRMKYLWGEDAEEFRPERWLVNAVFQHESPYKFVAFNAGPRICLGKEFAYRQMKIVAAALLHFFRFRLEDESKGPTYKPMFTLHMDKGLHLVVYPRKVSA* >Brasy9G010000.1.p pacid=40061369 transcript=Brasy9G010000.1 locus=Brasy9G010000 ID=Brasy9G010000.1.v1.1 annot-version=v1.1 MLTGVEEEGEPAVGRWPEGEEWRGAGAAVGEGKEVAGVREGKEVAGGRGGGGEERGGRGARRRRRGGGARRRREEAGRREEAAGARGEVEEEGAGGEGRGGGGGGEGRGGGGRRRGGGRRRGTGARRGGGRRLGGGGGGRGRGGEEGGGWEEGAAGGGGEAGRREEAGRREEGQRGRWGGGRRQRGGPGAAGRPGEAGRPGEAGAAGGSRGRRWVRARERERGGEKGFGVLFFFKQDLPAA* >Brasy9G363300.1.p pacid=40061370 transcript=Brasy9G363300.1 locus=Brasy9G363300 ID=Brasy9G363300.1.v1.1 annot-version=v1.1 MHAKTDSEVTSLAPSSPPRSPGRANGTNNNGSGGNNGGGGGVMRGAVYYVQSPSRDSHDGETKTATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSRGHHKDKSAGRKGAPAGKGWQEIGVIEEEGLLDDEDERRPMPKRCKYCLIFVGGFVVLFSFFALVLWGASRSQKPQIAMKSITFENFIIQAGTDASLVPTDMATTNATVKFTYKNTGTFFGIHVSADPFTLSYSQLNLASGDLKKFYQGRSGRRTASVSVTGNKVPLYGSGPTLMAAPAGAKGAAPGKVAAVPMILRTTVRSRAYVLGALVKPRFTKEIECRVVMNPAKLNKPISLAKACQYY* >Brasy9G163900.1.p pacid=40061371 transcript=Brasy9G163900.1 locus=Brasy9G163900 ID=Brasy9G163900.1.v1.1 annot-version=v1.1 MHSFFTPFCGVIHNVDLCELSGGSSDYAMELHHKEQLHENVPVMPAQQYPMSRSVGFNLGSTYPPNRQQHQQGANSVQNAGPQNIGLRPLNSVGPTSSLGSYEQLLQQYQQPQAQSPFRLQQMSSAPQSFKDPSLKIIQGGQIPPDPYGLLGLLGVIRMNDADLASLALGMDLTSLGLDLNSQDIWLSMVK* >Brasy9G005300.1.p pacid=40061372 transcript=Brasy9G005300.1 locus=Brasy9G005300 ID=Brasy9G005300.1.v1.1 annot-version=v1.1 MSNVAAVVNCVVWVWYGFELTSINYSVVVTNSTGMVFHATYILVFFECCDDYRFFFKILAAGVVASIRITGIVLYIRRTDSYKLVEVVGKSGLFTGSGMYGLAAIDNMVAAHRSNGERGGDGLVATFLNATL* >Brasy9G003000.1.p pacid=40061373 transcript=Brasy9G003000.1 locus=Brasy9G003000 ID=Brasy9G003000.1.v1.1 annot-version=v1.1 MSNSVVFNGVAAQPQLANKQPELAVDAVVSSQEEPRSNARQLQVEPPTGDIMKKKKKNKKKRSRSQDAPAQPQPALDLGQGPQVIIESKKNPAKKMRPVEPDNSRKVRRLWLNQDERLILEEICRFLLDHKRLPNVKDIEFFESVLKKLEDGSCDLRNVKDKMKSLKRRYDAHVVSTTDHERGLDVLSERIWGPRSAVTAASKNKDQQEAVEAEKSFQEMYPLLTLEVMLIAVEQPSAKSLFVGLDAKTALTIETQLEKIKGAELKIQMRMETNVTAPKAKIKKKLVGLLEKVSKEKNKVKVN* >Brasy9G002700.1.p pacid=40061374 transcript=Brasy9G002700.1 locus=Brasy9G002700 ID=Brasy9G002700.1.v1.1 annot-version=v1.1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENSGNKQQAPSSSVSANAAAAAAANAAREAAAASDPASDNAYTSFQGLLALARVTGSNSDETRGACKKCGRVGHLTFQCRNFLSVKGLEMDDDIHASAAQAAALAKFDEIKKKASGARDDDEEGSSEEDEDDSDSSDSDIDPELEKIIAERERAKSGGGRGSRDKISSHRSSSRGRSKHRRRNKSENEDESGEERSKDKKKSRRKKHERSDQGSESDSDRKRHRKSRKDRNKGRTHGRKVDSLDNDESGGEGRKRERTHKRRHHHRDASNSDSAGTESPHDMKRSSKQKRHRRSESRG* >Brasy9G232600.1.p pacid=40061375 transcript=Brasy9G232600.1 locus=Brasy9G232600 ID=Brasy9G232600.1.v1.1 annot-version=v1.1 MVQERAADRERAAENEISRSAATEKKGKKKVSGGDLRRVGAPTKKVNPQNITPAKLTIGAAGTDGPPRRRVSREDRNSREEKRPRIVRREEEHQQMAEVKEEVVSENEEGQQLAAVEIQKKKKKRAKRDMGKEMKQASSSMDKVRKKKSAMRMESIQKKTAAARVVETPETEEVEPEEEAAVEGDVDHVAAGEQEEQQDEEGGNEKEKEAVVAPEEQDVLGTRKFEGIRVLTKPDTTEEKVVARRTRASFKSPLPSRHQSDYCKNHGAINRPIDIDQLSDSCEGVASN* >Brasy9G057300.1.p pacid=40061376 transcript=Brasy9G057300.1 locus=Brasy9G057300 ID=Brasy9G057300.1.v1.1 annot-version=v1.1 MSSPTAPPPCPHLAAHRLTSRPLRFLRRCLRVRPLGRPEIRRDAREVPRCSPCASSTSPSPSRLYACLSCAAVFCASHAASHAAAAPSPGHQIAVDVDRAELFCAACGDQVYDSDFDHAVVLAQSSTLCPASTSASPPAPSPRKRRRVDYRAWAPDPAESALMSSADPTTSARAAAAVAAPPGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPMRHRAADADAKAACLACDLDEIYSATFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDEQHKSHEQGHGDCCIAHRVFSGILRSDVICTNCGFSSTTFEPCVDISLDLDAGSNNSLANPKLHARNGERNLAGTNSKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHMKRFEHSSVKKMSRKVDHSLQFPFSLDMAPYLSSSVLRSRYGNRIFPSEASDSDAVSELSSEFEIFAVITHSGKLDAGHYVTYLRRRFTIKLVKELVQSDLEQASRALFCTTRKYSHQHIQHSFTRREMFGVFISQPARQTVKVLCSH* >Brasy9G057300.2.p pacid=40061377 transcript=Brasy9G057300.2 locus=Brasy9G057300 ID=Brasy9G057300.2.v1.1 annot-version=v1.1 MSSPTAPPPCPHLAAHRLTSRPLRFLRRCLRVRPLGRPEIRRDAREVPRCSPCASSTSPSPSRLYACLSCAAVFCASHAASHAAAAPSPGHQIAVDVDRAELFCAACGDQVYDSDFDHAVVLAQSSTLCPASTSASPPAPSPRKRRRVDYRAWAPDPAESALMSSADPTTSARAAAAVAAPPGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPMRHRAADADAKAACLACDLDEIYSATFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDEQHKSHEQGHGDCCIAHRVFSGILRSDVICTNCGFSSTTFEPCVDISLDLDAGSNNSLANPKLHARNGERNLAGTNSKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHMKRFEHSSVKKMSRKVDHSLQFPFSLDMAPYLSSSVLRSRYGNRIFPSEASDSDAVSELSSEFEIFAVITHSGKLDAGHYVTYLRLNNLWYRCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAGAV* >Brasy9G129500.1.p pacid=40061378 transcript=Brasy9G129500.1 locus=Brasy9G129500 ID=Brasy9G129500.1.v1.1 annot-version=v1.1 MLTNFFVPSQDEYDRSGYGRSGANDDEGGYSKTSEEDYGRGTDGFNKSSNDNNDGEYKNSNTNDYGGSGGYKKSGMDDFSGGPNKSSTDDYGASGGYNKTSTDDYSASGDYNKSSTDDFSGGHNKSSTDDYSGSGGYNKSSAGGYDSGYKNSSTEDGYGGSGFKKSSSEEDSYTKSTTEDSAYDKSSIEDYGSGKNTTDDYSGSGYNKSTTDDYGGSGYNKPGADEYSGGYNKSSTDEYTTGTGKTGSDDY* >Brasy9G270400.1.p pacid=40061379 transcript=Brasy9G270400.1 locus=Brasy9G270400 ID=Brasy9G270400.1.v1.1 annot-version=v1.1 MARPKGKKRAAAADADAEAEAPAVRGRGRPKKSAKTEPKPPAALARGRPKRANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKDFIKEMVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPEKTNDTPDVSNVKARETCWLAYSAVLSLSSYEISCCI* >Brasy9G270400.2.p pacid=40061380 transcript=Brasy9G270400.2 locus=Brasy9G270400 ID=Brasy9G270400.2.v1.1 annot-version=v1.1 MARPKGKKRAAAADADAEAEAPAVRGRGRPKKSAKTEPKPPAALARGRPKRANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKDFIKEMVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPEKTNDTPDVSNVKAKYINRYYGHAHELL* >Brasy9G270400.3.p pacid=40061381 transcript=Brasy9G270400.3 locus=Brasy9G270400 ID=Brasy9G270400.3.v1.1 annot-version=v1.1 MARPKGKKRAAAADADAEAEAPAVRGRGRPKKSAKTEPKPPAALARGRPKRANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKPHFIDRTSLRRWLERGRES* >Brasy9G270400.4.p pacid=40061382 transcript=Brasy9G270400.4 locus=Brasy9G270400 ID=Brasy9G270400.4.v1.1 annot-version=v1.1 MARPKGKKRAAAADADAEAEAPAVRGRGRPKKSAKTEPKPPAALARGRPKRANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKPHFIDRTSLRRWLERGRES* >Brasy9G270400.5.p pacid=40061383 transcript=Brasy9G270400.5 locus=Brasy9G270400 ID=Brasy9G270400.5.v1.1 annot-version=v1.1 MVYCFFQEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKDFIKEMVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPEKTNDTPDVSNVKARETCWLAYSAVLSLSSYEISCCI* >Brasy9G270400.6.p pacid=40061384 transcript=Brasy9G270400.6 locus=Brasy9G270400 ID=Brasy9G270400.6.v1.1 annot-version=v1.1 MVYCFFQEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKDFIKEMVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPEKTNDTPDVSNVKAKYINRYYGHAHELL* >Brasy9G270400.7.p pacid=40061385 transcript=Brasy9G270400.7 locus=Brasy9G270400 ID=Brasy9G270400.7.v1.1 annot-version=v1.1 MVYCFFQEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKPHFIDRTSLRRWLERGRES* >Brasy9G270400.8.p pacid=40061386 transcript=Brasy9G270400.8 locus=Brasy9G270400 ID=Brasy9G270400.8.v1.1 annot-version=v1.1 MVYCFFQEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKPHFIDRTSLRRWLERGRES* >Brasy9G248600.1.p pacid=40061387 transcript=Brasy9G248600.1 locus=Brasy9G248600 ID=Brasy9G248600.1.v1.1 annot-version=v1.1 MTATTAAAEVDAEVRAVLERVAADSFPPLHAVHHLLSAGVCVRCIFRMFGAFSHVCSCTSLTASVLHSFLEDHDDSAKGGPCSCLSTDEACCSVCFGVLLPTCHQDDGVVLFNGISPIDRITSMVFQAVQRESYQLDEFFLEISLPAVVAANERAIRLHMKEKYGNENWFKDKIFSQQTMSVKEALRLLIVPSLEKKLDVKHGNNSFRIRLTYSHDDASRKLKSLLPNDRNRKRKTESREGTDTRRNSTYEDNQILSETDSFINKTLECIQDQEFCILFQLPPEKVFKPCHLVISCLRLPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGEDVRTICRGDGCKFHAAGREDIDVRMLGSGRPFLIEVLNARSIPSAIEVEQIAEKINSSEQKYVRVRNLKLVDSEIWSMMREGEAEKQKQYAALIWTSRSLTDDDLQKISGVNDMEIMQNTPIRVLHRRSPLERKRIIHWMEIEKVEGSSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAILGCRAEILQLDVTDVKMDLLQ* >Brasy9G131600.1.p pacid=40061388 transcript=Brasy9G131600.1 locus=Brasy9G131600 ID=Brasy9G131600.1.v1.1 annot-version=v1.1 MGKERQESPPPMLLVVAVAVFLCSCPAAVSARKVGETCALGRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARGLPFNRYSWLTTHNSFARLGTRSRTGTAIATAWNQQDTITQQLNNGVRGLMLDMYDFRNDIWLCHSYGGACQNFTAFTPAVDVLREVEAFLAANPSEVVTIFIEDYVQSPRGLTRVFDASGLTPYLFPARRMPKGGGDWPLLGDMVRDNHRLLVFTSRSAKEASEGFAHEWRYVVENQYGSKGMVKGSCPNRAESAAMSDLSRSLVLVNYFRDLPNFPEACKDNSAPLLAMLDACHAAAGNRWANFVAVDFYKRSDGGGAAEATDKANGGLVCGCGSIAACNVNGTCTPSRHRATPKGIFNKTSDAAAWRPPAMLQWQQLMLPSTLIALLCCL* >Brasy9G040900.1.p pacid=40061389 transcript=Brasy9G040900.1 locus=Brasy9G040900 ID=Brasy9G040900.1.v1.1 annot-version=v1.1 MVAAVVAARASPPTGAAGVGATTIIASSFHGGAHCALPPSAGAVAAARRGASCFAATKPTPAAVAADLDEEMGGGAGGAANGAATDAKPPRRKRRSRKGRKSAAALKLEEEEEEAEKKRKAEEEAAVKKKAAEEESRAAAAGLDLEEVMAVSPVGLGRRSRQLFDEVWRKFSRLGQISSVSSTEALAEAEQAVLVRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRDDAEVIDMLPRSVDIVVGDVGDPLTVQSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNEMAQLRAGKSSKSKLLIAKFKSTKSLKGWEVNQGSYFPNTFASSSRFDEGIDASFEFSQSGQAVFAGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVVILETGPLADTSQSKQYFARMTTKVGFCRVRVPFSAFRPVKPEDPPLDPFLVHTLTIRFEPKRQRPGDGSQGATDPRNFELILEYIKALPTGQETDFILVSCTGSGIEPNRREQVLRAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQAISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT* >Brasy9G337300.1.p pacid=40061390 transcript=Brasy9G337300.1 locus=Brasy9G337300 ID=Brasy9G337300.1.v1.1 annot-version=v1.1 MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGTVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLKENDLDVHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPAAIPTAAGS* >Brasy9G129100.1.p pacid=40061391 transcript=Brasy9G129100.1 locus=Brasy9G129100 ID=Brasy9G129100.1.v1.1 annot-version=v1.1 MAGSSSKAPASSSSAAAVDAEVVIVGAGIGGLATALALRRVGVGGARPGGVLVLERHPELRSTGAALTIFPNGWFALRALGVAHKLTSRYDAFETSRVTTLETGETQVFRFAGHKSRASDDVRVRPMHRKALLEALAEELPAGTIRFSSKLVSISTETAEGSPEIAALRLDDGTVIRAKVVIGCDGVHSVVAEWLGLSEPASSGRSCVRGLSVYPDGHPNHLKKELRQFLSEGLRAGMVPVSDTDVYWFLVNNTSPAEKEAGADPVKILREVTDNLGRNMPAEFLDVLRHSDHGNLSWAPLLYRPPWAILTGPAACGPITVAGDAFHPMTPDMAQGGCAALEDAVVLARALARTDVPPADCVAAYVAERRGRAAWLVAGAYLSGWVQQGGTNVRGLWAYLVRMFRDWIFYRFLFPKLADTMWFDCGDLVPRTEESKRHLE* >Brasy9G167800.1.p pacid=40061392 transcript=Brasy9G167800.1 locus=Brasy9G167800 ID=Brasy9G167800.1.v1.1 annot-version=v1.1 MLKFHPTAARASFSFPSLRAPPPAPARRPAAFSTPRGLTAPPQQPSPAGLSPPRPPPSRPPTPTLPSLSTPARQPSPPISTRDQAPSQDCLAAIAALHRVSAVADRPAADTALQLVAAVADPPRHRPSRCPPASRCLA* >Brasy9G138800.1.p pacid=40061393 transcript=Brasy9G138800.1 locus=Brasy9G138800 ID=Brasy9G138800.1.v1.1 annot-version=v1.1 FLVVLELFFPDFVGSESKPGSGRKREPKSTGNGNMEERPSRLFSPPVLEASREEEEKRALEQWEWEHGGKPNWLCFYL* >Brasy9G329600.1.p pacid=40061394 transcript=Brasy9G329600.1 locus=Brasy9G329600 ID=Brasy9G329600.1.v1.1 annot-version=v1.1 MAAAANIGIMDGAYFVGRGEILSWINATLQLSLGKVEEAASGAVQCQLLDMVHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLRISKNIEVNKLVKGRPLDNLEFLQWLKRYCDSINGGIMNENYNPVDRRSKGGKERNHKGSNKSSKSLQANRLSTANSADSGSLNSDLCIGPTIGKVGNTVTEEHYTEQIQQLSEKIADLKVSVDSTEKERDFYFSKLRDIEILCQRPELEHLPMTKAIRKILYAADAKDSSLPEANEIITRSPGMFLDEVE* >Brasy9G329600.2.p pacid=40061395 transcript=Brasy9G329600.2 locus=Brasy9G329600 ID=Brasy9G329600.2.v1.1 annot-version=v1.1 MAAAANIGIMDGAYFVGRGEILSWINATLQLSLGKVEEVNFDAKTEYDMIQNYKVLQDVFNKLRISKNIEVNKLVKGRPLDNLEFLQWLKRYCDSINGGIMNENYNPVDRRSKGGKERNHKGSNKSSKSLQANRLSTANSADSGSLNSDLCIGPTIGKVGNTVTEEHYTEQIQQLSEKIADLKVSVDSTEKERDFYFSKLRDIEILCQRPELEHLPMTKAIRKILYAADAKDSSLPEANEIITRSPGMFLDEVE* >Brasy9G113000.1.p pacid=40061396 transcript=Brasy9G113000.1 locus=Brasy9G113000 ID=Brasy9G113000.1.v1.1 annot-version=v1.1 MAAASASAMAAARRAAIASLLLFLVVVSAAGAEPDPDDQEIGGSADVAGCIMGCATGLVGCTTACGGRPGAEAAPCTMACVQRHIGCLAACGPAQVPSPPAA* >Brasy9G363400.1.p pacid=40061397 transcript=Brasy9G363400.1 locus=Brasy9G363400 ID=Brasy9G363400.1.v1.1 annot-version=v1.1 MATSDEQTKDYADFEARVKRTIYIDHLSPLVTKEVIKAALTQCANVVSSEFIVNYTIPYDIPSAALVELDDESQAKAAVDLMNDFPFIIGGMPRPVRATYAKPEMFRDRPLRPGLKMEFRWVKQGDPEYDGMNKLKILAKRQDAENMALIKNNLEEEQELAKQQQETLDGNYKKYDMLETIMQSGNIQNLAQHYKVNLSDA* >Brasy9G363400.2.p pacid=40061398 transcript=Brasy9G363400.2 locus=Brasy9G363400 ID=Brasy9G363400.2.v1.1 annot-version=v1.1 MATSDEQTKDYADFEARVKRTIYIDHLSPLVTKEVIKAALTQCANVVSSEFIVNYTIPYDIPSAALVELDDESQAKAAVDLMNDFPFIIGGMPRPVRATYAKPEMFRDRPLRPGLKMEFRWVKQGDPEYDGMNKLKILAKRQDAENMALIKNNLEEEQELAKQQQETLDGNYKKYDMLETIMQSGNIQNLAQHYKVNLSDA* >Brasy9G104800.1.p pacid=40061399 transcript=Brasy9G104800.1 locus=Brasy9G104800 ID=Brasy9G104800.1.v1.1 annot-version=v1.1 MQDTRKSLTTRRISARWLETETGITAAGRVMVGRQGDSAWGQPIVNEVPLYDTVCSLSSDTHLSTITDAASTYIWATVAA* >Brasy9G347600.1.p pacid=40061400 transcript=Brasy9G347600.1 locus=Brasy9G347600 ID=Brasy9G347600.1.v1.1 annot-version=v1.1 MSGSYASRLRSGGGSGVGAGVGGLGTTTALAAKVVFASAAVAAAASLARGLAVPQLVVSVAAGADAFLWKLYLFVAVHVIIFVIWKLSDGKHFHHKDPWVDEDGGVKRKEDFVSGADALQAGLARRKDSWAAPAARGGPPPPPVVSGFIPKEEFFSPAGYGVPPLQKFSPKEDVVSPDSGVGESCVTTTEYEEADDSSSSAAADEWRSMAPAPLQRADLSLPLPPPTVAAAAMDHCFDATADDGGDDDLDATWNAIMQKKRPSTAPASSTPPAPQAPAPPPAARPRAREPSVGAAELSKRADDFIKKIHHSFGRQQ* >Brasy9G274800.1.p pacid=40061401 transcript=Brasy9G274800.1 locus=Brasy9G274800 ID=Brasy9G274800.1.v1.1 annot-version=v1.1 MWPWLEKIASACWDRVRRYTLTRRDEEDGGGSGSGSGADAVDDLLWSRDLARHAAGEFSFAVVQANEVLEDHSQVETGAAVTFVGVYDGHGGAEASRFISNHLSAHLVRIAQQSGTISEDVVRNAFSATEEGFLSLVRRTHLIKPSIASIGSCCLVGVIWRKTLYLANLGDSRAVVGCLTGPSKIVAEQLTRDHNASLEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKKEFAIDPSITRFRLSEPLRRPVLTSEPSICTRVLRSQDSFIIFASDGLWEHLTNQQAVEMVYNNPREGIARRLVKAALKEAARKREMRYNDIAKLEKGVRRFFHDDITVVVVFIDHEILQEGNASAPELSVRGFVESGGPSSFSGLNSMS* >Brasy9G242700.1.p pacid=40061402 transcript=Brasy9G242700.1 locus=Brasy9G242700 ID=Brasy9G242700.1.v1.1 annot-version=v1.1 MKTLCWNCRGIGDPSAVRELRDLVRECDPEILCIIETQLAKQRVEGLKISLGFDFSFGVSSSGRSGGLCIFWKNKFDLAIKSYSKYHVDSVVTEVGKEPWRLTCFYGEATRSERHLSWDMLRFLRGESTLPWICLGDFNEVLRREEHMGVNEREGWQMAAFRDVVDVCGLSDIGYMGLDWTQIIRRFCS* >Brasy9G319200.1.p pacid=40061403 transcript=Brasy9G319200.1 locus=Brasy9G319200 ID=Brasy9G319200.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G318800.1.p pacid=40061404 transcript=Brasy9G318800.1 locus=Brasy9G318800 ID=Brasy9G318800.1.v1.1 annot-version=v1.1 MSIRPEHNLNISRLLCVYVQTDTASVLHETIEYVKFLHDQVGVLSAPYLKNGNHHHHQVPQYLKSSSASPDKPSKDGGEVSSLKGRGLCLVPISSTFAVASEVPVDFWTPFGAGFR* >Brasy9G323500.1.p pacid=40061405 transcript=Brasy9G323500.1 locus=Brasy9G323500 ID=Brasy9G323500.1.v1.1 annot-version=v1.1 MGDRRAVPALPLNAPPAPSSAKQPRAASEAENRSACAAVSRTHRRPEAKGPNGSVQDDVGEAAGVEEDDGRSGAWRHGEVRREVLRVRAGTRRRLAAVARSILRRRRPATRRSRRSKARYTGSGRGAGSGVADATCKAAEGGSDDCSLPSGGAIRGWGWGQPARRTTTEEDGSRRLPRPPPPRLPPPSAGAGERAAAEADVREPATAARATSADPPIPATTFFSGAVERAAAEANAREPVTAAALPPPTPGYHLLLRRGQASDDGGRREGAHDTSARYLPDARGYHLLLPARASERRPRPTRRSPRRNRELPPPTRGATIFFSGAGKDASSHGVHMFTWVGVPHMARRWQRVLPPPTHPGYHLLRRGQGCFLT* >Brasy9G217700.1.p pacid=40061406 transcript=Brasy9G217700.1 locus=Brasy9G217700 ID=Brasy9G217700.1.v1.1 annot-version=v1.1 MSATDKKSPEAPEAPEGKEKSPAEAFLEIVDRTPLGEVETALISCNVVPTADVAEQVLKSDICYSRPKSAVRFFRWASKSLKLTAYAWNLLIDILGKAAMFEPMWDAIRSMSQEEGGLLSVATFASMFSSYCSRGNFKDAARAFDVMELYGVPPDAVALNSLLSAMCRREDGAQAALELFERSKAKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDSSNIPAYDAFLTTLVRGDQFNEALKFLNVMRTNGCLPGLKFFANAIDLVVRKADYVNAIPIWQMMVSDAGIIPNLPMYNAIIALCCSVGNTDYAFNMLDEMPLNGVFADSVTYNAILEELIKQRKARETEGFLMEMSKNEQLPSAPNCAAAISLFSKEFNPSAAIDVWHCIVEHQITPAEDSAKELITGLLDFSRFAQVKIYTDEMLDMGIELPQSIIENMKRAFDKAGKRQTYDQIARRLKRR* >Brasy9G217700.2.p pacid=40061407 transcript=Brasy9G217700.2 locus=Brasy9G217700 ID=Brasy9G217700.2.v1.1 annot-version=v1.1 MSATDKKSPEAPEAPEGKEKSPAEAFLEIVDRTPLGEVETALISCNVVPTADVAEQVLKSDICYSRPKSAVRFFRWASKSLKLTAYAWNLLIDILGKAAMFEPMWDAIRSMSQEEGGLLSVATFASMFSSYCSRGNFKDAARAFDVMELYGVPPDAVALNSLLSAMCRREDGAQAALELFERSKAKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDSSNIPAYDAFLTTLVRGDQFNEALKFLNVMRTNGCLPGLKFFANAIDLVVRKADYVNAIPIWQMMVSDAGIIPNLPMYNAIIALCCSVGNTDYAFNMLDEMPLNGVFADSVTYNAILEELIKQRKARETEGFLMEMSKNEQLPSAPNCAAAISLFSKEFNPSAAIDVWHCIVEHQITPAEDSAKELITGLLDFSRFAQVKIYTDEMLDMGIELPQSIIENMKRAFDKAGKRQTYDQIARRLKRR* >Brasy9G217700.5.p pacid=40061408 transcript=Brasy9G217700.5 locus=Brasy9G217700 ID=Brasy9G217700.5.v1.1 annot-version=v1.1 MSATDKKSPEAPEAPEGKEKSPAEAFLEIVDRTPLGEVETALISCNVVPTADVAEQVLKSDICYSRPKSAVRFFRWASKSLKLTAYAWNLLIDILGKAAMFEPMWDAIRSMSQEEGGLLSVATFASMFSSYCSRGNFKDAARAFDVMELYGVPPDAVALNSLLSAMCRREDGAQAALELFERSKAKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDSSNIPAYDAFLTTLVRGDQFNEALKFLNVMRTNGCLPGLKFFANAIDLVVRKADYVNAIPIWQMMVSDAGIIPNLPMYNAIIALCCSVGNTDYAFNMLDEMPLNGVFADSVTYNAILEELIKQRKARETEGFLMEMSKNEQLPSAPNCAAAISLFSKEFNPSAAIDVWHCIVEHQITPAEDSAKELITGLLDFSRFAQVKIYTDEMLDMGIELPQSIIENMKRAFDKAGKRQTYDQIARRLKRR* >Brasy9G217700.3.p pacid=40061409 transcript=Brasy9G217700.3 locus=Brasy9G217700 ID=Brasy9G217700.3.v1.1 annot-version=v1.1 MSATDKKSPEAPEAPEGKEKSPAEAFLEIVDRTPLGEVETALISCNVVPTADVAEQVLKSDICYSRPKSAVRFFRWASKSLKLTAYAWNLLIDILGKAAMFEPMWDAIRSMSQEEGGLLSVATFASMFSSYCSRGNFKDAARAFDVMELYGVPPDAVALNSLLSAMCRREDGAQAALELFERSKAKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDSSNIPAYDAFLTTLVRGDQFNEALKFLNVMRTNGCLPGLKFFANAIDLVVRKADYVNAIPIWQMMVSDAGIIPNLPMYNAIIALCCSVGNTDYAFNMLDEMPLNGVFADSVTYNAILEELIKQRKARETEGFLMEMSKNEQLPSAPNCAAAISLFSKEFNPSAAIDVWHCIVEHQITPAEDSAKELITGLLDFSRFAQVKIYTDEMLDMGIELPQSIIENMKRAFDKAGKRQTYDQIARRLKRR* >Brasy9G217700.4.p pacid=40061410 transcript=Brasy9G217700.4 locus=Brasy9G217700 ID=Brasy9G217700.4.v1.1 annot-version=v1.1 MSATDKKSPEAPEAPEGKEKSPAEAFLEIVDRTPLGEVETALISCNVVPTADVAEQVLKSDICYSRPKSAVRFFRWASKSLKLTAYAWNLLIDILGKAAMFEPMWDAIRSMSQEEGGLLSVATFASMFSSYCSRGNFKDAARAFDVMELYGVPPDAVALNSLLSAMCRREDGAQAALELFERSKAKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDSSNIPAYDAFLTTLVRGDQFNEALKFLNVMRTNGCLPGLKFFANAIDLVVRKADYVNAIPIWQMMVSDAGIIPNLPMYNAIIALCCSVGNTDYAFNMLDEMPLNGVFADSVTYNAILEELIKQRKARETEGFLMEMSKNEQLPSAPNCAAAISLFSKEFNPSAAIDVWHCIVEHQITPAEDSAKELITGLLDFSRFAQVKIYTDEMLDMGIELPQSIIENMKRAFDKAGKRQTYDQIARRLKRR* >Brasy9G002000.1.p pacid=40061411 transcript=Brasy9G002000.1 locus=Brasy9G002000 ID=Brasy9G002000.1.v1.1 annot-version=v1.1 MDVSSWIRGAPASQCGMPPGVTRDWTSFLFSSFQYNHKLNGLWLDGVRNMNPDPRDCNELGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIVADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKLDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPDPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIIIDLDLKRGGNDRCLKTAAYGHFGRDGPDFTWEVVKSLEWK* >Brasy9G301900.1.p pacid=40061412 transcript=Brasy9G301900.1 locus=Brasy9G301900 ID=Brasy9G301900.1.v1.1 annot-version=v1.1 MEIERAGGHGHPAAVDEADVSPVEQVRLTVPVTDDPTLPVWTFRMWTIGFVSCALLSFFNQFFAYRSEPIIISQITIQVAALPIGHFMARVLPERKFRVLGRECSLNPGPFNVKEHVLISIFANAGAAFGSGSAYAVGIVNIVKAFYKRNISFFTSLLLIITTQVLGYGWAGLLRKYVVEPAHMWWPQSLVQVSLMRAMHEKENRRMTRGKFFLIALICSFAWYAVPGYLFPTLTAISWVCWAFPGSITMQQIGSGMNGLGVGAVTLDWTMVASFLGSPLVSPFFATANVFAGYVLFVYIMLPVSYWVLNLYNASTFPLFSNDLFTGSGQLYNISAIVNDRFAIDMDAYGKQGKIHLSLFFAVSYGLGFATIAATLSHVVLFYGKEMYQRFRESYKGKADVHTRLMRKYEDIPNWWFYLLLTASMVLAMVLCTVFKEEVQLPWWGLLLACVVAFVFTLPISVITATTNTTPGLNIITEYCLGLIMPGKPIANVCFKVYGYMSMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTVIASTVNMIVAWWLLTTVPHICEKDMLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWFFLVGLLGPLAVWLVARAVPRHAGWISLVNMPVILGATANMPPASALNYTSWCFAGTVFNFFVFRYRKGWWKRYNYVLSAAMDAGVAIMGVLVFFALTSSGRQLDWWGSRGEHCDLATCPTAKGVVVDGCPVL* >Brasy9G026200.1.p pacid=40061413 transcript=Brasy9G026200.1 locus=Brasy9G026200 ID=Brasy9G026200.1.v1.1 annot-version=v1.1 MPPHLTYPKTHSELLSRSPTSPHRLTALRLCRPAPSYPSLSRLQPPYPLPYLLHFLAPPTAAPLPPCTAIAVPHLRRRQAPSAPPRAAAAANLHLPKPMPWEGKILGEEAGDGGDVEAGPACPQQQQPLLLL* >Brasy9G075000.1.p pacid=40061414 transcript=Brasy9G075000.1 locus=Brasy9G075000 ID=Brasy9G075000.1.v1.1 annot-version=v1.1 MAQLRVLQALDVARTQLYHFTAIVIAGMGFFTDAYDLFSISLVADLIGYRYYAGRREKYRSVSAAISSIALCGTVPGQLVFGWLGDKMGRKRIYGVTLIIMVFCSLASGFSFSKRTGASVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLAAGIVGMIVSRAFLNSSLDNVDYVWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKMAAADMSAVLNVHIVPEEEAVDELAAREEDQYGLFSMEFLHRHGLHLLGTTVCWFVLDVSFYSLNIFMKDIFTTVDLLPTFEEADNNPLTRMIDITTHQIVIVLASSLPGYFFAVALVDRVGRVKIQLLGFAIMAALTLGLAFPYKHWREPKHKKGFATMYALTFFFANFGPNTTTFILPAELFPARLRSTCHGISGAVGKIGAILGVFAFSFMGEANFQYLLFVLVGCNLVGLVFTLLLPETKGKSLEEITGEMEESQPPDDEAAVAAAHPDIRTVPI* >Brasy9G189600.1.p pacid=40061415 transcript=Brasy9G189600.1 locus=Brasy9G189600 ID=Brasy9G189600.1.v1.1 annot-version=v1.1 MLRLCCVLATATAPRAFTYTEFIEYTSASRSGVSVGVRRRGRWNGRAGYGTVYFGVEKEIGCEPPPPRAGKAGHHALPPPLALLSSRLLLPFRAARKAKGRRRPSRFPRNPRTSIASSSARVSPPPTRPPLPPSRSNPSQYPPHSRRIRRCLACHPQQSRRFPLLRVSPAGLGISLPTFVGCGGDFGAGALVTAIWAGDSPGRGSFGGG* >Brasy9G080900.1.p pacid=40061416 transcript=Brasy9G080900.1 locus=Brasy9G080900 ID=Brasy9G080900.1.v1.1 annot-version=v1.1 MDHRKQQQRQRQSPSPRSGGAPSAGKEGGGAGAGIKVVYISNPVRVKTTAAGFRALVQELTGLHADPSKYSTKQELSPEGSAAGHGAVAAFAGSEEEKEDFFRAQLLDNDYSVSSPPTLLYDYPPDSNKADGSEGEE* >Brasy9G097800.1.p pacid=40061417 transcript=Brasy9G097800.1 locus=Brasy9G097800 ID=Brasy9G097800.1.v1.1 annot-version=v1.1 MAMPTPIPTSLASTVASGHGLFLVRGRPSTRAARVLSLGGGGSGTAIRSRHPAAAAELVEQSVNTIRFLAVDAVEKANSGHPGLPMGCAPLGHVLFDEFLRFNPRNPGWFDRDRFVLSAGHGCMLQYALLHLAGYQGVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLCIVDHYTYVVLGDGCQMEGVANEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFSEDVLVRYEALGWHTVWVKNGNSGYDDIRAAIKEAKEVKDKPSLIKVTTTIGYGSPNKASTHSVHGSALGPKEVEATRNNLLWPHEPFHVPDEVKRHWGHHIDEGASLEAEWNAKFAEYEKRYHQEAAELNSIISGELHAGWDKALPTYTPESPADATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKMFGDFQMDTPQERNIRFGVREHAMGAICNAIALHSPGLIPYCSTFFVFTDYMRAPIRLSALCGSGVIYVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILVLRPADGNETSAAYRTAVVNRQRPSILALSRQKLPQLAGTSVEGVAKGGYIISDNSSGNKPDLILIGTGSELEIAAKAADDLRKEGKTVRVVSLVCWELFEEQSEEYKDSVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGKIYKELGLTVEHIIATAKSI* >Brasy9G097800.2.p pacid=40061418 transcript=Brasy9G097800.2 locus=Brasy9G097800 ID=Brasy9G097800.2.v1.1 annot-version=v1.1 MAMPTPIPTSLASTVASGHGLFLVRGRPSTRAARVLSLGGGGSGTAIRSRHPAAAAELVEQSVNTIRFLAVDAVEKANSGHPGLPMGCAPLGHVLFDEFLRFNPRNPGWFDRDRFVLSAGHGCMLQYALLHLAGYQGVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLCIVDHYTYVVLGDGCQMEGVANEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFSEDVLVRYEALGWHTVWVKNGNSGYDDIRAAIKEAKEVKDKPSLIKVTTTIGYGSPNKASTHSVHGSALGPKEVEATRNNLLWPHEPFHVPDEVKRHWGHHIDEGASLEAEWNAKFAEYEKRYHQEAAELNSIISGELHAGWDKALPTYTPESPADATRNLSQQCLNALAKMDTPQERNIRFGVREHAMGAICNAIALHSPGLIPYCSTFFVFTDYMRAPIRLSALCGSGVIYVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILVLRPADGNETSAAYRTAVVNRQRPSILALSRQKLPQLAGTSVEGVAKGGYIISDNSSGNKPDLILIGTGSELEIAAKAADDLRKEGKTVRVVSLVCWELFEEQSEEYKDSVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGKIYKELGLTVEHIIATAKSI* >Brasy9G226300.1.p pacid=40061419 transcript=Brasy9G226300.1 locus=Brasy9G226300 ID=Brasy9G226300.1.v1.1 annot-version=v1.1 MAAEEAKKVEVEVTPEPEDPPAAPAAEPEAPAKDVTEEKAVIPAPAAEEEKPPVDDSKALVVVEKVTDEKPADEKAAHGGSNDRDIALARVETEKRNSLIKAWEENEKTKAENKAAKKVSAILSWENTKKANIEAQLRKIEEQLEKKKAEYAEKMKNKAAMIHKEAEEKKAMVEAKRGEEVLKAEEMAAKYRATGNSPKKTMGCFGA* >Brasy9G231600.1.p pacid=40061420 transcript=Brasy9G231600.1 locus=Brasy9G231600 ID=Brasy9G231600.1.v1.1 annot-version=v1.1 MDRGEYQQQQQFLMPPPAQQQELCSMPLMEEQLLMGGSRRGGGAASEMRKRRFTEEQIRSLESTFHAHQAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDFAALRAKYDSLHSRVESLKQDKLTLTTKLRELSERLREQGGAATASSSSCNDGGSEELADVEDDKRNVGGAGAVDVEQPESCVLGGCATPADVSVESECGDHVHYGGGFPESFCATPELWEPWPWPPVEWNAVA* >Brasy9G231600.3.p pacid=40061421 transcript=Brasy9G231600.3 locus=Brasy9G231600 ID=Brasy9G231600.3.v1.1 annot-version=v1.1 MDRGEYQQQQQFLMPPPAQQQELCSMPLMEEQLLMGGSRRGGGAASEMRKRRFTEEQIRSLESTFHAHQAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDFAALRAKYDSLHSRVESLKQDKLTLTTKLRELSERLREQGGAATASSSSCNDGGSEELADVEDDKRNVGGAGAVDVEQPESCVLGGCATPADVSVESECGDHVHYGGGFPESFCATPELWEPWPWPPVEWNAVA* >Brasy9G231600.2.p pacid=40061422 transcript=Brasy9G231600.2 locus=Brasy9G231600 ID=Brasy9G231600.2.v1.1 annot-version=v1.1 MDRGEYQQQQQFLMPPPAQQQELCSMPLMEEQLLMGGSRRGGGAASEMRKRRFTEEQIRSLESTFHAHQAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDFAALRAKYDSLHSRVESLKQDKLTLTTKLRELSERLREQGGAATASSSSCNDGGSEELADVEDDKRNVGGAGAVDVEQPESCVLGGCATPADVSVESECGDHVHYGGGFPESFCATPELWEPWPWPPVEWNAVA* >Brasy9G121500.1.p pacid=40061423 transcript=Brasy9G121500.1 locus=Brasy9G121500 ID=Brasy9G121500.1.v1.1 annot-version=v1.1 MAAAAASTVSGLAGATLARRPAFSTSFTTGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSIPLINGNSLTGLFFSSISEELAHFPSPPALTSQFWLWLVTWHLGLFIALTFGQIGFKGRTEDYFQK* >Brasy9G184300.1.p pacid=40061424 transcript=Brasy9G184300.1 locus=Brasy9G184300 ID=Brasy9G184300.1.v1.1 annot-version=v1.1 MEMGREREGGSYRDGGVGYGRRGVGGRIRGRRGRGIRIRRWQGRGRQNAPLHTAGGRGLGNHGAAYQRRGASWRPDPALGPTGPRREADRGGAAGRRAEAEGEPDPAGSLAARAAGRGRRSGRGETRREIEREGGEGRSRAARGREENEGMGRENIGRKRWASGSVPPLLSQ* >Brasy9G238200.1.p pacid=40061425 transcript=Brasy9G238200.1 locus=Brasy9G238200 ID=Brasy9G238200.1.v1.1 annot-version=v1.1 MSSSPPSSSCSPASGLPLLTGSALDPSGLVAIAVAHSFALFVGVAMAANISGGHLNPAVTFGLAVGGHITILTGIFYWAAQLLGASVACLLLQFVTHTQAMPTHAVAGISEIEGVVMEIVITCALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFSGHWVYWVGPLIGGGLAGLVYGDVFIASYQPVAQQEYP* >Brasy9G279500.1.p pacid=40061426 transcript=Brasy9G279500.1 locus=Brasy9G279500 ID=Brasy9G279500.1.v1.1 annot-version=v1.1 MHYKGICEAGSALGVVQEVDMMALTRFSLARVRVGVRDPYSLPPSTEITTDPYIYDAFFEFESIAEIGGLLDEDGMVIGLPRTGQVDSSTKRPRTDNGDGQNLVNIEVGQSDNVRINMGDIDKMLQMEIDKRLADQLQKIEERAYAAALKTIQSKSVASTNEVVVPVIQEVPSDETTKPVNVDVMEEPADVEVEDHGNDDYNEEINYESSQDIEIRVQKASRREDPYGNNITEEEMKKHAAENIRRKLRPQGDGAIMDKAKELASKKNLNPKDNEEQCFANIDLIKQLETARFNMYSESVKNAKKSEGEQAGASQVPLDLDALNALLSDGDQDLGEMDGCDEIHLSYASPVRKNKKYKPPDLGSVRPRVRIRKKVKK* >Brasy9G026700.1.p pacid=40061427 transcript=Brasy9G026700.1 locus=Brasy9G026700 ID=Brasy9G026700.1.v1.1 annot-version=v1.1 MVSASSPSRRPREVGLEEVPPMSSAPRAAPRSAAAGGAERRGCTPVRRRPSTRGPPRSRAPSGAAPTPSPAPHPSPGICPPPPSPPMPRRRSPPPLLSRRLRFTASHRRRTRGCDVWRRRQTWRAEVAALEGAGGGRRRAQGKEILTGGRAGKEGSGGARRERRFRR* >Brasy9G082400.1.p pacid=40061428 transcript=Brasy9G082400.1 locus=Brasy9G082400 ID=Brasy9G082400.1.v1.1 annot-version=v1.1 MSATRLSIVHHSHPQEIPEPSTQDMYPSHSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLLERQPHQSWLRRLEDKLRSVYAAITCTRTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHDPRPRIAEQSTPRPPPPDQAGGSSWHHPQSSFDYWQEQSPFQAGGSSWQQPQSPAMNFQFRPQTQPQDLSLITFPHAGAYAHQASLSEPSWGSEHDQ >Brasy9G140400.1.p pacid=40061429 transcript=Brasy9G140400.1 locus=Brasy9G140400 ID=Brasy9G140400.1.v1.1 annot-version=v1.1 MMALSSGFCSSAGSVLSPSSYTPGTPSSSFQFCSSLAEPYEEYTPSSPSPRAASPDYTPSSPSSRAASPDYSPSSPSPRAVSPDYTPSSPTLRAGSPDYTPASPLPLAASPYYTPGMPSSSPYYTPGTPPPSPYYTPASPEPDYTPGTPPPSPYYTPTSPGGPPPPPYYTPDSPYYTPSSPPPSPYYTPETPPPSPMVSDAESRTSSAPRRHHPYQRSGASRISRGRLQRASGY* >Brasy9G337200.1.p pacid=40061430 transcript=Brasy9G337200.1 locus=Brasy9G337200 ID=Brasy9G337200.1.v1.1 annot-version=v1.1 MATSSAYPPPPPFYRLYKDFEKDPSSAPEPPPPIDGAYQLFGATYTTDVVLPSLEDQGVRQLYPKGPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHLLESQIQRRKQAIEDIKQRREEAQRLLGESLLIIDGSQQVMTPM* >Brasy9G337200.2.p pacid=40061431 transcript=Brasy9G337200.2 locus=Brasy9G337200 ID=Brasy9G337200.2.v1.1 annot-version=v1.1 MATSSAYPPPPPFYRLYKDFEKDPSSAPEPPPPIDGAYQLFGATYTTDVVLPSLEDQGVRQLYPKGPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITAYYRWKPAGHDSNVKASDILRFLVPSWLDQDSYRCLF* >Brasy9G076100.1.p pacid=40061432 transcript=Brasy9G076100.1 locus=Brasy9G076100 ID=Brasy9G076100.1.v1.1 annot-version=v1.1 MVAWGPLLFMEPVLLLFMEPIVRVKEVDPHIGVGSIQFLPPPPTSPLGFHISGREGRRRDGARRWFHGILLRIAGKVRSTGTGDRLLKPSKPVRGWRTIGDLRRADSTRIVHMVPIVGHKVEVGRIVAMASAGVADPGDLEVSAVWGALVRVAVVPIQIKQWPPGLALTRGGGAIDLRCRSNTGLLRSGAIALGHRRGWGPLPVALLPPFLWMPHSNSRINCNSNSLHSRNNISCAMSNGSMFLKPLFHLLFLLRIWGRRGICGSNQHLTKRCGDRPCQEFIAPFVGAVGPDLGFYYIPSIENEQNDRDVHNAIIHVLNSSLTAKDIEVEFRVIAGKNSKWSWNARFVKENQFLMRFPNEKFINDYARIPKFAMITNPEVLIKLERWKARVGSKGTAQQAWFHVRGIPWENRNRMTAAFVGRLMGVVVDVDKRSLQNIDFVRILIKCRDVSVVPAVQEAMLGNDFFDFEFTREIFGDQEMAEKTGELIAGDKGGHTGFDGQGQFKKQKTGGASADQNPAVPNTGFMADGRRTYQQHLGGVPEHPIEVMDLCDNGEREPEHDHMNNLSDKDDMDMLMNVSSEKGKEHVSFTASEESDSDEELTLSQKVDNLGYGSKQTGQSSQILTGLVRCQMQQQLLKQVSHSPFVWLTEQSVHISGCSYGRFFQELLSSASDKVGFWKDSIISDANRTSMVLQTVGECDDMEPVDGEVNWEEFENESDKFGSVTQGSGVHFPVVSQLVLCGPATTVGCTSGLQTFTRKSARIQAKGDAHVPIMEKAKAKKAQVDLTELQKEPDKGTIPTRAAGFRRWRWNCVMLRPARNVV* >Brasy9G190500.1.p pacid=40061433 transcript=Brasy9G190500.1 locus=Brasy9G190500 ID=Brasy9G190500.1.v1.1 annot-version=v1.1 MSSEGQTSRLFRIRRTVMEMLRDRGYLVVDADIELSMREFVERYGDPVRREDLVINRVKKDNPNEQIYVFFPSEAKPGVKTVRGYADKMKQENVTNGIIVAEQALSAFARNVLQDNSKSFFLEVFQEGELLVNIKNHELVPEHVLLTQEQKKTLLEKYTVKETQLPRIQITDPIARYYGMKRGQVVKITRPSETAGKYVTYRYVV* >Brasy9G357400.1.p pacid=40061434 transcript=Brasy9G357400.1 locus=Brasy9G357400 ID=Brasy9G357400.1.v1.1 annot-version=v1.1 MLRSSSSPPPRDGAQWAEATSSAVLRHYSSLPKKGKPQGRESTVMAAFLLSSPHPQQDPPSPLTVLSLATGTKCLGAARLGARGDLVHDAHAEVVARRALLRLLYAEIGAGSPPDWLAGSGAGGRWKMRDGYQLHLYITQLPCGIMPVPPSPSEVPRERLDNVVNGCSDAGFVQRKPGRGDTTLSMSCFDKITRWCVVGIQGALLSHILEPLYLSTITIGQSPDGAPEGFCMENDVEKAFGARLSSLSRKFPVPFKLNKPLFFEAPIPPKEFQQTSGDIPLLTCGYSICWNKTGLHEVVLGTTGRKQGTSSKAACLPSTESLLCKIRLAEAFISLEHPLVTKFQREELSYRAIKDTAHEYQQMLEILREAPFFSRWRSKPASLDSFTVPRR* >Brasy9G042100.1.p pacid=40061435 transcript=Brasy9G042100.1 locus=Brasy9G042100 ID=Brasy9G042100.1.v1.1 annot-version=v1.1 MDMEHPDLLEEILRHLPPRALAAARCVCKAWRATVDHHRLLRADLLPLSLDAVIYDVDHIAARKLFARRSTARYITSRLDYLDNDRPGAYAETTGDMADCCNGLVLTLDGKVVNPATRQWAPLPARTELRSYKDINRYLVYDPAVSPHYEVFYIPRIPDDAACGAEWPPSTYVMRFFSSEANCWRDRSFARQGDAAGTVADVKSHWRSDENMYYSAYWQGSLYVPSRHANGGFILRISLSSDKYQVIMLPKRGKGSLERIGKSRKGVYCVLDVDERSTYQIWFLSESRGGVIDWVLYNEINFETAWRIYPSKDVDSGPWISQSPDQAELLLKNEVSLKVVSEYNEALSKDGFEWESNDENVVTTVDCPKASKHNFWCLGFHPYKEIALFHNNNRCMTFAYYFNSSEVRYLGIMEHNYSNLEIYFAYTPCWMMDLPGSN* >Brasy9G323800.1.p pacid=40061436 transcript=Brasy9G323800.1 locus=Brasy9G323800 ID=Brasy9G323800.1.v1.1 annot-version=v1.1 MAGEEGTSEQRTVKSLAAHEDLIGGPFLKPCTTAIHLAANSKKADAGQERPLNGYTINQHLALNPCTNKRKAEEAAAGEESSTKRKVEADASDASAKKISRMPQEEVDDILAHVVNNNAPYYFESLKRKNPDLLPSPEEENDESTVDLYTAARAYYAAGERFIELQNFVREQYRKHGYVEVDEDFVTRRAQVMEWNRKAKEEVLQDFALSDSDED* >Brasy9G025100.1.p pacid=40061437 transcript=Brasy9G025100.1 locus=Brasy9G025100 ID=Brasy9G025100.1.v1.1 annot-version=v1.1 MKHERAEVASGSGGDVKSQKLAEQATEEGQRIEVLSKRASSGSSGDAVIKSQKVAEGDKAEAGKKVKRMWTVTPEYIDRLRKEKAAGGPKVRNFDYLNDHTGPVADALRAIAARGAAVTAEMEAHKARILEEYDTCGYAQVEVVEDPWADGEMARQLMAWKKKRLQQQASS* >Brasy9G087700.1.p pacid=40061438 transcript=Brasy9G087700.1 locus=Brasy9G087700 ID=Brasy9G087700.1.v1.1 annot-version=v1.1 MADLAVGLAKSVVEGTLSKAQAAIAEEAKLRESAQRDLVFITGEFQMMQSFLKVANTERVENPVVMTWVRQIRELAYDVEDCIELVVHLDKKPSWWWRMVPGWCVAPSQRPLDEAVDEIEQLKARVKDVSTRNSRYNLISDTGSKPALLQQQPAPATAAVSSMLFGNNTGTSRQQQGDLTQLLVLAKAEETRVVTVWGTGGDDLGVTSIIWKAYNDPEICQKFTCRAWVKLVHPFSPHEFVRSLADQFYANESKSKQRKEEESIVGLDVLKRSTSSEPTALVDHQAEFARRVNKQRYLVVLEDLSTMTDWDAISNFFPARNKGSCIVVSTQKSEVASLSVGNPYKVLELNKFSADHSVYALYKERSESDGISKGAQTTNDKKKAAHDWMKENRPIGRESEMNDLRQCTYKVRYYGFQVVSVWGIAGVGKSALLEYLFCDRILNDCTLLEENKPTQFQKYAWVDVCYPFNLRDFTRSLLLNFHSRYVQAHKDRDIDTVGSSNPIVECRGILERCNCLVIIDGLQSVKEWDMIQAELVSGFSRNCIIIITTEASIAKCCRGSKGELVFNVKGLQADDSYDLFKKKLPQLPATLPPKDVEDLKELISKCGGLPKVIVDIAGSLATKQGRRMEGARALNDNFMNDLENNREFDSLHGLFTWMHNYFRNCPDSLKPCIFYLSIFPREKIIRRRRLVRRWIAEGYSRDNNEESAEHTGEKQFSDLLDLSIVQQSQEKTTIGDMRMVFCQVNGFIREYIVNRRMEENLVFELGHNCTLTSQRTGRHLVILEHWDRDSIVFESIDFSRLRSLTVFGKWESFFISESMKLLRVLDLEDASGSVEYEDLEKMVKVLRRLKFLSLRGRREIHHLPSSLDHLRQLQSLDVRHTSIVILPDNITKLEKLQYIRAGTTDDIPVSSSSAAAAAATHISSSWFCKHPSLVGVEVPLVQKRPLVAFKNEG* >Brasy9G271900.1.p pacid=40061439 transcript=Brasy9G271900.1 locus=Brasy9G271900 ID=Brasy9G271900.1.v1.1 annot-version=v1.1 MSRTSSASPSSPWPRYGPVPLTRCPDCPRVEPVVRLMCKKWDNGNFGREFVKCGGRPQAGKVLRQCTFFMCMDAYVEKLQVDGLLQGCEMGERKVEVKKIGEHGHDGIGAGNELLPFLEEVKIMNENLTKIVELKKEEIHVAKLFYACIVMLGLILIVRNPYM* >Brasy9G253900.1.p pacid=40061440 transcript=Brasy9G253900.1 locus=Brasy9G253900 ID=Brasy9G253900.1.v1.1 annot-version=v1.1 MAASSSAAAPTDTYDIPWVEKYRPSRVADVVGNSDAVSRLEVIARDGNMPNLILSGPPGTGKTTSILALAHEMLGPVYREGVLELNASDDRGLDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTTGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSGLKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFAIVRETAKA* >Brasy9G253900.2.p pacid=40061441 transcript=Brasy9G253900.2 locus=Brasy9G253900 ID=Brasy9G253900.2.v1.1 annot-version=v1.1 MAASSSAAAPTDTYDIPWVEKYRPSRVADVVGNSDAVSRLEVIARDGNMPNLILSGPPGTGKTTSILALAHEMLGPVYREGVLELNASDDRGLDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTTGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVAAEKVCDQPHPLHVKNMVKNVLDGKFDEACSGLKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFAIVRETAKA* >Brasy9G147700.1.p pacid=40061442 transcript=Brasy9G147700.1 locus=Brasy9G147700 ID=Brasy9G147700.1.v1.1 annot-version=v1.1 MSNTGTAPLLTPYKMGRFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRTSKGGLLIAEATGVSDTAQGYKDTPGIWTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNHNFQPNGQAPISSTNKPLKPVVRANGIDVATISTPRRLETDEIPLVIDDFRIAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSDASDSNPEALGLYMAHALNEFGILYCHMVEPRMVNIGEKFETPHSLRPIRNAFKGTFIVAGGYGREDGNKAISDGYADLVAYGRLFLSNPDLPRRFEIDVPLNKYNRDTFYLSDPVVGYTDYPFLSSDV* >Brasy9G041800.1.p pacid=40061443 transcript=Brasy9G041800.1 locus=Brasy9G041800 ID=Brasy9G041800.1.v1.1 annot-version=v1.1 MAGLGLAQAPAVVAFALAAAILSTPPPEPETFANIPPTLSGDDKAQVRIKHPKSAKALQCTSKCVATCIRGGEGPLNVRRPLVVFKEQFRSRLYCLTECSDICNLIKDGEDGP* >Brasy9G307300.1.p pacid=40061444 transcript=Brasy9G307300.1 locus=Brasy9G307300 ID=Brasy9G307300.1.v1.1 annot-version=v1.1 MAVDLMAQRSADLFHDGPFESLPTAGEGRVPKIPSLLSAGKPPLSGQKRKLCTGKHSEAHTSGSRCNCSNRRWSFVAAAIIVVACLATSADAAGSFYEDFEVVWGEDPHPERRVAVIDGGRLVKLTLDNVSGSGFQSKDAFLFGEFTMQMKLVPGDSAGTVTTFYLSSKDYPMTEGDGHDEIDFEFLGNVSGEPYLMQTNVYAQGVGRREQRFFLWFDPTADFHSYTILWNPLNIIFSVDGTPVRVFKNHELQGVPYLSTQAMKARASIWDGESWVTMGGRVKTDWSHAPFVASYGAYDASTACVSSSCPAAAPWMTRRLGPEGERALAWARDNYMVMDYCDDPWKVFPRGVPAECGIDRLARLL* >Brasy9G241700.1.p pacid=40061445 transcript=Brasy9G241700.1 locus=Brasy9G241700 ID=Brasy9G241700.1.v1.1 annot-version=v1.1 MDAGAGAGAPYASRTAEEVFRDFRGRRAGMIKALTEEVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVSFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKGPNSTNKNNKPSTKIPSRPESHSKAPKVVAPPKDEDDSGEDYGEEEEEERDNTLCGTCGTNDGKDEFWICCDNCERWYHGKCVKITPARAEHIKHYKCPECSNKRARA* >Brasy9G126500.1.p pacid=40061446 transcript=Brasy9G126500.1 locus=Brasy9G126500 ID=Brasy9G126500.1.v1.1 annot-version=v1.1 MVRKETSTSMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGHTTCPATMLPLPSTDLVPNLTLRSLIAHWSASAASCSPVAAGSAAFFAGGPSPAGLVRQVAASGADPSPALCELAAYLSDDDVDEFEKNALVGAGRAAETVASVLRRKGEKTVSVEGMEAAVRVLAAIVALDGIEDANKKRVAAGLAVDAAASAASLARVLRGGSGLEARIDAARLADFLLDNAAADAKAAVAESSELVAELIRLIGPVDEKGSLDKKAMGAGLSCLATISGLRRGARAEMVRLGAVSAAVRALQATAEPGASAKALRILESAVGCAEGRAELCKDAEETVPAVLDKMMKSGRDGAEAAVAVLWAVCHKYKDRRAADAAATSEGGLTRLLLLLQSGCSPPARQMAVELLKIYKVNAKSCLAGYDSKTTHIMPF* >Brasy9G091200.1.p pacid=40061447 transcript=Brasy9G091200.1 locus=Brasy9G091200 ID=Brasy9G091200.1.v1.1 annot-version=v1.1 MSLMTPLVVKAEAAEERPKARPPAVAGGGSGGVPAPSELIELSSSDSDTDGEGGGGGSAKRARGAAGDSAAGKRARVSAAAARMCPLLPSRSATKQFWKAGDYDGKPLGNREPQPSVSSGLEHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATVVNIDMLENRKDKTRMLLVQDNGGGMDPDKMRQCMSLGYSAKSQVASTIGQYGNGFKTSTMRLGADVLVFSRSQGKEGKRPTQSIGMLSYTFLRSTGKEDIVVPMIDYEKNDQKWIRKLRTTLTDWNTSLRTIISWSPYTSEAELLEQFSSIKEQGTRVIIYNLWEDDQGDLELDFDTDVNDIQIRGGNRDEKSIQMAKQFPNSKHFLTYRHSLRSYASILYLRVPDSFQMILRGKEIEHHNIVTDMMLKKEVTYRPMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLSRLEARLVQMQKDYWSGNAHRIGYVGARSFRSSETGGENSPEVSPGVQLSPHHSSKDYAKPKQRHAGKSYSGSSKKSGRASTLFSIQQAEKSARTKRSERSILHGLSDTSDDSDYEFMHTPSLGSRSHTLNGNRKYFHNGSTSLATPPTNGSIEKESRTKSQMVEPNARSNGDGHTTIDDHGTIIKQLRDENSSLKEKLLSMEESRLQELVIEQDKSKSLTERVEDLQRQLETANKEQEALIDIFSEERSRRDEEEENLREKLKEASSTIQELLEKLNAAKQGRKV* >Brasy9G154200.1.p pacid=40061448 transcript=Brasy9G154200.1 locus=Brasy9G154200 ID=Brasy9G154200.1.v1.1 annot-version=v1.1 MAATATAPPLLLPSGSGPRSASRAWWPLRGGGGRASCRCWAGAGGTAGQCGADGGAAAVVGRRGVVGGMALAVSISSSSALGVLEAALAGGLPPEEKPKLCDADCEKELENVAPMVTTESGLQYKDIRVGQGPSPPIGFQVAAECIAMVPNGQIFDSSLEKGTPYIFRVGAGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVVFDVNLLYIPGLDDE* >Brasy9G154200.2.p pacid=40061449 transcript=Brasy9G154200.2 locus=Brasy9G154200 ID=Brasy9G154200.2.v1.1 annot-version=v1.1 MAATATAPPLLLPSGSGPRSASRAWWPLRGGGGRASCRCWAGAGGTAGQCGADGGAAAVVGRRGVVGGMALAVSISSSSALGVLEAALAGGLPPEEKPKLCDADCEKELENAPMVTTESGLQYKDIRVGQGPSPPIGFQVAAECIAMVPNGQIFDSSLEKGTPYIFRVGAGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVVFDVNLLYIPGLDDE* >Brasy9G154200.3.p pacid=40061450 transcript=Brasy9G154200.3 locus=Brasy9G154200 ID=Brasy9G154200.3.v1.1 annot-version=v1.1 MAATATAPPLLLPSGSGPRSASRAWWPLRGGGGRASCRCWAGAGGTAGQCGADGGAAAVVGRRGVVGGMALAVSISSSSALGVLEAALAGGLPPEEKPKLCDADCEKELENAPMVTTESGLQYKDIRVGQGPSPPIGFQVAAECIAMVPNGQIFDSSLEKGTPYIFRVGAGQVIKGLDEGILSMKVGGLRRLYIPGPDSDDFPNFVDMNS* >Brasy9G096800.1.p pacid=40061451 transcript=Brasy9G096800.1 locus=Brasy9G096800 ID=Brasy9G096800.1.v1.1 annot-version=v1.1 MRGGEEDEESSQCSSGCQSGWTLYLEQSGSAGQHQRCTMPYQRRHTMLPQAEYSSDEEDSMVSDASSGPQVQGQTIQCKLLQPQLRRRRSFLEGDKCCDDDSSSGSGTGGRRNVIFSGSGNSFVSTRWSPDFGDVKSGKKTKAAVFKREEAAAIRRNAGVDDDDEDLHDSASSSAVACIDVVEAMHGGRDALYMDLQPSCAFSSEHSSPEWPAGGSKHGRSGLCDAAS* >Brasy9G126700.1.p pacid=40061452 transcript=Brasy9G126700.1 locus=Brasy9G126700 ID=Brasy9G126700.1.v1.1 annot-version=v1.1 MGYHFSHHHTEPSLPRSSRHCRESEGVRMGGKAALLVALLAVSLVVETQADSGYTPSPTPVTPSPKPEKPPKVHKPPHHHHHAKPPAGSHMPAPPTFTPPTPAYKPAPTPTPPTPTPPKYTPSPKPPTPKPTPPTYTPTPKPPTPTPKPTPPTYKPPTTQPKPTPPTYKPAPKPTPPTYKPTPKPSPPTYPPKPTPPTYKPVPKPTTPTYKPAPPTYKPAPTPTPPAYKPAPKPAPKPTPPTYKPAPKPAPKPTPPTYKPVPKPTPPSYKPAPKPTPPAPKPTPPTPPAYKPAPPKPSPPTPAPPAYKPPTPTPPAYKTPTPSPPPPPYHH* >Brasy9G005900.1.p pacid=40061453 transcript=Brasy9G005900.1 locus=Brasy9G005900 ID=Brasy9G005900.1.v1.1 annot-version=v1.1 MSDNEKDVAEGATSRGADWEVVTLTASAYAAAPGPGGTEGGPAAESKSLDASKDGRGSSNALLMSDHFVFPPSEHENLPIETALEEIAPEKDVQEESTSVEDDGFKNVGASYDVGSERILYYDEGKNLSVDEAELKGDIAEQGSFHTEDDGHGSVVHDDDDRDGDKSDKPSDSKSRDSGPPCQCWLKKHMACLYDQAKETNALWSVVVAAALVGLVILWRKDKLHLNCLKWRSRSAVR* >Brasy9G168900.1.p pacid=40061454 transcript=Brasy9G168900.1 locus=Brasy9G168900 ID=Brasy9G168900.1.v1.1 annot-version=v1.1 MPPPPPLATVLTLLLALSVGAARGADDLASDTAALLAFLAPFGSASVSWNSSQPTCSWTGIICSGGRVTQLHLPGDGLRGSFPAGALGRLNKLAVLSLRYNALSGPIPADLASCVLLRVINLQSNHLSGELPAAVLSLPALTQLNLAENRFSGKIPLAIANNGKLQLLYLDGNLFTSELPDVSMPFLTALNVSFNNLTGEIPKAFGAMPAASFLGMPRLCGNPLPACQTPSSQQPPSAAPGLPPPEATGAANSPGRGRRHLAGGAIAGIVIGSASALLLLAAVLVLVCGAMRSSETRRTHRSQDAVAAELALHSKEAMSPNGYTPRVSNARPPPPPVAPMPPPVAPVAVGRKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALDSAPAVAVKRLKETSLPEREFRDKIAGIGGMDHPNVVPLQAYYFSKDERLMVYEFVATGSLSSMLHGNRGSGRSPLSWESRRRIALASARGLEYIHATGSKVAHGNIKSSNILLGGGRSAGDAAARVADHGLASLVGPAGAPSMRVAGYRAPEVVADPRRLSQKADVYSFGVLLLEMLTGKAPTNAVLHDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTVPVPEQRPAMPEIVVRIDELGGPASSGHSMSRPGRSVSVDEADDRPLKPAGSIRES* >Brasy9G191800.1.p pacid=40061455 transcript=Brasy9G191800.1 locus=Brasy9G191800 ID=Brasy9G191800.1.v1.1 annot-version=v1.1 MLTSATTSPSASTRFSSPCRPCRSDSAPARRPRPRPVLVMAASDDPRAAPARSVAVVGAGVSGLVAAYRLRKSGVRVTVFEADDRAGGKIRTNSDSGFLWDEGANTMTESALEASRLIDDLGLQDKQQYPNSQHKRYTVKDGAPTLIPSDPIALMKSTVLSTKSKFKLFLEPFLYEKSHTRNSQKVSDNHLSESVGSFFERHFGKEVVDYLIDPFVAGTSAGDPESLSIRHAFPGLWDLEKKYGSIIVGAILSKLTAKGDSTKKADTSSGKGRNKRASFSFHGGMQTLVDALHKDVGDGNVKLGTQVLSLACSCDGLSASDGWSISVNSKDASSKLAAKNQLFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFRKEDVKRPLEGFGVLIPYKEQQKYGLKTLGTLFSSMMFPDRAPNDQHLFTTFVGGSHNRDLAAAPTAILKQLVTSDLRKLLGVEGQPTFVKHVHWKNAFPLYGHDYDLALEAIGKMETDLPGFFYAGNNKDGLAVGNVIASGSKTADLVISYLESHQAR* >Brasy9G265500.1.p pacid=40061456 transcript=Brasy9G265500.1 locus=Brasy9G265500 ID=Brasy9G265500.1.v1.1 annot-version=v1.1 MASSSSSGPSAAAGKADRLSALPDAVLGHLLSFLPTKEAGRAARLARRWRHVFCNVHTVSFSERAGDRSDDWSTFYYDASERKSCSWAILDDVCSALLCRRRCAGHGVPLRALRFAFDSCHGWDGPHVDTWLAHALRHSRCSQELCLDLCFYLGQICGGGGNGGGGGESDDDDEEKGRRWYGFRHVLPRGIFSCAALRELSLCSCWLKLQRPPAAAVAIDLPNLETLRLADITRDSGKSVQRLISSCPRLADLTLESIPRLKRVSVLHRPLRRFSLRCCHGLKSVEVDASELRAFEYRGEPPLESLLSLHGLQGVNISSCALDFCRTSSSKHLGADLVRFRSLMQKISDARQLRLHHGKLESRFFLGLPSFPNLVRLALQGPIWRRADAVVVGRVLAQTPSLEVLSLYMEPPPPPPPEAPRYRHRRPSSNEQQPQQQEQEQEEDASSRISPDEIGVPEESSFAAMACLRQRLREINMVHYWGDEAQRMVARLLLRSTPVLERVCVVLVKGTFEAQAKMKEEIESWVVAVDAEKVFL* >Brasy9G038800.1.p pacid=40061457 transcript=Brasy9G038800.1 locus=Brasy9G038800 ID=Brasy9G038800.1.v1.1 annot-version=v1.1 MRASSSPGRACPLSPSRIKASDDDPPLTAITDKSSHEHRRVGGLVVVSPVAGGGLDAIEVSCHSILRRADGQWREEDGAGKACRQAAPWTSRGGGCVLDAFDQSGPLGLGVGAHLGSGDAAI* >Brasy9G205100.1.p pacid=40061458 transcript=Brasy9G205100.1 locus=Brasy9G205100 ID=Brasy9G205100.1.v1.1 annot-version=v1.1 MIRTAVAVGGDGEEDQGEMKSLKAGAAAVKVLEDGDRWNEQHRMGKVKEQHMSVERVLQIEEEAFTGYRKSWERTRGRGRGFENMTLLSPMLFTPYAPGCIPTDAFAGRTFQIYSIKVAELKGFKWPLKDPFLHLTGPSRAVVFEDPIDIEILLRVKCGEKEEKRPLISQVMSFNGGNSRMPRTMFVDNPLCTLEVCLEQITDSVQATFISVKVDESLPSEHGMKVVCSALPLDIVLYDSKQGKQPIGERGFLNLSRNVVSVKLEGRLAVSIHTCAPTGETMANGYVSVTPRNCNTSQHTCDVGGCKVDFTIAWSLLVEDEMLLSINGFVDPYEGIPPLHPSLMQKMWDCQE* >Brasy9G069100.1.p pacid=40061459 transcript=Brasy9G069100.1 locus=Brasy9G069100 ID=Brasy9G069100.1.v1.1 annot-version=v1.1 MATLLAHHATLVMAAVPSRSSESLMMCDSVVLAWVAVVQPLLGGECSLHSEVVALEVKSYNVTRAGHIDHLLAPFHGREGAGSDLNRSYEEAMWRRPPFLAQPRMRSMLYGGRRDWTNLPSLPLDEIAGRLLRYDVSEYLRLRAACKDWRTRTADPREGGDLDSRFRPRRWVMLSNNADGARRRFLNLVTGALAHVDLPELSTSHHMETTTEGLLLLRHKDSHALGLLNPLTKTLTHLPPITVELESVHRYWAVEAAACPQLLVYAGISDETSPPTAVLVRGKACAILYAKPGDQRWAVMEDEAVRSLPFFRCPSYDTLLDYISHLSVSTILGNIYLASFEGNIVKFVLRPKPMLVPVAISQPFNKGPCRTTCYLVPVDDHTGMIMVRYYPNLDHLTVRERASMKSRKNRDVIKMDGHLLRKCTWRLIQVFKVDLTGNRLVQVGNIGHRALFVGSLASLSLCSKRFPSVPGNAVYFGVHRTKLSTVGVRYLGDKSIDPPFEFVLVDPNPKTCFPVHRSFKQLSPLARPCTLQEYLVCYTATCCGLKD* >Brasy9G149100.1.p pacid=40061460 transcript=Brasy9G149100.1 locus=Brasy9G149100 ID=Brasy9G149100.1.v1.1 annot-version=v1.1 MDNRCSICLNVGGSCCCSNRCLFSFSVTMTEAFEDHVVVPCIFREQLNDFAANFLVMNSGGFKFDVYIQRNHVNTVLCGAYWRSFAKAYKLDDGDVVLFSTNAFGCMFEVTVFDEHGVQKSMSYIPTIYEMNDYKRHKLHDTIFTDMASLSEYDVGKIAQSLSRKKFTEDYQDHFYMAHKMTAADVASGFLVIPSYVTFRLELPTHGLVIFHFRDFATSMTGSYMPRESTKTVINDVWSNLHGYFGINAGSTVLIKIEKKESCILLDIEVINMRD* >Brasy9G146000.1.p pacid=40061461 transcript=Brasy9G146000.1 locus=Brasy9G146000 ID=Brasy9G146000.1.v1.1 annot-version=v1.1 MPGLIHNTTLRSVGPRCQSPTARSLPGNSNLHGPPSSRRAEAMASPALAMRRPSPTVRASAPRRRLRRHGYSPCNLGFQSSASRLKVTALFGWIKGDTRTRELIPSAESYTLTGSASEVDMKPREVSISVVSSIMDIPPAEWDACAVDSAEPEKLNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDEVENIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYQDQVFDALVKALKNLATKLKVSSLHITFPSEGEFRKLKGNGLMQRIGMQYHWRNRNYKSFDDFLMDLKQPKRKNIRQERKKIPAQNLKLKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLEPGFATAIGNFLERETAQVKHVVKVLHDSGPYKEEILKEFAPNDEM* >Brasy9G146000.3.p pacid=40061462 transcript=Brasy9G146000.3 locus=Brasy9G146000 ID=Brasy9G146000.3.v1.1 annot-version=v1.1 MPGLIHNTTLRSVGPRCQSPTARSLPGNSNLHGPPSSRRAEAMASPALAMRRPSPTVRASAPRRRLRRHGYSPCNLGFQSSASRLKVTALFGWIKGDTRTRELIPSAESYTLTGSASEVDMKPREVSISVVSSIMDIPPAEWDACAVDSAEPEKLNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDEVENIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYQDQVFDALVKALKNLATKLKVSSLHITFPSEGEFRKLKGNGLMQRIGMQYHWRNRNYKSFDDFLMDLKQPKRKNIRQERKKIPAQNLKLKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGDKAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLEPGFATAIGNFLERETAQVKHVVKVLHDSGPYKEEILKEFAPNDEM* >Brasy9G146000.2.p pacid=40061463 transcript=Brasy9G146000.2 locus=Brasy9G146000 ID=Brasy9G146000.2.v1.1 annot-version=v1.1 MKPREVSISVVSSIMDIPPAEWDACAVDSAEPEKLNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDEVENIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYQDQVFDALVKALKNLATKLKVSSLHITFPSEGEFRKLKGNGLMQRIGMQYHWRNRNYKSFDDFLMDLKQPKRKNIRQERKKIPAQNLKLKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLEPGFATAIGNFLERETAQVKHVVKVLHDSGPYKEEILKEFAPNDEM* >Brasy9G283900.1.p pacid=40061464 transcript=Brasy9G283900.1 locus=Brasy9G283900 ID=Brasy9G283900.1.v1.1 annot-version=v1.1 MGELLYYYPRKKVTYEAIIREIYLNKLEPWYLKDRCRIGTGPQNEWYFFSHKDKKDPTGTRTNRATTAGFWKAKGRDKAIFLGTRKGMRKTLVFYVGRAPHGRKTNWIMHEYRLDQDNNVDI* >Brasy9G109900.1.p pacid=40061465 transcript=Brasy9G109900.1 locus=Brasy9G109900 ID=Brasy9G109900.1.v1.1 annot-version=v1.1 MMQFLFSLLNMLYSLSAYYGDGAPIGKEGIILKDHGQLMNQTFMLDFSCPGGRSKFLANSNAFSQQCAGYSLIVEVGSTSLFFFSSYWIFNQVVN* >Brasy9G208400.1.p pacid=40061466 transcript=Brasy9G208400.1 locus=Brasy9G208400 ID=Brasy9G208400.1.v1.1 annot-version=v1.1 MDVQVSVSETAAGKRLHSFFSRGFVIIGGVIWVLASSAASSGSVNSGCTLVSSPWSTGSSATGSCAATGSSTTTASSSSPSSSSSSSSIKPIMWLLSTASSASSWDSEMSSMINV* >Brasy9G252900.1.p pacid=40061467 transcript=Brasy9G252900.1 locus=Brasy9G252900 ID=Brasy9G252900.1.v1.1 annot-version=v1.1 MRSRYLYDAKPKNPEAKEKALISRPRLTAFLCFHPAAASQQPSSPSSPTRRRALGFGMEAEAAAKRAREGEGAATADGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVLVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDAKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVVDVSKQFFPHLALGFEDSRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVSRALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPPVDFQHPVFNIEEDDYSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKSN* >Brasy9G078100.1.p pacid=40061468 transcript=Brasy9G078100.1 locus=Brasy9G078100 ID=Brasy9G078100.1.v1.1 annot-version=v1.1 MVTSTSRGRTAGRGSAFGSVHAEEIWSPKLFGCQKPNILHEMFAKFTPFKLLLMKDIAFDGLTDMPKQQWNRQFSLFCLNQTDSEGDPMEFEFPDGTRAPIYPLDVKKIIGVQCEGKHISVIDDDVPEELLQEVCRALRLQQLTISSVCDVVERSIDVHSRKQEQEAFQIGVVILCFAFMLDCRDRDPKVPMYLLPHLSNVQKLKEVNYARCVLDILGIAARKVQESKRAGYSTCTVGGCCIVPQIFYLDRINFGAHKAPANVFPRINAYVKSKLDTLIGMEWKCHDVDLSQWYGNYKRNDDVKVEDKGKSIATGSRRHKRKKKYRSARQSRRQQRFNSLMKVVEEHHASDAYLVEDLKRELQKRKNLLLYRIATHMENEGSSDSSDEDRPSEVNLMAGNPMFKRPNRLPLSAGVWQREKDKGKDGSEGANVNEGPNGNEDAIINNVLLDIAGAAEQIQHEGQEHLKKSAVVPRDCKDNQSDVSHVGTGGSESTN* >Brasy9G055300.1.p pacid=40061469 transcript=Brasy9G055300.1 locus=Brasy9G055300 ID=Brasy9G055300.1.v1.1 annot-version=v1.1 MATGTAIASLVSFLALLLAAREASAATMALYNRCGETVWPGIQPGAGKEILARGGLQLLPNRATSIRLPAGWSGRVWGRQGCSFDAAGRGKCATGDCGGALYCNGAGGAPPATLAEITLGASASTQDFYDVSLVDGYNIPIAMTPYHGSGTNCLPAGCVSDLNRVCPAGLAVRGTGAGGGGRVVGCRSACAAYGSPQYCCTGQFGGPQTCKPTAYSKLFKQACPKAYSYAYDDPTSILTCAPGTSYVVTFCPHRR* >Brasy9G346900.1.p pacid=40061470 transcript=Brasy9G346900.1 locus=Brasy9G346900 ID=Brasy9G346900.1.v1.1 annot-version=v1.1 MAAASAATTSALEPLLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLYLTEHGYGEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLHALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLGMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYMLNDVKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAVSATIKNLTTLIRTKSKSPQTTSGKATGSKAAAKGAVDQPLPFQHNVAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLSARGHNGGRVIFDDYREAYYWLRQNTPTDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASHKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDVKLEYLEEAFTTSNWIVRIYKVKPPKNRS* >Brasy9G001000.1.p pacid=40061471 transcript=Brasy9G001000.1 locus=Brasy9G001000 ID=Brasy9G001000.1.v1.1 annot-version=v1.1 MNTTVSGEAEKGMSDAVYMTPEKTISLPSRFWSNLLASRICLLAIDEVHCISEWGHDFRLFVLLLYVNKRVWCSTWLTWKSLS* >Brasy9G248000.1.p pacid=40061472 transcript=Brasy9G248000.1 locus=Brasy9G248000 ID=Brasy9G248000.1.v1.1 annot-version=v1.1 MCWCTSLATGPVAGSRWPPPSLRQRRRASPVRAEAPPGVGTQRKKVAVAGAGWAGLATAHHLVKQGYEVTLFAADSVPTEEVGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSPQGLAVEFPVFHDQPRLPAPFGIFAYPEFPSLPLIDRLTSVPVLAAVIDFDNTDTAWRKYDTMTARELFKMFGCSQRLYNEVFEPAIQASLFAPGEQCSAAAALGMWYYYMLSHQENSDFVMCRGEVEDTIFSPWLKSLELKGLKFLSNKVPTRLILNKDTGCVSGIVCGEEVYDADAFVLATGLSPLQCIIRNSPFLQSQQEFANLLYLSMNDILSIKLWLDKKVTIPKAANVCSGFDDSSGWTFFDLTSIYDDYADEQTTVVEAEFYNASHLLPLTDQQIVSEAISRLVRCIDDFEGSTVVQQLVRRSPRSVYHFLPGSYKHTVRGITSFPNLFIAGDWIVNRHGSFSKEKAYVTGIEAANMAVDYFGEGNFAKIIAVEGDEPHIETLRSLNRRANELKTDIPFSEFFLQ* >Brasy9G028800.1.p pacid=40061473 transcript=Brasy9G028800.1 locus=Brasy9G028800 ID=Brasy9G028800.1.v1.1 annot-version=v1.1 MRPHGQRRRRRARCVLQRPASSSLPRAPFSPASLSLLQVLGLPFDAQQQQQQQPQHWFSMSAMDTNERQFLSFLFLFVPLLRPDLPSLQSDRCGLWHRHRRRLTPRKPSVSHVLRHRPPASAVRRHRPSGGPPFPHLARRGRRLLSPSPAIDDSLSGRTPSSSLATRLGDPQWPLASPPSDSLSKMPNGENKLFKMPNADGEISALHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVAQISRVGLNRESPRLLIDIPDRGDLGHQHVIHSYAAIAGQQEETNFIQDTDLTLVAHEGEGSQLVESGEAANLNQLMCPMCRGTVEGWEIIKDARQYLDEKPRACSREACIFSGDYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDREGSGPNQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEVQRPRSRRRFVRSRSEERH* >Brasy9G028800.2.p pacid=40061474 transcript=Brasy9G028800.2 locus=Brasy9G028800 ID=Brasy9G028800.2.v1.1 annot-version=v1.1 MRPHGQRRRRRARCVLQRPASSSLPRAPFSPASLSLLQVLGLPFDAQQQQQQQPQHWFSMSAMDTNERQFLSFLFLFVPLLRPDLPSLQSDRCGLWHRHRRRLTPRKPSVSHVLRHRPPASAVRRHRPSGGPPFPHLARRGRRLLSPSPAIDDSLSGRTPSSSLATRLGDPQWPLASPPSDSLSKMPNGENKLFKMPNADGEISALHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVAQISRVGLNRESPRLLIDIPDRGDLGHQHVIHSYAAIAGQQEETNFIQDTDLTLVAHEGEGSQLVESGEAANLNQLMCPMCRGTVEGWEIIKDARQYLDEKPRACSREACIFSGDYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDREGSGPNQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEVQRPRSRRRFVRSRSEERH* >Brasy9G066700.1.p pacid=40061475 transcript=Brasy9G066700.1 locus=Brasy9G066700 ID=Brasy9G066700.1.v1.1 annot-version=v1.1 MEDPNGTRKKKRKRRGDEAASGGSAAASFDRDVFPILFARATRQNQPAAAARLLRRLLSHSPPAPPLSPLPGSLVALLPLLLSSSSHSVAALSCEVVGVAALQSMEASETLASDGGIASGLARALGSGSQRVAEAACNAVMDLSASSVARERLSGSLVLPRLLYLFSQVDSTCGVVPSRTIKCQTRISEPNKYVCLIIDTMVLMVNSCKVDKLHNLQQELVSKAVPLLYEIWNEVRLLRSSADCNDSKDQLQSSEYEISGAIFRLSVDLAYPAHPEPDEVRKSLFGQRESDFIDFVLTSWEKSPHVYKKRRNNLKEDPVFAALHNSFDLTTRDAIIESFIHGLVSCPAIASDELNINSFLLEVHDCLGAAVKYRQDVRVVRTQDQTSRGSGKEEHFFDDGTVFLDAAAFVEDCKDAFKGGFSIALRGMEFRSENVAAIASALADLFGQPSVGANMYFSPPRSQGLARHYDDHCVLVLQLLGRKKWKIWPSTKSILPRLYEPFDSLDGSVDDSGGRIEVLHEGDIMYVPRGYVHEAHTDVAKGESEVNESSNYSLHLTLAIEVEPPFEWEGFARIALHCWLEEQSRSINSKVEEQALLLALLLHVAIRLLSNNDPILRKACMVAAKLPSPSNSCAATHPDSLRSIQRSTFAEILNSIDNNCNFHDALRSIEVAVNDRNDEPFQWMSWLRHLPQHGDGMDFCDVLGTLGEAVEAFRSDRERASSRFTEFKSRFCRSVVFEDACQGFETLLQRYRTSRSQYMRGMLALHGRRGS* >Brasy9G066700.2.p pacid=40061476 transcript=Brasy9G066700.2 locus=Brasy9G066700 ID=Brasy9G066700.2.v1.1 annot-version=v1.1 MVLMVNSCKVDKLHNLQQELVSKAVPLLYEIWNEVRLLRSSADCNDSKDQLQSSEYEISGAIFRLSVDLAYPAHPEPDEVRKSLFGQRESDFIDFVLTSWEKSPHVYKKRRNNLKEDPVFAALHNSFDLTTRDAIIESFIHGLVSCPAIASDELNINSFLLEVHDCLGAAVKYRQDVRVVRTQDQTSRGSGKEEHFFDDGTVFLDAAAFVEDCKDAFKGGFSIALRGMEFRSENVAAIASALADLFGQPSVGANMYFSPPRSQGLARHYDDHCVLVLQLLGRKKWKIWPSTKSILPRLYEPFDSLDGSVDDSGGRIEVLHEGDIMYVPRGYVHEAHTDVAKGESEVNESSNYSLHLTLAIEVEPPFEWEGFARIALHCWLEEQSRSINSKVEEQALLLALLLHVAIRLLSNNDPILRKACMVAAKLPSPSNSCAATHPDSLRSIQRSTFAEILNSIDNNCNFHDALRSIEVAVNDRNDEPFQWMSWLRHLPQHGDGMDFCDVLGTLGEAVEAFRSDRERASSRFTEFKSRFCRSVVFEDACQGFETLLQRYRTSRSQYMRGMLALHGRRGS* >Brasy9G059400.1.p pacid=40061477 transcript=Brasy9G059400.1 locus=Brasy9G059400 ID=Brasy9G059400.1.v1.1 annot-version=v1.1 MPALLRPLLLLLAAITTTAAPATSHSQPTLPTAPGAGTSHSQPTLPAPAQTRSPPATLASTPPPAATQASLLAAFLAKADPSSHLRPPLTSSPCTHPGVTCAGGGSNQITHLVLESAGLNGTFPPATLSALAELRVLSLKSNALHGPIPDLSPLSNLKALFLAGNRFSGPFPSSLASLRRLRSIDLSGNRLSGALPPGIEAAFPHLTALRLDANRFDGSVPAWNQSSLKLLNVSYNNFSGPVPVTAAMALMGAAAFAGNPGLCGEVVRRECRGSHLLFFHGGGNNGSAADPPVQSSDATPQGEGISLPDTPSGPRTRRVKRRTAMAVAVGLAAFLAVLLVCAVIAARRGKKRRRPSSAAYPSPKKSAAASQVSRELDNADVGYVECVPDEETAAMMMPEEKARRLGRSGCLTFCAGEVTSYTLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAASEAEAFEQNMDVVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLHSLIHGSRSSQAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEIKDDAAYRSPENMNSNRRLTPKSDVYAFGVLLLELLSGKAPLEHSVLVATNLQTYALSAREDEGVDSERLLMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTTGDNDDSHDSDLTSNS* >Brasy9G036000.1.p pacid=40061478 transcript=Brasy9G036000.1 locus=Brasy9G036000 ID=Brasy9G036000.1.v1.1 annot-version=v1.1 MADAMAADMRAGLAADGAAELKMIPSYVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRVVGTEFEQVSIPKEIMHGTTEELFDFIADRLSAFVAKEGGDFHLAKGRNREIGFTFSFPVKQTSIDSGILIKWTKGFAVAGTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGAHYWDDDVMVAVILGTGTNACYIERTEVIPKLQHLGLGAGNTIINTEWGAFSDNLPLTEFDRAMDVESINPGEQIFEKTISGMYLGEIVRRVLVKMAQVSDLFGHSFPNKLAEPFVLRTPHLCAMQQDNSEDLGQVKSIMHDIIGVNLSSLAARRMIIEVSDCIIKRGGRLAGAGIAGILQKMEDNTKGLIRRRKVVAMDGGLYENYPQYRVYMLEAMAELLGPRDSEDVVVEHTKDGSGIGAALLAAVNSKYAA* >Brasy9G078900.1.p pacid=40061479 transcript=Brasy9G078900.1 locus=Brasy9G078900 ID=Brasy9G078900.1.v1.1 annot-version=v1.1 MKLVRLSKSFNNDQKELISKSGFGSFVYANISKLHPEGKNTLLPMTAISDQMEVADSFNPDSCELGFNDRGSLPITEEAVHRVLDVPMGKNAVKYYIDTEATKVLFHMLQIQDGKHPKLSDLEKKLSSMQSADESFMRLWLLYAMCSVMAPTTGTMVGPRCYPAILDIEGIRDLNWCRFIVDVLINTARAKDKNM* >Brasy9G259900.1.p pacid=40061480 transcript=Brasy9G259900.1 locus=Brasy9G259900 ID=Brasy9G259900.1.v1.1 annot-version=v1.1 MASGGIARARLAEERKSWRKNHPHGFVAKPDTLPDGSVNLMVWRCVIPGKEGTDWEGGYFPLTLEFSDDYPTVPPACKFPSGFFHVNVYDSGLVCLSILSSGWKPSITVKQVLVGIQELLDDANPNSAAQHRCYELYKKNMPEYKNRVREQAKRYPSRV* >Brasy9G208900.1.p pacid=40061481 transcript=Brasy9G208900.1 locus=Brasy9G208900 ID=Brasy9G208900.1.v1.1 annot-version=v1.1 MADKFNQKFPLARFTKQQIQEKEKELKGNYKAVRDARKQSGVGWNESLCTIIAEPEIWEKLVKDFPEVKKFRSKPFTLFNSLSSLYEGSVTTGDLNFVSVPQVVQHVDLTTDPVSPTDSSTNHPGSLNPFSSTSLDGHMSSTDLHGQEASRREDAEPTTSALKEEPPKRRKQSQVAVVLEEYLDFRKKHSVKLIEEIKEPKPDEKFSIAACVSTLEEMEGLTDREKGKVLRLFKCQQNREIFINTKVASVRLCWLKEETDAART* >Brasy9G349100.1.p pacid=40061482 transcript=Brasy9G349100.1 locus=Brasy9G349100 ID=Brasy9G349100.1.v1.1 annot-version=v1.1 MLVGVGTEGMNIQMGTGTWPAGPEIQIGDCAEGTAENHPGGLHAVVVERPLLGPDDNFSSTSGGQPAEDDDDGTDEEVSSKRRRLA* >Brasy9G102100.1.p pacid=40061483 transcript=Brasy9G102100.1 locus=Brasy9G102100 ID=Brasy9G102100.1.v1.1 annot-version=v1.1 MARLEDELRHLMLRHSVPLDASGLYCSLRRLSLESMDDLDASSEFDPATPHSQEGAPDTARSASLVGNPFDDQLFDLVRPDAVDELRAIAERMGRAGYESELMQVYCGIRRDLLDECLVVLGVERLSIDEVQRVEWKQLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAVSDELRDECFVESTKVCIMQILNFGDAVAVCPRSPEKVSRILDMYEALAEVIPELKELFFGTPGDDVICDLEGVLGRLGDAVKGNLLEFGKVLQQESSRRPMIAGEIHPITRYVMNYLRLLVVYSDTLDKLLDDAAAGDLDHNASHGGADEDEDYLESLTPLGRRLVKLMSYLEANLEEKSKLYDDSALQCIFSMNNTLYIVQKVKDSELGRILGEHWTRRRRGKIRQNSKSYLRISWTKVLSYLKDDGHGSAGGSSLGNLSSRVKEKFKNFNMAFDEIYRSQTLWKVTDPQLREELKISISENVIPAYRAFLGRYGSLVDNGRNSGKYIKYTAEDLENQLSDLFEGQLGSANHSRRRL* >Brasy9G340100.1.p pacid=40061484 transcript=Brasy9G340100.1 locus=Brasy9G340100 ID=Brasy9G340100.1.v1.1 annot-version=v1.1 MASRKKRPSSSEKQQQRRDRISELTDDVLGHVLSFLPNKEAGRAAALAQRWRHVFGSVHTISFEEAEGERADDWITFYYEAEERKSCSDQLLDGVNGALLCRDRCGAGFPVPLRRLSFAFDHWHVWDKVAVDQWLASALRHRRGSLPELHLDLCFRLGPICTLVDDADGGIVADSDSGDSTTEDSNSGTDDGGTEVDIVSGDSTTDDSMTEDSSSDTDDGGTKADNCSGTDNSGMESDSGSDTKGSGTEADNNCDTDNSGIEANSSSDTDDSGTEADNGSDTDDSMTEGSSSDADDGGTETHGSPYDREREESNLTYVLPGRLFSCSALRTLCLTRCRLELPAIIDMPFLQTLRLTSLLDSERSIQRLISSCPCLVDLTLEALDKLERLTILDKRLHRFALRCCHNMKSVDIDTSGLRSLHYRGTMPTESLISLHGSPLVPSLTIDLCRAPSKSKEAAFAGFARFLDKISDAKHLHLHRRSIDNGFFTSGGFPLFCNLTQLTLQGPIESCETVAAVRRVLEQTPNLESLSLLMEEHLDDPSGRLIVPEETTVPDRSSFSILCLRHRLREIGMEGYEGSKAQKMLAELLLRNALVLQRLHAVFVEGMKPSRRSRLEIQLGEWAAANSEKIFR* >Brasy9G136900.1.p pacid=40061485 transcript=Brasy9G136900.1 locus=Brasy9G136900 ID=Brasy9G136900.1.v1.1 annot-version=v1.1 MSFHYIHSDMIFDHIIMSAGSPCVLPIFFLSPLASYGVMAILYPLFVMTAAGTQAEQEIDELKPLHGGKLKRIPLFFVAKRLATQLLELFPEAQKEQ* >Brasy9G199200.1.p pacid=40061486 transcript=Brasy9G199200.1 locus=Brasy9G199200 ID=Brasy9G199200.1.v1.1 annot-version=v1.1 MTTDQPVISLRPGGGGGGPRASRLFSPAFATAASGSGDFLRPHGGAASGVSRIGDSHFETRERICYSRDQLLELREIADVPEDISRIKQEIEAELQGLLQVDDQSWVRNDSIVPAQTQTQTQTQAQAQNRYTETDNRDWRTRTVQPPAVHEEKSWDNIRENKELYNSSGRQQEQFNRQDQLSSQFVSKAQVGPPPALIKAEVPWSARRGNLTEKDRVLKTVKGILNKLTPEKFDLLKGQLLESGITTADILKDVISLIFEKAVFEPTFCQMYAQLCSELNDNLPSFPPEESGGKEITFKRVLLNNCQEAFEGADSLRVEIARLTDPDQEMERRDKERIFKLRTLGNIRLIGELLKQKMVPEKIVHHIVKELLGSDKKACPDEEHVEAICQFFNTIGKQLDENPKSRRINDTYFIQIKELVANPQLTPRSKFMVRDLIDLRSNNWVPRRAEIKAKTISEIHSEAEKNLGLRPGTTMRNGRNAPGGPLLPGGFPMNRPGIGGMMPGMPGSRKMPGMPGLDNDNWEVQRSKSMPRGDPLRNQGQSVNKLSSINKPLPINNKFLPQGSGALMGKSALLGTGGPPSRPSSFTSPIQSPAQATASSKPLSATPAPVPVLDKAASSSKVIPAELQKKTIALLEEYFGIRILDEAQQCIEELQSPAYYPEIVKEAINLALDKGASFVDPLVRLLEHLYTKKIFKTEDLENGCLLYGSLLEDIGIDLPKAPTQFGEIVARLILSCGLRFEGVEEILKKMDDTFFRKEIFKAVTKTLEANSPGQAILSSHAAVIDACNNLSK* >Brasy9G102500.1.p pacid=40061487 transcript=Brasy9G102500.1 locus=Brasy9G102500 ID=Brasy9G102500.1.v1.1 annot-version=v1.1 MKSKASTFLKHMLSTIVAVVKSKSTAVRAKTSAFKTRLLVLGILRNKKLLVSAINHKIHALMGQQDADNQHEDHRHHHAAGIDDDGSGKKAVVLYNSPSYATTERDAEEEEEEDSDDYLTHSLFQENDDDDDDDDELVNAPGSVIDVVRDAKEKEGEGAEFKLEDEIDHVADVFIRRIHKQLKLQKLESFKRFCEMMERNA* >Brasy9G083100.1.p pacid=40061488 transcript=Brasy9G083100.1 locus=Brasy9G083100 ID=Brasy9G083100.1.v1.1 annot-version=v1.1 MASHSLGFLIAILACTCALSTLAARDLTDDLSMVTRHEQWMAKYGRVYNDVAEKAQRLEVFKANVAFIESVNAGNDKFSLEANQFADMTVDEFRATHTGYKPVPANKGRTTQFKYANVSLDALPASMDWRAKGAVTPIKDQGQCGCCWAFSTVASVEGIVKLSTGKLISLSEQELVDCDVDGMDQGCEGGLMDNAFEFIIDNGGLTTEGNYPYTGTDDSCNSNKESNDAASIKGYEDVPANDETSLLKAVAAQPVSIAVDGGDNLFRFYKGGVLSGSCGTELDHGIAAVGYGVTSDGTKFWLMKNSWGTSWGEKGFIRMERDIADEEGLCGLAMQPSYPTA* >Brasy9G033000.1.p pacid=40061489 transcript=Brasy9G033000.1 locus=Brasy9G033000 ID=Brasy9G033000.1.v1.1 annot-version=v1.1 MDTVVEETEGRHITGKGMLRQLKILRQGMYRGHYVLDALRFQDFGEEEKVSYSSSALSRFSQSKRLRLSRNGGGSSNREAAPLLVANNSIREELQHMVDTLEDTMTGMNEFLFFLDSYPRIIRQPYGTYLLLDNCMFGRQTEQEQVLNFLLCPSVTADLAVLPIVGPLGAGKSTLVEYVCRDESVRERFSMIIFLPEGCLKNEGVINLTGNNTKIRHQNCTSQNRLLIIVEIAEDINEGTWRRLKSSTNSMAPRGGSKIIITSRSERIVNLGTTEALRLTHVRPEPYWHFFKSLAFGSTNPDEQPNMAAMAMEIALEQRQCFMSAHIVAGLLRDNFNARFWRRILECVRANKQTHLLLFRKHPNLRLLEEGPLYNWRLEGSCRYFLVCNYQSDSGDEVPKISARDIILGSGGTLPRGEFEALAWRSRIPPYYNYTIRCIIQAPQPMVGRKKRVPQEEGHLI* >Brasy9G118900.1.p pacid=40061490 transcript=Brasy9G118900.1 locus=Brasy9G118900 ID=Brasy9G118900.1.v1.1 annot-version=v1.1 MARILEPLVVGKVIGEVIDNFSPTMKMTVTYSSNKKVFNGHEFFPSAVVSKPRIEVQGSDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDDSFGREVVCYESPKPNIGIHRFIFVLFKQKHRQAVSTPSSRDYFNTRRFAAENDLGLPVAAVYFNAQRETAARRR* >Brasy9G226100.1.p pacid=40061491 transcript=Brasy9G226100.1 locus=Brasy9G226100 ID=Brasy9G226100.1.v1.1 annot-version=v1.1 MDPRARYPPATGNGRGGNPNYYGRGPPPAQQHHQQPPLPQVHQQQYMQRQSQHHNQQLQHQQWLRRNQIAGEAEGAARASEHRALPATDGIDSSSQDWKAQLKLPPPDTRYQTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFFAILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLHQPVHLLVGTPGRVLDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPTSRQILMFSATFPVTVKAFKDKYLPKPYLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQDLGTEIKPVPPQIDRTIYCQ* >Brasy9G094600.1.p pacid=40061492 transcript=Brasy9G094600.1 locus=Brasy9G094600 ID=Brasy9G094600.1.v1.1 annot-version=v1.1 MAPRHKGVSSRATTKSSARSSARRGASSAQQQGSDGASSHRASRTPNLAAPSPHPSREAEPSAAHPSRDQAPSAAPGPSMHPSQERNPSIRSSSSRPPTLPDMQLSHPPSHGRGSRSSRRDGEPRCSRAGATRGTPPPAPPEHNPLKPCRRWMRCFVSCRPKARLCKVSERRASQLCPLSRRHSARARPSRAAPEQCARLGLLVRRAALGWFRRI* >Brasy9G077300.1.p pacid=40061493 transcript=Brasy9G077300.1 locus=Brasy9G077300 ID=Brasy9G077300.1.v1.1 annot-version=v1.1 MARTGRLAALLVCIFLLYVAAPSMASGHGFLQCLKRSIPGNLVLRRGSPSFEPVLVSSIRNARQLGPAKANPPLSIVTPTNYSHVQSAVRCSVRHGVRLRVRSGGHDYEGLSYRSTFSHEPFAVVDLFNLRGISVDAVTPTAMAYVQSGASLGELYYAIGKAGPELAFPAGLCPTIGVGGHISGGGIGLMMRKYGLSADNVIDATIVDAAGNLIRGTEDIGDDLFWAIRGGGGGSFGIVLSWTINLVYVPARVTFFEVGKRIDQGAARLVTKWQTVAPALPDDLSLRVLVVNRTVRFQGLYLGPRHQALKTMSQRFPELGATANDGREMSWLEYTAHIYFGQFGNASTPVEALLNRTFPVCSFLKHKSDYVKTPIPEASWEKILSWPFGGATDGQIILEPHGGKVGAAVPDDETPFPHRGGVLYNIHYIEVYSGNLSTNPPSWITGLYDFMEPLVSSNPRSAYVNYRDLDIGVNKDGAASYESAKAWGERYFGAANFERLARIKAKVDPKNHFRHEQSIPPLVN* >Brasy9G188700.1.p pacid=40061494 transcript=Brasy9G188700.1 locus=Brasy9G188700 ID=Brasy9G188700.1.v1.1 annot-version=v1.1 MRPSYVHPPSGRPSAVRAIRPENGAEIYSAHPDEKLEESLHFDAQKKVENPEKEIDSVLARQLDEELKELEEALSLAARSECENRKAFNPISGLGSFELEQALSIGDRRKVETPEKKEDGVQEWHPAEELEEALSLAVRSGLTAEKVRKFLYVAAWSNLTDYDVHSLAIRSGLKDEDALSLANNFIRMCKIVFTTLRAQLLASFDKRRFDRELYEWMQPHRIIGERRKHADRPFILFKMKASAKIAKIMWWQKKYSRFPPERSGYTGAVRVARLVLSRDGFHLEEAGEDGQPGALSVHYDDRYMGFPPRKVGVLKDGWLNPLDEVAVIKRLPNGDGISIMSYVGGLGLKLGDFLFVLHRNSCTVALSFVERSFYVSSKFKEEMLYAVPGIYFLDITNTVQNLIRKMNQMYEQEEQVKKMMQEKQDYQELMREQEALRPHLEEQQRKKLEDIRRRRVESKKQKQRRREIREAVHRMKEVAIMEKEQDQHEEYEEDCFFTPMLFCLEEGVAK* >Brasy9G252600.1.p pacid=40061495 transcript=Brasy9G252600.1 locus=Brasy9G252600 ID=Brasy9G252600.1.v1.1 annot-version=v1.1 MKILGWNCRGLNSGAALRAISDLQEQVRADVAFLSESHLDKVKADSLRRKLGFDSLFVEASNGRADLQGKASLPWLMLGDFNEILYSHEKEGGAPRPDRMMQSFRDCISDCGLEDLGYFGDKFTWRRGTLREWLDRAVCNADWADLFPLAGVVNEDHIHSNHRPVVVDLQYHNGSEIKKSVGRQFEARWLSEETVQEIVTTAWQRAILSGEAPSLADRMKAVHEDLHRWDRNVLKGPKKRIKKLKKEIQIIIDNLLAQEEIYWIQRGRANWLLHGDRNTSYFHKAATARKKRNQIKRLLDDTGVWKEGTEELGPIISGYFSNLFTSEV* >Brasy9G335400.1.p pacid=40061496 transcript=Brasy9G335400.1 locus=Brasy9G335400 ID=Brasy9G335400.1.v1.1 annot-version=v1.1 MVRRREGKEGGEGKTLTDEAESLGRRGDDHHHGEGRETLEAADMIGGGGEAAAHGGADGPVLGEGGARVWRWRRRRREVRFPVGGAVGADETRRDERRERRRVGSCTDGSEAGGGGGRRGGEEKRFFLLRGSGLNTGARPAGLRI* >Brasy9G189800.1.p pacid=40061497 transcript=Brasy9G189800.1 locus=Brasy9G189800 ID=Brasy9G189800.1.v1.1 annot-version=v1.1 MAQHTRSRFRPTIVQCPRSKNYPGRATWIRGQMHLRFIISRSKKKFTTNRSTFEARWPTTRRRPLGAPVRSTFKSKPRPPTTSPPLVRNNPRSISKTPSGFQTRFPNPPQAPPPRRTTDRCRALTPRTQADREKARRRRRRKEEPREKKKGGGEMASRTASKDIITLKGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEAVKTFITSLTSQLSEWLEAGKLQRIVLVIMSKATGEVLERWNFNIVTDVEVIEKGVVKEKSDKEIMREIQAIMRQVSSCISFLPCLDEPCIFDVLAYTDTDTSVPFTWVESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKNDDWDEQ* >Brasy9G095300.1.p pacid=40061498 transcript=Brasy9G095300.1 locus=Brasy9G095300 ID=Brasy9G095300.1.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.2.p pacid=40061499 transcript=Brasy9G095300.2 locus=Brasy9G095300 ID=Brasy9G095300.2.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.7.p pacid=40061500 transcript=Brasy9G095300.7 locus=Brasy9G095300 ID=Brasy9G095300.7.v1.1 annot-version=v1.1 MTTPVFCTADTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.3.p pacid=40061501 transcript=Brasy9G095300.3 locus=Brasy9G095300 ID=Brasy9G095300.3.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.4.p pacid=40061502 transcript=Brasy9G095300.4 locus=Brasy9G095300 ID=Brasy9G095300.4.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.8.p pacid=40061503 transcript=Brasy9G095300.8 locus=Brasy9G095300 ID=Brasy9G095300.8.v1.1 annot-version=v1.1 MTTPVFCTADTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.9.p pacid=40061504 transcript=Brasy9G095300.9 locus=Brasy9G095300 ID=Brasy9G095300.9.v1.1 annot-version=v1.1 MTTPVFCTADTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.10.p pacid=40061505 transcript=Brasy9G095300.10 locus=Brasy9G095300 ID=Brasy9G095300.10.v1.1 annot-version=v1.1 MTTPVFCTADTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGRMVRGLCVKPNKGDAVLFWSMGLDGNTDSNSIHSGCAVLKGEKWSATKWMRQKMTF* >Brasy9G095300.5.p pacid=40061506 transcript=Brasy9G095300.5 locus=Brasy9G095300 ID=Brasy9G095300.5.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRSTSNVGGNVLLQC* >Brasy9G095300.6.p pacid=40061507 transcript=Brasy9G095300.6 locus=Brasy9G095300 ID=Brasy9G095300.6.v1.1 annot-version=v1.1 MTTRSRARRFLPLLTFITLGMILGSLLQLAFFRHLDDHPNTVHLDNDQDAAVLRLGLVKPEVISWTPRIIVFHNFLSSEECDFLRKIAKPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIQAIEKRISVFSQIPVENGELIQVLRSTSNVGGNVLLQC* >Brasy9G349300.1.p pacid=40061508 transcript=Brasy9G349300.1 locus=Brasy9G349300 ID=Brasy9G349300.1.v1.1 annot-version=v1.1 MCVLVGFYLLCVYIWPPHACTQRLGGVPGMFSYAALSHFNVVRKSGCVQLWHRPMGVIEGMSNLQAAYAVVFKDSPSILFFLAQKII* >Brasy9G158600.1.p pacid=40061509 transcript=Brasy9G158600.1 locus=Brasy9G158600 ID=Brasy9G158600.1.v1.1 annot-version=v1.1 MQERLEQWFHESREINLCFSTSSMPPQMNPSIEGGTRNVRPVPFEMVSGNSQTVRHDAGPAEGIDFTAKPVQKPKKKKHRPKVIKEKKSAKPQKSIIPKAPKDKENPSTGKRKYVRKKRLNTPANQPPSENADVQNKAEPIPARRSLNFGEEDRQENVNLVSQAPVTEIPTTSEDPQSSIYAVETNPVQVACHWGGTSSSISASVNPVANLRELHANSVSNKVSFDLNYSINQIPSKCNNLMDSSGQFYQSENAPRSDQMVHGYMMPESQVPHPQHNERVSTMQSSNPEREATIINQMLHGYRVPENSVTPSMHSERNAINDNLNGFSMKSEYFRFATNQNHDEASFSLPSSCDFPNVLTLGKKREHSAINGPLVSLDMNFEHSNSSRPIYNPHCPSSRTSYFTETCKKMRSDSYRNWLNGDDNKVSYPSAYLPSNWNTNEISQRNSGVCTLADVQRSMALEKSRSYQRTIGFGMPDNDRVARPNMVQQHNKPTLCDTSNTDLFASHDRPLRYFTSQQTQRPENMMNLPGENNIQRIGSYQLQSLEVRPALHYSTERDCIALPDKQSRYLTAEHTQLPSCTANPLIENYTPNDGIPQLQYLENLMVKGSELVPATHNASIHDETVNHNWVASPDEQTRKTSAEAVGQPISKSARTDHCVLEASRENEAEKPTKKPKARGRPRKEATNGKPKGRGTRTEKVDSAKCVSSKDKHTDLLNSGRISSGSEPSAGITPNATSKDKHNDVLNNKRISCGSQPSAGITPKAAMSESERNVDRISSNLEISDHSNYISIGGSEHVHAGTITEVIAPSSDPIDAVIQTLKLLYISKPDQVVAAVSNKGTFGALVPFEGNVKKKRSRAKVNMDPVTALMWNLLMAPDMCHGAEGMDKDKEKWLEEERKVFRGRIDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSVASKFPVKLEDPEKPAEHVSHTPPEQNDNCSGLFGDSVKLQGKFSVQEIITTEYNEGSNNSELTGNFSGDGFNRAAGECSVPYQKSLTGLHENGPSGFVVQESGVACIFEAEDGPMEDAISSQNSAVSSQHSPDYLFHRTDPVGFSSLSYFTEEDYIMRHLSNGMASSTTYAEHLPMQDFVNMPSEKFGSSEYQGVNRLPVPGVNKDVMLDLNRAYQPVNTSMSYVQNGQVDLVGVPYGNHLDNSFCIGLDGVHHSNVTKPEASFYQLTSAFTISNKSKPQKADSSSKLLYCMDESLVKESSHFPSEPSQNEGYSPIRQNFQPLTSLGNVPLSREDFFSEHSFSRNEAEDPFVQQHECSNLQEVCTTRTKQMGGQSGCIQHENDTRLQAKTCENYYFSNLCENQNAQSEVSRVVASDPVRKSEATRKGPSEVPTDKSKGKKVRGRPKKKAYDWENLRKEVLCNGGNKQRSHNAKDSVDWEAVRQADVRDISETIRERGMNNVLAERIKEFLNRLVSDHGSIDLEWLRDIQPDKAKDYLLSIRGLGLKSAECVRLLTLHHMAFPVDTNVARICVRLGWVPLQPLPESLQLHLLELYPMLEHIQKYLWPRLCELDQLTLYELHYQMITFGKVFCTKSKPNCNSCPMRAECKHFASAFASARLSLPGPEEKSLVASEATNAAESCHQTYIDPRPVGQLEWNANNCRHIGSGNHQPIVEEPSSPEPEPEIAETKEVSIEDFFIEEPDEIPTISLNIKEFKQNLKSYMQANNIEIEDADMSKALVAITPEAASIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDRRQPDDPCPYLLSIWTPGETAQSTDAPKTFCNSEETSKLCGSSTCFSCSSAREVQARKVRATILIPCRTAMRGSFPLNGTYFQVNELFADHYSSQNPIDVERSLIWNLPRRTVYFGTSIPTIFRGLTTEEIQQCFWRGFVCVRGFDRKLRAPRPLFPRLHFPASKVTRDKRHAAAREDE* >Brasy9G086500.1.p pacid=40061510 transcript=Brasy9G086500.1 locus=Brasy9G086500 ID=Brasy9G086500.1.v1.1 annot-version=v1.1 MATDDDGGPDPSAAAAVETDGPYIPAELIPYIAKHLTSLHDFFALRAGCRAYRAALPPCRALLALQPPHLLVPHSPANNPLSLFQLLQPNCPSFSLALFHLPQRRLLRFRARLPFAGAGGILASDGARVVTVDDATGEILVNHLLTGLQVRLPKPPLQPDRVILTGAFIFAPATGRTDIQYFNIYKWNAQWSVSSYGGDHQIQDWRIVNGTLYALLPTCGLVTASRQPDSSMALWMLGGEFDEHVDKALQESVGGILLGDCGGELLLICKVGNIDPAYKVFRWDAVEGAWVRTMSLGGRTLFIGYDDFVACLDPGVPGTREDCIYASLPWTGGWSEYSLIDGTFNSVTAKYPGEPGVGSGRPQTWVLPSLFCN* >Brasy9G086500.4.p pacid=40061511 transcript=Brasy9G086500.4 locus=Brasy9G086500 ID=Brasy9G086500.4.v1.1 annot-version=v1.1 MATDDDGGPDPSAAAAVETDGPYIPAELIPYIAKHLTSLHDFFALRAGCRAYRAALPPCRALLALQPPHLLVPHSPANNPLSLFQLLQPNCPSFSLALFHLPQRRLLRFRARLPFAGAGGILASDGARVVTVDDATGEILVNHLLTGLQVRLPKPPLQPDRVILTGAFIFAPATGRTDIQYFNIYKWNAQWSVSSYGGDHQIQDWRIVNGTLYALLPTCGLVTASRQPDSSMALWMLGGEFDEHVDKALQESVGGILLGDCGGELLLICKVGNIDPAYKVFRWDAVEGAWVRTMSLGGRTLFIGYDDFVACLDPGVPGTREDCIYASLPWTGGWSEYSLIDGTFNSVTAKYPGEPGVGSGRPQTWVLPSLFCN* >Brasy9G086500.2.p pacid=40061512 transcript=Brasy9G086500.2 locus=Brasy9G086500 ID=Brasy9G086500.2.v1.1 annot-version=v1.1 MATDDDGGPDPSAAAAVETDGPYIPAELIPYIAKHLTSLHDFFALRAGCRAYRAALPPCRALLALQPPHLLVPHSPANNPLSLFQLLQPNCPSFSLALFHLPQRRLLRFRARLPFAGAGGILASDGARVVTVDDATGEILVNHLLTGLQVRLPKPPLQPDRVILTGAFIFAPATGRTDIQYFNIYKWNAQWSVSSYGGDHQIQDWRIVNGTLYALLPTCGLVTASRQPDSSMALWMLGGEFDEHVDKALQESVGGILLGDCGGELLLICKVFQGPERTVSTHHCHGLGDGASIL* >Brasy9G086500.3.p pacid=40061513 transcript=Brasy9G086500.3 locus=Brasy9G086500 ID=Brasy9G086500.3.v1.1 annot-version=v1.1 MATDDDGGPDPSAAAAVETDGPYIPAELIPYIAKHLTSLHDFFALRAGCRAYRAALPPCRALLALQPPHLLVPHSPANNPLSLFQLLQPNCPSFSLALFHLPQRRLLRFRARLPFAGAGGILASDGARVVTVDDATGEILVNHLLTGLQVRLPKPPLQPDRVILTGAFIFAPATGRTDIQYFNIYKWNAQWSVSSYGGDHQIQDWRIVNGTLYALLPTCGLVTASRQPDSSMALWMLGGEFDEHVDKALQESVGGILLGDCGGELLLICKVFQGPERTVSTHHCHGLGDGASIL* >Brasy9G104100.1.p pacid=40061514 transcript=Brasy9G104100.1 locus=Brasy9G104100 ID=Brasy9G104100.1.v1.1 annot-version=v1.1 MGNSYSNSGASSSGHHHLQAPELPLHLCFFLLVLLAFLGFSWYASYEAAAETFADQGRLLLMVSPLALLVAVRLLSSAGGGDGRGSYGRVDGLMSSLSMPERDSIHRAGGSPWGVGLLLVLLLVMVSYQSGFREKWFAL* >Brasy9G183500.1.p pacid=40061515 transcript=Brasy9G183500.1 locus=Brasy9G183500 ID=Brasy9G183500.1.v1.1 annot-version=v1.1 MAAAETDTTAAGGLAGEMEVEAYGRLFPVAFLERHLRESVRPDARRLAEARPTTVALGAVSSAHGSALIRLGDTAMLASIKLEVMSPTTETPDEGSVAVEFHMPPICSPLVRPGRPAEMAPVLSKALEDVLMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKTKYELVNREKRKLTLNDIPFALTCALHKDNVLADPTAEEESIIDTFVTVAVDSSDRLVSIQKPGGGVTSMAAIKTCITLAKERRHKLQEILMDSVEAMEVDEAG* >Brasy9G007900.1.p pacid=40061516 transcript=Brasy9G007900.1 locus=Brasy9G007900 ID=Brasy9G007900.1.v1.1 annot-version=v1.1 MYLVKGQPVIKGWHTGHSCNNIIHLTSCICMHGWLDVANLPHASSADIS* >Brasy9G360100.1.p pacid=40061517 transcript=Brasy9G360100.1 locus=Brasy9G360100 ID=Brasy9G360100.1.v1.1 annot-version=v1.1 MIQLNQATPLKTLGLSSARRGTGRPMASFRCADSARSYNITLLPGDGIGPEVVAVAKDVLSAAGSKEGIELRFQEMLMGGAALDAVGVPLPDETLAAARASDAILLGAIGGYKWDNNEKHLKPETGLLNIRAGLGVFANLRPATVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEETGFNTEIYTVSEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTAIASEFPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYALGVENAAKRIEAAVTETLDNGFRTGDIYSPGMTLVGCKRMGEEVLKALESQKSLLAIGS* >Brasy9G019400.1.p pacid=40061518 transcript=Brasy9G019400.1 locus=Brasy9G019400 ID=Brasy9G019400.1.v1.1 annot-version=v1.1 MRKSDREKKPRDSSKEERPPARRGAPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPAPPTPPATDASDAPAVSPIHRSLSRGLLLSPRALPALSARGALVRGGGDDESLYYAGLRRCADDPYHPAHNPAGIIQLGLAENHLSLDLVGKWMEEHAGPAMTPGGDDEERDLTIRGLATYQPYDGILALKMALAGFTRQIMKESVSFDPSQMVITSGASSAMEILSFCVADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGVRVRGVLISNPSNPTGSFVPKQTLHDLLDFATEKNIHLISDEVFAGSTFGSGQFVSVAEVVDELEDFDRGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVAAAAKIARFSSVSTPTQRLLVAMLSDQKFISSYLKINRERLQKAYNLLVDALKQVGIECFKSSGGFYCWADMSKFIRSYSEKGERRLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEQDIPVVVERLRRVTHSQKPNR* >Brasy9G050900.1.p pacid=40061519 transcript=Brasy9G050900.1 locus=Brasy9G050900 ID=Brasy9G050900.1.v1.1 annot-version=v1.1 MARAPPKTAPEAVINRIQRHLVQWVTQARRRVCDGDDDNGILDARLRERSAGEANAQYEMRQVLAVAALCVSQRANDRPAMKDVVALLEQDPVLDSLFSFFTWIH* >Brasy9G242500.1.p pacid=40061520 transcript=Brasy9G242500.1 locus=Brasy9G242500 ID=Brasy9G242500.1.v1.1 annot-version=v1.1 MVPWPPPPPQATPYKHFCRVCNKGFMCGSALGGHMRAHAVSDGEPGAGAGADDDDDDEPAAPDAPWGPSTSSSGTHVYALRANLPNRLIRGCHVCKNCGKEFSSMDLFLDHGKCNSGEEGDDGDAGGSLHSSPDPSAGDRDQEDASSLAAGWSKGKRSHRAKLIGSGDMLAMAPLDEPEEEEDLANCLVMLSSSSKADQPARVADTNPEPCASGAAKVHGRIMSQQPQPLAYVLPAPDPTMVLPLALPAPQCAWAPVPRGMFECKACKKLFTSHQALGGHRASHKKVKGCFAAKPESSVSASEPPHHAATAGDTDNDKSNAFAHAVVEVNVSSNADARTNYVDASTVGDRNDAGTSEAAEPSLSMAIVTTGTADHEPPVVALVPAVGSSKRKAKMHECSVCNRLFSSGQALGGHKRCHWLTSSTGEHASIAPLRAEGLLGSAGHQVTLRPLVDAPEPELDLTIAANTSAGNSSLHLDTSAPLYFQASAAPTSNNPSHQNKMTATSSHNVNDAVAAGAAVEDEADSTTSAKKARLGGLKAVSTTGETTPWLQVGIGSSSADGDGKSTARE* >Brasy9G298700.1.p pacid=40061521 transcript=Brasy9G298700.1 locus=Brasy9G298700 ID=Brasy9G298700.1.v1.1 annot-version=v1.1 MADLLHKLMQETPQPPAWLLMLLLFPLSLFVLHYMLTAKTRRIKQQQGNNSADVRPPPSPPALPIIGHLHLMGSLPHVSLGSLARKYGPDLMLLRLGSVPTLVVSSPRAAEAVLRTHDHVFASRPSTVVADIIMYGSSDIAFAPYGEYWRQARKLVAAHLLSVKKVQSSRGAAADEVSMVMSKISEAAAANRAVDMSELLYTFANDMACRLVSGKFFQQEGRSKIFRDLIGDSSQLLGGFNMEEYFPALSRVGLLRRAVCAKVERVRNRWADLLEKVIDDHMSKDKSMFDQKDGDFVDTLLSVQHEYDLTREHMKALLTDMFFGATDTSSQTLEYTLAELMRRPHLMRKLQAEVRSTVPQGREIINEDDLSNMAYLSAVIKETLRLHPLAPLLAPHHAMDDCNIDGYMVSAGTRVFVNVWAIGRDSKFWENPEEYVPERFIDDAHVNFKGNDFQFLPFGAGRRICPGVNLAIANVELMLANLMYHFDWELPLGVESKDIDMTEIFGLTVRRKEKLLLIPKLRVAQDAS* >Brasy9G353500.1.p pacid=40061522 transcript=Brasy9G353500.1 locus=Brasy9G353500 ID=Brasy9G353500.1.v1.1 annot-version=v1.1 MENRSDMTTDFTLKSLQDITNNFSREHIIGSGGYGVVYKGVLENGEEIALKKLRFTPGLDDTQFRNEFNNLMRVQHKNITRLVGYCFHQGHECRLYNGEYIFALVEERVL* >Brasy9G307700.1.p pacid=40061523 transcript=Brasy9G307700.1 locus=Brasy9G307700 ID=Brasy9G307700.1.v1.1 annot-version=v1.1 MAWWRKKVIFPARRVLAAVSSRVVRTRKTGNGGSILKLHGDVQTCGYKDVQVMFEILTSSELDEPSKRRKQPATTAWRPPSAWPSRSSSSIAAAQ* >Brasy9G013600.1.p pacid=40061524 transcript=Brasy9G013600.1 locus=Brasy9G013600 ID=Brasy9G013600.1.v1.1 annot-version=v1.1 MQIGQSWLFRGQSKRTLFLGLLLDGTKLFFRGLRIWEAVIDELMEKGLANAKQALLAGCSSGGLAVLLHCDNFSARFPQTVPVKCFSDAGFFLDIKDISGERFIRSVFSGVVHLQNVRKVLPKDCLAKKEPTDCFFPAEVIKSINTPTFILNSGYDSWQIPNVLVPDESSPEKSWLTCKANTRECNPTQIEALHGFRETLLNDLKVVQDKEEWGLFIDSCFTHCQTPFRISWDSPISPRLRNKSIQEAVGDWHFGRSRSGAKQIDCEYPCNPTCSSQLPS* >Brasy9G225900.1.p pacid=40061525 transcript=Brasy9G225900.1 locus=Brasy9G225900 ID=Brasy9G225900.1.v1.1 annot-version=v1.1 MAQPTFLSSLRSRFRSTQPQLPHLQPRRGYHVELGAREKALLEEDAALKRFKSYKNSVKQVSKIGNVLTLVVVAACSYEIVALATSTE* >Brasy9G253700.1.p pacid=40061526 transcript=Brasy9G253700.1 locus=Brasy9G253700 ID=Brasy9G253700.1.v1.1 annot-version=v1.1 MASPAAGTAPFLTKTYAIVDDPETDDIISWNDSGTTFVVWRRSDFERDLLPKNFKHSNFASFVRQLNTYGFKKVGVDRWEFANECFRKGEKHLLGGIQRRKGSGAAGAAPASAVIPTAIALPISPTATSSGGDPPVSSSSPPRPGSGSAVSGAVAELEEEISRLRRENARLSRELSRARRAFDDVRRVVTRYDHGGEEEDERPGAAAGGKPMLFGVAIGSKRSREDGTEEDGGDEDEEEEDDDDERHAARREKGRRTELSDLNVLALSVRAAAAARPPDVGSRGTKNPSVSLPLGSNRATL* >Brasy9G280300.1.p pacid=40061527 transcript=Brasy9G280300.1 locus=Brasy9G280300 ID=Brasy9G280300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYISRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G230600.1.p pacid=40061528 transcript=Brasy9G230600.1 locus=Brasy9G230600 ID=Brasy9G230600.1.v1.1 annot-version=v1.1 MEALWKQASRLKEQVARQGVFKQFGGAYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDVVRGVEGYIVTGSKQVEIGNKLCDDSQKYGIENTCTSGNTLSKAATYYGKARLLIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEADAQVVEVSRRQNRVRESAGNGEVISKLEAAEFKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMVEAERTYHQKVLEILDHLEEEMVSERQKIEAPPTPAAENYMPPPPSYEEVNGMFASTSTDQSVNSVDFFLGEVLDSFKAESESELNLSLGDIVIVRKVSSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF* >Brasy9G227600.1.p pacid=40061529 transcript=Brasy9G227600.1 locus=Brasy9G227600 ID=Brasy9G227600.1.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.7.p pacid=40061530 transcript=Brasy9G227600.7 locus=Brasy9G227600 ID=Brasy9G227600.7.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.13.p pacid=40061531 transcript=Brasy9G227600.13 locus=Brasy9G227600 ID=Brasy9G227600.13.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.8.p pacid=40061532 transcript=Brasy9G227600.8 locus=Brasy9G227600 ID=Brasy9G227600.8.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.15.p pacid=40061533 transcript=Brasy9G227600.15 locus=Brasy9G227600 ID=Brasy9G227600.15.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.16.p pacid=40061534 transcript=Brasy9G227600.16 locus=Brasy9G227600 ID=Brasy9G227600.16.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.17.p pacid=40061535 transcript=Brasy9G227600.17 locus=Brasy9G227600 ID=Brasy9G227600.17.v1.1 annot-version=v1.1 MAMAVAPAAAVDGRRCSKLAAAMPRASPAYLFFSVVLVGVVVVSARWITSTTTTTFQASLPTTAAISAVVLNTQTQHPPRHSPSKPPPPPSAYSISCPNPSLHLNISHSTKARKSSQTLALALSSSSSCRSSPDPTPPAAAAAAASSSNSSCPSYFRFIHEDLRPWREAGGVTRAMLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.14.p pacid=40061536 transcript=Brasy9G227600.14 locus=Brasy9G227600 ID=Brasy9G227600.14.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.12.p pacid=40061537 transcript=Brasy9G227600.12 locus=Brasy9G227600 ID=Brasy9G227600.12.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.3.p pacid=40061538 transcript=Brasy9G227600.3 locus=Brasy9G227600 ID=Brasy9G227600.3.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.9.p pacid=40061539 transcript=Brasy9G227600.9 locus=Brasy9G227600 ID=Brasy9G227600.9.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQEGKKRRCHYDGRCCEVSDTCMAVSLGGTKSVVASSIESQWH* >Brasy9G227600.4.p pacid=40061540 transcript=Brasy9G227600.4 locus=Brasy9G227600 ID=Brasy9G227600.4.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.10.p pacid=40061541 transcript=Brasy9G227600.10 locus=Brasy9G227600 ID=Brasy9G227600.10.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.5.p pacid=40061542 transcript=Brasy9G227600.5 locus=Brasy9G227600 ID=Brasy9G227600.5.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.11.p pacid=40061543 transcript=Brasy9G227600.11 locus=Brasy9G227600 ID=Brasy9G227600.11.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRFLLSTKFAGARQGHHQLRQAHTSMSKSN* >Brasy9G227600.18.p pacid=40061544 transcript=Brasy9G227600.18 locus=Brasy9G227600 ID=Brasy9G227600.18.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.19.p pacid=40061545 transcript=Brasy9G227600.19 locus=Brasy9G227600 ID=Brasy9G227600.19.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.2.p pacid=40061546 transcript=Brasy9G227600.2 locus=Brasy9G227600 ID=Brasy9G227600.2.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVLTIYKVCWSTSRASSAPAGTYINVQVQLMPPCIGREEEEVPL* >Brasy9G227600.20.p pacid=40061547 transcript=Brasy9G227600.20 locus=Brasy9G227600 ID=Brasy9G227600.20.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWAQSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVCSDSLVCQAEGVEMKFLMESMVKSAHDSGPCDLPPPFNPQELTMLKHRKGNSLRQVERGSGELQLLR* >Brasy9G227600.6.p pacid=40061548 transcript=Brasy9G227600.6 locus=Brasy9G227600 ID=Brasy9G227600.6.v1.1 annot-version=v1.1 MLARARVTASFRLVVLRGRVFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKELKDGNNKVRWLDREPYAYWKGNAAVAVSRRELVQCNVSSTQDWNARIYTQDWFKEGRTGYKSSDLSSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQWSIGKGASNFIQEEVKMDHVYDYMLHLLTEYAKLLRFKPTKPPEAVEVLTIYKVCWSTSRASSAPAGREEEEVPL* >Brasy9G127900.1.p pacid=40061549 transcript=Brasy9G127900.1 locus=Brasy9G127900 ID=Brasy9G127900.1.v1.1 annot-version=v1.1 MSLFLILFGFLLSLHFPICCAAIDTITSRQALVGNEMLVSSNGKFALGFFQTGSKSSHNTLNWYLGIWYNNVPKLTPVWVADGHNPVTDPTSLELTISGDGNLVIINKVTISIIWSTQMITTSNNTIAMLLNSGNLILQNSSNSSNLLWQSFDYPTDTFLPGIKLGWNKVTGLNRRLISRKNVIDLAPGRYSAQLDPSGANQYFLTLLNSSIPYWSSGIWNGQYFPSIPEMAGPFILNFTFVNNDQEKYFTYNLLDETIVFHHVLDVSGRTKSFVWLESSQDWVMTYAQPRVQCDVFAVCGPFTICNDNALPFCNCMKGFSIRSPDEWELEDRTGGCVRNTPLDCSINQSRSMQDSFYPMTCVGLPNNGHNRRDARSADKCAEVCLGNCTCTAYSYGNNGCFVWHGEIINVKQQQCGNSANTNTLYLRLADEVVQRLQSNTHRIIIGTAIGASVALFGLLSLFLLLMIKRNKRLSANRTENIKCGEGIIAFRYADLQHATKNFSEKLGAGGFGSVFKGFLNDSCAVAVKRLDGANQGEKQFRAEVRSIGIIQHINLVKLYGFCTEGDSRLLVYEHVQNCSLDAHLFHSNASVLKWSIRHQIALGVARGLAYLHDSCRDCIIHCDIKPENILLDALFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGTVITAKVDVYSYGMLLLEILSGKRNSGTQCTSGDNYVYFPVQVANKLLEGDVETLVDNNLHGDVHLEQVERAFKVACWCIQDDEFDRPTMGEVVQYLEGFLEVEIPPMPRLLQAIAGNPHSTSYGYLNRASQEAEKLQHDGDCADCRAPGGSLLT* >Brasy9G127900.2.p pacid=40061550 transcript=Brasy9G127900.2 locus=Brasy9G127900 ID=Brasy9G127900.2.v1.1 annot-version=v1.1 MSLFLILFGFLLSLHFPICCAAIDTITSRQALVGNEMLVSSNGKFALGFFQTGNGHNPVTDPTSLELTISGDGNLVIINKVTISIIWSTQMITTSNNTIAMLLNSGNLILQNSSNSSNLLWQSFDYPTDTFLPGIKLGWNKVTGLNRRLISRKNVIDLAPGRYSAQLDPSGANQYFLTLLNSSIPYWSSGIWNGQYFPSIPEMAGPFILNFTFVNNDQEKYFTYNLLDETIVFHHVLDVSGRTKSFVWLESSQDWVMTYAQPRVQCDVFAVCGPFTICNDNALPFCNCMKGFSIRSPDEWELEDRTGGCVRNTPLDCSINQSRSMQDSFYPMTCVGLPNNGHNRRDARSADKCAEVCLGNCTCTAYSYGNNGCFVWHGEIINVKQQQCGNSANTNTLYLRLADEVVQRLQSNTHRIIIGTAIGASVALFGLLSLFLLLMIKRNKRLSANRTENIKCGEGIIAFRYADLQHATKNFSEKLGAGGFGSVFKGFLNDSCAVAVKRLDGANQGEKQFRAEVRSIGIIQHINLVKLYGFCTEGDSRLLVYEHVQNCSLDAHLFHSNASVLKWSIRHQIALGVARGLAYLHDSCRDCIIHCDIKPENILLDALFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGTVITAKVDVYSYGMLLLEILSGKRNSGTQCTSGDNYVYFPVQVANKLLEGDVETLVDNNLHGDVHLEQVERAFKVACWCIQDDEFDRPTMGEVVQYLEGFLEVEIPPMPRLLQAIAGNPHSTSYGYLNRASQEAEKLQHDGDCADCRAPGGSLLT* >Brasy9G104700.1.p pacid=40061551 transcript=Brasy9G104700.1 locus=Brasy9G104700 ID=Brasy9G104700.1.v1.1 annot-version=v1.1 MDEQGGGERGLQLLLPAPAAAARVFHDAAAASAAAAEQHQQLDLDLSMSIGPRQQNQPQPGPGPQLLLLPRPVVKQQQREREVAAVKRQTAEQVRMASAERAYAERVRELAKRELELAEREFARARMIWERAREEVERVERMKQIAACRPRIGSASAAALEITCHACMQRFHP* >Brasy9G060700.1.p pacid=40061552 transcript=Brasy9G060700.1 locus=Brasy9G060700 ID=Brasy9G060700.1.v1.1 annot-version=v1.1 MHNWSVAYGCDIVAQLIPDGGNISTCASMCVDSDQDTTSPMCSGIGRCRVSILLELASYGIRVMHMSAQNRMAGELPRHKVNAVFIVDKAWFSIYQSLIELNLNATCQHCIIHSVPVVLEWSRDSKLRCRSLNSVTIEADDYHDRIRCNCSQGYEGNPYIDGGCQDIDECQQPNVYPCHHGCINMPGTHRCSAKKSISSLPGLITVIAISAGFGLLFSLLGVAKITNKLKQRRVRKVRQQCFKKNHGLVLQQLISSNQDIAERMKIFSLEELEQSTNKFDRNRILGGGGHGMVYKGILSDQRVVAIKKAKIIIQRELDQYINEIVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENPLSWKDRLRIALEIARAIAYLHSAASISVYHRDMKCANILLTDALTAKVSDFGASRSVDINETGILTAVQGTYGYLDPEYYYSSRLTEKSDVYSFGVILAELLTRVKPVFYSHSSEGTSLSSHFVSIVRDNRLLDILDTQIVEGGAGDAAVVARLTEACLSLKGDERPTMRQVETILEDVKSSKVDHYSQTTRVSQTVLKDHSCNGNKDGEGTRLYSLEKEFI* >Brasy9G060700.2.p pacid=40061553 transcript=Brasy9G060700.2 locus=Brasy9G060700 ID=Brasy9G060700.2.v1.1 annot-version=v1.1 MAWSAARRLAIWQREGCGHPDIDECQQPNVYPCHHGCINMPGTHRCSAKKSISSLPGLITVIAISAGFGLLFSLLGVAKITNKLKQRRVRKVRQQCFKKNHGLVLQQLISSNQDIAERMKIFSLEELEQSTNKFDRNRILGGGGHGMVYKGILSDQRVVAIKKAKIIIQRELDQYINEIVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENPLSWKDRLRIALEIARAIAYLHSAASISVYHRDMKCANILLTDALTAKVSDFGASRSVDINETGILTAVQGTYGYLDPEYYYSSRLTEKSDVYSFGVILAELLTRVKPVFYSHSSEGTSLSSHFVSIVRDNRLLDILDTQIVEGGAGDAAVVARLTEACLSLKGDERPTMRQVETILEDVKSSKVDHYSQTTRVSQTVLKDHSCNGNKDGEGTRLYSLEKEFI* >Brasy9G060700.3.p pacid=40061554 transcript=Brasy9G060700.3 locus=Brasy9G060700 ID=Brasy9G060700.3.v1.1 annot-version=v1.1 MPGTHRCSAKKSISSLPGLITVIAISAGFGLLFSLLGVAKITNKLKQRRVRKVRQQCFKKNHGLVLQQLISSNQDIAERMKIFSLEELEQSTNKFDRNRILGGGGHGMVYKGILSDQRVVAIKKAKIIIQRELDQYINEIVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENPLSWKDRLRIALEIARAIAYLHSAASISVYHRDMKCANILLTDALTAKVSDFGASRSVDINETGILTAVQGTYGYLDPEYYYSSRLTEKSDVYSFGVILAELLTRVKPVFYSHSSEGTSLSSHFVSIVRDNRLLDILDTQIVEGGAGDAAVVARLTEACLSLKGDERPTMRQVETILEDVKSSKVDHYSQTTRVSQTVLKDHSCNGNKDGEGTRLYSLEKEFI* >Brasy9G253300.1.p pacid=40061555 transcript=Brasy9G253300.1 locus=Brasy9G253300 ID=Brasy9G253300.1.v1.1 annot-version=v1.1 MAWEQQLQVLHALDVARTQTYHAWAVVIAGMGFFADAYDLFCITLVTKLLGRIYYHVPGRADPGSLPPRVEAAINGVTFCGMIVGQLLFGWLGDKVGRKKFYGKTIMLMIMGSFLSGLSFGNTADGVMATLCFFRFWLGVGIGGDYPLSATIMSEYANKTTRGSLIAAVFAMEGLGVLAGCIVTLVVSATFQARFNPPAYEEDPGASTPPQADYVWRIILMVGAIPAVFTYRWRVMMPETARYTALVARDAEKAARDMSKVLKVEFSGEPDKVESIVRDRGEYGVFSGRFARRHGLHLLGSVASWFVLDVVFYSQNILQEEIFSEVRWVPEARTMSSLEEAYRISRAQAIIALCGTLPGYWFTVAFIDVVGRKAIQFLGFAMMTGLMLVVAAFYRILVQPGHRIWLVVMYTFTFFFANFGPNSTTFILPAEIFPAHVRSTCHGIAAAAGKVGAIVGFFGFMYAAQRADGSQETPSGYPSGIGVRASLFVLAACNVLGILFTCLLPEPMGRSLEEVSGEGSQTINREDADLTDSKVLPL* >Brasy9G059800.1.p pacid=40061556 transcript=Brasy9G059800.1 locus=Brasy9G059800 ID=Brasy9G059800.1.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEEKIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.3.p pacid=40061557 transcript=Brasy9G059800.3 locus=Brasy9G059800 ID=Brasy9G059800.3.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEEKIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.4.p pacid=40061558 transcript=Brasy9G059800.4 locus=Brasy9G059800 ID=Brasy9G059800.4.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEEKIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.5.p pacid=40061559 transcript=Brasy9G059800.5 locus=Brasy9G059800 ID=Brasy9G059800.5.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEEKIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.2.p pacid=40061560 transcript=Brasy9G059800.2 locus=Brasy9G059800 ID=Brasy9G059800.2.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEEKIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.6.p pacid=40061561 transcript=Brasy9G059800.6 locus=Brasy9G059800 ID=Brasy9G059800.6.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.7.p pacid=40061562 transcript=Brasy9G059800.7 locus=Brasy9G059800 ID=Brasy9G059800.7.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G059800.8.p pacid=40061563 transcript=Brasy9G059800.8 locus=Brasy9G059800 ID=Brasy9G059800.8.v1.1 annot-version=v1.1 MDHKTWLWRKKTSERTVLAKNKSNISEREEEIVRLERSLQGLTEQLSFAHAECFEKEVILAKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAIVERRICQLDEALNVIMVERELLKNDTAKMISGEQDKVQKLEKNVAEKEKIIASLDAECSKLSEIISGKENVILELTESNAVKESEMKDLVVKLESAERSNSSLRYEVCMLQKQLDIWSEERKFNIKSADAAHKQHLENVRTIAKLEEECKRLRAMVRKRLPGPAAISKMRSEVETLSNNKTQTRMGRFNATTPFNSFDTVQNSSDASHVNSSLLARLHVKEDENRAMKESLSRKDGELQFSRTMLARSTTKISQLEAQLQDLSGDRAATELVKRSPAVPENPLSSISEYCNEDNTSCSGSWASALISELEHFKKGSCKSTGVSDVSFMDDFEEIERLAMVCDDKPSKSYVVKREAIESAGKELVPANGPNETTNQVQQYKIEKELLRLVELVEGVIQRSSNDYSGKLVESGGNMGDNSREINGYFAHAFLWKTSELTCVLQNFIVVCNELLYGNTHVERFVLEVNLTLDWIINHCFSLRDVSDMRETIIKHFELDSSASGLDAVAARQIGVQNASIMDETSTPNRVETSLVSASSLMDIGIKADDDTKNIRNELSVSKFQESEGKSSSLRAELNALKETGKMIANGVYGEKTMSELGKHEPISSYEVNKGIIKGSSYSTTEGPKCVSRNEEQNLNMQLEVSTASEKLIECQETILNLGKQLKSLASPKDTTFSDKAAHTSVQPERKPQSQSLNEMLAEDDGGCDYLNSPKTKEIICSEPRSAHEKKFSADEGGDNLESCGAHPMQMVRPAKPYSLSGNCKNEAAVKVVALTVVPSKQRGNTNLLKRILTGRRKEAITKPKVVLTPKCITGRRG* >Brasy9G253500.1.p pacid=40061564 transcript=Brasy9G253500.1 locus=Brasy9G253500 ID=Brasy9G253500.1.v1.1 annot-version=v1.1 MEGSSSQVQYASLCALAIVVAGWLIHCVYKWMNPPCNSGRLPPGSMGFPLVGETFQFFEPSPSLDVPAFYKQRLKRYGPVFKTSLVGQPVVVSMDAEVNRFIFQQEGKLFRSWYPDTTNNIFGRESIASYDGTIHKYTRSFASRLFGLESLRDVLLAEMGRNVTQSFAAWAAEPCIEVKDAVANMIFDLTAKKLIGFGPDKSRKLRKNFDAFFQGLVSFPLYFPGTTFYGCIQGRKNVQKVLKDLLKERLSTPEKRHGDFLDEVVDELRSGAGMIQEKFAVDLVAALLFASFATVSSSLTVAMKFLSGHPNVVEALKEEHEAILKKRGGASSGITWEEYKSMTFTAQVTNEIARVSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPMAVHLNPELFEDPLTFNPWRWQDESKRTTLLKNFMPFGGGIRLCVGAEFSRIQIALFLHTLVAKYRWKEIKGGDVQRISEIVFPKGYHIQIIPKAGIKTQAT* >Brasy9G108900.1.p pacid=40061565 transcript=Brasy9G108900.1 locus=Brasy9G108900 ID=Brasy9G108900.1.v1.1 annot-version=v1.1 MRTIPDSEIETPRATAPYAPLLAGDLVAAGAITSPLLPASPTPTCVPPWREQLTLRGVAVAAALGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLTTAWRGAAEWLGFGRGRPFTRQENTVIQTCAIACGSLAFSGCSASYIFAMDRKTYELLGPEYPGNRVEDVRDPYIGWIISFLFLVALLGPFGIVMLRKVLVIEYKLAFPGGTATALMINSLHGETESDLAGNKVQCLVKYMSFSFGWSFFKWFFSGVGDSCGFDNFPIFGFQAFKNSFYFNFNPSYVAYGLISPHIVNCSVFLGSVVSWGFLWPFISKQAGDWYPENLTSSDFRGLYGYKVFLAISIILGDGLYNLVRIFFVIVREIWYVQSKRSNHPVQALQDNEGSKQLMDEKLQTEIFINDSIPTWFAVSGYIVLAAISAAAVPIIFPQLKWYLVLACYFLAPVIAFCNSYGMGLTNMNLAPTYGKIALFIFASLVGSSNGGVIAGLAACGIIMSIACSAADLMQDFKCSYLTLSSPRSMFVSQLTGVALGCVIAPLTLWLFWTAFDIGNPEGEYKAPFAIIFREMAILGVEGFAALPKHCLKICCVFFFAALAVNLMRDVTPARVSRFIPIPMAMALPFYIGAFFGVDMFIGTVILFVWQKINRRDADEYAVPVASGLICGDGIWSIPSAVLSILRINPPLCMSFRPSSASR* >Brasy9G335700.1.p pacid=40061566 transcript=Brasy9G335700.1 locus=Brasy9G335700 ID=Brasy9G335700.1.v1.1 annot-version=v1.1 MLTGGGAGGSEEAPPPAKTPPPAKTGGGVEDPACWRESEAISIWTWRESEEATLRSGLVGGCGSGPSGRGPAAGDRRPAGLRPAPRRLPPLALQHALPFRPRRGRRPLPPAPVDDAARGPRPLPGPPQAQGYIRFFNLSTGAIVRRKLPLFRNHCVLDSTDGLLLLQRDRDTAIRHLHPFTGDIAELPPLLTLVRRFSPRFAPERDDDYISEHIWYKFRDVSVASVSASADGVITVMVVFFRALALASATTKDQQWSIPDCKLISHIRRPLSFQGKLYMVGQRHDSNVSQIFQIDLPQHDGRCSASSPKLIATCPTGESPIPFYLAECDSEILLIGSTDRLISRITVYRLADLVLGRLVPVTSIGDNVLLVSDRVLSVSSRVLPNVEGNSVVLFNGGDEAYFGQYQLSSSTWSPAADGSIRGPAHSPCCLIHHIYTCCRRNHWNKGEISVQVKGGLSWKVKRKWRYGA* >Brasy9G174800.1.p pacid=40061567 transcript=Brasy9G174800.1 locus=Brasy9G174800 ID=Brasy9G174800.1.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.2.p pacid=40061568 transcript=Brasy9G174800.2 locus=Brasy9G174800 ID=Brasy9G174800.2.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.5.p pacid=40061569 transcript=Brasy9G174800.5 locus=Brasy9G174800 ID=Brasy9G174800.5.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.8.p pacid=40061570 transcript=Brasy9G174800.8 locus=Brasy9G174800 ID=Brasy9G174800.8.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.3.p pacid=40061571 transcript=Brasy9G174800.3 locus=Brasy9G174800 ID=Brasy9G174800.3.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.4.p pacid=40061572 transcript=Brasy9G174800.4 locus=Brasy9G174800 ID=Brasy9G174800.4.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGYINPPVYYLQNLPQQLLSNPNDQ* >Brasy9G174800.6.p pacid=40061573 transcript=Brasy9G174800.6 locus=Brasy9G174800 ID=Brasy9G174800.6.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGKHVCV* >Brasy9G174800.7.p pacid=40061574 transcript=Brasy9G174800.7 locus=Brasy9G174800 ID=Brasy9G174800.7.v1.1 annot-version=v1.1 MLPSRHPSGPSCSFKSVAGAAVGADPVTFAADTPLLECQDPADLIPPCPKFSIRDYVLDSRSKGIKRSWPFHPQSLELCLNCGVKDVLPPFEPPGLIRSRFSYTCIDFEQSAVCSEVDASAGLVKIKDASSSHVNTTAINLKSCRSVDESLGPSQYTPKEDGKTATEEGGNTNEPSHTTEFIQADQQDKFCTKAMRRIGVAVPSCRLKNLGSSHETSEKKCKLIVRSGSVTNIRQRKDVSSNSSSVSDPKAWKTCPVCKVFSSTSNTTLNAHIDQCLYTESNTELVVETVIVKPKVKQRKKQLMVEIYKTALPYTLEDLDRRNGTNWAIELSLRTSNKEVCTKKRSPEVVPFEARDGERDRDVYVDSNGIKIRILSKCSDAPLVFRDKLSLKKVAKHETGKSTSMTKTFLESKTFRNEKFKVHGKKCNRLNHFNSQVQAYPDGDMHDDTSEDEPAMHTRKPAESTSCGGLETIKQRVCSKRVDIAKNFSRKLDSKASDSMTPGTKKLAWSHMLGFDGPQITESTQAFSPKSPEEMAITLEANDDDRGNGSSRLLGSIPRWSSNNLSSSSVMPKVPRSAAALAKRKIKEIGRREAYKSDNYDKVRNSTLLKSSGVHRLSISNTRPSNDPNSVASTSKVLRKHRSVPRARKREFSPFISGLVHGFGQQHELDHRHVNKKFGVTNNDTSKKVVKRTQEDTADNGVSYGIDVSALGQGNDQYDVAQQTTDTNMDFEGEEPATRVQYASASRNTHEDCCSVISSGSLSPENSNTDDDVLAKGNVAREDPCSTEQSTHHTHVSNFVANNEMGERQTNAASTKESSASFTNNRDMAITTPQDNSSITSNRNDFNQDHGFLAFDRDLSDSPISIASTMSPPIALKDLSIKESEPGPSTVDVRTIQENMSGSSNKETKSMPLAREGEHLPNEKHYCCSCRASISRESQTHHETSTARSSTFTKEQVPQLDIGLRASSSFSSYQRTGRKANPCLDLHDQPSASKVSTESTMSFPSYTTNYIRPSLQTPIPSPPSPMLRLMGKNLMVMNSQESGHPQAPSSEYAPRGNYMAPVGFMSPNYQHSDSAFINRTPAITSHQIPIPSVQAGNFVGPPFHGGSMVQFNHQSLQKPYRNVAPVMHHPAYMMNEVMMMDSPEFRSEPQVGLLLPTGTYPASISVPNTTAPRPFYCLPSPIQILPKGSVDGSMPVFTNINPVIGVSTASQSKQVRYRQSLHLTSSLVQSPEGKHVCV* >Brasy9G283800.1.p pacid=40061575 transcript=Brasy9G283800.1 locus=Brasy9G283800 ID=Brasy9G283800.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGATFPLIKCSCCQVRKVMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIEEYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQPLTLAAIASHREMADSVAMKEKQGIEEKKQDAIVKNAHFSLSQLSSTGLISFLLASPSSLSHPHGSFPIPDAGAHPPPASTAAARASCPHPRTPPPLPARPAPIPGLPRRRQRASPPPAPPPPPGRRRLAPPPPAASPAAAVGPRPQPPPWLPARPVPTEVVGVEVEEDFGLELDIRPDLSIPTSSLPSMSPEVS* >Brasy9G023400.1.p pacid=40061576 transcript=Brasy9G023400.1 locus=Brasy9G023400 ID=Brasy9G023400.1.v1.1 annot-version=v1.1 MRCHPGCTRFVHAIGRAATTAVAAEEHAADCMVRVLRRRYRVQFNDINWSRLNRYHRRHVMTRRTLRTMFARYGDALEKARLMEKGWQESLVDLKAAQEVCTEISSKGGDSLEPAEEPTGHAETLFGVHSLGAWVQERLNEGNAKLCAAKAEGSP* >Brasy9G180500.1.p pacid=40061577 transcript=Brasy9G180500.1 locus=Brasy9G180500 ID=Brasy9G180500.1.v1.1 annot-version=v1.1 MDNLHSDPYTSSNLQLEFMDTSTSFENNNAVLDKHEVPNPGVGMTFETVDLAYKFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTSENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMARSFSQSNYSIEAAGKCGKLSFAEGDVEALLVFFDKMQAQNSNFFYNWDMDEEGRLKNVCWVDARSIAAYQHFCDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFAWLFKKWLKCMNDKAPEAIITTHSRPVVKAVNEVFLNTRHRYNMWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMVNQYNLHDNRWLTTLFEERAKWVPTYVKDTFWAGISTVRRSERLEAFFDGYITPKTTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQALSGLLFEEQFANAYTINMFQKFQDQLKQLMNVNCTELSRNGLIVTYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLVPSKYILDRWRKDYKCPDESKGTPISPKAGKGTKPENFREDKVDDLYNHGHQYFADIVEMGATDPDSMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKTDGKSLKPTDEGVGGGTSVSTPAGTAAAMRSSTPMSVPPTMMAVATTPAAVPPGMFLVPMHSRMVFPPFTPGVPTAVPHTPPPPAPTTNEMSAASNSSKKRKKRKGLERVGRHKHLPLICHHLLPQDELPFGMPSVNYGPWFGTADLN* >Brasy9G180500.3.p pacid=40061578 transcript=Brasy9G180500.3 locus=Brasy9G180500 ID=Brasy9G180500.3.v1.1 annot-version=v1.1 MDNLHSDPYTSSNLQLEFMDTSTSFENNNAVLDKHEVPNPGVGMTFETVDLAYKFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTSENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMARSFSQSNYSIEAAGKCGKLSFAEGDVEALLVFFDKMQAQNSNFFYNWDMDEEGRLKNVCWVDARSIAAYQHFCDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFAWLFKKWLKCMNDKAPEAIITTHSRPVVKAVNEVFLNTRHRYNMWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMVNQYNLHDNRWLTTLFEERAKWVPTYVKDTFWAGISTVRRSERLEAFFDGYITPKTTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQALSGLLFEEQFANAYTINMFQKFQDQLKQLMNVNCTELSRNGLIVTYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLVPSKYILDRWRKDYKCPDESKGTPISPKAGKGTKPENFREDKVDDLYNHGHQYFADIVEMGATDPDSMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKTDGKSLKPTDEGVGGGTSVSTPAGTAAAMRSSTPMSVPPTMMAVATTPAAVPPGMFLVPMHSRMVFPPFTPGVPTAVPHTPPPPAPTTNEMSAASNSSKKRKKRKGNN* >Brasy9G180500.2.p pacid=40061579 transcript=Brasy9G180500.2 locus=Brasy9G180500 ID=Brasy9G180500.2.v1.1 annot-version=v1.1 MDNLHSDPYTSSNLQLEFMDTSTSFENNNAVLDKHEVPNPGVGMTFETVDLAYKFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNTSENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMARSFSQSNYSIEAAGKCGKLSFAEGDVEALLVFFDKMQAQNSNFFYNWDMDEEGRLKNVCWVDARSIAAYQHFCDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFAWLFKKWLKCMNDKAPEAIITTHSRPVVKAVNEVFLNTRHRYNMWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMVNQYNLHDNRWLTTLFEERAKWVPTYVKDTFWAGISTVRRSERLEAFFDGYITPKTTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQALSGLLFEEQFANAYTINMFQKFQDQLKQLMNVNCTELSRNGLIVTYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLKQELVMLVPSKYILDRWRKDYKCPDESKGTPISPKAGKGTKPENFREDKVDDLYNHGHQYFADIVEMGATDPDSMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSAGKTDGKSLKPTDEGVGGGTSVSTPAGTAAAMRSSTPMSVPPTMMAVATTPAAVPPGMFLVPMHSRMVFPPFTPGVPTAVPHTPPPPAPTTNEMSAASNSSKKRKKRKGNN* >Brasy9G277400.1.p pacid=40061580 transcript=Brasy9G277400.1 locus=Brasy9G277400 ID=Brasy9G277400.1.v1.1 annot-version=v1.1 MAGSTLLRWLSLLAIVSFAAADSAGRSTDDNSGQIRLNCGASGSATDADGRAWDGDAASKFAPSMRDAAAASASYKDPSLPSLVPYTTARVFASSYTYSFLVRPGRAFLRLYFYPSGYNASGSLFGVTAAGVTLLRGFNASQTALALNHAYLVREFSVNVSATGALDVTFAPSSSSHHAFVNGIEILPAPAMLTTAVPVMPTLANGARPDPMPILADTAFQTMYRLNVGGESVSPTDDSGGLYRSWDNDAPYIFGAAAGVSYGKDANVTIQYPASVPRYVAPEAVYASARSMGPTAQINLNYNLTWILPVDAGFYYLVRLHFCEIQYPITRVNQRAFDVYISNETAREQMDVIAWSGGIGVPVYADFLVVTAGSGQMDLWVALHPDVASRPQYYDAILNGLEVFKLQTYGDNSLAGENPPIPQEQAVDDGSRGGSSKKRKSGFAAALGGAAAGGLLAVLVGLVCACVVCRRGRKAAPGEQPVHGLPGPTKSSALFNSAQK* >Brasy9G211100.1.p pacid=40061581 transcript=Brasy9G211100.1 locus=Brasy9G211100 ID=Brasy9G211100.1.v1.1 annot-version=v1.1 MAVSSRLPAPPARGLLRRSPPRILPVERAPRRLAPGVRAVSGSPGTGGSPVPRRPPAPADAAAVSPASSPASSASSAIDFLTLCHSLKTTKRKGWINHSIKGPESIADHMYRMALMALIADDLPAVNRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQEALDEMCEVLGGGPTAEEIKALWEEYENNSSVEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNSRRTKGCGQ* >Brasy9G032300.1.p pacid=40061582 transcript=Brasy9G032300.1 locus=Brasy9G032300 ID=Brasy9G032300.1.v1.1 annot-version=v1.1 MDILISAIVGDLVSRSASFAINRCFQQQPDVDKILQRLHRVVLRIDTIVKEADGWHITSKGMLRQLEMSRQGMYSGHYILDALRFREFGEEEEAEVGVSQSSSAMSRFSPVKRLRLSGIDSGSSNRGAALLLVAKNSIREQLQQIVDTLEDHGWYEGVYFLFGVYPRIIRQPYGTYLLLDNCMFGHKTEQQQVLNFLLCPSATPDLAEQEKASFSMILFLPEGSLKDEGVIDLQGNNMNGLVRHQNCASQNRLLIIVEIAEEIYEGTWRRIKSSATCMTPCGGSKIIITSRSDRIVNLGTREALRLDYLSPEAYWHLFKSLAFGSTNLDEQPKLATMAMEIDLEQRQSSKYFLVCDYHQSDSSEQVPKISVQDILLGCGRTLPRGEFKALAWRSRIPPYYIYTISCMMQAPQPTVGRKKRVPR* >Brasy9G334800.1.p pacid=40061583 transcript=Brasy9G334800.1 locus=Brasy9G334800 ID=Brasy9G334800.1.v1.1 annot-version=v1.1 MSRTSSASPSSPWPRYGPVPLTRCPDCPRVEPVVRLMCKKWDNGNFGREFVKCGGRPQAGKVLRQCTFFMCMDAYVEKLQVDGLLQGCEMGERKVEVKKIGEHGHDGIGAGNELLPFLEEVKIMNENLTKIVELKKEEIHVAKLFYACIVMLGLILIVRNPYM* >Brasy9G103800.1.p pacid=40061584 transcript=Brasy9G103800.1 locus=Brasy9G103800 ID=Brasy9G103800.1.v1.1 annot-version=v1.1 MGTLVGHVAPGAGFLLIGLWQLFNHIRLFLLRPGSYVAPVWFPVRGVRHLELILVIAGSSMSILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLLFAAATIHMDRARPTPPPMRDAVSQLVAGAAFAQELLVFHLHSADHMGVEGQFHWLLQTVVAVTLLTTLLGISRPRSIAVSLTRSASLVFQGVWFVVTGVMLWTPALVPKGCFLNREDGHDVVRCRDGEALERAKSLVNLQFSWYLTATVLFVVVFYLWLSKMYSEEPRYLPLVKGGSHAEGDGDGGRFSIGDDQDDDEDDLESAKHSFGHVVSGTRPMEIER* >Brasy9G124800.1.p pacid=40061585 transcript=Brasy9G124800.1 locus=Brasy9G124800 ID=Brasy9G124800.1.v1.1 annot-version=v1.1 METWIRAMVEAIHSSRTQAVIYLAGGASQALGWLLSVPGASGSVLEVVVPYSRASMAQLLGKMPLQFTSKQTAEDMSLAAFNRALKLFGPGLQVMGVGFSGSLASSRPKHGDHRFYVSTRTQNCLRTSHVTLSKGLRNREEEDKVSSYYVLKVIADACRVSATIPTDVQEPEIPKESVEQFDEDQELQQVIDGKVCMKVYHFSDPVEKNFDRKLILPGSFNPLHDGHLRLLEVASSICDDGLPCFEISAVNADKPPLSIAEIKRRVEQFRIRGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYKRMLEILLECKSTGTTFLVGGREIEGVFKVLEHLDIPGELRDMFTSIPEEKFRVDISSTELRKSQGL* >Brasy9G268500.1.p pacid=40061586 transcript=Brasy9G268500.1 locus=Brasy9G268500 ID=Brasy9G268500.1.v1.1 annot-version=v1.1 MGSLGGEAAFFWGQWNIQILVILSFTLQVILLCCAGIRRREGSAPPRILLWLAYLMADYTAIYVLGHMSTTMRRSESSDNHRRQMVPFWAPFLLLHLGGPDTITAYAFEDNRLWLRHLLNLAAQVLGAAYVMYLFITAGRNPEGTLIAAAALMFVAGCLKYGERIWALKCGGIDSITSSVDDDGKPSGGAGGPYHGRAEGGGRLDTEEVLLGAHYMLSFCKGHLADWPVMQSFQYEAVRQGIQLNGGEYLFELAAMELSLMYDIIYTKAAVIHTWHGLCIRAVSSLAAVAAFVLFQLSRKGAYGSADVAVTYVLLVGAMALELVSSLRVAGSSWVCASFHARGWHRLCGAVMRLRRTLKAGARRTACLDSLGQYNLLDICTDADKDGSLLGKVCKMVGLGDRWKVMHYSSTVPISDGIKALVLEEIRKRKIDDLRNARGRWILKEEGMYEDLTRIADDTELDRSIIVWHIATDLYLSLCPDPDNDDDREIRDNIRVLSNHMLFLMVVHPYLLPGVVRTGRYKENLKYYDMVWWVNLRSTKEGTKKLSRPEIVKKIAEWQLPADSRHKYVYGIGDERADDVDDGPVYADGAWLAGMLHGNRWCLTPADVLRVIAGVWVEMLCYASHHCGQESHAKKLSTGGGFMNAVWLVLGHCTQYDRFALSGEMLTGGLGLSKPERKRKRPATRSHMMPPEADVGGVNRPRPPGVPLPPGVHPAYRPFFDVQARQTPATSSAEGHHQ* >Brasy9G170000.1.p pacid=40061587 transcript=Brasy9G170000.1 locus=Brasy9G170000 ID=Brasy9G170000.1.v1.1 annot-version=v1.1 MSSSNAGKKPASGGRGGPTIRTLADINRGSSGFPGAGGSGSDSDEPQEYYTGGEKSGMLVQDPTKRNNVDSIFEQAREMGAQQSPPLPFEGQSSSSRSFAGTGRLLSGETTPSVPQPPEDVLHNIHFWNNGFTIDDGPLRGYDDPENADFIESIKKSQCPQELEPADRRTSVHVNVIKRYGDYEEPARPRSFFQGVGRTLGGGSSADDSPAPAPVTQEPQSAPRSIGFVVDDSQPFASIQLRLVDGTRMVARFNLHHTVGDIRSFIDASRPGAARPYQLQTGFPPKQLTDPTQTVGQAGLANSVITQKM* >Brasy9G299300.1.p pacid=40061588 transcript=Brasy9G299300.1 locus=Brasy9G299300 ID=Brasy9G299300.1.v1.1 annot-version=v1.1 MSGAERKEIVKRRRDDKEEEAAAAPAGAVEALADDVLLQILGRLEADPRDWARASCASPRLAALLRAACFPPRLSRALPAELLPAPAADGAPAAWAALHKMSVCCPGLLRAGILLEPSDDFGLELDIGPDLSVPSAPLDATPISSAKPPPPKSAPAESSSSHGKSRTSTELTTPSDPPAAWSLYDDLYLDAAYDCSSSSEAAAQIPAAAEELTEPAAPAPAANAVRARGQGVASGSRGRRARRWLGPVGSHLASGSWTLSREQGNKLLASRFRGDRLYICDWPGCVHAEERRKYMVFRGVFQDFARSQVRRALRDTRRPTVAVACAFCACTEAWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS* >Brasy9G238800.1.p pacid=40061589 transcript=Brasy9G238800.1 locus=Brasy9G238800 ID=Brasy9G238800.1.v1.1 annot-version=v1.1 MGKEVDVASLEAGGGARDYSDPPPAPLIDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDPNAPGAGADAACGGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYMVAQCLGAICGVGLVKGFQRDFYARYGGGANGVSAGYSMGTGLAAEIIGTFVLVYTVFSATDSKRNARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAVVYNNDKAWSDQWIFWVGPFIGAAIAALYHQTVLRASARGYGSFRSNA* >Brasy9G115400.1.p pacid=40061590 transcript=Brasy9G115400.1 locus=Brasy9G115400 ID=Brasy9G115400.1.v1.1 annot-version=v1.1 MVDELFYEGNNDHNISSEEEDTLVRSCSNLSVSFGYHCNSYQSFNLDNDEHDTSPQMRFESNAMMKSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDMLSTSQGSPASAESSIFEISKNIWRSSAPTTVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQDGLYNSSEASLNGVKSELTLAMRIAENEDVKLSEKFRAGVLNCLTAAVEQAENDFLCMVEQDMDDRPDLVSVGSCVLVVLLQGTDLCILNLGDSRAVLASMPYAEMDTVKAIQLTEIHSLENPLEYQKLLADHPNDSKVVMGNKVKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNLCSPPYVYTNPHTLSHKVTEDDLFVVLGSDGLFDFFNNDEVVQLVYQFMHDNPNGDPAKYLIEQVVHKAAKEAALTAEQLMRIPVGSRRKYHDDVTVIVIILGNARRTVSASTSI* >Brasy9G115400.2.p pacid=40061591 transcript=Brasy9G115400.2 locus=Brasy9G115400 ID=Brasy9G115400.2.v1.1 annot-version=v1.1 MVDELFYEGNNDHNISSEEEDTLVRSCSNLSVSFGYHCNSYQSFNLDNDEHDTSPQMRFESNAMMKSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDMLSTSQGSPASAESSIFEISKNIWRSSAPTTVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQDGLYNSSEASLNGVKSELTLAMRIAENEDVKLSEKFRAGVLNCLTAAVEQAENDFLCMVEQDMDDRPDLVSVGSCVLVVLLQGTDLCILNLGDSRAVLASMPYAEMDTVKAIQLTEIHSLENPLEYQKLLADHPNDSKVVMGNKVKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNLCSPPYVYTNPHTLSHKVTEDDLFVVLGSDGLFDFFNNDEVVQLVYQFMHDNPNGDPAKYLIEQVVHKAAKEAALTAEQLMRIPVGSRRKYHDDVTVIVIILGNARRTVSASTSI* >Brasy9G103200.1.p pacid=40061592 transcript=Brasy9G103200.1 locus=Brasy9G103200 ID=Brasy9G103200.1.v1.1 annot-version=v1.1 MRTRRGACYSCHGAEAATEGPETHRRKRRRTATEGSLAAVSGPAGCAAVGDMFEELPDDLVVSILADVAASAGSPADLAGAILTCKRFRQLGQSKVVLARVSPRCLAVRAKSWSDSAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKEDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELAAAVTASASLLRGGGGKVSRRHSCLLSDFGCRAAAAAAAGEAHAANRFLAEWFAARPLGPPGAAESPAAAQEDRSGSGSGGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDAGNANAAAGDAAAAAPAL* >Brasy9G252000.1.p pacid=40061593 transcript=Brasy9G252000.1 locus=Brasy9G252000 ID=Brasy9G252000.1.v1.1 annot-version=v1.1 MAKLYVQAVPPPDLNKNTEWFMYPGVWTTYIFILFVSWLLVLSVFGCTPGMAWTLVNLGHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLAVVPVVLYLIASHTTDYQHPMLFFNTIAVTVLVVAKLPNMHKVRIFGINAGN* >Brasy9G221600.1.p pacid=40061594 transcript=Brasy9G221600.1 locus=Brasy9G221600 ID=Brasy9G221600.1.v1.1 annot-version=v1.1 MVGAGKPLGDSVFAGHAAAGVAAISASAVSVHPLDTVKSLLQLSATGSKQKMGLRQVVDRVMSVSGPAGLYSGLGWSVLGKLPGLGARFGAYELLTAFYKDGREDNRVYYSEAMLAGITAGAVEAFLCTPFELFKLRSQVGSAIPLKAANPANVIQESFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGIARDCVFSGMFFSSWQFIHTAILTWQSVSMKPEPRNLEEAGPVSPIASSFAAGFSGVLAAAASHTFDTAKSRSQCTVIPKYIALERRFLKWRAPGMWIERMTGISPADRNVLFRGIGLRMACSGIASFALVGSYYLAIDYIL* >Brasy9G362600.1.p pacid=40061595 transcript=Brasy9G362600.1 locus=Brasy9G362600 ID=Brasy9G362600.1.v1.1 annot-version=v1.1 DMMKFRLSTNAKPAALLRLYKRIYANHVGISSAIIAKALWFATVQTPDSRGVPVSIPHQELQQQTFHIIELAGPRGDLGPILQIPVRQEDNYCMGFRVLHPGEDDVAKAWFACEGAEFPRRLFPAVRPCAFSMAYNDIRAIVIKKGIFGKIHEHFVNFEINERKTDDGVILKDTVFFMFGEGQRFWCVQSLCVHHINSIAPGEPSLLMSALIHQWHDLSRAILTLWLAVLEKEEEELIKNLLKEKESKQLVVRMHRFEALKTERGKLITMEEAKVFFKRNGMFDLGSLAGQSLHLIKNCNVEVLKVLMRKDGYWRVFLP* >Brasy9G243700.1.p pacid=40061596 transcript=Brasy9G243700.1 locus=Brasy9G243700 ID=Brasy9G243700.1.v1.1 annot-version=v1.1 MGRRVGLWWLVVAWVAAGALAAAAEVAGRRHAYAAMMYMGTPRDYEFYVATRVMMRSLRGLGADADRVVIASLDVPPRWVQALKDDGVKVFSVDNLKNPYEKQENFNTRFKLTLNKLYAWSLVSYDRVVMLDSDNMFLQNTDELFQCGQFCAVFINPCIFHTGLFVLKPSMDVFNNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPVNGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWLKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPVALIQALLYIGVIAVNRLARPSLSKMCYNRRTEKNTMFLLTALRVVAAWSILAAYTIPFFLVPRTVHPLLGWPLYLLGSFSLSLIVINVFLLQPLAVLTTWIGIIGTLFVMAFPWYMNGVVRALAVFAYAFFCAPVVWASLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY* >Brasy9G243700.3.p pacid=40061597 transcript=Brasy9G243700.3 locus=Brasy9G243700 ID=Brasy9G243700.3.v1.1 annot-version=v1.1 MGRRVGLWWLVVAWVAAGALAAAAEVAGRRHAYAAMMYMGTPRDYEFYVATRVMMRSLRGLGADADRVVIASLDVPPRWVQALKDDGVKVFSVDNLKNPYEKQENFNTRFKLTLNKLYAWSLVSYDRVVMLDSDNMFLQNTDELFQCGQFCAVFINPCIFHTGLFVLKPSMDVFNNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPVNGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWLKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPVALIQALLYIGVIAVNRLARPSLSKMCYNRRTEKNTMFLLTALRVVAAWSILAAYTIPFFLVPRTVHPLLGWPLYLLGSFSLSLIVINVFLLQPLAVLTTWIGIIGTLFVMAFPWYMNGVVRALAVFAYAFFCAPVVWASLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY* >Brasy9G243700.2.p pacid=40061598 transcript=Brasy9G243700.2 locus=Brasy9G243700 ID=Brasy9G243700.2.v1.1 annot-version=v1.1 MLDSDNMFLQNTDELFQCGQFCAVFINPCIFHTGLFVLKPSMDVFNNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPVNGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWLKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPVALIQALLYIGVIAVNRLARPSLSKMCYNRRTEKNTMFLLTALRVVAAWSILAAYTIPFFLVPRTVHPLLGWPLYLLGSFSLSLIVINVFLLQPLAVLTTWIGIIGTLFVMAFPWYMNGVVRALAVFAYAFFCAPVVWASLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY* >Brasy9G195000.1.p pacid=40061599 transcript=Brasy9G195000.1 locus=Brasy9G195000 ID=Brasy9G195000.1.v1.1 annot-version=v1.1 MEPEGWVDWRGNAVDGRKQYGGIKATLFLYVLAVLRSCPSSANLTIVAYFHRILHLDIVTSSSAITYLVGFVSFFAVMMNFISGAYIQRTTAIFVFGPLAVLGYMLLALQAHLKMVPLGLLQHLPSLHPPDCEIMKEPNNCETTEGWNLTLLFLSLLMFAVGEGCMNACIPFLGGDQFNNDDPNETQLKGMFLSWLNFANSLGALLGLIFLVWVENNLGWTIGFAISAVIVLVGLLVAASGLPFYRTKKPNGSPRKRILQVLVSSSKKRQAATVDVIELQEIGAADCIDGEDKPNSEIIGTTEVEELTKAIIRMIPIFISCLLIYLPCMLLRTLTIQVGSTMDKGLGMIQISSASLIAIPTAFYMFMQPCYKWILTPLLKRFTGHTHAITPLQRIGAASACGIAAACLATLVETRRLTVAEQHGLTSTGAGVPMSVFWLVMQFFLLSIMDAASFTGLIEFIKNDSSPEMKLIAPAVQSIIAGIAAWLACAFIHLVNRATKYGNNGRGWLDGANFNRARLDRFFLLLAAFELVALINYAFWARRYAHKQRSSAVGLVETDQETR* >Brasy9G277500.1.p pacid=40061600 transcript=Brasy9G277500.1 locus=Brasy9G277500 ID=Brasy9G277500.1.v1.1 annot-version=v1.1 MASNSFSPPSPPYYTVPADPVDSPTSDSVSSALLIIFALLFFVCLASIAIHTFLRYLSRSSSSSPPPLPQTDDRAHRAETGDDDPAAAERNSNNNKEEDEKQRLIESLPRFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRAAAPTCPLCRAAVTLQHPSVAAILAAAQAQPPPPETTRSRSFRVEMGSVVSSRGSSPADSRTYSLGSFDYHIDEEVEAVVSRTARAATMPMADIAKEEKPLAAPGTPSPPGEAVAEAAGSSPSTRGWLRDYLDRFTSSASSSFSFSGRWSSRWIQNNQGQRNEEPWLWDTEAAVPASGSTDEEETAFMVLYRWIAAV* >Brasy9G331700.1.p pacid=40061601 transcript=Brasy9G331700.1 locus=Brasy9G331700 ID=Brasy9G331700.1.v1.1 annot-version=v1.1 MASDEVSPAAERVRVVGAWAGTLEVELGSWTVQMLRAEVARRAGDVEPDRVSLISGGRLLKDDPAASLQKVGLKTNAKVLSSLTSPDRGKAIAAEAAAAAVEEEHASRLVRLWDAAKALSQRHSDGSFPEEDFNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKAKVLIKKDMYKEALDVLIMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFMLRDVSRLEVAGDRLKKARVGFERSHGKDSSRFRLLQAARHADLAIYVRLELLEGVVAYHNGHTEKARGSLSSAQSKYMQLQVPEEAITMLMEMGYEARASKRALKMTGYDIQSSVDLLCEEREKKIRRREQNLETQREIKEQWKFGKTPMNKAVDMQKLKGLTSIGFEKYLAAEALRINENDADRALDLLTNPEENSILQSKIESRRKRPSGGLGAGSSRAAAASAVNASTVVNTAPHVPDGNTTDGNPEQLVNNEAAVNNSEEALNRDEVMNDEGAVNNNGEAGNNEGALNPDEAMSDEDDAGEQAASHNQLPARDVVMENELANELTGDALDDYDIDVANEGQAITEYLNLLEPAAASS* >Brasy9G117200.1.p pacid=40061602 transcript=Brasy9G117200.1 locus=Brasy9G117200 ID=Brasy9G117200.1.v1.1 annot-version=v1.1 MASFAVSGATLGVVRAGSGGGGLSSTARSARGGVDLPSLLLRKKDSSSRAVLSCAGAPGKVLVPGGGSDDLLSSAEPVSTTPAQPEESQIPEDISEQTAEASTSVDAENKLESSEPTQGVKELVMEEKPRVIQPPGDGQKIYQIDPMLEGFRSHLDYRYSEYKRIRAAIDQYEGGLDAFSRGYEKLGFIRSAEGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSISAWIKFSVQAPGEIPYNGIYYDPPEEEKHVFQHPQPKQPKSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHSSNNTLDGLNGLMALIHIISMVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVSFTGNYGEYFGFATDVDSVVYLMLVNDMIHGLYPDAVAIGEDVSGMPTFCLPVQDGGVGFDYRLHMAVADKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQTLPNGSVLPGNNNSYDKCRRRFDLGDADFLRYHGMQEFDQAMQHLEEKYGFMTSEHQYVSRKHEEDKVIIFERGDLVFVFNFHWSNSFFDYRVGCSKPGKYKVALDSDDGLFGGFSRLDHDVEYFTTEDPHDNRPRSFSVYTPSRTVVVYALTE* >Brasy9G290200.1.p pacid=40061603 transcript=Brasy9G290200.1 locus=Brasy9G290200 ID=Brasy9G290200.1.v1.1 annot-version=v1.1 MNPVRDTGLWAGPPCLGIRPSKGLRSLTILVAREIWKERNARTFQSSSAPTSVVIACIKDEIPTWIGAGAKALADIM* >Brasy9G280400.1.p pacid=40061604 transcript=Brasy9G280400.1 locus=Brasy9G280400 ID=Brasy9G280400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYISRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G300400.1.p pacid=40061605 transcript=Brasy9G300400.1 locus=Brasy9G300400 ID=Brasy9G300400.1.v1.1 annot-version=v1.1 MLVSDRSTVDCAKNYGVLPKTRTIVVISGHRPQSSSSPTPPPNRTEEHNLTRLLWSFGPSHRQVACGALDWKKHVLEQIHVDLFPVRYERFFFLSVVNGHDIVSWGAVDTSGSDSHRDELVGFVTMKIVPAEDSEIEDLFSYNNSRKGLTLAYILTLGVVDHYRNLGIASSLVQEMIKYATSIINCRGVYLHVISYNQPAISFYEKMLFNLVQRLPMFYYIKGQHYDSYLFAYYVNGHQSPCSLLEIAASFTVHFRGFLEMLVTPLWSIKDPNTHELSRFKESSTLVITRNDTRIVSSEDMRRHA* >Brasy9G157500.1.p pacid=40061606 transcript=Brasy9G157500.1 locus=Brasy9G157500 ID=Brasy9G157500.1.v1.1 annot-version=v1.1 MEVPALTAVLLILVVFLPLRASSEDRLVPGRPLTPGYTIVSDGGLFALGFFSSPSPNSTTTTPAANNGSRLYLGIWYNGIPELTVVWVANRETPATTTSSSAAAPTVELTNTSNLVLSDGGNGRRVLWTTDVAAAAASATTTAAVLLNTGNLVSFDHPADTFLPGMKIRMSYRTRAGTRLVSWKSPGDPSPGRFSYGGDTASFLQTFLWDGPRPVARSAPWTGYLVLSDRRYHQQGGGGAVVVYLAVVDDDEEIYVTYSISDGAARARYVVTHSGEYQFQSWSAGGSASSAWEVLSNWPTSPGCNGYAHCGAYGYCDETAAPVPMCLDGFEPASTDEWNGRRFSAGCRRKEALRGCGEGDGDGFLALPGMKTPDGFALVGGGGRGTLEDCAAECRRNCSCVVYAYANLSTTKCLVWAGELVDAGKLAAELGSDTLYLRLAGLDATAGERRKRNAVKIVLPALVCSIVILMCISLAWIKLRGKNRTRRKNKIELDDMSISYELGEGNPAHDHEFPFVRFEEIAIATHNFSETCMIGQGGFGKVYKGMLGGQEVAVKRLSKDSRQGTEEFKNEVILIAKLQHRNLFQLLGCCGEGDEKLLIYEYLPNKSLDATLFDDSRKLLLDWAARFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLLLEVVTGTRRSSNTMGFPSLIIYSWNMWKEGKTGELADSSIMETCSLDEVLLCVHVALLCVQENPDDRPLMSVVVFVLENGSTTSPQPSCLLCTTERGNRADRS* >Brasy9G007600.1.p pacid=40061607 transcript=Brasy9G007600.1 locus=Brasy9G007600 ID=Brasy9G007600.1.v1.1 annot-version=v1.1 MDNKEVLATKWEHYATKRDTFYGDKWTAVHTEFWDLYKYEGDEDDGDRLLSAVAKRLLGQFFYEQRATSNMQYVVAFEYKRIKRAAAKKMFLTKEQYLKVTPGWLGNRPRVWEAIVDRWLDPERRSLGKACSERRALMGGGTHRQGNLGPLAYKKRLEEKEGRPFSLLESWTHGRTMSDGTYCNPHVGPRFEEYSRVAIERNGPEFNPLSDPIDPQAIVIAGGGKKHGRHLILNSSIEPSTSISQIKASNTSGSVTSRPRAEPATALVTQLQNEVGDLRRKMEEKEANDVALRNLVQMSLGSDLLVLLLIHRRAAEPQPHPSPPLMADARRKRKRRRTQQEHATSAASSFDGGHKEALHAQFLDGVDRREREGELTILDEAWRRKESENFVRECAARAQDRASAAARGLAIVAYLEKLSGKPIAPRLGGGEGLDSAFGREGEGRRRDLGSGDGGDLGKEDGAWRDVDAP* >Brasy9G051300.1.p pacid=40061608 transcript=Brasy9G051300.1 locus=Brasy9G051300 ID=Brasy9G051300.1.v1.1 annot-version=v1.1 MARMAVSAMAILVVSCAAFALASFDKEFDITWGDGRGKILNNGELLTLALDRTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGEPYTLHTNVFTQGQGNREQQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKSQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFRADACVVGGGRTRCGASVGTEGTAAVAGDWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRFAQGVPPAECSM* >Brasy9G006700.1.p pacid=40061609 transcript=Brasy9G006700.1 locus=Brasy9G006700 ID=Brasy9G006700.1.v1.1 annot-version=v1.1 MTSAVTSPRQFAKRFWRHYDGEESRPRRSIEPSKENQHRAEDLCYLIFHDLKSRPLPNYLEKFRPMITHVWKTCFEALVVHPLPKN* >Brasy9G123400.1.p pacid=40061610 transcript=Brasy9G123400.1 locus=Brasy9G123400 ID=Brasy9G123400.1.v1.1 annot-version=v1.1 MAATLKLRVLAAAAAATVSLVSVATAADAPAAPPSTGGAVATGPVFAIRTLAAAAVGYLFC* >Brasy9G231800.1.p pacid=40061611 transcript=Brasy9G231800.1 locus=Brasy9G231800 ID=Brasy9G231800.1.v1.1 annot-version=v1.1 MLRGGEDGKRRDGGTDDDESGASAASLNDLSATAGAAGSPPVPAPFPKAAAWAVAALLAVGIGLGALVLAVVQSAVLLVVALVLSAVVSAFFLWNAAAAASGRVLRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANVKNDCSRWKLTHAERFAADFYITDAKSGKRALVKAGYHSKVAPLIDENVLVTTSRNTELSSTLKCWLEERNLSSQEAQLIRLEEGYITEGMRLSVIGILSKKNGDPMIFPPPEPISTGCVLLSFLLPTYFDGIVLRLVDKSYVMPNSGIS* >Brasy9G168300.1.p pacid=40061612 transcript=Brasy9G168300.1 locus=Brasy9G168300 ID=Brasy9G168300.1.v1.1 annot-version=v1.1 MSAPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLETHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDTAEEIREAPKGDSGDGQ* >Brasy9G256700.1.p pacid=40061613 transcript=Brasy9G256700.1 locus=Brasy9G256700 ID=Brasy9G256700.1.v1.1 annot-version=v1.1 MDSLLALSLLLLALYLARRALLGNKGRKNYPPVAGTVLHQLLNFGRLVEYQTELSRKHRTYRMLTPTCSYVYTVEPANVEHILRTNFANYGKGAMTHDVLEDLLGDGIFNVDGAKWRHQRKAASFEFSTRVLREYSTGVFRDTAAELAGVVAAAARGENRAVDMQDLLMRATLDSIFQIGFGVRLGALSGSNEEGAAFARAFDDASEQVLYRFFDLLWKAKRFLNVSSEAAMKRSVRTINDFVYAVIDRKIEQMGRDQHEFAKKEDILSRFLLEREKDPGCFDNKYLRDIILNFVIAGRDTSAGTLSWFLHVLCNNQHIQDKVAREVREATGTGDRDAAGVQEFIDCLDEDAISKMQYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGHAIRKGDMVNYQPYPMGRMKFLWGDDAEEFRPERWLDDDGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWERNSTMGFRPMLTLKMDGPLNVRVLPRRPSEQRA* >Brasy9G257600.1.p pacid=40061614 transcript=Brasy9G257600.1 locus=Brasy9G257600 ID=Brasy9G257600.1.v1.1 annot-version=v1.1 MAQMSRHAFAQMARCMQPTLVTASRAHVSCGIANPRASLSVTRALRTSGARDSHGATDAMRPALPSGRRAPAASAPAAFSGGACCQKRPFTAATTGCPLARESELNVNYKHLIKRALVRMEWR* >Brasy9G000400.1.p pacid=40061615 transcript=Brasy9G000400.1 locus=Brasy9G000400 ID=Brasy9G000400.1.v1.1 annot-version=v1.1 MARWAVVALVVAAMALGTAQANLFTFTEEDLASEDSMWALYERWAAHHGEVRDHDEMTRRFPVFKKNARRIHDYKPQLPPFKKNALRILGNDKMVLNIFGDMSDEELANMTNSCIGKDLEFLLPHPLPL* >Brasy9G281700.1.p pacid=40061616 transcript=Brasy9G281700.1 locus=Brasy9G281700 ID=Brasy9G281700.1.v1.1 annot-version=v1.1 MQQAAAPMAGRPRPRRAALLAALITLLFLACCVFFFLLSATTTTSSPSSPASRLAAVRRHAEDHAAVLAAYAAHARRLSSESSSQTSSFLSASTQLASLASRVTSSTVSLLEKETRAQLKRAKSLATAGAKEAFDTQSKVAKLSDTVFAVSQQLLRARKAGLASSRIAAGSTPKSLHCLAMRLLQSQLSSNPNNASSSSAIVSDDESPVTEEGPELTDPTLYHYAIFSDNVLAVSVVVASAARAALEPARHVFHVVTAPMYLQAFRAWFARSPAPLGARVQLLAASELSFPFLSNSNGSSSPLLRQIEEGNRELALRRLDYLRFYLPEMFPALAKVVLLEDDVVVQRDLAGLWRLDMGGMANAALHTCFGGFRRYAKYLNFSEPVIRERFSPRACAWSYGVNVFDLNAWRRDNCTRTFHDLMDMNENGTLWDPASVLAAGLVTFDGNTRPLERSWHVMGLGCNPHVRPEDIRGAAVVHFNGDMKPWLDVAFNQYKRLWTKHVDADMELLTLCNFGL* >Brasy9G367000.1.p pacid=40061617 transcript=Brasy9G367000.1 locus=Brasy9G367000 ID=Brasy9G367000.1.v1.1 annot-version=v1.1 MAMASIVSKLARATRASPSAAIPTVVSPAEEVRPKSKVAPHALVGDDRTELDPDENVFKSKEAMWALYDKWCKFHGVVRDRSEMERRFKTFSESARQVYESGGLMYMSQFSDMTMKEISLLYTKPRLSVHMQRKRDLDQRKHYLDQRKHYLDQCKRKL* >Brasy9G186600.1.p pacid=40061618 transcript=Brasy9G186600.1 locus=Brasy9G186600 ID=Brasy9G186600.1.v1.1 annot-version=v1.1 MVGGDLRSLAVVSAAVAAAMCYVRFAARRLRPGLPRLAAFLPVLAVLPLLPLTFRALHPRAISVFFLAWLAEFKLLLLAAGQGPLHPSLPLPAFVAVASGPVRLRAEKPDKAQTQSSSNLGLASSAVMAALLAAIVSLYGYEERMNRYVLLTLYAFHIYLALELVLASAAAAARALLGVDLEPQFDRPYLSESLRDFWGRRWNLSVPAVLRPCVYRPVRARLGSPAAGVLATFLVSGLMHELMFSYITLRPPTGEATAFFALHGACAVAEAWWARHEAWWRPPRWAATPLALAFVAVTGFWLFFPPITRPGADKVAIAECEAAGAFLRDAGVWAAGSVRSVWAGRS* >Brasy9G166400.1.p pacid=40061619 transcript=Brasy9G166400.1 locus=Brasy9G166400 ID=Brasy9G166400.1.v1.1 annot-version=v1.1 MHCLACSVPATAAAACAPARRFGRRRVVIDCAASVDRDGEGPPPRLRETCELGEISSKTVSLIASKRKIIAFSALCFSLHSSRYFSALALGDPSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDFGHIVTNYHVVGNALSKNPNLGEVVARVNILAAEGIQKNFEGKLVGADRSKDLAVLKVDAPSDLLKPIIVGQSSALKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIQSSTILKIIPQLIQSGKVRRAGLNVEFAPDPIAYQLNVRDGALVLKVPVGSAVAKAGLVPTSRGFAGNIVLGDVIVAVDNKPIKGKSDLLRVLDDYRVGDTVTLTIRRASKTIPLTLSLEEASI* >Brasy9G355000.1.p pacid=40061620 transcript=Brasy9G355000.1 locus=Brasy9G355000 ID=Brasy9G355000.1.v1.1 annot-version=v1.1 MVSLGCPCRARRWRHDSRQDRNGQDGLRNLGEMSTAGRLPTLAPTTVSSAYPPADSLSPSPLNSITSPSGHNSSLWKEATGQVNEESHSLFLAVSSFVLKM* >Brasy9G025300.1.p pacid=40061621 transcript=Brasy9G025300.1 locus=Brasy9G025300 ID=Brasy9G025300.1.v1.1 annot-version=v1.1 MTLEAGKGIRLSEPASRSRCGGDAIKSATEKKAARKERRLSETASGSSGGGGDAVIKSQKLAEGDKAEAGKVKKMWTVTEEYIDRLREEKAAGPKISNLDYLNEGTGPYADALRAIAARGAAVIAEMEAQKAKILEEYDTHGSAQVELVEDTWSDNEMARKLMAWEKKVQQLSS* >Brasy9G200300.1.p pacid=40061622 transcript=Brasy9G200300.1 locus=Brasy9G200300 ID=Brasy9G200300.1.v1.1 annot-version=v1.1 MAPRVAVAKKGDAKAQAAKVAKAVKSGSIKKKVQKIRTSVTFHRPRTLKKARDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAIKKMYDIQAKKVNTLIRPDGKKKAYVKLTADYDALDVANKIGII* >Brasy9G233600.1.p pacid=40061623 transcript=Brasy9G233600.1 locus=Brasy9G233600 ID=Brasy9G233600.1.v1.1 annot-version=v1.1 MMMRKYGLSSDNVIDAMIVDARGRLLDKDAMGSDLFWAIRGGGGGSFGIVLSWKVRLVPVPPTVTFFNIQKAVDQGAVKAVTRWQTVAPALPEDLSIRVIVQPRQALFQSLYLGNCSALLRTMSSEFPELGMTRADCREMSWLQSTVYINSGDLKTPVESLLNRTTSLSTFTKNKSDYVKQAITEDSWEKIFPWFNSTSAGIIILEPHGGRVGSIADADTPYPHRSGVLYNIQYVAFWTRSGTTDATNWIDGLYDFMEPLASKDPRGAYVNYRDLDIGENKVVGGVTSYDSGKVWGEKYFGGNFERLAITKGEVDAGDYFRSEQSVPPLVSRK* >Brasy9G134200.1.p pacid=40061624 transcript=Brasy9G134200.1 locus=Brasy9G134200 ID=Brasy9G134200.1.v1.1 annot-version=v1.1 MTPAGMAASGSMTSRVVLTPALGVTMVVITVTVTIMTTMEGGRGSLGLTIGILIGAGIGRGIGVTRIAVIMMMVRKRLGLLGIGGIGEEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSNNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G134200.2.p pacid=40061625 transcript=Brasy9G134200.2 locus=Brasy9G134200 ID=Brasy9G134200.2.v1.1 annot-version=v1.1 MTPAGMAASGSMTSRVVLTPALGVTMVVITVTVTIMTTMEGGRGSLGLTIGILIGAGIGRGIGVTRIAVIMMMVRKRLGLLGIGGIGEEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSNNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSSLSWMIQYMVL* >Brasy9G134200.3.p pacid=40061626 transcript=Brasy9G134200.3 locus=Brasy9G134200 ID=Brasy9G134200.3.v1.1 annot-version=v1.1 MQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSNNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G134200.5.p pacid=40061627 transcript=Brasy9G134200.5 locus=Brasy9G134200 ID=Brasy9G134200.5.v1.1 annot-version=v1.1 MQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSNNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTNEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G134200.4.p pacid=40061628 transcript=Brasy9G134200.4 locus=Brasy9G134200 ID=Brasy9G134200.4.v1.1 annot-version=v1.1 MQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSNNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSSLSWMIQYMVL* >Brasy9G305100.1.p pacid=40061629 transcript=Brasy9G305100.1 locus=Brasy9G305100 ID=Brasy9G305100.1.v1.1 annot-version=v1.1 MILVVLSSRTRLHTAQRIFLPAAHQAAKLASRPRALYTPTPGSSFLLSLGRGRRGGSNDRSIEMFEGSYGGGCAAGAEAKQRLRWTPELHRRFVDAVTKLGGPDKATPKSVLRLMGIKGLTLFHLKSHLQKYRMGRQTKKATDLELASSGGFAARDISFSIGTPPLVPAGDDDTREISPTDTLRYQIQVQRKLHEQLEVQKKLHARIEAQGRYLKAILEKAKKNISVDTNGSRNIESTRSQFMDFNLLGLMDNGTQMYEENSEQLMKAISDNNLKDNNLDFQLYNVGSQEAKNVRCTPRTEDLLLLDLNIEGGHDLSSTGMQ* >Brasy9G305100.2.p pacid=40061630 transcript=Brasy9G305100.2 locus=Brasy9G305100 ID=Brasy9G305100.2.v1.1 annot-version=v1.1 MILVVLSSRTRLHTAQRIFLPAAHQAAKLASRPRALYTPTPGSSFLLSLGRGRRGGSNDRSIEMFEGSYGGGCAAGAEAKQRLRWTPELHRRFVDAVTKLGGPDKATPKSVLRLMGIKGLTLFHLKSHLQKYRMGRQTKKATDLELASSGGFAARDISFSIGTPPLVPAGDDDTREISPTDTLRYQIQVQRKLHEQLEVQKKLHARIEAQGRYLKAILEKAKKNISVDTNGSRNIESTRSQFMDFNLLGLMDNGTQMKPGG* >Brasy9G177700.1.p pacid=40061631 transcript=Brasy9G177700.1 locus=Brasy9G177700 ID=Brasy9G177700.1.v1.1 annot-version=v1.1 MPMLLNNAGARSGSQIPVFVCNWPICSSFTRQESVIFGMRLSSRRIFFLDLIYGRDGGGTLSSTDMILIFGY* >Brasy9G102700.1.p pacid=40061632 transcript=Brasy9G102700.1 locus=Brasy9G102700 ID=Brasy9G102700.1.v1.1 annot-version=v1.1 MEDCAGETAVRLAVARVRKRRALSDPTRRPAVLLRRRRRAGGAAMSESSSRSRHCRGHGPEGVSARRLVAAFWQTDKDRLFGDDDDAVTRRSVVPRSHVSTEVSKSSRSRSSKVLEAAGGGKGSWHNGHGQWISADAMSKCSAMEIGTRSPDDVSRCPEEKAMHLKDLYNSLIASKELAKVLAHIWGELNPSTVSLISALRSELDLARAHVRRLVKEQESECIETDGFRKQLLEEMQSWKAKQKEKAAAALHYTVTELDSEKKSRKRAEKTNKKLGVALVDTESALRAATDELERERKSKERVEKMCSELMRGIAEDRAAVEAMRRETEEAREELGREREMLQLADEWREQRVQMKLLEARLQFEEKNAAVSQLHDELQVYLDANKDNNGSKMDRIQTRASEIAGGPEESAGAIAGNGSEDCESEGSDMHSIELNVDGSSKCYTWSCYTPSSRGNPRGASSKRGGIAVQEMDDALEGDWAEGCSNGITLNFDHDEERYQAIEDLREQMLLAGSGLIVSQGRENLSCNGN* >Brasy9G102700.2.p pacid=40061633 transcript=Brasy9G102700.2 locus=Brasy9G102700 ID=Brasy9G102700.2.v1.1 annot-version=v1.1 MEDCAGETAVRLAVARVRKRRALSDPTRRPAVLLRRRRRAGGAAMSESSSRSRHCRGHGPEGVSARRLVAAFWQTDKDRLFGDDDDAVTRRSVVPRSHVSTEVSKSSRSRSSKVLEAAGGGKGSWHNGHGQWISADAMSKCSAMEIGTRSPDDVSRCPEEKAMHLKDLYNSLIASKELAKVLAHIWGELNPSTVSLISALRSELDLARAHVRRLVKEQESECIETDGFRKQLLEEMQSWKAKQKEKAAAALHYTVTELDSEKKSRKRAEKTNKKLGVALVDTESALRAATDELERERKSKERVEKMCSELMRGIAEDRAAVEAMRRETEEAREELGREREMLQLADEWREQRVQMKLLEARLQFEEKNAAVSQLHDELQVYLDANKDNNGSKMDRIQTRASEIAGGPEESAGAIAGNGSEDCESEGSDMHSIELNVDGSSKCYTWSCYTPSSRGNPRGASSKRGGIAVQEMDDALEGDWAEGCSNGITLNFDHDEERYQAIEDLREQMLLAGSGLIVSQGRENLSCNGN* >Brasy9G102700.3.p pacid=40061634 transcript=Brasy9G102700.3 locus=Brasy9G102700 ID=Brasy9G102700.3.v1.1 annot-version=v1.1 MSKCSAMEIGTRSPDDVSRCPEEKAMHLKDLYNSLIASKELAKVLAHIWGELNPSTVSLISALRSELDLARAHVRRLVKEQESECIETDGFRKQLLEEMQSWKAKQKEKAAAALHYTVTELDSEKKSRKRAEKTNKKLGVALVDTESALRAATDELERERKSKERVEKMCSELMRGIAEDRAAVEAMRRETEEAREELGREREMLQLADEWREQRVQMKLLEARLQFEEKNAAVSQLHDELQVYLDANKDNNGSKMDRIQTRASEIAGGPEESAGAIAGNGSEDCESEGSDMHSIELNVDGSSKCYTWSCYTPSSRGNPRGASSKRGGIAVQEMDDALEGDWAEGCSNGITLNFDHDEERYQAIEDLREQMLLAGSGLIVSQGRENLSCNGN* >Brasy9G102700.4.p pacid=40061635 transcript=Brasy9G102700.4 locus=Brasy9G102700 ID=Brasy9G102700.4.v1.1 annot-version=v1.1 MHLKDLYNSLIASKELAKVLAHIWGELNPSTVSLISALRSELDLARAHVRRLVKEQESECIETDGFRKQLLEEMQSWKAKQKEKAAAALHYTVTELDSEKKSRKRAEKTNKKLGVALVDTESALRAATDELERERKSKERVEKMCSELMRGIAEDRAAVEAMRRETEEAREELGREREMLQLADEWREQRVQMKLLEARLQFEEKNAAVSQLHDELQVYLDANKDNNGSKMDRIQTRASEIAGGPEESAGAIAGNGSEDCESEGSDMHSIELNVDGSSKCYTWSCYTPSSRGNPRGASSKRGGIAVQEMDDALEGDWAEGCSNGITLNFDHDEERYQAIEDLREQMLLAGSGLIVSQGRENLSCNGN* >Brasy9G041500.1.p pacid=40061636 transcript=Brasy9G041500.1 locus=Brasy9G041500 ID=Brasy9G041500.1.v1.1 annot-version=v1.1 MFGDWLGSFPGCQCKLIQCGGAAGVWTIWKTRNADCFKQKFLADPAAVIYTLCNNLDSWAIRQKERDRRKLEGV* >Brasy9G213300.1.p pacid=40061637 transcript=Brasy9G213300.1 locus=Brasy9G213300 ID=Brasy9G213300.1.v1.1 annot-version=v1.1 MEFREEDDDGDGAGAPPAPASAALHHHSTTTATRPAPVGTHALEPRSPQTQQQYHECLRNHAAAAGGHVVDGCCEFMAASPDDPLACAACGCHRSFHRRGDTTHLPLLAAANNNTRAAPPLLLPAGNSKHQQRLAPFLPYGLAPSAGATTTESSSEERRGQAPRRRSRTTFTREQKEQMLAFAERVGWRMQRQDEASVERFCAEAGVRRQALKVWMHNNKQSSSTGRRQLQKPSGA* >Brasy9G305700.1.p pacid=40061638 transcript=Brasy9G305700.1 locus=Brasy9G305700 ID=Brasy9G305700.1.v1.1 annot-version=v1.1 MLACIACTSKEGGEDGSRGGAATPHSKDAVKSLTSQLKDMVLKFSGSSNKQYKPAAAGSPSFRAGRSYRRPYPGSGFIDDAAFTPTTNRPTSARAGASSSSATWDMTGMSNRGWPGIDQDQDRGAAGENAAVPREWMAQVEPGVQITFATLPGGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGGSTPTSSVDGSHLRDSSYSRGGSARDSPVRMPPPPPSSASVGASTRDPMPRSASCKAPSYHAPQPPSSARAAYYPSAAVPDPSDHVWAHHFNMLNSAAGAAGPSSSSSSVMMGVGGPSSYDPSRATSSSRDDASVSVSNASDLEATEWIEQDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKVWWEHNKDRIQSQYL* >Brasy9G171300.1.p pacid=40061639 transcript=Brasy9G171300.1 locus=Brasy9G171300 ID=Brasy9G171300.1.v1.1 annot-version=v1.1 NLRNRILNSGIGPGAIFVHRLTHTNMKQCLKLPESITTVLRLPERDDMLIYAREPEAACLIEFRVNIDGRLATRGSSMWKVLLEEALLKKNHHISVVIFKEMPRLVVCVQKL* >Brasy9G295900.1.p pacid=40061640 transcript=Brasy9G295900.1 locus=Brasy9G295900 ID=Brasy9G295900.1.v1.1 annot-version=v1.1 MSEGTCSGTRNLFDEMSEQTREYQEECMMDLINDGQEPQIVDVDDQNYPIPTQQFHQKRGPTKRGISYTIKEDELLVTAWLEVSQDPIQGAEQRRSAYWKRIHEYFHEWKNYPPWKFAPKWMNLVASLKNPNGTHKRQKHNDGSSSHASQMDENGEAFGPVSTTTGPKGRKWEKEKAKRASASTSTNEKRDGKKDEKKDEHHKWFMEMTNEKVAIEKDMLGSITRFTQDSRIMFADTSLMDAKTRLRHENLRDKILARNGI* >Brasy9G197100.1.p pacid=40061641 transcript=Brasy9G197100.1 locus=Brasy9G197100 ID=Brasy9G197100.1.v1.1 annot-version=v1.1 MDSSGGVGWYVLGPNQEHVGPYALSELREHFANGYLNESTMLWADGRSEWMPLSSIPEIHSGVTKKDQPEQETEDDFEKFQKEVIDAESEVEALKHKDADGNLNKEHGIDDPDERPSSPPDGVEEFIDDDGTIYKWDRMLRAMVPQNDVSGKTDGYEENDEPGKKDDYRLEDMTFALEEEVFQPPDISGSSTLDENNASTEKEIKEPVKVEKRQEKKRKSSEKPAEKKEANKPPESWFDLKVNTHVYITGLPDDVTAEEIIEVFSKCGIIKEDPETRKPRVKIYTDKETGRKKGDALVTYLKEPSVPLAIQLLDGTSFRPGGKTLMSVSVAKFQQKGDVFMAKKADKQKKKKGKKVEDKMLGWGGHDDKKVMIPTQVILRNMFTPAELRTDETFLPELEEDVRQECMKSGPVDNIKVCENHPQGVVLVKFKDRKDGIKCIEALNERWFGGKQIHASEDDGSIKHSLIRDYDAEVSRLERFGEELEEEST* >Brasy9G056000.1.p pacid=40061642 transcript=Brasy9G056000.1 locus=Brasy9G056000 ID=Brasy9G056000.1.v1.1 annot-version=v1.1 MISAVASAGGDGDGNIHILMFPFLAFGHISPFVQLARKLVAGNRVRVTLLSAAANVPRVEAMLGPSAAAVAVAPLQLPRVPGLPEGAESTAEVSADGAELLKIAVDGTRPQVAALLAELRPGAVLFDFATPWVTDIAAPLGIKALQFSVFAAAAGAYLMVPARRANNGSLPSTAHELASAPAGFPPSSSLATVPPYQAADFKYVFTSFHGMPCVYDRVVAGIEASDALVVKTCAEMEGAYIDYLAAQFGKPVLVTGLVVPDPPQGELEEPWASWLSAFPENSVVFASFGSETFLPAEAATELLLGLEATNRPFLAVLNFPKGEDADTELNKCVPPGFRERVKGKGVVHTGWVQQQHILRHASVGCFVNHAGLSSVVEGLVAGCRLVLLPMKGDQYLNAALAARELRVGVEVARRAEDGWFGREDVAAAVAEAEADGVCAEGKKWVEFLMDAAVQKRFVDDFIVGLRNLVRA* >Brasy9G036900.1.p pacid=40061643 transcript=Brasy9G036900.1 locus=Brasy9G036900 ID=Brasy9G036900.1.v1.1 annot-version=v1.1 MDAEPVDKAGSKAEEERDEEPQPQKKRSRRRRPPGEKAAPATPASGRPSRDLKTVERYAELPPPVKPADILQGPGTKLKDIPSVSFKLSKRKTDENLQSLHTILFGTKSNVYYLKRNISQFSGFVWTDNQDKQRIRIKKKLDKINKEKLLDFCEILDVHVKVTTKKEEVSTKLLEFLESPCITKDVLSDVKKGRKNGRMSKGSGEATAEGASEEKVPPRRSPRFAGRDPEHPIVLDKASKEHKIRGKQPAITPLRRSARFRRGDKSPSKILMEKGSYLKQLPFTPNARDIAHNRKTQTIVNKDNRLENPIRSSQRIAALKASARMKTHKEPRTLLEESQGVPPRRKTADASCSMSEMQELKPAYFEELTRKRKKGTERKPTSRKLSRQEPKSDCQKIAPIAEPRNIIHKKSENDPSSIMQPKISDDTLMNTRECNEELSGIKRGSEQRLCASDHWTEEQDLTLRQAYFAARPSPHFWKKVSKMVPGKSAEECFNRVHADLSTPTPIAPRPRKSKVQFSPLGHFTLSDTKFPNLLEPLVGRRRTARQKSLAAQKTVRHLLKKHSLIDQAQEADHFSVFETSPSALQLNIPLEDSPGTPDNCLNSCSLHKDSMSYKARKRPLSRLKTKQDEPSPAVLKPVKNAVLHERYIDRLSRREGVKKPRKKAAGNKATGPERPVSEQQAGGGLKAAKEALISEAADFISKFKKSQANSLAHVLENTEDDDDTCS* >Brasy9G171100.1.p pacid=40061644 transcript=Brasy9G171100.1 locus=Brasy9G171100 ID=Brasy9G171100.1.v1.1 annot-version=v1.1 MASKVELVVEVKSPADKLWTALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEMADDEKKVVSYSVVDGELVSFYKNFRVTVQVTPSAKDDGAAGAVVNWAMDFDKASDAVPDPDVIKETAAKTFHDLDDYLLKN* >Brasy9G194700.1.p pacid=40061645 transcript=Brasy9G194700.1 locus=Brasy9G194700 ID=Brasy9G194700.1.v1.1 annot-version=v1.1 MATTFKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDLNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNETVQLYHLSRCARAQGYLGKKETEAQQ* >Brasy9G138200.1.p pacid=40061646 transcript=Brasy9G138200.1 locus=Brasy9G138200 ID=Brasy9G138200.1.v1.1 annot-version=v1.1 MAAALRFVAKKICGRAVLQPQSYFTTASWAAVREEQRLLLPRISHGGSSLRRFSSSESPNLNKHGVKSTNNAEPIPSTWWPRINMSASEVMLAMIPMAIYVGTFYVEVNRARIFGMPYIRGEEAE* >Brasy9G077100.1.p pacid=40061647 transcript=Brasy9G077100.1 locus=Brasy9G077100 ID=Brasy9G077100.1.v1.1 annot-version=v1.1 MMSSSPSELVLYNLVPTQTLNPTAPPPPTNDPAADLSIATATPAAPSARPRARRSSDRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVSPEEAPPAAVPIGSASAVHGAPFVQVPYYTALLMQPPTADEPPAAPAADSASVTVAEENN* >Brasy9G009700.1.p pacid=40061648 transcript=Brasy9G009700.1 locus=Brasy9G009700 ID=Brasy9G009700.1.v1.1 annot-version=v1.1 MKQERAEVASGSGGDVKSQKLAEQATEAGQQIDVKSKRASSGSSGGGGDVKSHELAEQAKEAGQQTEGLSKRASSGSSGGGGDAVIKSQKVAEGDKAEAGKVKKMWTVTEEYIHRLRKEKAAGGPKFRNFDYLNDNTGPYGDALRAIAAGGAAIIAGMEAHKAKILEEYDTHGHAQVEVAEDPWADNEIVRKVLARNKRLQQQQQASS* >Brasy9G254500.1.p pacid=40061649 transcript=Brasy9G254500.1 locus=Brasy9G254500 ID=Brasy9G254500.1.v1.1 annot-version=v1.1 MGWASRWFRGLLGGGKKASSAAAASEPRPEREKKRWGFGKSFREKEPVRPATPPVQPAATPRRTYGASDDGGDEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCATAAAKQEEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVNVQARAVRSRHAAAHPVPTTPEKYEQAAYDGAAPRHGRPGSSKCMQGAGSIRTPGGERLSRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGGKPGRHASKRRGGSGSGNHLQSSCSTRTSDQNSRSYATMPDSPSKDSTTAQQSVPSPPSVGMGMAEALSPLRMPVDMAELYDSPQFFSATSRPGSSKRGGAFTPTKSECARSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQQYEKSGSLRKGFAFAPGPGPAAQQRAASLHAKFTNKAYPGSGRLDRLGMPVKY* >Brasy9G254500.4.p pacid=40061650 transcript=Brasy9G254500.4 locus=Brasy9G254500 ID=Brasy9G254500.4.v1.1 annot-version=v1.1 MGWASRWFRGLLGGGKKASSAAAASEPRPEREKKRWGFGKSFREKEPVRPATPPVQPAATPRRTYGASDDGGDEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCATAAAKQEEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVNVQARAVRSRHAAAHPVPTTPEKYEQAAYDGAAPRHGRPGSSKGAGSIRTPGGERLSRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGGKPGRHASKRRGGSGSGNHLQSSCSTRTSDQNSRSYATMPDSPSKDSTTAQQSVPSPPSVGMGMAEALSPLRMPVDMAELYDSPQFFSATSRPGSSKRGGAFTPTKSECARSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQQYEKSGSLRKGFAFAPGPGPAAQQRAASLHAKFTNKAYPGSGRLDRLGMPVKY* >Brasy9G254500.2.p pacid=40061651 transcript=Brasy9G254500.2 locus=Brasy9G254500 ID=Brasy9G254500.2.v1.1 annot-version=v1.1 MPAMVKGPLLINLSLPCRCVCVKARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVNVQARAVRSRHAAAHPVPTTPEKYEQAAYDGAAPRHGRPGSSKCMQGAGSIRTPGGERLSRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGGKPGRHASKRRGGSGSGNHLQSSCSTRTSDQNSRSYATMPDSPSKDSTTAQQSVPSPPSVGMGMAEALSPLRMPVDMAELYDSPQFFSATSRPGSSKRGGAFTPTKSECARSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQQYEKSGSLRKGFAFAPGPGPAAQQRAASLHAKFTNKAYPGSGRLDRLGMPVKY* >Brasy9G254500.3.p pacid=40061652 transcript=Brasy9G254500.3 locus=Brasy9G254500 ID=Brasy9G254500.3.v1.1 annot-version=v1.1 MPAMVKGPLLINLSLPCRCVCVKARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVNVQARAVRSRHAAAHPVPTTPEKYEQAAYDGAAPRHGRPGSSKGAGSIRTPGGERLSRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGGKPGRHASKRRGGSGSGNHLQSSCSTRTSDQNSRSYATMPDSPSKDSTTAQQSVPSPPSVGMGMAEALSPLRMPVDMAELYDSPQFFSATSRPGSSKRGGAFTPTKSECARSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQQYEKSGSLRKGFAFAPGPGPAAQQRAASLHAKFTNKAYPGSGRLDRLGMPVKY* >Brasy9G079400.1.p pacid=40061653 transcript=Brasy9G079400.1 locus=Brasy9G079400 ID=Brasy9G079400.1.v1.1 annot-version=v1.1 MRISSFFLVAAVGIIYAIAAAPATTGCAVPFKDLSRTARIVGAWGPIGNVNDPHIQMLGSWAVSEYGNRTNCRLKFHRVVSGRQQYVSGVDYELIIDASPELGGGEEGRYKAVVYEQGWSNIRQLVSFSKVN* >Brasy9G364200.1.p pacid=40061654 transcript=Brasy9G364200.1 locus=Brasy9G364200 ID=Brasy9G364200.1.v1.1 annot-version=v1.1 MAKYCPLLLQLLSALLMILPAATSTTAACHPDDLSALRGFAGNLSGGAAVLLRATWSGASCCGWEGVRCDDGASGRVTTLWLPGRGLVGPIHGASSSLAGLAQLESLNLANNRLHVGTTFPSWIGELDRLCYLDLSHNASPLHVKRNRRTLAGGEPNTITGTNNSVKSGHGNTLSGNNNVVISGNNNVVSGNHNKVVSGSDNAVSGNMHVVSGTHHVVTGTNNTVSGTNNAVSGSNHIVSGSNKVVTG* >Brasy9G348200.1.p pacid=40061655 transcript=Brasy9G348200.1 locus=Brasy9G348200 ID=Brasy9G348200.1.v1.1 annot-version=v1.1 MLRSLLLLLAPLLTPSAPVALDRAALLAFNAGITLDTTGILATWSGDDCCDGAWEGVSCAATGTGRVVALRLESQPHRYMEGTLSRSLGDLEFLEAMVIRDMARIGGAIPETLSRLTRLEQLYLEGNALAGSVPGRSLAKMSSLRHLSLAGNRLEGRLPPELGSINLAGNRLVGGIPSSYKNLSSSRLRYLDLSNNLFSGIVPEFLGKLKSLVLLDLSNNSFSGAIPALLGALRNLTDLSLSRNKIGGLRSLNSLTIDDNMLTGSIPKPLFTIQNLWRLNLSKNKLTGNLPDFSDAGASGSLKWLDVSKNAIGGQIPSSIAELRGLERLDISRNRVRGVIPAAMAAMASLRWLDVSGNAIVGRIPESFSRLAGVRHASFRGNKLCGRIPQAPPFDPPQTLPKEEDATNNLLYLELEAAAVGPYPERRPRPDTIHNKPTPGLAGHLPQLDNPKLDPDRHARGHRERHEPGLLLRRQLRRDIAVEPRLAPERDQRPHRAAVALTPMRRFGFSAVTCTSKASVTTMDVVFTSNFVARIPAVAPRAMARKSRDRSVQHKHRQQRPCFRLLRRGARSGAGASGRGAVIGSCWSWFEFLASFSSGAGSGEPASTGWTLW* >Brasy9G085500.1.p pacid=40061656 transcript=Brasy9G085500.1 locus=Brasy9G085500 ID=Brasy9G085500.1.v1.1 annot-version=v1.1 MVGRAAYNNPWNMLGHVDSEIYGIPTTCSSRRQILESYQIYGDSIIGQYGITRPNVRQLVKPLLHLFHSEPGNSLWKRKADSTLRHCKTLEQFLKETLDAIPDSVLDAPIFREASIEEGYFTHVDSLLPPRKGSETSCCSLQDATRPHRQLRVDAFGLGPAFQKVPARAKHRASTAQPFGLFCNSHRAKLASKMLCSFPAQPISPPEGYPSVYLSIPTHESARRIERQEHPPPPPPPPHLHGMTGSRKHRRMDRLGSMPDEVLELVLSFLPSREAVATSGLARRWRHLWRSTPAVQLLQARPRKTSDDGFRLFVNSLLLHRAAAASSPPLRSFEIDTTIAPALDRDESYDPEDEPLFPPGIDPHVDLWIRHGVTTCRARSLTARFRGKDHDGDDVMWEPRRPFAFALSRHLTTMRLHGVKLVDKGVVDFSGCPALVDLVLARCRLRGGASFKSPSLERLSIMQKRRRLSPRRRRRWDCKETTTGRIKTGLHAQPSLSTNIQCP* >Brasy9G085500.3.p pacid=40061657 transcript=Brasy9G085500.3 locus=Brasy9G085500 ID=Brasy9G085500.3.v1.1 annot-version=v1.1 MVGRAAYNNPWNMLGHVDSEIYGIPTTCSSRRQILESYQIYGDSIIGQYGITRPNVRQLVKPLLHLFHSEPGNSLWKRKADSTLRHCKTLEQFLKETLDAIPDSVLDAPIFREASIEEGYFTHVDSLLPPRKGSETSCCSLQDATRPHRQLRVDAFGLGPAFQKVPARAKHRASTAQPFGLFCNSHRAKLASKMLCSFPAQPISPPEGYPSVYLSIPTHESARRIERQEHPPPPPPPPHLHGMTGSRKHRRMDRLGSMPDEVLELVLSFLPSREAVATSGLARRWRHLWRSTPAVQLLQARPRKTSDDGFRLFVNSLLLHRAAAASSPPLRSFEIDTTIAPALDRDESYDPEDEPLFPPGIDPHVDLWIRHGVTTCRARSLTARFRGKDHDGDDVMWEPRRPFAFALSRHLTTMRLHGVKLVDKGVVDFSGCPALVDLVLARCRLRGGASFKSPSLERLSIMQKRRRLSPRRRRRWDCKETTTGRIKTGLHAQPSLSTNIQCP* >Brasy9G085500.2.p pacid=40061658 transcript=Brasy9G085500.2 locus=Brasy9G085500 ID=Brasy9G085500.2.v1.1 annot-version=v1.1 MVGRAAYNNPWNMLGHVDSEIYGIPTTCSSRRQILESYQIYGDSIIGQYGITRPNVRQLVKPLLHLFHSEPGNSLWKRKADSTLRHCKTLEQFLKETLDAIPDSVLDAPIFREASIEEGYFTHVDSLLPPRKGSETSCCSLQDATRPHRQLRVDAFGLGPAFQKVPARAKHRASTAQPFGLFCNSHRAKLASKMLCSFPAQPISPPEGYPSVYLSIPTHESARRIERQEHPPPPPPPPHLHGMTGSRKHRRMDRLGSMPDEVLELVLSFLPSREAVATSGLARRWRHLWRSTPAVQLLQARPRKTSDDGFRLFVNSLLLHRAAAASSPPLRSFEIDTTIAPALDRDESYDPEDEPLFPPGIDPHVDLWIRHGVTTCRARSLTARFRGKDHDGDDVMWEPRRPFAFALSRHLTTMRLHGVKLVDKGVVDFSGCPALVDLVLARCRLRGGASFKSPSLERLSIMQKRRRLSPRRRRRWDCKETTTGRIKTGLHAQPSLSTNIQCP* >Brasy9G190900.1.p pacid=40061659 transcript=Brasy9G190900.1 locus=Brasy9G190900 ID=Brasy9G190900.1.v1.1 annot-version=v1.1 MSREALAIGHVVGDIVDPFVKAASLKVIYNNKELTNGSELKPSQVANQPRIEIAGRDMRSLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASYGNEVVSYESPKPTAGIHRCVFILFRQSVRQTIYAPGWRQNFNTRDFSAFYSLGPAVAAVFFNCQRENGCGGRRYIR* >Brasy9G006800.1.p pacid=40061660 transcript=Brasy9G006800.1 locus=Brasy9G006800 ID=Brasy9G006800.1.v1.1 annot-version=v1.1 MQSIYANNIRQAVEWIIPYLEDANNTAYKAIYFDGWKGLAASAVLKAIAQDPPPSLLEKFDKIIHVDCSRWKGRRVLQRIIAQELKLPHVLMSIFDKQDKEDDFSGVDKSSRSEIGFISREILRVLMEHRCLLVFHNGRNNMVNLSAFGIPQQEFLDTKVLWTFRGRFRLNSAIREKVDKSHLYLYDYTLSSRRWNSLLQKEAGEIDGCTDNLGEAVVECCLYLLTLNSQGGDTMDYNWATHASSYWVCDEIIQGGQADKAWDVAAALHQQIRIEDYSSNTLPSFGGKLETPPKRWMLVRDNSALTVHPKSTSFFLAADTSESGRPLRLLPNDMFHQSDNLHVLKLCRCTFSFSSPPFHCCRNLRFLGLDGCKDRQEEDGEKQDRPAMVFFQSLWVLDICNTNWELASSQEIIYQMFANIREVHINKGRIWRRSMEWRQLQNVRKLRVIEPTSPWVTGEMDEFRDMVKLELLDLSGDSTIQVLPSLSGATSLKTLVLDGCVGLKHVDHMGLPPSLESFSLDAPAGADQKKKTKIRSISLAGCARLVSFRLCGSLPNLEKLDLSGTLVKTLDLKDQVVQLHVILWPEKGLPKLSVLHIDSAVCHAQIELDQAYVTIMDIRFFQTLVLQSHVAFCWKTKSRNKAIRRRRLANIVSLIPKSYRTYTDVVVDNMAIDDGYNHALQFQPSDFHVEIGEGISDIGMESSQGIKAIVFAVDKAESLHVHDNSSITTVIPEYMMSIEDERILWRHLKQCHVVRCPRMHTVFTIWYNYCCFEELETFWASDLQMAHCIWSKRRKVAIEEERSFAKLRSIHLNSCPRLTYGLPLLWFTLSSLETLHIVNCGDLIEVFPVEEDFLKEIATDHRNGILEFPNLKHIYMNELYKLQQICEAKMFAPKLETVRLRECWGLKRLPAVGRDSRPVVECEKDWWEKLEWDGLEADHHPSLFEPRHSSYYNNGHHPSLPRGSVLR* >Brasy9G067900.1.p pacid=40061661 transcript=Brasy9G067900.1 locus=Brasy9G067900 ID=Brasy9G067900.1.v1.1 annot-version=v1.1 MGALAASIASHVPSGLLASLASSVANPGELLRRAARLEDEIRELLRVNGRRAGGAADQASKTRERFLRAYERLKSELLNDRAFNFDFTPETRQWVAKMLDYNVPGGKLNRGLSVIDSYMLLREGTEVDDEDFYLACVLGWCIEWLQASALVLDDITDKAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKLYFMDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGLKLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELADENEMKILYENYGKSSPENVAAVKNVYKELDIQDIFLEYESRVYKHLVSTIDAEPDRAIREILRIFLKKIYRRKK* >Brasy9G081700.1.p pacid=40061662 transcript=Brasy9G081700.1 locus=Brasy9G081700 ID=Brasy9G081700.1.v1.1 annot-version=v1.1 MSTALFFSLLLLMAATRPAMTETAVSAPGSGCQTSCGGVDIPYPFGIGTGCFREGFAINCSTNGPVLAAGTNLRVLRLSLDPDESQVMLPIAWQCYNATNPGDTYDWNYGETKMNKDGVYRISNTQNMLVVVGCNSMGFTASARTEGGSYSYSYYTGCMSYCNNSASAQNELCAGVGCCHVDIPPGLTDSTFNFREYDHSAMLDYSPCDYAFLVGKNNYTFQRSDLRMDTNRTSPVWLDWAIRYDGSGAAILSCANATTTACVSANSDCVDSKNGPGYNCKCSKGFQGNPYVVNGCKNIDECADNAKYPCYGVCEDTEGSYECTCRPGYRSNDAKKERCTPPFPLAAQIAIGVILGLFVLASLAFIYVLRKEQRKTLEFYNKNGGPTLEKAKNLKILKKKELEPFFKDSNFIGEGGFGKVYKGVVGDEVVAVKKPISGSALENNQFANEVIIQSQVMHRNIVRLIGCCLVVDAPMLVYEFVSKGSLDDILHKVGNKKPLSLDVRLSIAAESARGLSYMHSEAHTTILHGDVKPANILLDDEFMPKISDFGISRLIARDKQHTFNVIGDMTYIDPVYIQEGRLTEKSDVYSFGIVILELISRKKATRDNSLVKSFLEDHKKGKKSSELFDKEIAVPADMELLHSLAGIAVECLNLDVDKRPWMKDVAQRLLILNQNRSRNL* >Brasy9G195200.1.p pacid=40061663 transcript=Brasy9G195200.1 locus=Brasy9G195200 ID=Brasy9G195200.1.v1.1 annot-version=v1.1 MALGGFVDWKGNTINREVHGGVRAAWFLYVLTVVTNVVIVPNLLNMVTYLQGTMHMGVSGSATTATNFFGATSGFALIGAFLSDSYITRSRTVLIFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.3.p pacid=40061664 transcript=Brasy9G195200.3 locus=Brasy9G195200 ID=Brasy9G195200.3.v1.1 annot-version=v1.1 MALGGFVDWKGNTINREVHGGVRAAWFLYVLTVVTNVVIVPNLLNMVTYLQGTMHMGVSGSATTATNFFGATSGFALIGAFLSDSYITRSRTVLIFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.4.p pacid=40061665 transcript=Brasy9G195200.4 locus=Brasy9G195200 ID=Brasy9G195200.4.v1.1 annot-version=v1.1 MALGGFVDWKGNTINREVHGGVRAAWFLYVLTVVTNVVIVPNLLNMVTYLQGTMHMGVSGSATTATNFFGATSGFALIGAFLSDSYITRSRTVLIFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.2.p pacid=40061666 transcript=Brasy9G195200.2 locus=Brasy9G195200 ID=Brasy9G195200.2.v1.1 annot-version=v1.1 MALGGFVDWKGNTINREVHGGVRAAWFLYVLTVVTNVVIVPNLLNMVTYLQGTMHMGVSGSATTATNFFGATSGFALIGAFLSDSYITRSRTVLIFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.5.p pacid=40061667 transcript=Brasy9G195200.5 locus=Brasy9G195200 ID=Brasy9G195200.5.v1.1 annot-version=v1.1 MAESEQHGFCMGTMHMGVSGSATTATNFFGATSGFALIGAFLSDSYITRSRTVLIFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.7.p pacid=40061668 transcript=Brasy9G195200.7 locus=Brasy9G195200 ID=Brasy9G195200.7.v1.1 annot-version=v1.1 MYSGCHLFHAPSVGNYICHVMHCSLIEHEFVQGYGLLALQAYLPSLHPPPCNIEAELNNCKKVHGWNAALLYTGLYMSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G195200.6.p pacid=40061669 transcript=Brasy9G195200.6 locus=Brasy9G195200 ID=Brasy9G195200.6.v1.1 annot-version=v1.1 MSAFGDGSIRVCLPSLGADQFDREDPTESHQQSSFFNWYTFGISFGGFVGLILIAWLENYKGWDIGLGVCCILILLGLLIVASGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPQKLEETQERNSRTGSVDAFAQTNSLKFLDKACISRGKSGAWSVCTATKVEETKIVLLMLPVFISSMIGYISNIILLTFTVQQGGMTNTRLGMIHVAPATLFIIPIIFQMVMLAIYDQFLVPFLRRHTGYVRGITHLQRIGIGFGCMSLASAIAAVVERKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIAAALFWCELGLASLMATFLVEIVNKATRHGNQGGWLEGSSLNSSHLDLFYWVVAVVGLLGFFNYLYWAKKYVYQNNPHIAEPMVGHQDSP* >Brasy9G281600.1.p pacid=40061670 transcript=Brasy9G281600.1 locus=Brasy9G281600 ID=Brasy9G281600.1.v1.1 annot-version=v1.1 MVKKAPNPDAKGKGAASASAPPPKPSPSPGSVKSRKKVFKKKKAPADQEKQKVTAAKVAEKKGDDEKMVAEKNGDGSKIATNKNNGDGSKTATNKNNGDGSKTAMDKNNGDGSKIATNKNNGADKKVVAGNNGAVRRPKEEGRGRMNARGEERKEDKRGAEESGGAGFIFMCNAKTKPECFKNGVFGLPKGKIDVVEKVRLGSKLFLYDFDLKLLYGVYKATGKGGMDLARRAFDGRFPAQVKFKIDKDCLPLPESSFKHAIEENYDSKGRFTQELSSRQVRKLLALYKPVVNLRRSSSQHVEEIRQTPRVEGRMPRYVQERQQPHDYEERRLSRHDEEMQRSRFVEDGRLTYGYDERQTPHHVEEIRRPQFVEEVRAPTHLPVLYAPPYYNRVPPASSDVYNPHPANILYESSAPRASFDATNRDPLLPRDYRALPAEIAPRPDRVDELYHSYRLANRPESLHQDPYLTTAYENPRPAYPESIQMPTSTRVPVSPYLTTAYETSRPAYPESIQMPASTRLPVSSLYSFAGAPTYR* >Brasy9G199400.1.p pacid=40061671 transcript=Brasy9G199400.1 locus=Brasy9G199400 ID=Brasy9G199400.1.v1.1 annot-version=v1.1 MASAPATAAPNPERRVRREAVVRAAEALLRWLQHHPSPAPEPIYLLVTLKSPPVRRFEHHLRLPLSPFPSVFLVSDRLPDVLPDDIEPLPSSALRSLPAVARRGLVLVDRRLRIPNSGKASKGRGRLVPVDLADPAWADSAREAARCVELRVEAGTCRAVRVGHAAMAQAEVVENVMAAVEAAAACVPRKWKNVRGLHLKAPESIALPLYSASGSGTRKHEDATKDAKLEGAAVAEQVKRRKKE* >Brasy9G334100.1.p pacid=40061672 transcript=Brasy9G334100.1 locus=Brasy9G334100 ID=Brasy9G334100.1.v1.1 annot-version=v1.1 MNSLALAGIIVGGIAFIMAIKQITRCIELKREWHAERARLRATQLQAPASSEAQPASANNIELESVNRFLDGILREKPARFTPENLREFTGGYAERVGSGGFGVVYRGRFPNGVAVAVKVLNGTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDASVKALVYEFLPNGSLDRVLFAPKPMPMPAGLGFETLQGIVVGTARGIRYLHEECAQRIVHYDIKPGNVLLAADYSPKVADFGLARLCNRDKTHLTMTGGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNYAEEHAALSGQEWYPKWVWQRFEEGRFEEVMAASGIRGEDGEKAERMCKVALWCVQYRPEARPAMSSVVRMLEGEEEIARPVNPFSYMASLHEISSSSGGDVSTSTLSGDSANRSSALSQ* >Brasy9G090000.1.p pacid=40061673 transcript=Brasy9G090000.1 locus=Brasy9G090000 ID=Brasy9G090000.1.v1.1 annot-version=v1.1 MAPTVMASTATSVAPFQGLKSAAGLPVSRRNRSAAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSVEALLKQIEYLIRSKWVPCLEFSKVGFVFREHARSPGYYDGRYWTMWKLPMFGCTDASQVLKELEEAKKEYPDAFIRIIGFDNVRQVQCISFIAYKPPGCEESGKA* >Brasy9G140700.1.p pacid=40061674 transcript=Brasy9G140700.1 locus=Brasy9G140700 ID=Brasy9G140700.1.v1.1 annot-version=v1.1 MAEIHSPFSLPRTLSSRSAPAPSLLYRRQRAEMAPATQKKPAATTSAAMSMDREKEREAELEGAMYTSCLLLGLDPAVLGSPAGAASLASASISSTSNYPPSAAPRSPPRTSTRCGQSSITPSPGSSARYAKKEGSRSALMTVRCKKENIVSKA* >Brasy9G140700.4.p pacid=40061675 transcript=Brasy9G140700.4 locus=Brasy9G140700 ID=Brasy9G140700.4.v1.1 annot-version=v1.1 MAEIHSPFSLPRTLSSRSAPAPSLLYRRQRAEMAPATQKKPAATTSAAMSMDREKEREAELEGAMYTSCLLLGLDPAVLGSPAGAASLASASISSTSNYPPSAAPRSPPRTSTRCGQSSITPSPGSSARS* >Brasy9G140700.3.p pacid=40061676 transcript=Brasy9G140700.3 locus=Brasy9G140700 ID=Brasy9G140700.3.v1.1 annot-version=v1.1 MAEIHSPFSLPRTLSSRSAPAPSLLYRRQRAEMAPATQKKPAATTSAAMSMDREKEREAELEGAMYTSCLLLGLDPAVLGSPAGAASLASASISSTSNYPPSAAPRSPPRTSTRCGQSSITPSPGSSARS* >Brasy9G140700.2.p pacid=40061677 transcript=Brasy9G140700.2 locus=Brasy9G140700 ID=Brasy9G140700.2.v1.1 annot-version=v1.1 MAEIHSPFSLPRTLSSRSAPAPSLLYRRQRAEMAPATQKKPAATTSAAMSMDREKEREAELEGAMYTSCLLLGLDPAVLGSPAGAASLASASISSTSNYPPSAAPRSPPRTSTRCGQSSITPSPGSSAR* >Brasy9G016100.1.p pacid=40061678 transcript=Brasy9G016100.1 locus=Brasy9G016100 ID=Brasy9G016100.1.v1.1 annot-version=v1.1 MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKIEGNLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARHGPSMMPGGSLEAYQYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLVEITADIFGIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVAASKIFQGDYSTDLPVDKAQLIEDVRKALYASKICSYAQGMNIIKAKSTEKGWGLNLGELARIWKGGCIIRASFLDRIKKAYDRNAELANLLIDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIANSKI* >Brasy9G033200.1.p pacid=40061679 transcript=Brasy9G033200.1 locus=Brasy9G033200 ID=Brasy9G033200.1.v1.1 annot-version=v1.1 MEEIVIGLSKSVVEGTLSKVKAAIEDETKLKLTVQSDLVFITGEFEMMQSFLNVSDAGERIKNKAVKTWVRQLRDLAYDAEDCIELVIHLDPKPSWWRRLLVLPCLPAVTLPIDDAAAEIKELKDRVEYVSQRNMRYSLIADFGSGATSKSAAVQLDLERRRLDISIPVEEAKNKGSLVIDLTELIPNTEDHPDLGVISVWGTGGDLGVASIIRKAYDDSEIRNNFQCRGWAKLTHPFNPLKILRSLLIQFCTNTSTGPPNRQGDALDADSLPRTVASEGQVIREFVNHVNTKRYLVVLEDLSTIAEWDALRIYLPDMGNGSHIIVSTQHFDVASLCAGQPHKASEFRNFTPDHSVYVFSKEVLGAGDDGCDADDLIGRDTQKVELINQIDEVSCVISVWGIAGAGKSALVRSVYRKYLNDRTKDSRSYINAWVNVPSPFNLRELCRSLLLHMSSVPATTKQDAAAQLANLTSPIDLCCRLLSGDTRCLIVIDGLQSAQEWDTIKEKLSFGKASCVMLVTNELSVANHCSGRDQFVLNVKGLADDHATQLFNKEFEKQHNPIVPDNMEEQTKLIVNKCGGLPKLIVSVARFLAKNVDWDATNSNFIQQLESNQELASVRSIFGWLDSYFHTCPDVLKPCIFYLSIFPPRHGIRRRRLVRRWIAEGYARDTDGNLAEVNGEDYFSRLVDHSMLAAAGEAGTGTGTGTGTETGRPMAKCYVNDFFREYIVSRRMEEDHVFALEGRCSQTTRRTGRHLVIHGSWDRDENVFNRIDFSRLRSLTVFGDRWEPFFTSDKMRVLRVLDLEGVTEGLTDDDIKNMVKRLPRLKFLSLRGCKGISRLPESLGRLRQLETLDVRNTAVSWLPDTIVKMKKLQYVRAGVQGHTSASNSATSWVPSCLSCRPVGDQAVGVEVPCGFDELTNLHTLGVIKATAARLIELRKLSQLRKLGVSGINRRNHEELRAVVSGHGHLESLSIWLDKADKQGFNDRVLDTVDEFKRPEKLRRLKLCGHLEELPVWIQDLTKLDNLDVSKTPAQDPSLM* >Brasy9G072600.1.p pacid=40061680 transcript=Brasy9G072600.1 locus=Brasy9G072600 ID=Brasy9G072600.1.v1.1 annot-version=v1.1 MAKKRRIGKILDGGIAPDRVEKKTASQAAPPNAPTPFARQEMLPGHKKKKKGSRNASSRSPPQERQPEERLTTAAWLRDFLHRYLRHLPGWLLRVFRLAVTDTTATGAPGFSETAPTTCGADQDLPAGPPEEKEAIAIRPALLYEAPLHNSARRQWNQRESTQLLDRPRPTMDQIYKEFTKTVDPFEFEGNHQQYQIMRDSIKSTTFDKDICFVCYIEKTHLRSKPVPISNRNMESHFERLHFKLGKVRCRHCEAMFPTRHLEWHTLHCHP* >Brasy9G159400.1.p pacid=40061681 transcript=Brasy9G159400.1 locus=Brasy9G159400 ID=Brasy9G159400.1.v1.1 annot-version=v1.1 MKIHHESDLSENDYGVRIDLITKVFGANAAEDFFEKLPSEAKSLEAYTALLHSYARSKMTDKAERLFERMKDVGLSIDILVYNEMMTLYISVGELEKVPAVAEELKRQNVSPDLFTYNLRISASAASMDLEVFKGILDEMSKDPNSNEGWTLYRNLAAIYVSASQLVSSGNSLVEAEAKISQREWITYDFLILLHTGLGNLDRVKDIWKSMQMTSQRMTSRNYICVLSSYLMCGQLKDAEEIVDQWQRSKAPEFDISACNRLFDALLGAGFTDTASSFRELMLQKSCILTSRAGQCS* >Brasy9G246200.1.p pacid=40061682 transcript=Brasy9G246200.1 locus=Brasy9G246200 ID=Brasy9G246200.1.v1.1 annot-version=v1.1 MAGGSLASGATPNRLKRKAPASSAAATSTSEGEEEAIEEEIEELEREVADLGRRILDHRRDAAARFVEDAASRFVALRPPPFLEVPSEPRSIAGTLHAGAEQQMLEKLKIFKSKVDANVAAMPKLLKNLNGCVARMEKLEQLNVNIHPVFQRKR* >Brasy9G358500.1.p pacid=40061683 transcript=Brasy9G358500.1 locus=Brasy9G358500 ID=Brasy9G358500.1.v1.1 annot-version=v1.1 MSSDFKVAGLAGVELPIPIPTPIQHPSSQLPHPSPPPLPPIRIPCRRRRRRRRYLPGGRTAHEGVLATPMYVMGYILVAAVPCPDCS* >Brasy9G074700.1.p pacid=40061684 transcript=Brasy9G074700.1 locus=Brasy9G074700 ID=Brasy9G074700.1.v1.1 annot-version=v1.1 MQQMISPTRYAYTDIVAVTSHFRDKLGQGGYGSVFKGVLLPGNFHVAVKMLDSKSNCDGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKIADFGLAKLYPRDNSFIPLSALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNVDPNAVNSSQSYYPSWVYGRLTKQEAGDISVSAADMHELERKLCIVGLWCIQMNSHDRPAMCEVIKMLESGADDLQMPSRPFFCDEGHIHVEDSYHFSSELITVSEEE* >Brasy9G210100.1.p pacid=40061685 transcript=Brasy9G210100.1 locus=Brasy9G210100 ID=Brasy9G210100.1.v1.1 annot-version=v1.1 MAKKGSDEAEFFTEYGEANRYEVGEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFFYQLLRGMKYIHAANVFHRDLKPRNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLSGRPLFPGKNVVHQLDLMTDLLGTPSAESLSRIRNEKARRYLGNMRKKHPVPFSQKFPGVDPMALDLLEHLLAFDPKDRPTAAEALADPYFTGLANSDREPTTQPISKLEFEFERRKLARDDVRELIYREILEYHPQMLHEYHHGGDQANFVYPSGVDRFKRQFVHLEEGGSKGEKTSPQLRQHASLPRERIVGIGDELGRPNADYCIKLHAGEEPGHTSVTDGLSKPLLNARNLLKSESISASQCVVIKEKREKDEESMSEYMHEASDAMPK* >Brasy9G104200.1.p pacid=40061686 transcript=Brasy9G104200.1 locus=Brasy9G104200 ID=Brasy9G104200.1.v1.1 annot-version=v1.1 LDAVVTDQDVFRLDIPVDDAALVHRPGARQQLPHGSAHVGLRECLAVPFHARVQCFAQRRPDVIHDETVLLGVRVAHDAMEADELAGIRTPGAVSCADGLEGDDLAGAAVLRLDDKAEGALAEPTELLVAAGGADTVGTPPPPFLATDFFRTVMAAASIDHGADFGDLPAAHGSRGDYTPRRPDSDLVRLLSRPKILTLPSHPANPFLPFFVGDSSESSAAESSHWSSSAAFTRALSSEASSVTAVSGSSLFLSSTSPTSAGVISAPSAMHFSAASSVSCSPPTPTRTCTSIRPGRSSAGSMPSTWLVVNTMIRSSPQHDHRPSVKLRSPESVTFPLWWSPAQPSSSSESSSDESSSSRRLLLLCCRRLLLERSPVRWREQSMSSMTMSERLVVWMSSARRWFAMAAMSDDFPDPGGPWSRYPRFQGLPVRRSARSRALSSGSSASVSNVDGWQKGTDDHDAGPRGHEAPFVCSRRALDARSRASAAAARCARYAGRSTAACRFGRRSEKDRRSSSESWSVSAWCVHTARCPPKASGTEWFGESDTDTDDDGGAPETPETERGSERVRRGGGGEDESRRERWR* >Brasy9G104200.2.p pacid=40061687 transcript=Brasy9G104200.2 locus=Brasy9G104200 ID=Brasy9G104200.2.v1.1 annot-version=v1.1 LDAVVTDQDVFRLDIPVDDAALVHRPGARQQLPHGSAHVGLRECLAVPFHARVQCFAQRRPDVIHDETVLLGVRVAHDAMEADELAGIRTPGAVSCADGLEGDDLAGAAVLRLDDKAEGALAEPTELLVAAGGADTVGTPPPPFLATDFFRTVMAAASIDHGADFGDLPAAHGSRGDYTPRRPDSDLVRLLSRPKILTLPSHPANPFLPFFVGDSSESSAAESSHWSSSAAFTRALSSEASSVTAVSGSSLFLSSTSPTSAGVISAPSAMHFSAASSVSCSPPTPTRTCTSIRPGRSSAGSMPSTWLVVNTMIRSSPQHDHRPSVKLRSPESVTFPLWWSPAQPSSSSESSSDESSSSRRLLLLCCRRLLLERSPVRWREQSMSSMTMSERLVVWMSSARRWFAMAAMSDDFPDPGGPWSRYPRFQGLPVRRSARSRALSSGSSASVSNVDGWQKGTDDHDAGPRGHEAPFVCSRRALDARSRASAAAARCARYAGRSTAACRFGRRSEKDRRSSSESWSVSAWCVHTARCPPKASGTEWFGESDTDTDDDGGAPETPETERGSERVRRGGGGEDESRRERWR* >Brasy9G099500.1.p pacid=40061688 transcript=Brasy9G099500.1 locus=Brasy9G099500 ID=Brasy9G099500.1.v1.1 annot-version=v1.1 MNRGCPRRRLALPFPDDVLEEIFARLPAKQALRLRGLSRAWAATLSSDDFVERHLRAANGRPKILCLRDDGDNGTAAQVHASSLDGPGGATFMGAPRSLTPGCFPHLASDVWPDEDDPPHLYRRAPDLATQQCRGLVILHALQAGAFYGRITTGHRPTQGSPPRDEASRYASLGLGYDSRTRKHKVVRVHYHGSDGAVPRRAGCEVYEIGSSRCEWRPAATGEKPTCWVDPGSRSAFAQGHLYWLAHRKSYPPGMRDYRREDLVILSFSITDETLGTLPPPPGMGGVQPWSMWNIDLTELSGRLCLFRPYLSVDHCDLWLLRSGGHGLSGEAARWDLHSRIDLAGGVPPELNKIMGARSVTSFNSLAPLAIIDNGRRILLTEHSYPNQICAYTPDTGHMESLIDTSNMTMVHQAAVYMESVASPGCQPSEDIVTITSPSTQALWVVLRLLPKHTPLYHESRKRILASSIRDALCAAQRRVPTPTAPPLLMIPCHGSAAGGALTMTMLLHLLHATTPGRILDSAATPRRAVRRAAACPGAPPLLRIPCCSWANGGARHSAVLPPLPAYARGPAARAPPLSAPPGAPPSAPSAAYISPTSPNYTNARGKFMCVYRSWHRMIERHSRIYPSI* >Brasy9G330000.1.p pacid=40061689 transcript=Brasy9G330000.1 locus=Brasy9G330000 ID=Brasy9G330000.1.v1.1 annot-version=v1.1 MTFQRKNPGDRRPSGGFAVGSKRKFSPEYPCVSRFRQRRLLIFLSHQNLHNTYEALLGETDVFMSEFHLIGLVRRGNWSDAIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGTKEAVAVSAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSMNLLSVRHQAFLVIIDLVRQTPELKDRRRRKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAQVPASLVAGLYLQKKKMLPSSTPSDHSQGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFHSACNEGAPVTPAPQTVFGTFRRPARNSYLTTLDLKKEAREWMLYLTEECLEAWPQVSYFSEPEECLEAWPQVSYFSEPDLKKEAREWMLYLSDECLEAWPQVPYFDDPGAFAPGLSEIMPDTSKKPAENLARP* >Brasy9G330000.3.p pacid=40061690 transcript=Brasy9G330000.3 locus=Brasy9G330000 ID=Brasy9G330000.3.v1.1 annot-version=v1.1 MTFQRKNPGDRRPSGGFAVGSKRKFSPEYPCVSRFRQRRLLIFLSHQNLHNTYEALLGETDVFMSEFHLIGLVRRGNWSDAIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGTKEAVAVSAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSMNLLSVRHQAFLVIIDLVRQTPELKDRRRRKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAQVPASLVAGLYLQKKKMLPSSTPSDHSQGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFHSACNEGAPVTPAPQTVFGTFRRPARNSYLTTLDLKKEAREWMLYLTEECLEAWPQVSYFSEPECLEAWPQVSYFSEPGSFTLSSTSSL* >Brasy9G330000.2.p pacid=40061691 transcript=Brasy9G330000.2 locus=Brasy9G330000 ID=Brasy9G330000.2.v1.1 annot-version=v1.1 MTFQRKNPGDRRPSGGFAVGSKRKFSPEYPCVSRFRQRRLLIFLSHQNLHNTYEALLGETDVFMSEFHLIGLVRRGNWSDAIKYLSRFLPSERLLGVHGRALFHFLRVHQAIDYIFCGTKEAVAVSAAVSVCRERLGTTSSHGLSKLCGILSSLLESKRLRDSMNLLSVRHQAFLVIIDLVRQTPELKDRRRRKCGGSMNPQNVLPLGFGHASFRPRRHVKKGGAQVPASLVAGLYLQKKKMLPSSTPSDHSQGLTRESLIKAKEWLVDLVDRSLEAGRPRQGEPFHSACNEGAPVTPAPQTVFGTFRRPARNSYLTTLDLKKEAREWMLYLSDECLEAWPQVPYFDDPGAFAPGLSEIMPDTSKKPAENLARP* >Brasy9G276400.1.p pacid=40061692 transcript=Brasy9G276400.1 locus=Brasy9G276400 ID=Brasy9G276400.1.v1.1 annot-version=v1.1 MEVYGDLEAGCVSHSASPLKPASSPRKPGRLFCDPCDDTDELLGHRHYLDICCSCRKLLAGNRDIFMYRGDMPFCSEECRQEQIEIDEAREKRSKQTGRAEEQRQRQQKQSPQRIPVWAW* >Brasy9G125600.1.p pacid=40061693 transcript=Brasy9G125600.1 locus=Brasy9G125600 ID=Brasy9G125600.1.v1.1 annot-version=v1.1 MALLLVFPVLLLLATADGYPSACSNATCGGLTIAYPFWLNSSSSAPASSCGYPGLGLACENNTTTLIFHAQSHRYRVSNIHHDTHTISLADADADAFTTTTSCPILDFNLTIDTSSALQLTQAVSNITFFYNCTKNASWPSAVELSGCPQYNKSSYVSPADDYDGEAYEFGCEAAVVAPVLEIHKKGMVDSPQATSYVDVLRAGFELNYSPNSDQCGRCERSRGWCGYRHNQTDGGIGFSCFCDGGPTTDHCGLGSRKFSNASNWKEKIGLVGTNLLYVPTCSVATSRISSVHAEHRVH* >Brasy9G295200.1.p pacid=40061694 transcript=Brasy9G295200.1 locus=Brasy9G295200 ID=Brasy9G295200.1.v1.1 annot-version=v1.1 MPPFPPPCPFSLLSLPRADFLASDLGHPVLSPVPFFPYFSPCLSPKLPRPTAPKSHPNPSTSSKDRISGRPPPPDRGGIPVCSGGSVSAVLFLIFFPEGGSWMGCLPCFGSGGKGEAKKGGAGARKDVPPDRRGTLAGSDKSKPQGGLDSKKDAVIPREGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLDNSQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPSLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQAYDPNAPTQHNRSNASTPRARDRGSGNSDQRRINSPNHHSPDVRRREATTTSKYEAEVSRNSSGGGSGRRSALDDGDATGSQVGSPAHAGRRREASRTSDRQRAIAEAKTWGENSRERKWPNARGSFDSTNE* >Brasy9G223700.1.p pacid=40061695 transcript=Brasy9G223700.1 locus=Brasy9G223700 ID=Brasy9G223700.1.v1.1 annot-version=v1.1 MAKDQNGSAPAPDDDGAGKEAARSGSKSLEAEEEESSKGSRDRGHRGKSKRRQEESESSGEDSGERRKRRRKEKERRRRHRRRSRSESSGSSSESESESSYSGSSAESESESESEEDRRRRRRRRRKEKEEEERRRRRKEKEKRKRKEKEKHKKEKDKKKRRKDEKKDLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLLEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYDLDAYHHRMMEKEKKDGLKNAGVVAVRTVFNDEEQRRLELLRERERRKEEEVTALKRSMQTGMAQAMKEQALLREEMMYQYKLGNFEAAAAIQKRLDPDAPPQ* >Brasy9G206100.1.p pacid=40061696 transcript=Brasy9G206100.1 locus=Brasy9G206100 ID=Brasy9G206100.1.v1.1 annot-version=v1.1 MAAASSTVRGLEETDDGWVLLPTVAAKPRGKPPRPPPPRPRTTTPASSTSTAAAHHHQAFDPDPDDIVGRYLPLRRALRCDVLPRQIHDADVYGAHHPAFLAAVYPAANNDRFEWFFFACRGRQHTGGRQRRAGPGAYRLASETKLPGLGGTAYCHAFRGCRETEWRMDEYGDHRGSAAGAAFDMVVCKVYPARGGAVHRSLQLASPSASRRDANNNKPQVLVQLYLESLSLGDPRRCRMHAVDDVFVRGAHPAVLTVAFPAANDRCEWFFAARRPKHKRAADGDEEEERPRRAGPGAYVPVRDCRVTKSGENGDVGYRRVFRYRQDDATLRRVSRTEWWMEEYGFGKDFPYGDLPGAGDEEAEELVVYKLYMKMAGDRA* >Brasy9G153700.1.p pacid=40061697 transcript=Brasy9G153700.1 locus=Brasy9G153700 ID=Brasy9G153700.1.v1.1 annot-version=v1.1 MGGCYSAYACSRKLRGRLGNSLSFVLPVSDPNNDNKSPAADQDDNNKERSPKPTNGAAQSPWEEDQPILRTTAAEFARRYVLGKELGRGEFGVTRRCKDAATGEALACKTIRRHRRRRNKSAAGGNGGGAAAAAAAAAAAHAADVRREVAIMRRMSSSPASSASVVRLRDAREDPADGSVHLLMDLCEGGELFDRIVARGHYSERAAAKLFRTVVHVVQLCHANGVMHRDLKPENFLFANKSEDSPLKVIDFGLSVFFRPGDRFTEVVGSAYYMAPEVLRRSYGPEADV* >Brasy9G347500.1.p pacid=40061698 transcript=Brasy9G347500.1 locus=Brasy9G347500 ID=Brasy9G347500.1.v1.1 annot-version=v1.1 MRRSTELALWERAEEAAEDVEAAEPVVGDTEQQPLVQLQRFATRTSPGSPPPRPWLPSSKQRFPSSPEPKQSEPEQGLKGEDTWRKLERGKRRREEMKASRHLAVGGRRLPIGPAWWFIRWGWHGTSEEEVSRTQEAPLHRPVCVYPRLPLSPLIVLLCRCRLWSWLVACSFALWFICSVTCLLRIMVKLKTWVSV* >Brasy9G347500.2.p pacid=40061699 transcript=Brasy9G347500.2 locus=Brasy9G347500 ID=Brasy9G347500.2.v1.1 annot-version=v1.1 MRRSTELALWERAEEAAEDVEAAEPVVGDTEQQPLVQLQRFATRTSPGSPPPRPWLPSSKQRFPSSPEPKQSEPEQGLKGEDTWRKLERGKRRREEMKASRHLAVGGRRLPIGPAWWFIRWGWHGTSEEEVSRTQEAPLHRPLLGDQAIAKLFLLPIHIHRMYVSTVSHFSGYL* >Brasy9G018600.1.p pacid=40061700 transcript=Brasy9G018600.1 locus=Brasy9G018600 ID=Brasy9G018600.1.v1.1 annot-version=v1.1 MHIATCVWQEKNQQEKQGRGAMGAAAGKGEWSRASVVALLAAAGGALNCAVSFLVFSLLDLLDPVLCLVYKVVDYAVEAEWKPCYCSSSSSSSSSSSSSSPAGAVAPAPGTTKVVRLTTSAAKTNGSHNKALQVEDVSDTLYVRASLLSDATRGYNNSSKSGPGPASAAAPSLTVSPAIVAELIRDKADRAPRTARQARPCWSDCDCKLCHSWSATASRSSHLYVHVQSPPSLDSPAAPDNSADLEAVVFIHGFISSSVFWTETVFPAFSAAARARYRMYAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRSFHVVAHSLGSVLALALAVKYPDAVKSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRIWDRLFRLFTRNRMRTFLIEAFMCHTHNAAWHTLHNIMCLSASKMDAYLDVVAGQLACKVALFHGRDDELLPVDCTLAVGARVPRARVTVYEGKDHITIVVGQEELFAAELEAIWKAAAQD* >Brasy9G018600.2.p pacid=40061701 transcript=Brasy9G018600.2 locus=Brasy9G018600 ID=Brasy9G018600.2.v1.1 annot-version=v1.1 MHIATCVWQEKNQQEKQGRGAMGAAAGKGEWSRASVVALLAAAGGALNCAVSFLVFSLLDLLDPVLCLVYKVVDYAVEAEWKPCYCSSSSSSSSSSSSSSPAGAVAPAPGTTKVVRLTTSAAKTNGSHNKALQVEDVSDTLYVRASLLSDATRGYNNSSKSGPGPASAAAPSLTVSPAIVAELIRDKADRAPRTARQARPCWSDCDCKLCHSWSATASRSSHLYVHVQSPPSLDSPAAPDNSADLEAVVFIHGFISSSVFWTETVFPAFSAAARARYRMYAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRSFHVVAHSLGSVLALALAVKYPDAVKSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRIWDRLFRLFTRNRYC* >Brasy9G322700.1.p pacid=40061702 transcript=Brasy9G322700.1 locus=Brasy9G322700 ID=Brasy9G322700.1.v1.1 annot-version=v1.1 MAGAAKATSWMVAMSVGAVEALKDQAGLCRWNYAFRSIHRAAKANVQSRGGLSQGKKLSPAAAMAEKTDEGLRTVMYLSCWGPN* >Brasy9G352300.1.p pacid=40061703 transcript=Brasy9G352300.1 locus=Brasy9G352300 ID=Brasy9G352300.1.v1.1 annot-version=v1.1 MVQGTLEVLLVCAKGLDDSDFFNKMDPYVILTCRSQEQKSTVAKGAGSEPEWNETFVFTVSVGDDDDAPELIVKIMDSDGLSADDFVGEATIPLEAVLLEGSLAPAVHRVVKDEEYCGEIKLALTFTPENQERARPHGYREEEEVYGGWKLSN* >Brasy9G141200.1.p pacid=40061704 transcript=Brasy9G141200.1 locus=Brasy9G141200 ID=Brasy9G141200.1.v1.1 annot-version=v1.1 MDLVAGRVVHGCSPSGICVKPSRIRLMLSSWAPVGGAFPGWFCPLSVCGNASLLVPFAKKKRKGYSEEPPDEEKNNGVADHMECEEEEEEEEEEEDVSDDGIVDDDDGDYDFEDDFESDDEQDLYVGDGGAGGGVSLLAHGGAKKHCLWLSRFRHCSTAI* >Brasy9G259500.1.p pacid=40061705 transcript=Brasy9G259500.1 locus=Brasy9G259500 ID=Brasy9G259500.1.v1.1 annot-version=v1.1 MKGGGGGGKETATGSFLRFLLLLLLPITALYFFYTLHLLLASAASSSASSSSSSSCTPDSLAISRRTSTNRTASVAAAAENDKAAAAASMAPTTLQHVVFGIAASSRFWDKRKEYIKVWWRPHGAMRGYVWLDREVRESNMSTARTGLPAIKISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPENLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARIQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVKPLFPTAASRPAAVRRLFNGPVRLDQSGIMQQSICYDGANRWTVSVAWGFAVLVSRGVTSPREMEMPARTFLNWYRRADYTAYAFNTRPLARTPCHKPAVYYMSSAARSGGETTVTRYERWRPANETRPACRWNITDPDAHLDHIVVLKKPDPGIWDRSPRRNCCRVLTSPKEGKKTMTIDVGVCREGEFSQVV* >Brasy9G259500.2.p pacid=40061706 transcript=Brasy9G259500.2 locus=Brasy9G259500 ID=Brasy9G259500.2.v1.1 annot-version=v1.1 MKGGGGGGKETATGSFLRFLLLLLLPITALYFFYTLHLLLASAASSSASSSSSSSCTPDSLAISRRTSTNRTASVAAAAENDKAAAAASMAPTTLQHVVFGIAASSRFWDKRKEYIKVWWRPHGAMRGYVWLDREVRESNMSTARTGLPAIKISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPENLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARIQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVKPLFPTAASRPAAVRRLFNGPVRLDQSGIMQQSICYDGANRWTVSVAWGFAVLVSRGVTSPREMEMPARTFLNWYRRADYTAYAFNTRPLARTPCHKPAVYYMSSAARSGGETTVTRYERWRPANETRPACRWNITDPDAHLDHIVVLKKPDPGIWDRSPRRNCCRVLTSPKEGKKTMTIDVGVCREGEFSQVV* >Brasy9G038000.1.p pacid=40061707 transcript=Brasy9G038000.1 locus=Brasy9G038000 ID=Brasy9G038000.1.v1.1 annot-version=v1.1 MKPARRPLLGALAAAALLSFLLILDPPGGLLVLFPSSLVRSSPYAHRPKLLFLLAGQSNMAGRGALPTSLPPPYATHPRILRLSAARRWVAASPPLHADIDTHKTCGLGPAMPFAHRVLSSVSDDSAPSSVSDPGAAVGDDPLVLGLVPCAVGGTRIWMWARGQPLYEAAVVRTRAAVADGGGTLGAVLWFQGESDTIEMDDARSYGGKMERLVADLRADLGLPNLLVIQVGLASGEGNYTDIVREAQKNINLPNVILVDAMGLPLRDDQLHLSTEAQLQLGDMLAQAYLEFNSSREPRL* >Brasy9G123700.1.p pacid=40061708 transcript=Brasy9G123700.1 locus=Brasy9G123700 ID=Brasy9G123700.1.v1.1 annot-version=v1.1 MLWSRYEDVRSGYSAKLQSIANKSTADGPIGSPPVAPPVARNAAASSDTRKRTSDGPIGSPPVAPPVARNAAASSDTRKRTYVIAGSSILVGVCLFLLMFFYWYKKYYDIFPCQGGSKNTPRIESFLQKQATSHPKRYSYPEVRRMTNSFAHKLGQGGFGAVYRGKLPDGREIAVKMLKDTKGDGEEFMNEVASISRCSHINVVTLLGFCLQGSRKALIYEYMINGSLERYTFGNNSIQDPNALSWERLFDIVLGIARGLEYLHRGCNTPIIHFDIKPQNILLDGSFCPKISDFGLAKLCHQKESRISIGGARGTVGYIAPEVFSRQYGAVSSKSDVYGYGMVVLEMVGARNQINVSTESSTKYFPQWIYDNFDEFCGTTHDIVSSETTELLRKMTIVGLWCIQLTPTYRPSMSKVLDMLESDGKDLQLPPKAS* >Brasy9G123700.2.p pacid=40061709 transcript=Brasy9G123700.2 locus=Brasy9G123700 ID=Brasy9G123700.2.v1.1 annot-version=v1.1 MFFYWYKKYYDIFPCQGGSKNTPRIESFLQKQATSHPKRYSYPEVRRMTNSFAHKLGQGGFGAVYRGKLPDGREIAVKMLKDTKGDGEEFMNEVASISRCSHINVVTLLGFCLQGSRKALIYEYMINGSLERYTFGNNSIQDPNALSWERLFDIVLGIARGLEYLHRGCNTPIIHFDIKPQNILLDGSFCPKISDFGLAKLCHQKESRISIGGARGTVGYIAPEVFSRQYGAVSSKSDVYGYGMVVLEMVGARNQINVSTESSTKYFPQWIYDNFDEFCGTTHDIVSSETTELLRKMTIVGLWCIQLTPTYRPSMSKVLDMLESDGKDLQLPPKAS* >Brasy9G104000.1.p pacid=40061710 transcript=Brasy9G104000.1 locus=Brasy9G104000 ID=Brasy9G104000.1.v1.1 annot-version=v1.1 MSEQASDLPAESTPADGAAEIVSFSQLPFVRPKPPSTAAAAEAPGGPSSPTSSSIRLFGFDFPPDVGGGAASSSVTTNGDAAANVAPGQAASGAASGGAGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMQHVHHGHYSAYPAFAGAGYGHHRFVAAPPPHMGGGRYEPPPHYPSWSAPQPMVPASRYYGGGGPGSVSEPINGSPVPASALWRLPGAVNVATVGAAPVAPPPRQERPALVARRDEMAAAWGSRRGVQQAAGSASSASSASSSSQHEARHGAGDAADKRANVSLDLTL* >Brasy9G360600.1.p pacid=40061711 transcript=Brasy9G360600.1 locus=Brasy9G360600 ID=Brasy9G360600.1.v1.1 annot-version=v1.1 MAVNDTTNSNNKMAVSDAENPATAMAAANKCDGGVMEADDSDLAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVELAQQAQLAREGKEGGEDQEAQALPSPAQAAAASALAFSVGALLPLLAAGFIVGYKLRVAVVVAVAALALAGFGYVGAVLGRAPVAKSCARVVAGGLAAMAVTFGLMRLFRATGI* >Brasy9G038700.1.p pacid=40061712 transcript=Brasy9G038700.1 locus=Brasy9G038700 ID=Brasy9G038700.1.v1.1 annot-version=v1.1 MELLSSPALIFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVIAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKAIEFFDDNGFPCPMRMNPSDHFLRMINTDFEESEEGSTLNLPRAAKVIQTLVSSSSSHGTLRTKTEATKTITQSASVKKKKQASFLTKSVTLTKRSFINMHRDLGYYWLRFAIYIALCLSIGTIYFNIGHTYASIQAWASMLMFTSTFLTMMAIGGFPSFVEDMKVFEKERLSGHYGATEFVIANTVSSIPYLGFISILPTAMAYYLTGLQRGIDHFVYFAATIWASMMLVEGLMMIVAAIVPDFLLGIITGSGVQGLLMLNAGFIRLPADLPKPVWKYPTYFISYHKYASQGLYKNEFIGLVFQDLVGGRRINITGQSILKNNLQVELGYSKWVDLAILVAMIIIYRVLFLVIIKVSEVSKPMIKCLTVKV* >Brasy9G038700.4.p pacid=40061713 transcript=Brasy9G038700.4 locus=Brasy9G038700 ID=Brasy9G038700.4.v1.1 annot-version=v1.1 MELLSSPALIFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVIAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKAIEFFDDNGFPCPMRMNPSDHFLRMINTDFEESEEGSTLNLPRAAKVIQTLVSSSSSHGTLRTKTEATKTITQSASVKKKKQASFLTKSVTLTKRSFINMHRDLGYYWLRFAIYIALCLSIGTIYFNIGHTYASIQVFEKERLSGHYGATEFVIANTVSSIPYLGFISILPTAMAYYLTGLQRGIDHFVYFAATIWASMMLVEGLMMIVAAIVPDFLLGIITGSGVQGLLMLNAGFIRLPADLPKPVWKYPTYFISYHKYASQGLYKNEFIGLVFQDLVGGRRINITGQSILKNNLQVELGYSKWVDLAILVAMIIIYRVLFLVIIKVSEVSKPMIKCLTVKV* >Brasy9G038700.2.p pacid=40061714 transcript=Brasy9G038700.2 locus=Brasy9G038700 ID=Brasy9G038700.2.v1.1 annot-version=v1.1 MELLSSPALIFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVIAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKAIEFFDDNGFPCPMRMNPSDHFLRMINTDFESASVKKKKQASFLTKSVTLTKRSFINMHRDLGYYWLRFAIYIALCLSIGTIYFNIGHTYASIQAWASMLMFTSTFLTMMAIGGFPSFVEDMKVFEKERLSGHYGATEFVIANTVSSIPYLGFISILPTAMAYYLTGLQRGIDHFVYFAATIWASMMLVEGLMMIVAAIVPDFLLGIITGSGVQGLLMLNAGFIRLPADLPKPVWKYPTYFISYHKYASQGLYKNEFIGLVFQDLVGGRRINITGQSILKNNLQVELGYSKWVDLAILVAMIIIYRVLFLVIIKVSEVSKPMIKCLTVKV* >Brasy9G038700.3.p pacid=40061715 transcript=Brasy9G038700.3 locus=Brasy9G038700 ID=Brasy9G038700.3.v1.1 annot-version=v1.1 MELLSSPALIFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVIAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKAIEFFDDNGFPCPMRMNPSDHFLRMINTDFESASVKKKKQASFLTKSVTLTKRSFINMHRDLGYYWLRFAIYIALCLSIGTIYFNIGHTYASIQVFEKERLSGHYGATEFVIANTVSSIPYLGFISILPTAMAYYLTGLQRGIDHFVYFAATIWASMMLVEGLMMIVAAIVPDFLLGIITGSGVQGLLMLNAGFIRLPADLPKPVWKYPTYFISYHKYASQGLYKNEFIGLVFQDLVGGRRINITGQSILKNNLQVELGYSKWVDLAILVAMIIIYRVLFLVIIKVSEVSKPMIKCLTVKV* >Brasy9G144100.1.p pacid=40061716 transcript=Brasy9G144100.1 locus=Brasy9G144100 ID=Brasy9G144100.1.v1.1 annot-version=v1.1 MEAATKGALAGGGSSCDRLSALPDDLLHRVLSFLPTLEVVQTSLLSKRWTGTWRSAPCLSINIRDFPITRGDSIGFQVNLQWAKMMQFTTNLLRFHDAPALDAFRFEIDWVDRDRIQAIDRLVRRAITYRPSVLEVVVLIRCFQDPYEFRNLGGSGSCRLKSLHLYGLSLDTSFAEQLRSGCPVLEDLELRDCDCKFREIQSSTLKNMAIRVRLMFSVGMLVIKAPALASLNLSIYGDNVSVDRSTNSVATASISVNDRWPHVPPGFITLTNRVELLRSLSNVMLDEDLDIFKNLRILSLRYCLGKGNGVIRELKVIGRFLPKAPNLEKLTLENCWFSDYLKDKKNKRKTSEIPLDSPDQKAFEASFTDLFQNLKLIEIYYIGHHGLEDDQVFQIMQRLWRYLQRATFNLIKM* >Brasy9G069400.1.p pacid=40061717 transcript=Brasy9G069400.1 locus=Brasy9G069400 ID=Brasy9G069400.1.v1.1 annot-version=v1.1 MMASSSSLGAILQRFPLLAPRPTARRAPTSRRAVANKISCIGWDPEGILAAPQPGHIARLEFRRRLERDSDAREAFDRQVKEEQERRRKEREARVIPDTEAGLVEFFLDTDAREIEIEIGRLRPRLNKGFFDYIQREIATIKFAVTRTAEMEDRLIELEAMQKVIGEGVEAYDKLQNDLVTAKERLTKILQSRDRKTTLLEMVERNELNMSILTLLDENIASAKTSNQEEAVAFMEGVRSSMLKYITV* >Brasy9G181000.1.p pacid=40061718 transcript=Brasy9G181000.1 locus=Brasy9G181000 ID=Brasy9G181000.1.v1.1 annot-version=v1.1 MKLFQSPDLAARGEHAALAVFAAGFVALCAWSSLSAWCAGRRRINRRHDQEQQEGGAGAWPGAAPARFLLWSLAMALVLTMASWASLTAPRPALSTMGLLVGGLCFAAIVPLRFRVSVTLVVTTGKSLAPRRRVPVPVRL* >Brasy9G116600.1.p pacid=40061719 transcript=Brasy9G116600.1 locus=Brasy9G116600 ID=Brasy9G116600.1.v1.1 annot-version=v1.1 MCVGCLAIQARTSASSDLTRSPSSASGLARPALPSAPPRSTRRPSPPAAASSAPSLPSPSSLPLRHRPLSMAPKPFWRKTKHDTGSRAPAGQGDATLASHGKGLLARRAPTARLDGVDLGGVPLPAVKKEEEDDGAFQAAIEASKEEVAPLRTAKEVASLPRTEEKEAEMLRKEEEESALAEMALWPKLGLVLHLSAQEAPPTAPATAEEEPAPRTTTTARCGRTSPGDQSPPPECWTASPPPVIVIDSNSE* >Brasy9G189500.1.p pacid=40061720 transcript=Brasy9G189500.1 locus=Brasy9G189500 ID=Brasy9G189500.1.v1.1 annot-version=v1.1 MALNYPSCSLLMNDECSAMMRGCGCWSEEASPLSSIGVNALFWDDSEPERDDESDPVDLLPTDPFGMNFETTLTAALASCFGDLTGAGRFGNGDGVAVCADLSDYFLNHALALSREPLIGGHGSDFEGPSGFGGLPDGGDAEHSPGLPPRASCSQSIGSVEDPCTSGIAALACCDAADPVPVQEGTAPHDGMLFALGYLGLRDILSVEMVCKSLHSAVRNDPLLWKCIHVEQDLSEKISDPDLLRLTQKSPGALQCLNINGCRNITDKGLNAVLESNPGLTKLSIACCARLTLDGLIANLKLFNMKAVYGIKSLRIGTRFNLPKEQYEGLLSLLSIDKMQELHNREPQFCHANHLLSDCSDGYALDIEMCPICQCYKLVYDCPEEECNVRRSGNCRACTICINRCQQCGRCLERGIQFEEKFDLLLRCYKCPGDAASSLTEEK* >Brasy9G189500.2.p pacid=40061721 transcript=Brasy9G189500.2 locus=Brasy9G189500 ID=Brasy9G189500.2.v1.1 annot-version=v1.1 MALNYPSCSLLMNDECSAMMRGCGCWSEEASPLSSIGVNALFWDDSEPERDDESDPVDLLPTDPFGMNFETTLTAALASCFGDLTGAGRFGNGDGVAVCADLSDYFLNHALALSREPLIGGHGSDFEGPSGFGGLPDGGDAEHSPGLPPRASCSQSIGSVEDPCTSGIAALACCDAADPVPVQEGTAPHDGMLFALGYLGLRDILSVEMSPGALQCLNINGCRNITDKGLNAVLESNPGLTKLSIACCARLTLDGLIANLKLFNMKAVYGIKSLRIGTRFNLPKEQYEGLLSLLSIDKMQELHNREPQFCHANHLLSDCSDGYALDIEMCPICQCYKLVYDCPEEECNVRRSGNCRACTICINRCQQCGRCLERGIQFEEKFDLLLRCYKCPGDAASSLTEEK* >Brasy9G039800.1.p pacid=40061722 transcript=Brasy9G039800.1 locus=Brasy9G039800 ID=Brasy9G039800.1.v1.1 annot-version=v1.1 MSPLQMNRREGKTAPSQEGLMKINSEGWTRRVRIGATPEARELNPQRTSSLENSIGKEWLRLELD* >Brasy9G201500.1.p pacid=40061723 transcript=Brasy9G201500.1 locus=Brasy9G201500 ID=Brasy9G201500.1.v1.1 annot-version=v1.1 MSIMSWNCRGIGNDATVRELRTLVRRFAPSVLCLQETQVAGSRARNLAFTLGFTNSFAVGSSGRSGGLAMYWNNNISMNLIHYSQYHIDMQAQSGDDTPWRLTCMYGEANVRERKKTWELLRFLRSESELPWVCIGDFNEVLHQGEHFGVGERSSEQMRGFRDAVDVCGLCDLGFNGNTWTFEKWVRGGSYTRVRLDRALGSTSWWAQFPFASVFHLTAARSDHCPILLKMAEPPQGSRKGGKGVFEGQWEEKEK* >Brasy9G019000.1.p pacid=40061724 transcript=Brasy9G019000.1 locus=Brasy9G019000 ID=Brasy9G019000.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy9G309100.1.p pacid=40061725 transcript=Brasy9G309100.1 locus=Brasy9G309100 ID=Brasy9G309100.1.v1.1 annot-version=v1.1 MDLPPSNAETEKWKPKAKQTLRVNSGAEVSGSAQGWRSGERKEDDGMAMIEWLNPRLQSIPDSMIWRPLNVVVLDVRCNQLKSLPNSIGCLFILQTPGLQRLRQPAARVP >Brasy9G073400.1.p pacid=40061726 transcript=Brasy9G073400.1 locus=Brasy9G073400 ID=Brasy9G073400.1.v1.1 annot-version=v1.1 MSSDYEPEVINIKANNIDAAARKIIPVLEDTSKGNVLYFHGWDGLGASAAHRLVAQRLKSSTGFNKFDKVVCVDCSVWKSRRALQKVVAKELELPRSVMAIFDGCDEDDDFNGVDEGSRKEVAEVARHIFRSLAYNTFLVIFENGSNGYVDLSEFGIPMYPHLSNKMLWTFNGRSRSYFPVADEKEAQKLFGNTSVILYCDFTVEGNELGSAMWGIVHEEAKDVAERIGMPEYINHKIVEECFMYMLPPQEMKGIDWETHAFSYWACDGILQGDASSWEISDAMQSYMRLDWNCHQEWTGNPSFSSHLHRDVPWQLPICCSSSSEISNVPPEATSLFAADTILLVGMFQHPGSSISLRVLHASFCKFSFASPPFLCCSNLRFLLLDSCEDKDDLTNVVHDEHGSRSELQSGCFRRLWVLDLRHTHWYQLLSEEMTSFMADLRELNVEGVNEKGKNWSITDLCGCGTSLVKLRVIVDPIPTEDEETSENNLKMVMPPSLESFSFINKAATTAKISSISFRGCSRLKNILLGGFLGSLEELDLSGTSVKTLDLREVEAPNLKRLILLGCEKLCAILWPPENKRTRVLKVLHINTIRSASPSQPNCEESTKKASAATRSLSIHNVATTQQSIGQTAPFDFNWYISVRDVRLLRSIVPVENYVRPVYVHMKMDSPPTSSIPGGGSKVAQGIGSLKQPDKYLYARDAIFLGHLQATAGRNEGAISWMWDCPTSPTPTGQDWYVHTQDEVEMKSRLLHQPGPGSTEETISSAALVPAFICDNARMMHVHDSLAITCIPCQQSSKWYYLKWCRVERCPKIRSVFATPQESGNTNIFWCLATLWASQLLKAWYIWNWTAISQPGGHSFEDLAFLHLDYCPRLIHVLPLSEHMFTLPRLETLEIVCCGNLREVFPLDPKRQEGQKIIKFPKLRHIHLYELPMLQHIRGSKMSAPKLETVKIRGCWSLRRLPAVKGNTTKRPKVDCEKDWWDNLEWDGLAANHHPSLYELTHSMYYKKAQLPRGPLLT* >Brasy9G073400.2.p pacid=40061727 transcript=Brasy9G073400.2 locus=Brasy9G073400 ID=Brasy9G073400.2.v1.1 annot-version=v1.1 MSSDYEPEVINIKANNIDAAARKIIPVLEDTSKGNVLYFHGWDGLGASAAHRLVAQRLKSSTGFNKFDKVVCVDCSVWKSRRALQKVVAKELELPRSVMAIFDGCDEDDDFNGVDEGSRKEVAEVARHIFRSLAYNTFLVIFENGSNGYVDLSEFGIPMYPHLSNKMLWTFNGRSRSYFPVADEKEAQKLFGNTSVILYCDFTVEGNELGSAMWGIVHEEAKDVAERIGMPEYINHKIVEECFMYMLPPQEMKGIDWETHAFSYWACDGILQGDASSWEISDAMQSYMRLDWNCHQEWTGNPSFSSHLHRDVPWQLPICCSSSSEISNVPPEATSLFAADTILLVGMFQHPGSSISLRVLHASFCKFSFASPPFLCCSNLRFLLLDSCEDKDDLTNVVHDEHGSRSELQSGCFRRLWVLDLRHTHWYQLLSEEMTSFMADLRELNVEGVNEKGKNWSITDLCGCGTSLVKLRVIVDPIPTEDEETSENNLKMVMPPSLESFSFINKAATTAKISSISFRGCSRLKNILLGGFLGSLEELDLSGTSVKTLDLREVEAPNLKRLILLGCEKLCAILWPPENKRTRVLKVLHINTIRSASPSQPNCEESTKKASAATRSLSIHNVATTQQSIGQTAPFDFNWYISVRDVRLLRSIVPVENYVRPVYVHMKMDSPPTSSIPGGGSKVAQGIGSLKQPDKYLYARDAIFLGHLQATAGRNEGAISWMWDCPTSPTPTGQDWYVHTQDEVEMKSRLLHQPGPGSTEETISSAALVPAFICDNARMMHVHDSLAITCIPCQQSSKWYYLKWCRVERCPKIRSVFATPQESGNTNIFWCLATLWASQLLKAWYIWNWTAISQPGGHSFEDLAFLHLDYCPRLIHVLPLSEHMFTLPRLETLEIVCCGNLREVFPLDPKRQEGQKIIKFPKLRHIHLYELPMLQHIRGSKMSAPKLETVKIRGCWSLRRLPAVKGNTTKRPKVDCEKDWWDNLEWDGLAANHHPSLYELTHSMYYKKAQLPRGPLLT* >Brasy9G267400.1.p pacid=40061728 transcript=Brasy9G267400.1 locus=Brasy9G267400 ID=Brasy9G267400.1.v1.1 annot-version=v1.1 MCTVDLKIGQRHSQLEDRRNLGSRPVGGDDEPATPGRPDRSTGRRVCAAALNRRRPRLPDLAGGAGGSRGGVWLAGGGRLAALRQSRAAAGGVTNWWLDRIEAMRLLGKHLRKGMASILLLSMWEIWKERNRRTFQHKLLLPPAVFILIQNEASLWNRAGAGLGELVSGDADVP* >Brasy9G017300.1.p pacid=40061729 transcript=Brasy9G017300.1 locus=Brasy9G017300 ID=Brasy9G017300.1.v1.1 annot-version=v1.1 MQNNFRASNYPSNLQHVNLNSHASATPEIHMPMNNVMSSINQYEAPHVRNFYKKQRSVSSFYSSADYSQHVGAPSSMPMDVGIGRHATTSYLAGYSQPSYATSHVTYFSAPYATSDIHCSALHLHNGYSRISGTSIGANVPSSSIVAYGTSPSQLQSFGNRSLPKETKSILGQSYAGLDELKDRLLSNFNEFEAIRWQLIEKPHDPATIQAYEAYKKRSEERNTFKIMVSPPQLQSFGNTSLPKEAKGIGGQSCPEKANILDEEHGECTETTVFDFSGCKGAYVLPYEFRAKEIDDHQKEENIAEQCSVNIDYQIEEARDPLKGEDKALENHPKAERAIVHAMLPSCSPNVFKEVYLTNNLLVFRFGHNFIVNASISKNFIGFERPIEISNLFARNLIVTEHIEE* >Brasy9G105800.1.p pacid=40061730 transcript=Brasy9G105800.1 locus=Brasy9G105800 ID=Brasy9G105800.1.v1.1 annot-version=v1.1 MWLGGRAGEYAAPAGETTRPLAGGGARWPRRRIGRRSRSNRPRPAEMCVSKPPSPLHDGSICRRPKPPSPESARIEVPRRRSGRRLRSGAHQRRFIRQTVKVLFCLTQEFWAQMREMGHWREMDPDGRQTQISCSSSEVNSWAPLEAAAGNRTKD* >Brasy9G105800.2.p pacid=40061731 transcript=Brasy9G105800.2 locus=Brasy9G105800 ID=Brasy9G105800.2.v1.1 annot-version=v1.1 MWLGGRAGEYAAPAGETTRPLAGGGARWPRRRIGRRSRSNRPRPAEMCVSKPPSPLHDGSICRRPKPPSPESARIEVPRRRSGRRLRSGAHQRRFIRQTVKEFWAQMREMGHWREMDPDGRQTQISCSSSEVNSWAPLEAAAGNRTKD* >Brasy9G058400.1.p pacid=40061732 transcript=Brasy9G058400.1 locus=Brasy9G058400 ID=Brasy9G058400.1.v1.1 annot-version=v1.1 MSAPACRKDHLLAIPKLEAAAAVWTWSPARRSSPSASPPSTASGSSSHGGGGGFVRISNPVYETPFETPDASPCGITEEGGFSSPPLSMMRKLPPLGVLACPAPAFGFVDGRHSSMAAWSGMTAMDANRASSSSSNFTTRFFSS* >Brasy9G328100.1.p pacid=40061733 transcript=Brasy9G328100.1 locus=Brasy9G328100 ID=Brasy9G328100.1.v1.1 annot-version=v1.1 MLMDRADTSGFVSGGSYYTNIDVYFHLMYTVYFLSGEGFELGF* >Brasy9G092900.1.p pacid=40061734 transcript=Brasy9G092900.1 locus=Brasy9G092900 ID=Brasy9G092900.1.v1.1 annot-version=v1.1 MDSDMGDSFAYYWETQRYLESEELVDSIFAGATEDAMSYYDSSSPDGSHSSSAPAGTAAMAPGAGTTGTGGANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQKLQAEERRMAAELSSEEYGGGGGLLVEEQVCSGAKKVKRALSVSSLNDALFTVPSPSPPVEVLEVRVSEVGEKVLVVSVTCSKQRDAMAKVCRLLEDLRLRVITANITSVSGCLMHTLFIEVDDMDRFQTKEMIEAALSQLDAIRSPTLSSMSY* >Brasy9G266600.1.p pacid=40061735 transcript=Brasy9G266600.1 locus=Brasy9G266600 ID=Brasy9G266600.1.v1.1 annot-version=v1.1 MEQHGKSYPTAEEEMRRFEVYRRNVERIEATNREGGLGYELGENKFTDLTSEEFLATYTGRYVLPSEMGEEEEEEEAGETVITTHVGDVVEGHASNLSALPESVDWRAKGAVTPVKDQQEHAVRSCWAFAVIAAVESLHQIKTGKLVTLSEQQLVDCGTPGCSVGTSVAGITWIKKNGGVTTEADYPYTGKKGACNANKLKNHAATVRDYRALRNNEQKLMEAVAQQPVTVAIEAGPSFQDYRTGVYSGPCGYTINHIVTAVGYGKDAATGKKYWIIKNSYGKSWGMGGYILMERGGVADPRGLCGVSFYPVWPTM* >Brasy9G272400.1.p pacid=40061736 transcript=Brasy9G272400.1 locus=Brasy9G272400 ID=Brasy9G272400.1.v1.1 annot-version=v1.1 MSTVATAVMSSVAATVAVVITIAVIRRCRHVRKKMHKKFISAISEEISKRVRNWQAAPGAAAGSMEDEVVIEIGPVEKFLHEIMNEKPMRFSSGQLGCYTRGYSSELGSGGFGVVYKGELPNGLQVAVKVLKMSMNRKVQEGFMAEIGTIGRTYHVHLVRLYGFCFEKNTKALVYEFLEGGSLEKYLYHDDDEEAEEGRKRLEWSTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFVPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDLAQAESREWFPKWVWDKYKQGDMDAVVSAAAGVVGEKDREKAETMCKVALWCVQFQPATRPTMSSVVRMLEGEMAIVPPVNPFHYVSFSGGGGENSSGSALTTGSTFLSSSSRDTGRDSEPVSVVASPPSKPTDAIMKGVKSTDAAVMV* >Brasy9G272400.3.p pacid=40061737 transcript=Brasy9G272400.3 locus=Brasy9G272400 ID=Brasy9G272400.3.v1.1 annot-version=v1.1 MSSVAATVAVVITIAVIRRCRHVRKKMHKKFISAISEEISKRVRNWQAAPGAAAGSMEDEVVIEIGPVEKFLHEIMNEKPMRFSSGQLGCYTRGYSSELGSGGFGVVYKGELPNGLQVAVKVLKMSMNRKVQEGFMAEIGTIGRTYHVHLVRLYGFCFEKNTKALVYEFLEGGSLEKYLYHDDDEEAEEGRKRLEWSTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFVPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDLAQAESREWFPKWVWDKYKQGDMDAVVSAAAGVVGEKDREKAETMCKVALWCVQFQPATRPTMSSVVRMLEGEMAIVPPVNPFHYVSFSGGGGENSSGSALTTGSTFLSSSSRDTGRDSEPVSVVASPPSKPTDAIMKGVKSTDAAVMV* >Brasy9G272400.2.p pacid=40061738 transcript=Brasy9G272400.2 locus=Brasy9G272400 ID=Brasy9G272400.2.v1.1 annot-version=v1.1 MSSVAATVAVVITIAVIRRCRHVRKKMHKKFISAISEEISKRVRNWQAAPGAAAGSMEDEVVIEIGPVEKFLHEIMNEKPMRFSSGQLGCYTRGYSSELGSGGFGVVYKGELPNGLQVAVKVLKMSMNRKVQEGFMAEIGTIGRTYHVHLVRLYGFCFEKNTKALVYEFLEGGSLEKYLYHDDDEEAEEGRKRLEWSTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFVPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDLAQAESREWFPKWVWDKYKQGDMDAVVSAAAGVVGEKDREKAETMCKVALWCVQFQPATRPTMSSVVRMLEGEMAIVPPVNPFHYVSFSGGGGENSSGSALTTGSTFLSSSSRDTGRDSEPVSVVASPPSKPTDAIMKGVKSTDAAVMV* >Brasy9G211800.1.p pacid=40061739 transcript=Brasy9G211800.1 locus=Brasy9G211800 ID=Brasy9G211800.1.v1.1 annot-version=v1.1 MEVFSHAESFACEEPHPQGPHAGALQRIFPICAHYRLYPLPTDQWAHRFLSYWNGSSIEEGQLLPNLRS* >Brasy9G343300.1.p pacid=40061740 transcript=Brasy9G343300.1 locus=Brasy9G343300 ID=Brasy9G343300.1.v1.1 annot-version=v1.1 MSDSSKTVPPEQLPSEDLHPPPMPVINLGHLLEPTTRSCVVEDIGKACRDLGYFQVINHGISQSVMDGAVEAASDFFKLPSEAKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPENPAIYREHMGKFAVEIMRVALQLMEAILEGLGLGKDYQHEKFEEGLQLLSVNCYPKESETDTAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRALLNPEEERISIASINGFALHEKVSSAKELVNEQNPKRYKESSFNDFLDHLTRNMDKKHMNFLESLRI* >Brasy9G022500.1.p pacid=40061741 transcript=Brasy9G022500.1 locus=Brasy9G022500 ID=Brasy9G022500.1.v1.1 annot-version=v1.1 MPDPNLDDLAAGRTVGEGSQSRPSNQRGRRAGGSYSGDEDLDDEARRSGGEDLDELRWAKRMRQQDPEGFKDLVTKTRPRASSPPSDDGDNRGRMNDHGGIAIADDLKFDDVKSVTLGRARLVKWFMEPFFEDLVSGCFVRLGIGKTKSGPKYRLCIVRNVDASDPDRQYKLGSHTTCKHLNAVWDTEANAARWQMTQVSDSPVLEQEFKEWLQDAEKNGVRIPTRQQVLDKKEAIQKACTFVDSAATVKQKMLREKNVAAADKDRLRDQLEMALVRRDQAEEAERIPARLHKIAQPMPNNDKAAAKLEEMNRADNFKAASEMKAVDTGLKAGEAGYDDPFSRNYHAAKPQGEAQGDNAGAANGNADSAMASTKDVKGDARD* >Brasy9G338000.1.p pacid=40061742 transcript=Brasy9G338000.1 locus=Brasy9G338000 ID=Brasy9G338000.1.v1.1 annot-version=v1.1 MRLKIFRCLCVQLAIPLLLLTQTSSTETSAHANDTGSCIASERSALVRFKAGLSDPENRLWTWRGDDCCRWKGVHCSRRTGHVLKLDVQGSYDGVLGGNISSSLVGLERLRYLDLGGNSFSGFQITEFLPCLHNLRYLSLSSSGFAGRVPPQLGNLSNLRYLSFGNNPDTYSTDITWLSRLSSLEYLDMSSVDLSTIPNWLPAVNTLASLKVLVLTSCQLNNSPDSLLHSNLTSLEYLDISFNPVPKRIAPNWFWDSTNLKHLDISWSQFSGPIPDGLGNMTSMVELYLSHNNLVGMIPSNLKNLCNLETLYIHDGGINGSITEFFQRLPACSWKRISALDLSNNSLTGSLPTKLQESLTNVTSLLFSGNKLTGPLPPWIGELAKLTALDLTNNNLDGVIHEGHLSGLASLEKLLLSGNSIAIRVNSTWLPPFNLTMIGLRSCLLGPKFPLWLRWQTPIYLDISNTSIADMVPDWFWIMVSSLDSVTMQQNKLSGFLPSTMEYMRANAMELSSNQFSGPMPKLPANLTYLDLSRNNLSGLPLEFGAPQLEVLLLFDNLIAGTIPPSLCDLPSLKLLDISGNRLTGSTPDCLVNGSTTKTKSLSISNLNLRNNNLSGGFPLFLQNCQQLIFLDLAHNQFFGTLPSWIREKLPSLAFLRLRSNKFDGHIPVELTKLANLQYLDLSNNNLSGGIPKSIVNFRRMILWKDDELDAVLNFEDIVFRSNIDYSENLSVVTKGQERLYTGEIIYMVNLDLSCNSIAGEIPEEIGALVALKSLNLSWNAFSANIPEKIGTLVQVESLDLSHNELSGRIPTSLSALTQLSHLNLSYNNLTGEIPSGNQLQALGDQESIYVGNPGLCGPAISKKCQGNESIPATPEHHGDARDTVSFFLAMGSGYVMGLWAVFCTFLFKRKWRVCWFAFYDSMCNWVYVQVAISWASWTEKWAETDFNSTRH* >Brasy9G348500.1.p pacid=40061743 transcript=Brasy9G348500.1 locus=Brasy9G348500 ID=Brasy9G348500.1.v1.1 annot-version=v1.1 MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSISSLPGHTSAVESVAFDSTEVFVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDSVVKIWDLTAGKLLHEFKSHDGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPEMTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSSNQSCVGIWVVDLTRLEPYTTGTSTKLSGHSELKTLSSGTMPLQNDSGSRANIGRSSVMQNSENNLKVSTGRLSVSQNSDSAPKETKSTTSGGLVPNTPQRAGISSSAKTVGHSTFASGGTTLKRSSLKSNNASNPHNFSKPDVVRVIIPRTSSGTELDTDSRSYTADVGPVISKASRRVEPATDPRKESADIAPVVPRTGSRMEMASDSAPDVVSRAGRRLESSADSRKESTDAAPVVPRVTSRMEMASDSVPVLSKAGRRFESATDSRKESADTAPRATSRMEMASDSRREPSAGRVSPFRVQSRYAESRKLSNAKVDIDKVDAGSKDTEANDLTCQIFLPRRNGGIQTVHSEESREDVKPGTVYRSGFSGSAESNTSHRNESYVPRMRKPRDNCYMEVSRTGRTRSIVSNWEERDESTSHEEPTTSNSALVAPRGRLYSSRGSSQASETNVVVTDEDVLSLLIEGHELFLSSTRSRLTKLQILHQMWQRNDIRGVFAAMEKMSDHAVSADMAGALMEKGEAITLDLCTTILPVLADLLESKTDRHVAVSLELVVKLVRTFGPVIHSTVSACPSSVGVDLQMEQRRERCNLCFIELEKVKNKLQFLTRRKGAVANTAQELSLVFQEIMQ* >Brasy9G105300.1.p pacid=40061744 transcript=Brasy9G105300.1 locus=Brasy9G105300 ID=Brasy9G105300.1.v1.1 annot-version=v1.1 MDRKTRASATMASVLLILPLLLCFAGTAEAEICTSIAPKPFCESSHLSQSCTEYCLNNGYEIGLCQFLGGPDPDLFSCICQKNC* >Brasy9G139800.1.p pacid=40061745 transcript=Brasy9G139800.1 locus=Brasy9G139800 ID=Brasy9G139800.1.v1.1 annot-version=v1.1 MARHHHHHFVSHLRASAPLADLLRSAPNLPAARAAHARALKSPFAAETFLLNTLVSAYARLGRLPDARRVFDEIPHPNTFSYNALLSAHARLGRPADARALFHAIPDPDQCSYNAVIAALAQHSRGADALLFLAAMHADDFVLNAYSFASALSACAAEKDSRTGEQVHALVSKSPHAKDVYIGSALLDMYAKCEWPEEARRVFEAMPERNIVSWNSLITCYEQNGPVGEALVLFVSMMKAGFVPDEVTLASVMSACAGLAADSEGRQVHARVVKSDRFREDMVLSNALVDMYAKCGRTRAARCVFDRMASRSVVSETSLITGYARSANVEDAQMVFSQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACGNVADLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGVKVFERMAARDNVSWNAMIVGHAQNGRAKDALHLFERMLCSKESPDSVTMIGVLSACGHSGLVEEGRRYFRSMTEDHGITPSQDHYTCMIDLLGRAGHLKEVEELIKEMSMEPDAVLWASLLGSCRLHKNVEMGEWAAGKLFELDPENSGPYVLLSNMYAELGKWADVFRVRSSMKHRGVSKQPGCSWIEIGRKVSVFLARDNGHPCKNEIHATLRIIQMQMSRVSVDSENADGMMNYSTEACG* >Brasy9G047900.1.p pacid=40061746 transcript=Brasy9G047900.1 locus=Brasy9G047900 ID=Brasy9G047900.1.v1.1 annot-version=v1.1 MGCAFSSATASSALRPHAGVRVIHTNGYVQDFAADGPAVTVARATASLASSSRYVLCSSAHLLQPGRAPFRPDEALQPGTVYFLLPHSVFQAESSAVDLACLMNRLTALARKSGGHGGSPCPVDALFAADRAPEDEGRAMTTTTKPGGKFCVAAGASPAPWKPRLDRIDESVRSASTCSARSQD* >Brasy9G282200.1.p pacid=40061747 transcript=Brasy9G282200.1 locus=Brasy9G282200 ID=Brasy9G282200.1.v1.1 annot-version=v1.1 MLQPMEDFRFYEEGCYLQDFKFHHDEEYFPDFSLDYLLEEAPFFSIQHRLCTSTTAATQSYFWQEELGVLFQGDILSLWAALDEEARCKSEKELEQKPGPDAVEKTRMSNGPPEQEKPLLTFELVSQHFCLPIKQAAAELKVGLTQLKRRCRELGIPRWPHRKMRSLQTLIENVQELGRGTGEEGGMTKIVVEMLQQTKKLMEERPGDVELDHTTKMLRQKCFKENYKRRRLMALEG* >Brasy9G065100.1.p pacid=40061748 transcript=Brasy9G065100.1 locus=Brasy9G065100 ID=Brasy9G065100.1.v1.1 annot-version=v1.1 MSLSRPRRKNREEDGDGESGEDQRGPPPAKRLRPCRRCCQENKDLYGSQIEILTNKMECVSKGFETLQKEMQGFHQLMRERFNTLISSMERTGEHVQQNSNIRTSSDHTEITTYRMKFENRCCNDKYSRHDITADDGNPIKVAIYDRDNRIVTYGPLSCMQVKIVVLDGEFNNGNKKRNRDYFRRKIVSGRTGKPSLFSENIYLRLENGVADLRGVKFQDNSSWLPSKKFKLGVMVDDDSIPEDIQEGISDSFAVKDGRGYAAKKDPSPSLSDPVYKLKEIAENGKRRKLLEQKGIETVQNFLWSYNKDKNNLRKDCGNISDHDWDIIVAHALSCNQEHIQHSYYIQEGNANVLFNSLYSITGADFSGIYTSYENFNKAQKDLVKKYWTTAYDNLEVVQYEDQSASTKHEVIHGDKNSCNLRGSCSTLPTSSLSTWFPDEPSQQDKVLDSDPPHASPCIRQRWVKIVTTLTTLHFWKNMGIFSVSFEHPVWSPSYAACQAALCFTLWMATFILGRQRLPFRERMIIFQK* >Brasy9G331500.1.p pacid=40061749 transcript=Brasy9G331500.1 locus=Brasy9G331500 ID=Brasy9G331500.1.v1.1 annot-version=v1.1 MALAAASSVGVASVVVLLLLVVANASSSSHAGSDGGRVLSEGSTAASWRRSVAESPLPRNNSLVLAAARTYRSDPLANLTMYTGGWNISDQHYWASVAYTAVPLFFFAILWFVGFGIAMLIISCCCCFCRSSSDGYSPTSYVTSLTMLILLTCATIVGCLVLHAGTEFFHNSTINTVDYVVGQGNLTVDNLRNFAGSLAVAKNIGVDKIFLPEDVQRKIDLVEEKLNSSANEFSARIVSNYDKFKDMMDKMQYYLRGVAAIMLGLALLGFVFSVLGLHFLVSLLVIAGWIVVTVTVMLAGGFILLHNVVGDTCVAMDEWVKHPQAHTALDDILPCVDMATAHESLHRSQEVTAQLVALVNNVIMNISNREFPPALKPLYFNQSGPLMPVLCDPFNPDMSARQCGPGEVTFKTAAAEWKKFECAVSGPPGSEVCTTAGRVTPPAYNQMTAAASISMGLYEFGPFLMDLQDCSFVRETFESISQRNCPGLEAHSRFVYIGLLVVSGAIMLAVVFWMVHTRQRRRRARSKPV* >Brasy9G024300.1.p pacid=40061750 transcript=Brasy9G024300.1 locus=Brasy9G024300 ID=Brasy9G024300.1.v1.1 annot-version=v1.1 MEIAISAVAGELVSRFISILMNKYHSSLRHTKSEEKVVELERLQHLLMRASTIIEEADARYITNSGMMKQLKTLAEAMYRGYRVLDNSRYGALQDGAGFDEVSSNNSSSSSLYLAKRSRTTTDKATRLESHGSLESLEIAVANMAEFVVLLVGCERMSRRPYDVYLYTDNFMFGRHAEKQKILSFLLQHNDPPDGDHALAILPIIGGAAVGKKTLVAYVCGDERVRSRFSTVLHLNGDNLTRILDNHGRVTFGMVLVVIEFAFDVGDDDWRKFLSFVIRMGRGSKIIIISRITRLARFGSVKTIFLSVLSYDELRYLFKTLAFGSTDPAQHPRLVQIADEFAKVLHSTQGSLVSTNTFADVLRKNLDVQFWLCILEKGIRMVKRNLSIYGVHPTTLIEKGHPVDITDFTSHPLSMTRYTINVSIKEELPSVTFGELLTDPSVRPKQDFILISWESRMAPHNSFAHLVTSRAQDTHEGSALPGRKRQGVGI* >Brasy9G010100.1.p pacid=40061751 transcript=Brasy9G010100.1 locus=Brasy9G010100 ID=Brasy9G010100.1.v1.1 annot-version=v1.1 MAPIPLMTPYKMGESLDLAHRVVLAPLTRQRSYGNVPQPHAAVYYSQRATAGGLLLTEATGVSATAQGYRDTPGVWTPEQVEAWKPVVDAVHAKGARIFCQLWHVGRVSSYEFQPGGAAPVSSTERQVGPQVRHDGSLEEFSPPRRLAAEEIPGVVDDFRNAARNAILAGFDGVEIHGGNGYLVEQFLKDSANDRTDGYGGTLENRCRFALEVVDAVAKEVGGHRVGIRLSPFMDYMDCHDSDPHALALHLATELNGHGILYVHMIEPRMALVDGRRVVPHRLRPYRDAFKGTFIANGGYDREEGDKAIAEGYADLVSFGRLFLANPDLPRRLELNAPLNKYNRMTFYVSDPVLGYTDYPFLP* >Brasy9G210400.1.p pacid=40061752 transcript=Brasy9G210400.1 locus=Brasy9G210400 ID=Brasy9G210400.1.v1.1 annot-version=v1.1 MAACTSSSSLLGRRFLLLSRRFVSSSLRPFSTNSSPSLAGSDAEPDPDHAPADGGNQPPNQHRAPNTTRPLENGLDPGIYKAIMVGKVGQEPMQKRLRSGKTVVLFSLGTGGIRNNRRPLDNEEPHQYADRSSVQWHRVCVYPERLGSLTLKNVKIGTVLYLEGNLETKVFSDPITGLVRRIREIAVRGNGRLLFLGDDGNAPKIGEVKGVGYF* >Brasy9G146700.1.p pacid=40061753 transcript=Brasy9G146700.1 locus=Brasy9G146700 ID=Brasy9G146700.1.v1.1 annot-version=v1.1 MVNMAAADPSRRRFLLLLLGLTLASATSTAPYDPPTVPELMDRFGLPRALLPDTARRYLLHDDGSFELFLDDGCEVEVGGYSVLYGIKLSGAVAPGSVTGLEGVRVRVLFVWVPVTGVEVGGGVVTLRVGPVRKSFPVVGFKASPRCATTVSAMAADVLV* >Brasy9G099300.1.p pacid=40061754 transcript=Brasy9G099300.1 locus=Brasy9G099300 ID=Brasy9G099300.1.v1.1 annot-version=v1.1 MLVKKKVEQDEADVVPRCSPVVVRRRQVEHEHCHEEEASWVDAAAQLVAHRSRRVRLLEGHADAADARVRGQQLLPQPERERTLAPHDARRKNAKQAVADGLDHSKVLNDEQRNSFGLFSGDFLWRHGLHLLGTDTCWFLLNITF* >Brasy9G153000.1.p pacid=40061755 transcript=Brasy9G153000.1 locus=Brasy9G153000 ID=Brasy9G153000.1.v1.1 annot-version=v1.1 MSTVAGAESASRRQSQDGSSDKIVVNLDGSSPVVGNRRGVSNSTGSRASPIDVDALDDEVQIVSPSQVPPRRGNRRSRMQPVTIIDLEVDADWEGNKRQRVAPTERGEGSSLQSNNVVETKEEPVKVAPKEPTFTCPVCWSELVEPSSTICGHIFCTDCIKQSLEFQKKCPTCRKALRKNNFHRIYLPNSHAEGPLEECQRKF* >Brasy9G051900.1.p pacid=40061756 transcript=Brasy9G051900.1 locus=Brasy9G051900 ID=Brasy9G051900.1.v1.1 annot-version=v1.1 MVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVAVPQDTPRCSERLAHHQKKVARSVEIVAQESLVCALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAG* >Brasy9G303100.1.p pacid=40061757 transcript=Brasy9G303100.1 locus=Brasy9G303100 ID=Brasy9G303100.1.v1.1 annot-version=v1.1 MAAVANSKGRAIAGNFVARILAGKAASPRRAVHASAYDKNVEEQVRPAFVPDDVIGGAGSPDKYWGPHPTTGVFGPAAVDPKLAAAAGAPAAAANGGGGSVLDQKVWFRPLEDVEKPPVA* >Brasy9G079100.1.p pacid=40061758 transcript=Brasy9G079100.1 locus=Brasy9G079100 ID=Brasy9G079100.1.v1.1 annot-version=v1.1 MKNHVLQMKPSSYPEGFPFKSSSATNTTQAQLATIPCPGKTIPILRNNKVELLPPLAFTPDLNGEMAIVHTMDALYGARVELSIYQPQVKGNEDVSSSMVNVMNGQPGGNLEGIGAGSMVSQIFRDKYARFHIYWVESRQKSCFDLQCAGFVQVGKVGLGQRITPVSTYGGKQIAITLLLFQDPRTKDWWLISDKASVGYWPSYLFTHLQRNANTASFVGYVYGPTISYDPPEMGSGHFAAEGNLKAAYARDIKIVDKGNMLALMDPDKTRAQSTKQACYTVDQYDHNSDGAHMYYGGPGGRRCWWYTPM* >Brasy9G249100.1.p pacid=40061759 transcript=Brasy9G249100.1 locus=Brasy9G249100 ID=Brasy9G249100.1.v1.1 annot-version=v1.1 MAGYYRRTISFPTPKTSASANGKLAAAYRVRSASLPCRFHPLVLQLDDDVAALRLVIGHHLPSSSTAAPSSSSASSVSAAASQVVRVLASLSELLHHPLAQEPLRRLGASSPFAERLLDDYLRLADAHGSFRESLVALAALQAETRAALRRGDRVRIASAARAQRRAGRDLPRLAAAARAVAARNPVALPEDLQPETAALAVAVADSTVAVASASAAVFSGVSALSNAAAAARVDVASTPCWLTAPSRFVTAASDAPRARQSIWWVADLVRWMSRAKRRSAGKRSSSADNGDESSTVGLRSEGRMKPEEKARKAAFELHENLERCIASVDCSGEKVFRALVNTRVSLLNILSPTF* >Brasy9G002300.1.p pacid=40061760 transcript=Brasy9G002300.1 locus=Brasy9G002300 ID=Brasy9G002300.1.v1.1 annot-version=v1.1 MAADEADGDRFIEIVSTGALYRGGQAQWERKYWSCSRGKHRYPYPVGYHAVRRYSGISYTMEIQQGPRGPVFQVTSTLGDSTTGPTPDIAWKNFHKKMGAKVRNWQRTRSFPQKIDGVELFGFKNASVQRLLRQLIVHSTGFGIKMPCPNNSGAASPLTHTVAVDVSDDYEEQLVSLDKTVGTSKRSINPIHEESVAKRIHSQDILSSVDNCNDELDKSRQSSDKGGPGSNTQLRDVSGSRCMPPLLEEIPRDSKCTRVDDTLGEYVPSSSQEDGLFSGSYSSSEKADLESAENEVAKSMMTSLLPQTIPLPKKTQVDDTLREYVPSSSQEDGLFSSSYSSSEKADLESAENEVAKSMMTSLLPQTIPLLKKTRVDDTLGEYVPSSSQEDGLFSSSYLSSEKADLESAEKEVAKSMMTSLLPQTIPLLKRTRVDDTLGEYVLSSSQEDGLFSSSYLSSEKADLESAENEVAKSMMTSLLPQTIPLLTKTCIKKKVKQKGKARYRDLIRTASAQNPSADGCQGVSLPASIREGNMNSSQTHIHGRLLHETIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAHIWCDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQIWGDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQVWGDNTSKAMGAHHHESDDACSREPNENSKLLLSERETNAEFSKCQVQAHDSADDTPDMIYDHDKGQYILSDAYLAFLEEEFGLNDSSHPAHFNHVDNDAEPKQPKDPKEGMANGSLLSVDGSDHMNMCNKHNVFHNQVDHTLCNINHVNGLPSKHPETSIRGSAHHLELTGSYLHPMPVLSIMLNTRSNNSLHIYVLCGFLVSCQRFLYVYNITPKDYPDEPPYFVGYTSLLLPSLEQASTGNFTFGRSGMQFTPDGQFLILLSSIRLPCCRMQNIDCSCSLCKFDQHDDNSLKIVSVNCGYSSLLTKLMPYGTVSSFLICEPNYVVAAEDSRKLHIWKMVAGWSGVSEEYVISSLGNVGPSILELKRMPKSSSLIIGHDCAGGFCLWDISKRALLSTFAAPGNIVFQILPLGICSVQEDIFHASVDDIERRLQEITVTGMSRKDDKESTLMLSGKDIAVWIVVSAASVAEYQHDLRAKEHNARWRLALLANKKVIMGNIFDPRATSVDVSGNYGFAGTYGGRLYMWELSSGRKLAGTECFNGQRVSCVAVDAKSRVVAVADDDCNVLLYTENMV* >Brasy9G002300.2.p pacid=40061761 transcript=Brasy9G002300.2 locus=Brasy9G002300 ID=Brasy9G002300.2.v1.1 annot-version=v1.1 MAADEADGDRFIEIVSTGALYRGGQAQWERKYWSCSRGKHRYPYPVGYHAVRRYSGISYTMEIQQGPRGPVFQVTSTLGDSTTGPTPDIAWKNFHKKMGAKVRNWQRTRSFPQKIDGVELFGFKNASVQRLLRQLIVHSTGFGIKMPCPNNSGAASPLTHTVAVDVSDDYEEQLVSLDKTVGTSKRSINPIHEESVAKRIHSQDILSSVDNCNDELDKSRQSSDKGGPGSNTQLRDVSGSRCMPPLLEEIPRDSKCTRVDDTLGEYVPSSSQEDGLFSGSYSSSEKADLESAENEVAKSMMTSLLPQTIPLPKKTQVDDTLREYVPSSSQEDGLFSSSYSSSEKADLESAENEVAKSMMTSLLPQTIPLLKKTRVDDTLGEYVPSSSQEDGLFSSSYLSSEKADLESAEKEVAKSMMTSLLPQTIPLLKRTRVDDTLGEYVLSSSQEDGLFSSSYLSSEKADLESAENEVAKSMMTSLLPQTIPLLTKTCIKKKVKQKGKARYRDLIRTASAQNPSADGCQGVSLPASIREGNMNSSQTHIHGRLLHETIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAHIWCDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQIWGDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQVWGDNTSKAMGAHHHESDDACSREPNENSKLLLSERETNAEFSKCQVQAHDSADDTPDMIYDHDKGQYILSDAYLAFLEEEFGLNDSSHPAHFNHVDNDAEPKQPKDPKEGMANGSLLSVDGSDHMNMCNKHNVFHNQVDHTLCNINHVNGLPSKHPETSIRGSAHHLELTGSYLHPMPVLSIMLNTRSNNSLHIYVLCGFLVSCQRFLYVYNITPKDYPDEPPYFVGYTSLLLPSLEQASTGNFTPDGQFLILLSSIRLPCCRMQNIDCSCSLCKFDQHDDNSLKIVSVNCGYSSLLTKLMPYGTVSSFLICEPNYVVAAEDSRKLHIWKMVAGWSGVSEEYVISSLGNVGPSILELKRMPKSSSLIIGHDCAGGFCLWDISKRALLSTFAAPGNIVFQILPLGICSVQEDIFHASVDDIERRLQEITVTGMSRKDDKESTLMLSGKDIAVWIVVSAASVAEYQHDLRAKEHNARWRLALLANKKVIMGNIFDPRATSVDVSGNYGFAGTYGGRLYMWELSSGRKLAGTECFNGQRVSCVAVDAKSRVVAVADDDCNVLLYTENMV* >Brasy9G002300.3.p pacid=40061762 transcript=Brasy9G002300.3 locus=Brasy9G002300 ID=Brasy9G002300.3.v1.1 annot-version=v1.1 MAADEADGDRFIEIVSTGALYRGGQAQWERKYWSCSRGKHRYPYPVGYHAVRRYSGISYTMEIQQGPRGPVFQVTSTLGDSTTGPTPDIAWKNFHKKMGAKVRNWQRTRSFPQKIDGVELFGFKNASVQRLLRQLIVHSTGFGIKMPCPNNSGAASPLTHTVAVDVSDDYEEQLVSLDKTVGTSKRSINPIHEESVAKRIHSQDILSSVDNCNDELDKSRQSSDKGGPGSNTQLRDVSGSRCMPPLLEEIPRDSKCTRVDDTLGEYVPSSSQEDGLFSGSYSSSEKADLESAENEVAKSMMTSLLPQTIPLPKKTQVDDTLREYVPSSSQEDGLFSSSYSSSEKADLESAENEVAKSMMTSLLPQTIPLLKKTRVDDTLGEYVPSSSQEDGLFSSSYLSSEKADLESAEKEVAKSMMTSLLPQTIPLLKRTRVDDTLGEYVLSSSQEDGLFSSSYLSSEKADLESAENEVAKSMMTSLLPQTIPLLTKTCIKKKVKQKGKARYRDLIRTASAQNPSADGCQGVSLPASIREGNMNSSQTHIHGRLLHETIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAHIWCDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQIWGDNTSKAMGAQHHESDDACSREPNENSIKDSGTNNDCMNDEQVIKSDMEAFVADSFEDDAQVWGDNTSKAMGAHHHESDDACSREPNENSKLLLSERETNAEFSKCQVQAHDSADDTPDMIYDHDKGQYILSDAYLAFLEEEFGLNDSSHPAHFNHVDNDAEPKQPKDPKEGMANGSLLSVDGSDHMNMCNKHNVFHNQVDHTLCNINHVNGLPSKHPETSIRGSAHHLELTGSYLHPMPVLSIMLNTRSNNSLHIYVLCGFLVSCQRFLYVYNITPKDYPDEPPYFVGYTSLLLPSLEQASTGNFTFGRSGMQFTPDGQFLILLSSIRLPCCRMQNIDCSCSLCKFDQHDDNSLKIVSVNCGYSSLLTKLMPYGTVSSFLICEPNYVVAAEDSRKLHIWKMVAGWSGVSEEYVISSLGNVGPSILELKRMPKSSSLIIGHDCAGGFCLWDISKRALLSTFAAPGNIVFQILPLGICSVQEDIFHASVDDIERRLQEITVTGMSRKDDKESTLMLSGKDIAVWIVVSAASVAEYQHDLRAKEHNARWRLALLANKKVIMGNIFDPRLCNFEMDYLGLGSVMVKQGE* >Brasy9G272500.1.p pacid=40061763 transcript=Brasy9G272500.1 locus=Brasy9G272500 ID=Brasy9G272500.1.v1.1 annot-version=v1.1 MNSLALAGIIVGGIAFIMAIKQITRCIELKREWHAERARLRATQLQAPASSEAQPASANNIELESVNRFLDGILREKPARFTPENLREFTGGYAERVGSGGFGVVYRGRFPNGVAVAVKVLNGTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDASVKALVYEFLPNGSLDRVLFAPKPMPMPAGLGFETLQGIVVGTARGIRYLHEECAQRIVHYDIKPGNVLLAADYSPKVADFGLARLCNRDKTHLTMTGGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNYAEEHAALSGQEWYPKWVWQRFEEGRFEEVMAASGIRGEDGEKAERMCKVALWCVQYRPEARPAMSSVVRMLEGEEEIARPVNPFSYMASLHEISSSSGGDVSTSTLSGDSANRSSALSQ* >Brasy9G081600.1.p pacid=40061764 transcript=Brasy9G081600.1 locus=Brasy9G081600 ID=Brasy9G081600.1.v1.1 annot-version=v1.1 MPPPGCHRCTNRFARVLNPLLPRSGSRALLPIHALRCQAACAPSVSSPPFPTAALCRIPRPRPSLPDPPPPPPLEEPPLCRSSSPASVKEPVTRQPLAFPTRTRRRAAGFLLPSPPVVPDRYDARRRAALPHTDCRRSSPSPAPHLGPSDPQRSSSPLTRPLKIKQQSCGEVLDALPSGPPFSLATFAREVWSEIKVELNLHLFSKDFSSCKSWVLPMRHPH* >Brasy9G081600.2.p pacid=40061765 transcript=Brasy9G081600.2 locus=Brasy9G081600 ID=Brasy9G081600.2.v1.1 annot-version=v1.1 MPPPGCHRCTNRFARVLNPLLPRSGSRALLPIHALRCQAACAPSVSSPPFPTAALCRIPRPRPSLPDPPPPPPLEEPPLCRSSSPASVKEPVTRQPLAFPTRTRRRAAGFLLPSPPVVPDRYDARRRAALPHTDCRRSSPSPAPHLGPSDPQRSSSPLTRPLKIKQQSCGEVLDALPSVRKGGLVGDQS* >Brasy9G162100.1.p pacid=40061766 transcript=Brasy9G162100.1 locus=Brasy9G162100 ID=Brasy9G162100.1.v1.1 annot-version=v1.1 MATTLWRKPSLEFDVKVVRVAGVEARLEGSLFVRYYVPAGDGRRRIRVDTREVPCGEDDVDVFWGELVRFELMAGGAAPGKVAFELRWRPRPSSSGLAAFLGGNGRPSSRVLARAELAVAAGAAKSTESWLRLSPASRELRGGGKAPKLLVEVKVAHAVPAADRVANMKARTKLGCVSECCSGGERCGSCGWVGTEEDMFLAATFAQ* >Brasy9G088000.1.p pacid=40061767 transcript=Brasy9G088000.1 locus=Brasy9G088000 ID=Brasy9G088000.1.v1.1 annot-version=v1.1 MLWIFLCSLSSPMQPATPLATGQADLQPSRPSRHSSSQVSSMLGSVLRCPLVTGPPVSSACPPTAATIPAALARQADPASP* >Brasy9G125500.1.p pacid=40061768 transcript=Brasy9G125500.1 locus=Brasy9G125500 ID=Brasy9G125500.1.v1.1 annot-version=v1.1 MAHLLLLLVLYIGVHVSASHGSPLLITNYDESMCLESFNCGGVDIRYPFYLSNTTQVTPNYTTYSCGYTDLKIFCQGDGETKTPILPLGQAKYTIKNIFHHNHTIILADTEAITGGRCPAVRQNVTFDQEWLNYTDSFDSLKFFFGCAPLPDAEDHQINCKGFNAPPGSGNGVSFVFSSEEDNLSRENQLAEHCNQIVSVPVHEDIPMRSRPFSLPLDYGAVIKGGFELAWKQGTHHPCHVCEQSYGRCAYGESKEYLGCLCSGGKIGVPCGNSRTGSTASASIDKDGS* >Brasy9G240900.1.p pacid=40061769 transcript=Brasy9G240900.1 locus=Brasy9G240900 ID=Brasy9G240900.1.v1.1 annot-version=v1.1 MERITTNPFYSPGIPVTVPAPLPSIPASLDESFPRLPDVQNVLMERELRRTPLPPHQSTVAPISGQFHPSAGSVGPLCSPQAVRFSSVSNPEQYTSANPYNPQTQSTGSSSALIYGSQHGGFEPTFTDFPRDVEPAWCPDPVESMLGYSDDVSGGNSLTGMSPIAATDELAKQTEWWTDFMNDDWKDIVDNTSGAKSQPQGGPPVQSSTSVHQSATQQIVTTQSVEPCAVAAPSASASSNTSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSERLDASKEDFPSIDLKGNFDLTEALRLQLELQKRLHEQLEVQRSLQLRIEEQGKCLQIMIEQQCVPGTDKVRDASTSAEGSKLSSDPPESSTLKDIPNNSQNGTTKQAESGDKE* >Brasy9G313600.1.p pacid=40061770 transcript=Brasy9G313600.1 locus=Brasy9G313600 ID=Brasy9G313600.1.v1.1 annot-version=v1.1 MGILVGGGRGGVWAVLLLLALTGGAEAFARHGAGGFNGRGGGAGERRYRDLAAGRMQSVRSSFGAARRGLATSPANGRVFHVTDYGADPTGGTDATAAIKKAIADAFTPPSNATMTGGIPDLGGAEIHLDGGTYLIDGPLTLPASGGGNFKIHSGSLRASSEFPTDRYLIELSAGASTTSSSNSAYHYEFATLRDLMLDCGYRGGGVSVVDSLRTSIDNLFVAHFGTDGIAVRGGHETYVRDTYLGQHMTGGTDPGERSFTGTGIRLDGNDNSVTDVVIFSAATGILVTGGANAISGVHCYNKATGFGGVGIHVKVPGLTQTWISNSYMDYTSILAEDPVLFHVSGSFFLGDANVVLKAVNGIARGVQVTGNLFHGRDTGLDIVQLDGAFKTVEQVYVQQNAASGMNVKSTSARGSVEGNGSVWTVDFRSSLLFPDRIGHVQYSLLAAEAFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNSD* >Brasy9G313600.2.p pacid=40061771 transcript=Brasy9G313600.2 locus=Brasy9G313600 ID=Brasy9G313600.2.v1.1 annot-version=v1.1 MPNPGLIGTAGEQECQAQDRFAALEPGGWLLLTPPSVNTLLKTETENSLQTSKLQQTTASLVTIATAASQSPANGRVFHVTDYGADPTGGTDATAAIKKAIADAFTPPSNATMTGGIPDLGGAEIHLDGGTYLIDGPLTLPASGGGNFKIHSGSLRASSEFPTDRYLIELSAGASTTSSSNSAYHYEFATLRDLMLDCGYRGGGVSVVDSLRTSIDNLFVAHFGTDGIAVRGGHETYVRDTYLGQHMTGGTDPGERSFTGTGIRLDGNDNSVTDVVIFSAATGILVTGGANAISGVHCYNKATGFGGVGIHVKVPGLTQTWISNSYMDYTSILAEDPVLFHVSGSFFLGDANVVLKAVNGIARGVQVTGNLFHGRDTGLDIVQLDGAFKTVEQVYVQQNAASGMNVKSTSARGSVEGNGSVWTVDFRSSLLFPDRIGHVQYSLLAAEAFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNSD* >Brasy9G177600.1.p pacid=40061772 transcript=Brasy9G177600.1 locus=Brasy9G177600 ID=Brasy9G177600.1.v1.1 annot-version=v1.1 MHACFHGGGSGRTKTISIIRDLTKNMKAMSLKVKAGGGVGHARARRRRRRRGSGEEAEEDLEEQDKDIAATAAASASAKIVPDDPPAPSSSGSSHLPQEEERGGGKRQVRCDRCSAALDDIAEEEEEAAAAAVVADHATREEPDGGNEWVAEPEPGVLMTLVSRPDGTNHLRKLRFREELFDGPRAAQRWWADNYDSIVELYSIVQSAAGSREDDDPEVEALEDDEPATPCQSEDDRHQPRRWQRLGCDSASTSGPSSGSGSGSGSGSGGGSASTLGSPILGLVVGTPKNSSKREKGPGLAKPHKQKCRSLHIN* >Brasy9G254100.1.p pacid=40061773 transcript=Brasy9G254100.1 locus=Brasy9G254100 ID=Brasy9G254100.1.v1.1 annot-version=v1.1 MTSKPAPTANGAGAGGGARRWKRLWVAAAAGLLLALLASAVSSRSLPVIPSYSRSGGCGCPGARKYTGMVEDCCCDYETVDAINEEVLYPILQELVSLPFFRYFKAKLLCDCLFWPDDGVCALKDCSVCECPDNEFPEPFKKPYSGLSPENMICQGGKPEATVDRTLDSKVFKGWVETDNPWTSDIETDNDILFCIILYIMLPLILLYYHN* >Brasy9G080100.1.p pacid=40061774 transcript=Brasy9G080100.1 locus=Brasy9G080100 ID=Brasy9G080100.1.v1.1 annot-version=v1.1 MQQPGDLPAPPDRSSALVDAPAGVLIVPSGPAAPAAGAAPKPAVPLLHSDDGRGWLRRPSPAGCDRKWEEAAAPRPLRAGVEPAGEEDTAGPSCGLPASSSSSGGPFPRCARSFSPSGGPYPAVPEVFDRMPQRYEFFEIDEILR* >Brasy9G006200.1.p pacid=40061775 transcript=Brasy9G006200.1 locus=Brasy9G006200 ID=Brasy9G006200.1.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.2.p pacid=40061776 transcript=Brasy9G006200.2 locus=Brasy9G006200 ID=Brasy9G006200.2.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.6.p pacid=40061777 transcript=Brasy9G006200.6 locus=Brasy9G006200 ID=Brasy9G006200.6.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.15.p pacid=40061778 transcript=Brasy9G006200.15 locus=Brasy9G006200 ID=Brasy9G006200.15.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.10.p pacid=40061779 transcript=Brasy9G006200.10 locus=Brasy9G006200 ID=Brasy9G006200.10.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.12.p pacid=40061780 transcript=Brasy9G006200.12 locus=Brasy9G006200 ID=Brasy9G006200.12.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.7.p pacid=40061781 transcript=Brasy9G006200.7 locus=Brasy9G006200 ID=Brasy9G006200.7.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.3.p pacid=40061782 transcript=Brasy9G006200.3 locus=Brasy9G006200 ID=Brasy9G006200.3.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.16.p pacid=40061783 transcript=Brasy9G006200.16 locus=Brasy9G006200 ID=Brasy9G006200.16.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.13.p pacid=40061784 transcript=Brasy9G006200.13 locus=Brasy9G006200 ID=Brasy9G006200.13.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.14.p pacid=40061785 transcript=Brasy9G006200.14 locus=Brasy9G006200 ID=Brasy9G006200.14.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.11.p pacid=40061786 transcript=Brasy9G006200.11 locus=Brasy9G006200 ID=Brasy9G006200.11.v1.1 annot-version=v1.1 MKLKINSACDLGSIALLPPRRAGGSSSEGRTSAPAAYASAAAGHRSQALPQQQCFSLGTGGRGGPQLSDVSPGQTLLSLHLPAPAHDQRFGLPEDSSKRPSFPPSSDWREESQQQLAKVSNNTIPWRNPSLTESRCQVANEDAEHRFQQLANSVRRMEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.4.p pacid=40061787 transcript=Brasy9G006200.4 locus=Brasy9G006200 ID=Brasy9G006200.4.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.17.p pacid=40061788 transcript=Brasy9G006200.17 locus=Brasy9G006200 ID=Brasy9G006200.17.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.8.p pacid=40061789 transcript=Brasy9G006200.8 locus=Brasy9G006200 ID=Brasy9G006200.8.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPADLMKEASREEGMQLLWSARKRSRRKRETRCIDATALPDVMIGKKRMGKAAPEAREEEAVHVEAIPAKRTRRPNPKYNAEQWTA* >Brasy9G006200.18.p pacid=40061790 transcript=Brasy9G006200.18 locus=Brasy9G006200 ID=Brasy9G006200.18.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.9.p pacid=40061791 transcript=Brasy9G006200.9 locus=Brasy9G006200 ID=Brasy9G006200.9.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G006200.5.p pacid=40061792 transcript=Brasy9G006200.5 locus=Brasy9G006200 ID=Brasy9G006200.5.v1.1 annot-version=v1.1 MEIVLDSVQNDVIQLNRSMKEASLDSDSIQQKVVLLDNSLQKILMVQDGEAGSILQNDVLLDNSLQQILKGQDGLKEHLEGSTKGNPDQLSILNSHTSKLSEISSILSVWHEQIQADLRQLHGDIFRIFTKEMKGCVRAIRSLNSRPAALQMLEDQSRYPNERIWSSQVQVADGSPLMKQIPLANERLMMNQTPVSIGEPLMNLAVVANGSPLINQSSVANGRSQMNQTPVANGRPQMDRRPVANGIPHMEQIPTKFFPAPLIYSTKAADLKPNVEQGEVKATTLKLFGSSYMLAPKQGELPNRKVNQQEPTKKAPVTIMIDSDNDSEGNASWVILNTEPEQGGNHC* >Brasy9G116100.1.p pacid=40061793 transcript=Brasy9G116100.1 locus=Brasy9G116100 ID=Brasy9G116100.1.v1.1 annot-version=v1.1 MQNNLRASTYLSNLQHANLNSYASATPEIHMPMNYMLSSVNQYEAAHVRIFYNKQRSFSSFYSSAEYTQHVGAPSSMPMDVGIGRHATTSYLAGYSQPSYATSHVTYFSAPYTTSDIHYSAPHLHNGYSRINETSIEAHVPSSSTVAYAMSHAQLQSFSNRSLPKETKSIARQSYAGLDELKERLLSNFHEFEALHRQLIERPHDPATIQAYEAYKKRSEERNTFKVRVFPPQLQNFGNTSLPKEAKSIGGQSCPEEANILEQKHGECSETTVLDFSECKGAYVLPYEFRAKEIDDQQEQENIVEQCSVDIEHQSEEAQDPEKEEDKRYI* >Brasy9G284400.1.p pacid=40061794 transcript=Brasy9G284400.1 locus=Brasy9G284400 ID=Brasy9G284400.1.v1.1 annot-version=v1.1 MGRKAGGLYINPKKFGGVVKPCMMEMTSFLNCLALNKQSDDKCARQKELLVTCTQAQKGRPKNAAKTINYHLQRLGRDKFH* >Brasy9G271100.1.p pacid=40061795 transcript=Brasy9G271100.1 locus=Brasy9G271100 ID=Brasy9G271100.1.v1.1 annot-version=v1.1 MPPAAAETDASSSSWASLQADLVRLVGDRLLETGDLLDYVRLRAVCPHWRSSTPSPSGRGVADARFHPRRWMMLPEGHGLYPAQGYIRFFNLSTGAIVRRKLPLFRNHCVLDSTDGLLLLQRDRDTAIRHLHPFTGDIAELPPLLTLVRRFSPRFAPERDDDYISEHIWYKFRDVSVASVSASADGVITVMVVFFRALALASATTKDQQWSIPDCKLISHIRRPLSFQGKLYMVGQRHDSNVSQIFQIDLPQHDGRCSASSPKLIATCPTGESPIPFYLAECDSEILLIGSTDRLISRITVYRLADLVLGRLVPVTSIGDNVLLVSDRVLSVSSRVLPNVEGNSVVLFNGGDEAYFGQYQLSSSTWSPAADGSIRGPAHSPCCLIHHIYTCCRRNHWNKGEISVQVKGGLSWKVKRKWRYGA* >Brasy9G040100.1.p pacid=40061796 transcript=Brasy9G040100.1 locus=Brasy9G040100 ID=Brasy9G040100.1.v1.1 annot-version=v1.1 MVAIVTEAWTLAGCGSASSSKPSSAAAQEVPALLHPTAASAGAKAKKFRAVAMGSSGSKCQDNGSVVVVGRRRGIASCLLAALALTASGAGAARAAILEADDDLELLERVKEDKKKRLQKQGLINSSAAETGYLQDLVYKLSKVGQAIDKDDLAAAGDTLGPSSDAPWVQNINAAFSKLSSSTEEKSAVDSFNSSLASLFTSVSNRDVQSSKSAFVSSATALEKWVTLAGLTGKLKGF* >Brasy9G163500.1.p pacid=40061797 transcript=Brasy9G163500.1 locus=Brasy9G163500 ID=Brasy9G163500.1.v1.1 annot-version=v1.1 MRRFTRGGVRRALVLALVLAMGSCVTHAVRTTPSASGSADDALLAQSASQAPASRQGQEEEELTQKEAEKAVTGSRLPDCEHACGACAPCKRVMVSFRCAQASESCPVAYRCMCRGRFFRVPTL* >Brasy9G235200.1.p pacid=40061798 transcript=Brasy9G235200.1 locus=Brasy9G235200 ID=Brasy9G235200.1.v1.1 annot-version=v1.1 MAAASAHIHIESVQTAVPTRVAVDRGRLLPIAVHGPPLTVAELQRRFLAVLYYRGTEGVHGTEGVHGQLNEGEARARLEQAVWVTEALSATLPDHPKMAGRLRRGSGGGSDAPSWEVKLSDAGLRFLVASADTTMSAFLESKGRESNVSALALWTDVDPLDPEMCAPFVMQFTRFKDGGYAIGACCSLLHADPLSLADFLKSWARTHAQLRAQLVLNQVIQYTRYFRSPAAATKRLKSIPLVSAAADGATKTVLFRSAVDDDTPAAADDDRRALAEACIAQASERLGVEKPARFTVLACDVSGGLNVHACTGGGDGETTKNASPGYALRDACWHEAGLEEVVLDGSKPVHVSCSIISPCADQGLVVVMPAVAGGSELLISATVPS* >Brasy9G235200.2.p pacid=40061799 transcript=Brasy9G235200.2 locus=Brasy9G235200 ID=Brasy9G235200.2.v1.1 annot-version=v1.1 MAAASAHIHIESVQTAVPTRVAVDRGRLLPIAVHGPPLTVAELQRRFLAVLYYRGTEGVHGTEGVHGQLNEGEARARLEQAVWVTEALSATLPDHPKMAGRLRRGSGGGSDAPSWEVKLSDAGLRFLVASADTTMSAFLESKGRESNVSALALWTDVDPLDPEMCAPFVMQFTRFKDGGYAIGACCSLLHADPLSLADFLKSWARTHAQLRAQLVLNQVIQYTRYFRSPAAATKRLKSIPLVSAAADGATKTVLFRSAVDDDTPAAADDDRRALAEACIAQASERLGVEKPARFTVLACDVSGGLNVHACTGGGDGETTKNASPGYALRDACWHEAGLEEVVLDGSKPVHVSGRGERAFDQRDCSELEGSIYRGGTWAHVVRK* >Brasy9G260600.1.p pacid=40061800 transcript=Brasy9G260600.1 locus=Brasy9G260600 ID=Brasy9G260600.1.v1.1 annot-version=v1.1 MPTAAPSRPKSSRRRSPIEVREREVRQAASSTEFRDIRAKRRLAGRLQDLLRFVEYEIRRDCKLRTCEEIVCWRGDVYARARSYVLGVC* >Brasy9G069300.1.p pacid=40061801 transcript=Brasy9G069300.1 locus=Brasy9G069300 ID=Brasy9G069300.1.v1.1 annot-version=v1.1 MEDAIDSLKAHAVRRFPKLSRWISPEAAARSGLQSLGLGLNKRLADDARRSGGNLVFSPLSVYAALSLVAAGARDRTLSELLAVLGAPSREALAGHVRALTERALADQSQTGGPKISFACGRDGLWRLTDKMDCRPEFLLEHLPGAPVPVGDFRLPRFKISFSADLNNILKDMGVKEAFEQGKADLSDMAADESAGSRRLALQQVIHKAVMEVNEAAAVSYCGMSTCCRNSPPEPVPVDFVADHPFAFFVIEELSGAILFAGHVLDPSIG* >Brasy9G033600.1.p pacid=40061802 transcript=Brasy9G033600.1 locus=Brasy9G033600 ID=Brasy9G033600.1.v1.1 annot-version=v1.1 MDNRPSILSTLPKELPFDFLKTITDGFSEQRVLSTGAFGTVYKGILPDGQAIAVKKLAENSPVAHGKALANEIQNIMALHHENVVKMVGYCHEGQNKVVQHNGRYIAANILDTLLCYEYLPLGSLERNLFGVTHKMNWYSRFKIVKGICQGLCFLHTIPIVHMDLKPENILLGENMLPKIADFGLSRLFGQEQTRMNTQNVVGSFGYMAPEYLHGGQISTKSDIYSLGLIILETTTGERNYSTNNEPSGVQFIKNVRKNWKERIASEYVSLDAHSLQQVKTCIEIGLECVKTNRSKRPSIENIVDKLNRRA* >Brasy9G033600.3.p pacid=40061803 transcript=Brasy9G033600.3 locus=Brasy9G033600 ID=Brasy9G033600.3.v1.1 annot-version=v1.1 MDNRPSILSTLPKELPFDFLKTITDGFSEQRVLSTGAFGTVYKGILPDGQAIAVKKLAENSPVAHGKALANEIQNIMALHHENVVKMVGYCHEGQNKVVQHNGRYIAANILDTLLCYEYLPLGSLERNLFGVTHKMNWYSRFKIVKGICQGLCFLHTIPIVHMDLKPENILLGENMLPKIADFGLSRLFGQEQTRMNTQNVVGSFGYMAPEYLHGGQISTKSDIYSLGLIILETTTGERNYSTNNEPSGVQFIKNVRKNWKERIASEYVSLDAHSLQQVKTCIEIGLECVKTNRSKRPSIENIVDKLNRRA* >Brasy9G033600.2.p pacid=40061804 transcript=Brasy9G033600.2 locus=Brasy9G033600 ID=Brasy9G033600.2.v1.1 annot-version=v1.1 MDNRPSILSTLPKELPFDFLKTITDGFSEQRVLSTGAFGTVYKGILPDGQAIAVKKLAENSPVAHGKALANEIQNIMALHHENVVKMVGYCHEGQNKVVQHNGRYIAANILDTLLCYEYLPLGSLERNLFGVTHKMNWYSRFKIVKGICQGLCFLHTIPIVHMDLKPENILLGENMLPKIADFGLSRLFGQEQTRMNTQNVVGSFGYMAPEYLHGGQISTKSDIYSLGLIILETTTGERNYSTNNEPSGVQFIKNVRKNWKERIASEYVSLDAHSLQQVKTCIEIGLECVKTNRSKRPSIENIVDKLNRRA* >Brasy9G074100.1.p pacid=40061805 transcript=Brasy9G074100.1 locus=Brasy9G074100 ID=Brasy9G074100.1.v1.1 annot-version=v1.1 MEKEITRVAVVGAGVSGLAAAHELAKAGAGVRVTVYEAEERLGGHARTADVDGVQLDLGFMVFNRVTYPNMLEWFEGLGVEMEISDMSLSVSTQLSGGGRCEWGSRNGLSGLLAQKSNALRPGFWHMIREILKFKEDVLSYLSNHENNPDLDRNETLGQFIQTHGYSQLFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQIFGRPQWLTIKGRSHSYVNKVRDELESMGCRIKTSCEVKSVSSFEGGHRVLEVDGSEEVYDKIIFGAHAPDALKMLGDEATHEELRILGAFQYVYSDIYLHRDKSLMPRNSSAWSAWNFLGTTSSGVSVTYWLNLLQNIESTGRPFLVTLNPPHVPDHVLLKWNTSHPVPSVAAAKASLELNQIQGNRGIWFCGAYQGYGFHEDGSKAGKSAAQGLLGKKSDLLLNPKQMVPSWTEAGARLLVTRFLNQYITIGNLTILEQGGTMFSFGEVDKKCLVKSVLRVHDPLFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLILIANRDTQKSSSSAASKRGWWTPLLLTAGIASAKYFLRHVSRKNTVTQTRQNISQHYDLSNDFFSLFLDPSMTYSCAIFKVENESLEVAQLRKVNLLIDKAKVERDHHVLEIGSGWGCLAMQVVKQTGCKYTGITLSEEQLKYAQMKVKEAGLEDRITFLLCDYRQIPIRRKYDRIISCEMIEGVGHEFMDDFFGCCESLLAQDGLLVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSAASRLCIEQVENIGYHYYPTLVRWRDNFFANKDAISALGFDDKFIRIWEYYFMYCAAGFKSRTLGNYQIVFSRPGNDKLAYTDNPYASFPAA* >Brasy9G237800.1.p pacid=40061806 transcript=Brasy9G237800.1 locus=Brasy9G237800 ID=Brasy9G237800.1.v1.1 annot-version=v1.1 MLLTKPHLSSSLLASTPLSNRTSSPNRVEPIAASPSPRRRLRISATSTAVSPAATALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSLELQSSVIRDLVLLSCVGLRPILVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKNLVSLINLAGGTAVGLCGKDARLITARPSLNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILEDRNDPGSLVKEIDIAGVRQMVSDGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG* >Brasy9G209500.1.p pacid=40061807 transcript=Brasy9G209500.1 locus=Brasy9G209500 ID=Brasy9G209500.1.v1.1 annot-version=v1.1 MVDMNSDHIFEVPDTPDRIQQSTCPVPSLATRRGLTRAAGNPSPTRRIIFKSKSNSIQGQSSDGNAIRELPAALDTDSIFRRAELARLSPVTEDLEAKLSPPKLDRTTRSSVVNVNGARTLDLDQRSSISNHLICRGTGGKGNSCQIREGQVRQRDASHRNIDFLGVGSDLPTIPVGKPRNRAKSSTSNGLKEVAGAEVFSGSSPRDKREAINIKSAAGLSSHTQCDVPQRYVGQRKLVRNGCISPTNIVKRSVKADDKQEMCSTSGVLHHPNPRADVFHKVNVIDLTDNSPTITRKGITGADGLTSENNMETRASQRFTTARAAKTLIPQALNQASSSNYSEGFNSKGKKIIHDVMGNGRTGEANAMRNCPRAAVDSSFVVNDNSNGITYQQGWRTTHNHTSKVPSSCEIESGSSGPSNQGHETGDSNNSISAATTTRPASLRNMTIKISKGKRKHTSSSYHPGESSSSLNGLNSSCLAFSDRTAGRNPSNICHDIPVIDVDDIRSPEVGSRLSGHSSRTLIDPNISAQLEADELLARQLQEQLYSETPRAVPREEMDAIVAMSLQHEEDAQRTSRTLRQFQNNTRATRASRSSASQRAIRARYETAISHMQNAAPITLGLRAIVGGYLAPHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVLQSTSNEEPCAVCLENPSIGDTIRTLPCFHKFHQECIDEWLRRKKLCPVCKCGITRS* >Brasy9G209500.2.p pacid=40061808 transcript=Brasy9G209500.2 locus=Brasy9G209500 ID=Brasy9G209500.2.v1.1 annot-version=v1.1 MVDMNSDHIFEVPDTPDRIQQSTCPVPSLATRRGLTRAAGNPSPTRRIIFKSKSNSIQGQSSDGNAIRELPAALDTDSIFRRAELARLSPVTEDLEAKLSPPKLDRTTRSSVVNVNGARTLDLDQRSSISNHLICRGTGGKGNSCQIREGQVRQRDASHRNIDFLGVGSDLPTIPVGKPRNRAKSSTSNGLKEVAGAEVFSGSSPRDKREAINIKSAAGLSSHTQCDVPQRYVGQRKLVRNGCISPTNIVKRSVKADDKQEMCSTSGVLHHPNPRADVFHKVNVIDLTDNSPTITRKGITGADGLTSENNMETRASQRFTTARAAKTLIPQALNQASSSNYSEGFNSKGKKIIHDVMGNGRTGEANAMRNCPRAAVDSSFVVNDNSNGITYQQGWRTTHNHTSKVPSSCEIESGSSGPSNQGHETGDSNNSISAATTTRPASLRNMTIKISKGKRKHTSSSYHPGESSSSLNGLNSSCLAFSDRTAGRNPSNICHDIPVIDVDDIRSPEVGSRLSGHSSRTLIDPNISAQLEADELLARQLQEQLYSETPRAVPREEMDAIVAMSLQHEEDAQRTSRTLRQFQNNTRATRASRSSASQRAIRARYETAISHMQNAAPITLGLRAIVGGYLAPHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVLQSTSNEEPCAVCLENPSIGDTIRTLPCFHKFHQECIDEWLRRKKLCPVCKCGITRS* >Brasy9G209500.3.p pacid=40061809 transcript=Brasy9G209500.3 locus=Brasy9G209500 ID=Brasy9G209500.3.v1.1 annot-version=v1.1 MVDMNSDHIFEVPDTPDRIQQSTCPVPSLATRRGLTRAAGNPSPTRRIIFKSKSNSIQGQSSDGNAIRELPAALDTDSIFRRAELARLSPVTEDLEAKLSPPKLDRTTRSSVVNVNGARTLDLDQRSSISNHLICRGTGGKGNSCQIREGQVRQRDASHRNIDFLGVGSDLPTIPVGKPRNRAKSSTSNGLKEVAGAEVFSGSSPRDKREAINIKSAAGLSSHTQCDVPQRYVGQRKLVRNGCISPTNIVKRSVKADDKQEMCSTSGVLHHPNPRADVFHKVNVIDLTDNSPTITRKGITGADGLTSENNMETRASQRFTTARAAKTLIPQALNQASSSNYSEGFNSKGKKIIHDVMGNGRTGEANAMRNCPRAAVDSSFVVNDNSNGITYQQGDSNNSISAATTTRPASLRNMTIKISKGKRKHTSSSYHPGESSSSLNGLNSSCLAFSDRTAGRNPSNICHDIPVIDVDDIRSPEVGSRLSGHSSRTLIDPNISAQLEADELLARQLQEQLYSETPRAVPREEMDAIVAMSLQHEEDAQRTSRTLRQFQNNTRATRASRSSASQRAIRARYETAISHMQNAAPITLGLRAIVGGYLAPHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVLQSTSNEEPCAVCLENPSIGDTIRTLPCFHKFHQECIDEWLRRKKLCPVCKCGITRS* >Brasy9G209500.4.p pacid=40061810 transcript=Brasy9G209500.4 locus=Brasy9G209500 ID=Brasy9G209500.4.v1.1 annot-version=v1.1 MVDMNSDHIFEVPDTPDRIQQSTCPVPSLATRRGLTRAAGNPSPTRRIIFKSKSNSIQGQSSDGNAIRELPAALDTDSIFRRAELARLSPVTEDLEAKLSPPKLDRTTRSSVVNVNGARTLDLDQRSSISNHLICRGTGGKGNSCQIREGQVRQRDASHRNIDFLGVGSDLPTIPVGKPRNRAKSSTSNGLKEVAGAEVFSGSSPRDKREAINIKSAAGLSSHTQCDVPQRYVGQRKLVRNGCISPTNIVKRSVKADDKQEMCSTSGVLHHPNPRADVFHKVNVIDLTDNSPTITRKGITGADGLTSENNMETRASQRFTTARAAKTLIPQALNQASSSNYSEGFNSKGKKIIHDVMGNGRTGEANAMRNCPRAAVDSSFVVNDNSNGITYQQGWRTTHNHTSKVPSSCEIESGSSGPSNQGHETGDSNNSISAATTTRPASLRNMTIKISKGKRKHTSSSYHPGESSSSLNGLNSSCLAFSDRTAGRNPSNICHDIPVIDVDDIRSPEVGSRLSGHSSRTLIDPNISAQLEADELLARQLQEQLYSETPRAVPREEMDAIVAMSLQHEEDAQRTSRTLRQFQNNTRAIVGGYLAPHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVLQSTSNEEPCAVCLENPSIGDTIRTLPCFHKFHQECIDEWLRRKKLCPVCKCGITRS* >Brasy9G209500.5.p pacid=40061811 transcript=Brasy9G209500.5 locus=Brasy9G209500 ID=Brasy9G209500.5.v1.1 annot-version=v1.1 MVDMNSDHIFEVPDTPDRIQQSTCPVPSLATRRGLTRAAGNPSPTRRIIFKSKSNSIQGQSSDGNAIRELPAALDTDSIFRRAELARLSPVTEDLEAKLSPPKLDRTTRSSVVNVNGARTLDLDQRSSISNHLICRGTGGKGNSCQIREGQVRQRDASHRNIDFLGVGSDLPTIPVGKPRNRAKSSTSNGLKEVAGAEVFSGSSPRDKREAINIKSAAGLSSHTQCDVPQRYVGQRKLVRNGCISPTNIVKRSVKADDKQEMCSTSGVLHHPNPRADVFHKVNVIDLTDNSPTITRKGITGADGLTSENNMETRASQRFTTARAAKTLIPQALNQASSSNYSEGFNSKGKKIIHDVMGNGRTGEANAMRNCPRAAVDSSFVVNDNSNGITYQQGDSNNSISAATTTRPASLRNMTIKISKGKRKHTSSSYHPGESSSSLNGLNSSCLAFSDRTAGRNPSNICHDIPVIDVDDIRSPEVGSRLSGHSSRTLIDPNISAQLEADELLARQLQEQLYSETPRAVPREEMDAIVAMSLQHEEDAQRTSRTLRQFQNNTRAIVGGYLAPHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVLQSTSNEEPCAVCLENPSIGDTIRTLPCFHKFHQECIDEWLRRKKLCPVCKCGITRS* >Brasy9G222900.1.p pacid=40061812 transcript=Brasy9G222900.1 locus=Brasy9G222900 ID=Brasy9G222900.1.v1.1 annot-version=v1.1 MDASGESGGGGGRGSTGRRSGKGLTPIQARRQQQQHLAPVLENASSAALLRPLKKIGRSPDRLHRTTSTLSTTSSSSSAPASPRSSSVSNAAVSPPSARHIFPYAYEPIAPAASTTTATHGRSPRLDLQPWPQSSTSVSQPASPVQQQQQPLRHQQMISFGASSPPYCAAQSFLVPAESAQHQLLRYWSEALNLSPRGGGGPTMPPSLYQQLLLQAPPPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAAMAYDREAFKLRGENARLNFPDRFLAKGRPGGSGRTSASSAPAAAASTAAASCSSSSSSPPQASDEAAPNTQQQDPRQHAEGATSFENQLPQHPLIPTTTTIQETTGSSRDAPYSAGMFHAPAVASSGGAMWAPAVDEAWFNAWGPGSSFWDYDMEDDGARGLFLHSRFSGDDAGMAHSGAQEIAAGTSGSPCPCDDDVPVISSSAPTPPESADQAPNLMWKQD* >Brasy9G110200.1.p pacid=40061813 transcript=Brasy9G110200.1 locus=Brasy9G110200 ID=Brasy9G110200.1.v1.1 annot-version=v1.1 MGVYGDMPIPLILFNLFPDLFNPYQICSPLLPFSPSLLGFDSYFVSLSPHTQSPSSVHLLRFFSPFVLVFRSSQIHPSSTWVRVLALVLCYPDLKSNFRIKLNSVVLILGLRISISFIYRGPQSTVSRIRYQPLRRPMKFISWNCRGVGGRLDSSKLQYLARLMASTKAQVTFLSETKSCKFSSTQLNNHFNIADSLVVPSTGRSGGLWILWTQEVLVDIKHASNHLILALVFSKASSLTFALVCMYGDPNHRLSSSIWDVVESFVNDNHGCPVLCMGDLNDIMNPLDKSNSGFNRARMRAFNLFVKRCGLFDLGFNGPAYTWTNKHFTSQPVYQRLDRCLANSDWCAHFPNTNVYNLPIILSDHAHIFTTTESTFRRPRLKFKFENWWLMENDFQDIAKHCWIRSANTD* >Brasy9G180600.1.p pacid=40061814 transcript=Brasy9G180600.1 locus=Brasy9G180600 ID=Brasy9G180600.1.v1.1 annot-version=v1.1 MPPTWFTLQPKHRRRKGSAADCGKILLTVSLYGENNDNAVIHASPCPSSRSDTDVEFERSTCREHSGLNGVVVDSPRNSAVERVSLDGSDRSIKAHSNSISEDDDTIESSPSTSKMALDSDTEPPIPDASFEEAMETMKSKGSTANIPEDLAGGVIFEHTYLVESKDLNSLLFGPDSQFSRDLRDLQGTMDYDEQPWTWKSQDPPSLTRTCRYTKGSTKLMKDVKTIEEQTYLKADGKNFVVMTRVRTPEVPFGNCFEVVLLYKITHYPELSSGEECSHLTVSYNVEFLQSTLMKSMIEGSVRDGVKENFESFTEILSRHVKLADSAGMDKEQLLAPLQTDHQSHIRLACKYFCNFTVMSTVIMAMYVLVHILLSKPGPLMGLEFTGLDLPDTFGELITSGILVLQMERLLNMISRFVEARIQRGGDHGVKATGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAISLGHAEINFLKHTSAELADIWVPLVGKLAQTCQSRLNLRIFLENTKGPETSKREYLSKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQGRLFLSARLVGFYANLFGHKTKFFFLWEYVEEVEVVPPSFTTVGTPSLVFTLKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKANRTIIGLWKTKSSAIEQRAKLEEDREDESYDELDDVQTVLSTGDVTLSKEYTVEHPIDANLLMGVFDGGPLETRTMSKVGCLDYAATPWEQTKPGVLERHAGYKFNRYMSIFGGEVVSTQLKSPSEDGAGWTVHDVMTLHNVPFGDYFRVHLRYDVRSVASEPASSRCEVYVGIEWLKSSKFQKRIARNICDKLAHRAKEVLEVAGKEIATSAFSA* >Brasy9G180600.2.p pacid=40061815 transcript=Brasy9G180600.2 locus=Brasy9G180600 ID=Brasy9G180600.2.v1.1 annot-version=v1.1 MPPTWFTLQPKHRRRKGSAADCGKILLTVSLYGENNDNAVIHASPCPSSRSDTDVEFERSTCREHSGLNGVVVDSPRNSAVERVSLDGSDRSIKAHSNSISEDDDTIESSPSTSKMALDSDTEPPIPDASFEEAMETMKSKGSTANIPEDLAGGVIFEHTYLVESKDLNSLLFGPDSQFSRDLRDLQGTMDYDEQPWTWKSQDPPSLTRTCRYTKGSTKLMKDVKTIEEQTYLKADGKNFVVMTRVRTPEVPFGNCFEVVLLYKITHYPELSSGEECSHLTVSYNVEFLQSTLMKSMIEGSVRDGVKENFESFTEILSRHVKLADSAGMDKEQLLAPLQTDHQSHIRLACKYFCNFTVMSTVIMAMYVLVHILLSKPGPLMGLEFTGLDLPDTFGELITSGILVLQMERLLNMISRFVEARIQRGGDHGVKATGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAISLGHAEINFLKHTSAELADIWVPLVGKLAQTCQSRLNLRIFLENTKGPETSKREYLSKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQGRLFLSARLVGFYANLFGHKTKFFFLWEYVEEVEVVPPSFTTVGTPSLVFTLKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKANRTIIGLWKTKSSAIEQRAKLEEDREDESYDELDDVQTVLSTGDVTLSKEYTVEHPIDVRSLFFFLPIGLDKLILSPVLFGCCCEKLLVLIY* >Brasy9G145400.1.p pacid=40061816 transcript=Brasy9G145400.1 locus=Brasy9G145400 ID=Brasy9G145400.1.v1.1 annot-version=v1.1 MGLSRSPSPLSLPPGPDLPFLHTSAAPPPRSLPSCQATAATAGLPTPSDGGHRLLPAPRAGGLRILPVPTRRRWIRSIRPPRPPSRWIWSPLSGSSQSFLPQATAATASSLPHGPTDSASSLPPRDGGGSGRRCRDPVSQNTTVSIPKAFGQNEGGNIISHYAMWHTEPGKFYGLRADMSIWGSPNQEYSQESGAAIQMYCAEGGHYRLIEAGFHVAPSLYHNRDVRFFTYWTKDTKTAGCYNMQCKGFVPARGAALVPGQAIAPQSTYGEVDHYARLSINKDPKTGAWILYRHDLQAPSFLGHFPSELCPGEAAQIQALTGFVNYQKNAHGPPMGSGHFPDNEDPKKSAYFKQVKAYESKGHAWNPITTIMLPLADKPDCYRPSDFLLDFKKGYTFYYGGPSGCVGFFF* >Brasy9G145400.2.p pacid=40061817 transcript=Brasy9G145400.2 locus=Brasy9G145400 ID=Brasy9G145400.2.v1.1 annot-version=v1.1 MGLSRSPSPLSLPPGPDLPFLHTSAAPPPRSLPSCQATAATAGLPTPSDGGHRLLPAPRAGGLRILPVPTRRRWIRSIRPPRPPSRWIWSPLSGSSQSFLPQATAATASSLPHGPTDSASSLPPRDGGGSGRRCRDPVSQYAMWHTEPGKFYGLRADMSIWGSPNQEYSQESGAAIQMYCAEGGHYRLIEAGFHVAPSLYHNRDVRFFTYWTKDTKTAGCYNMQCKGFVPARGAALVPGQAIAPQSTYGEVDHYARLSINKDPKTGAWILYRHDLQAPSFLGHFPSELCPGEAAQIQALTGFVNYQKNAHGPPMGSGHFPDNEDPKKSAYFKQVKAYESKGHAWNPITTIMLPLADKPDCYRPSDFLLDFKKGYTFYYGGPSGCVGFFF* >Brasy9G145400.3.p pacid=40061818 transcript=Brasy9G145400.3 locus=Brasy9G145400 ID=Brasy9G145400.3.v1.1 annot-version=v1.1 MSQPPVSVSTSGTGLVAGQCTATGVEIGQPLNTTVSIPKAFGQNEGGNIISHYAMWHTEPGKFYGLRADMSIWGSPNQEYSQESGAAIQMYCAEGGHYRLIEAGFHVAPSLYHNRDVRFFTYWTKDTKTAGCYNMQCKGFVPARGAALVPGQAIAPQSTYGEVDHYARLSINKDPKTGAWILYRHDLQAPSFLGHFPSELCPGEAAQIQALTGFVNYQKNAHGPPMGSGHFPDNEDPKKSAYFKQVKAYESKGHAWNPITTIMLPLADKPDCYRPSDFLLDFKKGYTFYYGGPSGCVGFFF* >Brasy9G361800.1.p pacid=40061819 transcript=Brasy9G361800.1 locus=Brasy9G361800 ID=Brasy9G361800.1.v1.1 annot-version=v1.1 MAWSNIAKAVLAMGLVTAVLFRPASSLPGGLSYDFHDNSSQTGIIPGLIRLTFQDCFPQGCDASILLTGPNSEQNMPRNVQGVELIESVREQVHLACGRTVSCADIVNLATRAAVVQSGMPAYNVSLGRLDSMEPASLNDVAALPGPDMVASELVASLESRGLSTHDLVVLSGSHTVGKTSCGGIKRRYCAKFPERKVDLDVITTDRFDNQYYINLMNGTGVLNSDMALVRDDPDIKASWVQGFVDDGDWFLSQFSESMSKMHGAPAAQG* >Brasy9G303500.1.p pacid=40061820 transcript=Brasy9G303500.1 locus=Brasy9G303500 ID=Brasy9G303500.1.v1.1 annot-version=v1.1 MDPNDAYLAAHPFRWDLGPPAPAPLPPPPPQAPALPAPRELEELVSGYGVRASTVARISELGFTASTLLVMTERELDDMTAALAGLFRWDLLIGERFGLRAALRAERSRLMSPPPAGCRLHHSLSTVDGASQEVLSNERDGVASGGMGEDEGGRMMMVGGKKQRNGLAGRKGKKARMRKKAGGGDDLRLDVQEEDGDGGQSESTESSAGGGVGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGQKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEGGSDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSAHAHAAALPPPMF* >Brasy9G223300.1.p pacid=40061821 transcript=Brasy9G223300.1 locus=Brasy9G223300 ID=Brasy9G223300.1.v1.1 annot-version=v1.1 MMTMESVKVRSPRRSSGSSKYRRMEPELEEEMDEAEWSRRAAAGSLGRRRAGRYVFTCALFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQQEILVGCLSVISLLGSLSGGRTSDAIGRKWTMGLGAIIFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPAAARGTLTSLPEICINFGILLGYVSNYAFSGLSEHISWRVMLGVGILPSVFIGVALFVIPESPRWLMMEKRVPEARAVLLQISASEAEVEERLAEIEEAANIMKSVKSEDKAVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFRDAGIKSDQKLLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTVCLFVLGIALTLPKHAVGLISPSVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEIFPIRLRAQASALGQVGGRVGSGLVSMSFLSMARAISVAGMFFVFAAISTVSVAFVYFCVPETKGKTLEQIEMMFEVGKESRGGEVELEDTQHLIQDGKKSDSLG* >Brasy9G223300.2.p pacid=40061822 transcript=Brasy9G223300.2 locus=Brasy9G223300 ID=Brasy9G223300.2.v1.1 annot-version=v1.1 MAGAASIGRSKYAVLDPSGGEEVDTAGPEARGRRASASASERRSKERFVYACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQQEILVGCLSVISLLGSLSGGRTSDAIGRKWTMGLGAIIFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPAAARGTLTSLPEICINFGILLGYVSNYAFSGLSEHISWRVMLGVGILPSVFIGVALFVIPESPRWLMMEKRVPEARAVLLQISASEAEVEERLAEIEEAANIMKSVKSEDKAVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFRDAGIKSDQKLLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTVCLFVLGIALTLPKHAVGLISPSVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEIFPIRLRAQASALGQVGGRVGSGLVSMSFLSMARAISVAGMFFVFAAISTVSVAFVYFCVPETKGKTLEQIEMMFEVGKESRGGEVELEDTQHLIQDGKKSDSLG* >Brasy9G059100.1.p pacid=40061823 transcript=Brasy9G059100.1 locus=Brasy9G059100 ID=Brasy9G059100.1.v1.1 annot-version=v1.1 MWLLVDHHFSILLLPPFHFTGRTSLAPKFRNIGRVRLFPLVVPELPPSPHVVFSPTPYGNERKRSPPSHTSTSPLASPPETSPLTFPSGARSLSPRLHRRPHAAAAISARTVPTTDEAGKQAGPARFRRETTPAVLDPVPMPCSSSFPSSPRPS* >Brasy9G067800.1.p pacid=40061824 transcript=Brasy9G067800.1 locus=Brasy9G067800 ID=Brasy9G067800.1.v1.1 annot-version=v1.1 MSKLDYCFSNDYMVLRPDRAGPLDLLHLLVSPKVGRNRAVDCFTSTEIRSFPRRLHIFLSVLLQILLLSLAGPVAAIGAAVEFALNLIHNILHGRMEYPDRSSATYRSLTGFIDQRVDLDSGIAPGDSRHHAALCVMASKIAYENEAFIRDVVTRRWRMEFVKFYDCWNEFEGAYTAQAFVFCDRPAPDAELVVVAFRGTPAFDVSRWRADLDPSWYKVPRLGRVRAPYTHALGAQRNMGWPKWVEHVKGKPQKVYSYYALRDAVKELLEASPKAKLLVTGHGSGGALAVLFPAVMAYHKEKADRLAGVYTFGQPRVGDAMLAMFVERNVDRPKKRHFRFTYAGDPLPRLPAGASSPVAHFLHFGLCLHFDVNYNLKVFTEIPGDTRSSPWTAEGFVASRVESARELARSVYCREGWLLLLMRVLALALPGLPFHRVHDYVSAVALAKHIPKDEEH* >Brasy9G055100.1.p pacid=40061825 transcript=Brasy9G055100.1 locus=Brasy9G055100 ID=Brasy9G055100.1.v1.1 annot-version=v1.1 MSSYSSGRRSSPPASPVAASPGQKRARGDYPASPSKPDSDSAPAKNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAAISAATPGGVFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKLRNMRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRTQMKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKVNLTSNRSTRVPPVELPPLSELDLEVLKNLPPEIMSEMNDMYKGKLQGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.2.p pacid=40061826 transcript=Brasy9G055100.2 locus=Brasy9G055100 ID=Brasy9G055100.2.v1.1 annot-version=v1.1 MSSYSSGRRSSPPASPVAASPGQKRARGDYPASPSKPDSDSAPAKNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAAISAATPGGVFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKLRNMRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRTQMKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.3.p pacid=40061827 transcript=Brasy9G055100.3 locus=Brasy9G055100 ID=Brasy9G055100.3.v1.1 annot-version=v1.1 MSSYSSGRRSSPPASPVAASPGQKRARGDYPASPSKPDSDSAPAKNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAAISAATPGGVFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKLRNMRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRTQMKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.4.p pacid=40061828 transcript=Brasy9G055100.4 locus=Brasy9G055100 ID=Brasy9G055100.4.v1.1 annot-version=v1.1 MKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKVNLTSNRSTRVPPVELPPLSELDLEVLKNLPPEIMSEMNDMYKGKLQGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.5.p pacid=40061829 transcript=Brasy9G055100.5 locus=Brasy9G055100 ID=Brasy9G055100.5.v1.1 annot-version=v1.1 MKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.6.p pacid=40061830 transcript=Brasy9G055100.6 locus=Brasy9G055100 ID=Brasy9G055100.6.v1.1 annot-version=v1.1 MKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.7.p pacid=40061831 transcript=Brasy9G055100.7 locus=Brasy9G055100 ID=Brasy9G055100.7.v1.1 annot-version=v1.1 MNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.8.p pacid=40061832 transcript=Brasy9G055100.8 locus=Brasy9G055100 ID=Brasy9G055100.8.v1.1 annot-version=v1.1 MNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGAPQGNMLKSWLGSSSEKLKKQCREETCLLGNNDDAGTTELRAWGSSKPSFTGVASHSSKGLLDMTSRDECKENNSKSHVLPAVTQNSVPVSNAKLHGYGECRDSMHLEKQDDIKGASDEEFSGVRAASASCSRDSEVVDAKSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRPRDPTCSTSNVTENKSLSDGGPDDPKHPVICLLPGWVEQFRVSSSLILNAIAEQHTNSISSQPLSSILEHVSSLLPLCPNSGSEEWNNTLSCLSELLTEYVHLKVESDIEELHKCFCLLKRFSSVSELFLELHDRILPLLQDSVSQHYGGILHF* >Brasy9G055100.9.p pacid=40061833 transcript=Brasy9G055100.9 locus=Brasy9G055100 ID=Brasy9G055100.9.v1.1 annot-version=v1.1 MSSYSSGRRSSPPASPVAASPGQKRARGDYPASPSKPDSDSAPAKNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAAISAATPGGVFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKLRNMRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRTQMKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTITGATDSLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADSARGGAIFFISKEDSNLYYHQ* >Brasy9G055100.10.p pacid=40061834 transcript=Brasy9G055100.10 locus=Brasy9G055100 ID=Brasy9G055100.10.v1.1 annot-version=v1.1 MSSYSSGRRSSPPASPVAASPGQKRARGDYPASPSKPDSDSAPAKNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAAISAATPGGVFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKLRNMRAFSKGLPVVKPAWVVDSLAENRLLSCVPYQISQHNSSSRTQMKLSAFFAGKQKGMHHQGDRNDESTDPDFHTVSAQAGSQDQNESCEKEGSLMNAEVAEDSLSSDEREVPTSEERDGEDFAVDEDYSACETAFSGSMDDGIDDKLYVADSPDATSMCPDVCGTGSAGSHLSLGPLEKDAAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRQRFSNLLQAKSSKGNNGHTEKKKIIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKTRCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQRMRNEIFGTTKCTASAGMAENMLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGYTVSAKLKSKEVEYCGQLRNISKNALQKDFGKKISNMLWSYCRGIDHSVVEAVQETKSVGAEVNWGVRFNENKDADNFLVNLSKEVSLRIQGCGLQGRTITLKVKTRRKGAGEPVKFMGCGDCETMSRSTTVQLTV* >Brasy9G204700.1.p pacid=40061835 transcript=Brasy9G204700.1 locus=Brasy9G204700 ID=Brasy9G204700.1.v1.1 annot-version=v1.1 MINLFDLSTGMASTKVLTDRAYRDGSPVRRSRQDTNPAKVCAENKLGANIRNSSNNKSDAPPMKMLLVSEIFKEAESRKKPPSVVARLMGLEDDLPAQEPALLHSVKRNLRSHSHANLAATNRPLPQQERHCDGKSTHHHVDAAREEPLRVRTHHHPDQTLSSGRSSQKMSDTRTEVVRKKFIEAKLLANDENFLHSKEFQEALEVLSSNKDLFLQFLEEPSSVSKPQNEHQTMPAPLQKRCITLLKPLKSVENKGERETRTHQFNEERGCVTEKTHKRSHSTEDTFSQPTRIVVLKPSPGKPNRTHARLTPRTAPSERTDKTNFLGDLEDDACASTLRYGEVSHASFQYLPEDRHRQDESLLSSVYSNGYFGDESSFSRSEVDYIDENDGNLSDSVVISPVSRHSWGYIKRHSSTHSCSNCSRTSHSHSAESSVTKEAKKRLSERWTTVARDEIGEEIRFPRNSRTLGDMLSLKKDTKEESVALVNSVSTSQSCGTDNEWDMQATRMSTLRKDENSESSPRNLVRSKSLPVSSSAFHDIVVSANSEVCKTSKMDTRSGKGKSLKGRVSSFFFPRNRRLATGKTILTSDSTDGKVEVTFLGDVEQMTFCKDKSDSSTIQTNCSSKQDVDSFEAPVSSDCPGGYSDDLRSNSGLKCMRGHPSPTFEDNNTDKPESSRSIISCNKRVGLRSPATESVARSLPWEDTNSLSALLGSLNFSNADDDDDDELECHAFVEKMVSSAGLDNLQLSMVFTGWYLPDCPLDPRLCHMLLDHNEEAAKSRERRSNRKLLFDCVNMALVEAGQDALLSTYSWSKTCLGAWKEKLSQDLREEVWRHVKDWLYGAEMLVANEDGDTARMLERVVQQEVEGGGWMKSGRSEVDEIVKLIASCLLEDLVGEAVADLAVCFPQQGIPLPMSSL* >Brasy9G204700.2.p pacid=40061836 transcript=Brasy9G204700.2 locus=Brasy9G204700 ID=Brasy9G204700.2.v1.1 annot-version=v1.1 MINLFDLSTGMASTKVLTDRAYRDGSPVRRSRQDTNPAKVCAENKLGANIRNSSNNKSDAPPMKMLLVSEIFKEAESRKKPPSVVARLMGLEDDLPAQEPALLHSVKRNLRSHSHANLAATNRPLPQQERHCDGKSTHHHVDAAREEPLRVRTHHHPDQTLSSGRSSQKMSDTRTEVVRKKFIEAKLLANDENFLHSKEFQEALEVLSSNKDLFLQFLEEPSSVSKPQNEHQTMPAPLQKRCITLLKPLKSVENKGERETRTHQFNEERGCVTEKTHKRSHSTEDTFSQPTRIVVLKPSPGKPNRTHARLTPRTAPSERTDKTNFLGDLEDDACASTLRYGEVSHASFQYLPEDRHRQDESLLSSVYSNGYFGDESSFSRSEVDYIDENDGNLSDSVVISPVSRHSWGYIKRHSSTHSCSNCSRTSHSHSAESSVTKEAKKRLSERWTTVARDEIGEEIRFPRNSRTLGDMLSLKKDTKEESVALVNSVSTSQSCGTDNEWDMQATRMSTLRKDENSESSPRNLVRSKSLPVSSSAFHDIVVSANSEVCKTSKMDTRSGKGKSLKGRVSSFFFPRNRRLATGKTILTSDSTDGKVEVTFLGDVEQMTFCKDKSDSSTIQTNCSSKDVDSFEAPVSSDCPGGYSDDLRSNSGLKCMRGHPSPTFEDNNTDKPESSRSIISCNKRVGLRSPATESVARSLPWEDTNSLSALLGSLNFSNADDDDDDELECHAFVEKMVSSAGLDNLQLSMVFTGWYLPDCPLDPRLCHMLLDHNEEAAKSRERRSNRKLLFDCVNMALVEAGQDALLSTYSWSKTCLGAWKEKLSQDLREEVWRHVKDWLYGAEMLVANEDGDTARMLERVVQQEVEGGGWMKSGRSEVDEIVKLIASCLLEDLVGEAVADLAVCFPQQGIPLPMSSL* >Brasy9G238700.1.p pacid=40061837 transcript=Brasy9G238700.1 locus=Brasy9G238700 ID=Brasy9G238700.1.v1.1 annot-version=v1.1 MSGTVKKVADAASKAGKAIDWDGMAKMLVSEEARKEFANLRRTFEDVNNQLQTKFSQEPKPIDWDMYRKGIGSKVVDMYKEAYDSIEIPKYVDTVTPEYKPKFDALLVELKEAEQTSLKESERIEKEIAEMKEMKKKISTMTADEYFEKHPELRKKFDDEIRNDNWGY* >Brasy9G310300.1.p pacid=40061838 transcript=Brasy9G310300.1 locus=Brasy9G310300 ID=Brasy9G310300.1.v1.1 annot-version=v1.1 MEVLPWSCRCALYRSPSKNSGAPARPSAPPPLAARGRRSKYSGQSVRTMPIRVLTVGKKRSQGTQLLVEDYKEKLGYYCGVEDTLIKSNPKLTSDVKVQVEAEDTAMMQQLKAEDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLTFCIGGPYGLGVQVRERADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH* >Brasy9G122300.1.p pacid=40061839 transcript=Brasy9G122300.1 locus=Brasy9G122300 ID=Brasy9G122300.1.v1.1 annot-version=v1.1 MRGMAGGGMEGMTMAPPRADHAAAATQQKMMTMMHMSFFWGDRAVVLFPGWPGDRGAGAYVLCLLFVLALAALTEALALLSRRLLARRGVGEPPASASASAALLLAAVHGGRMGLAYLVMLAVMSFNVGVLLAAVAGHGLGFLLARSKVRAGAARGGGDGNGASPELCGVPPSHGSKALA* >Brasy9G330400.1.p pacid=40061840 transcript=Brasy9G330400.1 locus=Brasy9G330400 ID=Brasy9G330400.1.v1.1 annot-version=v1.1 MQQPEMEQPAAVSEVLGNGDLLDQILLRLDIPVFLVLASRVDKTWRSRAMDRVFLGRFRARLTRRPRPRPLGFYVTFEDAFGIHLRFRTKFVPMSQRPELADVISRFGDNLDAGEESRVLDCRNGRVLLILRRHNNDSYAVLGPLDPVPAVALLPPLPPSVVPNTMISLLADYSRQARDRTLCAAVGFSSMLNKDMVRLSLLQDDYWVDDISCATGLSATLGYPIDQLLVGDNLYMLSSNGCIVCLDLTSMRFLVIELPDGVETNKLCAEEHTLSRAYDDFGIYFIQFKGLHLRVWVCKSDGDYAGTWMLEADISLPKAFGDLAVANLTDDEDSDTDDEKFEVLRLLSVGDNADFVFLVDGGLHFLHIFYLDIRTRNVEQVYRRLLKDGEVFCIRHFMMT* >Brasy9G139500.1.p pacid=40061841 transcript=Brasy9G139500.1 locus=Brasy9G139500 ID=Brasy9G139500.1.v1.1 annot-version=v1.1 MDDFTFPATAAAAAESSSSPADTLCLHHHRHHSLLPFPHFANSPLWFPLDAAVAVAPPPLMTSRTDDDGMEQEEEEEEEEEQEPAWADAEEGEGGRIAGGEDLDDIKRGFVRGRVEGEEKMDLLWENFNEELEALRRCSKHKAAAESDTESEERASRGCAPMAMPMLQASSRAGGTGRYYRRTSSSWVLLMRIFRRLFVVEKTFSSSASASGSARHRQPNAAGR* >Brasy9G275600.1.p pacid=40061842 transcript=Brasy9G275600.1 locus=Brasy9G275600 ID=Brasy9G275600.1.v1.1 annot-version=v1.1 MQQPMVATARRLLQAPPAGTPGGPIADRDIIIILASLLCALICVLSIGLVARCACSRRVGLGAGASPAANRGVKKEVLRAIPTVPYAAAAGSKAASAGEEEGAAAAPECAICLAEFEDGEAIRVLPQCGHWFHAACIDKWLRGHSSCPSCRRILAVKLPAGEWCHRCGARPGPAGGSWKQPPAHYSEMPPFLP* >Brasy9G098200.1.p pacid=40061843 transcript=Brasy9G098200.1 locus=Brasy9G098200 ID=Brasy9G098200.1.v1.1 annot-version=v1.1 MVHLCCPRSLLSTDGRWLQKLQARNLESCDRECVAPGTVVEVSYRGSPLASGAAGQLCARPRGAAGAREESLVAWGRGVRVPGFALDGLAADARQGVQAFDVTLQMPPTDLNHHMGKVVTCSARRAGDAGALGDPCDSSDMGRYWLPSSPTNLHGGAKLPIRRSHCCWTHGSQRSLPRKASYPDRTSRGVPPGRTKASYPASYSVPATRALPRKRPPAAQVRKAKHSEGRALNGPTRLERRA* >Brasy9G268200.1.p pacid=40061844 transcript=Brasy9G268200.1 locus=Brasy9G268200 ID=Brasy9G268200.1.v1.1 annot-version=v1.1 MTKSKNGCLKILVCAGAGSDPSAGSDPETDAHADESKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNNVYSSTYAYASEKPLHQEKPDEKILHEEKPDEKPLHEEKPDEKPMEKPTEKPVDQIIEKSIEQPDEKITETPSEEPAEAVSEETIEEPTEKAVEELDQKPDESIAISSTELKHDETASLIDESIADPEEDHVEPAAVVIQSGIGTYIGNQELSNHKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKVQGLVRAHQAQQSAGMFEGTLVRSSSEKLLRNGFAVKLMDTAPTSKSMNIRCDPSGTDVAWEWMERWTTLILPSTGGNFLENTEDSGLVVEKMEEDVHHEEKVVPLDLGMSFPKLVADNVEETLRPSDSSALEAPECVPEETSGLEIKDDSVPELTEKINDDAEQLTDPKTESVVEQPFEFSGEQSTQTVPSREPNTLPDPEKSESSSEDVMDAYKSEQTLEMEGRSFTGRKSCNPAFAAAQMKFEELTSNSTVSRSNSSSYLDGPSKPKVHTPRSQDGASPKQNRDTSMPDNTVGHDAKIIAASECGTEISISSTLDSPDRSEADGGEIVMEIGSLGVRNYAPENANKDAHVLHSEAKNTSQGVVQPDSEEELNGNVANPVIVTDPVPEQAHVVLEKPDLHDQIEKSIESYAKSPEGTPMSRTTFAESHGTPSSEVSVNTNKSKSKKPKSRVSRRSLTSPSSNSVGRSSTDNLSKDYKHSKREGSAKVAKTDNVDQEPRMSNSTPLPSYMQFTESARAKAAVSLSPKLSPDVQENNPRKRHSLPITNGKQDTSPRMQRSSSQAQQNVKSNVAVPQNPSDKRWNI* >Brasy9G268200.3.p pacid=40061845 transcript=Brasy9G268200.3 locus=Brasy9G268200 ID=Brasy9G268200.3.v1.1 annot-version=v1.1 MPFWFLSKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNNVYSSTYAYASEKPLHQEKPDEKILHEEKPDEKPLHEEKPDEKPMEKPTEKPVDQIIEKSIEQPDEKITETPSEEPAEAVSEETIEEPTEKAVEELDQKPDESIAISSTELKHDETASLIDESIADPEEDHVEPAAVVIQSGIGTYIGNQELSNHKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKVQGLVRAHQAQQSAGMFEGTLVRSSSEKLLRNGFAVKLMDTAPTSKSMNIRCDPSGTDVAWEWMERWTTLILPSTGGNFLENTEDSGLVVEKMEEDVHHEEKVVPLDLGMSFPKLVADNVEETLRPSDSSALEAPECVPEETSGLEIKDDSVPELTEKINDDAEQLTDPKTESVVEQPFEFSGEQSTQTVPSREPNTLPDPEKSESSSEDVMDAYKSEQTLEMEGRSFTGRKSCNPAFAAAQMKFEELTSNSTVSRSNSSSYLDGPSKPKVHTPRSQDGASPKQNRDTSMPDNTVGHDAKIIAASECGTEISISSTLDSPDRSEADGGEIVMEIGSLGVRNYAPENANKDAHVLHSEAKNTSQGVVQPDSEEELNGNVANPVIVTDPVPEQAHVVLEKPDLHDQIEKSIESYAKSPEGTPMSRTTFAESHGTPSSEVSVNTNKSKSKKPKSRVSRRSLTSPSSNSVGRSSTDNLSKDYKHSKREGSAKVAKTDNVDQEPRMSNSTPLPSYMQFTESARAKAAVSLSPKLSPDVQENNPRKRHSLPITNGKQDTSPRMQRSSSQAQQNVKSNVAVPQNPSDKRWNI* >Brasy9G268200.2.p pacid=40061846 transcript=Brasy9G268200.2 locus=Brasy9G268200 ID=Brasy9G268200.2.v1.1 annot-version=v1.1 MEKPTEKPVDQIIEKSIEQPDEKITETPSEEPAEAVSEETIEEPTEKAVEELDQKPDESIAISSTELKHDETASLIDESIADPEEDHVEPAAVVIQSGIGTYIGNQELSNHKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKVQGLVRAHQAQQSAGMFEGTLVRSSSEKLLRNGFAVKLMDTAPTSKSMNIRCDPSGTDVAWEWMERWTTLILPSTGGNFLENTEDSGLVVEKMEEDVHHEEKVVPLDLGMSFPKLVADNVEETLRPSDSSALEAPECVPEETSGLEIKDDSVPELTEKINDDAEQLTDPKTESVVEQPFEFSGEQSTQTVPSREPNTLPDPEKSESSSEDVMDAYKSEQTLEMEGRSFTGRKSCNPAFAAAQMKFEELTSNSTVSRSNSSSYLDGPSKPKVHTPRSQDGASPKQNRDTSMPDNTVGHDAKIIAASECGTEISISSTLDSPDRSEADGGEIVMEIGSLGVRNYAPENANKDAHVLHSEAKNTSQGVVQPDSEEELNGNVANPVIVTDPVPEQAHVVLEKPDLHDQIEKSIESYAKSPEGTPMSRTTFAESHGTPSSEVSVNTNKSKSKKPKSRVSRRSLTSPSSNSVGRSSTDNLSKDYKHSKREGSAKVAKTDNVDQEPRMSNSTPLPSYMQFTESARAKAAVSLSPKLSPDVQENNPRKRHSLPITNGKQDTSPRMQRSSSQAQQNVKSNVAVPQNPSDKRWNI* >Brasy9G174100.1.p pacid=40061847 transcript=Brasy9G174100.1 locus=Brasy9G174100 ID=Brasy9G174100.1.v1.1 annot-version=v1.1 MCCKFKLNKERLGCWLLVILFIGLLIGVLFGLGVFRHAYDKLTDLGRNHTCYDCDTH* >Brasy9G206400.1.p pacid=40061848 transcript=Brasy9G206400.1 locus=Brasy9G206400 ID=Brasy9G206400.1.v1.1 annot-version=v1.1 MEGAWRKAMTALRLRLCVHVPGHEGEGGATGERLPASGAGSCPTGAAVTAVGSAPDEPVSGAVRMSRSGSRSSSKGKCAICFDSMRSGHGHALFTAECSHMFHFHCISSNVKHGNHVCPVCRAKWKEIPLNRSLSSNISHGGLGINQVQLPQQDAYVALLHQAPNRQQGVRRLHSPLEPADFHDDEPLQQTEASDNLNFRSTRTAVVSMYPEFSAIPQSSSQDGFSILIHLKAPSASSDQATCTSVNESSVRSPSCRAPVDLVTVIDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRMSHYGRLQALQAINSLGAGGGTNIADGLKKATKVIEDRSYKNSVCSIILLSDGQDTYSVSSNVQGGSADYRSLIPPSILNDTQRMLPIHAFGFGSDHDSDSMHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVVAQEMRLSMECVHPGVQLCSIRSGSYPSKVARDGRSCLVDIGQLYADEERDILLSVNIPQSREQTSLLKVACSYRDLVTNETINVQGEEVKINRLTSTISEPVSIEVDRERNRVQAADSIESARSAAERGALSEAVTILQDCRRRLSESSASKSGDRLSMALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTDSTTIVHSYQTPSMLQMLEHSQNHIPLPAGQRSQVPTRSSAR* >Brasy9G290300.1.p pacid=40061849 transcript=Brasy9G290300.1 locus=Brasy9G290300 ID=Brasy9G290300.1.v1.1 annot-version=v1.1 MGSCLLHPYPQPLPLPPSNPTSRWGASYRRRRHFLRCVGVSPVTLQEQLLVPSDQRTSHKTDPRGLLVIMPERSGTVSTTGDLFGGMPRTSGKGASEHGRPGEARRSGGGEKSASSAIVALAHACRHAEVIELFCGMHKDGVPVSKFVLPSVLKACSRLQDSRMLRAVHVFVIKCALCQHVIVGTALVGAYVDFGLMDDAGKAFDEIEDANMVSWSVIIGGYVRYCRWDEAWDAFSAMRRAGVLPTDSVLLMAIQACSALFGLDRGKQLHALAVALGFERNTTVWNCLIDMYGKCGDMDSCTVVFETMIDRDQVSWNTIISSYVRLGLCEEALDMVLEMQQSGFTVDRFTLGSGVAACAHLADIDSGRAFHGYLIRRALDTDAIRGSALVDMYGKCGLMELARLVFDRMDERNYVAWDALLSGYVEDGQVDLALDILRHMESANIKPNQHTFVNLLKLCGNRRYTEYGRQIHAHAIKVIHEMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYVGDGQPVASINIYRQMELACIRPDQYTLAGLLSLCRYQGLLSYGRQIHAHLIKIGSGTNVVLQTLLVHMYVRCRQWRDAENVCTMIQERNLYVHDAFSKVYGYGYYM* >Brasy9G227700.1.p pacid=40061850 transcript=Brasy9G227700.1 locus=Brasy9G227700 ID=Brasy9G227700.1.v1.1 annot-version=v1.1 MEKLPEVSRLLVMLLGCVLLIAPSGSGSSVSDDVAALLAFKKAIIEDPLSQLSDWNSTDPDPCAWSGVTCSPDNRVEILNLSSSSLTGFLAPDIGSLSSLQKLTLDNNTLVGSIPREIGKLKNLTVLDLSTNQLVGPIPREIGDMQKITKIDLHANRLNGAIPPELGKLTNLAELRLSNNSLTGTIPASNDSIMVSTNREDQIGLCRLAQLSDIDLSYNFLDGDAPTCLRKIGRSSMVGNCFQNNDIINRPVQQCENSKDGDKDNTIGGSGQKSLLQPLWLLILEVLTGVSLLTILSLCVITFLRRRNARSSGNSVPWTRAISWKENTVISIDDDFLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSLSVSVHCWTNYIELYFQKEVVEVARLSHENVAKMVGYSKDSNPFSRMIVFEYPANGTLYEHLHDGEGCQLSWPRRMKIALSIARVLRHLHTELQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALVAKPVFGNGCVINANGGAFNGIMDSRHIRFMDVQANTFAFGVILLELISGRASLSKDTGDLVDWAREHLDQPEEFSKLVDPKLQSVSQENLGIICNAVNLCIDPEPSRRPSMNMIAAILEEGVDTSTATALRSSSLAWAQAELAIS* >Brasy9G227700.2.p pacid=40061851 transcript=Brasy9G227700.2 locus=Brasy9G227700 ID=Brasy9G227700.2.v1.1 annot-version=v1.1 MHRNLSSSSLTGFLAPDIGSLSSLQKLTLDNNTLVGSIPREIGKLKNLTVLDLSTNQLVGPIPREIGDMQKITKIDLHANRLNGAIPPELGKLTNLAELRLSNNSLTGTIPASNDSIMVSTNREDQIGLCRLAQLSDIDLSYNFLDGDAPTCLRKIGRSSMVGNCFQNNDIINRPVQQCENSKDGDKDNTIGGSGQKSLLQPLWLLILEVLTGVSLLTILSLCVITFLRRRNARSSGNSVPWTRAISWKENTVISIDDDFLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSLSVSVHCWTNYIELYFQKEVVEVARLSHENVAKMVGYSKDSNPFSRMIVFEYPANGTLYEHLHDGEGCQLSWPRRMKIALSIARVLRHLHTELQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALVAKPVFGNGCVINANGGAFNGIMDSRHIRFMDVQANTFAFGVILLELISGRASLSKDTGDLVDWAREHLDQPEEFSKLVDPKLQSVSQENLGIICNAVNLCIDPEPSRRPSMNMIAAILEEGVDTSTATALRSSSLAWAQAELAIS* >Brasy9G227700.3.p pacid=40061852 transcript=Brasy9G227700.3 locus=Brasy9G227700 ID=Brasy9G227700.3.v1.1 annot-version=v1.1 MQKITKIDLHANRLNGAIPPELGKLTNLAELRLSNNSLTGTIPASNDSIMVSTNREDQIGLCRLAQLSDIDLSYNFLDGDAPTCLRKIGRSSMVGNCFQNNDIINRPVQQCENSKDGDKDNTIGGSGQKSLLQPLWLLILEVLTGVSLLTILSLCVITFLRRRNARSSGNSVPWTRAISWKENTVISIDDDFLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSLSVSVHCWTNYIELYFQKEVVEVARLSHENVAKMVGYSKDSNPFSRMIVFEYPANGTLYEHLHDGEGCQLSWPRRMKIALSIARVLRHLHTELQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALVAKPVFGNGCVINANGGAFNGIMDSRHIRFMDVQANTFAFGVILLELISGRASLSKDTGDLVDWAREHLDQPEEFSKLVDPKLQSVSQENLGIICNAVNLCIDPEPSRRPSMNMIAAILEEGVDTSTATALRSSSLAWAQAELAIS* >Brasy9G277100.1.p pacid=40061853 transcript=Brasy9G277100.1 locus=Brasy9G277100 ID=Brasy9G277100.1.v1.1 annot-version=v1.1 MGDWKRNSIAGGPSPQAMSPATPNHGGVMGDWKRNSIAGGPSPQGMSPATPNQDIPGALHLDFFFRAGASRPASPRHGVGGSCSGNTREVGDGAAITPSRAGGSTSTITDGTLLQPGAGSNGADRTTRVAPPSRKRRRSGASAPCPSFTMLSTIPEHDATTFIDNEDGDHRISGHGSETEESMREEAMLAAYSEEEEEEEQQQQEEEEDVQEEEKEEEEQQQQGEDVQEEEKEEEKEEEEEEEEEEEEEPVVPDECVECGSPELVKLIPCRHAWYCADCFPAICRDRRCPVCGVIWTRVHHQSAGLLRPRAKKRRKKR* >Brasy9G210600.1.p pacid=40061854 transcript=Brasy9G210600.1 locus=Brasy9G210600 ID=Brasy9G210600.1.v1.1 annot-version=v1.1 MKLLSWNCRGLKKPAAVTSLLDLQGRVRADVIFLAETHLNKVKADVIRRKLGFDFMLVEESNGRAGGLILRHLSWDCLRELHGRASMPWLVVGDFNEILYHHEKEGGNPRPSKMLDDFGECLSDCGLDDLGFIGDRFTWSRGDIRERLDRAVANADWINLFPFFGVVNEEHHRSDHRPILLNTDQYDASLIKARFGGRKFEARWLSEEGVEEIVRTSWLRAINSGTAPDLAKRTAAVHADLHRWDRKVLKGPKNRIDKLKKELEKLRRDTPNQEILGRMKEVQLLIENLMDQEEVTWCQRGRANWLLHGDRNTNFFHNSATARKKRNQIKKLLDDTGVWKEGTEDLNKLITSYFSSLFTCGFSGDE* >Brasy9G335100.1.p pacid=40061855 transcript=Brasy9G335100.1 locus=Brasy9G335100 ID=Brasy9G335100.1.v1.1 annot-version=v1.1 MDAKGAAPPENPNPSGGGGVIFESAAAAAEAASSSTTKAMLAFHFVRALSRVIHGGGGSARRRTRTIRRAAYASMARAAGPRRAWSRALLRQARARRSAAASAGVLVRRRRRVAAGSTAWRSSSAASTHGETSSAARGEAPLPPPAARREAATGGEPARTEALRRLVPGGAGMEYCSLLEETADYVRCLHAQVQLMQGLADLFSCQ* >Brasy9G154600.1.p pacid=40061856 transcript=Brasy9G154600.1 locus=Brasy9G154600 ID=Brasy9G154600.1.v1.1 annot-version=v1.1 MSQTFKYDGIFCCLPTPLFNDLCKISLHFEKLEKTVQATIVGVRVTGRRRTWPFKHGGRVTCFAKGFSEPGELESNHELSKEVVLQDQVRANHSDGYVDLSRHVVSVELGGTLEVIIREWSESAAFTAHQVHVFFKTQEGKISQETCYLGHSELEVTVAWSLLARDKLAREGCVDSD* >Brasy9G324200.1.p pacid=40061857 transcript=Brasy9G324200.1 locus=Brasy9G324200 ID=Brasy9G324200.1.v1.1 annot-version=v1.1 MCCHPNILRVLMLAGIKLGTGESLFWAGLMHIKNKCFSHCKWVVGNGANARFLEDWWIGDFPLCQQYPVLYVFDKEHSVATAIRNNGRSICFR* >Brasy9G237100.1.p pacid=40061858 transcript=Brasy9G237100.1 locus=Brasy9G237100 ID=Brasy9G237100.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKNVGKGEGEERLGAG* >Brasy9G082600.1.p pacid=40061859 transcript=Brasy9G082600.1 locus=Brasy9G082600 ID=Brasy9G082600.1.v1.1 annot-version=v1.1 MRMRERIPAGVLREARTEQNGGRPAGIQRLLPPSRLAVGQTSRHSVDRGLADGEIEHTDASSAATRGAAAAEEEVTLAGRRICCPFQRRRRVRGEVAARETAGCAGAGGNYSVPPGRSIFHRSIPDRSQAPSPHLSPSRTLSPTSVPSLPPGLLPASVSAPRACLAATPAWPPPLFFSPSRPLLYRRWCHRPLLLSHKAAVDEEDDRRRRCMLATVEAALCGGRHWVAGHHRRRKRPPPLATLPLVRRLAISRPSSITSSPLTMV* >Brasy9G176400.1.p pacid=40061860 transcript=Brasy9G176400.1 locus=Brasy9G176400 ID=Brasy9G176400.1.v1.1 annot-version=v1.1 MADSRSWADLNRELLVSIADLSLEGYACLRDVCTAWSSAFANTPKFPCLLFLAGGQRPSAFSLPMQRSFELYPAPAPFVDLGVSSFFSERRQINCALADLRTFCTGNRLIGSSNGYVAVASEYGNGRSNRRRSGYSSSTVISLRNPLAAPGPGQEIELLRRTDWDTSSLGKMVFSPNPMPEDYTAVAIWGLNQVAYTSSKEKDWKILDVGTVSWESVSDLAYDTEGGDVYLLDSRGDVRVLRVPRGSTPDPQMPIAIEPLMPEHAVSPFEIDVFAPPYDAVSSLMFMKKLFFCEGRLYQVWQNTSATVHVRSPSQPGGSFTVAADRIFVLRYDPERRPCWDTVKDLGGCSVFIGRNSPAVVRPEAVPGIDWLGTPMVCDMATGSSTQFVLTNGARCAIPDHPGRGNRFWYFPEDNMTSTDKGKKKRRVSRDDRRQPAGLTSNIEDRAAKKLMM* >Brasy9G312700.1.p pacid=40061861 transcript=Brasy9G312700.1 locus=Brasy9G312700 ID=Brasy9G312700.1.v1.1 annot-version=v1.1 MAAPKRRPIFRRVVLPPPLLFFFLLFLIGAFTDQCEARALRESKRSSLMMDALYKLNFIRTVEPPYLPPPPFPPTATASSEDADAASLAGSPFCVNPPNAPPSSTPPFTSTSPSTTTPSVPDDQLPPPLPPINPTPPPSFGEPSPPSGSGTPGSSPGPGPPSSNQPQFGPSPPGTAPPSPIEVVPSPPDDSGQGSGSGSGSGGFMPPIIYPPPLAPPTAPAEQSLWCVAKPTVPDPILQEAMDYACGSGAECRPIQPAGACSRPDTVLAHASFAFNSYWQMTRAAGGTCDFGGTATIVTSDPSYDRCAFTLV* >Brasy9G284000.1.p pacid=40061862 transcript=Brasy9G284000.1 locus=Brasy9G284000 ID=Brasy9G284000.1.v1.1 annot-version=v1.1 MHGRRADGGEGCGKRRPFLRHMWPATRVEAAPPPPAKGPSSPLPRSSAPPLRTTSYPPAPTTPPASTHKEEGADSPRPASADSFIKDGREFRVGDCALFQAVDVPPFIGLIRWIEKKEEGFPKLRVSWLYRSADVKLSKGLQVNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNGPSPQQKSGSDDAQSCGLSKGRKRDRTEQGIDPAKRDRERPLKVEDGELVNFKMDNMKSEIAKFADKGGLPHAEAVEKLVKYMQLDQIERKIDFAGRVMLADIIAATESTDCLMRFVQLRGLPILNEWLQETHKGKSGDGGSPRETDKPVEEFLLALLRALAKLPINLIALQSCTIGKSVNHLRSYRNPEIQKKAKCLVDNWKKRVDAEMKSNEAKPVVPGQVSPVRRISWSGKGFPEISNGANRQCGSSEPSPKNPHHSSSKALAAKPGAADASSKLQHMQPASVATNVKDLPCKSTGGSELPTVKEEKSSSSSQSLNNSHSCSSDHAKTFGSSWKEDARSSTAASGNASKTSGSTSRIHRRASSVRLGSGIQKEAIVGRSTSLDRSSFQEKSPQSGMASEKGGDTPSDNGNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGSRSSSPVLADKHEQNDRRVKMKTENPRPQLASDANAESWHSNEVKGAAGSEEGDRSASAPLEDYRSRTTEEAAKDACAVQVASSSHANEKGVCSSETKGNSFSPMNALIEIKYSEAGPSLLAGDDTAINLLASVAGEISKSELVSPSTSPRNSTANEVGCEGDSIEKLIVQSDVVLSEHQGPAHVEKVITEKQKRADASLISKEERQQSNIISLQNNKCSTGPSPQNDTDSKAVESSANTNNQEEHLNKFVSQPGVVSQGEGGKACAVRAIVEDGCTTRPDVGVTLGGQCISVDSNRNSDLPPAEVLPSCAPDKQAHAKFKSTDEKRLVGVLDQSECTDTCGDSAAGKLDLKFSVCSVPVDPQKTEVLGVGNTVVKQDENKGQITASSADVNKVVVLPLDVPNGIKESKDSSSESSSHVKPQALISHHTGHGAKQSSKKLSDDVAGKEDRVSSDEGSSIAADKGKQNGTAKLDFDLNELGDEGIHSEPATSPVISSSAMHLPGLSPFVSPILSGLPAPITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKILGMHLSAPDITASDAARKHSRPAFDIDLNVADDQVLEEDISQSSAQTTGSESGNTRSRDGRVRSAGIELDLNRADEVAENSQFISMLPARPMPGPLSNADTNSSRNFFDLNNGPCLDEANTEPAQRSLSSKSTSSIPFLPQVAGIRMSNAEMGNMSPWFASANPCAPVALQSFLPARGEQPYPIETAPGTQRIIAPASDGAQFRSDSCRVPVISTSQSMVFHPPAYQYAGFPYTPSVHLQTPGFPIGSTSYANSASAGVPYFPTLAPALVGSTGGLPAQHARPYTINLTEGSSSDGHDSNWKWRRQGLDLNSGPGSIDIERKDERLPSSIRQNLITPPQAFVEEQTRMYQMQGVGIKRKEPEGSWDAERSAYKQLSWQ* >Brasy9G284000.2.p pacid=40061863 transcript=Brasy9G284000.2 locus=Brasy9G284000 ID=Brasy9G284000.2.v1.1 annot-version=v1.1 MHGRRADGGEGCGKRRPFLRHMWPATRVEAAPPPPAKGPSSPLPRSSAPPLRTTSYPPAPTTPPASTHKEEGADSPRPASADSFIKDGREFRVGDCALFQAVDVPPFIGLIRWIEKKEEGFPKLRDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNGPSPQQKSGSDDAQSCGLSKGRKRDRTEQGIDPAKRDRERPLKVEDGELVNFKMDNMKSEIAKFADKGGLPHAEAVEKLVKYMQLDQIERKIDFAGRVMLADIIAATESTDCLMRFVQLRGLPILNEWLQETHKGKSGDGGSPRETDKPVEEFLLALLRALAKLPINLIALQSCTIGKSVNHLRSYRNPEIQKKAKCLVDNWKKRVDAEMKSNEAKPVVPGQVSPVRRISWSGKGFPEISNGANRQCGSSEPSPKNPHHSSSKALAAKPGAADASSKLQHMQPASVATNVKDLPCKSTGGSELPTVKEEKSSSSSQSLNNSHSCSSDHAKTFGSSWKEDARSSTAASGNASKTSGSTSRIHRRASSVRLGSGIQKEAIVGRSTSLDRSSFQEKSPQSGMASEKGGDTPSDNGNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGSRSSSPVLADKHEQNDRRVKMKTENPRPQLASDANAESWHSNEVKGAAGSEEGDRSASAPLEDYRSRTTEEAAKDACAVQVASSSHANEKGVCSSETKGNSFSPMNALIEIKYSEAGPSLLAGDDTAINLLASVAGEISKSELVSPSTSPRNSTANEVGCEGDSIEKLIVQSDVVLSEHQGPAHVEKVITEKQKRADASLISKEERQQSNIISLQNNKCSTGPSPQNDTDSKAVESSANTNNQEEHLNKFVSQPGVVSQGEGGKACAVRAIVEDGCTTRPDVGVTLGGQCISVDSNRNSDLPPAEVLPSCAPDKQAHAKFKSTDEKRLVGVLDQSECTDTCGDSAAGKLDLKFSVCSVPVDPQKTEVLGVGNTVVKQDENKGQITASSADVNKVVVLPLDVPNGIKESKDSSSESSSHVKPQALISHHTGHGAKQSSKKLSDDVAGKEDRVSSDEGSSIAADKGKQNGTAKLDFDLNELGDEGIHSEPATSPVISSSAMHLPGLSPFVSPILSGLPAPITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKILGMHLSAPDITASDAARKHSRPAFDIDLNVADDQVLEEDISQSSAQTTGSESGNTRSRDGRVRSAGIELDLNRADEVAENSQFISMLPARPMPGPLSNADTNSSRNFFDLNNGPCLDEANTEPAQRSLSSKSTSSIPFLPQVAGIRMSNAEMGNMSPWFASANPCAPVALQSFLPARGEQPYPIETAPGTQRIIAPASDGAQFRSDSCRVPVISTSQSMVFHPPAYQYAGFPYTPSVHLQTPGFPIGSTSYANSASAGVPYFPTLAPALVGSTGGLPAQHARPYTINLTEGSSSDGHDSNWKWRRQGLDLNSGPGSIDIERKDERLPSSIRQNLITPPQAFVEEQTRMYQMQGVGIKRKEPEGSWDAERSAYKQLSWQ* >Brasy9G284000.3.p pacid=40061864 transcript=Brasy9G284000.3 locus=Brasy9G284000 ID=Brasy9G284000.3.v1.1 annot-version=v1.1 MHGRRADGGEGCGKRRPFLRHMWPATRVEAAPPPPAKGPSSPLPRSSAPPLRTTSYPPAPTTPPASTHKEEGADSPRPASADSFIKDGREFRVGDCALFQAVDVPPFIGLIRWIEKKEEGFPKLRDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNGPSPQQKSGSDDAQSCGLSKGRKRDRTEQGIDPAKRDRERPLKVEDGELVNFKMDNMKSEIAKFADKGGLPHAEAVEKLVKYMQLDQIERKIDFAGRVMLADIIAATESTDCLMRFVQLRGLPILNEWLQETHKGKSGDGGSPRETDKPVEEFLLALLRALAKLPINLIALQSCTIGKSVNHLRSYRNPEIQKKAKCLVDNWKKRVDAEMKSNEAKPVVPGQVSPVRRISWSGKGFPEISNGANRQCGSSEPSPKNPHHSSSKALAAKPGAADASSKLQHMQPASVATNVKDLPCKSTGGSELPTVKEEKSSSSSQSLNNSHSCSSDHAKTFGSSWKEDARSSTAASGNASKTSGSTSRIHRRASSVRLGSGIQKEAIVGRSTSLDRSSFQEKSPQSGMASEKGGDTPSDNGNSHRLIVRFPNPGRSPARSASGGSFEDPSVTGSRSSSPVLADKHEQNDRRVKMKTENPRPQLASDANAESWHSNEVKGAAGSEEGDRSASAPLEDYRSRTTEEAAKDACAVQVASSSHANEKGVCSSETKGNSFSPMNALIEIKYSEAGPSLLAGDDTAINLLASVAGEISKSELVSPSTSPRNSTANEVGCEGDSIEKLIVQSDVVLSEHQGPAHVEKVITEKQKRADASLISKEERQQSNIISLQNNKCSTGPSPQNDTDSKAVESSANTNNQEEHLNKFVSQPGVVSQGEGGKACAVRAIVEDGCTTRPDVGVTLGGQCISVDSNRNSDLPPAEVLPSCAPDKQAHAKFKSTDEKRLVGVLDQSECTDTCGDSAAGKLDLKFSVCSVPVDPQKTEVLGVGNTVVKQDENKGQITASSADVNKVVVLPLDVPNGIKESKDSSSESSSHVKPQALISHHTGHGAKQSSKKLSDDVAGKEDRVSSDEGSSIAADKGKQNGTAKLDFDLNELGDEGIHSEPATSPVISSSAMHLPGLSPFVSPILSGLPAPITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKILGMHLSAPDITASDAARKHSRPAFDIDLNVADDQVLEEDISQSSAQTTGSESGNTRSRDGRVRSAGIELDLNRADEVAENSQFISMLPARPMPGPLSNADTNSSRNFFDLNNGPCLDEANTEPAQRSLSSKSTSSIPFLPQVAGIRMSNAEMGNMSPWFASANPCAPVALQSFLPARGEQPYPIETAPGTQRIIAPASDGAQFRSDSCRVPVISTSQSMVFHPPAYQYAGFPYTPSVHLQTPGFPIGSTSYANSASAGVPYFPTLAPALVGSTGGLPAQHARPYTINLTEGSSSDGHDSNWKWRRQGLDLNSGPGSIDIERKDERLPSSIRQNLITPPQAFVEEQTRMYQMQGVGIKRKEPEGSWDAERSAYKQLSWQ* >Brasy9G339800.1.p pacid=40061865 transcript=Brasy9G339800.1 locus=Brasy9G339800 ID=Brasy9G339800.1.v1.1 annot-version=v1.1 MKITVHSSKAVKPDYGSRPAPATDDVIPLTVLDKANFDCYISVIYAFYPPSPPNPTLEAGLAKCLAEYREWAGRLTTDPATPGSRGIVLNDAGARFVEATADVALDSVMPLEPTPEVLALHPCVGEDEPEPEPELMLIQVTRFACGSMTVGFTTQHIVSDGRATGNFFVAWSQATRGVALVPAPVHDRASFFSPSDAPKVQQHQHRGVEFKPAPSMDDIAVAVHEDEDEVVVSKVHFSRDFISKLKSEASVGRNQQRPYSTLQCLVAHLWRRITKARGLLPHEHTSVSIAVDGRARIVSPRPVPEGYTGNVVLWARPTSTAQDLVEFRPLAHTVELINKAVGRIDDGYFRSFVDFAGSGAVEKERLVPTADAKEMVLSPDIEVDSWLRMPFYDVDFGAGRPFFFMPSYLPVEGLLILLPSFLGDGSVDAYVPLFGRHVDTFKSCCHTLE* >Brasy9G325300.1.p pacid=40061866 transcript=Brasy9G325300.1 locus=Brasy9G325300 ID=Brasy9G325300.1.v1.1 annot-version=v1.1 MDVPAPSGRAGCNGNMGSPVTEPSDDPFTATMNFDGYLELCSPSLADQLYSLVNDPSAHQMFAMWSSLGSSPRASGMSEDMPLGAYAGVSEAVAAAPPQKIDLVASANPAETGRGARSSGEPGADSDPGQGRTSLVPRPVGGNFLADRMLMALSFFRESLGDGVLAQVWMPVEQEGHAVLSTSDQPFLLDQSLAGYREVSRNFVFSAKEETGLHPGLPGRVFISGVPEWTSNVLYYSKPEYLRIEYALHHEVRGSLAMPIYDPSKGSCCAVLELITKKEKPDFNAEMDNVRQALQAVNLKTTKDCSNQKFYTENQKAAFTEILDVLRAICHAHMLPLALTWVPMPNGIDGAYVVGRDGAIGSQSGKEILCVHESACYVNDAKMKGFFHACAESPLEKGQGIAGRALKSNLPFFSPNIREYNIKDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPINCRGSGEQQMLLNNLSSTMQRICKSLRTVAESEVDKVDVRNAELYKTTSESVSPTGHSESSSHCDKPITEASFQNLSLVDKQGYMEPEQVQSSSMRLAEKKRSTAEKNISLDVLRKYFSGSLKHAAKSLGVCPTTLKRICRAHGISRWPSRKINKVNRSLKKIQTVINSVHGVDSSLQYDPGTGSLVPAASLPEKRPASSTDALPAPSSGKTVEQKSGPKSEQAYSSPDGWQSESYQVQLSDAEKGEGGEFHMQTSNHSDIGNHTSYGANVTPHFSPEGTQGQSYPVGTGCAFHPKETGCVSPYASARPSAENTGDQIVGRNSPSLQQADIDMVDDTFQKTNMVDDHEAREHTHPSTSGMTDSSSGSASSHPTFKKEPGHALKGKSGATLTVKATYNGDTVRFKFLPSQGWYQLLEEIAKRFKLSTGTFQLKYKDDEDEWVILANDSDLQECVDVMDSMGSCNVKLQRSRQPCHQPWLAVAVLACIHRPCHAMLSAFEVGNGELAAAVVGDHLLHADKSRRRIAHRRADHRPPRPPRRLLVRQHLDHGGHGRPLARALLDAQQPNLDARRGLLRLRRPAAAAHRRIKELQAPALFK* >Brasy9G242200.1.p pacid=40061867 transcript=Brasy9G242200.1 locus=Brasy9G242200 ID=Brasy9G242200.1.v1.1 annot-version=v1.1 MSLFPIPIFLFLVPPRTTSSRMPIRLLRPLPSCSPADASAAGEFAAIAPPTRSPSPPSIPRLAAPTRQAPRLPCRGRHARPRNRLCGGHAAHQPRPHGHRRRHLRWPATAAVKIRKRSCARRPPSARRWGISGAAAAGREGISPSPSLSSLPEHGRRTREIVGSHHRVGRRGLRASCWIRRPWRLGREEETGGAGWRLESSFPCRPWRLVGRHNEGKRMEATGDSCDRRLLLSSYLDPRATRAAAPRRRVGSPQNLNGLFYPLFSLAPAASPSKHASPPRDSAHVVVPSARLAWTGVWRSLRA* >Brasy9G276500.1.p pacid=40061868 transcript=Brasy9G276500.1 locus=Brasy9G276500 ID=Brasy9G276500.1.v1.1 annot-version=v1.1 MAASVACAFFFDAEPVGETGKHALDACALCAKPLARDSDIFMYRGDTPFCSEECRDEQMQLDAVRARQAARRLRQYSSGTETRRGHQESRKVSVVS* >Brasy9G081800.1.p pacid=40061869 transcript=Brasy9G081800.1 locus=Brasy9G081800 ID=Brasy9G081800.1.v1.1 annot-version=v1.1 MAVYTARSSASATAGAFFFFLACCFATIFSSSVVHARHFPFPRKDHAITSRGTINFSWPLFSRNTGSGGGHGSGGGHGFGWAVSHNATTTTVGLGGGIGGGVGRTTGNDNGGTAAAAGGGLGVGVGIVFSKKGGLDVAIGVGGGASADAAACAPDGSVSVGGGEGVGIHVSPRGGVTFSHGGGGDSGGGSGVGVGHSGDAVGSGQGSGTAGGGVGSGGGNGTGSGPGVSGGGGGGGGGSGHP* >Brasy9G001400.1.p pacid=40061870 transcript=Brasy9G001400.1 locus=Brasy9G001400 ID=Brasy9G001400.1.v1.1 annot-version=v1.1 AFLSWIPFTSRSPEDTPPVPHPPPTHAPLPLAAAKPRPLSPRSPSSTSRHRRLPFFAAQIHRSPYRPSANSAPPERGGGCGGGSRTWVVVPAGEVLGANSPKVVDWEELQQELARLWSLCAALAAARLDSALEVNIPLQDIHEIKRSSLLLSTQPLLYIFTPVRVVMEHLLCAANN* >Brasy9G264900.1.p pacid=40061871 transcript=Brasy9G264900.1 locus=Brasy9G264900 ID=Brasy9G264900.1.v1.1 annot-version=v1.1 MRQRRSPLPTARPPRRRRPRPTPARPGSPTPPASRSTPPPSSVWRATRTRASASSSLTTTRNAKRKRGRLGLNETEAEHCSDEKFERQFAVELLELTRVKSWLVFFCEGNHDLFDFQLMSL* >Brasy9G209300.1.p pacid=40061872 transcript=Brasy9G209300.1 locus=Brasy9G209300 ID=Brasy9G209300.1.v1.1 annot-version=v1.1 MEGGGTVADKAEFKDCLRLTWSQPFILRLVFSAGIGGLLFGYDTGVISGALLFIRDDFIVLEKNTALRETIVSMAVAGAIVGAGLGGWMNDRFGRRPSILIADALFFAGAMIMAFAPTPTVIIVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGLLITGGQFMAYLINLAFTKVPGTWRWMLGIAGVPALLQFILMLTLPESPRWLYRKGRKEETAAILRKIYPANEVDQEIESLRKSIDDEILLEGSIGGDQGMLGKLKKAFGSKVVRRGLMAGVIAQVAQQLVGINTVMYYSPTIVQLAGFASNDTAMALSLITSGLNAVGSVVSMFFVDRAGRRRLMLMSLVGIVVWLAVLGGTFLGAAHTAPPVSELETLRFANQTCPEYRPQLHWSCIDCLKAESTCGFCAHQGDKLRPGSCLALSNVTRGMCRVDNREFYSEGCPNNFGWLALLALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLVVTQTFLSLTEALGTAATFFLFCGVSMLALVVVYLTVPETKGLQFEEVEKMLESKDYRAWKRFRPEPAKRREVGLAVP* >Brasy9G285100.1.p pacid=40061873 transcript=Brasy9G285100.1 locus=Brasy9G285100 ID=Brasy9G285100.1.v1.1 annot-version=v1.1 MHAGFGPESPDASAGRRFDLSGGSGNKPTPPTAHRALTGINRRPAADPYPTFIAWNTEPTPARASLASFGLLAHKIAPKPHAGSSTERRSLKKETKKVQSVGVTVPHCSSAPLPAARRAPARRAPARRRCWSRLCPPRGSLPAARRAATAAARRAPARRRCAPHPCPPPPLLAARRRSAAPLLGRSGGLA* >Brasy9G173700.1.p pacid=40061874 transcript=Brasy9G173700.1 locus=Brasy9G173700 ID=Brasy9G173700.1.v1.1 annot-version=v1.1 MSCINNTCILNSIPLTISGCTNSFFLPNTYNHFSLPLKKPFGGGGTSPHLLICYVWDHGPVFFQPSTVSEEPLLSIPLDAPQCLLHPSPTPHSKILRLAVGCSPSLSEGCCRIRPPCSSTTPRGPSLPLSQRHGNTHPLSFLWHVASSISRATEGSAWRGDELR* >Brasy9G310700.1.p pacid=40061875 transcript=Brasy9G310700.1 locus=Brasy9G310700 ID=Brasy9G310700.1.v1.1 annot-version=v1.1 MMEFKIKGCTASTARQKIPQGSQLAKEIVLAIWYHKDCVRKRKSETCTTELGRYISGVAMLRKCCIPF* >Brasy9G310700.2.p pacid=40061876 transcript=Brasy9G310700.2 locus=Brasy9G310700 ID=Brasy9G310700.2.v1.1 annot-version=v1.1 MMEFKIKGCTASTARQKIPQGSQLAKEIVLAIWYHKDCVRKRKSETCTTELGRYISGVAMLRKCCIPF* >Brasy9G072700.1.p pacid=40061877 transcript=Brasy9G072700.1 locus=Brasy9G072700 ID=Brasy9G072700.1.v1.1 annot-version=v1.1 MEASCIATVNKFQSLSILFLRALLKLLALPPTLLKRFSKEEHHHPPLAELPQDILMDIFTLLEIPDLLRAASVCSSWFSAYTGLRTFQQYKQSQTPCLLYTSETDSESVARLYSLVEKRSYKLRLPEPPIRSRDLLGSSNGWLVIADDRSEMHLLNPITGEQFALPSVITLEHVTPILDESGAICKYRLMRFYFMDLTLTLDLSELREHLGCRAFVFYDTLAEGYIVVLIHKPATQLSFAWLGDEKWTWLSPKDGLPYSCFLDCAYKDGLLYAITSEGEIFAIDLRGPIITAKLIMDRNTIYGYDYIYVVPSPCGSLLQVRLELDAYKYDDDADSATYVSNTEMINIFKVDTAAQKLVKINSLDDHVLVLGHNQSSLCLSAKEYPQLKANHVYCTQRHDYFTDFGHVNNYYTVVFDLANNSRQDLLPPQLRSNLPAPIWITPTLTKLSQTPV* >Brasy9G327600.1.p pacid=40061878 transcript=Brasy9G327600.1 locus=Brasy9G327600 ID=Brasy9G327600.1.v1.1 annot-version=v1.1 MENLGQELPDAASEKSFIGRAKRDQVLLGVLSEYHGPAAFRTPASELIPMRQGRLNRWDGRRTKKRTGKKEAKKGLLCS* >Brasy9G170200.1.p pacid=40061879 transcript=Brasy9G170200.1 locus=Brasy9G170200 ID=Brasy9G170200.1.v1.1 annot-version=v1.1 MKSPRNHERTCVACAADGLHHSTPCDCKESLPLSSSSPSYFQEAIMLPRAPWALRHHHHHHLLLSPPALTSKKERPGGEL* >Brasy9G175200.1.p pacid=40061880 transcript=Brasy9G175200.1 locus=Brasy9G175200 ID=Brasy9G175200.1.v1.1 annot-version=v1.1 MRSGHHVCSAVASVVLLWLGVAAAQGASSWKTLSGDAPAIIAKGGFSGLFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGVCLPDIKLDNCTNIPEFYADGKKSYLVNGVSTTGWFSVDYNGTELSQVSLKQSIYSRTPRFDPSFFPLLAVEDVASKFKPPGIWLNIQHDSFYSQFNMSMRNYILSVTKRVVVDYISSPEASFLTSISGRVSNKTKLVFRFLDEATLEPSTKQTYGSMLKNLTFVKTFASGILVPKKYIWPVSPDNYLQPYTSIVDDAHKAGLEIYAADFANDFTLSYNHSYDPLTESLSFIDNGAFSVDGILTDFPVTPSEAIGCFANLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQITKDGIPVCMGSINLMDGTTVAKSPFASQIAVIKDIQSVLGVFTFNLTWEDIVKNLKPKISTPLSTFALDRNPRYRNAGNFMRLSDFLDFSKDKDLSGIMISIENAAFLAEELGFDMVDAVIKALDASGYNKQTAQKIMIQSTNSSVLVKFKQQTKYDLVYMINEDVRDAAPSSLADIKKFASAVSVETGSIFPENHHFLTSSSGLVQSLKTANLSVYAYTLMNEFLSQPYDFFSDATAQINAYVQGARVDGLITDYPATARRYKLNACKNMGKKAPSFMAPARPGDLLQIISKPAQPPALAPMPLLMDSDVTEPPLPAARSNNGTAPAPSFACRMETHVPILVTLAMLCASVLI* >Brasy9G106000.1.p pacid=40061881 transcript=Brasy9G106000.1 locus=Brasy9G106000 ID=Brasy9G106000.1.v1.1 annot-version=v1.1 MGTLKLLAPPSTSTTEARPAVLGSNDLKFLAPDLRWGHPPTAGAFVVWRREVHLFLMRRRHVFLPPCSEAACPEEPSFCWWRRWQELSKLGKGRRRCFPMDTWAVGGTVLAARELVQDAWTNALLVTLHLYLRGLGVKFIFCMAFDVTRVVNCCGFVCPPF* >Brasy9G260800.1.p pacid=40061882 transcript=Brasy9G260800.1 locus=Brasy9G260800 ID=Brasy9G260800.1.v1.1 annot-version=v1.1 MFLVGVVAELLEDYTALVARVLEQLLNDAPFPRPMRTLMLRSLPFAAPPPPPPPPPHALRVTAARG* >Brasy9G056400.1.p pacid=40061883 transcript=Brasy9G056400.1 locus=Brasy9G056400 ID=Brasy9G056400.1.v1.1 annot-version=v1.1 MSFGKIASIKQMKGDYQDNNNHNIQNGNNTGNNITGPNAASPFTGASAVNGNTVNGPQQPQVPRCSVNHVGAVGGN* >Brasy9G069700.1.p pacid=40061884 transcript=Brasy9G069700.1 locus=Brasy9G069700 ID=Brasy9G069700.1.v1.1 annot-version=v1.1 MASNNVATEVNAAITNLNAHLGGDDASGKNTIITLAGENNGATMEAAGEAEDLVVVEAGGGEEEDEEQEVEVSAYTNSNYQAVNNSVLVAGSCAVRDPGVHVVVVEHVDDIRDCDEQGLFQEGEFVANKMN* >Brasy9G191900.1.p pacid=40061885 transcript=Brasy9G191900.1 locus=Brasy9G191900 ID=Brasy9G191900.1.v1.1 annot-version=v1.1 MSQPSSSFAGFAGVDPRSVNNLQASPAGNNHEEVNVQESSGSSPGEEEEQVTKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVVEEYNNNTPQDRKRSSKQLRDHWSKANQLVTLFNGCYATQKSVYASGINDKDLMDQAKADFKSKNKQKPFNLEYWWEAVRQHQKWRSIYMEKDCSSKRAKISEAGTYTSSSKETEETVEPRPEGQKQAKRKLKAAAKGKSSSSNLQSDPTMRLYHDAMALKSEAKKEKASAMKDYAAATMEKARAKKLDTYMKMLQTDTSSFNEAKLLRHENMVDQLGLELFSIKD* >Brasy9G095500.1.p pacid=40061886 transcript=Brasy9G095500.1 locus=Brasy9G095500 ID=Brasy9G095500.1.v1.1 annot-version=v1.1 MRPASLRLSASPSWLRSSVDRGDMAKQLKVLLPSSLQKLPISDELAGHLVDAGNKSGAGPWITQPTALVVNSFGKVWHVGVDRDGDGAFLGRGWPEFAAAQGFGVGWFLVLRHQGRGVLTVKAFDTTFCLPEIGLLPSAASRGNRHSTRKPQFIKILLSCSMEKMLIPANFVQLHIPEVDQSSQTAVIVNPIGKFWRIGLDKNGLGVLLAGGWSQFLAVNGISEGEVVLLRYEGNMTFKIKVFGHNGCQKDFRDQGIRVEQNTEKQKEASSCSRKCKRKNEISSGKQNGRPKGSVTSLNKGSSQKKTKQSSYEIGPRSWIKKEISTSSIERYLCLGLTFCDSIGFVEHCTITLKTSMKSAKCWQVRALGYKKYRYLTGEAWKSFCQENGIREGDVCTFNVVERLLWHVVITRCSSSARTG* >Brasy9G095500.2.p pacid=40061887 transcript=Brasy9G095500.2 locus=Brasy9G095500 ID=Brasy9G095500.2.v1.1 annot-version=v1.1 MPVIKAEPAPGLPSRRPSSSTHSARSGTWGSTGMAMAHSWVAGGRSSPPRRASASGGSWCSATKDAACSPSRPSTPPSAFRRSVFFPLQLIPANFVQLHIPEVDQSSQTAVIVNPIGKFWRIGLDKNGLGVLLAGGWSQFLAVNGISEGEVVLLRYEGNMTFKIKVFGHNGCQKDFRDQGIRVEQNTEKQKEASSCSRKCKRKNEISSGKQNGRPKGSVTSLNKGSSQKKTKQSSYEIGPRSWIKKEISTSSIERYLCLGLTFCDSIGFVEHCTITLKTSMKSAKCWQVRALGYKKYRYLTGEAWKSFCQENGIREGDVCTFNVVERLLWHVVITRCSSSARTG* >Brasy9G095500.3.p pacid=40061888 transcript=Brasy9G095500.3 locus=Brasy9G095500 ID=Brasy9G095500.3.v1.1 annot-version=v1.1 MDIYLCLQLIPANFVQLHIPEVDQSSQTAVIVNPIGKFWRIGLDKNGLGVLLAGGWSQFLAVNGISEGEVVLLRYEGNMTFKIKVFGHNGCQKDFRDQGIRVEQNTEKQKEASSCSRKCKRKNEISSGKQNGRPKGSVTSLNKGSSQKKTKQSSYEIGPRSWIKKEISTSSIERYLCLGLTFCDSIGFVEHCTITLKTSMKSAKCWQVRALGYKKYRYLTGEAWKSFCQENGIREGDVCTFNVVERLLWHVVITRCSSSARTG* >Brasy9G156200.1.p pacid=40061889 transcript=Brasy9G156200.1 locus=Brasy9G156200 ID=Brasy9G156200.1.v1.1 annot-version=v1.1 MATALVSRVESWVRNQAARLPPWAPPLPVPRWPWPPPRAPAWPGDRRRQRERMFREEFERRRIQLRELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDVIADVNILQGTIFHEDTTQDLADAIGAEQNGDPTGEENLGVPHREKPKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILREISSSSPTKEANRLQVKCITFSQPPVGNPALRDYVHQRGWQDYFKSYCIPEDVVPRILSPAYFHHYNAQTAEAPFVNTTDVKSEENNGTSTEKTNENNREQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSYLQSKVDESEEEPQSLEIQEGSEGIALTPLSDNHGGGTEGNSGTEKINAPGVGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVIAELRERLQSHSMKSYRARFQKMYDMCMCANIPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPGGKSAEPLKVDIIGHDLSMCTLFQAQINGSWYSTVIETLPSATSYSSDQEVQPTLQKMRIIVGLPQKPPPNYTSEDFMVPVVTGVNLNPDNGFESFFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRFLGLEGAGKTSLIKAMLGQVKERNNAVLECIHVDMHSKGVSNGLCYLDSATVNLQELPLEVRRFKEELQLGLHDLSRKIDLIVVVHNLAHRIPQYYQPNASEPRPALSLLLDEAKILGVPWILAITNKFAVSAHEQNELISSAMEAYKASPDDTKVVNSTPFSMPSATNSLRRISSTSGNLENKDSSGRATFHPVNFVLSPFQRKDIVMHAEGVTALRQHLHQVIANNEEPALEELARERLSLELAREKAASLQVKQKPLKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP* >Brasy9G156200.5.p pacid=40061890 transcript=Brasy9G156200.5 locus=Brasy9G156200 ID=Brasy9G156200.5.v1.1 annot-version=v1.1 MATALVSRVESWVRNQAARLPPWAPPLPVPRWPWPPPRAPAWPGDRRRQRERMFREEFERRRIQLRELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDVIADVNILQGTIFHEDTTQDLADAIGAEQNGDPTGEENLGVPHREKPKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILREISSSSPTKEANRLQVKCITFSQPPVGNPALRDYVHQRGWQDYFKSYCIPEDVVPRILSPAYFHHYNAQTAEAPFVNTTDVKSEENNGTSTEKTNENNREQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSYLQSKVDESEEEPQSLEIQEGSEGIALTPLSDNHGGGTEGNSGTEKINAPGVGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVIAELRERLQSHSMKSYRARFQKMYDMCMCANIPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPGGKSAEPLKVDIIGHDLSMCTLFQAQINGSWYSTVIETLPSATSYSSDQEVQPTLQKMRIIVGLPQKPPPNYTSEDFMVPVVTGVNLNPDNGFESFFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRFLGLEGAGKTSLIKAMLGQVKERNNAVLECIHVDMHSKGVSNGLCYLDSATVNLQELPLEVRRFKEELQLGLHDLSRKIDLIVVVHNLAHRIPQYYQPNASEPRPALSLLLDEAKILGVPWILAITNKFAVSAHEQNELISSAMEAYKASPDDTKVVNSTPFSMPSATNSLRRISSTSGNLENKDSSGRATFHPVNFVLSPFQRKDIVMHAEGVTALRQHLHQVIANNEEPALEILSGMVVRSVPQKMHLVWWSIHNLPDRKYVLSFKSNTTLV* >Brasy9G156200.4.p pacid=40061891 transcript=Brasy9G156200.4 locus=Brasy9G156200 ID=Brasy9G156200.4.v1.1 annot-version=v1.1 MATALVSRVESWVRNQAARLPPWAPPLPVPRWPWPPPRAPAWPGDRRRQRERMFREEFERRRIQLRELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDVIADVNILQGTIFHEDTTQDLADAIGAEQNGDPTGEENLGVPHREKPKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILREISSSSPTKEANRLQVKCITFSQPPVGNPALRDYVHQRGWQDYFKSYCIPEDVVPRILSPAYFHHYNAQTAEAPFVNTTDVKSEENNGTSTEKTNENNREQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSYLQSKVDESEEEPQSLEIQEGSEGIALTPLSDNHGGGTEGNSGTEKINAPGVGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVIAELRERLQSHSMKSYRARFQKMYDMCMCANIPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPGGKSAEPLKVDIIGHDLSMCTLFQAQINGSWYSTVIETLPSATSYSSDQEVQPTLQKMRIIVGLPQKPPPNYTSEDFMVPVVTGVNLNPDNGFESFFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRFLGLEELPLEVRRFKEELQLGLHDLSRKIDLIVVVHNLAHRIPQYYQPNASEPRPALSLLLDEAKILGVPWILAITNKFAVSAHEQNELISSAMEAYKASPDDTKVVNSTPFSMPSATNSLRRISSTSGNLENKDSSGRATFHPVNFVLSPFQRKDIVMHAEGVTALRQHLHQVIANNEEPALEILSGMVVRSVPQKMHLVWWSIHNLPDRKYVLSFKSNTTLV* >Brasy9G156200.2.p pacid=40061892 transcript=Brasy9G156200.2 locus=Brasy9G156200 ID=Brasy9G156200.2.v1.1 annot-version=v1.1 MMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDVIADVNILQGTIFHEDTTQDLADAIGAEQNGDPTGEENLGVPHREKPKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILREISSSSPTKEANRLQVKCITFSQPPVGNPALRDYVHQRGWQDYFKSYCIPEDVVPRILSPAYFHHYNAQTAEAPFVNTTDVKSEENNGTSTEKTNENNREQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSYLQSKVDESEEEPQSLEIQEGSEGIALTPLSDNHGGGTEGNSGTEKINAPGVGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVIAELRERLQSHSMKSYRARFQKMYDMCMCANIPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPGGKSAEPLKVDIIGHDLSMCTLFQAQINGSWYSTVIETLPSATSYSSDQEVQPTLQKMRIIVGLPQKPPPNYTSEDFMVPVVTGVNLNPDNGFESFFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRFLGLEGAGKTSLIKAMLGQVKERNNAVLECIHVDMHSKGVSNGLCYLDSATVNLQELPLEVRRFKEELQLGLHDLSRKIDLIVVVHNLAHRIPQYYQPNASEPRPALSLLLDEAKILGVPWILAITNKFAVSAHEQNELISSAMEAYKASPDDTKVVNSTPFSMPSATNSLRRISSTSGNLENKDSSGRATFHPVNFVLSPFQRKDIVMHAEGVTALRQHLHQVIANNEEPALEELARERLSLELAREKAASLQVKQKPLKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP* >Brasy9G156200.3.p pacid=40061893 transcript=Brasy9G156200.3 locus=Brasy9G156200 ID=Brasy9G156200.3.v1.1 annot-version=v1.1 MMRYINKFKSDFGGNIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDVIADVNILQGTIFHEDTTQDLADAIGAEQNGDPTGEENLGVPHREKPKQLRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILREISSSSPTKEANRLQVKCITFSQPPVGNPALRDYVHQRGWQDYFKSYCIPEDVVPRILSPAYFHHYNAQTAEAPFVNTTDVKSEENNGTSTEKTNENNREQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSYLQSKVDESEEEPQSLEIQEGSEGIALTPLSDNHGGGTEGNSGTEKINAPGVGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVIAELRERLQSHSMKSYRARFQKMYDMCMCANIPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPGGKSAEPLKVDIIGHDLSMCTLFQAQINGSWYSTVIETLPSATSYSSDQEVQPTLQKMRIIVGLPQKPPPNYTSEDFMVPVVTGVNLNPDNGFESFFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRFLGLEELPLEVRRFKEELQLGLHDLSRKIDLIVVVHNLAHRIPQYYQPNASEPRPALSLLLDEAKILGVPWILAITNKFAVSAHEQNELISSAMEAYKASPDDTKVVNSTPFSMPSATNSLRRISSTSGNLENKDSSGRATFHPVNFVLSPFQRKDIVMHAEGVTALRQHLHQVIANNEEPALEELARERLSLELAREKAASLQVKQKPLKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP* >Brasy9G092200.1.p pacid=40061894 transcript=Brasy9G092200.1 locus=Brasy9G092200 ID=Brasy9G092200.1.v1.1 annot-version=v1.1 MGSAASPPRTLESPKATQEELKRVAAHRAVEMVESGMTLGLGTGSTAAHALDRLGDLLRGGALRGVAGVPTSLKTEAHAARVGIPMLALADAAEIHLCIDGADEVDPELNLVKGRGGSLLREKMIEGTGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGSGYTLGLIRKVFDKLPGFHARLRTVKSKAGDDKEELFVTDNGNYIVEMFFDDGIHGDLRDISDRLLRITGVVEHGMFLGLATSVIVAKKDGSVEVLNKK* >Brasy9G294700.1.p pacid=40061895 transcript=Brasy9G294700.1 locus=Brasy9G294700 ID=Brasy9G294700.1.v1.1 annot-version=v1.1 MDADVEAMAIKLYWQDLVSFRAVRGSGPVYRALVLPDADTLMLGCLDGSLAKEEAVDLNILDRSALHVGQAVVSASDVGGQIGVVTGVTTALVLARLNDRGKAATKTIRGVSPSAVRRVRALSLGHYVVSGSWLGRVVEVSLDVEVVFDDGAVCRMNIVFCPGERVVTGDDSAAVFKEARWVKGHWRPDREAGTVVNVEMAGVFVYWIASSGLLPGQSAPPAYLESPDSLTFFCSAPECNWDLSDRCFLLASYSVDVAYLADNHAQAPAMTVRKTRTTVDVLWQDGTRQHGARSTSLAPFSYMNDHEVFPGQYVVGTGVDDDDAPAAAATGSTRRVGIVTSVNSKDHTANVSWFKDDLAADSREVVVDTTVSVYDLGRDPDRSVFYGDVVVRLLSDFTEGTPPAPDKSASADLSWVGHVVDLHDGQVQVKWGDGSTSTVLPNEISVANKEHYTQLLAEMGNWAEEDVVNAPQELGAANALQDNHQHNPADAGNVGDASDDDDDLVVINDTHATGDDDAFKFPYFEVVQSPPDHHYLNNVEQAFDDRMDLLRVAMVGASGTPYHNGLFFFDLKLPPTYPAVPPEVYYTTTEKLISNGRKTTSLTVGVPVTNFLPVMMVIITNGLSDR* >Brasy9G249400.1.p pacid=40061896 transcript=Brasy9G249400.1 locus=Brasy9G249400 ID=Brasy9G249400.1.v1.1 annot-version=v1.1 MGRNIIGVRTAILLLAWLAVARGEERAFSVVNFGARGDGTTDDTKAFEQTWAATCGAGGPSATLHVPANRSFLVGPTSFHGPCASARVTVQVLGTITAPPSTSAAWKWSDYWLMFHQVHGLTVTGSGIGVLDGRGQTWWPRKCKRNALKLVSCDGLELSYLSSKDSPQMHIVVSTSRTVNMTHLTITAPGDSPNTDGIHLDRCEGVQITRSTIGTGDDCVSIGSGTRFVTVDGLVCGPGHGVSVGSLGRKGSNAAVEYIDVRNVQFINTSNGARIKTWLGGQGYARSISFTDIRFTNVAHPVVINQFYVDRPIQSMGPVAIRNITYTNLNGTSSQKTAVAFDCSESGSCTGIHVNSMAITRTDGQAAIARCQNVQGDASGYVSPAIPCLR* >Brasy9G240800.1.p pacid=40061897 transcript=Brasy9G240800.1 locus=Brasy9G240800 ID=Brasy9G240800.1.v1.1 annot-version=v1.1 MKVIELTGDRTTIRKAIVALSSYLQGDLHACSLTTSVTTPSPMFPWKSSEVPEPNYGDLHSGVSTKCANINIPWIDCPQDVAGNVETENLQQISFRLLCHVNLAGGLIGKKGMVIKGFETETGASIDVGNPFSGCMERVITISALESPGKHSKVQSAILCIFDRMEEVERNLMFGKPECSARVLVPKSQFSCLVGLGGAIIKEMVKSTGARIEILDEMDVPACASKCERVLQITGNLVNVRDALFVVSEKLRNHAFSSKSTKHDDGNATSSDIIESTATMPVNISSTDNYSTDNFPRTDHGPSVNQMESLENSSSAFHLGSPGSSELESLANAKETGIINLKNEVQKPPRSCAVITGVQKPANGDDDRISNSNHGITSPEENQLMRVMKDPVVTRMTYEIAACGGILCLLYRDKGNNLAQLRQITGADITVYDPPPETSDCSIVISGTPDQAQLALAALIDLTRQMS* >Brasy9G039000.1.p pacid=40061898 transcript=Brasy9G039000.1 locus=Brasy9G039000 ID=Brasy9G039000.1.v1.1 annot-version=v1.1 MAESPLPRWAPTPSPSGPLWSKASSSTAAATAGPWCGLTACLSSSVFFAPLPVGPPKNNAVAGNFDGVEATAGDGRDDDTKSSCPATTMFLTWEDLSVTAVNGGSSSEALILDGLSGQARPGELLALMGPSGSGKTTLLDALAGRLGPNMKRRGDILINGVRDKLACGTSAYVTQENTLMATLTVAEAVHYSAQLQLPDSMSPAEKRLRADNAIQTMGLAAVAGTRIGGRVCKGISGGQRKRVSICMELLSSPALVFLDEPTSGLDSAASYHVMSRIAGIAARDGMTVVAAVHQPSTEVFELFHGLCLLAKGRTVYFGPASKAIEFFDDNGFPCPLRMNPSDHFLRMINTDFEESEEGSTINSPHAAQVIQILISSSSSLGTLKTKVEATKTLTQSASVKKKRQASFLTKTVILTKRSFINMHRDLGYYWLRFAIYIALCLSIGTIYFNIDHNYASIQARASMLMFTSTFLTMMAIGGFPSFVEDMKIFEKERLSGHYGATEFVIANTVSSIPYLALISILPAAMAYYLTGLQRGIDHFLYFATTLWASTMLVEGLMMIVAAIVPDFLLGIITGSGIQGLLMLNAGFFRLPADLPKPVWKYPTYFISYQKYASQGLYKNEFIGMVFQDSDGAGGLNITGQYILKNNLQVELGYSKWVDLAILVAMIVIYRVLFLVIIKVSGIAKPMIKCLIVKV* >Brasy9G326500.1.p pacid=40061899 transcript=Brasy9G326500.1 locus=Brasy9G326500 ID=Brasy9G326500.1.v1.1 annot-version=v1.1 MSPVRIIESSYVAVPATPALPQEPIKLTAMEAVWVVLPVLQHVLLYDLGADMPPFDAVLQSLRSSLAATLRSFAPLAGKLVHLKDTGDVAISCSASDGVRFVVAESDAGIGRLAEDEEHDLRVLEQLVPVVDMSRLPTPLLAVQATRFEGGMAVGITVHHAVADGRSLWAFVDAWAAACRGETPAMAAAEPSFDRSLVKLPNGEELARSVLRDLAPNLPLATTPPSVVEDRTRFTRRTFTLDSQGIQRLKQQILRLGESHGLPLSRPPSVFAAVMALVWTSFARCKPSASDNDNDNDDVPLFFFADVRDRLDPPVSLGYIGACLTGCLAKLPRRELQGERALAAAAAAVQDEVRKMKEDPIARHNFLRPAVTDFMDRLMLVSGSSGFRAYEVADFGWGKPTRTEPIRMNHDGQVALMRARDGSGVQVSVSMLQPAHMDEFKSHFHKLLGYSS* >Brasy9G028300.1.p pacid=40061900 transcript=Brasy9G028300.1 locus=Brasy9G028300 ID=Brasy9G028300.1.v1.1 annot-version=v1.1 MVDRYVPRKCSATNRIITAKDHAYVQINIGHFHMSRSTLHF* >Brasy9G245900.1.p pacid=40061901 transcript=Brasy9G245900.1 locus=Brasy9G245900 ID=Brasy9G245900.1.v1.1 annot-version=v1.1 MTLSQEKTYTQIMEEMQLNNNSEARVVADETQGMGAFTRLLHAPYNALDFEDGTFSEYADDHSFYMTSDRHINISQMEQDEIDNMYNIQNGILMPPTLAEEHIEDQKV* >Brasy9G254200.1.p pacid=40061902 transcript=Brasy9G254200.1 locus=Brasy9G254200 ID=Brasy9G254200.1.v1.1 annot-version=v1.1 MNYVNLQLNPERYTGYTGDSARRIWDSIYKEKCIKYPSEDMCQEKKAVYKLISGLHSSISVHIAYDYLLDKSANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKATDYLEQAEYNTGNPEEDLKTQSLVKQLLYNHKLRSAYPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCLLWGKLQVLGLGTALKILFSVDRENNLNQQFHLQRNEAIALVNLLNKLSESVKFVHEKGPSAEEGIKQLRSSTVQKGAS* >Brasy9G254200.2.p pacid=40061903 transcript=Brasy9G254200.2 locus=Brasy9G254200 ID=Brasy9G254200.2.v1.1 annot-version=v1.1 MNYVNLQLNPERYTGYTGDSARRIWDSIYKEKCIKYPSEDMCQEKKAVYKLISGLHSSISVHIAYDYLLDKSANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKATDYLEQAEYNTGNPEEDLKTQSLVKQLLYNHKLRSAYPLPFDEAKLWQGENGPELKQEIQKQFRNIRENNLNQQFHLQRNEAIALVNLLNKLSESVKFVHEKGPSAEEGIKQLRSSTVQKGAS* >Brasy9G046500.1.p pacid=40061904 transcript=Brasy9G046500.1 locus=Brasy9G046500 ID=Brasy9G046500.1.v1.1 annot-version=v1.1 MIPNFKSSHYTIYGLNRIIGTFDIFDTRRYSGFHITRGQYHAERVEVARRLVALMKEVYGEEEYNKKNQFDWVALAEKCNYVQTPEQGANECAFYILKLATIFDGEKFVEKIKPKDRRVEDWKSEYMYQVMYHPTNELSPADWPSTLRDLVLLLDIASQSTADATSDSSQARGLQN* >Brasy9G202200.1.p pacid=40061905 transcript=Brasy9G202200.1 locus=Brasy9G202200 ID=Brasy9G202200.1.v1.1 annot-version=v1.1 MGQQEKSGETGGGNMAEAAAKENGRAADPRLQGISDAIRVVPHFPKPGIMFNDITALLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFVFGPAIALAIAAKFIPLRKPGKLPGEVISETYVLEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKDFYKLNGKPVYILVESRK* >Brasy9G202200.2.p pacid=40061906 transcript=Brasy9G202200.2 locus=Brasy9G202200 ID=Brasy9G202200.2.v1.1 annot-version=v1.1 MFNDITALLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFVFGPAIALAIAAKFIPLRKPGKLPGEVISETYVLEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKDFYKLNGKPVYILVESRK* >Brasy9G077600.1.p pacid=40061907 transcript=Brasy9G077600.1 locus=Brasy9G077600 ID=Brasy9G077600.1.v1.1 annot-version=v1.1 MAPFGDGGDGEEGEVEEAQWGWDPLRSGSAPPTMGCAAAAEGVFGGGGGGGGSFFSGAGGLGARLDQVSRRRGAVAQDHYGNSVLLSKGLSGLQLNGTGEFDGQEFGPSRIRSIGVMPNHSTFDMGSSWTEPKTDNSQFHRHPNRFVSNMGKMNTFGRGNLDSTYLSDSDLSDTFSGLRLSNSTSFDERSHDDELLDEMLRHTAEDSRSPSARNIFCRSRSDHLDFRSPSIYGDGLGRQNSTIDGYNVPRMSNHHMNNVDRLSFAEKLTLMQSRNSHGEANYLRDAATTNMINPSRNRNNTMIDLDLARNHRAYHEDHYAWQSQQNDNNYLLKSGPSYNNNRTYHEPRFPYSRVQRSGSHFHPNLGSIPCHGEQLSRLFSRRAAGRNIALQSNQDNVAAHSVDSLDINDGSRLELLDALGNVMKVSVDQYGSRFIQQKLEEASAADREKIFPEILSNAITLTTDVFGNYVIQKFFEFATESQLNQLADKLNGRIFELSFHMYGCRVVQKVIEVVDMDRKIDIVQELRNYVLKCIGDQNGNHVIQKCIECVPEDRIPFVIEAILSQIFMLCTHQYGCRVIQRVLEHCHDPATQSSVMNEIVQHACGLTEDKFGNYVVQHVLQHGKPEERSSIIRKLSGQVLFLSQQKYASNVIEKCLAFGTPEERDGLIREIVSSGQTFQALMKDQFGNYVVQKVLQTCDDRHLEMILSSIKLHLNELKTYTYGKHIVSRIEKLIITGENRVRRGSKTCQCQQSLQCTDVDGNSF* >Brasy9G077600.2.p pacid=40061908 transcript=Brasy9G077600.2 locus=Brasy9G077600 ID=Brasy9G077600.2.v1.1 annot-version=v1.1 MAPFGDGGDGEEGEVEEAQWGWDPLRSGSAPPTMGCAAAAEGVFGGGGGGGGSFFSGAGGLGARLDQVSRRRGAVAQDHYGNSVLLSKGLSGLQLNGTGEFDGQEFGPSRIRSIGVMPNHSTFDMGSSWTEPKTDNSQFHRHPNRFVSNMGKMNTFGRGNLDSTYLSDSDLSDTFSGLRLSNSTSFDERSHDDELLDEMLRHTAEDSRSPSARNIFCRSRSDHLDFRSPSIYGDGLGRQNSTIDGYNVPRMSNHHMNNVDRLSFAEKLTLMQSRNSHGEANYLRDAATTNMINPSRNRNNTMIDLDLARNHRAYHEDHYAWQSQQNDNNYLLKSGPSYNNNRTYHEPRFPYSRVQRSGSHFHPNLGSIPCHGEQLSRLFSRRAAGRNIALQSNQDNVAAHSVDSLDINDGSRLELLDALGNVMKVSVDQYGSRFIQQKLEEASAADREKIFPEILSNAITLTTDVFGNYVIQKFFEFATESQLNQLADKLNGRIFELSFHMYGCRVVQKVIEVVDMDRKIDIVQELRNYVLKCIGDQNGNHVIQKCIECVPEDRIPFVIEAILSQIFMLCTHQYGCRVIQHVLQHGKPEERSSIIRKLSGQVLFLSQQKYASNVIEKCLAFGTPEERDGLIREIVSSGQTFQALMKDQFGNYVVQKVLQTCDDRHLEMILSSIKLHLNELKTYTYGKHIVSRIEKLIITGENRVRRGSKTCQCQQSLQCTDVDGNSF* >Brasy9G129800.1.p pacid=40061909 transcript=Brasy9G129800.1 locus=Brasy9G129800 ID=Brasy9G129800.1.v1.1 annot-version=v1.1 MALHWSSGLAALAVAVSLLAAGAAGHPLLVPRFYAHTCPQMQAVVGGVVAREHAKDPRMAASLVRLHFHDCFVQGCDASVLLDDADGSGRFTTEKRSNPNRDSLRGYEVIDEIKAALEHACPGTVSCADIVAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIAAKFRNQGLDIVDLVALSGAHTIGDSRCVSFRQRLYSQNNDGRPDPTLNPAYAAELRGRCPRSGGDQTLFALDPATHSGSTTSTTRTSWP* >Brasy9G028200.1.p pacid=40061910 transcript=Brasy9G028200.1 locus=Brasy9G028200 ID=Brasy9G028200.1.v1.1 annot-version=v1.1 MASKGIRLSDPASGSSCGGYSIKSATGKKAARKERRLSETASGSSGGGDAMIKSQKLADGDKAEAGKVKKMARVSEEYIKRLEKNGGGPRIPTFDFLDNNTGSNAPLLRAIAASSIASMEEFRAHNADILDQYKKKGYAEVEIEDPDEEELWIVKVARKSLASS* >Brasy9G196000.1.p pacid=40061911 transcript=Brasy9G196000.1 locus=Brasy9G196000 ID=Brasy9G196000.1.v1.1 annot-version=v1.1 MGLCCACLTSARPAYKTTRPTPRQLGSPVHSFSTPVKFGRKGRRIMTKSRRCMALLLLVGMAAPAVLAVTDGLLPNGRFSQGPDKSQMNGTVVTGKHAIPNWELSGFVEYIESGHQEADMLLPVPVGANAVRLGNDATIRQQLSVTRKAYYSITFVAARSCAQAEKLNVSVDPEFGVLPIQTVYTSTGWDSYSWAFKTRRSTVTLSIHNTGIEEDPACGPLIIAVAIKALALPHRIKGNLLRNGDFELGPYIFPDTPWGVMVPPILEDVHSPLPAWMIMSDTKVVKYVDTPHHAVPHGSYAVELVAGRESALVQEAGTVEGWTYRLSFYVGDAGNGCTGSLAVEVYAARGTLRAAYESDGKGGSKPFKLVFTAVGNSTRVVFQSSNHHMKSDATLCGPVVDDVSLVGVRVPAPRRLRL* >Brasy9G281200.1.p pacid=40061912 transcript=Brasy9G281200.1 locus=Brasy9G281200 ID=Brasy9G281200.1.v1.1 annot-version=v1.1 MDEANAIALDTELGNTVAGMVDASHDATKLPRALVAGGVGEAAAALYLALFRAPASLFLRTKPLLYSYYGALVAVVLFGVAQAWAGIWVSRYPHRRRAVGMTALWVSVLPVLFLACVGGHAILIE* >Brasy9G227100.1.p pacid=40061913 transcript=Brasy9G227100.1 locus=Brasy9G227100 ID=Brasy9G227100.1.v1.1 annot-version=v1.1 MCFVQATFSVFMLLYDLFEGTLSFNLGHHVLGMRVAGITPCSLLFDTDCACLCFFR* >Brasy9G035100.1.p pacid=40061914 transcript=Brasy9G035100.1 locus=Brasy9G035100 ID=Brasy9G035100.1.v1.1 annot-version=v1.1 MSSPDNTGTTPIPFHDISNTNVTGRDTLSMSSPRNNGATRRPFHDISNTNPEGNAPSLDPKELKSQRNKEYYARNRDTILRRRREALEQKQASAVLLKDTEMSPRTPIAKAQVETHGEHTPVQDIGAYQISDTNELKRQRERERYSENRDDILKRKRQSYSDINVQQTGTRTTDVPYQDPKERRKQRDRERYARNRNEILNHRHQAHQNKESPAALINGKYIVTHTPPTGQSVLTQLQQIHAAGLSNVPNSSAPPDTIMHDKENINYHEEASWLHRNDAYQMHRISGRMRAIGMPLVHNTPTTCNITVDATQGNISIGSGVPHSNPENSINI* >Brasy9G176600.1.p pacid=40061915 transcript=Brasy9G176600.1 locus=Brasy9G176600 ID=Brasy9G176600.1.v1.1 annot-version=v1.1 MSICPSFSFSCDNLSCNFSFCCGCDEDLAEEFPEEHRHVPYCYPPPPMPPPVQSMTNVIMAPPPQQPTQPHYTTVVLPDQMSRWPTPPPRRAPPLVAPPHQVWLPPTQAPRFAPPPTVVPRHQESSPPTQAPRSELQPTVAPHFEVTQPPPAAVQPTVTPRHQVSPAPVQAPPPALQPTVAPRHQVSSPPTQASHPASQPTTVAPRHQPSLTMAQAPPAARAPTVAPRQHVSSPLTQAPHPTPPPIVAPRHHVPSPAQASHPTPPPTVVPHHQVLRQPTQGPHPSPPPMVAPQHQVSLPPTQAPRHAQLPTGVPPHQVLPLAAQGPLHATPPKAAPPRQVSPTPTQAPRHAPPTMMAPPNQVLSSLTQVSPLAAQGPLHATPPKAAPPRQVSPTPTQAPHHAPPPMMAPPNQVSPPSKQTPHPTIPSVVTPPSQVSPRHQVTPTVTSLAREPPYKSHEPPTSIAVIKPATTPSKSWTVTPQAPVPPYSVREPLAPVAGRMPTKRHNASPGQVHETLASTPIVATLPARVSSKRDEQTLPTVPYKT* >Brasy9G073300.1.p pacid=40061916 transcript=Brasy9G073300.1 locus=Brasy9G073300 ID=Brasy9G073300.1.v1.1 annot-version=v1.1 MSEGPSCEDIVIHENNIDAAVGEIICHLEDTNQGNVIYFYGWDGFGASAALKLVAQRLKSSTGLNRFDKVVHVDRSVWKSRRALQKVVAEELGLPVSVIAILDQCDKDDDFRGVDQGSRGVVAEVWGHIFRSLANTTFAVIFHNGSSGYVDLSEFGIPVYPCYLSNKMLWTFHGRSRSYLVESVEKEDSSKEKLLLGNTNVTLSGVFTLKPKELGSTMWGIVLEEAKDVAEHIGMPEYQRIVEECFLYMRPLQEMEGTDWETHASNLWICDGIIQRDTSPWELGDAIQSYIHLKWSQNYMSYAYAVPFSTTHRQQDVPVPRKLPIYCSSSNITNVPPEATSFFVEATDESDTVLQAHIFQYSGSSALRVLHASYCTFSFASPPFLSCSNLRFLLLDHCKDKDDFTNVVHEEHVSHSQSESRRACFRRLWVLDLRYTHWRQLLSEEMISFMTYLRELNVEGVKQKGKHWSITDFCGSETNLVKLRVVVNSIPAEDERTNKQAPFPNMSSENSLKTIVLDGCVELEKVIPDTLPPLLESFSFINKTATAAKICSISFRGCSQFKSILLQGFFGSLEELDLSGTAVKTLDLREVEAPNLKRLILLGCEKLCAILWPPKNKRSQVLKVLHINTIQSASPGQPYSEESTKEASAATRSSSVHNVATTQQGISQTASFDFNWYISVTDARLLRSIVPVKKYIKQVFVHMEMDSPPASSIAGGGSEVAQGIGSLELPDKYFYARDGMFLGHLQARAGNEGAINSMWACPTSPTPTGQDCYVHIQEEEEINSRLLRQLGSTEETITSAALIPTVICDNARMMHVHDSFAITCIPCQRSSDWRWLKWCRVERCPKLHVVFPPPQESGNENIYIRLELFWASQLPMACYIWNWKTTTHPGGQSFEDLAFLHLDNCPRLIHVLPLPKYMPRLETLEIVCCGDLREVFPLDPK* >Brasy9G349000.1.p pacid=40061917 transcript=Brasy9G349000.1 locus=Brasy9G349000 ID=Brasy9G349000.1.v1.1 annot-version=v1.1 MESGGGRRGGVRTPRQASFGSRGRNRNRTRPEMDLEVEGEYGKQIQSSNQAPRRKNRVAPPTVLVHLLEEQKICDNLIEGLKMAYESILAPQLATVPDHPGSSVRCELASIMAETSRALSRMKEEIRVVRLGLSVPAKTGATRTKTDTEEEVRERKLLTEEEMGIGKSGKQVTDVQMDGGKSGKQVSEVQMDRGKSGKQVSEVQMDIGKVEMEVARILDSTFEYGLESDMPIIEEDPFPDDDKKEMNRGKSAKQVAEEEMAAEEKLFNSYREYWESTWGGSSQCGRFTDMTQVSPMYFTHLSPECIPEIVGSAIASATLQIFTIKLAEIKGGLKWPLSLYGVVAVRDSVDHNRNLLFSCGRRKYQTLSEDDPFLRLIGPSRAIVITDPVMLEIQLIVKGRTMSQDRALIRQRYLCREGPKPGVFTICLENGFCKTELCMERVEESVQATVVGIHVKNGSWPFEYGGQVACSSPSRTPMLEDEDDEVTYFTEDSPSVKVVLLASRGGTLPKDSAGYLCLSRHVVSVELEGSLKFFIEAYTQTGDTASCGEVCFMPKDHGISQSTCFLGDTGVEVEITVAWSLLVSSKRYIAAQGRAFECSDVVERSLTAMGL* >Brasy9G216600.1.p pacid=40061918 transcript=Brasy9G216600.1 locus=Brasy9G216600 ID=Brasy9G216600.1.v1.1 annot-version=v1.1 MGKHTPWSHFLAGEEYGRRRERSRGGRGKGARPEEGEEAGRRRERRPGGGGLVVKRAAAAAWSSGGGGLVFGRRRERSSGGGGRGARPEEGEESGRKGERSSAGGGRGGRAKEGEEAERRRLGLRAAAAALGCKPYAEERRRGRRELDGGTGRSGGRKIIIIAKRYTVAISM* >Brasy9G014800.1.p pacid=40061919 transcript=Brasy9G014800.1 locus=Brasy9G014800 ID=Brasy9G014800.1.v1.1 annot-version=v1.1 MKTLFFLAFLALAVTSAVARADTTCSQSYGGQSQQQQLLLINLGRAYLQQCTPRTVPFTYATGGQASSCQVMRQQFCQQLAQIPEQFRCQAVNGMAQAIMQLQQQQQQQSQGSYQPEQQAHFHIMMAALHTLPQMCAVYVPSYCIITTTSPCSIAKAATSAGGANY* >Brasy9G018900.1.p pacid=40061920 transcript=Brasy9G018900.1 locus=Brasy9G018900 ID=Brasy9G018900.1.v1.1 annot-version=v1.1 MAEKTHRRRPSPDPVAVLRGHRAAVNDTCFHPTLPLLFSGAADGELRVWDTASHRTVSSVWAHGGAAGVYSIAASAGLGNMIVSQGRDGLCKCWVIEEAGLSRRPIFTIKTSTYHFCKMSLVKGPSSTYGTQSCSSGSNSDAEPQRVVVKVNTESHSANPAEGSQEYEQGSSSDVQNIITIAGEESSQVALWDIKSSRKIMCLPQTSSANMTDHPTQQRGLCMAVQAFIPCESAGYVNILSSYEDGSTLWWDVRKPGSPLSSVKYHSESALSIAVDGSCNGGISGGADSKVTTFNLDHQKGMFTVRNEIELERPGIASIAIRPDNKIAAAAGWDHRIRVYNYNKGNALAVLKYHSATCNAVTFSSDCKLMASCSADTMVALWELYPPKTPSKIDVTTTDEIS* >Brasy9G018900.2.p pacid=40061921 transcript=Brasy9G018900.2 locus=Brasy9G018900 ID=Brasy9G018900.2.v1.1 annot-version=v1.1 MAEKTHRRRPSPDPVAVLRGHRAAVNDTCFHPTLPLLFSGAADGELRVWDTASHRTVSSVWAHGGAAGVYSIAASAGLGNMIVSQGRDGLCKCWVIEEAGLSRRPIFTIKTSTYHFCKMSLVKGPSSTYGTQSCSSGSNSDAEPQRVVVKVNTESHSANPAEGSQEYEQGSSSDVQNIITIAGEESSQVALWDIKSSRKIMCLPQTSSANMTDHPTQQRGLCMAVQAFIPCESAGYVNILSSYEDGSTLWWDVRKPGSPLSSVKYHSESALSIAVDGSCNGGISGGADSKVTTFNLDHQKGMFTVRNEIELERPGIASIAIRPDNKIAAAAGWDHRIRVYNYNKGNALAVLKYHSATCNAVTFSSDCKLMASCSADTMVALWELYPPKTPSKIDVTTTDEIS* >Brasy9G128000.1.p pacid=40061922 transcript=Brasy9G128000.1 locus=Brasy9G128000 ID=Brasy9G128000.1.v1.1 annot-version=v1.1 MRELHGEEKPPPSCNFLIIKILSSPRRPGSLHPPRPNPSIAASPLRHRETAAATIPDDSVPERLGGFGGPKFLGANAGLGSGPLEVYSLHLHP* >Brasy9G249600.1.p pacid=40061923 transcript=Brasy9G249600.1 locus=Brasy9G249600 ID=Brasy9G249600.1.v1.1 annot-version=v1.1 MRVNLELLMPLMAQYTAPTWATLIAGFFVLLALSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLIDPNTNVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSEQPLLHDAHEKGIIHHHFPVNLILKPWRMGTRFYQIIKFGIFQYVIIKTLTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQFWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYALGLLRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYELLANQLPGNISVLGDYVSSEPVDPYEIKESNRPSKMKLPQFEPDERSATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKKDKYKKSQDDNWVSAASPERPVRGIDDPLLSGSTSDSGVLKGKKHRRDFSSVAAVDSWGGGDKTPDGYEIRGRRWAVKS* >Brasy9G158900.1.p pacid=40061924 transcript=Brasy9G158900.1 locus=Brasy9G158900 ID=Brasy9G158900.1.v1.1 annot-version=v1.1 MSILWEKSSAWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDKLETHLRSTARPETTMMGQVNRERLAEYLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVDPQAAHENAKAK* >Brasy9G158900.2.p pacid=40061925 transcript=Brasy9G158900.2 locus=Brasy9G158900 ID=Brasy9G158900.2.v1.1 annot-version=v1.1 MSILWEKSSAWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDKLETHLRSTARPETTGLLVLYGYFVGGYVSQRTGAIVTKMDNGRDREIGTMLEQLGNR* >Brasy9G330600.1.p pacid=40061926 transcript=Brasy9G330600.1 locus=Brasy9G330600 ID=Brasy9G330600.1.v1.1 annot-version=v1.1 MRRPNNVFLSLHDDVSLDDVVSLDCDQSSSCRHGESALCCPDDSLDTVMSSVPPLEDEFLEALGIDCSWPPLDGNPNGADLSSPSCDGSEYLFSVQEQLVSPFHHWNVPKRPRGRAGTARKRPCWPHAVFVAAAATSNQGSNGGSGSGVRRQPTEPHPVTVAATGANQFAQGSNGGGRRQPVNPRRRRRRPENEDEPRACSHCHTTDTPQWREGPLGRRTLCNACGLRYKMGREKLVPEYCPSTSPFFRDGKHSNRHSKVEKLRKKKEERASMESS* >Brasy9G265900.1.p pacid=40061927 transcript=Brasy9G265900.1 locus=Brasy9G265900 ID=Brasy9G265900.1.v1.1 annot-version=v1.1 MDSSPEKESTSRGSDRISGLTDDVLGQILSFLPNKKAARTAALARRWRYIFGSVHDVWFGEEPGDRADDWYTYYVQAHERKSCSDKLLDDMNAALLCRRRCSGLLPVPPLRSLSFAFDSYHWFDKVPVDQWLAQALSRRRPHGSNLPELHLHLCFRIGLYCNPRLIDSKEAADSGSDDDDMEWEKARWNYVLPQRLFSCTALRTLCVSHCRLKLPAIVDMPFLETLHLTAIPDSGRSIQRLISSCPRLAYLTLEALGKLRRIAVRDKRLRWFALHCCHNIKSVDIDASELSYRGTVPLESLLPQHGSQSLIPSWTIDLCVVPSEAAGFAMFARFLGKISHAKHLHLHHCCLDSRFFVAGAFPLFSGLTCLTLSIPTSYGTVGTVGVILEQTPNLEALSLLMLGGDVVPEETIVDPDKWSFSVPCLRRRLREIGMEYYKGSRPQKMLAGLLLRNALVLERLHVVFLGCLDFKRRSRLEIQIGGWAVAESEKIFI* >Brasy9G246000.1.p pacid=40061928 transcript=Brasy9G246000.1 locus=Brasy9G246000 ID=Brasy9G246000.1.v1.1 annot-version=v1.1 MAVYLSSFLCPNSSTFPSTKYLSSLLVPAKVRDYNWALFGFNWFITSVKKYLRDKPKLMSSKSNLTLGGCNYYPAVYYLDFVDFGSRSPVGCFPRIKVWKRDMIKEFSRLDIKDGHEYGRRNVLNDICGMFEQFASTTDPLVSSRTGELVISVLSRVKAASESLDGSQFSDSNTNNHADGSDSGVPADNPHPSHSDKVVIGDSTMEKAHNSESTLVVDDPDAVPDLNDAPISDAPTVVVNSDIAVQITGERIFRKECNSLVDQANNIYENFQNSSSVGFDSASSSGHNKMTYYPKRHVAPSHFKLSPYDDARLRSQVYPHFLKYHAIIVKLSQDESVKYNIAIDLKNIRVTIFSLGESFKFRGRVDFFTMNAWCRKLGLDAPPSRSKKNFFFSTLGNTVLNVFDKIGSFITQCNFVFVPCLFRVHWFVFVVDFPGECFIILDSYFKEGTEYHKYTRHHILQNFSKVWNELTRSNIDFSKFRFQHPIVPMQNNEDDCGIFCMKFMQFFNPRSHLKDKFSFRDINNFRVNILHDMLFSRHNLNYQGAAMYFIEDFDLFIQHTQASV* >Brasy9G150900.1.p pacid=40061929 transcript=Brasy9G150900.1 locus=Brasy9G150900 ID=Brasy9G150900.1.v1.1 annot-version=v1.1 MDDDDVHGDVSPSPTPSPTSSSPLPVASALPVADPVTVASAPPGGGLMTLALPIQKHGVYPNHGGGGGGGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVADSVSARESYSKAAKSDIQCKNRIDTLKKKYKIERAKPVSSWQFYDRLDVLLAPTYINQKPAAHPNGRNPVPAALRAGFPKRSRTPLMPAAAPVKQRAPSPEPSASSESSDGFPPEPALPVANGKRRRTDEGCGDGSSGNDRAQGLRELAQAIRRFGEAYERMEAAKLEQATEMERRRLDFARELESQRVQFFLNTQRELSQAKKHNSQAAVASPAGATIVGGSSRRMASIPDPTSRRMTSVPTVRTSSNHHGRYHISESSRHQHHQHRPAPRPHYQYHENNFAASAAASDGEQSDDEEEEDDGDEEESQ* >Brasy9G141800.1.p pacid=40061930 transcript=Brasy9G141800.1 locus=Brasy9G141800 ID=Brasy9G141800.1.v1.1 annot-version=v1.1 MASAEIPNAITNDPNPTRSPSPPLPPRKRRLSLSPSRSPRRSSSRSRSPRRERSRSRSRSRSRSRSRSRNRTRSRSRSRSPRQPDGKRARHSDLTVEVCRDYLRDRCTRSDLECKYAHPHQSVSVDRDNKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVDAPPAKMVCRDFARGRCSRSANECRFLHHSSVQESAIVCQDFLRGRCDRISCRYTHVIAQQMFPPPMRDLPMQMQYPEMVYMPPPPPPHGVPMMVPPLSPPTTFADHRRVEVCRDFLKNMCTRESCRFAHPDSRSEVPNDRVEVCRDFKRGECTRPNCRFFHPSSS* >Brasy9G258900.1.p pacid=40061931 transcript=Brasy9G258900.1 locus=Brasy9G258900 ID=Brasy9G258900.1.v1.1 annot-version=v1.1 MALLQPAPTAVFPTGHRLRTTRILVRRPSVHSHSSRRSRLHAQKPGSTGRRGTKTPSGSESENVVLKAAWYGSEVLGIAASFFRPPPPPPEGDAGDGGDSGASESETSMGPAQVAQAIKDDFARSYFVTGNLTLRAYEEDCEFADPAGSFRGLGRFKRNCTNFGSLLEKSDMKLTKWEDLQDKSVGHWRFSCVMSFPWRPILSATGYTEYFFDAESGKVCRHVEHWNVPKMALLRQIFRPSRWAWEKR* >Brasy9G018700.1.p pacid=40061932 transcript=Brasy9G018700.1 locus=Brasy9G018700 ID=Brasy9G018700.1.v1.1 annot-version=v1.1 MSSSTTSGRGDDEEDAPDLVCQLDCVQGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFVEYEYAAEGRPRFGLSLGLLVDCLNMFSSPGHASSVEIRYPGPDMQLLLKSVASPDACIFSEIRTRIPDTLASDYHFEHAGNTPLSFTVKSAILKESIDDLEWPGSSIQIKLQPNPPSVIFKGEGHGDLEIEYPYYANTDLLIAFQCDREVSYRYKYKFLRATTSNVPNSVLKENRGSKVTIGRGGMLKIQHLVSVGRAGMQSYRNVAGGAQQPSRIAFIEFFVKPEEDEYTANDP* >Brasy9G018700.2.p pacid=40061933 transcript=Brasy9G018700.2 locus=Brasy9G018700 ID=Brasy9G018700.2.v1.1 annot-version=v1.1 MRRRGARGSGSAWGSSSIASTCSRPQGTPPPSRSGTLAPTCNFSSSMSVASPDACIFSEIRTRIPDTLASDYHFEHAGNTPLSFTVKSAILKESIDDLEWPGSSIQIKLQPNPPSVIFKGEGHGDLEIEYPYYANTDLLIAFQCDREVSYRYKYKFLRATTSNVPNSVLKENRGSKVTIGRGGMLKIQHLVSVGRAGMQSYRNVAGGAQQPSRIAFIEFFVKPEEDEYTANDP* >Brasy9G003600.1.p pacid=40061934 transcript=Brasy9G003600.1 locus=Brasy9G003600 ID=Brasy9G003600.1.v1.1 annot-version=v1.1 MAELVATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEILKRRLPAILDVITDAEEQASAHREGAKAWLEALKKVAYQANEVFNEFKYEALRRQAREKGHYTDLGFHVIKLFPTHNRFVFRHRMGNKLRKILRALEVLLAEMHAFRFKYRPQPPLSKQWRQTDHAMFDPKEIISRSRDKEKKDIVNTLVHQASNEDLTVVPIVAMGGLGKTTLAQLVYNQPEIQKHFNLLIWVCVSDSFDVDSLAKSIVEAAPDKKDGTGAAPDKKNGTGAAPTKKNPLDRLQDAVSGQRYLLVLDDVWNREADKWEKLKARLQHGGAGSAVLTTTRDEGVAKIMGTVRTYNLTSLEDNFIKEIIETRAFSLHKEEERPPFLVNMVGEIVKRCRGSPLAATALGSVLRTKTTEEEWNAISSSSNICTEETGILPILKLSYNSLPSHMKQCFTFCAVFPKDYEIDVDKLIQLWMAHGFIQEQKEVCLETIGKQIFDDLASRSFFQDVKQVRIPYKEIKRNGGCYSRMTCKIHDLMHDVALSVMEKECAVRTEEPGSQIEWLPNTARHLFLSCKKPETILNDSLKERTLAIQTLICSSPMYSSLRHSLKYSSLQALQLRTRHNSFPFKSKHLHHLRYLDLSQSWITAFPKDISILYNLQTLNLSGCNKLDRLPKQLRYMTALRHLYTHACPKLRSIPAGLGKLTSLHTLTCFVVGTAGSDCSKLGELQNLKLGGLLELHQLENVTEEDAETANLGNKKELSELTLQWRDGGSENDAKVLERLEPHGGLRAVRIVSFGGTAFPTWMAMLQNMVEIHLFRCRKLRCLFTSGTSFTFPSLKEITLIGLSDLEVWWEVNNGVQGEEIMFPQLEKLLIVDCGKLTALPGQQTSFPNLQDVCIQKCPELTSQVKSPKLDALVMYGREEEMLQWVARHMTSLTTLILRNHEDTETTSAAADRSFNEVMDGQEKIRNNEFVLTVMVLDGLKSSVAELCACFVQLQDLSIKDCDALVHWPEKEFQSLVSLRRLFISNCKNLTGYAQAAPPEPSTSTSKTRCQLLPRLEFLQIRGCESLVEVFNVDTAASMRIMLIYSCSKVESISGRRRQQQQDNSEPIQGSSLSSAVASGEHLLPPCLVHLVIDGCDSLTGAVHLSPSLKLLEICGCHGLTSLVESRSGPSLGCLFLTNCKSLSSIPDGSQAYSSLLALQIRNCKSLSSIPDGSQAYSSLQLLRIENCPGI* >Brasy9G003600.2.p pacid=40061935 transcript=Brasy9G003600.2 locus=Brasy9G003600 ID=Brasy9G003600.2.v1.1 annot-version=v1.1 MAELVATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEILKRRLPAILDVITDAEEQASAHREGAKAWLEALKKVAYQANEVFNEFKYEALRRQAREKGHYTDLGFHVIKLFPTHNRFVFRHRMGNKLRKILRALEVLLAEMHAFRFKYRPQPPLSKQWRQTDHAMFDPKEIISRSRDKEKKDIVNTLVHQASNEDLTVVPIVAMGGLGKTTLAQLVYNQPEIQKHFNLLIWVCVSDSFDVDSLAKSIVEAAPDKKDGTGAAPDKKNGTGAAPTKKNPLDRLQDAVSGQRYLLVLDDVWNREADKWEKLKARLQHGGAGSAVLTTTRDEGVAKIMGTVRTYNLTSLEDNFIKEIIETRAFSLHKEEERPPFLVNMVGEIVKRCRGSPLAATALGSVLRTKTTEEEWNAISSSSNICTEETGILPILKLSYNSLPSHMKQCFTFCAVFPKDYEIDVDKLIQLWMAHGFIQEQKEVCLETIGKQIFDDLASRSFFQDVKQVRIPYKEIKRNGGCYSRMTCKIHDLMHDVALSVMEKECAVRTEEPGSQIEWLPNTARHLFLSCKKPETILNDSLKERTLAIQTLICSSPMYSSLRHSLKYSSLQALQLRTRHNSFPFKSKHLHHLRYLDLSQSWITAFPKDISILYNLQTLNLSGCNKLDRLPKQLRYMTALRHLYTHACPKLRSIPAGLGKLTSLHTLTCFVVGTAGSDCSKLGELQNLKLGGLLELHQLENVTEEDAETANLGNKKELSELTLQWRDGGSENDAKVLERLEPHGGLRAVRIVSFGGTAFPTWMAMLQNMVEIHLFRCRKLRCLFTSGTSFTFPSLKEITLIGLSDLEVWWEVNNGVQGEEIMFPQLEKLLIVDCGKLTALPGQQTSFPNLQDVCIQKCPELTSQVKSPKLDALVMYGREEEMLQWVARHMTSLTTLILRNHEDTETTSAAADRSFNEVMDGQEKIRNNEFVLTVMVLDGLKSSVAELCACFVQLQDLSIKDCDALVHWPEKEFQSLVSLRRLFISNCKNLTGYAQAAPPEPSTSTSKTRCQLLPRLEFLQIRGCESLVEVFNVDTAASMRIMLIYSCSKVESISGRRRQQQQDNSEPIQGSSLSSAVASGEHLLPPCLVHLVIDGCDSLTGAVHLSPSLKLLEICGCHGLTSLVESRSGPSLGCLFLTNCKSLSSIPDGSQAYSSLLALQIRNCKSLSSIPDGSQAYSSLQLLRIENCPGI* >Brasy9G003600.3.p pacid=40061936 transcript=Brasy9G003600.3 locus=Brasy9G003600 ID=Brasy9G003600.3.v1.1 annot-version=v1.1 MAELVATMVVGPLLSMVKDKASSYLLDQYKVMEGMEEQHEILKRRLPAILDVITDAEEQASAHREGAKAWLEALKKVAYQANEVFNEFKYEALRRQAREKGHYTDLGFHVIKLFPTHNRFVFRHRMGNKLRKILRALEVLLAEMHAFRFKYRPQPPLSKQWRQTDHAMFDPKEIISRSRDKEKKDIVNTLVHQASNEDLTVVPIVAMGGLGKTTLAQLVYNQPEIQKHFNLLIWVCVSDSFDVDSLAKSIVEAAPDKKDGTGAAPDKKNGTGAAPTKKNPLDRLQDAVSGQRYLLVLDDVWNREADKWEKLKARLQHGGAGSAVLTTTRDEGVAKIMGTVRTYNLTSLEDNFIKEIIETRAFSLHKEEERPPFLVNMVGEIVKRCRGSPLAATALGSVLRTKTTEEEWNAISSSSNICTEETGILPILKLSYNSLPSHMKQCFTFCAVFPKDYEIDVDKLIQLWMAHGFIQEQKEVCLETIGKQIFDDLASRSFFQDVKQVRIPYKEIKRNGGCYSRMTCKIHDLMHDVALSVMEKECAVRTEEPGSQIEWLPNTARHLFLSCKKPETILNDSLKERTLAIQTLICSSPMYSSLRHSLKYSSLQALQLRTRHNSFPFKSKHLHHLRYLDLSQSWITAFPKDISILYNLQTLNLSGCNKLDRLPKQLRYMTALRHLYTHACPKLRSIPAGLGKLTSLHTLTCFVVGTAGSDCSKLGELQNLKLGGLLELHQLENVTEEDAETANLGNKKELSELTLQWRDGGSENDAKVLERLEPHGGLRAVRIVSFGGTAFPTWMAMLQNMVEIHLFRCRKLRCLFTSGTSFTFPSLKEITLIGLSDLEVWWEVNNGVQGEEIMFPQLEKLLIVDCGKLTALPGQQTSFPNLQDVCIQKCPELTSQVKSPKLDALVMYGREEEMLQWVARHMTSLTTLILRNHEDTETTSAAADRSFNEVMDGQEKIRNNEFVLTVMVLDGLKSSVAELCACFVQLQDLSIKDCDALVHWPEKEFQSLVSLRRLFISNCKNLTGYAQAAPPEPSTSTSKTRCQLLPRLEFLQIRGCESLVEVFNVDTAASMRIMLIYSCSKVESISGRRRQQQQDNSEPIQGSSLSSAVASGEHLLPPCLVHLVIDGCDSLTGAVHLSPSLKLLEICGCHGLTSLVESRSGPSLGCLFLTNCKSLSSIPDGSQAYSSLLALQIRNCKSLSSIPDGSQAYSSLQLLRIENCPGI* >Brasy9G104900.1.p pacid=40061937 transcript=Brasy9G104900.1 locus=Brasy9G104900 ID=Brasy9G104900.1.v1.1 annot-version=v1.1 MACRALALRSLLLPDSLHHLSLRASTSAPATRSPCRRRPRPRRNLRCCSSSAGSGGGDPGQPPQEAVLEAISKVAKSKGRVALTTNMVIGGTVTDDSSDEWLVLDQKVNSYPTDRGFTAIGTGGEDFVHSMVDAVESVLQEPVPKGQVTQKISSRGKYVSVKIGPIRVVSSEQVQAVYRAMRRDNRMKYFL* >Brasy9G048700.1.p pacid=40061938 transcript=Brasy9G048700.1 locus=Brasy9G048700 ID=Brasy9G048700.1.v1.1 annot-version=v1.1 MPQLSISTNVPVDAVVAADILKDCSRALAKIIGKPESYVMVSINGSVPTSFAGSEEPAAYGEIMSIGGLGPGVNGKLSAALAEILGTKLSISSSRFYVKFDDVQGYNLGFNGSTF* >Brasy9G019700.1.p pacid=40061939 transcript=Brasy9G019700.1 locus=Brasy9G019700 ID=Brasy9G019700.1.v1.1 annot-version=v1.1 MAASTSRGAPLRRCAAMAAVLMVVLGLAAAVEGGDGEALMTVKAGFGNAANALVDWDGGRDHYCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGKLKSLQLVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNLLYGDIPFSISKLKQLEDMILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGRIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGEVPPELGNMTKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLEGPIPTNISSCTALNKFNVYGNRLNGSISAGFQNLESLTYLNLSSNNFKGQIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLQLNLSKNHLNGPMPAEFGNLRSVQVIDISNNAMSGYLPEELGQLQNLDSLILNNNSFVGEIPAQLANCFSLNILNLSYNNFSGHVPLAKNFSKFPMESFLGNPMLHVYCKDSSCGHSRGPRVNISRTAIACIILGFIILLCAMLLAIYKTNRPQPLVKGSDKPVSGPPKLVILQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCVLKNGKAIAVKRLYSQYNHGAREFETELETVGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLRIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDEHFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSRADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPTDRPTMHEVARVLLSLMPAPAVKPSYTTASKTVDYTRYLATTPDLNHDGTDIGDNSSSDEQWFVRFGEVISKHTM* >Brasy9G019700.2.p pacid=40061940 transcript=Brasy9G019700.2 locus=Brasy9G019700 ID=Brasy9G019700.2.v1.1 annot-version=v1.1 MAASTSRGAPLRRCAAMAAVLMVVLGLAAAVEGGDGEALMTVKAGFGNAANALVDWDGGRDHYCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGKLKSLQLVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNLLYGDIPFSISKLKQLEDMILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGRIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGEVPPELGNMTKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLEGPIPTNISSCTALNKFNVYGNRLNGSISAGFQNLESLTYLNLSSNNFKGQIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLQLNLSKNHLNGPMPAEFGNLRSVQVIDISNNAMSGYLPEELGQLQNLDSLILNNNSFVGEIPAQLANCFSLNILNLSYNNFSGHVPLAKNFSKFPMESFLGNPMLHVYCKDSSCGHSRGPRVNISRTAIACIILGFIILLCAMLLAIYKTNRPQPLVKGSDKPVSGPPKLVILQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCVLKNGKAIAVKRLYSQYNHGAREFETELETVGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLRIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDEHFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSRADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPTDRPTMHEVARVLLSLMPAPAVKPSYTTASKTVDYTRYLATTPDLNHDGTDIGDNSSSDEQWFVRFGEVISKHTM* >Brasy9G019700.3.p pacid=40061941 transcript=Brasy9G019700.3 locus=Brasy9G019700 ID=Brasy9G019700.3.v1.1 annot-version=v1.1 MILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGRIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGEVPPELGNMTKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLEGPIPTNISSCTALNKFNVYGNRLNGSISAGFQNLESLTYLNLSSNNFKGQIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLQLNLSKNHLNGPMPAEFGNLRSVQVIDISNNAMSGYLPEELGQLQNLDSLILNNNSFVGEIPAQLANCFSLNILNLSYNNFSGHVPLAKNFSKFPMESFLGNPMLHVYCKDSSCGHSRGPRVNISRTAIACIILGFIILLCAMLLAIYKTNRPQPLVKGSDKPVSGPPKLVILQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCVLKNGKAIAVKRLYSQYNHGAREFETELETVGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLRIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDEHFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNDSNLHQLILSRADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPTDRPTMHEVARVLLSLMPAPAVKPSYTTASKTVDYTRYLATTPDLNHDGTDIGDNSSSDEQWFVRFGEVISKHTM* >Brasy9G003800.1.p pacid=40061942 transcript=Brasy9G003800.1 locus=Brasy9G003800 ID=Brasy9G003800.1.v1.1 annot-version=v1.1 MQCHTEPLKRNGCPPPDIFCSELLLLIFPDESFQIYTFEEEFEDNIWSAHSEDVALTMSECVVSLASFNGDTRCFACTGICINCNPMRILTSASLVRTSGDGNKIDHNLRLRVCLHNKRHVTGRLIHYDLRYNVAVVEIMGFCSFGAVELDKHIPFTPNSEVVAVGCLFEHRKLMASRGVLIDRKAKLACEQLRISTCEINKAGIGWPLVDTCGNFVGMNFFHEEETPYLPRKKNSGSLGIF* >Brasy9G103000.1.p pacid=40061943 transcript=Brasy9G103000.1 locus=Brasy9G103000 ID=Brasy9G103000.1.v1.1 annot-version=v1.1 MTSILTEICRPYAVLLLHHHLLRALEPQRCSSVEFEPASARHACGVLVFDQILGTPRLGQSLISKSSYETHCKARWIVPPTHELQKDLWWNAHSRGHLLCEWRRSMLLLLPRLHIKPSKCKPTESKALATDVSSLRRFPSCRSVTRTQETRGTYIHKYCVMESKNNVLLVVACLLFLAAAANAGEGWAARGEMAAWRRLEDTLEPELGQVRDLLSHGGISYPGVLSSDRASCYPNCVGGPGDSYARPKGCRYHNPEARIHGVVSTLVLVARIHGCVPLCLNPE* >Brasy9G127600.1.p pacid=40061944 transcript=Brasy9G127600.1 locus=Brasy9G127600 ID=Brasy9G127600.1.v1.1 annot-version=v1.1 MELGRAGVVQMLWNSSLPYWSSGEWNGEYFSSIPEMTARHRFGFTFVNNDREVSFAYHLLDETTTTYSFVDVSGQRKVLAWHDATQDWVMVYTHPTAQCEVHAVCGPFTVCNDNAPLACSCMKGFSVDSPEDWELDDRSTSGCRRNTPLNCAGIGLADKFLAMPDIRLPYEPRGVVGHVMGASECEQICLTNCSCTAYSFGSGGCSVWHGGLLNVKYQRIGGDSSGNGEILHIRLAAKEFQTRRNNIVVILSAICATIIGLGILLLILVLRRTKRNKRYIHTLDNIHGGSGLVSFRYSELRRATRNFSEKIGAGGFGSVFKGSLNESTTIAVKRLYGCYQQEKQFRAEVSSIGILQHTNLVKMIGFCCDEDKRLLVYEHMPNISLDIHLFRSNTETLSWRTRYQIAIGVARGLAYMHESCLDYIIHCDIKPQNILLDTLFVPKIADFGMAKLLKREFSRVMTTTRGTVGYLAPEWISGVAITPKVDVYGYGMVLLEIISGRMNAHEECSSSDDGIVYFPIQVAHKLLKGDVMSFVDDRLNGDFITEEVERACKVACWCIQDREFERPTMSKVVQILEGVVEVDTPPMPRLLEAIAGRSYSA* >Brasy9G014600.1.p pacid=40061945 transcript=Brasy9G014600.1 locus=Brasy9G014600 ID=Brasy9G014600.1.v1.1 annot-version=v1.1 MKTLFFLAFLALAVTSAVARADTTCSQSYGGQSQQQQQQQLLINLGRAYLQQCTPRTVPFTYATGEQASSCQVMRQQFCQQLAQIPEQFRCQAINGVAQAIMQQQQQQQQQQSQGSYQPEEQAHFHIMMAALHTLPQMCAVHVPSYCIITTTSPCSIAKAATSAGGAYY* >Brasy9G085200.1.p pacid=40061946 transcript=Brasy9G085200.1 locus=Brasy9G085200 ID=Brasy9G085200.1.v1.1 annot-version=v1.1 MPDSATATRSAGGHVARLLQRWSALEALVAQAAAHDIQQLLPEPGQLDVVASSAAIHANASTHRLDSLVARHRLPQDRSTPRISPQFCFGTNKYKRKPPGTHTLQCWLTKSHDVSPMPYIWEFIAVLPALFG* >Brasy9G182000.1.p pacid=40061947 transcript=Brasy9G182000.1 locus=Brasy9G182000 ID=Brasy9G182000.1.v1.1 annot-version=v1.1 MAAPSGAGGGGGGGCLDCLQDVVRALAMGSCLPADQRPMDGTALLGKGGGCRRREEEAPGRIAGNGAGNAACLFTRQGKKGTNQDAMVAWENFNGRSDTVFCGVFDGHGPHGHLVARTVRDTLPSKLCDLIYHDYGESPTSNQDGSVIEEILSPYADAEDKSPTAAGQKEEQRELFDSMKESFRKAFRVTDKELKLHRNIDSICSGTTAVTLIKQGQDLIVGNLGDSRAVLGTRDQNGRLVAHQLTVDLKPDHPREARRIKRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLICVPEVTYRQITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGHSAAARTVVDLANQTWKFKYPTSKTDDCAAVCLFLNKNADAGELSGLSVGKKGTGSGPRMPPGLRNPRYNSNKVIPEDAEDECDSNISGDERSLEGFTRLNTLLVLPKFGDTSPTKK* >Brasy9G347200.1.p pacid=40061948 transcript=Brasy9G347200.1 locus=Brasy9G347200 ID=Brasy9G347200.1.v1.1 annot-version=v1.1 MASAPSTAASSLAPPPPSPLYSSARAARLRLPPPRPAAAAASASSAPLLITRRGGGRLARLRCRAGSVRLVAQDEFEAEVLQSELPVLVDFVAEWCGPCRLVAPVVDWASEEYEGRLKIVKIDHDANPEIIQKYKVYGLPALILFKDGEEVPGSRREGAINKIKFKDYIEPLLATSNVS* >Brasy9G011200.1.p pacid=40061949 transcript=Brasy9G011200.1 locus=Brasy9G011200 ID=Brasy9G011200.1.v1.1 annot-version=v1.1 MAWPRLQAVFAVVVLALLAADATTTANHGFFRFEEASIDAIHLGFNNGSLTSVALVSFYLDRIARLNPLLHAVIEVNPDALRQAARADAERRRRSGQAAMAGLHGVPVLLKDNIATRDALNTTAGSLALLGSVVRRDAGVVARLRRAGAVVLGKANPTEWSAYRSVDNGWSARGGQSLNPYVLSARPCGSSAGSGVAAAANLAAVTLGSETDGSILCPSSWNSVVGIKPTLGLTSRAGVIPITPRQDTIGPMCRTVSDAVQVLDAIVGYDALDAAATGAATKYIPHGGYMQFLKKDGLKGKRIGVPNGFFRGYGEKQLSVYKQHLATMRKHGAVVMENLAVATNLTALIINEGVAVQAEFKISLNAYLADLLYSPVRSLAQVIAFNNAHPIEERLKDFGQENLIAAENTTGIGSVERAAIQRLKELSANGLEKLMKEHRLDAIVTPNSDSSSLLAIGGHPGIVVPAGYDDEGIPFGICFGGLQGYEPRLIEMAYAFEQATQVRRPPMFKH* >Brasy9G152800.1.p pacid=40061950 transcript=Brasy9G152800.1 locus=Brasy9G152800 ID=Brasy9G152800.1.v1.1 annot-version=v1.1 MARLYVGNLDARVTAGELEDEFRVFGALRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSGRGGGRDGGGGRDRHGGSESKCYECGESGHFARECRLRIGAGGLGSGRRRSRSRSRSPRNRRSPSYGRRSYSPRDRSPRRRSVSPARGRSYSKSPTYNRGRDDSPDAKGNDGTRYRRSRS* >Brasy9G253600.1.p pacid=40061951 transcript=Brasy9G253600.1 locus=Brasy9G253600 ID=Brasy9G253600.1.v1.1 annot-version=v1.1 MSDATAAAASICAQISSIFSAPSPHPPARSVLVTELAAVASRGGRVFTHGVGREGLMTRALCMRLAHLGIPAHCVGDVTAPPASPGDLLVASAGPGAFSTVDAICGVARAAGARVLLLTARAEGEFPVRQADVVAHLPAQTMADDEEEEDGAAAATAQGTKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE* >Brasy9G208800.1.p pacid=40061952 transcript=Brasy9G208800.1 locus=Brasy9G208800 ID=Brasy9G208800.1.v1.1 annot-version=v1.1 MLRAAAARCAGGAIRQLSAVASPTAVGAQRRLPLDEGDWSYYKEWWGEDDGPGDGAHTVFRRHSEHGNGVVSVVAYPASQPASDKWPVMERWLQERNSRIYPESTGVDQFKILGYQWRVMRFNDHTRQSTAKVMACYRSPGDRALYSMQQPHCLAVPYVKSMVSAGLTALPCCSYDLPRAVSGENTMKILCIGHGGGSIPLFLASKFRGAAVHIVEIDPVVVSASVESMGFPASSAKGLSAHSMQPADGDELLWGGIHDRLFLHITDAEDFIVSDSNVYDIVFIDAYDGKDIFPHKLWDVDGAFLKNLEKKVHPVHGTVVVNLHSDSELSSPDVEDEGHFDSILPMGKYVSQVCRAYKQHFGLAFTAASPWLCNITLVACRDNAMLSGARPGRSGRDFVLGKLLSSSSMVEQALDLPFPCLPYVKNGFTLVE* >Brasy9G189700.1.p pacid=40061953 transcript=Brasy9G189700.1 locus=Brasy9G189700 ID=Brasy9G189700.1.v1.1 annot-version=v1.1 MLLSGPQPTPPLLLPESSGEDGGGAHDLDSSSRGGGAASAPKKRAETWVQDETLSLIALRREMDNHFNTSKSNKHLWEAISAKMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSSGAAGGNGSAKMAYYKEIDDLLKRRGKAAGPAAGTGGGGGGAPKSPTPTSKIESFLQFADKGFEDANIPFGPVEANGRSLLGVDDRLEPDRHPLALTTADAVVANGVNPWNWRDTSTNGGDNQATFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKAAFGLRTRRAFWLEDEDEVVRSLDRDMPIGTYALHLDDGIPIKLCTDADRMTVRTEDKTFYTEDDFRDFLSRQGWTLLREYSGYRVVDTLDDLRPGVIYQGMRSLID* >Brasy9G189700.2.p pacid=40061954 transcript=Brasy9G189700.2 locus=Brasy9G189700 ID=Brasy9G189700.2.v1.1 annot-version=v1.1 MLLSGPQPTPPLLLPESSGEDGGGAHDLDSSSRGGGAASAPKKRAETWVQDETLSLIALRREMDNHFNTSKSNKHLWEAISAKMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSSGAAGGNGSAKMAYYKEIDDLLKRRGKAAGPAAGTGGGGGGAPKSPTPTSKIESFLQFADKGFEDANIPFGPVEANGRSLLGVDDRLEPDRHPLALTTADAVVANGVNPWNWRDTSTNGGDNQATFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKAAFGLRTRRAFWLEDEDEVVRSLDRDMPIGTYALHLDDGIPIKLCTDADRMTVRTEDKTFYTEDDFRDFLSRQGWTLLREYSGYRVVDTLDDLRPGVIYQGMRSLID* >Brasy9G182500.1.p pacid=40061955 transcript=Brasy9G182500.1 locus=Brasy9G182500 ID=Brasy9G182500.1.v1.1 annot-version=v1.1 MIPLNFGFTVPRNIYDNIKSKLQQINGQTSKGTYLG* >Brasy9G000900.1.p pacid=40061956 transcript=Brasy9G000900.1 locus=Brasy9G000900 ID=Brasy9G000900.1.v1.1 annot-version=v1.1 DRNFRPYLRLYLRVPIDIEEEIYSPAFGNIAVPDSRGCCNGFTTSVSKILFILHLLAFIALTIFLGVQASSHQNPTYKPFANFIPLASSVILSIIAACFWTILAITNPPKAIKMSLWTAPVLALACDVVILLVGDGAALGIGVLIVVIAIAAALYSCWATGPQLQHAAAVLATSVNGSHLPFTASFLIIFVILAAFGYMAFWTVAISCITAAEGHFMIFKIVYVAALLVSMSWTMQVLRYFVYVAVARLAHARLVYGVRMPGGVVEAFCGTVSGPAFGDLCMGAVVVPVIAAVRSLARAINTLTGGNDEFLFSFRGCCLAASEKMIGRVNRWGFVHVGAWGKAFCVASRDVWSLFVLRGMAKLVDSDLTGSFCFLSAVTGGALASLVAGSWAMVMDRDHKEQALPLAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQSPHLGTLIPDHLRELEALAAD* >Brasy9G088900.1.p pacid=40061957 transcript=Brasy9G088900.1 locus=Brasy9G088900 ID=Brasy9G088900.1.v1.1 annot-version=v1.1 MTTTRKNDVAKSCCSSQGNLVYEIKPLSDSDSKKLFFKRIFDSEEKCPPDLKEASEDVLKKCGGLPLAINAISSLLVTRKTKEEWERVRGSIGFAQGRSSDIDAMNYILSLSYFDLPLYLRSCLLYLTMFPEDHEIQRQRLIHRWISEGFIHGEDGEDLVELGDMYFHELINRNLIQPVNIEYDGKASSCRVHDSVLDFLIYKSTEENLCTSLSNHSKPDSRIRRLSLLGNEVQGSVEQLDLSHARSLCSFRKAEQLPSLVKLKALRVLDLESCSGLKNHHVRDIGRLFQLRYLNINSSGISDLPRQIGDLVYLETLDVSQTKLLELPASVTCLKRMAWLFVPGSTKLPDCIGNMEKLQELGDYIKIFDQSVKFVEELGKLINLRKLNVNLDYNDSDKASYNKKEMLVSSLRKLDRFKLQSLCIKFSLQERDAGTFIEGHPLFLPALKSIREITLNHGQLCWTTKWMLSLANLEKLSVYGAPIGQQEFEMVGRIPSLLEFTVLCCSEPVIINSRNGGGFQQLQMLTLLSLHVRGFIMSEAGAMPNVRKLSFNIWPSLDMWSSDKLLTSLNICPHLNILPSDDRGGFDDIGIQHLSSLAELRVGIYCQGTRAADVEALEVAFKSMAEAHPNRPSLVLGRIFAGFMLKGSDE* >Brasy9G231200.1.p pacid=40061958 transcript=Brasy9G231200.1 locus=Brasy9G231200 ID=Brasy9G231200.1.v1.1 annot-version=v1.1 MQQHQGGGSQYGAPPPTQDMGPFSAPPAPGPVPLSSRPPAPTQQQQQPTYEELAAASGAGAGGAGFPDDDMLGGDSGGNSGGGLGASGGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRNAKKCKEKFENVHKYYKRTKEGRTGRQDGKSYRFFSELEALHATTAAPHHQEQQLPPFSSAPAPPQLQHAFAAPAVSAPLPMSTMPPPPGLIQPAPISSAAPAAHVAELHQPPPPLALQGLSFPSMSESESDDESEDDDEMTAETGGGSGSQDGLGKRKHGGSKKLMAFFEGLMKQVIQRQEEMQRRFLETMEKREAERMAREEAWRKQEVARLNREQEILAHERAAAASRDASIIAFLQRVGGGQAVQVPAPVVVPMPAPMQVQTPRQPPRQPLPPPPPPPAQTTPQPPQPIPAAPLQQQPPPPKHKETTTARQEAVTTPRSAPTPTPASGGTSLALVPVAEQQHVETHGLGGGDHGGAASSSRWPKTEVHALIQLRMDMDNRYQENGPKGPLWEEISSGMRRLGYNRNPKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLEAIYRKKHNGAGAAVVASVCAVTTDQQQTLSLNRHEIEGKKINDNDKRNNGGVGGPTQTQVPTSNGETTPTTTPPLDFSEKKPEDAVRELSEQQQREFTTDETDSDDMGDDYTDDGEDGEDDGKMQYRIQFQTPNPVRNPVGTNNAPPPATTPSTAVPPTSTPSSSFLAMVQ* >Brasy9G231200.2.p pacid=40061959 transcript=Brasy9G231200.2 locus=Brasy9G231200 ID=Brasy9G231200.2.v1.1 annot-version=v1.1 MQQHQGGGSQYGAPPPTQDMGPFSAPPAPGPVPLSSRPPAPTQQQQQPTYEELAAASGAGAGGAGFPDDDMLGGDSGGNSGGGLGASGGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRNAKKCKEKFENVHKYYKRTKEGRTGRQDGKSYRFFSELEALHATTAAPHHQEQQLPPFSSAPAPPQLQHAFAAPAVSAPLPMSTMPPPPGLIQPAPISSAAPAAHVAELHQPPPPLALQGLSFPSMSESESDDESEDDDEMTAETGGGSGSQDGLGKRKHGGSKKLMAFFEGLMKQVIQRQEEMQRRFLETMEKREAERMAREEAWRKQEVARLNREQEILAHERAAAASRDASIIAFLQRVGGGQAVQVPAPVVVPMPAPMQVQTPRQPPRQPLPPPPPPPAQTTPQPPQPIPAAPLQQQPPPPKHKETTTARQEAVTTPRSAPTPTPASGGTSLALVPVAEQQHVETHGLGGGDHGGAASSSRWPKTEVHALIQLRMDMDNRYQENGPKGPLWEEISSGMRRLGYNRNPKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLEAIYRKKHNGAGAAVVASVCAVTTDQQQTLSLNRHEIEGKKINDNDKRNNGGVGGPTQTQVPTSNGETTPTTTPPLDFSEKKKTL* >Brasy9G096300.1.p pacid=40061960 transcript=Brasy9G096300.1 locus=Brasy9G096300 ID=Brasy9G096300.1.v1.1 annot-version=v1.1 MLSRSKHFSAFWKPRPPPARTSRLSPRHASTLPYEDASITAQNMRLTALVSSGDTAAARRLFDGMRRRTVVTWNAMVAGHARCGSVHDALDLAARMHRSGVSPSEATFASVLGACARGRRLCVGAQVHCQAVKSGSENFEVVGASLLDFYSSCFDLGAAHMLFDSLHKRNERLWSPMVVALVRLNLLSDALDLLERMPAPRDVFAWTAVISGYARGAGDCCRKAIGLFVRMLADHGVMPNEFTFDSVLRACVKMGALDFGRSVHVCLLRSGFDTDKLITSALVDLYCSSDAVGDALLVYNDLEMPSLITSNALIGGLISMHMTEEAKIVFSQMPEHDSSTYNLMIKAYGIEGRLEQCQRMFEKMPRRNIVTLNSMMSVLLQNGKLEEGLKLFEQIKDERNTITWNSMISGYIQNNHSSEALKLFVTMRRLSIICSPSTFPALLHACATVGTIEQGKMVHAHLCKTPFESNGYVGTALVDMYSKCGCVSDALDAFCCITSPNVASWTSLINGLAQNGQCLEAIVEFGRMLRHHINPNEITFLGLLMASSRAGLVNKGMRFFHSMECYGLLPTVEHYTCAVDLLGRNGRIIEAEKFISEMPVPADGVAWGALLTACWYSMNLEMGEKVAEKLFFMGTKHKSAYVAMSNIYAKLGKWEDVVKVRTRLRTLDAKKEPGCSWIGVKDTVHVFLVEDRNHPERDEIYLMLEDLVSNILLHSEPDEDLYLLSGVPFA* >Brasy9G202000.1.p pacid=40061961 transcript=Brasy9G202000.1 locus=Brasy9G202000 ID=Brasy9G202000.1.v1.1 annot-version=v1.1 MGRLVVAAAVAAWAIPIAAWVDSVVPDPYMDEIFHVPQAQRYCRGDFLTWDPMITTPPGLYYISLAYVAALFPGAWATKVADALEPLCTPALLRSTNVIMAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFSIAHPYLLADNRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G202000.2.p pacid=40061962 transcript=Brasy9G202000.2 locus=Brasy9G202000 ID=Brasy9G202000.2.v1.1 annot-version=v1.1 MGRLVVAAAVAAWAIPIAAWVDSVVPDPYMAQRYCRGDFLTWDPMITTPPGLYYISLAYVAALFPGAWATKVADALEPLCTPALLRSTNVIMAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFSIAHPYLLADNRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G202000.3.p pacid=40061963 transcript=Brasy9G202000.3 locus=Brasy9G202000 ID=Brasy9G202000.3.v1.1 annot-version=v1.1 MGRLVVAAAVAAWAIPIAAWVDSVVPDPYMDEIFHVPQAQRYCRGDFLTWDPMITTPPGLYYISLAYVAALFPGAWATKVADALEPLCTPALLRSTNVIMAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G202000.4.p pacid=40061964 transcript=Brasy9G202000.4 locus=Brasy9G202000 ID=Brasy9G202000.4.v1.1 annot-version=v1.1 MGRLVVAAAVAAWAIPIAAWVDSVVPDPYMAQRYCRGDFLTWDPMITTPPGLYYISLAYVAALFPGAWATKVADALEPLCTPALLRSTNVIMAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G202000.5.p pacid=40061965 transcript=Brasy9G202000.5 locus=Brasy9G202000 ID=Brasy9G202000.5.v1.1 annot-version=v1.1 MAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFSIAHPYLLADNRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G202000.6.p pacid=40061966 transcript=Brasy9G202000.6 locus=Brasy9G202000 ID=Brasy9G202000.6.v1.1 annot-version=v1.1 MAVVCGVLVHDLLLCIKPGIRKAKATVYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLYCLKKRFWVSAMFGVISILFRQTNVIWMLFFAANGAITYVQDLCVSDYVSHENSGLTDKLSTEVSDLDNKVSAPGLRRRRKDSSITTKRVVSGSTKLHTSFTEEISDISFNLWNSKCKVLITFTPFAMVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSSAALLPWHFTPRRVSDLFRLCRKNKTFSLVAMLMALGLSFVAVHLFRHYTFYIWRKVIQAHWMMKYILIPLYVYSWFSVINILGKSQTRVWVLSFIFSVALVLVPAPLVEFRYYTIPLVILILNSPVIDNGKLLALGSVYAAADLFTLAMFLFRPFRWEHEPGTQRFMW* >Brasy9G096500.1.p pacid=40061967 transcript=Brasy9G096500.1 locus=Brasy9G096500 ID=Brasy9G096500.1.v1.1 annot-version=v1.1 MEAFLWSLQRVLTCNLTPLRQRELAIRPDLFFFPAFSRQQPRVLALLPAVVVATRLLLPARYPCILHADGHRQQPAGGLPSSLAFPLFGSSGTLRHRLLLCDSWCAFLQELALGKKIRNCCCSVKYEHRSNLLCMGVIDVQKHKCGNHGGDSQIRCLIGRISAGFTGHTCKSMPPPFSV* >Brasy9G236200.1.p pacid=40061968 transcript=Brasy9G236200.1 locus=Brasy9G236200 ID=Brasy9G236200.1.v1.1 annot-version=v1.1 MAEMIAISLSAKVAAALSRKAAIDLSSLVAIRSGIAAAARDLELLRAFLRFADSRRVTDALASAWVDQVRDVGFQLEDVADEYVFLSGGGFIRACANIGAWFALARRLRKARERLRDLSGAKERYGIRTAQASASSSAPDGGIVPAIGRKLAEAAHFMEDEEIVGFVAHRRSLMEWLTEDSHSRRTLIAVCGMGGVGKTTLVTNVYNEIAASRHFDCAAWVAVSKNFTPEDLLRKIAKELHRVVSAGMPWDINEMDYRSLVEALRGHLASKRYLLLLDDVWDAHAWYEIRSAFVDDGTGSRIIITTRSQDVASLAASTRIIMLEPLPEKEAWSLFCNTTFREDANRECPYHLEHWAFKILDRCCGLPLAIVSVGNLLALKQKTEFAWKNVHDSLEWDESSDRGIEQVSSILNLSIDDLPYHLKRCFLHCSIYPEDFSIKRKILTRLWIAEGYIEEKGQGTMEEIADDYLSQLVHRNLLQVTLKNEFGRAKRCCIHDLIRELIVHRSTKEGFFVFSKCTVTMESSKKIRHLILDRCRSDHLPASKMTLLRTFSAFMADVDAALLSRFRLLTVLNLWFVQIDELPTSLTNLHNLRYLGIRSTLIEELPQELGQLHNLQTLDAKWSMVQRLPPSITKLKSLRHLILFRRQSADFRYPGPGTAIKFPDGLQNLTCLQTLKYIEADEKMVKSLGSLKHMKSLELCGVHESNLIHLPSSISKMSGLLGLGIVSQDANVTLDLEPFYPPPLKLQKLSLTGMLARGKLPSWFGNLDNLMQLRLCSSELKGDSIELLSSLPRLLHLNLNNAYNDQSLIFAEGCFPVLKKLSLHELPNLSHIEFRRGSLLHLNVLMLGRCDELTEIPQGIENLIQLDNLELFEMPGQIIQKMQGREDLRGSNEDYRRTTTVKNIRWHNWQLLEKTIYINLSAIQK* >Brasy9G236200.2.p pacid=40061969 transcript=Brasy9G236200.2 locus=Brasy9G236200 ID=Brasy9G236200.2.v1.1 annot-version=v1.1 MAEMIAISLSAKVAAALSRKAAIDLSSLVAIRSGIAAAARDLELLRAFLRFADSRRVTDALASAWVDQVRDVGFQLEDVADEYVFLSGGGFIRACANIGAWFALARRLRKARERLRDLSGAKERYGIRTAQASASSSAPDGGIVPAIGRKLAEAAHFMEDEEIVGFVAHRRSLMEWLTEDSHSRRTLIAVCGMGGVGKTTLVTNVYNEIAASRHFDCAAWVAVSKNFTPEDLLRKIAKELHRVVSAGMPWDINEMDYRSLVEALRGHLASKRYLLLLDDVWDAHAWYEIRSAFVDDGTGSRIIITTRSQDVASLAASTRIIMLEPLPEKEAWSLFCNTTFREDANRECPYHLEHWAFKILDRCCGLPLAIVSVGNLLALKQKTEFAWKNVHDSLEWDESSDRGIEQVSSILNLSIDDLPYHLKRCFLHCSIYPEDFSIKRKILTRLWIAEGYIEEKGQGTMEEIADDYLSQLVHRNLLQVTLKNEFGRAKRCCIHDLIRELIVHRSTKEGFFVFSKCTVTMESSKKIRHLILDRCRSDHLPASKMTLLRTFSAFMADVDAALLSRFRLLTVLNLWFVQIDELPTSLTNLHNLRYLGIRSTLIEELPQELGQLHNLQTLDAKWSMVQRLPPSITKLKSLRHLILFRRQSADFRYPGPGTAIKFPDGLQNLTCLQTLKYIEADEKMVKSLGSLKHMKSLELCGVHESNLIHLPSSISKMSGLLGLGIVSQDANVTLDLEPFYPPPLKLQKLSLTGMLARGCYILI* >Brasy9G236200.3.p pacid=40061970 transcript=Brasy9G236200.3 locus=Brasy9G236200 ID=Brasy9G236200.3.v1.1 annot-version=v1.1 MAEMIAISLSAKVAAALSRKAAIDLSSLVAIRSGIAAAARDLELLRAFLRFADSRRVTDALASAWVDQVRDVGFQLEDVADEYVFLSGGGFIRACANIGAWFALARRLRKARERLRDLSGAKERYGIRTAQASASSSAPDGGIVPAIGRKLAEAAHFMEDEEIVGFVAHRRSLMEWLTEDSHSRRTLIAVCGMGGVGKTTLVTNVYNEIAASRHFDCAAWVAVSKNFTPEDLLRKIAKELHRVVSAGMPWDINEMDYRSLVEALRGHLASKRYLLLLDDVWDAHAWYEIRSAFVDDGTGSRIIITTRSQDVASLAASTRIIMLEPLPEKEAWSLFCNTTFREDANRECPYHLEHWAFKILDRCCGLPLAIVSVGNLLALKQKTEFAWKNVHDSLEWDESSDRGIEQVSSILNLSIDDLPYHLKRCFLHCSIYPEDFSIKRKILTRLWIAEGYIEEKGQGTMEEIADDYLSQLVHRNLLQVTLKNEFGRAKRCCIHDLIRELIVHRSTKEGFFVFSKCTVTMESSKKIRHLILDRCRSDHLPASKMTLLRTFSAFMADVDAALLSRFRLLTVLNLWFVQIDELPTSLTNLHNLRYLGIRSTLIEELPQELGQLHNLQTLDAKWSMVQRLPPSITKLKSLRHLILFRRQSADFRYPGPGTAIKFPDGLQNLTCLQTLKYIEADEKMVKSLGSLKHMKSLELCGVHESNLIHLPSSISKMSGLLGLGIVSQDANVTLDLEPFYPPPLKLQKLSLTGMLARGCYILI* >Brasy9G130800.1.p pacid=40061971 transcript=Brasy9G130800.1 locus=Brasy9G130800 ID=Brasy9G130800.1.v1.1 annot-version=v1.1 VSHGRFVALAQAVTRAARPSSSVAFPAHPPTLPPTRQTATLPQPPRSAPDQPRPCRLPADPPAPPLLPQQKVMPVTALRARCSTAVASWAPVVPASRGGTLVHRRLPRPYPCRVSHDFAASAASRARGDRRRRRRRRGRQKEGEGEIGGARRPREEE* >Brasy9G019900.1.p pacid=40061972 transcript=Brasy9G019900.1 locus=Brasy9G019900 ID=Brasy9G019900.1.v1.1 annot-version=v1.1 MLAAGDLTLAPTTPTPHANLSHPRRIPDASPRRRMSFLRALADSLSSLFSPSPEVPMTDAAAQPPSAAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDADAHYRNALRVMLEAKAARVPDAVSSSERGQVRMYQEKIAKWQTQVEERLRVLSQRSGAAAPVPKKVATNNHLNRPERPASTSFSKSTLQSRPTFNRGGQASSHQNSNGGSKPMQKAGGKDDDKLVEMINTTIVDRSPSVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPAKGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNHDFERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKNAMTAIRPSLQKSKWDELEKWNDEFGSS* >Brasy9G019900.2.p pacid=40061973 transcript=Brasy9G019900.2 locus=Brasy9G019900 ID=Brasy9G019900.2.v1.1 annot-version=v1.1 MLAAGDLTLAPTTPTPHANLSHPRRIPDASPRRRMSFLRALADSLSSLFSPSPEVPMTDAAAQPPSAAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDADAHYRNALRVMLEAKAARVPDAVSSSERGQVRMYQEKIAKWQTQVEERLRVLSQRSGAAAPVPKKVATNNHLNRPERPASTSFSKSTLQSRPTFNRGGQASSHQNSNGGSKPMQKAGGKDDDKLVEMINTTIVDRSPSVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPAKGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNHDFERLAVETEATAIEIRRFQERDDRDQAELAEEQMGRAGEMERRIRFKLTIITPHVLSSGFSLACK* >Brasy9G352900.1.p pacid=40061974 transcript=Brasy9G352900.1 locus=Brasy9G352900 ID=Brasy9G352900.1.v1.1 annot-version=v1.1 MADPPPLLPPFLLLSLALVLCAAASAATIHPDDLSILKDLRRSLTNAADALPTWTAADPCAGWAHVSCDRDGRVNNLDLKNLGLTGSLPPTFSGLAGLQGLSLQSNALSGPLPSFRGMAALQKAYLNGNAFSSVPGDFFRGLADLVEISLDDNPLNASQGGWALPDDLGETSQQLRSLRLINCSLVGSVPAFLGNMSGLQELRLSYNKLSGPIPASFGAGSGIQTLWLNNQVGVRKLSGTLEVVAAMGSLQEAWLHGNEFSGPIPDGIGNCKQLKTFWANNNMLVGLVPASLATLPLLKDVRLDNNNLLGPAPVLKAGNFTFSGNEFCAEKPGDVCSPEVMALLQFLAQVGYPQKLVGSWSGNDPCKDWLGVTCSDGKVSVINLPGYGLNGTISDSLGNLTTVSDIRLDSNNLTGHVPDSLTNLKSLKKLDLSMNDLSGPLPAFRRDVNVVVTGNLNFNGTAPGVPPKDSPRPPTPSTPGSRDQTAGPGNGKKSSATMLAIPIAVSVVALVSLGAVAFYCKKRGSIRQPQAAASVVVHPRDNSDPDNLVKIVMANNDSFSAASSGNSSQAGDIHMIEARNFVIAVQVLRGATKNFAQDNVLGRGGFGVVYKGELHDGTMIAVKRMESAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEHMSNGALSKHLFQWKQLELEPLSWKKRLNIALDVARGMEYLHTLAQQCYIHRDLKSANILLGDDFRAKVSDFGLLKPAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDERRIDEETRYLASWFCQIRKDEEKFRAAIDPSLVLTDETFESISVIAELAGHCTSREPSQRPDMGHAVTVLVPMVEKWKPSNNEAEDYMGIDLHLPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR* >Brasy9G328200.1.p pacid=40061975 transcript=Brasy9G328200.1 locus=Brasy9G328200 ID=Brasy9G328200.1.v1.1 annot-version=v1.1 MDLNMANLWFHGKEHIADSSFFQAESTAMNCRNEDLDVATVKVGCLGNSEAVETSRKSFEQSNQSLSVPDDDCRLVLGLGPVTNLYSADSHSHDGNKVKLPATLFAQCCTTNDPGLVLGMSRGSTRNLQPTTVTGSKEHSHTRKNGIVFPLIDEGSTSAKRKSGDYVLPLLFAARSNDLCLNGTPSETGVQQHLGTGYDADHDRSLNQHKVQLSPEPSAATDCSFAATSDTIGCTNNGEQRRNQRHLKKCRFNGCSKGGRGASGLCISHGGGQRCQKPGCNKGAESRTAYCKAHGGGNRCQELGCTKSAEGKTEFCIAHGGGRRCGVQECSKAARGRSGLCIKHGGGKRCMIEGCTRSAEGYPGLCISHGGGRRCHYPDCCKGAQGGTMFCKSHGGGKRCISEGCNKGAEGSTLLCKGHGGGKRCLFEGGAVCPKSVHGGTSFCVVHGGGKRCAAPGCTKSARGRTDCCVRHGGGKRCKSDGCDKSAQGSTDFCKAHGGGKRCPWSTGCEKFARGRSGLCSAHATLMASKQELKCTQGKSMVGHGFFSGIGSSSSTPGSSMDHAVSSSAPGALSDHGESLQDMQSGRLIPRQVLVPGSLKPSSSFNLAGNCQEGARSHSQSFGFVVPEGRVHGGGLMSMLGGAGGSNLGGNIDDPKS* >Brasy9G078500.1.p pacid=40061976 transcript=Brasy9G078500.1 locus=Brasy9G078500 ID=Brasy9G078500.1.v1.1 annot-version=v1.1 MARMARLAALLVCVFLLYVAAPSMASGHGFLQCLSTSIPSNLVLTRGSPSFEPVLVSSIRNARQLAPAKASPPLAIVTPTTASQVQTLVLCSVRHGVRLRVRSGGHDYEGLSYRSPLSLEPFAVLDLFNLRSVRVDAATATAWVDSGASIGELYYAIAKAAPGLAFPAGVCPTIGVGGHFSGGGIGLMMRKYGLSADNVIDATIVDATGNLLEGKAAIGEDLFWAIRGGGGGSFGIVLSWKVRLVPVPPKITFFDVAKTVEQGAASVLTEWQTVAPALPDDLSIRAFVLNRTVRFQGLYLGPQDEALKITNDKLPELGATANDSRELSWVQYTAYIYFGDTTTPLEALLNRTFPVGSFLKHKSDYVKTPIPEATWEKILSWPFGGATDGQIILEPHGGRVGAAVPDDETPFPHRGGVLYNIQYVEVYPANLSSSPPSWVTGLYDFVEPLVSSNPRSAYVNYRDLDIGVNKDGVASYESAKAWGERYFGAANFLRLARIKAKVDPENHFRHEQSVPPLLNY* >Brasy9G035500.1.p pacid=40061977 transcript=Brasy9G035500.1 locus=Brasy9G035500 ID=Brasy9G035500.1.v1.1 annot-version=v1.1 MATPERVCSFEPSVWDDFFVQYEQEPFQNACMRVKVDKLKEDVCMLFKTFNGTVVDKMTLLDALQRLGIDHLFQEQINTAIKEIYESESNCCSLYEAAVRFRLLREHGHWVSPAYLSTHGESELDEAISFARHHLESMGNSLNYPLSEQVKRNLEIPLPRISKRLDVPYYIAEYKHEQECNPLVLELAKLDFNLLQRLHRSELKAYSRWANDLYEDVGLSYSRHRARIILAKLIELVCLLDDTFDIHATLEEGRKLNEAIQRWDESAIPLLPEYLKKYYIRLMNTFRELEVELKQDHKYRIVYCRKAVIYLSIQLHYQQEAEWFHNSYTPSFEDHVKCSVISAATPFLCIGLLALGCTDAVKACGEVTRFMDDMAAFKQGKVKMDVASTIDCYINQHHVTSEVAIAVMDNKVEDAWRTINQTRFDRRALLSLVNRVAGLTKNMTWLFRDKIDRYTFSRGNKDKIEQQFIEPIPL* >Brasy9G042500.1.p pacid=40061978 transcript=Brasy9G042500.1 locus=Brasy9G042500 ID=Brasy9G042500.1.v1.1 annot-version=v1.1 MNLVSPTVTMQPPQQQHGALLANLPDELLVEILVRLPARSIAQCRAVCSAWRSAISDPSFDRRPRPPPARGRHGEAKPGLQRHPRLRPSVRGSWDGVVCIEGTRISVLRPCTTTHAERYVLRNPLTMACATVCPPDDRARFVGAYAHPAC* >Brasy9G155000.1.p pacid=40061979 transcript=Brasy9G155000.1 locus=Brasy9G155000 ID=Brasy9G155000.1.v1.1 annot-version=v1.1 MMHGLLIALALLCLTINTRGISACIVSERDALSAFNASIDDPEGRLHSWIGGNCCNWDGVSCSKKTGHVIKLDLGGHGDFGGVPIPKFMCSFKMLRYLDLSHAGFGGTAPDQLGNLSRLSYLDLGSSGGPVITVDSFHWVSKLTSLKYLDLSWLYLAASVDWLQALNMLPLLEDLRLNDASLPTTDLNSLSQVNFTALKLLHLKSNNLNSSLPNWIWRLSALSELDMTSCGLSGMIPDELGKLTSLKLLRLGDNKLKGEIPRSASRLCNLVQIDLSGNILSGDIAGAAKNVFPCMKWLQILDLAGNKLTGKLSGWLEGMTSLRVLDLSVNSLSGVVPASIGNLSNLTHLDFSFNKLNGTLSELHFANLSRLDTLNLASNSFKIAFKQSWVLPFQLKNLGMHACLVGPQFPTWLQSQTRIEMIDLGSAGIRGPLPDWIWNFSLSITSLNMLTTLNMRSNQLEGNIPDLPISIRVLDLSDNNLSGSFRQSFGNKELRYLSLSRNFISGVIPTDLCNMISVELIDLSYNNLSGELPDCWNDNSKLHVIDFSSNNFWGEIPSTMGSLNSLMSLHLSRNRMSGMLPSSLQSCNMLMFLDLAQNNLSGNLPKWIGGLQSLILLSLGSNQFSGEIPEELSKLRALQYLDLRNHKLSGPVPNFLGNLTALHSDHPVFDTSPFLEFMVYGVGGAYFSVYTDVLQTIFKGYRVTFRKCFLLTSIDLSANLLTGEIPTEIGFLSALHTLNLSGNHIGGSIPDELGSITYLESLDLSWNDISGSIPHNLTSLSYLCMLNLSYNGLSGKIPSEHQLSTFANDSFLGNENLCRLPLSRICVPKSKKHQHRILQLRFDTLTYLFTLLGFAFGISTVSTTMICSAAVRKAYFQFTDRVFDNLCAAVQIKLSINRMSAGRDPSMATRSQDSITCYELEQSHTAIY* >Brasy9G147500.1.p pacid=40061980 transcript=Brasy9G147500.1 locus=Brasy9G147500 ID=Brasy9G147500.1.v1.1 annot-version=v1.1 MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCEADIGVIVISPHGKIYELATNGNMGSLIERYKGSNTEAQAESSNQNKPQVIQQEVLLLRQEIDLLQKGLRYIYGERDINHMNLDELQALESNLEIWVHNVRSTKMQIISREIEMLKNKEGILKAANDMLQERIIEQSGILGTSSDMLIPQFPFQRNMESDYYF* >Brasy9G172700.1.p pacid=40061981 transcript=Brasy9G172700.1 locus=Brasy9G172700 ID=Brasy9G172700.1.v1.1 annot-version=v1.1 MASRFWGHGDSDSEEEVEDIDSEQGSDSEKSEAGDGGREGSKNPYLSKYTNDSDDSDVDNPRVIRSLKDKRNDEMKATADQMRNAIKINDWLSLQESFDKLNKQLEKVVRINESTKVPNRYITTLVLLEDFLGEALANKEAKEKMSRSNSKALNAMKQKLKKNNKQYEDLIQKCRENPESFEDDVADEKDVDDEDEDDDDSGEDIVDPDKMALSESEESGNEDNEGEEGGGWEKKLSKKDKIMDKQFMKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLIVLDILQQYPNIVVDTSVEPDEKETQKGADYSGTIHVTGDLVAFLERIDSEFFKSLQCSDPYTKDYVQRLRDEPLFSVLAQTVQEYQERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEAGIEDGDADTGDEYQAADNYRGTPPFVVIPEVVPRKPTFPESGRVFMDGLMSLIYKYGDERTKARAMLCHIYHYAISDEFSVARDLLLMSRLQDGVQLLDISSQILFNRAMAQLGLCAFQAGLIADAHSCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTFDKRRPMSKTFRRLLDMSERQTFVGPPETVRDHVLAATRALNKGDHEKAFSVIASLDTWKLLRNKDHILEMLKLKIKEEALRTYLFSYSSCYESLSLDQLTMMFDLNKSQAHSIVSKMMMHEELHASWDQPTKCISFHSVDQTRLQGLLFQMADRLSVLVESNERAYEARTGGALEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRRGGGRFGYSGRTGGSGRGGGGYQNDRFQNDRSGQGSRGGYGGGSRFQDGRTRTQSGSSSRGDGSARMVSLNRAGRG* >Brasy9G086400.1.p pacid=40061982 transcript=Brasy9G086400.1 locus=Brasy9G086400 ID=Brasy9G086400.1.v1.1 annot-version=v1.1 MAIGGAHRPESRPHLLKKPLPGSRQGARLDSNPRAHRRRRGKSEPLLIVESNRGETMESEIQRTEMLLAPTLAFKKVQTADKYPKGQSRGRQWKHLRHLLQAADGSSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYADPRTKLRYSDPEVFKQIRMLPDEYVQRYLALRNAAVVLR* >Brasy9G269800.1.p pacid=40061983 transcript=Brasy9G269800.1 locus=Brasy9G269800 ID=Brasy9G269800.1.v1.1 annot-version=v1.1 MDAYRRFAGSGDAPPPPQQQHPLPLPSHPNPNGWYPGPAPPYHAPHPNHPFPPQQHQWGHPPPPDIQHQHRPPPQYTYQPHPPPMQPPPPPAPGNPWPPHHAGAQPPAPSYPPPPPGQAWTNHSWAQNDGYTGLANEDDWATKAKEWAAAKSGTQNHQIQQHVMPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRRVLRSPHSKHSHRRHSPYSSADRKK* >Brasy9G269800.4.p pacid=40061984 transcript=Brasy9G269800.4 locus=Brasy9G269800 ID=Brasy9G269800.4.v1.1 annot-version=v1.1 MDAYRRFAGSGDAPPPPQQQHPLPLPSHPNPNGWYPGPAPPYHAPHPNHPFPPQQHQWGHPPPPDIQHQHRPPPQYTYQPHPPPMQPPPPPAPGNPWPPHHAGAQPPAPSYPPPPPGQAWTNHSWAQNDGYTDDWATKAKEWAAAKSGTQNHQIQQHVMPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRRVLRSPHSKHSHRRHSPYSSADRKK* >Brasy9G269800.5.p pacid=40061985 transcript=Brasy9G269800.5 locus=Brasy9G269800 ID=Brasy9G269800.5.v1.1 annot-version=v1.1 MDAYRRFAGSGDAPPPPQQQHPLPLPSHPNPNGWYPGPAPPYHAPHPNHPFPPQQHQWGHPPPPDIQHQHRPPPQYTYQPHPPPMQPPPPPAPGNPWPPHHAGAQPPAPSYPPPPPGQAWTNHSWAQNDGYTGLANEDDWATKAKEWAAAKSGTQNHQIQQHVMPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRYINMCPFSILLINDFRNF* >Brasy9G269800.2.p pacid=40061986 transcript=Brasy9G269800.2 locus=Brasy9G269800 ID=Brasy9G269800.2.v1.1 annot-version=v1.1 MDAYRRFAGSGDAPPPPQQQHPLPLPSHPNPNGWYPGPAPPYHAPHPNHPFPPQQHQWGHPPPPDIQHQHRPPPQYTYQPHPPPMQPPPPPAPGNPWPPHHAGAQPPAPSYPPPPPGQAWTNHSWAQNDGYTGLANEDDWATKAKEWAAAKSGTQNHQIQQHVMPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRKK* >Brasy9G269800.3.p pacid=40061987 transcript=Brasy9G269800.3 locus=Brasy9G269800 ID=Brasy9G269800.3.v1.1 annot-version=v1.1 MPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRRVLRSPHSKHSHRRHSPYSSADRKK* >Brasy9G288300.1.p pacid=40061988 transcript=Brasy9G288300.1 locus=Brasy9G288300 ID=Brasy9G288300.1.v1.1 annot-version=v1.1 MGGKKLAVAMLLLVLVAMSSGSWTRGAAAARPLQGDQVNAAGEPSSGGSMNTVPPSEWQGHKLPPFEEKSWQPPCGQTYRAGTHCPP* >Brasy9G340700.1.p pacid=40061989 transcript=Brasy9G340700.1 locus=Brasy9G340700 ID=Brasy9G340700.1.v1.1 annot-version=v1.1 MAEAKGKKGNRGYLTWTPDMDTAMLDVLVEHHNNGDHAQNGWKPHVYNACIRHDNITARCKTFDKHYEVISKILAQSGFGWDWENNKLSIDSEDVWSKYVEANKAAASYKTKVVMNWEQISSIYSKDHATGEGAKTAAECVEEQDTQVLEESPDVPQKRRRTGDVILCMMGDMKSEFQEVLKTTDPVTLPKVTPSAEILAALQTIPDLAKGDMLRAYGRLSISERLVESLMELPMTLRKAWLMTLS* >Brasy9G158300.1.p pacid=40061990 transcript=Brasy9G158300.1 locus=Brasy9G158300 ID=Brasy9G158300.1.v1.1 annot-version=v1.1 MQFQELCRRQLQEKWRPNALFNVVLNSRRAEGENNSCCPPFLICPLSNKIMIDPVTIATGKTFERESIREWFLLHGHVCPLSQVPVSRTVLRNERVREYSEIFLRVRCSEFRDRCR* >Brasy9G177500.1.p pacid=40061991 transcript=Brasy9G177500.1 locus=Brasy9G177500 ID=Brasy9G177500.1.v1.1 annot-version=v1.1 MSKHVLPLLLMASFQLTASTLSQRLGDVVDPGLPTLLKIKAQFGEQAALASWQPGTDHCTWPHAFCDQHRRVVAISLEGHRQHPGPHGPHPAIPGSFGNLSRLSIFNIMRTSASGPLPASLARTNLTSASFARNRLTGRIPTSFQDLPHLAHFDASGNALVGRIPPGLASGGTRESRRWASRCPTTGSRGGSRGATGARTTSSTSGWPTTGSRGGRVVPFPPGAREKGVSDIDLSGNRLRFDLTGVEMPEALLFLNLSRNRIYGGVPASLPRSRLVRLDLSYNRLCGEIPTGGIMGRFKAAAYEHNRCLCGTPLPPC* >Brasy9G001500.1.p pacid=40061992 transcript=Brasy9G001500.1 locus=Brasy9G001500 ID=Brasy9G001500.1.v1.1 annot-version=v1.1 MWLREGRRGDALWACLHRLIYLCARPEFYHRPLEHRPKPVKTLRLLLPAPRTLAIPQSPPPSRPPPPPLSSAIAPPPSPLSSAVAPPPPPLSSADPHPHRAPASPLLLSLRASSPPTAVAPAAPSGSRAVDLPSSRHPPPRLRSAAAGCGFGSGGPVRTWRRQASICFVCFDLMLLVRSQLLLMAGVSHCIRLHSQRHRLYSEIMLSKLFHQPGNRLLWQACIAVSIREHLSEIASQSISAMREAKVSKRRRAPEFASNSKAMNNAVDMFKYFGSK* >Brasy9G138500.1.p pacid=40061993 transcript=Brasy9G138500.1 locus=Brasy9G138500 ID=Brasy9G138500.1.v1.1 annot-version=v1.1 MAGAETAVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPAPLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYLGLTFIWAVLNTFALEVIAVLDMISMWWQVIGGTVIVILLPLVAKTTQPASYVFTHFETAPAVTGISSVSYAVVMSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWVYILALTFSIQDFAYLYDPANETAGAFVPAQILYDAFHGRYDSSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPFSPVWRRIHPKHKVPGNAVWLCAAACALLGLPILKINVVFTAITSVATIGWVGGYAVPIFARMVMREENFRPGPFYLRGASRPVCLVAFLWICYTCAVFLLPTVYPIKMDTFNYAPIALGVVLGLIMIWWVLDARKWFKGPVRNIDEQNNGDGNGKV* >Brasy9G354000.1.p pacid=40061994 transcript=Brasy9G354000.1 locus=Brasy9G354000 ID=Brasy9G354000.1.v1.1 annot-version=v1.1 MAVKDCGGHKGFGTGSGACECHRDKLYRKLLAFLLALILLTAFIILIVYLVLRPHKPKFYLQDLSVLCLNVTPPSSAYLFTTMQTTIAAVNTNDHVGIYYDATDAYAEYKGVAITVPTSLPVAYQGHRDQSVWSPYLRSFDGGVPLPPVLAVALAQDETAGYVLVNVRVDGWIRWKVGTWISGHYHLRVNCPALLTVNGGKGSYGDVAGGGDGFFRFQQAAACTVDV* >Brasy9G227800.1.p pacid=40061995 transcript=Brasy9G227800.1 locus=Brasy9G227800 ID=Brasy9G227800.1.v1.1 annot-version=v1.1 MAGEEDRPMTEYEKERLARIRENEARLQALGIRRIAASPLLQQPSAAVAAAAKRKQKDRSDDADEEYLPSDDGGGEEEEESSSASDQDVEEEEIKTYSRSRQKGKKKKLLNSGNSSTRTFREEDAPVMDFLDDDAALQQAIALSLAESSESSVTVMGAKTSSTGAKGRKGTPCKNNNTPIQDSAKNRKTKKQVRSRIQLSEDDVVAFFFSFDDAGKGYITPWDLERMANVNDFIWTDSEISNMIRCFDRNRDGKINLEDFRAVVSRCNMLQEPGK* >Brasy9G227800.2.p pacid=40061996 transcript=Brasy9G227800.2 locus=Brasy9G227800 ID=Brasy9G227800.2.v1.1 annot-version=v1.1 MAGEEDRPMTEYEKERLARIRENEARLQALGIRRIAASPLLQQPSAAVAAAAKRKQKDRSDDADEEYLPSDDGGGEEEEESSSASDQDVEEEEIKTYSRSRQKGKKKKLLNSGNSSTRTFREEDAPVMDFLDDDAALQQAIALSLAESSESSVTVMGAKTSSTGAKGRKGTPCKNNNTPIQDSAKNRKTKKQVRSRIQLSEDDVVAFFFSFDVTPNRSLLFLYRCWKRIYHTLGS* >Brasy9G081200.1.p pacid=40061997 transcript=Brasy9G081200.1 locus=Brasy9G081200 ID=Brasy9G081200.1.v1.1 annot-version=v1.1 MSGGGEEHAAPIPQLSLTRPSSFPLTMSCHCSASPRIPASDASSTSLFPSRSRNRQQGRHGMGSSTTGGKTPCGMLGVEIVHYDCWFCVCGLKLCIQIIHLPLKRPNSFFSSSHVQLDNARSSMSAVEDATRNMRASRKSMRRGYAPMAPPLDTSMVQRSGVIELPLSKKR* >Brasy9G081200.2.p pacid=40061998 transcript=Brasy9G081200.2 locus=Brasy9G081200 ID=Brasy9G081200.2.v1.1 annot-version=v1.1 MSGGGEEHAAPIPQLSLTRPSSFPLTMSCHCSASPRIPASDASSTSLFPSRSRNRQQGRHGMGSSTTGGKTPCGMLGVEIVHYDCWFCVCGLKLCIQIIHLPLKRPNSFFSSSHVQLDNARSSMSAVEDATRNMRASRKSMSRICRQSGRGCRGC* >Brasy9G081200.3.p pacid=40061999 transcript=Brasy9G081200.3 locus=Brasy9G081200 ID=Brasy9G081200.3.v1.1 annot-version=v1.1 MSGGGEEHAAPIPQLSLTRPSSFPLTMSCHCSASPRIPASDASSTSLFPSRSRNRQQGRHGMGSSTTGGKTPCGMLGVEIVHYDCWFCVCGLKLCIQIIHLPLKRPNSFFSSSHVQLDNARSSMSAVEDATRNMRASRKSMRKLLVCRT* >Brasy9G269600.1.p pacid=40062000 transcript=Brasy9G269600.1 locus=Brasy9G269600 ID=Brasy9G269600.1.v1.1 annot-version=v1.1 MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGTVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLKENDLDVHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPAAIPTAAGS* >Brasy9G310100.1.p pacid=40062001 transcript=Brasy9G310100.1 locus=Brasy9G310100 ID=Brasy9G310100.1.v1.1 annot-version=v1.1 MGSRFPSHQLGNGLYVSGRPEQPKEKGPTLGSTVMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNAPSRNTSFGGAASNSGPVSNTVGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPAKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPISSGPMNSSGAPRKKVSGSLDAAASMKTRATSFAHNQAVTNLTKEGGFSVMDSISKWLLGSLVVLILAALGVGIFILVAVHNAIVLIVVVVMCGSIAALVTWNAWRGRRGVIGFVNRYPDTDLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTGLYEYRGWGSKTANTKHRRFTWGLRTMERHAVDFYISDFQSGLRALVKTGYGAPVTPYVDESVVIDINPDNKDMSPEFLRWLRERSLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDSVLMIVPPPEPISSGCQWAKCFLSTNLDGLVLRCEDTSNMDVIPV* >Brasy9G214200.1.p pacid=40062002 transcript=Brasy9G214200.1 locus=Brasy9G214200 ID=Brasy9G214200.1.v1.1 annot-version=v1.1 MHKFHVELIVPASWYIFDTMADYHSHGAPVHNTATDSDHIAIFCITPKASRYIYPSTRSCAFPSKRGRDSVCLRFGARSVEGEKKVAMEVVKGKEEAPADVSLKQLSKKLDDFAQERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQRGLPGWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA* >Brasy9G214200.2.p pacid=40062003 transcript=Brasy9G214200.2 locus=Brasy9G214200 ID=Brasy9G214200.2.v1.1 annot-version=v1.1 MADYHSHGAPVHNTATDSDHIAIFCITPKASRYIYPSTRSCAFPSKRGRDSVCLRFGARSVEGEKKVAMEVVKGKEEAPADVSLKQLSKKLDDFAQERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQRGLPGWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA* >Brasy9G214200.4.p pacid=40062004 transcript=Brasy9G214200.4 locus=Brasy9G214200 ID=Brasy9G214200.4.v1.1 annot-version=v1.1 MSCQSFLQASRYIYPSTRSCAFPSKRGRDSVCLRFGARSVEGEKKVAMEVVKGKEEAPADVSLKQLSKKLDDFAQERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQRGLPGWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA* >Brasy9G214200.5.p pacid=40062005 transcript=Brasy9G214200.5 locus=Brasy9G214200 ID=Brasy9G214200.5.v1.1 annot-version=v1.1 MEVVKGKEEAPADVSLKQLSKKLDDFAQERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQRGLPGWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA* >Brasy9G214200.3.p pacid=40062006 transcript=Brasy9G214200.3 locus=Brasy9G214200 ID=Brasy9G214200.3.v1.1 annot-version=v1.1 MEVVKGKEEAPADVSLKQLSKKLDDFAQERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQRGLPGWDEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA* >Brasy9G258500.1.p pacid=40062007 transcript=Brasy9G258500.1 locus=Brasy9G258500 ID=Brasy9G258500.1.v1.1 annot-version=v1.1 MSPGVAARKRFGGVGFALGCGCRDPKAVAVAASASSPWSAAEASTATSTTATSRRGRSTHPSASASTGTLTVPSASSSSFLWEDADAEADGDGDGDEVECKRASSAATTPSFSGLLRQLGELEQGVKSYGRKSSSSPRRNHFSQPPRPPPPPPTLPLRPVLPQRRALRSVEDKPDGREGHGNFSPPPLQVQTQQHRRAKSVDKVNRQEGDAHLTPLPRPPRPLPPPPPEEELRNVKAVDRVNDKGDGKQRPPTPQLLPRQRRAKSFDSSNNSGGGVALDGSVAVVKQSEDPLGDFRRSMLNMIVENGIVAGDELRELLRRFLALNAPRHHDAILRAFAEIWDEVFAAGATAEPAARPKAAPPPRQRTPPRRRHPLPAWRV* >Brasy9G177400.1.p pacid=40062008 transcript=Brasy9G177400.1 locus=Brasy9G177400 ID=Brasy9G177400.1.v1.1 annot-version=v1.1 MAAGTLVAMPAGRLLLPFLLLAVASAAYDGAGRTPIGRTSFPKGFVFGTSSSSYQYEGGAMEGGKGPSIWDNFTHQHPDKIADRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGTLRGGVNTEGIKYYNSLINELLCKGVQPFVTLFHWDSPQALEDKYGGFLNPNIINDYKDYAEVCFREFGDRVKHWITFNEPWAFSVGGYAMGVLAPGRCSPWELGKCDAGDSGREPYIAAHHQILAHASAVRIYKEKYQALQKGKIGVSLVSHWFVPFSRSNSDNDAARRAIDFMLGWFMDPLTSGNYPMSMRGLVGNRLPQFTKEQSRLVKGAFDFIGINYYSANYADDLPPSNGLNISYNTDARVNLTGVRNGVPIGPQYASPGLYVYPQGLHDLLLYVKGHYGNPAIYITENGFNEVNNKSLPLQESLMDNARVEYHHKHLDTLQSAIRDGANLKGYFAWSLLDNFEWVYGYTMQFGLYFVDYDDGRKRYPKHSARWFKKFLKN* >Brasy9G046800.1.p pacid=40062009 transcript=Brasy9G046800.1 locus=Brasy9G046800 ID=Brasy9G046800.1.v1.1 annot-version=v1.1 MAPRNRSSSRRPLWVVVLIAFVCAIVTAAYLYKPQHYTACYLSNSCSSQPPPEPARVYTDDEIAARVVIRDIIRAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHTSPIFADRDIRSEKVAWGTVSMIDAERRLLANALQDPDNQHFVLLSESCVPLHNFDYVYSYLMETNISFVDCFDDPGPHGAGRYSEHMLPEIVKRDWRKGAQWFTVKRQHAVLILADTLYYGKFKRYCKPGNEWHNCYSDEHYLSTLFNMVDPTGIANWSVTRVDWSEGKWHPKAYRAVDTSFELLKNIASIDESIHVTSNAKHQVQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTVI* >Brasy9G362000.1.p pacid=40062010 transcript=Brasy9G362000.1 locus=Brasy9G362000 ID=Brasy9G362000.1.v1.1 annot-version=v1.1 MATAMAAMLMLVLTISPAAAAALSPSFHADSCPELESIVRSSVEAALEEEIALAAGLLRVFFHDCFPQGCDGSVFLTGRNSEQSLGPNLTLQPRALQLVDAIRAKAHAACGPTVSCADISALATRDAVVFSGGPNYTVPLGNLDSLTPASPKTVMTLPSPITSSVATLFEAFRTRGLVELADLVALSGVHTVGRAGCRFFADRSAKQDDTFAKKLAANCSVDPDRLQNLDVITPDVFDNGYFRALMFNQGVFTSDMALVRDKDTAPIVKRFAGSKDKFFKQFVKAMEKLANAPRPDGNVGEIRRNCSSPNGDDEDFAVASA* >Brasy9G055700.1.p pacid=40062011 transcript=Brasy9G055700.1 locus=Brasy9G055700 ID=Brasy9G055700.1.v1.1 annot-version=v1.1 MINVAVNGWEEEGVGGYPDLDPRRLLLELRLAVEAYVCWKEAFPDCFPRLYGV* >Brasy9G163800.1.p pacid=40062012 transcript=Brasy9G163800.1 locus=Brasy9G163800 ID=Brasy9G163800.1.v1.1 annot-version=v1.1 MAGAALLPVAFLLCLALAGGADAAGKAVGFYELKNKKGDFSIKVTNWGAALVSVVVPDCKGNLADVVLGYDTVAAYVNGSASFGATVGRVANRIANARFVLDGKAYRLFRNDGNNSIHGGHRGFGKVIWTVKEYVRDGDSPYITFFYHSFDGEQGFPGDLDVHVTYALSGPYALGIRMRATALSKATPVNLANHAYWNLGGHGSGDVLEHELQLQASRYTPLDKSKIPTGQAAAVSGTVYDFLAPKPVGKNMETVPGGGGGYDINYAVDGEDQYAMRRVARVRDPASGRAMEVWANQPGVQLYTSNWVIDEKGKAGKVYQQYGALCLETQAFPDAVNHPEFPSSIVRPGQAYKHDMEIKFSAH* >Brasy9G273800.1.p pacid=40062013 transcript=Brasy9G273800.1 locus=Brasy9G273800 ID=Brasy9G273800.1.v1.1 annot-version=v1.1 MEAFVLFPRSDGRMGPAACEEQQEEEDIGCPSESETSAMDSMSSSEEVELDDDATSSSSGSTDNFEMSSLMSQLPLKRGLSKFFDGKSQSFASLAAVGGLAEDLAKPPLQKRLKTSRSCGVGLQDAHRRRFLRPARCHSNAANAGFKKVSRGRISLLGGGAAPSRLTLRPVTASAARAEGLPGRGALLFA* >Brasy9G055000.1.p pacid=40062014 transcript=Brasy9G055000.1 locus=Brasy9G055000 ID=Brasy9G055000.1.v1.1 annot-version=v1.1 MAAQSPLRRWKPFFAAFDSIDAAIEAADPDLRRDELREARGDIVELLCDATDDDREAERLCLILDDVMAESLETLRLVPAMPTVLAKTDIAKAVRALQKHESERVRVLARGIVSRWRAAFQDDLVRVRAAMKKLDQITLPSQIVADQQPLSAKILQPSAKNTRKITEMPPPPQPKKVSPAVGIAGGDRAGLCSDDKIMEATKRKLHEDYQEAANAKRQRRIQVVEAPEMLKQRQRKMHPIIKERSRARCGSSMMVKKTISVSRLHRV* >Brasy9G258000.1.p pacid=40062015 transcript=Brasy9G258000.1 locus=Brasy9G258000 ID=Brasy9G258000.1.v1.1 annot-version=v1.1 MAPFQMRFGLRPVSPSRSYDEEEEEEEEDEEEEYDEDEEEDMEVESEGTASPPPMAMQGGRGAVGGGLVGAVVGALRRSLVMCSAGAVGGEDESSDDSEGEEEEGMEIGRPMDVRHVSHVTFDRFGGFLGLPADLEPDVPRPTPSVSASVFGVSPTSLQCSYDQRGNSVPIILLMMQRELYLREGLKIEGIFRINAENSQEVRVRDQLNSGVVPDQVDLHCLAGLIKAWFRELPTGVLDSLTAEQVMHCNTEEECALLASMLPPVEGALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALMHAVQVMNFLKTLIMKSLKEREEAAGAPKPLQSCSDSPNDQEEPQMPEHLEKPFGCSNQESTDHPMIDKATSEKLLFGAEQVLCHDSRHGFEGQDKCDMDHKKCHSGVSPLGDEANNQVNSSGKEFGSRNAEGLFDRFSFRKGVERLCRHPVFQLSRSMKKSPDVVVFDGPREARQAWV* >Brasy9G016000.1.p pacid=40062016 transcript=Brasy9G016000.1 locus=Brasy9G016000 ID=Brasy9G016000.1.v1.1 annot-version=v1.1 MAGGGAANWEEMVRRMLPPGTAIPEAPANLDYSIALEYDGPPVSYEVPRIDPVDMAAVPTAEPVSGTHPLGNGMAVPVAPVFRPASRVRAQPPPPAQVRRSPESANSVPQNEASSGDAGSRSVSHEFARSVQSEGGRPAAPEGRKSHVVTFGLAEDSKYDDESMELEDSRSEQFVAVTRKEKRGRTCNRCGKRKWESKEACIVCDKRYCSYCLLRAMGSMPEGRKCITCIGQPIDESKRSKLGKSSRILSRLLSALEVRQILKAEKECQANQLRPEQLIVNGCPLCQEEMSELLSCPRPPQNLKPGRYWYDKESGLWGKAGEKPDRMISTNLNFNGKIQPDASNGNTQVYMNGREITSIELKILKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWQSPITRFACALVSLPVPPANSVGPKDDDPYSARTVPGYFDQKRIQKLLVLGSPGAGTSTIFKQAKLLYGTRFTEEELDNIKLMIQSNMFKYLGILLEGRERFEEEALAISNNPNSEDEDALEDGNKSSGSNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPVVDEMWKDPAIQATYRRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIYAEGVSQGNGLAFIEFTLDERSRMSELYGDNHEPSSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRAVIFSVALSDYDQLGAPATGGSRRLLNKMIQSRDLFEATIRQPCFRDTPFILVLNKFDLFEEKIGRSPLSACEWFSDFGPLRTHNNNQSLAHQAFYCVAMKFKDLYAAHTNRKLFVWQARARDRPTVDEAFRYIREVLKWEEEKDEGYYPEESFYSTTELSSSRLN* >Brasy9G343200.1.p pacid=40062017 transcript=Brasy9G343200.1 locus=Brasy9G343200 ID=Brasy9G343200.1.v1.1 annot-version=v1.1 MVEAASADAAAATRTLRWAGRAGYLGGVPRAAVIAAVGSVAKAYVSLLNTTTVHNADALHRLVSSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNVFMSYMFRLGKCVPITRGAGIYQDHMNEALEVLSTGGWLHSFPEGKVAQDHQPIRRLKWGTASLIVRAPVTPIVLPIVHTGFEKVMPEKSFFGRRPPLPLCGKEIHMIVGEPVDFDLPSLKRAAASMPQDTSFERKGWPTITEDELDESAQRWLYQEMSDKIRSAMEGLRKTLLNLEQP* >Brasy9G008000.1.p pacid=40062018 transcript=Brasy9G008000.1 locus=Brasy9G008000 ID=Brasy9G008000.1.v1.1 annot-version=v1.1 MGAEPLVHKVLSMAATSSSKKTKPAAAEEEQGRVGILSFEVANAMSRAANLHRSLSDGEAARLLGPLCLGSHAVRALVPGDDARLLALALAEKLDALNRVAAVASRLGRQRCTLPALLGFDHVYADLLAGRSDAAAFSPADSAAADSRLVRKLDRLASATAALYAELDAVAELEQAARKLPAGAEARRALERRQRLHDVRRLRDASLWNWTYDRALLLLARAVCAIYHRIRLVFGDPMLGITHLLSSSSSSSPAASRRRHQQLSATPPRSNLSAKSGPIARAVDVGTPPRPSNSNLRSNCGGNMFMECLSLSSSVSWKDGFEDDFLEDDAASCISTIRSGMLVPFSGDAASTPVTKNAGGRRNVRFGPKSTVTSLAPPSTIGGSALALHYANIIIIIEKLLQYPHLVGEEARDDLYQMLPSSLRVSLRKNLRTYVKNMAIYDAFLAHDWRETLEKTLSWLAPMAHNMMRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ* >Brasy9G356900.1.p pacid=40062019 transcript=Brasy9G356900.1 locus=Brasy9G356900 ID=Brasy9G356900.1.v1.1 annot-version=v1.1 MVVFTMGASLFDRCPSHSATQNSVPLASSAPALSVSRWNTYYRSMFPSFRLLVHRLLQERGVTLLVISTGSELAGSDMRLAWSLFVKCRNWNQFLRSLDGHLRAIRIASLIYSEGPVIGTLVADDAMYISYLQDSSFVYQGVTDPETAEAHTVVCFAYRVVNSELQIRIMDNQREDGPLIWVMFGAFDGFFLPKVEAIDPSLLRRKKRWRKGDQFEPVEYILSKLLFFL* >Brasy9G058500.1.p pacid=40062020 transcript=Brasy9G058500.1 locus=Brasy9G058500 ID=Brasy9G058500.1.v1.1 annot-version=v1.1 MAPPRLPDDVVHLIDDDDEYSTAGSGPSLYKRARAAYAAPSPVGSQDSLDAFSTPSPVPKRRGTTASPIVLDDDTPPSFVPCSLLGTRFAVGAAPPGSDLASSDFPSGFDLPSSDFRAGFAVSSSTGLATGIFEIPGSPLPASTYPDNMYNEMMGFTTSSLAGQPGPSRVPGPSPISLDSDEEDVFGDRLPSPKLISPCEDLTLQEQEEHDKEGNRQLIAEGKKKQERKRLTKEDRDKLNEEKKLQREENKLLKEAMKAQKAELKKYANEKGDWESGKYALKSIVAEIDSTIIESGSVGGALLTRFVEKDLKYRIQVNPIRGSILWKMEVPQIGQDPASVSEVPYILFVLQAEEFCDLINSGSFMDHLRTVQSRYPTFTICYVTNKLMSYINKRERSQYRNPSSSNSWKRPPVEEVLCQLATHHVGVHSRQCTDEGEVAEHVVGLTSSLANCKYRKPLTWLSVHANGAMIPKNFIDKDLAKKDTWMKSLIAIPKVQPRFALAIYKKYPTMRSLLNVYMDPSKTGLDKELLLQDLKCENRVGDDGSRVGPVCSKRVYKYLTAQDGTAEADSA* >Brasy9G266300.1.p pacid=40062021 transcript=Brasy9G266300.1 locus=Brasy9G266300 ID=Brasy9G266300.1.v1.1 annot-version=v1.1 MEGRSRGNCSSSTLHSFRAGQNSQMMRVDGLIVINAILAAIMVGIVAYGPRYRHRDFIRFLFQGATTLFLPILSYVVSNGSSIASSIGTLGQYRTYNFFLPSERRLETLQSNCYAWAHLGLVLLWASLVQIIEINTCEIVAADDREGQNIGLPMVLVMQAIWTSYLAANNAIQIDDWFIYTFLFILALVFAKMVLKYYALEKARRSFALGRNPRLIIGYMEQIQEASQHIGPLPPPLIVLGEDRQKVEKQPHGYCISRMSNKNGIIDVNNDSLVTIDKIWQLDDLLLGSLPRIKDTCFSFSLFKMLRCRFAGYTAAEVRLMETNNFFWHVLLQDSDAERLFGVFEDELSFLHDYYYSSLPISYSKSWLPILSILTSLLTIIYCLLVGLGICIFIIYAGYMQDRASYYRESFIKDGQITCFVRCNNFVETLDQTDGIDQIEVGNILFFDIVPVFVLVALVVLAEIKDSACYVCSNWTKVALICRYVQSRENEQQSSPKMQRWVSWVLSCRCRLMRHWDDKMNQCSLLVLHPQGKHQPYSSSVFSKKNVKVPTSVKVAIVEALKSSNGIQNSCAEVLPPSLQDGSGLLWACRGEGKAADTILHIATSILEVRHQQQQQQQQQARSPAAASDKKIVATHLSRYCAYLVAYVPGLLPDDEPWCKSLYKAVKKDSIRVLAGGVAGADELVTLLNGRSEHEVLKKGAKLGKQLVELPEGEETAWRVLAGFWSWMILSVAPSGRLDEHAEAVARGGELVTLLWAMLMHAGPGPGPGPASSTDDRV* >Brasy9G266300.3.p pacid=40062022 transcript=Brasy9G266300.3 locus=Brasy9G266300 ID=Brasy9G266300.3.v1.1 annot-version=v1.1 MEGRSRGNCSSSTLHSFRAGQNSQMMRVDGLIVINAILAAIMVGIVAYGPRYRHRDFIRFLFQGATTLFLPILSYVVSNGSSIASSIGTLGQYRTYNFFLPSERRLETLQSNCYAWAHLGLVLLWASLVQIIEINTCEIVAADDREGQNIGLPMVLVMQAIWTSYLAANNAIQIDDWFIYTFLFILALVFAKMVLKYYALEKARRSFALGRNPRLIIGYMEQIQEASQHIGPLPPPLIVLGEDRQKVEKQPHGYCISRMSNKNGIIDVNNDSLVTIDKIWQLDDLLLGSLPRIKDTCFSFSLFKMLRCRFAGYTAAEVRLMETNNFFWHVLLQDSDAERLFGVFEDELSFLHDYYYSSLPISYSKSWLPILSILTSLLTIIYCLLVGLGICIFIIYAGYMQDRASYYRESFIKDGQITCFVRCNNFVETLDQTDGIDQIEVGNILFFDIVPVFVLVALVVLAEIKDSACYVCSNWTKVALICRYVQSRENEQQSSPKMQRWVSWVLSCRCRLMRHWDDKMNQCSLLVLHPQGKHQPYSSSVFSVCRTTRRRT* >Brasy9G266300.2.p pacid=40062023 transcript=Brasy9G266300.2 locus=Brasy9G266300 ID=Brasy9G266300.2.v1.1 annot-version=v1.1 MEGRSRGNCSSSTLHSFRAGQNSQMMRVDGLIVINAILAAIMVGIVAYGPRYRHRDFIRFLFQGATTLFLPILSYVVSNGSSIASSIGTLGQYRTYNFFLPSERRLETLQSNCYAWAHLGLVLLWASLVQIIEINTCEIVAADDREGQNIGLPMVLVMQAIWTSYLAANNAIQIDDWFIYTFLFILALVFAKMVLKYYALEKARRSFALGRNPRLIIGYMEQIQEASQHIGPLPPPLIVLGEDRQKVEKQPHGYCISRMSNKNGIIDVNNDSLVTIDKIWQLDDLLLGSLPRIKDTCFSFSLFKMLRCRFAGYTAAEVRLMETNNFFWHVLLQDSDAERLFGVFEDELSFLHDYYYSSLPISYSKSWLPILSILTSLLTIIYCLLVGLGICIFIIYAGYMQDRASYYRESFIKDGQITCFVRCNNFVETLDQTDGIDQIEVGNILFFDIVPVFVLVALVVLAEIKDSACYVCSNWTKVALICRYVQSRENEQQSSPKMQRENTSRIPQASSPFAGPPEEEREGPNIGEGCHC* >Brasy9G225000.1.p pacid=40062024 transcript=Brasy9G225000.1 locus=Brasy9G225000 ID=Brasy9G225000.1.v1.1 annot-version=v1.1 MAAISNPSSKRIALVTGGNKGIGLETCRQLASRGLKVVLTARNEARGLVAVDGIRRSGGAGQPDVVFHQLDVTDPSSIFRLVDFVREQFGRLDILINNAGISGVERDPVLFAKFKEQDEHRSLDCEKSFVEVDNPEISAF* >Brasy9G253400.1.p pacid=40062025 transcript=Brasy9G253400.1 locus=Brasy9G253400 ID=Brasy9G253400.1.v1.1 annot-version=v1.1 MESCQLVASSSRMEAEEEAFFDTREELLASPARSPAPALPWSGRLDSVQVRKERFLRSMGLECSPSPRQADPVCTAGDVEKEEERGIVPEIGRLSSQSEENDCSMSSWSTEETTSCEDGVSDDNSVSGSSKDDGSKVGRSFSSLSFIRRLMSRNGKRSAAPTTVERRRNRWFERLGVAACVVDHGDDEASTSTSDSEQIRGGRYERIKVRSYRKRSKELSALYQGQVIKAHDGAILTMKFSPDGQFLASGGEDGVVRIWGVSQSDDCKIPLDDPSCVYLKARRKYGLAPVNVDNEKRSKVKGMKKTGESACIVIPTMVFQISEEPLYEFHGHTGDVLDLSWSNNKHLLSASTDKTVCLWKIGSANCLRVFRHGNFVTCVQFNPTNDNCFITGSIDGKVRVWDISRCSVVDWVDVRDIVTAVCYRPDGKGAVVGTITGNCRFYHASDDLLRLETQIALHGKKKSSFKRITSFQFCPSNRSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSASFTPDGEHIVSASEDSNIYVWNRDSQDETAWRQAKITYSSERFQSNNAAIAIPWNGTKPRSHVSLASQSLPSQGGSFWSLNKAVKHSSSCRGDYSSTNSFTSRSAAPGILNLNQELFTEPSCKGATATWPEEMLPSCSIGANLDESQYKLLRNCSQSTSNSWGRVMVTAGWDGRIRSFQNYGLPVHTSDLFESIW* >Brasy9G332500.1.p pacid=40062026 transcript=Brasy9G332500.1 locus=Brasy9G332500 ID=Brasy9G332500.1.v1.1 annot-version=v1.1 MASSVGFSPAGALLSRTTRSRRPNACAPAGLLLGLGRSKHLQGSLPRLCCSARPHASVPDEWWATELTPEELPTEETGKPAPTGCSREELDAIWNALVTEPLQPVLLAVREIRDRGHFFRCRSYHAGIVAGPLLMTAGFCQLGKLVPTLFVDIILGYVCYKLSVLAAELKRNGKGNSTCARIQLVLLLILPFKDNTAFLDVYRLVTELIWFIGLEVYAGAVYHEIAGIEDPRHYLLGIYKILKTKGGLMRVIKNLMDEWH* >Brasy9G044200.1.p pacid=40062027 transcript=Brasy9G044200.1 locus=Brasy9G044200 ID=Brasy9G044200.1.v1.1 annot-version=v1.1 MRIRRCASRILGLIHTSVAPEPTPLVEELPLPLTTPSPPPPPPPAPAAEPHAWSTGFTYPTSSAETCELSRSPWDLISELDRFDPQEEKKLVESYFVHVIGRVSWLFPTCLPAAISVDDKKPAVAVFNGVSVQPSYEVAKKLPVNNKVGMKSKPKHKEKDHGNGGVIGVAQGLTTCKKSDGKSWLCGRPVFQPRSKKRRRKSADAGEGFYYYTGFGPFRTKKRHCRSSSRMHESAAAEQDQEEAPAPQDVMPESARGEQAEDPPEDITHEPARPEQKQDLPEDTTLEQPAPPEQEQLALPEDCAAPIGEQAQADDADRHQAAAQHDRSRREDIAGVAGIDEESSSDNAYCYNGKGKTRVVGVNGESKRKGPWKKRRRKPIKARSLKSLISGL* >Brasy9G194400.1.p pacid=40062028 transcript=Brasy9G194400.1 locus=Brasy9G194400 ID=Brasy9G194400.1.v1.1 annot-version=v1.1 MATMAVVVSLLLASLVALSSAQSPAPSPYHLDAPAAHAPSPHHHTPAPAHAPAPHHHTPAPAHAPSPHHYTPVPVHAPPPHHHSPAPAHAPSPHHHSPAPAHAPSPHHHAHAPSPHHHGHHAHAPSPHHHGHHHHHHHDAPAPAPHHHGPERHDQVILAGNAPAHAPSPAHSHAHAPAPAPGPASSAPRVAGGFGLLGAVAASAVVLLL* >Brasy9G082900.1.p pacid=40062029 transcript=Brasy9G082900.1 locus=Brasy9G082900 ID=Brasy9G082900.1.v1.1 annot-version=v1.1 MATSEGPSILFLPFPGAQGHTNPMLQFGHRLAYQYGFRPTLVVTRYVLSTAPPPDAPFRVAAISDGFDAGGMPSCPDMAEYCRRLEAAGSDTLARLITDEARAGRPARVLVYDPHVAWARRVAREAGVAAAAFFSQPCAVDVFYGELHAGRMAMPVTEADALALVGRGALGVELGLEDLPPFVAVPESQPVFTKASIGQFEGLEDADDVLVNSFRDIEPTEVEYMESTWRAKTIGPTLPSFYLDDDRLPSNKSYGFNLFNGGDAVCMKWLDKQSMSSVVLVSYGTVSNYDESQLEELGNGLCSSGKPFIWVVRSNEAHKLSDELKTKCEKKGLIVSWCPQLEVLAHKATGCFLTHCGWNSTLEAIVNGVPVVGIPHWADQPTIAKYVESAWDMGVRVKKSLNGQLREEIERCIKEVMDSERKDEYTRNAAKWMQKAKEAMHAGGSSDKHIAEFAAKYSSS* >Brasy9G221800.1.p pacid=40062030 transcript=Brasy9G221800.1 locus=Brasy9G221800 ID=Brasy9G221800.1.v1.1 annot-version=v1.1 MATTTFFHPLAVPIAGGARLRRCALTLPAPTRTTPRRPTPLLVARAKRAGNRTAAASRQPANPNAAPKRESDEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVTFVLSVVRTVRKFTSPRTQKKKRVTKNIFLLKSLDELFQKGREAVGFPALQELMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKVSMLEDAEVAEILNEISRRIVREKGPIVMDLSGFTEQGFKRKLAVQTLFGKIMYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRSHTLSETGDIESLQRMVDDPDFESGTPSSS* >Brasy9G221800.2.p pacid=40062031 transcript=Brasy9G221800.2 locus=Brasy9G221800 ID=Brasy9G221800.2.v1.1 annot-version=v1.1 MATTTFFHPLAVPIAGGARLRRCALTLPAPTRTTPRRPTPLLVARAKRAGNRTAAASRQPANPNAAPKRESDEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVTFVLSVVRTVRKFTSPRTQKKKRVTKNIFLLKSLDELFQKGREAVGFPALQELMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKVSMLEDAEVAEILNEISRRIVREKGPIVMDLSGFTEQGFKRKLAVQTLFGKIMYLSE* >Brasy9G221800.3.p pacid=40062032 transcript=Brasy9G221800.3 locus=Brasy9G221800 ID=Brasy9G221800.3.v1.1 annot-version=v1.1 MATTTFFHPLAVPIAGGARLRRCALTLPAPTRTTPRRPTPLLVARAKRAGNRTAAASRQPANPNAAPKRESDEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVTFVLSVVRTVRKFTSPRTQKKKRVTKNIFLLKSLDELFQKGREAVGFPALQELMQKTGFDMDDVVRKYILHVFRQDLTWMMLSFFFLHVFRQDLTWMML* >Brasy9G051200.1.p pacid=40062033 transcript=Brasy9G051200.1 locus=Brasy9G051200 ID=Brasy9G051200.1.v1.1 annot-version=v1.1 MKAPDTKLEDEWSSYKPVINYGDARSPVRDRGYGGRKMIWTCVFSLVMITNSWLPFANRMHAQLAPYVRPASPSACSPAHGGVRRLRRRTPATSPPRSAAAAARLLHGRRRAPELPEDARPAAGRAGGIHGGLAQSPWRRWEAVVVHRGGGALVGGWARAGRIQRRPERIEVEVTGERERREREGNERERERKMDEETAGGSLRRRGRARRWSRERAVKETADPTERRPGDDNTCRPTFRTLTRAPGHDGGRSACTAQPPCTVPYRARHSWKAAAGWLRSRDRPHDTRQTRRR* >Brasy9G277900.1.p pacid=40062034 transcript=Brasy9G277900.1 locus=Brasy9G277900 ID=Brasy9G277900.1.v1.1 annot-version=v1.1 MSSVAIWSIYMLLGCEEQQENSEYNQPSSHSALRIFSQHVHSNMAHAQELQLQIRDHVTVAGNLHASASPAWTVFLLWIQRSIEIIFSFGIPEHEPGHGEDGAAAPKAAAEHRRSARCSVGWWLTVAVDMLVVLTAQTVATLLNRLYYTSGGNSKWLSTLTQSGGSPLLAILLFLTPPPSPSAELHEPEPAAAKMAPIYLGLGVLIGFDNLMYSYALQYLPVSTFALLAATQLAFNAITSRLINAQRFTALIANSVVVLTFSATLLGVGSSSDGTNNNNIHLPRSKYTVGFILTLTASATFALILSLFEATFEKVVRRRTFRWVLKVQLCTNLVATTVSACGLFASGEWRTVPGEMAAFRDGRARYVATLVGTAVSWQAMSVGLLRLITRVSSLFANVTGTVALPLVPVFAVVLFGDRMTGIKAVAMLMAVWGFLSYVYQHYLDGRRAAEGKGGTAGCGVCAARRGGEAVLHA* >Brasy9G277900.2.p pacid=40062035 transcript=Brasy9G277900.2 locus=Brasy9G277900 ID=Brasy9G277900.2.v1.1 annot-version=v1.1 MLLGCEEQQENSEYNQPSSHSALRIFSQHVHSNMAHAQELQLQIRGIPEHEPGHGEDGAAAPKAAAEHRRSARCSVGWWLTVAVDMLVVLTAQTVATLLNRLYYTSGGNSKWLSTLTQSGGSPLLAILLFLTPPPSPSAELHEPEPAAAKMAPIYLGLGVLIGFDNLMYSYALQYLPVSTFALLAATQLAFNAITSRLINAQRFTALIANSVVVLTFSATLLGVGSSSDGTNNNNIHLPRSKYTVGFILTLTASATFALILSLFEATFEKVVRRRTFRWVLKVQLCTNLVATTVSACGLFASGEWRTVPGEMAAFRDGRARYVATLVGTAVSWQAMSVGLLRLITRVSSLFANVTGTVALPLVPVFAVVLFGDRMTGIKAVAMLMAVWGFLSYVYQHYLDGRRAAEGKGGTAGCGVCAARRGGEAVLHA* >Brasy9G358000.1.p pacid=40062036 transcript=Brasy9G358000.1 locus=Brasy9G358000 ID=Brasy9G358000.1.v1.1 annot-version=v1.1 MVSASSSSVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIDGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLTEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS* >Brasy9G311400.1.p pacid=40062037 transcript=Brasy9G311400.1 locus=Brasy9G311400 ID=Brasy9G311400.1.v1.1 annot-version=v1.1 MTARVVKLLPSSSLAPPNARSSSGGPPCRLPCGGVLPVRPGRANSWPAVATAVGKSRPEVAENAATSLQNLLVSANGKGQRKPEQWPSSHDDTAEVATVAAPLPGSHEVPCFPTYPPRNMHRQLSTVDVLEKIGISRHFAAEIKSILDVTYSCWLQRDEEIVLDMETCAMAFRILRMNGYDVSSDDLSHVSQASSDLSDTASLLELYKASQVSISEDESILDSIGSWSGRVLKEQLSSSPVQRTPLFREVEHALNSPFYTTLDRLEHKRNIERFDAMEHQILKTPYSPCQNSQDLVALGAMDFSTAQSVYQRELQHLDSWVKASRLDQLPFARQKLPYFYLSAAGTMFPPELSDARVVWAKNGVLTTVVDDFFDVAGSKQELESLTALVEMWDKHEESAGGYCSEHVEILFTAIYGSVNELGAKASAAQGRDVTGHLVQTWQELLRSMMTEVEWKESGHVPTAEEYMGNAVVTFTLGPIVLPALYFAGPNIEESVVTGPEYNELFRLMSTCGRLLNDVQTYEREYIEGKLNSVSLRVLRSGGSMTIEEARREMQGPIDTCRRDLLRLVLREDSTVPGPCREIFWKMCKTCYFFYSGSDAFSSPDQKAGAVDALIHEPLQLASGNLLPGVDLSALL* >Brasy9G367600.1.p pacid=40062038 transcript=Brasy9G367600.1 locus=Brasy9G367600 ID=Brasy9G367600.1.v1.1 annot-version=v1.1 MRLAVAAGALRPLFFHPHRQPRHLLSSLRRSSVPLARRRRHSYSSTSTALDDPPNNPPSPTLPGPGPPPAAGGGGGESKAARRRRLRDSPEGLLKHKLDMCSRDGDLPTALALYDAALDPASSPPVPLSIHHYNCLLYLCSNAAATASDPDAASRGFEIFARMEAQGVEPNEATLTSVARLAAARRDPAMAFSVVRRMADAGIPPRLRTYGPALFAYCDAGDADGASEVEAHMDAGGVLPEETELAALLRVNADKGRADEVYRVLHRTRVLVRQVCETTAQVIEAWFRSDAASQAGVDKWDAKKVKEGVVKGGGGWHGQGWLGKGQWDVERSEMDKNGKCQRCGEKLVCIDIDPSETENFAKSLTELACKREAREDFLRFQGWLRRHGPFDAVIDAANIGLYNSKAFSFSQVNSVVNGIQRITKSKKLPLIILHRSRVHCGPAIVPHNQKLIEGWRSAGALYSTPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTYSGRGPTFHLPPPYSIVTQESEAGRWHVPTTTGDDIETPRQWICATRK* >Brasy9G307200.1.p pacid=40062039 transcript=Brasy9G307200.1 locus=Brasy9G307200 ID=Brasy9G307200.1.v1.1 annot-version=v1.1 MERAIQPKHLNTILHNAPCFYPPLSNDEAKQRLSPQCVGDRAAAAISDVEGPPPPPPPSHPSQSRREVTESLSLPPSITSKKKQFPSQILSSSSRSPPDPEADAGAQATPRIGGQRTATPRPKP* >Brasy9G095200.1.p pacid=40062040 transcript=Brasy9G095200.1 locus=Brasy9G095200 ID=Brasy9G095200.1.v1.1 annot-version=v1.1 MLLAMLLAVLLITRTKSIYIIYPLRRPNYGDHQPTADRDPRRRAAFPDMSAASVTVVPRVKLGSHGMEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVDAGVTLLDTSDIYGPHTNEILLGKALRGGVRDKVELASKFGISFADGKREIRGDPAYVRAACESSLKRLGINCIDLYYQHRIDNRVPIEITIRELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSKGAKLVESLSDQDFRKHMPRFQPENLEKNALIFERVNVMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNLGALSVNLTPEEMAELESYAAAGDVQGDRYPQLANTWKDSHTPPLSSWKAE* >Brasy9G076700.1.p pacid=40062041 transcript=Brasy9G076700.1 locus=Brasy9G076700 ID=Brasy9G076700.1.v1.1 annot-version=v1.1 MNSMRCYLNSVCCRAVPPYEITLLSSFFQSASNRISTAADAPRLMEDVTGFNETNQMHNSLADVFCICQQHVALVEPAHWKN* >Brasy9G076700.2.p pacid=40062042 transcript=Brasy9G076700.2 locus=Brasy9G076700 ID=Brasy9G076700.2.v1.1 annot-version=v1.1 MNSMRCYLNSVCCRAVPPYEITLLSSFFQSASNRISTADAPRLMEDVTGFNETNQMHNSLADVFCICQQHVALVEPAHWKN* >Brasy9G108600.1.p pacid=40062043 transcript=Brasy9G108600.1 locus=Brasy9G108600 ID=Brasy9G108600.1.v1.1 annot-version=v1.1 MGSEADVAEMTGPLLAGGASDGAPAAEAVPPWREQLTVRGIVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALARFGIVSKPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQNTYELIGTDYPGNRAVDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAEKQVNCLGKYLSISFVWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPADLGSNDFKGLYGYKVFISVSVILGDGIYNLIKIIYATIKEIVNARSKQGRLPLVQVQDDDEGSKLSAEEKFLNEVFVKDSIPPWLAGSGYVGLAAISTATVPIIFPQLKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFFAAIAINLLRDVTPNNVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSAILSIMRIDPPICMYFKPSLAG* >Brasy9G132900.1.p pacid=40062044 transcript=Brasy9G132900.1 locus=Brasy9G132900 ID=Brasy9G132900.1.v1.1 annot-version=v1.1 MIPVPFRLPGPLLHQRAPSAEEIYEATWAKGNQNHNSDTEIPGRRFLPLPPGAPDYEAIFFEFENRLRSGDEFVDLMMKPIYEEDLNEIGDKRREILHNLYRKGDKRTAKRLGQEFYGLMGMVSAKIARLTNLTNMVIKLPSFFNTYPSLG* >Brasy9G319600.1.p pacid=40062045 transcript=Brasy9G319600.1 locus=Brasy9G319600 ID=Brasy9G319600.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G249000.1.p pacid=40062046 transcript=Brasy9G249000.1 locus=Brasy9G249000 ID=Brasy9G249000.1.v1.1 annot-version=v1.1 MSSKEVMASSSEVGIRSAPPTPRTPQVAAPPSQIHSPSPAAGRSPLRASLTPLASPVRKAMASVKGYLEEVGHITRLADPRDAWLPITASRSGNAYYAAFHSLSSGIGFQALVLPAAFASLGWTWAIICLTVAFVWQLYTLRLLVNLHEPVPGGTRYSRYMHLATTVFGEKWGKILALLPTMYLSAGICTALIIVGGGSMKILFSIACGPARPSSSPTTVEWYVVFICVAVVISQLPNLNSIAGVSLVAATAAVGYCTMIWAVSVAKGRVSGVSYDVPDRATSDVDRTIAVLNGLGIIAFAFRGHNLVLEIQGTMPSTLKHPSHVPMWKGVKFAYVIVAFCLYPVAIGGFWAYGNQIPPNGILSALYKFHSRDVSRLVVGLATLLVVVNCLTTFQIYAMPVFDNMEAGYVHKKNKPCPWWLRAGFRALFGGINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMKPPRGTAMWCLNWALGSLGMGLSFALMVGNLWGLVDRGLHVQFFKPADFQ* >Brasy9G052000.1.p pacid=40062047 transcript=Brasy9G052000.1 locus=Brasy9G052000 ID=Brasy9G052000.1.v1.1 annot-version=v1.1 MSSLCPFAKATTGGGGVCPMKSDKNSSTVKSNKNDSSSVCPVTGKNNGEEHKESGTDHAGEESPDPRMVPAKCPFGYDSNTFKLGPLSCMVCQALLHDTSKCKPCSHKFCKACISRFKDCPLCGADIEGVEPDPELQALVDRFIDGHARIKRSLAGGGEEEVDGKSKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSAEDNLDICSQLGAVLGMLGDCCRTLGDASSAISYYEESAEFLSKLPTKDLELVHTLSVSLNKVGDLRYYGGDLQSARSYYARSLSVRQKAVKEHPSVASQVIDLATSLAKVADVDRNLGNQEAAVGGFEEAIKCLEKLNLDSEQAGLEQRRHSVLDFLHKQLDSK* >Brasy9G297800.1.p pacid=40062048 transcript=Brasy9G297800.1 locus=Brasy9G297800 ID=Brasy9G297800.1.v1.1 annot-version=v1.1 MEILIALSPSSPANELIVRLVGEKKDELPGAKAARPVGLFVQPVRGMGGRQSGRGDSSTSTRRLQETCYGHG* >Brasy9G097900.1.p pacid=40062049 transcript=Brasy9G097900.1 locus=Brasy9G097900 ID=Brasy9G097900.1.v1.1 annot-version=v1.1 MNFRKNRFVHIVGGFNWANWHSTNSRSMLDLIFFYVMHLHVIHAAPAATVPTKRIDESVLSGLQTDATKIEAMQRPPADGLSEDYTNRGFYGRAQEEGIGIWVICDIAGVLQISMAGSGRDFAKLPHLISSHRMEIEG* >Brasy9G053600.1.p pacid=40062050 transcript=Brasy9G053600.1 locus=Brasy9G053600 ID=Brasy9G053600.1.v1.1 annot-version=v1.1 MVHLSLPHPPTARLYAPAPPPLPGTLPLALLPPPALASLLVAAVDSSPSLRHLRHLHALLVRLPLPPRSLPFILSRLLRRLAALPPPHAPLPYALSVFSALSPPDPFLTAALLRFALLTQPPLSPFHLFSRILRTARGGELPFLPFAFSPLAKSAAAACSLPAAQAAHAVSILVGGFDKHRFVENSLIGAYIACGDVGAARKVFDEMVVKDVISWTSIMVAYSRSGDMGSAEEVFGQCPVKDMVAWTAMVTGYAQNAMPMKALEVFGRMTALGIGIDEVSLTGAISACAQLGAVKRAAWVQEIAERNGFGMNVVVGSGFVDMYAKCGLIDEARKVFDGMQEKNVYTYSSMVVGLASHGRAREAIALFKDMVRRADVAPNHVTFIGVLTACSHAGMVTDGRYYFAQMKDKYGILPSADHYTCMVDLLGRAGLVDEALDLVRSMTVEPHGGVWGALLGACRIHGKTEVAKVAAEHLFKLEPEGIGNYVLLSNTLAAAGKWDEVSKVRKVMRSRRMKKVPAVSWFEGRDGLVHQFFAGDNSHPRTREIKKALLELVAKLKHAGYVPILSSIVYDVSDEEKERLLMGHSEKLALLFGLITLGSRCTIRIVKNLRICDDCHLFIQLVSRFEPVEIIVRDNMRFHHFKDGECSCGGFW* >Brasy9G128100.1.p pacid=40062051 transcript=Brasy9G128100.1 locus=Brasy9G128100 ID=Brasy9G128100.1.v1.1 annot-version=v1.1 MGDRDEDEELQMALRMSLHGSPPAQPEPKRSKPPSTVGESPEAEARRKQRELMAAAAEKRRRSFASPTTVVVAPPPPPAVVAEQTPSPQEDVKVELEPTVVPMEEPKEADVEVEEEEKGEELPPNIAEKLWVMVFGSRVSKAVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYILFFSDNLGNPELSDPSYALGQRRFYQSSFAARDDFSSLTEDGKKRALVHAMVEILFLCGAGKKAVVAIVGGVNRGVSGPDSKQKIDPTLEGLSVESAMDFQKVLRVCTFTSRKDAFNMLLANIPLFESRLGAILFLISSLLSRGLECIQTDRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNDVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPPDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTMYHFNGIAKSVLNGNSSTGGSSPIQRPRICRLNVSVPPRWSQDEYLADVVSSSTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCNWAGDVPSIV* >Brasy9G158100.1.p pacid=40062052 transcript=Brasy9G158100.1 locus=Brasy9G158100 ID=Brasy9G158100.1.v1.1 annot-version=v1.1 MAAPRTRAPSVLHLQLLQAAADGDLRRFKRTARALDDGNGRLREAVEAVKVDGKGVLHVAARHGTMPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFGHLPIELAASNKRREDVKILLPVTSRIPSMRDWTIDGIITYVKSTVEAVNYYPDDATLLSNRSACWLNMDDGDKALTDAQVCRFMRPGWPKGCFREGAAHMLLKDYGKACDAFLDGLKLDPANTEIENALREAFNSLKISHAAKKGH* >Brasy9G158100.2.p pacid=40062053 transcript=Brasy9G158100.2 locus=Brasy9G158100 ID=Brasy9G158100.2.v1.1 annot-version=v1.1 MPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFGHLPIELAASNKRREDVKILLPVTSRIPSMRDWTIDGIITYVKSTVEAVNYYPDDATLLSNRSACWLNMDDGDKALTDAQVCRFMRPGWPKGCFREGAAHMLLKDYGKACDAFLDGLKLDPANTEIENALREAFNSLKISHAAKKGH* >Brasy9G158100.3.p pacid=40062054 transcript=Brasy9G158100.3 locus=Brasy9G158100 ID=Brasy9G158100.3.v1.1 annot-version=v1.1 MAAPRTRAPSVLHLQLLQAAADGDLRRFKRTARALDDGNGRLREAVEAVKVDGKGVLHVAARHGTMPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFGHLPIELAASNKRREDVKILLPVTSRIPSMRDWTIDGIITYVKSTVEVYASWLAKGLLPGRGCSHVIEGLWKGM* >Brasy9G158100.6.p pacid=40062055 transcript=Brasy9G158100.6 locus=Brasy9G158100 ID=Brasy9G158100.6.v1.1 annot-version=v1.1 MAAPRTRAPSVLHLQLLQAAADGDLRRFKRTARALDDGNGRLREAVEAVKVDGKGVLHVAARHGTMPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFIFRSMRIFVLQKENAAIEWPSVPQRKTTPRRILIAVDIQISDFWKSFYFIMDFISR* >Brasy9G158100.4.p pacid=40062056 transcript=Brasy9G158100.4 locus=Brasy9G158100 ID=Brasy9G158100.4.v1.1 annot-version=v1.1 MAAPRTRAPSVLHLQLLQAAADGDLRRFKRTARALDDGNGRLREAVEAVKVDGKGVLHVAARHGTMPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFGHLPIELAASNKRREDVKILLPVTSRIPSMRDWTIDGIITYVKSTVEII* >Brasy9G158100.5.p pacid=40062057 transcript=Brasy9G158100.5 locus=Brasy9G158100 ID=Brasy9G158100.5.v1.1 annot-version=v1.1 MAAPRTRAPSVLHLQLLQAAADGDLRRFKRTARALDDGNGRLREAVEAVKVDGKGVLHVAARHGTMPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDERRC* >Brasy9G158100.7.p pacid=40062058 transcript=Brasy9G158100.7 locus=Brasy9G158100 ID=Brasy9G158100.7.v1.1 annot-version=v1.1 MPVCAYLVEELQVDINAGDELGTTPLCHAVGAGTVDIVRYLLDHGANPDKTGEEGCTPLQLAVVQGNCEIVKVLLSKGADVDSFSYCGTALHLAAIKGQDGAMKILLDHHTDCNMVFSNHYTPLIAALTVGSLKCVKLLIKASADVKGVGSANPLIIAATEGLTDCLKCLLDAGADPNVPDDFIFRSMRIFVLQKENAAIEWPSVPQRKTTPRRILIAVDIQISDFWKSFYFIMDFISR* >Brasy9G362400.1.p pacid=40062059 transcript=Brasy9G362400.1 locus=Brasy9G362400 ID=Brasy9G362400.1.v1.1 annot-version=v1.1 MTALPLRRLAAAAGPMFLLLLSLSAPYWFSPKPGATAVGGCPLDFSWANFTLASAACSDPSQRPACCRYINAFVAISIARYANATGRLGVPPAFSEICLSSVSDTFRLRGIATDADVFCGLGPKIRVSYQCAGRSTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLRRLMGSDDNVALSVCRNAVFVTLATQQGTLSYDDILTCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPAPKIKSFPQPQKHQQQPYRISVIPGIGIGVILLAIFLQIILVVLIRRKSRELKNADLPPQSPENAFHQSQSWRCPEGQSPMFQRYSYKETTKATNNFSTVIGRGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVNLKGFCIERKERFLVYEYMENGSLKDHLHSSGRKALSWQTRLQIATDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELITGRRAIQDKKNLVEWAQGYLSSGVIPPELVDPTIRDSVDMDQLHLAVGIVQWCTQREGRHRPSIRQVLRMFSERLDPGNGSFGEGMDDAEGGFYPSRSSKCGVSHGNELVPCSGDMRSLHSSSSTTRSYCSRSMLLEGGQANSPP* >Brasy9G039600.1.p pacid=40062060 transcript=Brasy9G039600.1 locus=Brasy9G039600 ID=Brasy9G039600.1.v1.1 annot-version=v1.1 MPILRQRAPAPWNAPAPNKCLRKYPRIDDDPPFKITPVCTHRMEQELYVHLYLHHSFFGDYQNQVDVLKVDSKKSLFGDMIVNDWVITDGPSLDTKVVAHAKGIHVQAGKDKENYYVSFNMVFDDGRFKGSTLQVMGTVVVKGEWAIVGGTGEFTFARGVIYKRQTTYNSQEGDVVELDIRCLYTPMKSSEGTAWTFEA* >Brasy9G138300.1.p pacid=40062061 transcript=Brasy9G138300.1 locus=Brasy9G138300 ID=Brasy9G138300.1.v1.1 annot-version=v1.1 MRACRGSGEEADASVQGKRGRRHEGLWLGGRAGACGGDDEAARRRRGSVAAPADRTTAVRVAAALWSRVRGTLRSEGGDGRAGEISGWGRRRSGWAEAVRRRGRRRSGWGRSGAAVRRRGGAEANRGGGADAKSSGDSAVPAAAISTSPRRRTSGPPPARCPTSGSRRRYARSFLAAPQARNAAAPRARTSAAARIRCCRSELLDALDEAERRGCCGGGGRWGAVEEAARGGGTCPSGGGGEGPRRAGSVGRSSGQGARGHDPRRGFSLMPHLSQHMWVRGGGGGHGNMREEVGAGAAPRCGVGAGGS* >Brasy9G114700.1.p pacid=40062062 transcript=Brasy9G114700.1 locus=Brasy9G114700 ID=Brasy9G114700.1.v1.1 annot-version=v1.1 MAVQAQTQAFPHDLHAYSYRVLDQDGTTGDGSLFLGEQVGRAPVVAGIVNTVLISGFPRSDPTSCNYGMRKRARFVAPFSMENQRAPPALVPAVPNRAAGSGAASTSGAMANDAVGISQGLFSQLYHQGVEIDAAVRLEAERMRAGLEVARRRQIRALVSVAERAAAGRLRAAEAALELARRRNAKLSERLRQVSAEGQAWIGVAKSHEAVAAGLRGALDQLLLQSPASCAGDGDAEDARSCCFETPDAGGDNNPGADDDAAGMMSSKALAAAACKACGEGESCVLLLPCRHLCMCLACDAAVDACPVCAASKNGSLHVLLS* >Brasy9G037800.1.p pacid=40062063 transcript=Brasy9G037800.1 locus=Brasy9G037800 ID=Brasy9G037800.1.v1.1 annot-version=v1.1 MALHLLTPPRLHSSSSPAPLPRRRATASASLAHPLLLLHSRLRLATTAAASRPVPRARRAMSAIRSSLIDPDGGALVDLVAPADRRAALRAEAEALPRVGLAPIDVEWAHVLAEGWASPLRGFMREHEYLQCIHFNSLRLPAGGVVNMSLPIVLAIGDREKDNIGDTPDVALAGPDGQILAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAITPAGNWLIGGDLEVLEPIKYNDGLDHYRLSPQQLRDEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFEPSRSQDFLFISGTKMRTFAKTGENPPDGFMCPGGWKVLVDYYNSLQTEEAATAAAIV* >Brasy9G107700.1.p pacid=40062064 transcript=Brasy9G107700.1 locus=Brasy9G107700 ID=Brasy9G107700.1.v1.1 annot-version=v1.1 MIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVQEAFFLASSGRPGPVLVDIPKDVQQQMAVPCWETPIRLPGYSARLPKPPSTDLLEQVIHLVGEARRPILYVGGGCSASADELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHISTCADVKLALQGMNALLELSTEHKSFDFSNWCSELEHKKNEFPLSYKTFGEAIPPQYAIQVLDELTNGEAIIATGVGQHQMWAAQYYTYRRPRHWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVMQWEDRFYKANRAHTYLGNPENESEIYPDFVTIARGFNIPAVRVVKKSQVRAAIKEMLETPGPYLLDVVVPHQEHVLPMIPSGGAFKDMILDGDGRTRY* >Brasy9G278700.1.p pacid=40062065 transcript=Brasy9G278700.1 locus=Brasy9G278700 ID=Brasy9G278700.1.v1.1 annot-version=v1.1 MESSRPLPLPPQQQQPISLLGPTPADLESTSRLEKHLRDAGLYESPEELAAREDVLRELRGIVDRWVKRLTAQRGYPDGMVSQATALVLPFGSYRLGVHGRGSDIDALVVGPSYVDRDHDFFGVLGGVLAETTDAVTELQPVPGAHVPVIKMRFRGVQVDLLYASVCLAVVPEDLDLRDRSVLRGMDLATVRSVNGVRVADEILRLIPDAGAFRTTLRCVKLWAKARGVYSNVMGFPGGVAWAILVAFVCQLYPNAAPSVLVSRFFKILAPWKWPNPVKLRDIEHDDDGLRLPVWDPRRNPRDRTHLMPVITPAYPCMNSCFNVSHATLRTITQQLQIGNAVCQKIVGSGAGWGALFEPFHFFKEYKSYLRVDVKVAGSGGEGDLREWKGWVESRLRQLVMRVETATSGMLLCHPNPQAYTAKPTDLQRTTSFFVGLSKPQPQEKEQQSQPQVQFDLRETAEEFKREVYMYVSWRPGMELEVSHTKRKDLPSYVLEQVLPAGHLKRKRPQDDDAPSPPSSPASDDSESSSRYAKRVEAGAAGTTGSLSECTIEQRVV* >Brasy9G039300.1.p pacid=40062066 transcript=Brasy9G039300.1 locus=Brasy9G039300 ID=Brasy9G039300.1.v1.1 annot-version=v1.1 MAAADVQMGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKAAKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDADGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEDASAEKKEGDVEEIDDDADKKEEKKKKKVKEVSHEWVQINKQKPIWLRKPEEISKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAVLFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYTKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDETTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEETEEEKKRREEKKAAFEGLCKTIKDILGDRVEKVVVSERIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRAEADRNDKSVKDLVLLLFETALLTSGFSLDDPNMFAARIHRMLRLGLNIDEEAAAEDDADMPALEEEGAEESKMEEVD* >Brasy9G077000.1.p pacid=40062067 transcript=Brasy9G077000.1 locus=Brasy9G077000 ID=Brasy9G077000.1.v1.1 annot-version=v1.1 MRDAKPPRHRRRAILVLLLALALFAGDVAGQQPQRRPPVPPVPPELLDVETQLTGLTKDVANTISGKFSFCVADPAEDWNGAFNYTSDLSFVERCLAETRGDLAQRLCTPGEVKFYFESMYNRKGEKNLFLNTNINCNRSSWVSGCEPGWGCSVGPNPATTNNDNGDIPPRTTNCQECCEGFFCPRGLTCMLPCPLGSYCPRAIANSTTGLCDPYKYQIRPNSTSTGGCGGADRWADFGSTEEIFCPAGYHCPTTASKVSCSRGHFCRLGSTTEEKCIIKGSCKENAANQNMIIFGACLVGALGVLLFIIYNCSDQFLTIRQRRKARSRENAIQLARQQLKAHEGWKAAKQLARRHVNSVQDHVSRTLSRRRSFRQQPDPENSSHRVQEAPLMGSVKSQEMSDSAVFAVQSTSEISEVIPSVVMDISDDGEVVANKDKPAPKGKHRSTHTQIFKYAYGEIEKEKIEQQENKNMTFSGVIAKVKEQQKDITRPLLKVEFKDLTLSLGKKKLLRSVTGKLQPGRVTAVMGPSGAGKTTFLNAVAGKVTGYQMTGSVLVNGNHGNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSANCRLPARMSHRDKVLIVERVIESLDLQGIRNSVVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLKALRHEALEGVNICAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKTIEEYFSTLGIHVPDRVNPPDHYIDILEGIVKPESGIIAKHLPVHWMQYNGYEVPSDMQDDLKEMGERNPQLSSNPSMSGSTPHCLPSVRNAFAEERNRLEHRLSKPNDLSSRRTPGILRQYKFYLGRVTKQRLREARLLVVDFLILGLAGICLGTIAKLSDPTFGMAGYIYTIIAVSLLCKIAALRSFSLERLQYWRERESGMSSLAYFLARDTIDHFSTVLKPIIYLSMFYYFNNPRSSIGDNYVILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQSTTPIFLKILSYPKWALEGFIIVNAKRYPGVWLITRCGLLFKNGFNINNYMLCILVLFMYGLFFRIVAFLAMILLKKR* >Brasy9G067000.1.p pacid=40062068 transcript=Brasy9G067000.1 locus=Brasy9G067000 ID=Brasy9G067000.1.v1.1 annot-version=v1.1 MRRRQRRWSRTAFVVVVACFFVLLAAARAESASSPRPERARRLLLASEGSAAASAGTGEEDGPRRSAFDAVVEGLVSMGLGRRWRAGGDQLVADDKRRVPTGPNPLHNR* >Brasy9G134400.1.p pacid=40062069 transcript=Brasy9G134400.1 locus=Brasy9G134400 ID=Brasy9G134400.1.v1.1 annot-version=v1.1 MAAPEISIDICPEFNTFEHLRSTRYIATDRPWLKLYGVRVQPVSPFSSLSSLPDLALIHQCLPDELLFEIFARMSPYTLGRAATVCRKWKYTARNPTLWRNACLKTWQRNGTEANYRLLQSLYDSSWRKMWTLRPRIRNDGLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPQKVKDVVKYMHFRASKGDCVFKGDYTLSGDGQIEMALLYPGHRYTLVRMRLRVRGTTIGANNRLDVLKILTTGVNGTELRNWKGNILELVEGWGEDETHDPDVPAVSHSRGLTPFVFVPFEEADTSVLNLPVEKMDYFVPG* >Brasy9G165000.1.p pacid=40062070 transcript=Brasy9G165000.1 locus=Brasy9G165000 ID=Brasy9G165000.1.v1.1 annot-version=v1.1 MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEATLATSGKSVKSLCAPTLYKESCEKTLTSASNGTENPKEVFSTVAKSAMESIKSAVERSKSIGEAKSSDPLTEGARQDCKELLEDSVDDLKGMVEMAGGDIKVLLSRSDDLEHWITGVMTFIDTCADGFADEKLKADMQGILRNATELSSNALAITTSLGAIFKKLDLDVFKKDSSRRLLSEKEEQRFPQWMKSPERKLLASGGLPAPNAVVAKDGSGKFKTIQEAVNAMPKGHPGRYVIYVKTGLYDEIVMIPKDKVNIFMYGDGPKQSRVTGRKSFKDGITTMKTATFSIEAAGFICKNMGFHNTAGADHHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFVKPEGYMPWNGDFALKTLYYAEYANRGPGAGTSKRVNWPGFRVIGQKEAEQFTAGPFVDGATWLKFTGTPHYLGFKV* >Brasy9G309600.1.p pacid=40062071 transcript=Brasy9G309600.1 locus=Brasy9G309600 ID=Brasy9G309600.1.v1.1 annot-version=v1.1 MYEYYSMNQELTKKEMKEMAREEKIYARYCRGKKLSLLSCSLETITTLSPMYFTHRTPGIEIKDDYTATTGASLQIFSFKIAEIKANLKWPLYVYGVVAARDQVDYNRNLLFNRTRNNAQQVTEDDPFLRLTGPARAILPKLVFEVELRVKGRAKYRDRALINGTYPYIGNGDGLYTVPFWNCLCRCELGVEELGKSVQCTILGVRIIKGGPCTFKCGGRVSFSSPAHEVALMDDQGTAQEVIDPVSTRVVLLDSRYRDDGKMPMSSDGYLDLTRHVVSVELRESHVFYPEKLEETFKVVIEAYSESGNGNVAAQGHVKLTPKLCNISQAVCDLGDSKVEVTVAWSVHVADKEYL* >Brasy9G058900.1.p pacid=40062072 transcript=Brasy9G058900.1 locus=Brasy9G058900 ID=Brasy9G058900.1.v1.1 annot-version=v1.1 MRTTTPLMAAPAALLLLLLSLAAASSSGKIRSEEETRRMYAEWTARHGSSHHSPITNERGRYEAFRDNLRYIDERNAAADAGIHSFRLGLNRFAGLTNEEYRATYLGGLRVRSRAVGDLRKLSSRYEAADGEPLPESVDWREKGAVGKVKDQGRSCGSAWAFSAIAAVESINQIVTGDMISLSEQELMDCDTSYNAGCDGGLMDDAFEFIISNGGIDTDEDYPYKARNDSCDANKRNRKAVTIDDYEDLRMNEKSLQKAVSNQPVSVAIEAGGRDFQLYKSGIFTGTCGTDLDHAATVVGYGSENGKDYWIVKESYGTNWGESGYVRMERNVKETSGKCGIAMLPSYPVKSTVPTGKRSSA* >Brasy9G302000.1.p pacid=40062073 transcript=Brasy9G302000.1 locus=Brasy9G302000 ID=Brasy9G302000.1.v1.1 annot-version=v1.1 MSAWAADAALLLASPSPASSRSLVRPRSSRLCKGFPCTSRSKAGFQISNYRTSILKVKAKMDSGDGETQLAPLKFETPSGQLLVQILQSHPHLIPVTVDQQLENLQSEKDAQNEEAAKVPQDLLYKRIAELKEKERQTTLEEIIYCWILFKFVENDISMTPALSSSSGPVRDISLPNQEYKLQSIHSQDALEMIQNHLNLIMGEQAAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKEQIVMERDLKPNPFWDMESLVQISPDGEEVDLDDEESNPNKLRSYVSRLDADTLQKYATIRSKESVSLIEKQTQALFGRPDIKVLDDGSVNAKDGKIVTITFTELTNLVLEAAGFGAFLWEAESHIESKYHFVNS* >Brasy9G064400.1.p pacid=40062074 transcript=Brasy9G064400.1 locus=Brasy9G064400 ID=Brasy9G064400.1.v1.1 annot-version=v1.1 MRMVLRILPAVDSKIKCYDGKRGGYTCVCKEGYQGNPYITQGCSDINECEMTEVSWCPGGGVCTNTDGWFDCGCPPGTHGNHTVPGGCIPYVTAPGASCKSSCGDVAVPYPFGMGPSNCYRLGFNLTCDYPSSGGKPPRLILDADGAFQVVDISLENTMLRVVSTEGTIEALFSLSTRNELILLGCNIQATLLGLGHDPAIISGCATFCSDDHDDYTDGGRRMPEPDSPGDNNCNGMGCCQARIARSMDGMPKDLIFKFTDINNVPDNITRPAYAFMAEEGWFNKVSDQQSQAFQHNSTTFEEPEVPIFLHWEVKQPGQGRSGSLPKAGVNDCPVEVASSGCTGISVVIGIASGIGLLLSFLIAFFDELAKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKPKKIVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYEHLHVERPRSLPWDHRLRIAIQTAKSLAYLHSNVSIPIIHRDVKSANILLDDTLTSKVADFGASRYIPMDKSDVTTRAQGTRGYWDPMYFYTGWLTEKSDVYSFGVVLVELLTRKKPSSYLSSEGEGLVVHFVTLFTESNLIEILDPQVMEEGGREVEEVAAIAVACTKLRGEDRPTMRQVELTLEGYRGSKERILDTSLSKKVEKNDAAIYCSSTKVRRGGEESTRCYSMEEEFMLSASYPR* >Brasy9G317100.1.p pacid=40062075 transcript=Brasy9G317100.1 locus=Brasy9G317100 ID=Brasy9G317100.1.v1.1 annot-version=v1.1 MELDMSRGQPLTLQEAGGILTAVAVGGAVFYFLKGVGRSPKGRRLAGGARAVVANAPCVARCAGWCGGMLAIETGMDHACGAHCPWNSVVACGGASALFSARRGPRAAVRSALKGAALAAVAEKTLYGLESLFGLLETHGSRYEKFLGDEI* >Brasy9G316300.1.p pacid=40062076 transcript=Brasy9G316300.1 locus=Brasy9G316300 ID=Brasy9G316300.1.v1.1 annot-version=v1.1 MDHPQGDQSAKAAQIAPERKKRKTRLPQEEVDQILSRGAVRTRRAPRAFEALRRQNPSLTPSPEEEKDESKVAMYTAVRAYYESRESGFQAWVGGQLEKHGYVEVDDEAVALRDDLRAWSKKARKEAFESIGFPDSDN* >Brasy9G298600.1.p pacid=40062077 transcript=Brasy9G298600.1 locus=Brasy9G298600 ID=Brasy9G298600.1.v1.1 annot-version=v1.1 MQMQCRCAGCARKVEKAMASIWSFGELYQWLKTKTRKDIKIVCPDPPAENHDQKMILVLGSSSGVGGTTARTFQRRRIRVKKLQDLADLISYLKEDTSF* >Brasy9G348700.1.p pacid=40062078 transcript=Brasy9G348700.1 locus=Brasy9G348700 ID=Brasy9G348700.1.v1.1 annot-version=v1.1 MTSLELLDAPGLRALRVVSCGRMLLREASAVIHAPKLEHLACGNMCHPDRLQFTGLGTVRRLKNLHLWSHLHERRRNAWALWLLSHCAAMDSLSLRIAPPMGLCAMATEETMSLVPPLPNVTTLEINVHASCGESSHRLASSVARLVSRCSGLRRLSVAFSASDSEPCSGPGCFCRVGEDEEAAMEMSLEGLKEVRIAGFCPQLDHHVGLVRLLVASAPALEKMSLECDTKHVLPEGEEELLPCDRGCLDPRVAGQTMCYEWAPAGR* >Brasy9G263500.1.p pacid=40062079 transcript=Brasy9G263500.1 locus=Brasy9G263500 ID=Brasy9G263500.1.v1.1 annot-version=v1.1 MYRKRPSSALTACSSRKRRRPATLESDSSSWATLHEDLVRLIGWRVLDGDLLDYVRFRAVCPHWRSSTICPSGRGVVDPRFHPRRWMMLPEGHGLHPGHYKLRGYVRFFNLSTGAIVRCKLPLFSDHCVLDSSDGLLLLQRDQDSAIRLLHPFTGCLVDLPPLKTLRTGIAEEVVPWEFYRTVGATIFSVAANGVVTVMLGLSEMGRVACATTMDQRWRFSAWTFRHMWRPMAFQGKMYLLECRNFQSDLQIFEIDPPRQEDVAETGRFCSPPEPKLIATCPAGKLQMPYRLVECDSEILVVGHDGVLLPHIVVYRLADIIRGTIAPVTSIGGNAIFVEERLLSVSSRVHPTIVPDSVVILHPEEFYLGHYHLASGTWLPTADGCIRGNNGVPSPCSFIYHIFTCCHRATWNKGALLFQGLLQPPWKVKRKWRTGASMQHA* >Brasy9G263500.2.p pacid=40062080 transcript=Brasy9G263500.2 locus=Brasy9G263500 ID=Brasy9G263500.2.v1.1 annot-version=v1.1 MYRKRPSSALTACSSRKRRRPATLESDSSSWATLHEDLVRLIGWRVLDGDLLDYVRFRAVCPHWRSSTICPSGRGVVDPRFHPRRWMMLPEGHGLHPGHYKLRGYVRFFNLSTGAIVRCKLPLFSDHCVLDSSDGLLLLQRDQDSAIRLLHPFTGCLVDLPPLKTLRTGIAEEVVPWEFYRTVGATIFSVAANGVVTVMLGLSEMGRVACATTMDQRWRFSAWTFRHMWRPMAFQGKMYLLECRNFQSDLQIFEIDPPRQEDVAETGRFCSPPEPKLIATCPAGKLQMPYRLVECDSEILVVGHDGVLLPHIVVYRLADIIRGTIAPVTSIGGNAIFVEERLLSVSSRVHPTIVPDSVVILHPEEFYLGHYHLASGTWLPTADGCIRGNNGVPSPCSFIYHIFTCCHRATWNKGALLFQGLLQPPWKVKRKWRTGS* >Brasy9G139300.1.p pacid=40062081 transcript=Brasy9G139300.1 locus=Brasy9G139300 ID=Brasy9G139300.1.v1.1 annot-version=v1.1 MDAAMPAAADGGEAGAAQAPPAVVLVSAGASHSVALLEGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPRITSVICGADHTTAYSEEEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALEGIKIKQMACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGICVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRFVPEKVSLVEGEKMVLIACGWRHTITVSSSGILYTYGWSKYGQLGHGDFEDHLFPHKVEALKDSSTSQISGGWRHTMALTSDGKLYGWGWNKFGQVGAGDSVDHCSPVQVMFPAEQKVAQVACGWRHTLAYTEKKNVFAWGRGTSGQLGHGEIVDRNKPLMIDALSPDGSGSKKLESSTAVPLTAKVWVSPSERYALVPDEKVAKPGVGTAGNGADASVPENDVKRMRVQS* >Brasy9G354400.1.p pacid=40062082 transcript=Brasy9G354400.1 locus=Brasy9G354400 ID=Brasy9G354400.1.v1.1 annot-version=v1.1 MVKKLALASLFFTSGGGGASLRSSSPAPSMSSASSWQWPPSCTQARTASFRMAADGYYSTSTMNPAFLDDSSVAGHEHEHTSSRRLSSSSSSASSSPAPAAAAEEEAVIRGLRSSRSSRLFFEPEPTSSIILNNNSSKPAAAAAMAFGGATAMAIDSADPYGDFRRSMEEMVLSHGGGGGGDDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALTSSSSSASSSSSSSFLNCKQSINQS* >Brasy9G316700.1.p pacid=40062083 transcript=Brasy9G316700.1 locus=Brasy9G316700 ID=Brasy9G316700.1.v1.1 annot-version=v1.1 MASAASSPAAAAARAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSLTTLAAIAITLVFAWKLLRAPQEQPRRPRRRVAPSSSNTSNRSRPGALASTDACSSADSRAHEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQSHATVRSSVLEVLLEIAKFCDVYLMERILDDESEEKVLSALSEVGLFTSGGFVKDKVLFCSTENGRTSFVRQLEPDWHIDSSPEIVHQLSRFIKYQLHISPQQTERLAPNVFSSTSLEQFFGGLDQR* >Brasy9G215900.1.p pacid=40062084 transcript=Brasy9G215900.1 locus=Brasy9G215900 ID=Brasy9G215900.1.v1.1 annot-version=v1.1 MAASQPPKAIKWEDEEEGDKGERPGWWEAAAKKVREAEARERERERIRKHNERVQAERERKWQMRMEAHERERKLQMMVEAQKREREARWKKNCEAHEKRMEKEREKERHDFNEKVLREARRTREKEEEEQENERKRKAKGPCSTP* >Brasy9G347400.1.p pacid=40062085 transcript=Brasy9G347400.1 locus=Brasy9G347400 ID=Brasy9G347400.1.v1.1 annot-version=v1.1 MASSAAASALRRSLRGALLRGCAPWRSAASAGARPASTAASGAPAEATAASKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTELVNPLFALLDAEFAHRLAVSAAAHGFVPREKRPDPSVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPQPQEGNPKPRIFRLQEHGAVINCCGFNSEGIVVVAKRLGAQHGKRKMEETSSSMSPSTSDVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHSLSQYADYLVINISSPNTPGLRKLQGRQQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALTLRLDGLIISNTTISRPPPADTHPLAQEAGGLSGKPLFDLSNHVLREMYMLTRGKVPLIGCGGVSSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQAAVGADCR* >Brasy9G347400.2.p pacid=40062086 transcript=Brasy9G347400.2 locus=Brasy9G347400 ID=Brasy9G347400.2.v1.1 annot-version=v1.1 MIGLAIAGGAYVSTADEAKFCGWLFKSTELVNPLFALLDAEFAHRLAVSAAAHGFVPREKRPDPSVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPQPQEGNPKPRIFRLQEHGAVINCCGFNSEGIVVVAKRLGAQHGKRKMEETSSSMSPSTSDVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHSLSQYADYLVINISSPNTPGLRKLQGRQQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALTLRLDGLIISNTTISRPPPADTHPLAQEAGGLSGKPLFDLSNHVLREMYMLTRGKVPLIGCGGVSSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQAAVGADCR* >Brasy9G054900.1.p pacid=40062087 transcript=Brasy9G054900.1 locus=Brasy9G054900 ID=Brasy9G054900.1.v1.1 annot-version=v1.1 MLPYATAGEAEAALGRVMTRAESAWFRYSAAVPDYLLFCHIAAILLLVYTLAALPLSLLELTTSAPAYKLQPRTRPTPSVLIRSYLHSARALLLSGGVLCLFSYPTVKVLGVRAGLPLPSAGELAAQLAAYQLVNDYAGYWFHRLLHTPWAYQNVHRVHHRFSAPFALAAPYARWTDVLFLGVAALAGPALVPCHMVTLWLWFVVFQLVLVETHCGFDFPFNPTKLIPFYGGAEHHDYHHLVGEKSRSNFAPVFTYCDYLYGTDKGYKYHKASQAKLTKEKSENNVEKGHGSNKISSGKQK* >Brasy9G037400.1.p pacid=40062088 transcript=Brasy9G037400.1 locus=Brasy9G037400 ID=Brasy9G037400.1.v1.1 annot-version=v1.1 MSSDVLCAPHFLDLLRKPKPNPNSTPTESDTYYLGLLSAPAALNLDLPPPLVQLPDDQIPAIPGDAHKLGVAAIRSSLIPPQSDPYHSGGSDGGEAGCCSTHSAPADIINRYLEAAGDESDDDNLFDPGTAADATVGRSGELAGFLNKKMWCRHMQLEISSLNLTFTYLIVGYPNLRRMQKGYAKVKTIEGKPRRLSEVFHSLRRVMTGMKKQNKCTAEELENVIRELFEG* >Brasy9G286000.1.p pacid=40062089 transcript=Brasy9G286000.1 locus=Brasy9G286000 ID=Brasy9G286000.1.v1.1 annot-version=v1.1 MAHLLLHCPFTKSVLHVVLSKEGVLCCLPRSSEGLTDWLAAGARRLRGRAKAVRSLINLSLWRIWKLQNACIFEGARLVEDILVKADLWRAAGARALDHLLLHARPPDSVLVSTPV* >Brasy9G057000.1.p pacid=40062090 transcript=Brasy9G057000.1 locus=Brasy9G057000 ID=Brasy9G057000.1.v1.1 annot-version=v1.1 MPKRAAILLLLTASMLIGMAASRPAASTIGAASLLPEKLVFVPGLEHDREHPMPWAPGSGMMTGRLANAEDGVLRP* >Brasy9G180200.1.p pacid=40062091 transcript=Brasy9G180200.1 locus=Brasy9G180200 ID=Brasy9G180200.1.v1.1 annot-version=v1.1 MASAQEKATDCCRPARDAPGARRVIAAPGKVSMAPRGERVAAAAGGGAVMEEIATAVQPTTAKASSKGIPIMTRAQRFHPLDPLSAAEIAVAVATVRAAGKSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLVVYNRHSNETTIWIVELSEVHAATRGGHHRGKVISSTVVPDVQPAMDAMEYAECEATVKNYPPFIEAMKRRGVDDMELVMVDAWCAGYYTDADAPSRRLARPLIFCRTESDSPMENGYARPVEGIHVVVDMQNDVVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKPLIIDQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGHVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIESEVKLTGILSLGALMPGESRKYGTTIAPSLYAPVHQHFFIARMDMAVDCKPNEAHNQVVEINVKVESAGTHNVHNNAFFAEEKILKSELEAMRDCDPKSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTPYNSDEKFPGGEFPNQNPRIHEGLATWVKKDRALEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPDGFFNCSPAVDVPPGSSDVDVKEAESPKAIQNGGLVSKL* >Brasy9G180200.2.p pacid=40062092 transcript=Brasy9G180200.2 locus=Brasy9G180200 ID=Brasy9G180200.2.v1.1 annot-version=v1.1 MASAQEKATDCCRPARDAPGARRVIAAPGKVSMAPRGERVAAAAGGGAVMEEIATAVQPTTAKASSKGIPIMTRAQRFHPLDPLSAAEIAVAVATVRAAGKSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLVVYNRHSNETTIWIVELSEVHAATRGGHHRGKVISSTVVPDVQPAMDAMEYAECEATVKNYPPFIEAMKRRGVDDMELVMVDAWCAGYYTDADAPSRRLARPLIFCRTESDSPMENGYARPVEGIHVVVDMQNDVVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKPLIIDQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGHVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQVVEINVKVESAGTHNVHNNAFFAEEKILKSELEAMRDCDPKSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTPYNSDEKFPGGEFPNQNPRIHEGLATWVKKDRALEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPDGFFNCSPAVDVPPGSSDVDVKEAESPKAIQNGGLVSKL* >Brasy9G297600.1.p pacid=40062093 transcript=Brasy9G297600.1 locus=Brasy9G297600 ID=Brasy9G297600.1.v1.1 annot-version=v1.1 MATPPPARPAMSHSSAFLLPSSAPPTGSADTYAVVVLNQRLPRFAPLLWARVASFASSCSRAPLSVQRKSRVCADGGANRVFDGMPELLPEQDSDDVRFRYKPDVIKGDMDSVRPEVKEYYSNLGTKIVDESHDQDTTDLHKCVAFITQNSLVSDVSNVSCSSSILWTHNTCMSYGGLISTSNVIDEDQVTVTSDSDLIWTISLHH* >Brasy9G321500.1.p pacid=40062094 transcript=Brasy9G321500.1 locus=Brasy9G321500 ID=Brasy9G321500.1.v1.1 annot-version=v1.1 MDDFIPLPAASDSSASLKALQAGEPGPVFVDKHMTSTGSYFVEEEEEDPEERVPATPSPLTSPAHPASAPPMHPAPPASPDFVLAHDGFYGTSDEEEFLSGDDDSESDFNAEPAQVFDRGSPPPARRDCTNVFMPHVPLEHFDHLAYAHVFPPAPSPNFFVRRALHVGPAHPDPQLRISSQGSSVVVFNSLMEREFAMLHQPYRGREHTVHLVRHDDTENRFLFDHSNLAALAIDDFPLEHWFPGNIFHSVAPFANPYEINPICISGHEYSAVLITVKARSITDVPHTLAIYGFSGLGTLANISIIHSQALPPINENPFLPPSPRRSVGSDSDDDGDDSDNSNGGSDGDMAEPFAEDGLPVASSAVASEQPEGELAIPPPPPVVAREPEEIAPPSPSPGLAAAALEACPGSRAIVASPVPFVRASSLLSRPENVDIKLFPGFFEVRVSGPHGEQGLYRLPLQKVGETGMLVANLESCSIGHLVVVSSVGPDNARVLSVDPPSVRRSARLAVSESPAFVSILDKAAARKRAKLEGEGSSSSTPRRGSALLLADELLELAAEGVEPLAPNDVQVLAEACGLDPKASAMVPRSV* >Brasy9G107600.1.p pacid=40062095 transcript=Brasy9G107600.1 locus=Brasy9G107600 ID=Brasy9G107600.1.v1.1 annot-version=v1.1 MTPNHDPSHRHSRVASTVSPLSVSHTVKTATGTPWPRPPPPPPSPRASRPNRTTNAKAATTTTFPSRRPAPGASTARA* >Brasy9G236700.1.p pacid=40062096 transcript=Brasy9G236700.1 locus=Brasy9G236700 ID=Brasy9G236700.1.v1.1 annot-version=v1.1 MLILELGACIIPMTLVFVPVRRLVLLVAKLQELEQCIMRPRAPLSPGMSSSHSQMSPEMWSRMSSLHTIGAGVMV* >Brasy9G066000.1.p pacid=40062097 transcript=Brasy9G066000.1 locus=Brasy9G066000 ID=Brasy9G066000.1.v1.1 annot-version=v1.1 MALDGGILTLAIIGIIKTGIVTVVTLAAIYLCAKVAMQMYLQKEISHGSPRDASIATRGVGDGSHTPRRSTADEYADADMVEMGSMSFFLEGVQNERPARFSSQQLRVFTQGFAHKVGSGGFGVVYKGRFPNGAPVAVKVLNSTLGRRAEEQFMAEVGTIGRTYHINLVRLYGFCFDASVKALVYELMEPRLARPPPVRPCVGVGAGEDGRRHRVQQAPRNRGRDGQGGAVPARGVRAADHPLRHQAGERAARGRHGAQGVRLRPRQALRQGGHAPDDHGRARHAGVRGAGAVDADAGHAQVRRVQLRDAAVRDAGAAPEPGACWGGWKGGEPGVVPEVGVAPVRGRRGRRRAGAHHGGRGRGGHGEGGEDVQSGPVVRAVPAGGKAVHGQRRADAGGGGADRHAWKSIRASQ* >Brasy9G048900.1.p pacid=40062098 transcript=Brasy9G048900.1 locus=Brasy9G048900 ID=Brasy9G048900.1.v1.1 annot-version=v1.1 MAGGRGAGRGEAGEGASGVAAGGGEPGTGQLVGAVVTARDAKRNRARAAKFSLHRSWLAHRRRRTGCGAKLVDPDDDEEAGVGKGGVVPGAGQGVGLGGAVLGDGEGAEQDDAAAAAGHGAAQDYTGAGHGGGHAAKKTSK* >Brasy9G296300.1.p pacid=40062099 transcript=Brasy9G296300.1 locus=Brasy9G296300 ID=Brasy9G296300.1.v1.1 annot-version=v1.1 MLMDAMSTQNSKERYRASLRIRGGRTEPKSDGMEIGSEQQRHHFVLVHGMNHGAWCWYKVATALRRAGHRAEALDMAGCGAHPARVDEVAGFEEYSRPLLDALSALPPGDRAVLVAHSHGGYSVALAVERFPEKVAAAVFVTASMPAVGRAMAATSDELLAYVGPDHFMDSQELEQKNAKTDGKPFIFGPKFMAQRVYNLSPPETLFLSQDLTLGLSLIRPANSFTTNNSKETVMRDENLLTAKRYGSASRVFVTVEDDRALPVGFQRHMTAQSPDVQVEGMAAGGADHMAMLSRPEELAELLVRIAGGI* >Brasy9G174600.1.p pacid=40062100 transcript=Brasy9G174600.1 locus=Brasy9G174600 ID=Brasy9G174600.1.v1.1 annot-version=v1.1 MAARKACYIQAAEAAAAANNPPRKVAPRKDQAQAAADLSVDWAVKDKRGRLPLAAPAGAAGSASSSSSVTAVLELESSFSSEEEEAAMILLQLSAGQEEHGPGDDEPEGEMLVPVAEHQIAPAAVSEHEIVQQADRLPAEHVAGAHDVAMLDADAPEPEVERPMVPDDHVSGAGALPPMMPGAHVSGGAAVQAQQADKYSAPPVEHTFGIITESSLAAAAIEQAKKAAAAASSSEVRDKKCKLVLSDANATKPMKKRRIQDIIQLQAPAPQDPHQSSRAAEAFLRAPAPEARPRIPSPASNRRFVCPRCDKKFPTYQALGGHMASHNRANKYGADGPQHQQLVAQLAVARAAQQSLVASSANTAGTSTTNSGRRGTMNLPPPPKEPVLLAPPAVHPCSRCELVFRTGQALGGHMRRHWIADKVRADAAQARAAMDAAAVEAVAEAEAAVQAAAAEAVAAAAAAASVPAAAPRGEPLDFDLNEMPGEE* >Brasy9G279800.1.p pacid=40062101 transcript=Brasy9G279800.1 locus=Brasy9G279800 ID=Brasy9G279800.1.v1.1 annot-version=v1.1 MAGLDLGTAATRYVHQFHHLHPDLQLQNNSYAKQQQHEPSDDHDNNNYGAGQQYGADNNNNNDGGSSSSGPGAGGGGDGTPGSGGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYACRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVTLQGRFEILSLSGSFLPPPAPPGATSLTVFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEDEEQQAAAAAAAAGGMQQMQQQAGDADGPGMGGVPGFPPDPSAAGLPFFNHLPINHMGGGGAGSQLPPGAADGHGWAGPRPHF* >Brasy9G278500.1.p pacid=40062102 transcript=Brasy9G278500.1 locus=Brasy9G278500 ID=Brasy9G278500.1.v1.1 annot-version=v1.1 MNSPGKPSSEELNYWCKRNLSSVSAIQIPLIEVNILLVMVPPSPFMLIPLTIIQWSCLTVVKSMASRHGKSHHCMFLDNFLYHLVEKKRESDRNEQAHKSATAS* >Brasy9G285000.1.p pacid=40062103 transcript=Brasy9G285000.1 locus=Brasy9G285000 ID=Brasy9G285000.1.v1.1 annot-version=v1.1 MVRMRALTVLAVIFLGSGLLVSAAGHDEMPLVPAVYVFGDSMMDVGNNQYLENGVPPRLPYGIDFPGSVPTGRASNGYVMSDSIARLLGFNMSPPAYLSLTPETSHQIFRGYGGVNYASGGSGILDRTNTTQVEYFAATKLEMTEDNPGEIDDLLAKTSTRLFYEAMLSSYERQVYRLYGLGARRFALIDVPAIGCLPLIRATTETGESECVMDAHLLARGFNNRLRSRIDDLARSLPGMTYSVGNSFNLVIVFTGNPDNGFTEVASACCGGGRLGVGIGCLHPDATYCENRNEHIYWDGVHSTEATANKAAQAMFSLPVWQGFASPINFRQLVSSSMTDATAQS* >Brasy9G073900.1.p pacid=40062104 transcript=Brasy9G073900.1 locus=Brasy9G073900 ID=Brasy9G073900.1.v1.1 annot-version=v1.1 MGGSSNTTTSGGKDKDKGGKDSPAAFMEGDRVLAYHGPLLYEAKVQKTENREDEWRYFVHYLGWNKNWDEWVASDRLLKLSEDNVRKQQELQKNQTVDKTIKSGRSAQHNPKGSNAEPKADKEDTKVLVKGKKRKNQLGAEEKERRSSESPLMSQFPLTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRVKKDNKISDSYAEITRGLRCYFDKALPAMLLYKKEQKQYKDEIKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQRNQGSFFLSAYDSDSKGADGVKNK* >Brasy9G073900.2.p pacid=40062105 transcript=Brasy9G073900.2 locus=Brasy9G073900 ID=Brasy9G073900.2.v1.1 annot-version=v1.1 MGGSSNTTTSGGKDKDKGGKDSPAAFMEGDRVLAYHGPLLYEAKVQKTENREDEWRYFVHYLGWNKNWDEWVASDRLLKLSEDNVRKQQELQKNQTVDKTIKSGRSAQHNPKGSNEPKADKEDTKVLVKGKKRKNQLGAEEKERRSSESPLMSQFPLTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRVKKDNKISDSYAEITRGLRCYFDKALPAMLLYKKEQKQYKDEIKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQRNQGSFFLSAYDSDSKGADGVKNK* >Brasy9G111400.1.p pacid=40062106 transcript=Brasy9G111400.1 locus=Brasy9G111400 ID=Brasy9G111400.1.v1.1 annot-version=v1.1 MMLSLHSRWRELDLYLQIAIYLLVKHGMHMQVLRWLGRAVRARADAAPNHMLPRPAPAFGILNHPLSLPAVRLPNWWKIDVMAGDAILLGIRGAALGHQNHTCAQRFDRCRRAL* >Brasy9G130600.1.p pacid=40062107 transcript=Brasy9G130600.1 locus=Brasy9G130600 ID=Brasy9G130600.1.v1.1 annot-version=v1.1 MEEEHIEPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLMDPDSACGERCWNVSTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLAEENIMAGQFVIEYCGEVISWKEAKRRSQAYEDQGLTEAYIIYLNTAESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPIGMELSYDYNFEWFGGAIVRCLCGAASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPIYDSTDDEPTSIPKDILLAKDEPITQRSNNNRVQSTENPGIATSNELMAMTVEPLMAGSNELTPMTVEPLMASSNGLTPMTIEPLRAIPMGVDFVENGSTEYGAQYADDVLENSAHRVAKLQHQSPPQSKNHHTELVPVRSKPKFRGGKAKRGLRKQLNVADICDRLSSAVAREEIMYCEEVKKQATAEIDSLYDEIRPAIEEHERDSQDNVSTSLAEKWIEASCCKYKAEFDLSAVIIKNMASTPLRGKEEVIPGEQNGLLYLQNGT* >Brasy9G302700.1.p pacid=40062108 transcript=Brasy9G302700.1 locus=Brasy9G302700 ID=Brasy9G302700.1.v1.1 annot-version=v1.1 MCDYFLQRMEGDQAGGGDLTDIVRAGGAMPGNSSAGGGGGDDLPSTAADDEWHHHLQQPLLFPPPSPSPDAADVVFGAGDPFAGLGGDPFSSDYSSSCGADFGLDVGAMPDAMAPKVGAFDAAGISGGQMLDMGCGGRKPLLPRGMGMQQQMPGMGGMGAPRQLMPSPAGLSPIAIRPYPAMTAGDMVKLGITAGQAAGCAIDAAVAGMQMSSPRTAGIKRRKNQARKVVCIPAPTTAGSRPTGEVVPSDLWAWRKYGQKPIKGSPHPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHGKNGGSGSSGAKSSQNEKQSQANNVKEERKDHATAATMTTTSTITTTTSTASPMIVKLEETLLAGSSEALLERDHQSAMDTAAGVLVDHSDLMDHVFSESYRPMIPESGHHEDFFADLAELESDPMSLIFSKEYMEARPSGTTGGDHGQEKAVAATKDLDPFDMLDWSTASTTAGSTFEQGKGG* >Brasy9G151400.1.p pacid=40062109 transcript=Brasy9G151400.1 locus=Brasy9G151400 ID=Brasy9G151400.1.v1.1 annot-version=v1.1 MDTEFIATAPRRASSALPLSVAFARRPPPPPPPVPRQHPLPCGVPCGRRRRASRSICLRPQGRPRSFLRSRRSPRSRPDRQDRGGNGLSIISISDKEYRQLGCHHLLVDGLLSKEMGAK* >Brasy9G278100.1.p pacid=40062110 transcript=Brasy9G278100.1 locus=Brasy9G278100 ID=Brasy9G278100.1.v1.1 annot-version=v1.1 MAPRKRTAAASSKKPPPSQPSQPAKFGILQFFERQSQAPSQDAKRQKPDAKPDPMPPPPPPPPLPEEEEELSEVSPEVTKSLAPKRVKFSPGMLIQQSQDDGGGEVVSWKFSPVNDRLRTITSRQMPGMALRPCSNSEKHSSLEAMKKWHSSPLGMSRCTASARDPNLCGIGPSGCDGKQDFQSPFRTPPSLPYSCSEQLRAGVTSNGGPDQLGVGQHKKALLDLLDQVEDAIAEEELPVDAVNKGDQVIGGASTDSKFSPIINSDPNVQPNKPLDAPSFDSYLVLEVSENHKGDSSSCDRYPVKVLRLLNEHCGKEYTVHLCDEWFRTTVGPGDTVSVIGEFSDQGKCIVDHDSNLVIIHPELLISGTRVASSFHCPRRSVLDDRIKSTEHSTSALMGTLLHQIFQAGLLEDVPSRQFLEQQAKEVLLKNMESLYACGASESNTYSILIEGIPKMLNWFKCFMKGSKCTNVDFGHTEGRKTVEVAEVMDIEEMAWAPRYGLKGIVDASVRSRVTCSGDSYDRVMPLEFKTGKGTSGQTALEHSAQVILYTLLMTERYLNKDIDSGLLYYLHTDQTLGIKVKRSDLIGLIMRRNDLASEILTASISQSFPPMIQIPSSCNGCRHLTSCTIYHKAHGGTAATSGLGDLFDNLVNHLTVAHHNFLKHWDRLIDLEARISQVKKKEIFLPLHSNTESKNSAPCYFVLDVKNEHSIDSSGKSKRYIYNFVRQKMQPETAGQSEPQVESLDFTLKSGDCVVLSTQSGRVAVATGSIRDISRSHITVSLSRRLRLPGSSSFLEQGDLQRELWRIDKDEFSSSFATMRLNLIQLFAQNPQNSKIRKLVVDLEAPRFDSGGLLSQDLALSYIRSLTSLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKSEGVDFIRIGRHEAVHPDIRAHCLSTTEVHSVDAIKTRMEQVQVVGVTCLGVYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSLEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLSCGSLEIANAKLKFSGKGPVHLKLKEILNPDRAVIFANTDQVPALEAKEHRIVNNPTEAYIVSWITKQLLKRGVSQDEIGIITPYNAQANLIRQHIDSLVEVHTIDKYQGRDKECIIVSFVRSSGSSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAGTLSSIPLLRLLVEQVAKCGGLLDLTNKDVHPIPELRSSRLNVQ* >Brasy9G293200.1.p pacid=40062111 transcript=Brasy9G293200.1 locus=Brasy9G293200 ID=Brasy9G293200.1.v1.1 annot-version=v1.1 MLFSEGLFMSKKLESFYCESIPCAALLIQDSAHDFVSSICSICRNGLREMVLVQFSVQ* >Brasy9G063300.1.p pacid=40062112 transcript=Brasy9G063300.1 locus=Brasy9G063300 ID=Brasy9G063300.1.v1.1 annot-version=v1.1 MVRVLDLAAATQGFGAGAGTVVGSVFVFVAAVAIVAVAVFGCADGKPKRRRRWASGGPGGGAGCGGGAGCGGGGGGCGGGGGGGGGGGGP* >Brasy9G129400.1.p pacid=40062113 transcript=Brasy9G129400.1 locus=Brasy9G129400 ID=Brasy9G129400.1.v1.1 annot-version=v1.1 MAGWSLELSSHGKGTGSRRLRLSGTKSSHTLQCAAHAGRSHAQFSVGQQVVRATCELKAKSCISQVATCKA* >Brasy9G341300.1.p pacid=40062114 transcript=Brasy9G341300.1 locus=Brasy9G341300 ID=Brasy9G341300.1.v1.1 annot-version=v1.1 MARFSSSSLLALGVAVTLFAGVLCAPKVTFTVEKGSDPKKIVLQVKYDKEGDSMAEVELKQKGSNEWLAMSKCKDTGAWKYESPEPLKCPLNIRFQSEKGLRNVFDDVIPENYKIGSTYSPQEY* >Brasy9G193900.1.p pacid=40062115 transcript=Brasy9G193900.1 locus=Brasy9G193900 ID=Brasy9G193900.1.v1.1 annot-version=v1.1 MPPSAPLVKPPRSGLLSYIPTSISSILPARGCVDASSPPPSAPPAPPSPSQMSPPSGTTTTKGAAGDAERGELSRVFQLLDRNGDGRITREELGDCLGKLGIPVPGDELAAMIARIDADGDGCVDEEEFGELYRAIMSTGGGDREEKKEGGEEEEDEDMREAFRVFDANGDGYITVEELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG* >Brasy9G205700.1.p pacid=40062116 transcript=Brasy9G205700.1 locus=Brasy9G205700 ID=Brasy9G205700.1.v1.1 annot-version=v1.1 MAGGNLFSRALGYVVNELIVEGLANNRAFQRFAVRTNKTIEGLSSKAKEVREEVSEQWRDVRGHDDHFKR* >Brasy9G211600.1.p pacid=40062117 transcript=Brasy9G211600.1 locus=Brasy9G211600 ID=Brasy9G211600.1.v1.1 annot-version=v1.1 MRIKQEVQGTKAAVRLKTGFERFKTTIYDKNLKLFEPLKTSQTPKYMVFACSDSRVCPTLTLGLQPGEAFTVRNIAGMVPAYREKRHRSIGSAIEFAVVVLKVECIVVVGHSRCAGIRELLSLKADRPHAYHSIEDWVKVGMNAKKKVLRENRLLPFDDQCTVLEKEAVNESLANLKSYPFVKDRLRKGTLSLLGARYDFVNVSLETWNP* >Brasy9G111100.1.p pacid=40062118 transcript=Brasy9G111100.1 locus=Brasy9G111100 ID=Brasy9G111100.1.v1.1 annot-version=v1.1 MKSPLRKFRGLSLPHHHKERKDQGPPPAKLDELVDAAQEMVEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKTALDYDDDDSDLGVHFNGLIPEQGRVLMMLGKTQFELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDQCDQKREEYETVRAAYREKGRSKHSKIETFSTEQLQGPFLSTKRMQHYSYFA* >Brasy9G234100.1.p pacid=40062119 transcript=Brasy9G234100.1 locus=Brasy9G234100 ID=Brasy9G234100.1.v1.1 annot-version=v1.1 MDAGGEVVPPRAAGPQKYKMLAPWRFQRAFVRQQVKNPTAAPRGSRGVPVADSGSKSCGLAAVAGSSGKNVGGRGDCQLKRCTRSSGMKSFDVEKMENGARRCAEKGCSLGKSSNNGGTEVPGLQGLGNAKGGGVAAAGKDSLGSNCIGIVRDKNVQNIGSGGNIDAGDGSAWRPEGIGSKLGAEKRTPEGSVKSSDAGSGLKSNTPSGADCMQEGSKGNCGSTGNGASKESEVAAKGCSLAGPGSDGNDTTCRRGGKSLAPWRFQIGYKRSFSKAFCSNSGSLHTPEYRVQGSSTQCAPATRSSVRCYASAHSSVRVSAMLGFSSVKGEKEIQTARKKRKTEKDDHNQGMPNNEVALARENVMRSLQNFRLIYRKLLDEHVHRSTEAQGLDLQAYKTFRVRFISECNGKKYVGTVPGIHVGDIFHMRVELCVVGLHHPHRLGIDHIKEEDGTCVAVSIVSYAKFSDVKKNNLDAFVYAGSLTATINQKIAGTNLALKKSMDTKTPVRVIHGLSTNLSGNCQKKKVLIYGGLYLVEKYWREKESEDCYVYMFQLRRMAGQKHIDIEEILKSRQAESYDGVIMKDISLGLEKMPISVVNTVSNEYLMPYHYISRLRYPSTYKPAPPAGCACVGGCSDSKKCACAVKNGGEIPFNDKGRILVAKPLVYECGPSCKCPPTCHNRVGQKGMKFRLQVFKTKSMGWGVKTLDFIPCGSFVCEYIGEVLDDEEAQKRTTDEYLFAIGHNYYDEILWEGLPRSIPSLQKGPGKDEEAGFAVDASKMGNFAKFINHSCTPNLFAQNVLYDHDDKSVPHIMFFACENIQPCEELAYHYNYTIDQVHDANGNIKKKKCLCGSVECDGWLY* >Brasy9G214000.1.p pacid=40062120 transcript=Brasy9G214000.1 locus=Brasy9G214000 ID=Brasy9G214000.1.v1.1 annot-version=v1.1 MTDAISAAGSCLQPMCECLNGTGILDAAAQKVAAFLHLKSNWGDLEKAKELLQAVETTVRAEVTAEVDKLNVCDPQVQVWLRCTDELQLEAIDEEYSQLMKYSCLCQCTMRAARRSRIGRRVVKALDEVNKLIEEGRQFNKFGFKPLPKIVSSLSEVKTFGLDTMLSQLHNLFENGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKSHDYQVVIFIEVSNSETLNTVEIQQTISERLNLPWDKDEPIAKRAKFLAKALARKRFVVLLDDVRKKFRLEDVGIPTPVTNSQSKLILTSRDQEVCFQMGAQRSLIKMQILGSDASWKLFLSKLSKEASEAVESLGPQNIIREHAKAIVQSCGGLPLALNVIGTAVAGLEENEWKSAADAIAINMDNFNGVDEMFAQLKYSYDRLTPTQQQCFLYCTLFPEYGSVSKEQLVDYWLAEGLLLNDCEKAYQIIRSLISACLLQPSGSMSTKVKMHHIIRHLGLWLVSKSDTKFLVQPGMALDNAPPAVEWEEATRISIMSNNIRELCFSPKCKNLTTLLMQNNANLNKMSTGFFKTMTSLKVLDLSHTAITSLPECETLVALQHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLTSLLFLGITIYAEDVLKELNTPRPLAKSTHRLNLKYCAEMHSIKISDLNHMEHLEELHVESCYDLNKVTADAELTTSRLVFLTLSVLPSLENIIVVPTPHNFQYIRKLVISKCPKLLNITWVRRLQLLERLDISHCDGMLEIVEDEEVYSEEQNGAQMKIQDRDSEKQEDHAMVQTGHTDFAKLRLIVLTDLKKLRGICKPREFPSLETLRVEDCPNLRSIPLSSAHSYEKLKQICGSFDWWEKLHWENSEEEEEARMESKYFIPI* >Brasy9G214000.2.p pacid=40062121 transcript=Brasy9G214000.2 locus=Brasy9G214000 ID=Brasy9G214000.2.v1.1 annot-version=v1.1 MTDAISAAGSCLQPMCECLNGTGILDAAAQKVAAFLHLKSNWGDLEKAKELLQAVETTVRAEVTAEVDKLNVCDPQVQVWLRCTDELQLEAIDEEYSQLMKYSCLCQCTMRAARRSRIGRRVVKALDEVNKLIEEGRQFNKFGFKPLPKIVSSLSEVKTFGLDTMLSQLHNLFENGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKSHDYQVVIFIEVSNSETLNTVEIQQTISERLNLPWDKDEPIAKRAKFLAKALARKRFVVLLDDVRKKFRLEDVGIPTPVTNSQSKLILTSRDQEVCFQMGAQRSLIKMQILGSDASWKLFLSKLSKEASEAVESLGPQNIIREHAKAIVQSCGGLPLALNVIGTAVAGLEENEWKSAADAIAINMDNFNGVDEMFAQLKYSYDRLTPTQQQCFLYCTLFPEYGSVSKEQLVDYWLAEGLLLNDCEKAYQIIRSLISACLLQPSGSMSTKVKMHHIIRHLGLWLVSKSDTKFLVQPGMALDNAPPAVEWEEATRISIMSNNIRELCFSPKCKNLTTLLMQNNANLNKMSTGFFKTMTSLKVLDLSHTAITSLPECETLVALQHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLTSLLFLGITIYAEDVLKELNTPRPLAKSTHRLNLKYCAEMHSIKISDLNHMEHLEELHVESCYDLNKVTADAELTTSRLVFLTLSVLPSLENIIVVPTPHNFQYIRKLVISKCPKLLNITWVRRLQLLERLDISHCDGMLEIVEDEEVYSEEQNGAQMKIQDRDSEKQEDHAMVQTGHTDFAKLRLIVLTDLKKLRGICKPREFPSLETLRVEDCPNLRSIPLSSAHSYEKLKQICGSFDWWEKLHWENSEEEEEARMESKYFIPI* >Brasy9G214000.4.p pacid=40062122 transcript=Brasy9G214000.4 locus=Brasy9G214000 ID=Brasy9G214000.4.v1.1 annot-version=v1.1 MCECLNGTGILDAAAQKVAAFLHLKSNWGDLEKAKELLQAVETTVRAEVTAEVDKLNVCDPQVQVWLRCTDELQLEAIDEEYSQLMKYSCLCQCTMRAARRSRIGRRVVKALDEVNKLIEEGRQFNKFGFKPLPKIVSSLSEVKTFGLDTMLSQLHNLFENGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKSHDYQVVIFIEVSNSETLNTVEIQQTISERLNLPWDKDEPIAKRAKFLAKALARKRFVVLLDDVRKKFRLEDVGIPTPVTNSQSKLILTSRDQEVCFQMGAQRSLIKMQILGSDASWKLFLSKLSKEASEAVESLGPQNIIREHAKAIVQSCGGLPLALNVIGTAVAGLEENEWKSAADAIAINMDNFNGVDEMFAQLKYSYDRLTPTQQQCFLYCTLFPEYGSVSKEQLVDYWLAEGLLLNDCEKAYQIIRSLISACLLQPSGSMSTKVKMHHIIRHLGLWLVSKSDTKFLVQPGMALDNAPPAVEWEEATRISIMSNNIRELCFSPKCKNLTTLLMQNNANLNKMSTGFFKTMTSLKVLDLSHTAITSLPECETLVALQHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLTSLLFLGITIYAEDVLKELNTPRPLAKSTHRLNLKYCAEMHSIKISDLNHMEHLEELHVESCYDLNKVTADAELTTSRLVFLTLSVLPSLENIIVVPTPHNFQYIRKLVISKCPKLLNITWVRRLQLLERLDISHCDGMLEIVEDEEVYSEEQNGAQMKIQDRDSEKQEDHAMVQTGHTDFAKLRLIVLTDLKKLRGICKPREFPSLETLRVEDCPNLRSIPLSSAHSYEKLKQICGSFDWWEKLHWENSEEEEEARMESKYFIPI* >Brasy9G214000.3.p pacid=40062123 transcript=Brasy9G214000.3 locus=Brasy9G214000 ID=Brasy9G214000.3.v1.1 annot-version=v1.1 MCECLNGTGILDAAAQKVAAFLHLKSNWGDLEKAKELLQAVETTVRAEVTAEVDKLNVCDPQVQVWLRCTDELQLEAIDEEYSQLMKYSCLCQCTMRAARRSRIGRRVVKALDEVNKLIEEGRQFNKFGFKPLPKIVSSLSEVKTFGLDTMLSQLHNLFENGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKSHDYQVVIFIEVSNSETLNTVEIQQTISERLNLPWDKDEPIAKRAKFLAKALARKRFVVLLDDVRKKFRLEDVGIPTPVTNSQSKLILTSRDQEVCFQMGAQRSLIKMQILGSDASWKLFLSKLSKEASEAVESLGPQNIIREHAKAIVQSCGGLPLALNVIGTAVAGLEENEWKSAADAIAINMDNFNGVDEMFAQLKYSYDRLTPTQQQCFLYCTLFPEYGSVSKEQLVDYWLAEGLLLNDCEKAYQIIRSLISACLLQPSGSMSTKVKMHHIIRHLGLWLVSKSDTKFLVQPGMALDNAPPAVEWEEATRISIMSNNIRELCFSPKCKNLTTLLMQNNANLNKMSTGFFKTMTSLKVLDLSHTAITSLPECETLVALQHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLTSLLFLGITIYAEDVLKELNTPRPLAKSTHRLNLKYCAEMHSIKISDLNHMEHLEELHVESCYDLNKVTADAELTTSRLVFLTLSVLPSLENIIVVPTPHNFQYIRKLVISKCPKLLNITWVRRLQLLERLDISHCDGMLEIVEDEEVYSEEQNGAQMKIQDRDSEKQEDHAMVQTGHTDFAKLRLIVLTDLKKLRGICKPREFPSLETLRVEDCPNLRSIPLSSAHSYEKLKQICGSFDWWEKLHWENSEEEEEARMESKYFIPI* >Brasy9G269100.1.p pacid=40062124 transcript=Brasy9G269100.1 locus=Brasy9G269100 ID=Brasy9G269100.1.v1.1 annot-version=v1.1 MCKVSIAAPSLVRWLARRWRRSRSRSRSGATSSVTVPAGHVAVVVGGEEEEEGARRFVVRAADLSHPAFLELLRDAEEEYGFPSGASGPLALPCDEAKLRDVLSQVYDSSGEERLCRCLSGVTVMARRQGESRRPLLQGMAVEKLVL* >Brasy9G119100.1.p pacid=40062125 transcript=Brasy9G119100.1 locus=Brasy9G119100 ID=Brasy9G119100.1.v1.1 annot-version=v1.1 MPPPPPSPSSLRRSSSSSCERLHRRYLSPLQFSRSRPFLLPSPHEQIPPFSFPLHLLNLPQFVTEAKIKVGRPPYLALPGPPPLHLDPPLRCAIGLAEMATQLPCFTQLTPPSPGWRSDGATSGRAFLRSTPFLGHSHFRCGATPRSANSFKKKDSFLDLHPEVTLLRGEQSNDVINSRNASSEVSTLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIEFSMNGVEFWIVNTDVQAIRMSPVHSQNRLQIGQELTRGLGAGGNPDIGMNAAKESCESIQEALYGADMVFVTAGMGGGTGTGGVPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEGRTSKGPQQMQGDNGRRPSSTDGSKVEIPEFLRRRGPSRFPRV* >Brasy9G119100.2.p pacid=40062126 transcript=Brasy9G119100.2 locus=Brasy9G119100 ID=Brasy9G119100.2.v1.1 annot-version=v1.1 MATQLPCFTQLTPPSPGWRSDGATSGRAFLRSTPFLGHSHFRCGATPRSANSFKKKDSFLDLHPEVTLLRGEQSNDVINSRNASSEVSTLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIEFSMNGVEFWIVNTDVQAIRMSPVHSQNRLQIGQELTRGLGAGGNPDIGMNAAKESCESIQEALYGADMVFVTAGMGGGTGTGGVPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEGRTSKGPQQMQGDNGRRPSSTDGSKVEIPEFLRRRGPSRFPRV* >Brasy9G363200.1.p pacid=40062127 transcript=Brasy9G363200.1 locus=Brasy9G363200 ID=Brasy9G363200.1.v1.1 annot-version=v1.1 MSTFHLTVFLFPAWLRERIEKYLRGWLWRSELSCGGGTTTITVRVGRFTNFWNDARLNCQRPKDIAPDLFQIARRKNRSVREARWRFKWISDIAHGINPATLLQFVQLFSRHDLAPALGSPLLFHSSSWIVLSFVKDWWLERITGARFWGKRPAKAFTFIILLSTWEIWKERNRQFFQNKLIQPTAVFILVKEEVALWNQAGTGLGVLLSGSDDVP* >Brasy9G166700.1.p pacid=40062128 transcript=Brasy9G166700.1 locus=Brasy9G166700 ID=Brasy9G166700.1.v1.1 annot-version=v1.1 MAIGGGAAPHHNPPGDVQDSPAGALPDFEQPLLRAHGGLQAGKQAPAAAHDQEAQCPPDTGGATSLRTCFNGLNALSGVGLLSIPYALAEGGWLSLVLLLAVAMVCCYTGQLLQRCMGASPAVRGYPDIGALAFGRKGRFAVSAFMYAELYLVAIGFLILEGDNLDKLFPGTSLSLGGLVVSGKPLFIVLVSVAILPTTWLRSLGVLAYVSASGVLASAVLVLCVLWAAVVDGVGFQGKGTSLLNVRGLPTALGLYTFCYCGHAIFPTLCNSMKEKDKFSRVLVICFVACTLNYGSMAILGYLMYGDDVESQVTLNLPEGKLSSRLAIYTALINPFSKYALMVTPVATAIEERLLAGDKRSMNMLVRTFLVLSTVIVALTVPFFGHLMALVGSLLSVMASMLLPCVCYLKIFGLARCSRAEGALIGAIIVLGSLVAASGTYSSVKKIIDEF* >Brasy9G196600.1.p pacid=40062129 transcript=Brasy9G196600.1 locus=Brasy9G196600 ID=Brasy9G196600.1.v1.1 annot-version=v1.1 MFLHSLLLQNMAKNTPQATEARSKDGRPRGLSKKSPWYQRAVELLLLIWKQPATGAATTTTTKAAAAAGVSSSGTNGKAGGKLRKSSSLNVAASFTRVCLCAPISSYNEQSLYFQAGGDAAAPRRSYSYPRASSASASGCSNSNNSPLVAPPPRAEPQREKTAAGAARPQRPVFRGKSLTDDILMRRFVVDEGATRRRNEMDVIRRRHAAAAKRRRLGPSPLRRMALPEELPESEAEEEEAPRESTPPKRKGNGQSLSSAVALLA* >Brasy9G356300.1.p pacid=40062130 transcript=Brasy9G356300.1 locus=Brasy9G356300 ID=Brasy9G356300.1.v1.1 annot-version=v1.1 MGAAESSSKPVGSVHDFIVKDVKGNDVELSRYKGDVLLIVNVASRCGLTNSNYTELGQLYEKYRDKGRLGLLSCSSWIVFVVVKGILNIEGFKILAFPCNQFAGQEPSSNEQIVEFACNRFKAEFPIFGKVDVNGNNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTCSPFNIENDIKKLLGV* >Brasy9G356300.2.p pacid=40062131 transcript=Brasy9G356300.2 locus=Brasy9G356300 ID=Brasy9G356300.2.v1.1 annot-version=v1.1 MGAAESSSKPVGSVHDFIVKDVKGNDVELSRYKGDVLLIVNVASRCGLTNSNYTELGQLYEKYRDKGFKILAFPCNQFAGQEPSSNEQIVEFACNRFKAEFPIFGKVDVNGNNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTCSPFNIENDIKKLLGV* >Brasy9G356300.3.p pacid=40062132 transcript=Brasy9G356300.3 locus=Brasy9G356300 ID=Brasy9G356300.3.v1.1 annot-version=v1.1 MGAAESSSKPVGSVHDFIVKDVKGNDVELSRYKGDVLLIVNVASRCGLTNSNYTELGQLYEKYRDKGFKILAFPCNQFAGQEPSSNEQIVEFACNRFKAEFPIFGKVDVNGNNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNRYAPTCSPFNIENDIKKLLGV* >Brasy9G135100.1.p pacid=40062133 transcript=Brasy9G135100.1 locus=Brasy9G135100 ID=Brasy9G135100.1.v1.1 annot-version=v1.1 MAAAAAPAGPSLRRPLNPVLATRPTTSPGLRSATKNPANALRCKRLRPPEPRLYANAATKDGYSGAGAAGGVRRKRLAVFVSGGGSNYRAIHEATLSGEVRGDVVALVTDKSGCGGAEHARSNGVPVVVFPKSKSATEGVSVSELLNTMRDLGVDFVLLAGYLKLIPAELVREYPRSILNIHPSLLPAFGGKGYYGLKVHKAAIASGARYSGPTVHFVDEHYDTGKTLAQRVVPVLANDTPELLAARVLHEEHQVYVEAVSALCDDRIVWREDGVPLIRNRSNPDEYI* >Brasy9G027700.1.p pacid=40062134 transcript=Brasy9G027700.1 locus=Brasy9G027700 ID=Brasy9G027700.1.v1.1 annot-version=v1.1 MLAARRHAASTSPLLFLRRLSAQPKPKAPPPPSDEGAGAWARRAAALSLLGLTGAVAASAVSDLSVFLSCSSQAMEKATQNQQIVSAIGMPIARGPWYSASIAVNHVRNSVSCTFPVSGPQGDGLLKLKAVRLGDGSWLSFIQRSVWEILLMDAILDVHTEDGKHQTIRVTIPDNKNTDPLPADCRACKSQPTPTTPPPAQ* >Brasy9G122500.1.p pacid=40062135 transcript=Brasy9G122500.1 locus=Brasy9G122500 ID=Brasy9G122500.1.v1.1 annot-version=v1.1 MRCLSVLALVLAVAAAARLVEGAGECGATPADQMALKLAPCLTAAKDPEASPSKSCCAAVVDIWGHSAECLCAVLLSKTLKRFGVKVEVAITIPKRCNIANRPIGYKCGDYTLPSLQD* >Brasy9G268800.1.p pacid=40062136 transcript=Brasy9G268800.1 locus=Brasy9G268800 ID=Brasy9G268800.1.v1.1 annot-version=v1.1 MATTTMRRKDSYTAAAVVVALLALQASSAAAATCNAGQLAACAAAVMTGAKPSAACCSNLRAQQRCFCQYAKNPVYGRYIKSPYVRQTVATCGIALPRC* >Brasy9G261600.1.p pacid=40062137 transcript=Brasy9G261600.1 locus=Brasy9G261600 ID=Brasy9G261600.1.v1.1 annot-version=v1.1 MRFRAKLSSSRALFSPLARFLGLQNFAAFARSFFPPGAVFRPPKISSCLHWSSRRSPFPLLLRSGRGFQLAPAAFFPNAHTHRSIRSVFSDPCPLCRSFLVLISSRQQVRTLQLNTRLTRNQLISR* >Brasy9G192300.1.p pacid=40062138 transcript=Brasy9G192300.1 locus=Brasy9G192300 ID=Brasy9G192300.1.v1.1 annot-version=v1.1 MALLLRRGAALAARSLRVAAASSASTSVHRLPAAGSLGAARELPSTQIFFFEARRGFAKGKKSKNDSRADTVEAVPDIGPTVKSTATAQMETAVVALSRELSKLRTGRASPGMLDHIMVETAGAKVGLNRIAVVSVLDAHTLSVMPYDPSSMKSIENAIVSSPLGINPTPDGNRIIAAIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSSSSMPKDDIKRLEKEVEEMTKKFIKSADDTCKAKEKEISGN* >Brasy9G255200.1.p pacid=40062139 transcript=Brasy9G255200.1 locus=Brasy9G255200 ID=Brasy9G255200.1.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRFHSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYGSELVHGWDIKPTRFQLSTSDGQHTTSDYYLDGPGSWILYHVGDFVISSSDESTKLKFSMMQIDCTHTKGGLCVDSVFIYPKDYRQEEWIICK* >Brasy9G255200.6.p pacid=40062140 transcript=Brasy9G255200.6 locus=Brasy9G255200 ID=Brasy9G255200.6.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRFHSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYGSELVHGWDIKPTRFQLSTSDGQHTTSDYYLDGPGSWILYHVGDFVISSSDESTKLKFSMMQIDCTHTKGGLCVDSVFIYPKDYRQEEWIICK* >Brasy9G255200.7.p pacid=40062141 transcript=Brasy9G255200.7 locus=Brasy9G255200 ID=Brasy9G255200.7.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRFHSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYGSELVHGWDIKPTRFQLSTSDGQHTTSDYYLDGPGSWILYHVGDFVISSSDESTKLKFSMMQIDCTHTKGGLCVDSVFIYPKDYRQEEWIICK* >Brasy9G255200.2.p pacid=40062142 transcript=Brasy9G255200.2 locus=Brasy9G255200 ID=Brasy9G255200.2.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRFHSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYGSELVHGWDIKPTRFQLSTSDGQHTTSDYYLDGPGSWILYHVGDFVISSSDESTKLKFSMMQIDCTHTKGGLCVDSVFIYPKDYRQEEWIICK* >Brasy9G255200.4.p pacid=40062143 transcript=Brasy9G255200.4 locus=Brasy9G255200 ID=Brasy9G255200.4.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRFHSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYGSELVHGWDIKPTRFQLSTSDD* >Brasy9G255200.3.p pacid=40062144 transcript=Brasy9G255200.3 locus=Brasy9G255200 ID=Brasy9G255200.3.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRNKNRHLFALH* >Brasy9G255200.5.p pacid=40062145 transcript=Brasy9G255200.5 locus=Brasy9G255200 ID=Brasy9G255200.5.v1.1 annot-version=v1.1 MGAGASSMMGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDCGNDGSTEGNGRCCPSAAIKKGIYARLCRPTPFDGGTKEFWIEKGKGGLCMSISSKAMAITGIDDRRYWSHLSTEESRNKNRHLFALH* >Brasy9G049300.1.p pacid=40062146 transcript=Brasy9G049300.1 locus=Brasy9G049300 ID=Brasy9G049300.1.v1.1 annot-version=v1.1 MADVIDPGSEAPRARRPPPPPPDSPEDRSLPLPPPPPPGGPPPTRKRSRSPPRPNLPPPPPLGSSRPERYRDRDRDNHRGGRGGGSTSPPPRRRHSPSRRSPSPPFKRSRRDDGYDRRGRGSPPRYDRGGGRGGYGNDRYHGRHPNRASDWPDSGFGASNDGPGITREGLMTYKQFIQVLEDDISPAEAESRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVVAKDFFLDLQNGTLDLGPGITAAAPSKSENGSDGNSEDDGDGDKRRKHIRGSSKEKDPLSAAPKAHPVSSEPRRIQTDIEQTLGLVRRLDTEKGILGNILSSGDHEKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEANEAKGPRHVRADSKTSSTTNGNAADWEKKLDTFWQERLSGQDPMVILTAKDKIDAAAAEVLEPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVYKHLRLKHPELVLEVTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRRGMDSRLRYDRSNRRDYDRADRDGGSRDGPDEQMFDAFRGRGSNAPFGAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPFEPNGAPHGNSQVLGQMMGGGPAPIIAMPPSFHHDPRRLRSYNDLDAPDEEVTVLDYRSL* >Brasy9G124000.1.p pacid=40062147 transcript=Brasy9G124000.1 locus=Brasy9G124000 ID=Brasy9G124000.1.v1.1 annot-version=v1.1 MLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVELRGAGLSTRGSELAATSNKPDTSPNLGLEKNSTQNVNVVAPAKDMSTYEPQNSEVPVLPDRSMVETSTSEEPQLVTKLTNALEQLGVTFSGYVKDSRLKNITDSFFDRVTELVPDASLTSSLEEVADKIVGLIELPQTSAISDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDFQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSATKDGKLLAIGHSMGGILLYAMVSKCGFEGADPELAAIVTLASSVDYTTSNSSLKMLVPLADPAEMLRVPAVPLGTLLSTTYPISTRAPYILSLLRSQISSKDMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLRNRTGTLFFKEHLRKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGQPEGPHYAHYDLVGGRKAIHEVYPCIIEFLSEHDKVSS* >Brasy9G124000.2.p pacid=40062148 transcript=Brasy9G124000.2 locus=Brasy9G124000 ID=Brasy9G124000.2.v1.1 annot-version=v1.1 MSTYEPQNSEVPVLPDRSMVETSTSEEPQLVTKLTNALEQLGVTFSGYVKDSRLKNITDSFFDRVTELVPDASLTSSLEEVADKIVGLIELPQTSAISDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDFQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSATKDGKLLAIGHSMGGILLYAMVSKCGFEGADPELAAIVTLASSVDYTTSNSSLKMLVPLADPAEMLRVPAVPLGTLLSTTYPISTRAPYILSLLRSQISSKDMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLRNRTGTLFFKEHLRKIKVPVLALAGDEDLICPPEAVYETVKLIPQRLVTYKVFGQPEGPHYAHYDLVGGRKAIHEVYPCIIEFLSEHDKVSS* >Brasy9G124000.3.p pacid=40062149 transcript=Brasy9G124000.3 locus=Brasy9G124000 ID=Brasy9G124000.3.v1.1 annot-version=v1.1 MLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVELRGAGLSTRGSELAATSNKPDTSPNLGLEKNSTQNVNVVAPAKDMSTYEPQNSEVPVLPDRSMVETSTSEEPQLVTKLTNALEQLGVTFSGYVKDSRLKNITDSFFDRVTELVPDASLTSSLEEVADKIVGLIELPQTSAISDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDFQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSATKDGKLLAIGHSMGGILLYAMVSKCGFEGADPELAAIVTLASSVDYTTSNSSLKMLVPLADPAEMLRVPAVPLGTLLSTTYPISTRAPYILSLLRSQISSKDMMDPELLSKLILNNF* >Brasy9G124000.4.p pacid=40062150 transcript=Brasy9G124000.4 locus=Brasy9G124000 ID=Brasy9G124000.4.v1.1 annot-version=v1.1 MSTYEPQNSEVPVLPDRSMVETSTSEEPQLVTKLTNALEQLGVTFSGYVKDSRLKNITDSFFDRVTELVPDASLTSSLEEVADKIVGLIELPQTSAISDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDFQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSATKDGKLLAIGHSMGGILLYAMVSKCGFEGADPELAAIVTLASSVDYTTSNSSLKMLVPLADPAEMLRVPAVPLGTLLSTTYPISTRAPYILSLLRSQISSKDMMDPELLSKLILNNF* >Brasy9G190700.1.p pacid=40062151 transcript=Brasy9G190700.1 locus=Brasy9G190700 ID=Brasy9G190700.1.v1.1 annot-version=v1.1 MFYSKALLSKKGALGTVWVAAVRDVAALTRDQVIRTDVAASVDKILSDVETPYRILGLLLLGVVRIYSKKVEYLCRECEQVKLLQSSALTETTENRVGRRVKKGVSARLVVDQNQQDIPKVKRPPRSVRTSRAENGVTSQTLVEVREAHDAPVDLPAIFIIPKRFELDSFDLQIAENREDDNDDHHQLPRQDTLMEDEQRCVSYLYESYQRMACSFADLDSASFMPAHITLGTETISVIDEVSNLLYSPNREDELENDNQNAEPACNTPVKDVLPPEVMNMIAEGNNLSEKSKSVKKPTRELNTDENVNSASFVSLPESQEIQRSANVVENATCPDLDANSPLIEEPEHGLLLEKANTTLSVEIPCHDFEEQESLAPPTLRCNTRTRSINELSPSTPEPMTEKATGLPEKFMVTTPAKNEKRQVTRKRRRGLYKKDNIYTPTNRKHKRQVKKGAEVLYDEDIAEVLYDEDIVLPNDMMRQAINNASDLVRKRRARHSYLDAWKVAKISSLPDTFMDPLFPCSIGVHFSYSTTAGAPESSCVESVKEKKCLSNEPAESNNSRKDAQNAEMEVVPDEPRNRKLDELTNSIKTPVGCYTQREQIQEDVCERYDYSANEKSTQVEGDEYSSTGLLEKRLYESNIHSPLFNGPLTADIDYIDEDIPMGEEHTRDEGLLLSTRTRTVARCLHQVFLDKKCQQQGNSPVTLSQALEGRKRKTTARFFFETLVLKSREFIEVNQDSPYEDIVISATPQLELLFQNPE* >Brasy9G077500.1.p pacid=40062152 transcript=Brasy9G077500.1 locus=Brasy9G077500 ID=Brasy9G077500.1.v1.1 annot-version=v1.1 MFYSHQLLARKAPLGQIWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLVDINEAGRIRPVVDHTVLPKGKAQAKYKAVTLPENMMDMEVEQPMLFSHTNTARFRGMSLDDLGEQYFNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNHFERFDMADDDTTVNITPDEHPQAPSTLAPSPPRQEDPPQQQEQYYAAPSPNQEEPQQGDPSKDQEEQKMKERQPNRPSKRKARGKGPQVTMDNQTMIPGNIYQTWLKDPLSLISKRRRVSSKINIIQAIKIGDIMELPPVALISYSEKSPLELYYPKQLMQLWKECTEVKSPKASSPGGKSPSSQEQQPRNSPPQPQGEYQGEMGAQPMDFTYGIEKIRGNKSGEYERVDDALHGDHSVTPGSPGLSRRSASGSGGSGRGAFVPLDPEIQFHSGGGRSKRRQHSSGRSLGNLDPVEEESPLEQEVKGFKLRRLSDIGPTPELLEETEPTQTPYQKQPSPTDQVTESIHSYLKLHFDAPDAPLSESLSQLTYGMTTARAARLFYQMCVLATLDRIKVTQVEPYGAILISRGLNM* >Brasy9G000300.1.p pacid=40062153 transcript=Brasy9G000300.1 locus=Brasy9G000300 ID=Brasy9G000300.1.v1.1 annot-version=v1.1 MIKFAKGPTAAPTSRPSSPAPPPSRHAISPQHRRPPPFLAPPSSLPQICGAMVWAWWLRPRGSAWWMKLRGKGRGQGRVCRPIGGLRQGRADPAAATARPGETGRSHLVVLHD* >Brasy9G021400.1.p pacid=40062154 transcript=Brasy9G021400.1 locus=Brasy9G021400 ID=Brasy9G021400.1.v1.1 annot-version=v1.1 MAGWPIDLWNEWAIQILVLLSFMLQVVLFVFAGTRRREASHVLRLLLWLAYQLADSTAIYALGLLSLNSFQREHQLVPFWAPFLLLHLGGPDNITAYSLEDSKLWKRHLLTVFVQVLGAAYVLYKHIAGRGNLVLAAIFMFVVGVVKYAERIWALKCSTMDSIRSSLNKSRGRNDHYPIPKGVKGKFHEQVLLELAHSLFHFCKLKLVDSSVNMDHPNLVRSHLDWNDIWKLIEMELSLLYDILYTKAAVVHTWYGYCIRFMTPFATAGALLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRCSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGTIGQYNLLHLCACGSSDPLGRLAEILGLKETWDKFRYSRTRVVSEVVKKLVFDLHDTLMSRSRHVNALGLLRAKWGKWALAKNELYDEVFKDCDRLLGVEFQEGILIWHIATDVFLANRENKEDTAAAHDVVAAIKALSNYMMFLLVRRPDLLPGLVLNRIYQLTCENLVEIWHGSLQREKLAVILYQEKKTLDFNSSEFRVRYGVEVAEKLIQQEKAGRTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPQNRASSR* >Brasy9G012700.1.p pacid=40062155 transcript=Brasy9G012700.1 locus=Brasy9G012700 ID=Brasy9G012700.1.v1.1 annot-version=v1.1 MAIKVWTRSDRLGNMRAPPLPNPVACLGLGASRRRAAPNQPPRSVSRASTAAAGISLPLALASHALHGGVFARAQGWAVRVMPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLMLQGACGSCPSSTMTLKTGIETRLRDKIPEILEVEQIRDTETGLDLNLENVDKVLDEIRPYLVGTGGGSLELVQIDGSVVKIQISGPAASVMTVRVAVAQKLREKIPSILAVQLTE* >Brasy9G123300.1.p pacid=40062156 transcript=Brasy9G123300.1 locus=Brasy9G123300 ID=Brasy9G123300.1.v1.1 annot-version=v1.1 MAAQSQGREGGSKPWRGDGGGRWSQGRGPSRGVETAGAREAATADGGRPRAGWQRGDGGGSRGRTAAGLVQGGGVETAGLARARRQTAAGRDRNSWSRTGLGSGGGSRVGGSAAAAVPRRVALTAAADRRWRSGALTGERARRAEAGQRRAAGGGGGGFRGREGGGGACEAGCVAGQRRATGEGGGGGRRGREGGGRVGGWMGEEKKMVRAERFSCGWNFSLNR* >Brasy9G287200.1.p pacid=40062157 transcript=Brasy9G287200.1 locus=Brasy9G287200 ID=Brasy9G287200.1.v1.1 annot-version=v1.1 MGASSPAMWVLVVLFILAASGPAAVVALPRFAEAPEYRNGDGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAAADAGAPEVGELRGALAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTDAFWSDPDLGARVFAGRRRAPCYFNTGVMVIDLRRWRSGNYRHRIEQWMELQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYVDEGDASSASAPSRTSLSSSALPAAVFSW* >Brasy9G174300.1.p pacid=40062158 transcript=Brasy9G174300.1 locus=Brasy9G174300 ID=Brasy9G174300.1.v1.1 annot-version=v1.1 MHRLPATPSRAAAVRPHISPAPPYLGLRRQHGLVSMVNRGSEFEPRFRSTDQGRGLARARANPLSISTNGVVMKPFGVGAKISTKRADGNTRVEELNLRSNQTEGLVETDESVTSPKRSAKIHDFCFGIPFGGLLFCMGLLGYFFSRSTISLVLGVAPGLATLFLGILSLNFWKSGRSSFLFILCQAAISAVLAWKYSHAYLLTNRILPWGFYASLSTAMACFYAYVLLAGGNPPPKKLAGAPLS* >Brasy9G294600.1.p pacid=40062159 transcript=Brasy9G294600.1 locus=Brasy9G294600 ID=Brasy9G294600.1.v1.1 annot-version=v1.1 MEAAAASTTNFYVPEDLVSYRSVRGLVNVDTLACPPAEEFRVLRAGGTYVIKEAGDTDVTLLDRSHLRAGDIVVSASDVEGGQIGVVTGVTTVVDLALLDEDDEEAEAEAVVTGVSLSAGLLRRVRELSPGDYVVYGPRLGRVVKVSVDVDVAFDDGAVCRVTDAESKDQPLVSAKTARASRIQANSRFYPGVRLIIANAASNFFRGARWLKGHRQRLEGGVGTVGMVEMTGVLVHWIASAHCGDRCFLRESARAQVESSSSSRSGGDPPTATLVGKKKRKQRLWKPVVTMTVSNTHTTADVLWQDGTRQHGAPSTSLAPFSYLNEHEVFPGEYIVDAHVTGDDYDVVGVATRRIGVVKSVNFKDHTVNVSWFKAASLPEEANRWEAECDAMVSVYDLDTDPDHCAFYGDVVVRLQPNGSQSTPHELAELEPRAPASLSLGYYPMRSVWSTRNTTKELGAEMGDDDLDTTRTSPLGGFIWYMMRAVVQVLAEMGDWVEEEEDDDNDDDDDDLDTTRTSWLGGFIWYMMRAVVQVLARRKWYPINWSLPLASDLPETTQNGEVPEHVTMGTDQDPSITGAATEAIFALVTRSDASVDEDDSVEDVVKAREANGDEDPSNFPHFDVVQSPPDHHYLDSRDQDRMDLLRAAMVGASGTPYEDGLFFFDLHLPPSFPDVPPQVYYHSFGLQLNPNLYESGTVCLSLLGTFDGEGRHRAVVSGHVEPAPGPRLHPGPRPQRRLAQPFYNEDGYEDLVGKPEGRRNAPPYSEMAFLLALRTMLHLIRRPPQGFERFVKDQFRRQGNHVLGTCEAYLRGCVPADVQLPCSPGFRITFARLGVSDRGLLTTAQQSLV* >Brasy9G229100.1.p pacid=40062160 transcript=Brasy9G229100.1 locus=Brasy9G229100 ID=Brasy9G229100.1.v1.1 annot-version=v1.1 MCTWVSRAMHQRNQLSFAAVVAIVLLLGATALTEAGTGTFIYAGCSPSKYEPNSAFEGNLKSLLTSITNSAPNGLYNSFTAGNNGTTGGAGAAAYGLYQCRGDLGNDDCAACVREALAQLGQVCPGAYAASLQLEGCYARYDSSPFIGQPDTAMVYRKCSTSTSADGEFLRSRDAVLGALQVAGANGYRASSSGSVQGVSQCLGDLAAADCAACLAQAVGQLKGGACGTALAADVHLAQCYVRYWASGYYFRSSQDYSGDDVGRTVAILVGILAGLALIVVFISFLRKSC* >Brasy9G006000.1.p pacid=40062161 transcript=Brasy9G006000.1 locus=Brasy9G006000 ID=Brasy9G006000.1.v1.1 annot-version=v1.1 MAGVLGALRPYVKPCIASSLISVTVADRYAYLTPVHGASMHPTFEGKTGEYALVERSCLQRHQFSRGDVVVFTSPRDHRSKVVKRLIGLPGDWIQVPETADIRQIPQGHCWVEGDNGSVSFDSRDYGPVPLGLMRGRATHVVWPPHRIGRIDRKIPEGRVMPQQHF* >Brasy9G151500.1.p pacid=40062162 transcript=Brasy9G151500.1 locus=Brasy9G151500 ID=Brasy9G151500.1.v1.1 annot-version=v1.1 MRPGGPPNARPQQPGTPGRARRRPDLTLPLPQRDLTSLAVPLPLPPPPSSAPSSASSSGSSLSSMGAPTPPNSAGSAPPPPPPLAELERVRRIGSGAGGTVWMVRHRPTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAIVRCHGMYEQAGELQILLEFMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMCAICYSDSPAPPPIASPEFKSFISCCLQKNPARRPSAAQLLQHRFIAGPQPQVLAAPPS* >Brasy9G235400.1.p pacid=40062163 transcript=Brasy9G235400.1 locus=Brasy9G235400 ID=Brasy9G235400.1.v1.1 annot-version=v1.1 MIIEVLSRIYSRPTFEQSKDMILLCFGSRCAGGTWKLQLGICLQNWAVHKHENPMMAAKC* >Brasy9G020800.1.p pacid=40062164 transcript=Brasy9G020800.1 locus=Brasy9G020800 ID=Brasy9G020800.1.v1.1 annot-version=v1.1 MAAATTRAAAHCLLGLGSRRRAPLPVATHRRRAVPVRMASSAPQLSATVAVPGAASSGPVRVVAAPGLPEADFRKAVDSALFRKWLENLQTEKGVLAYGKLNLREILIQGVDMFGERVGFLKFKADIVDEETKAKVPGIVFARGPAVAVLILLESKGQTYAVLTEQARVPIGKFVLELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLDPATGCRMFPSPGGCDEEIGLFLYRGHVDAETIKALQGKETGLRDHGELIKLHVVPYDQLWRSTADAKALSAIALYEMAKKEGILSSSPRGSLANL* >Brasy9G350300.1.p pacid=40062165 transcript=Brasy9G350300.1 locus=Brasy9G350300 ID=Brasy9G350300.1.v1.1 annot-version=v1.1 MDSFFADGGSDELQRTASHPFDDDSAPDASAAAGGEAAAYSSFAAAGYSSFIDPEADEFQGEDVDEEIDAESDGGGVPVPVRHVSGSYSPSPFSPDDTDDFGGPVLPPPAEMGREEGAALREWRRRNALELEEKERKEKELRAQIIAQAEEFKIAFYEKRIQTCETNKVHSREREKVFVESQEKFHASADKQYWKSISELIPHEIATIEKRGKKDKDKKPSIAVIQGPKPGKPTDLSRMRQVLVKLKHAPPPHMMQPPPAPAAKEGTKDAAKDGAPAPANGTKQPAESKETPTNGPVEVEKEQPAASE* >Brasy9G154000.1.p pacid=40062166 transcript=Brasy9G154000.1 locus=Brasy9G154000 ID=Brasy9G154000.1.v1.1 annot-version=v1.1 MVCLGIVECQHVHRSALDYFLAILVVVAAIVAARLLVCAVAHCLGDYFGGASHHHHHHHSPTSTDVDDDTVIEHRRADWQERQPPEAVEVVPVAETNDDLQSFWTLTMSESACRTATSSTNASRSPCTSTKCTTWRPSPSTELSPDSPRTLPSMSLATTASPPPSDAASSIPS* >Brasy9G200600.1.p pacid=40062167 transcript=Brasy9G200600.1 locus=Brasy9G200600 ID=Brasy9G200600.1.v1.1 annot-version=v1.1 MERAARACLAHLVYKISTRPMSYKRLIASSWLLLFPCSDEVMSALLLRLHGLHTVQPEQQISTFTCKWSRRDTGCRQEREASLISMMVDAHHGHTDPLLPYLILPYHIIIIFRSTPKRP* >Brasy9G221400.1.p pacid=40062168 transcript=Brasy9G221400.1 locus=Brasy9G221400 ID=Brasy9G221400.1.v1.1 annot-version=v1.1 MEKASREHVIGIPVSSRAFGIEEPEFTSGESTYHGGAKRSATARTSYKSGRTTGDKFARGIKEHVTLGPKLYETVKGKLSLGARILQAGGMEKIFRRWFSVEEGERLLKASQCYLSTTAGPIAGMLFISTEKVAFRSDRSLALTSPKGDTVRVPYKVAIPLRRLKTAKPSENKHRPEQKYVQVVTDDGFEFWFLGFLSYQVSLAQLEQAIAQSQL* >Brasy9G286900.1.p pacid=40062169 transcript=Brasy9G286900.1 locus=Brasy9G286900 ID=Brasy9G286900.1.v1.1 annot-version=v1.1 MATTSSSSPTAEAPAASASVETAAAPARPEPLPVAFAAKLRDHAYSRKQKSLGLLCSNFVAMYDRDGVECIGLDDAARRLGVERRRIYDIVNVLESIGILQRKAKNRYCWIGFGGVPMALRELKERALRERSGLAPLPVEEPSATNMSDDEDDDKLGNPEGDTENEKPSQILDSLSDKPGAPICRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTITLEEASKFLLGEGHEESNMRTKVRRLYDIANVLSSLNFIEKTQADARKPAFRWLGMAGKSKAENGVTVAVDPPRKTMSNKRAFGTELTNIGINRSKVDSTVQKKAKLARGGGDILKNDKIEVQSQVRLVKKSDFIYGPFHPTSARKQEPNGCHNAGQRESAQDWESLADSFRPQYQNQALGDLFSHYVEAWKSWHSEFAQGSRIMQQQLGKSVIDQLL* >Brasy9G286900.2.p pacid=40062170 transcript=Brasy9G286900.2 locus=Brasy9G286900 ID=Brasy9G286900.2.v1.1 annot-version=v1.1 MYDRDGVECIGLDDAARRLGVERRRIYDIVNVLESIGILQRKAKNRYCWIGFGGVPMALRELKERALRERSGLAPLPVEEPSATNMSDDEDDDKLGNPEGDTENEKPSQILDSLSDKPGAPICRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTITLEEASKFLLGEGHEESNMRTKVRRLYDIANVLSSLNFIEKTQADARKPAFRWLGMAGKSKAENGVTVAVDPPRKTMSNKRAFGTELTNIGINRSKVDSTVQKKAKLARGGGDILKNDKIEVQSQVRLVKKSDFIYGPFHPTSARKQEPNGCHNAGQRESAQDWESLADSFRPQYQNQALGDLFSHYVEAWKSWHSEFAQGSRIMQQQLGKSVIDQLL* >Brasy9G305400.1.p pacid=40062171 transcript=Brasy9G305400.1 locus=Brasy9G305400 ID=Brasy9G305400.1.v1.1 annot-version=v1.1 MSSRMAGATLLRHLGPRLFAAAEPASGLAARSIMPAAARVFPARMASTVAAPDVKEGAGDGATGKTPEQSKTKAVVSYWGIEPRKLVKADGTEWPWFCFRPWDTYTADTSIDMQKHHEPKSLPDKIAYYTVKTLGVPKDLFFQRRHASHALLLETVAAVPPMVGGMLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFLEVTQPEWWERALVMAVQGVFFNAYFVGYLVSPKFAHRFVGYLEEEAVKSYTEYLKDLEAGKIENTPAPAIAIDYWRLPADATLKDVVAVVRADEAHHRDANHYASDIHYQGLTLKETPAPIGYH* >Brasy9G317700.1.p pacid=40062172 transcript=Brasy9G317700.1 locus=Brasy9G317700 ID=Brasy9G317700.1.v1.1 annot-version=v1.1 MGMSPLPVFVLLSLICFCKSDDRITPAKPLSPGDKLISQGGVFALGFFSLTNSTADLYIGIWYNKIPALTYVWVANRDNPITRTSPGNLVLTDNSDLVLSDSKGRSLWTTMNNITSGTAGTAAILLDSGNLVVRLPNGTDIWQSFQHPTDTILPNMPLPLSKIDDLYTRLIAWRGPNDPATSDYSMGGDSSSDLQVVIWNGTRPYWRRAAWDGALVNALYQSSTGFIMTQTIVDRGGEFYMTFTVSDGSPSMRMMLDYTGMFKFLAWNSNSLSWEVFIERPNPSCERYAFCGPFGYCDATGTVPKCNCLSGFEPDGVNFSRGCMRKEDLKCGNGDSFLTLRGMKTPDNFLYVRNRSFDQCAAECSRNCLCTAYAYANLKNGSTTVDQSRCLIWMGELVDTAKFQDGSGENLYLRLPSSTVPKESNVLKIVLPVMVSLLILLCVCLAWICKSRGKRRIKEIQNKHTRQHLKDSKSSELENADIELPPICFKDIVTATDNFSDYKLLGKGGFGKVYKGLLGDGKEVAVKRLSKGSGQGANEFRNEVVLIAKLQHRNLVRLIGYCTHEDEKLLVYEYLPNKSLDAFLFDSTRNFVLDWPTRFKVIKGIARGLLYLHQDSRLTIIHRDLKPSNILLDAQMNPKISDFGMARIFGGNEQQANTIRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIAWSLWKDGNARELVDSSILENCPLHGVLRCIHIGLLCVQDHPNARPLMSSTVFMLENETAQLPTPKEPVYFRQRNYETEDQRDNLGISVNNMTITIPEGR* >Brasy9G053000.1.p pacid=40062173 transcript=Brasy9G053000.1 locus=Brasy9G053000 ID=Brasy9G053000.1.v1.1 annot-version=v1.1 MANLRLALLAAAALLLSPAFATTQLRTDYYATICPNLEAIVRGSVKYSMGQSPISAPAALRLFFHDCAVRGCDASIMIVNSNGDDEWRHPDDQSLKQEGFQTILDAKAAVDSDPQCRHKVSCADILALAARESVSQSGGPSYQVELGRYDGKISTKNSVVLPHADFNLDQLNGFFSGLGLSQTDMIALSGGHTMGAADCSFFQYRIGTDPSMDPSFAAQLGGTCVNSQSFAFLDGSTPVKFDNAYYKNLQAGRGLLGSDQVLHADVRSRGTVDYYAYDQGTFFYDFANAMTRLGRVGVKTAADGEIRRDCRFPN* >Brasy9G029900.1.p pacid=40062174 transcript=Brasy9G029900.1 locus=Brasy9G029900 ID=Brasy9G029900.1.v1.1 annot-version=v1.1 MHYWKILLCRRASGKGTNTSGKGFAGGFSSGPSAKGIGMESSRIKKKQKKSGCCFTPPDAVAASPPCPTLPLLPRRLLCAAATAPCSSPRRALPLLAGAAAARTGSRRSCPPAALRRRAPSVAEGESGQAASRTRSTPPHPAPEAAALACPALSAARRCCLALLACHGAAAHCGTCPAGRSRVTHRERWRESARRRARHLLPRAPRPAAAAPQPDAAVHSRRSASRHRSPRLAAAPRPTAALVPRRGHAPAVGKKKGERRPGREEEWRERGGVGGRRERRWREVRGGRKKGEALERGALEVAGGGLG* >Brasy9G046700.1.p pacid=40062175 transcript=Brasy9G046700.1 locus=Brasy9G046700 ID=Brasy9G046700.1.v1.1 annot-version=v1.1 MGDDAAVPAAPAKLEKSYFDVLGICCPSEVPLVEKLLEPLAGVHKVTVVVPSRTVIVVHDAATISQSQIVKALNQARLEASVRAYGGGGGAEKISNKFPSPYVLVCGALLVVSLFEHFWPPLKWFALAGAAAGLPPIVLRSVAAARRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASRKATAGMSSLMSMAPQNAVLAETGQVVATQDVKVNTVISVKAGEVVPIDGIVVDGRSEVDESTLTGESFPVSKQADSQVWAGTLNIDGYIAVRTTAMADNSAVAKMAKLVEEAQNSRSNTQRLIDTCAKYYTPAVVFMSGAVALIPVIVRAHNLKHWFQLALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFRTAGERVPKKQLLYWVSSIESRSSHPMASALVDHAQSNSVEPKSENVTEFQIYPGEGIYGEIDGEGVYVGNKRILSRASCQTVPDTKDMKGVTVGYVVCSKELIGVFTLSDSCRIGAAEAIKELRSLGINSVMLTGDSTAAATYAQNQLGNLLAEVHSELLPEDKVRIVDELKAKDGPTLMIGDGMNDAPALTKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIKLARRTHRTIIVNIVFSVATKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKGSGKVAKKCCAPSHHGSHSKKHGTSHHCSDGPCRSTGSGVDSSAGKHACHDHHHEHNHHKEPRNLHSADRHGCHDHSHGHNHCKELSNQMVTSVHASHGHDHTHNICKEPSNPHSSNKHDCHDHEHGHREEPSGSHSTKERACHGHAHGHCEETKSSHSTDEHACHDHKHCEEPISLHCANEHVCHDHDQDDHQHHCCDEQQPLHTADTHSCHDHKHEDSSAGSIQELSISIEDASHDHHEKQSQCGHHSEEPKEGDCGHHQKAKDCAPAPTDCSSINCCSTVSIKGCEMKGLNPKTMFSGLLLLHEDGRPKERTLKFIQFVEPVNDDAVVARGFSISAANSLGCSSPGHGSEIAGWAKAGRRVHCGSNVSTGRSHRLEIIRVRWSSAKQSFRVRFS* >Brasy9G046700.3.p pacid=40062176 transcript=Brasy9G046700.3 locus=Brasy9G046700 ID=Brasy9G046700.3.v1.1 annot-version=v1.1 MGDDAAVPAAPAKLEKSYFDVLGICCPSEVPLVEKLLEPLAGVHKVTVVVPSRTVIVVHDAATISQSQIVKALNQARLEASVRAYGGGGGAEKISNKFPSPYVLVCGALLVVSLFEHFWPPLKWFALAGAAAGLPPIVLRSVAAARRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASRKATAGMSSLMSMAPQNAVLAETGQVVATQDVKVNTVISVKAGEVVPIDGIVVDGRSEVDESTLTGESFPVSKQADSQVWAGTLNIDGYIAVRTTAMADNSAVAKMAKLVEEAQNSRSNTQRLIDTCAKYYTPAVVFMSGAVALIPVIVRAHNLKHWFQLALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFRTAGERVPKKQLLYWVSSIESRSSHPMASALVDHAQSNSVEPKSENVTEFQIYPGEGIYGEIDGEGVYVGNKRILSRASCQTVPDTKDMKGVTVGYVVCSKELIGVFTLSDSCRIGAAEAIKELRSLGINSVMLTGDSTAAATYAQNQLGNLLAEVHSELLPEDKVRIVDELKAKDGPTLMIGDGMNDAPALTKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIKLARRTHRTIIVNIVFSVATKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKGSGKVAKKCCAPSHHGSHSKKHGTSHHCSDGPCRSTGSGVDSSAGKHACHDHHHEHNHHKEPRNLHSADRHGCHDHSHGHNHCKELSNQMVTSVHASHGHDHTHNICKEPSNPHSSNKHDCHDHEHGHREEPSGSHSTKERACHGHAHGHCEETKSSHSTDEHACHDHKHCEEPISLHCANEHVCHDHDQDDHQHHCCDEQQPLHTADTHSCHDHKHEDSSAGSIQELSISIEDASHDHHEKQSQCGHHSEEPKEGDCGHHQKAKDCAPAPTDCSSINCCSTVSIKGCEMKGKDICSSWQVGGTGTGSRCCRTRVRNCCSHNMLKLPEIVVE* >Brasy9G046700.2.p pacid=40062177 transcript=Brasy9G046700.2 locus=Brasy9G046700 ID=Brasy9G046700.2.v1.1 annot-version=v1.1 MGDDAAVPAAPAKLEKSYFDVLGICCPSEVPLVEKLLEPLAGVHKVTVVVPSRTVIVVHDAATISQSQIVKALNQARLEASVRAYGGGGGAEKISNKFPSPYVLVCGALLVVSLFEHFWPPLKWFALAGAAAGLPPIVLRSVAAARRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASRKATAGMSSLMSMAPQNAVLAETGQVVATQDVKVNTVISVKAGEVVPIDGIVVDGRSEVDESTLTGESFPVSKQADSQVWAGTLNIDGYIAVRTTAMADNSAVAKMAKLVEEAQNSRSNTQRLIDTCAKYYTPAVVFMSGAVALIPVIVRAHNLKHWFQLALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFRTAGERVPKKQLLYWVSSIESRSSHPMASALVDHAQSNSVEPKSENVTEFQIYPGEGIYGEIDGEGVYVGNKRILSRASCQTVPDTKDMKGVTVGYVVCSKELIGVFTLSDSCRIGAAEAIKELRSLGINSVMLTGDSTAAATYAQNQLGNLLAEVHSELLPEDKVRIVDELKAKDGPTLMIGDGMNDAPALTKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIKLARRTHRTIIVNIVFSVATKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKGSGKVAKKCCAPSHHGSHSKKHGTSHHCSDGPCRSTGSGVDSSAGKHACHDHHHEHNHHKEPRNLHSADRHGCHDHSHGHNHCKELSNQMVTSVHASHGHDHTHNICKEPSNPHSSNKHDCHDHEHGHREEPSGSHSTKERACHGHAHGHCEETKSSHSTDEHACHDHKHCEEPISLHCANEHVCHDHDQDDHQHHCCDEQQPLHTADTHSCHDHKHEDSSAGSIQELSISIEDASHDHHEKQSQCGHHSEEPKEGDCGHHQKAKDCAPAPTDCSSINCCSTVSIKGCEMKGKDICSSWQVGGTGTGSRCCRTRVRNCCSHNMLKLPEIVVE* >Brasy9G188200.1.p pacid=40062178 transcript=Brasy9G188200.1 locus=Brasy9G188200 ID=Brasy9G188200.1.v1.1 annot-version=v1.1 MHLDDADGALDSWARFCSLSGELVGGAGDLSVGPRLAPVVADLCARGLATLVRDYFLHNLEETLRNNAVKMFWQKFHPYCNSSALERIKFCVQESWPEDVLSIALEDICLEKSYQEKCVLVLVHVLQSYEEKTENKLKTVEFSSSLMPRYQLMVSSVLLTTLPLSFPEILNIYFKKKLEELNTMMDGYDESDLACHELFGRSKVSAWDSKMDIDGQETVISESGNLVRNIGKVVCDLRCLGFTSMTEDSYSSAIIWLLKSKVYELAGDDYRIPVLGRVKKWIQAVPLQFLHALLAYLGDSVDYESGLSGLKSPLASLPSSFPGIGVPSEALLRWHMRLEYFAYETLQDLRIVKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRSIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNTSGSGNAGDNLLEELNRDAENQENADYDDHTNIDEKQAWLNSESWEPDPVEADPLKGSRNRRKIDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLRTFETVVGQEETEMSHDVLDATIISSNFWPPIQTEDLAVPASVDQMLSDFAKRFHQIKTPRKLLWKKNLGMVKLELQFEDRNMQFTVVPVHAAIIMRFQEKPSWTSKTLATEIGIPVDSLNRRIGFWTSKGVLTESVGPDADDHIFTVVDSMSDVNKNSIVNESCEAFQMTEDEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGNMTLDKIHNTLKMFCAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGLYVLKK* >Brasy9G188200.2.p pacid=40062179 transcript=Brasy9G188200.2 locus=Brasy9G188200 ID=Brasy9G188200.2.v1.1 annot-version=v1.1 MMDGYDESDLACHELFGRSKVSAWDSKMDIDGQETVISESGNLVRNIGKVVCDLRCLGFTSMTEDSYSSAIIWLLKSKVYELAGDDYRIPVLGRVKKWIQAVPLQFLHALLAYLGDSVDYESGLSGLKSPLASLPSSFPGIGVPSEALLRWHMRLEYFAYETLQDLRIVKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRSIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNTSGSGNAGDNLLEELNRDAENQENADYDDHTNIDEKQAWLNSESWEPDPVEADPLKGSRNRRKIDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLRTFETVVGQEETEMSHDVLDATIISSNFWPPIQTEDLAVPASVDQMLSDFAKRFHQIKTPRKLLWKKNLGMVKLELQFEDRNMQFTVVPVHAAIIMRFQEKPSWTSKTLATEIGIPVDSLNRRIGFWTSKGVLTESVGPDADDHIFTVVDSMSDVNKNSIVNESCEAFQMTEDEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGNMTLDKIHNTLKMFCAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGLYVLKK* >Brasy9G185900.1.p pacid=40062180 transcript=Brasy9G185900.1 locus=Brasy9G185900 ID=Brasy9G185900.1.v1.1 annot-version=v1.1 MPPALSNCIAYPTSGARKRADFLLATTGLQGTSLSPSLRAPLAAAPPRYNSRGRGAMPVVAASPCQPSATADASPAPMTTTTRLERGSLLVGGRELLARAPSDVTLRAAVADDAPGAAFLGARAAAPSSRHVFSVGTIAEGWRWLSLFRLKIWWMTPKTGAGAAGVPAETQMLLLESRNGAEDAVYALMLPVLDGDFRASLQGSPENELQFCFESGDPDVQAMEAVDAVFINSGDNPFRLIKESIKILSKVKGTFSHIENKEIPANLDWFGWCTWDAFYKDVKPVGIEEGLKSLCDGGAPPKFLIIDDGWQEVVDEFKEVDEAPTEQTVFAERLVDLKENDKFRGEVCKNLGDLVNKIKGEHAVKYVYVWHALLGYWGGVRATSDAMKKYNPELVYPVQSPGNVANLRDIAMDSLQKFGVGIIDPAKIYDFYNDLHSYLSSMGVDGVKVDVQNVMETLGHGIGGRVALTRKYQHALEESIARNFKGNNLICCMSHNSDTIFSSLKSAVARASEDFMPREPAMQTMHIATVAFNSFLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGAHNFSVLKKLVLPDGSILRARYAGRPTCDCLFNDPVMDGKSLLKIWNVNNLSAAIGVFNCQGAGNWAHIPTSVNITGHLSPSDVESIEEIAGDDWNGETAVYAFYSCSLSRLQKNQSLQVSLCTRTCEIYTISPIKVFGGAVRFAPLGLTNMFNSGGALDSIASTVDSSATAIQIKCRGPGRFGAYSSARPVICRVDAHDVECSHSDDGLLAFDLCDGSPQNNLRNIEIVYKAS* >Brasy9G232800.1.p pacid=40062181 transcript=Brasy9G232800.1 locus=Brasy9G232800 ID=Brasy9G232800.1.v1.1 annot-version=v1.1 MYRNQFRPDRFNDHTNAARRPGRGFASGTHHWVISGGRGNAAPGARPRSPPNRSGRPGSVQQYRPRSPASAAPQGQGNATTYAYAAGVADTHTEPMNRGSLENQKPSNVTDQSDVLNLESAEGARAADSLTPTKHSEGSSSTAFTLQFGTLSPGVINKQCIPNSTSAPPDFNEKKHDKAPHGLLSDYNTLPLPPDQKQQKKEAADNQTDLIDKYDGVPATVSSNSCAVPDHELPQTPLLKSVPPAEKVCIDSCKMLARNPSSPTHQQLQKQDGRKNVINATQSDTMHKYPSTKPKISVQIPAPYTPNIAPPPFMLPVNGRPLHVALQQKQPQVPVEFRGPGVQMQFIGSVSGSMPVKMAMPMGNAPHVPPFFVHGAHPRALQQPAFIHQGQGMGCALPASPHLPQLGNMRITQELSQQQPRSSDEQKRTIRITHPETHEELTLDRRGHSYMDAVSVQMPQHNMNQLAQPAPTFSPLHKVYYRPNMYNAGNIYLPNTSTIPVSNRQMCPKMQPPTHNFDPINSQAITSISPPMANPWLDASSRPPANLSAATEISNFKGLVPSTISPPGQGALKPCTIFPSSQTSISTCMAETPTFLRHSGEYALSSQQRVHKLGMGISQPERLVSEANRKLSAATSGISCNMGPQSVSTLQTQTGPALVDPQIMSACNLTSACVASGPSSVKAKPIIIEEESSVSAVTSSSDSKGQLSHIVPLQSETIADTEASSGSGNVILAVDSLGSETSLAGKNLKCDGNSILGKPPLKYTQQNVPPKFPSTSITTEDLKGKVNPVPFPEETYEVNFSLPLQIHNVIREQHVLNAGVTCSKSKTEHVDVTMPGAASGSENDTDVTKLVIFHACVESGDLHLSSSNGDLPQSNKNSLASSDAQIGPCEQENKLEDSVQDSVSIFVNTAIVSNSSISKREMDHDSIESGISSHCSAATSMVQTKKTVLAATRAKTTHGRRKKRKDIFHKADGQKSSDIYCAPGSPGENFASTSVEVVGSSTVDLTETCTLDTETGASTKSNDNQNKNDFFDWEGDMEISSEKLTDYSCKHSNNIAEVNKDTYGYGQIRYSRDFLLTIAQSCVSLPEGFKIGSDIYDAIMNNSGEHNPNRARIKDRVSATQLNRHVASGKLDENNWRKSYHSPVAGRDPLPDTVHRPSISSWDTAHRAGRGSSRSVSQTQSPNQYTGEILSRAMKEVASQRSMSRGSVDQRWQHRTNVQGMSSPSQVAVPLMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKALNIDNIVTLNGVISQIFDKALMEPTFCEMYASFCFSLAGELPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKTEEEGGMKQSEGEREEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQHPDEEDLEALCKLMSTIGEMIDHARAKGYMDLYFDIIQKLSANSKLSSRIRFMLEDVIDLRNNKWRQRRKVEGPKKIDEVRRDAVKKMGQSTRLGSSPNYNSSATSISSALRPGPPLDYGVRGSSASRGSSQVRAYGSHNVNLDARYQTSNRAMAFPLQQRRSDKSIRLSPQGDLGREMSLCGKPPGTNDILPEVPLSSHHGQTSKILRQSSFTGAANNQTNLQATADTPKSQSWGTADHVSPIVLTAVNPVGQMHTPSTVIKDICYEARIFPEEVLQEKAILTIKEFYSAKDEKEVGLCMKELNAPSFYPSLISLWINDSFERKDLERELLAKLLVNLCKSQESLLSQGVLLQGFQHVLSTLEDAVTDAPKATEFLGRIFAKVILEDVLSLTEIGLLLQDGGDEPARPASEQGLASEVLGSMLESIRVERGDSAVDEIRAKSNLHRENLRRPGLCV* >Brasy9G232800.2.p pacid=40062182 transcript=Brasy9G232800.2 locus=Brasy9G232800 ID=Brasy9G232800.2.v1.1 annot-version=v1.1 MYRNQFRPDRFNDHTNAARRPGRGFASGTHHWVISGGRGNAAPGARPRSPPNRSGRPGSVQQYRPRSPASAAPQGQGNATTYAYAAGVADTHTEPMNRGSLENQKPSNVTDQSDVLNLESAEGARAADSLTPTKHSEGSSSTAFTLQFGTLSPGVINKQCIPNSTSAPPDFNEKKHDKKQQKKEAADNQTDLIDKYDGVPATVSSNSCAVPDHELPQTPLLKSVPPAEKVCIDSCKMLARNPSSPTHQQLQKQDGRKNVINATQSDTMHKYPSTKPKISVQIPAPYTPNIAPPPFMLPVNGRPLHVALQQKQPQVPVEFRGPGVQMQFIGSVSGSMPVKMAMPMGNAPHVPPFFVHGAHPRALQQPAFIHQGQGMGCALPASPHLPQLGNMRITQELSQQQPRSSDEQKRTIRITHPETHEELTLDRRGHSYMDAVSVQMPQHNMNQLAQPAPTFSPLHKVYYRPNMYNAGNIYLPNTSTIPVSNRQMCPKMQPPTHNFDPINSQAITSISPPMANPWLDASSRPPANLSAATEISNFKGLVPSTISPPGQGALKPCTIFPSSQTSISTCMAETPTFLRHSGEYALSSQQRVHKLGMGISQPERLVSEANRKLSAATSGISCNMGPQSVSTLQTQTGPALVDPQIMSACNLTSACVASGPSSVKAKPIIIEEESSVSAVTSSSDSKGQLSHIVPLQSETIADTEASSGSGNVILAVDSLGSETSLAGKNLKCDGNSILGKPPLKYTQQNVPPKFPSTSITTEDLKGKVNPVPFPEETYEVNFSLPLQIHNVIREQHVLNAGVTCSKSKTEHVDVTMPGAASGSENDTDVTKLVIFHACVESGDLHLSSSNGDLPQSNKNSLASSDAQIGPCEQENKLEDSVQDSVSIFVNTAIVSNSSISKREMDHDSIESGISSHCSAATSMVQTKKTVLAATRAKTTHGRRKKRKDIFHKADGQKSSDIYCAPGSPGENFASTSVEVVGSSTVDLTETCTLDTETGASTKSNDNQNKNDFFDWEGDMEISSEKLTDYSCKHSNNIAEVNKDTYGYGQIRYSRDFLLTIAQSCVSLPEGFKIGSDIYDAIMNNSGEHNPNRARIKDRVSATQLNRHVASGKLDENNWRKSYHSPVAGRDPLPDTVHRPSISSWDTAHRAGRGSSRSVSQTQSPNQYTGEILSRAMKEVASQRSMSRGSVDQRWQHRTNVQGMSSPSQVAVPLMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKALNIDNIVTLNGVISQIFDKALMEPTFCEMYASFCFSLAGELPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKTEEEGGMKQSEGEREEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQHPDEEDLEALCKLMSTIGEMIDHARAKGYMDLYFDIIQKLSANSKLSSRIRFMLEDVIDLRNNKWRQRRKVEGPKKIDEVRRDAVKKMGQSTRLGSSPNYNSSATSISSALRPGPPLDYGVRGSSASRGSSQVRAYGSHNVNLDARYQTSNRAMAFPLQQRRSDKSIRLSPQGDLGREMSLCGKPPGTNDILPEVPLSSHHGQTSKILRQSSFTGAANNQTNLQATADTPKSQSWGTADHVSPIVLTAVNPVGQMHTPSTVIKDICYEARIFPEEVLQEKAILTIKEFYSAKDEKEVGLCMKELNAPSFYPSLISLWINDSFERKDLERELLAKLLVNLCKSQESLLSQGVLLQGFQHVLSTLEDAVTDAPKATEFLGRIFAKVILEDVLSLTEIGLLLQDGGDEPARPASEQGLASEVLGSMLESIRVERGDSAVDEIRAKSNLHRENLRRPGLCV* >Brasy9G232800.3.p pacid=40062183 transcript=Brasy9G232800.3 locus=Brasy9G232800 ID=Brasy9G232800.3.v1.1 annot-version=v1.1 MYRNQFRPDRFNDHTNAARRPGRGFASGTHHWVISGGRGNAAPGARPRSPPNRSGRPGSVQQYRPRSPASAAPQGQGNATTYAYAAGVADTHTEPMNRGSLENQKPSNVTDQSDVLNLESAEGARAADSLTPTKHSEGSSSTAFTLQFGTLSPGVINKQCIPNSTSAPPDFNEKKHDKKQQKKEAADNQTDLIDKYDGVPATVSSNSCAVPDHELPQTPLLKSVPPAEKVCIDSCKMLARNPSSPTHQQLQKQDGRKNVINATQSDTMHKYPSTKPKISVQIPAPYTPNIAPPPFMLPVNGRPLHVALQQKQPQVPVEFRGPGVQMQFIGSVSGSMPVKMAMPMGNAPHVPPFFVHGAHPRALQQPAFIHQGQGMGCALPASPHLPQLGNMRITQELSQQQPRSSDEQKRTIRITHPETHEELTLDRRGHSYMDAVSVQMPQHNMNQLAQPAPTFSPLHKVYYRPNMYNAGNIYLPNTSTIPVSNRQMCPKMQPPTHNFDPINSQAITSISPPMANPWLDASSRPPANLSAATEISNFKGLVPSTISPPGQGALKPCTIFPSSQTSISTCMAETPTFLRHSGEYALSSQQRVHKLGMGISQPERLVSEANRKLSAATSGISCNMGPQSVSTLQTQTGPALVDPQIMSACNLTSACVASGPSSVKAKPIIIEEESSVSAVTSSSDSKGQLSHIVPLQSETIADTEASSGSGNVILAVDSLGSETSLAGKNLKCDGNSILGKPPLKYTQQNVPPKFPSTSITTEDLKGKVNPVPFPEETYEVNFSLPLQIHNVIREQHVLNAGVTCSKSKTEHVDVTMPGAASGSENDTDVTKLVIFHACVESGDLHLSSSNGDLPQSNKNSLASSDAQIGPCEQENKLEDSVQDSVSIFVNTAIVSNSSISKREMDHDSIESGISSHCSAATSMVQTKKTVLAATRAKTTHGRRKKRKDIFHKADGQKSSDIYCAPGSPGENFASTSVEVVGSSTVDLTETCTLDTETGASTKSNDNQNKNDFFDWEGDMEISSEKLTDYSCKHSNNIAEVNKDTYGYGQIRYSRDFLLTIAQSCVSLPEGFKIGSDIYDAIMNNSGEHNPNRARIKDRVSATQLNRHVASGKLDENNWRKSYHSPVAGRDPLPDTVHRPSISSWDTAHRAGRGSSRSVSQTQSPNQYTGEILSRAMKEVASQRSMSRGSVDQRWQHRTNVQGMSSPSQVAVPLMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKALNIDNIVTLNGVISQIFDKALMEPTFCEMYASFCFSLAGELPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKTEEEGGMKQSEGEREEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQHPDEEDLEALCKLMSTIGEMIDHARAKGYMDLYFDIIQKLSANSKLSSRIRFMLEDVIDLRNNKWRQRRKVEGPKKIDEVRRDAVKKMGQSTRLGSSPNYNSSATSISSALRPGPPLDYGVRGSSASRGSSQVRAYGSHNVNLDARYQTSNRAMAFPLQQRRSDKSIRLSPQGDLGREMSLCGKPPGAANNQTNLQATADTPKSQSWGTADHVSPIVLTAVNPVGQMHTPSTVIKDICYEARIFPEEVLQEKAILTIKEFYSAKDEKEVGLCMKELNAPSFYPSLISLWINDSFERKDLERELLAKLLVNLCKSQESLLSQGVLLQGFQHVLSTLEDAVTDAPKATEFLGRIFAKVILEDVLSLTEIGLLLQDGGDEPARPASEQGLASEVLGSMLESIRVERGDSAVDEIRAKSNLHRENLRRPGLCV* >Brasy9G178200.1.p pacid=40062184 transcript=Brasy9G178200.1 locus=Brasy9G178200 ID=Brasy9G178200.1.v1.1 annot-version=v1.1 MNMEDELEETEIEGFDAQIQTLFCQNAISDLLIQVTANSVRLVSCASRELVDHWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCVDLNPIGENPQYSSLAAIGTWTDISVSLLSLPDLELIRKENLGGEIVPSSVCSAMHLGRGFLFALCPWRWSPVQFSVKCEYRGTN* >Brasy9G358800.1.p pacid=40062185 transcript=Brasy9G358800.1 locus=Brasy9G358800 ID=Brasy9G358800.1.v1.1 annot-version=v1.1 MSADPVKKPETTGGGGPQLPRPDFVKSFDALWFCYSPFHQMQHYYRHGDFDNCLGKWGDLLDCLNLKTKSKAELEEILLAREKAKPHFWTFRTVDEASENWWRMYRHVVIMAAPRKPADSATAPVKSGGSS* >Brasy9G082200.1.p pacid=40062186 transcript=Brasy9G082200.1 locus=Brasy9G082200 ID=Brasy9G082200.1.v1.1 annot-version=v1.1 MPPSQSRFPSRPGRRRRRQPEYPRLPCARLHSRPPPASARISSRSARRSLPAFPFRAARARLPREPLSSSPSALLRPKAMLAASAISMDKSL* >Brasy9G126900.1.p pacid=40062187 transcript=Brasy9G126900.1 locus=Brasy9G126900 ID=Brasy9G126900.1.v1.1 annot-version=v1.1 MTTTNMKSLALLLALAAATLLSCCTASSSPDHDLSSPAATPAWAPDADGASPPQQYTFPPVAAPAPAAYAEPPVTAFPAPRSGKPHHHANSPAAEPPVYHNGSEEHGKHHRHHAKSPPAEPPAYHNGTEHKKHHGHLGHHHQHHDAPPSPSPAHHAPPPPAHHAAPPPAHHSPPPPAHHSPPPPAHHLPPPPPSRYPPHVPAPPAHKYPPPPAHHGKALPPPPPRGHRKFPPQSGYSAMAPSPSYDGAEAPSI* >Brasy9G037900.1.p pacid=40062188 transcript=Brasy9G037900.1 locus=Brasy9G037900 ID=Brasy9G037900.1.v1.1 annot-version=v1.1 MAGTGGGGGGSSSGGSGGSGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPHTPRDSKWLPRAGDWICNGCSNNNYASRKNCKKCGLPKEEAAMPALSMGGMLPAYADYIARVQGIANAGYKMNFGNSALQQHLLASANWPYGLAGRYGMQSSGWPFGGNAANQFQGVPKDWRNGDWLCSCGFHNYSSRTQCKECNAPVPSGLASTTMKTTGADASSTLGNKRLASEELANDWDNKRLNPGNENYPLSTAGSGRYMGVSQGAGSSNGQTTYSAYDNGSSMAASAGQTPGMPGLVGKGAKWREGDWMCSSCNNHNYASRAFCNRCKSQKESSVHPGAL* >Brasy9G037900.2.p pacid=40062189 transcript=Brasy9G037900.2 locus=Brasy9G037900 ID=Brasy9G037900.2.v1.1 annot-version=v1.1 MPALSMGGMLPAYADYIARVQGIANAGYKMNFGNSALQQHLLASANWPYGLAGRYGMQSSGWPFGGNAANQFQGVPKDWRNGDWLCSCGFHNYSSRTQCKECNAPVPSGLASTTMKTTGADASSTLGNKRLASEELANDWDNKRLNPGNENYPLSTAGSGRYMGVSQGAGSSNGQTTYSAYDNGSSMAASAGQTPGMPGLVGKGAKWREGDWMCSSCNNHNYASRAFCNRCKSQKESSVHPGAL* >Brasy9G157300.1.p pacid=40062190 transcript=Brasy9G157300.1 locus=Brasy9G157300 ID=Brasy9G157300.1.v1.1 annot-version=v1.1 MASPQAPELLTSPQATLLLYVLPVFPLLLFLLHQYYSRSARNRKQAADVDHDGRRRLPPSPPGLPLIGHLHLVGSSPHVSLARLAAKHGSPNGLLLLRLGQVRNLVVSTPRAAEAVLRTHDHLFASRPHNALADALLNGGADVAFAPYGEYWRQARRLVTTHLLSANKVRSLSLRHGREEQTRLVMDKIRSSSAAAAAVDMTALLGAYTNDVVCRAVSGNGSFREGRRNEMFREVIDGNAATLGGFNVQDYFPSLGRLDLLAWVVFAKTNRLRNRWDQLLDKIIDDHETKSSLLQQQQHGLAVQEDQERDFVDVLLGLRQEYSLTRQDIKAILMDMFVAGTDTSYIVLEFTMAELMRKPHLMAKLQAEVRDKTPENNQQMVTEDDLGAMAYLQAVVKETLRLHPPVPLLLPHLSMAECVVDGYTVPTETRVIVNAWAVGRDAASWKDAGEFVPERFMVNYDGGGAPAPDFKGRDFQFLPFGSGRRICPGINFGMAAVEIMLANLVYCFDWELPNGMRPEDVDMKDVFGMTMRRKEKLFLVPVSRHVSRA* >Brasy9G099100.1.p pacid=40062191 transcript=Brasy9G099100.1 locus=Brasy9G099100 ID=Brasy9G099100.1.v1.1 annot-version=v1.1 MADGANPNPTPTPRQKAVPDWLNSPIWSTPPPTPRHRSPPRAPSPPPPPPPKPPRDPTPPAPAQPARQSGAGSNSGSDSGDEGAATSTRPHLVAEFKAALERKVVDLAELRRLACQGVPDDAGVRPVVWKLLLGYLPTDRALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEMITPKRKEHNAEGTGFLPRAEIVQDEHPLSLGKTSVWNQHFQESEIVEQIDRDVKRTHPEMQFFNGDCSDAMSNQESLKRILNIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDQSNAVSAESDAFFCFVEVLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGLVPY* >Brasy9G099100.2.p pacid=40062192 transcript=Brasy9G099100.2 locus=Brasy9G099100 ID=Brasy9G099100.2.v1.1 annot-version=v1.1 MADGANPNPTPTPRQKAVPDWLNSPIWSTPPPTPRHRSPPRAPSPPPPPPPKPPRDPTPPAPAQPARQSGAGSNSGSDSGDEGAATSTRPHLVAEFKAALERKVVDLAELRRLACQGVPDDAGVRPVVWKSEVTRRMEMITPKRKEHNAEGTGFLPRAEIVQDEHPLSLGKTSVWNQHFQESEIVEQIDRDVKRTHPEMQFFNGDCSDAMSNQESLKRILNIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDQSNAVSAESDAFFCFVEVLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGLVPY* >Brasy9G329200.1.p pacid=40062193 transcript=Brasy9G329200.1 locus=Brasy9G329200 ID=Brasy9G329200.1.v1.1 annot-version=v1.1 MSGTGYTVEVTNLSSSASESDLHEFFSFSGPIEHIDLIRSGEYGCTAYVTFKEPYALETAVLLSGATIVDQPVCISRWGHPDEPCNFWDRPTWQYEEEIEYRDYQSCQFNATPQEALTVAQDVVKMMLAKGYVLSKDALSRAKAFDESHQISGSAAARAAELSKRIGLTDRVSAGVGAIRSVDETYNVSKTTKTVATATGRTAVKVVNTIVTSSYFSAGAMMVSDALTRAAKAAENLAAHGRQN* >Brasy9G329200.2.p pacid=40062194 transcript=Brasy9G329200.2 locus=Brasy9G329200 ID=Brasy9G329200.2.v1.1 annot-version=v1.1 MSGTGYTVEVTNLSSSASESDLHEFFSFSGPIEHIDLIRSGEYGCTAYVTFKEPYALETAVLLSGATIVDQPVCISRWGHPDEPCNFWDRPTWQYEEEIEYRDYQSCQFNATPQEALTVAQDVVKMMLAKGYVLSKDALSRAKAFDESHQISGSAAARAAELSKRIGLTDRVSAGVGAIRSVDETYNVSKTTKTVATATGRTAVKVVNTIVTSSYFSAGAMMVSDALTRAAKAAENLAAHGRQN* >Brasy9G081900.1.p pacid=40062195 transcript=Brasy9G081900.1 locus=Brasy9G081900 ID=Brasy9G081900.1.v1.1 annot-version=v1.1 MEGWDKGTKSVVGEIPLLSTRAGPRDGDAWRQRLKEEYRSLIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGLAHALCLGLAPWLAAEVPILVDSGMVKHKDDESAPADPAVASGSAAVAS* >Brasy9G081900.2.p pacid=40062196 transcript=Brasy9G081900.2 locus=Brasy9G081900 ID=Brasy9G081900.2.v1.1 annot-version=v1.1 MEGWDKGTKSVVGEIPLLSTRAGPRDGDAWRQRLKEEYRSLIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGLAHALCLGLAPWLAAEVPILVDSGMVKHKDDESAPADPAVASGSAAVAS* >Brasy9G081900.3.p pacid=40062197 transcript=Brasy9G081900.3 locus=Brasy9G081900 ID=Brasy9G081900.3.v1.1 annot-version=v1.1 MEGWDKGTKSVVGEIPLLSTRAGPRDGDAWRQRLKEEYRSLIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGLAHALCLGLAPWLAAEVPILVDSGMVKHKDDESAPADPAVASGSAAVAS* >Brasy9G274600.1.p pacid=40062198 transcript=Brasy9G274600.1 locus=Brasy9G274600 ID=Brasy9G274600.1.v1.1 annot-version=v1.1 MSTLSFSLIASAAVVILGRICTCLQFTYPSFDTTNKVDFNFSTGSTITNGSLHITPSTGNTSHWSGRVVYAREALKLWNSKRTAVTSFRTEFVLNILPWDKNIAGEGMAFILTNNPSLPKNSSGQWLGVCNNQTDGSAANRIIAFEFDTRKSYEDDLDNNHFGIDFNGIKSVRQQSLTNQSILLSSGSDVWVGIKYNGRSMLFQATLVQYSTSGQYFSQVHAYINLSALLLEEDIYLVFAGSTGAFTQLNQIKSWNFTTIEDHGTRHGRKVLLVLATLVIFSMCLFVVLFMWRRLTHQRRLAYRNLEKMIDAHGPVKFKLKELRRATSNFSPTCKLGRGGFGTVYLGYINRMNMEVAVKRVSTSNRNQTAEVNTISKLSHRNLVKLIGWCHEGAELLLIYEYFPMGSLDKLLYASARTSAPELTWERRYKIICGVASALDYLHHGSSKRILHRDVKASNVMLDEEYNAKLGDFGLARIIQHDIMTHHSTQAVAGTRAYMAYETFFTGRASLDTDVYAFGVFVMEVVSGRSPSSSVSYDDDHGESNGRGRLSMPMYIMDWTWRLYGEGKSLDAADTVLGGAYNEAQVDCVVRLALACCHPNPRERPSMRTVVQVVIGGAPGPDPPSEKPAFVWPPSGKQREIELPDVGVLFTGGQPSFCSMTSSSLTGR* >Brasy9G207300.1.p pacid=40062199 transcript=Brasy9G207300.1 locus=Brasy9G207300 ID=Brasy9G207300.1.v1.1 annot-version=v1.1 MGTTPNSGTPMEANTPSLSITVEKNLPEARLLQLGIKSWPKWGCPPGRFPLKFDAGLTCYLLKGRVKASVKGSECVEFGAGDLVVFPKGLSCTWDVIVGVDKHYNFEASPN* >Brasy9G290900.1.p pacid=40062200 transcript=Brasy9G290900.1 locus=Brasy9G290900 ID=Brasy9G290900.1.v1.1 annot-version=v1.1 MKCILKRSGSALSRLLPPPPLQAAATRPFHRGGSQIPPAELSFLRRCCSSFHTSARRRPEPELIHFARRRRGEGEGGGGIPWYLSWEKLLTRALAPAAAACALYRLSLQTVPYTHRRRGVVLPARYERKLGERRFEALKEKAAAAGSLLPPDHGDAVRARRVAEEIVAAARRTLTGRRGNEEVLLLDDDESGGVAESRRSQDEPRGEPQPEPMTKHLVGLDWEVIVVEDDKASVSCLPGGKIVVNTGFLRRFETDAEIAAVLGREVGHIVARHAAEGFSNALWSGLLSICLREVFDIRDFTIRTLSSLLFERHFSRKMEIEADHIGIMLLAAAGFDPHAALAVHEKLGETGGEPGLQDYLAAHPSGTKRVQNLSRDKVMQEAMELYREATARKTADVYS* >Brasy9G336200.1.p pacid=40062201 transcript=Brasy9G336200.1 locus=Brasy9G336200 ID=Brasy9G336200.1.v1.1 annot-version=v1.1 MEAVLQAGDAEDWVYKGEGAANLILSYTGSSPSMLGKVLRAKKILNDKAQPAPNCVVFSSFEQLLWGEIPELVESVKQDCLPQAYAVHVMSQHLGANYVDGGVRVRVSKDFLEIVGKNVLSARPAWRVNASAVDTSADSALLISDHSLFSGKSRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHQGEISKTSEYDPLDLFSGSKERIHTAIKSFFSTPQNNFRIFVNGSLVFGGMGGGADKVHPNETEKCLEDLSKVSGLQLSDFIELLSEAIYKSGVLDKLLATQKLDDHDIEGAIHLYYNITSQPCLVCKNITDAELLRKYALLHSLSLDKSCKIVRDLLISATAKDCSLMMSFQPRECETTDSEYDSVFLESVNRIYDYKANFVDLDVKPLDKMVHYFKLDQKIVNFYTKHGEVGRVACDSSKGGGSTDGTKVQLQH* >Brasy9G100500.1.p pacid=40062202 transcript=Brasy9G100500.1 locus=Brasy9G100500 ID=Brasy9G100500.1.v1.1 annot-version=v1.1 MWKVIITVNVASDEEETRRKLRDHFNRNGGQLLKSIKIEIFTKEKLDQITKNYSHIIGRGNFGKVYKGTTSDNVQVAVKRSIAINEDRRKDLFANEITIQSQVSHKNLVQLLGCCLESEVPMLVYEFIPRGSLYDVLHGKDGTGKTHPLPLGARLDIAIYSADGLAYMHSEASHKILHGDVKTGNILLDDEFVPKVSDFGTCRLMSIGKEHTNFVIGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITGKMARYDKKNSLPLNYIKAFKDGTAKQMLDTDIASTGEDINCLEMVGRVAVKCLEIDVNDRPTMAQVMQELKMQKIQWLHSHEKIGDAEICTTSVSVYNSHLQNPEISVYKALLSSHPLSS* >Brasy9G349800.1.p pacid=40062203 transcript=Brasy9G349800.1 locus=Brasy9G349800 ID=Brasy9G349800.1.v1.1 annot-version=v1.1 MAPDAAAANSLRLPAAATGKLPDQAPLLLAQGDHHQAGAGKQQQVECPRCGSGNTKFCYYNNYSTAQPRHFCRACRRYWTQGGSLRNVPVGGACRRRDAAAAASGNNKRRRASSDNSSSSAEPPSSVAGDQLPPPALMFPFLSDGAAFFLPPPPPQYHLGLGSGAAAAIQAAPAFSWPLYDGGLAAGALWDDGTLSGAAAGVTTGAWGDNDFTGLDLSWPAEAGN* >Brasy9G287400.1.p pacid=40062204 transcript=Brasy9G287400.1 locus=Brasy9G287400 ID=Brasy9G287400.1.v1.1 annot-version=v1.1 MAPPPPLLGIPVLILLLAAVVCPLAAAQSPQRNIQTRFPSTSTPAFAVPPPPIVSPSPPPTTPPLLPPQSSSSTSSTKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKKEFAHATAGGGGRGNGYHQNGGFAAGNKQPERAPKQPPRGGIGMVDENGLDAIYWREFEKEGGGGRGRTSWRPPRQPSERRPEAQQGSWAAPSPSPPRPRKGKVDQEPLIPRGSLDSSSAVFDEAPSASASASSSSPFSVAAAEAYARPATAIAAVSSVPRPAPATPPSASSSSLALPPGRASPPPLPPPIASVSPPRAPPPPPPAPKGPPPPPPPKGPPPPPAPKGPPPPPPPGGKKGGPPPPPAWATSSRPPAAPGVPTGAEDQQAKLKPLHWDKVNVAATDHSMVWDKITGGSFNLDEGTIEALFGTAAANRKPKSADSKESSSGLGRLNSEEQIFLLEPRKSHNISIILRSLTVGRDEIIDALRDGHTELSTEVLEKLSRINISKEEESTILKFSGNTDRLAPAEAFLLRLLLDVPNPFARVNALLFKINYSSEVAQLKQSLQTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSADGGTTLLHFVIEEVVRAEGKRLAINKNYSIRRSGSLAKTSTDSGISAAGTTIQGPSREERQNEYMNLGLPIVGGLSSEFGNVKKAATVDYDVVVNESAILSRRLTEIKKLLETCGDDGFARGLRGFVKAAEQELKAITGEQEKVLDLVQRTTEYYHAGATKDRNAHPLQLFIIVRDFLGMVDQACVDIKRRLQQKKPPPSSSQPTTAVAAAAAKEAADGAAASAPLPAPAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDGADSDSSSDEE* >Brasy9G056500.1.p pacid=40062205 transcript=Brasy9G056500.1 locus=Brasy9G056500 ID=Brasy9G056500.1.v1.1 annot-version=v1.1 MSFGDIGSMVQLEGTKQEHNNHNIQNGNNTGNNITGPNAASPFTGASAVNGNTVNGPQQPQVPRCSVNHVGAVGGN* >Brasy9G342900.1.p pacid=40062206 transcript=Brasy9G342900.1 locus=Brasy9G342900 ID=Brasy9G342900.1.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G361400.1.p pacid=40062207 transcript=Brasy9G361400.1 locus=Brasy9G361400 ID=Brasy9G361400.1.v1.1 annot-version=v1.1 MPATDSTSSPAATHTSFGRSLLSLRHRDHQIHHAPPTPDHNNASNTSSAAAEIDAFQHHAADLLLDLLPSGSSAGPAPEILSLAWTHHLLDSFLVCLEEFRSLLFASPSGPSLARPPLDRLLADFFDRAVKALDLCNALRDGLDLLRQWRKHLAIAAAALSPHADDPDAPLGQAQIRRARKALTDLTILMLDDKDTSVGAGHRNRSFGLGSSTARGGGDAQARGHHRRSSSGGSSGGSGSGSHFRSLSWSVSRSWSASRQLQAIGGNLPVPRAHDVAATGGLASAVYTMGSVLFVVAWALVAAIPCQDRGLQAHFAAPRSFPWAGPVTALYERVLEESKKKDRKHSCGLLKEIHQVERCSRQLMEITDAAQFPLDEEKDAEVREAAQELVQVCETLKDGLDPLERQVREMFHRIVRTRTEILDSLSRPHSTE* >Brasy9G105900.1.p pacid=40062208 transcript=Brasy9G105900.1 locus=Brasy9G105900 ID=Brasy9G105900.1.v1.1 annot-version=v1.1 MAETIRTDSSTATTPPLDPSHHSSKESGGIADAGAKDDAMPSPGAAGDSNNQAAIAAGPPDKPEPRPGNARVEKMQPRILPLLGKPYFACVVCRSHIQPPFQVVVPRSLAPFLPPKPAPATLTWQGRPWEMRFTGGRPIQRLDAGWRSFAVDNALRLGDGCVFELVSGDGEGVVFQVQVLRAEIPARIRERAGGYNSSSPIVIEED* >Brasy9G071300.1.p pacid=40062209 transcript=Brasy9G071300.1 locus=Brasy9G071300 ID=Brasy9G071300.1.v1.1 annot-version=v1.1 MAIEGIGEEATTAPVADLFTERGIFDAELRTKAKAALQRLPESAALDSAADRFLAEYSPGTESTAAPGMVRLPENDIRYYFLLYKMPTVDDVLGAAAGGVFPPGWIEARSRQIDGELQQAGKTVWDDFVLFLAKIRIDLVAKGFVEVPEE* >Brasy9G029300.1.p pacid=40062210 transcript=Brasy9G029300.1 locus=Brasy9G029300 ID=Brasy9G029300.1.v1.1 annot-version=v1.1 MSDNLMDKVTALSERLKITGSEVSKKMTAGMSSMSFKMKELFQAQTPADKFVEEATSENLEGPDWSANLEICDLINSEKVNSVDLIRGIKKRIVLKDARVQFLSLFLLETVAKNCEKAFSEIAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGEELRYLPVYEETYKSLKSRGVRFPGRDNESLVPIFTPPRSVAEAEVQANFAQQTFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRFVETAGDNEAMLFEALSVNDEIQKVLSRYEEMKKPLASANTEHEPVVIPIATEPEDSATVGSEDALVRKPAGSRARPGADDDILDDLDEMIFGKKGGSSSQEGPQKQDPKKDDLINF* >Brasy9G029300.2.p pacid=40062211 transcript=Brasy9G029300.2 locus=Brasy9G029300 ID=Brasy9G029300.2.v1.1 annot-version=v1.1 MSDNLMDKVTALSERLKITGSEVSKKMTAGMSSMSFKMKELFQAQTPADKFVEEATSENLEGPDWSANLEICDLINSEKVNSVDLIRGIKKRIVLKDARVQFLSLFLLETVAKNCEKAFSEIAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGEELRYLPVYEETYKSLKSRGVRFPGRDNESLVPIFTPPRSVAEAEVQANFAQQTFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRFVETAGDNEAMLFEALSVNDEIQKVLSRYEEMKKPLASANTEHEPVVIPIATEPEDSATVGSEDALVRKPAGSRARPGADDDILDDLDEMIFGKKGGSSSQEGPQKQDPKKDDLINF* >Brasy9G280000.1.p pacid=40062212 transcript=Brasy9G280000.1 locus=Brasy9G280000 ID=Brasy9G280000.1.v1.1 annot-version=v1.1 MAAPSAALSISGAAHTNAFGCKPNKLISNRNGLQVAVPSSSKNVNLCGKLIICRAQSEDSKGGGGFLAGFLIGGAVFGTLGYVFAPQISKTLDTLLDDDGQDGETDEQGIERVSRPRNGQYYDEGLEKTRQTLGDKISQLNLAIDKAASRLRRVTGSVEKELVKDESEIEISSLNENGVLVENLNEHGFVQGESAT* >Brasy9G049700.1.p pacid=40062213 transcript=Brasy9G049700.1 locus=Brasy9G049700 ID=Brasy9G049700.1.v1.1 annot-version=v1.1 MPCFCLQPLVTVEAYDAGLLCRPRPRHQFQFRGEALLLLPFPPSFFVLLANQQRVCKKAMALDNNAQEAKEKLDQNYYSTKKSDHKGDKSGLSLSWIFLVVDGAGGDRIRGAVADDRRILSGEKTVARGKPWPGRRRRWRGARRTGRVPRRPASSCTSRTKTAAPRARTRRRAALERAADEGGGRARAEPWRGETESGEEEEEGGGGLGAGQCIQQERQPRIPLTPRETGRQSIDRKLIISASSPHRFCLGPPRIDPSGLGGGGVHDFIQPTGCHLALLLGKTPTRPVNSNLEPTPPWCRVDCRFLPQNTKHQRPEKVPRKKQNPAPRWFQASRARTPLTAAALQFGPRGVANPGFPFTYGFLSARSPLTQQQTKAAAEPREAPEGARGLSFHPSSSHRKKTPLPFSASPFLVPPFQPKPQLPPSSSPRCSQRPSPTWTGGRPRLRLPRSPFPRRISKQQQ* >Brasy9G228200.1.p pacid=40062214 transcript=Brasy9G228200.1 locus=Brasy9G228200 ID=Brasy9G228200.1.v1.1 annot-version=v1.1 MIDLSRTQDEEVGDDTTSVIVLAGEMPHVAEAFVEKNHHPTVICRAYTEALEDALAVLDKIAMPVDVNDCGAMLGPVKSSIGTKFTGQFGDLIAASIVLLCQDLAIDATSTAGVDLGQGVREVDIKT* >Brasy9G285700.1.p pacid=40062215 transcript=Brasy9G285700.1 locus=Brasy9G285700 ID=Brasy9G285700.1.v1.1 annot-version=v1.1 MRRLSFLFLILAAAALSGAAAGGPPATPVKLSPTFYSQTCPRAERIVAEVVQSKQMQNPTTAAGVLRVFFHDCFVTGCDASVLIAPTHFAKSEKDADINHSLPGDAFDAVVRSKLALELECPGVVSCADILALASGVLVTMTGGPRFPVPLGRKDSLSSSPTAPDIELPHSNFTVSRIIELFLAKNFTVQEMVALSGAHTLGFSHCQEFASRIYHYHDKAGKPLPFDPSMNPGYAKGLQEACKDYLKDPTIAAFNDIMTPGKFDNQYYVNLERGLGLLSTDQELWSDARTKPFVQRYAGNNTAFFDDFAKAMEKLSLFGVKTGADGEIRRRCDAYNSGPTGKADAAEAA* >Brasy9G336600.1.p pacid=40062216 transcript=Brasy9G336600.1 locus=Brasy9G336600 ID=Brasy9G336600.1.v1.1 annot-version=v1.1 MINLAGSVTASKIDEIPDKRRNETKNINMSLLALGNLFEAIGGDDFLPYRRSKLTHLLQNFFVGDCKILMFVNISPEPSSIGATLSSLDFAAKVHNCKIKRQ >Brasy9G295300.1.p pacid=40062217 transcript=Brasy9G295300.1 locus=Brasy9G295300 ID=Brasy9G295300.1.v1.1 annot-version=v1.1 MNYHAPSFNQPVYSSDGYRSVENSLWSVSLLCSKEPVGHRQGLPSMLGTTSDRGTCPYTRYICSGTSP* >Brasy9G102800.1.p pacid=40062218 transcript=Brasy9G102800.1 locus=Brasy9G102800 ID=Brasy9G102800.1.v1.1 annot-version=v1.1 MDVQASEDKQDDQHFEEHRLIPQPAQPPVAAEATLPDDLLFSEVLVRLPVKSLMRFKCVCPSWCAAIGDAGFVRRHRDRSRGILPSVLVTPRESSDDDDDEFSEDISFHRLHLGQALAGTVTEELMLKKVFPPDQEEDGITNKIFLTHCDGLVAVTTTTNQVFVCNPATREFVALPPRNPDFDFDFDLDEYFNEPTTAALGFDPHRNRYVVARYFYKPTSSGEDNNNRMGHEVFWLGADSTWSWEPTQDPPLRIYPTRPAFMGGAFYWKSEEESVLRFSLGDEAFEVIPPPPGASTHHTLESLAELGGSLCHTYTKSLTEFEVWQLAGDRSSWSLRCKVVTSDVSFGSEAFLPVWAGRGGMLVAVDYNKLYWYCDRSGRRKKVVDLEREVDLGRREDEFYRQQIVPYIESLVSIRK* >Brasy9G239100.1.p pacid=40062219 transcript=Brasy9G239100.1 locus=Brasy9G239100 ID=Brasy9G239100.1.v1.1 annot-version=v1.1 MAHHATAPSDPTKKKFLAGRPGFALTAALILFVLLFVAGAIGTLHHKNPNSNRQQTISRKMLISIERMEEEEPCQPTTGGSNNNNPLPRGIVQATSNLETESMVGTPKERRQSNPKSLLAIPVGIKNKAAVDKLVSKFPADRFTIMLFHYDGALEQWADLEWSGRAVHAAARGQTKWWFAKRFLHPDVVAEYEYVFLWDEDVELDAFDPVRYLEVVRREGLEVSQPALDRRSEIHHAITARRLGRPSRPAGDAHGAEWVEGMVPVFSRAAWRCAWGMVQNDLVHGWGLDYKLGYCAGGDRTVTKVGVVDSEYVLHRGVPMLGQGGGNRVGVRRRSFVEMQMFDRRWKEAVAEDKSWTDPYAQLGTAG* >Brasy9G203100.1.p pacid=40062220 transcript=Brasy9G203100.1 locus=Brasy9G203100 ID=Brasy9G203100.1.v1.1 annot-version=v1.1 MAAQVAVAVANGGSAAAVSPGAPGAVSVGVAQPLPTTSLYVGDLEASVTDSQLYELFSQAGQVVSVRVCRDVNSRRSLGYAYVNFSNPMDAARALEMLNFVPLNNKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHDTFSAFGAILSCKVATDDMGQSKGFGFVQYEKEEFAQSAMKSLNGMLINDKPVYVGPFLRKQERDNSFDKARFNNVFVKNLSESTTKEDLEKIFSEYGNITSAVVMVGTDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYCGRAQKKSEREMDLKRRFEQSMKDAADKYQGQNLYLKNLDDGISDDQLRELFSTFGKITSCKVMRDQNGVSKGSGFVAFSTREEASQAITEMNGKMLSGKPLYVAFAQRKEERKAMLQAQFSQMRPVPITPSMTPRLPMYPPMAPLGQQLFYGQPPPAMMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSVQGQQSAQSYQQQMVPRGRVYRYPPGPGRNMPEVQPMPGVGAGGMIQPYDMGGFPLRDAGLSPAAPIGTLTSALANATPDQQRTLLGESLYPLVELLEHNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQQNPNAPTSQLAGLSLTDSIIS* >Brasy9G230900.1.p pacid=40062221 transcript=Brasy9G230900.1 locus=Brasy9G230900 ID=Brasy9G230900.1.v1.1 annot-version=v1.1 MSLLSRFFYKRPPDGLLELIDRIYVFDSCFCTEVLPHGMYPVYLNGILTELHEEHTESSFLAINFRDGYKRSQLADILHEYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNSQNIILLHCERGGWPSLAFLLSCFLIFKKLHSAENKTLDIVYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPNFDSDNGCRPLVRIFGRNLLGKNASMTDMIFSMPKKKSLRHYRQEDCDVIKVDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNIDDVDILWGSKERYPRNFRAEVLFCEIGGISPPRAPTATLNGDMKGGLPIEAFSAVQELFNGVEWMENSDNAAFWLLKEFSTNSLQEKFQKLILSDMKELSKFQAKVGLQMPVMSPLDSDEEKYSVASDSISSADYEKVQHGGNSSDSENIDRALSTEDSESIATSSVNTSSPPPHGRSSSLSTEQNLPPAEPQQELPNSRQPPPSTENGHKPVSSLLGAPTAANGSKPIFSAPLPPPPPPPPLSGGKPVFLAPPPPPPQPPSGTKPVLLPVPPPPPPPPPPPPPRISNVSSPQPPPPPPLPNSQTGAPLYAPTLQPQQNVPCHPVPPPPPPPPQVHGIAKKCPPPPPPPPRPPPSSITSATAAATLSKAPPPPPPPPPGAPSPPPPPPLPAGAVQRTPPAPAPPPLMTGKKAPAPPPPPPQAPKPPGIVPPPPTLPKGTGESGPPYPPSSKIANAPAPPPLGRGRAAIGSVKGRGIGLAHQSNPPKKASLKPLHWVKVTRAMQGSLWADAQNQGNQARAPDIDLSELETLFSTAVASNASEKGGTKRGLATSKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDTSVLDNDQVENLIKFCPTNEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRIAFSTQADELRTNLTTVNDATKEVKESPKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKVLAEEMQAINKGLEKVEQELAASVNDGAISVGFRKALKCFLDSAEAVVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAEAEKKKMEKEKEKEKASISAK* >Brasy9G230900.3.p pacid=40062222 transcript=Brasy9G230900.3 locus=Brasy9G230900 ID=Brasy9G230900.3.v1.1 annot-version=v1.1 MSLLSRFFYKRPPDGLLELIDRIYVFDSCFCTEVLPHGMYPVYLNGILTELHEEHTESSFLAINFRDGYKRSQLADILHEYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNSQNIILLHCERGGWPSLAFLLSCFLIFKKLHSAENKTLDIVYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPNFDSDNGCRPLVRIFGRNLLGKNASMTDMIFSMPKKKSLRHYRQEDCDVIKVDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNIDDVDILWGSKERYPRNFRAEVLFCEIGGISPPRAPTATLNGDMKGGLPIEAFSAVQELFNGVEWMENSDNAAFWLLKEFSTNSLQEKFQKLILSDMKELSKFQAKVGLQMPVMSPLDSDEEKYSVASDSISSADYEKVQHGGNSSDSENIDRALSTEDSESIATSSVNTSSPPPHGRSSSLSTEQNLPPAEPQQELPNSRQPPPSTENGHKPVSSLLGAPTAANGSKPIFSAPLPPPPPPPPLSGGKPVFLAPPPPPPQPPSGTKPVLLPVPPPPPPPPPPPPPRISNVSSPQPPPPPPLPNSQTGAPLYAPTLQPQQNVPCHPVPPPPPPPPQVHGIAKKCPPPPPPPPRPPPSSITSATAAATLSKAPPPPPPPPPGAPSPPPPPPLPAGAVQRTPPAPAPPPLMTGKKAPAPPPPPPQAPKPPGIVPPPPTLPKGTGESGPPYPPSSKIANAPAPPPLGRGRAAIGSVKGRGIGLAHQSNPPKKASLKPLHWVKVTRAMQGSLWADAQNQGNQARAPDIDLSELETLFSTAVASNASEKGGTKRGLATSKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDTSVLDNDQVENLIKFCPTNEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRIAFSTQADELRTNLTTVNDATKEVKESPKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKVLAEEMQAINKGLEKVEQELAASVNDGAISVGFRKALKCFLDSAEAVVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAEAEKKKMEKEKEKEKASISAK* >Brasy9G230900.2.p pacid=40062223 transcript=Brasy9G230900.2 locus=Brasy9G230900 ID=Brasy9G230900.2.v1.1 annot-version=v1.1 MSLLSRFFYKRPPDGLLELIDRIYVFDSCFCTEVLPHGMYPVYLNGILTELHEEHTESSFLAINFRDGYKRSQLADILHEYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNSQNIILLHCERGGWPSLAFLLSCFLIFKKLHSAENKTLDIVYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPNFDSDNGCRPLVRIFGRNLLGKNASMTDMIFSMPKKKSLRHYRQEDCDVIKVDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNIDDVDILWGSKERYPRNFRAEVLFCEIGGISPPRAPTATLNGDMKGGLPIEAFSAVQELFNGVEWMENSDNAAFWLLKEFSTNSLQEKFQKLILSDMKELSKFQAKVGLQMPVMSPLDSDEEKYSVASDSISSADYEKVQHGGNSSDSENIDRALSTEDSESIATSSVNTSSPPPHGRSSSLSTEQNLPPAEPQQELPNSRQPPPSTENGHKPVSSLLGAPTAANGSKPIFSAPLPPPPPPPPLSGGKPVFLAPPPPPPQPPSGTKPVLLPVPPPPPPPPPPPPPRISNVSSPQPPPPPPLPNSQTGAPLYAPTLQPQQNVPCHPVPPPPPPPPQVHGIAKKCPPPPPPPPRPPPSSITSATAAATLSKAPPPPPPPPPGAPSPPPPPPLPAGAVQRTPPAPAPPPLMTGKKAPAPPPPPPQAPKPPGIVPPPPTLPKGTGESGPPYPPSSKIANAPAPPPLGRGRAAIGSVKGRGIGLAHQSNPPKKASLKPLHWVKVTRAMQGSLWADAQNQGNQARAPDIDLSELETLFSTAVASNASEKGGTKRGLATSKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDTSVLDNDQVENLIKFCPTNEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRIAFSTQADELRTNLTTVNDATKEVKESPKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKVLAEEMQAINKGLEKVEQELAASVNDGAISVGFRKALKCFLDSAEAVVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAEAEKKKMEKEKEKEKASISAK* >Brasy9G208700.1.p pacid=40062224 transcript=Brasy9G208700.1 locus=Brasy9G208700 ID=Brasy9G208700.1.v1.1 annot-version=v1.1 MLLRSSSTPFLHSKFSSSSFSSSPSSLQLRRALSDGHLPSLNPSSSDNNNNNLHTELSFNIYNTFNSMAPVVPATPLEPHQEQEQEQEQDEEQAVVQPDLPELPLFLARGMGIDRIASGLFTAGDMGSAVARMMSGVDMKAVMALDAQYKEMVDEQPGNALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIISQYAKLVWAVHRDHDRSLVYFQKSVQAAPRDSHVLAAYASFLWEQDDDDSVEEEQGIGGAPDLPTGQPRELASAAV* >Brasy9G018800.1.p pacid=40062225 transcript=Brasy9G018800.1 locus=Brasy9G018800 ID=Brasy9G018800.1.v1.1 annot-version=v1.1 MASGIERFVATMVFCDAPFEGTLAPAQERRRRGFSVASFMAAAKESAKQYFSSGAETPSPAQLQGPVDGVRVFMEAVALEIPSFDRARTQGSIKQMASGMERFVATMVFCEAPFDGTLVLAVPAGHDSKGGDDGCCSVATFVAAAKETVEQFFSGGVVSPPPAQQQQQHGAVDEVGVFLDVAALE* >Brasy9G038200.1.p pacid=40062226 transcript=Brasy9G038200.1 locus=Brasy9G038200 ID=Brasy9G038200.1.v1.1 annot-version=v1.1 MARRNHLRVTIPFSFLVPSFLASFARRFFSGAGRAVVGCGEHQRRDFDGEGAAWARQSVALNAKPFGRGARRDPGAAAKGFLRGDEAQPEGAQDEPEPEAARRSGLQQQLREAGCRSSSESSWDWNDGNRELNIEDARSLATDKWNLDHVDYFVDCHYSLPDDRLWAIGGTSAGTLGYFRVKNDPAGAIGSAEAILEGGHTGVIRTIYPAGSSHQNLGQNRGIFGWTGGEDGRLCCWRSEETAAANKSWISSMLVSRAQKRIRSSRHQPY* >Brasy9G038200.2.p pacid=40062227 transcript=Brasy9G038200.2 locus=Brasy9G038200 ID=Brasy9G038200.2.v1.1 annot-version=v1.1 MARRNHLRVTIPFSFLVPSFLASFARRFFSGAGRAVVGCGEHQRRDFDGEGAAWARQSVALNAKPFGRGARRDPGAAAKGFLRGDEAQPVFNYHSSWDWNDGNRELNIEDARSLATDKWNLDHVDYFVDCHYSLPDDRLWAIGGTSAGTLGYFRVKNDPAGAIGSAEAILEGGHTGVIRTIYPAGSSHQNLGQNRGIFGWTGGEDGRLCCWRSEETAAANKSWISSMLVSRAQKRIRSSRHQPY* >Brasy9G030000.1.p pacid=40062228 transcript=Brasy9G030000.1 locus=Brasy9G030000 ID=Brasy9G030000.1.v1.1 annot-version=v1.1 MRCDVFTVPPDPARPTAHGVSLPPGGRAVIGWVLRRLHVWCALRLSWGFVSRITVSSGRAAAALGAAFGRGLSSRPFLLFLLLVRIGGRGGGIWLVGAVLFEVGSVALCASPLVAGLHVARLRASMDGEVSSSQGGQRRASRVAAGECSSGGRGRRRPRVVASDGSSLEGACRRPSRGAGAEARTSEGGGRRVDAREAPSSEGGCRRRRRVAAGEAPSNEGGRRCRRRVVLGKDSVSECGVDSAGGSCPSVRRSGAALALARAAAACALCGFGCPALAVSCWRRL* >Brasy9G057600.1.p pacid=40062229 transcript=Brasy9G057600.1 locus=Brasy9G057600 ID=Brasy9G057600.1.v1.1 annot-version=v1.1 MASLVFITLAAVLVLTHGHEHVPDPPLTSQMVPINIFSLSHGPEHVPLPRPNPKDVQAGIAVAVDGDAASGVTEEKGWFAGRPLANPTPLPPCSSFVRRC* >Brasy9G264500.1.p pacid=40062230 transcript=Brasy9G264500.1 locus=Brasy9G264500 ID=Brasy9G264500.1.v1.1 annot-version=v1.1 MVSDQEIASCVESVLRSAGGAGGGEGASLAAVLQRAQSELGVDLSHKAPYIRDQMDLFFGPRLQQPPPPPQQQQPKPQQAPPAASAPSPAMLTQVPLHVPPQQQLGTLQPQFIFQTMPQLPGATAAAAAAVSAPPAVPAMAFYPPPPLAFRYANNALGGVATGGTVSFQQPAPGVGGTSSPTPTPTAGAQAGGDNKESASKRKRGGPGGLNKVCAISPELQTVVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFETDATDMFKMNKLLAKHITPLDPKDQAKKLKAQNTAPPEMPPVNQPYLVVSAALAKFIGIEGSVPHHDALKYLWDYIKANQLEDATSTSIICDSKLQELFGCHSILASEVSELLAHHFIQRT* >Brasy9G259200.1.p pacid=40062231 transcript=Brasy9G259200.1 locus=Brasy9G259200 ID=Brasy9G259200.1.v1.1 annot-version=v1.1 MIRSSVHGPDKPSLGSAANSSGLRLFWPICSPDERTIPVSSLKCLEASLVLRKDQNRLRRTGAAEIPHSSRFRHPSAAQHKSIASSQRNRGSLPMRGSVRFIVETAARQIKPVNGFARLMTVSTPQKHEKEVISSESVPAKDENMEPLVAFSRPPPMPPVIGPLIALSLFQTSSSDEDNK* >Brasy9G194900.1.p pacid=40062232 transcript=Brasy9G194900.1 locus=Brasy9G194900 ID=Brasy9G194900.1.v1.1 annot-version=v1.1 MELEAGYVDWRGNAVDGRKHGGIKATLFLYVLVMLRSCPSSANFSIVAYFHGILHLDIVTSSAVIAYLVGAVSFFAALMNFISGAYIQRTTAIFVFGPFVVLAYMLLALQAHLPSLHPLDCEINKEPNNCEPPQGWNLTLLYLSLLMFAIGEGCMHACIPFLGGDQFSNDDPKETQIKGMFLRWLKFANNLGALIGLVFLVAASSKKRQAAVVDVIELQEIGASNCFDGEDKSNNKIICTTEAEDEREVIIRMLPILLSCLLIYLPFTLLMTLTIQVGSTMHRGIGAIQISSASLIAIPTAFHMFMQPCYRRILTPLLRRFTGHTNRITPMQRIGAGSACGIVAACLATLVETRRLTVAEQHGLTLTRAGVPMSVFWLVMQFFLLSIMDAASFTGLIEFIKSESSLEMKLIAPAVQSILAGIAAWLAYAFIQLANRATRNGDNGRGWLDGANFNRTRLDRFFLLLAAFELVALINYAFWARRYTKKKQSRAVGLSATDQETKQPKHR* >Brasy9G303900.1.p pacid=40062233 transcript=Brasy9G303900.1 locus=Brasy9G303900 ID=Brasy9G303900.1.v1.1 annot-version=v1.1 MAGMGRSSGADGGGGEADGGGISDCSPGTIVWVRRRNGSWWPGRILGPDELPASQVVSPKTGTPVKLLGRDDASVDWYNLEKSKRVKEFRCGDFDACIEKAMAMSCQGTPVKRREKYARREDAILHALKLESKQLASKYQNPGFRSDDISSIPFADMGREFDNFASEHFSRNNVQQPQLNLQSSATQQCKDLSTTRYKSKKSKKQKGDNSVILGKRKEYEQSIHVSSKRKFSGSLAWEATENTLSNHENGFSGLRHMQGESIIENGGENVALKKERSGKGLFEGSLVKKHDRCRPLAQAVQSRVKSFQHNDNSETVLVEKGKDSLPAICSTKRSGDKYLSADCGDVHTHPDISAMHDSFSGGQPVPDFVEKHGSDFSVSECSETETEDDTELLQRYTKRQSPELDACDPNSLQAPNKLRHVNDNSDDDELTFSTYMPRQNGLEDEDGSSELGVSQWHMKGKRNQRSAARRPVGKADENLSLDKSSSLMEGSWKKADEGDSKIGSIGASSHQSFGQSFSEDQELDCDSDGKNLIDKATSYSELNRYHGKDYPDKPSLLNQNGDQVPSLGQKAYQEGSSSYQRNCGPRLGYMGTSLFDVELKVQAIYQGERVPLVSLMNMNRKAIVGHPIQIELLEDGSTDHFVLGSDIVLGHSTGAAPAWRKGRRTAMPRVPRSNSSRATLDSDAAPSNQQPGLAKNISSSGRPFSQKSRKKPSNFKKGNSPSGKIRILSSIPIAKKRLRECGLAKAHRSSNILGGLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSHAVANRV* >Brasy9G140000.1.p pacid=40062234 transcript=Brasy9G140000.1 locus=Brasy9G140000 ID=Brasy9G140000.1.v1.1 annot-version=v1.1 MTAATKACSTRNSFLDESMRGVHRNFNPSNQVICPAPALASVGRPLLCLFWGPGMCSGPSIYLPIHPSPLPLFQAPGSHEWPLGAAWHHPGGLVVGGVGAIAAKTGIVRRFDFPMH* >Brasy9G251100.1.p pacid=40062235 transcript=Brasy9G251100.1 locus=Brasy9G251100 ID=Brasy9G251100.1.v1.1 annot-version=v1.1 MASNSSAAAAAAFFGIRDGDQQDQMKPLISQQQQLAAALPGMVGNAAAPATSSQGAPAPAAPPAKKKRTLPDPDADVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPTSLSSLTSHLYGGGGAPNAGNMALSLSQVGSHLSSTMHHDHPELLRLGGNGGGGGGSSIAARLDHLLSPSGPSAFRPPLSSPFFLNAAPGHGPDFGGDDHQNGAGPSSFLGQPSNNKPFHGLMQLPDLQGNGAGGGPAAGPSSGPHGLFNLGGFFSTNGNSSGSSGHEHAASQGGGMMNSNDNNQFSGGGSDVSAAGIFAGNNNNFVGGTAGDHHQIAGMYNEQQQQAMQLPQMSATALLQKAAQMGCTTSNNNGGGVAGSMFRGFVGSAAAHAQMGQNDQQARLNDLMNSLAGGNPAGIMFGAAGSNNGAGMFDPRMCDMEAAHEVKFSQGGGDMTRDFLGVGGGGIVQQRGMSAATPRGGGGEQHHQSSSDMSSLEAEMKSASSYNGGGGGGRMA* >Brasy9G251100.2.p pacid=40062236 transcript=Brasy9G251100.2 locus=Brasy9G251100 ID=Brasy9G251100.2.v1.1 annot-version=v1.1 MASNSSAAAAAAFFGIRDGDQQDQMKPLISQQQQLAAALPGMVGNAAAPATSSQGAPAPAAPPAKKKRTLPDPDADVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPTSLSSLTSHLYGGGGAPNAGNMALSLSQVGSHLSSTMHHDHPELLRLGGNGGGGGGSSIAARLDHLLSPSGPSAFRPPLSSPFFLNAAPGHGPDFGGDDHQNGAGPSSFLGQPSNNKPFHGLMQLPDLQGNGAGGGPAAGPSSGPHGLFNLGGFFSTNGNSSGSSGHEHAASQGGGMMNSNDNNQFSGGGSDVSAAGIFAGNNNNFVGGTAGDHHQIAGMYNEQQQQAMQLPQMSATALLQKAAQMGCTTSNNNGGGVAGSMFRGFVGSAAAHAQMGQNDQQARLNDLMNSLAGGNPAGIMFGAAGSNNGAGMFDPRMCDMEAAHEVKFSQGGGDMTRDFLGVGGGGIVQQRGMSAATPRGGGGEQHHQSSSDMSSLEAEMKSASSYNGGGGGGRMA* >Brasy9G251100.3.p pacid=40062237 transcript=Brasy9G251100.3 locus=Brasy9G251100 ID=Brasy9G251100.3.v1.1 annot-version=v1.1 MASNSSAAAAAAFFGIRDGDQQDQMKPLISQQQQLAAALPGMVGNAAAPATSSQGAPAPAAPPAKKKRTLPDPDADVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPTSLSSLTSHLYGGGGAPNAGNMALSLSQVGSHLSSTMHHDHPELLRLGGNGGGGGGSSIAARLDHLLSPSGPSAFRPPLSSPFFLNAAPGHGPDFGGDDHQNGAGPSSFLGQPSNNKPFHGLMQLPDLQGNGAGGGPAAGPSSGPHGLFNLGGFFSTNGNSSGSSGHEHAASQGGGMMNSNDNNQFSGGGSDVSAAGIFAGNNNNFVGGTAGDHHQIAGMYNEQQQQAMQLPQMSATALLQKAAQMGCTTSNNNGGGVAGSMFRGFVGSAAAHAQMGQNDQQARLNDLMNSLAGGNPAGIMFGAAGSNNGAGMFDPRMCDMEAAHEVKFSQGGGDMTRDFLGVGGGGIVQQRGMSAATPRGGGGEQHHQSSSDMSSLEAEMKSASSYNGGGGGGRMA* >Brasy9G251100.4.p pacid=40062238 transcript=Brasy9G251100.4 locus=Brasy9G251100 ID=Brasy9G251100.4.v1.1 annot-version=v1.1 MATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPTSLSSLTSHLYGGGGAPNAGNMALSLSQVGSHLSSTMHHDHPELLRLGGNGGGGGGSSIAARLDHLLSPSGPSAFRPPLSSPFFLNAAPGHGPDFGGDDHQNGAGPSSFLGQPSNNKPFHGLMQLPDLQGNGAGGGPAAGPSSGPHGLFNLGGFFSTNGNSSGSSGHEHAASQGGGMMNSNDNNQFSGGGSDVSAAGIFAGNNNNFVGGTAGDHHQIAGMYNEQQQQAMQLPQMSATALLQKAAQMGCTTSNNNGGGVAGSMFRGFVGSAAAHAQMGQNDQQARLNDLMNSLAGGNPAGIMFGAAGSNNGAGMFDPRMCDMEAAHEVKFSQGGGDMTRDFLGVGGGGIVQQRGMSAATPRGGGGEQHHQSSSDMSSLEAEMKSASSYNGGGGGGRMA* >Brasy9G334400.1.p pacid=40062239 transcript=Brasy9G334400.1 locus=Brasy9G334400 ID=Brasy9G334400.1.v1.1 annot-version=v1.1 MTHASSIGGPLGPLKGERREICSGALVVFGCAKEAKKKKIKAPAPRTSKISNVQYYYGGQVSGTRSTTATTSTAAKPKGPARASSLPGPDMSLAMAAIVTSSSAASSGGGGHHAHGHGGGCGGGGHSHGGGGGCGGGGGGGGCGGGGCGGGGGCGGC* >Brasy9G079900.1.p pacid=40062240 transcript=Brasy9G079900.1 locus=Brasy9G079900 ID=Brasy9G079900.1.v1.1 annot-version=v1.1 MVGYRRMGIVEHKLGNITATSATLSHQLRDLWKSPRGTVVRIEALALLAIALSFFLAAFGSCRRWSGHWIIQKGVLAANVVSLSLGTYSIGLMQSSPVKSEVYPIWAVSLLALFGCIDWITAYGLGYKNQLWNMLYQLCLYYGYVLLISISTISSDVGYIAIIMLSSITLLKGFHRSLALVLPSIQRNMIQMIEATMTAEVVGYSTRSDDPDQLSFPGDFIGYRYVVHWPLDKSKAKFLPESSPEDITIDKIRECSEVRFLSDVCLSFSLSHLLQRRFYRLQCAESKHRVAHKFVLEGLLLSRDGAIDYKRVFKVIEVELAFLYDTFFTSKAFLYYYESKVATIWALALIMGICFVGVAAVIPGAGRRSTHTTHGGAIVVGTTTTDLTITLAILVSLASLQFFHLIRCWSSNWARVAFACDYIKNGKQLSCWMRLRRWIVGRTDSDKNSLWKNKLGQYSLIESISTTECKLRSVLGGCGYQICSRLLGILGLRYIEQAIQEMWGVKTGDDIELHADVKTAIVDFLIKSKGKLHNWHSSWERNGWSVDSGFFIFLPDHAVTIMRWHVATCYCELVMHKEGVAVRDEDVEETVKKNHGVATALSKYCMYLMVSAPRLLHRKELGTKSVYNNVAHAARMSLHGVKDKLEAMRRLEQDDESSEGARIFQQGVAFGKRLEAMSNRWEVLAEFWAGALVYAAPSDNVQEHMEYLAQGGEFITHLWALLSHAGILKWRGGSTDYDESPVLVESEDDSESADGSEDDSESASDGSVADSEPADATESADASG* >Brasy9G366800.1.p pacid=40062241 transcript=Brasy9G366800.1 locus=Brasy9G366800 ID=Brasy9G366800.1.v1.1 annot-version=v1.1 MSPPRAPLLTTAQRRRPLPIPSAEQSRAEQSPEMSSKLQLPADDSVVLLVTHSNLTTFSSDIRVSQQTTVEALKDKLWRKTGTAVASMRLELRDETGARVADLDRDAAPLASYSPYNGYRLHIIDLDPSSVTSGGWLEDTSLVEKYTISDEAYDKLGTNFRKFKEQMASKNPVAGDKQQSDNQMEELCANIKVGDRCEVEPGAKRGTVKFVGKDEALGRGFWVGVQYDEPLGKHDGMVKGIQFFECPQGHGAIVRPDKVKVGDFPERDPFDEEDEI* >Brasy9G226800.1.p pacid=40062242 transcript=Brasy9G226800.1 locus=Brasy9G226800 ID=Brasy9G226800.1.v1.1 annot-version=v1.1 MVTHLLCHYDRDKSCLQKATNRVDQSPGILLPN* >Brasy9G091500.1.p pacid=40062243 transcript=Brasy9G091500.1 locus=Brasy9G091500 ID=Brasy9G091500.1.v1.1 annot-version=v1.1 MTMDLMGGYGRADEQAAIQEAAAAGLRGMEHLILQLSQTGTGAESSLEITDMTVSKFKKVISILNRTGHARFRRGPVVAQSQGPEHEQQAPVVVRSSSVTLDFTKAGYGNKDAGLSVSAASSSFLSSVTGDGSVSNGRGGVCGKPPLAAFAGHKRHKCHDHAHSENVAGASGGRCHCSKRRKSRVRRMTRVPAISSKAAEIPADDFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPNEPSMLIVTYEGDHRHAPADQEPPPPLAALSEL* >Brasy9G175500.1.p pacid=40062244 transcript=Brasy9G175500.1 locus=Brasy9G175500 ID=Brasy9G175500.1.v1.1 annot-version=v1.1 MDGAERTFKANFSAEGVALLRERVRGKLNEVLKDYTDDTLVEYVVVLLRNGRRKDEAAKELKVFLDKDNDAFVSWLWDHLSSNLHLYVQPKAVSTNDESKNTRSTARGLPVHSSSSNIQTSREPEAETQKTARVQQKREWGGIVREQSDAVPIRNFVTPVSHAEESSYPKSHAVRRSSSPDMHHHRKRGREEDTRPIKRTSHQVISAPRRLLQFAVRDAVAQPMTPRSESSSKRLRSVVSTIETDPTVNGRLQRTKSDVRVPVAAAAFRAAAEAAEDVLKDRYSESVFDRLGRRPLLSATEEPFDFREQDPEDGEYEDIDNSRAENEIEFHERNQYVGSDTHMYDRETVKAVRSAFDIDRYDDTVAVRHKGLDSYRSTYPSSGGKESLVVGYNMAQGAAEARSRKSIAQDRHASSGPRPSEKDLYISTNTRTPLNHETARNAGTLVPQVPVEKKCIGPRESNATVAHANDTRMTDNSKDSVHSSLFVETPKVSSVAGGSHSTGQPEGGPDSRTVFVNNVHFAATKDALSRHFNKFGAVLKTLIVTDGVTGQPTGSAYIEFLQKESAEQALTLNGTSFMSRILKIVRRSSVEVPQLPGWSRASRGPPFASRLIRTAYPRPMFPGAIRGRLALRGNARSLQWKRDAADSVDAGKPSQTTPVTPGSQMVSATRSFTYTRTEPKQDVGAAASI* >Brasy9G175500.2.p pacid=40062245 transcript=Brasy9G175500.2 locus=Brasy9G175500 ID=Brasy9G175500.2.v1.1 annot-version=v1.1 MDGAERTFKANFSAEGVALLRERVRGKLNEVLKDYTDDTLVEYVVVLLRNGRRKDEAAKELKVFLDKDNDAFVSWLWDHLSSNLHLYVQPKAVSTNDESKNTRSTARGLPVHSSSSNIQTSREPEAETQKTARVQQKREWGGIVREQSDAVPIRNFVTPVSHAEESSYPKSHAVRRSSSPDMHHHRKRGREEDTRPIKRTSHQVISAPRRLLQFAVRDAVAQPMTPRSESSSKRLRSVVSTIETDPTVNGRLQRTKSDVRVPVAAAAFRAAAEAAEDVLKDRYSESVFDRLGRRPLLSATEEPFDFREQDPEDGEYEDIDNSRAENEIEFHERNQYVGSDTHMYDRETVKAVRSAFDIDRYDDTVAVRHKGLDSYRSTYPSSGGKESLVVGYNMAQGAAEARSRKSIAQDRHASSGPRPSEKDLYISTNTRTPLNHETARNAGTLVPQVPVEKKCIGPRESNATVAHANDTRMTDNSKDSVHSSLFVETPKVSSVAGGSHSTGQPEGGPDSRTVFVNNVHFAATKDALSRHFNKFGAVLKTLIVTDGVTGQPTGIH* >Brasy9G330300.1.p pacid=40062246 transcript=Brasy9G330300.1 locus=Brasy9G330300 ID=Brasy9G330300.1.v1.1 annot-version=v1.1 MEQPEMEMEQPAAVSEVLGLDHLLDQILLRLDIPVFLVLASRVDKTWRRRATDRDFLGRFRNRLACRPRPRPLGFYVSFVDALGLQNSAQDRTKFVPMPQHPELADVMSSAFFGNFSDNLDPGEHPVVLDCRNGRVLLNQSRRDAGPYVVLGPLDPAPAPAQLPPLPPHPLRNKMFWFLADYRRDGALCVYVGIPTQLHQPKDMVRLALLQGDNWVSNVSYVTGLSATLGFPFDQLLVGDNLYMLSSNGYIVRLDLVSMSFQIGGLTFFLDWWTDLDADKQILSRAYDDFGINFIEIKGLHLHVWVCKSDGGNAGTWMREADISLPAAFGDLANLIADEDGDVVDEPDDRRTRKVEEVYGRLVEDGEVFRIRHFMMT* >Brasy9G139700.1.p pacid=40062247 transcript=Brasy9G139700.1 locus=Brasy9G139700 ID=Brasy9G139700.1.v1.1 annot-version=v1.1 MAAPLALPSAAIIIVVLLLSSGPTTAKVEVAAVLEEAVLTLDVSNFSEVVGKLQFIVVEFYAPWCGHCKELAPEYEKAASMLRKHNPPVVLAKVDAYDEGNKELKDKYEVHGYPAIKIIRNGGSDVSGYAGPRNADGIVEYLKKQVGPASIELRSALDATRSIGNKGVVLVGIFPEFSGVEYENFMAVADKMRSDYDFFHTSDASILPHGDQTVNGPLVRLFKPFDELFVDSQDFDKDAIKKFIEVSGFPTVVTFDDEPTNHKFLERYYSTPSAKAMLFLRFSDDRVEAFKGQMHEAARQLTGNNISFLIGDVSAAERAFQYFGLKERDIPLLLVIASTEKYLNPTMDPDQLIPWMKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKLAPILEDVAVSFQDDEDIFIAKMDGTANDVPTDFVVEGYPALYFYSSSGGEILSYKGARTAEEIISFIKKNRGPKAGALEEVTQTDAVQEEVTSTSSPSESAKDEL* >Brasy9G365200.1.p pacid=40062248 transcript=Brasy9G365200.1 locus=Brasy9G365200 ID=Brasy9G365200.1.v1.1 annot-version=v1.1 MLRAEEELTRSVNKEMAMEEKRYDRYRRGSKTAVLTCSLETNTTLSPMYFTHCTPGKETQDDLTATTGASLQIFSFKIAEIKAGLQWPLYVYGVVAARDKVDYNRNILFNRTRDNAQLVTLDDPFLRLIGPARGILPDLDFEVELRAKSISSRRKYRDRALINDRYPYIWAFHYDGLYTGVFDKSVQATILGVRVVKGTFRYGGRVAFSSPSHQVAVVDSKGAIQEVIDPPSTLVVLLDSHYCDGGEMPMGTDGYLDLTRRVVSVELRESHFLYPEKLEETFKLVIQAYSKSGDIAAQGHVKLAPKLSNRM* >Brasy9G216200.1.p pacid=40062249 transcript=Brasy9G216200.1 locus=Brasy9G216200 ID=Brasy9G216200.1.v1.1 annot-version=v1.1 MASSSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYDELASKGDIEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGERMKKNAEDAGVNAHYYEDVNVPTGTCAVCVVGGERSLVANLSAANCYKSEHLKRPENWKLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKTLPYVDYIFGNETEARTFAKVRGWETESIEEIALKISQLPKASGTHKRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFD* >Brasy9G106800.1.p pacid=40062250 transcript=Brasy9G106800.1 locus=Brasy9G106800 ID=Brasy9G106800.1.v1.1 annot-version=v1.1 MCYLLAAAAPPEVLMVEDVFVLVAKVSTKQGMWREKDGINTKSKSNHKLASATNRRGLLGP* >Brasy9G131800.1.p pacid=40062251 transcript=Brasy9G131800.1 locus=Brasy9G131800 ID=Brasy9G131800.1.v1.1 annot-version=v1.1 MVPSPSRGRTRGRASILRSTHAGRRGRSAMSVSRGRGSGCGNITLPADEIWNPKLFGCQKPNVLEDIFSKFNHFKLLLMKEISFDGLAEMPNLQWNRQFSFFCLYQTDADGDPIEFEYCDGTRVPMYPSHVHDIIGVPSEGLHISVIDEEIPREIVEEVCTALGVQELTLSNVWRVVERVIDERSTKQEQEAFKIGIVIMAFAFMLDCRDRDQKMPRYLLPYLINVDKLKQVNYGRCVLDILGIAARKVRELKRSRYSTCTVGGCSIVSQPAERRQMFSPE* >Brasy9G270900.1.p pacid=40062252 transcript=Brasy9G270900.1 locus=Brasy9G270900 ID=Brasy9G270900.1.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFSREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASGVLSGSGVLNARLPGSTTSSGLLAQMISMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.6.p pacid=40062253 transcript=Brasy9G270900.6 locus=Brasy9G270900 ID=Brasy9G270900.6.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASGVLSGSGVLNARLPGSTTSSGLLAQMISMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.2.p pacid=40062254 transcript=Brasy9G270900.2 locus=Brasy9G270900 ID=Brasy9G270900.2.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFSREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.3.p pacid=40062255 transcript=Brasy9G270900.3 locus=Brasy9G270900 ID=Brasy9G270900.3.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.4.p pacid=40062256 transcript=Brasy9G270900.4 locus=Brasy9G270900 ID=Brasy9G270900.4.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFSREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.5.p pacid=40062257 transcript=Brasy9G270900.5 locus=Brasy9G270900 ID=Brasy9G270900.5.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL* >Brasy9G270900.7.p pacid=40062258 transcript=Brasy9G270900.7 locus=Brasy9G270900 ID=Brasy9G270900.7.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFSREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGK* >Brasy9G270900.8.p pacid=40062259 transcript=Brasy9G270900.8 locus=Brasy9G270900 ID=Brasy9G270900.8.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNEVSRQGRENVNPDSSIKASNRVLSMKYAPSSGATASNEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGK* >Brasy9G270900.9.p pacid=40062260 transcript=Brasy9G270900.9 locus=Brasy9G270900 ID=Brasy9G270900.9.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFSREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGK* >Brasy9G270900.10.p pacid=40062261 transcript=Brasy9G270900.10 locus=Brasy9G270900 ID=Brasy9G270900.10.v1.1 annot-version=v1.1 MGSRQLNNHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDAIQRPDAKTLLMHPWLQNSKRASPSLRQPVRSVRHIDEDEEAPSGDNNAVFSGPQGDTKTPVASDIEQEDAMKELVSESAGRGNSDELHDGKPAESSSSNSVEIMNDSAVLTKDPTLVFHEKPSLESSSGVTDLNGKVTNELSQDGLPSRNSQESKKGDSKNVEPENKDRSSIEDDDAFSFQAGRQNIDFPKEAKPLAVEGANGLSRFSDTPGDASLDDLFPIDKRGDHGAIASTSTTSQELQYSGRQNDLAKELKDRMSKKQKENDNEHGGKLLEYIRFREDDLDVAGFHDNIPGDNLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTSFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRLKSGQLDQPMLESSKARLDHYHSSGSLQSLQADADKHHILLEPSASPRFNDITAAGHMERNDNDLVKPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHISGLERHESILPLLHASTERKTNGELDLLMSEFNDVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMSFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGK* >Brasy9G133400.1.p pacid=40062262 transcript=Brasy9G133400.1 locus=Brasy9G133400 ID=Brasy9G133400.1.v1.1 annot-version=v1.1 MDIPVEFIGAIDVGSATERIIGYLQDKDNNERVMYFHGWSGVGASAVLRSIADVLPSRRTAPLLCFDRIIHIDCSVWKNRRAMQRAIVKELKLDRSVMAILDEKDEDDDFYGLLETSRNEVLGVSRAIYQTLKDIKFVLTFHNGSDEEIDLNGCGVPSFSKFGNNFLIWTFGRRFLTIKDDDHEKEKLVEKLRFTHVLAYDRTSYLSGKQFYAVLCKETATIVEGINPSMIADCHVYELFLHCSFDTTTKFDWAGHSPNYWICDGILQEDKQCAIQKDKLGVRCFFA* >Brasy9G296800.1.p pacid=40062263 transcript=Brasy9G296800.1 locus=Brasy9G296800 ID=Brasy9G296800.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPSQSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLLEREPHQSWLRRLEDKLRSVDAAITCTCTSDVIQHQASVRPPRHSTHRQHPLQQEPPDLRHHPRPRLAQQSTPRPPPPDQAGGSSWQHPQSSFDYWQEQSPFQAGGSSWQQESPAMNFEFRPQTQPQGTYAHQASLSEPSWGSEHDQGQGDDFSS* >Brasy9G010800.1.p pacid=40062264 transcript=Brasy9G010800.1 locus=Brasy9G010800 ID=Brasy9G010800.1.v1.1 annot-version=v1.1 MVMRRVACWAVLFVAVGLIGGGGRLLAASPLWPEEEDPFRLASADYDSGSEDAAVKVVEAFPCGAYSASTRSCEEMNGSGSFNTTCVITSSSSLDGDVCVYGTGNVEIRPLVKIICPLKGCYIAFNVSGSITVGEHVELIAGSVSLYATNVSLGRHTIINTTGMAGDPPSQTSGTPHSLEGAGGGHGGRGASCKVSNHTNWGGDVYDWPELETPWSYGSKGGSLSADHQLGGDGGGRVMLRASEIMNVDGDVLAEGGVGSLRGGGGAGGSIIIQAVNLYGNGTISAAGGNGWGGGGGGRISLDFYSIQQDLEITVHGGQSFGCPQNAGAAGTIFECSLHSLKVSNGNFSTNTETPILGFSMKRLWSNVLVECNAKVLVPLLWSRVQVTGQIRVLSKGSICFGLSQRPVSEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVILASMLEARNLVILKHGSVISSNAALGVYGQGLLNLSGPGDGIKARRLFLSLFYSIEVGPGSLLQAPLDEDVQSSLDALSACESKTCPTELITPPDDCHVNSSLSFTLQICRVEDITVGGIVRGSIIHIHRARTVTVTNDGAISASELGCKQGIGKGTFFKYGAAGGAGHGGQGGVGIYNGMRSVGGRQYGNAYLPCELGSGSGSAESADYSAGGGLIVIGSMKWPLSRLLIYGSVSSDGESNRGTTGNSNGTFKGGTGGGSGGTILFFLQRLLIEKNSSLSASGGNGGIHGGGGGGGGRIHFHWSNIATGDEYVQIASINGTVASSGGSGNEDGHIGEGGTVTGKKCPMGLYGIFCTECPVGTYKNLVGSNSSLCTPCSLDILPNRADFLYVRGGVTQPSCPYKCISAKYKMPNCYTPLEELIYTFGGPWFFAIILSTAIIILALILSAIRVKIGEGGVTYRATNAIQNDTYSSFPHLLSLAEVPGSSMRDETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIPIVYEDAFNRFIDDINLVAAFEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEFVRSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTFMIQKRFPMCLIFGGDGSYMSPYHLHCDSLLSSLLVQYVCTTTWNRLVDGLNAQLRTVKQGSIRSTLGPVVCWINSHANPQLELHGVRVELGWFQATASGYYQLGIVLAVNEHFYKNHNHHEHAPDSGDRSRKNFAVTLQCSRQASQGQPCASNAVSRKRLTGGVNGGVINEGTLKSLDYKRDYLFPFSLLLQNCRPIGYAERLQLLICIVLVGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFTLWNTTSVVNITVAIIYGAMYCGISSLTMSSVHASHTKGFKSREDNEWWILPLVLFLVKSLQAVLVNWHVANLEIQDYSLFSLDPDRFWAR* >Brasy9G010800.2.p pacid=40062265 transcript=Brasy9G010800.2 locus=Brasy9G010800 ID=Brasy9G010800.2.v1.1 annot-version=v1.1 MVMRRVACWAVLFVAVGLIGGGGRLLAASPLWPEEEDPFRLASADYDSGSEDAAVKVVEAFPCGAYSASTRSCEEMNGSGSFNTTCVITSSSSLDGDVCVYGTGNVEIRPLVKIICPLKGCYIAFNVSGSITVGEHVELIAGSVSLYATNVSLGRHTIINTTGMAGDPPSQTSGTPHSLEGAGGGHGGRGASCKVSNHTNWGGDVYDWPELETPWSYGSKGGSLSADHQLGGDGGGRVMLRASEIMNVDGDVLAEGGVGSLRGGGGAGGSIIIQAVNLYGNGTISAAGGNGWGGGGGGRISLDFYSIQQDLEITVHGGQSFGCPQNAGAAGTIFECSLHSLKVSNGNFSTNTETPILGFSMKRLWSNVLVECNAKVLVPLLWSRVQVTGQIRVLSKGSICFGLSQRPVSEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVILASMLEARNLVILKHGSVISSNAALGVYGQGLLNLSGPGDGIKARRLFLSLFYSIEVGPGSLLQAPLDEDVQSSLDALSACESKTCPTELITPPDDCHVNSSLSFTLQICRVEDITVGGIVRGSIIHIHRARTVTVTNDGAISASELGCKQGIGKGTFFKYGAAGGAGHGGQGGVGIYNGMRSVGGRQYGNAYLPCELGSGSGSAESADYSAGGGLIVIGSMKWPLSRLLIYGSVSSDGESNRGTTGNSNGTFKGGTGGGSGGTILFFLQRLLIEKNSSLSASGGNGGIHGGGGGGGGRIHFHWSNIATGDEYVQIASINGTVASSGGSGNEDGHIGEGGTVTGKKCPMGLYGIFCTECPVGTYKNLVGSNSSLCTPCSLDILPNRADFLYVRGGVTQPSCPYKCISAKYKMPNCYTPLEELIYTFGGPWFFAIILSTAIIILALILSAIRVKIGEGGVTYRATNAIQNDTYSSFPHLLSLAEVPGSSMRDETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIPIVYEDAFNRFIDDINLVAAFEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEFVRSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTFMIQKRFPMCLIFGGDGSYMSPYHLHCDSLLSSLLVQYVCTTTWNRLVDGLNAQLRTVKQGSIRSTLGPVVCWINSHANPQLELHGVRVELGWFQATASGYYQLGIVLAVNEHFYKNHNHHEHAPDSGDRSRKNFAVTLQCSRQASQGQPCASNAVSRKRLTGGVNGGVINEGTLKSLDYKRDYLFPFSLLLQNCRPIGYAERLQLLICIVLVGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFTLWNTTSVVNILQESGGQ* >Brasy9G214600.1.p pacid=40062266 transcript=Brasy9G214600.1 locus=Brasy9G214600 ID=Brasy9G214600.1.v1.1 annot-version=v1.1 MDHHLKANPDSPLSGGGGSSSGVGGSSSSSISSSPSSVGNTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHASACPFFGHPTPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGSPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQLLLQHPGDSSGGGFRQPPPGPPPAAGC* >Brasy9G258400.1.p pacid=40062267 transcript=Brasy9G258400.1 locus=Brasy9G258400 ID=Brasy9G258400.1.v1.1 annot-version=v1.1 MAHAPGRAASTLRLFDAHCHLQDPRISAAAPSLIRAATASGVARFAVNGTSEKDWHLVKQMAQEHPSVIPCFGLHPWWVPERSPDWMDSLRRYFSETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELEKPVSVHCVRAFGDLLEILKQSGPFPAGVLLHSYMGSAEMVTSLANLGCYFSLSGFLTGLKSTKAKQILKAIPLDKILLETDAPDALPKMDDVSLSTVLVDSSDADGYKCHRDANSQAATSSNESLNHPANIHIVLKFVASLLEMPETTLAEMSYKNAIQLFSYPGSKVHPEAETV* >Brasy9G320700.1.p pacid=40062268 transcript=Brasy9G320700.1 locus=Brasy9G320700 ID=Brasy9G320700.1.v1.1 annot-version=v1.1 MAMHYIPIFFLLFLSSFCKSDDQLTRTKPLTHDDILISKGGDFALGFFSLTSSNKNFYLGIWYHGIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSKGHNIWTTTNNKVVGGAEAFAVLLNSGNFVLRLSNAMDPMWQSFAHMRVLVSYKGQVAMSLVAWKGPDDPSSGDFSCSGDPSSPALQKLVWNETMPYYRINVLNSVSISGGITIYPSNASSIVFETSISLDDGLYYMFTVSDGSPFARVTLDHTGTFRCLSWNNLLLSWAVISERPKAACDVYASCGPFSYCDLTRTAPTCQCLDGFEPDDPKFSRGCRRKEELKCDKQSHFVTLPGMKVPDKFLHIKNRSFNECAAECSSNCSCTAYAYSNLSSVGTMADSSRCLVWTGKLVDTGKLMNFGENLYLRIANSPDKTKMAMHYSLIFFLMFLSSFCKSDDHLTQEKPLTHDDILISKGGDFALGFFSPTNSNDSFYLGIWYNKISGRRTVVWVANRDDPITTPSSVLLNTGNFVLRSPNTTDIWQSFDHPTDTILPTMKILVSYKAQVVGRLVAWKGPDDPSSGDISSSGDPSSPNLQRIIWHGTRPYCRSIVLNGVSVSGGTYLSNSSSVVHETAIAQGEKFYFMFTVSDGLPYTRVTLEHTGMLRALCWNYHSSSWTSISESPKAACDMYASCGPFSYCDLTRTPPTCQCLDGFEFSDLQFSRGCQRKEEMKCDKQSHFVTLPGMKVPDKFLHIRNRSFQECVAECSGNCSCMAYAYAYLSSAGAEADPTRCFGELIDTGKSSDTGENLYLRLADSPALFCCPPA* >Brasy9G113600.1.p pacid=40062269 transcript=Brasy9G113600.1 locus=Brasy9G113600 ID=Brasy9G113600.1.v1.1 annot-version=v1.1 MLAPDVVSYNALMDGYIKAGLLGLATKEFMRMPQRDAVSWGTVVAGCAKAGRWEEAVVLFDRMRWEGFRPDDIALAAVLSCCAQLGALEKGREVHEYVRQSRPRPNVFLCTGLVDLYAKCGCVEIAREVFDTCPERNVFTWNALIVGLAMHGHGTVTLKYFDRMLAEGFRPDGVTFLGVLIGCSHAGLIDMAKRIFFEMERMYGVSRELKHYGCMADLLGRAGLIEEAMEMVKKMPMEGDTYVWGGILAGCRKHGNVEEAEVAARHLLELNPEDGGVYSVMTGIYADAGRWEAVARVRKLMDERVGARNVGCTSITTDH* >Brasy9G245800.1.p pacid=40062270 transcript=Brasy9G245800.1 locus=Brasy9G245800 ID=Brasy9G245800.1.v1.1 annot-version=v1.1 MANPSVASRAEEMTRPSKSLSKAVRRRAASVSQKLAARGLCTFVWHKKLDRDLSRGLVPDIVSLRSELRRCLARRKEAAAVSSSSAASRRTSPPRNTAASLARAEEDAKEAAFLLEQSRLRAETRFGHGRPKPIDVLVKSLDGTLRCAVTAFRGASLEDLRDGKEIAEHAGLDRANGPFWEAAKIMCDAEIAKAAGTAAGPWTAGRGEISLLHPVIVADVKGAVGCKSTEELDEMQETIAARLATGQAMVVEYWQEVMELIRVERARKRLRGRRRGGVRSHLPGRAAADAAGAGADEAQLPKYIARVRSGFEWNKYNRVHYDHDHPPPKTVKGYKFVVFYPDFAGTKATGYAVHKDGDGESGETCIIRFHAGPPYEDIAFRIVNKEWEYSRKAGFRCTFDRGILHLNFHFKRFFYKR* >Brasy9G162500.1.p pacid=40062271 transcript=Brasy9G162500.1 locus=Brasy9G162500 ID=Brasy9G162500.1.v1.1 annot-version=v1.1 MAGGGAGCGWNNVPPTSSPSCRRRRPLSSLAPATRPPARVPLRLSNLHGHGRLLPRAPAGFPAMPQSSLPRPASLPRSVSSAPSRSLPPVFPICVGTERFFCWIQPPRSSPPRPAGPTPAVRRGVLQWPVAPTDATALHGVLHQSPAGLRCPPRTPAAASPARDAVPRAPPPPHILHRRVRLVELSEATENARFGL* >Brasy9G162500.2.p pacid=40062272 transcript=Brasy9G162500.2 locus=Brasy9G162500 ID=Brasy9G162500.2.v1.1 annot-version=v1.1 MAGGGAGCGWNNVPPTSSPSCRRRRPLSSLAPATRPPARVPLRLSNLHGHGRLLPRAPAGFPAMPQSSLPRPASLPRSVSSAPSRSLPPVFPICVGTERFFCWIQPPRSSPPRPAGPTPAVRRGVLQWPVAPTDATALHGVLHQSPAGLRCPPRTPAAASPARDAVPRAPPPPHILHRRVRLVELSEATENARFGL* >Brasy9G156000.1.p pacid=40062273 transcript=Brasy9G156000.1 locus=Brasy9G156000 ID=Brasy9G156000.1.v1.1 annot-version=v1.1 MSWAGPDEMLLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPSHMTCVSEADIRTAEKAEKEARDLKGTMRKRMEFLDFD* >Brasy9G156000.2.p pacid=40062274 transcript=Brasy9G156000.2 locus=Brasy9G156000 ID=Brasy9G156000.2.v1.1 annot-version=v1.1 MSWAGPDEMLLSTSLAGFLDTNVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPSHMTCVSEADIRTAEKAEKEARDLKGTMRKRMEFLDFD* >Brasy9G342100.1.p pacid=40062275 transcript=Brasy9G342100.1 locus=Brasy9G342100 ID=Brasy9G342100.1.v1.1 annot-version=v1.1 MMNTKKIKLHDRQYCNGMAPPAPQLARHLMVPAASYDSAGAGGFSSPGFRHGFSSSALNYNHHQQIQQQHGGGWMQEEYAVAPSCVVGSNTAMFYAAEKFLGMTQLDCCPPLRMLPPQMPPPPTMPTPLELDRSAVRTYFVRPQQRRGDAADLPLPPPPQQQQESVQFHSHHGLYGNGSNNGSSAVEAHSFVPAAMDLQAPSGLQMSQTAESGHGQMPRSCVGVHPAPAPSKTRIRWTQELHERFVDCVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRTVKCVPSSSSSSEGKQQEKRAAGSDDVPNLDPKTGGMHITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQKIFKEQLKASGNAAAAAPGSPEPGCGAGDDVVIFPVSDDDDDDVQLLSVASSSYDEDLLAL* >Brasy9G254800.1.p pacid=40062276 transcript=Brasy9G254800.1 locus=Brasy9G254800 ID=Brasy9G254800.1.v1.1 annot-version=v1.1 MLCLDVTHGLGTAATDLAGSGRGTNGWAGNAHGSRRIRLGHQGGGGLGTAADQGNNEVEACSSCSWQRSSQRFMGAAVVISGEEEEVAQRWRRWCVVEKLDLFSCAV* >Brasy9G169800.1.p pacid=40062277 transcript=Brasy9G169800.1 locus=Brasy9G169800 ID=Brasy9G169800.1.v1.1 annot-version=v1.1 MDDVAACFEYYAGLAEDLDAKQHAPISLPMENFKSYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGAICEEIGLPSGVLNIITGLGPDAGAPIASHPHVDKIAFTGSTETGKRIMTAAAQMVKPVSLELGGKSPLIIFDDIADIDKAVEWAMFGCFVNGGQVCSATSRLLLHEKIAERFLDRLVEWAKNIKISDPLEEGCRLGSVVSEGQYEKIKKFISTARSEGGTILYGGDRPKHLRRGFFIEPTIITDVSTSMQIWREEVFGPVICVKVFRTESEAVELANDTHYGLAGGVISDDLERCERIAKVINSGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLSVKQVTKYCSDEKYGWYLSPSKL* >Brasy9G273200.1.p pacid=40062278 transcript=Brasy9G273200.1 locus=Brasy9G273200 ID=Brasy9G273200.1.v1.1 annot-version=v1.1 MAAFADTASRKLLRGDAAAGMSFGLDGLWQLIAGLLGSALRLLDMPLVALWHLLQTAVGGVAGLAILPFQALWHLLQSTAAGIGACFDGLWHIVPSFFANLAATAGSAAHLLATPFEALWHWLQAAAHYIHGFFPSLLATLIPALEAFWQWLKTAAAAALPIVLLIAALLCLVAIAWFFGPFLCAALEALVSVTCSCARCVGSVCWTGQCLHLVAMGVGRALAFVLPVCSYCCACCASVTMKAPGAAGFLISRAAFVADPRMYFQILRSGGAVVAAAVYSAPAVASAVAALFRF* >Brasy9G134500.1.p pacid=40062279 transcript=Brasy9G134500.1 locus=Brasy9G134500 ID=Brasy9G134500.1.v1.1 annot-version=v1.1 MAKEGGAGEREAEEDSIVWREEARRFETPDGEAFLQYRLVALPRASSGGATPASAAMDMVHTYVPGSKRGRGLAARLCDAAFAHARRHGLRVIPTCSYISDTYLPRNPAWNELLCKDDEPKPSNTSSSM* >Brasy9G241100.1.p pacid=40062280 transcript=Brasy9G241100.1 locus=Brasy9G241100 ID=Brasy9G241100.1.v1.1 annot-version=v1.1 MSSTKIRWASAPPTRGWRATEYSSMLPSASAPSVAWSYVRLHHQPRIPMNKSSFVLYGMECLATYCSACFGL* >Brasy9G162700.1.p pacid=40062281 transcript=Brasy9G162700.1 locus=Brasy9G162700 ID=Brasy9G162700.1.v1.1 annot-version=v1.1 MTMSPPSLVVVALNLLLFLADAAPATDTAHFLGVSYGTLGDDLPPPHVALGLARSAGAAAVRFYDSNATLLAAAASSGLGFVPGVPNELIPSLAASRRAADSWVASTLLPFRRNPRLRYLVVGNEVLSDPTTKSRWSQLVPAMANLHRALRRHGLGRVKVSTTVGMDALVGQNVFPPSAGVFRPDIVDVAVRPLLAFLERTESYLFVDTYTYFTWSANHTVVPLLYALLEPSGFRYHDTGTGLSYTNLLDHMLDAVVAATCRAGHCGVKLALAETGWPNAGDLDQFGANVRNAATYNRNVARHLASGPGTPRRPGMLRMPAFVFALFNEDLKGGPGMERHWGLFYPNSSAVYEVDLSGRRPAASYPPLPPATNDAPYPGKLWCVTKKLANETAVREQVAAACEDEAALCDPVRPGGRCHLPDTVAAHASYVFSAHWDRFSKQYGGWCYFAGLAVETTIDPSHGSCRYPSVIPG* >Brasy9G069800.1.p pacid=40062282 transcript=Brasy9G069800.1 locus=Brasy9G069800 ID=Brasy9G069800.1.v1.1 annot-version=v1.1 MDFPRTEKQENTTSSSSSSTTTTTNPMQDPYSDVQPKRPHLSIDIPAGSSTAQHHHHHHNHPLTPTPTDTDAPGSCSGSNVPPKKAAAAAPLPQRSPSFMLRHTVKSLLPGGGSFKSSVRGYEASFSKLFGSRITRTSSLPVVDDADARSVHRAEKTPSVPDTDKSGHMYRSQSLPMNMKKFNSAKSIKRMNSLGGVYRVVPSTPRAAPATASNAMPDIVHTESGVGQDDDGEDIAEEEAVCRICMVELSEGSGTMKLECSCKGELALAHKDCALKWFSMKGTRICEVCKEEVQNLPVTLLRVQSVRGDPRRVGNGGANGPRYVRYRLWHGTPILVIISILAYFCFLEQLLVSHNGLAALAISLPFSCILGLFSSLTTTSMVARKYVWIYAAVQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMIGNSIIVEILRWRAMPPIQVRRARRPRVGMARPQQQQAPASGQPSGQSSAAVEGQRNAGGDIENPTVSRA* >Brasy9G069800.2.p pacid=40062283 transcript=Brasy9G069800.2 locus=Brasy9G069800 ID=Brasy9G069800.2.v1.1 annot-version=v1.1 MDFPRTEKQENTTSSSSSSTTTTTNPMQDPYSDVQPKRPHLSIDIPAGSSTAQHHHHHHNHPLTPTPTDTDAPGSCSGSNVPPKKAAAAAPLPQRSPSFMLRHTVKSLLPGGGSFKSSVRGYEASFSKLFGSRITRTSSLPVVDDADARSVHRAEKTPSVPDTDKSGHMYRSQSLPMNMKKFNSAKSIKRMNSLGGVYRVVPSTPRAAPATASNAMPDIVHTESGVGQDDDGEDIAEEEAVCRICMVELSEGSGTMKLECSCKGELALAHKDCALKWFSMKGTRICEVCKEEVQNLPVTLLRVQSVRGDPRRVGNGGANGPRYVRYRLWHGTPILVIISILAYFCFLEQLLVSHNGLAALAISLPFSCILGLFSSLTTTSMVARKYVWIYAAVQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMIGNSIIVEILRWRAMPPIQVRRARRPRVGMARPQQQQAPASGQPSGQSSAAVEGQRNAGGDIENPTVSRA* >Brasy9G200400.1.p pacid=40062284 transcript=Brasy9G200400.1 locus=Brasy9G200400 ID=Brasy9G200400.1.v1.1 annot-version=v1.1 MPRSLLVPIPTRRRTTTVEIPSSPHRRTHTASFATLRRLPHQSTPLTPRPHHRSPAAMDHDLKDRLLLPPRAASNGPHRRGKPAAGGGSVVGIVGAGGGGGSGVSIDVNGLKKRGGGRRSWVRVDATTGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALVLRDPDADGGAAAEEAVWRYVNELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAVELEAEAYPLLDELTAKISTLDLERARRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLDEQGLQGIGNNVFGPSLSAPVSPVSSPPPPRRLEKQFSFARSRHDSFKSSESSQYNIEELEMLLEAYFVVTDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEGVSVFKVPHAFEWTLVITGACGAVVFACLLWYFKKRRFYPL* >Brasy9G228500.1.p pacid=40062285 transcript=Brasy9G228500.1 locus=Brasy9G228500 ID=Brasy9G228500.1.v1.1 annot-version=v1.1 MHPRPGPPRSSAALKRSIPRRVVPSASHLPYPQSVERGVMMKSFCFLGPAAKRRERTPMADWCVFCDIANRAPASTTALLYSDDKVVAFQDINPSAFRHYLVIPIEHIPTVKDLERTNEDHQLVSHMVKVGKDLLNRDAPSSEEHRFGFHQPPFNSIDHLHLHCLALPFIPSWRQVKYTPLGPLCGFVDAEKLLERIKPQ* >Brasy9G031300.1.p pacid=40062286 transcript=Brasy9G031300.1 locus=Brasy9G031300 ID=Brasy9G031300.1.v1.1 annot-version=v1.1 MGDGIRIGETATQTDQRRVGNGSTRTTAMPCPSSSSSSSKPKPPPLAPTVAALSALLARCDSLTAAAALHARLLRSSRLFRTPFLANCLGAAYSRLGAAPSAVALLVHAPGAEANRFSHNILLAALLKCRDLPAACRLFDGMPHRDTVAYNSMISGYVDGGRTDEAFRLVHRMMELGVRPSGFTFSIVSSAVCSAPHGVQVHAAAVRNGSSNHNTVVGNTLVDMYRRVGLFKYAVRVFWSMEERDIVSMNSVMSVYKDDGQSHAVFECFQLIRSHWFLVDECSVSTVLSTCTDVEDFAKGDQLLALCIKMGLLSNSVVCSAVIGLLSVSGRLADAVWLFEGVAKWDSETCNAMISGFARSGIMEQALGLFAMALRNGILPTEFTFASVLRWSSCFGLMEQGTQIHALVFKCGFEDDIIVSTALIDMYFKLGSLKHARGLFNNVCTKDLVLWNTMIIGLSHNGRGKEALQVFWSMLKCGIQPDRITLFAALSACSLLGLVNEGMNIISMFKANYHVVPGLEHYACLVDLLSCAGMFTEALDLVEDKLQKCNTAALSNILESCVIQGDVAMAESVAEKMMKLKPRSSLPYIVLAQTYGSRCKWESVARMWRLMEDQGAKKVQGCSWLCIKSEIHVFTSEQIVHHGGEATYAVLDLLFWEMMDHRSAPGCVTKADGFACTQLFLHCTL* >Brasy9G326400.1.p pacid=40062287 transcript=Brasy9G326400.1 locus=Brasy9G326400 ID=Brasy9G326400.1.v1.1 annot-version=v1.1 MAACSFRPASSVPGVSGMLVLSTGRPIISVGGTALPCPGLAVSSAATTTPPRSGNGRGLAVLGIAVPQAVWSLLPAASRGFVALAVPEGASGWGMLHSGDLPAASSDDFANVEEPGQDKEVLLLETGASGTFRPAASSVAVPEVWRLLPEDRGVVNFMVPATTEDEDGLDKDALHKQHGLLSSPTRAAGFYTVPVGTCSGVRGLLFPVGPCFGVRGEGKRGAAVFAMPKHASAGWDVLLDFLAKLAEVRRQVTVMHVFVGDQYTNEEVDNATRTCTGVLIDVVVAADLGREEWKMKMQDAVDMAVVFEELAAECRVGVVVNHSLKSGIRTIRKLAGLVASETVLPPSWEDDELEEYAAMAGKGLYFRAMMDEFSELAEAVKSAVADKLKKP* >Brasy9G169100.1.p pacid=40062288 transcript=Brasy9G169100.1 locus=Brasy9G169100 ID=Brasy9G169100.1.v1.1 annot-version=v1.1 MGGWNRISSAGFLKPLAGVSFAFMPGVGAVYFIVGSALGFFAMLHASESEVGGEWASAAHWVSLSRSVSAHQLFLAMSLLFLASAVWRLGKRCDAVEKIVGSSGATVKALRVRGVVCTVCGTKTRGLQNGSLGPTAERVRSSGCSGKLVARSLASELEQEADTEDEGNASEVNGAEEATMEWLRQRLARERRLKEAALEELEKERRASASAADEAMAKIACLRNENALVEREARQFREMAQQKQMYDRQVIESLQWMINKFGMQCGEQELTFDRACFPDS* >Brasy9G177200.1.p pacid=40062289 transcript=Brasy9G177200.1 locus=Brasy9G177200 ID=Brasy9G177200.1.v1.1 annot-version=v1.1 MSLSLSPVSASANHRAPAVLSPTSAPPPATTICRWSSPASAAAAAAAPSSTFKQDEAPACDAAGTGADGGPCMGMLTGAAGTRRPEKEDMGRAVRRGEMQLEQHARLPELRLEFGALPSSSTMPFPHKQSPRHNFDLGRWRRPVRREARRGEARGGRGHQIGPGWSASARIWPGGGGRRRQRREGRGGGGGARGAGGRWREVGGGARATHGGRGSSGGGSGKRQSNG* >Brasy9G012800.1.p pacid=40062290 transcript=Brasy9G012800.1 locus=Brasy9G012800 ID=Brasy9G012800.1.v1.1 annot-version=v1.1 MCSFCTGRYYRQNGRYYRQNGRYRYRAVAERYWPLTVAARDGHQQSVREKVRESTRDAERERERERERDTGRLEKAGSVGALSGSGARAVAGTGVDLHGGAMLIYGHGGGALLHCTRLRERERRGRRIRRQAGSEAADPAANGVLDGGSGWWRRSGGEEVVRQGGEVAPRPVVAGSTGSGGRGGAPDSGPRPPDTQAWGAWSPSRRRWERRAPGRRRSSWRGRHAGWRRGGERLLRRRARVVEERRGSGG* >Brasy9G186400.1.p pacid=40062291 transcript=Brasy9G186400.1 locus=Brasy9G186400 ID=Brasy9G186400.1.v1.1 annot-version=v1.1 MKLLPRLCMATGNGAAGGDSDGGNSNKLGAPVSSSSSSTGSSSSSSAAAAAVSEASSSTPLPSLPSLSAGTSNAASFAHLTTLLPLPAAPSSPAVVAADSSHGFFVVARPASVALHDLSTLEPTSTSDSSIDDAASAGSVKCVAHVHGGGGYAAVTGHQDGRLRLWRVSTRTPGRIRLAAALPTVSDRVRRFPVPSNHVPVRRHRRRLWIEHSDAVSGVAASADGRLLFSVSWDRTLKVWAVPSLRCLQSLPAHDDAVNAVAVAPEGGVVYTGSADRRVRVWAPRPTDKAGRKKKNPVYYLVATLSRHTAAVNAVAVGCGGQVLYSGGNDRCVVVWEKEDSASHMVAIGVLRGHRKAVLSVACAGDGMVVSGSADRTVRTWRREPDSRAHACVAVFDGHGSAVRSVAVALVPEQKQRRGQGGDGEGEEEEWRVCSASFDGEVRVWSLRVTTGS* >Brasy9G038600.1.p pacid=40062292 transcript=Brasy9G038600.1 locus=Brasy9G038600 ID=Brasy9G038600.1.v1.1 annot-version=v1.1 MNGPAYAEFTRSFFIYFPTGLFVVPLKKKRCTLPPDRSHPPSAIRIRSPSSLLLLRPSRHCFYLLEPSTLISCCATASTQRENEQRGAGRRRRGGGEEGRRPERRRRRKKRAPAATHRAVEAPVSIILWCNEIMRKH* >Brasy9G122200.1.p pacid=40062293 transcript=Brasy9G122200.1 locus=Brasy9G122200 ID=Brasy9G122200.1.v1.1 annot-version=v1.1 MISHSHSQPDLGHHTDEHNDGDLYGYGAQGSSSDGSLQSRALRTRSCVDMTTRDDVLETYLKRRQTAPGYVSFEDVIGSKEFQEGMSCRRPEAGIRDPLVRTASRLYSRPNSRHRRPSPGPLGTRRGGSMHRLIKLFLSPSFRLIAKVFPCVPELAVAA* >Brasy9G270100.1.p pacid=40062294 transcript=Brasy9G270100.1 locus=Brasy9G270100 ID=Brasy9G270100.1.v1.1 annot-version=v1.1 MFFLIPPSVTGKLPYHPKPKALPSFVLLPRAARNLPEPLQLAPMVTRWNQKSPGLKILWIWTLGTAGIMIANVVRTRVNDMEMILRDEDEAAAATGDGSGGGTSGERVMRDDELSSTGSCSWFKYQWRTED* >Brasy9G270100.2.p pacid=40062295 transcript=Brasy9G270100.2 locus=Brasy9G270100 ID=Brasy9G270100.2.v1.1 annot-version=v1.1 MFFLIPPSVTGKLPYHPKPKALPSFVLLPRAARNLPEPLQLAPMVTRWNQKSPGLKILWIWTLGTAGIMIANVVRTRVNDMEMILRDEDEAAAATGDGSGGGTSGERVMRDDELSSE* >Brasy9G040400.1.p pacid=40062296 transcript=Brasy9G040400.1 locus=Brasy9G040400 ID=Brasy9G040400.1.v1.1 annot-version=v1.1 MTTVYRRRHTTTEDHYFFFPRDCYAQLWGDRAQHQCRHLAAHPRRRAVLPQFISNRGGASTVINLSHASPPFPRSARLLVAMGKASKDRTSTSGRPRRKDGELPAPSSFSRSTRSSTSFTERSVSLICALLLGAGARF* >Brasy9G103300.1.p pacid=40062297 transcript=Brasy9G103300.1 locus=Brasy9G103300 ID=Brasy9G103300.1.v1.1 annot-version=v1.1 MASTETLARSPSREPSSDPPRDAPPRDASSEPQPNGSGSAAAEGDSSSRRRRRSRWEQSNDDSGANSGGEGGAGRKRKSRWAEEEPRPTIALPDFMKDFAAEMDPEVHNLNSRLLEISRLLQSGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLHKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALEAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTTTFKSEVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGSAPESMTKSGGPMLAITGSSGGSGGGGNSGSNSPWAGGGGVAATGANGIKKDYDETNLYIGYLPPMFDDSGLINLFSQFGEIVMAKVIKDRNTGQSKGYGFVKYSDVSQANAAIAAMSGYHLEGRTIAVRVAGKPPQPAVPTGPPAMPAPPMYHTADTSGGGYNSQPYMGGHPPPPPPPGSYAPVPWGQPPPYASYPPPPPPGMYNPAPAAPGQTAPPPYGMQYPPPPAPIPPPGTAPSTDGSQNYPPGVTPPSSGAPTQPVPAPVYGTSGAQNVPHMYPPPPYSYAPYYPTVTPVQQPPPPPPNVDPSQSIATAPWATHNAPPPPPPPISDPSQNIASAPWATNSAPPPPPLPSSNEQPTAPYGADAEYDKFMSEMK* >Brasy9G161300.1.p pacid=40062298 transcript=Brasy9G161300.1 locus=Brasy9G161300 ID=Brasy9G161300.1.v1.1 annot-version=v1.1 MTTIGDPAAQAAAHAQQQQAAQLRQQQIEQQAIAAAQAIAAALAAAQEVAKAAAAAGVNIDATGLVTDFNKSINKEQSTQSTLPRTFAARRPVLEPDEHGGRNEPTSDDLQ* >Brasy9G317300.1.p pacid=40062299 transcript=Brasy9G317300.1 locus=Brasy9G317300 ID=Brasy9G317300.1.v1.1 annot-version=v1.1 MDVEIQQPREVGSSKVVKVDKDEAWELFTDQASNEGRAVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLFVDVDDVQGVSSKLGVKAMPTFFLIKGKEVVKKIVGANPDEVRKMVDSSAESLATPPDIVIE* >Brasy9G252800.1.p pacid=40062300 transcript=Brasy9G252800.1 locus=Brasy9G252800 ID=Brasy9G252800.1.v1.1 annot-version=v1.1 MSSLSARTSRHQNEPVLPLYLPSIDTRRIIRSTTKIKAMLTRLTWDIGWLWHGCMQDGYSKCKTLCMESMDKGKFNKYLSFGL* >Brasy9G351800.1.p pacid=40062301 transcript=Brasy9G351800.1 locus=Brasy9G351800 ID=Brasy9G351800.1.v1.1 annot-version=v1.1 MAGGEGAAAAGEAGEMRSLALTPTWSVATVLTLLVAGSLVIERSIHRLSNWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSKFSPCTKEEVEESMTAEHTLAEGILHHSLRRNLKAQYRHVQACPEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKVHTWRKWEDEAFRDNHESFSQIAYVSATRRQPPALTKSYSFRFWSQNNAVMWVFCFIAQFGQSVVRADYLILRKGFIMNHNLPPTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNVDGSNLYFWIAILPVTLVLLVGAKLQHVIATLTSEGARMTSFGPRIKPRDDLFWFKKPKFLLWLIHFVLFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLVLGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPNRIRETMHGWGKAARKRRRKRRGDDSTIRTETSTVCSLDYEDDDDDDDHDHATPSRPPLPYLKIELQPTRSAGGGIPRPGTTPGHRHSISTPPCSGSSRHALLQQQASSSAPSSPPASNRGRRVTRSASMPGIASLMTMPGPGGSIGTPTCLSDDHA* >Brasy9G090100.1.p pacid=40062302 transcript=Brasy9G090100.1 locus=Brasy9G090100 ID=Brasy9G090100.1.v1.1 annot-version=v1.1 MERGGAQAAPSSTSLGSIIALVEDPIEEGTVIQSGMAQVFESWKALRNGILGDGKPSEEKRRRMEDGNAQGVVWSGAERSRRTTGDGFTDAELLDDCKHRDGSIYRRTDYFGRRYRLHDISGTCLEPMIMSQPFTSCQPNWKDCKTHSSFPMLQIFSLKLAHSVVDGPVQLYGYLAVRDVLNPLRNYIFNRTRPDPFIVERGGFIQMSGPKRGITMEAMVLTEFDIKIRRGKEEGGDLQLIDGAIVFNNFSCRHARLNTQRIHGDSGAVDISFANLHRAVEATVQVEISELRQGSGLSLCLTASHLSDYLLDEIRLFDGVIAAGGCELKRSVVAVLYDSKFVVALKLSQAGGSDHRVIHRLCVCQPALHGDTIFILKLGFTTIQLKVSWSSMNIHKSLLPRESV* >Brasy9G090100.2.p pacid=40062303 transcript=Brasy9G090100.2 locus=Brasy9G090100 ID=Brasy9G090100.2.v1.1 annot-version=v1.1 MERGGAQAAPSSTSLGSIIALVEDPIEEGTVIQSGMAQVFESWKALRNGILGDGKPSEEKRRRMEDGNAQGVVWSGAERSRRTTGDGFTDAELLDDCKHRDGSIYRRTDYFGRRYRLHDISGTCLEPMIMSQPFTSCQPNWKDCKTHSSFPMLQIFSLKLAHSVVDGPVQLYGYLAVRDVLNPLRNYIFNRTRPDPFIVERGGFIQMSGPKRGITMEAMVLTEFDIKIRRGKEEGGDLQLIDGAIVFNNFSCRHARLNTQRIHGDSGAVDISFANLHRAVEATVQVEISELRQGSGLSLCLTASHLSDYLLDEIRLFDGVIAAGGCELKRSVVAVLYDSKFVVALKLSQAGGSDHRVIHRLCVCQPALHGDTIFILKLGFTTIQLKACNFLWP* >Brasy9G134700.1.p pacid=40062304 transcript=Brasy9G134700.1 locus=Brasy9G134700 ID=Brasy9G134700.1.v1.1 annot-version=v1.1 MPACDPNQCPPPRSPPPPATPRPPPPPLTTTALPPPLRITQILLPKPLRPGETPPLPCPAPPSSSPISGAKSQATADSSRPDAITGASSAAPTSPARSLGIRNDADQPGLLRCLWRSLQGLPHRPQLCSFIPTRRRELLDADAIAWVPIPQSPEFRLSFSPLPPHAVSPARGSPCAGFLAPPPSSCVLGGWEPGRDSLQLPPKLGMEPLPLNHTGGPFNTLQQGLEENDNCW* >Brasy9G156100.1.p pacid=40062305 transcript=Brasy9G156100.1 locus=Brasy9G156100 ID=Brasy9G156100.1.v1.1 annot-version=v1.1 MDWEIKTVRMPYGEGVPDLFGRSWQTDVVIPFGGSYLCWVDYYLGLLFVGVRGEVTKKPNCVPMPASLDSRRLYIDAGAPDLARRVCVTGIGTIKLICISDDAGRSLHGPSRLDFKITSWNLVKKKWEKDATMEASHFWAALESDKRLPHVRPEFPAMSLVDPDAVCLVLNEDHRIQWLVEVNLKKMVLGSVTLYISGDDEEGCSADMTRRTYLEGPFISSKFTLYLDKHSIKSLAFSKKLEEAKLKRAMENGWIEHPIEELLRDIG* >Brasy9G284500.1.p pacid=40062306 transcript=Brasy9G284500.1 locus=Brasy9G284500 ID=Brasy9G284500.1.v1.1 annot-version=v1.1 MPLFMSDEELRLLGGDVAAVAERADAAIREYRNQVDTVRAEADASAIAAEQTCALLEQRFATLSAEADRYLAEATELAAASERRAADLASSQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIQQRDAEIREKDGVIQSYHDKIVNQADSSAGKEARIQEVEAKLTHCQATCNRIAQEKELLQKHNLWLDEELKAKVKNLADLRKANMDEESRMSAKIAELEREVSESSSSLRRSKERSSELEQRVTYMEKELFSAKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDDYKEKLEKETLAKSDLEKEVANLKQKIQKCESDLEKSRKSGELSLIPLTSMAADPAALADTQLREMPLSDALNQNDLMIVPSVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERWGRRHAEAVLERVLHEIEEKAELILDERAEHERMIEAYALMDHKLQQALLEHDNFENTIRNLKSELKRWERDHTIANKEIDDLQKQVAVLLKECQDIQLRCGSSLPNVGHGALSTSISNGMSNVENNSHEHMTFNDINGLVQQNVQLRNKVHLLSTDLDKKDMELRESFQIELKRITDGATSRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVEHISNNLQDDGRKDLMVLFEGSQDVSKKAYEQVSERSKRLDEELTKLRTELVSLRSERDKSVLEAKFAQDRLNGYMTENDHQRKEANSVSLRNAELMHLIVDYEKRLRESSDSMQALEENSRKLSMEVSILKHEKEILVKSERRSLDEVHDLTERVHRLQGTIDTIHTTEEVQENARSMERRNQQEYIKRLERDWAELKKEVQEQRDHVRVLTLDKQNAFDGCMKQVEDMRKELQSSWKACTDAESRAAVAEAKCADLEAKLKSKMIIFRDGGRDNSSATEENDELFRQKEELEKYKDEAHANKSYMLQYKEIANSNEAALKQMESAYQDYKRESEVAKRSLEDEIAKLRSKLSEMDKSYVMKCEEAANAIEAKEKDITALMSDISILRNELSQKVARIENLEIESASSKSALDEQCKRWRSAQDNYERQVILQSETIQELTITSKQLSSLQQEVTILRQTADAQKAENDALRTFGEQEKVQLLKEKDEALRKYTELNDQNRILHNRLEALHIRLAEQERGIAGLSSQRTDSHGEDDLHGVVSYLRRSKEIAETEISLLKQEKSRLQIELESTLKSAKEAHEVLRSQADSARSSMFKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFREEAHKAIREAERLHDILQEKQVASEIGAKELELQKSEIVNLNQRISELVENSRGVDLSTYETMKDELQNIKSTLRENSIELERAKMLLSEREVVIRNLEEKLAGCQSELAVKEKKLNEVEASLKSEMERLKRINFAIKKKLEISTKEKENAIKENNSLLKQIEDLKSSQKTTSETANDQVVKEKESRIQTLEKILERERDDNRKEKSSRKKAESVFLGALQNLSKEKKQIEDSIEKHRQAVKEAIEHYSGQSSQIPSGSAVEEQFRSYFLAAKRIEESSSPFKDGSMNQTSLIDAPTVDAAATGRPVVTPANPAQVKGVEERAVSTSSKPSTERRLRRPLVRPTLERVEEPQADTDTPITQGSTVAQEKGSLSLGRGSTVNQEKGGPSLERGSTIVQEKGGRLLERGLGQDKGGLSLERETSGGVSVPSSRKRLISLSQGRDDAPQGEASDSNPPSKKPKEEFFHGTSEQKHDQSASEDVTAQAPVDPSMDNQDAQQPADEMDTDQASMPIEEVEDTRDGDVGDNDDMEERTDASMGIKDQDTEVHIDSGATAVEDMPVKSEAVEESFDEDQKLDDAKEEGQITTATDVEEEREEGELPEESEQHSDSSPPDIGEQAADSFRVASPGGLTEKSDTDMAEEVGEGETAEHGAVEADQSPLAQSGGADASPGRNTDVSPAREPSPSNPPHDGASSEQQIPATAIDTGETQNPTLNFERARQTRQARFGRTTSPQSATNRGRPRTPIVYPKDTGSGSQKEAARGSRGRRGRGGGR* >Brasy9G284500.2.p pacid=40062307 transcript=Brasy9G284500.2 locus=Brasy9G284500 ID=Brasy9G284500.2.v1.1 annot-version=v1.1 MPLFMSDEELRLLGGDVAAVAERADAAIREYRNQVDTVRAEADASAIAAEQTCALLEQRFATLSAEADRYLAEATELAAASERRAADLASSQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIQQRDAEIREKDGVIQSYHDKIVNQADSSAGKEARIQEVEAKLTHCQATCNRIAQEKELLQKHNLWLDEELKAKVKNLADLRKANMDEESRMSAKIAELEREVSESSSSLRRSKERSSELEQRVTYMEKELFSAKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDDYKEKLEKETLAKSDLEKEVANLKQKIQKCESDLEKSRKSGELSLIPLTSMAADPAALADTQLREMPLSDALNQNDLMIVPSVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERWGRRHAEAVLERVLHEIEEKAELILDERAEHERMIEAYALMDHKLQQALLEHDNFENTIRNLKSELKRWERDHTIANKEIDDLQKQVAVLLKECQDIQLRCGSSLPNVGHGALSTSISNGMSNVENNSHEHMTFNDINGLVQQNVQLRNKVHLLSTDLDKKDMELRESFQIELKRITDGATSRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVEHISNNLQDDGRKDLMVLFEGSQDVSKKAYEQVSERSKRLDEELTKLRTELVSLRSERDKSVLEAKFAQDRLNGYMTENDHQRKEANSVSLRNAELMHLIVDYEKRLRESSDSMQALEENSRKLSMEVSILKHEKEILVKSERRSLDEVHDLTERVHRLQGTIDTIHTTEEVQENARSMERRNQQEYIKRLERDWAELKKEVQEQRDHVRVLTLDKQNAFDGCMKQVEDMRKELQSSWKACTDAESRAAVAEIIFRDGGRDNSSATEENDELFRQKEELEKYKDEAHANKSYMLQYKEIANSNEAALKQMESAYQDYKRESEVAKRSLEDEIAKLRSKLSEMDKSYVMKCEEAANAIEAKEKDITALMSDISILRNELSQKVARIENLEIESASSKSALDEQCKRWRSAQDNYERQVILQSETIQELTITSKQLSSLQQEVTILRQTADAQKAENDALRTFGEQEKVQLLKEKDEALRKYTELNDQNRILHNRLEALHIRLAEQERGIAGLSSQRTDSHGEDDLHGVVSYLRRSKEIAETEISLLKQEKSRLQIELESTLKSAKEAHEVLRSQADSARSSMFKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFREEAHKAIREAERLHDILQEKQVASEIGAKELELQKSEIVNLNQRISELVENSRGVDLSTYETMKDELQNIKSTLRENSIELERAKMLLSEREVVIRNLEEKLAGCQSELAVKEKKLNEVEASLKSEMERLKRINFAIKKKLEISTKEKENAIKENNSLLKQIEDLKSSQKTTSETANDQVVKEKESRIQTLEKILERERDDNRKEKSSRKKAESVFLGALQNLSKEKKQIEDSIEKHRQAVKEAIEHYSGQSSQIPSGSAVEEQFRSYFLAAKRIEESSSPFKDGSMNQTSLIDAPTVDAAATGRPVVTPANPAQVKGVEERAVSTSSKPSTERRLRRPLVRPTLERVEEPQADTDTPITQGSTVAQEKGSLSLGRGSTVNQEKGGPSLERGSTIVQEKGGRLLERGLGQDKGGLSLERETSGGVSVPSSRKRLISLSQGRDDAPQGEASDSNPPSKKPKEEFFHGTSEQKHDQSASEDVTAQAPVDPSMDNQDAQQPADEMDTDQASMPIEEVEDTRDGDVGDNDDMEERTDASMGIKDQDTEVHIDSGATAVEDMPVKSEAVEESFDEDQKLDDAKEEGQITTATDVEEEREEGELPEESEQHSDSSPPDIGEQAADSFRVASPGGLTEKSDTDMAEEVGEGETAEHGAVEADQSPLAQSGGADASPGRNTDVSPAREPSPSNPPHDGASSEQQIPATAIDTGETQNPTLNFERARQTRQARFGRTTSPQSATNRGRPRTPIVYPKDTGSGSQKEAARGSRGRRGRGGGR* >Brasy9G050800.1.p pacid=40062308 transcript=Brasy9G050800.1 locus=Brasy9G050800 ID=Brasy9G050800.1.v1.1 annot-version=v1.1 MRRRRPARAAALCLAIAAVLLQLALAVHSAGTAGRPWSRRSDLHRALLPTTTATMPLPLRAHQHAVPRARGRGATAFDAAANNARCKSSSRAAHESTAGVRGAACAGVDDDKRVVPTGPNPLHNRNKNCPAHLLPGLHPWGCLF* >Brasy9G074200.1.p pacid=40062309 transcript=Brasy9G074200.1 locus=Brasy9G074200 ID=Brasy9G074200.1.v1.1 annot-version=v1.1 MALAATQPLGLGVGVSSRRPPFNPTPIPILARKTRFVGVAAAAAEKGGATAAAEVVREFYDGVNRRDLAAVEPLIAEGCVYEDLVFPRPMVGRDQVLGFFGEFMGSISPDLRFVIDDISGLDPSAVGVTWHLEWKGRPFPFSRGCSFYRCQPDPQKQQQIQIVYGRDCVEPATKPGELALVIIRGVTWILERFPSLASML* >Brasy9G063200.1.p pacid=40062310 transcript=Brasy9G063200.1 locus=Brasy9G063200 ID=Brasy9G063200.1.v1.1 annot-version=v1.1 MVRASDLSVAAKGFAAGAQHAPGTVVGFVFVFVAAVAIVAMAVFGCADGASGPKRRSHWGGGGAGGGAGCGGGGAGAGCGGGGAGAGAGCGGGGGC* >Brasy9G365600.1.p pacid=40062311 transcript=Brasy9G365600.1 locus=Brasy9G365600 ID=Brasy9G365600.1.v1.1 annot-version=v1.1 MEEAKGDHGAFMDKFLLLPPPTEEQQQLPLHGLTFAIKDIFDVAGHVTGFGNPDWSQTHAPAAATAPAVLALLAAGAAAVGRTVMDEMAYSINGENAHYGTPANPCAPGRVPGGSSSGSAVAVAASLADFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSTENVIPMAQMFDTVGWFARDLATLSRVTNVLLPLPADGAEGRRPSRVMIPADCFEILGSSVDDHTYEILNASAAKIFSSDAVDNRNLGDFLSSNVPSIGKFMSSAASVDEATCVPALSAISHVMRCLQRSEFKANHAEWVNTVKPNLGPGIRERVEAAIASADEPIMQDLHAVRTEFKTALAALLKENGILAIPTVPGAPPKLRMEAAKLENFRARAFSLLSIAGLSGFCQVSIPLGKRDGVPVSVSLLARHGADHFLIGVAQELYESLRDETERVWGSSA* >Brasy9G351100.1.p pacid=40062312 transcript=Brasy9G351100.1 locus=Brasy9G351100 ID=Brasy9G351100.1.v1.1 annot-version=v1.1 MDMKALGSDLDPFPWLRRSRNLGSPSPPEGRRHAEATLDLRLLQRRGGFEEYWAKPSFGAAPDLLPSQAAQAHSRNLEADLLLSQAAKAGSGPGPNGSSMARPSHKPRHLQTRPAQDNISPSHAVRRQPQENTPCGGRGGGRRWRLREMLRRRPHLPSRPLGRKSVRMGMLMFSVLSFSVVYLAGHRCFAWKKYVHYRAFKASLDFALAAVRKSVPVQKTYDDIKKIGISTDATPAQIRGLFRDIYVWYKAIAPLTIPGSFMYSGDAVDQRNQGPVAVTIPTVLMDKSTFYIVEITTAIEGDPTLQIPVRHYDGYCLGFRMLYPNEDPLDTLWYEFGLNLLPRHLFTNVKASGYDEGYLKITRIQIRQGLLGSIFNHFRAFSFTEITTEDGKLLKDSLFFIFAECQRFLFAQELFFNNMHNFVPANPTHLMSKLIQDWQDLSFAILQLRLAELEESMGLKHFGMKETAENQLKLLEGKGYAPLVAFRDDSGFSLMGLLESSFLLRLDLSLGLMIIMRQNGFCKEFPE* >Brasy9G341500.1.p pacid=40062313 transcript=Brasy9G341500.1 locus=Brasy9G341500 ID=Brasy9G341500.1.v1.1 annot-version=v1.1 MAGFFPVAVAVAFHLCLLLCSSSSLRVAPGSSPARHGGGRRIRTAYHFQPAKNWQNDPNGPMYHNGVYHFFYQYNPSGATWGTGNLSWGHSVSGDLVNWLALALALVPDSPFDANGCWSGSATVLPGGIGPAFLYTGIDAAGEQVQNVAFPKDPADPLLRDWVKPRYNPVIPLPSGVPGDSFRDPSTAWVGRDGLWRVAVSAAVVGGEGGGSTLVYRSKDFRRWERNPAPLHASGEAGMVECPDLFPVAAPGQEEGLEFRFDSSSSPAPAATVLKLSVMDTLQDYYAVGRYEDATDTFTPADGEEEDCRRWRRFDYGHVYAAKSFFDAGKRRRVLWAWANESDSQADDIARGWSGVQIFPRKVWLDADGKQLRQWPVEEIETLRIPNRRGVLSLPGADQVNAGGVNEIVGVAGSQADVEAVFEVPALENAESLDDDPNWVLDDPQRLCGEKGAAVRGSVGPFGLLVLASGDLREHTAVFFRVFRYQGKYKTLMCTDLTRSSTRAGVYKPAYGAFVDMDIAEHGALISLRTLVDHSVVESFGAGGRTCMTARVYPEHADEAGNGEGSRLYVFNNGTGAVKVARLEAWELATASVNVVAAGDDAGSILLGSIRASEVE* >Brasy9G147100.1.p pacid=40062314 transcript=Brasy9G147100.1 locus=Brasy9G147100 ID=Brasy9G147100.1.v1.1 annot-version=v1.1 MEPAPLSSLQEDGEPEESSSSPSPSAFSADAVPPRPATHRSLHKYAPLDWSAYFDEERPVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAANRIKEKTRVVAMDLRGHGKSTTSDDLDLSIETLTNDVIAVIRTMYGDSPPAIILVGHSMGGSVAVHVAARKAFRNLHGLVVVDVVEGTAMASLVHMQKILANRAHHFPNIEKAIEWSVKGGSLRNIESARISVPPTLKYDESRECYTYRTPLEKTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILTFISRNKIGPNGVEIPGLAKKWQH* >Brasy9G147100.2.p pacid=40062315 transcript=Brasy9G147100.2 locus=Brasy9G147100 ID=Brasy9G147100.2.v1.1 annot-version=v1.1 MEPAPLSSLQEDGEPEESSSSPSPSAFSADAVPPRPATHRSLHKYAPLDWSAYFDEERPVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAANRIKEKTRVVAMDLRGHGKSTTSDDLDLSIETLTNDVIAVIRTMYGDSPPAIILVGHSMGGSVAVHVAARKAFRNLHGLVVVDVVEIEWSVKGGSLRNIESARISVPPTLKYDESRECYTYRTPLEKTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILTFISRNKIGPNGVEIPGLAKKWQH* >Brasy9G244900.1.p pacid=40062316 transcript=Brasy9G244900.1 locus=Brasy9G244900 ID=Brasy9G244900.1.v1.1 annot-version=v1.1 MAATTRALFLSCFHGGGSEASGHLALRSRYPSMPRRPRSSAVAGEGGGGGADLEAAEEEEEKVAVFAVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVAFYPAFISEEKIRETIEDVGFEAKLIDEEVKEKSVLVCRLHIKGMTCTSCASTVESALQVVPGVQRASVALAIEEAEIRYDRRVVSATQLMHAVEETGFEAILVTTGEDQSRIDLKVHGILDERSIMIVKSSVQALPGVEDIKVDTELHKLTISYKPDQTGPRDLIEVIESGTSGQVTVSIYPEADGREQHRNGEIRQYKNSLLWSLVFTIPVFLTSMVFMYIPGLKNGLDKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALCHISPNMDVLIALGTNTAYFYSVYSVLRAATSENYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATVLTHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKIASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIVLSLLTWLSWFLAGWFNGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVTGHGVKAKINDKSVIVGNKSFMMSSHINVPVEASEILVEEEDKAQTGVIVAMDKEIVGVISVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNILGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLITQERQSYTSG* >Brasy9G244900.3.p pacid=40062317 transcript=Brasy9G244900.3 locus=Brasy9G244900 ID=Brasy9G244900.3.v1.1 annot-version=v1.1 MGQCLKKISSWKSIDTITQDSAFEEEKIRETIEDVGFEAKLIDEEVKEKSVLVCRLHIKGMTCTSCASTVESALQVVPGVQRASVALAIEEAEIRYDRRVVSATQLMHAVEETGFEAILVTTGEDQSRIDLKVHGILDERSIMIVKSSVQALPGVEDIKVDTELHKLTISYKPDQTGPRDLIEVIESGTSGQVTVSIYPEADGREQHRNGEIRQYKNSLLWSLVFTIPVFLTSMVFMYIPGLKNGLDKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALCHISPNMDVLIALGTNTAYFYSVYSVLRAATSENYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATVLTHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKIASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIVLSLLTWLSWFLAGWFNGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVTGHGVKAKINDKSVIVGNKSFMMSSHINVPVEASEILVEEEDKAQTGVIVAMDKEIVGVISVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNILGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLITQERQSYTSG* >Brasy9G244900.4.p pacid=40062318 transcript=Brasy9G244900.4 locus=Brasy9G244900 ID=Brasy9G244900.4.v1.1 annot-version=v1.1 MTCTSCASTVESALQVVPGVQRASVALAIEEAEIRYDRRVVSATQLMHAVEETGFEAILVTTGEDQSRIDLKVHGILDERSIMIVKSSVQALPGVEDIKVDTELHKLTISYKPDQTGPRDLIEVIESGTSGQVTVSIYPEADGREQHRNGEIRQYKNSLLWSLVFTIPVFLTSMVFMYIPGLKNGLDKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALCHISPNMDVLIALGTNTAYFYSVYSVLRAATSENYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATVLTHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKIASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIVLSLLTWLSWFLAGWFNGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVTGHGVKAKINDKSVIVGNKSFMMSSHINVPVEASEILVEEEDKAQTGVIVAMDKEIVGVISVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNILGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLITQERQSYTSG* >Brasy9G244900.2.p pacid=40062319 transcript=Brasy9G244900.2 locus=Brasy9G244900 ID=Brasy9G244900.2.v1.1 annot-version=v1.1 MTCTSCASTVESALQVVPGVQRASVALAIEEAEIRYDRRVVSATQLMHAVEETGFEAILVTTGEDQSRIDLKVHGILDERSIMIVKSSVQALPGVEDIKVDTELHKLTISYKPDQTGPRDLIEVIESGTSGQVTVSIYPEADGREQHRNGEIRQYKNSLLWSLVFTIPVFLTSMVFMYIPGLKNGLDKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALCHISPNMDVLIALGTNTAYFYSVYSVLRAATSENYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATVLTHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKIASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIVLSLLTWLSWFLAGWFNGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYVAAAEVNSEHPLAKAIVEHAKKFHSEENHIWPEARDFISVTGHGVKAKINDKSVIVGNKSFMMSSHINVPVEASEILVEEEDKAQTGVIVAMDKEIVGVISVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNILGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLITQERQSYTSG* >Brasy9G327700.1.p pacid=40062320 transcript=Brasy9G327700.1 locus=Brasy9G327700 ID=Brasy9G327700.1.v1.1 annot-version=v1.1 MARFPELRAPSLLLLVFLHSSLRLQLSLGLEQSEPRLVYIVYLGERQHEDVSLVTSSHHDMLASVLGSKEAALESIIHSYRYGFSGFSAMLSESQAREIRTLPGVVSVKENQILRTDTTHSWDFLGMATAYTQPNGLLGKAKNGDGIIIGVIDTGVWPESQSFADDGSYGPPPSRWKGTCQPGANFSSHDCNGKLIGARWYAGRDVDRGLLQGDFLSARDSRGHGTHTASTAGGNVVHNVSLFGLAPGTARGGAPRARVAVYKACWGASCSDASILQGIDHAIHDGVDVLSISISGPFETPGTLHAVANGITVVVSAGNDGPTEQTVKNSSPWLLTVAAATVDRSFPTVVTLGNNRKFVAQSMYVAEKGADEFFELELYTDEQCDPEYVNGTDIDGKVVFCYTPGRVSPPPNFVEIALMVQKNGGKGFIFSKYNEDGADTWKFLGKFLPCVPVDYETGYQILQYCIDGVGIPKVKVSTTQTAIGSEVVAPRIAAFSSRGPSPVYPGILKPDIAAPGVSILAAAPQTEFYKRVGASYIFDSGTSMACPHISGIVAVLKSVHPEWSPAALKSALMTTVCGYFAALTTDNNGAPMQANGNREKIADPFDYGAGFVNPTKAADPGLIYDISASDYLKFFNCAGGLGANGSCTTPKGSTSDLNLPSVAIHGLRTSVTVVRTVTNVGQPNAVYRAFFQPPPGVKMAVEPTMLVFTNARKVQNFKVTFRATRRIQGGYTFGSLTWHDGGAHWVRIPIAVRVAIEEFYSDAS* >Brasy9G327700.4.p pacid=40062321 transcript=Brasy9G327700.4 locus=Brasy9G327700 ID=Brasy9G327700.4.v1.1 annot-version=v1.1 MARFPELRAPSLLLLVFLHSSLRLQLSLGLEQSEPRLVYIVYLGERQHEDVSLVTSSHHDMLASVLGSKEAALESIIHSYRYGFSGFSAMLSESQAREIRTLPGVVSVKENQILRTDTTHSWDFLGMATAYTQPNGLLGKAKNGDGIIIGVIDTGVWPESQSFADDGSYGPPPSRWKGTCQPGANFSSHDCNGKLIGARWYAGRDVDRGLLQGDFLSARDSRGHGTHTASTAGGNVVHNVSLFGLAPGTARGGAPRARVAVYKACWGASCSDASILQGIDHAIHDGVDVLSISISGPFETPGTLHAVANGITVVVSAGNDGPTEQTVKNSSPWLLTVAAATVDRSFPTVVTLGNNRKFVAQSMYVAEKGADEFFELELYTDEQCDPEYVNGTDIDGKVVFCYTPGRVSPPPNFVEIALMVQKNGGKGFIFSKYNEDGADTWKFLGKFLPCVPVDYETGYQILQYCIDGVGIPKVKVSTTQTAIGSEVVAPRIAAFSSRGPSPVYPGILKPDIAAPGVSILAAAPQTEFYKRVGASYIFDSGTSMACPHISGIVAVLKSVHPEWSPAALKSALMTTALTTDNNGAPMQANGNREKIADPFDYGAGFVNPTKAADPGLIYDISASDYLKFFNCAGGLGANGSCTTPKGSTSDLNLPSVAIHGLRTSVTVVRTVTNVGQPNAVYRAFFQPPPGVKMAVEPTMLVFTNARKVQNFKVTFRATRRIQGGYTFGSLTWHDGGAHWVRIPIAVRVAIEEFYSDAS* >Brasy9G327700.2.p pacid=40062322 transcript=Brasy9G327700.2 locus=Brasy9G327700 ID=Brasy9G327700.2.v1.1 annot-version=v1.1 MARFPELRAPSLLLLVFLHSSLRLQLSLGLEQSEPRLVYIVYLGERQHEDVSLVTSSHHDMLASVLGSKEAALESIIHSYRYGFSGFSAMLSESQAREIRTLPGVVSVKENQILRTDTTHSWDFLGMATAYTQPNGLLGKAKNGDGIIIGVIDTGVWPESQSFADDGSYGPPPSRWKGTCQPGANFSSHDCNGKLIGARWYAGRDVDRGLLQGDFLSARDSRGHGTHTASTAGGNVVHNVSLFGLAPGTARGGAPRARVAVYKACWGASCSDASILQGIDHAIHDGVDVLSISISGPFETPGTLHAVANGITVVVSAGNDGPTEQTVKNSSPWLLTVAAATVDRSFPTVVTLGNNRKFVAQSMYVAEKGADEFFELELYTDEQCDPEYVNGTDIDGKVVFCYTPGRVSPPPNFVEIALMVQKNGGKGFIFSKYNEDGADTWKFLGKFLPCVPVDYETGYQILQYCIDGVGIPKVKVSTTQTAIGSEVVAPRIAAFSSRGPSPVYPGILKHLPRTIMGPQCKQMEIEKRLPTHLITEQGLLIQQRQLIQG* >Brasy9G327700.3.p pacid=40062323 transcript=Brasy9G327700.3 locus=Brasy9G327700 ID=Brasy9G327700.3.v1.1 annot-version=v1.1 MARFPELRAPSLLLLVFLHSSLRLQLSLGLEQSEPRLVYIVYLGERQHEDVSLVTSSHHDMLASVLGSKEAALESIIHSYRYGFSGFSAMLSESQAREIRTLPGVVSVKENQILRTDTTHSWDFLGMATAYTQPNGLLGKAKNGDGIIIGVIDTGVWPESQSFADDGSYGPPPSRWKGTCQPGANFSSHDCNGKLIGARWYAGRDVDRGLLQGDFLSARDSRGHGTHTASTAGGNVVHNVSLFGLAPGTARGGAPRARVAVYKACWGASCSDASILQGIDHAIHDGVDVLSISISGPFETPGTLHAVANGITVVVSAGNDGPTEQTVKNSSPWLLTVAAATVDRSFPTVVTLGNNRKFVAQSMYVAEKGADEFFELELYTDEQCDPEYVNGTDIDGKVVFCYTPGRVSPPPNFVEIALMVQKNGGKGFIFSKYNEDGADTWKFLGKFLPCVPVDYETGYQILQYCIDGVGIPKVKVSTTQTAIGSEVVAPRIAAFSSRGPSPVYPGILKVRALIGCTHSLTSLHLGCPF* >Brasy9G328500.1.p pacid=40062324 transcript=Brasy9G328500.1 locus=Brasy9G328500 ID=Brasy9G328500.1.v1.1 annot-version=v1.1 MLLRRLVALGRTAAASGRLRRSLSTAASHPSWAIILDSMPACESVSVPPLAVGASAFLAEPPRDSFLFVPKHLLNTSALPESDGGGKQLFLGHVRSATGDGLLLLSYLHTHTRVTDLRVDKQTREEASIGLNLNHVPDITCLVCNPVTGELFRLPDIDGSTKIRHGGLTNTGMGLLAQADSGHGPPDRYAVVDFVRQEVGRNWVVQAHRFLSETRKWDTVVSAPCKLPRARAMMIEQDSLAFGGRIWWVDLTWGAISLDPFDNGPEPRFVELPRGSVLPERTDGGPVLRRTLARYRRMGVSEGRLRYAEVSQREPFVLSSFALDEEGGDWTLEHRVALSRVWADGGYPWLPLQGKETPQIDVLDPLNANVMHLTVGEHFVVVDMHIGKVVGSYFDGGSGRCCIPCVLPPWLGSSRIPSAATPTYSIDEPGSNSYSDPLSRCPATENSEFPEEQVSGYFVEYDDDNFDI* >Brasy9G090400.1.p pacid=40062325 transcript=Brasy9G090400.1 locus=Brasy9G090400 ID=Brasy9G090400.1.v1.1 annot-version=v1.1 MTKHIDGHIFTMACFLSKGGDGCLSYMWLAMEIHFINGRSAGEIFGKVYVLQDGAWVMRTEATSTQLPQPQWQPQPLLVNDRIYMAGGTCNNILVLDLANSSFFTIQLPEGVDLSIRYKEGIDTAFSRADDSGVYLICVKELQLRIWLYKDDNFLLVDTICLREMRAALGMPDVMVEEEHTDVDAVRISQVGDNAEFVFLQMGRCTFYLDIRRRVMRKVYEVTEKDQRAGRVHPFMMIWPPAFPSLKDDSASNVV* >Brasy9G124400.1.p pacid=40062326 transcript=Brasy9G124400.1 locus=Brasy9G124400 ID=Brasy9G124400.1.v1.1 annot-version=v1.1 MAGERSLGGELQLLGAWISPWVIRARVALEMKGLSCEYIEQDLQQKSDLLLRSNPVHKKVPVLIHDGRPVCESLVVLEYLDEAFARTGPSLLPADPYDRATARFWASYVNDTFFPVWRVLFRSTTAEQRAEAFKDVLPQVETLDRAFGECSKGKAFFGGDDVGIVDLALGSFLVWIRVVDELAGTNLLDGTKLAAWAERFLAVDAVVRVMPEAGRIMEHYKGFLAKLAAPAGSS* >Brasy9G172500.1.p pacid=40062327 transcript=Brasy9G172500.1 locus=Brasy9G172500 ID=Brasy9G172500.1.v1.1 annot-version=v1.1 MHAALSHCRTNRTMAAAAAHERKRQREGDAAPSTAAAAGEAQYVYLPIADALKVPGVRVCLFAAVSEIGAVVRSRGTDFTLTLRIVDQSRTSGISVTFFADNTALLPCVRSTGDVISLHNVVITMHYGEFFVTVNKKFSSFALFEGKVSTEFRPYQASMKYHGSKHDDGILTQTRMWLVYHPPGMKDLELQLSSIKSDSTFDLVCKVLHVCQAPGGEWIFYVWDGTDAPTTEFQTFSDTEAVPSVPLHLEGAPLPREVLCTLPCIGTVLRVFTNKFSKEILHLQKDIYWARFCNITCKHEFGIWKGTLLPSSRVRLLSNEDGSVVERSKMYDSRCAIQVHRQPMAGLHKAPDITDVEYKRAGYTTLMESLTHGQVTHKFKTLVRIVAAYPCQGNDLHSLLTGNYCLRLTLEDPTARIHAYVHKEDGVRFFGGFLTPDALTRKMNRLLGVPEPEEGTPLTRNPPWVWCCLKSYRLDKDDPWGSRRYRIFGTEIRD* >Brasy9G175800.1.p pacid=40062328 transcript=Brasy9G175800.1 locus=Brasy9G175800 ID=Brasy9G175800.1.v1.1 annot-version=v1.1 MATAAAYLPLFLLLLPPLTMAASSAAAAGPELQPQPQDLLLSFKAALRDPSGALASWSRSTPYCNWPHVTCTASSSSSSVVSIAVSLQGLGLSGDIDAASLCRVPGLSHLSLAANGFNRTVPLQLARCASLVSLNLSSAGFWGPLPEQLAAGLPASLASLDLSGNDIEGPVPPGLAALGAALQVLDLGRNRLSGVLHPALFRNLTSLRYLDLSGNQFLESPLPPELGNMGNLRWLFLQGSGFSGAIPESFLALEQLEALDLSMNGLTGAIPPGFGRKFQKLLSLDLSRNGFSGPFPDGVEKCVMLQRFQVHGNAFTGELPAGLWSLPDLQVIRAENNRFSGRLPEFPGDVSRLEQVQVDNNSFSGGLPLSIGMIRTMYRFAASLNELSGVLPDNLCDSPVMSIIDISHNALSGSIPEFRNCKRLVSLHLSSNGLTGPIPTSLGALPVLTYIDLSSNGLTGAIPANLQNLKLALLNVSYNRLSGPVPQELVSGLPAVFLQGNPGLCGPGLPNNCDAPSRKHRWLALAATVASFITGAMLLTIGAVAVYRRLYGKRPSPWKLVLFQPIKITGEELFSAFHDKNVIGRGAFGNVYLIVLQDGQKVAVKRLVCSGKLTFRQVKSEMNVLAKIRHKNIAKITGFCYSEGEVSVIYEYFQKGSLQDIIYAPKFTLGWKDRLKIALGVAQGLVYLHHDYTPRVLHRDLKSSNVLLANEFEIEPRVAGFGIPRFVGEKVYRSSLYSDVNQKCYIAPEENFTKNPTNLMDVYSFGVILLELVTGKPAEQLASKDSSDIVRWVRRRINLVDGALQILDPNISHTAQQGMQAALDLAVRCTSVKPDQRPDIDEVFRLLQALYFSATMP* >Brasy9G175800.2.p pacid=40062329 transcript=Brasy9G175800.2 locus=Brasy9G175800 ID=Brasy9G175800.2.v1.1 annot-version=v1.1 MATAAAYLPLFLLLLPPLTMAASSAAAAGPELQPQPQDLLLSFKAALRDPSGALASWSRSTPYCNWPHVTCTASSSSSSVVSIAVSLQGLGLSGDIDAASLCRVPGLSHLSLAANGFNRTVPLQLARCASLVSLNLSSAGFWGPLPEQLAAGLPASLASLDLSGNDIEGPVPPGLAALGAALQVLDLGRNRLSGVLHPALFRNLTSLRYLDLSGNQFLESPLPPELGNMGNLRWLFLQGSGFSGAIPESFLALEQLEALDLSMNGLTGAIPPGFGRKFQKLLSLDLSRNGFSGPFPDGVEKCVMLQRFQVHGNAFTGELPAGLWSLPDLQVIRAENNRFSGRLPEFPGDVSRLEQVQVDNNSFSGGLPLSIGMIRTMYRFAASLNELSGVLPDNLCDSPVMSIIDISHNALSGSIPEFRNCKRLVSLHLSSNGLTGPIPTSLGALPVLTYIDLSSNGLTGAIPANLQNLKLALLNVSYNRLSGPVPQELVSGLPAVFLQGNPGLCGPGLPNNCDAPSRKHRWLALAATVASFITGAMLLTIGAVAVYRRLYGKRPSPWKLVLFQPIKITGEELFSAFHDKNVIGRGAFGNVYLIVLQDGQKVAVKRLVCSGKLTFRQVKSEMNVLAKIRHKNIAKITGFCYSEGEAEDCAGSSSGIGVPSP* >Brasy9G096000.1.p pacid=40062330 transcript=Brasy9G096000.1 locus=Brasy9G096000 ID=Brasy9G096000.1.v1.1 annot-version=v1.1 MATKAYASLVILLGVALALAQPASGDMKVAFASAGTGYSIDAAVRQLMSPPPSMKLEDGVEPELSVDLEVHRRVLAGNISPGALNGARPACIRSCPAPGRPYTGRGCNGVYRCPG* >Brasy9G137600.1.p pacid=40062331 transcript=Brasy9G137600.1 locus=Brasy9G137600 ID=Brasy9G137600.1.v1.1 annot-version=v1.1 MAASCHDVDVPGKPTETGTALLETATGAIQGFAPINQIHQHLCAFHFYGDDMTRQVEAHHFCAHLNEDTRQCLIFDGPDAGARLIGLEYIVAEELFLTLPDEEKPLWHAHEFEVKGGVLFMPGVPGVVERRDLEKVAKTYGKTIHFWQVDRGDALPLGVPQIMMALTRDGQLRQDLADCVEKKYGVSFQKERENRAYMSGPAHGIHPLANAAGKGLRTDIREVDLPTATAGARIFT* >Brasy9G150600.1.p pacid=40062332 transcript=Brasy9G150600.1 locus=Brasy9G150600 ID=Brasy9G150600.1.v1.1 annot-version=v1.1 MASPTVATPIQLQTGRLSLRYSPTRGRFAAVRVRASAEAMATEKLGVRVERNPAESRLSELGVRQWPKWGCEQSKFPWTYSAKETCYLLQGKVKVYPDGEEGFVEIAAGDLVVFPKGMSCTWDVEEAVDKHYKFE* >Brasy9G052700.1.p pacid=40062333 transcript=Brasy9G052700.1 locus=Brasy9G052700 ID=Brasy9G052700.1.v1.1 annot-version=v1.1 MEEDSGRSTTAVGVLRRSSGVSLRNQSNEEKPHQYNNKPGNTAKLNPTKARWADNKDKPRNLRDPFHSAGSKAISASSSKAPVRKNYEEKLRRPFLVDLNKAESSNRRTDANRLQSSKKAVVVEDDGHPYVQQIESEDSLSTSTTGDQPTELDPEVLDSSVSSGNSPHAVDRVVRNTALRTKSRRQKDKEEFSLCRPQTASTSVHQPTGPRNSAIGVKTSNGAGTGVQRRGIKNLGCTSISDVLPSGCSSSNSVHSKRAEATRKRTSDGESSSRSRGLSGQSSLSHSPSLYPGISGPRVRTFEQSASQQTTRTSNRIIRDSADSARSRRPFTQHARMRMPDETEHGVFAVRETGSRVRQQNWPPFSLDEVPSQRSTRPFSMQLPHAIYSSSRQDSSNRTTRSSLSFRPEESSPQMFHGLLGERDSYRRINMEGVAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLQLEDRIGSVSTALSEEQVAKCLDLHVYKEANSVLEVNRAVLDDIKCSICQEEYIEDEEVGRMKCEHQYHVFCIQEWLRQKNWCPICKASALCSDMDKGDT* >Brasy9G208200.1.p pacid=40062334 transcript=Brasy9G208200.1 locus=Brasy9G208200 ID=Brasy9G208200.1.v1.1 annot-version=v1.1 MLLSPSAPWPRVKPVRLNRPSQCKDRLSLHRGRRPRTQRRLGVCMAEMARIGSGPSLELGFSDGESDPILGGEKLPGPRREAVTWWTPVEAALNRMPVLMPSQSLCLPNEL* >Brasy9G303600.1.p pacid=40062335 transcript=Brasy9G303600.1 locus=Brasy9G303600 ID=Brasy9G303600.1.v1.1 annot-version=v1.1 MPSGRESGSTEAAGRLRLVALFLFLSVLPHGALSRELPLPPLPRPPVTCKNIPYPFGTTGKSLLPGFQVTCGPNNEAMLPIGDHSYRIDRVSAEGGFVIIIVGAIRQVCHDRNGKPMKSTGIGNISLEGTPFSFSSRNKLVVTGCNYRLVANFANPPISDINPPRPTACSSWCNGSSGATDCQHGVACCEASMPMNSSREFTLTFEMISGHINSEENGKCSTVFFLNQDEDVSVNSSGDGQRTLEGTLLSAGDHRMILDWTVWRGTCHQALNYGLAPLYCNDMSGCIDTPSGAGCLCKCNAGYDGNPYTEDGCVDIDECRNVDSNNCSFLNFCNNTEGGFTCSCPQNMVGDGYKNGTGCTEALMPSDSPMQQPQGLNVCTHPEKNPCVYSTYCNDGQGYVSCSCPTGRTGDGWKKGSGCQKLFPTDTFLGVGLALVVTVTTTALCYYWGMKRRKVRRKRAELFRKNGGLLLQQRFSAITSQGKDSSAKIFSAQELKIATNYYRESQILGRGGYGTVYKGVLPDETVVAVKKSRVFDESQVEQFVNEITILSQTDHPNVVKLLGCCLETEVPLLVYEFIPNGTLFQHIHNRTAPRSLTWEDTLRIAAETAEALAYLHSTSSIPIIHRDIKSSNILLDEHFVAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSMLTEKSDVYSFGVVLAELLTRQKPISVGRSEESCNLAMHIVVLFTEGRLLQEIEPQIFAEAGEEQLYAVAQLSVRCLNLSGQERPVMKEVASVLNELRRSFAKEQTMRRKDEPVQKNNELVREARPISSLQCSEGSTQFSIEAEMIASSHSPR* >Brasy9G306800.1.p pacid=40062336 transcript=Brasy9G306800.1 locus=Brasy9G306800 ID=Brasy9G306800.1.v1.1 annot-version=v1.1 MEGKQLHPLLLPHPRLPFPDLLPHLPTSRFRRLHHRKPKATQVAVSLRRPRGSQAETLGRRGWEWARHASAAALALHLAVCSLLVPFPTPARACVHPPPPAAAAAVEAQEEDDEEWEAALQQWKSKTYALSVPLRVVALRGSFPPTWIKDFVEAQGNRLKFSPEFRTSIDTLFTELSQCVEKGEVQSKSAMAADVVSIGDSWLGYAIRKGLVEPIKNAEEQDWYRSLSDRWKVHLCRNQNGEADSNGSVWGAPYRWGTVVIAYKKSKFTQHNLKPIQDWEDLWRPELAGKISMVDSPREVIGAVLKQLGSSYNTIDMETEVSGGREAVLNSFVQLQKQVQLFDSMNYLKSFGVGDVWVTVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWAIPCATRFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFRQEIIPGASPLFLENPAPEVPQDLNKRKPKLETNLVRGVPPPEILERCEFLEPLPDKVLGDYQWLMSRVQRPHGGLLGNMLQKISNVLDLKSRF* >Brasy9G259300.1.p pacid=40062337 transcript=Brasy9G259300.1 locus=Brasy9G259300 ID=Brasy9G259300.1.v1.1 annot-version=v1.1 MEDAPDRGKNRAPSVAAAAAAARNAMLLLAAVLFVGWILMWVMLPTRTYSSSWAPKLAMLTNGTKFGKQGPRIMIFVLPVVILYVVACVYLHLLKGSDPDAKNRKRRVFSTGMAAWRRPVLVGGPLGIVTGIELAFLLMFLALLVWCYYAFISLEFSKIRVKPGEKLWHAEMQKAGMRLGTVGSLCCVLLFFPVARGSSLLPLVGLTSESSIKYHVWLGHTVMVLFTAHGLCYILVWASTDQIHSMLTWARTRVSIVPGELALLSGLAMWATALPRIRRRMFELFYYAHHLYIPFVVFFALHVGVTTFCYVLPGVFLFMVDRCLRCLQSRTRVRLVSARILPSQDVELNFSKIPSLRFEPTSTLFVNVPCVSRLQWHPFTVTSSGRFEPDRLSVVIGKRGDWTQKLYKTVSSLPPSFDGRLDVSVEGPYSPATSTGFLGQDHDSLVMVSGGIGITPFISIIRELAYLLQISGTAPARASGKTPSILLVCVFKTSAELDMLDLLVPADSGGISGLDLRIEAFVTRERADDEPPCQEVRFKPCLWDAPVAPVLGPNGGWLWHAAVVSTSFAVFLALTAVLERFYVYPVNGDSEKVYPWAARTMLSLLFLCVSVAGVASAAFLWSKRSSGKEAKAVESVDGATPGMSPAPLLRGARGMDRELESLPTRSLEQVTNMHFGHRPDLKSMLLGIDGHNVGVLASGPPRMVEDIAAVCSSGLRNNLRFQSLSFTW* >Brasy9G145000.1.p pacid=40062338 transcript=Brasy9G145000.1 locus=Brasy9G145000 ID=Brasy9G145000.1.v1.1 annot-version=v1.1 MVSFRKRLVIGIQQVSTQEHKTCYTHGGSSPLGAGREEARCGRRRRRRREAARQRGGVRAAAAALEVRGRRRRRGLARPRRRTAAGRVRGGSVETAGAREGRRRGLARADGGGSRAGGRAGWADGAGGWADGVGGWAAGAGVRGGGRGRAAAGARAGRTARGAGRWPREGGGSGRAGDVRA* >Brasy9G238100.1.p pacid=40062339 transcript=Brasy9G238100.1 locus=Brasy9G238100 ID=Brasy9G238100.1.v1.1 annot-version=v1.1 MASNLRAHMKHCFSAPSLRSYLAEFVSTFLFVFTAVGSAISARMLTPDVTSDASSLVATAVAQSFGLFAAVFIAADVSGGHVNPAVTFAFAIGGHIAVPSAIFYWSCQLLGSTFACLVLHYFSAGQAVPTTRIAVEMTGFGAAIMEGVMTFMLVYAVHVAADPRACCGGRSRGLATAAMGSLVVGLAAGACVLAAGSLTGASMNPARSFGPAVVSGDFKNQAVYWVGPMIGAAVAALVHQNLVFPSAPEPLPHEVRHGSVETVVV* >Brasy9G146300.1.p pacid=40062340 transcript=Brasy9G146300.1 locus=Brasy9G146300 ID=Brasy9G146300.1.v1.1 annot-version=v1.1 MQARHVPSIHHYPFEEQHQQKGLKVWSPFDACVVISKPRIFRPTPNRFFLLFSPHPTGSNAASSLPSPAALLCPPHNGRRRLLCPASASPAVASSTPRPSSAPPLPRQPSLRSAAVVASSTPRPSSPRLCPPSQLAAAYSPPAPLPPRHPVSALPLNSRCRRPRPPSPSPSETLPIAAALNHLTVRLFPSLISVWIAPLYHMTLSLVSPMSTARCMSDRPSERGQRRPTGEGTDAQHILARTADHRRRAPPVTSRTSSGSVIPLAGKRWHEQPTPMAIAGLNLLVR* >Brasy9G156300.1.p pacid=40062341 transcript=Brasy9G156300.1 locus=Brasy9G156300 ID=Brasy9G156300.1.v1.1 annot-version=v1.1 MAKPTPVATAEEAAALRRRLRRLVAAVTTGGADGDAFDEAASALAALRAAELGRRKDGGGRGGAAGAGGKRTAAAATTQASVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIANHLVRSMISQWCTENGITLPPVEDREEDLVTNNERKACGEIFDRITFSSNISEQRQAIKDLRLLTKRNSSFRAVIGEKPDSIAQMISVVTDSELEHSADVLEDTVTTILNLSIHESNKKIIGDDPTAIPFLIRALQSGTMDARSNAAAAIFSLSALDSNKAKIGESGAFRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAVCDESLIDESMAILALLSSDHETVEEIGETGGVPCLLRIIKEEDQCKRNKENAVAVLFAICMYDRSKLREIAEEESLNGSLAWLAQNGTTRARRKAAGILDKLKRTMHATHYSC* >Brasy9G315000.1.p pacid=40062342 transcript=Brasy9G315000.1 locus=Brasy9G315000 ID=Brasy9G315000.1.v1.1 annot-version=v1.1 MHGGGFRRPRCGDKCCYYSVCIAITLVVAGLSIFGLTQTASPARYYVSIDSVSGLDPATDLATTDDPAGGGLKPEFKLTLGVASRSLWEQRCTQAGTEVRVRYRGVLLATSEPARRQCAPARKSVEQPVFAWSSGSGSSSAGVRLPGVMRDSLAEGIRRGEPVFDVALGSEDSNSSWASCGEAGVAAASPTWCQ* >Brasy9G307900.1.p pacid=40062343 transcript=Brasy9G307900.1 locus=Brasy9G307900 ID=Brasy9G307900.1.v1.1 annot-version=v1.1 MAAASASSPPPTPKSPKLQPPSLERTKGPSGLEKIVLREARGYSAEVYLYGGQVTSWKNDHGDELLFVSSKAIFKSIGAICGGIPICFPQFGTHGNLEKHGFARNRLWLIDDNPPPLPVNPAIKTFADLILKLSEEDLKIWPHRFEFRLRVALGPKGDLILTSRIRNTNADGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYFDNLKGKERFTEQGDAIVFESEVDKIYLDAPPKIAIIDHEKKRTFVLRKDGLPDAVVWNPWEKKSKTLQDLGDEDYKHMLCVEPAAVEKPITLKPGEEWKGRMELSAVPSSYYSGQLDPDKVLQG* >Brasy9G005200.1.p pacid=40062344 transcript=Brasy9G005200.1 locus=Brasy9G005200 ID=Brasy9G005200.1.v1.1 annot-version=v1.1 MPERAVDPANPNYYNEPTQFVDDLISQEAPVFEEEVGEQWGDETQEGVSIDTEPLYTDAGAGTDPVSDASRYQRKGKSKRTQAYAECEDKLRCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYSFMSDRGEVSLQKRWGLIQSEFNKFAGAHDHEARKWQDVYLATKKSPGDGKKRDCSVIDLEASGHIEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKDAVKEKMLQLKEMQMKEFIDVQKKKLAIEEANAAATRTVAAAAMLAEETRILTADLSLMDEPTRAWFLAKRKMIQERDAPTPLED* >Brasy9G004700.1.p pacid=40062345 transcript=Brasy9G004700.1 locus=Brasy9G004700 ID=Brasy9G004700.1.v1.1 annot-version=v1.1 MKQQEPLSEGVYINGFGVVCYIPYLAAFVACNQDGWRNIPWKRLCTALLYLVCTILVGLTDVGNYNVYDLYCSLAGMAAPVVPLIIVLYIVSTRILSAVPYPFLYLRWSRRQQQNPLVGGIDNTPLIQPEAPVSPSNLTPSSPAPSITSASGSGSGQDKSSSTNSGGTRPEVPTTTERVKSGSSGSEAGAATSSPPPLPPRNPAPSSPPPETSESRELTADKGVDIKSGSGSGSEGVEAGAATEAAAAEAQLKSKPPPRRSLRIASLSPAPTPTPLIQPEAPVSPSNLAPSSPAPSITSASGSGSGQDKSSSTNSGGTRPEVPTTTERVKSGSSGSEAGAATSSPPPLPPRNPAPSSPPPETSESRELTADKGVDIKSGSGSGSEGVEAGAATEAAAAEAQLKSKPPPRRSLRIAGLSPAPTPTPTAPATTPTTTATPSTI* >Brasy9G041700.1.p pacid=40062346 transcript=Brasy9G041700.1 locus=Brasy9G041700 ID=Brasy9G041700.1.v1.1 annot-version=v1.1 MNRRQELCRNYQRGSCKYGAQCRFVHASSNQQQQAKPNPFGFGTSSRQQQQQPFGAQSQQQQQQQQPNPFGFGVQAGAAQSRNAPGPAKPFQNKWVRDASAPTKQPEAQPAPQAAHTSCTDPESCKQQISDDFKNETPLWKLTCYAHLRSGPCDIVGDVSYEELRAKAYEEGKQGHPLQSIIEGERNLQNAKLMEFSNLLNNARPSQTPSFPTVGSFPAVKNTSSFGGSQTNGPPVFSSFSQIGAATNFGSGLRTATPGVPTNALFGQSTQPTFGQSTQPTFGQSTQSTFGSGGMKFGVPDASQTSRQPFGTLQGSSMSSNSNFPKSPNSSVHQRDIDRQSMELLNGMTARTSAMNQAPVEDNRNENKDDSIWLKEKWAIGEIPLDEPPQRHISHVF* >Brasy9G188100.1.p pacid=40062347 transcript=Brasy9G188100.1 locus=Brasy9G188100 ID=Brasy9G188100.1.v1.1 annot-version=v1.1 MGKKQKKPGKGKEKTERKTAKGEEKRARRDAGKVGEEDDIDAILRNIQKEEAKKKEVHVEENVPAPSPRSNCSLTMNPLKDTELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKSVSSPNSPPPRSAHQTVGWKNNIYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGCPSARSGHRAVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLLVYQDQIYMYGGYFKEVSPDKNASEKGTVHADMWSLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDTKRKEPANDSETNIGNEDDDPMDVSEEATDGQSEVNGVSNHLSKSLVLTKVGSSQNSDVPSETTQEAFLEAVKPIGRINASMAIGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISEDEDEDDDEAEDDENDSADDANQTDEDDEESDEEAEKNVDMSGAVSLLKGERKKLRRKEKRARIEQIRVILGLSDSQRTPTPGESLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRFKELKPILDELAVLEAEQKAEEEASAASTGPKKDTKKSKQKSAAR* >Brasy9G206500.1.p pacid=40062348 transcript=Brasy9G206500.1 locus=Brasy9G206500 ID=Brasy9G206500.1.v1.1 annot-version=v1.1 MYGVGGGGGGFNASSTASGRRRNQEDDEDDEEEETGDGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKNLVHSQYRRRLLLRSAAAAVRIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKCAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALMGKLECSGDSSLQNALELIHGYLNQIPSYGHKEVLILYSALNTCDPGDVMETIEKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTFKLGQKGGQNCYGCQQSLINSDSQSNLHVRCPKCNQHFCFDCDIYIHESLHNCPGCESQRSISS* >Brasy9G206500.2.p pacid=40062349 transcript=Brasy9G206500.2 locus=Brasy9G206500 ID=Brasy9G206500.2.v1.1 annot-version=v1.1 MDYRPSRMAVVAKCAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIKALMGKLECSGDSSLQNALELIHGYLNQIPSYGHKEVLILYSALNTCDPGDVMETIEKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTFKLGQKGGQNCYGCQQSLINSDSQSNLHVRCPKCNQHFCFDCDIYIHESLHNCPGCESQRSISS* >Brasy9G255300.1.p pacid=40062350 transcript=Brasy9G255300.1 locus=Brasy9G255300 ID=Brasy9G255300.1.v1.1 annot-version=v1.1 MTSCAGTTAAASCRDNNTQSHGGCCILIAPLLPKAGEVVIPVAADDASPAPVLTCKPAGKLAKAVKEAWSVSLGIALPTMPPVSATAARDEARSILGLAFPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALIGVTVQRTVLLLIAAAVPIGGLWMHMRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRMQSINLPLTVCATLAIAIHLPINYALVTVLGLGIRGVAMASVLANLNLLLLLLAYIFFKGVHKRTGSFFMLSMESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGRTQEASRAATAGIMLGLAFGAFASAFAFLVRDVWASMFTADPAIIALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWFRFDFKGLWFGLLAAQATCMVRMLLVIGRTDWAAEAKRSKQLTGAGDVSAKEGDDKVGAAGGDEKSRLLIDADIEQSNDHPDRG* >Brasy9G044300.1.p pacid=40062351 transcript=Brasy9G044300.1 locus=Brasy9G044300 ID=Brasy9G044300.1.v1.1 annot-version=v1.1 MRIRDAASRMLGSEYFDALRSPPNPAPRLELQVPRPPPRPVRPLLWSSKSHAGGGFFADGASSEQLCNTNQSVSSFEVQHDLSDLKDVDFVNRTYYSCVDVPVGCLIPTSISQYNVVQKKTVEEISVEDDKATEREPKKKAMLESEEYNGVAAGGAERVYTCKKNDGKKWFCKRTVSEPNLLCSHHSDPKRVAALAPPAVSNPSSSSKPACKRNPVDAYDPYVYYGGFGPSRSKRC* >Brasy9G181800.1.p pacid=40062352 transcript=Brasy9G181800.1 locus=Brasy9G181800 ID=Brasy9G181800.1.v1.1 annot-version=v1.1 MSPKKVDRASIVVLLLIVLSVCAAGGRELAEQKLQKDFYSAASKEGATVSSNHPRNLMVKTNDYGRYDPAPAFSKPRFKLIPN* >Brasy9G264200.1.p pacid=40062353 transcript=Brasy9G264200.1 locus=Brasy9G264200 ID=Brasy9G264200.1.v1.1 annot-version=v1.1 MQELAPAKNKTVPLNGCSKKSKPSIIKFNQDPQKTQYIAYSPCNLYVLIWMISFITKCTSNYQKNSKYIYCDDLATLY* >Brasy9G171900.1.p pacid=40062354 transcript=Brasy9G171900.1 locus=Brasy9G171900 ID=Brasy9G171900.1.v1.1 annot-version=v1.1 MGRPKGGAAASSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNTTNTEIKSKLIVLPEQSMASERAGMAVNKRGLTLRELLQQTVHYNAKVRRAALNGIKDLVVKHPAELKSHKVAMIEKLQERICDTDKVVRESLYNILQSLIFPCLKEDNAISTRSTLSLLMANVLNGMTNLSMDIQLMAFRFLELVVLNFPSSFPRYAEQAFNNFVAVLSNDRIHLQDKSKLNSVLTGLGHCLSLVVRVTENDDASNRLAHNLSARELWKLTLGEDNPESRAFSTFDVLTKLQNLLQILVNSVEVSASELCAKPANDAQSSEALLSALHCLDLICRTFIHEAKKPQMEFGRSQTQFGPDWLNSSVLVYLKKLWGVKRLFHEKGDDRFFIFNLKIAEIFLCLSSWMDNTVLPAEELCQFVSSLLAKAKVLRNKDLMETHLSPLIAFIPGLISNAGDDSKGYLLEAFTDAFRGSKVDCKLMLPYLDAVRDMLHPEKSGTWFAENDSGLSEYHNAWIYELPGILLQSVDKAPAVTKVVLQLLLRIGQYIPTIECVNLRSFIQLFGTKSSSGMVELGPFVNLPCDCQELAISCLYYFSNLLPDIIEPLVCCCLSDKLEHHILFRIVEILQSTYRSGNLQITEQLSFLSSLMARFNVHRGMSCTQEDARKVSNLNTFKALNHLILTSLSEMGDGSLVLELMWNNLSNEIAQKPSSHNMNGLFRIIVTLDAATNKLMNEDFIKLLAGYLVDAALDLSKNIEVGFQPDKTRLFQYFTNPCIIIFEQNDKVLCRILEMLKSFASGDDHMFSSASNLDYPRELSQRVCVVTTILLFLCNDRRLHTNLSLGKTVIKGILHYIRHMLDSNVPDVTYGQKQKLKCAFEQLKTKALQLNCWDRSELEGLSNTT* >Brasy9G222800.1.p pacid=40062355 transcript=Brasy9G222800.1 locus=Brasy9G222800 ID=Brasy9G222800.1.v1.1 annot-version=v1.1 MATATATATAVASPSTSSSRLLRRFPPPKSTAALCLAPPRCRARLRTARQVAVSSNVSPSLDVVDEEAAAAPKLGKRVRVTAPVRVHHVSKAPDLDLRGMEGVVKQYVGVWKGKRITANRPFKVEFELKLDGQAKPVRFLAHLREDELEFVGDE* >Brasy9G205300.1.p pacid=40062356 transcript=Brasy9G205300.1 locus=Brasy9G205300 ID=Brasy9G205300.1.v1.1 annot-version=v1.1 MAASMGNASPAVSTTTALVVALAVLLLGAPTASAARAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDYPTHLPTGRFSNGLNIPDIISEYLGSEPALPYLSPNLRGENLLVGANFASAGVGILNDTGVQFVNIIRIAQQLQNFQAYQQKLAAYVGEDAARQRVNQALVLITLGGNDFVNNYYLVPFSARSQQFEIHDYVPYIISEYKKILARLYELGARRVVVTGTGMIGCVPAELAMHSLDGSCAPDLTRAADLFNPQLEQMLTELNSELGHDDVFLAANTNRASFDFMFNPQQYGFVTAKIACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRIIVGNFMHGSTDHISPMNLSTILAMDNRN* >Brasy9G154900.1.p pacid=40062357 transcript=Brasy9G154900.1 locus=Brasy9G154900 ID=Brasy9G154900.1.v1.1 annot-version=v1.1 MLLRVAAAAAHRLLLACPVRCISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATHSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNE* >Brasy9G055400.1.p pacid=40062358 transcript=Brasy9G055400.1 locus=Brasy9G055400 ID=Brasy9G055400.1.v1.1 annot-version=v1.1 MESTTRRQAVSLLLLLLVSAAGSAPAPAFLEEDVILGATVAAAGSMGKGANASTRRAGRGGIGGGGERWKEEIAGMAGREEMGAWLRRVRRRIHERPELAYEEVETSRLVREELDAMGVGFRHPLARTGVVATIGTGLPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAARILSARRHHLKGTVKLLFQPAEESGVGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIRPLRHGADPVLAAASTIINLQSLVSREADPLDSQVVSVTQVNGTDGRDHHPGAAPSEPLVLGGTFRAFSNASFYQLRRRIEEVVTLQPRVHGCEAAVDFFENQSFYPPTVNDARMYGHVKRVAAEFLGPGMYRDVAPMMGAEDFSFYSQVIPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERYLAAAAAAARSLDLVELEL* >Brasy9G336300.1.p pacid=40062359 transcript=Brasy9G336300.1 locus=Brasy9G336300 ID=Brasy9G336300.1.v1.1 annot-version=v1.1 MARPKGKKRASEAEAEAPAVRGRGRPKKSAKTEPKPPAVRGRPKKSANTEPRPPKPEAEYFPDQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMDWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLTPPEEEDDTVVNIMYPLEPPIVCDFDWEMDDMEDFIDEKVKDEVLPEDEKEKFKDFIKERVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPVKTDDTPDVSNVKAKYINRYYRHAHELL* >Brasy9G237600.1.p pacid=40062360 transcript=Brasy9G237600.1 locus=Brasy9G237600 ID=Brasy9G237600.1.v1.1 annot-version=v1.1 MDDTPHEPRSPTSPTATSASSSSSSSSVAAANKRPRRDDGRNPTYHGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVATLAVKGRAAQAHLNFPGLAHLLPRPASAAPKDVQAAAILAAAPDFLPCGGANAKSPDSGSAAASPQPAPSRDDDEADPDDALFDLPDLLLDLRYESSSALACAASWVVDDDMSAGGVFRLEEPLSWEY* >Brasy9G223400.1.p pacid=40062361 transcript=Brasy9G223400.1 locus=Brasy9G223400 ID=Brasy9G223400.1.v1.1 annot-version=v1.1 MAKEHEEDEHICEEEEEEEEEYILLELDDCLYSDIQPNAPYILSGLDTLTPSLVVGDGLNMIGEYEETVGTCYLFSESDAQPKPIHGEAALAKENKDRQASSSKEGMKEAPPKEVKHLATVQKILKFRPINEDYQQRRAYQHKDKGF* >Brasy9G212700.1.p pacid=40062362 transcript=Brasy9G212700.1 locus=Brasy9G212700 ID=Brasy9G212700.1.v1.1 annot-version=v1.1 MAMKDEQQQPLHILFFPFLAHGHLIPIADMAALFAARGVRCTILTTPVNAAIIRSVIDRANDASRSRGTGFPEIDISVVPFPDVGLPPGVENGKALTSQGDRDKFFEASKLLREPFDRFLSDHPHLDAVVSDSFFSWSADAAAEHGVPRLGFLGTSMFARACSDSMLRNNPLETAPDEPDALVALLGLPHRVEFRRSQMMDPRKRPEHWVFFQSVNAGDQRSFGELFNSFHELEPEYVEHYHTTLGRRAWLVGPVGLASKDMAARGTNTLSPDADRCLRWLDTKEANSVVYVSFGTLTSFSPEELRELARGLHLSGKNFVWVLGGAGAESSEWMPEDFAELMERGERGFIVRGWAPQMLILNHPALGGFVTHCGWNSTLEALSAGVPMVTWPRYADQFNNEMLIVEVLKVGVSIGAKDYASSVETHEVIGGEVIAESISRLMGNTEEGDAIQKKAKDLGVKARSAVENGGSSYNDVGRLMEELMARRSSAKVEKVRTTDGL* >Brasy9G040700.1.p pacid=40062363 transcript=Brasy9G040700.1 locus=Brasy9G040700 ID=Brasy9G040700.1.v1.1 annot-version=v1.1 PDLISNLPNGVLRDIISLLPTKDGARTQSLSTQWGHLFRSAPLNLEAPCRRLSITWYGYKSDYFAPLLYGWLQSPALNDLRELDLLQNRKESGSWERWRVPREDYYALLPSVLQFSLTLRILSINSTEEVLQEVVIEDAPLLEKLFLSGLDSDLSIRLVCAPKLDFLGSLPQGFTKVKLETIVLQVSATFYFSIEQPSHCIYSILQIFLSSVVSTVKVLVLRMSPPSVDDAIDIATLFPCLEKLYVVLYRAGASKRAWYQFPLNYTECFDLHLKKIVLMNYQGTPRDLYFARFFLLNAKVLEHMLDKRASEGAQFDFVVDSYFGDHIHIGHIHDLTAADPFDSSLCRCRHVNFL* >Brasy9G210000.1.p pacid=40062364 transcript=Brasy9G210000.1 locus=Brasy9G210000 ID=Brasy9G210000.1.v1.1 annot-version=v1.1 MAMASSPLSGPVAFKDVFPDGHDAPPVPLPEDDEDVEALPSNPALGLRLYRGTWVAEPWVPGIARMRRFTARPGDVVLASSPKCGATWLKALAFATMARAAHPPDAGDHPLLRHSPHECVPFIEMLLAAGWGSKLDALPSPRLMATHMPYSVLPDCITRNSGCKIVYICRDPKDMVVSMWHFIRKIRPHVSFSDLFEHTCEGRSLCGPIWDHILGYWNASNHPIDISNESRPDPELTTMSPIRFLRYEELLQDTVGNVRKLARFLGQPFSAAEEEYGTAEAIVELCSFDKLSSLEVNKTGDMGLHVKFSRQSYFRKGCVGDWSNHMTSEMAHRFDAVMLDNLSGSGLAFE* >Brasy9G260000.1.p pacid=40062365 transcript=Brasy9G260000.1 locus=Brasy9G260000 ID=Brasy9G260000.1.v1.1 annot-version=v1.1 MPLQSHSALPFAIMLCFASPTKMSPWRPNCLTLLLVFPASMTTGPSREALVLLMLAAAVVVALAPPPLLLCDALQLQDAALIDDVVMEAAEEWYNDKRNSHRIRTGATYPLSLPGSLASIQAGVSRFRAGSLRKHGFRGFGEFSVHPGVLVVTRRGDRAPDTHVLAVRVNLRNLSAVYDGYAASSGGYRIASPVLGIMFYGLDTTSAAAVEVLVTGDDAAIRVNFSMAVPALQPGVVPLCMAVARNGSVAVTGVRVQGGSNVCHVLDQGHVALVLGGVGNGDGAADQEAGGGEASKWKLALFGAALGAGGTVLLGLVLVAMLSVRRRKSEMAEMERRAYEEEALRVSMVGLVRAPSASGSRTTPDELEGEYRGTL* >Brasy9G184000.1.p pacid=40062366 transcript=Brasy9G184000.1 locus=Brasy9G184000 ID=Brasy9G184000.1.v1.1 annot-version=v1.1 AELLQAQADLWRRSLGFYTCMALQCAVKLGVPAAIQRAMLPFLRRVMRLLVTSGVFTSLADETDASIVYYRLTPVSRLLVDGTVPGSEAVGGRTSHASFVLACTARLNKPEEEETKPLSSPFAWAHGGASLFERARVDPEFNGLLNEGMTANSRLGILTVLRECRPLFEDLRSLTDCGGGDGVTARAIARTFPHVKCTVLHLPHMIAAAPIPSDDGIVQYVAGDMFESVPPSQAILVKYVLHDWSDEQCVKVLARCREAIPCREAGGKVIVVKVVLGASSPCCAGPMYEAELLMDMAMMCMTMGHEREEHEWCGIFVAAGFSDYKINKARGVRCVIEVYP* >Brasy9G101700.1.p pacid=40062367 transcript=Brasy9G101700.1 locus=Brasy9G101700 ID=Brasy9G101700.1.v1.1 annot-version=v1.1 MTAIRKMVLILFLVVSFAFAVQGRKIEDEQSATSKGHEWPGSSDGARGGGLGAPSPAGSAGGSLALASSPFVAPMGNCTETRICTIPLCIDAFCSSACMLSFHPGGHCRGSGFIRSCYCFQCD* >Brasy9G042700.1.p pacid=40062368 transcript=Brasy9G042700.1 locus=Brasy9G042700 ID=Brasy9G042700.1.v1.1 annot-version=v1.1 MDLAISAVTGDLASRFVSFLMNKCSDHVYSEEEKVERLQQLLLRVCTVMEEADERYITNSCMHIQLRTIAAAMYQGHHVLDNIRCRQQKDGSKDLVSNSFALSVSNPFKRSRTIGSSSTTSKAFNLGLHSALQNLEAVVANMVEFVALLGGCERISPRPYDTYLHVENFMFGRHVEKQQITSFLLQHNTPGPPAVLPIVGRRGVGKKTLVAHVCNYDRVCSHFSMILHLNGDDIFRITEHERLSGRVLVVVEFVSDINDDECTHFYSSVRRMNGGSKVIIFGRNEELKKYGTSKPISLDRLPFEEYRYLLRTLAFGSANPIDHPQLLSIVEEFAMVLDGSLIPGNLIAHAMRKNQNARFWLSKLNGLKTIIEMRKSRYGVHPNVLFDRGHPVHLKGHYLLSAPSCLVPSDSAPSNIPRKDLPNMKFGEEPGHIIPPKEDFKLISWESRLPPYTSFVHQVQFAPSCVGEKKPGAPLSGKKRRGLFGSS* >Brasy9G166800.1.p pacid=40062369 transcript=Brasy9G166800.1 locus=Brasy9G166800 ID=Brasy9G166800.1.v1.1 annot-version=v1.1 MDRNLSGLLIGCVGAAMTLLAYEQTLVSSTQCIGAGLVVLICALCIKEGIFSF* >Brasy9G167300.1.p pacid=40062370 transcript=Brasy9G167300.1 locus=Brasy9G167300 ID=Brasy9G167300.1.v1.1 annot-version=v1.1 MLPMSVHQATTATLVSHPHLSAPKSPASPSTSSLPHLLHFKSRRLPLRSLRGLAAAAVEAEQSFVGLGEEEPEVGEADADAVVEGEEYKVAVPERQDPMLVLKFIWMEKNIGIALDQMVPGFGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST* >Brasy9G265800.1.p pacid=40062371 transcript=Brasy9G265800.1 locus=Brasy9G265800 ID=Brasy9G265800.1.v1.1 annot-version=v1.1 MAEAKGKKGNRGYLTWTPDMDTAMLDVLVEHHNNGDHAQNGWKPHVYNACIRHVKETSRCKTFDKHYEVISKILAQSGFGWDWENNKLSIDSEDVWSKYVEANKAAASYKTKVVMNWEQISSIYSKDHATGEGAKTAAECVEEQDTQVLEESPDVPQKRRRTGDVILCMMGDMKSEFQEVLKTTDPVTLPKVTPSAEILAALQTIPDLAKGDMLRAYGRLSISERLVESLMELPMTLRKAWLMTLS* >Brasy9G284100.1.p pacid=40062372 transcript=Brasy9G284100.1 locus=Brasy9G284100 ID=Brasy9G284100.1.v1.1 annot-version=v1.1 MEVGGEEFAIGVVISAKTTLGEEFEGQIVSFDRPTNLLVIQEGVGRAERGERRNVRVLKANYIQEFSVVGKFDDPLDPAGCVLDLAAIHAREEAAIRQAEIEAERIGVGVTPEAQRLFDALSKTLPVHWDRTEIVVMKEVRVCSPYLPENVSGGTSAANERVKKVLDFERKRLHVRVPGQL* >Brasy9G354100.1.p pacid=40062373 transcript=Brasy9G354100.1 locus=Brasy9G354100 ID=Brasy9G354100.1.v1.1 annot-version=v1.1 MGSASRAVSCLCCPCKCLACGLFSCLCSILVSLLVTAGVLALILYFIFRPHMIAATVDSAALTQFALAPNSALSYNLTVAMTVRNPNKRVGLYYDRVEALALFEGQRFGYAPLDSFSQGTEAATELKPGFHGQQPVEGDVTAANFRAQQSAGAFDVEVKLNAKLRVKVWAFKVPGPRARISCPISVPAPGNSSAPAFQPTGCRVWF* >Brasy9G044700.1.p pacid=40062374 transcript=Brasy9G044700.1 locus=Brasy9G044700 ID=Brasy9G044700.1.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.3.p pacid=40062375 transcript=Brasy9G044700.3 locus=Brasy9G044700 ID=Brasy9G044700.3.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.9.p pacid=40062376 transcript=Brasy9G044700.9 locus=Brasy9G044700 ID=Brasy9G044700.9.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.6.p pacid=40062377 transcript=Brasy9G044700.6 locus=Brasy9G044700 ID=Brasy9G044700.6.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.2.p pacid=40062378 transcript=Brasy9G044700.2 locus=Brasy9G044700 ID=Brasy9G044700.2.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.4.p pacid=40062379 transcript=Brasy9G044700.4 locus=Brasy9G044700 ID=Brasy9G044700.4.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.5.p pacid=40062380 transcript=Brasy9G044700.5 locus=Brasy9G044700 ID=Brasy9G044700.5.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEVVTDPTHQTEKSQVSADLPFMEMTSQCEALTIGKHQKMSSFMSFQQNMQAAPMPNHHQPNQMELDLFHDPQLPQAGVQSTNPFVDENMHGYPQYMNADNPQSADDHFQQHFLKLPAANPYDNFLRAAGC* >Brasy9G044700.7.p pacid=40062381 transcript=Brasy9G044700.7 locus=Brasy9G044700 ID=Brasy9G044700.7.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVCPCYQKPIPPSFSLSLFLLHSLFLGHCHDKITWHELITSLVVT* >Brasy9G044700.8.p pacid=40062382 transcript=Brasy9G044700.8 locus=Brasy9G044700 ID=Brasy9G044700.8.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVCPCYQKPIPPSFSLSLFLLHSLFLGHCHDKITWHELITSLVVT* >Brasy9G044700.10.p pacid=40062383 transcript=Brasy9G044700.10 locus=Brasy9G044700 ID=Brasy9G044700.10.v1.1 annot-version=v1.1 MGVISRKVLPACGSLCYFCPALRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRILGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERAASLRAAALQALSAMIWFMGELSHISSEFDYVVEVVLENYKPQKTQNDDQGTKDPDNESVQEVQKTEHNPSPFVISEIPSWENIVNTKGGVNLPMEEAKDPKFWSRICVHSMAKLSREATTFRRILESLFRCFGNSSSWSPENGLALCVLLDMQLLVEKSGQNMHLLLSVLIKHIENKAMLKQPDMQLSIVEVAATLAEQSSAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIACTPVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPLIQASAASQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKINNLHGMHGIGEDAGNPKRQNLPVSQSRRSSMRVPNFSMKKGPSMALRSVPSVSIKVPSMSLRGPSMALRSAPSMSASQSSPTKSDEEMDSVLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFSLRSYSLTEADSLQPSRRRSLFTLATAMIIFSSKAFNVSPLVPICKQMINDRAGDPFLQLVDEIRLQAVKDSSDDPSKVYGSPEDNTNALKSLAAIELSESQSRECIVSTIMNNIANILDAELHNVRSQLLSDFSPDDMCPMSTQFFEEAPENSSSGSHENGHHEEAMLIDMGNDHDIFGEGSETAVGSMTFVPTSDLMSIDQLLETVCPCYQKPIPPSFSLSLFLLHSLFLGHCHDKITWHELITSLVVT* >Brasy9G183300.1.p pacid=40062384 transcript=Brasy9G183300.1 locus=Brasy9G183300 ID=Brasy9G183300.1.v1.1 annot-version=v1.1 MSTAAVDPHGGYCAATRTYHSLRAPVPLPPPSQPLSLPSYAFSFLPSPLPSHPALLDAATGEAVPFAAFLAQVRALAAALRADLRVSRGDVAFVLAPPGLHVPVLYYALMAVGAVVSPANPALTAPEIARLAALSMPSVAFAVSDTAAKLPPGLSLNAVLLDSPRFRSFLQGPGDASVAPDTDVIHQTDPAAILYSSGTTGRAKAVVLTHRNLMTSLVAPAAAATADVLMLTVPIFHVYGFVFCLRPVMAAQTLVLHTARRFDARAVLGAVGKFGVTRLAVAPPALLAIVRAAEEDGSVVAGAATLQAVLCGGASLSTELLRRFSQKFPHACVSQGYGLTETTAGFCRAIGVEESRRIGSVGRLSWGAEAKIVDPGTGVALPPGVPGELWVRGPFVMKGYLGDKESTSTILDSEGWLRTGDICSIDKEGFLFMVDRMKELIKCNGYQVAPAELEDLLQTHPGIDEAAVVGYPDDQAGELPVAFVVGRSGSNLHEAQIKDFVAKQAVHYKRIHRVFLVDSIPKNAAGKILRKDLATLALHQISSKL* >Brasy9G192200.1.p pacid=40062385 transcript=Brasy9G192200.1 locus=Brasy9G192200 ID=Brasy9G192200.1.v1.1 annot-version=v1.1 MALLLRRGAALAARSLRAATAASSASTTVHRLPAAGSLGAARELPSTQLFLFETRRGFAKGKKSKNDSRGDSVKAVPDFKAIMPAHMKTICVMLSHKLSNLPTGRASADMLDHMMVETADVKVRLIRMALVTVLDAHTLSVIPYDTSTMYSIENAIVSSPLGINPRRAGNRITARHRIIVAIPPLTKENTQALCKVVTTAAEDFKQKIREARQMALDAINKSSSSMPKDDIKRLEKEVEVITKKFIKMTDDMCKAKEKKISGN* >Brasy9G030200.1.p pacid=40062386 transcript=Brasy9G030200.1 locus=Brasy9G030200 ID=Brasy9G030200.1.v1.1 annot-version=v1.1 MPFGAAHRHCPGTAAGMLRIKCFVAALVREFQWAPSADDCSKGGAIDMTEHNGFLKVMKKPLSARLTRRTK* >Brasy9G084100.1.p pacid=40062387 transcript=Brasy9G084100.1 locus=Brasy9G084100 ID=Brasy9G084100.1.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHGSHGSGRTPMGWAARVQAALCAARGVAHLHAAHGLANGNIKSSNLLLRTHRQGDPDDAAALLSDYGLQHLFAPPPPSARGGGYRAPELADPRRPTPQSDVYSLGVLFLEILTGRSRRRRRWICRGGCSRLCGRSGRRRCSTRSWCGWAAGAGAGRRKRWWRCCRSPWRARRPRRMRGPRRRRL* >Brasy9G084100.3.p pacid=40062388 transcript=Brasy9G084100.3 locus=Brasy9G084100 ID=Brasy9G084100.3.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHVKELSDYSEQGQDRTAPAFFQELTAALCN* >Brasy9G084100.4.p pacid=40062389 transcript=Brasy9G084100.4 locus=Brasy9G084100 ID=Brasy9G084100.4.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHEQGQDRTAPAFFQELTAALCN* >Brasy9G084100.5.p pacid=40062390 transcript=Brasy9G084100.5 locus=Brasy9G084100 ID=Brasy9G084100.5.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHEQGQDRTAPAFFQELTAALCN* >Brasy9G084100.2.p pacid=40062391 transcript=Brasy9G084100.2 locus=Brasy9G084100 ID=Brasy9G084100.2.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHEQGQDRTAPAFFQELTAALCN* >Brasy9G084100.6.p pacid=40062392 transcript=Brasy9G084100.6 locus=Brasy9G084100 ID=Brasy9G084100.6.v1.1 annot-version=v1.1 MAALPTLLLLLALALAVTDTAAQPTPPQRERSALQAFLAGTPHERSLGWNAPSPCLWFGVACDASNATVVAVRLPGIGLVGALPASTLGQLRGLHTLSLRSNRLSGPIPADLLALPALRSLYLQGNRLSGRLPGDLPASLHHLSLSGNELDGEIPESLDNLLELRSLRLDGNKFSGALPSLSALRKLKVFNVSYNLLNGSIPSSLGSRFPRESFVGNLQLCGEALDRPCGESPSPGVVIPPPVPGNTKKSRRLSGAGVTAIAVGAGAGALFALLLFVLCFLHRRRRRDDNANNKMPTPTPTRGFTPSTATSGDMGDITSSSKEIAAVAAAAAAASGAGESQRSRLVFVGNTQKDGYGFDLEDLLRASAEVLGKGGGGTSYKAVLEDGTTTVVVKRLKDVAAGRREFAAAVEALGSVEHPNLLPVRGYYFSKDEKLLIADHLPDGSLSAVLHEQGQDRTAPAFFQELTAALCN* >Brasy9G183600.1.p pacid=40062393 transcript=Brasy9G183600.1 locus=Brasy9G183600 ID=Brasy9G183600.1.v1.1 annot-version=v1.1 MDHFRTGTGPVHPVNISPQPDRSRSRSSVPIFWTSPSTRRRRLHRKTAPQRPAGAASDLGRRRRTSSATYYRPEPSTSRSRRSPTSLSISSDRSNSVSSIDLPVVEEDDPVSYAVSGRRCDD* >Brasy9G288400.1.p pacid=40062394 transcript=Brasy9G288400.1 locus=Brasy9G288400 ID=Brasy9G288400.1.v1.1 annot-version=v1.1 MAKLVLPVMVVVVMAMAFSAAAARPLAGEEWAGEATGAGYSVVRFIRQVYLQQLQGPGGASHSCQTWNPNGGC* >Brasy9G128800.1.p pacid=40062395 transcript=Brasy9G128800.1 locus=Brasy9G128800 ID=Brasy9G128800.1.v1.1 annot-version=v1.1 MDARVLVAYRYMFAAAFLCPIAFFVDRNKRPPLTMKVVLYLFLCGLLGFAINQNLYVLAIKLTSGTFVTAISNLTPATTFLLSILTRLETLKLRNPAGQAKLVGTLVGMAGAMLLTFYKGPEFTLLRRLPRPRLVHITEAHHSNPQSTSSQILGSFLGIVSCFSYASWAVVQAKAGELYPCHYSMAAMVCLFGAIQSTVVAVCVQHDMAHWRLGLNIRLYSAAYAGFIATGSAFPVLSWCLRKKGPLFVAVFNLLMLVFVAALSSILLDEALYLGRAQGARRNPRGSPVINLEGKKKGRPNDRKEQTSG* >Brasy9G192900.1.p pacid=40062396 transcript=Brasy9G192900.1 locus=Brasy9G192900 ID=Brasy9G192900.1.v1.1 annot-version=v1.1 MAVKGVEVFGMWASPMAIRLETWSGVRVCRQGPRQQERSAAPVQPGDQGARAESAIIMPSDPYERARASFWASFADETYALSTVQ* >Brasy9G007200.1.p pacid=40062397 transcript=Brasy9G007200.1 locus=Brasy9G007200 ID=Brasy9G007200.1.v1.1 annot-version=v1.1 MASVGGGEGSNNRRRRLAGAPRTRSNNGPMPVIVCALRAPGAPPPAPAPAAPAPLAFGSVALPGRMRQMEDFVALRPGLCVWADGSPVHFFAVFDGHGGPHVAEMCKVEMPAALEEELALAAALLQEQPTLSDEEDAWRAALHRAFERVDALGASCCQCGNVGPPLCHCGLRGGVIGSTAVVALLVRDQIIVASSGDSRAVISRDGGRDVEALSTDHKPDRPDEMQRITEAGGRVIFHNGAPRVRGILAMSRAIGHRILKPEVICDPEIKMTTRTEGDDCLILASDGVWDVVSNQMACDVVRQCLDDGTPPDLHLPAAPAPAERQQQQSSRRCDLAAAALGRLALGRESSDNISAVVIDLKMRE* >Brasy9G007200.2.p pacid=40062398 transcript=Brasy9G007200.2 locus=Brasy9G007200 ID=Brasy9G007200.2.v1.1 annot-version=v1.1 MASVGGGEGSNNRRRRLAGAPRTRSNNGPMPVIVCALRAPGAPPPAPAPAAPAPLAFGSVALPGRMRQMEDFVALRPGLCVWADGSPVHFFAVFDGHGGPHVAEMCKVEMPAALEEELALAAALLQEQPTLSDEEDAWRAALHRAFERVDALGASCCQCGNVGPPLCHCGLRGGVIGSTAVVALLVRDQIIVASSGDSRAVISRDGGRDVEALSTDHKPDRPDEMQRITEAGGRVIFHNGAPRVRGILAMSRAIGWIRQCRLASTQLHKSLPVAG* >Brasy9G221900.1.p pacid=40062399 transcript=Brasy9G221900.1 locus=Brasy9G221900 ID=Brasy9G221900.1.v1.1 annot-version=v1.1 MLIASASAPSAANGDAARTAGHPPTAVPVQGSPCPTGPFTACPTLLSGGCRSTSISIASYRTRKAPAANAWTAPLHAGKPFLHGHDLGAPSTEFKVFVADLARSRWTERRGKTACCSSGRAARVPDQCKEYVVGDRIFFVDDTAARGYAAFSGYYHHGEKLPFYCSIYDLRTWRSRTFLGTKVRPLKGFPVAWLFRRRSEPVP* >Brasy9G292800.1.p pacid=40062400 transcript=Brasy9G292800.1 locus=Brasy9G292800 ID=Brasy9G292800.1.v1.1 annot-version=v1.1 MAPSSSSSPKSLHSSRSPARGRRGGAKVVQEAKHEPMTILGQLVRNIFNSDLREKALLELSKKTETVEDLALLLWHSRGIISELLRETVAIYPALSSQNLMQSALNRICNVLKLFQCVASHPETRTLFVNAYIPEYLYPFLQTVDKSKPFEYLRLATLAVIADLVKDKDAKVINFLLGSGMISLCFNIMEIGDELSKTAATFIVEKVLLEDVGLEYVCDTPKRFFDMGWALANMVESLTDRPSAKLLKHIIGCYLRLTEIPSANACGTLHMFIPPVLRDGTFDNCLKDEPETRQRLKRLLEIVPKDKGPEKLSDLVAAAIRHKRRSILL* >Brasy9G203300.1.p pacid=40062401 transcript=Brasy9G203300.1 locus=Brasy9G203300 ID=Brasy9G203300.1.v1.1 annot-version=v1.1 MTEMEEELLLGREENEHLIIEGANKISSEQKKAQDLQQKLEGANKRFAKVVTENYNLRNTVNSKDKIIRELIESKTHSDQRLTEATAKLEFMQKQCASLQYEVRVVQKELEIRNKEREYDLKSIDAAQKQQQESVQKITTLESECQRLRTMVQKRLPGPAALAKMKDEVERRGATSIQNGMRRTRTSTTLQPPLRAATQRHSVSEGYIVKLQEMDDENRHLRQLLARKESEIQSVQLLYADEACKLSVVQRQLKDLWSDHDMEENNHFEQFASPLVPKPENIRTGKRLTSRSRSRRIAGSDMQLLVDLVEIEKLEMVSRPSSAPHQCDTDASDTHSQMILSEILGRDQIPPQDGFSYKYPEWIQDILKLIIHKHRANKISVDAILDEVTCALRSEISARESDVASLAYNQAEIDSMVATLIERVSCMIERFTEKNVTSFELFLHEKTEVTSQLEHLVHVCSDVLDGKVNLQKLIDEVCLTLEWAMDRCFSCIGGLDNVDSYTNKSDQNESVRKLSLHGKSEMVSRMQQKVQKELIGTIEGQIPGDTLENRSQIQLATCKLDEEMARQEQGDNLQEKQSVYYELESTVADGGMENLLEQDGKQNSAISAAAEKLAECQETMTNLTKQLHALQTPANADASGKGKSGTLPLSVPKVLAEHDAKSQDFRSLRSEEVACKKEQGRHAATEKNLVHEQGADTGHKSSNNGLTRAVLPPVIPKSPRTSFCADMKKKKRRVSLLSRLVFRKKA* >Brasy9G122400.1.p pacid=40062402 transcript=Brasy9G122400.1 locus=Brasy9G122400 ID=Brasy9G122400.1.v1.1 annot-version=v1.1 MRGLFVLALLVVAAAGLAARGADAAGECGATPVDRMALKLAPCASAGQDPSSAPSGGCCTAVHTIGKQSPKCLCAVMLSNTARSAGIKPEAAITIPKRCNLVDRPVGYKCGAYTLP* >Brasy9G171200.1.p pacid=40062403 transcript=Brasy9G171200.1 locus=Brasy9G171200 ID=Brasy9G171200.1.v1.1 annot-version=v1.1 MAAAVPEPISTATVRVSNIPRLAVAAELLAFFDSAVAAVGATYACEIVAAHRGWQSRGHGTVQFDSAATAILAAELASSGRLPRFLGSPLSVSPAPADLLPRAPEPSLRASGARLLVGNRVAERELEMAETLDGVRVEVIPGKRRVDLYLTHDSQRYKLEVLFGDIRNCFRCSLDGTSAILLQLTYAPRIHTAFSGPAVYSRFKDDRLHACKEDAKFTWVRALDFTPNQSFGKCSTLVIKLDEDAPMSYILNTLPFSGVLGELAISSMDVVGPSSKVVPLVDCPHGCSVSYEVLFRLNSLVHMGKIVAKHVNANLFTFLEQVPLHISRRIFEKMSKLESTCYEPLQFIESELKLTHNALLSSKREGEGKLMRCYRIHITPSKIYCLGPEEEASNYVVKHHSEYASDFVRVTFVDEDWSKLSVNAISARIEQGFFSQPFKTGLYYRILSILKEGFIIGPKKFEFLAFSASQLREGSVWMFASNDSLNAEGIRRWMGHFEGIRSVSKCAARMGQLFSSSRQTVEVRPWDVEEIPDIEVITNNTKYIFSDGIGKMSVRFAREIAYRIGLDHTNPPSAFQIRFGGYKGVIAVDPSSFRNLSLRPSMRKFESDCRMLNITSWSKSQPCYVNREIISLLSTLGIRDEVFELMQHDDMRELDEMLTNKEVALSVLGKIGSAETKTAADMLLQGYEPISEPYLLMILKAHQDNRLTDIRSKFKIHVPKGRVLLGCLDETGKLKYGQVYIRITKNNKEQKENEQPYFCEDDGKTVTLVGKVAVSKNPCLHPGDVRVLEAVYDPALHAKKLVDCVVFPQRGERPHPNECSGGDLDGDLYFVTWDDKLIPEKADTPMDYTAARPRIMDHVVTLEEIQQHVVNYMLSDSLGAISNAHLIHADRDPMKARSPECLQLAALHSMAVDFAKTGAPAELPRSLRPREFPDLMERWDKPMYISNGVLGKLYRAAVSRMESSHALASQVQSSPTYDHELEVHGFEEFLEDAEKHYDLYVEKLTTLMNYYGAEHEDEILTGIIRNRLLYLRKDRKRYSDMKDRIVDSVNALHEEVRGWFKSCLKAAEEASRVASAWYRVTYHPDHRRPEKKQFWSFPWIICDKLLAIKASKSNRCRKLVGVAAGVDPIDCVPAKRMRMIS* >Brasy9G166200.1.p pacid=40062404 transcript=Brasy9G166200.1 locus=Brasy9G166200 ID=Brasy9G166200.1.v1.1 annot-version=v1.1 MATPEQKGKRPRIDDEEGDDIDRDLFLSVEKLHDIQDEIERVNEEASDKVLEVDQKYNEILRPVYARRNEIIRKIPDFWLTAFLSHPKLGELLTEDDQKIFKHLESIDVDEFKDGKSGYSITLTFSPNPYFEETKLTKSCTFSEDGKITVKSTSINWKTGMDIVNGKAYTKDGSKRLLIDESFFTWFNDAKNKSSVDGVDEVTDTIKEELWPNPLKYFNNEAEEEFELEEEEDEEGSDDDDEEEQDEEE* >Brasy9G132000.1.p pacid=40062405 transcript=Brasy9G132000.1 locus=Brasy9G132000 ID=Brasy9G132000.1.v1.1 annot-version=v1.1 MAAQPRGERRRKRHTPRCDRDPRSKARSPSKKKEAKRRGRKSGSPAAAAAAAAVAATYTSSGQRAYWAGVGAAAAWHPIPSSPPSPSSPDSATLFRHAPLRSTSNSTPVLRCSSAFLLLPLPVRCAAGRAASRMRRPSPLIWAALAVAALLAPLPPAAIAADCPLDFSWPNYALITSLCSDQDGHSKCCRYINAVLAVSSAMYANTTGTLGVPSELADACIGNISDTLVSKGILPTAASFCGLGIKIQASYQCVGMTTILQMLQSPNFSDVTRSCATSLPDDVSCKRCLNSGLSYLRHLVGEQDNITLNTCRDAAFVAFMSQGNISIFDTAGCFFSAQGLSALQVNISAPSSGGHVAPNISPSPLAAQIPGDHVTGVPANHNRSYKRVLFPVIGALVTGLSVTLVLVLILLIRKKSKELEKIEGINPLDALSSCVKKRQEDTSTIFGRFSYSEMRRATRNFSTTLGGNDNGTIFKGQLSNGSVVAIRRIESTAKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGSGLAHGSSGGDTTVNSTPGTVKIQATAVPCVYLRAGYVDPEYVVTQELTAKSDVYSYGVLLLELVTGRPVVQDDSSLVEWSRDLIGTDYRLHELVDTAVADTFDLDELQVMADVIHWCTHRDGDARPSMKQVLRILYERLDPLSGGFARAVEGEQAYYYGGQSGRKGSAGQQRRWDGGDVIQFSSEARCPPSSSSTSRSHCSRSVMLECNSPETQSPPHGGHGAFLV* >Brasy9G132000.2.p pacid=40062406 transcript=Brasy9G132000.2 locus=Brasy9G132000 ID=Brasy9G132000.2.v1.1 annot-version=v1.1 MAAQPRGERRRKRHTPRCDRDPRSKARSPSKKKEAKRRGRKSGSPAAAAAAAAVAATYTSSGQRAYWAGVGAAAAWHPIPSSPPSPSSPDSATLFRHAPLRSTSNSTPVLRCSSAFLLLPLPVRCAAGRAASRMRRPSPLIWAALAVAALLAPLPPAAIAADCPLDFSWPNYALITSLCSDQDGHSKCCRYINAVLAVSSAMYANTTGTLGVPSELADACIGNISDTLVSKGILPTAASFCGLGIKIQASYQCVGMTTILQMLQSPNFSDVTRSCATSLPDDVSCKRCLNSGLSYLRHLVGEQDNITLNTCRDAAFVAFMSQGNISIFDTAGCFFSAQGLSALQVNISAPSSGGHVAPNISPSPLAAQIPGDHVTGVPANHNRSYKRVLFPVIGALVTGLSVTLVLVLILLIRKKSKELEKIEGINPLDALSSCVKKRQEDTSTIFGRFSYSEMRRATRNFSTTLGGNDNGTIFKGQLSNGSVVAIRRIESTAKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGSGLAHGSSGGDTTVNSTPGTVKIQATAGYVDPEYVVTQELTAKSDVYSYGVLLLELVTGRPVVQDDSSLVEWSRDLIGTDYRLHELVDTAVADTFDLDELQVMADVIHWCTHRDGDARPSMKQVLRILYERLDPLSGGFARAVEGEQAYYYGGQSGRKGSAGQQRRWDGGDVIQFSSEARCPPSSSSTSRSHCSRSVMLECNSPETQSPPHGGHGAFLV* >Brasy9G132000.3.p pacid=40062407 transcript=Brasy9G132000.3 locus=Brasy9G132000 ID=Brasy9G132000.3.v1.1 annot-version=v1.1 MYANTTGTLGVPSELADACIGNISDTLVSKGILPTAASFCGLGIKIQASYQCVGMTTILQMLQSPNFSDVTRSCATSLPDDVSCKRCLNSGLSYLRHLVGEQDNITLNTCRDAAFVAFMSQGNISIFDTAGCFFSAQGLSALQVNISAPSSGGHVAPNISPSPLAAQIPGDHVTGVPANHNRSYKRVLFPVIGALVTGLSVTLVLVLILLIRKKSKELEKIEGINPLDALSSCVKKRQEDTSTIFGRFSYSEMRRATRNFSTTLGGNDNGTIFKGQLSNGSVVAIRRIESTAKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGSGLAHGSSGGDTTVNSTPGTVKIQATAVPCVYLRAGYVDPEYVVTQELTAKSDVYSYGVLLLELVTGRPVVQDDSSLVEWSRDLIGTDYRLHELVDTAVADTFDLDELQVMADVIHWCTHRDGDARPSMKQVLRILYERLDPLSGGFARAVEGEQAYYYGGQSGRKGSAGQQRRWDGGDVIQFSSEARCPPSSSSTSRSHCSRSVMLECNSPETQSPPHGGHGAFLV* >Brasy9G132000.4.p pacid=40062408 transcript=Brasy9G132000.4 locus=Brasy9G132000 ID=Brasy9G132000.4.v1.1 annot-version=v1.1 MYANTTGTLGVPSELADACIGNISDTLVSKGILPTAASFCGLGIKIQASYQCVGMTTILQMLQSPNFSDVTRSCATSLPDDVSCKRCLNSGLSYLRHLVGEQDNITLNTCRDAAFVAFMSQGNISIFDTAGCFFSAQGLSALQVNISAPSSGGHVAPNISPSPLAAQIPGDHVTGVPANHNRSYKRVLFPVIGALVTGLSVTLVLVLILLIRKKSKELEKIEGINPLDALSSCVKKRQEDTSTIFGRFSYSEMRRATRNFSTTLGGNDNGTIFKGQLSNGSVVAIRRIESTAKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGSGLAHGSSGGDTTVNSTPGTVKIQATAGYVDPEYVVTQELTAKSDVYSYGVLLLELVTGRPVVQDDSSLVEWSRDLIGTDYRLHELVDTAVADTFDLDELQVMADVIHWCTHRDGDARPSMKQVLRILYERLDPLSGGFARAVEGEQAYYYGGQSGRKGSAGQQRRWDGGDVIQFSSEARCPPSSSSTSRSHCSRSVMLECNSPETQSPPHGGHGAFLV* >Brasy9G248700.1.p pacid=40062409 transcript=Brasy9G248700.1 locus=Brasy9G248700 ID=Brasy9G248700.1.v1.1 annot-version=v1.1 MASRPSWALALVALCLSFELALQEKGKVKDDDNNGGGAETLVPAIFVFGDSTVDVGNNNFLPRCNEKCRANYPRYGVDYPSQNATGRFSNGYNLADYVAKLLGFPESPPPLKSLSDEGIIEQMKKGISYASGGSGLQNQTGHDLCGQVCPMADQLEMFTSNVQKMGKEDSSDLISRSLFFISVGSNDLFEYANDSKPSPNRNDTEFLKGLVGLYKSYLQELYEVGARKFSVVSPSLVGCCPIQRVLGKQKNDTDEFGCFGKANNLSRQLYPMMFSMLRDIDLQGMNYSIADSVGMTEVVFEGFIPPGMNLTVLDTACCGGSGPSQVDKCNSTASLCPNRDNYLFWDGFHATDIASSGAAKMLCSDEDSFVHPINITKLATL* >Brasy9G217500.1.p pacid=40062410 transcript=Brasy9G217500.1 locus=Brasy9G217500 ID=Brasy9G217500.1.v1.1 annot-version=v1.1 MRDIHFPLNQTQRVRLEAALHELQTLAPAAASAASVTVADNIPVNQEDNILKGHGTADHDGEVMATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLQRGQLLTVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGQHVLVRENTELKEDQQKLSDEVDTFTPIETRKHICRLANAVRALSALGFTLTIELIIQTAEASLSSNVEINDMLGAEFYVQTAEREARRRADLLRKKNGAR* >Brasy9G217500.2.p pacid=40062411 transcript=Brasy9G217500.2 locus=Brasy9G217500 ID=Brasy9G217500.2.v1.1 annot-version=v1.1 MATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLQRGQLLTVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGQHVLVRENTELKEDQQKLSDEVDTFTPIETRKHICRLANAVRALSALGFTLTIELIIQTAEASLSSNVEINDMLGAEFYVQTAEREARRRADLLRKKNGAR* >Brasy9G217500.3.p pacid=40062412 transcript=Brasy9G217500.3 locus=Brasy9G217500 ID=Brasy9G217500.3.v1.1 annot-version=v1.1 MHMYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLQRGQLLTVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGQHVLVRENTELKEDQQKLSDEVDTFTPIETRKHICRLANAVRALSALGFTLTIELIIQTAEASLSSNVEINDMLGAEFYVQTAEREARRRADLLRKKNGAR* >Brasy9G155500.1.p pacid=40062413 transcript=Brasy9G155500.1 locus=Brasy9G155500 ID=Brasy9G155500.1.v1.1 annot-version=v1.1 MASDGAVATPLKFTQKKANLLDPHSIKHLLDETISEVVKSKGYTEDTRLGNWKLAIGTAVIAIALLAQFYPKKFPQNSEFLLGCIALYVVMNVVLLILSYAKEKDAIIFTHPPAGSFSSTGLVISSKLPRFSDMYNLTIASADPESISAHKPVHFTRSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKSK* >Brasy9G257900.1.p pacid=40062414 transcript=Brasy9G257900.1 locus=Brasy9G257900 ID=Brasy9G257900.1.v1.1 annot-version=v1.1 MFYSGYGYHGNNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNGATERISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDMVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVEAKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPIVPAGKALAEAQDANVEDEPKFKPFTGSGKRLDGKGSKQQAPEVSSAARSAPSDSNKRASQQTASPSGASTSTRQKTGKLVFGSSASNNKEAQSQKEPVKGSEPPKKDEPKFNAFSGKSYSLKR* >Brasy9G257900.2.p pacid=40062415 transcript=Brasy9G257900.2 locus=Brasy9G257900 ID=Brasy9G257900.2.v1.1 annot-version=v1.1 MFYSGYGYHGNNFEQTYRCYPASFFDKPHLEGGDKASLHIEYPMLFELHNGATERISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDMVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVEAKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPIVPAGKALAEAQDANVEDEPKFKPFTGSGKRLDGKGSKQQAPEVSSAARSAPSDSNKRASQQTASPSGASTSTRQKTGKLVFGSSASNNKEAQSQKEPVKGSEPPKKDEPKFNAFSGKSYSLKR* >Brasy9G306700.1.p pacid=40062416 transcript=Brasy9G306700.1 locus=Brasy9G306700 ID=Brasy9G306700.1.v1.1 annot-version=v1.1 MDDHVDTEHGGGGGRDRLSGLPEDLLHGILLRLGSLPAAGATSFLRAVDAALAAYTAPTLAHLAIAMPEPAPRPSGDGGFGFVPFHVPGPRVSSWLRFAAARVDELELPPCGTAKELSLFLEGFVLRLRPAGLFADLTIHSAAMDGRELAAFVSAMCPRLTDLALRYIAIVRCFDASIRSASLRRLDVSHKCVFCLEVAAAPMLETFVASSLVQARVSGAPKLAVAELHRLHRHQFGDGVPRRLRRLDVSQCYVTEVAPPRERRFDHVDELRLSALIGMQGYNNFLDDANNLPMCETLSVQLTGDHHGLLTTMLHLLRRRDGIRKLVLETCPKMANPCSSACSCRLPGSNRVDNISLDSLEEIEINWFTGADDQVELLKVLTSRCSTSKVTSLEINKSNAGPSPSEDVLEKMFSLCRPNLRIRFHVLSDGMVSELLL* >Brasy9G024200.1.p pacid=40062417 transcript=Brasy9G024200.1 locus=Brasy9G024200 ID=Brasy9G024200.1.v1.1 annot-version=v1.1 MDWIRIDWISQSSPQSHKGAAAPPSSNAGPHRHGIAAAPPWSCRGPFLPLRCRIRRAATELCRPRARRRWYSLPLPISPSLTLSLTHSPSRPGPPRRWIRWPRARIRPPRRRIRRTSLSVLGHGSRRSWQGSWSWRQGGSAGGGGSEQQSAGDAREGYEWKKYGQRIRYEWKKEAQVCRFTYPLLPLRRSPLLRPPPAPALRWPGNDAAASRCARPRSSPVAPPPLVGCRALSSKVADGERVGRRRRHAAFKVWTGNAEGRE* >Brasy9G338100.1.p pacid=40062418 transcript=Brasy9G338100.1 locus=Brasy9G338100 ID=Brasy9G338100.1.v1.1 annot-version=v1.1 MATTTMRRKDSYTAAAVVVALLALQASSAAAATCNAGQLAACAAAVMTGAKPSAACCSNLRAQQRCFCQYAKNPVYGRYIKSPYVRQTVATCGIALPRC* >Brasy9G282000.1.p pacid=40062419 transcript=Brasy9G282000.1 locus=Brasy9G282000 ID=Brasy9G282000.1.v1.1 annot-version=v1.1 MRGCSCSHAMTTESQRMPGPAGYTDRLCSCVCFVDLQKSARMEQRGCALRGRQERTCLM* >Brasy9G282000.2.p pacid=40062420 transcript=Brasy9G282000.2 locus=Brasy9G282000 ID=Brasy9G282000.2.v1.1 annot-version=v1.1 MRGCSCSHAMTTESQRMPGPAGYTDRLCSCVCFVDLQSARMEQRGCALRGRQERTCLM* >Brasy9G229800.1.p pacid=40062421 transcript=Brasy9G229800.1 locus=Brasy9G229800 ID=Brasy9G229800.1.v1.1 annot-version=v1.1 MRFFKKKPEQPERCVTVRVDPPGYSSHVFWLPASHLRSAHFAALMANAEEEYGVEGNIVAIPCKLGDFISAMVRTVTRAPFNPPSCRRGAVVVAEEP* >Brasy9G143200.1.p pacid=40062422 transcript=Brasy9G143200.1 locus=Brasy9G143200 ID=Brasy9G143200.1.v1.1 annot-version=v1.1 MMLRQCKPPSFPGSVYSRNLGFRGGSSRRWDDLSRHGGCPSGPPVGLLSRTH* >Brasy9G287000.1.p pacid=40062423 transcript=Brasy9G287000.1 locus=Brasy9G287000 ID=Brasy9G287000.1.v1.1 annot-version=v1.1 MAAAALLRRLPAARSPAAALMGARPISDSTTPLTIETSMPFTSHIVDPPSRDVTTTPAELVTFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVTVGMEAAITRKDSIITAYRDHCIYMSRGGDLVTAFAELMGRKIGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEDSVSFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATPAELKDMEKEIRKEVDTAIAQAKESPMPDASELFTNVYVKGFGVESFGADRKELRATLP* >Brasy9G364400.1.p pacid=40062424 transcript=Brasy9G364400.1 locus=Brasy9G364400 ID=Brasy9G364400.1.v1.1 annot-version=v1.1 MAALLGDEGMGRVRRKKDFCHMEKAAGGRAINVLLGLELHTAVFSPEEQKAIVACVLDLQDQGRRGLLKERTYSEPRKWMRGKGRATIQFGCCYNYAADKAGNPPGILRDNHVDPLPPLLSAMVRRLVLWRVLPPSCVPDSCIVNIYDKDDCIPPHVDSHDFLRPFCTASFLAECAIVFGRELRVVGPGEFAGAASIPLPAGSVLVLKGNGADVAKHCVPAVPAKRISITFRKMDPAKVPFGFSPDPVLQKLAPLPLPLPPPPAVRPAMAMAMAMTSAAPAPQQQQQQQKKGGAGEQIQSTPRQQAAPLNEAVAGQRITGGGGGVPFSLSADEFPALGASPAGGRRGRR* >Brasy9G318400.1.p pacid=40062425 transcript=Brasy9G318400.1 locus=Brasy9G318400 ID=Brasy9G318400.1.v1.1 annot-version=v1.1 MSAGVGRKTACVTGGSGYIASALVKTLLEKGYAVKTTVRDPADDVEKNSHLKELQALGPLEIVRAQLDDEGSFDEAVSGCDYAFLVAAPMNLGSEDPERDLIEAAVQGTLNVMRSCVKAGTVKRVILTSSDAGVSRRPLQGGGHVLDEASWSDVAYLRAHKPPTWAYAVSKVLLEKAASEFAEENGIGLVTVLPVFTLGAAPVSKARTSVPVTLSLLSGDEAQLDILKGLQSVTGSVSISHVDDLCRAEVFLAENESSSGRYICCSHNTTVVRLARLLADRYPQYGVKSDRFDGFPEEPRVCLSSAKLVGEGFEFKYERLEEIFDDLVEYGRATGILPH* >Brasy9G318400.2.p pacid=40062426 transcript=Brasy9G318400.2 locus=Brasy9G318400 ID=Brasy9G318400.2.v1.1 annot-version=v1.1 MSAGVGRKTACVTGGSGYIASALVKTLLEKGYAVKTTVRDPDDVEKNSHLKELQALGPLEIVRAQLDDEGSFDEAVSGCDYAFLVAAPMNLGSEDPERDLIEAAVQGTLNVMRSCVKAGTVKRVILTSSDAGVSRRPLQGGGHVLDEASWSDVAYLRAHKPPTWAYAVSKVLLEKAASEFAEENGIGLVTVLPVFTLGAAPVSKARTSVPVTLSLLSGDEAQLDILKGLQSVTGSVSISHVDDLCRAEVFLAENESSSGRYICCSHNTTVVRLARLLADRYPQYGVKSDRFDGFPEEPRVCLSSAKLVGEGFEFKYERLEEIFDDLVEYGRATGILPH* >Brasy9G241500.1.p pacid=40062427 transcript=Brasy9G241500.1 locus=Brasy9G241500 ID=Brasy9G241500.1.v1.1 annot-version=v1.1 MPHPIFLLRSAASPRAAAAAASPRAAAALLLRSAASLRAADAASPRAAASPSRCRGRRRPPPRGRPGPDPAPPPAPPAGSGPPPRRRLPSRRRRRLPLAARAAVGALLRAADPGQIRLGRRLTASPGRIRPCSPPQPRQPRQAASPADALPAKFAAICLYVNELNEHPTSAREL* >Brasy9G353800.1.p pacid=40062428 transcript=Brasy9G353800.1 locus=Brasy9G353800 ID=Brasy9G353800.1.v1.1 annot-version=v1.1 MHIFLMNDIHYIVKSVRRSEAKDLVGDDWIQSHRRIVQQNLYQYRRVAWSMVLQCLSGEDLTSSGGSGQVETNEGNGCGASRTAVKERFRSFNVLFEEIYEKQCGWSVPDSELRESLRLAVAEILLPAYRSFQKRFGPLIKNCEAPGVKHTPEQLELFLGDLFEGKQERP* >Brasy9G170100.1.p pacid=40062429 transcript=Brasy9G170100.1 locus=Brasy9G170100 ID=Brasy9G170100.1.v1.1 annot-version=v1.1 MFPPLSNLRRRFLASTLKLLSTHLRYLSTAPSPTPATRSPTTPVDVLWDLAASRPPSKLSLYDAAVRLHLAASSFGRLRLSAAFLHPSHRLPAPDPSADATHLCRVCGRRFRARDSLLHHFDTIHTREHAKRLERIDSSRGDRRVRLAASLSLKLSKYTKAARELTAAADPGSPADDLRRAGVRAELSRTPSASLLERAQEVLDQRSVGCLMLVSAQEELAPLLRLARQKGVRSVVVGGESGLARWADVGFSWAEVVAGKARKAAPSMSGKWQDRDVLKKLEWRFEEEDEEEDVVFEEAGDGDGSEKLAGSAKEKPWWKLDSDGEDSCTGG* >Brasy9G170100.2.p pacid=40062430 transcript=Brasy9G170100.2 locus=Brasy9G170100 ID=Brasy9G170100.2.v1.1 annot-version=v1.1 MFPPLSNLRRRFLASTLKLLSTHLRYLSTAPSPTPATRSPTTPVDVLWDLAASRPPSKLSLYDAAVRLHLAASSFGRLRLSAAFLHPSHRLPAPDPSADATHLCRVCGRRFRARDSLLHHFDTIHTREHAKRLERIDSSRGDRRVRLAASLSLKLSKYTKAARELTAAADPGSPADDLRRAGVRAELSRTPSASLLERAQEVLDQRSVGCLMLVSAQEELAPLLRLARQKGVRSVVVGGESGLARWADVGFSWAEVVAGKARKAAPSMSGKWQDRDVLKKLEWRFEEEDEEEDVVFEEAGDGDGSEKLAGSAKEKPWWKLDSDGEDSCTGG* >Brasy9G346600.1.p pacid=40062431 transcript=Brasy9G346600.1 locus=Brasy9G346600 ID=Brasy9G346600.1.v1.1 annot-version=v1.1 MARMTIKRAATLLTAALFVLAAEASASFDYGGAFDKSLQFLEAQRSGKLPADRRVHWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGFPMAYAVTMLSWGVLEFEKEMAAANNLNRALDAIRWGADYFLKAHSEPNSLWVQVGDGESDHLCWERAEDMSTPRTAFKIDAAHPGSDVAAETSAALAAASKAIRPYDSEYADRLIMHAKQLFAFADTFRGRYDDAVPGAKKFYPSASGYQDELLWAAAWLYEATGHEAHLRYVAQNANALGGTGWAVREFSWDNKYAGLQVLLSKLLLTGGGGANGLTDDYDDTLHQLNAKAEFFLCACLQKNGGNNVRTTPAGLLYVADWNNMQYVTSSAFLLAVYADYLAVSGRQLKCPDGEVSPSEMIRFVRRQVDYVLGDNPASMSYMVGYGENFPRRVHHRGASIPAVGSVNGIVGCMDGFDRFYNMKEADPNVVYGALVGGPDANDVFVDDRCNYQRAEPTIATAAPIVGVFARLAAEPTTAEPATASGDGSSSYHPAVEAPLEFVHTVTATWKGSNGEDEYRHEVTAKNTCGQTITYVKLHVKGLSGPIYGASASAQGKDMYEWVGRLGAGEKLTIVYVQGGPPAKIAVADYKTG* >Brasy9G172400.1.p pacid=40062432 transcript=Brasy9G172400.1 locus=Brasy9G172400 ID=Brasy9G172400.1.v1.1 annot-version=v1.1 MEALAIGSVPSRPGLPPPTRHSHLRRPKTLLASAPPCRIIAAVPMDGAASRSPAPVRCTPAETDAVETSSHETAATAEATQQGDGIPVEDAAANIEGVDGIKIRRRPVTGPSVHYVGPFQFRLENEGNTPRNILEKIVWNKDVEVWQMKERRPLYMLKGPLDNAPPARDFVAALKASYDRTALPALIAEVKKASPSRGVLRENFDPVEIAQTYERNGAACLSVLTDAKYFQGSFDNLEAIRNAGVQCPLLCKEFIIDAWQLYYARSKGADAVLLIAAVLPDRDIKYMLKICKMLGMAALVEVHDEREMDRVLGIDGIQLIGINNRNLETFEVDISNTKNLLEGERGQLIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHASAV* >Brasy9G245000.1.p pacid=40062433 transcript=Brasy9G245000.1 locus=Brasy9G245000 ID=Brasy9G245000.1.v1.1 annot-version=v1.1 MATASSATSVYDFTVKDASGKDVDLSVYKGKVLLIVNVASQCGLTNSNYTELSQVYEKYKDQGLEILAFPCNQFAGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGSNASPLYKFLKSSKGGIFGDSVKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGIS* >Brasy9G052200.1.p pacid=40062434 transcript=Brasy9G052200.1 locus=Brasy9G052200 ID=Brasy9G052200.1.v1.1 annot-version=v1.1 MATTAAVSLDEAKAELVLRQVEFYFSDSYLPRDGCLRKTVEESEDGLVSLALICSFAKMKSHLGLDATVKEDSVPEDTVLAVAKVLRCSLALRVSEDGKKVGRANELLKPDEIKEQVDSRSIAVSPLPYDVKLEDVQSFFTQYGKVNSVRLPKHLSDKRLFCGTALVEFSEEEAANGVMKNNLIFAGADLEIKPKKEFDAEREAKKEAYEKSHTTKNSHDEGHPEGLILKKILADNDEEQNGGEVDEENPTSSRMSLQMHDMPFLTTSNGESSSMVQETDDCQPSSMGTDYFMKAYYQLVAKRKEQAAEEKIRNKLQLIPFQYTDEYMHEYYRLHPHQEETELTRSVKEEMEMEEKVHAEYRMSKKGAFVSNRFETNTTLSPMYFTHCTPGIKIKDDFIFTTGASLQIFSFEIAEIKGDLKWPLYVYGVVAARDEVDHNRNLLFKRTRDNAQQVTQNDPFLRLTGPVRAILPELEFEVELRIKGRTKSGDRALINKRYPYIDRPDGLYTIPFWNCLCRCELRLEQLGNSVQATILGVRIVEGGPPPFKYGGRVVCSSPPEAVIVDNKGVIHEVTDPKPTQVVLVDSHFHDGGKIPMGTDGYIDLTRRVVSVELRESHVVYPEKLEETFRVVMQAYSESGHVAAQGHVNLAPKLCNISQVLCDIGDSQVEITVAWSVHVAEKLFL* >Brasy9G115500.1.p pacid=40062435 transcript=Brasy9G115500.1 locus=Brasy9G115500 ID=Brasy9G115500.1.v1.1 annot-version=v1.1 MGYFSLSSDPACDTAGQSTCTVSTSAGPEFFSFATCVPPWPGFFPFATSVALPHLPSAFPHQPQPSHPSRSTWHRLSHGLSSLLITSLMSPHLLHFNAVTFSPATAIHNLPATNAYETASSIALSGDRRRDYSI* >Brasy9G115500.3.p pacid=40062436 transcript=Brasy9G115500.3 locus=Brasy9G115500 ID=Brasy9G115500.3.v1.1 annot-version=v1.1 MGYFSLSSDPACDTAGQSTCTVSTSAGPEFFSFATCVPPWPGFFPFATSVALPHLPSAFPHQPQPSHPSRSTWHRLSHGLSSLLITSLMSPHLLHFNAVTFSPATAIHNLPATNAYETASSIALSGDRRRDYSI* >Brasy9G115500.2.p pacid=40062437 transcript=Brasy9G115500.2 locus=Brasy9G115500 ID=Brasy9G115500.2.v1.1 annot-version=v1.1 MGYFSLSSDPACDTAGQSTCTVSTSAGPEFFSFATCVPPWPGFFPFATSVALPHLPSAFPHQPQPSHPSRSTWHRLSHGLSSLLITSLMSPHLLHFNAVTFSPATAIHNLPATNAYETASSIALSGDRRRDYSI* >Brasy9G212900.1.p pacid=40062438 transcript=Brasy9G212900.1 locus=Brasy9G212900 ID=Brasy9G212900.1.v1.1 annot-version=v1.1 MAATRLHLPLCPRLRAFASSCRPLFATHPRTLPLPRAGLAMPLAARTRRGFGSSLSVAPLDEDDDFATAADLQFEPPLKIVKYPDPVLRASNKRISTFDNNLRTLADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVEGEGEEIILVNPVVYKFSKRLLVYEEGCLSFPGIHGNVLRPDTVKIAAQDVTGAKIKVRLSALAARVFQHEFDHLQGVLFFDRMTVDVVDSIREELKKLEDKYEETTGQASPETIENYRGTKDVISFSR* >Brasy9G110800.1.p pacid=40062439 transcript=Brasy9G110800.1 locus=Brasy9G110800 ID=Brasy9G110800.1.v1.1 annot-version=v1.1 MGCFSCFDSSSSEELGYPKRGGGGGSSTGGRAAAASSSGAGAREERPMVAPRVDKLPAGVEKARTKGNAGMKELSVLRDANGNALSAQTFTFRQLTAATRNFREECFIGEGGFGRVYKGRLDGSQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMALGSLEDHLHDLPPDKESLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGDDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHGEQNLVSWARPLFSDRRKLPKMADPGLQGRYPSRGLYQALAVASMCIQSEAASRPLIADVVTALSYLAAQTYDPNAIHASKKAGSDQRSRVGDSGRVLLKNDEAGSSGDKSDRDDSPRERPLGILNDRERMVAEAKMWGTNLREKTRAAANAQGNLDSPTETG* >Brasy9G110800.2.p pacid=40062440 transcript=Brasy9G110800.2 locus=Brasy9G110800 ID=Brasy9G110800.2.v1.1 annot-version=v1.1 MKELSVLRDANGNALSAQTFTFRQLTAATRNFREECFIGEGGFGRVYKGRLDGSQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMALGSLEDHLHDLPPDKESLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGDDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHGEQNLVSWARPLFSDRRKLPKMADPGLQGRYPSRGLYQALAVASMCIQSEAASRPLIADVVTALSYLAAQTYDPNAIHASKKAGSDQRSRVGDSGRVLLKNDEAGSSGDKSDRDDSPRERPLGILNDRERMVAEAKMWGTNLREKTRAAANAQGNLDSPTETG* >Brasy9G148500.1.p pacid=40062441 transcript=Brasy9G148500.1 locus=Brasy9G148500 ID=Brasy9G148500.1.v1.1 annot-version=v1.1 MSNGATLEDTPTWIVASVCSVIVLISIIFERSLHYLGKALEHRRETLYEALLKLKEELMLLGFISLLLVVSQDLIQKICIDESLMGHWLPCLPGAAAGSTAHYGLGSASSSSAPNIGIGARRLLKEGTAASGHCSTIKGKVPLLSLHALEQIHIFIFVLAITHVALSAFTVLLGLLQMRKWKQWEKRINEEGDSAAAPKMINRVQQRKFIKDRYKGYGKINMVILWMHSFFKQFYGSVTKDDYIAMRLGFLMEHFRGNRKFNFYEYMVKALEKDYKRVVGIKWYYWIFVMIFLLINVTGWHSYFWISLVPLALLLLIGTKLEHIITEMAYEVASKQAAVDAGEIAMDPDDNLFWFHSPRILLILIHFILFQNAFEFAYFFWTLATFGFNSCIMDRLGYNVSRIAICALVQVLCSYSTLPLYAIVSHMGSSFKSALLDDDVAEQARDWAERARRRKRGADSHAAGCLGAGAAASGSSRGGVLQLQPSRKWQRSRPLSLQLHETMEFFSFLCFFLYVPFFLKIGSGQVYVAVRA* >Brasy9G298200.1.p pacid=40062442 transcript=Brasy9G298200.1 locus=Brasy9G298200 ID=Brasy9G298200.1.v1.1 annot-version=v1.1 MEVVLAAVMGEVANRSISFLIDKYSSPMVPVTEERLQILQRLLLRVRIIVEEAEGRHIANRAMIHQLSILRKEMYRGYYILDSFRSQCNGEDLGVSHTFALSKFNAAKRLFLSIGDTSYEKELQQVLNNLNDIIVDVSDFVVFLKNYPPLYRQPYSMHLLLDRCMFGRQMELEMIMDFLRQTKSPGTETSIDVLPIVGPVHVGKSTLVSHVCNDERVRDLFSQIVLVGEDDLRHRSLNFKDLGHMMKHRNGTLTEKEAMLIIIELPEDIDEATWRNLYSAYDGHTTRGTRKIIITSRSSKIVDFGTVRPLVLNFLPREAYWYFFKVLAFGSADPDEQPKLSSIAMEFIMGMNQSFVAANMFASLLRSNLNAQFWRRTIKFARQFVQGNISEFGEHPCALVRKNRPACLERMANSDSYVIRKHYQTSFKDQQLPRITFMDLLFRNLECGGRIEVLAWRSRLPPYRNHLCSCEIQKPQRMVEGATAFML* >Brasy9G021500.1.p pacid=40062443 transcript=Brasy9G021500.1 locus=Brasy9G021500 ID=Brasy9G021500.1.v1.1 annot-version=v1.1 MAALARDWLEYAGGALVTEEEEGGRRSFNAVPLGGVRVEVAERGRALCSLRIPAHLADAEGNWHAGAIAAAADDIGAAAIMSAEGLIKVSVHCDVSYFAPAKPHEEVELDARVVGQKGRLTAVATEVRKKESGELVAVCRQWMTTPRPKGIRPSKL* >Brasy9G315100.1.p pacid=40062444 transcript=Brasy9G315100.1 locus=Brasy9G315100 ID=Brasy9G315100.1.v1.1 annot-version=v1.1 MQIFVKTLTGKSITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL* >Brasy9G214100.1.p pacid=40062445 transcript=Brasy9G214100.1 locus=Brasy9G214100 ID=Brasy9G214100.1.v1.1 annot-version=v1.1 MADPTMICAALQPVCGFINNTGVPAATARHFSSFICIKRKRRALTKAIEDLQAVDKVVQEQVSLETNQLNKCDPRVELWLSRVDEVPVQVDDINQEFDQLMQYSCFCSSSLTLGKRYRLGKRILSVLEDVHGLIEEGNQFKVFGYKPLPDLVEERPRIQAFGLKPVLKDLRKFFNSSNVGIIGVWGPGGVGKTTLLNTFNNELKECGSDYQVVIMIEVSNSGTLNIAAIQRMITDRLGLPWDEREVEQTRARFLAKALGRKKFIILLDDVRSKFQLEDVGIPVPDSESKSKLILTSRYEDVCYQMGAHQSLIKMEYLEEESAWNLFRSNLSTHAIAAIESPVPNNVVREHAEAIVQSCGGLPLALKVIGRAVAGLKEPRDWSLVVQATKDDIKDLHGVPEMFHKLKYSYEKLTEKQRQCFLYCTLFPEYGSISKDKLVEYWMADGLITQDPKQGHHIIRSLMSACLLEDCRPDSSEVKMHHIIRHLGLSLAGQENIIVKAGMNLDKAPSDREWQTAKRMSLMFNDIRDLCFSPECRNLVTLLVQHNPNLDRLSPTFFKRMPSLRVLDLSHTGITTLPFCRTLARLKYLNLSHTCIERLPEEFWVLKELTHLDLSVTKALKETFDNCSKLHKLRVLNLFRSNYGVHDVNDLNIDSLKELEFLGITIYAEDVLKKLTKTHPLAKSTQRLSLKHCKQMQSIQTSDFTHMVQLGELYVESCPDLNQLIADSDKQRASCLQTLTLAELPSLQTILIGSSPHHFWNILEVTISHCQKLHDVTWVLKLEALEKLSIYHCHELEQVVQEAVHEVENKTFGVEQGSILKCRRKNGFSEEQEIHGMVDDAQNEYAKGCQNMTKSGRINGKEQHAGFRKLRSLVLTGLKKLTKICIPMDFPCLESIRVEGCPNLTTIPLGQTYGYQRLNRICGSYDWWEKLEWGSKEIMENKYFIPIQDKD* >Brasy9G036500.1.p pacid=40062446 transcript=Brasy9G036500.1 locus=Brasy9G036500 ID=Brasy9G036500.1.v1.1 annot-version=v1.1 MEKRDQHSKDPPGKEVEGEDDEYDLEEFRLPMSHRPTENLDTEGLEQASVDTQLSSSNVGFRLLQKMGWKGKGLGKNEQGILEPIKAGIRDAKLGVGKQEQDDFFTSEENVQRKRLNIELEETEEHIKKREVTAEREQKIRSEVKEIQKVFFCNLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSNGNRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEQPEISGEQVMTKNLPTPGNQDQRRTLKFGFSKMAPSKAPVGHISKKPKIASKVPSVFGNDSDEET* >Brasy9G345600.1.p pacid=40062447 transcript=Brasy9G345600.1 locus=Brasy9G345600 ID=Brasy9G345600.1.v1.1 annot-version=v1.1 MERGPHNKIMVEMTNVMTYMEYFSLLMAQYPTLKNTWTTQDLTRAMLHTMILLRMKQYSANVLSMNRSEKNDSRLVEYPWMIVLMVSTEIYPDNTMSSKKSKIAASVKQCGFSTRGLHFVAKKEKSVYSFQRSLKS* >Brasy9G345600.2.p pacid=40062448 transcript=Brasy9G345600.2 locus=Brasy9G345600 ID=Brasy9G345600.2.v1.1 annot-version=v1.1 MTNVMTYMEYFSLLMAQYPTLKNTWTTQDLTRAMLHTMILLRMKQYSANVLSMNRSEKNDSRLVEYPWMIVLMVSTEIYPDNTMSSKKSKIAASVKQCGFSTRGLHFVAKKEKSVYSFQRSLKS* >Brasy9G084200.1.p pacid=40062449 transcript=Brasy9G084200.1 locus=Brasy9G084200 ID=Brasy9G084200.1.v1.1 annot-version=v1.1 MGSSSHSAAWTSLPPPRPEPAPVPGRGVCMMSTSWRDKQNPDLVNFIAAFLAANSYRLNFLSISPDFIINNGGFSVAFIFVTSWDCENEAAVFSRVNTLKRQFKNLYVVVAVPTVEQIESFNQSYFRYDMELGCPTFVPVNDPEMGFEKMLKIAHARGVCKQQDISSTMRNEREQAVQCMDAFLQVVTSIPGIDNHDANMLAQAIGSIEAIAKASKSSILESTDLSTDKAETVVRFFRDRQYYLSPKIN* >Brasy9G084200.3.p pacid=40062450 transcript=Brasy9G084200.3 locus=Brasy9G084200 ID=Brasy9G084200.3.v1.1 annot-version=v1.1 MGSSSHSAAWTSLPPPRPEPAPVPGRGVCMMSTSWRDKQNPDLVNFIAAFLAANSYRLNFLSISPDFIINNGGFSVAFIFVTSWDCENEAAVFSRVNTLKRQFKNLYVVVAVPTVEQIESFNQSYFRYDMELGCPTFVPVNDPEMGFEKMLKIAHARGVCKQQDISSTMRNEREQAVQCMDAFLQVVTSIPGIDNHDANMLAQAIGSIEAIAKASKSSILESTDLSTDKAETVVRFFRDRQYYLSPKIN* >Brasy9G084200.2.p pacid=40062451 transcript=Brasy9G084200.2 locus=Brasy9G084200 ID=Brasy9G084200.2.v1.1 annot-version=v1.1 MGSSSHSAAWTSLPPPRPEPAPVPGRGVCMMSTSWRDKQNPDLVNFIAAFLAANSYRLNFLSISPDFIINNGGFSVAFIFVTSWDCENEAAVFSRVNTLKRQFKNLYVVVAVPTVEQIESFNQSYFRYDMELGCPTFVPVNDPEMGFEKMLKIAHARGVCKQQDISSTMRNEREQAVQCMDAFLQVVTSIPGIDNHDANMAIGSIEAIAKASKSSILESTDLSTDKAETVVRFFRDRQYYLSPKIN* >Brasy9G323900.1.p pacid=40062452 transcript=Brasy9G323900.1 locus=Brasy9G323900 ID=Brasy9G323900.1.v1.1 annot-version=v1.1 MAEAPWRPSLSPCTSLSPRAPPPSATSTLQLPSPRASTSRARLPGDRSASPLHHAAPPAPGSARAPRPPPGAASTSHARACAARRQAPSPPSAPFLQRAARPAPPRARPSSSASATSRAPAALAPPVARACASPLASPPAAACCSPGPARATPLLAHLPPAQLDPQVQSKPSKQPVVLLLLLLSAAVLFAAAPRRAVLLLHVVLLLHFVDAHEDHIALEMKP* >Brasy9G115700.1.p pacid=40062453 transcript=Brasy9G115700.1 locus=Brasy9G115700 ID=Brasy9G115700.1.v1.1 annot-version=v1.1 MFIKNQPTIHVTDGFCPASTPPTAAGSQLLSPPHPPPPAFLPAAPPNASVAAKSPPPHVVLALLPLLPPADRHHGSESHLCSGTDGGRPPWCHGQLLPTTIQPHPDPRRPRSSRNRGLQRSAQTPRAPTRTRRRCVCVDRRRGGGAHGRERLQQRCGLAGAAAARAVRGSSGESGGGTHGRWRRAREASCASGGSSWKATAARVGRGARGRLRLGVSGEPATPRWEWDGQRKNRHKKKGGIGRGNARTLY* >Brasy9G273700.1.p pacid=40062454 transcript=Brasy9G273700.1 locus=Brasy9G273700 ID=Brasy9G273700.1.v1.1 annot-version=v1.1 MAAKCYSDWPPLPPLHPSRRTPSNTSLCTIKRQLASFVLHCSRSCASPVLEPKNFTDELQPLSSPAPAPAPPRISPPPDAAKLGISNKFIRGLCGDRQTEQLAFECYRRALQQPEFRPNKKTMNVLTVHLLRAKQWSSLEHLVEDFGAYAVLPERRTCARLVASCVRARKFGLADALLGVLEGKKGAAAAACFSSAMQAYNKLHMYRSTALLHERMKASCLSVNAEAYRAVMAAYGALGEPDTVASLFKQYRSRKWYPSESCLEAYAIACGALGRAGRAVDALKCLREMEADGISPDAAIYSSVIGSLADARETASSQDLYHEAWRKEMLRDPDMFLKVIIMQVEAGLLEDTLGVAKDMREIGLRVTDCVMSTIVNGFVKKRGLKPAIRAYDKLIAIGCEPGQVTYASAINVYCRLGRSDRAEAVFSEMIGRGFDKCVVAYGNMISMYGQIRRASDATRLLALMKQKGCEPNVWVYNSLLDMRGKLGDSRQADKIWKEMMRRKVQPDRVSYTAIVGAFNRSGELDRCMNFYQEFRETGAKVDQTMASLMVGVFCKSSRFNELVELLRDMKLQGIKLDRRLYMIVLNSLREAGLEVHVRWLQTYFSSMEEKT* >Brasy9G273700.2.p pacid=40062455 transcript=Brasy9G273700.2 locus=Brasy9G273700 ID=Brasy9G273700.2.v1.1 annot-version=v1.1 MAAKCYSDWPPLPPLHPSRRTPSNTSLCTIKRQLASFVLHCSRSCASPVLEPKNFTDELQPLSSPAPAPAPPRISPPPDAAKLGISNKFIRGLCGDRQTEQLAFECYRRALQQPEFRPNKKTMNVLTVHLLRAKQWSSLEHLVEDFGAYAVLPERRTCARLVASCVRARKFGLADALLGVLEGKKGAAAAACFSSAMQAYNKLHMYRSTALLHERMKASCLSVNAEAYRAVMAAYGALGEPDTVASLFKQYRSRKWYPSESCLEAYAIACGALGRAGRAVDALKCLREMEADGISPDAAIYSSVIGSLADARETASSQDLYHEAWRKEMLRDPDMFLKVIIMQVEAGLLEDTLGVAKDMREIGLRVTDCVMSTIVNGFVKKRGLKPAIRAYDKLIAIGCEPGQVTYASAINVYCRLGRSDRAEAVFSEMIGRGFDKCVVAYGNMISMYGQIRRASDATRLLALMKQKGCEPNVWVYNSLLDMRGKLGDSRQADKIWKEMMRRKVQPDRVSYTAIVGAFNRSGELDRCMNFYQEFRETGAKVDQTMASLMVGVFCKSSRFNELVELLRDMKLQGIKLDRRLYMIVLNSLREAGLEVHVRWLQTYFSSMEEKT* >Brasy9G030300.1.p pacid=40062456 transcript=Brasy9G030300.1 locus=Brasy9G030300 ID=Brasy9G030300.1.v1.1 annot-version=v1.1 MAAAGDSGGGARHWRADEAPDFRHRMLQTITGKLVQIFQCRYHPHSPELQRIVAEFEEAAFNVANSKEQYLRFISNKLISMEKRRREDQERQMHCSQLRSDIAFQVKALHGGSSSSMPQAGRSASPRGPSSQMAPRTSANQSHNHDSFRQLQVTNFAGYSPTSVAKPGIQPYSQQNNIGRRNASGIGTQQSPLQSCKPEHMTPPLQHTTGAHQSNLLRGNPQTPASMKSAREVDLIEKMFRQIKSWNEAYFSKFMELERGILVPKLTEEQLSSLPKDTAKRYVYSVNAKKGARKVLNFLQLQKSNLHEGLKSEFPMIEKLIRQLLGVIEIKKSHDAKMNTGYQLQNCGEQSQVGNAAPTTGGKSGSMSQSRRIIVAGTPPAHQQENSNRLLGVASPCSISASGTLQSLPTNKLECLTPSPVAESGVTPSASSCALVKPILASPVVKPVVAPAALPCAPVVSIPMDCDSILSFLSHDNAATPAQDPNANGSNQATPKATTSASPLQTETVPGTGEDQVRGGAETPVGNRPINRLIDALRSASPAALQKSANLIWSVLSMDDTVPPGNIGTILDCKFSQQQHGGFNAVDKMKRVFDHTELHSESLPFGSVMPFECDASGCGSSSERSMKRHKTQNPNDALLEEIKSINNVMIDTVISITGDCGRDRITSCDGGTIIKLSYSAVSLAPTLKELLATSETSLVMPAKLFVPADYPSSSPVLIDDEGDEQLRKKFSDISALVHVAFRHALRDLPEPRSIKETAMAWDACVRKAVVEFAQQHGGGTVSSMLGRWERCATA* >Brasy9G049400.1.p pacid=40062457 transcript=Brasy9G049400.1 locus=Brasy9G049400 ID=Brasy9G049400.1.v1.1 annot-version=v1.1 MAIAQFLALSSSTSFFVRTDRPKVRRAAPSRVAAAAVGATVGEPARRLVAAFDPAVPLASAVTPPSEWYTDPEFLRLELDRVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDAHGKLHAFHNVCRHHASLLSCGSGQKTCFQCPYHVSSLINHLLDKGWTYGLDGALLKATRISGIKNFNKNDFGLLPIKVATWGPFVLARFDNFAQDTVDDVVGDEWLGSASDLLSRNGINTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPAEQEDIDRLGTKAIYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVVFDYFLDESLLDDQDFIDQSLKDSEQVQIEDIALCEGVQKGLESPAYGVGRYAPSVEMAMHHFHRLLHTNLSG* >Brasy9G049400.2.p pacid=40062458 transcript=Brasy9G049400.2 locus=Brasy9G049400 ID=Brasy9G049400.2.v1.1 annot-version=v1.1 MAIAQFLALSSSTSFFVRTDRPKVRRAAPSRVAAAAVGATVGEPARRLVAAFDPAVPLASAVTPPSEWYTDPEFLRLELDRVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDAHGKLHAFHNVCRHHASLLSCGSGQKTCFQCPYHGWTYGLDGALLKATRISGIKNFNKNDFGLLPIKVATWGPFVLARFDNFAQDTVDDVVGDEWLGSASDLLSRNGINTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPAEQEDIDRLGTKAIYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVVFDYFLDESLLDDQDFIDQSLKDSEQVQIEDIALCEGVQKGLESPAYGVGRYAPSVEMAMHHFHRLLHTNLSG* >Brasy9G049400.3.p pacid=40062459 transcript=Brasy9G049400.3 locus=Brasy9G049400 ID=Brasy9G049400.3.v1.1 annot-version=v1.1 MAIAQFLALSSSTSFFVRTDRPKVRRAAPSRVAAAAVGATVGEPARRLVAAFDPAVPLASAVTPPSEWYTDPEFLRLELDRVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDAHGKLHAFHNVCRHHASLLSCGSGQKTCFQCPYHGWTYGLDGALLKATRISGIKNFNKNDFGLLPIKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPAEQEDIDRLGTKAIYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVVFDYFLDESLLDDQDFIDQSLKDSEQVQIEDIALCEGVQKGLESPAYGVGRYAPSVEMAMHHFHRLLHTNLSG* >Brasy9G049400.4.p pacid=40062460 transcript=Brasy9G049400.4 locus=Brasy9G049400 ID=Brasy9G049400.4.v1.1 annot-version=v1.1 MAIAQFLALSSSTSFFVRTDRPKVRRAAPSRVAAAAVGATVGEPARRLVAAFDPAVPLASAVTPPSEWYTDPEFLRLELDRVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDAHGKLHAFHNVCRHHASLLSCGSGQKTCFQCPYHGWTYGLDGALLKATRISGIKNFNKNDFGLLPIKVATWGPFVLARFDNFAQDTVDDVVGDEWLGSASDLLSRNGINTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPAEQEDIDRLGTKAIYAFVYPNFMINRMTRILLTKA* >Brasy9G017000.1.p pacid=40062461 transcript=Brasy9G017000.1 locus=Brasy9G017000 ID=Brasy9G017000.1.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.4.p pacid=40062462 transcript=Brasy9G017000.4 locus=Brasy9G017000 ID=Brasy9G017000.4.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.5.p pacid=40062463 transcript=Brasy9G017000.5 locus=Brasy9G017000 ID=Brasy9G017000.5.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.6.p pacid=40062464 transcript=Brasy9G017000.6 locus=Brasy9G017000 ID=Brasy9G017000.6.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.3.p pacid=40062465 transcript=Brasy9G017000.3 locus=Brasy9G017000 ID=Brasy9G017000.3.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.7.p pacid=40062466 transcript=Brasy9G017000.7 locus=Brasy9G017000 ID=Brasy9G017000.7.v1.1 annot-version=v1.1 MIYTMSTSICAIAIASPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.2.p pacid=40062467 transcript=Brasy9G017000.2 locus=Brasy9G017000 ID=Brasy9G017000.2.v1.1 annot-version=v1.1 MYDPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G017000.8.p pacid=40062468 transcript=Brasy9G017000.8 locus=Brasy9G017000 ID=Brasy9G017000.8.v1.1 annot-version=v1.1 MYDPLGTGAAPHAPTSQSCTRWGLRVDSRGCLCGGGNPPKKIYLTCSPRRAVVRLFRRRLCPEMSEVPRETDDGRHQMQFDAAFLTQLAEAAAVIADDEGSPRGTRPANLHRLAIFSRITTHPAVLDGLDGTAKASPSQNAFPNTKIHSPENNQMSSPSANWYHSRLLGL* >Brasy9G062800.1.p pacid=40062469 transcript=Brasy9G062800.1 locus=Brasy9G062800 ID=Brasy9G062800.1.v1.1 annot-version=v1.1 MSTAASAVLLLAFVLATSLTLPAAARHHGRHHQGGGGGFEEVFDRQEADRVEALPGQPAGLGFRQFSGYVTVNATHGRALFYWFFEAAHHVSKKPLVLWLNGGPGCSSLGYGALQELGPLQTQKGTPELRLNPNSWNKEANLLFLEQPAGVGFSYTNTTADLTSFGDELAAHDAYTFLVNWFERFPQFKGHDFYLAGESYAGHYVPQLAEKILEKNKKLHRSSQINLKGYLIGNPAIDEASDSRGTVDYTWDHALVADEVHAAVIEYCKFDDDDHRKHNALACSIALNYLYNGFKDIDLYSLYTPLCTANSTVRRLRRRSSPINTDNINNKKTHGQLRLRLLYDAYDPCQDEYTKAYLNRRDVQHALHANTSGTIPYRWSGCSDTVFHNWQEAPKSTLPAIKKAVKAGLRVWVYSGDTDGVVPVTGTRRALTKLGLKMVKEWREWFTSDQVGGFTLGYEGLTFVTVRGAGHMVPTLKPVQASQLFAHFLAGKDLPPKPVVG* >Brasy9G185500.1.p pacid=40062470 transcript=Brasy9G185500.1 locus=Brasy9G185500 ID=Brasy9G185500.1.v1.1 annot-version=v1.1 MAPLEAHELLACLLVAVATLLLFRRLLSPTNKKNRSALPRPRGLPLIGNLHQLGALPHDALASLAAAHDAPLMLLRLGSVPALVVSTPDAARAVFQRNDRALSGRPSSLVAATRFSYGLQSISFAPPGGAFWPAARRACLSELLGAPRVRAFRDAREREAAALVAAVADASGSGAPVDLSDMLVAASNNIVRRVAFGSSGGGGDGNGMDASAVLKETQRLLGGFWVADYVPWLGWLDALRGMRGRLEQNFRQLDAFYERVIDSHHDKRSSGASDEEEDLVDVLLRLHGDPAHRSTFGSRDQIKGILTDMFIAGTDTSAAAVEWTMTELINHPEILAKAQHEVRSAVGGTDMVREPDLPRLSYLKLVIKESMRLHPPVPLLVPRETTEPCTVQGHEIPAGTRVLVNAKAIGAHPGAWGPDAARFVPERHAHADDHKPWHDSFALVPFGIGRRSCPGVHFATAVVELLLANLLFSHDWRAPLDREVDTEEENGLTVYRKNPLLLLATRVERQA* >Brasy9G073100.1.p pacid=40062471 transcript=Brasy9G073100.1 locus=Brasy9G073100 ID=Brasy9G073100.1.v1.1 annot-version=v1.1 MDLQWYWVTELCPLPSSFSPWSPSTALPRRPPPPKPPPPPDDMKAPNPLLLRQSSPPIRNRSVSCCTGPPYPYGGPAHVLKFPFQNLLPAPAYIVRPSPLFSSPLPPLVSSLPAQNPPEIAINILTGRKAPQDFLLPLGRRSARRFLAPKRVGGLCKKHFSGHCAGPKRREASMAKKRRIFKILDGGIAPDRVEKKTASQAAPPNAPTPFAFQEMLPGHKKKKKGSRNASSRSPPQERQPEERLTTAAWLRAFLHRYLRHLPGWLLRVFRLAVTDTTATGAPGFSETAPTTRGADQALPAGPPEEKKAIAIRQARLYEAPLHNSARHQRNQRESTTQLLDRPRPTMEQIYKEFTKTVDPFEFEGNHQQYQTMRDSIKSTTFDKDICFVCYIEKTHLRSKPVPISNSKMESHFERLHFELGKVRCRHCEAMFPTRHLEWHTLHCHP* >Brasy9G003500.1.p pacid=40062472 transcript=Brasy9G003500.1 locus=Brasy9G003500 ID=Brasy9G003500.1.v1.1 annot-version=v1.1 MRSVTTICTKEDSVCWRRRCFGLGQHDTRILLSVINWIQRGTRILLFCAVPFQLDSERNEDGHQMQSE* >Brasy9G003500.2.p pacid=40062473 transcript=Brasy9G003500.2 locus=Brasy9G003500 ID=Brasy9G003500.2.v1.1 annot-version=v1.1 MRSVTTICTKEDSVCWRRRCFGLGQHDTRILLSVINWIQRGTRILLFCAVPFQLDSERNEDGHQMQSE* >Brasy9G003500.3.p pacid=40062474 transcript=Brasy9G003500.3 locus=Brasy9G003500 ID=Brasy9G003500.3.v1.1 annot-version=v1.1 MRSVTTICTKEDSVCWRRRCFGLGQHDTRILLSVINWIQRGTRILLFCAVPFQLDSERNEDGHQMQSE* >Brasy9G003500.4.p pacid=40062475 transcript=Brasy9G003500.4 locus=Brasy9G003500 ID=Brasy9G003500.4.v1.1 annot-version=v1.1 MRSVTTICTKEDSVCWRRRCFGLGQHDTRILLSVINWIQRGTRILLFCAVPFQLDSERNEDGHQMQSE* >Brasy9G148800.1.p pacid=40062476 transcript=Brasy9G148800.1 locus=Brasy9G148800 ID=Brasy9G148800.1.v1.1 annot-version=v1.1 MDMGMGMEREEGLNLSLSLQQQSPTSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREATTALSLAAPTGDQQQGKAAGADDPPRPADPDEAWRRDGGRIRGHRLQGTGSGSASSGGAGSRGNEELADEMIDLSLKL* >Brasy9G096100.1.p pacid=40062477 transcript=Brasy9G096100.1 locus=Brasy9G096100 ID=Brasy9G096100.1.v1.1 annot-version=v1.1 MASPWSPSLEIDGDEDNDGSSGHEESSRAIVAPPAPPPGPTPAHHRVAAAAANEPRCKCARSKGRVRMHEAGPSGQHPPSAAAPVGGAEGDTIPEPKVGKRKAKSKGNLQMPIPTTCSHQIPKMKMMAVECDLLLKMIVLAAAVAFDATKYILSHVVCVL* >Brasy9G337500.1.p pacid=40062478 transcript=Brasy9G337500.1 locus=Brasy9G337500 ID=Brasy9G337500.1.v1.1 annot-version=v1.1 MRKLKFHEKKLLKKTNFLEYKREGGHREAAVTQRYSLVDRDDYKKYNGICLMAQKLVNIIKQMDPRDPFRIEMTDMLIDKLYNMGVIPTKKSLVKCENLSVSSFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKKKVMAYNGQLDDYDAMF* >Brasy9G288700.1.p pacid=40062479 transcript=Brasy9G288700.1 locus=Brasy9G288700 ID=Brasy9G288700.1.v1.1 annot-version=v1.1 MGGVAARDPSACPGSFLEALLAPSAGPAPAPFAPAVEARQCLNSVITAPADFLSGSSHGGSKGDGACINGSRQAGIDGGGWEVVDSSKRRSGGHLGVQGRGPLEPSRFRALLLKKAWVSCFRCLASNHRVADCRNPTVCLLCGRVGHRARWCHGVELPRPRAAPAPARAAAPPPSSSAPVVASPSLAPPPPRAEPAPMDSPGGDPDCRGRLSGRRRRAAVAVVVGFQPNLQLASLRWGLARYFRIAESELEVSIFAPLEFLLVFSDVACSNQALHISGPLIIGDVSFMLSPWWWFWRAAAARLCYKVRVCLEGVPRHAWDAANVEKLFDDGSIIDGPEGSTQSEQETAVFSVWVWMDNVNKLARRGSLMILEPVQVASPDMHFPELGIHDETPMPEGPKNLLQYDVLIHLDRVLDYSESSPSSPESHVSYHSDVSGMPSESQPSRFCRPMSWHFRWYLGYEEGTFPPRVPRGPVHSGLRFPERRDDADGGGAGGGGADLGGGASSFGGGGGSFPRGSLWSQRELEETAGRWGAAAWSAAGGAGAGRRDWDPMRSEADLCFGPQKMDNGVPGHLVLEQGDARPTRQDSFADWDVVQANGPVVAGPAGDGPEGVSGLTVVADVCSGALDAGGDGPIAGPEGWAGPLLLPGPATPEACFIEPSVGAGELVEVRIQSFLEEISGPISAPLLALVVKSGKASTKRASRASDRLAAKASYGMKTMDKVKLILLKKNGGGGNEATIDELKKYKDLYKAPLSEGFIQAISELVEVAAPKKAGSNGSLISPTAEVVAGAA* >Brasy9G132800.1.p pacid=40062480 transcript=Brasy9G132800.1 locus=Brasy9G132800 ID=Brasy9G132800.1.v1.1 annot-version=v1.1 MDLEPRLRQEADPWQRWPRATSISRSLNSRRLLPNYAQLPTHAMDQRSGFPPTASSRSAATPPRLLNPPPPATSQDQPRPTCGLPAPPPPHPPFSSRPAHYRHLLLPRCPPPLMARPPVPAGTSDGAAIEPPQGLRRRALAEGAANDPRHFQSLPTHSQPEGANCRHTMRVSAVHRGCDVGEEMGLR* >Brasy9G040200.1.p pacid=40062481 transcript=Brasy9G040200.1 locus=Brasy9G040200 ID=Brasy9G040200.1.v1.1 annot-version=v1.1 MYEQEEQEKKTVRAEQDHREREALMQQRKELVEEQERKKLEALQRRAESKKHKHMREEMKKAVHRAKEEARTEGEQVHCEEYDYDCFYTSIFQPLEESDWFKPTEVGCANEQRCKDLPEETTKPI* >Brasy9G197900.1.p pacid=40062482 transcript=Brasy9G197900.1 locus=Brasy9G197900 ID=Brasy9G197900.1.v1.1 annot-version=v1.1 MSSTELRTEVRGREGRRQGRGMDTTGGAREDGGQRTPRRDHACDHGDTAGTTRPRRTEDNGVEDRRARAAETGGGCEGEGSRGTTADGGRRRGRGVGARGRGVTAWIGLGPDCAAGIGRVVETREGCERDGTERLMEYSSARQTVSQVRAFINSRDYKTRRIPRQKYHEPQALLVLWTVQPATG* >Brasy9G183900.1.p pacid=40062483 transcript=Brasy9G183900.1 locus=Brasy9G183900 ID=Brasy9G183900.1.v1.1 annot-version=v1.1 MAWQLSALVMAITCLMLFQTSEQSSQSELLQQIRKQLEYPRQLDVWNNSNGDPCYTQPTSVVTVVCEGNAITELKIVGDRITKPPKFSGYPLPNVTLSEAFVIDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSVMSKLQTLTLDGNYLNGTVPAWLDSLSNLAILRLQGNRLKGSIPASVGKATMLTELAIAGNNISGEVPHLGNLNKLEMLDLRDNELDGDLPEMPTILVTILLSKNSFKGEIPEKFGQLNRLQHLDLSFNFLEGSPPEKLFDLPNISYLNLAANMLSGSLPSSLMCSSSLGFVDLSTNRLSGDLPACLNGNLNNRVLKFDGNCFSADPEHQHEAKYCQQSHKGKRSNTDVGLVVTVVGIVLIVLVLSLLLVASNKRSCQRVTAEQQLLQKQMQDNSTPGMSSELLVNARYISQAVKFGTQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLENGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLQVLIGIAKAVHFLHTGIIPGSLYNRLKPSSVLLDEHHMAKLGDYGLSIITEEIYKHEAIGEGQRYIQNNAEELESLQDDVCSFGCIVLEVLMGSKLHRKGDPFILSELLQVLSIPCQEERNRVLDPVVVGTSSQDSLSMVVSITIKCLTVDSSARPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC* >Brasy9G183900.4.p pacid=40062484 transcript=Brasy9G183900.4 locus=Brasy9G183900 ID=Brasy9G183900.4.v1.1 annot-version=v1.1 MAWQLSALVMAITCLMLFQTSEQSSQSELLQQIRKQLEYPRQLDVWNNSNGDPCYTQPTSVVTVVCEGNAITELKIVGDRITKPPKFSGYPLPNVTLSEAFVIDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSVMSKLQTLTLDGNYLNGTVPAWLDSLSNLAILRLQGNRLKGSIPASVGKATMLTELAIAGNNISGEVPHLGNLNKLEMLDLRDNELDGDLPEMPTILVTILLSKNSFKGEIPEKFGQLNRLQHLDLSFNFLEGSPPEKLFDLPNISYLNLAANMLSGSLPSSLMCSSSLGFVDLSTNRLSGDLPACLNGNLNNRVLKFDGNCFSADPEHQHEAKYCQQSHKGKRSNTDVGLVVTVVGIVLIVLVLSLLLVASNKRSCQRVTAEQQLLQKQMQDNSTPGMSSELLVNARYISQAVKFGTQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLENGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLQVLIGIAKAVHFLHTGIIPGSLYNRLKPSSVLLDEHHMAKLGDYGLSIITEEIYKHEAIGEGQRYIQNNAEELESLQDDVCSFGCIVLEVLMGSKLHRKGDPFILSELVLSIPCQEERNRVLDPVVVGTSSQDSLSMVVSITIKCLTVDSSARPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC* >Brasy9G183900.2.p pacid=40062485 transcript=Brasy9G183900.2 locus=Brasy9G183900 ID=Brasy9G183900.2.v1.1 annot-version=v1.1 MAWQLSALVMAITCLMLFQTSEQSSQSELLQQIRKQLEYPRQLDVWNNSNGDPCYTQPTSVVTVVCEGNAITELKIVGDRITKPPKFSGYPLPNVTLSEAFVIDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSVMSKLQTLTLDGNYLNGTVPAWLDSLSNLAILRLQGNRLKGSIPASVGKATMLTELAIAGNNISGEVPHLGNLNKLEMLDLRDNELDGDLPEMPTILVTILLSKNSFKGEIPEKFGQLNRLQHLDLSFNFLEGSPPEKLFDLPNISYLNLAANMLSGSLPSSLMCSSSLGFVDLSTNRLSGDLPACLNVASNKRSCQRVTAEQQLLQKQMQDNSTPGMSSELLVNARYISQAVKFGTQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLENGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLQVLIGIAKAVHFLHTGIIPGSLYNRLKPSSVLLDEHHMAKLGDYGLSIITEEIYKHEAIGEGQRYIQNNAEELESLQDDVCSFGCIVLEVLMGSKLHRKGDPFILSELLQVLSIPCQEERNRVLDPVVVGTSSQDSLSMVVSITIKCLTVDSSARPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC* >Brasy9G183900.3.p pacid=40062486 transcript=Brasy9G183900.3 locus=Brasy9G183900 ID=Brasy9G183900.3.v1.1 annot-version=v1.1 MAWQLSALVMAITCLMLFQTSEQSSQSELLQQIRKQLEYPRQLDVWNNSNGDPCYTQPTSVVTVVCEGNAITELKIVGDRITKPPKFSGYPLPNVTLSEAFVIDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSVMSKLQTLTLDGNYLNGTVPAWLDSLSNLAILRLQGNRLKGSIPASVGKATMLTELAIAGNNISGEVPHLGNLNKLEMLDLRDNELDGDLPEMPTILVTILLSKNSFKGEIPEKFGQLNRLQHLDLSFNFLEGSPPEKLFDLPNISYLNLAANMLSGSLPSSLMCSSSLGFVDLSTNRLSGDLPACLNVASNKRSCQRVTAEQQLLQKQMQDNSTPGMSSELLVNARYISQAVKFGTQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLENGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLQVLIGIAKAVHFLHTGIIPGSLYNRLKPSSVLLDEHHMAKLGDYGLSIITEEIYKHEAIGEGQRYIQNNAEELESLQDDVCSFGCIVLEVLMGSKLHRKGDPFILSELVLSIPCQEERNRVLDPVVVGTSSQDSLSMVVSITIKCLTVDSSARPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC* >Brasy9G342800.1.p pacid=40062487 transcript=Brasy9G342800.1 locus=Brasy9G342800 ID=Brasy9G342800.1.v1.1 annot-version=v1.1 MAAALPDIDDIDLGFLDGLDFDLGSFDDLAEFCLGDDAVGAAAADGDKEGGLGLCLSDSDDSDDESSDCSGREGSPDSVVTDDGAAPLTLSSSGPVDLDGGDSMSAYVSELERFLMEDDDEESGRATTATEGEIAADEHKYFFGHLLLDELEAGAGAEEEEEDVAAREDETTSRKRASSIHPLGQRRSAGAAALQSGGAEFGEPRRRGRGGISIERGCRHHHRLLPSSFGRARGSSVWLPA* >Brasy9G009000.1.p pacid=40062488 transcript=Brasy9G009000.1 locus=Brasy9G009000 ID=Brasy9G009000.1.v1.1 annot-version=v1.1 MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQEVPFNIPHYVARRISRQKNDAPRLSLRDQRLNCRAGSSHNAEREKAYDTIASLLQIPFLDEVLPSENQPLPPKMGRVDGLSSHQNRDDSKLSWTDVMDRSIRSSTSIERSVHSDADEDPSHSTSDDGNGPNNSEESKYKEMIFGEDALKIPPSESYCLSRPIRRGHFNISQNYSLNQVLEDLRTIWNWMLTEKLHINPKDRNLYSVVLVLGETFDSREIKEMLSIVLCDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQHRHHTWPNFKTDPMKKPIDMLMLNKIKEAYSQIRSGSFDAVAVVHSYEHEKSVGHQKTRLSALSVPPMGLLYPRLLIPEEYPPPPRPWFQDCDDMLEDTWQTSDGLSGNGGLGAWDNYQMLPTRLRKFDNIGLVEAIVSSVLSTGRIDLQRKLFCSIQLVGGTASTAGLAPVLEQRVLSTIPSNQPVEKVEVLQSRRNPLFIPWKGGVILGVLDIGRDAWIRREEWLNNGVHPGIPRKYRDSYFLQAQTMCYYNT* >Brasy9G269900.1.p pacid=40062489 transcript=Brasy9G269900.1 locus=Brasy9G269900 ID=Brasy9G269900.1.v1.1 annot-version=v1.1 MLPVEDALAAVLSAAADRRASASAAVPLLDALGLVLAEDVRAPDPLPPFRASVKDGYAVVASDGPGEYAVIVEARAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVAAAPDGTKRVRISVRVAEGHDIRNVGCDIEKDLVVLKSGEHLGPAEIGLLATVGVTAVKAYRRPTIAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEVSLKELMDAALCSDADIILTSGGVSMGDRDLVKPCLAKMGKIYFDKIQMKPGKPLTFAEISTDDTTRPSKTALAFGLPGNPVSCMVCFNLFVVPAIRLLSGWSNPHLQRVHVRLSHPLRADSHRPEFHRAVIRWVLDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPASGQTLAAGVSIQAIVIADTTSPPLYKQPAATTTSLLPTHFVSSANSVSTDVSQVAASQNAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGAVVVATAVVPDEVDQIKDVLVKWSDVDRVNLILTLGGTGFTPRDVTPEATKCVIEKEAPGLAFVMIQESLKVTPFAMLSRAASGIRGSTLIINMPGNPNAVAECMEALLPALKHAVKQLKGDKREKNPRHVPHADAAPVDQWERSFKAASAGGGCSCDP* >Brasy9G076900.1.p pacid=40062490 transcript=Brasy9G076900.1 locus=Brasy9G076900 ID=Brasy9G076900.1.v1.1 annot-version=v1.1 MAASAIAGWVASAFITKLVDKVCSYAGDQYEYQRKDTKEKLRILVKNLSSIQTVVHIAERVQANNTTMGSWLWRIKDACCQAEDVVDKFDYRVLQAQAEEKGKVNSVASFIAGSSSSSTTTITQKTITTASTITSSGSTVKQSVHIVKRFLFFDEDIDELISVVDKFVEICSEMQTFLDLVRLEDRRSEQAVQWRTTTSMPGSRKFFGRINEEKHLKRLLVRINERSSQPYDVISVVGIAGVGKTALVQKVYNHFRTTGHFNLTVWLHVSDKFNVERLTKEMAQSDNLIISVDLKCSSLDQAQRILGDKLNGNRTLIVLDDVWNELSSQWENLCKPLQFAGKGSKVIVTTRSKNVANINGATEMMHLHGLEHEDYWGYFSQCAFGDANPSDFPQLENIGRQVVKKLAGSPLAAKTVGGALKLKLEENHWRAVCGSNLWQIEQKEDDIISALRLSYVHLPDYLKKCFVYFALFPKKYHLHRDELIQMWRAHGFLNSQTPDEVAYRYISDLLQLSFIEKVANQDDCYVVHDLLHDFAESVSNGEHFRIEEDFRVCIPRNVRHLYVSASRISKVYMSLEEFKESKKQLRSLIICKVDEGASCERIASSNFNLVLEQTLQELRSLRVLVLRNPDGILPGNIDHLIHLRYLDIHESRSFIDVPKSLFKLYHLRGLNLQIHQDRIKKKELQEGLSMLTQLRYLKAPKEIISGIELIGRLTSLEELEEYKVKIDMKHGICQLKELNELRGMLTIKNLQHVGCKEESSEARLIKKENLNKLTLWWNHVKQSITNADHEGVFEGLQPNPNLRGLCIRGYMGIKSPSWLSCEYLSNIHFIELLYCNQWKTLPPFGSLPFLRILKISHLKAVEMIDAGFYGDAAVVFPSLEELLFEGMEEWKGWSGIGCSQQVFPRLREICIKQCNKLMGPLPLPSFNQIKISVSDKITSRGSENTADVNTSSSVQLSFDRLGLLFWCLQTSSLATVHMLDISSNYLEAFNKDQEECLKQLTSVKELHFTDCPGLTSLPYNLIHLTSLESLYIEKCPNLESLQQIGLPLYLKKLSIIRCSRTFSQLCSEINISNKNTIHQLIVREPIGNAKKRRRTSSSSPSSSSSSSSSSINRKPVSMTNIFCRFHQIWIKETSMCK* >Brasy9G158000.1.p pacid=40062491 transcript=Brasy9G158000.1 locus=Brasy9G158000 ID=Brasy9G158000.1.v1.1 annot-version=v1.1 MCSSSVHLADGSSTCSSAPWTKPHLPSVKCSGCRWRRVKVHTLTTSEYMGYLKLIGVPTRFLFPKDEDALCDVLKDIRMLVLLYLFVGIIN* >Brasy9G368400.1.p pacid=40062492 transcript=Brasy9G368400.1 locus=Brasy9G368400 ID=Brasy9G368400.1.v1.1 annot-version=v1.1 MCMTMMIPELFLCPISLDLMSDPVTLVATGHTYDRRNIQRWLAAAGRRTCPVTMHTLPDKSEVAPNRTLKHLIDRWLLTGRTLSVSDLALPTLTDNLAEEEDDTAVLEETLRIVRFISPPGLCASLLVLLFRAPPSPAPVLELALDCLVDSPSRQELATALQQVLLQEEEEHKTKKIIAFLLRPHGSSLKVKTGLCRLIQILGSAGAQEQQQSTVLLLLGRSEQVMGALAAALVLHADNAAAASVSSAALRAMSSLIRLPDQPTRDTAVAAGAVDALLSYISSGSGGHNTNKSLLLPLALETLELLLISVDAARQAMCANSGTATATLVRMVFRVPSDSDGSSEHAIGSLLVTCRESGIVRVDAINAGLLEQLLLLLQTQCSPKAKTNARALLKLLRALWARH* >Brasy9G213500.1.p pacid=40062493 transcript=Brasy9G213500.1 locus=Brasy9G213500 ID=Brasy9G213500.1.v1.1 annot-version=v1.1 MASMSVNPSMPQWTAKQNKQFEQALAVYDKETPNRWHNIARAVGGKSADEVKRYYELLVRDVKHIEAGKVPFPAYRCPPAGAMADYEADRLRHLKI* >Brasy9G052900.1.p pacid=40062494 transcript=Brasy9G052900.1 locus=Brasy9G052900 ID=Brasy9G052900.1.v1.1 annot-version=v1.1 MQGRQDAAAGASIQGGGRCGPASLALSGATGSPAAMLSGSGHPPTAADPATAAAGIGDGPLQLEFTFEFWEDFWDLHLPNRRITKLQLFAGRSELESLSWREQQEEIPKL* >Brasy9G088500.1.p pacid=40062495 transcript=Brasy9G088500.1 locus=Brasy9G088500 ID=Brasy9G088500.1.v1.1 annot-version=v1.1 MDMAPAPLCSLSPQVTLLLTNFLIFLSSNTIAQSINKSEIDRQALLSFQRGLTNDPRFVLSSWGGSLHFCSWRDLSENGFTGGIPEELGTLQQLKYLMLGRNNLVGMVPRSLGTSRSLTEVNLAQNLLTGTIPDFHKMSVLQILDLSSNELSGSVPLSLGNVSSLTAIWLESNNLSGPIPETLSLIQNLSVLSLGSNSLSGHVSAKLCNISSLIYLVLSQNNLTGSIPYCIGNMLPNLEILFMSHNKFEGLIPSSLANALKLQRIDLGYNSLVGPVPSLGSLSDLRLLILERNFLQAEGWEFLISLANCLQLQILDMSWNALNGSIPSSVGNLSTTLLRINLGNNQIVGTVPIEIFNLRNLQMLAMGQNLLSGVIPPVIGNLNKLNVLILSGNKFSGQIPYTIGNLSVLTTLYLDNNDLSRNIPTSLGKCKQLLMINLAINNLQGPIPSELINSTALVGLDLSTNYLTGSIPQEIGTLLQLVRLDISFNKLSGQVPLSLGRCVQLSSLRLRSNMLNGSIPQSFSNLKSIDQVDLAQNYLVGQIPEFFASMIFLQQLDLSTNYFEGPIPTGGIFRNNSAAILHGNTHLCERATTTLFQFPICSTPSADESKINALLLVKIIAPIAIALLSFICFVATLLKRRQAHIAPCYKETMKKVSYGDIIKATNWLSPVNKISSSRTGSIYIGRFEFDTDLVAIKLFHLEENGARNSFLTECEVLRNTRHRNIVKAVTVCSTVDLENNEFKAIVFDFMANGSLDMWVHPKLHQNSPKRVLSLGQRLRIAADVALALDYMHNQLTPPLIHCDLKPGNVLLDYDMTARVGDFGSARFLSSSPSSPEDLVGVEGTIGYIAPEYGMGYKVSTGCDVYGFGVLLLEMLTGRRPTDAMFTDGMSLHKLVSSAFPVRLREVLDPHMAHEKQNVCDKVFMRSYMVPMVEVGLRCSMESPKDRPGMGEVCAKILPLKQAFFESC* >Brasy9G298400.1.p pacid=40062496 transcript=Brasy9G298400.1 locus=Brasy9G298400 ID=Brasy9G298400.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPVEEEPAVEKAEKAPAGKKPKAEKRLPAGKTASKEGGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy9G351900.1.p pacid=40062497 transcript=Brasy9G351900.1 locus=Brasy9G351900 ID=Brasy9G351900.1.v1.1 annot-version=v1.1 MGGPLGAIIGRYPSAATGGGEEDELGNGGGGGEGIIRHDRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGDRHGDPDVHELDVRYWMDPNQVYQSGLKSSKVNLADAKAICLMECPTPAPDKLNFVCDYPEGDIKLSVDDWINRDYDYFDFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNVSLKQWLQMGGVKIEENLLIDKTIHKAVDSRSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDHLTVVIGESDPYVHITGREISHLHAATVLMTIIMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIFFPVVPYFILAIFYMFWFSATLHLFSSGQVIRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFVACSSTVIAGSVASYYWARGEISHEIPFFTVVSSLKRLLRYSLGSAAIGSLVVSPVEWVRFILECFRRKLKLVGSARESCFGKMTSSSSQCCLGCIDWTLMSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVLVTWALGYTVAKLFFAVVEMSIETIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP* >Brasy9G351900.2.p pacid=40062498 transcript=Brasy9G351900.2 locus=Brasy9G351900 ID=Brasy9G351900.2.v1.1 annot-version=v1.1 MQLFEVHRWLTYELDYKGNVCGDRHGDPDVHELDVRYWMDPNQVYQSGLKSSKVNLADAKAICLMECPTPAPDKLNFVCDYPEGDIKLSVDDWINRDYDYFDFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNVSLKQWLQMGGVKIEENLLIDKTIHKAVDSRSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDHLTVVIGESDPYVHITGREISHLHAATVLMTIIMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIFFPVVPYFILAIFYMFWFSATLHLFSSGQVIRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFVACSSTVIAGSVASYYWARGEISHEIPFFTVVSSLKRLLRYSLGSAAIGSLVVSPVEWVRFILECFRRKLKLVGSARESCFGKMTSSSSQCCLGCIDWTLMSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVLVTWALGYTVAKLFFAVVEMSIETIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP* >Brasy9G351900.3.p pacid=40062499 transcript=Brasy9G351900.3 locus=Brasy9G351900 ID=Brasy9G351900.3.v1.1 annot-version=v1.1 MLLLTYELDYKGNVCGDRHGDPDVHELDVRYWMDPNQVYQSGLKSSKVNLADAKAICLMECPTPAPDKLNFVCDYPEGDIKLSVDDWINRDYDYFDFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNVSLKQWLQMGGVKIEENLLIDKTIHKAVDSRSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDHLTVVIGESDPYVHITGREISHLHAATVLMTIIMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIFFPVVPYFILAIFYMFWFSATLHLFSSGQVIRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFVACSSTVIAGSVASYYWARGEISHEIPFFTVVSSLKRLLRYSLGSAAIGSLVVSPVEWVRFILECFRRKLKLVGSARESCFGKMTSSSSQCCLGCIDWTLMSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVLVTWALGYTVAKLFFAVVEMSIETIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP* >Brasy9G222700.1.p pacid=40062500 transcript=Brasy9G222700.1 locus=Brasy9G222700 ID=Brasy9G222700.1.v1.1 annot-version=v1.1 MGLCLSKKQRRRDEQPCGNGAKKKSGKRAGKEDAALVPEGKKAPLPKPAPTRKAEEPAADKRTVFVVKAAAAAAAAAEVAAEANGEGPKAVPAPAAEDVKPVAVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSASGKASASGESGGGHQRYSGSKRSYDFDREKRGGGVDDECDWERHGAAAAVSRPSPRRRTPERKRSASHERSGGGGGNGSRRVSRSPGRRGDGAPATASSAGVERAARPPGKMVSVPAREKGRAPSPVKRYPSPTLRSNSPARAAAIANENAGVQPTHGPSLSRSSSRKADHSPYRRNPLSELDENTLVNNQHANNSGKSQKKPTESAAVVPQKLAERTKDQVPSCRISKDKAEIVEEAAASDAKAPSARMNSTHTVSIVAESAVNPRDRSWRRSSRDFDLEDVVASDTKALSSRMKATHSVSIVADPKAGPAGRSSRRSSRDFDQNGSSSAFLDEAAMASATKAPSSRMNATHSVSIVAESVANHPKAAGRSSRRSSRDFDHNGNSYASLLLEDIQSYHQQKAGDTAAPAPAFSLPACVSKACSILEAVADLNSSSEDRSFELDRSADDMGSVNVSCYSGNGAAAAGTHVVESEVVVKDDLTEPSLHKYVSVRDIEPQESAGSNSFAGNPWTRSSWEPNSVDSTGRTWTVSQSDDSDDDAEHSGTVSTLEQSWQSEQEPSACPRAGSTSNAQLGRGGGAHRGSSSVLTGRSDVRTVSVSSSIA* >Brasy9G293900.1.p pacid=40062501 transcript=Brasy9G293900.1 locus=Brasy9G293900 ID=Brasy9G293900.1.v1.1 annot-version=v1.1 MVVTRPRRSGHHRARLRHHRLLALALLLLLLLLLFLLPHLCVLLLRRANSLGGRRCLSLDTGAGHHLVPGHRPSFSIVTLSDEGASVRGARGRSFRGLLAATARNKQAYASAHGYGLAVLPPDAVDPRRPPAWSKVLALRAHLHRHHWLFWNDADTLVTNPDIPLEIILYSVIGHSDFDASPDLILTEDLNGVNTGLFFIRRSKWSERFLDTWWNQTTFVQFGSTKSGDNAALKHIIDHLSPEEMRAHIRIANMQCLFNSYPWTATWKSVYRMIFHPSTTWKGVYSDGDFMVHFAGLNDKRGWTIKFLRDIRTRE* >Brasy9G293900.2.p pacid=40062502 transcript=Brasy9G293900.2 locus=Brasy9G293900 ID=Brasy9G293900.2.v1.1 annot-version=v1.1 MVVTRPRRSGHHRARLRHHRLLALALLLLLLLLLFLLPHLCVLLLRRANSLGGRRCLSLDTGAGHHLVPGHRPSFSIVTLSDEGASVRGARGRSFRAWSKVLALRAHLHRHHWLFWNDADTLVTNPDIPLEIILYSVIGHSDFDASPDLILTEDLNGVNTGLFFIRRSKWSERFLDTWWNQTTFVQFGSTKSGDNAALKHIIDHLSPEEMRAHIRIANMQCLFNSYPWTATWKSVYRMIFHPSTTWKGVYSDGDFMVHFAGLNDKRGWTIKFLRDIRTRE* >Brasy9G171500.1.p pacid=40062503 transcript=Brasy9G171500.1 locus=Brasy9G171500 ID=Brasy9G171500.1.v1.1 annot-version=v1.1 MHRGYEALLGCLVAAVIIGVVVIFCHLRRKAYKIKASKRDIVVHPYAAEVTAASVEYEEVTCKEMSIKEIYSATGNLHLSNIIGQGIAGKVYRGLLANGWPVAVKHIIKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQDECFLVYELCINGNLSEWLFGKDKNLTWNRRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGADMEPKLADFGLSRVMDIGVSHVSSEVRGTFGYVDPEYRHNHRVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDKMASMLIREGNVLEFADPRLNGEYSLEAFDLSLKLALSCTGHKQQRPSMEQVVSRLEKALEVSMRDDDKHSTISIVESFA* >Brasy9G171500.2.p pacid=40062504 transcript=Brasy9G171500.2 locus=Brasy9G171500 ID=Brasy9G171500.2.v1.1 annot-version=v1.1 MHRGYEALLGCLVAAVIIGVVVIFCHLRRKAYKIKASKRDIEVTAASVEYEEVTCKEMSIKEIYSATGNLHLSNIIGQGIAGKVYRGLLANGWPVAVKHIIKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQDECFLVYELCINGNLSEWLFGKDKNLTWNRRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGADMEPKLADFGLSRVMDIGVSHVSSEVRGTFGYVDPEYRHNHRVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDKMASMLIREGNVLEFADPRLNGEYSLEAFDLSLKLALSCTGHKQQRPSMEQVVSRLEKALEVSMRDDDKHSTISIVESFA* >Brasy9G310800.1.p pacid=40062505 transcript=Brasy9G310800.1 locus=Brasy9G310800 ID=Brasy9G310800.1.v1.1 annot-version=v1.1 MRGPHHPPCTRAHQAGALLLVGVTFLLTRLLSVPPPFPTARHASTAPWPWRGLTSHDDRLRIYVYADDEIDGLRSLLRGRDATVSPATCLKGQWGTQVKIHQLLLKSRFRTLDKDEAHLFFVPSYVKCVRMTGALTDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDARAVQPIPLTKRKYLANFLGRAQGKAGRLKLVELAKQYPDKLESPELKLSGPYKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNMIDYTEISIKWPSSKIGPELLEYLESIPEERIEEMIVRGREVRCLWVYAPDAEPCSAMAAILWELQRKVRRFHQSAQTFWLHNRSTVNRDLVEFHQWTTPVPLP* >Brasy9G013800.1.p pacid=40062506 transcript=Brasy9G013800.1 locus=Brasy9G013800 ID=Brasy9G013800.1.v1.1 annot-version=v1.1 MATDWLLLLLLATVAMASPPPELTLLAGATEKGAVCLDGSPPAYQLDRGFGSGRHNWLVYLEGGGWCDTIESCSKHKKSGLGSSNLIEAVQLAGIFSNDHRQNSEISAATRGESPSLLDFYNWNKVFIRYCDGASFSGDAESEDQNVRKVLPKDCLAKKEPTDCFFPAEVIKSINTPTFILNSGYDSWQIQNVLVPDESSPEKSWLTCKANIRECNPTQIEALHGFRKTLVDDLKVVEDKDEWGLFIDSCFTHCQTPFRISWDSPVSPRLQNKSIQEAVGDWHFGRSRSGAKQIDCEYPCNPTCSSQLPS* >Brasy9G000100.1.p pacid=40062507 transcript=Brasy9G000100.1 locus=Brasy9G000100 ID=Brasy9G000100.1.v1.1 annot-version=v1.1 MGKGLRPLQIGVPTTAVGWAVAAQIDTDPGGGAN* >Brasy9G111300.1.p pacid=40062508 transcript=Brasy9G111300.1 locus=Brasy9G111300 ID=Brasy9G111300.1.v1.1 annot-version=v1.1 MTYFPEEVVEHIFSFLPGQHDRNTVSLVCKVWYEIERLSRRTVFVGNCYAVRPERVVLRFPNMRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCVGLEELRMKRMVVTDESLELLAKTFPRFRALILISCEGFSTDGLAAIASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVARSPNLRSLRLNRSVPVDTLSKILMRTPNLEDLGTGNLADDFQTESYIRLALAFDKCKMLRSLSGFWDASPFCLPFIYPVCAQLTGLNLSYAPTLDSSDLTKMISHCVKLQRLWVLDCIADKGLQVVASSCKDLQELRVFPSDFYIAGYSPVTEEGLVAISLGCPKLSSLLYFCHQMTNAALITIAKNCPNFTRFRLCILEPGKPDAMTNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMYIGTYAKELEMLSIAFAGDSDAGMMHVMKGCKNLRKLEIRDSPFGDAALLENVAKYETMRSLWMSSCNVTEKGCQVLASKMPMLNVEVINELDENNEMDENHGGLPKVDKLYVYRTTAGGRDDAPNFVKIL* >Brasy9G016200.1.p pacid=40062509 transcript=Brasy9G016200.1 locus=Brasy9G016200 ID=Brasy9G016200.1.v1.1 annot-version=v1.1 MAAEEPCCISHAFDRAARRNPGRISVIHASSSSSSAAADERRFTCGDLLSAVSSLSRRIAAALRPSTELFRGNDGPPGFSDEHGGSGGATLRIVGVYSSPSVEYVAAVLAVLRCGEAFLPLDPAWPEERVRSALSASKAVLIISSGCSREDAVLKGSSCPVLLLERDIWQGFDGGEVGIDREELVWPCEREKLRDFCYVMFTSGSTGTPKGVCGTEKGLLNRLLWMQRRMPLCSEDVLLFKTSVSFVDHLQEFLSAVLTTTTLIIPSDNWRDNPASLANLIKAYHISRMTLVPSLMEIALPTLEKTLSCGPNPLKIVVFSGAILPLVLWKRVHEILPETTIINLYGTTEVSGDCTFFDCNGLPTILEQEELISVPIGFPISNCEVFLVTDNGVADEGEIYVGGACLFNGYLGESMGSYLAEGSESSTYYRTGDYARRLKTGELIFLGRKDRTVKIYGQRFSLEEVESILKEHPDVSDAAVTFQSEGSTDYKAYLVFKSEDNIVKDSQQCRAVSSSQDIMSSIRSWLVKKVPPAMIPSFFLPMNSLPLTSSGKVDYVKLSSLECALNGPCEIESGRGPVNPHLQVIEKAFCDALLVDEVSEFDDFFTLGGNSISAAHAAHKLGIDMRLLYIYPTPSKLLHALAVEPCLKKGLNISASIKGFVAPIAANVVASFHEGKSQINGELAQDHIAGSCENETDGQLNKYIFSPNDGYQAKDIYFDTCLNDRNHATRSQWILNFCLQNKWSIGRCNRFIHDYEGELQLEDVCSYVSYNNKGYLQELWNIPLDSCVDASPLLVFNNGMMSIFIGSHSHLFVCVDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDMLTGKQSWTIQTDGEVKMQPVVDRTRNLIWCGSYDHHLYALNYKDHCCTYKVSCGGSFYGSPAADTAHNMIYVACTSGLVTAISLQVPSFRIVWQYEAGAPIFSSLAIDHQSGNVVCCLVNGMVITLNSHGTVVWKASVGGPIFAGACVSSALSHQVLIPSRDGSLYSFDITSGVLLWSYEVGDPITASAFVDEVLVSTPSGSSESFACICTSSGKVCVIKIRSDAKKEKVGYLVQEIAAIDLPGDIFSSPLMVGGRIFVGCRDDRLHCLSVTTQL* >Brasy9G012500.1.p pacid=40062510 transcript=Brasy9G012500.1 locus=Brasy9G012500 ID=Brasy9G012500.1.v1.1 annot-version=v1.1 MVIGIIGPPGVGKSTIMNELYGYDGTSPGMHPPFATQTEEIKAMSKHCTSGVDFRISHERVILLDTQPVYSPSILIDMMRPDGSSTLPVLSVDPLPADLAHELMGIQLGVFLASVCNILLVVSEGINDFAMWDLMLTVDLLKNNIPDPSLLTSSSTPDKENKIDNQSGSEEFMADLCFVHARLREHDFSRSKLMLLRKILGKHFESSSFSIGSTCATPEDTDSSVPSSMTVDDLSSSQQDVFLLPLRAHDNSTKFEYGTYSSMLGMLRDQVLSRPPRPFSKNLSERDWLRSSAKIWDMVKKSPVISEYCKALQSSGLFRK* >Brasy9G304700.1.p pacid=40062511 transcript=Brasy9G304700.1 locus=Brasy9G304700 ID=Brasy9G304700.1.v1.1 annot-version=v1.1 MAASTSGAGKTTLGLPDGKPTVCSATPAVKMEKRTYKVRKTKRLGEDVGWEKMSWPRTVAGKIEEGEFKVRTIERVGKDTERERERR* >Brasy9G092800.1.p pacid=40062512 transcript=Brasy9G092800.1 locus=Brasy9G092800 ID=Brasy9G092800.1.v1.1 annot-version=v1.1 MSNCVTTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYLPRGFITCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAELWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGSPRVFESTYLPRQFLYREQKADAYVFPYSPQLLPRF* >Brasy9G249300.1.p pacid=40062513 transcript=Brasy9G249300.1 locus=Brasy9G249300 ID=Brasy9G249300.1.v1.1 annot-version=v1.1 MGCKGSKLDSQEAVALCRGRADLIAAAVRHRYALAEAHGALAGSLESLATPLHLLLHLQASSSSPRLALPPERKGGGLHLPSSSSPPDCHASQHVDFESSSSGSESASPAGSPPRGVFHFQEQPPYPNYAYGYAPEPPPAFAYQAAAPASTLQFYYARSRPPPASVAVAQRAQGTERVYYGSYDAAGGGQYPQYHGAYSGEPAAAHAAPQRAAAPPPARESSWDFLNVFEIENHEPYDSHYYYNPTAAAAYTPSRSSREVREEEGIPELEEDDGESVVVKEMASEHSMSMARSGRRSHRSSAGGVNSIAELDKPRNEGYVRRRPPAYRDVAPVTPEPPPARVVRNAAVDAATAVKTQLIRAAEAARELAPLLEVGKPSYQGRNSVYHEASSRVMSAISVSHLGCKDVDTLGMGVGGEWKGGGSWSLSLTLEKLYFWEKKLYGEVKAEEKMRLLLAKNSRRLKLLDQRGAEAHKIDATRNLLRKLSTKIRIAVRVIAKVSRKINRVRDEELGPQVNTLIQGFAKMWQDKLHCYQIQLQVISEAKNLASIVSGGNGRDLAMELELELIKWIINFSSWVSAHRNFVKALNGWLSLCLSYEPQETAPLHSPGRIGAPLIFVICNKWSQAMDKISEKDVVNAMHALVCSVRHLWEQQNLEQGEKIIGIREREKWTKLLERKALDLNKEADELNRKLALVPGRQGFQRSSTIRTYEAHCIEASSVHINLRLILQALENFAANSLQAFQEIIRRAQEAASLSRENARTT* >Brasy9G249300.2.p pacid=40062514 transcript=Brasy9G249300.2 locus=Brasy9G249300 ID=Brasy9G249300.2.v1.1 annot-version=v1.1 MGCKGSKLDSQEAVALCRGRADLIAAAVRHRYALAEAHGALAGSLESLATPLHLLLHLQASSSSPRLALPPERKGGGLHLPSSSSPPDCHASQHVDFESSSSGSESASPAGSPPRGVFHFQEQPPYPNYAYGYAPEPPPAFAYQAAAPASTLQFYYARSRPPPASVAVAQRAQGTERVYYGSYDAAGGGQYPQYHGAYSGEPAAAHAAPQRAAAPPPARESSWDFLNVFEIENHEPYDSHYYYNPTAAAAYTPSRSSREVREEEGIPELEEDDGESVVVKEMASEHSMSMARSGRRSHRSSAGGVNSIAELDKPRNEGYVRRRPPAYRDVAPVTPEPPPARVVRNAAVDAATAVKTQLIRAAEAARELAPLLEVGKPSYQGRNSVYHASSRVMSAISVSHLGCKDVDTLGMGVGGEWKGGGSWSLSLTLEKLYFWEKKLYGEVKAEEKMRLLLAKNSRRLKLLDQRGAEAHKIDATRNLLRKLSTKIRIAVRVIAKVSRKINRVRDEELGPQVNTLIQGFAKMWQDKLHCYQIQLQVISEAKNLASIVSGGNGRDLAMELELELIKWIINFSSWVSAHRNFVKALNGWLSLCLSYEPQETAPLHSPGRIGAPLIFVICNKWSQAMDKISEKDVVNAMHALVCSVRHLWEQQNLEQGEKIIGIREREKWTKLLERKALDLNKEADELNRKLALVPGRQGFQRSSTIRTYEAHCIEASSVHINLRLILQALENFAANSLQAFQEIIRRAQEAASLSRENARTT* >Brasy9G322100.1.p pacid=40062515 transcript=Brasy9G322100.1 locus=Brasy9G322100 ID=Brasy9G322100.1.v1.1 annot-version=v1.1 MASGSGKAKASATWAAAMSAGAGAAEALCKDRPHAVPAGRWNYRQRTQQSGKPMAMAVPAAACGGAGAAPARRKTKQEQEEEELRTVMYLSNWGPNN* >Brasy9G082700.1.p pacid=40062516 transcript=Brasy9G082700.1 locus=Brasy9G082700 ID=Brasy9G082700.1.v1.1 annot-version=v1.1 MLVSDLAWWLGLLLGAVPLLALAVWHCNDAFYRAAFALRRRRSNLPPLPPGHMGIPFLGETAALVSPLRLAHGRHVLPAGNKFVLQSHDSFGLRWPAPELVGLSSMFNVEGAQHARIRGFIVAAFSQPRSLRNMARVIQPGIAAALQSWAPREPSSPQKRSGKYVMFHSICELFIGMKPSPLTEQMDEWFVGLLDGMMAVMGLPLDLPGTTLNHARKCRRKLNGVFQEELEKRKKRVTTGRTNEEEDGDDDDLMSRLMQLEDEQGNKLSDEEVLDNIVSLVVGGYESTSSAIMWAVYHLAKSSDVLAKIRMKYTAKVVEETIRLANIAPVLHRVALRDIEYGGYTIPQGWHVVLWLRAMHIDAKYYPDPLAFNPDRWDEPPRAVNNLVFGGGYRTCAGNMLARMKITMMIHHLSLGYEWELLNPDEGVSYIPQPMPAAGAPMSFRKLSTGT* >Brasy9G146800.1.p pacid=40062517 transcript=Brasy9G146800.1 locus=Brasy9G146800 ID=Brasy9G146800.1.v1.1 annot-version=v1.1 MEDDLAEEDLLEDDLEDTSRECKRRKIVKIFVKTLTGKTITLEVESSDTIDNVKEKIQDNEGIPPEQQRLSSRITISRRSPPFTLSCASVAACKLVRALCRRQSFVSSL* >Brasy9G240000.1.p pacid=40062518 transcript=Brasy9G240000.1 locus=Brasy9G240000 ID=Brasy9G240000.1.v1.1 annot-version=v1.1 MQRLEAKYASDPSKYEQLHAMVQVEVSSKSAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMRQVCSDAYRNTLKKWHGWLASSSFSVAMKLAPDRKKFMDIISGLGDINADIEKFCATFSPLLAENHKFLASVGMDDLKAS* >Brasy9G116400.1.p pacid=40062519 transcript=Brasy9G116400.1 locus=Brasy9G116400 ID=Brasy9G116400.1.v1.1 annot-version=v1.1 MMEACCCSSSSVPSASILATGAGLRRRFSPAAAGGGRVLALAHPLRSSGALMAAAAATPRRGQQRRGGGGGFVVRAVFERFTERAVKAVVLSQREARGMGDEAVAPHHLLLGLVAEDRSAAGFLASGVRIERAREAGRAAVGKAGPAQAATGLATDVPFSGASKRVFVAAVEFSRNMGCNFISPEHIALGLFDLDDPITNSVLKSLGLDPRQLAKQALTRVQGELAKDGREPLGLSSFKVREKSTAGSGRSPIVRYSNKRKKSALAQFCVDLTMRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISKGRMSIGFQTQNDTEENTYNVMKSLVTEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >Brasy9G116400.5.p pacid=40062520 transcript=Brasy9G116400.5 locus=Brasy9G116400 ID=Brasy9G116400.5.v1.1 annot-version=v1.1 MRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISKGRMSIGFQTQNDTEENTYNVMKSLVTEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >Brasy9G116400.2.p pacid=40062521 transcript=Brasy9G116400.2 locus=Brasy9G116400 ID=Brasy9G116400.2.v1.1 annot-version=v1.1 MRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISKGRMSIGFQTQNDTEENTYNVMKSLVTEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >Brasy9G116400.4.p pacid=40062522 transcript=Brasy9G116400.4 locus=Brasy9G116400 ID=Brasy9G116400.4.v1.1 annot-version=v1.1 MRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >Brasy9G116400.3.p pacid=40062523 transcript=Brasy9G116400.3 locus=Brasy9G116400 ID=Brasy9G116400.3.v1.1 annot-version=v1.1 MRASGGLIDPVIGREKEIQRVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVAKRILSLDVALLMAGARERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGVAGRGNKGSGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENGVDVEVISDVKIIPASKLAASADEPSMVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPNRPIATLLFCGPTGVGKTELTKALAAIYFGSESSMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQMLAILNIILQELKGRLLALGIGLQISDAMKNVISQEGYDKSYGARPLRRAVTQLVEDAISEGILSGQFKPGDTIMIDADDKGKPCLSRLNDQTVQLSDPTPTL* >Brasy9G118000.1.p pacid=40062524 transcript=Brasy9G118000.1 locus=Brasy9G118000 ID=Brasy9G118000.1.v1.1 annot-version=v1.1 MKFIAAYLLAYLSGNSSPSAEDLTAILESVGCEIDNEKMELMLSQVKGKDITELLAAGREKFASVPSSGGGVAVAAAAPASGGAGAAPAAEKKEEKVVEKEESDDDMGFSLFD* >Brasy9G118000.2.p pacid=40062525 transcript=Brasy9G118000.2 locus=Brasy9G118000 ID=Brasy9G118000.2.v1.1 annot-version=v1.1 MKFIAAYLLAYLSGNSSPSAEDLTAILESVGCEIDNEKMELMLSQVKGKDITELLAAGREKFASVPSSGGGVAVAAAAPASGGAGAAPAAEKKEEKVVEKEESDDDMGFSLFD* >Brasy9G229600.1.p pacid=40062526 transcript=Brasy9G229600.1 locus=Brasy9G229600 ID=Brasy9G229600.1.v1.1 annot-version=v1.1 MDPHVSSHVGACRTTKGDEGVVVIVDVEPADHSPKPPAEGADPIGAGNDVHDEGGVNYMARAQWLRAAVLGANDGLVSVASLMIGVSAVNDAGNTMLVSGLAGLVAGACSMAIGEFVSVYAQYDIELSQIKREAKDVGSRAEAREKKKENLPSPALAALASALAFAAGGLVPLLAGGFVKPWGARFGAVVGLAGFGAAGGHLGGASVPRSACRVLAGGWLAMAVTYGVLWLFVRVFHIHVSSLA* >Brasy9G004800.1.p pacid=40062527 transcript=Brasy9G004800.1 locus=Brasy9G004800 ID=Brasy9G004800.1.v1.1 annot-version=v1.1 MRLINLMELPRYRRGSQQYEDGIPRPTDRIYQSAVVLISLIALPSLLLVVRGFSSNNLVLASVSSSNPRVSFGLACIYGDPYHRVDCQIWDRVESFVYDNLDTPLLCMGDLNNIMNSSEKSNGCGNASRIQRFNQFVKRCGLLDLGFNGPAYTWCNKRFTSNPVYERLDRCLGNVDWCACFPNTNVYNLPIILSDHAPVLTITDSLFRRPRLNFKFENWWLMENDFQAVARSQWAATCHQKFSVRTTNLAGTLRKWCKKKRPLDQQLHEIQEQINNIQSQPPHLQDRNKEAGLTLQYEETITKITEQLRQRAKKHWATHNDRNTTFFHQSIQKRRRRNRIVCIQDSEGNTLYDPELIANTFKNYFSSLFSSSSSSQVHMDNRSNISLQSDDFTYSTPNKDEIFQILKSMKRNASPGPDGFNVAFYISAWSWIGDDITKLVHTFFAT >Brasy9G141900.1.p pacid=40062528 transcript=Brasy9G141900.1 locus=Brasy9G141900 ID=Brasy9G141900.1.v1.1 annot-version=v1.1 MFILEVAPSLEELCITVWDHWCNMVKDLKFQKENGYCEKEDVKWKPSISDFKHKNLAKLRIYGFQPDDNFVKYVRRVLKIAVNMKEISLHDRKVCGRCADMDPEIVCPSRYPRTAEERKQVTEGLCLGLGLASPAVVHFRSY* >Brasy9G359600.1.p pacid=40062529 transcript=Brasy9G359600.1 locus=Brasy9G359600 ID=Brasy9G359600.1.v1.1 annot-version=v1.1 MGSLFSYLAGGREVNEISPCSFRLPLIDAQGEPIKTAADLARAYDALMAVCVPVPSWLMQEEIEAGYHCGLVREALEEYNYENQDRPEFQCLVGKTAMEAKKGMQIKVACVGLREHFWYHVSFSARRKGEDERRFFAELRYDPYFHDLFVETCTILEEPLCRFRSSCAFCPDDSEILHPSEMEFACGKEGHKKEFFRERDILRRPFMKRS* >Brasy9G308900.1.p pacid=40062530 transcript=Brasy9G308900.1 locus=Brasy9G308900 ID=Brasy9G308900.1.v1.1 annot-version=v1.1 MEAGGGGGGFRDERVPPWGAQETRELIAARGELEREAAAAAGRSSAKTLWEAVAARLRARGYRRTADQCKCKWKNLVNRYKGKETSNPEIGRQCPFFEELHAVFTERARNMQRELLQSESGASVKMKLKRPSGDQSSGESDDEEDEVEVSEDEKSMQSRKRKAGDKRRQSQRIAEKSRTGRSSIHDLLHDFLVQQQHMDIQWREMMERRAQERLVFEQEWRRSMQKLEQERLMLEHSWMEREEQRRMREEARAQKRDTLLTTLLNKFLQDL* >Brasy9G238900.1.p pacid=40062531 transcript=Brasy9G238900.1 locus=Brasy9G238900 ID=Brasy9G238900.1.v1.1 annot-version=v1.1 MAALSAKTMQNAFLAQDDPRTRHNTRHTCDFCTLNTRSMHSFQGCRLALADTTKWLNTTSTAWISFRKQANVSCSAAQGTSAVSSSEKVDFLKLQNGSDIRGVAIAGVEGEPVNITEPVTEAIAAAFAQWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGIIAAGHDVLQFGLASTPAMFNSTLTEDEIHHCPADGGIMITASHLPYNRNGFKFFTSDGGLNKADIKDILERASRLYEESARGGKQEQTGVATTVDYMSIYASDLVKAVRTSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKAAMDAITQAVLNNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNSTGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAGARTLNPNIGSKVLTDLVEGLEEAAVTAEIRLKIDQSHADLQGGSFRDYGESILKHLENVITKDPNLNKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAQSKDDAIKLGLAVLAATSEFSALDTTALNKFLQQ* >Brasy9G238900.2.p pacid=40062532 transcript=Brasy9G238900.2 locus=Brasy9G238900 ID=Brasy9G238900.2.v1.1 annot-version=v1.1 MAAAQGTSAVSSSEKVDFLKLQNGSDIRGVAIAGVEGEPVNITEPVTEAIAAAFAQWLLNKKKADGLRRLRISVGHDSRISAHKLQNAVTHGIIAAGHDVLQFGLASTPAMFNSTLTEDEIHHCPADGGIMITASHLPYNRNGFKFFTSDGGLNKADIKDILERASRLYEESARGGKQEQTGVATTVDYMSIYASDLVKAVRTSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKAAMDAITQAVLNNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNSTGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAGARTLNPNIGSKVLTDLVEGLEEAAVTAEIRLKIDQSHADLQGGSFRDYGESILKHLENVITKDPNLNKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAQSKDDAIKLGLAVLAATSEFSALDTTALNKFLQQ* >Brasy9G104600.1.p pacid=40062533 transcript=Brasy9G104600.1 locus=Brasy9G104600 ID=Brasy9G104600.1.v1.1 annot-version=v1.1 MVAAIAVASAGLGMLAGVAMANRSMEARPPAAAAMRPRCATCSGTGRVECLCARWSDGDGSGCRTCAGTRRMPCRSCGGSGTGRRAPVRIATTAQPRPSAG* >Brasy9G068400.1.p pacid=40062534 transcript=Brasy9G068400.1 locus=Brasy9G068400 ID=Brasy9G068400.1.v1.1 annot-version=v1.1 MGSDNVGPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRAHVDPSLVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSILLGSNDIVVAGGMESMSNAPKYIGEARKGSRFGHDSLIDGMLKDGLWDVYGDCAMGVCAELCADNHALTREDQDAYAIQSNERGIAARDSGAFAWEIAPIEVPGGRGKPPVIVDKDESLDKFDPAKLRKLRPSFKENAGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGFADAAQAPELFTTTPALAIPKALANAGLESSSVDFYEINEAFSAVALANQKLLGISSEKINVHGGAVSLGHPLGCSGARILVTLIGVLREKGGKIGVAGVCNGGGGASALVLELA* >Brasy9G043800.1.p pacid=40062535 transcript=Brasy9G043800.1 locus=Brasy9G043800 ID=Brasy9G043800.1.v1.1 annot-version=v1.1 MAATPPSTAAGGAHSVFVYGSLMTDEVVSAIINRVPPSSPALLLDHHRFNVKGRIYPAILPVESKKVAGKIIMGVTDAELILLDAFEDFEYVRRRVQISLTDTSETMLADTYIWSDAEDPNLYGEWDFEEWKKLHMKDFLTMTLGFMDGLERPESKTRVETYQSFMNDIEKPE* >Brasy9G259100.1.p pacid=40062536 transcript=Brasy9G259100.1 locus=Brasy9G259100 ID=Brasy9G259100.1.v1.1 annot-version=v1.1 MAAGLSRATMASFAVKNPLLAAARCRALPSFAARRLPFSPLTRTPPRRGRGLETVTCFVPQETQAASGPASSPAPAPAPAPSLKEEAASAASRRVAERKARKHSERRTYLVAAVLSSLGVTSMAIASVYYRFAWQMEGGEVPMTEMLGTFALSVGAAVGMEFWAQWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAICLLAYGFFHRGLVPGLCFGAVSLSPARPTQSSWALGLRCSAWPTCSSTTAWSTAASPSAPSRMCPTSGEWLRLTRYTTWTSSRAYRMGFSWDPRSWRTLVAWTSWTRSSRGSTGIGAFESSKWQHAAKLAHGDFFLFFWKGCRSDDLGGFEDYKNFLFLVGLC* >Brasy9G259100.2.p pacid=40062537 transcript=Brasy9G259100.2 locus=Brasy9G259100 ID=Brasy9G259100.2.v1.1 annot-version=v1.1 MAAGLSRATMASFAVKNPLLAAARCRALPSFAARRLPFSPLTRTPPRRGRGLETVTCFVPQETQAASGPASSPAPAPAPAPSLKEEAASAASRRVAERKARKHSERRTYLVAAVLSSLGVTSMAIASVYYRFAWQMEGGEVPMTEMLGTFALSVGAAVGMEFWAQWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAICLLAYGFFHRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEDVGGLDELDKELARINRNRSI* >Brasy9G097600.1.p pacid=40062538 transcript=Brasy9G097600.1 locus=Brasy9G097600 ID=Brasy9G097600.1.v1.1 annot-version=v1.1 MERRQWRRHGRARGRRGEGVGEGRGGRWPVAAGVGEGGGRRGGRGEAGRRGGSGGRRGGRGEAGREGGGGEEGRKRGPAGREGGGGEEGRKRGRRGGSGGGGGRHGGRGERGGGEEAGAAGRVGGGRGGGGRGEAAGVGGSRPGWGREGEAAGVGGGPGAAGRAGGEAGGAERVGGSRGRPGGPGAAGRVGGGPGAAGRPGEAGAAGGSRGRRGMGGAPPPPAGLVASTG* >Brasy9G063900.1.p pacid=40062539 transcript=Brasy9G063900.1 locus=Brasy9G063900 ID=Brasy9G063900.1.v1.1 annot-version=v1.1 MALATLTTPLAPLALAPAPIRASQCSLLFLRGSPAPALLSLRSGARLLAAVASKEPELGGGGDGSGSGGGGAGGSGGGGGSDPKEGGEEGEEEEKKMGEGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLTVMGSRFKKSGKIFPAGVVSLLSFVMVGGYFHGILRSSHA* >Brasy9G272700.1.p pacid=40062540 transcript=Brasy9G272700.1 locus=Brasy9G272700 ID=Brasy9G272700.1.v1.1 annot-version=v1.1 MPKLHLQRYRGVRQRHWGSWVSEIRHPVLKTRLWLGTYETAEHAARAYDEAARMLSGPAARTNFPASVDVTGSLSEDRRAKLERCCRVSPSPSSTAAAPASSSSSTGGAMEDDDEEEFVEEMIREMTHDGPVEFVPFSSARWSTN* >Brasy9G293100.1.p pacid=40062541 transcript=Brasy9G293100.1 locus=Brasy9G293100 ID=Brasy9G293100.1.v1.1 annot-version=v1.1 MSIKHHSNLVCYIALLNLNEPVCPWVFSMKLVETRRVRPLRCTANSSCCYFVFLRERMSREYLCLWIYVCNRSLVLTLNFLARLIVVMASLTDGFFFLRGKNSINQAKDQRHCAERILQTQDSPSGSLSQQLVYHLHLVAIHGQISRVIMNQAQGFSTISFHDERPVRPV* >Brasy9G147300.1.p pacid=40062542 transcript=Brasy9G147300.1 locus=Brasy9G147300 ID=Brasy9G147300.1.v1.1 annot-version=v1.1 MLPLAHPTRHPHLRPASRAPPPWRSPARARAPPRLPQRSSYALTLTRAQPPSAEAGETETTSAAATSGGSSSSSVLSFLCPLLKFFGGGDPSQERNEIVEVTTSSLSSLARLPWGSSVAVGSGENASTAMGAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDAVRKIGGKEQFPLLVDASTGVTMYESGDIVKYLFRQYGQGKSPSFGLLESTIFTGWMPTLLRAGRGMTMWSKGGAAPSEKLELFSFENNTYARIVREALCELELPYVLQNVGEGSSKMDSLLRIAGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSVSS* >Brasy9G296500.1.p pacid=40062543 transcript=Brasy9G296500.1 locus=Brasy9G296500 ID=Brasy9G296500.1.v1.1 annot-version=v1.1 MEQPRHHFVLVHGMNHGAWCWYKVATALRRAGHRAEALDMAGCGVHPARVDEVAGVEEYSRPLLDALSALPPSERAVLVAHSHGGYSVALAIERFPEKVAAAVFITASMPAVGRPMAATSDELLAYVGPGHFMDSEDLEQKNPKIDGKPFIFGSKFMAQKVYNMSPPEDLTLGLPLIRPANSFTTDNSKEAVMRDKNLLTAERYGSANRVYVIVEDDCALPVGFQRRMTTQSPDVKVEEMVAGGADHMAMLSRPKQLTELLVRIADSI* >Brasy9G095900.1.p pacid=40062544 transcript=Brasy9G095900.1 locus=Brasy9G095900 ID=Brasy9G095900.1.v1.1 annot-version=v1.1 MPTSESAAPPCSVPRRSARALARRQLPDESPSPASVAPPATAAGPSSLPSRRRRGAVPSQRSVSVRKMDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKVMDKIYMEVYIHENVIFMAYYFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.4.p pacid=40062545 transcript=Brasy9G095900.4 locus=Brasy9G095900 ID=Brasy9G095900.4.v1.1 annot-version=v1.1 MPTSESAAPPCSVPRRSARALARRQLPDESPSPASVAPPATAAGPSSLPSRRRRGAVPSQRSVSVRKMDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKVMDKMYKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.5.p pacid=40062546 transcript=Brasy9G095900.5 locus=Brasy9G095900 ID=Brasy9G095900.5.v1.1 annot-version=v1.1 MPTSESAAPPCSVPRRSARALARRQLPDESPSPASVAPPATAAGPSSLPSRRRRGAVPSQRSVSVRKMDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.6.p pacid=40062547 transcript=Brasy9G095900.6 locus=Brasy9G095900 ID=Brasy9G095900.6.v1.1 annot-version=v1.1 MDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKVMDKMYKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.2.p pacid=40062548 transcript=Brasy9G095900.2 locus=Brasy9G095900 ID=Brasy9G095900.2.v1.1 annot-version=v1.1 MDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKVMDKMYKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.3.p pacid=40062549 transcript=Brasy9G095900.3 locus=Brasy9G095900 ID=Brasy9G095900.3.v1.1 annot-version=v1.1 MDDFQPLVAVPDDNDVKQLDEAGEWKKESKEVVEAEGLDEEDENVAALKEAPFWFPDGWIINVRHGDGGSTHRYYTSPVSEYTFSTNMEALHYLFSEMDEFVLESQACAVDNELLGMYTWLPDGWVIEVRAGGKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.7.p pacid=40062550 transcript=Brasy9G095900.7 locus=Brasy9G095900 ID=Brasy9G095900.7.v1.1 annot-version=v1.1 MNPSMYMQGMYTWLPDGWVIEVRAGGKVMDKMYKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.8.p pacid=40062551 transcript=Brasy9G095900.8 locus=Brasy9G095900 ID=Brasy9G095900.8.v1.1 annot-version=v1.1 MNPSMYMQGMYTWLPDGWVIEVRAGGKFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G095900.9.p pacid=40062552 transcript=Brasy9G095900.9 locus=Brasy9G095900 ID=Brasy9G095900.9.v1.1 annot-version=v1.1 MFYVHLPTGMRFFSKEDVLRYVNEGEISRHNVNELCCSSSEENILAQVELNPDGLPNGWVKEVIFRKCNDGIRKDPYYTDPISHLVFRTLKSVTSYLETGEISKHAYIPRRSVMDMYSFDHYTDLPQRFLKRLQVQGKAKRKSTNPVVFEKELPDVQTSNLSQGDTFASLNPLSGPKGNKFETVIPKGKELIGSQTVKRPRGRPLKISKPVNETTSDCPNSSHQDRTHIMVKRELGTKSGEQMLKENTLEYNEIEMHAVVTQEVDKKSDLAGCISLREKPGLVTYRDLHEQDNVNSTASEKSASSSVHKFYMRRNSNRTMILKQE* >Brasy9G202500.1.p pacid=40062553 transcript=Brasy9G202500.1 locus=Brasy9G202500 ID=Brasy9G202500.1.v1.1 annot-version=v1.1 DWNYEEGSGLGGHGQGIIAPIQPTAWPQKAGLGHRDKPYDNGLDAPPAPAPANDEWVHQWEVLSRAQRLETECFEKTLALLQETKLQGDDSPETADALAAVVKSGEVFQPGTKHTPGMWKAALPPSTTRYIVERVVTPRIATEAQEWQPAWDPGCHGWLRPWVPLTGHLPRSLHDAVESKITSRIDDYGVVSPWKDCLDPACWDAFAAAPARHVLRPKIARLVRELRITPPRQTDRSFRWAIDAVGGPQAGFWDRWEGALRHWLRSSKPSFGEADAWCAGWKNLFTPELARTNALVANIVDTSKLVAQA* >Brasy9G279900.1.p pacid=40062554 transcript=Brasy9G279900.1 locus=Brasy9G279900 ID=Brasy9G279900.1.v1.1 annot-version=v1.1 MAPVSSADQPPMKKHITLHNFLKQQHLLQQRLKPAAAAVLWTWPVPTRAAAAHDEDADGGASWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGHYAAAAALAPPSSPSPAEDDQPALAAAAEYTLIYPVLNSNNGARGVFIPGGGNVLLSAAPMELGRRCELSDDENESSIDLELRLGWP* >Brasy9G267600.1.p pacid=40062555 transcript=Brasy9G267600.1 locus=Brasy9G267600 ID=Brasy9G267600.1.v1.1 annot-version=v1.1 MGGAASSSAAAGSNSAEAHELESLASGSLALPLLRAVFSRSSDLPSILSPPPAAFRSASSPPEPPPPPHFHDLLAGLGSAIASLFFDHGGADRGDWLGFLRGFNACCARARSSLPIAQLLRVYAAACAGAGVPCGVQFQPGEDEDDGGKVVGELAPAEIAVLLWMCWVMAWSGSAAPRVSPGEEVGKGEPTVVLPDVTHLVLSALVSAGAVADDAGVWGWEVSGAAKGVKVQEFTSWVISTVPGLGNCLSRYVQDRFRACQVDPVKESSVSTGITTSETSDVYVLTRGRAWAISLSLRNKLSEKFLSASVFGMDPEDLLYRSSVHGKGLSRFWSCVEGYKGPALILLSAFSNGGGANVDADRRWGIGALTEEGFENKDNFYGSSGYLCATYPIFRMLLPSGMEKNIMYCHLHTQVRAYEAKPKPLGLAFGGSIGNERIFIDDDFSKVTIRHHAVDKTYQHGSLIPNQGYLPVEASILDVEVWGLGGQKTKRQQDVYKKREDIFSGQRRKIDLAAFGNWEDSPEKMMMDMVSDPNKVQREER* >Brasy9G280100.1.p pacid=40062556 transcript=Brasy9G280100.1 locus=Brasy9G280100 ID=Brasy9G280100.1.v1.1 annot-version=v1.1 MCRTIHGPEKATILNTYAVMKSGAKNMDSNGSSGAIPSWKAQKRMRDYQEGVAAAHPNDPEQPEVDGHVLYTKGGGLPHGRLLIGDGAVRKADVVAAAKERNARPSTSDSYRHLSEENHQLRRANEGLTQHNQHLTQQQEMLFAELGKEPPAHLLRRRQQVQAQFQRAPGSSHAGSQSIMNYGMDGAGTSANVNNNNATNLEINDTATHLDKNGRVNIYMLTVILMLAMQRMMMLVQALVLVVPTE* >Brasy9G047000.1.p pacid=40062557 transcript=Brasy9G047000.1 locus=Brasy9G047000 ID=Brasy9G047000.1.v1.1 annot-version=v1.1 MKPMTSHQGWVLACSGVWRPRCRCYRYMAWLSSRLLALFSGCRRPYSTSATHVGESAAVAMVPAVLGLGWLHQQLVFDTSSGTRLPFVSAGDACQGGLFISSQFSLCPSLSFHKGCLCNLLLSCNPLCNRAVAFCH* >Brasy9G354200.1.p pacid=40062558 transcript=Brasy9G354200.1 locus=Brasy9G354200 ID=Brasy9G354200.1.v1.1 annot-version=v1.1 MPPITSRAAAMLPCSLVFFFILLSAAAPVRLPLYRHLPAPAAAAEFSTHHHHLSRLAAASLARAAHLKGGGHGHPQAPAAAASVRTALYPHSYGGYAFSVSLGTPPQPLPVLLDTGSHLSWVPCTSSYQCRNCSSSAMAVFHPKNSSSSRLVSCRNPACRWIHSKTPSTCGSRAAAKGNGNGSGDVCAPYLVVYGSGSTSGLLISDTLRLSSSSSSRAAPVRNFALGCSIVSVHQPPSGLAGFGRGAPSVPAQLKVAKFSYCLLSRRFDDDSAVSGELVLGDAMATAAAPKMQYVPLLNNAASKPPYSVYYYLALTGVSVGGKPVNLPSRAFVPSSGAKSGGGGAIIDSGTTFTYLDPTVFKPVAAAMVAAVGGRYNRSRAVEDALGLRPCFALPPGPGGAMELPDLELKFKGGAMMRLPVENYFVAAGPAGGPAAGPVAICLAVVSDLPASGGSDGAAGPAIILGSFQQQNYHIEYDLGKERLGFRQQPCAPSP* >Brasy9G345500.1.p pacid=40062559 transcript=Brasy9G345500.1 locus=Brasy9G345500 ID=Brasy9G345500.1.v1.1 annot-version=v1.1 MSSTTRGGHHHHPLENGDGGEYEREEDDEEEEEDDGEEVGEDEEEEEEPRLKYQRLGGSVPAILSTDAAAAIAVTDRAVLLGTHDGTLHVLDFQGNQVKQIAAHTATINDISFADGEYIGSCSDDGTVVISSLFTDDKLKFEYHRPMKAIALDPDYSRNYKRFATGGLAGQVLVQTKKTWGGGYSKKVLLEGEGPIHSMKWRSDLLAWANDAGVKVHDMKMERGIAFIERPKGIPRSEFLVPHLVWQDDAVLVIGWGTSVKIAAIRTDLSPGYNGIQRSITTASSGKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEDSRDKKDNTSVPSRQGTAQRPEIHLVSWKNEELTTDALPIHGYELYKAKDYILAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHAKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAAQLCPKLLRGSPSAWERWVFHFAHLRQLPVLIPYIPTENPQLSDTAYEVALVALTTNSSFHELLLTTIQNWPPTLYSASPVISAIEPQLNSSSMTDALKEALAELYVINKQYEKGLSLFAELLKPEVFEFIEKHNLHDAIHDKIVNLMILDSKRTVHLLIQHRDIIPPYEVVDQLLHASKSCDKKHLLHQYLHALFETDIHAGKDFHDMQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKQALSTIINKLEDIQEAVEFVTEQHDDELWEELITQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPKLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVCMASLDEEVGTRIDEGSSRTGDRSSSLRTLEIKSRTRCGARCCLCFDPLSIQDISAIVFYCCHAYHLSCLEGGLDSMKANNNAQDSDEGSEDDDGSPSGESRMRCVLCTTAAA* >Brasy9G345500.2.p pacid=40062560 transcript=Brasy9G345500.2 locus=Brasy9G345500 ID=Brasy9G345500.2.v1.1 annot-version=v1.1 MSSTTRGGHHHHPLENGDGGEYEREEDDEEEEEDDGEEVGEDEEEEEEPRLKYQRLGGSVPAILSTDAAAAIAVTDRAVLLGTHDGTLHVLDFQGNQVKQIAAHTATINDISFADGEYIGSCSDDGTVVISSLFTDDKLKFEYHRPMKAIALDPDYSRNYKRFATGGLAGQVLVQTKKTWGGGYSKKVLLEGEGPIHSMKWRSDLLAWANDAGVKVHDMKMERGIAFIERPKGIPRSEFLVPHLVWQDDAVLVIGWGTSVKIAAIRTDLSPGYNGIQRSITTASSGKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEDSRDKKDNTSVPSRQGTAQRPEIHLVSWKNEELTTDALPIHGYELYKAKDYILAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHAKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAAQLCPKLLRGSPSAWERWVFHFAHLRQLPVLIPYIPTENPQLSDTAYEVALVALTTNSSFHELLLTTIQNWPPTLYSASPVISAIEPQLNSSSMTDALKEALAELYVINKQYEKGLSLFAELLKPEVFEFIEKHNLHDAIHDKIVNLMILDSKRTVHLLIQHRDIIPPYEVVDQLLHASKSCDKKHLLHQYLHALFETDIHAGKDFHDMQVELYADYEPRMLLPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKQALSTIINKLEDIQEAVEFVTEQHDDELWEELITQCLQKPEMIAGSPCENCDRLPNRNFTEKWMQRYTKGRLC* >Brasy9G320600.1.p pacid=40062561 transcript=Brasy9G320600.1 locus=Brasy9G320600 ID=Brasy9G320600.1.v1.1 annot-version=v1.1 MRMSCIVIFILLISSSCCKSDDRLTHGKPLSPGNKLISKRGEFALGFISRTSSDRSLYLGIWYNNILERTYVWAANRDNPITTSSSSALAITNSSVLVLSDSKGDTVWTTTSNITVGGDGAYAVLLNSGNFVLRLPNATNIWQSFDHPTDTILPTMRFLMSYKAQIVARLVAWKSLDDPTSGDFSWSADPSSSALQLVTWNKTKPYCRIGLLEGDEFYYMFTISDGSPFTRIKLEYTGRLRHLSWNKDSSSWKLMSESPAAACDIYASCGPFGYCDFTDATPTCKCLEGFEPAAAGLDFSRGCQRRVALKCSKQSHFMAVPGMKVPDKFMHIRNRSLDQCAAECSRNCSCTAYAYANLSGAGAMV* >Brasy9G165400.1.p pacid=40062562 transcript=Brasy9G165400.1 locus=Brasy9G165400 ID=Brasy9G165400.1.v1.1 annot-version=v1.1 MASPMLSTAMAPLQGSGLLEFSGLRSSSSLPLRRNATSEDFMSAVSFRTYAVGTSGSGSRRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGEMGIDLVIEGTGVFVDRDGAGKHLEAGAKKVLITAPGKGDIPTYVVGVNAELYSHSDTIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNAAFRDAAANELKGILDVCDEPLVSVDFRCSDVSSTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK* >Brasy9G087500.1.p pacid=40062563 transcript=Brasy9G087500.1 locus=Brasy9G087500 ID=Brasy9G087500.1.v1.1 annot-version=v1.1 MSGSAFNAFKSRVPVGWSPRLYITLVRGLPGTRKLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQADELRRAPRPPLVVSHNPPPKVAASPEAAS* >Brasy9G121600.1.p pacid=40062564 transcript=Brasy9G121600.1 locus=Brasy9G121600 ID=Brasy9G121600.1.v1.1 annot-version=v1.1 MTLAAGRPSPPVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDALASPSVADSFLSALPSHAVPLSIHNTVLSSLSRHSSLRAALVQFNMIRRTAGPDAFSFPPLLRACAHVPCTSTGAALHAAAIRLGLDADLFVRTALIQFYGRCRAAGAARALFDSMTIPSDVSWTAIIIVYVNSGEIVAARELFDRIPHRNLVHWNAMVDGYVKCGDLEGAGRLFEEMPKKTAAAHTSLIGGYAKAGNMEGAKLLFDKLQDRDVFAWSAMISGYAQNGYPGEAFRIFNEFHKQDICPDEPVIVALMTACSQLGNIMLARWIEGYIASYSIDINNAHVLAGLINMNAKCGNMERATVLFESMPVRDVFSYCSMMQGHCLHGSANKAVELFSRMLLEGLSPDNAVFTVVLTACNHAGLVEEAKKYFGMMKNVYSIVPSGDHYACLVSLLGRFGMLRDAYEIIKSMPGEPHAGSWGALLGGCILQCDTELGKIAAKKLFEIEPDNAGNYVTLSNIYANINRWTDVSEIRAEMTRKGLTKIAGRTLFVQ* >Brasy9G180000.1.p pacid=40062565 transcript=Brasy9G180000.1 locus=Brasy9G180000 ID=Brasy9G180000.1.v1.1 annot-version=v1.1 MEQDAPPHRRWADLDAGVLSRIADCFEFRDYAFFRAACTSWRSALPPPDSRPFAPVFPVPGDSNSTDAAGHQPVSFAARERWSRLAGLRQPSRPAPISRCIGARDGWVALSTAGPWAAGLRVSLFNPVTGAETPLDASLCDLRLGDVYENWAPKVVFAPSPSARDFTAVRICGPKRLAVQRSCCGDGKGGRGGSVSQAIDTDDFMDGAFLADIAYRDEGDTVYCLTSRGGVHVLRLNRRRGCSARAVEVKPLMGQAQRRLLPRAVRHHLPPHGRQEPRDLRRRAVPDMEAGSVDVPAGPGAQKVIRIQEGDVFVLRYDPGRWPYWAEAQDLGGNAVFVGMNDAAAVRGGDGATALRGNCVYYWDRRPGGEYEAVVFDMATGSSARWLPANEAVSSPLWYFLPAGRRHVEATPEEDTGFEVPCPDNIAV* >Brasy9G239800.1.p pacid=40062566 transcript=Brasy9G239800.1 locus=Brasy9G239800 ID=Brasy9G239800.1.v1.1 annot-version=v1.1 MGLQQSKEELLYQQVNYGNIEGIRTLRTQGAGLEWVDKEGKTPLMVASMRPDLLNVAKVLIELGANVNAYRPGSHAGTALHHAAKRGLELTVHLLLSHGANPFITNDDCNTALDLAREKGHVKVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFMTRKIWAVILPREARNPARPVKLELAIYPELQASKPRAVVKLWKSQIEEPKYNQADPSMAIFDKVTKTRYKILSAFEGDKQQIRSFYSACCGMAQVVSMVPARPANAPVPNPLPINSSSTPSVLSTPSKEDVELAMAINASIQSAIAEGVPNIEPATSTINTNGWGNTANSSLSGWGPPDSHAPSKISGQGQADVPSSSTYNGWDIPGTSASQSSSTPKKSQTNHSIVIPQEVPPTLPTPTALPAIPTPTAPPLAEGTFYDGPIQYPSIDVTPVDVTIPAAEGGVVVNSAKPGENDADTSSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV* >Brasy9G045600.1.p pacid=40062567 transcript=Brasy9G045600.1 locus=Brasy9G045600 ID=Brasy9G045600.1.v1.1 annot-version=v1.1 METRVDASAVHAIFFPGRRRTTNRVWLIGSLLLVLGGSRFVRPRESHVGPGGVKKITPGSFLLDFGFCSLADALGAGRRGRVPLLLPSPSLCSDQWRRPSPLSLPLQRLAARGQYGKGLAAEAAGRLGGAAREARSVMALSHESHGGGRDHGRGGQIRRRKGRGRPDPVPSIVGGSRRRGLWGGGGRETRERKERDAGMRGERERGDLLVLVSSFRGLRKRR* >Brasy9G325400.1.p pacid=40062568 transcript=Brasy9G325400.1 locus=Brasy9G325400 ID=Brasy9G325400.1.v1.1 annot-version=v1.1 SSAAAATTRTCRCWAWRSRACGTACRARPWASRGGGSRAACWSTPPRAPPPAAGSPPPTHARCCSGNRGPPSPPPRRRLPPLTRQRIRSRLSLPRSCSSSGGSCCCCCRAAGGRRRRRCRRRRTSRPRWCAAAAARRRAPAPPPAAAVARSAPRACTPPATASGSSSACTPAPSPCSSAPGAPAQNQIHILNATPTSLILLNYHYCYLEIDVNGAVEAVVEGPGVVGDERGDGLKLRQLQPRVRADLGQVPVHELRHGAARRRQLAAGHLPPAIAAIRNDNLRRELALVLRGHHEEPLQHPLHGVHVVERLARVPDVGDEQVLQRRLLHLRPGHRLQRRPALLARVQHRRRAQSRQQEPCRRRPSLRRQQRHDLLQQRPLPVHVRDNRRRRLLLR* >Brasy9G121100.1.p pacid=40062569 transcript=Brasy9G121100.1 locus=Brasy9G121100 ID=Brasy9G121100.1.v1.1 annot-version=v1.1 MAALREASRRLFFSARNPAGAAMRPLLLAQSRGITHKLFIGGLSHFATEDTLAEAFARYGQVLEATIVTDKMTNRSKGFGFVKFASEEEANKARDEMNGKVLNGRVIYVDIAKAKQDRAADVLPIASGPPKPIGNSS* >Brasy9G237200.1.p pacid=40062570 transcript=Brasy9G237200.1 locus=Brasy9G237200 ID=Brasy9G237200.1.v1.1 annot-version=v1.1 METDASHTPTTSSSSVSSSTLSTSSSTSSLANSAQQLPKKNPKPKYPKKRKRAAASPPPPAADKEQAAATSNGAQGDQESSSCCTEDLDNNAANGKAAVVPEGGGAVSKSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEQAARAHDVAALAIKGRAAHLNFPDRAHELPRPDSNAPADIQAAAVKAAAQCEETTPTSSASSSPAVVDAASPDPEAAASAGTEAEQDQAVQQADHHSGGDNDALLFDLPDLLLDLRDGLFWSPVWPALAAEEYDGSCVGLGEPLLWTE* >Brasy9G188000.1.p pacid=40062571 transcript=Brasy9G188000.1 locus=Brasy9G188000 ID=Brasy9G188000.1.v1.1 annot-version=v1.1 MERHQDDTILLLPEDMIADIFRRLLPRSVAVARCVCKAWRALVDTRRLLRLELPHTVGSIITKLHGLTSSQFLCHPSAASTISTNLDHHTLLAAKLGHVRNNPSVYVKDHCNGLLLIHDCVVNPATQDNNYLVFDPAVSPHYEVFSVPRVLYKVKLEGFRNGRRLQLDPAIETLDWPPSPCAMHVFSSRTGKWEEKSFVRQGEAVGTIGDMRADTLPRKRYAVNWQDGLYVQCETNFVMRISLSNGKYRVIKPPVGMDSHGKYQNLYLGRSENGVYCALLHYWRLQIWLLGESHFQTEWVLKHQNDLLPVLPLQNCDETSDGPWVLYNVNSYEEDGPMLGDEEITEYDPDSRVRLEHDMNQDYYYANYVRFKKVLAYHLNNCTLEDLGSLCTPCYVDATDKPYVQGSFQYTPCLIRRPPETIDLETDVHY* >Brasy9G080800.1.p pacid=40062572 transcript=Brasy9G080800.1 locus=Brasy9G080800 ID=Brasy9G080800.1.v1.1 annot-version=v1.1 SRVIRGAHPDVINKIKPSHRPPQPTRLRVCRCRYWPRLSQRESGACHVARAPLDALINVFSPSPQTQQPWREPPSRQALDLAPPLPRPALSTGLDLRRRSRACVLPSLLPNSALRLSLS* >Brasy9G067700.1.p pacid=40062573 transcript=Brasy9G067700.1 locus=Brasy9G067700 ID=Brasy9G067700.1.v1.1 annot-version=v1.1 MEGAAAQIVFPILGIVAAAAATFYAVSFMEIREKSLEELDEKYSEYEESGGRQRRARRRSGRQAKKRND* >Brasy9G319000.1.p pacid=40062574 transcript=Brasy9G319000.1 locus=Brasy9G319000 ID=Brasy9G319000.1.v1.1 annot-version=v1.1 MAGRRRRGREGRRQWLDGMKKKSEGSSKKVHDEFVGDENPVEAKKQYYLRENMFHNKELQRLFSNSSSNRLDHKEQVHCLSMLGLCITVWVNISIYIYILGSLDQT* >Brasy9G319000.2.p pacid=40062575 transcript=Brasy9G319000.2 locus=Brasy9G319000 ID=Brasy9G319000.2.v1.1 annot-version=v1.1 MAGRRRRGREGRRQWLDGMKKKSEGSSKKVHDEFVGDENPVEAKKQYYLRENMFHNKELQRLFSNSSSNRLDHKEQVSFVMDNSSFLVISNLL* >Brasy9G114200.1.p pacid=40062576 transcript=Brasy9G114200.1 locus=Brasy9G114200 ID=Brasy9G114200.1.v1.1 annot-version=v1.1 MLTAKKISFLICQHPVDSIPSRLNQHSHRFSGTSVYQKVSYQTMSQTSDEESVINFQQQQKLAVYQAALTKGGSIIPAAKKRSHPGNPDPDAEVVAFSPKTLLATNRYVCEVCHKGFQRDQNLQLHRRCHNLPWKLKQTNSTEAKKKVYICPEVTCPHHDASRALGDLTGIKKHYSRKHGEKKWKCERCSKKYAVQSDWRAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALTEDDSRINQSLAAMVGSLHGQQQDIFPRMIPSASSSPTDAVGNFSGHNQSSDNCPRSLSPYTFIARGTSLFSNQILPDESSIPIDGSEPNSPFMSMSSPYISATALLQKAAEMGAKTSEDPISPLLLKGFPNYFNTRGHIGISSAILGTSTANSARKKTVEDNSTYMNSLWAGSCIRHNTVPLIGLQPFSMRVENRSTNMVDEDHMQQNAHESIFGVRDIGLTQDFLGLGGKGNIEMSDETYNRDTTLSYSEEQQKSQQDNYSYHH* >Brasy9G019300.1.p pacid=40062577 transcript=Brasy9G019300.1 locus=Brasy9G019300 ID=Brasy9G019300.1.v1.1 annot-version=v1.1 MSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMVQGGDPTGTGKGGASIWGGKFADEFRESLKHTARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKTPTGPADRPLAEIRLNRVTVHANPLAG* >Brasy9G267300.1.p pacid=40062578 transcript=Brasy9G267300.1 locus=Brasy9G267300 ID=Brasy9G267300.1.v1.1 annot-version=v1.1 MSAINSVNMSATNSEIERQQREYARELAKYKEDCKEFKRMVYLIVVSLLVAFAFLISGFLVPGPHRMSCRFSAGAFFLVSAYGIAVHQQTFGWSVYPKRPECEVQLVGGDRV* >Brasy9G304600.1.p pacid=40062579 transcript=Brasy9G304600.1 locus=Brasy9G304600 ID=Brasy9G304600.1.v1.1 annot-version=v1.1 MEFMEAEMEFEVKLDEYCCHLEDMRKARQEYRAKSLSEEEERSLRQIRDLAASAIADFETRGSPVPDYHGPINVFSDTIPFELPLPLFNALKSSSPSHQK* >Brasy9G092700.1.p pacid=40062580 transcript=Brasy9G092700.1 locus=Brasy9G092700 ID=Brasy9G092700.1.v1.1 annot-version=v1.1 MATSKKEPLYPRKERTTTTRMSPNLRPSSSSESSSGYGARRARSVPSSPDRKFGPSAAATTAAAAPSASPDMCPRPSLSAAGRSVSSRTMSGSGSYIHGGKPSTGAAAAKPSLARAKSDKVRTTATSQRPPALAVPPSNSFKDMARTAAAAKSPSTLPKSKVSPRPCTEKGVGIQRAPSPGASRAGKITPPVPSARAPAAAAKKRVEKAANGGASASSRAASASQRATGPPSATRKEKEEEPSMQFEASESLTTSIEDHLHKQLPDPVDLKSMGSAASGSVRHGHQQEPWSEQQGKRGEEVKEHSQKEEKGGGCELRNGSGRHGSDELEGAEKEEAKAKAEVTQSWRKDDPTSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE* >Brasy9G277200.1.p pacid=40062581 transcript=Brasy9G277200.1 locus=Brasy9G277200 ID=Brasy9G277200.1.v1.1 annot-version=v1.1 MPDNTPEKNAVWTELLDEMAERYHVDNAVALIGVLLFGPVDSPKILSMIRTAEEPLVDDWSCLKSMVHAYEEQCGRLSHYGLRHSRSFANMCNAGIRPQTMANAAAQACKTIPSKTWESNQSIHKGSRA* >Brasy9G236400.1.p pacid=40062582 transcript=Brasy9G236400.1 locus=Brasy9G236400 ID=Brasy9G236400.1.v1.1 annot-version=v1.1 MRRTIVNAILFPVLAVIVFLSLCYFIRCCYRRRRRHGVLPSHGARADRFQAAGSSAYGTGAGEELLVRFPGGEGLTVAAILEAPGEVVAKSAHSTLYRAGLSSGEAVALLRFLRPVCSAGAEEAAAAARILGAVQHPNLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSMGIVKGLDHLHTRSQRPIIHGNLKANNIMLDADFQPRISDFGLYLLLNPSAAQDMLETSAVQGYKAPELIKMREVTRESDIYSLGVILLEMLAQKEAANDSQPNPRDIHLPASFKDLVLERKISDAFSSELIKQSKNSGKERNPNAFFELATACCNPSPSLRPDTNRILKRLEEIAR* >Brasy9G151600.1.p pacid=40062583 transcript=Brasy9G151600.1 locus=Brasy9G151600 ID=Brasy9G151600.1.v1.1 annot-version=v1.1 MGKYSDGEAAGGGSYPLVAVCIDKDKNSQNALKYATETLVHRGQTIILVHVNTRGTSGGVEDAAGYKQPTDPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVSKSIVEFVAHAAIERIVVGACTRNSFVRFKADIPTSISKTAPDFSSVYVVTKGGKVTSVRQATRPAPSVSPLRSMIQGAKPHDQQAPPQQKWTPPPPPAARPMPSDSADGFPTMPMQDNFIMSPFSRGPTTSARKAFPDFSLPESSDISFIGGPRRSVDRYPPRLSTGSDSQYDSFDGVRPGGSLWSDSFGNESSSNSQTSVASGAEDMEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELQRWKAEEEQRSQDGRLTEESALALIEQEKAKARAAIEAAEASQRLAELEAQKRIQAERKALKEAEERLRSAGSGGSSSSARYRRYTIEEIEIGTDHFSDSRKVGEGGYGPVYKGQLDHTPVAIKVLRPDAAQGKAQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMAMGSLDDCLFHRNGPALPWQHRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPQSVADTVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVARALDHGTIADLLDPAVPDWPVDEARRFAEISLRCCELRRKDRPDLATGVLPELNRLRALGEDNMQFCSPMVGAGRGMHSSAYLSNASMPQSRPDGMSDPLARSQYGAANASQAAMPGRRPNYN* >Brasy9G077200.1.p pacid=40062584 transcript=Brasy9G077200.1 locus=Brasy9G077200 ID=Brasy9G077200.1.v1.1 annot-version=v1.1 MASANAISTASLLRSFSSQGRVRRSRNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELANPMENAGAALIREVASKTNDSAGDGTTTACVLAREIIKLGILSVTSGANPVSLKKGIDKTVQGLIAELENKARPVKGSGDIKAVATISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSVVEFENARVLITDQKITSIKEIIPLLEQTTQLRCPLFIIAEDITGEALATLVVNKLRGIINVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATVDQLGTARKITVHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTAVPAIKETIEDHDERLGADIIQKALIAPASLIANNAGVEGEVVVEKIKDSEWEMGYNAMTDTYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAKVAEPAEGQLTV* >Brasy9G333600.1.p pacid=40062585 transcript=Brasy9G333600.1 locus=Brasy9G333600 ID=Brasy9G333600.1.v1.1 annot-version=v1.1 MPAGHIKEVNDAPSVSVSTDTLVYPQSEGKIQPSCMEWPLDVNLIASSMMSCTEQSAERTSSQRKEEENHVLFQIKGICNNAWVGLTSQAATYMQAALHGLSCFRPAA* >Brasy9G001800.1.p pacid=40062586 transcript=Brasy9G001800.1 locus=Brasy9G001800 ID=Brasy9G001800.1.v1.1 annot-version=v1.1 MEQVAAADLLPEDLLADILGRLEPRWLAASRCVCKDWRATVDASRLLRADLLPLSLASIIISFDMLHVSDFFDRPSSTGRPAISAKIHDCLPSTAEKSRVRDHCNGLLLLHNDWVVNPATRWAVLLPPCPARCTTTETEADFYDMYLVYDPTVSTHFEVFRVPGFYHHGRVNHASEESEWPPSLYTMHVYSSRSGHWEERSFVREGDAAGTVSDSRLYWRKRYAVCWQGALYVDCQNHFLRIYLSNSKYQVIKPPVGLGSGWAFCKEKYLGKSEKGVYYASLDHHLLSIWILTESSNQMEWVLKLNNLDTRSLYCYSQDHGPWVLQDVNYESYFPNDEKKAQVEENFEWSSDNDDALNNENRVKKYDPAGIGILGFHPRKEIIFLSKSVETGYAYHLKSSRIQTLGNIYPTRYQDILLPHEHNIRESFPYTPCWMRGFPEKN* >Brasy9G193800.1.p pacid=40062587 transcript=Brasy9G193800.1 locus=Brasy9G193800 ID=Brasy9G193800.1.v1.1 annot-version=v1.1 MASRLFFLRRAIRRWHLQPSRPPALRPPCCNVNATTPYHTTLQPRSPSYTSHKVFHQDTGFFSCSRSYNIQTYRRVHTSQPVNSQNQSMTMDSQSPGAMVSVDDSGKPKVKRPKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLLAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPEEVKEIASELAILSGGIVLSIQEGNTIIMYRGKNYAQPPPEIMSPKIALPRKKALDKSKYRDRLRALRRYIPRLEQELEDLHARMKLAGGHRGQSAVKDVTFVSDCTNSMSAKKDSSSLIHKRSVTDLLSESIERSEILEDGNSEVDDDSASESITYSESEDLSDIFETDSEEQVEDSKERPLYLDRLDKFLPESNDNEPDDFEEHLRKIASLSDKTDSPAKELKISELDEIDKIFLRASSLLKKR* >Brasy9G193800.2.p pacid=40062588 transcript=Brasy9G193800.2 locus=Brasy9G193800 ID=Brasy9G193800.2.v1.1 annot-version=v1.1 MTMDSQSPGAMVSVDDSGKPKVKRPKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLLAALKKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPEEVKEIASELAILSGGIVLSIQEGNTIIMYRGKNYAQPPPEIMSPKIALPRKKALDKSKYRDRLRALRRYIPRLEQELEDLHARMKLAGGHRGQSAVKDVTFVSDCTNSMSAKKDSSSLIHKRSVTDLLSESIERSEILEDGNSEVDDDSASESITYSESEDLSDIFETDSEEQVEDSKERPLYLDRLDKFLPESNDNEPDDFEEHLRKIASLSDKTDSPAKELKISELDEIDKIFLRASSLLKKR* >Brasy9G089800.1.p pacid=40062589 transcript=Brasy9G089800.1 locus=Brasy9G089800 ID=Brasy9G089800.1.v1.1 annot-version=v1.1 MDSAASLVSPSSDDRFWDGLRTRVDTILEDRRLVAPTVVWCGVRAAEAAPGGLADAGPWPGLRRRSLAQLSDTLTAAQKGVNALATCSSSQARECDDGKEEPYAKRQCGGSTEFASLDAGSDAGDGSGNDAGTDAKPREETGDGTQASAEVAQSTNLKRARNLAVSMAGRAATLAKELKNIKSELHFMQERCGLLEEENKRLRDGYDNGAAPEEDDLVRLQLEALLAEKSRLAQDNANLTRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLSKIDSDDGEQGEFDNDGVPVTPGNKLGVLDSSQE* >Brasy9G266700.1.p pacid=40062590 transcript=Brasy9G266700.1 locus=Brasy9G266700 ID=Brasy9G266700.1.v1.1 annot-version=v1.1 MATAPLQSCFQLLPIILLLLAAVTNHLILAAAELQPKMARPGCRDKCGNISIPFPFGIGPNRCFREKGFEILCDDSASPPRAFLADNRTNQYVSQGSGTVTDAGTTPHEDNSTALPLELVGISVNTGEARAYGAVSYDCATSSDSFWFKYQNTSFWNTPFAVSAMRNVLIGVGFGVEPHVYTIPTSIRSGPMNTERNDASCTAYPPYYGFVEANGSCNGRGCCEGTLPPEITPIKYVEVSLMSKFNHLPDTNPCSYGMVVEKSWYNFSVPDMNGDKVLVKRYPWGVSFVLEFAIGNASCPPEGQRPPADNACVSAYSSCDNATSGEGYVCKCRDNYDGNPYITDGCQDIDECKSPELYYCSSNGVCKNRPGGYDCPCKPGMRGDGKTDTCKEIFPQVARVIVGAVGSVVVTIVTFLIILLKEKRKMKEFYKKNGGPILEKAKLIKLFKKKELKQILKDSNIIGKGFFGEVYKGLLDNKKVAIKKPINAGVLENEQFANEVIIQSQVSHKNIVRLLGCCLEVDTPMLVYEFISKGSLHDILHDNNSNNKVELSLDLRLSIAAQSADGLAYMHSKTNTQILHGDVKPANILLDDNFVPKIADFGLSRLIVRDTKHTEFVIGDMNYMDPVYQKEGLLTEKSDVYSFGVVILELISRRKAIHRDTNNLVKSFREVHEKEEKATELFDKEIAVTEDLEILNSLTEMAMECLRLEVDQRPTMTDVAERLFMMSRSRK* >Brasy9G007700.1.p pacid=40062591 transcript=Brasy9G007700.1 locus=Brasy9G007700 ID=Brasy9G007700.1.v1.1 annot-version=v1.1 MALLHWRGVAVAAVLAVSVFPAAGAAFPLDPNFYDRTCPQMHQVVIAIVAKEHDKDPRMAASLLRLHFHDCFVQGCDASVLLDGNGTEKLSDPNRDSLRGYKVIDEIKAALETVCPWTVSCADIVAVAARDSIILTGGPSWEVPLGRRDSQNASLSGSNKLIPAPNDTLSTITTKFHYQGLNIVDLVTLSGAHTIGDARCVSFRQRLYDQNDDGWRRPDPTLNPVYAAQLKGRCPRSGGDQNLFALDPVSQFRFDNQYYKNILALKGLLSSDEALLTQSHETMKLVKSYAANNGLFFQQFAKSMVKMGNISSLTGFNGEIRNNCRRVNRFQDSVRSW* >Brasy9G130000.1.p pacid=40062592 transcript=Brasy9G130000.1 locus=Brasy9G130000 ID=Brasy9G130000.1.v1.1 annot-version=v1.1 EHESVTHLFFDCVIAKTIWNLISNILCIQIGTDFESVGRWWLSNRKHAAHNIVSSAVLWSLWTHRNGLCFQGKNWRNIQVVWDTATALCHRWKLLCKKASLEILENFTRDMEHRRSELLRIAWH* >Brasy9G230700.1.p pacid=40062593 transcript=Brasy9G230700.1 locus=Brasy9G230700 ID=Brasy9G230700.1.v1.1 annot-version=v1.1 MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRLSLLHPSASPSSAAQKPPPLCDICQEKRGFLFCKEDRAILCRECDVQVHTASELTRRHGRFLLTGVRVSSAPADSPPTPSEEEPEEEENSCGGGDGANSASASASDGSSISEYLTKTLPGWHVEDFLLDDAAAAVYSDKPYQVSVQAARTGGVRLQEGYTAWAGREQRMGGVVVAAGERASRELWVPQMSAAGAEWTGSKRPRASPPYSYW* >Brasy9G142200.1.p pacid=40062594 transcript=Brasy9G142200.1 locus=Brasy9G142200 ID=Brasy9G142200.1.v1.1 annot-version=v1.1 MLPQPPPVRSRTLEKGDGYSYRLPWMIGHPCTDGPDGNLALGHGGFLSRPERSSSENGLVDPRSGNVRLTLIKISVKRCALDKLSFLGTHRRGSKEGSRRTQARRRGDG* >Brasy9G180800.1.p pacid=40062595 transcript=Brasy9G180800.1 locus=Brasy9G180800 ID=Brasy9G180800.1.v1.1 annot-version=v1.1 MAQRYPVPPSRLDVVPVDDDDDTRDVIIKVKYRGALKRFNACVNGSQQFDHNIATLRSKIANAFKFRPDDQFVLTYIDEDEDVVMLDDDDDLRDAAVKQNLDPLRIDVQLKSNSSGGPQAKHQSSSSRSPVSTGLEDQLAQVKSAIDEALKFVPDQVPAVLAKLSHDLRSKAASSAPSLADLLDRMAKLMAPKSNMQHTSGLAGSSSGPSSVGPQAPMKLKFNHESELATASALQSSDMQDHKSSKALGLKSVLVEETKAQIEQAPEAGSGGKLFDRKKRIDTQTKAKYHAQSRGKSVISSSAPPVPTIAPVPTIAHRVPTLGSWSNYKQNRNMPFGSDGTIHGSVFPPARGSPYCTMQSNFSIGSNGKINGDSRVSRYCPAATRSNGKTSSGLQTAFPQPAYDYNKLAYDSSPLNLYMPSSVGPYGSYQNADSFEGYPNNKYGSMPHDPIHKWVECDGCGAAPIVGPRYKSNVKDDYDLCSLCFSLMGKEAEYTRMDKPTVVSERLKDIDKSYRLPLDCRFIKDLTVPDGTLMAPSTPFRKIWRMRNSGSTMWPFGTQLIWTGGGKFAHQSAVQLGISVNGFPVDGEIDVCVDFVAPTKPGRYISYWRLASRDLQKFGQQIWVLIQVEQPIQTIGNKQSAAINLNLLTEGNTTTSKPMVEQPVETSSNKRTAAINLNLPAEGSTTKWEPFIDMNVQPTDFAYEYPKSNPDDVVDLHDFLMKRNRAHKPKESEVVGSVVPCAPTAVEPVQLPTADPHTSSTGALGMPADVSASEAAALPKPISVAIPAATAVNSHVAPVSVPLAPLPDGISNNMEEKLLRELEAMGFRQADLNKEVLRQNQYDLLKSVDDLCGFNEWDPLLAELKELI* >Brasy9G325100.1.p pacid=40062596 transcript=Brasy9G325100.1 locus=Brasy9G325100 ID=Brasy9G325100.1.v1.1 annot-version=v1.1 MVFVSGPLFVTTIRLLELARDSRPRREALERLADLVIPALSCVCSDPAASADFNSSVAEFCDAFDAAAGKAADLEARLDAMRGGGPPVASFAALAGLFGDELYRALMALRLPAAAPASVHLEVALAAMRLDLHERLDAFLDVVLESMVSQDEDSSRSSRAALFTAFVQRQLSLRSFIEAHVNLAGGGAPPPPGN* >Brasy9G223800.1.p pacid=40062597 transcript=Brasy9G223800.1 locus=Brasy9G223800 ID=Brasy9G223800.1.v1.1 annot-version=v1.1 GRPHFHHYSLSPCTPPHLLSCCCGIFSSTATISSSKLTASHQGMQEHGKWYRIITTYVALTLEVDQGIKREGGGGAAN* >Brasy9G134900.1.p pacid=40062598 transcript=Brasy9G134900.1 locus=Brasy9G134900 ID=Brasy9G134900.1.v1.1 annot-version=v1.1 MQTLNQHLEEAETAIDEYFKPIDKNAQIITDMQMEKEEKQMKEMAKVMQEQIKMQREIAMRRAEAAAVEPIDTQASEATVENPPKQETVK* >Brasy9G317400.1.p pacid=40062599 transcript=Brasy9G317400.1 locus=Brasy9G317400 ID=Brasy9G317400.1.v1.1 annot-version=v1.1 MASSLTPRSPYPKENLGNVRRGMGFKVAPRRNVLSAINNGGVNGEPAMAPSEGGSVGEGPTAPAVEFSGREDVERLLNEKMKGKSKNDYKGRTEQMSEYIKRLRACIRWYVELEDGYLAEQENLRGSIDAENTRHAEFENQLSSAIEELKATNSDMIKRCALLEESLDKEMADKLIAVESYEKERYERESAEASRDVLTVDLERVTHEAKRFSEQLKMVQDTNKRLLEYNTSLQQYNSNLQADTTKNGEIISKLQKEKSAMMEAMTNLKDTNNSMKNQLDSSMTSQKEAIRVKEDLRKEVECLRTELQQVRDDRDQSVAQLNSLSAELATYSEQAGKSSKECEVLRVKVLTFEVTCNSQQEQIQTLQNQLAVATEKLKHADVTAIEAMTGYEAQKDKIKDLEERLAYAEFKIIEADKLRKKLHNTILELKGNIRVFCRVRPLLSDGDSNSQEEAMISYPTSVENAGRGIDLMNQGQKVSFSYDKVFNHAASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGRDQKGIIPRSLEQIFKTSQSLESQGWKYCMQASMLEIYNETIRDLLAPGRSNNVEMSASKQYTIKHDAHGNTTVSELTIADVFSTADVTSLLEKASHSRSVGKTQMNEQSSRSHFVFTLKIFGSNESTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDAIFAIAKNDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGVPRRHTQARSFDSRLSYG* >Brasy9G364500.1.p pacid=40062600 transcript=Brasy9G364500.1 locus=Brasy9G364500 ID=Brasy9G364500.1.v1.1 annot-version=v1.1 MGGGTGHMTRSDSPVSRRIVLSFLDFLNSVELSPEVDPEALEVARDCLESIFSINSSSTAEGIQPGLLLELFTSPEANGQYKSRPDPVPQSFSNKPSCSASTSNLQGESTKCTTSNSEGQAEDTFDLDISGDELFAKFYAALDEINFFKTSPSGAEDADQLSQASQLFEDALLVVRNSGRNMASLVDLAEFFKSKGNDFMRTKQHLKAVELYTGAIALSRTNAIYYCNRAAAYTLLNMCNEAVTDCLKSIEIDPNYSKAYSRLGSAYFAMGNFHDALYKGYLKASELEPSNENVRLNIEATKRKLAEQRAAPGQNTHARQGQESHPPFTGQTSSGLPFTLFPPGSAPNPEFLANIINRGSGPGQHSVNINLNDFFGQTTVNGNGQGSTTGNSGNHPSASFPTNAAVPPAFSFMGPGSEANIAQQASGGHAGEHGQPDAHTDASIHINVAGPEQATEALRAVMQMFGQQMGPNEGAPGGPGST* >Brasy9G364500.2.p pacid=40062601 transcript=Brasy9G364500.2 locus=Brasy9G364500 ID=Brasy9G364500.2.v1.1 annot-version=v1.1 MGGGTGHMTRSDSPVSRRIVLSFLDFLNSVELSPEVDPEALEVARDCLESIFSINSSSTAEGIQPGLLLELFTSPEANGQYKSRPDPVPQSFSNKPSCSASTSNLQGESTKCTTSNSEGQAEDTFDLDISGDELFAKFYAALDEINFFKTSPSGAEDADQLSQASQLFEDALLVVRNSGRNMASLVDLAEFFKSKGNDFMRTKQHLKAVELYTGAIALSRTNAIYYCNRAAAYTLLNMCNEAVTDCLKSIEIDPNYSKAYSRLGSAYFAMGNFHDALYKGYLKASELEPSNENVRLNIEATKRKLAEQRAAPGQTTVNGNGQGSTTGNSGNHPSASFPTNAAVPPAFSFMGPGSEANIAQQASGGHAGEHGQPDAHTDASIHINVAGPEQATEALRAVMQMFGQQMGPNEGAPGGPGST* >Brasy9G135700.1.p pacid=40062602 transcript=Brasy9G135700.1 locus=Brasy9G135700 ID=Brasy9G135700.1.v1.1 annot-version=v1.1 MARGRKFQGISFTSIPEDIQQEILARLTAKSVFHCRVVCRAWHRLTSGSRLFLEHHLHQPELPLVATSSNDTDLGISLGVVDFRVSGIHPLAAPPIGYNCRCSIEASCNGLVIIGGYSGNPSTRQWAPLAAHVDSIYRVLFWRYKGVSRPPSPIEVHCPIEYFVLTVGCKNPRVVKCSLMPKMPKIEHELIVFIQNRLFLHWRNRAESICYHRILVFDTLAETFRHTKTPGVKPCDQMQLFDMERVLAAYSSTYRMTGMRIFIMHGHYERHDEFWVFHHWIKLLKFDIRRFQEQGDWWAKIVSKDCDLLVSCFGMLLHFDKSGKLAGKFKYDDDMPVVLGQKLKYSLIEHHFVLGL* >Brasy9G113700.1.p pacid=40062603 transcript=Brasy9G113700.1 locus=Brasy9G113700 ID=Brasy9G113700.1.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTMPPEPSPQNSVVQIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113700.2.p pacid=40062604 transcript=Brasy9G113700.2 locus=Brasy9G113700 ID=Brasy9G113700.2.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113700.3.p pacid=40062605 transcript=Brasy9G113700.3 locus=Brasy9G113700 ID=Brasy9G113700.3.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113700.4.p pacid=40062606 transcript=Brasy9G113700.4 locus=Brasy9G113700 ID=Brasy9G113700.4.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTMPPEPSPQNSVVQIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113700.5.p pacid=40062607 transcript=Brasy9G113700.5 locus=Brasy9G113700 ID=Brasy9G113700.5.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113700.6.p pacid=40062608 transcript=Brasy9G113700.6 locus=Brasy9G113700 ID=Brasy9G113700.6.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G250100.1.p pacid=40062609 transcript=Brasy9G250100.1 locus=Brasy9G250100 ID=Brasy9G250100.1.v1.1 annot-version=v1.1 MDAAVSTLSALAVFVNTADHGAVRSVHGYRVVAKGGGCGWEKWVEREFVFSPSSGLELPSPVAAPRLLSADWVGRPVYREGQMVGTWRCILAFDSVASVAPPPTPPSMLSPSGNPRLMSVPSLYEDLNKVFQFQNSEKVPELIQCDPDEQLTRLDERDRTSDEIGESDSDSDDDPQTGEGNEGLPEPVQKQRRANQKYIASITLVDIAQYFHLPIREASKTLKVGVSILKRKCRQYGIPRWPHRKIKSLDSLIHDLEYVIDDTERDGVQREKAKHKKKERELEKEKEKQDAIRALAKRKKMLESEKETITLKPALDLMAETKQFREDVFKRRYRAKNIATR* >Brasy9G089300.1.p pacid=40062610 transcript=Brasy9G089300.1 locus=Brasy9G089300 ID=Brasy9G089300.1.v1.1 annot-version=v1.1 MADNEEHAGAETGIAAIRAMIREHDASDETSLLGDAQVAAISALIAAAAADQQHGTTTTTTITGIGSVLDDYDEFRNLGSGSAGVVTKARHRATGRTVALKSLHGYSGGSVRDLLREACFMAACPAHPSLVALRGMARSTETDTDGYCLVMDCVDGPTLHDCIRMGRPRPFPEAHARRVMRQLLSAAAHMHAHGVIHRDIKPENILVHDGVHRGVVKICDFGSALFDEHDAEECYAAGTRPYSAPEMLLERPGGYGALVDAWSLGCVMAELLTGEALFNGGCDSDTLYEIFDLLGVPGKRAWKPYEASFVADRVPLWRRAQQQRRRRKRHCNRLGELFPEELLSRDGFQVLKGLLTCDPDKRLPAADALQLPWFAAHDDTCEASFGSS* >Brasy9G065700.1.p pacid=40062611 transcript=Brasy9G065700.1 locus=Brasy9G065700 ID=Brasy9G065700.1.v1.1 annot-version=v1.1 MVRLGAMSAHPALAVHEYFGSPGLLVFLALVATVAVAAFGCAKGARKPRRNNNDVYYYGQRYPPPPPTAGAAYGYPAQPGYAYPPPPPNGGKQGRGGMGAGAGLALGGAAGLATGVIVGSALSGGGGGCGGGCGGGCGG* >Brasy9G244700.1.p pacid=40062612 transcript=Brasy9G244700.1 locus=Brasy9G244700 ID=Brasy9G244700.1.v1.1 annot-version=v1.1 MPNKRQREARKRFREANPDLCPPAPTPPADGTKKKSKKSTFKKVKKAGGGGAGRSKHPLRVPGMRPGERCFICKSTDHAAKTCPEKSLWDKNKICLLCRERGHSLKNCPEKSDGDLKKFCYNCGGSGHSLSKCPKPIVNGGTNFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKVCGEVTHLARHCPNKRQQDFMYSRDDDTNMEGDHQEDHALRGGDDLEDDFIDEEEPKPSKTKKGKLPSSDSATAAGNGEKTANAKTKGKQAPKVVKFFG* >Brasy9G309000.1.p pacid=40062613 transcript=Brasy9G309000.1 locus=Brasy9G309000 ID=Brasy9G309000.1.v1.1 annot-version=v1.1 MLSLLPLRLPSPAATTLPSAALLRLIPGVTRVRILRTPPPPNMSAAAATTTDAAASSAGVEAGKEAEDVVVQYVVLRRDLVDAWPLGSVVAQGCHAAVAALWAHRDHPDTAAYCAPENLDRMHKVTLEVKGETQLKNLDEKLDAAGVRHKLWIEQPENIPTCIATAPCPKSHVASFFRKLKLCK* >Brasy9G265300.1.p pacid=40062614 transcript=Brasy9G265300.1 locus=Brasy9G265300 ID=Brasy9G265300.1.v1.1 annot-version=v1.1 MAAATAGKHGSGGDRLSALPDKALVAVLSHLYSDEAARTSALSRRWRRVHEAVPVIDLADTKCGDRYGRASMGDKRLCFDLQVTSAIFSKAADTPVRDFRLSALHPPYDLLDQWVVTAVTSGAEELDLTLRYRNSAMRRLCPFAGSKSASADFSRDDRKRYTATQRHIFRCRTLRRLHLANWSLDLPPPAAMPMPALDTLCLSRIMDPSKLLPRLLASCPSLADLTLEQCPTIVDLEVPGLCLRNFSMLCCHNAKAVSLLTTRLQSLRFKGGLATVFQIANHRGIRAITIDICEELSSKSPREIAPVTALIGRCSNLDYLHLSLRPSIAYYSSMFTGVLRELRWLRRLSLEGCLLTAHGVKSIAALLANAHNLEELSLFPLAPPPPKNEQRHDGYDTDYGRSDSDTEEEDGGPGAGNNGGVRMPDCLWRMHVGCLNRKVRRIGLWNYQGQPLDRMLARFLLSRAAVLEEFSVRLADERDPLKDEIAKELGSWPWNRHTTVTCK* >Brasy9G212500.1.p pacid=40062615 transcript=Brasy9G212500.1 locus=Brasy9G212500 ID=Brasy9G212500.1.v1.1 annot-version=v1.1 MGVLRSTQSLQAEVEEMRAALLLPGGAAAGWKPSGGDADVKRAAGGEEGAAGPRTVCVTGGISFVGFAIVDRLLRQGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAERVIETCVRTESVRKCVFTSSLLACVWRQNYPHDRRGPSIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSRDMLAEGVLATANVETVAEAHVRAYEAMGNNTAGGRYICYDHVIRRAEDFAELERQLGIPSRTAAPVQSGDEDRPARFELCKRKLARLMSSRRRCTYDDYYYSVTSP* >Brasy9G212500.3.p pacid=40062616 transcript=Brasy9G212500.3 locus=Brasy9G212500 ID=Brasy9G212500.3.v1.1 annot-version=v1.1 MASSSHDRSPTTSQLLACVYSAILFTSGMTTCRTASPVKLSKGCYDKEDLDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAERVIETCVRTESVRKCVFTSSLLACVWRQNYPHDRRGPSIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSRDMLAEGVLATANVETVAEAHVRAYEAMGNNTAGGRYICYDHVIRRAEDFAELERQLGIPSRTAAPVQSGDEDRPARFELCKRKLARLMSSRRRCTYDDYYYSVTSP* >Brasy9G212500.4.p pacid=40062617 transcript=Brasy9G212500.4 locus=Brasy9G212500 ID=Brasy9G212500.4.v1.1 annot-version=v1.1 MEATCFVFRREEDLDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAERVIETCVRTESVRKCVFTSSLLACVWRQNYPHDRRGPSIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSRDMLAEGVLATANVETVAEAHVRAYEAMGNNTAGGRYICYDHVIRRAEDFAELERQLGIPSRTAAPVQSGDEDRPARFELCKRKLARLMSSRRRCTYDDYYYSVTSP* >Brasy9G212500.2.p pacid=40062618 transcript=Brasy9G212500.2 locus=Brasy9G212500 ID=Brasy9G212500.2.v1.1 annot-version=v1.1 MEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAERVIETCVRTESVRKCVFTSSLLACVWRQNYPHDRRGPSIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSRDMLAEGVLATANVETVAEAHVRAYEAMGNNTAGGRYICYDHVIRRAEDFAELERQLGIPSRTAAPVQSGDEDRPARFELCKRKLARLMSSRRRCTYDDYYYSVTSP* >Brasy9G187900.1.p pacid=40062619 transcript=Brasy9G187900.1 locus=Brasy9G187900 ID=Brasy9G187900.1.v1.1 annot-version=v1.1 MLQRRSKVALQAFNLTKLQRFTHSFPLPNHLIVASSSNSSPQPHYMAGASAQPAWAQALAAAGLLVASRAAARLALWLYAAFLRPAKPLRRRYGAWAVVTGATDGIGRALAFELASAGLGLVLVGRSPDKLAAVAAEVRARSPSSSRPAEVRTFVIDFDDADGLAASVGALGESIRGLDVGVLVNNAGMCYPYARYFHEVGEELTRSLIRVNVEAVTRVTHAVLQGMVDRKRGAVVNIGSGAATILPSDPLYAVYAATKAYVDQFSRSLHVEYKNRGIDVQCQAPMYVATKMASIRKASLFAPSPEDYARAAVRYIGYEPRCTPYWAHSLVWFLFSVLPEPVADRFVLSMSLGIRHKGMAKDARKKAQ* >Brasy9G199100.1.p pacid=40062620 transcript=Brasy9G199100.1 locus=Brasy9G199100 ID=Brasy9G199100.1.v1.1 annot-version=v1.1 MDPRGREDGPLQEAAGAGADGGECGVASPCRWLRRLSRELHWSFVLAVFAVYGACQGVGNAVGGVAAGYYWKDVQGVQPSAAQFYQGVTDAPWVVKPLWGLLTDVVPVAGYRRRPYFLLAGVIGVSSMLMLSLQRNLGIMPAVVALTAQSAGAAIADVTVDALVAQNSITNPPLASDMQSLCGFSSSFGALIGFSISGLLVHSLGSQGALGLLSIPSVLVFSAGILLKESRVTDFDYKQVHKKFYKAIQSMGVTLKCPEVWRPCVYIYVSLNLSLDIQGGMFYWYTDRLMGPAFSEGLIGLIYAIASVGSLLGVLLYQSSLKDCNFRSMLLWGQVLSSLAGMLDLVLVTRLNMKIGIPDYVFAVIDNGVSQMVGQLKWLPLLVLCSKLCPPGIEGTFYALLMSLQNAGLLMSAWCGGLLLHMLNVTRTNFSNLWVAVLIRNVSRLLPLMLLFLVPESDQNSTLLPAEMLEEGASTEAVKDGSGNVEFSVLVADNSSYYPSNVAAEDEIIKVTDAVQDGVELIPLMNKTTAV* >Brasy9G199100.3.p pacid=40062621 transcript=Brasy9G199100.3 locus=Brasy9G199100 ID=Brasy9G199100.3.v1.1 annot-version=v1.1 MDPRGREDGPLQEAAGAGADGGECGVASPCRWLRRLSRELHWSFVLAVFAVYGACQGVGNAVGGVAAGYYWKDVQGVQPSAAQFYQGVTDAPWVVKPLWGLLTDVVPVAGYRRRPYFLLAGVIGVSSMLMLSLQRNLGIMPAVVALTAQSAGAAIADVTVDALVAQNSITNPPLASDMQSLCGFSSSFGALIGFSISGLLVHSLGSQGALGLLSIPSVLVFSAGILLKESRVTDFDYKQVHKKFYKAIQSMGVTLKCPEVWRPCVYIYVSLNLSLDIQGGMFYWYTDRLMGPAFSEGLIGLIYAIASVGSLLGVLLYQSSLKDCNFRSMLLWGQVLSSLAGMLDLVLVTRLNMKIGIPDYVFAVIDNGVSQMVGQLKWLPLLVLCSKLCPPEMLEEGASTEAVKDGSGNVEFSVLVADNSSYYPSNVAAEDEIIKVTDAVQDGVELIPLMNKTTAV* >Brasy9G199100.2.p pacid=40062622 transcript=Brasy9G199100.2 locus=Brasy9G199100 ID=Brasy9G199100.2.v1.1 annot-version=v1.1 MDPRGREDGPLQEAAGAGADGGECGVASPCRWLRRLSRELHWSFVLAVFAVYGACQGVGNAVGGVAAGYYWKDVQGVQPSAAQFYQGVTDAPWVVKPLWGLLTDVVPVAGYRRRPYFLLAGVIGVSSMLMLSLQRNLGIMPAVVALTAQSAGAAIADVTVDALVAQNSITNPPLASDMQSLCGFSSSFGALIGFSISGLLVHSLGSQGALGLLSIPSVLVFSAGILLKESRVTDFDYKQVHKKFYKAIQSMGVTLKCPEVWRPCVYIYVSLNLSLDIQGGMFYWYTDRLMGPAFSEGLIGLIYAIASVGSLLGVLLYQSSLKDCNFRSMLLWGQVLSSLAGMLDLVLVTRLNMKIGIPDYVFAVIDNGVSQMVGQLKWLPLLRCSKRAHLQKLLKMDQEMLNFLSLLQTILVIIPPMWLLKMRL* >Brasy9G293700.1.p pacid=40062623 transcript=Brasy9G293700.1 locus=Brasy9G293700 ID=Brasy9G293700.1.v1.1 annot-version=v1.1 MGGCLSGDVRGGMEAVGGAGASGGRGPATAGQGQGGLNEAVDYLLQNNGLRGLYMPLELSFSASKLRNMDVLSKSDPMLVVYAKMDGKLEEIGRTEVILNSLEPSWITKATVNYQFEIVQPLVFRIYDIDTRYHNTPVKMLNLNEQDFLGEASCNLSEIVTKSNHSLTLNLRSGSQHALLGTITVHSEESSSSRIAIEMTFHCLNLDNKDMFSKSDPFLKVSRLTESSVAVPICKTEVVNNNLNPVWRPITLTSQQYGSKDNPLLVECFDFDASGDHQFIGALQTTITQLENIHNSKAGANFYSHKGQKKLKGQLFLDKFQEKVQHTFLDYISGGFELNFMVAVDFTASNGDPRTPQSLHYIDPSGRPNSYQQAILGVSEVLQFYDNDRRFPAWGFGAKIPQGYVSHCFNLNSTANDCEVVGVEGIMSAYSSTLYSVALAGPTLFGPVINKAAEIASHSVQYGNNKYFVLLIITDGVITDVQETKDSIVRASDLPLSILIVGVGNADFSQMTILDADFGKRLESSTGRVATRDIVQFVPMREVRGGNVSVAQSLLEELPGQFLEYMRTRDIKPRPPQQAASVPAYPPPPPP* >Brasy9G293700.2.p pacid=40062624 transcript=Brasy9G293700.2 locus=Brasy9G293700 ID=Brasy9G293700.2.v1.1 annot-version=v1.1 MDVLSKSDPMLVVYAKMDGKLEEIGRTEVILNSLEPSWITKATVNYQFEIVQPLVFRIYDIDTRYHNTPVKMLNLNEQDFLGEASCNLSEIVTKSNHSLTLNLRSGSQHALLGTITVHSEESSSSRIAIEMTFHCLNLDNKDMFSKSDPFLKVSRLTESSVAVPICKTEVVNNNLNPVWRPITLTSQQYGSKDNPLLVECFDFDASGDHQFIGALQTTITQLENIHNSKAGANFYSHKGQKKLKGQLFLDKFQEKVQHTFLDYISGGFELNFMVAVDFTASNGDPRTPQSLHYIDPSGRPNSYQQAILGVSEVLQFYDNDRRFPAWGFGAKIPQGYVSHCFNLNSTANDCEVVGVEGIMSAYSSTLYSVALAGPTLFGPVINKAAEIASHSVQYGNNKYFVLLIITDGVITDVQETKDSIVRASDLPLSILIVGVGNADFSQMTILDADFGKRLESSTGRVATRDIVQFVPMREVRGGNVSVAQSLLEELPGQFLEYMRTRDIKPRPPQQAASVPAYPPPPPP* >Brasy9G054800.1.p pacid=40062625 transcript=Brasy9G054800.1 locus=Brasy9G054800 ID=Brasy9G054800.1.v1.1 annot-version=v1.1 MAAQGPLRRWKPFFAAFDSVDAAIEAADPDLRRDELRERGETSWSCSATPRTIDDREAERLCLILDEVMAESLETLRLVPAMPTVLASTELAKAVAALRKHDSERVRVLARGIFSRWRASLQDNLVRVRAAMDRLDQIPLPKPNNNKTVADQQPVSAKILEPSAKKTVKITEPPPPLPKKVCPAVGVARGDRAGLCSDDKIMEATKRKFHEGYQEAEKAKRQRRIQVVEAPEMLKQRQRKMHPIIKERSRARCGSSTMVKKTISVSRPHRV* >Brasy9G184100.1.p pacid=40062626 transcript=Brasy9G184100.1 locus=Brasy9G184100 ID=Brasy9G184100.1.v1.1 annot-version=v1.1 MTMGEERAATGHRGRGHAPSWALMQEDMLQLIASRVLAGDLLDYVRFRAVCLPWRAATACPRGQGLVNPLFHPRRWMMLPEGNGMHPGHPDLGGYVRFFNLDTAAFVRFRLPCFQDQDQDQEHDVLDCPDGLLLLQRKGDGAICLLHPFTGDIARFPPLASLLTQLDGVVGVSGIDVRLLLRVTGFKDVSAAVSVLVGGTVTIMLAFIRLERMAYVSSGDLQWTATSWTIPGIWTAVPFRGSLYLVKGWNKRKPSHIMRVDPPEGSSSVLWSSAPAQTVATCPAEQMTKPYLVECNSELVVGYAKADRHSLVVFRLADLLLGAPTPAAPLASIGDHVLFIGRRNMAV* >Brasy9G304900.1.p pacid=40062627 transcript=Brasy9G304900.1 locus=Brasy9G304900 ID=Brasy9G304900.1.v1.1 annot-version=v1.1 MDAGSNSISSEKSSRYAAPRSPLKEAGSRPYMPSLSTASRNPNAKCYGDRFIPNRSAMDMDMAHYLLTEPKRDRKNVGTASPANEMYRKLLSEKLLNNRTRILAFQNKPPEPENILTELRADTASIQAKPAKQRRHIPQSAERTLDAPDLVDDYYLNLLDWGSSNVLSIALGNTVYLWDGSNGSTSELVTVDEDNGPVTSVSWAPDGRHIAVGLNSSIVQLWDPRSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHAVQTYHGHEQEVCGLKWSGSGQHLASGGNDNLLHIWDVSMASSVQSVGRTQWLHRLDDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNDRELLSSHGFTQNQLTLWNYPSMVKMAELTGHSSRVLFMTQSPDGCTVASAAADETLRFWNVFGTPDNPKATTVKASHTGMFNSYNHIR* >Brasy9G106500.1.p pacid=40062628 transcript=Brasy9G106500.1 locus=Brasy9G106500 ID=Brasy9G106500.1.v1.1 annot-version=v1.1 MENIMARHQNFPAAKKRGHSGSILKQATKIRGNDFQLTRAITDRSLNIGDMSIDESNISQLTMDQLSQIERKVEYALRSTKARKGKALLEERDLIKMISEKEKKQDGGRYGAGCQQSDEQVDVTLRLGFGIGYDVSGHQTPVNLNMP* >Brasy9G106500.2.p pacid=40062629 transcript=Brasy9G106500.2 locus=Brasy9G106500 ID=Brasy9G106500.2.v1.1 annot-version=v1.1 MENIMARHQNFPAAKKRGHSGSILKQATKIRGNDFQLTRAITDRSLNIGDMSIDESNISQLTMDQLSQIERKVEYALRSTKARKGKALLEERDLIKMISEKEKDGGRYGAGCQQSDEQVDVTLRLGFGIGYDVSGHQTPVNLNMP* >Brasy9G183000.1.p pacid=40062630 transcript=Brasy9G183000.1 locus=Brasy9G183000 ID=Brasy9G183000.1.v1.1 annot-version=v1.1 MTTTSGKAPISSHGEACSDPDLRGGFYLGKLRREGHRYQLQELPWRREQDGKPPPAGSHGSHARPGIGSTSWRHKPLRQRKNEVIYINKGGAGPPSPVAPAGEAAGGGGEGCRRRETKGNEGFGKTGEETGALTPSRRVGYWALAVGIGRTAGPEDGAQARQGRATGRVGPVPLDLRAFFEVQT* >Brasy9G029700.1.p pacid=40062631 transcript=Brasy9G029700.1 locus=Brasy9G029700 ID=Brasy9G029700.1.v1.1 annot-version=v1.1 MDIASLEISSLPLETRCPPFRLRQHGGFWLPETTLPGIAAVHASFSPRPSDFLLASFPKSGTTWIKALAFATLNRAEHPPRGADHPLRRLNPHDCVKFLELDLGLPAAAAAADDFQGGARSSAVFESIPSPRLLATHLPYSLLPKLNLITAAGEDSGRGRIVYICRDPKDAFVSSWLFTNKNSNDDTDSSSAMDCAREVFDLFCDGRCMYGPQWRHVLGYWEESRRSPDKVLFLRYEEMLQDPASNVKKLAQFMGRPFSAQEEAAGAVRDIVELCSLDTLKNMEVNRNGAQKLAKNDGFFRKGVAGDWRNHITPAMAARLDRIVQHELQGSGFTFTVATQATT* >Brasy9G292200.1.p pacid=40062632 transcript=Brasy9G292200.1 locus=Brasy9G292200 ID=Brasy9G292200.1.v1.1 annot-version=v1.1 MLSILLLRYCAICHHLLSTVYAYVKALPFAQLIYPFSFYLDSYNY* >Brasy9G013700.1.p pacid=40062633 transcript=Brasy9G013700.1 locus=Brasy9G013700 ID=Brasy9G013700.1.v1.1 annot-version=v1.1 MAETVLSMARSMLSGAVSKAASAAADEMSLLMGVRKDIWFIKDELETMQAFLVAAERMKQKDMLLKVWAKQVRDLSYSIEDCLGEFMVHVGSRSLSQQLMKLKDRHRIAMQIRDLKSRVEEVSSRNTRYSLIDKNQGTGTAEERDSCLEDIRNQSASNIDEAELVGFTKPKQDLIELIDVHTINEPAKVVCVVGMGGLGKTTITRKVYESVKKDFSCCAWIIVSQSFVRMELLKVMIKELFGDDALKKQLEGKVVREEDLARYLRKELKEKRYFVVLDDLWNLDHWEWIRTIAFPSNNVKGSRIIVTTRDIGLAKECALESFEPLIFHLKALEIDDAEKLLLRKIRKSLEDMGNDEKTKNIVTKTVKKCGCLPLAILTIGGLLSTKMVNEWESIYNQLPLELESNPNLGAMRRMVTLSYNHLPSHLKSCFLYLSIFPEDYEIQRRRLVERWIAEGFVRARPGMNIGDVANGYFNELLNRSMILPSRVNIEGIIKSCRVHDIVRDVMVSISREENFVCVSVDNVTSLADENFRHIAYHGSKCPVKSMDWSHVRSLTMFGERPKEASPSVCSADLRMLRTLDLHSAQFKSTEKDIQNIGLMRHLKYLNLYHSRGYSCIYKLPRSLGKLQGLQMLDIRDTHITTLPTEICKLQSLRTLRCRNIGSYLYFDPDLPFKCCMNLLCLPVLFTPLVNHDERAENIAELHMACSSGRYESRGVKVPRGIGNYLKELEILETVDIKRTCSKAVEELGQLTLLRKLKVDTKGATKKKCKILCVAIEKLSSLRCLHVSADRDGTLEWLHSVSAPPHLLRSLMLSECLGEEMPNWVGSLMQLVKINLRRSRLKEGGKIMEILGALPNLMLFTLDEDAYLGEKLVFRTEAFPNLKQLKLSSLDKLKEVRFMEGTLPHIERIEILWCRLECGIIGIKHLPRLKEISLRIAGRVARLGVLQGEVDAHPNKPVLQLTHDRSYHDLGDIVQGSDAVQVVEATEEESSLHPGPAAAAAGESTSQAVILMPMTNTRQDDLLHTYNSC* >Brasy9G084300.1.p pacid=40062634 transcript=Brasy9G084300.1 locus=Brasy9G084300 ID=Brasy9G084300.1.v1.1 annot-version=v1.1 MDLPVSAGRTGPWAGSVPSASVCRAACIEGNLIKIRRTVRHDARVLAMAKAKSCVAGLKPASLDPLGSDYNAESTDDEVDVKAQEQVLKRKVVDDRRTQSPEGCFREGFSVPAAEGLAKKSLNRKAAEVVTAPRRTSPRSKN* >Brasy9G085400.1.p pacid=40062635 transcript=Brasy9G085400.1 locus=Brasy9G085400 ID=Brasy9G085400.1.v1.1 annot-version=v1.1 MASGARVSAPVVVVVPIGAAGVVWCGSGTLARRRVRRHVVAMTRGDLLLLRRRRRLEVAANRPPPRSPGTNKHEEQPRDGQSHEILAGNCAKCGILGHRVRECSVRFLEDYTAPMCGFQSPNQGFFFIPPIPSEKSTKDKNSSVIITVVEGTATARQIEAVFNAVFAGTWRCSARPIGPGKFTMRFPNAKKVEEYSAFKGFTLRNTSAKIDIDPWSPSVGAKGEIQQAWVKAVLAYVGSLVGVTKEIDKAYMFKPEYVKLKIACPDVYSIPPTAEGYIGDYLYDFYYVLDSVVVGPRKGKDKTVIPLLYGSTSYQGGKSNNADSIAEEEEEESEEDCSDLLIDTIAEEAEAHFMQNVMPVPVMSFMQAGGMPHVPLNMSISAWLQRDQILFGGMSRGSPNDAKEMMQCMFPSLDLVNAPPYRCDRIGFDDSMTGLAHVEKFSFSEDEGPFSQESQNTYDTVQMPVATKSKKVTVPLRFSSRLQQKGQAPIIQRAEALLQKKNLEGTTTSNSFSALDDFAIISRAQALGALIPDDDFDCVNVLRELETARASLKTHIFVPALDSEQPAPLVSSHQPIEVDAECLHSELQDDDFVLVQSRKVKKSKARLSSVGVIGPSRVGSLVSGTSTQPGSVRITRQRGGHRKKS* >Brasy9G107400.1.p pacid=40062636 transcript=Brasy9G107400.1 locus=Brasy9G107400 ID=Brasy9G107400.1.v1.1 annot-version=v1.1 MSTGGADKSGSGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKQTVTDGA* >Brasy9G114800.1.p pacid=40062637 transcript=Brasy9G114800.1 locus=Brasy9G114800 ID=Brasy9G114800.1.v1.1 annot-version=v1.1 MAVQQARFLPHAFPHDIHAFSSIEAGAAPGGSQFFDDHGGCAPATVGIGNNNNNNTVLSDLPRSELTCNDNYGFVPRKRVRMAAEEPASLVDLAQAQQQRLVLQQAAAMHGLVLPVDAQSRAVGSGAASTSGRMQANAGGLSQGLSSLLYNQGMEMDALIRLESERMRAGLEEARRRHARAVLAAVERAAARRLQAVEADLLRTRYRNAELEERLRQMSAEGQAWLGLAKSHEAVAAGLRATLDQLLQPPCAMAGAVVEGDAEDAQSCCFDTPAGDNAEDTASKAMAAAASCKACGQGEACVLLLPCRHLSLCRACEPAVDACPACAATKNASLHVLLS* >Brasy9G359500.1.p pacid=40062638 transcript=Brasy9G359500.1 locus=Brasy9G359500 ID=Brasy9G359500.1.v1.1 annot-version=v1.1 MLFHPIPRSIERHAYTQTYVGQDHHPYHMGSFFSYLAGAKISPCTFRLPLTDAEVKSGALSYDAIMAIGVQGPGPLMQEELVAGYQWRLVREALEEYNYDNQDRPEFQYLIGKTAMEAKKGMQIKVACVGLREHFWYHVSFSARRKGESERRFFAELRYDPYFHQLFVETCTILDEPLCRFRSSCAFCPDDSEILHPSQMEFACGKEGHEKEFYRERDILQRPFLKRS* >Brasy9G306500.1.p pacid=40062639 transcript=Brasy9G306500.1 locus=Brasy9G306500 ID=Brasy9G306500.1.v1.1 annot-version=v1.1 MATAARAVAAARLAQPLLLSRRLPSSSARPTRSRGGGGASAVRCMARRPDASYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCELDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR* >Brasy9G296100.1.p pacid=40062640 transcript=Brasy9G296100.1 locus=Brasy9G296100 ID=Brasy9G296100.1.v1.1 annot-version=v1.1 MLLRFCPVDVRMNLHRNSTVVLTEKYRLQLSDQPQLLPKSFFEFIMYLFLLFLLRNPCRAQ* >Brasy9G202100.1.p pacid=40062641 transcript=Brasy9G202100.1 locus=Brasy9G202100 ID=Brasy9G202100.1.v1.1 annot-version=v1.1 MATTACFVIVSKNDIPIYEAEVGSAPKKEDLAYHHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKGFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL* >Brasy9G216900.1.p pacid=40062642 transcript=Brasy9G216900.1 locus=Brasy9G216900 ID=Brasy9G216900.1.v1.1 annot-version=v1.1 MGKRSLNSEQHMHGTTAKKKRSGLQLSNMPTDILCSIMSKLPLKEAVRTSILSQQWKYHWCCHSNLEFSFTSMLSSHHLGGPITADSRNLMKQEFIERVDAVLKQHSGLGVENFRVWVPLNNGNTDPIERWVNFAVASKTKHLTFNFSSARCVGKPCIFDLRLLDGSVSLHLQYVELSSVFLQLPDLHLLMSNCNVLEFLRITDCSMLTRIHISHPSNPLKHLQVHKCRSLQVMELNFGLITLEYSGPSIPLSPPGTLLLTKISMKPWDSCTALEYIFTELPSTVPRLEMLTLKCHEVERASLPEKLPKFVYLRHLRLELSSGPLGKKVDALDFACLLVAAPFLEKLEFHMWRMVSVNQSYGYGQQLRSIPSQPHCHLRFVDITGFYGQKDQLELALHILRNAAMLEAMKIDPKPSIAAEYGQMEGLFFLDGYQVARDYVLREDKCNVVYIKHVPRKTIEAKFLSGSFWSKLRTLSYGVFV* >Brasy9G051700.1.p pacid=40062643 transcript=Brasy9G051700.1 locus=Brasy9G051700 ID=Brasy9G051700.1.v1.1 annot-version=v1.1 MVRKMLRASLWQLVALAVAAAVVDGAGAYYRGDNNHSSLHRDLDAVWGERNARFLDEGRVVELTLDEETGSRLQSKDRYLFGRFDLDIKLVPGDSAGTITSFYICTGGARHDEVDFEFLGNVSGEPYLLHTNVFSDGKGEREQQFVLWFDPTADFHTYSILWNPLNIILYIDGTPIRVFKNNEAHGVPFPARQPVHVFASIWNAEEWATQGGRVKTDWARAPFVAAYRRFSARNACVWPGGSRCRGSNLESSSSSWMTQKLDWWSWMTLNWVRMNYMAYDYCADRRRYPHGFPTECVIPIGRV* >Brasy9G044400.1.p pacid=40062644 transcript=Brasy9G044400.1 locus=Brasy9G044400 ID=Brasy9G044400.1.v1.1 annot-version=v1.1 MRIRRCASRLVLGSAYDFAAAPDPAPQFELPPPLPLPPPARASPPAHESHAGGGSFSAEAPSVLLCELSLSPWDLMSQLDLSDPQEKELFMLTYFVSIPFRASWLLPTNMPATFIKEDPKEEEEEEEQEKAVSVDMVDEVNVEPPNKVAKKMAGKRILAKEDKNAREPRNKTEQDNGMAAREPELWTCKKNDGKRWFCRRTVNKPNSYCLYHTDRKRAAPPVSSAASKTFWSSKLRKKRAVVDTCEGFYYYAGFGPSRSKRHLTGSALQDIPHADEQQDQSPPTEQQEKAPAEDHAAPTPGEKAQTDAVHHQAAAHINEPKCDDMGWIAGCDEESSDDAFGFNGEPRVVSVHGDIKRKSPVKKRWRKPVKARSLKSLM* >Brasy9G291600.1.p pacid=40062645 transcript=Brasy9G291600.1 locus=Brasy9G291600 ID=Brasy9G291600.1.v1.1 annot-version=v1.1 MEPAAAPASSSSPSTPSVPAAAEAAELKLRRRTLETVLEQCQRALELMREADLGAAGPGEEDAEAGNPDEEEGGGLAGGDASDGEGPPPPSDADYETDELCNLLKSRVESPEFLEKLDTIQKSVYQHGAVDETISWDIVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKETKELTPNQLQQALSKTFSTKKRKSKLQKAWAGTQVIYNVASWSATAVGIYQNPAILKAATTAFWTSCRVVSKFL* >Brasy9G015800.1.p pacid=40062646 transcript=Brasy9G015800.1 locus=Brasy9G015800 ID=Brasy9G015800.1.v1.1 annot-version=v1.1 MASPPHTPLAAAAISITCSSSEVSDDASAAASSSSWSLSSGSAPPSSQGARRRPPPYRRLLHDEAQRLRRERWSQGPGAETPRWVRRTDAQMSRYVEDDRAGHVHGRLVVAAARAVRATASRPPGSVREAMASFVSKLTFREMCVVLSQQRGSRQAQEFFSWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLEAGVDPDAVACGTLLCAYARRGQHADMMLFYAAVRRRGVAPPVSAFNFMVSSLQKDKLHGKVIYLWNQMRETNVVPNQFTYTVVIGSYVKEGLLEEAMNVWRKMKRSRFVPEEATYSGLISLSARHGRGEQALGLYEEMRAHGIVPSNYTCASLLSLYYKTEDYSKALSLFAEMEQSRIVPDEVIYGILVRIYGKVGLYEDAQRTFEEIGRAGLLSDEQTYVAMAQVHMNAGNYDRALQVLDSMRLRNVEPSLFSYSAVLRCHVAKEDIAAAEDAFRALSKCGIPDVFCCNDLLRLYVKLGQLQKASALILKMRKEEVQLDEGLCMTVMEVCCKSGMIVDADKILKEMQKNGVAMKNSAMVSLIEMYARNTTNVVQEEDNSSKALDCRTDSSSLSTTLKLLLDTPGGSSAACQLIRKFAREGNTEEAKFLHEQLNELGVKPEDSATATLIVQYGQLQKLQQAEELFEASASFLVGGPVYNAMVDALCKCGKTVEAYNLFMEMAGHSRDAVTISILVGHLTKHGKFQEAENIINGCFHGEVQLDTIVCNTFIKSMLESGKLYSAVSIHDCMISSGIPRSLQTFDIMISVYGQGGKLDKATEMFTAAQELGLRIDEKTYTNMLSFYGKAGRHQDASVLFTRMKEEGIMPGKISFNSMVNVYATSGLHDKAKIIFEEMQSSGHIPDSLTYLALIKAYTEGRSYSKAEEAIQMMLRSNITPSCPHFNHLIFAFLKEGKIDEARKICNEMEDLGVAPDLACCRAMMRVYLEYGRVSEGISLFKTTCGSLKPDSFILSAAFHLFEHSGREFEAGDVLDAISLHGASFLRNLKVGSKLGAIQ* >Brasy9G015800.2.p pacid=40062647 transcript=Brasy9G015800.2 locus=Brasy9G015800 ID=Brasy9G015800.2.v1.1 annot-version=v1.1 MASPPHTPLAAAAISITCSSSEVSDDASAAASSSSWSLSSGSAPPSSQGARRRPPPYRRLLHDEAQRLRRERWSQGPGAETPRWVRRTDAQMSRYVEDDRAGHVHGRLVVAAARAVRATASRPPGSVREAMASFVSKLTFREMCVVLSQQRGSRQAQEFFSWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLEAGVDPDAVACGTLLCAYARRGQHADMMLFYAAVRRRGVAPPVSAFNFMVSSLQKDKLHGKVIYLWNQMRETNVVPNQFTYTVVIGSYVKEGLLEEAMNVWRKMKRSRFVPEEATYSGLISLSARHGRGEQALGLYEEMRAHGIVPSNYTCASLLSLYYKTEDYSKALSLFAEMEQSRIVPDEVIYGILVRIYGKVGLYEDAQRTFEEIGRAGLLSDEQTYVAMAQVHMNAGNYDRALQVLDSMRLRNVEPSLFSYSAVLRCHVAKEDIAAAEDAFRALSKCGIPDVFCCNDLLRLYVKLGQLQKASALILKMRKEEVQLDEGLCMTVMEVCCKSGMIVDADKILKEMQKNGVAMKNSAMVSLIEMYARNTTNVVQEEDNSSKALDCRTDSSSLSTTLKLLLDTPGGSSAACQLIRKFAREGNTEEAKFLHEQLNELGVKPEDSATATLIVQYGQLQKLQQAEELFEASASFLVGGPVYNAMVDALCKCGKTVEAYNLFMEMAGHSRDAVTISILVGHLTKHGKFQEAENIINGCFHGEVQLDTIVCNTFIKSMLESGKLYSAVSIHDCMISSGIPRSLQTFDIMISVYGQGGKLDKATEMFTAAQELGLRIDEKTYTNMLSFYGKAVQFLQGGIRMPLCYSLE* >Brasy9G003900.1.p pacid=40062648 transcript=Brasy9G003900.1 locus=Brasy9G003900 ID=Brasy9G003900.1.v1.1 annot-version=v1.1 MEGVVAAAVMPRLLLFLLLARIAASDVGLKKVTGADDGPHMCGGQEIPYPFGISEGSYRDDSFKIVCRAGGMPALATTSDDGVDGFIPIGNLSIVNAEAVVMLPVSSQCYNTTTGEVSDSNFTNLKFSEQGVYRISDKGNHLYVLGCYTVGYLRSKEKTTQGDGNGTYYSQLIGCLSYCADSDSPKNGSCGGVGCCRADIPNDLVDNVLDFDRANRTDKYSFGTCDYAFLVEQGSYEFTRADLSMDNRREMPVRLDWAIRDGYYNTCAQAQASANYACVSSDSICIDSVNGPGYICRCRDGYDGNPYIHGKGGCVNIDECQHPDKYPCKANCKDNPKGDYTCTCPWWKRSPDPFKEACVILPNRAWVIIGSIAGVFIIAILVFLGMLHKEKKRMQDFFKKNGGPILAKVNNIKLYKMEDLKEILKSSNVIGKGGFGMVYKGIIGDSNQLVAVKKPINVNLADKDQFANEIIIQSRVIHRNIANLIGCCLEVDIPILVYEFLPKGSLHDILHGSHRVPLDLNLRLQIATESAEGLAYMHSKTANTILHGDVKPANILLDDEFVPKISDFGISRLIATNEKHTINVIGDKSYMDPVYLQTGLLTNKSDVYSFGVVLLELITRKKASHADNNSLLRNFLDAYKNNNSVIELLDKELVEVVDDLELLDGLAGMIKQCLNLDVDQRPEMNDLVDQLRNMEKRSKRK* >Brasy9G158200.1.p pacid=40062649 transcript=Brasy9G158200.1 locus=Brasy9G158200 ID=Brasy9G158200.1.v1.1 annot-version=v1.1 MFAVACAIADFRCEMMWLVEDVRGFKTWRDREDATRALRQQLGNRPADMLNLLYTGLEAPEISEAEEHDDVSEAAGFVDKEHMTRMFEAFFRPRFVIQGEDKGEKRDALIKATGFVHRLWDLRRILDVYFDHSLDVKARSATMEGMMDRLESLIQHRTWSQGLV* >Brasy9G278200.1.p pacid=40062650 transcript=Brasy9G278200.1 locus=Brasy9G278200 ID=Brasy9G278200.1.v1.1 annot-version=v1.1 MSKRFEGRAIAGRARPPRAFPPAHLPRRQWLQASPVQRVLFYPDAVATNDDVLSNLKDSLSMALRAFYPLAGRLRLAPGTPNRHEIHYQPGDCVTFTVAEYQHAAGFHELATDDPKEVAKIVPLVPPLPEGGAVLALQATVLRGGLAIGVTVHHAACDGASSTHFLRTWARAASGAAAAPEPPVICRTFIRERDDMYDAFTTPRRPVGPPDDDENGVVLATFTLSREHLQGVKDAVAREAERRGAPPPRATSTVAAFGFIWQCYLRAGAASSSSSRNGGRAYFLFCADHRARLEPPVPDKYLGNCVGPCFASAPRKEVITGADAGLFTACAAIAASIGDPGPGYWDGWMERVTEAYTAGGGGLPLTVAGSLRFRVYDMDFGFGRPVKVDVVSAATDGAISVAEARGGDDGGVEVGISLTADEMERFRKCFGDAIAGLSSAPHHDATNVRLLRTYGNISSSRNK* >Brasy9G005600.1.p pacid=40062651 transcript=Brasy9G005600.1 locus=Brasy9G005600 ID=Brasy9G005600.1.v1.1 annot-version=v1.1 MAALAAAHFLLQLIHAILFLFLILGSNGAAEAARTFSFSNGCSHPVWVGALNGATSPPLARSGFFLASGAASALDSPEDAAAWSGTFWARTGCATDAATGRFSCATADCGSGDVSCDGRGPSPPVTLVELTLAAVSSGAQDFYDVSLVDGFNVPVRITPTINTTSSSNGGCRAASCAGDVNAACPADLRVVVSGSGVVACKSACGAYGSARYCCSGEYGTPAACGPTSYSEAFKSACPDAYSYAYDDATSTFTCAGASGYHIAFCPS* >Brasy9G325600.1.p pacid=40062652 transcript=Brasy9G325600.1 locus=Brasy9G325600 ID=Brasy9G325600.1.v1.1 annot-version=v1.1 MDTVMEPAAPGALHDDDLAELLTAAGAEDIICGAPQFKMGGKKSDEAAPTDAGDEDGDDDDGDDDGDFAEGEEEISEGEEYENAKGNDNKKKQIGDGDENGEEDEEEPEEQEGGGGDDDNDDDDNDDDDDDDNEDEDDEDEDEDGVEEEDDDQANEDEEEDDDEDSLQPPKKRKK* >Brasy9G226900.1.p pacid=40062653 transcript=Brasy9G226900.1 locus=Brasy9G226900 ID=Brasy9G226900.1.v1.1 annot-version=v1.1 MKSAHILVQLLFLSLSSAASAATGGDQFVYSGFSGTELTTDGTATVTSDGLLELTNGSTLLKGHAFHPSPLRFVRSPNGTVQSFSATFVFAILSVYTDLSAHGMAFIVARDRNFSAALAGQYLGLTDIQNNRNHSNHFFAIELDTIQNNELNDVNANHVGANVNGLISLQSHPAGYYDDNDSSFRNLSLISREAMQVWVDYDDKIAEITVTMAPLTVSRPVKPLFTGTYNLTTVVTDVAYVGFSSATGTINTQHYVLGWSFAMNGPAPVIDVAKLPKLPRVGPKPRSKVLEIVLPIATGTFVLAVGTIVILLLRRHLIYAEVREDWELEFGPHRFSYKDLFHATQGFEDKHLLGIGGFGRVYKGILAESKMEIAVKKVSHDSKQGIKEFVAEVVSIGRLQHRNLAPLLGYCRRRSELFLVYEYMPNRSVDKYLHSREDKLKLNWAQRWAIIKGIASCLVYLHEEWEKVVIHRDIKASNVLLDSDMNGRLGDFGLARLYDHGTDPHTTRVVGTMGYLAPELGHTSKATPLTDVFAFGIFILEVTCGQRPITPSSRDSQIILVDWILEQWNNGSLPDTVDKRLESNYNVDEACLALKLGMLCSHPFANARPTMRQVMQYLHGEMPLPEMTPTDLSFQMMAMMQNEGFDEFNMAYRSSTVSIGSKSAISVGR* >Brasy9G151200.1.p pacid=40062654 transcript=Brasy9G151200.1 locus=Brasy9G151200 ID=Brasy9G151200.1.v1.1 annot-version=v1.1 MQIHGLTVSSSFTFVQNTRSTIQPDGNIESAVHLWIFSTVWLNLFVITDSAFFLGSGGIRRHRAVLPQIANRQRVLLSH* >Brasy9G151200.2.p pacid=40062655 transcript=Brasy9G151200.2 locus=Brasy9G151200 ID=Brasy9G151200.2.v1.1 annot-version=v1.1 MQIHGLTVSSSFTFVQNTRSTIQPDGNIESAVHLWIFSTVWLNLFVITDSVHSSSDPAGGIRRHRVILLLVCAN* >Brasy9G203000.1.p pacid=40062656 transcript=Brasy9G203000.1 locus=Brasy9G203000 ID=Brasy9G203000.1.v1.1 annot-version=v1.1 MACYAALLHQQPAASLSPLTSRRAGSRRVPPPPLLQSRAPPSSARARISPRCSYTAAGGSAGAGEPSAAALRRVLETPGAHQAPACYDALSARLVERAGFRVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLIAEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRARAFADAGADVLFIDALVSREEMKAFCAVSPGVPKMANMLEGGGKTPILSPVELEETGYKLVAYPLSLIGVSMRAMEDALVAIKGGRIPPPGSLPSFEEIKDTLGFNHYYEEEKRYIVTPAQSSYRSGYYDYTSEVSSSGDTKSRTEKSQEPIIDILPQLYDLGSSGGRDRSSGMWSRTLRLKITGRDGIQKIDARIPAGFLEGMTKVIPGLAGANILERLRDSPIDSDNPQNGQILLDFEDGMGDRIQVFIA* >Brasy9G203000.2.p pacid=40062657 transcript=Brasy9G203000.2 locus=Brasy9G203000 ID=Brasy9G203000.2.v1.1 annot-version=v1.1 MACYAALLHQQPAASLSPLTSRRAGSRRVPPPPLLQSRAPPSSARARISPRCSYTAAGGSAGAGEPSAAALRRVLETPGAHQAPACYDALSARLVERAGFRVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLIAEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRARAFADAGADVLFIDALVSREEMKAFCAVSPGVPKMANMLEGGGKTPILSPVELEETGYKLVAYPLSLIGVSMRAMEDALVAIKGGRIPPPGSLPSFEEIKDTLGFNHYYEEEKRYIVTPAQSSYRSYYDYTSEVSSSGDTKSRTEKSQEPIIDILPQLYDLGSSGGRDRSSGMWSRTLRLKITGRDGIQKIDARIPAGFLEGMTKVIPGLAGANILERLRDSPIDSDNPQNGQILLDFEDGMGDRIQVFIA* >Brasy9G206600.1.p pacid=40062658 transcript=Brasy9G206600.1 locus=Brasy9G206600 ID=Brasy9G206600.1.v1.1 annot-version=v1.1 MGRTFLPVREWGRYGYYPFGGRFSASPVRPPPPVPPSRLAPLRPQWPPTPPPLLLPGDPARDGSRRRGRSSSSPAPSAALSLLAARDGVVPLGSASSTTIAAFLFGFLSRPHRHSVPSPAPAPSPGPELPFNHPVHRHHRKRPHVATPSSSPSFERQDCSGVTCSTPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEIAAGQKFDRVSALLTSNRFLEKKVLIKSSIFGDYDVIYVHYPGLPSSVPNVPGSLGPVSSNENPLGANVHNKSHQKINSKIVAIIALSAVVLVLTCFGIGTICKYKGCEKAHGTGHASNSSFTRKTGMRSSFSSSASSTASFSSTIPTCPSTVKTFSISELEKATGKFSFNKIIGEGGYGRVYCGIIEDGIEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLIGICVERSMRCLVFELVPNGSVESHLHGSHKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGLEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGSENLVTWARPLLTTREGLQQLVDPSLPAPASCDFDKLAKAAAIASMCVHVEASHRPFMGEVVQALKLITSGNGETCSGSFGGGATEDEESPWNDGRSCSWNDGDAPPRIPGAPRPMAAGYSSDPADDASARRPRSTPSAVLDKIESLAMYDWSGPLRSRARNFYRLRGSMSEHGHGHHPSDDCSVEGGYWM* >Brasy9G206600.2.p pacid=40062659 transcript=Brasy9G206600.2 locus=Brasy9G206600 ID=Brasy9G206600.2.v1.1 annot-version=v1.1 MRPRGAALLLLASAALSVYVPLGSASSTTIAAFLFGFLSRPHRHSVPSPAPAPSPGPELPFNHPVHRHHRKRPHVATPSSSPSFERQDCSGVTCSTPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEIAAGQKFDRVSALLTSNRFLEKKVLIKSSIFGDYDVIYVHYPGLPSSVPNVPGSLGPVSSNENPLGANVHNKSHQKINSKIVAIIALSAVVLVLTCFGIGTICKYKGCEKAHGTGHASNSSFTRKTGMRSSFSSSASSTASFSSTIPTCPSTVKTFSISELEKATGKFSFNKIIGEGGYGRVYCGIIEDGIEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLIGICVERSMRCLVFELVPNGSVESHLHGSHKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGLEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGSENLVTWARPLLTTREGLQQLVDPSLPAPASCDFDKLAKAAAIASMCVHVEASHRPFMGEVVQALKLITSGNGETCSGSFGGGATEDEESPWNDGRSCSWNDGDAPPRIPGAPRPMAAGYSSDPADDASARRPRSTPSAVLDKIESLAMYDWSGPLRSRARNFYRLRGSMSEHGHGHHPSDDCSVEGGYWM* >Brasy9G206600.3.p pacid=40062660 transcript=Brasy9G206600.3 locus=Brasy9G206600 ID=Brasy9G206600.3.v1.1 annot-version=v1.1 MQIQLDLGVAPYQLFPRIDELEIEIAAGQKFDRVSALLTSNRFLEKKVLIKSSIFGDYDVIYVHYPGLPSSVPNVPGSLGPVSSNENPLGANVHNKSHQKINSKIVAIIALSAVVLVLTCFGIGTICKYKGCEKAHGTGHASNSSFTRKTGMRSSFSSSASSTASFSSTIPTCPSTVKTFSISELEKATGKFSFNKIIGEGGYGRVYCGIIEDGIEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLIGICVERSMRCLVFELVPNGSVESHLHGSHKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGLEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGSENLVTWARPLLTTREGLQQLVDPSLPAPASCDFDKLAKAAAIASMCVHVEASHRPFMGEVVQALKLITSGNGETCSGSFGGGATEDEESPWNDGRSCSWNDGDAPPRIPGAPRPMAAGYSSDPADDASARRPRSTPSAVLDKIESLAMYDWSGPLRSRARNFYRLRGSMSEHGHGHHPSDDCSVEGGYWM* >Brasy9G206600.4.p pacid=40062661 transcript=Brasy9G206600.4 locus=Brasy9G206600 ID=Brasy9G206600.4.v1.1 annot-version=v1.1 MRSSFSSSASSTASFSSTIPTCPSTVKTFSISELEKATGKFSFNKIIGEGGYGRVYCGIIEDGIEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLIGICVERSMRCLVFELVPNGSVESHLHGSHKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGLEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGSENLVTWARPLLTTREGLQQLVDPSLPAPASCDFDKLAKAAAIASMCVHVEASHRPFMGEVVQALKLITSGNGETCSGSFGGGATEDEESPWNDGRSCSWNDGDAPPRIPGAPRPMAAGYSSDPADDASARRPRSTPSAVLDKIESLAMYDWSGPLRSRARNFYRLRGSMSEHGHGHHPSDDCSVEGGYWM* >Brasy9G087800.1.p pacid=40062662 transcript=Brasy9G087800.1 locus=Brasy9G087800 ID=Brasy9G087800.1.v1.1 annot-version=v1.1 MLLGRQGRADSSGWARRGSGPRAPQRWGRGSGVVGTWRKRAGGGGAGWGSSSRRGTTTRGHGWSWPATNRGGRAESSNRADESRRNLGIDELGRGRAPRRAARVGGRGAGGGGGGRAAGAVGTSQEPDRRQGRAGGREVLAGSGGRPLLGRRRGGGPAEWRGGGAIKTRGGCGSQSGCPTGRGAGRGRRTGVSVGR* >Brasy9G247000.1.p pacid=40062663 transcript=Brasy9G247000.1 locus=Brasy9G247000 ID=Brasy9G247000.1.v1.1 annot-version=v1.1 MELRLRSFASSPSPAHPRGTATSSPTPHQRPIQKKQLTCSAIAVSGRGPAARRSRWSTLMTAAYNTGTPDLVDFNWETLGFQLVPTDFMYIMKSSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSVLLFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTILANKRWLWAPLQVPPTGKGSLYIRPLLIGSGAILGVAPSPQYTFVVFVCPVGHYFKGGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVCSAQKRAKDKGHSDVLYLDPVHKKFVEEVSSCNIFIVKDNVVFTPLLMGTILPGITRRSIIEVAQNLGFQVEERLIAIDELLDADEVFCTGTAVVLSPVGCIEYQGRRVEYGNGKVGVVSQQLYSALTAIQKGFAEDSMGWNVQLN* >Brasy9G247000.2.p pacid=40062664 transcript=Brasy9G247000.2 locus=Brasy9G247000 ID=Brasy9G247000.2.v1.1 annot-version=v1.1 MELRLRSFASSPSPAHPRGTATSSPTPHQRPIQKKQLTCSAIAVSGRGPAARRSRWSTLMTAAYNTGTPDLVDFNWETLGFQLVPTDFMYIMKSSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSVLLFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPSPQYTFVVFVCPVGHYFKGGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVCSAQKRAKDKGHSDVLYLDPVHKKFVEEVSSCNIFIVKDNVVFTPLLMGTILPGITRRSIIEVAQNLGFQVEERLIAIDELLDADEVFCTGTAVVLSPVGCIEYQGRRVEYGNGKVGVVSQQLYSALTAIQKGFAEDSMGWNVQLN* >Brasy9G247000.4.p pacid=40062665 transcript=Brasy9G247000.4 locus=Brasy9G247000 ID=Brasy9G247000.4.v1.1 annot-version=v1.1 MYIMKSSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSVLLFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTILANKRWLWAPLQVPPTGKGSLYIRPLLIGSGAILGVAPSPQYTFVVFVCPVGHYFKGGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVCSAQKRAKDKGHSDVLYLDPVHKKFVEEVSSCNIFIVKDNVVFTPLLMGTILPGITRRSIIEVAQNLGFQVEERLIAIDELLDADEVFCTGTAVVLSPVGCIEYQGRRVEYGNGKVGVVSQQLYSALTAIQKGFAEDSMGWNVQLN* >Brasy9G247000.3.p pacid=40062666 transcript=Brasy9G247000.3 locus=Brasy9G247000 ID=Brasy9G247000.3.v1.1 annot-version=v1.1 MYIMKSSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSVLLFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPSPQYTFVVFVCPVGHYFKGGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVCSAQKRAKDKGHSDVLYLDPVHKKFVEEVSSCNIFIVKDNVVFTPLLMGTILPGITRRSIIEVAQNLGFQVEERLIAIDELLDADEVFCTGTAVVLSPVGCIEYQGRRVEYGNGKVGVVSQQLYSALTAIQKGFAEDSMGWNVQLN* >Brasy9G270300.1.p pacid=40062667 transcript=Brasy9G270300.1 locus=Brasy9G270300 ID=Brasy9G270300.1.v1.1 annot-version=v1.1 MINLAGSVTASKIDEIPDKRRNETKNINMSLLALGNLFEAIGGDDFLPYRRSKLTHLLQNFFVGDCKILMFVNISPEPSSIGATLSSLDFAAKVHNCKIKRQPQIPSEPTPGAALGKFLTISNCRC* >Brasy9G117000.1.p pacid=40062668 transcript=Brasy9G117000.1 locus=Brasy9G117000 ID=Brasy9G117000.1.v1.1 annot-version=v1.1 MSAADWMADCTRRSVENAARRGREKKVKERNAALARQIEAQRAANSAQMAATIAVRTAPLPPSGHYWSGGNQGSSSSSPSPFGISPVSHENHGNATSSLSRFSSDYPDTDPLGGFNPNTFAADPLGGFNPNTFASPPLRRESIMADMINDGSQHADYTYTQEEAAYAGDDAEEGAGEGWADETEEPTVAEPRGKKKAAAEKKKEDECLAEAWKVVSMDPFTGANQSTDTYWRRVKAAYDERRVVDREFAMLTHDRNESEDNDDVEFKSIHVFVRIETCDKWMETRNNLSKSGPYRKAGLSAQKAKAERDAAPATERLYTCIEKCMSDAAAQAAKREELAAKREEVAASRSATVIKKQDDKLEILKANIAAKKRREDLLILTCDITGMDTEVKAWYDGQHGLILAEATPAAPATSTPSAPSPSETATPATSTPPACSEVPSALADDERTE* >Brasy9G313100.1.p pacid=40062669 transcript=Brasy9G313100.1 locus=Brasy9G313100 ID=Brasy9G313100.1.v1.1 annot-version=v1.1 MAPTPTTTTAAAEQQQLPRKALGLAAHDASGHLSPIRISRRNTGDDDVAIKVLYCGICHSDLHTIKNDWKNAIYPVVAGHEITGLVTEVGKNAEGSFKAGDRVGVGCMVNSCRSCESCEEGSENYCPKVVFAYNSLDRDGTVTRGGYSDTVVVDARFVLRFPDALPLDAGAPLLCAGASVYAPMTRHGLAAPGMHVGVIGLGGLGHVAVKFARAFGAKVTVISTSPGKREEALDKVGADAFVLSGDPAEMKAAAGTMHGIINTACAGGAASMYQYFALLRPHGKMILVGLPEKPLQVPAFALVGGGKTLAGSFIGSVRETQEMLDFAAEHGVAADIEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD* >Brasy9G313100.5.p pacid=40062670 transcript=Brasy9G313100.5 locus=Brasy9G313100 ID=Brasy9G313100.5.v1.1 annot-version=v1.1 MRARTNLIVSYKCQRSNKNTGDDDVAIKVLYCGICHSDLHTIKNDWKNAIYPVVAGHEITGLVTEVGKNAEGSFKAGDRVGVGCMVNSCRSCESCEEGSENYCPKVVFAYNSLDRDGTVTRGGYSDTVVVDARFVLRFPDALPLDAGAPLLCAGASVYAPMTRHGLAAPGMHVGVIGLGGLGHVAVKFARAFGAKVTVISTSPGKREEALDKVGADAFVLSGDPAEMKAAAGTMHGIINTACAGGAASMYQYFALLRPHGKMILVGLPEKPLQVPAFALVGGGKTLAGSFIGSVRETQEMLDFAAEHGVAADIEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD* >Brasy9G313100.3.p pacid=40062671 transcript=Brasy9G313100.3 locus=Brasy9G313100 ID=Brasy9G313100.3.v1.1 annot-version=v1.1 MRARTNLIVSYKCQRSNKNTGDDDVAIKVLYCGICHSDLHTIKNDWKNAIYPVVAGHEITGLVTEVGKNAEGSFKAGDRVGVGCMVNSCRSCESCEEGSENYCPKVVFAYNSLDRDGTVTRGGYSDTVVVDARFVLRFPDALPLDAGAPLLCAGASVYAPMTRHGLAAPGMHVGVIGLGGLGHVAVKFARAFGAKVTVISTSPGKREEALDKVGADAFVLSGDPAEMKAAAGTMHGIINTACAGGAASMYQYFALLRPHGKMILVGLPEKPLQVPAFALVGGGKTLAGSFIGSVRETQEMLDFAAEHGVAADIEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD* >Brasy9G313100.4.p pacid=40062672 transcript=Brasy9G313100.4 locus=Brasy9G313100 ID=Brasy9G313100.4.v1.1 annot-version=v1.1 MSVCGNTLCLAAQENVVTNTGDDDVAIKVLYCGICHSDLHTIKNDWKNAIYPVVAGHEITGLVTEVGKNAEGSFKAGDRVGVGCMVNSCRSCESCEEGSENYCPKVVFAYNSLDRDGTVTRGGYSDTVVVDARFVLRFPDALPLDAGAPLLCAGASVYAPMTRHGLAAPGMHVGVIGLGGLGHVAVKFARAFGAKVTVISTSPGKREEALDKVGADAFVLSGDPAEMKAAAGTMHGIINTACAGGAASMYQYFALLRPHGKMILVGLPEKPLQVPAFALVGGGKTLAGSFIGSVRETQEMLDFAAEHGVAADIEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD* >Brasy9G313100.2.p pacid=40062673 transcript=Brasy9G313100.2 locus=Brasy9G313100 ID=Brasy9G313100.2.v1.1 annot-version=v1.1 MVNSCRSCESCEEGSENYCPKVVFAYNSLDRDGTVTRGGYSDTVVVDARFVLRFPDALPLDAGAPLLCAGASVYAPMTRHGLAAPGMHVGVIGLGGLGHVAVKFARAFGAKVTVISTSPGKREEALDKVGADAFVLSGDPAEMKAAAGTMHGIINTACAGGAASMYQYFALLRPHGKMILVGLPEKPLQVPAFALVGGGKTLAGSFIGSVRETQEMLDFAAEHGVAADIEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD* >Brasy9G203700.1.p pacid=40062674 transcript=Brasy9G203700.1 locus=Brasy9G203700 ID=Brasy9G203700.1.v1.1 annot-version=v1.1 MLSGRVPDAIFNLSLLNQMALELNMLSGTLPSHMGNTLPNLQRLFLGGNMLEGFIPDSLGNASDLQHISLAYNHGFRGRIPSSLGKLMKLRKLGLDTNNLEADDSQSWEFLDSLSNCTLLEMLSLHSNLLQGVLPNSVGNLSSNLDNLVFGRNMLYGLLPSSIGNLHRLTKLGLEGNNFTGPIDGWIGNLPNLQGLYLEENRFTGTIPTSIGNITKLTVLFLANNHFHGPIPSSLENLQQLGFLDLSYNNLQDNIPEEVFRVATIIQCALSHNSLEGQIPYISNLQQLNYLDLSSNKLPGEIPPTLPTCQQLQTIKMDQNFLSGSIPISLGSLSSLISLNLSHNNFSGSIPIALSKLQLLTQLDLSDNYLEGDVPVNGVFKNTSAISLEGNWRLCGGVLELHMPSCPTVSQRRSRWQHYLVRVLVPILGIMSLLSLVYFTLVRNKMLRTQIALPSLGERFPKVSYKDLARATDNFAESNLIGRGSCGLVYRGKLTKEHMAVAVKVFDLDMQGADRSFMSECRTLRNIRHRNLLPILTACSTIDARGHDFKALVYDYMPNGNLDTWLHPTGDRNLADRLNLSQRVEIAANIADALQYIHHDCESPIIHCDLKPSNILLDYDMAARLGDFGIARFYIKPKLAAAGDSSSVGTIALKGTIGYIAPGTPRDNTTWSSLVSNNHLFLNCLEVWLFCLTRDSKRQ* >Brasy9G026100.1.p pacid=40062675 transcript=Brasy9G026100.1 locus=Brasy9G026100 ID=Brasy9G026100.1.v1.1 annot-version=v1.1 MYSSVTVHIFFTFPASALSPSANFCDLIVATPPPLDPDAAVSDRRRAAFFPAADLMASPPHLDRDAGSDRRIPFPAPSVGISSIISPRSPFLARWNPNCALSLDLDGTVGGGSWSGWEAQGSPGGPYI* >Brasy9G141500.1.p pacid=40062676 transcript=Brasy9G141500.1 locus=Brasy9G141500 ID=Brasy9G141500.1.v1.1 annot-version=v1.1 MNVMMNDVIMRVSVGDRCQQQEAFLEELGKAVVLMSGFNLTDLFPGSPLARAIGGGTLRVAREVHGRMDSIIDDMIVDHKRAMDGEDAGDVDAGREREDILTTLLRFQRDGGITLTDDNVRGVLLDLFTAGSETSATTTVWALSELMRNPHIMAIAQSEVRRVLGGKTAVTEEDIDGRLPYIEMVIKETLRLHAPVPLLLPRVCTEPSEVMGYDVPAGATVLVNVWAIGRDDKSWTDASEFKPERFESDAADYGGKDFRFLPGGSGRRVCPGMAFGVSNVKIALASLLYHFDWKLPGGKGPEMLDMAEDAGLATRRKTPLLLEATPFVLI* >Brasy9G276700.1.p pacid=40062677 transcript=Brasy9G276700.1 locus=Brasy9G276700 ID=Brasy9G276700.1.v1.1 annot-version=v1.1 MPAPSTADDDAALPHGRRRHRPPPRTPRDKEMVAASGSRRPARASSRRYVCRCRRNLHIRDPRTSAAVGPPPRVLPLVSNPKTHLLIIFLVSPSNPSPSFPCFLLIRLVVLASPLLEFSCPVASRASGLACRRSPVAVPPTPPPQPRHGHRILLAAPMLPRGSTRRLRGRASPAALCV* >Brasy9G216800.1.p pacid=40062678 transcript=Brasy9G216800.1 locus=Brasy9G216800 ID=Brasy9G216800.1.v1.1 annot-version=v1.1 MPTKNPVSWASVIAAHAQNKRSTDALGLFSSMLRSGTVPDQFALGSTVRACAELGDIGVGRQVHAQAMKSENGSDLIVQNALVTMYSKSGLVVDGFLLFGRMREKDLISWGSIIAGFAQQGREMEALQIFREMVAEGIYHPNEFHFGSVFRACGVLGCLEYGEQIHSLSVKYRLDDNSYAGCSLSDMYARCKKLESAKRVFYGIDAPDLVSWNSIINACSVEGLLSEAMVLLSKMRDSGLRPDGITVRGLLCACVGCDAIQHGRLMHSYLFKLGLDGDVSVCNSLLSMYARCTDFSSAMDVFHETRDRDVVTWNSILTACVQHQHLEVVFKLFNLLQRSVPSLDRISLNNVLSASAELGYFEMVKQVHTYTFKVGLVNDTMLTNGLIDTYAKCGSLDDAVKLFEMMGTNCDVFSWSSLIVGYAQSGYPRKALDLFVRMRNLGVRPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEHGVLPTREHCSCVIDLLSRAGRLTEAAKFVDRMPFEPDIVMWKTLLAASKTHNDVEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGDWNEFARLKKAMRSSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYTMLELVGMEMIKAGYVPKLSCNHASFDLTGNGLSDEEETLAGYG* >Brasy9G142100.1.p pacid=40062679 transcript=Brasy9G142100.1 locus=Brasy9G142100 ID=Brasy9G142100.1.v1.1 annot-version=v1.1 MASSSADAPAAAAARKLEGKVALITGGASGIGACTARLFVQHGASVVVADVQDEAGARLCAELGAEGASSICYVRCDVTVEPDVAAAVDHAVARFGKLDVMFNNAGIGGDGCHSVRDSTKEDFERVLAVNLVGPFLGTKHAARVMAGRGGSIIQTSSLSSVRSGMASAAYTCAKRGLVGLAENAAAELGRHGIRVNCVSPAGVATPLALGYLGLDGKEFEMQMEDMANLKGVGGLRAEDIAAAVLFFASDDARYVSGHNLVVDGGISVASPVLGHPHGLISARARQSPGLDHASKIRFINK* >Brasy9G324500.1.p pacid=40062680 transcript=Brasy9G324500.1 locus=Brasy9G324500 ID=Brasy9G324500.1.v1.1 annot-version=v1.1 MYASKHRSRSYTMSNKKRLPYLLLLLLAIGAAMLSVGILHKMKERRVFSILLQEREQQLISLQVLLEKEKEISKEMRRKVDELEAKTSILSIERTELKNKVMNSETTTTYLTNTQKELEAALVEKESHINQMKEIAAASGPDQMAAIKELLQQKEAELEEIKTKFQDYKKPDTEVRDNILLSPSNENTISDTVAVEDSASSGTTAPAPTEEPHSDNTSTTSESNQQDDRTLVGTNKEEVTPDTMIQEEKVISSDSIPATAEVHSYSTTALESNHQDEKIVAGTNNEDVTPDTVMLKEKENSGVSIPAPAEELQSYNTIASASNHQEDSSSEEQFIKFTTNFDDDGAQQKTGGGSQNPDDTSEGNHSETSELHQLAGSQEVSKEEIDGKRQLEDSQGDATYRSRESKLLEKEEDKEDVRETEKEINPDSGLKTSKDSMSEANQEIAQAVEPVARPADVNPSVSTNNDDTKETTKRHRRRRSRSKRRKRTDVAANNIDGDATANP* >Brasy9G192800.1.p pacid=40062681 transcript=Brasy9G192800.1 locus=Brasy9G192800 ID=Brasy9G192800.1.v1.1 annot-version=v1.1 MLLARASPTFLPSTSSSSPTPSSQTLPPSLFFGGRIQWGSGLAAANVRRPVMAAAAAAVPAAKLEDAEALIDSVETFIFDCDGVIWKGDKLIDGVPATLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELDLAGFQHLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAVLGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNTIQPDFYTNQISDFLTLKAATV* >Brasy9G132400.1.p pacid=40062682 transcript=Brasy9G132400.1 locus=Brasy9G132400 ID=Brasy9G132400.1.v1.1 annot-version=v1.1 MEGTVVDTVRTAGIKAALMVADTAAVLEAGMAAEAGVVAAIVMSRSAVASSTVAVVGVAAMAAVLGGGGQQSMAQSVAAGAPVTQVPAVTAPLAGMAAASSSEQVLSTSCFGAATATATVVAAAAPDGAVSNPSNPLSRYRCFRCDLKGHLSTMCTAILCDYCEKADHCSADCGVLPLERMIGEMERLIPVPNFQWVFQRAWVRIGNIPDDLFNYRAIWGLGSLLGTTIEVDMPFSRQHEVGRICVDVTNKRAIPPGTDLGHKGVGYRLTFQVESSADSDMSDDNSSNDDDLDKRKDDDTRKRSRPTPSFQPTEGVTEPSSAPPAFNAGAPTAGLIFRTGRATGVGFLSPFKLLGDPSLWGSEALLSSPASMVLRSPSTVAEVAAAAPGQEAQAVCPPAVFSASSPSVQTAPASSVQVAPSAAHAATAASAVLEAEAPAVHAAVQGRRHGLHGMACSRLLSAWRTCWRHPTWQVWRSLRRGLHRLRRCCRRRRIRGLR* >Brasy9G094300.1.p pacid=40062683 transcript=Brasy9G094300.1 locus=Brasy9G094300 ID=Brasy9G094300.1.v1.1 annot-version=v1.1 MIFTLSALPFNLMSTAIQRCVSVLKPGGLVLFRDYGLYDMTMLRFSPSQRVGFREYMRADGTFSYFFSLDTMRELFHAAGLLELELEYCCVRSINRKNGKNMQRVWVHGKFQKPTS* >Brasy9G252400.1.p pacid=40062684 transcript=Brasy9G252400.1 locus=Brasy9G252400 ID=Brasy9G252400.1.v1.1 annot-version=v1.1 MGEVVLVSNGCGGERKTEERQQGQGQVLELLLAALRKSVVLPCQMVDADDPTAAWGMDIGWPTDVRHVAHVTFDRLQGFLGLPVEFQLEIPCHVPSASASVFGVSPESMQCDYDDKGNSVPKILLLMQQRLYSQHGLKAEGIFRITPENSQEEHVREQLNRGVVPDDIDIHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEQCVEVAKLLPSTQAALLSWVVELMADVVQEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILRTLREREDSEGTYSTFSSSSSLSDELDEVGREDQQDDDNDIAVEKYASDSSQSPKNMDKTVQLKVHSEQLIVSSRRHASFEFRLPYISSSNDDEDASRNDIEEGFLRRLEWQEVSKGSNFFPSSKEAEHLNSSETISGSSKATMQAALS* >Brasy9G280700.1.p pacid=40062685 transcript=Brasy9G280700.1 locus=Brasy9G280700 ID=Brasy9G280700.1.v1.1 annot-version=v1.1 MASSPPGIIGAQPTWVPYEPTRDCSQGLCSTYCPQWCYFIFPPPPPFDLAGPSPDDSSGHVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTFSSLRGRIFGSSSGSAARNAGGGGGSGQGQSRSQESWNVSPSSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFHDGESLRLLPKCSHAFHQQCVDTWLKSHSNCPLCRSNITFVSVGAVSPEPDGGDAHHQVVVVMDDLENMCEEHQDVVSSSGSNSDSNDQEARGRSEGMEETNGTTEIREEGTPPKTVCLSSSSLDLQCHNRMSIADVLQSTMEDELIAARESGLLAGGAGTSRRCRGENSHGWGRNRRSVQEAMDSAPMKRLPPSGRSCFSSKSGRGKDSDHPVRGTQL* >Brasy9G213700.1.p pacid=40062686 transcript=Brasy9G213700.1 locus=Brasy9G213700 ID=Brasy9G213700.1.v1.1 annot-version=v1.1 MQGIAKALSFHGKQLRLTVLQHMNKGIFSWATLISRIQSESPAVIIPHMGLENITVREILKAKGEAEAGAVYWCSTSHLVHEAVKHMTAHNVGALVVLKSGDEKKLAGIVTERDFARKILLPGRPSEGTRVEDIMTQEGKLITVTSNTNILRAMELMTDEHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKYITGDYY* >Brasy9G337900.1.p pacid=40062687 transcript=Brasy9G337900.1 locus=Brasy9G337900 ID=Brasy9G337900.1.v1.1 annot-version=v1.1 MCKVVLTVPSLAWLRRAVRRWRSRAAETSAGRRVPAGHVAQEGGGAAAARFVVRVTHLTHPAFMELLRDAEEEYGFPSGASGPVALPCDEARLRDVLRRVGSSDSGSGSGSLCRRGEDCHSRRPLLGAVDQKLVW* >Brasy9G340300.1.p pacid=40062688 transcript=Brasy9G340300.1 locus=Brasy9G340300 ID=Brasy9G340300.1.v1.1 annot-version=v1.1 MEGRSRGNCSSSTLHSFRAGQNSQMMRVDGLIVINAILAAIMVGIVAYGPRYRHRDFIRFLFQGATTLFLPILSYVVSNGSSIASSIGTLGQYRTYNFFLPSERRLETLQSNCYAWAHLGLVLLWASLVQIIEINTCEIVAADDREGQNIGLPMVLVMQAIWTSYLAANNAIQIDDWFIYTFLFILALVFAKMVLKYYALEKARRSFALGRNPRLIIGYMEQIQEASQHIGPLPPPLIVLGEDRQKVEKQPHGYCISRMSNKNGIIDVNNDSLVTIDKIWQLDDLLLGSLPRIKDTCFSFSLFKMLRCRFAGYTAAEVRLMETNNFFWHVLLQDSDAERLFGVFEDELSFLHDYYYSSLPISYSKSWLPILSILTSLLTIIYCLLVGLGICIFIIYAGYMQDRASYYRESFIKDGQITCFVRCNNFVETLDQTDGIDQIEVGNILFFDIVPVFVLVALVVLAEIKDSACYVCSNWTKVALICRYVQSRENEQQSSPKMQRWVSWVLSCRCRLMRHWDDKMNQCSLLVLHPQGKHQPYSSSVFSVCRTTRRRT* >Brasy9G265400.1.p pacid=40062689 transcript=Brasy9G265400.1 locus=Brasy9G265400 ID=Brasy9G265400.1.v1.1 annot-version=v1.1 MVSPAAGRRRRDRLSGLSDAVLGHMLSFLPTKEAGRAAGLSRRFRTVFCNVHTVSFSERPGERANDWYTTYCEAEERKSCSAALLDDVCAALLCRRRCAGHNIPLRALRFAFDSCHGWDWAHVDTWLAHALRHSGSAPELHLELRFSLGPFCARRLGHGGGRNSGYALPKKLFSCLALRTLCLAHCDLNLPSAYAIDLPFLETLSLTGSCGDSGLLISRCPRLVDLTLEGDDGLQKVSVLDRRLRRLALRCCHKVKSVEIDASELTSFDYSGELPMESLLSLHGLQHALSYCTLDFCKARPMASEFDRFRRLLEKLSDARHLHLHHGSLDSRFFVRIPPFPNLTRLGLQGPLRGVAAVGAVGMVLEQTPCLEVLSLFMEVAEDERRQSSTRRSYMLAGSDDEMMRDLVGDDDDDDAGRGNEGPSSVVLDESSFAAMACLRHRVKEINMVHYCGDEAQRTMARVLFGNALVLERMCVVLVKGTFEAQAKMKEEIESWVVAVDVEQIFL* >Brasy9G185600.1.p pacid=40062690 transcript=Brasy9G185600.1 locus=Brasy9G185600 ID=Brasy9G185600.1.v1.1 annot-version=v1.1 MATAVLPAATLPAPGVPAPALARQPPRRAALRDVPQLHATLLKSGALTTSPESFHSLLEAAALPAPATSSAHLSYAIRLFRLGPHPPLSARSYNILIRCFLRAGHPEEALHLFVEMLDATAVSPDQHTVANTVKSCSRICDLSVGRSVQAYALKRGFMVDQFVLNSLIHMYASCGDVVASHVLFHTVQEKAVITWNAMIAGYFKNGDWKEVVEMFKGMLEVRAPFDEITLLSVATACGRLGDDNLGQWIAEYAEEKGMLRSRNLATALVDMYAKCGELNKARRLFDRMHSRDVVAWSAMISGYTQSDRCREALAIFHEMQATEVNPNDVTMVSVLSACAVLGALETGKWVHSYIRRKDLPLTVILGTALVDFYAKCGCIKDAVNAFESMPVRNTWTWTALIKGMASNGRGREALELFSFMLEANIEPTDVTFIGVLLACSHGCLVEEGRRHFTSMTQDYGICPRIEHYGCMVDLLGRAGLIDEAYQFIRNMPIEPNAVVWRALLSACTVHKNVEIGEEALKQIVPLDPCHSGNYILLSNTYASVGQWKDAAMVRKEMKEKGVEKIPGCSLIELEGTIFEFFAEDSEHPQLREIYEKVHEMIENIKMVGYIPNTADARIDVDEYEKQVSVSHHSEKLAIAFGLMKSRPGATIRLSKNLRVCMDCHSATKLISKVYNREIIVRDRNRFHHFRDGLCSCNDYW* >Brasy9G008600.1.p pacid=40062691 transcript=Brasy9G008600.1 locus=Brasy9G008600 ID=Brasy9G008600.1.v1.1 annot-version=v1.1 MAIHVHLCSLVNDAPIVYVAVAVVSKSSPVVVVGADDDHGQSTIDLSSFDKCFAPFPVTLLLVFDHPMKNPVETIKKALSQALGAGHYHPMAGRLTADGGGIACTGEDVPFVGASASCCALDEYFSLRAASMADLAVGYPADLCRPVVDPLMLMQVTEFSCGGFVVGVTWNHVMADGAGMAQFLRAVGELARGVSSPPSVVPMRSSSLLPCLPPSTTRAVMAAEDWYEYDEPAPVAFLSNVRRLVGAEDGYYGNCLAAHAVQATSGAVASSGIGGLVKLIRRAKERIPADLHMLLKGGDHHQPAVSSNAVVRWYSTLVVTSWRNLGFEAAETGGGRPAGEGDGKDNGVNVMSLCVRPEHAHAFLAALQAS* >Brasy9G316800.1.p pacid=40062692 transcript=Brasy9G316800.1 locus=Brasy9G316800 ID=Brasy9G316800.1.v1.1 annot-version=v1.1 MGRSSRASPCDLDREFAPEIAQLLATPPLQSAKKYYDELIQSKKHDGIRVNYNSEHGKGISANKDFAEGDLILKDQMLVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYLQSIGSSISCTSERHSHGSDVGSSTGCSGVTKGKSNTLPQEVLESLITGDHSLPFSDHFALPEVVACRGCEEEHYCSQSCADSDWETYHSLLCAGSNTKPSRRSALHKFVEHANDTNDIFLVAAKAITSTILRYKKLKRQHEFQNKLDESNFSLLMEAWKPLSMGFKKRWWDCVALTEDVDACDEDSFRQELMDLAFTSLQLLKDAIYDAECAPLFSLEVYGHIVGMFELNNLDLVVASPVEDYFMHIDELPDNEKEEAEKATMPFLDALGDDYSIPCEGTAFFPLQSCMNHSCCPNAKAFKRDEDNDGHGVIIALGPISKDDEITISYIDEDLPYEERQALLADYGFTCACLKCQEEKPN* >Brasy9G186000.1.p pacid=40062693 transcript=Brasy9G186000.1 locus=Brasy9G186000 ID=Brasy9G186000.1.v1.1 annot-version=v1.1 MLCAPPQTLRPTSRPLLPLPPRCSGASGGIRRLVLNPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALTDLYRERLRPDTDVLDLMSSWVSHLPPELSFRRVVGHGLNAQELAKNPRLDYFFVSDLNRDQRLELDSASFDAVLCTVSVQYLQSPEKVFAEIYRVLKPGGVCIVSFSNRMFYEKAIGAWREGSAYSRVQLVTQYFQCVQGFTQPEVVRRLPSDAAGKADTSPLAALMRLFGQASSDPFYAVVSYRNFKPM* >Brasy9G045000.1.p pacid=40062694 transcript=Brasy9G045000.1 locus=Brasy9G045000 ID=Brasy9G045000.1.v1.1 annot-version=v1.1 MEELLLRHASHGCLSRPAAPRRLVAVALRARLSSLAVPVFPPPTPAPPALEHVLPSPPVASDAAAVLLEAGVPQDDLRRAAGMCPELMSVPVETIRAALRFLTEEAGVPAEELPRVLRRRPRLLVSPVSARQLGARASVALDQREMESVERKSRPVGRAGLRSAQGRPTGRGCIISDFFLKCILFLKINKKIVLFKKNQPHVRVSCFRTENSLYRFALNYVHT* >Brasy9G351300.1.p pacid=40062695 transcript=Brasy9G351300.1 locus=Brasy9G351300 ID=Brasy9G351300.1.v1.1 annot-version=v1.1 MAGRAAVRGCVQTALKAANSVVGLAGMAVILYALWVLRAWAKQAADLHLPAPWFIYTLLGLGIFMCLLTCSGHIAAETANFPCLSCHMIFVFLLVILEGAITADVFLNSNWEEDFPNDPSGKFDEFKNFLRSNFEICEWVGLLVVAAQALSIILAMVLRALGPEREIDYDSDDDAVPARLPLLRNQAQHGANYVEPNLSRSSDSWSVRILDKANK* >Brasy9G351300.2.p pacid=40062696 transcript=Brasy9G351300.2 locus=Brasy9G351300 ID=Brasy9G351300.2.v1.1 annot-version=v1.1 MFIYTLLGLGIFMCLLTCSGHIAAETANFPCLSCHMIFVFLLVILEGAITADVFLNSNWEEDFPNDPSGKFDEFKNFLRSNFEICEWVGLLVVAAQALSIILAMVLRALGPEREIDYDSDDDAVPARLPLLRNQAQHGANYVEPNLSRSSDSWSVRILDKANK* >Brasy9G275700.1.p pacid=40062697 transcript=Brasy9G275700.1 locus=Brasy9G275700 ID=Brasy9G275700.1.v1.1 annot-version=v1.1 MARRHGWQLPAHTLQVVAITVFFLLCIAFYAFLSPFLGKDLYQYIAIGVYSFLALSVLILYIRCTAIDPADPGILITVNGSLIYKSEANIDTQEEAGKSGLQANEEIQKHNSCLGAGWFCCAIFMKDDCRKEDEAYQQEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYMTFLCLMAVSLAWLAVECGVGIAVFVRCFTDRTAIEDQIGEKLGYGLSRAPFAVIVALGTALSMLAAVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGLSVNDDQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLGPGRLPSTVDPDSMDPAERAKQHAKRQVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINSRAQYEADRCSSDNLSCRSSVMSADTSHHIDTRSGRNVQYKSSYPPSAASGDDIELYPQTPSSFQSNSRTPTPIAEHHPSKHFNPIYQTSANRSPFSAKASGVNEAAISEANNARRFGVPNTDRSPRSSVYWDQEAGRFMSAQPNQGSSSRVGRPDLIHTGQSIFFGGPLMADPTTRSFRDPGGSSQRSAVSRPHQLPVFIPTDPPKDQVSRLP* >Brasy9G302200.1.p pacid=40062698 transcript=Brasy9G302200.1 locus=Brasy9G302200 ID=Brasy9G302200.1.v1.1 annot-version=v1.1 MAAALEFLEAQGATRPELAEWYTALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYSDKDAGINYLEGVISKLHETRESRVEEPILYVKMQIANFLLEKGDQKECKKLLDEGKNTLDSMVDVDPSVHATYYWICSQYHKVCQDYSEFYKSALLYLAYTTVESLSEPFKQNLAFDLSLAALLGDNIYNFGELLAHPIIHSLVGTAVEWIYHMLQAFNSGNLALYQELCKVHITALTAQPALVQKERELLEKINILCLMEIIFSRASEDRTIPLSTIAEQTRLSVEDVEYLLMKSLSARLIEGIIDQVDGTVHVSWVQPRVLGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLISS* >Brasy9G185400.1.p pacid=40062699 transcript=Brasy9G185400.1 locus=Brasy9G185400 ID=Brasy9G185400.1.v1.1 annot-version=v1.1 MAKKSKAKAAAAASDSGMSSPQGSVEGGAEKEGSFLLGQPTWEDAGGGRWRCAETGHELPERDKDSYARSRACRLALIDHGVAHKKPPLNAFKPHPEHKSKLICNITGDTINKSEEHIWKHVNGKRFLNKLEKLEEKMTSSETTKGEVEESKEVTKKSKSSKKKDKKKAAVVDPSLPREPQSEIDDSDDSEFWVPPVGSRWDDDDGKDRWESPPGKDDVAEDEGASDEDDDDDMADEDDDVSNELASSSTKRLSVEAVGPSSFASRKKKPRKDQ* >Brasy9G185400.2.p pacid=40062700 transcript=Brasy9G185400.2 locus=Brasy9G185400 ID=Brasy9G185400.2.v1.1 annot-version=v1.1 MAKKSKAKAAAAASDSGMSSPQGSVEGGAEKEGSFLLGQPTWEDAGGGRWRCAETGHELPERDKDSYARSRACRLALIDHGVAHKKPPLNAFKPHPEHKSKLICNITGDTINKSEEHIWKHVNGKRFLNKLEKLEEKMTSSETTKGEVEESKEVTKKSKSSKKKDKKKAAVVDPSLPREPQSEIDDSDDSEFWVPPVGSRWDDDDGKDRWESPPGKDDVAEDEGASDEDDDDDMADEDDDVSNELASSTKRLSVEAVGPSSFASRKKKPRKDQ* >Brasy9G048400.1.p pacid=40062701 transcript=Brasy9G048400.1 locus=Brasy9G048400 ID=Brasy9G048400.1.v1.1 annot-version=v1.1 MEEIETETAVGTTAKTIAAENDSLVHKQIAKIIRHQTNHHPRKGERLFPPLISPFLPPTLQQMTALRLPAPPTAARWAQPPPSPAASARLLQPPGQGSSCHRRLAARWAKGRRGDDDAEAPPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWAWRDVYNWVYKERAFNNIVISPGPGSPACPSDIGVCLRILCECGDIPILGVCLGHQALGLVHGAKIVHAPEAIHGRLSEIEHNGCYLFNRIPSGINSGFKVVRYHSLLIEASSLPEDLVSIAWTASPKMLSFLDSDQPDNTPFWGSLNNFPLIGPSECTNNGELPITLNKANKSDGYKIVMGIKHSSRPHYGVQFHPESVATHYGRQIFQNFKRITTEFGSQSSLFQERKVNSLNQCDFVPKGLLHTERVDLCNSSGALKLPERSSENKYLRLRWKRIDNFLSRTGGSEDIFSVLFGHQNAEDTFWLDSSSIDQVSNQIPIDQSSSNFTVCISSDNRARFSFMGGKGGPLWKQMTFSLSNQGANCGGTITTRGAHGSAVRNSLKDGFLEFLNKEIQSIQYNEKDFDGLPFDFHGGFVGYLGYGLKVECDASSNKAKSRTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSSHGNGDGNYSNTTHTSWLVETEKRLLRMVPTAPVPLINGKPHIRSSNLKKQNFVVEKSKDQYIKDVRSCLDYIKDGESYELCLTTRMRREIEYINALQLYLKLRKKNPAPYAAWLNFSSESLSICCSSPERFLRLDRNAILEAKPIKGTIARGRTPEEDDCLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKSVHTMVSTIRGTKKPNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNRTFDLNIVIRTIVLHGGEASVGAGGAIVALSDPEAEYEEMMLKARTPTKVVEECSLTAHNPDRSDSMQTTVS* >Brasy9G048400.2.p pacid=40062702 transcript=Brasy9G048400.2 locus=Brasy9G048400 ID=Brasy9G048400.2.v1.1 annot-version=v1.1 MEEIETETAVGTTAKTIAAENDSLVHKQIAKIIRHQTNHHPRKGERLFPPLISPFLPPTLQQMTALRLPAPPTAARWAQPPPSPAASARLLQPPGQGSSCHRRLAARWAKGRRGDDDAEAPPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWAWRDVYNWVYKERAFNNIVISPGPGSPACPSDIGVCLRILCECGDIPILGVCLGHQALGLVHGAKIVHAPEAIHGRLSEIEHNGCYLFNRIPSGINSGFKVVRYHSLLIEASSLPEDLVSIAWTASPKMLSFLDSDQPDNTPFWGSLNNFPLIGPSECTNNGELPITLNKANKSDGYKIVMGIKHSSRPHYGVQFHPESVATHYGRQIFQNFKRITTEFGSQSSLFQERKVHRIGKLERSQVNSLNQCDFVPKGLLHTERVDLCNSSGALKLPERSSENKYLRLRWKRIDNFLSRTGGSEDIFSVLFGHQNAEDTFWLDSSSIDQNRARFSFMGGKGGPLWKQMTFSLSNQGANCGGTITTRGAHGSAVRNSLKDGFLEFLNKEIQSIQYNEKDFDGLPFDFHGGFVGYLGYGLKVECDASSNKAKSRTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSSHGNGDGNYSNTTHTSWLVETEKRLLRMVPTAPVPLINGKPHIRSSNLKKQNFVVEKSKDQYIKDVRSCLDYIKDGESYELCLTTRMRREIEYINALQLYLKLRKKNPAPYAAWLNFSSESLSICCSSPERFLRLDRNAILEAKPIKGTIARGRTPEEDDCLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKSVHTMVSTIRGTKKPNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNRTFDLNIVIRTIVLHGGEASVGAGGAIVALSDPEAEYEEMMLKARTPTKVVEECSLTAHNPDRSDSMQTTVS* >Brasy9G048400.3.p pacid=40062703 transcript=Brasy9G048400.3 locus=Brasy9G048400 ID=Brasy9G048400.3.v1.1 annot-version=v1.1 METADPVDNHRFLLLAGWCPYYGRLCFPVPPVVVRNDEWAWRDVYNWVYKERAFNNIVISPGPGSPACPSDIGVCLRILCECGDIPILGVCLGHQALGLVHGAKIVHAPEAIHGRLSEIEHNGCYLFNRIPSGINSGFKVVRYHSLLIEASSLPEDLVSIAWTASPKMLSFLDSDQPDNTPFWGSLNNFPLIGPSECTNNGELPITLNKANKSDGYKIVMGIKHSSRPHYGVQFHPESVATHYGRQIFQNFKRITTEFGSQSSLFQERKVHRIGKLERSQVNSLNQCDFVPKGLLHTERVDLCNSSGALKLPERSSENKYLRLRWKRIDNFLSRTGGSEDIFSVLFGHQNAEDTFWLDSSSIDQNRARFSFMGGKGGPLWKQMTFSLSNQGANCGGTITTRGAHGSAVRNSLKDGFLEFLNKEIQSIQYNEKDFDGLPFDFHGGFVGYLGYGLKVECDASSNKAKSRTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSSHGNGDGNYSNTTHTSWLVETEKRLLRMVPTAPVPLINGKPHIRSSNLKKQNFVVEKSKDQYIKDVRSCLDYIKDGESYELCLTTRMRREIEYINALQLYLKLRKKNPAPYAAWLNFSSESLSICCSSPERFLRLDRNAILEAKPIKGTIARGRTPEEDDCLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKSVHTMVSTIRGTKKPNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNRTFDLNIVIRTIVLHGGEASVGAGGAIVALSDPEAEYEEMMLKARTPTKVVEECSLTAHNPDRSDSMQTTVS* >Brasy9G238300.1.p pacid=40062704 transcript=Brasy9G238300.1 locus=Brasy9G238300 ID=Brasy9G238300.1.v1.1 annot-version=v1.1 MEQEQDDARLQKETEKSVLAHAQRCAGDLSLAQIYTGASSVNTKTPDRRRQVCGVSSCCIGQTRDPSATAWPIGFGLADGSCRLRGWGAGLRSAWPGRRVLLGLGQADESRKLPPPVNSSLVSLSVPAPSPPPHPTVARSCGRDTIVGSTTGCEDGFSSSLPSPSLAPKAVAEPAADGGGVVVMRRNTASQRYLLISGPVEHIDEDRDRGSPHGGPLGRRQRRCRARRATTMEPGQLFISVAVGAAPARWPRPRTRARGKAASPDLRAAEGALEAREWSPCAAPAEKSGWAK* >Brasy9G135400.1.p pacid=40062705 transcript=Brasy9G135400.1 locus=Brasy9G135400 ID=Brasy9G135400.1.v1.1 annot-version=v1.1 MAPKKQPVSKQKHKPKPSAAASSSSSAAPRIEISSENERRLRRLLLNSSTAPAPLDAPVARSESREQKGRRLRGVYDKLALEGFSSAQIEQALSAIPDTATFESALDWLCFNLPGDELPLKFASATASSSRAGTEGSVKVVSAAKDNWVPQSREPVEEKDSTKGVEMKIVRRQEEDVTLDDGPSSQAAWIRQYMEQQEEEDDANSNDSSTWEDCYLASLEVVEAKPSRRKKKGKKENAKNGNTKEHISHSASHVFPNTETASVEGGEIGFGATGNKSDSPIHIDEGSNLEKGIPKEAVETCKKEVEEEEVELGNMFFEDSSAWEVVAPEILKQQKLEKLSHDGYGHLLGNIDDVWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKTSEKDSKFIYAVNVLRGSTGRGKSRKAGGLTKIELPEQDEEYVSVQEAQSRVATFALYQLFADLPLRQLLIEPYSSLVLRWQEGELELSSTSRVLDTEDSRRAGFVDMLLDMDTPGTLRYQIRDAPDGGVNIDSQNDEEHNPVDDQKEPALLNRAGLRPPEQAESTALRKQLEHKIKLPKYQKMLQARASLPIARLKKHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNKDLSDVTHVVVDEVHERTILSDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSTLFARYFGDCPVISVEGRTHPVSTHFLEDVYEKMDYCLALDSPASGAYFAQHGEKWKHTSSSVNNRRGMKNLVLSSWGDESVLSENYVNPHYTSDCYLSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPDGAVLVFLPGVAEIEMLIDRLSASVRFKGVSSDWILPLHSMLSPTDQRKVFQSPAENIRKVILATDIAETSITIDDVVYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVRPGLCFCLYTQHRFEKLMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPKEEAISSAIDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPVLSVAAFLSYKSPFISPKDEKQNVEKAKAALLNENLDGSTSVIDTKQSDHLLMVIAYNKWSRILQEHGARSAHQFCRSFYLNSTVMYMIRDMRLQYGTLLADIGLVDLPKDSLRSMSGNRKSNLESWFANMTVPFNLYARYTSVIKSVISAGLYPNVAATLEGVDPGALGGRKPSDILFGKDRPRWYDGKREVHIHPSSVNHSLKSVQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMVIQHQAGVVVIDGWLRLTAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIVHLLLEEDKAQQD* >Brasy9G135400.2.p pacid=40062706 transcript=Brasy9G135400.2 locus=Brasy9G135400 ID=Brasy9G135400.2.v1.1 annot-version=v1.1 MAPKKQPVSKQKHKPKPSAAASSSSSAAPRIEISSENERRLRRLLLNSSTAPAPLDAPVARSESREQKGRRLRGVYDKLALEGFSSAQIEQALSAIPDTATFESALDWLCFNLPGDELPLKFASATASSSRAGTEGSVKVVSAAKDNWVPQSREPVEEKDSTKGVEMKIVRRQEEDVTLDDGPSSQAAWIRQYMEQQEEEDDANSNDSSTWEDCYLASLEVVEAKPSRRKKKGKKENAKNGNTKEHISHSASHVFPNTETASVEGGEIGFGATGNKSDSPIHIDEGSNLEKGIPKEAVETCKKEVEEEEVELGNMFFEDSSAWEVVAPEILKQQKLEKLSHDGYGHLLGNIDDVWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKTSEKDSKFIYAVNVLRGSTGRGKSRKAGGLTKIELPEQDEEYVSVQEAQSRVATFALYQLFADLPLRQLLIEPYSSLVLRWQEGELELSSTSRVLDTEDSRRAGFVDMLLDMDTPGTLRYQIRDAPDGGVNIDSQNDEEHNPVDDQKEPALLNRAGLRPPEQAESTALRKQLEHKIKLPKYQKMLQARASLPIARLKKHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNKDLSDVTHVVVDEVHERTILSDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSTLFARYFGDCPVISVEGRTHPVSTHFLEDVYEKMDYCLALDSPASGAYFAQHGEKWKHTSSSVNNRRGMKNLVLSSWGDESVLSENYVNPHYTSDCYLSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPDGAVLVFLPGVAEIEMLIDRLSASVRFKGVSSDWILPLHSMLSPTDQRKVFQSPAENIRKVILATDIAETSITIDDVVYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVRPGLCFCLYTQHRFEKLMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPKEEAISSAIDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPVLSVAAFLSYKSPFISPKDEKQNVEKAKAALLNENLDGSTSVIDTKQSDHLLMVIAYNKWSRILQEHGARSAHQFCRSFYLNSTVMYMIRDMRLQYGTLLADIGLVDLPKDSLRSMSGNRKSNLESWFANMTVPFNLYARYTSVIKSVISAGLYPNVAATLEGVDPGALGGRKPSDILFGKDRPRWYDGKREVHIHPSSVNHSLKSVQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMVIQHQAGVVVIDGWLRLTAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIVHLLLEEDKAQQD* >Brasy9G135400.3.p pacid=40062707 transcript=Brasy9G135400.3 locus=Brasy9G135400 ID=Brasy9G135400.3.v1.1 annot-version=v1.1 MAPKKQPVSKQKHKPKPSAAASSSSSAAPRIEISSENERRLRRLLLNSSTAPAPLDAPVARSESREQKGRRLRGVYDKLALEGFSSAQIEQALSAIPDTATFESALDWLCFNLPGDELPLKFASATASSSRAGTEGSVKVVSAAKDNWVPQSREPVEEKDSTKGVEMKIVRRQEEDVTLDDGPSSQAAWIRQYMEQQEEEDDANSNDSSTWEDCYLASLEVVEAKPSRRKKKGKKENAKNGNTKEHISHSASHVFPNTETASVEGGEIGFGATGNKSDSPIHIDEGSNLEKGIPKEAVETCKKEVEEEEVELGNMFFEDSSAWEVVAPEILKQQKLEKLSHDGYGHLLGNIDDGDSGKMPKAVLQKFCQKLGWEAPKYSKTSEKDSKFIYAVNVLRGSTGRGKSRKAGGLTKIELPEQDEEYVSVQEAQSRVATFALYQLFADLPLRQLLIEPYSSLVLRWQEGELELSSTSRVLDTEDSRRAGFVDMLLDMDTPGTLRYQIRDAPDGGVNIDSQNDEEHNPVDDQKEPALLNRAGLRPPEQAESTALRKQLEHKIKLPKYQKMLQARASLPIARLKKHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNKDLSDVTHVVVDEVHERTILSDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSTLFARYFGDCPVISVEGRTHPVSTHFLEDVYEKMDYCLALDSPASGAYFAQHGEKWKHTSSSVNNRRGMKNLVLSSWGDESVLSENYVNPHYTSDCYLSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPDGAVLVFLPGVAEIEMLIDRLSASVRFKGVSSDWILPLHSMLSPTDQRKVFQSPAENIRKVILATDIAETSITIDDVVYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVRPGLCFCLYTQHRFEKLMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPKEEAISSAIDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPVLSVAAFLSYKSPFISPKDEKQNVEKAKAALLNENLDGSTSVIDTKQSDHLLMVIAYNKWSRILQEHGARSAHQFCRSFYLNSTVMYMIRDMRLQYGTLLADIGLVDLPKDSLRSMSGNRKSNLESWFANMTVPFNLYARYTSVIKSVISAGLYPNVAATLEGVDPGALGGRKPSDILFGKDRPRWYDGKREVHIHPSSVNHSLKSVQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMVIQHQAGVVVIDGWLRLTAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIVHLLLEEDKAQQD* >Brasy9G151000.1.p pacid=40062708 transcript=Brasy9G151000.1 locus=Brasy9G151000 ID=Brasy9G151000.1.v1.1 annot-version=v1.1 MQAHAPHTLGFRVPPPAIPRRARPRRRPATAVRAAVAPQRETDPRKRVVITGMGLVSVFGCDVDGFYDRLLAGESGVRTIDRFDPGSFPTRFAGQIRGFSSEGYIDAKSDRRMDDCIRYCIVSGRKALESAGLDRGSDAHGKIDLGRAGVLVGTGMGGLSVFSNGVQNLIEKGYRKISPFFIPYAITNMASALLATDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIVAGGTEAAIVPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHITDPRSDGLGVSSCIAKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNLSETKINSTKSMIGHCLGAAGGLEAIATIKSITNGWVHPTINQFNSEPEVDFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP* >Brasy9G098100.1.p pacid=40062709 transcript=Brasy9G098100.1 locus=Brasy9G098100 ID=Brasy9G098100.1.v1.1 annot-version=v1.1 MGTFIGMDEYRKPRYYAAIDSSPASTSAPAGRRCWTRSSTSPSASPRWASATHYPRPAVFPSRSHPGSLHELAVSATGEPPRARSRGPPRARRLFPSRSLRLPSISSLVRSRSSPGTTCGTSPWSALTRSSSTSHPSTRLLLMDPRRSFLCRSQATSPLENNEPPTSGTV* >Brasy9G011400.1.p pacid=40062710 transcript=Brasy9G011400.1 locus=Brasy9G011400 ID=Brasy9G011400.1.v1.1 annot-version=v1.1 MGTTGHGIEIMHTPRLLLLLLLAALSCLDLNCRLAAATDAPFSGSENKGVFFPPIPLVPEPPNIGGVPIPPNPVTPAPPPIVPPVFPAPSPPSILPPLVPQPPPASLVPPILPLPLLNPPPPPPPPPSILPPVPLIPPTPLIPGVPPAASSSSTSTNGRSEEP* >Brasy9G311300.1.p pacid=40062711 transcript=Brasy9G311300.1 locus=Brasy9G311300 ID=Brasy9G311300.1.v1.1 annot-version=v1.1 MYSFVSNGACRAEQGAAAARSVPERERAHKVVTLRPFDLGDFDAELTWASDPVAAAPMPRVWDPCPSRESLLAFLWLWDTPLRRPWIRTICLSAGVPGAVAVTRTDDRCRAEIGVVLARAHSSVAVAAAAMRRAVAEVFGDSGRLEGVERVEAVVDGGGSDEAPRRALEEAGFRREAVLRSYRAVEGQLRDMAIYSFISTDPLLD* >Brasy9G245400.1.p pacid=40062712 transcript=Brasy9G245400.1 locus=Brasy9G245400 ID=Brasy9G245400.1.v1.1 annot-version=v1.1 MAVDSTENSVAIVAVPFPAQGHLNQLMHLSLLLASRGLPVHYAAPPAHVRQARSRVHGWDPKALVSIHFHDLDVPTFESPAPDPAAPSPFPNHLMPLWETFTTGARAPLAALLETLSATHRRVVVVYDRLNSFAAVEAARVGKGNAEAFALQCVAISYNIGWLDPGHQLLRDHGLQFLPIDVCMSKEFVEFVFRTEKEIQEEGGAGAAPAGMVMNTSRALEGEFIDTIAAHPAFENQKLFAVGPLNPLLDASARTPGKARHECMDWLDRQPPASVLYVSFGTTSSFLGEQIAELAAALKGSKQRFIWVLREADRADIFADSGESRNHDKMLAEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAEFLCKYHKAGLLVRPWEKHNEVVPAEDIQKVIEEAMLSDNGMAVRQRAKVLGEAVRASVADGGTSSQGLDDFVAHITR* >Brasy9G114600.1.p pacid=40062713 transcript=Brasy9G114600.1 locus=Brasy9G114600 ID=Brasy9G114600.1.v1.1 annot-version=v1.1 MANYPVDPTPHLPPGTTVVAPSTHRPQRGYVVLSPHVPNIICDEWAIAILAPPMVNEDFDDALEIIRHFLADRRLPIRFSSRCGMGTALIQFLTVCDRDTAINTGLHYIDDMVLRFIPQNRGLNRREAIFTHDVWIMLMNYPLECWDIDAVTDAFVPYGKFLVWNKDMSNRARILVKIRAYDVQALPLSLVILSNTNDVGNGESWTCPLFVLSYDMLGALPADEDPLPPNGGNPHPMPMHFHDIWPEPGVIPAQPPFVHNPVNVDPDDDNVIDVEINDAPAQYVEAQPANQFADVVTPAAQVISSDTDLADPVNILQDLVSTLVANANAIIPQLLVAEVVGASCRMVDVSEEDKLKRKCFLQIKTTAKDSPSASQVVITEMDDVENLALPVLADAPIPRDRRDLRLSLRLEGAGGSLAYLLVIRTLNKPRNQILVPAQ* >Brasy9G232900.1.p pacid=40062714 transcript=Brasy9G232900.1 locus=Brasy9G232900 ID=Brasy9G232900.1.v1.1 annot-version=v1.1 MHRDRGVSTAAGGAGERKRVGEAMDRSSPSTSWGFSGGRERDRIAAGKQPEVPRSGGGSTAMSKSKLSDGESDTDSEESDLSGSDGEDTSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSGQVPYYEYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGLAAMLDKYKNYDFGRCPRVHCSGQPCLPVGQSDIPRSSNVKIYCPKCEDLYYPRSKYQSNIDGAYFGTTFPHLFLMTYPHLKPQKPSQDYVPRVFGFKIHKP* >Brasy9G172100.1.p pacid=40062715 transcript=Brasy9G172100.1 locus=Brasy9G172100 ID=Brasy9G172100.1.v1.1 annot-version=v1.1 MKIDNERPYHSNVFHELVSYGGPKVDDEVERETKNNLLADKMVEQTNPSDRNFVKAEHQNGGKTRQIRIEDISYDKDVVEINLPDALVSSDYGVHFVKDVCIDDGVVADQKASAEKVVSEKVSPNFDSSMSNRNGNLMEEIRVDSVKTAHELKSEMVTLHEACATDDTTAEQYSYCKVRELEGKNTTDDLTNLNNEKLSPEQLVSYEAARQCLQVGTEISETDESHKPFRDGEAIDEVLSTNDCRETAAKIATETSSLNGLLVESTADGFSASIPEEGVGGELDERGSNQVNHYNPFIAYGSLEDTWEPKYTLPTLVDDVSVVPICPVGKTDSFSDLLNGGALGGFDFVETAESRIGDSRLGSVGAHSSTLGVPASEESDDQRESRVEKTDSFSDLIDGAPGRFDSIGTDESRIKHTRLDSIEESSSRLDVQASEESNDQIEDLASEMRTDESPGTGISSSEPSDVKSEDHKKCENDTVEDAHDFNPRGMEDGTNVTEDNTVSKSSVLAQTESTVQQNGPDSAKLSARTGIHNPFESSFSGPSITSDPLTPSGHIGNVSLRSDSSTTSTRSFAFPVLQTEWNNSPVRMAKADRRRLRRDRGWGYRVLCCKF* >Brasy9G256000.1.p pacid=40062716 transcript=Brasy9G256000.1 locus=Brasy9G256000 ID=Brasy9G256000.1.v1.1 annot-version=v1.1 MAATTTTSHGRPRAEMCAAAVASAAEAASWWCAVALVALVLLGALRADYEEGEVVFRGARLGVGGRPCEEVYVVGEGETLHSISDKCGDAFIVERNPHVHDPDDVFPGLVLALRPTKNT* >Brasy9G166000.1.p pacid=40062717 transcript=Brasy9G166000.1 locus=Brasy9G166000 ID=Brasy9G166000.1.v1.1 annot-version=v1.1 MTRFQQLLHNFMAHFDMVETTVSQDNDKRLVVEQNIFARRAINTIACEGINREGVTGVEPASGAEAGAIRPWHCYV* >Brasy9G263800.1.p pacid=40062718 transcript=Brasy9G263800.1 locus=Brasy9G263800 ID=Brasy9G263800.1.v1.1 annot-version=v1.1 MAPLSFHVEALLPSSIAPKLDSLLHAHVYPQVAGVLRAVARLKALLLNAIDDLNNNSGGKKNRRRRRSKMAGKFFTARAARRVDLLPDARCPSYHDECSAWNAAWEELQVQVTGDGGTEAECGYLCWLEEEEKPSGAGDGEEGDGEEVGNEIDRLAEKFIARFHAKCLLEKQESYRRRHLLIATTI* >Brasy9G102300.1.p pacid=40062719 transcript=Brasy9G102300.1 locus=Brasy9G102300 ID=Brasy9G102300.1.v1.1 annot-version=v1.1 MDSHRLHALKAFDDTKAGVKGLVDAGVTAVPSIFHHPSESLLPCSAAAGVTIPVIDLAHGAAAARADLVAQVKAAAETAGFFQVVNHGVPEPAMSAMLAAVKRFNEEPAEAKRAYYTRDMARRVRFQSNFDLFQSPAANWRDTLLIDMAPEPPAPEEIPPACRAILFEYTGRVHSLARNLFELMSEALGGIGGCALDTMNFAGHYYPACPEPHLTLATPTRVLQDAVGGLQVLLDGLGKEKAWVEVPAVAGALVVNVGDYLQLVSNDRFRSVEHRVVTSSVGPRVSVACFFRSADTTVLRPIVSGDGEEARYRSATVEELATHYHAKGLDGTSALQHLGSEI* >Brasy9G123200.1.p pacid=40062720 transcript=Brasy9G123200.1 locus=Brasy9G123200 ID=Brasy9G123200.1.v1.1 annot-version=v1.1 MDSSSCLADETNSGGASTDKLKALAAAATATATAPLERMGSGASAVLDAAEPGADAARAYDVAAQRFRGRDAVTNFRPLSEAGPDPADAAELRFLASRSKAEVVDMLRKHTYFDELAQSRRAFAAAVPTVACSRHNGQLQHSSSPGPFPAAAAAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPAAAAAGGGGESCKGLLLNFEDAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSVAGDGEDSKLFIDCKLRPNTANTAAAAAFTDVPVDQSTPVAKPVRLFGVDLTIQPAPEQGAAGCKRTTRDFVKPPGPQVPFKKQCIKMALV* >Brasy9G308600.1.p pacid=40062721 transcript=Brasy9G308600.1 locus=Brasy9G308600 ID=Brasy9G308600.1.v1.1 annot-version=v1.1 MNRQVVILCLMKFGVRKELIALLRYLRLCMLFLKKPYEVFLQFGGYDQSDILIEKSKARVHIHNPQIENRKHLKVNRVLLLCSFKPAFTVVHDKIFHEGRGLCVVSGHAHCGMVAAACWIVDQAIPSLSRAV* >Brasy9G259000.1.p pacid=40062722 transcript=Brasy9G259000.1 locus=Brasy9G259000 ID=Brasy9G259000.1.v1.1 annot-version=v1.1 MSRAGSSGGGRSSLGYLFEPEEITPIHTEKAQENSGTQDGKIAADEADQEPYPAPPKREDSNRIASHRPEDSNPIVSHMPASNIYHTNQSGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGEERN* >Brasy9G259000.2.p pacid=40062723 transcript=Brasy9G259000.2 locus=Brasy9G259000 ID=Brasy9G259000.2.v1.1 annot-version=v1.1 MSRAGSSGGGRSSLGYLFEPEEITPIHTEKAQENSGTQDGKIAADEADQEPYPAPPKREDSNRIASHRPEDSNPIVSHMPASNIYHTNQSGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGEERN* >Brasy9G338500.1.p pacid=40062724 transcript=Brasy9G338500.1 locus=Brasy9G338500 ID=Brasy9G338500.1.v1.1 annot-version=v1.1 MSASAEPEKASAAATESEEKAEAQDGGSGGELLYCGATVRTMGQKAMGGGIQGNLLSPSRLRPLVGVDIRSVASGCTAFHCVALGADGRCYTWGRNEKGQLGHGDTVQRDLPTIVSELSKYKIIKASVGKNHTVVVTDDGKAFSFGHNKYGQLGTGSLRNEIETSPVPCLVTEVTAAVCGGDFTVWLSSVEGSSILSAGLPQYGQLGHGTDNEYNTKDSSVKLSYDPQPRPRAIAVLSEKTIVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKNNVLPPNAIVSAGSASCACTAGGGQLYMWGKMKNTGDDWMYPKPLMDLSGWNIRCMASGNMHHVVGADDSCISWGAAQYGELGYGPNGQKSSANPKKVDSLEGMHVTSVGCGYGMSLIIVDRAKIGDKLDQLDIYDGEASTQVEERVEAKVTKKASASTNSRSNKRKKNKDLSESEEDEDEDDSEDDENGEVKGGKGGRGRKPSNRGRGRGAKKATPEPKTSGRGRGRPKKTESPPQKAESSGRGGKRGRGRPRK* >Brasy9G353100.1.p pacid=40062725 transcript=Brasy9G353100.1 locus=Brasy9G353100 ID=Brasy9G353100.1.v1.1 annot-version=v1.1 MELKPAGQSRRCRGRTQEAGASVEGIAEEDASALTYYQRITGRFAPPSTEPAPDGDTFRHAKSMPTHIT* >Brasy9G347100.1.p pacid=40062726 transcript=Brasy9G347100.1 locus=Brasy9G347100 ID=Brasy9G347100.1.v1.1 annot-version=v1.1 MATPTVSAAAAALLHPATTASSSFSAASTRRVGPGIGLGNGSGNASVGTAAGARRGAVRARVAGAPPAVVAEGCRQESSSPPTAAAPAVEIPVTCYQVLGVTEKAEKDEIVKAASELKKSEIEDGYTDEVSNCRQALLVDVRDKLLFEQEYAGSKRAKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRADSKPYAHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRKKPSLEKMSLLEQIEESLEELAPACTLELLSLPRTPENSERRRGAIAALCELLGQGLDVESSCRVHDWPYFLSQAMDKLLATEIVDLLSWDSLATTRRNKKSLESQSQRVVVDFNCFYIAMLAHLAFGFSTRQTELISKAKTICECLVASENTDLKFEESFCSYLLGEESGATVFEKLQQLQSNGSSNSRNYGLAKKKDSSDKVTVNQSLELWLKDVALSRFADTRDCPPSLANFFGAPKRILSTSKQKLGATRMVLLSSQTSSSSSQCNRTSGPQNPRLNSSSHLGEAVKQLAPTTLGGHSSLDRPVNGSSAASVPLKRNSGSHPIRTLESWGLTGEVVGKIAYTAFLGFSLYGTLKLLRFQFGHTKPATTTRESAATLSLNEASTSEGSFISNSVRRRFENLSKMIWLNNRAHSRSEDPVANAVAATVCKESMSLQEAEALVKQWQDIKSEALGPDYQIDMLPEILDSSMLSKWQDLSLLAKDQSCYWRFVLLNLSVVRAEILLDEVAAGEVAEIDAVLEEAAELVDDSQPKKPSYYSTYEVKYVLRRQNDSSWKICEAAVQDLT* >Brasy9G201400.1.p pacid=40062727 transcript=Brasy9G201400.1 locus=Brasy9G201400 ID=Brasy9G201400.1.v1.1 annot-version=v1.1 MAGGGEGGVGRVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAVREALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILAIGSACAFFGFGTVWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNATEHSHFVFTQISSVVLGVYLMVATILGDTLKLSATITYLLFGIMIVLLLSPLAIPIKMTLYPSKPKGEKASTIVPSYSTDSLSGADQENSEPLLRGSSRTLLNGTNNSDDATDVDLLLAEGEGAVNLKKRRGPRRGDDFTFGEALVKADFWLLFIVYFCGVGTGVTALNNLAQIGIAAGANDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQVIMVATFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQHPGVLEASNCFGPDCFRVTFYVCAMVCCCGILVSVFFIARIKPVYQMLYASGSFRHPRHQQLLH* >Brasy9G019200.1.p pacid=40062728 transcript=Brasy9G019200.1 locus=Brasy9G019200 ID=Brasy9G019200.1.v1.1 annot-version=v1.1 MPDPSISLSLPLPLPLRPLAGSPTDLRALLCFVDARLAPSSQPTTKNSEREGRESERRWRLALDPIHPSRSPVAVFVGVAILARWTAGPAAPSCCCTDGSLQDEKELLLLLILYGGFLVALFLLAANVIMDNLGHRENGRQRPDQYKGLHTQWMIPQRQMKDHHSMNLLALMSERDNAIMERDHALAEKKAALAERDMAFAQRESAMVERNAAIVERDNALAALELARTNGFNVNNGNGFPPGSLSGTKNFHHHDQLSHAQSSPRQLADSPYDHSKEMHISEAYPISIASGNAGKAKRSKKNSSQASPMKRPSGVLRKTKKATGDWRDVGMSGGGDDTARESVMKNEWKDQDLGLNQVAYDESSMPAPSCSCTGKLRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNKRHARMGGRKMSGSAFTKLLSRLAGEGHDLSTSVDLKDHWAKHGTNRYITIR* >Brasy9G019200.2.p pacid=40062729 transcript=Brasy9G019200.2 locus=Brasy9G019200 ID=Brasy9G019200.2.v1.1 annot-version=v1.1 MVSLSLSSRSLSGAVPPPSLITTGGWLVVCLFVSPQQKNYVRIEGEDCIERGREGGRPHWISAADQWIRAPAAGGGFLVALFLLAANVIMDNLGHRENGRQRPDQYKGLHTQWMIPQRQMKDHHSMNLLALMSERDNAIMERDHALAEKKAALAERDMAFAQRESAMVERNAAIVERDNALAALELARTNGFNVNNGNGFPPGSLSGTKNFHHHDQLSHAQSSPRQLADSPYDHSKEMHISEAYPISIASGNAGKAKRSKKNSSQASPMKRPSGVLRKTKKATGDWRDVGMSGGGDDTARESVMKNEWKDQDLGLNQVAYDESSMPAPSCSCTGKLRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNKRHARMGGRKMSGSAFTKLLSRLAGEGHDLSTSVDLKDHWAKHGTNRYITIR* >Brasy9G019200.3.p pacid=40062730 transcript=Brasy9G019200.3 locus=Brasy9G019200 ID=Brasy9G019200.3.v1.1 annot-version=v1.1 MDNLGHRENGRQRPDQYKGLHTQWMIPQRQMKDHHSMNLLALMSERDNAIMERDHALAEKKAALAERDMAFAQRESAMVERNAAIVERDNALAALELARTNGFNVNNGNGFPPGSLSGTKNFHHHDQLSHAQSSPRQLADSPYDHSKEMHISEAYPISIASGNAGKAKRSKKNSSQASPMKRPSGVLRKTKKATGDWRDVGMSGGGDDTARESVMKNEWKDQDLGLNQVAYDESSMPAPSCSCTGKLRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNKRHARMGGRKMSGSAFTKLLSRLAGEGHDLSTSVDLKDHWAKHGTNRYITIR* >Brasy9G119400.1.p pacid=40062731 transcript=Brasy9G119400.1 locus=Brasy9G119400 ID=Brasy9G119400.1.v1.1 annot-version=v1.1 MHHPAPMPGPASLQESRIHPEPAGRIFSAPPRRHPYAAEAYEQKQIKARHILTSLATHHTHKRSRTRTRDREHRRVQHWGKLYRPSSRYPTAGSLLATPWLELELGGVE* >Brasy9G368600.1.p pacid=40062732 transcript=Brasy9G368600.1 locus=Brasy9G368600 ID=Brasy9G368600.1.v1.1 annot-version=v1.1 MAASHAALLLLLLLAVSAGTVTAAARTIRAQRGKPNTTGTASKPVLVRALVQSTCNSTTYYDVCVSALAADPSSSTADVRGLCAIAVSAAAANASATASALALSINATSSAEQQQPGVMALLRACSSKYGEAREALLEARESIVAAGQDSASAAAYYDEAFVHVSAAAEYPAVCRTLFRRKRVAYPVELARREQGLERLCTVAIDILTLLLAA* >Brasy9G205000.1.p pacid=40062733 transcript=Brasy9G205000.1 locus=Brasy9G205000 ID=Brasy9G205000.1.v1.1 annot-version=v1.1 MALCLSLSLCGSPDWSRARRFQYTEQRGGAGWGGGRTEKRRAAAAHGFGSSADVLCSTSKTGATAALAGACVRQRRGAVRPPDSGRRLRSPSAGHHRGASIPRYRRPPPSASRDRSPNPRRRRCSRACRRPHPSNPRRCGGLHARATTAAASLRAVSQLAPPASLRAPLPRPFQHAPPVEDSQRTPPPPLAFRRGAAADLQAHSSPSSL* >Brasy9G016800.1.p pacid=40062734 transcript=Brasy9G016800.1 locus=Brasy9G016800 ID=Brasy9G016800.1.v1.1 annot-version=v1.1 MDLARTSSVPPLPSRTTREKAHARTPAQISLSKSSAAIRSTRTPLLPRRHLASIPDPLPAARSGQHLTSSICSHRRLLPCPPPHLAAIGLRPPHLPPHPPSSTSRHRRHPFQEGAVASARHRFGPWEAAAGGIWPPHPQPNFLPSPDLFPPCALLPWRFPNGPKG* >Brasy9G260500.1.p pacid=40062735 transcript=Brasy9G260500.1 locus=Brasy9G260500 ID=Brasy9G260500.1.v1.1 annot-version=v1.1 MAAAIHAKPLLSDLVAQSGTVPSSHIRPVGDRPDLADVDHESGAGIPLIDLKHLDGPERRRVVEAIGSACETDGFFMVTNHGIPEAVVEGMLRVAKEFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLQSFIDQWPSNPPAFREVVGAYSTEARALALRLLEAISESLGLERRHMVTAMGGHAQHMAVNYYPPCPQPELTYGLPGHKDPNAVTLLLQDGVSGLQVQRGGRWVAVNPVPNALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVVAPAEALVGDGHPLAYRPFTYQEYYDEFWNMGLESASCLDRFRPMDY* >Brasy9G223200.1.p pacid=40062736 transcript=Brasy9G223200.1 locus=Brasy9G223200 ID=Brasy9G223200.1.v1.1 annot-version=v1.1 MATPPAATLFFSPAMTPAPRKPLVLLSLSLPVLLLLFSLVFLLSHTTFGLLLCPLLPQSPSRSANATSPPAAAATSLDASMDKTLRAFHLSSSPPSPLPLVVVKTTNSKKASTKKRNKSLVKLLLRQTPRTRRFASRAAELFAPQPPARPCARRFFMTWLSPLEQFGRREPLVLETLFRWHPDACVLIASDTMDSPDGATKLSPFAGRGFRVAALCPDLAHLLAGTPAEPWLASVRRGEVSPGSVPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFMELGARNAIGAQAVDAATGEWTRLNNAVMVFDGPGHPLLREFIAEFAATFDGSKWGHNGPYLVSRVAARLRGRMMPELGVTVLPPRAFYPVDWNRIGGLFVSPKDRKGEKWVKAKVDSIKGESFGIHLWNRESRGMEVEEGSVIGRLIADSCLFCNSSMQAYLKGKSVS* >Brasy9G177900.1.p pacid=40062737 transcript=Brasy9G177900.1 locus=Brasy9G177900 ID=Brasy9G177900.1.v1.1 annot-version=v1.1 MHINIRGLIYLKSEEAVEEGGSGGGGRGGGQWRRWAVEEVGREREGGGRRWRRWGGWGERGRWPAVEERRGSWEEGGSGGGGRGGGQWRRGAVEEGAAGMRRRWGGGGEGRGGGGEEAGRRRGGGGGDEAEAGRRRGGARRGGGGGDEAGRGEAGRGEAEAGRRRGGGGGEEAEAAGGGDEAPAGRRRGGPDPALEEADPAGAAAATAAAAAGGRRPRERERERGPARARE* >Brasy9G149800.1.p pacid=40062738 transcript=Brasy9G149800.1 locus=Brasy9G149800 ID=Brasy9G149800.1.v1.1 annot-version=v1.1 MAAPGSEQASAMQWSELPYDILDMIRSRVASPRGRVRIAAVCRPWRAAALRHPSLHAPPPLLLLSPLYGDRATKALYCLEDGAVMRLRPPRDVWGKWLVGGHDGGWIAWWVHDKVKPIVIVNLFSGVEIALSEKQRSLECKVCSPRERRASLIRKITFSEAPTSSSCILAAITSNTCKIAVCKVGCPDDDWITHGCSDKEKLADIAFCHGELYGITRYGEKLFKFDIDQLLDKDDAPTLAAHLLVSRRYDSPTRWSIGNESVIYLIQLRDKLVMAVRAQLLPPERETSFNLFQLVDNVDDGYRWVEMRSLDDHALFLGPNCSTAVHVPLGGLHGAERNTIYYSNYRPESTIESFVDVVYLATTDDDDPLYTKKNKRVSGDDIKSIGYYVRDNPCTPTWLLPPII* >Brasy9G269300.1.p pacid=40062739 transcript=Brasy9G269300.1 locus=Brasy9G269300 ID=Brasy9G269300.1.v1.1 annot-version=v1.1 MAKCSKIRNIVWLRQTLRRWRSRAAARSAAFSSSSSSSPVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEELGGFPSFHGPVALPTCDEALFEHVLRHLSSPSPAARFLTLDDLQSGAAFSPCCCAAGAADALPLLRGISSDKFVW* >Brasy9G038900.1.p pacid=40062740 transcript=Brasy9G038900.1 locus=Brasy9G038900 ID=Brasy9G038900.1.v1.1 annot-version=v1.1 MEIEGWRARTETGIGTKEAADDGVAVVWPCAEPRIILPLLPRIKLQQGRPRCRRNRKNRERRRVPCRICDTDSDVRVKLGGDDAVPHACSPLGADDAVSNEYEPPAGEAAASHVCAPLGGDAADLDEWAPLAGDAQNGR* >Brasy9G262400.1.p pacid=40062741 transcript=Brasy9G262400.1 locus=Brasy9G262400 ID=Brasy9G262400.1.v1.1 annot-version=v1.1 MEARVWGALPDDLLVEVLARVPPFLLFRLRSVSRRWGSVLRDPAFLAACAAAPSSHGPCLLTFWRGGAPPQCSVLSVPLGARYKLPMGFLPAWDLWLVGSSHGLLCFSGFEGSAFRTLVCNPLTQDWRVLPDMHYNQQRQLVLAVDRKRRSFKVIAASDVYGDKTLPTEVYDSKEDRWSVHQMMPAANLGSSKMAFCDSRLYLETLSPLGLMMYRVDAGRWEHIPAKFPRSLLDGYLVAGARKRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDADKKAWSWIAGCATQLCNSQFCFYEPRFDASIY* >Brasy9G148300.1.p pacid=40062742 transcript=Brasy9G148300.1 locus=Brasy9G148300 ID=Brasy9G148300.1.v1.1 annot-version=v1.1 MAGSFPPAESPPHAVMIPYPAQGHVTPMLKLAKLLHARGFHVTFVNNEFNHRRLQRAQGGPGTLDGAPGFRFAAIDDGLPRSDRDAQQDVPSLCRSTMTTCLPRFKALIARLNEDAAAAPPVTCVVGDSTMTFALRAARELGLRCATLWTASACGFMGYAHYKDLVQRGLFPLKDEAQLSNGYLDTVVGWIPGLPKDLRLRDLPSFVRSTDPDDVMFNFFVHETAGMAQASGVVINTFDELDAPLLDAMSRLLPAPVYTVGPLHLTVRNNVPQESPVAGIDSSLWIQEQDAPLRWLDGRAPGSVVYVNFGSITVMSHEHLLEFAWGLANTGYAFLWNVRPDLVRGDEAALPPEFATATAGRSMLTTWCPQEKVLEHEAVGVFLTHSGWNSTLESICGGVPMVCWPFFAEQQTNCRFKRTEWGIGMEVPDEVRRTEVEAMIREAMEGEKGRDMRRRVLALRDSALASAKPGGRSMRNVDRLIQDVLLA* >Brasy9G115300.1.p pacid=40062743 transcript=Brasy9G115300.1 locus=Brasy9G115300 ID=Brasy9G115300.1.v1.1 annot-version=v1.1 MDVFIHEDYVNKRNEVRREQRKQLQMLQRRQAASPPAPACGEESPGAPNRCPTPTGMSASTVGSPTSSSPAVAEEAVSSDHRCLFDCLKPY* >Brasy9G176800.1.p pacid=40062744 transcript=Brasy9G176800.1 locus=Brasy9G176800 ID=Brasy9G176800.1.v1.1 annot-version=v1.1 MGRNVSTTPLVAVARSFAWTENGRGRSAPRRDWSSHGLVDLRSHLDEVGAQIRTNALQLAGDAVDLSRRFLEHLAHGLNSVVLALAELDVDLLREAFLEQIEDVLRFDGEIIHGFCSPPLSVSSEVFVVGKLQDGGSDTRFIMDQGNAARMYKSQRTRTLQHGVGPGGQPLEEVGGGGGHPAPEPRVAEHVEPGDEHDDPERRGVKHHGGHEAERREHRRGPRVPPGQQRPHALPLRGHVPDHRLDPPGHAPQPVVPQPHHAVGLRRGERVQLLVHILGAGRVRAGEPRVHVGRAPRPAPVVPEARDAHVRERGRQDELREARERDEERVREDGRGRAPPVAHVREPPRRAGGPGQEGRDTEEVGLAPPHAPRVLQHVLHDLPAQRRLGPAQPRRHEAHGLPTERVQLGDGEGPEQEQRVRVGVHLVHFQRRQAYPHLAHPLVSDAGVVAVAGEVAVVEQGEREHGARVVDLPGVLLVPPGAARVHHGPRAHPLVPVLGHAVRVGAASAAVAVGGLHRGQALRAEDADLEHADGRAPQLPRRGASVAGVHGRLVHDVVRALQARPEVDAAGERQRMPERLQAEPLRVDRPAVELLLEDRERQHGAAHDLVLVVARLRPGLDDEHRRILERLRHHEDQLLVPRLARRAPHGAWLRPERHAADLHLGEDLHVPGRLVAGAGHTGGHHVLCLQHVYPEVPQLGSHVHLGPRALLHGHAIAAAHRRRVGMPCVCERLVDLRADPHREHGLGVRHLAVAVAGVPEAVPLRRERAVGRHAHGDLGDVERDLADVVDGVVARRRRVLVPDEHLEHRGLDALPGEGEHLVPLRDPLAVRRRAPVVSQADLDDAGAAHGDTARLDDAHVQVVHPLHEVISARKPLAGANAHPLATRARAAAAAGRPLRPHLLLRHRVLGLVRSHCRRLRVEDIRVRHDRRTRKACRFACRPPLPSPASEGIQRWHPRLAVQTS* >Brasy9G365400.1.p pacid=40062745 transcript=Brasy9G365400.1 locus=Brasy9G365400 ID=Brasy9G365400.1.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.2.p pacid=40062746 transcript=Brasy9G365400.2 locus=Brasy9G365400 ID=Brasy9G365400.2.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.5.p pacid=40062747 transcript=Brasy9G365400.5 locus=Brasy9G365400 ID=Brasy9G365400.5.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.7.p pacid=40062748 transcript=Brasy9G365400.7 locus=Brasy9G365400 ID=Brasy9G365400.7.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.6.p pacid=40062749 transcript=Brasy9G365400.6 locus=Brasy9G365400 ID=Brasy9G365400.6.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.4.p pacid=40062750 transcript=Brasy9G365400.4 locus=Brasy9G365400 ID=Brasy9G365400.4.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.8.p pacid=40062751 transcript=Brasy9G365400.8 locus=Brasy9G365400 ID=Brasy9G365400.8.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.9.p pacid=40062752 transcript=Brasy9G365400.9 locus=Brasy9G365400 ID=Brasy9G365400.9.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.10.p pacid=40062753 transcript=Brasy9G365400.10 locus=Brasy9G365400 ID=Brasy9G365400.10.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G365400.3.p pacid=40062754 transcript=Brasy9G365400.3 locus=Brasy9G365400 ID=Brasy9G365400.3.v1.1 annot-version=v1.1 MEHHQQQQQQDDQSCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGRQYSTGAGAGHDEDNFRSSSSSAEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLRGGRGAAVIGMRKTLVFYRGRAPNGRKTEWIMHEYRLQSSEHAPTPEEGWVVCRAFHKPLPNMHHHVSRQLPFVFPGADHYAAAGPAGYYINDIDARLNGHGSGDLLHYLHPAAAAASNSGAGGGFVFPGNGSGHGQLIVSEDLVESKKHLNILSSSIPQLIESPATAAIDDDDQTIAGQQQAAAGAIDWNFLDSLLSSTSSQQLIHDSSSSQSQLMMHLQQQH* >Brasy9G131700.1.p pacid=40062755 transcript=Brasy9G131700.1 locus=Brasy9G131700 ID=Brasy9G131700.1.v1.1 annot-version=v1.1 MSASPPVLSSLESDSSVLSPSSASSVNGRSPDHSEVFSGELNSEDEGGYGADLEELNDGSDEDPEFQSDLEEEEEHEYKFQADLVHPADVPYFVHVVEEVAVVGDNEVLLLDGKKPEDGTEVKDGNLSDACSSTERATRIRDGRQGVAGHPDVKRRKKE* >Brasy9G062600.1.p pacid=40062756 transcript=Brasy9G062600.1 locus=Brasy9G062600 ID=Brasy9G062600.1.v1.1 annot-version=v1.1 METASAPGPGPHVLVVPFPAQGHALPLLDLSSQLAARGLRLTVVTTPANLPLLSPLLAAYPSSVHPLTLPFPTHDSLPPGVESTKNCPPSYFPVFIHALAALHHPILAWAKSQPAAHDPVVAVVSDFFCGWTQPLAADLGVPRLVFSPSGVLGAAVPHSLLRRLVRRPPDNADDGFKVAFPGIPGEPAYQWREISMMYRMYTEGLLEPQVGEAVRQNFLWNLESWGFVSNTLRALEGRYLEAPLEDLGFRRVWAVGPLAPDKDVAGDRGGEAAVSAGDLGAWLDGFPEGSVVYVCFGSQTVLTQAVAAALAEALELSAVPFVWVVGAAGAGEEVVPEGFEARAARRGRVVRGWAPQVAVLRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMTADQFVNARLLVDEARVAVRACAGGVGVAPDARELAAVLAGAVGEDSGGARARARELAKEAASATMEGGSSREDLERLVREIRTL* >Brasy9G344700.1.p pacid=40062757 transcript=Brasy9G344700.1 locus=Brasy9G344700 ID=Brasy9G344700.1.v1.1 annot-version=v1.1 MAARRLGGAGRALLSLPNVRRRASNSWSAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSFRHMQQAKIDQRLHSIEQSLRDTHRVEHDEIKKIVTSNNISTPACIATALTTSVVGYALGWRGGAWYTRRIFRREQQKLMGQIKSHTRWHWRPFSKLRSRLRSSRNASKSSDAPPPSTHGASETPAAASSAASANASKNSQAAAGCGWLPR* >Brasy9G123600.1.p pacid=40062758 transcript=Brasy9G123600.1 locus=Brasy9G123600 ID=Brasy9G123600.1.v1.1 annot-version=v1.1 MPAPSAPIHVGVPPPYVTSHGVKVARLHMYDWIVLLLLVVIDGVLNTIEPFHRFVGSDMMTDLRYPMKKNTIPFWAVPIYGIIGPMVIITVIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGVPAYDKITTGVLCHGKASDIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDQKGHIAKLCIVLLPLLLAALVAVSRVDDYWHHWQDVCTGGILGLVVASLCYLQFFPPPCDENGLWPHAYMLHVHNPEGDRQVQPTSTDCQQSLPNRSLEDSYGFEMRTTSQALDTMEAGRRQQ* >Brasy9G123600.2.p pacid=40062759 transcript=Brasy9G123600.2 locus=Brasy9G123600 ID=Brasy9G123600.2.v1.1 annot-version=v1.1 MPAPSAPIHVGVPPPYVTSHGVKVARLHMYDWIVLLLLVVIDGVLNTIEPFHRFVGSDMMTDLRYPMKKNTIPFWAVPIYGIIGPMVIITVIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGVPAYDKITTGVLCHGKASDIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDQKGHIAKLCIVLLPLLLAALVAVSRVDDYWHHWQDVCTGGILGLVVASLCYLQFFPPPCDENGLWPHAYMLHVHNPEGDRQVQPTSTDCQQSLPNRSLEDSYGFEMRTTSQALDTMEAGRRQQ* >Brasy9G263600.1.p pacid=40062760 transcript=Brasy9G263600.1 locus=Brasy9G263600 ID=Brasy9G263600.1.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G263600.3.p pacid=40062761 transcript=Brasy9G263600.3 locus=Brasy9G263600 ID=Brasy9G263600.3.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G263600.4.p pacid=40062762 transcript=Brasy9G263600.4 locus=Brasy9G263600 ID=Brasy9G263600.4.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G263600.5.p pacid=40062763 transcript=Brasy9G263600.5 locus=Brasy9G263600 ID=Brasy9G263600.5.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G263600.2.p pacid=40062764 transcript=Brasy9G263600.2 locus=Brasy9G263600 ID=Brasy9G263600.2.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G263600.6.p pacid=40062765 transcript=Brasy9G263600.6 locus=Brasy9G263600 ID=Brasy9G263600.6.v1.1 annot-version=v1.1 MPRQPQAAAGEEAAGVRGDAGRDLHGGGDLLVLLGHHFPFAAQLVILHEEPLQLAHIRRHRVSAIVVTRPGDDSVNGATPLSVSMKYDDPSQLLPPSHAKCRNITCI* >Brasy9G270500.1.p pacid=40062766 transcript=Brasy9G270500.1 locus=Brasy9G270500 ID=Brasy9G270500.1.v1.1 annot-version=v1.1 MARPKGKKRASEAEAEAPAVRGRGRPKKSAKTEPKPPAVRGRPKKSANTEPRPPKPEAEYFPDQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMDWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLTPPEEEDDTVVNIMYPLEPPIVCDFDWEMDDMEDFIDEKVKDEVLPEDEKEKFKDFIKERVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPVKTDDTPDVSNVKAKYINRYYRHAHELL* >Brasy9G338800.1.p pacid=40062767 transcript=Brasy9G338800.1 locus=Brasy9G338800 ID=Brasy9G338800.1.v1.1 annot-version=v1.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR* >Brasy9G107200.1.p pacid=40062768 transcript=Brasy9G107200.1 locus=Brasy9G107200 ID=Brasy9G107200.1.v1.1 annot-version=v1.1 MGNLAERLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGIAKDLGDCVGFLAGTLSAMLPAWAMLLIGAVQNFLGYGWLWLIVTKQAPALPLSMMCVLIFVGTNGETYFNTTSLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLYAVLHTPDHATLVFMVAVGPSLVALGLMFVIRPVGGHRQVRPSDKNSFMFIYSICLLLASYLVGVMLVQDFLNLSDDVVTSLTVILFILLISPIAIPVTLSFFSKTEYPSPTEEALLSESLKGEASSSQEKEDQPELILSEVEEEKSKEIDSLPPSERRRRIADLQAKLVQAAARGGVRIRSRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQASGFQDAHNFVSLTSIWNFLGRVGGGYFSEIIVRERAYPRHIALALAQILMAAGHFLFAMAWPGTMYMGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNFYDYEAEKQAHRHQSSVLPSPRLLHGVGLLADGPLKCEGAVCFFVSSLIMSVFCVMGAGLSLLIVHRTKRVYSRLYRSSVR* >Brasy9G251200.1.p pacid=40062769 transcript=Brasy9G251200.1 locus=Brasy9G251200 ID=Brasy9G251200.1.v1.1 annot-version=v1.1 MAMRLENGYAHHPAPTMVRKKRTGPASPGESSGEASGASGQGSSQRTERAPQQHGGGRGWVPPQGGRGGGQHQGRGGPYQGPGGPAPPEFQQRDYQGRGHAGGGPPEYQRRDYQGRGHPGGGPPEYQPRDYQGRGGPRPRGGGMPQPYYGGHRGGSGGRNVPPGPSRTVPELHQAPHVQYQAPMVSPSASGAGSSSQPVAEVSSGQVQQQFQKLAIIDQSSTSQASQLAPASSKSVRFPLRPGKGTYGDRCVVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMAELVKLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEESLGGGQVAPRRERQFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQKLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTAQATRELSFPVDERGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILMTVHHNAYYEDPYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVSHWACINFSRNVQDSAAKGFCHELAIMCQISGMDFAPEPVLPPLTARPEHVERALKARYQDAMNIIRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPPQGGSRSTRAFGNVAVRPLPALKENVKRVMFYC* >Brasy9G251200.2.p pacid=40062770 transcript=Brasy9G251200.2 locus=Brasy9G251200 ID=Brasy9G251200.2.v1.1 annot-version=v1.1 MVRKKRTGPASPGESSGEASGASGQGSSQRTERAPQQHGGGRGWVPPQGGRGGGQHQGRGGPYQGPGGPAPPEFQQRDYQGRGHAGGGPPEYQRRDYQGRGHPGGGPPEYQPRDYQGRGGPRPRGGGMPQPYYGGHRGGSGGRNVPPGPSRTVPELHQAPHVQYQAPMVSPSASGAGSSSQPVAEVSSGQVQQQFQKLAIIDQSSTSQASQLAPASSKSVRFPLRPGKGTYGDRCVVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMAELVKLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEESLGGGQVAPRRERQFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQKLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTAQATRELSFPVDERGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILMTVHHNAYYEDPYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVSHWACINFSRNVQDSAAKGFCHELAIMCQISGMDFAPEPVLPPLTARPEHVERALKARYQDAMNIIRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPPQGGSRSTRAFGNVAVRPLPALKENVKRVMFYC* >Brasy9G303200.1.p pacid=40062771 transcript=Brasy9G303200.1 locus=Brasy9G303200 ID=Brasy9G303200.1.v1.1 annot-version=v1.1 MPWPWPSRARPLSNYGRDFSGVDKPLHAIRIRRRGLRRVYFQFCTETDGLASAADDTMSLTNVYVRDLLSTGVRNEIPTDRTEQKEWLLQIR* >Brasy9G107100.1.p pacid=40062772 transcript=Brasy9G107100.1 locus=Brasy9G107100 ID=Brasy9G107100.1.v1.1 annot-version=v1.1 MASPAARQRQELAAEGQQHLEETIAAAFQILSSMNDELCNPALWSSSATAAAAAAGSQHPHQHQSAAPPPNCADSDVSDAAGGGAAGSGGSLDEARHRYKIAVAALRASISAVAPCAQEMGSTEFKGDQAEIERLEERASALRKEIESKNKHLKLLIDQLRGLISDISMWQSPCSA* >Brasy9G116700.1.p pacid=40062773 transcript=Brasy9G116700.1 locus=Brasy9G116700 ID=Brasy9G116700.1.v1.1 annot-version=v1.1 MAAAGSGGIVGEECPLAVLGARARRATCALLRDFAKLLGADFEFVPLLRPLTSSTSLISCSSLTR* >Brasy9G086700.1.p pacid=40062774 transcript=Brasy9G086700.1 locus=Brasy9G086700 ID=Brasy9G086700.1.v1.1 annot-version=v1.1 MNAPLATVGEGVSLGVTSRTPKHQAQKARVKRPSKWMSSPYDGNSIIVTPEQDDIYKKVLLSNSKSKASNIKGFPVIEIFEKDDRGANHWYLVCLNLIAQRFEVLDSIRGKNNFCLLTHANSVVTGIKAAWKVFYSTSKVQIDGFPMEAIDVPKQGNCHDCGYFMLKFIETWDGQHVPQFRQDQMANFRKVLTHMLLSSHLNKSKNWKQVLDNNKV* >Brasy9G356100.1.p pacid=40062775 transcript=Brasy9G356100.1 locus=Brasy9G356100 ID=Brasy9G356100.1.v1.1 annot-version=v1.1 MSSSSSAAAGCESPPAVISDAPSASTATSSVSDPDASRWSSLAADLLDPIGWRVLATGDLLDYVRLRASTASPRGRGVLDPRFHPRQWRILPPDDCRRRRTRSIHETTTTKRFLNVSTGAITSTRLDPDLIAGPGDDDDHLVIDSVDGLLLVLLLPPKQQHGGGSRGLNKAPKPVRLLNPFTGDALDLPPITAATLQPGWAYQIRDPSDLPGNMATALSVSIIHTGAAAACTVTIAIRGLPHVLYAGTGDRQWGVSAWTLSPSPLPVSFQGKLYVLGGGPPSTATGRGSNGTCPIFELDPLLQAPKLMATCPLGRSRSPVLDVMPGWETLVVKGYDCGDAVVYRVADLVAGKMEPYARYPPNCFTVSPPMYYS* >Brasy9G128300.1.p pacid=40062776 transcript=Brasy9G128300.1 locus=Brasy9G128300 ID=Brasy9G128300.1.v1.1 annot-version=v1.1 MAASVLFSPSTHCRCITTATTRSRGAPFSAVLLPRCRSRRRRPRCAALVPRAAAVPPDHGLPRYETLGDAKAALYQALQGVDRGIFGITSAKRSEIHGLVELLESRNPTPDPTDKLQEKVDGCWKLVYSTISILGKRRTKLGLRDFISLGDFFQIIDVKEEKAVNVIKFSARALKILSGQLAIEASYTIATKTRVGIKLQSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKENIFVLERTGPSEV* >Brasy9G194500.1.p pacid=40062777 transcript=Brasy9G194500.1 locus=Brasy9G194500 ID=Brasy9G194500.1.v1.1 annot-version=v1.1 MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHESDDSAELLSYRQQQNYCILSEAGIKQRQEDDINRVSTVLSITKSQACALLRSYNWSVSKVHDEWFADEERVRNVVGFPEKCIEMPNDRELTCGICFESCRRASMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINSLADDEDKEKYGQYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSHGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRHKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQIYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHSTVIKSTSSKNLGGKSKSGKTRASSASSKSGSSSRGVDDSNIWTCDQCTYINPRSAKTCQACDHQHR* >Brasy9G194500.2.p pacid=40062778 transcript=Brasy9G194500.2 locus=Brasy9G194500 ID=Brasy9G194500.2.v1.1 annot-version=v1.1 MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHESDDSAELLSYRQQQNYCILSEAGIKQRQEDDINRVSTVLSITKSQACALLRSYNWSVSKVHDEWFADEERVRNVVGFPEKCIEMPNDRELTCGICFESCRRASMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINSLADDEDKEKYGQYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSHGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRHKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQEGTEVDICIWLLPPRA* >Brasy9G353400.1.p pacid=40062779 transcript=Brasy9G353400.1 locus=Brasy9G353400 ID=Brasy9G353400.1.v1.1 annot-version=v1.1 MSVLGEQNHHRKPAGAAGSAAPPLPPPARLDSWPPASVHPLAESTILKQRERERERERERNGGEGDDEAIQRRTTAAAAASKPSPRVRESI* >Brasy9G346400.1.p pacid=40062780 transcript=Brasy9G346400.1 locus=Brasy9G346400 ID=Brasy9G346400.1.v1.1 annot-version=v1.1 MDKLGPNAANSCPLTPLGFLERAATVYGDCPSVVYHGAASTWSQTRRRCLRLASALVSLGISRRDVVSVLLPNVPAMYEAHFGVPMSGAVLNSINTRLDARTVSVLLRHSGSKLVFVDPAFAALLHDALCLLPPDHPPPLIVLVEDPHENKELFPPIPASMATLTTYERLLEERGDPEFIWVRPESEWDPMVLNYTSGTTSAPKGVVHCHRAIFLVTLDSLVAWGVPERPTYLWTLPMFHANGWSFPWGMAVAGGANVCLRRVDAADVYAAIADHRVSHLCGAPVVLNMLANAPEHARRPINKVRILTAGAPPPAAVLGRTEALGFEVSHGYGLTETAGLVISCAWKGDQWNKLPASERARLKARQGVRTPGMAEVDIVDAVTGRSVPRDGATMGEIVLRGGCLTLGYFKDEEATRAAIRPGNNGAGDGEEGGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVEAVLYGHPAVDEAAVVARPDEFWGETPCAFLSLKKGVNVTAEEVVAWSRERMAGYMVPKTVVFRDELPKTSTGKVQKFVLRNLARDMGPTRRGVSGGSRSKL* >Brasy9G309800.1.p pacid=40062781 transcript=Brasy9G309800.1 locus=Brasy9G309800 ID=Brasy9G309800.1.v1.1 annot-version=v1.1 MPAAAMAVKVICGVEESRVVGTGKAPGACPCCGGPVVATDVESERRILFCLPLCLKNKRKYSCSRCLRRLVTLYT* >Brasy9G343700.1.p pacid=40062782 transcript=Brasy9G343700.1 locus=Brasy9G343700 ID=Brasy9G343700.1.v1.1 annot-version=v1.1 MAQLLLRKVWGSVLARAGAPPRGDPESSGSNSSSSRRRAPRAAEHHDHYASLGALDAVPIDVLAQILRLLGPADAARSSAVCRTWRLVASENGLWAFFLSLGPDPWDLVVFAETHLAAGPASSPPSVHCRSVRVSPQLSFKRIYGQRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMHVKSSARPIIVVLPLCHSDDTESARASRKQYKETLYTVLFDMNVPAVCAVDQALVALYAAKRTSGIVVNIGFNTTSVVPIFQGRVMHEIGIETVGQGALKLTGFLKELMQQRNIPFESLYTVRTIKEKICYAASDYEAELSKDTQASCEVDGEGWFTLSEERFKMAEILFQPQIGGTQAMGLHKAVALCMDHCYNAEVLGDHSWFKTVVLAGGSSCLPGLPERLEKELRKLLPAYISEGIRVLPPPFGTDSAWFGAKMIGNVSTFADAWCVNKKQFRQKARRTGGPSLANAWV* >Brasy9G266100.1.p pacid=40062783 transcript=Brasy9G266100.1 locus=Brasy9G266100 ID=Brasy9G266100.1.v1.1 annot-version=v1.1 MYQTSYPQHLLSGERTQLWSNREPPHFASPVEQRVVAGSPKGGARWTRAWAPEVGGEVLTAARGGAGSVRGACGLSRWPMGRRERKRQVSWGRHVFSRNSALRVEKIKK* >Brasy9G160600.1.p pacid=40062784 transcript=Brasy9G160600.1 locus=Brasy9G160600 ID=Brasy9G160600.1.v1.1 annot-version=v1.1 MSILLTASTCSAELEQGEHLLKISDYSLHRGLGVGRYIQSSSFTVGGHDWCLHYYPDGSSEDTKDDIAVVLNLMDLEFNADRARVSWTISLFDWTTKRFSSLETFTESLSNPHDVDSYAIMNRGEMEASGYVVDDRLTIKCAMTVFKEPYVFEEADSLDEVPPSDITDQLGKLLEAKEGADVTFEVQGEEFPAHKLVLAMRSPVFKAALYGRMREKDSNRIVIDNMQPAIFKVFLHFIYTDSLPAMDVDLDVNERIETNIHLLVAADKYGMERLKRMCESILCKKLDVESVATTLALADQHSCSGLKDACTRFIASCTKINDVVQSRGYIRLKRTCPDTIMEMWEKASRLRKTYSF* >Brasy9G188400.1.p pacid=40062785 transcript=Brasy9G188400.1 locus=Brasy9G188400 ID=Brasy9G188400.1.v1.1 annot-version=v1.1 MDGGGEEGQQQLHLVLAHKLFLLSHPDVDDLAKVGLRGEVLAAVKSDDMAALYESLAADGVLEMDAPLLAEMRSRIEEETRKLDEKIADAEENLGESEVREAHLAKSMYFVRVGEKEKALEQLKITEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKILFDEGGDWERKNRLKVYEGLYCMATRNFKKATSLFLDSISTFTTYELFPYDTFIFYTVLTSVITLDRVSLKQKVVDAPEILAVIGKVPHLTEFLNSLYNCQYKSFFAAFSGLMEQIKLDRYLQPHFRYFMREVRTVVYSQFLESYKSVTMEAMAASFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQSTIKQGDFLLNRIQKLSRVIDL* >Brasy9G224000.1.p pacid=40062786 transcript=Brasy9G224000.1 locus=Brasy9G224000 ID=Brasy9G224000.1.v1.1 annot-version=v1.1 MEAGRMDQVCMAAINSQPSVSDDKPMKNISGEMPAAAGSGSFDCNICLDFAADPVVTLCGHLYCWPCIYEWLQPAAESASSNNRSYARQQCPVCKATLSADSLVPLYGRGGSSKKSLDGMAIPRRPTVHRENAEHHHTQSNIDDRHHQNMEPSPLLRPLRHAHHHSSATEFDFIHPPSPMGRGLIHSTAGGVLGGMAEAVLPSAFRGQLPPSMYYTSPYYIAAHNMGPRLRRQQMEVERSLHHIWFFLFVFVVLCLLLF* >Brasy9G269500.1.p pacid=40062787 transcript=Brasy9G269500.1 locus=Brasy9G269500 ID=Brasy9G269500.1.v1.1 annot-version=v1.1 MAFSSFTWPFRRRSSGTGGPAGPSKPPAAAGKSKEEEEEEAHGVTPQLLDFLRTLSPDAFKSSALQLQGASAEAAAAAAAELTDWQQRHAVLVLAKAKELAKIRYDLCPRHMKDKQFWRVYFLLAKSYILPYELRAIQKEKVRRMEAENGKSKDVITVEVEMQESKCSRESQMLPVDSEFQNS* >Brasy9G269500.2.p pacid=40062788 transcript=Brasy9G269500.2 locus=Brasy9G269500 ID=Brasy9G269500.2.v1.1 annot-version=v1.1 MAFSSFTWPFRRRSSGTGGPAGPSKPPAAAGKSKEEEEEEAHGVTPQLLDFLRTLSPDAFKSSALQLQGASAEAAAAAAAELTDWQQRHAVLVLAKAKELAKIRYDLCPRHMKDKQFWRVYFLLAKSYILPYELRAIQKEKVRRMEAENGKSKDVITVEVEMQESKCSRESQMLPVDSEFQNS* >Brasy9G203200.1.p pacid=40062789 transcript=Brasy9G203200.1 locus=Brasy9G203200 ID=Brasy9G203200.1.v1.1 annot-version=v1.1 MAPGSKAEKKAALDAGAWMFNVVTSVGVIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYVQPSHLPLSELVKFVLFANLSIVGMNVSLMWNSVGFYQIAKLSIIPLLCIMEVLFENFRYSRDTKLSIVVVLVGVGVCTVSDVSVNAQGLMAAIVAVCGTALQQHYVNYLQRKYSLNSLKLLGHTAPAQAASLLILGPFVDFWLTRNRIDTFHYTSTVTFFIVLSCLIAVGTNLSQFICIGRFTAVTFQVIGHMKTILVLTLGFLFFGKEGLNFHVAVGMILAVVGMIWYSSASSKPGGKERQGVPSEKAQKSSQSELDDKV* >Brasy9G196800.1.p pacid=40062790 transcript=Brasy9G196800.1 locus=Brasy9G196800 ID=Brasy9G196800.1.v1.1 annot-version=v1.1 MPPGPFSFPTEPSDLPPQAISFSPPYIGCGRAVAEMDFMLLGGEHNKLLAVDQKGNSILYDPIGNNTRAMPPLASPKSMSLSLTVGGTDLYAMNRIPSWPPTANPFFHDHNFEGILFDQQQQGYDCCALPPTPCRFKDFSPSHGSILSYVVAGGGSSIWITKHEMGTYSFDTRTRLWSKVGDWSLPFLGRGEYVPEHKLWFGLSSSCHHHPSIHDIDTDLLCAVDLEMAQNQKPPVSCASWEHVVPPKEWDWSHVASYLMHLGSAKFCLARFFDSDRPPRQFAVFTGLEVERCGDQEKDQEGELRMLKHRSEVYLLPSDMVFWVL* >Brasy9G196800.2.p pacid=40062791 transcript=Brasy9G196800.2 locus=Brasy9G196800 ID=Brasy9G196800.2.v1.1 annot-version=v1.1 MPPGPFSFPTEPSDLPPQAISFSPPYIGCGRAVAEMDFMLLGGEHNKLLAVDQKGNSILYDPIGNNTRAMPPLASPKSMSLSLTVGGTDLYAMNRIPSWPPTANPFFHDHNFEGILFDQQQQGYDCCALPPTPCRFKDFSPSHGSILSYVVAGGGSSIWITKHEMGTYSFDTRTRLWSKVGDWSLPFLGRESEAAGQLCQLGACRSAQGVGLVTCGLLPHAPGLGQVLPRQVLRQ* >Brasy9G294100.1.p pacid=40062792 transcript=Brasy9G294100.1 locus=Brasy9G294100 ID=Brasy9G294100.1.v1.1 annot-version=v1.1 MSSDTAPQAHVVEDFFGVVQLRSDGSVIRGDESVLFPPEQYPEVPGVEWKDVVYNAAHGLKARVYRPSPPVLGEKEEKKLPVLVYFHGGGYCLGSYAQPSFHVFCLRAAAELPAVVLSVQYRLAPEHRLPAAIHDGADFLSWLRAQSEIRDAGADPWLAESADFARTFVSGCSAGANLAHHVTVQAAASSGIIDSSPVPFQIAGFVLLSAFFSGVQRTPAETDMSPADVSLTADMADQLWRMALPAGATRDHPLANPFGPETESPGFAAAVELPPVLVVAPGKDVLRDRVLGYAAAMRELGKDVEVARFEAEQHGFSVRRPFSDAADELMRVLRRFVYQPR* >Brasy9G078600.1.p pacid=40062793 transcript=Brasy9G078600.1 locus=Brasy9G078600 ID=Brasy9G078600.1.v1.1 annot-version=v1.1 MDSSRTTPRFDLNFPYLEGIEEAATDRKEKQRVEEAASVDDDHSQKGNPSNNTVSAETNFETVQTISSEDGTSDDEVQSTPNSQNTPQTPHPNMMFDSWQEAKLHYNSYAKHVGFSIKMSTSRNSVKDKQKDKYLFVCNKSGKNEDINQQEVPPVRRRNRSITVRTDCKDRLRVKRMGIKWHVTMFVEEHNHPLVEIFSLKRYLRSHRHIPKEQKEFIKLLKGANITTGQVMQLMAKFYGGEKNVPYTRKDVSNFAASTQDKHKYKDMGLLLSHFDEVVKEYPGFYYRLQIDHDDRVENLFLLQVARLVYSLYHDCLSFDTTYMTNMYNMPCAPFIGINRYGQSIQLGCGFLRNEKIENFQWLFRAFLDAMNGVQPTNIITDQDIAMKGAIEDVFPNSKHRNCRWHIMKKAQEKLGNFLSKREELRVELNDILDHSMTIEEYEQRWVETIEKHNVGDNEHLAGLYAIRESFVPAYYKEHFFPFLQTTGRSEGFNAVLKRYVNPHESLLNFFHQYKKLQEKIDVAEDGNEFDTEEKIIRVWSEYPLEQQALGLYTRPIYLRF* >Brasy9G117800.1.p pacid=40062794 transcript=Brasy9G117800.1 locus=Brasy9G117800 ID=Brasy9G117800.1.v1.1 annot-version=v1.1 MGISGRATAPLPLRLGFPGEAPPRSAAHPRKGGWGRKRNPPCAANSLHPSNNNPRARHHEHDPGLKVPGGDVDGMGVNGGAAKPSLAPTPQQRRRAPCDVEEEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRIIPLDDNGTTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDMSFHERIDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSARELLTPEDGSADLIRALNSRLMALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLVEAKWSDLVAEMPMKICHPALEGQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMDRPEIAARAVEVAERRISTDKWPEYYDTKRARFIGKQARLFQTWSIAGFLVAKLLLENPEKSRILWNNEDEEILNALSLMADASNSNRKRGRKVLKRTYIV* >Brasy9G297200.1.p pacid=40062795 transcript=Brasy9G297200.1 locus=Brasy9G297200 ID=Brasy9G297200.1.v1.1 annot-version=v1.1 MEAETRADKAVDAGSKSTEAATASYSSPRTGVPEMVPGQAESSPGNAPAAGDPAPATATATATTTTSTAAESGVEDEQVEKFYALLANIRAMKGLHTGGSTSSDAGEEVCGAGGGRKRERWAEPPWRPAFRMEDFEEAPAAGSASRKQRRDGASPSRRRSGKETTTDEAAGGRAG* >Brasy9G348800.1.p pacid=40062796 transcript=Brasy9G348800.1 locus=Brasy9G348800 ID=Brasy9G348800.1.v1.1 annot-version=v1.1 MASIVVIGLVLALDLLAFVLAIGAERRRSTAEVATDGAGRSYCVYGTDASTWYGVGALALLLAGQCVAMVASRCFCCGRALSPGRWRAFSGLCFILCWLAFLIAEVCLLAGSVRNAYHTKISGYFISGPPRCAMLRKGVFAAGAAFAFLDLLFLELHYVFYAKARAAAAAAPPIIHGGGGAAGGIGMTRL* >Brasy9G018200.1.p pacid=40062797 transcript=Brasy9G018200.1 locus=Brasy9G018200 ID=Brasy9G018200.1.v1.1 annot-version=v1.1 MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVASCRIDLDVIPDVDLNKLEPWDIQERCRIGTGPQSDWYLFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYSSSSSSSTGKIGMRKTLVFYKGRAPHGTKSDWIMHEYRLHDDSPNHQSSPGASSSSPMRGGDQQQEEGWVICRVFKKKNLICNNNGNNQALVPRINNNNNNNNNNNNNKQPAASPSRSCSTVTASDDRRMLQHYSAGSGDADTLDHILCYMGNGGKQESKPPPLASACSLPTMDLQYSLVNGGTLYDKFMKLPPLEHVVSSGSGAGAGILLPQQAAQYGAGAGDCWADTLAAYELNGPVLSSDDGSGAKTNGMSSPCFFVDEQHGHGGGDGDLWSLARSSVSTLHRADMAAMNNV* >Brasy9G223100.1.p pacid=40062798 transcript=Brasy9G223100.1 locus=Brasy9G223100 ID=Brasy9G223100.1.v1.1 annot-version=v1.1 MIKSVVYFGHIPIGNVDVWPKGETNLAAAAWVREIRLDRLSPPSERCPPLAVLHTVSSRCLVMESRLTVTADEPPPPLVDMHTACLRDNKTAVFPLGAEEIHLVAMKDKRNLPNHVCFWAYKLPLGLYNSCLSMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRISGMLAEIKRYQDDRSMLKQYIESDQVIDGGKVYKVQSEVVPPLADNPQPMIRPIIRVQEKSIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPDRLVCVKSGSRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQGRVHVVPAFSPYYAPQAEENFAIPVLCVARNIACNVRGGFFKEFDEGLLPRISEVHFDDELNHVPSAPDVGNYLVSEDESASILSVNKDPLAFDGMAGAEVERRLKEAGHGVQTAGPITTNVDVMSVASNQQLVTPSSIPLAPVLGMVPLNNVQGPQYQSAIVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPFPAEPSVDVQVSVPPVQSQGNSFFIEDWMDPRNLDRTSMGFHLESDSVHYDKKQPPQPSYFHGGDDHLSSDRFNYQNQRYTSQLPHSEDCRMLPNQAPTTYRSFSGEGTATQRLHSGQRSGQMESGHQFVQYTETSGGVLQEIAAKCGFKVEYQSALCDTAELRFSIEVWVLGEKVGEGMGRTRKEAQRQAADISLRNLADKFLSFDPDKMTVLKDNGFSSNPNVFRYTGSNRDNMLTPANTSDDSRYMNERIDNSSKSTGSVAALKELCTSEGYNLIFHAQPSLSDSSTGKEVHAQVEIGGQILGQGVGATWEEAKLQAADGALGTLKYMLGQLGQKRSGSPRSFPSNFGKRFKPDFQRTVQRVPSGRYARNDGRVP* >Brasy9G282500.1.p pacid=40062799 transcript=Brasy9G282500.1 locus=Brasy9G282500 ID=Brasy9G282500.1.v1.1 annot-version=v1.1 MQGGRHDALRDGFFFFLPSSSSSSPAMAAALEQLLRAWRLVRMELMAPLLRAAVALCVVMSVIVLAEKVFLGAVSSAVKLLRRRPRKLYKCDPIAEDDEDGRGSMAFPMVLVQIPMYNEREVYHLSIGAACRLTWPADRLIVQVLDDSTDDTIKELVRAECERWGKEGVNIKYETRKDRAGYKAGNLKEGMAHGYVRQGCEFVAMFDADFQPSPDFLLRTVPFLLHNPSLALVQTRWKFVNANDCLLTRMQEMYMDYHFKVEQEAGSSLCNFFGYNGTAGVWRKQAIVEPGGWEDRTTAEDMDLALRAGLRGWEFVYIGGIQVKSELPSSLKAYRSQQHRWSCGPALLLKKMFWEILAAKKVSVWKKFYMIYDFFIARRIVWTFYTLFFFSVVVPVSVFFPEVRIPVWELIYIPAAINLLTSVGTPRSFHLILPYFLFENVMALHRFKAILIGFLEAGRANEWIVTQKLGNVQKLKSVAHVTKNRRLKDRFHCHELLMGVLLLMSACYDYLYRDDYFYVFVFPQSIMYFAVGFDCMGVSVSS* >Brasy9G208100.1.p pacid=40062800 transcript=Brasy9G208100.1 locus=Brasy9G208100 ID=Brasy9G208100.1.v1.1 annot-version=v1.1 MPLGNPYPFPYRYPEKRGGTRRRRDRVVSRLGLRWSASSKERSRTFAVVSRSLRRCRHGESRSKTPTISRRSNGRLISNSRSLAQISEGEGGGDPPGGAGGPPPR* >Brasy9G048000.1.p pacid=40062801 transcript=Brasy9G048000.1 locus=Brasy9G048000 ID=Brasy9G048000.1.v1.1 annot-version=v1.1 MTAAAAAAAAAALRDAWAILSALSPASAGQLHAHALKLGFLPARLHLCSSFLKSYAASGRLASAHQLFDETPRRDIPLWNTLISLCARSRQPLHALLAVSAMVRLGSRPNNVSVTSLLPACAQLRCLIRGRELHAYAVRNLPVLDLPVLNALVSMYGRCGRLAEASMVFASTGNMKSVVSWTSMITACCENGRPEEALELFDEARLASVMVDEVTLLAVISACTKLDCVSNLGEWVEEYSLHNGFLENTRVANALIHMHGKTGRLKRSCEIFDSMRVRTAVSWTAMIQALAVHGHGIAALVRFSQMLRQGFQPDEVVFLSVISACAHSKLVSEGRQLFKSMVQEYCITPWMEHYGSMVDLLCRSGMLDEAFEFVLAMPVKPDPVIWRVLTGACRDHGNASLGMKVIGHLIDVEPNHGGNYLLASNLYAANENWGRVVDLRVEMGVRKETSRRTTAVSYVEVKRDENTESLCSDTRHW* >Brasy9G003700.1.p pacid=40062802 transcript=Brasy9G003700.1 locus=Brasy9G003700 ID=Brasy9G003700.1.v1.1 annot-version=v1.1 MRTSSSSSFFLLIAVAGVMIYGATGCGEAFKQEPGIPEHLSLGAWEPILNLNDPSIRELGSWAVAQYGMHANCRLKFNKVVSGRKQLVAGVTYELFIDASPELVAAGGGGRGSTFKAVVYEKAGANSRKLVSFAKANL* >Brasy9G070300.1.p pacid=40062803 transcript=Brasy9G070300.1 locus=Brasy9G070300 ID=Brasy9G070300.1.v1.1 annot-version=v1.1 MIRTRIRRLGVLAMDRMSILLRLRLPKTMTRTSIRRLVVLWLSSPTETCIYVESEATQ* >Brasy9G097400.1.p pacid=40062804 transcript=Brasy9G097400.1 locus=Brasy9G097400 ID=Brasy9G097400.1.v1.1 annot-version=v1.1 MSPKSLWSREICSWVYLVLMSLYVLLHTIQIVSSAILDTVNTFPTWQGTEFCIREVSFGSLVDSALVVVYVVSIVCMVELLGFKPWPSWELHILTMALALAVFVLRPWPPPILHGIFLSALIQWPSENSFLRTTEIMQLKIAWVLTLPYFSVEYKDGPTLNRFCILGTILLAQTLNNLQSREPPGYTQWEIAWLSVAFKGDTLSLLEAELLDVCSLHFPWEIAWILVGLPCGCSDFGGSGHYTVMRWIIAWIFSLVLLEILLESGVQSCTTYSWEFLLVQLKLGPSCKLFLHTVVLMRANGNSMMMLWHTGHATFMVFPPMSYRADIYALTWE* >Brasy9G136700.1.p pacid=40062805 transcript=Brasy9G136700.1 locus=Brasy9G136700 ID=Brasy9G136700.1.v1.1 annot-version=v1.1 MADGSGEEVVPTGQMPRVSASLSRRSDRGQKKEEEPRSRIGRGRMFDTTTVRRKYRPKIPTFPVVCPETEGGGWGGGEGEGEEEEEGKTNGTTEQGEAGPSKRIEDKLQLIPFQDPEEYMYEYYSMNQELTKEEMEEMATEERVYARYFGAQKHSLLSCSLETNTTLSPMHFTHCTPGIKIKDDHTATTGASLQIFSFKIAEIKANLKWPLYVYGVVGARDKVDYNRNILFNRTRDNAQKVTEDDPFLHLTGPARAILAKLVVEVELRVKGRAKYRDRVLINDIYPYSDNSNGPYTVPFWNCLCRCELGLEQLGKSVQATILGVRIIKGLPCTFKYGGRVYFSSPAREVVLVDDQGTAEVFDPVSTRVVLLDSRYRDDGKMPMGSDGYLDLTRHVVCVELQESDVLYPEKLEKTFKVVIEAYSESGNGNVAAQGHVKLAPKLCNISHAVCDLGDSEVEITVAWSVHVASKEKL* >Brasy9G044900.1.p pacid=40062806 transcript=Brasy9G044900.1 locus=Brasy9G044900 ID=Brasy9G044900.1.v1.1 annot-version=v1.1 MEEQGRASNKIRDIVRLQQLLKKWKRLAVAPGGGGKGRSGGGGGGSVPKGSFAVYEMRRFVIPTEYLGHWAFEELLREAEEEFGFRHQGALRIPCDVDSFEGILRLVAAGKKDAAAAAMCDCDCSSETEILCR* >Brasy9G096600.1.p pacid=40062807 transcript=Brasy9G096600.1 locus=Brasy9G096600 ID=Brasy9G096600.1.v1.1 annot-version=v1.1 MDERPFPSAGRGEPAKEEMRESTTQRRAAARRIGQGAGAGTQEGESGVPCGASDRAAERSMAMERRAGAGESKRRRGQSASDRRPTIAHKATNQRTTPAPEGTRTKSTDLRPPAHALSKAPESHRTCSETKLHRCHRPPKSLPSAPNRSLSPRSKHSPSLTSSPIPPPSPRVRRRLPSEPPAPLQPSRPFPVLPLPAPHPSQHHRRIHNAATSLALLRRHAPLPSTAPESMPALEGFNCMEEMDRRRWKCVVDEATRKGKGAGAGLSSHWGPPSGPFRSSRRYPPPR* >Brasy9G219000.1.p pacid=40062808 transcript=Brasy9G219000.1 locus=Brasy9G219000 ID=Brasy9G219000.1.v1.1 annot-version=v1.1 NILGEPASLFGNGQYVDIKHFKGKKKKSLQLRSATNRHRLRERLFALSPSNLMSKIPDEPAAQLVAL* >Brasy9G245100.1.p pacid=40062809 transcript=Brasy9G245100.1 locus=Brasy9G245100 ID=Brasy9G245100.1.v1.1 annot-version=v1.1 MRAPAVMAPSPEKKTMKRRGRPPKSGGKSQLSLLGGCSPGNAFAPHVLHINQGEDIASKIMSFSEMHAKSICILSANGTVSTVTLCLSSHSNGLDNAVYQGHFEIISLKGSYLLSDEGDSGNHGGGLSIVVSTPCGTIFGGSIGGPLIAADPVQVIAGSFNYMVTEEKKEPKTSESQLTELKVPWELDSEPYEPFSPLPHFGWSRIEDVKFERHGFDLTNG* >Brasy9G245100.2.p pacid=40062810 transcript=Brasy9G245100.2 locus=Brasy9G245100 ID=Brasy9G245100.2.v1.1 annot-version=v1.1 MELQLDIASKIMSFSEMHAKSICILSANGTVSTVTLCLSSHSNGLDNAVYQGHFEIISLKGSYLLSDEGDSGNHGGGLSIVVSTPCGTIFGGSIGGPLIAADPVQVIAGSFNYMVTEEKKEPKTSESQLTELKVPWELDSEPYEPFSPLPHFGWSRIEDVKFERHGFDLTNG* >Brasy9G024700.1.p pacid=40062811 transcript=Brasy9G024700.1 locus=Brasy9G024700 ID=Brasy9G024700.1.v1.1 annot-version=v1.1 MEVAISAVAGELVSRFISFLMNKYNSSLSHAQSEEGKVVERLQHLLLRAVAIVEEADARYITNSGMMMQLKMLSERIRMAKRNLSIYGVHPSMLIEQGHPVDITDLALHPLSMKGHNINVPIKERLPSVTFGELLTDPSVRPKEDFILVSWESRIPPHNSFAHLVTSCAQDTHEGSNALLGRKRQGVPI* >Brasy9G346000.1.p pacid=40062812 transcript=Brasy9G346000.1 locus=Brasy9G346000 ID=Brasy9G346000.1.v1.1 annot-version=v1.1 MGEEQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVFKCGWCGAITQCNQTSRKPDSVCFSRWRRFRDGFFVTVLLLFMLFVICGGVWAVYPVVFSVSMFCGIFHCTVTALFAVFTIASYGLASFKSAGAPASIRWGSYPMIGKNDLENYTFCTYCSKPKPPRVHHCRSCKMCVVDMDHHCPFIGNCVGASNHRAFVIFLISVVVSCSYAAIMTIYASYHVWPTLDFQNLSSSRHSMSYMKVLMEFITILASSVFFLPARGIILVYLSFASLSVNAGIAVLLCQQLSYIYEGNTYLNRLSSPNVVHGERGLQNLVRFFGCPYPLSRVFLGYLNTGKSQDNSGSKLL* >Brasy9G346000.3.p pacid=40062813 transcript=Brasy9G346000.3 locus=Brasy9G346000 ID=Brasy9G346000.3.v1.1 annot-version=v1.1 MLFVICGGVWAVYPVVFSVSMFCGIFHCTVTALFAVFTIASYGLASFKSAGAPASIRWGSYPMIGKNDLENYTFCTYCSKPKPPRVHHCRSCKMCVVDMDHHCPFIGNCVGASNHRAFVIFLISVVVSCSYAAIMTIYASYHVWPTLDFQNLSSSRHSMSYMKVLMEFITILASSVFFLPARGIILVYLSFASLSVNAGIAVLLCQQLSYIYEGNTYLNRLSSPNVVHGERGLQNLVRFFGCPYPLSRVFLGYLNTGKSQDNSGSKLL* >Brasy9G346000.2.p pacid=40062814 transcript=Brasy9G346000.2 locus=Brasy9G346000 ID=Brasy9G346000.2.v1.1 annot-version=v1.1 MGEEQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVFKCGWCGAITQCNQTSRKPDSVCFSRWRRFRDGFFVTVLLLFMLFVICGGVWAVYPVVFSVSMFCGIFHCTVTALFAVFTIASYGLASFKSAGAPASIRWGSYPMIGKNDLENYTFCTYCSKPKPPRVHHCRSCKMCVVDMDHHCPFIGNCVGASNHRAFVIFLISVVVSCSYAAIMTIYASYHFCILLASKGYNSGISFICQSIS* >Brasy9G029000.1.p pacid=40062815 transcript=Brasy9G029000.1 locus=Brasy9G029000 ID=Brasy9G029000.1.v1.1 annot-version=v1.1 MVLLRSDRTDSLFTPRAVWVTGPIIIGAGPSGLAVAASLREQGVPFVVLERESCIASLWQNRTYDRLKLHLPKRFCQLPRMPFPDSYPEYPDRAQFISYLETYAATFDIKPEFGVTVQSAKYDEDTGLWRVLASPASGKSTVEYIGRWLVVATGENAENVVPDIPGLESFSGEITHVSDYKSGATYRGKKVLVVGCGNSGMEVSLDLCDHGASPSMVVRDAVHVLPREFLGKSTFELATFLMEWCVPLWFVDKVMVFLSWLVLGNLARFGIRRPAVGPLTLKNTHGRTPVLDTGAMARIKSGDITVVPGVARFTKAGAELTDGTEIDVDAVVMATGYKSNVPRWLESGLFGKDGYPTTAFPNGWKLGESGLYSVGFTRRGLSGASADAVRIAGDIGKVWKEENKPTQRAAGAGHRRSISVIF* >Brasy9G173200.1.p pacid=40062816 transcript=Brasy9G173200.1 locus=Brasy9G173200 ID=Brasy9G173200.1.v1.1 annot-version=v1.1 MDMLEVVGDGDVDPVCLVNSLRCHKKLGHVLIVKVEDMKKDNIKIITAPDTKTPPAAAVPVCPPNCYPVCVCPAPPHCYPAVCPQKYAVACCDKPEYSVCAIM* >Brasy9G178500.1.p pacid=40062817 transcript=Brasy9G178500.1 locus=Brasy9G178500 ID=Brasy9G178500.1.v1.1 annot-version=v1.1 MASCILFRCYSLMFASRWTIVIGIVTPLPLLTRRQLILDVLEMLLSMRVDNALSWFLWITRFVRPDHPSINTILCFSLRFVFLFCINTLFLGSVPLYDDCLISYHFVLGVVDGKL* >Brasy9G137100.1.p pacid=40062818 transcript=Brasy9G137100.1 locus=Brasy9G137100 ID=Brasy9G137100.1.v1.1 annot-version=v1.1 MMALSSGFVCSRADSVLSPPSYTPGTPSSLAESYEVYTPSSPSLRAGSPFYTPLSPSLRAGSPDYTPSSPSRRAGSPFYTPPSPSLRAGSPDYTPSSPSLRTGSPYYTPPSPSPRPVSPDYTPTTPSRAASPDYAPLTPSEQAASPYYTPSTPSPRRAASPDYTPSTPPPPSFLVSDAESRTSPYYTPSTPDYTPSTPPPSPLASDAESRTSPAAPRRHHPYQRSGASSRISSGRHQRALGY* >Brasy9G086300.1.p pacid=40062819 transcript=Brasy9G086300.1 locus=Brasy9G086300 ID=Brasy9G086300.1.v1.1 annot-version=v1.1 MFLAVRSIQPHRTARRPPFPVLSFLGRVPSAWLRPAPLDAWSSVLEGRWFATDSTAASTAQNRDTPRESEPSKTRNRNSHPRGTRKRTRGDQSGPSTARRRAPGGSNRGRPSSPVTCPSPNSAHSREQQRTGGVPKAGKFIILGRTAPISPRLASPPRDIRPALHTLAARLRQRRRKIQLPARHSRSRGLVDPSASRGAPPPPRYLCPPLSSAPLSRPQIRRRSACAPGGEASRSLARSPQQLLLLCFVRA* >Brasy9G009900.1.p pacid=40062820 transcript=Brasy9G009900.1 locus=Brasy9G009900 ID=Brasy9G009900.1.v1.1 annot-version=v1.1 MKVAARSFRGQAIHPPPAQPPQVLPQLRRRQACSSSLRRPIAHDLPRPDRHGALPLPEPASRPRSAGIRRIPGGFHVRRPLLLSSRRRPGVCAGNGRASASGVDATLSGSWGGPPTVSPACPCGPPRYAGSTGVLPRPSSSLGFYDSVEPHVPTCGEL* >Brasy9G352200.1.p pacid=40062821 transcript=Brasy9G352200.1 locus=Brasy9G352200 ID=Brasy9G352200.1.v1.1 annot-version=v1.1 MTSLPERGAAPPSDPPPPSPSPSRREEGDATASVASSSASEDSGSKHCKGSILSSVFTIFEAQQDSSSSSVAAAAACENKSPGHSSGPSYGGAWSRALRRFVAGGSMWRFLGCAKVLTNGDVWFLGKCYKFSSEESSSDLDPDSGHAAFLEDFSSRIWVTYRKGFDAISDSKFTSDVNWGCMVRSSQMLVAQALMFHHLGRSWRKPSQKPCNPEYIRILHLFGDSEVCAFSVHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLIRTNREQPEVSNGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCYDFNNDQSAWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHDVQTAVNIASDNLDADTSSYHCSTVRDMALDLLDPSLAIGFYCRDKDDFDDFCSRASELVVKANGAPLFTVVQSIQPSKQMYNQDDGSGSSGDGMADNINTEDLDGSGEAGEEEWQIL* >Brasy9G279700.1.p pacid=40062822 transcript=Brasy9G279700.1 locus=Brasy9G279700 ID=Brasy9G279700.1.v1.1 annot-version=v1.1 MGGETKVETFEEKTAVYKVHVHCGQCARDIETQFTEFHGVQEVKLDAGSGKVTVRGVGFDVEKLRVKVSNGCRKHVEYIPPREDIITEIKTKEEELTIITVKVHLHCPDCAVRVREILLEHKHIYAAKTDFGKNQCVVEGVIEEAKLTEYIYQRTRKQCTIVKVEKKTRIVEEKIEVKKTKEVEVVKVVEEVAEAVEEAVKEVVAPYFIPCTHPHFVDYSHPRRRGGCSPCGGGYGGYGGYGGGYGDGFGGGGYGDGCGGGGGYGSYTHSELRGYQDTSFLHCSHPVEFLSYEDPNACSVM* >Brasy9G033500.1.p pacid=40062823 transcript=Brasy9G033500.1 locus=Brasy9G033500 ID=Brasy9G033500.1.v1.1 annot-version=v1.1 MAVPHGATRRLVPGGSRARPGAGPHHQKRGVAEALGPRSFSLHTQQQHGSTQRPPLSAAIPPPPLRSGLWPAAPIPRAPPAPSREALREAAAFLRSTGSALPPIPPPHAARLGRFSSGSWLFLAGSRTLDPLLLSRENLSSRPRRLRIQSLLLVWFLPISMEAHGKDKAEEGGRANARDLKILEARGRMKKRRG* >Brasy9G186700.1.p pacid=40062824 transcript=Brasy9G186700.1 locus=Brasy9G186700 ID=Brasy9G186700.1.v1.1 annot-version=v1.1 SGHTSPPPDNPGREIVRPAFIKPAVQDLILHHHRLPSTNQPLKSPPSTIHHQQQQIAFMSSSGVDGAANPALGPDTDAPAGEGLSLAQFAAGCFWSVELAYQRLPGVARTEVGYSQGHVDAPTYRGVCGGGTGHAEAVRVHYDPKECPYAVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKEARESLEEKQREWKEQIVTELLPAKKFYPAEDYHQQYLEKGGQSAKKRCSDPIRCYG* >Brasy9G124100.1.p pacid=40062825 transcript=Brasy9G124100.1 locus=Brasy9G124100 ID=Brasy9G124100.1.v1.1 annot-version=v1.1 MEAAMDSGSVRATMSIFGESISGRKAEKNRGHVLVQENLSSEMKQLAKSGMDKLKERKASVDKERAGAESELSRARAMAKELERQIDQTKARATSQRSELQGMAATRTGKKKDAEESLSPDAEYVAVTQELDRARRELRKLKLELKSAAEAKAKAESDVVSTVCKIQSSLQAADEMRRRVDEANEEHVLVELARIEAERERRELAAQRDAEAARFAREMEATRAKAESARDELSRARALAAKLEATNADVEVLQGEMELVRAMEKNPVSPKNNDETAEERALLQASEAELSAAKKELDNIRAGGFQFMASMDRTRAEIARVVEEANRLKAQEKDADAQVQQLNAKLLKARAQMEAITAAGERSKAIMSNLTAAMQQLHAETEAASKEEELTLLEKRCVVAETESAAAEIAAAEERIRQSVKALEAAKACEAAAMKKLKAAAESTMQARAVLTRPRSHGGTITVSRFEYEYLSGRAALVRVVADKKVAAAQAWVQALKAGEKEAAMRAEAAEREMREMGPREAQAALEAEKTAREQVALEQELYDLNLTAESMGELQCAYPRRRSATGRRSKARRSSVSAASWNPKSPSFTIKRKKKVMPSLLKLIKEKRGGSGKSTD* >Brasy9G220000.1.p pacid=40062826 transcript=Brasy9G220000.1 locus=Brasy9G220000 ID=Brasy9G220000.1.v1.1 annot-version=v1.1 MAPPSAAAASSASHEGDSVESGNLLRRRNARSNADAAEEQEEASVERAFADKPVPTWREQLTVRAFVVGFLVSIMFSVIVMKLSLTTGIIPSLNVSASLLGFFLVRLWTSAIEKMGLLKQPFTRQENTVIQTCVVSAYGIAFTGGFGSFLFAMSGNIAKQATEANDALNIKNPELGWIMGFLFLVSFVGLFALVPMRKTMIVDYKLTYPSGTATAYLINGFHTPQGAELAKKQVRTLGKFFSFSFVWAFFQWFYTAGDNCGFSSFPTLGLEAFKNRFYFDFSATYVGVGMICPYIVNASLLIGGVVSWGLMWPLISTKKGSWYPDSLPDSSLHGLQAYKVFITIAVILGDGLYNFLKVFGRTIIALIEMYKKKNSKALPVSDNCTPDATVEAESFDDKRRTELFLKDQIPKTVALGGYVVVAAITIICLPLIIPQLKWYYILVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLGIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYSIMYRNMAILGVDGLSVLPKHCLTLCYIFFAAAFATNLIKDLVPNKVSKFIPIPMAVAIPFYLGPYFAIDMSIGSVILFCWEWMNKAEAQAFAPAVASGLMCGDGIWALPQAILSLANVNPPICMKFLSRATNAKVDAFLGN* >Brasy9G317200.1.p pacid=40062827 transcript=Brasy9G317200.1 locus=Brasy9G317200 ID=Brasy9G317200.1.v1.1 annot-version=v1.1 MCCYVGKATKIFLCLATALLVAGLVLGFGLARRTWGADRRAAQPACQWPAGCQLPGPSDPAVYGADNPLQATTTAPPSDPLTEPAVAVFPGTAASSTAVPPASGPYFAPPSPFPVGLGPSSNA* >Brasy9G261900.1.p pacid=40062828 transcript=Brasy9G261900.1 locus=Brasy9G261900 ID=Brasy9G261900.1.v1.1 annot-version=v1.1 MAVVSFSASPLPAALSSNPSPRYPPSFLPAPLLARRHSATAGGLGLGPGPAVVLLTRQKGRRVRLSATARTQREKAELETDVAAVEESFPVRETGAPEGAADDDPMPPAEDVGWVVRLEQSFNIFLTDSIIMILDGLYGDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESMNEFHHLLIMEELGGNSELVDRFLARFSAFFYYFMTVAMYMLSPRMAYHFSECVERHAYSTYDKFLKLNGEELKKLPAPEAAINYYMNEDLYLFDEFQTSRVPCSRRPKVDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMERRIEGTDAECVVPENDCEGIMDCVEKSLASED* >Brasy9G304500.1.p pacid=40062829 transcript=Brasy9G304500.1 locus=Brasy9G304500 ID=Brasy9G304500.1.v1.1 annot-version=v1.1 MEPPAPEAVITEPGEERCSCLPPPEKEEERPLARLAPTTAPVNLAAPGKKMRIPLVPMTDQEQEKLMELKELHRSGIKELQKVAETREKLFKHRDEILFRRRCLAARFVNAIVLRRLDADYVRHQRLLGLKKGDRLPEELIQAREPIRHAIYDFVDMAGIMNIGMDNFLVEYHNFQKKKRRMQNHGNKVETEAVCDENRAPLAWSCRSNVGSYVY* >Brasy9G264100.1.p pacid=40062830 transcript=Brasy9G264100.1 locus=Brasy9G264100 ID=Brasy9G264100.1.v1.1 annot-version=v1.1 MDGAAAARKRSRTDTANGGAKRSKETESQQTGLSSKSKPCTKFFSTVGCPFGEGCHFLHFVPGGYQAVSKTHNLGHAAVSAPSRAPVDHGANSHPAPAGKTRMCTKYNTAEGCRFGDKCHFAHGERELGRTTYESPYAPPMGGRFGSRHEPPPPAMTGPPTGSFGASATAKISVDAALAGGIIGKSGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASDMVGELIATISASTPLKNPAGAVPPAGRGGPGGRSNYKTKICENFLKGTCMFGDRCHFAHGENEQRKGAAV* >Brasy9G255500.1.p pacid=40062831 transcript=Brasy9G255500.1 locus=Brasy9G255500 ID=Brasy9G255500.1.v1.1 annot-version=v1.1 MALALDELVPGERTTKLRAEGNFLLYGNVLFTPRQCFSMEMRPAVRSISCSHGDELEQ* >Brasy9G064900.1.p pacid=40062832 transcript=Brasy9G064900.1 locus=Brasy9G064900 ID=Brasy9G064900.1.v1.1 annot-version=v1.1 MKQHPEVDPSITATDQSAPKKNRGNRVTPPENLVSLLKELKKEERKLRQERKLEDLSKPDAESGSSSTSSHATRSRDFIRQSREDRMRFLKEEIGILRAQLHDVGRTGETMLPDAELGKQRQSQLPSEEPQEKSKDIPGRAAAAANDDEEEMNRGKSAAQVAEEAKQMAAEEKRFDRYRSYWESCWGSHGHGQFPDMTQVSPMHFTSLTPGCPPNDAANPGATLQIFSVELKEIKGGFEMPLSVYGVVAARDVVDRNRNLLFSCDRAMPQKLYQDDPFLRLIGPSRAIVCREPVDFEVQLRYTAWETGVSTICLENCFCKIEMCVERVKQARSSCLLIVTDSYDDWENVVSVPMGGTLKFVIQAYSSSGGVAAQGEVCFMAKSFNVSQGTCFLGDTEVEVEIIVAWSLLVWDKESIASQGWVLK* >Brasy9G059600.1.p pacid=40062833 transcript=Brasy9G059600.1 locus=Brasy9G059600 ID=Brasy9G059600.1.v1.1 annot-version=v1.1 MVVHVALLAVPAAAMGAGFMHAFQFSILLWPFNLVLPLLRQLPRCCATLRAAAAHYDAELREYLAGRRRAQERGSSAAAAASLRRVQRRPAEELVAHAMVALIDISY* >Brasy9G228000.1.p pacid=40062834 transcript=Brasy9G228000.1 locus=Brasy9G228000 ID=Brasy9G228000.1.v1.1 annot-version=v1.1 MASLLCSQIKLNKAYFRRQVHENGLTRLPNSLHWNALRSRHFKNLVLRCTKNLPWEASLPYASAEDDASIIMGTNVVDAIGTVEAPEIPILQSDQDVVEVKSVPSVQLMAFKLPMWLLGPSVLLVTGIVPTLWLPLPSVFLGPNIAGLLSLVGLDCIFNMGAMLFFLMADACGRPENNSFDLARQIPTSYRLWNLVASILGFVVPLALLFASQRGTLQPHLPFIPFSVLLGPYLLLLSVQMLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASNSSYRSSDGMNK* >Brasy9G187300.1.p pacid=40062835 transcript=Brasy9G187300.1 locus=Brasy9G187300 ID=Brasy9G187300.1.v1.1 annot-version=v1.1 MAAEDGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHAAKKEGVGGKIEQDNLGVADSLPSQEFSKLVNGNNKVPGTLDDYRKLVVPVIGEYFSTGDVSLAASELRSLGSDQFHNYFVKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESAEDLSVDIPDAVDVLAVFIARAVVDEILPPVFLTRARALLPESSKGIEVLLVAEKSYLSAPHHAELVERKWGGSTYFTVEEAKKRIQDILREYVESGDTDEAFRCIRELGLPFFHHEVVKRALILGMENLSSQPLILRLLKESTAGCLITSNQVSKGFSRLAESVDDLSLDIPSAKTLFDKLLSAAISEGWLDASFTKSAASDEDMVTASGEKVKRFKVESGHIIQEYFLSDDIPELIRSLQELSAPEYNAIFLKKLITLAMDRKNREKEMASVLLSSLRLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDDISIKLRPNSSGSQTVQMASALLAARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYKTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKQNEASILALLQECFSEGLITINQMTNGFGRVKEGLDDLILDIPNAQEKFGAYVELATGRGWLLPSFASLP* >Brasy9G297100.1.p pacid=40062836 transcript=Brasy9G297100.1 locus=Brasy9G297100 ID=Brasy9G297100.1.v1.1 annot-version=v1.1 MATEPYRCKTLVLRVSIHCEGCKKKVKKALQSVDGVFRCDIDARSNKATVAVAGDVSADALVKRLRRSGKRAEQWPEQHQQQQPAQTQSTQRPGETKTQATEPDKLGSTDNGKKPASGDPETNAAEQSNPDGDTERPAQDGTNTDGDGDDAVVNHGTKEATAKQCRDPKRKRKQQEEEKPMDAMVVTAASDHFQQQPVHVVSYSMARPRASAAYYAAAPASSTPPPPELQCCYYSQPSLYNGGWASPARDHYGDLFSDDNANSCSVM* >Brasy9G125400.1.p pacid=40062837 transcript=Brasy9G125400.1 locus=Brasy9G125400 ID=Brasy9G125400.1.v1.1 annot-version=v1.1 MTLLCLIIILLVLFFPAASHGDDTYNSRTPTCQLEPSVCGDLSIKYPFYLYSGKEKAPPPDYSYCGYPGLAILCDDDGKPTLRLDRDGYTVSSIDYANLTVSLADAEILDSGDACPKAGHNVTIPKAFHLPTSTIAYLFFFTGCAFAPDAEFAPEPNPKPARKPPTIQPITCAGSSGDAEPTMSFVLPEREVPPKPKGWWQACQSVYRAPVLRDAVPEGAAQDPGWREGGYAKALRRGFQVGWERIGSGPCARCERFDGRCGYNGTGEFLGCFCGGNGALVDADGCSKVSGSAATRSGKPF* >Brasy9G137300.1.p pacid=40062838 transcript=Brasy9G137300.1 locus=Brasy9G137300 ID=Brasy9G137300.1.v1.1 annot-version=v1.1 MDFSGCYMLTSRRSVGVADAGSDDSLDGISELPDTINLAHKQKVRGVWETPMDNLESMSQSFVMSSKDDSFSISGSSKLHESTSEETAMSGKSAPSESRKDRFGNNGTSKVPRMGSVLGTAGMLGYGRAVDILDTLGCLMTTLSPDGGFISRATKGCQISILAFEVANTILKGASVMQSLSKDSVTYFKQVLLPSEGVQNLVSSDMSELMQIVANDKREELKLFSQEIIRFGNRCKDPQWHNLDRYFLKLESESVPQKQLKETATVEMQKLMALVQRTTELYHELHALDRFEQDYRCQLKGKEISNGFEKGENIQVLRLELKTQRNYVKSLKKRSLWSKTLEDVVEKLVDIVQYLHVEIDVSFGISDGGELSSESTVGGQRLGPAGLALHYANTVIQIYSIVSRSGYVPANSRDALYQGLPPRVRLALPNKLRTSSMPQELTIDQTRTMMEKTLKWLVPMAINTTCARGFLRFSEWAKSGTERVGRGPGRPDMIETLYHADKAKTEAYILELVVWLHHLVNQNNRPPNVKDQSI* >Brasy9G211700.1.p pacid=40062839 transcript=Brasy9G211700.1 locus=Brasy9G211700 ID=Brasy9G211700.1.v1.1 annot-version=v1.1 MFASNPGDQPKGQKVRGGDTSGEEKMSGCLCLPCCYKKSPPGNGESPAAIDSSSSSLLQKPTYSPPPPPPSSSKMDAAVERLKTGFEKFKTEVYDKKPDVFEPLKAGQAPKYMVFACADSRVCPSVTLGLEPGEAFTVRNIANMVPSYCKNKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLKDGADDSFHFVEDWVRIGFPAKKKVQTECASMPFDDQCAVLEKEAVNVSLENLKTYPFVKEGVANGTLKLVGGHYDFVSGKFDTWEL* >Brasy9G127100.1.p pacid=40062840 transcript=Brasy9G127100.1 locus=Brasy9G127100 ID=Brasy9G127100.1.v1.1 annot-version=v1.1 MAGSVSNGLAELGKRGLPEEAAAAAAEPLPEELEPEVAEGIREIVMGRNVHASCFAVKEPDADDEVTGEREATMAGVLARYRRCLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDLEKDEYWGYITNCGTEGNLHGILVGREVFPDGVLYASRESHYSVFKAARMYRMDCVKVETLVSGEIDCADFGRKLFENKDKPAIINVNIGTTVKGAVDDLDLVIETLEKSGFQNRFYIHCDGALFGLMMPFVKLAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINALASNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLRDAGISAMLNELSSTVVFERPKDEEFVRRWQLACEGNISHVVVMPSVTINKLDSFLNELVEKRVTWYQEGMCQSPCIAGDVGQDNCLCTLHKV* >Brasy9G125200.1.p pacid=40062841 transcript=Brasy9G125200.1 locus=Brasy9G125200 ID=Brasy9G125200.1.v1.1 annot-version=v1.1 MPRSTLHRVPGCPHPAVLSLPRRAHQGPHGYLSTQIVPTPYAGRAHSNLRRWQRGVPAPVRSPGLD* >Brasy9G037500.1.p pacid=40062842 transcript=Brasy9G037500.1 locus=Brasy9G037500 ID=Brasy9G037500.1.v1.1 annot-version=v1.1 MMKLMEAAASGLDPARLAEAPKLLRSASRAMEGLAAEIQSRDDLYGCEEERWAPSCRGKVAGLEVEVTKAYMLKIWFISAGAGNKALALQARDATYLIEDLEDLIDYRRLQSNLAKARRHQSDLLPALMCGWKRLIGCHQCCESSSSPKRIIRGISVVNEETRRLGQLLDNGAGPSSNPPPLDSGRETGHTVVFGRHKEREEIVQMLIQQCHKTVPEMIVCIVGTGGIGKTTLAQVVFNDARVGQHFDVKCWVSVSNKMNLTAEILRSAQPAWDGSAEKMVDFEMLKSELRRFVASKRYREQNFGDFSYEYDALHAGYIAAVHHKPTK* >Brasy9G234300.1.p pacid=40062843 transcript=Brasy9G234300.1 locus=Brasy9G234300 ID=Brasy9G234300.1.v1.1 annot-version=v1.1 MAEEDDLAAAAATPRVVCALSAILERVTERNDVAAPGPGAELVAAASAFRATTKPGISVRAYMARIARFAGCSPACFVVAYIYLDRLLRRRRRAIAVDSYSVHRLLITAVLAAVKFMDDICYNNTYFAKVGGISLPEMNYLEVDFLFGVGFDLNVSPETFGNYCAILQSEMLCLELELEPAIPAPAASGSRLLHCCICEDDGTDTSTSSSGGSSSSSCQQQLAA* >Brasy9G356700.1.p pacid=40062844 transcript=Brasy9G356700.1 locus=Brasy9G356700 ID=Brasy9G356700.1.v1.1 annot-version=v1.1 MGSVLTRLVHDQPWGTYSSLPLDDDDDICVRGPLTKQQQDAEDALFHARTALKKYNSNHPGPDFYPVEAKAACVGFRQDFWYHVGFSARTVRRDDEQRQQQQQEERYFFAELRFDRRSRGHLHVESCIMLEKHPGSYRSRCALCPDRFKILHPSDAEFVCGKKGHRKKFFRERDMLGRPLRIRGISRKHCFADLSVSPPGPRS* >Brasy9G356700.3.p pacid=40062845 transcript=Brasy9G356700.3 locus=Brasy9G356700 ID=Brasy9G356700.3.v1.1 annot-version=v1.1 MGSVLTRLVHDQPWGTYSSLPLDDDDDICVRGPLTKQQQDAEDALFHARTALKKYNSNHPGPDFYPVEAKAACVGFRQDFWYHVGFSARTVRRDDEQRQQQQQEERYFFAELRFDRRSRGHLHVESCIMLEKHPGSYRSRCALCPDRFKILHPSDAEFVCGKKGHRKKFFRERDMLGRPLRIRGISRKHCFADLSVSPPGPRS* >Brasy9G356700.2.p pacid=40062846 transcript=Brasy9G356700.2 locus=Brasy9G356700 ID=Brasy9G356700.2.v1.1 annot-version=v1.1 MGSVLTRLVHDQPWGTYSSLPLDDDDDICVRGPLTKQQQDAEDALFHARTALKKYNSNHPGPDFYPVEAKAACVGFRQDFWYHVGFSARTVRRDDEQRQQQQQEERYFFAELRFDRRSRGHLHVESCIMLEKHPGSYRSRCALCPDRFKILHPSDAEFVCGKKGHRKKFFRERDMLGRPLRIRGISRKHCFADLSV* >Brasy9G356700.4.p pacid=40062847 transcript=Brasy9G356700.4 locus=Brasy9G356700 ID=Brasy9G356700.4.v1.1 annot-version=v1.1 MGSVLTRLVHDQPWGTYSSLPLDDDDDICVRGPLTKQQQDAEDALFHARTALKKYNSNHPGPDFYPVEAKAACVGFRQDFWYHVGFSARTVRRDDEQRQQQQQEERYFFAELRFDRRSRGHLHVESCIMLEKHPGSYRSRCALCPDRFKILHPSDAEFVCGKKGHRKKFFRERDMLGRPLRIRGISRKHCFADLSV* >Brasy9G253800.1.p pacid=40062848 transcript=Brasy9G253800.1 locus=Brasy9G253800 ID=Brasy9G253800.1.v1.1 annot-version=v1.1 MRAASFISPPLIFPSFFFLSAAFSVHSAALADSQSQKLSRSSPASAKPSRGSACEQAAAAEAMDSASLLEVYRRDRRRLLGFLLSAGGGHGRAVDLSRVDLDAVSTDYALDCVASGAQFDASEATRRYFDDRRYPIMMGSPSRKSYFLLSRPEVSGSPPKKVAPDVRPQPAAKENSSKPRKPSDSFRVAVNIPEASYGTKDASFADMCPEQVKKMDILSLGLPRLSTELSDDDLRETAYEVLIASLFISGKVYFEEKREKKPKFLKGLRSKTDGSNSSPQMENYYTHHLDLIRVQMEVSESMDTLSKRALRQSCLKMVQGQLDVPSISLQLLSSIGKFDFPTERLRVQWQRRQANVLEELLIFSASREYSMSETLLIVLAKLKDTEDWVVSVPDGRIEVLTIIERFNAKLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQQLHDVLYAWVLFQKFIQTGENLLLKQIGLQIQKLQLHSDVKEVELYINSFICSVEGCGSNRSLNLVDCALLKINMWCRRQLENYHLYFSQANCSIFKSMLNLVLLSAANLTDGEEESMLIEIPLSSTPESTLIHILVVRSIQAAYTHALSSADGQSKEEFKHPLILLASELKLLVEKECAAFSPILNKYYPEAGRVALTVFHLLYGQQLELFLERADHSERFKEILGASNNFELCIAQKLYSMYGEAVGSSLSNFLKPYMIDRFSSPVILQWLHAQHENVLEWTKRTIEIEDWEPLSAHQKQATSMVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLRVYLLHIESQQVPRATLLPTAPVLTRYAESINPFARRKLIEPTICEEKVSNQLKKLTVAKLCVKLNTLQYIRDQLDSIEEGIKQSWVHVQSAMGLLDYLSYMASEGVTSKNLKPSDELIDELFTIFDDVRRTAVNTTDTILNFIGTRAVFWDMRESLLFSLYRTSVAGARMEIFIPTIDQVLDQVCDLIVDVLRDQVVLKVFQACMEGFIWVLLDGGPSRAFLETDVDLMKDDLAMLKDLFVAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKASEHMSRHLEPATARRIDVHDVYTLLRVLCHKKDSAASTFLKIQYHLPRSSDYDDVPVKDVSSRVPIFSDMLNRSTSFNWSETGQQSFRIMKKKLQEANWQ* >Brasy9G253800.2.p pacid=40062849 transcript=Brasy9G253800.2 locus=Brasy9G253800 ID=Brasy9G253800.2.v1.1 annot-version=v1.1 MRAASFISPPLIFPSFFFLSAAFSVHSAALADSQSQKLSRSSPASAKPSRGSACEQAAAAEAMDSASLLEVYRRDRRRLLGFLLSAGGGHGRAVDLSRVDLDAVSTDYALDCVASGAQFDASEATRRYFDDRRYPIMMGSPSRKSYFLLSRPEVSGSPPKKVAPDVRPQPAAKENSSKPRKPSDSFRVAVNIPEASYGTKDASFADMCPEQVKKMDILSLGLPRLSTELSDDDLRETAYEVLIASLFISGKVYFEEKREKKPKFLKGLRSKTDGSNSSPQMENYYTHHLDLIRVQMEVSESMDTLSKRALRQSCLKMVQGQLDVPSISLQLLSSIGKFDFPTERLRVQWQRRQANVLEELLIFSASREYSMSETLLIVLAKLKDTEDWVVSVPDGRIEVLTIIERFNAKLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQQLHDVLYAWVLFQKFIQTGENLLLKQIGLQIQKLQLHSDVKEVELYINSFICSVEGCGSNRSLNLVDCALLKINMWCRRQLENYHLYFSQANCSIFKSMLNLVLLSAANLTDGEEESMLIEIPLSSTPESTLIHILVVRSIQAAYTHALSSADGQSKEEFKHPLILLASELKLLVEKECAAFSPILNKYYPEAGRVALTVFHLLYGQQLELFLERADHSERFKEILGASNNFELCIAQKLYSMYGEAVGSSLSNFLKPYMIDRFSSPVILQWLHAQHENVLEWTKRTIEIEDWEPLSAHQKQATSMVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLRVYLLHIESQQVPRATLLPTAPVLTRYAESINPFARRKLIEPTICEEKVSNQLKKLTVAKLCVKLNTLQYIRDQLDSIEEGIKQSWVHVQSAMGLLDYLSYMASEGVTSKNLKPSDELIDELFTIFDDVRRTAVNTTDTILNFIGTRAVFWDMRESLLFSLYRTSVAGARMEIFIPTIDQVLDQVCDLIVDVLRDQVVLKVFQACMEGFIWVLLDGGPSRAFLETDVDLMKDDLAMLKDLFVAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKASEHMSRHLEPATARRIDVHDVYTLLRVLCHKKDSAASTFLKIQYHLPRSSDYDDVPVKDVSSRVPIFSDMLNRSTSFNWSETGQQSFRIMKKKLQEANWQ* >Brasy9G253800.3.p pacid=40062850 transcript=Brasy9G253800.3 locus=Brasy9G253800 ID=Brasy9G253800.3.v1.1 annot-version=v1.1 MRAASFISPPLIFPSFFFLSAAFSVHSAALADSQSQKLSRSSPASAKPSRGSACEQAAAAEAMDSASLLEVYRRDRRRLLGFLLSAGGGHGRAVDLSRVDLDAVSTDYALDCVASGAQFDASEATRRYFDDRRYPIMMGSPSRKSYFLLSRPEVSGSPPKKVAPDVRPQPAAKENSSKPRKPSDSFRVAVNIPEASYGTKDASFADMCPEQVKKMDILSLGLPRLSTELSDDDLRETAYEVLIASLFISGKVYFEEKREKKPKFLKGLRSKTDGSNSSPQMENYYTHHLDLIRVQMEVSESMDTLSKRALRQSCLKMVQGQLDVPSISLQLLSSIGKFDFPTERLRVQWQRRQANVLEELLIFSASREYSMSETLLIVLAKLKDTEDWVVSVPDGRIEVLTIIERFNAKLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQQLHDVLYAWVLFQKFIQTGENLLLKQIGLQIQKLQLHSDVKEVELYINSFICSVEGCGSNRSLNLVDCALLKINMWCRRQLENYHLYFSQANCSIFKSMLNLVLLSAANLTDGEEESMLIEIPLSSTPESTLIHILVVRSIQAAYTHALSSADGQSKEEFKHPLILLASELKLLVEKECAAFSPILNKYYPEAGRVALTVFHLLYGQQLELFLERADHSERFKEILGASNNFELCIAQKLYSMYGEAVGSSLSNFLKPYMIDRFSSPVILQWLHAQHENVLEWTKRTIEIEDWEPLSAHQKQATSMVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLRVYLLHIESQQVPRATLLPTAPVLTRYAESINPFARRKLIEPTICEEKVSNQLKKLTVAKLCVKLNTLQYIRDQLDSIEEGIKQSWVHVQSAMGLLDYLSYMASEGVTSKNLKPSDELIDELFTIFDDVRRTAVNTTDTILNFIGTRAVFWDMRESLLFSLYRTSVAGARMEIFIPTIDQVLDQVCDLIVDVLRDQVVLKVFQACMEGFIWVLLDGGPSRAFLETDVDLMKDDLAMLKDLFVAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKASEHMSRHLEPATARRIDVHDVYTLLRVLCHKKDSAASTFLKIQYHLPRSSDYDDVPVKDVSSRVPIFSDMLNRSTSFNWSETGQQSFRIMKKKLQEANWQ* >Brasy9G253800.4.p pacid=40062851 transcript=Brasy9G253800.4 locus=Brasy9G253800 ID=Brasy9G253800.4.v1.1 annot-version=v1.1 MRAASFISPPLIFPSFFFLSAAFSVHSAALADSQSQKLSRSSPASAKPSRGSACEQAAAAEAMDSASLLEVYRRDRRRLLGFLLSAGGGHGRAVDLSRVDLDAVSTDYALDCVASGAQFDASEATRRYFDDRRYPIMMGSPSRKSYFLLSRPEVSGSPPKKVAPDVRPQPAAKENSSKPRKPSDSFRVAVNIPEASYGTKDASFADMCPEQVKKMDILSLGLPRLSTELSDDDLRETAYEVLIASLFISGKVYFEEKREKKPKFLKGLRSKTDGSNSSPQMENYYTHHLDLIRVQMEVSESMDTLSKRALRQSCLKMVQGQLDVPSISLQLLSSIGKFDFPTERLRVQWQRRQANVLEELLIFSASREYSMSETLLIVLAKLKDTEDWVVSVPDGRIEVLTIIERFNAKLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQQLHDVLYAWVLFQKFIQTGENLLLKQIGLQIQKLQLHSDVKEVELYINSFICSVEGCGSNRSLNLVDCALLKINMWCRRQLENYHLYFSQANCSIFKSMLNLVLLSAANLTDGEEESMLIEIPLSSTPESTLIHILVVRSIQAAYTHALSSADGQSKEEFKHPLILLASELKLLVEKECAAFSPILNKYYPEAGRVALTVFHLLYGQQLELFLERADHSERFKEILGASNNFELCIAQKLYSMYGEAVGSSLSNFLKPYMIDRFSSPVILQWLHAQHENVLEWTKRTIEIEDWEPLSAHQKQATSMVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLRVYLLHIESQQVPRATLLPTAPVLTRYAESINPFARRKLIEPTICEEKVSNQLKKLTVAKLCVKLNTLQYIRDQLDSIEEGIKQSWVHVQSAMGLLDYLSYMASEGVTSKNLKPSDELIDELFTIFDDVRRTAVNTTDTILNFIGTRAVFWDMRESLLFSLYRTSVAGARMEIFIPTIDQVLDQDLFVAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKASEHMSRHLEPATARRIDVHDVYTLLRVLCHKKDSAASTFLKIQYHLPRSSDYDDVPVKDVSSRVPIFSDMLNRSTSFNWSETGQQSFRIMKKKLQEANWQ* >Brasy9G253800.5.p pacid=40062852 transcript=Brasy9G253800.5 locus=Brasy9G253800 ID=Brasy9G253800.5.v1.1 annot-version=v1.1 MRAASFISPPLIFPSFFFLSAAFSVHSAALADSQSQKLSRSSPASAKPSRGSACEQAAAAEAMDSASLLEVYRRDRRRLLGFLLSAGGGHGRAVDLSRVDLDAVSTDYALDCVASGAQFDASEATRRYFDDRRYPIMMGSPSRKSYFLLSRPEVSGSPPKKVAPDVRPQPAAKENSSKPRKPSDSFRVAVNIPEASYGTKDASFADMCPEQVKKMDILSLGLPRLSTELSDDDLRETAYEVLIASLFISGKVYFEEKREKKPKFLKGLRSKTDGSNSSPQMENYYTHHLDLIRVQMEVSESMDTLSKRALRQSCLKMVQGQLDVPSISLQLLSSIGKFDFPTERLRVQWQRRQANVLEELLIFSASREYSMSETLLIVLAKLKDTEDWVVSVPDGRIEVLTIIERFNAKLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQQLHDVLYAWVLFQKFIQTGENLLLKQIGLQIQKLQLHSDVKEVELYINSFICSVEGCGSNRSLNLVDCALLKINMWCRRQLENYHLYFSQANCSIFKSMLNLVLLSAANLTDGEEESMLIEIPLSSTPESTLIHILVVRSIQAAYTHALSSADGQSKEEFKHPLILLASELKLLVEKECAAFSPILNKYYPEAGRVALTVFHLLYGQQLELFLERADHSERFKEILGASNNFELCIAQKLYSMYGEAVGSSLSNFLKPYMIDRFSSPVILQWLHAQHENVLEWTKRTIEIEDWEPLSAHQKQATSMVEVFRIVEETIDQFFNSSLPLDTVHLRSLLIGITSSLRVYLLHIESQQVPRATLLPTAPVLTRYAESINPFARRKLIEPTICEEKVSNQLKKLTVAKLCVKLNTLQYIRDQLDSIEEGIKQSWVHVQSAMGLLDYLSYMASEGVTSKNLKPSDELIDELFTIFDDVRRTAVNTTDTILNFIGTRAVFWDMRESLLFSLYRTSVAGARMEIFIPTIDQVLDQDLFVAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKASEHMSRHLEPATARRIDVHDVYTLLRVLCHKKDSAASTFLKIQYHLPRSSDYDDVPVKDVSSRVPIFSDMLNRSTSFNWSETGQQSFRIMKKKLQEANWQ* >Brasy9G101300.1.p pacid=40062853 transcript=Brasy9G101300.1 locus=Brasy9G101300 ID=Brasy9G101300.1.v1.1 annot-version=v1.1 MGSEERTMLGSILARAGEGGSSRGGSGEVACAAVTGSHASASAAARSPAPEKEVGEVARAVVAGSHASASAAARSPAPEKEVVAGAGRGGPSRAVAGSLASASAAARSPAPREEGGIRGGSGEVSRAVVEGSLASASAAARSPAPEKEVVAGAGRGKVARAAGAGSGGGGGRRSPAPGGRRRVAVGLNWTTTLAEESVQSRATSGRWIAATSPFSSCRDARGRPNRPVAGEDDAGRSPPLAPLVAGEDEDLQALDAV* >Brasy9G061400.1.p pacid=40062854 transcript=Brasy9G061400.1 locus=Brasy9G061400 ID=Brasy9G061400.1.v1.1 annot-version=v1.1 MIGALTFELLVATLAAGPIALPSCPESCGRIAVPYPFGIGKGCFLQGFNLTCDETRHPPKLFLGDGVEVLGISLTDGTVRIRSNVLRSDFQELNGSWPGPAVVVPRPFTVSSGLNWFVAYGCNIIARLIPPGVLGLEDSGTYTSTCAAMCVGAADSSICHPG* >Brasy9G219600.1.p pacid=40062855 transcript=Brasy9G219600.1 locus=Brasy9G219600 ID=Brasy9G219600.1.v1.1 annot-version=v1.1 MAAAMQDETAGSNGAAAADVEMVEASELRRRTKQPNPSDGTAAPSSAPGDGEEDEAAASVEQAFADQRVPTWREQLTVRAFVVSFFLAVMFSVIVMKLNLTIGIIPSLNVSAGLLSFFFVRLWTAAIQKVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGNYLLAMSDRIASQSTEADNPQNIKNPHLGWIIGFLLLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAYLINGFHTPHGAKIAGKQVKKLGTFFVLSFFWGFFQWFYTATEFCGFNQFPSLGMQAFNNRFYFDFSPTYVGVGMICPHIVNISVLLGGILSWGIMWPLIAKKRGIWFSADLADSNLHGMQGYRVFIAIALILGDGLYNFLKMLFLTVRSLRSQLKKSNASTLPVSDDETSNSNEAISYDEERRNELFLKDQIPWYIAYGGYAVVAAISIGTVPQIFPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSEYPAPNAAIFRSMAILGVDGFSSLPKNCLTLCYIFFAAAVAINLIRDLVPKKVSRFIPIPMAMAIPFYLGSYFAIDMFLGTVILFVWQRLDRAKSETFAPAVASGLICGDGLWVLPQSVLALAKVKPPICMKFLARGMNEKVDAFIQTLS* >Brasy9G219600.2.p pacid=40062856 transcript=Brasy9G219600.2 locus=Brasy9G219600 ID=Brasy9G219600.2.v1.1 annot-version=v1.1 MTTSTASTRLKFLLKLCFRRNLVLGLFWKINFVDDITSKEKELFFMEHYLIIRMSQLTHPFLSGGFGNYLLAMSDRIASQSTEADNPQNIKNPHLGWIIGFLLLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAYLINGFHTPHGAKIAGKQVKKLGTFFVLSFFWGFFQWFYTATEFCGFNQFPSLGMQAFNNRFYFDFSPTYVGVGMICPHIVNISVLLGGILSWGIMWPLIAKKRGIWFSADLADSNLHGMQGYRVFIAIALILGDGLYNFLKMLFLTVRSLRSQLKKSNASTLPVSDDETSNSNEAISYDEERRNELFLKDQIPWYIAYGGYAVVAAISIGTVPQIFPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSEYPAPNAAIFRSMAILGVDGFSSLPKNCLTLCYIFFAAAVAINLIRDLVPKKVSRFIPIPMAMAIPFYLGSYFAIDMFLGTVILFVWQRLDRAKSETFAPAVASGLICGDGLWVLPQSVLALAKVKPPICMKFLARGMNEKVDAFIQTLS* >Brasy9G115000.1.p pacid=40062857 transcript=Brasy9G115000.1 locus=Brasy9G115000 ID=Brasy9G115000.1.v1.1 annot-version=v1.1 KDTSQILPNIGEYKNNLHLIKLKNSHDRWPKKKKTELRGHHEPNTIPLINPPCNPGKPELSLLHRNSHKTQAHLQYITHTEQV* >Brasy9G008500.1.p pacid=40062858 transcript=Brasy9G008500.1 locus=Brasy9G008500 ID=Brasy9G008500.1.v1.1 annot-version=v1.1 MSLSVSKSPPVMVRPWTTTEPAKKAIAVQLSSWDRSYVGFQVTAVLIFDGPAQAQQRPVEAIKKGLSKALVHYSPVAGRLAPAGAGDDDIQLQIQCTGEGVPFVAASMECALADQEWLLGGAPVPGSALYDLASYYPAPEPARMDPLLLMQVTEFSCGGFAVGVTWNHALADGDGMAQFLQAVGELTRGKTPSVAPVRDGGATSLPPLSPAVVAGKQWLMLDRGGAMDDDDLVYLDVTIPNRLIDRIKADYKKRDPSSYCTTFEAAIAALWQCRTRAIGIGIGMAPLAFFVNVRKGVGAAAGYYGNCAVAQVAMATSAEVAGGDVCDVVRLIKRAKESVPELLRREGGGAVEGMEEMAEEELAALFGYNAFMVTSWRNIGFDRADFGAGSPARVIGRWQQSTVPGCMAFLACRTAVAGEDGGEKMLTQCVRAEHAAAFLAEIDKLASYAASAPAAATASASA* >Brasy9G286700.1.p pacid=40062859 transcript=Brasy9G286700.1 locus=Brasy9G286700 ID=Brasy9G286700.1.v1.1 annot-version=v1.1 MSQGNRREREDDETAATPQILVVRSDAPLSIIYRHMRGLAIIFPRPCAPFLGPNSPAQQWCSFYSMKDLAAVVRGQLQVTCNRWEKHASAHVHE* >Brasy9G111200.1.p pacid=40062860 transcript=Brasy9G111200.1 locus=Brasy9G111200 ID=Brasy9G111200.1.v1.1 annot-version=v1.1 MDLDQSNVASSPKHLKEHEQENAEQIKTDFVAPRVKHEFGTQSAPIFAENVLDPSVRFQKMNSPTFLSPLHSSRTNYSYKLPTPADDKNTSAETNRSLHSDKPESKSSMAANLWHSSPLVKGFKPSSLYSGPVKMPSSTEGTSSPLVYSYSTSDSKKMKRESFSGPIPSKAGLSKPMFSAAGQRASMNYPRVMSAKSHEPGWQSSVAPKVPRITSLPTTSPRISELHELPRPPSSVGTVRPGLVGYSGPLVSRRQIPNVPTRASPPSHTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRKSPETIDKRRIKETS* >Brasy9G167900.1.p pacid=40062861 transcript=Brasy9G167900.1 locus=Brasy9G167900 ID=Brasy9G167900.1.v1.1 annot-version=v1.1 MQVRIHMTHKPYYRQEICRHEFSSQFGSPLDEKPWGPQKNRDRLRQQRWGSALLRLLLLLHFTDLNFTTLGDNTRYSWKNWVAL* >Brasy9G231000.1.p pacid=40062862 transcript=Brasy9G231000.1 locus=Brasy9G231000 ID=Brasy9G231000.1.v1.1 annot-version=v1.1 MGTMAASVEEQVDAAPRRALRLAGELRAAYESGRTRSLAWRQSQLRGLLRLLADKEEDAFRALHDDLGKHRAEAYRDEVGVLVKSANAALKEIRKWMAPEKVWVPLVAYPASAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSEMAPATAKFLEDNIGEYMDAAAVKVIQGGPAVGAQLMEHRWDKVLFTGCPRVARVVMAAAAKHLTPVALELGGKCPCIFDSMSSARKVQIAVNRLISAKWSSCAGQACIAIDYVLVEERFAPTLIKVLKSTLKRFLADLDHMARIVNVRHFQRLSSLLKDTSVAASVLHGGNFDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVEKIEDSIAFVRARPKPLAVYAFTNSASLKSRIVEETSSGSVTFNDAVVQYGIDTLPFGGVGPSGFGQYHGKYSFEMFSHKKAVLRRGYLVESMLRYPPWDEQKIAMMRHLFRFNYVRFIFTFLGLWK* >Brasy9G231000.2.p pacid=40062863 transcript=Brasy9G231000.2 locus=Brasy9G231000 ID=Brasy9G231000.2.v1.1 annot-version=v1.1 MGTMAASVEEQVDAAPRRALRLAGELRAAYESGRTRSLAWRQSQLRGLLRLLADKEEDAFRALHDDLGKHRAEAYRDEVGVLVKSANAALKEIRKWMAPEKVWVPLVAYPASAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSEMAPATAKFLEDNIGEYMDAAAVKVIQGGPAVGAQLMEHRWDKVLFTGCPRVARVVMAAAAKHLTPVALELGGKCPCIFDSMSSARKVQIAVNRLISAKWSSCAGQACIAIDYVLVEERFAPTLIKVLKSTLKRFLADLDHMARIVNVRHFQRLSSLLKDTSVAASVLHGGNFDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVEKIEDSIAFVRARPKPLAVYAFTNSASLKSRIVEETSSGSVTFNDAVVQYGIDTLPFGGVGPSGFGQYHGKYSFEMFSHKKAVLRRGYLVESMLRYPPWDEQKIAMMRHLFRFNYVRFIFTFLGLWK* >Brasy9G154100.1.p pacid=40062864 transcript=Brasy9G154100.1 locus=Brasy9G154100 ID=Brasy9G154100.1.v1.1 annot-version=v1.1 MDSSRRHGALLLAAALAVVLLASSACRVADAARPAPAGTARSLDDGQEATAVTLEKAARETVVELLMARLPAGPSPKGPGH* >Brasy9G097200.1.p pacid=40062865 transcript=Brasy9G097200.1 locus=Brasy9G097200 ID=Brasy9G097200.1.v1.1 annot-version=v1.1 MEISTLLMIVLLVVALLTAAGTAREQPEVEDPCEPSSLAREIHLFCVNREPGFQCCQPVVASVDLGGTVPCLCRVAAEPQLNMAGLNATDLLMLFASCGALSPGGRELDVACEGAAHSPSLPTTELITPVHHQDTNYPATPPFAQASSTTPPLRPPHSGCWRLVVPARLLFATLIVAVKCC* >Brasy9G303000.1.p pacid=40062866 transcript=Brasy9G303000.1 locus=Brasy9G303000 ID=Brasy9G303000.1.v1.1 annot-version=v1.1 MDFHALPRRDLQTLCKRNGIRANMTNVAMADALGALPTVNGIEEYVKPPVVERSPAAKPVVKAVAEEEKQGIQPREIVILDDSEEEGEEAKDLRRDEDEENPPALGLGRCGASRRARVDPAVAPAPRRRAAAGKRKTVGAVAPQDLPARTTRPRSQRTAALVVEDKVPRARRTAKMAVSWNTGKQQQEKGEALEGVVADVQTAVLAPVSPDARGDCSEETEEAMNQQTEQPNELLEEQSQEDEGDKGVVSEVKTTLPEPVSSDEGADGAEEMQETMDPQNELQNELVEEQSQEDDGDTVSRIMCLILRSEISGAVMDIPAPVEEEPPVAVDCTVDSSAEEREMDVQHSDDSPVILGFVEKERSDTFLNDTVPSQGPLDEEICHSSEETEMVPQATMESGKAAEEVDFTDNAGRVVVEEKEVATFGEVVQSPAEANEASAEVGLLGEQKEDPVDVVVPAEEEGVVATNETPERSVTMDESVANEASTEEGLLAEQKEDPVDDVVPAEEEGAVATNETPERSVAMDESVEEVAAVENVSQVNVTDDEGAGKERDFTVDLPPVIDAAKVADVISSPLFAGMLDEATKSVPMDSITVETIHNNSGGEKNNTEPVAVEQEKEEKDASKSETLGNLSLRKLRVKLKETVAANSIILEPMMSIDSIIVEPTHNNSGGEKNVTEPVAVEQEKEVNVISKSEALGNLSLRKLRVQLKEMVAVDSISVEPTVVRDSITVEPTMSEPVAVEQENEVNRSSKSEASGNLSQKKLGVNLKEKVAIGQENEVKEVNKSMALDKLSLRKLRLKLKETLNAHKSRGPNRVALGRLDENTC* >Brasy9G303000.2.p pacid=40062867 transcript=Brasy9G303000.2 locus=Brasy9G303000 ID=Brasy9G303000.2.v1.1 annot-version=v1.1 MDFHALPRRDLQTLCKRNGIRANMTNVAMADALGALPTVNGIEEYVKPPVVERSPAAKPVVKAVAEEEKQGIQPREIVILDDSEEEGEEAKDLRRDEDEENPPALGLGRCGASRRARVDPAVAPAPRRRAAAGKRKTVGAVAPQDLPARTTRPRSQRTAALVVEDKVPRARRTAKMAVSWNTGKQQQEKGEALEGVVADVQTAVLAPVSPDARGDCSEETEEAMNQQTEQPNELLEEQSQEDEGDKGVVSEVKTTLPEPVSSDEGADGAEEMQETMDPQNELQNELVEEQSQEDDGDTGAVMDIPAPVEEEPPVAVDCTVDSSAEEREMDVQHSDDSPVILGFVEKERSDTFLNDTVPSQGPLDEEICHSSEETEMVPQATMESGKAAEEVDFTDNAGRVVVEEKEVATFGEVVQSPAEANEASAEVGLLGEQKEDPVDVVVPAEEEGVVATNETPERSVTMDESVANEASTEEGLLAEQKEDPVDDVVPAEEEGAVATNETPERSVAMDESVEEVAAVENVSQVNVTDDEGAGKERDFTVDLPPVIDAAKVADVISSPLFAGMLDEATKSVPMDSITVETIHNNSGGEKNNTEPVAVEQEKEEKDASKSETLGNLSLRKLRVKLKETVAANSIILEPMMSIDSIIVEPTHNNSGGEKNVTEPVAVEQEKEVNVISKSEALGNLSLRKLRVQLKEMVAVDSISVEPTVVRDSITVEPTMSEPVAVEQENEVNRSSKSEASGNLSQKKLGVNLKEKVAIGQENEVKEVNKSMALDKLSLRKLRLKLKETLNAHKSRGPNRVALGRLDENTC* >Brasy9G303000.3.p pacid=40062868 transcript=Brasy9G303000.3 locus=Brasy9G303000 ID=Brasy9G303000.3.v1.1 annot-version=v1.1 MDFHALPRRDLQTLCKRNGIRANMTNVAMADALGALPTVNGIEEYVKPPVVERSPAAKPVVKAVAEEEKQGIQPREIVILDDSEEEGEEAKDLRRDEDEENPPALGLGRCGASRRARVDPAVAPAPRRRAAAGKRKTVGAVAPQDLPARTTRPRSQRTAALVVEDKVPRARRTAKMAVSWNTGKQQQEKGEALEGVVADVQTAVLAPVSPDARGDCSEETEEAMNQQTEQPNELLEEQSQEDEGDKGVVSEVKTTLPEPVSSDEGADGAEEMQETMDPQNELQNELVEEQSQEDDGDTGAVMDIPAPVEEEPPVAVDCTVDSSAEEREMDVQHSDDSPVILGFVEKERSDTFLNDTVPSQGPLDEEICHSSEETEMVPQATMESGKAAEEVDFTDNAGRVVVEEKEVATFGEVVQSPAEANEASAEVGLLGEQKEDPVDVVVPAEEEGVVATNETPERSVTMDESVANEASTEEGLLAEQKEDPVDDVVPAEEEGAVATNETPERSVAMDESVEEVAAVENVSQVNVTDDEGAGKERDFTVDLPPVIDAAKVADVISSPLFAGMLDEATKSVPMDSITVETIHNNSGGEKNNTEPVAVEQEKEEKDASKSETLGNLSLRKLRVKLKETVAANSIILEPMMSIDSIIVEPTHNNSGGEKNVTEPVAVEQEKEVNVISKSEALGNLSLRKLRVQLKEMVAVDSISVEPTVVRDSITVEPTMSEPVAVEQENEVNRSSKSEASGNLSQKKLGVNLKEKVAIGQENEVKEVNKSMALDKLSLRKLRLKLKETLNAHKRTE* >Brasy9G283100.1.p pacid=40062869 transcript=Brasy9G283100.1 locus=Brasy9G283100 ID=Brasy9G283100.1.v1.1 annot-version=v1.1 MKNFFRKLHIGEGSGDGASTPPPPPSSRKGSGGGGGGGSAAHHHHPLPEQRQQQPPSVVSTWLDSVPSRPPPPTPPTPAEPGGSASASASSNSSVAAGAEERRPSGAGHRRQQPPAVAVERRRTQEEEMERRQSQEEEMERERRRSQEEDAVEERVIRESSEAEERKREREKEEDDLEEFQLQLVLEMSARDNPEEMEIEVAKQMSLGFCRSASSPAEVLAARYWNFNALGYDDKISDGFYDLYVIGNGPASINMPSLTDLRAQPLSHNSVNWEAVLVHRGEDPQLMKLEQKALMTAFELRSRTSEFVGNILVQELSSLVANHMGGLISDPEKMSVKYQNMIRSLRTRIGSVVVPLGQLKTGLARHRALLFKVLADSLDVPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVTEPGTVIPSDGAVLSTECEDSSFSDSHQLSKADTANQLGSSYGGVSNSAYDSSEYELLDRRSTSSNFGPYDTDGPATTQTSNQQNILSSSFENLSVSTFPSEKRPTINEYRCTEEAVAPNNKEKSVGANNSLSTSPSSPEVGSGTGVRRMKVKDISEYMINAAKENPELARKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVCDTTLFQNKDEMKKRMNELGSREYADRGHGPLLPLHPGHEFQSKVVPHRAPVDSLKPIEGLGIYHPPDIRDNTSPYFPHYEPSAPPQEAPPQLSKQLPVTAAAVATAAVVASSMVVAAAKSNSDMKFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLFSLPSRSEGNDSIEKAADDFWDKQHLEIGHGQDNALDQEKDSVEIPQEAERTSDKSSGTESAKSEIALEEVAEFEMQLEEIAIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALDEFRAEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDEKRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLQQPWEGMNAMQVVGAVGFQSRRLDIPDNTDPAVAEIITQCWQTDPRKRPSFADIMAALKPLLKTLPVNQAPRQRVQQSDV* >Brasy9G277800.1.p pacid=40062870 transcript=Brasy9G277800.1 locus=Brasy9G277800 ID=Brasy9G277800.1.v1.1 annot-version=v1.1 MGEAGEIHLQIEGTRSEEADNHNGTSPATAAPSPPSMSQRLRWWAVVIVNIVFVLGGQGVATLLGRIYYDQGGNSLWMATLVQSCGTPLAIPLLLYLRRKSRPSARTRPPALKMAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLICATQLSFNAVFSYFINKEKFTALIFNSVVLLTFSAALVGVSHSSDSTNSSVPEGKFPVGFVLTLSASAVFSLILSLNQLTFDKVLKSDTFYDVMEMQFWSNTAAAAVSVAGLFISGEWSALGGEMAAYKAGKVAYGMTLAWTAVSWQLTTMGMMGLVAAVSSLFTNVISTVGMPLSPVVAVIFLGDSMDGVKVLAMLIGLWGFFSYIYQHYLDDAKVKKILAEGSVSSADDDEKQSVKVSTE* >Brasy9G029800.1.p pacid=40062871 transcript=Brasy9G029800.1 locus=Brasy9G029800 ID=Brasy9G029800.1.v1.1 annot-version=v1.1 MASPTMDMADLEILSLPLETRCPPFRLRQHGGFWLPEMTLQGIASVHASLVPRRPEEEDILLASFPKSGTTWLKALAFATLHRAEHPPRAAHHPLRRLNPDDCVKFLELDLAAQGGASDGVLASLPSPRLRATHLPFSLLGKRATAGCRCQIVYICRDPKDAFVSSWLFTKKNMHAAAAMDPDDGSPPSKGKPLICTLQEAFELFCDGRSFYGPQWRHVVGYWEESRRNPDKVLFLRYEEMLQDPVSNVKKLAEFMGRPFSAGEEAAAAQDIVELCSLDTLRNMKVNRNGAQLLARNDGFFRKGVAGDWRNHMTPAMAARLDRIVQDQLQGSGFTFTVAAQATT* >Brasy9G339200.1.p pacid=40062872 transcript=Brasy9G339200.1 locus=Brasy9G339200 ID=Brasy9G339200.1.v1.1 annot-version=v1.1 MAATNSEIERQQREYARELAKYQEDWKEFKRMVCLIVVSLSVAFAFLISGFPVPGPHRISCRFSAGAFFLVSAYGITVHQQTFGCRVFPKRPECEVQLVGGDRV* >Brasy9G062400.1.p pacid=40062873 transcript=Brasy9G062400.1 locus=Brasy9G062400 ID=Brasy9G062400.1.v1.1 annot-version=v1.1 MSAADLVVADAAAREWQRFKLIPRVSCAGNLDLDPLLNENLEQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFRSQIFEGPDTDIETELQLSKPEETTEDDMPSTSGRQIYETEPSASSSNKPCSLSPLPAYEPAFDWNNERSLIFGQRVTESLPATHISGLKIAVKVLSLSLEAGLVEPFGGTICLYNRDRREKLSEDFYFRILPTEMQDAHISLDRWGVFSLDAPTPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQIWSRIMPYKEPFAWAMIPLFENNHAGGLGDASSPSSPLAPSISGSSSQDSIVEPTSKLALDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKAHKPVKGVLRLEVEKLHNDRNDADTISEGGSMNNELHGAELNNGRHHRNSFDGAHSYLNSSAVVQKNAHQNGQNSNAENGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKLPLEAIHPRDWSTTLQKFVHTQISVGMRMSCFHDEVKISLPALLTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSEVSLPILRELVPHYLQESGKERMEYLEDGKAVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLHPILNMLLRLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLLQRAKAARILVVLISKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRTGDSLLLGSSSRSPDAERPVSPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIEKFSVAAASLSISTDYTKLDCVTSILMGLLSRSQPLTFWEAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVNALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVTTLDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSREHVASLCRICPIVGTDVGAEVSAAEVEGYGASKLTVDSAVKYLELANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGDRFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGNQNLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERIFSLSTGIVRARVFDRFLFDTPFTKNGKTQGGLESQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL* >Brasy9G062400.2.p pacid=40062874 transcript=Brasy9G062400.2 locus=Brasy9G062400 ID=Brasy9G062400.2.v1.1 annot-version=v1.1 MSAADLVVADAAAREWQRFKLIPRVSCAGNLDLDPLLNENLEQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFRSQIFEGPDTDIETELQLSKPEETTEDDMPSTSGRQIYETEPSASSSNKPCSLSPLPAYEPAFDWNNERSLIFGQRVTESLPATHISGLKIAVKVLSLSLEAGLVEPFGGTICLYNRDRREKLSEDFYFRILPTEMQDAHISLDRWGVFSLDAPTPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQIWSRIMPYKEPFAWAMIPLFENNHAGGLGDASSPSSPLAPSISGSSSQDSIVEPTSKLALDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKAHKPVKGVLRLEVEKLHNDRNDADTISEGGSMNNELHGAELNNGRHHRNSFDGAHSYLNSSAVVQKNAHQNGQNSNAENGDNFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKLPLEAIHPRDWSTTLQKFVHTQISVGMRMSCFHDEVKISLPALLTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSEVSLPILRELVPHYLQESGKERMEYLEDGKAVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLHPILNMLLRLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLLQRAKAARILVVLISKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRTGDSLLLGSSSRSPDAERPVSPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIEKFSVAAASLSISTDYTKLDCVTSILMGLLSRSQPLTFWEAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVNALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVTTLDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSREHVASLCRICPIVGTDVGAEVSAAEVEGYGASKLTVDSAVKYLELANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGDRFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGNQNLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERIFSLSTGIVRARVFDRFLFDTPFTKNGKTQGGLESQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL* >Brasy9G176300.1.p pacid=40062875 transcript=Brasy9G176300.1 locus=Brasy9G176300 ID=Brasy9G176300.1.v1.1 annot-version=v1.1 MAAYGRWADLADELLLTITHGYSLGEYVLLRSVCAAWRSALAFSPAPPLPSLLVLNDSASSLLSQRSLHLSTDTLDNRDRFTCPGKPRRRNRVALDGVGLPPPRNARYLRKIVFAPNPKPQDCTSVAIWDLSEVAYINDCRDTEWTIIDVDHTEQLDLAYDAEGGKVYCLDWCGGVRILHTPCWRMQKPTVERLLARRAGFQSNQASVFAPPYDSICSLTFTKNLFLYDGSLYQVWQNNSSIAKLHLRAGGRFTMFADEIFVMRYDQSRQPSWDVVKDLEGCSVFIGKNSPVVVRAEAVPGARANCIYWIDWLGVPMVCDMATGTSATCALPYGVCRGSCWYLCDDNISSIDNNGTKSGNDQTDIEDLKSEAKMLKI* >Brasy9G009800.1.p pacid=40062876 transcript=Brasy9G009800.1 locus=Brasy9G009800 ID=Brasy9G009800.1.v1.1 annot-version=v1.1 MSSKTHEHNVTQMFLPTSLLQIKTGCSELFFHESGTAFTFSSLLMSIANSSHLLIEYLSCNDDVFWCMNNT* >Brasy9G138700.1.p pacid=40062877 transcript=Brasy9G138700.1 locus=Brasy9G138700 ID=Brasy9G138700.1.v1.1 annot-version=v1.1 MVEIVASAVASDTDLHVPHRKCYDRKPSEKDDDDGTERLEMAHIRMEAALETSGKSWPPLTDVSLLRWRVKLKRAADECDAVLRGRKRRAMEPSSSQETERRRSFPARIAHAARSFFSSSSNDGPGAEIRRYERFADDASEFLRFVELGGRVGRRMILDPLVGHILAGRAFQYQISQGGRRYYLASRPMGFAERGLEAGVMLRYTERGAPAKNFVLGITLRVTESTDLIGTVGQCLGSLTPRLKPVADIAKQELDRVHTRSLHCFPFLASTDPVYWKIHTSETRRARPNPLCCHGGHERQDRSRESGVMEFPEALIKMFVQRDVSVSEGSRKRRKRPSPSVAVAADLDRADEIGSGDSTPLQLTAVFAPHASPEELPPGVDSIAVEVTGGGKRAGQAVRENVGLHELEELLLPGAMSRLCHGAAEGSAQEVLWRSGHGVAYLCVEKTGTDVAVRRPRLWQV* >Brasy9G003400.1.p pacid=40062878 transcript=Brasy9G003400.1 locus=Brasy9G003400 ID=Brasy9G003400.1.v1.1 annot-version=v1.1 MRADGERAEKADVGEPGAEDNVRRLRTCDQRKWTGGLQRCFGRKAAANPTERGGRGARCPPASPLPKSLLSTGTHSISSSPSRSLPRPFLHVPIHAAATAMDGALPVPADSAMGRSPAAEDGRLRRTPPSWPPPPVPAATTSLDAAGSGLLRPCRGRIRLSPTPLWRSIRLISYQSRRLDELRLQSLKCRRRLHGNRHRRLLESPIGAAGSLYAARPPGIEPPVSPKVEIATSTQAAASSDRGRRLHAPPTAPRRPRYRRSPPPHGNHLDVARRHLTGITSTSPLGATSRESPPRTRIAAAVDLDPDTVPPAAAPSPPPRPPLLCCIAVHKGLRTGSSIFSWNRTTSPRKHALSVATPIAELHESSPGTQNRT* >Brasy9G291300.1.p pacid=40062879 transcript=Brasy9G291300.1 locus=Brasy9G291300 ID=Brasy9G291300.1.v1.1 annot-version=v1.1 MSSAAAEAGNGSSGGERGRRKYKGVRRRKWGKWVSEIRLPNSRERVWLGSYDAPDKAARAFDAAFVCLRGPNAAMAELNFPDSPPPRRHNNLAVVDPQEVQAAALSHANRAGPSVVSSPRPAPAAMAIDDVLGSSEVPAVSEDGSGIDWRPAMAPLYSPTGWPAGHAYDFLQVPPPPPFGDDEDTEDQAGGSCHGAMASLWSFDP* >Brasy9G023300.1.p pacid=40062880 transcript=Brasy9G023300.1 locus=Brasy9G023300 ID=Brasy9G023300.1.v1.1 annot-version=v1.1 MEIAISAVAGELVSQFISILMNKYHSSLRHTQSEEKVVELERLQHLLMRASTIIEEADARYITNSGMMLQLKKLSEAMYRGYRVLDNSRYGALQDGAGFDEVSSNNSSSSSLYLANRSRTTTDKATRLESHGALESLEIAVANMAEFVVLLGGCERMSRRPYDVYLYTDNFMFGRHAEKQKLLSFLLQHNDPPAGDHALAILPIIGGAAVGKKTLVAYVCGDERVRSRFSTVLHLNGDNLMRILDDHGRISFGMVLVVIEFASDIGDDDWRKFLSLVIRMGRGSKIIIISRITRLARFGSVKTIFLSVLSYDELRYLFKTLAFGSTDPAQHPRLVQIADEFAKVLHSTQGSLVSTNTFADVLRRNLDVQFWRFVLDKGIKMIKRNLSIYGVHPTTLVEQGHPVDITDFASHPLSMTRYTINVSIKEELPTVKFGELLTDPSVRPKQDFIMISWESRMAPHNSFAHLVTSHAQDTHEASDALPGRKRRGVPI* >Brasy9G111000.1.p pacid=40062881 transcript=Brasy9G111000.1 locus=Brasy9G111000 ID=Brasy9G111000.1.v1.1 annot-version=v1.1 MDDKGKGKAKKETFGSVAPRKGLKFAPKKPPKKPAKVVPKTEPVEDFKDETIDKELLMKLKTSLSTDRFGRPLNIVKKEEIHTQVAFGQGNSSYARSFPTRHYSKESKAPKEYVDPWDYTNSDYPVTLPLRRPYSGDPEILDEEEFGESSASRAQDGELTAAEELGLMGRSDTPPLLLFQFPKSLPLLKQAQSVEEPNTGNKDNTGPASTRSKLTHEKTWPSSFTGSKIKDLPGGLMGKILVYKSGKVKMKIGDALFDVSPGVNCKFVQEVAAINIRENHCCTLGEISKYAVVTPDVAQLQDSVNRMEE* >Brasy9G111000.2.p pacid=40062882 transcript=Brasy9G111000.2 locus=Brasy9G111000 ID=Brasy9G111000.2.v1.1 annot-version=v1.1 MDDKGKGKAKKETFGSVAPRKGLKFAPKKPPKKPAKVVPKTEPVEDFKDETIDKELLMKLKTSLSTDRFGRPLNIVKKEEIHTQVAFGQGNSSYARSFPTRHYSKESKAPKEYVDPWDYTNSDYPVTLPLRRPYSGDPEILDEEEFGESSASRAQDGELTAAEELGLMGRSDTPPLLLFQFPKSLPLLKQAQSVEEPNTGNKDNTGPASTRSKLTHEKTWPSSFTGSKIKDLPGGLMGKILVYKSGKVKMKIGDALFDVSPGVNCKFVQEVAAINIRENHCCTLGEISKYAVVTPDVAQLQDSVNRMEE* >Brasy9G111000.3.p pacid=40062883 transcript=Brasy9G111000.3 locus=Brasy9G111000 ID=Brasy9G111000.3.v1.1 annot-version=v1.1 MDDKGKGKAKKETFGSVAPRKGLKFAPKKPPKKPAKVVPKTEPVEDFKDETIDKELLMKLKTSLSTDRFGRPLNIVKKEIHTQVAFGQGNSSYARSFPTRHYSKESKAPKEYVDPWDYTNSDYPVTLPLRRPYSGDPEILDEEEFGESSASRAQDGELTAAEELGLMGRSDTPPLLLFQFPKSLPLLKQAQSVEEPNTGNKDNTGPASTRSKLTHEKTWPSSFTGSKIKDLPGGLMGKILVYKSGKVKMKIGDALFDVSPGVNCKFVQEVAAINIRENHCCTLGEISKYAVVTPDVAQLQDSVNRMEE* >Brasy9G201900.1.p pacid=40062884 transcript=Brasy9G201900.1 locus=Brasy9G201900 ID=Brasy9G201900.1.v1.1 annot-version=v1.1 MVATTTTGMFLVCFDALCPCFGSKRKDGSENPVLARGETSSSSFELRSISDRISASPLRVPPSPSRFSLSSPPSRNDPLNLSLEQVIKLTRNFSPALMIGESYFGKAYRVDLQDGLVVAIKRARKEHFASLHAEFRNEIALLKKIEHRNLVQLLGYIDKGNERIVITEFVANGTLREHLDGQHGLVLGFGQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARIGSTEPGQSVIQTDVKGTAGYVDPEYLRTNYLTVKSDVFSYGILLLEILSGRRPIEVNRGAREKITVRWAFEKYNKGDVQSILDPMLTESVNEDILNKTFDVMFQCVAPTRADRPPMKEVVEKLWKIRRDYAKTQNRAEVAL* >Brasy9G253000.1.p pacid=40062885 transcript=Brasy9G253000.1 locus=Brasy9G253000 ID=Brasy9G253000.1.v1.1 annot-version=v1.1 MGLCSSSSAASPADPAGGNGNKEKGKKGSGGRGIVACGKRTDFGYDKDFEARYTIGKLLGHGQFGYTFAAVDRYSDERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSSKEGSPLKATDFGLSDFIRAGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWTNITPSAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDIDKSGTISLEELKQALSKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDSEKWESLSQAAFDKFDVDGDGYITSDELRMNTGLKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSSRNATPKSVSKS* >Brasy9G253000.2.p pacid=40062886 transcript=Brasy9G253000.2 locus=Brasy9G253000 ID=Brasy9G253000.2.v1.1 annot-version=v1.1 MGLCSSSSAASPADPAGGNGNKEKGKKGSGGRGIVACGKRTDFGYDKDFEARYTIGKLLGHGQFGYTFAAVDRYSDERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSSKEGSPLKATDFGLSDFIRAGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWTNITPSAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDIDKSGTISLEELKQALSKDVPWRLKGPRVLEIVEAGKWTNDISFR* >Brasy9G253000.3.p pacid=40062887 transcript=Brasy9G253000.3 locus=Brasy9G253000 ID=Brasy9G253000.3.v1.1 annot-version=v1.1 MGLCSSSSAASPADPAGGNGNKEKGKKGSGGRGIVACGKRTDFGYDKDFEARYTIGKLLGHGQFGYTFAAVDRYSDERVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSSKEGSPLKATDFGLSDFIRAGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWTNITPSAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDIDKSGTISLEELKQALSKDVPWRLKGPRVLEIVEAGKWTNDISFR* >Brasy9G264700.1.p pacid=40062888 transcript=Brasy9G264700.1 locus=Brasy9G264700 ID=Brasy9G264700.1.v1.1 annot-version=v1.1 MAAKTPQTPDPPPGARSRWSVPRPPGSVIPVMSPSFSRAPLRSRLAAAADTDAAAASSSSSVEPPCVTLWDWWLVRVEGEERKIAVSGFTQRDDTFTSAPIVKCHEPLTLEDEDGVVVRIYGSMSFSRMRENGFSPQICEKFMIGFPYWWESWDSHIESQPTSFSNSQEGSSQFNLEMFQLGKFHEKLEPSFIKNLLNDAKNFPRGYEDAFTECSRFEEYTFDNDISTKEKSAVSNDASEGPAAVANEVDNMEINLIVSSTSQERGHVDISCNVSFAPAEKCTCDETYKEAENQNDTVHPDAREQEAGSHPVNSDLICNRSPYRMPNDLEGGNTNAGNSTDVALCHLAAVPLGRANCCLEISGALQNIQPLSYQRNPVASLKNQGHLQRTEDISLNQKAVPSDDRSTSICSHVQTQEKTVGPSKKQRSARDIFLSPTRLPVTRSPMPSKKQISAHDKLLSPSTLPVTRSPISSVYHSPLTRGRAQSLISISTPESLNMKRTKSGRLVVPPLDLGCERILYDNNHLLLGVAPVELHSPLKGNKTGTPVKKKRAC* >Brasy9G115100.1.p pacid=40062889 transcript=Brasy9G115100.1 locus=Brasy9G115100 ID=Brasy9G115100.1.v1.1 annot-version=v1.1 MEVEPPPENYHGDPSAPGGLGYFHLRLLGPAASLLLLRSDRLYSLSFSRRRGHRLRLLTSPASRHLSRRRRDLLLSTSGCALRFTHYFSSPDAVRLNGRPLHRGGGPADLAVGDEVSLLLLGGRYGFVAEKFVSCERGEKAVGLREEGLALKAELLRKRLRAISESHDPLSFFGGSHCLADDSADSEVNKARRENGFLCLDNPINPVPQNFPKEIPEEKFLREECNLDKDKLEHHSEVADNHPVELSQRSKGYNNGNVEQHHTEGCYSDGSKIFLNRLTGIRPDIQTEEHSEVTLPQLLHPIGSLLRVFIATFTSDICWFLDYCNIPQHLPVTIACHNKERCWSASRESRMAAPFVNHPNVLLVYPQFPEVTAFGKDRKKQGVACHHPKLIVLQREDSMRVIITSANLVPRQWHLITNTVWWQDFPCRTSPDYSAIFSAVEEPKSDFAAQLVSFIGSLINEVPSQAYWINEIAKYNFEGAGGYLVTSVPGLYMPSPCYLESNYCLSEKHILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSQLKALSLFLGKCRENMHGTTEVILKRNTNIPADANAVSVLVGDLDKFSEEDSVQLGFLPREVAKWVSPLSDLGLFRFSAFIYPREALEAAFGVTNTKVQLLLYVAKGPEFSQISALIRDEHLPPLCSLVTSLKRSRGLWRLEEVLSQFKWPETLETDFVYSASSIGTSINPQFIANFASATGKRSDHDFDSEESDPEWGCWTAGHERKKPSISLLFPTIERVKSAACGVQLSRYLLSLPEKTWQRLRTTGIFHDAIPHPHDRIGHPMHVKVAQRRFQSRRGGHSFGWTYCGSHNFSPAAWGQPLRPPPKANPTEVTRAALSGPRLHICNYELGIILIVLPPGMSKVSGRKHGIDNITLPFAVPAPRYQHSDRPATPLAMREAMAEACIPQSNDFSEETDEEIPDEDDEHVVELSDCSPEDKEEEKIYAETLWGQVDSSQSQGKDS* >Brasy9G072100.1.p pacid=40062890 transcript=Brasy9G072100.1 locus=Brasy9G072100 ID=Brasy9G072100.1.v1.1 annot-version=v1.1 MLDIPTAVTTLLMKLNDSSDGGMTILRLFSLVSSSSARIGSTITRTLKRLVPQPNKSVMVQLFPFSWTPTTFNPPRLAIEPIISSDSNWHQFVYLKSSTHSLAKHAFHDSVLLWLDAPLQSLCDHPCLCNGQAT* >Brasy9G142900.1.p pacid=40062891 transcript=Brasy9G142900.1 locus=Brasy9G142900 ID=Brasy9G142900.1.v1.1 annot-version=v1.1 MRTGQARAPCPSPEGRRRSHGAGSPPPPAQTCRTNDASSTPTGVLTIEALSSRNLNCASSEDEDMLNQNAIRLCTQSTLTSVNMFEQGESSKGRKRPRGSTRCTGLTPEQRQARRDRDRKRRQSLTPDAREDINARRRAHRQSLPLEKHTSCVHQ* >Brasy9G320400.1.p pacid=40062892 transcript=Brasy9G320400.1 locus=Brasy9G320400 ID=Brasy9G320400.1.v1.1 annot-version=v1.1 MGYLSCKADSSGGARRPRRPRPAALPPAAATPEIERFAYDDLEAATSHFADAALLGRGSHGAVYKAVLPCGRAVAVDNEIRILSPGPAPRQPPRLLDSGPDPHRRPRLLVVEYMPNGTLYDLLHSNPRPPGWPRRLRLALQTARALRALHDAAPAVIHRDVKSANVLLDANLDAHLATSAALRVPNAASNAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIVSGRKAIDVQYSPPSVVEWAVPLLRKGKVVALFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVERLIVLSKAVSAKVWNGMAVVGNPCAIVDVQKTISKRAAASERESTSALAFDDDEKESDAALEELVPLVGARKPPRPLNNGRVFSEAGDRERRNLLELMARIDGVAGQRFGITRARTVRATSDLIEKDAVLILRNNQTVRVVESESLGKAERVLRKEQEKTGKIEKKAEQVQETEKILEKERKVEETVREILHKAENVQEKTGQILDKTEKIQDTAEVIKEEIKENAAVVQLNAENIQDKSEKIQCKMGES* >Brasy9G320400.2.p pacid=40062893 transcript=Brasy9G320400.2 locus=Brasy9G320400 ID=Brasy9G320400.2.v1.1 annot-version=v1.1 MGYLSCKADSSGGARRPRRPRPAALPPAAATPEIERFAYDDLEAATSHFADAALLGRGSHGAVYKAVLPCGRAVAVDNEIRILSPGPAPRQPPRLLDSGPDPHRRPRLLVVEYMPNGTLYDLLHSNPRPPGWPRRLRLALQTARALRALHDAAPAVIHRDVKSANVLLDANLDAHLATSAALRVPNAASNAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIVSGRKAIDVQYSPPSVVEWAVPLLRKGKVVALFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVERLIVLSKAVSAKVWNGMAVVGNPCAIVDVQKTISKRAAASERESTSALAFDDDEKESDAALEELVPLVGARKPPRPLNNGRVFSEAGDRERRNLLELMARIDGVAGQRFGITRARTVRATSDLIEKDAVLILRNNQTVRVVESESLGKAERVLRKEQEKTGKIEKKAEQVQETEKILEKERKVEETVREILHKAENVQEKTGQILDKTEKIQDTAEVIKEEIKENAAVVQLNAENIQDKSEKIQCKMGES* >Brasy9G262200.1.p pacid=40062894 transcript=Brasy9G262200.1 locus=Brasy9G262200 ID=Brasy9G262200.1.v1.1 annot-version=v1.1 MASSLARLGAVLQPRARRPLAAASRRILLPPGGRWDTPAAAASCPVSLYACRCQVHSNVKVSPPTSEAKDGGNSSQSWRIKMLYDGDCPLCMREVNMLRERNKSYGAINFVDISSKDYSAKDNQGLDYETAMGRIHAILADGTIVTDVEAFRRLYEEVGLGWVYAVTKYEPVATIANAVYGVWAKYRMEVTGRPPLEEIFAARRQVGECKDDTACKM* >Brasy9G229500.1.p pacid=40062895 transcript=Brasy9G229500.1 locus=Brasy9G229500 ID=Brasy9G229500.1.v1.1 annot-version=v1.1 MTNQLIHTEYLSPLITHHTLKNALMRHITRVELQTMILTRKQGYSGDNMLITNRTEKKDRLLMEYP* >Brasy9G040000.1.p pacid=40062896 transcript=Brasy9G040000.1 locus=Brasy9G040000 ID=Brasy9G040000.1.v1.1 annot-version=v1.1 MSGEDVRKVRNLIEGCLQLYMNQKEVVDTLSLEAKIEPSFTEFVWQKLEEENREFFKAYYLRLMLKNQIRAFNKLLEDQFQLMSKNYSSGGPSMPLTNGSNSTTLKQNSCFLPDSAPVSAMPNGVMGNGSSGGLVNGTASSDQLIYAGKDIHGLHSGMDASTSVLSAQNAASAVLFGADNGTTIKTESGYSSNADFAFCGNAFLESCQSIGDASGGSFSSSELNGQPLNDSIMDMESSSFGFFNQIPRNFSFSDLSEDFNQNAEILENYGRSPFLSSDPNNLSDSTGGEQTG* >Brasy9G040000.2.p pacid=40062897 transcript=Brasy9G040000.2 locus=Brasy9G040000 ID=Brasy9G040000.2.v1.1 annot-version=v1.1 MSGEDVRKVRNLIEGCLQLYMNQKEVVDTLSLEAKIEPSFTEFVWQKLEEENREFFKAYYLRLMLKNQIRAFNKLLEDQFQLMSKNYSSGGPSMPLTNGSNSTTLKQNSCFLPDSAPVSAMPNGVMGNGSSGGLVNGTASSDQLIYAGKDIHGLHSGMDASTSVLSAQNAASAVLFGADNGTTIKTESGYSSNADFAFCGNAFLESCQSIGDASGGSFSSSELNGQPLNDSIMDMESSSFGFFNQIPRNFSFSDLSEDFNQNAEILENYGRSPFLSSDPNNLSDSTGGEQTG* >Brasy9G182300.1.p pacid=40062898 transcript=Brasy9G182300.1 locus=Brasy9G182300 ID=Brasy9G182300.1.v1.1 annot-version=v1.1 MQAARQVDDKECYNYTTNGGGGGGGGAAINGRRKNPGAPSSRGPKPMPSKWDDAQKWLVGSAAAAAAKPRNSNADDRRLLSSSCSQNGRISCSSMDGVLEYNMVAALAPPTPPQLGEDDGETKNMDEAVVRASVCLRDMGTEMTPIASKEPSRAATPLRASTPVDARSPVSSRSSTPARAKPWQQDLPLAAATVVRTPEPLHGGEAESHVPSRNSLESRAAAWDEAERAKFTARYKREEVKIQAWENHEKRKAEMEMKKIEMKAEQMKARAQERLANKLAAARRVAEEKRASAEAMLNEGAARTSEKADYIRRTGHLPSSFFSFSFRIPSLCG* >Brasy9G182300.2.p pacid=40062899 transcript=Brasy9G182300.2 locus=Brasy9G182300 ID=Brasy9G182300.2.v1.1 annot-version=v1.1 MQAARQVDDKECYNYTTNGGGGGGGGAAINGRRKNPGAPSSRGPKPMPSKWDDAQKWLVGSAAAAAAKPRNSNADDRRLLSSSCSQNGRISCSSMDGVLEYNMVAALAPPTPPQLGEDDGETKNMDEAVVRASVCLRDMGTEMTPIASKEPSRAATPLRASTPVDARSPVSSRSSTPARAKPWQQDLPLAAATVVRTPEPLHGGEAESHVPSRNSLESRAAAWDEAERAKFTARYKREEVKIQAWENHEKRKAEMEMKKIEMKAEQMKARAQERLANKLAAARRVAEEKRASAEAMLNEGAARTSEKADYIRRTGHLPSSFFSFSFRIPSLCG* >Brasy9G177100.1.p pacid=40062900 transcript=Brasy9G177100.1 locus=Brasy9G177100 ID=Brasy9G177100.1.v1.1 annot-version=v1.1 MDPKKSIDDKFSKLHPSLPVDTKIGIVGAGPSGLSAAYALAKLGYCNVTVFEKCQTVSGMCESIDIEGKTFDLGGQVIAANSAPVITHLAKEVGAEFEEMDSHKLALIDSQTGNIRDLEVAEDYVSMISLTLKLQDEANKSGRVGIHALSGLASDPTLEFLRQHGINSVPKSVAYSYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSQSLPFEVLCGTEVLRVKRNSCGASVTIKKNNGDEQVMEVDKIIFSGALAFKNGNTYRSSSLTDGESEVAELNDLERELFSKVQTIDYYTTVVKIKGLEHIPKGFYYFGEFMEDPTTIGHPVAMQKFFDDTDIFLFWSYGNSADIKGSSVAKCVTDIVSSMGGTAQNVLLQRRFKYFPHVSSEDMKDGFYERFESQLQGFQNTYYVGGLLAFELTERNASYSIATVCKHFAVDVEQTVTPYIKRLFPLSRNSNPSPPRDLGELEGVEFPDLPSLDGYLEYWGTHRVTKNNVIYTWINEEGKIMNQRTYQELHENASHIAHKLLTSTKPIIKPGDRVILIHLPGLEFIDAFFGCIRAGVIPVPVIPPDPMQRGGQALLKVENVSKVCNAVAILSTNSYHAAVRVGYVKNIVTLAKSQKYSAQWPDIPWIHTESWIKNYRRSPDSLNSDNVVSMRIKSQPSDLCFLQFTSGSTGDAKGVMINHGALIHNVKMMKRRYKSTSKTVLISWLPQYHDMGLIGGIFTALVSGGTSILFSPMTFIRNPLLWLQTISDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMIFLMIAAEPVRQKTCRRFIELTQPLGLSEGVLAPGYGMAENCVYICCAFGECKPVFIDWQGRVCCGYVEKDDADIDIRIVDADTLIEHQDDGVEGEIWISSPSSGVGYWGNQEMSHTTFYNQLKTSPSKRFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVENSSEVLRPGCCAVVGVPEEVLTQKGITIPDSSDQVGLVVIAEVREGKAVSTEIIDNIKTRVAEEHGVAVASVKLIKPRTISKTTSGKIRRFECMKQFVDNNLSLADGNHPSKKKSLFRSLTTGTGMDTRRPFLKQTVESTFHPRPKSEVKNSKEITEFLTQLVSDQSGIPKDKISPTGSLPSYGFDSIAVVRAAQKLSDFLGIPVGAIDIFAASCISELASFLEILLNKSQPQLSPHPKSKGKNSKEIVEFLTQIVSEQSGIPKDKISPTGSLPSYGFDSIAVVRAAQKLSDFMGTPVGAIDIFAASCISELASFLENLLPKSQPLLASDLSCSSEDESLVVPIDITADFSVLATGILQSIALIYVCFMLLLPAYLASSTYMHMLYPVSLVKSSLMSYLSSLVMAPIAWIFYVMLTSLSLSILGKSFLQPNYALTPDVSIWSVDFVKWWALNKAQGLAAKTLAVHLKGTVFLNYWFKMQGARIGSSVVIDTVDITDPSLLVVADGAVIAEGALIQGHEVRDEVLSFRRVRIGREASIGPYAVLQKGTTVDDSAVVPPLQKIEPGKLTYQTKRTSTDMKEETRKAGILLEHLVSIYAVAFLGSLSSATVYMLYTHFFGNEASFQHFSFACIAGAFHWLPAVIAAYPVIVQETPTRALTFALSITFAYLSYGVILSLLTSIADTALATRTGTKQQLMRTSLIQRRINIAVHLRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRSIDAVANPELISIGDGVHLGDFCHIVPGFYSGKAFTGAEIKVQENTIVGSGSLLLPGSVLQENVILGALSVAPENSLLQRGGIYVGAQSLTMVKNTLLMEDERIEVMDPMYKKIVGNLSANLAITTMNVKSRYFHRIGVSGRGVLKMYQDIPSLPKHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREDHVKRVPHIRDAVWGSLRDTNSYTVLHYYSNICRLLRFEGGKEMYAKFKLRPMDQDISEESGQVVPRGILPPETGAIPRDENDTRPLLFLANDFHRRVESPDGVGYVVQLQLREVPSDSATCDVALDCTRPWDEAEFPYIDIGEIRIDSNVPADETEKLEFNPFLRCREVDVIPATSCKQSASIDHGRSLVYEICQRLRNGEPLPASWAAFLEQSDTKINLSGCPVAAATHTRSNAGDATKPKVTLARAWYKALWATLCQPLLQTLVPYFVLSLVIFLPFRGLLAFATATGTPLYWLLPVFWTTSGIAAMATCAAAKWALVGVRADGDAVHIWAPQVFLDTVWQAIRTATGEYFAELTCGSVLFAAWMRMMGSTVAVAEGVYVDSMGTLLNPEMVHLERGASVGRDALLFGHVYEGEAGKVKFGKVHVGEDGFVGSRAVAMPGVVVEDGGYLGALGLAMKEEVVRHKL* >Brasy9G239400.1.p pacid=40062901 transcript=Brasy9G239400.1 locus=Brasy9G239400 ID=Brasy9G239400.1.v1.1 annot-version=v1.1 MQSPAVNNAKLSPVQQQVASAAAGARRVSGAGNNLAVVLQRRPHRPVGAVLAVAPPNASALAEAVCTTTAKNNTNNEKQDVKLREDKYFETEMTVQDCELDKYGVVNNSIYASYIEKAREELLLSLGINTGWIICTGNLMALSELNLKYFTPLRRGDKFVVRVRLVRIKGVRIFAEHIIETMPDRKLVVEATATVVCLNKDYRPTRVFPEMSDKLHRFFST* >Brasy9G196500.1.p pacid=40062902 transcript=Brasy9G196500.1 locus=Brasy9G196500 ID=Brasy9G196500.1.v1.1 annot-version=v1.1 MGANGHTPTASVAAQNGSHSSGGGGGGGGGGGGNPSPRSTAAALRHDPGLSQDWSSEEQAILDDLLVKLASDAPVIRYAKIAMKLPQKTVRDVALRCRWMNKKESVKRKKEDHSSVKKSKDKKEKVSDSSSKPPVHIAGRPSVPPYPLPALPIDDDEISSKAIGGPTGELLETNAQVLSQISTNLGTMQIQDNISLLCQTRDNILRVLKEMNDAPEIMKQMPPLPVKINEELVNSILPRPTVPMQ* >Brasy9G196500.2.p pacid=40062903 transcript=Brasy9G196500.2 locus=Brasy9G196500 ID=Brasy9G196500.2.v1.1 annot-version=v1.1 MGANGHTPTASVAAQNGSHSSGGGGGGGGGGGGNPSPRSTAAALRHDPGLSQDWSSEEQAILDDLLVKLASDAPVIRYAKIAMKLPQKTVRDVALRCRWMNKKESVKRKKEDHSSVKKSKDKKEKVSDSSSKPPVHIAGRPSVPPYPLPALPIDDDEISSKAIGGPTGELLETNAQVLSQISTNLGTMQIQDNISLLCQTRDNILRVLKESVFLSAVVAVGNASHVGMWPSKFTGQLVV* >Brasy9G243600.1.p pacid=40062904 transcript=Brasy9G243600.1 locus=Brasy9G243600 ID=Brasy9G243600.1.v1.1 annot-version=v1.1 MASSSSSSSKAPPPPEKNPPDLQGKKTASKTKAKTKAAVRRRMTQAEIESYIRYESVHIPEDIIPRVSKERLALTDLSDQGRLPVPYDKLDDHVVRIIRDINKIQSDFLKERGQIVTEYHAKGYVERDFTDDEDERAGGGAACPPPPAGRRRHRPGVAKQAGSVKKVN* >Brasy9G059000.1.p pacid=40062905 transcript=Brasy9G059000.1 locus=Brasy9G059000 ID=Brasy9G059000.1.v1.1 annot-version=v1.1 MRSSMALVAAAAAALLLVSLAAAADMSIVSYGERSEEEVRRMYVEWMAENGRTYNAIGEEERRFEVFRDNLRYVDQHNAAADAGLHSFRLGLNRFADLTNEEYRDTYLGVRSKPERERKLSGRYQAADNEELPESVDWREKGAVAKVKDQGGCGSCWAFSAIAAVEGINQIVTGDMIALSEQELVDCDTSYNQGCNGGLMDYAFEFIINNGGIDSEEDYPYKERDNRCDANKKNAKVVTIDAYEDVPVNSELSLKKAVANQPISVAIEAGGRAFQLYKSGIFTGRCGTALDHGVTAVGYGSENGKDYWIVKNSWGTVWGEDGYVRLERNIKATSGKCGIAIEPSYPLKKGANPPNPGPTPPSPAPPSTVCDSYNECPASTTCCCIYNYGKECFAWGCCPLEGATCCDDHYSCCPHSYPICNVQQGTCLAGKDSPMSVKALKRILAKPHGTFSGKSSA* >Brasy9G236800.1.p pacid=40062906 transcript=Brasy9G236800.1 locus=Brasy9G236800 ID=Brasy9G236800.1.v1.1 annot-version=v1.1 MGGGSALLLLGLLLLRLNWGLAVGGGGAEEEGGVFPVVVSTWPFLEAVRAAWEVVAAGGAGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGTTMEIGAVAAMRYVKDGIKAAKLVMEHTDHTLLVGEKATAFAISMGLAGPTNLSSPESIEKWTNWRLNHCQPNFWKNVVPAGICGPYHPVNLPPFGFKYPVEGTVEETQGATCQGWLQSDNVLEPTNSHFKSVNHHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRVGDGPIPGSSAYGDDEVGACGATGDGDIMMRFLPCYQVVESMRQGMEPRDAAMDAISRIARKYPDFIGAVFAMNKKGVHAGACHGWTFQYSVRNFSMQDVEVITVSPYMARP* >Brasy9G353300.1.p pacid=40062907 transcript=Brasy9G353300.1 locus=Brasy9G353300 ID=Brasy9G353300.1.v1.1 annot-version=v1.1 MESLAQRAALLRESLGKSQAATDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYSSSDRVLSHVNALLSKALVKMEGEFQNQLSQRSKPMEPDRLFDCLPSTLRPSSESRSEGGKHPSAGAQSENMEAVAYSPPALIEPKFIPLLAKLAQQLVQAGCQQQCAEIYSEARSSALESSLKNLGVEKLSKEEVQKMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFSAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRVAWSKVLQCLSGQGLTSSGGSGQVGTDGGNSSGASRTAVKERFRSFNVLFEEIYQKQCGWSVPDSELRESLRLAVAEILLPAYRSFQKRFGPLIENSKAPGKYVKHTPEQLELFLGNLFEGKQERP* >Brasy9G152100.1.p pacid=40062908 transcript=Brasy9G152100.1 locus=Brasy9G152100 ID=Brasy9G152100.1.v1.1 annot-version=v1.1 MERAEAEVEVVADGGHTPHSAPSTAPSARVSIWESVRACGVWGKEVDKAELQRRVVMPLYARRAVAAAVAAKDEAAGVAAAAAAKGEEVEGEEVAVVTPMVVFVNSKSGGRHGPELKVRLHELISKEQVFDLSVVKPSDFVHYGLSCLERLSDQGDNCAKDIRGKLRIVVAGGDGTVGWVLGCLQDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAGTAPIVHLDSWQAVITMPEGQIEELPHALKKVEPTDRLEFSKENGSELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLRNILRLYIKRVNCSEWEQIQMPSSVRSLVVLNLYNYGSGRHPWGNLKPDYLEKRGFVEAHSDDGLIEIFGLKEGWHASLVMAELIKAKHIAQAAAIKFEMRGGEWDRAYVQMDGEPWKQPLIQDQSTIVEINKVPYHSLMINGEQ* >Brasy9G283600.1.p pacid=40062909 transcript=Brasy9G283600.1 locus=Brasy9G283600 ID=Brasy9G283600.1.v1.1 annot-version=v1.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVADGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLLDHPGMIPVTTVQGEELRKQIGALYYIECSSKTQQNVKAVFDAAIKVVIQPPTKQREKKKKKQRHGCSMTNIFGGRKMLCFKS* >Brasy9G283600.2.p pacid=40062910 transcript=Brasy9G283600.2 locus=Brasy9G283600 ID=Brasy9G283600.2.v1.1 annot-version=v1.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVADGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLLDHPGMIPVTTVQGEELRKQIGALYYIECSSKTQQEHLRRKENAMLQILNEQRGLLPEAMPRMQPQEIWMCCLHS* >Brasy9G313900.1.p pacid=40062911 transcript=Brasy9G313900.1 locus=Brasy9G313900 ID=Brasy9G313900.1.v1.1 annot-version=v1.1 MADSGEHATAADKISSEPAVDYPCVTRLRHRRLAAFLLSQGFIRSYGEVMYSTGAHMCLDILQLQVYQGKWMEAVQYLDRHLPPRTGPGSKSRSLRADVLRDFLLTHHRFALAVAGKVNEDHNKFRPVDYFDLCHRRDVSHADLRLRSISMSVLAYDSVRANFDWEKVRNRAAVLVGRFAPVVPELRRCITLPTNCTTPQHVLPIASGSWRQRYQVKKKDAGSRQRRTKAVIRALKRQSCAGSRKCVGTIEEAKELLADLVDDTLGAGVLSYKSISYQPRKAQGEKKRSRDESTSATEGRKPKQQRITKMVTVRLGNEWSFSKEASLLEPAPITTEYSMYVGRESRPTGP* >Brasy9G351500.1.p pacid=40062912 transcript=Brasy9G351500.1 locus=Brasy9G351500 ID=Brasy9G351500.1.v1.1 annot-version=v1.1 MAGKKRKSAASEKQPKSGRLPLGADADAVADAGKRRRSGAGKRHQAEEEASVPSSISAKILREALKQQQEEGLAEPAAATAPAVVAPAGASSSFAVPVGDGDEEDEDVDEFDGFDAQSEYDGGVAEIDEEDEKALAAFMSKDTSSKRTLGDIILQKIREKDATVSVEGRPPVKLDDRVVEIYKEVGKLLSRYTSGKIPQSFKRIPSLVCWAEVLQLTEPEHWSPNAVYQATRLFSSNMNAKNAVRFYDAILLPRIRNDIKQNKRLHFALYQSIKKSLYKPAAFFKGILLPLCQEGNCTLREAVIIGSIIQKVTIPPLHASAALMKLADMEYCGTTSYFIKLFLDKKYALPYRVLDAVFAHFMRFLDDERNMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRSSANRGEKATDMSICSPVSVITKPIEEDRWDVPEVPMEED* >Brasy9G238000.1.p pacid=40062913 transcript=Brasy9G238000.1 locus=Brasy9G238000 ID=Brasy9G238000.1.v1.1 annot-version=v1.1 MVVHVVYRRRENSWRGSDSSVRFLGAAMSNPPAKHHIRLTSRAAGSPLCSGIALTPASPTRRSGARTGALALRATSPPPPSSTISIASASSWDSLRLDGEENWEEVVAAGDETLGAEDAALRASKGSADVYGVVLDDPPTDDEVRAAVASIQRVFENPSGVDSDALELQALALPISGLTSSGMFDNYFAADPDASGMQTVELANLGHIDDCTEPAAVALNSTALLPREHQNVLDAFQLLKEDSSVQKMVMALSTDKAVWNAVMNNEVVQEFKKSFQDAKETDTKGSSGSPPGMMKWVLENTQVKIKEFLEKILQLVNTLFQAKSKDYDLSDDIVRMSFMLSVFVFIVVTVARIN* >Brasy9G357100.1.p pacid=40062914 transcript=Brasy9G357100.1 locus=Brasy9G357100 ID=Brasy9G357100.1.v1.1 annot-version=v1.1 MGSVLTRLVHDQPWGTYSSLPLDDDDDICVRGPLTKQRQDAEDALFHARTALKKYNSNHPGPDFYPVEAKAACVGFRQDFWYHVGFSARTVRRDDEQQQQQQQEEERYFFAELRFDRRSRGHLHVESCIMLEKHPGSYRSRCALCPDRFKILHPSDAEFVCGKKGHRKKFFRERDMLGRPLRIRGISRKHCACFADLSV* >Brasy9G074800.1.p pacid=40062915 transcript=Brasy9G074800.1 locus=Brasy9G074800 ID=Brasy9G074800.1.v1.1 annot-version=v1.1 MNVWLHSGNQPDRLPSPRAGLLPETRTWVQRKLANCEFGIHPQRIDQFEKKLLDEIDIDPIVADFASRNVRRKF* >Brasy9G267100.1.p pacid=40062916 transcript=Brasy9G267100.1 locus=Brasy9G267100 ID=Brasy9G267100.1.v1.1 annot-version=v1.1 GFVCLSSLKREPRNPNPRPKRPLLFRDRRDAKIGTETPAAAAAASGEGDAGRKRPSGDDAPADSKRRRAEAPSSGSRDRNHHHRHHHQKPREGDGGKEKKEKLRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREQIAAGELKFEAAATDNSDCNSAKRGGDLGPFERGKMQKAFEKAVVALKVGEMSDVVDTDSGVHIILRTG* >Brasy9G192000.1.p pacid=40062917 transcript=Brasy9G192000.1 locus=Brasy9G192000 ID=Brasy9G192000.1.v1.1 annot-version=v1.1 MIASLCLLHASVCPLPELLSRYGNGVGRKYIGTETSLTHSRIMSSSLSCSHLLPPLCHRPAVPFSPSWLRLLSYTHLAPMHSRGHVLPCPAAHRRLPLLVFPGLSLLFMDWAPQWDIDGDMGRPCTVRKHHLD* >Brasy9G082300.1.p pacid=40062918 transcript=Brasy9G082300.1 locus=Brasy9G082300 ID=Brasy9G082300.1.v1.1 annot-version=v1.1 MASSSSSSEVPPTPSLCAEANAVLSVDAKLMMATGRGDCLQLKDLLRKEDTTAMASSIQASTANPLPEVMSTLLLSLACSGSWQEMEFLLNRGGNCGAQPSVKPSQAFLDQVTAYSLGSCSIGSPSAQEASDDVEAFLSLPALSNVSLLEGVTVEGGTALHVVATYGDSDGFLRSADIIYRKANRLLFVQNNNGDTPLHCAARAGMSKMVSHLIRLARGDDASVNRVKELLEMENKLKETALHQAVRIGNNDIVKLLMEENWELANFPKDGTSPLYLAILLEEGNIVETLYNASHMKLSYSGENGQNALHAAVLRGTDLTKKLLKWNNHLTTQRDENGSTPLHFAAALLRQSQQGSVCWQVLEANPAALYQSDHNGLFPIHVAASVGERGTIAMFLSKSPSCAGLHDIKGRTFLHVAAEKKKVRIVRYACRNQSLSWILNMQDNDGSTALHLAIKAGSLIMFCALLGNRHVHLNLTNKKGQTPLDISRYKIPPGFFDDQNSERKIHFALTITNACSGGCRRDHFEENYTHQLEHNEREETEKVKESTQTLCIGAVLIATVMFGAIFALPGGYRIGDHDNGGTPALPGRYAFDGFIIASTFAFILSAMATVSLMYSGCSILNPYTRRIYLLVALYLGSTAITCFTTAFALGMYMVLAPVARKTALAICGISPLVVVCNKMEFWLKWALLTRPLCARIGLIRTVVMVSTRILLNLFMEFWPIIFTFVWATYASNQFEKSK* >Brasy9G082300.2.p pacid=40062919 transcript=Brasy9G082300.2 locus=Brasy9G082300 ID=Brasy9G082300.2.v1.1 annot-version=v1.1 MASSSSSSELKDLLRKEDTTAMASSIQASTANPLPEVMSTLLLSLACSGSWQEMEFLLNRGGNCGAQPSVKPSQAFLDQVTAYSLGSCSIGSPSAQEASDDVEAFLSLPALSNVSLLEGVTVEGGTALHVVATYGDSDGFLRSADIIYRKANRLLFVQNNNGDTPLHCAARAGMSKMVSHLIRLARGDDASVNRVKELLEMENKLKETALHQAVRIGNNDIVKLLMEENWELANFPKDGTSPLYLAILLEEGNIVETLYNASHMKLSYSGENGQNALHAAVLRGTDLTKKLLKWNNHLTTQRDENGSTPLHFAAALLRQSQQGSVCWQVLEANPAALYQSDHNGLFPIHVAASVGERGTIAMFLSKSPSCAGLHDIKGRTFLHVAAEKKKVRIVRYACRNQSLSWILNMQDNDGSTALHLAIKAGSLIMFCALLGNRHVHLNLTNKKGQTPLDISRYKIPPGFFDDQNSERKIHFALTITNACSGGCRRDHFEENYTHQLEHNEREETEKVKESTQTLCIGAVLIATVMFGAIFALPGGYRIGDHDNGGTPALPGRYAFDGFIIASTFAFILSAMATVSLMYSGCSILNPYTRRIYLLVALYLGSTAITCFTTAFALGMYMVLAPVARKTALAICGISPLVVVCNKMEFWLKWALLTRPLCARIGLIRTVVMVSTRILLNLFMEFWPIIFTFVWATYASNQFEKSK* >Brasy9G082300.3.p pacid=40062920 transcript=Brasy9G082300.3 locus=Brasy9G082300 ID=Brasy9G082300.3.v1.1 annot-version=v1.1 MASSSSSSEVPPTPSLCAEANAVLSVDAKLMMATGRGDCLQLKDLLRKEDTTAMASSIQASTANPLPEVMSTLLLSLACSGSWQEMEFLLNRGGNCGAQPSVKPSQAFLDQVTAYSLGSCSIGSPSAQEASDDVEAFLSLPALSNVSLLEGVTVEGGTALHVVATYGDSDGFLRSADIIYRKANRLLFVQNNNGDTPLHCAARAGMSKMVSHLIRLARGDDASVNRVKELLEMENKLKETALHQAVRIGNNDIVKLLMEENWELANFPKDGTSPLYLAILLEEGNIVETLYNASHMKLSYSGENGQNALHAAVLRGTDLTKKLLKWNNHLTTQRDENGSTPLHFAAALLRQSQQGSVCWQVLEANPAALYQSDHNGLFPIHVAASVGERGTIAMFLSKSPSCAGLHDIKGRTFLHVAAEKKKVRIVRYACRNQSLSWILNMQDNDGSTALHLAIKAGSLIMFCALLGNRHVHLNLTNKKGQTPLDISRYKIPPGFFDDQNSERKIHFALTITNACSGGCRRDHFEENYTHQLEHNEREETEKVKESTQTLCIGAVLIATVMFGAIFALPGGYRIGDHDNGGTPALPGRYAFDGFIIASTFAFILSAMATQSHASQQPLHWVCTWC* >Brasy9G082300.5.p pacid=40062921 transcript=Brasy9G082300.5 locus=Brasy9G082300 ID=Brasy9G082300.5.v1.1 annot-version=v1.1 MASSSSSSEVPPTPSLCAEANAVLSVDAKLMMATGRGDCLQLKDLLRKEDTTAMASSIQASTANPLPEVMSTLLLSLACSGSWQEMEFLLNRGGNCGAQPSVKPSQAFLDQVTAYSLGSCSIGSPSAQEASDDVEAFLSLPALSNVSLLEGVTVEGGTALHVVATYGDSDGFLRSADIIYRKANRLLFVQNNNGDTPLHCAARAGMSKMVSHLIRLARGDDASVNRVKELLEMENKLKETALHQAVRIGNNDIVKLLMEENWELANFPKDGTSPLYLAILLEEGNIVETLYNASHMKLSYSGENGQNALHAAVLRGTDLTKKLLKWNNHLTTQRDENGSTPLHFAAALLRQSQQGSVCWQVLEANPAALYQSDHNGLFPIHVAASVGERGTIAMFLSKSPSCAGLHDIKGRTFLHVAAEKKKVRIVRYACRNQSLSWILNMQDNDGSTALHLAIKAGSLIMFCALLGNRHVHLNLTNKKGQTPLDISRYKIPPGFFDDQNSERKIHFALTITNACSGGCRRDHFEENYTHQLEHNEREETEKVKESTQTLCIGAVLIATVMFGAIFALPGGYRIGDHDNGGTPALPGSNHMLHNSLCTGYVHGASSSCS* >Brasy9G082300.4.p pacid=40062922 transcript=Brasy9G082300.4 locus=Brasy9G082300 ID=Brasy9G082300.4.v1.1 annot-version=v1.1 MASSSSSSELKDLLRKEDTTAMASSIQASTANPLPEVMSTLLLSLACSGSWQEMEFLLNRGGNCGAQPSVKPSQAFLDQVTAYSLGSCSIGSPSAQEASDDVEAFLSLPALSNVSLLEGVTVEGGTALHVVATYGDSDGFLRSADIIYRKANRLLFVQNNNGDTPLHCAARAGMSKMVSHLIRLARGDDASVNRVKELLEMENKLKETALHQAVRIGNNDIVKLLMEENWELANFPKDGTSPLYLAILLEEGNIVETLYNASHMKLSYSGENGQNALHAAVLRGTDLTKKLLKWNNHLTTQRDENGSTPLHFAAALLRQSQQGSVCWQVLEANPAALYQSDHNGLFPIHVAASVGERGTIAMFLSKSPSCAGLHDIKGRTFLHVAAEKKKVRIVRYACRNQSLSWILNMQDNDGSTALHLAIKAGSLIMFCALLGNRHVHLNLTNKKGQTPLDISRYKIPPGFFDDQNSERKIHFALTITNACSGGCRRDHFEENYTHQLEHNEREETEKVKESTQTLCIGAVLIATVMFGAIFALPGGYRIGDHDNGGTPALPGRYAFDGFIIASTFAFILSAMATQSHASQQPLHWVCTWC* >Brasy9G368100.1.p pacid=40062923 transcript=Brasy9G368100.1 locus=Brasy9G368100 ID=Brasy9G368100.1.v1.1 annot-version=v1.1 MAMASPRLLRGLIAPLSPADWLPCHQLLASASLLQQWLPWLALPRLRSPSCSDGFKLLLVLLLVSAALAEVRFVASSSMAPTIRQGDRAVAEKVTYLFRRPSVGDIVFFRVPTALQNCGINKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQNEHYTAAHGSDTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIGNIVGRYMMSFTKSSFQ* >Brasy9G368100.2.p pacid=40062924 transcript=Brasy9G368100.2 locus=Brasy9G368100 ID=Brasy9G368100.2.v1.1 annot-version=v1.1 MAMASPRLLRGLIAPLSPADWLPCHQLLASASLLQQWLPWLALPRLRSPSCSDGFKLLLVLLLVSAALAEVRFVASSSMAPTIRQGDRAVAEKVTYLFRRPSVGDIVFFRVPTALQNCGINKDVVFIKRVLATPGDFIERLPEGHVFVMGDNRNNSCDSRAWGPLPIGNIVGRYMMSFTKSSFQ* >Brasy9G368100.3.p pacid=40062925 transcript=Brasy9G368100.3 locus=Brasy9G368100 ID=Brasy9G368100.3.v1.1 annot-version=v1.1 MAMASPRLLRGLIAPLSPADWLPCHQLLASASLLQQWLPWLALPRLRSPSCSDGFKLLLVLLLVSAALAEVRFVASSSMAPTIRQGDRAVAEKVTYLFRRPSVGDIVFFRVPTALQNCGINKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQNEHYTAAHGSDTMEAMIDIPKSC* >Brasy9G368100.4.p pacid=40062926 transcript=Brasy9G368100.4 locus=Brasy9G368100 ID=Brasy9G368100.4.v1.1 annot-version=v1.1 MAMASPRLLRGLIAPLSPADWLPCHQLLASASLLQQWLPWLALPRLRSPSCSDGFKLLLVLLLVSAALAEVRFVASSSMAPTIRQGDRAVAEKVTYLFRRPSVGDIVFFRVPTALQNCGINKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQNEHYTAAHGSDTMEAMVHR* >Brasy9G368100.5.p pacid=40062927 transcript=Brasy9G368100.5 locus=Brasy9G368100 ID=Brasy9G368100.5.v1.1 annot-version=v1.1 MAMASPRLLRGLIAPLSPADWLPCHQLLASASLLQQWLPWLALPRLRSPSCSDGFKLLLVLLLVSAALAEVRFVASSSMAPTIRQGDRAVAEKVTYLFRRPSVGDIVFFRVPTALQNCGINKDVVFIKRVLATPGDFIEGTSSYWQHRWEIHDVLYKILFPIAKLKTEEV* >Brasy9G054200.1.p pacid=40062928 transcript=Brasy9G054200.1 locus=Brasy9G054200 ID=Brasy9G054200.1.v1.1 annot-version=v1.1 MAGQAKTYIWMKGSQDWVMINAQPKAQCDVDAICGPFTICTDDQAPHCNCMEGFTITSPGDWELEDRKDGCSRNTQVDCITNTSTTHTTDKFYSVPCVRLPRSARKVEAAKSASKCAQVCLNNCSCTAYSFGDSGCSVWHNELHNVKRLQCSDSSNSDGGTLYLRLSAKDVESLNNNRRGIVIGVVAGTGVSALGLFALILLLMIWRNKKKNSGRILNGSQGCNGIIAFRYNDLQRATKNFTNKLGRGSFGSVFKGFIKDSNAIAVKRLDGAYQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSKRLLVYEYMSNRSLDVHLFRSNSTMLSWTARYQIALGIARGLAYLHDSCRDSIIHCDIKPENILLDASFLPKIADFGMAKILGRDFSRVLTTMRGTVGYLAPEWITGVAITPKVDVYGYGMVLLEIISGRRNTWTTCCTNGNLDVYFPVHAARKLLEGDVGSVVDQMLDGDVNLDEAELLCKVACWCIQDDEFDRPTMGEVVQILERLVEIGMPPIPRRLQALAGCLHSTASSDSQRAS* >Brasy9G054200.2.p pacid=40062929 transcript=Brasy9G054200.2 locus=Brasy9G054200 ID=Brasy9G054200.2.v1.1 annot-version=v1.1 MAGQAKTYIWMKGSQDWVMINAQPKAQCDVDAICGPFTICTDDQAPHCNCMEGFTITSPGDWELEDRKDGCSRNTQVDCITNTSTTHTTDKFYSVPCVRLPRSARKVEAAKSASKCAQVCLNNCSCTAYSFGDSGCSVWHNELHNVKRLQCSDSSNSDGGTLYLRLSAKDVESLNNNRRGIVIGVVAGTGVSALGLFALILLLMIWRNKKKNSGRILNGSQGCNGIIAFRYNDLQRATKNFTNKLGRGSFGSVFKGFIKDSNAIAVKRLDGAYQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSKRLLVYEYMSNRSLDVHLFRSNSTMLSWTARYQIALGIARGLAYLHDSCRDSIIHCDIKPENILLDASFLPKIADFGMAKILGRDFSRVLTTMRGTVGYLAPEWITGVAITPKVDVYGYGMVLLEIISGRRNTWTTCCTNGNLDVYFPVHAARKLLEGDVGSVVDQMLDGDVNLDEAELLCKVACWCIQDDEFDRPTMGEVVQILERLVEIGMPPIPRRLQALAGCLHSTASSDSQRAS* >Brasy9G035000.1.p pacid=40062930 transcript=Brasy9G035000.1 locus=Brasy9G035000 ID=Brasy9G035000.1.v1.1 annot-version=v1.1 MVFIPINMTDFHWYLTVIHTGKRCVQVLDSLGMGIHRRDLAFYLVAVEKLFRIASQQTELNSDKWKDLNVTSWSREECIKRPMQTDGSSCGLWMLNFLEYSTGDILSDIPNQDNITQFRTKLPVILVDSELNNDNVRNQDDFELDERNTDPDDCVILDSPAKRAKTSNSSSQFEDLSELFFSLTIKPTNDDLIDLLCVQLAMIDASLFEIEWVRISKPYPISLTLRQIRNILFTDYMDADCFNVAVRVLASHPSNFCRDELVHFMDLKFCTMSKFARDAGCRERLDVDQLEQLFRSWPNCIYEYHISDCDTLQIYLPYEIYGLYMLFVINLKEKIVYI* >Brasy9G070900.1.p pacid=40062931 transcript=Brasy9G070900.1 locus=Brasy9G070900 ID=Brasy9G070900.1.v1.1 annot-version=v1.1 MASIRRPHSPAKQQHLLRHHHPFASSSPPSSPLRHASSAAASSSPRHHGGGSYPHPFLFFTRRPLPRFAAFFLLGSFLGLLHFLSHLPLHPHLPVPTNPSSSSPQFNHLQQQPGLDQQQQATTLGLGGGGGGENNGDKLLIVVTPTRARASQAYYLSRMGQTLRLARPPVLWVVVEAGKPTPEAAHALRRTAVMHRYVGCCDKLAAAAANASASIDYRPHQLNAGLEVVENHRLDGIVYFADEEGVYSLQLFDRLRQIRRFGTWPVPVISDGGNGVVLDGPVCKQNQVVGWHTSGEASKLQRFHVAMSGFAFNSTMLWDPKLRTHQAWNSIRHPEMVEQGFQGTAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESLVYPKGWRVATNLDVIIPLK* >Brasy9G065400.1.p pacid=40062932 transcript=Brasy9G065400.1 locus=Brasy9G065400 ID=Brasy9G065400.1.v1.1 annot-version=v1.1 MKALSILLASAFLLLITTSPLSASERRRSTLRRGDSLAVDDVLVSPSGNFSCGFHRVATNAYTFSIWFTASADSNVAWSANRDSPVNGRGSLAALRDDGSLVLQDFDGRVVWSTNTSSGGADRAELLDTGNLVVSDASGRALWQSFHWPTDTLLPGQPITRYRRLVSSSARGLPYSGFYNFYFDSNNILNLMYDGPEISSNYWPDPFNKWWDNNRTAYNSSRFAVLDARGRFSASDNLNFNASDMDSGIAMRRLTLDHDGNLRLYSLAGSSWRVTWAAVSRPCDVHGICGRYGVCAYDGSSAGAPACACPEGFEVANAGDWSKGCRRKFEVRCGEEDDVEFAEMPQVDYWGFDFNYTEKLTFETCKQICIDDCNCEAFGYKKGTGKCYPKIALWNGRRPVGNQVIHLKVPRRLNNMPLDPSVLRFGGHACTVREVSANVSSSYLRAAMTGSSSKINFVYFYSFLAGLFVMEAVFIAGGYLFVFRAADPRIRDEGYSVLLSHFRRFTYDELWSATTGFRDEVGRSASGAVYKGVLEDGRSVAVTRLEELTQADEVFRSDLSVIGRINHMNLVRIWGFCSESSHRLLVSELVPNGSLDKALFFSDDGEHCVPPLGWQARFGIAVGVAKGLAYLHHECLEWIVHCDVKPENVLLGGDLEPKINDFGLAKLLSRRDEQGRVLSRVQGTRGYVAPEWALNLPITGKADVFSFGVVLLELLRGQRVCDWAVEGEEAGKEVRMDFPRLVALLREEVKDLRGVWMEQFVDARLRGDFGHLQAATMLEVAVACVDDDPGRRPGMDAVVQRLLSAQDAVPPSLRHASSPRPEITHMV* >Brasy9G313200.1.p pacid=40062933 transcript=Brasy9G313200.1 locus=Brasy9G313200 ID=Brasy9G313200.1.v1.1 annot-version=v1.1 MLLRAGLPQLRRRAVALPLSFAPRRLSSEPPGAEWTDTVDYLDETGEVLSSAPGARPAVPGAEPTILSGSSAHPLPRPAAAARLAALALRLRSGPSLSAALSALPSPPDPSLLLLAATSLPASDPTPLLSLVAWARHQPWFVPSDDLSSLVAARLQPATHSSDLLSLFDDALAHPDPAAFPKTLNAVVSALATHGLLEPAFYCFKRLRDAGFRGLETPTYNALLSVLLTRGLAFKAFEVLDEMSLSGCALDKGTYELAVPALARAGRIDASRKLFDEMRQRDGVGRASPGVYSVMVDVLAKSGRLDAAMGMYREMVAVGHRVSTSVSTAMVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVAAKLFGDMEKSGFFPTPATYACLVEMHASAGQVDIAMRMYHSMANAGTRPGLSTFTALLTMLANKRLLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKMGLYDSARPLLETYVGAAAKVDMILYTSILAHLVRCQDEGSERAIMDILSVSRHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFPKAIVFDQHIAWSLDIRNLSVGAALVSTVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKSDVLMHKLNVMFPSSAPEVRSLNLPRSLGMPR* >Brasy9G231300.1.p pacid=40062934 transcript=Brasy9G231300.1 locus=Brasy9G231300 ID=Brasy9G231300.1.v1.1 annot-version=v1.1 MGRSGFTDEGGASMMSWIHDSASCTSSQHKRYDSAFEDPAYLRYLEGDLPGNTAEAEAKVAALEEQLLEEKRKNKKLWDESLAFEFELAAQDQVIEELEGKLEAEKKKNEDLKSFAFFGISVAVTILAVLACVYDL* >Brasy9G336000.1.p pacid=40062935 transcript=Brasy9G336000.1 locus=Brasy9G336000 ID=Brasy9G336000.1.v1.1 annot-version=v1.1 MADEWSDEDTRAAQVIREQITRIGDIAERSQASFESFIKSDDAASVPTVMNAVLACGAKEGSDEHFIATELFVKRAQQEMFLHMSEASRFGWLRRKYHSKYGHHQ* >Brasy9G112700.1.p pacid=40062936 transcript=Brasy9G112700.1 locus=Brasy9G112700 ID=Brasy9G112700.1.v1.1 annot-version=v1.1 MLRVAGRRLSSSLSWRPAAAAGARGPLAGAGGSGRDDDGSARQPRFAIEPPFFAAARGFSSTETLVPQNQDAGLAELPATVAALKNPNSKVLYDQYNHERYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTDDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG* >Brasy9G109200.1.p pacid=40062937 transcript=Brasy9G109200.1 locus=Brasy9G109200 ID=Brasy9G109200.1.v1.1 annot-version=v1.1 MLARMLMGKLAGAALQVALAALLVLFLPAYYVYKLTTSFLGTLFPEDVAGKVVLITGASSGIGEHLAYEYAKRGANLALVARREASLRMVADNALALGSPVVLVLPADVSKPDECRKFIDDTVTYFGRLDHLVNNASIWQVCKFEEVEDVNYFRELMDINFWGHVYPTRHAIPHLKRTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELAGEIRITEVVPGVIESEITKGKMLTKEGEMKVNQDERDAILGPTPAESVGDFAKTVVRDVCRGTRYVFEPRWYKAVYLFRVCFPEILAWNSRLLTVKTLGLATTDTLGKQILDVPAGVRWFTQQGSLRSPEIRAR* >Brasy9G226000.1.p pacid=40062938 transcript=Brasy9G226000.1 locus=Brasy9G226000 ID=Brasy9G226000.1.v1.1 annot-version=v1.1 MAAWRGRVSGPVHSLTRSLLPPASPSMAARRILLVSALGSSGREQPGGAAHGNGEQERERRTGATARSASGAIVAQVNHAIGADGIINTECKEEVSQPRGPAGHCPDADTDPNDGDAATKPSDGREEVVAGAAAAEQVVGRPERVQRTRPAGVQPQPWLPLAAPVQQAHRPRVELPALPRHVVTAEPVLVPPVLDGFHLAVLQLRGVVAGSPPVQVDDHDPGVEVAGLARPVGEDGRVLAVAPEGVGEVGGEVGVAVLGRGEGGVGAEVGGPEARDVVDDEEVGVEVEDAGDGAGEDVGEVDAGVVEGLVEGAADGGRDLAPDEAGIEAVDGGGERGEGRRERGAEPGEAARGGRRGGDEDAREGAPQVGPVQRHRDVDRRRARAPRAVAERRCLPELRQIPTACSRRSGGGEQDHDEDAHCGGG* >Brasy9G349700.1.p pacid=40062939 transcript=Brasy9G349700.1 locus=Brasy9G349700 ID=Brasy9G349700.1.v1.1 annot-version=v1.1 MSTLKDIIKFLMVFRNDPTQSTTEDGYLLFGAMFLVFGEAQRFRLAQNWVIENHLQTYAVPVPGEIGDVFRKWSNYSKLCFLLYLAILAPKKQIALKEKEQQDNNEEQEEKEEKREKVPSYDSVVAALWQWVEAEEDYVTGVLNKGRVPAFNFKDAEGGYRLNCLVGNELLLLNHDVDLCTRILMRINKFAGDLRRNEKFSFTYP* >Brasy9G248400.1.p pacid=40062940 transcript=Brasy9G248400.1 locus=Brasy9G248400 ID=Brasy9G248400.1.v1.1 annot-version=v1.1 MATSLVSSPNALRSTRISLSVLYQEKAEPSSFPAHRFVQVRSKNVSQISRQLSLINLGQLYTAVLDISQYLLTTNTLDEAGMCCHPATSSPLFNPIKYHHRPRARALPCFTSPNAMGSLAAADEPLHPLRYPPARRDGDIVDDYHGVLVPDPYRWMEDLESKETKEFVDAQAAVADAVLSTCDHRGRLRGQLTALLDHPRYRAPFKRRGSYFYFHNPGLLPHAALHVQHGLDGEPGVLLDPNAFSDDATVSLSMIGVSDDGDHLAYGTSASGSDWVTVRVLRVRDKHQLPDCLSWVKFSRIAWTLDGKGFFYSRFPAPRGDDGVLNSGIRTDINLNHEVYYHLLGTDQSDDILCWKDPEHPKHIYTPEVTEDGKYVLLSVSEASEPVNKLYYCDLTAIPQSLDGMKGTHDMLPFVKLVDEFEAYYGVIANDDTRFTILTNKDAPRYKLSRVDVDDPQSWADVIPEDDKAVLESACAVHGDKLLVNYMSDVKYVLQTRSLITGELLHDIPIDIGSVNGITGRRVDSEVFIEFAGFLTPGIIYRCDLSAETPELNIYREISVPGFDRTDFEAKQVFYQSKDGTKVPMFIVSKKGIALDGSHPTLLYGYGGFGMSMTPQFSAARVVLMRNLGFVTCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSTGYTSPNRLCIEGGSNGGLLVAACVNQRPGLFGCALAHVGVMDMLRFHKFTIGRAWICDFGCSENEEEFHWLIKYSPLHNVRRPWEKCAGGDHRQYPPVMLLTADHDDRVVPSHTLKFLATMQHVLCTSQQEHSSPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGISWID* >Brasy9G076000.1.p pacid=40062941 transcript=Brasy9G076000.1 locus=Brasy9G076000 ID=Brasy9G076000.1.v1.1 annot-version=v1.1 MKLGMIMMVAGLIFINLCTCMPRNVVKHGSEDRRTVPQEVMRNLMAGTDGRTGPPSNDHQCPLGTYPNCQEMSQNTQQAAQDVGGN* >Brasy9G024800.1.p pacid=40062942 transcript=Brasy9G024800.1 locus=Brasy9G024800 ID=Brasy9G024800.1.v1.1 annot-version=v1.1 MGLYTNLLSEGYLQEAWGQNLSSQFGGQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKSATYWNRIHEEYELHKPEGSDRSVNSLSHRWSVLKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDESNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKMEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy9G142000.1.p pacid=40062943 transcript=Brasy9G142000.1 locus=Brasy9G142000 ID=Brasy9G142000.1.v1.1 annot-version=v1.1 MESQKVDTVEFEIITEKAWQISTLDDLLHHAKQFNSFLGACPDAFAALRRMWLRNMRFGELDITNILGTCKRLESLHLTDCDSGIHSVLQVEHAQPVELEIDEGRFERIELTCLPKLQRVRCNGWSCYKCPMDFGFVPQLSKLTLRQIGIESDNILVLSKLLANVPCISDLHLNFRSERFGFYLNAQNRSLPCSANCST* >Brasy9G168600.1.p pacid=40062944 transcript=Brasy9G168600.1 locus=Brasy9G168600 ID=Brasy9G168600.1.v1.1 annot-version=v1.1 MDPASEELELRSRYLSSLVRRTRFADPPEPEPAEPESQPEPEPRLKVEPEPAAEPNGREGKGGKVEVKDREVKAKGEANGEERKVVVRVRAADMPLALQRRAIRIAREAVLSMPRLESKRLALALKKEFDVTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKAYILLFRTAVEPLSHP* >Brasy9G281900.1.p pacid=40062945 transcript=Brasy9G281900.1 locus=Brasy9G281900 ID=Brasy9G281900.1.v1.1 annot-version=v1.1 MSTNSRSNSRANFSNEIHDMATPQNSNMPNMMYYSERSLADFFPPHLLKKMVSEVVSTFLLVFVTCGASAINGSDPSRISQLGQSVAGGLIVTVMIYSVGHISGAHMNPAVTTAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPIEVLGTTTPVGPHWHSLLIEIIVTFNMMFVTLAVATDTRAVGELAGLAVGSSVCITSIFAGAVSGGSMNPARTLGPALASNRYTGLWLYFLGPILGTLSGAWTYTFIRFEDSPKDAPQKLSSFKLRRLQSQSVAAEDDDVLDHIPV* >Brasy9G033100.1.p pacid=40062946 transcript=Brasy9G033100.1 locus=Brasy9G033100 ID=Brasy9G033100.1.v1.1 annot-version=v1.1 MEMQCEQQPAKITVNASSSGSSSPSSLRIFGYELETVPANNNNGNELDVVAEGRGSRRFECRYCCREFGNSQALGGHQNAHKKERQQLKLSLQRLQQVDGSGSMAPGINAIALGSPAAYNNPAAGLHRWVYLARQPATSFFHAQRQPQHASAGAGASVFSYEVCAPVDGDDGADEMGLDLRLRLAPASSS* >Brasy9G250700.1.p pacid=40062947 transcript=Brasy9G250700.1 locus=Brasy9G250700 ID=Brasy9G250700.1.v1.1 annot-version=v1.1 MGFDKEASSSSSRLDAAAPLLLPQHGGGGAHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGSILLFAVAALTFHCMMLLVACRRRLADEHPKIASFGDLGAAVYGAAGRHVVDAMLVLSQASFCVGYLIFIANTLAHLYPIAVGDSSSSPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLSERPPVFAFGGLAEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLAMSMAFIAVMYGLFGSMGYLAFGASTRDIITTNLGAGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYAWWLRWLLVVFVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVLGAEIGWPALIGDAAVIVVGVALSLSGTWTSLAQMFGSSDA* >Brasy9G249800.1.p pacid=40062948 transcript=Brasy9G249800.1 locus=Brasy9G249800 ID=Brasy9G249800.1.v1.1 annot-version=v1.1 MGSLKAECLDFSLVIVYLALLCAILLWGLLYRRRGRTGFSSQTKPPKNAEDKLHSNNNDNVPDNHVQVPEAVSSSIVQTYMSTFFRRHGIFVTRHPLLVLFASILVPVILCIGLIRFKVETRPEKLWVSPGSRAADEKQYFDSHLAPFYRIEQLVLATSASGHSEAPTIVNENNMKLLFQIQKKVDDLRANYSGSTISLADICLKPLSTDCATQSVLQYFQLDRKKYDDSGIDHAKFCFQHYSSEETCLSTFQSPIDPSTVLGGFPGSNFSEASAFIITYPVNNKVETTGQENGKAVAWERAYINLVKDEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSRLWSLLVSSKVLLGLSGVVLVMLSVLGSIGFFSAIGMKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPYGLVLEERVSNALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSIFAAMAVLLDFLLQVTAFVALIVLDFRRAEDGRIDCVPCARLTSSTDSFAGDGSPHQGPHLVARYMKDVHGPILGYRAVKFIVIAAFVGLAFASIAMTTRLQPGLEQQIVLPRNSYLQGYFNDLEKYMKVGPPLYFVVKDFNYSSASENTNQICSINQCNSNSLSNEIARQSLSPETSYIAKPAASWLDDFLVWMSPEAFGCCRKFINGNYCPPDDQPPCCQLDQDSGSCSPNGACNNCTTCFLHSDLQNGRPSTTQFREKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIQASAFRTYHTPLNKQTDYVNSLRAARDFSSQMSKDLQMQIFPYSVFYIFFEQYLGIWKTAIMNICVCLGTVFVVCFLLTSSLWASAIILVVLAMIVLDLMGVMAVLGIQLNAISIVNLVMSIGIAVEFCVHITHAFMIGIGDRENRARHALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVVLSLCGPPQKTMKPIEQSQTPPSNEQT* >Brasy9G340400.1.p pacid=40062949 transcript=Brasy9G340400.1 locus=Brasy9G340400 ID=Brasy9G340400.1.v1.1 annot-version=v1.1 MYQTSYPQHLLSGERTQLWSNREPPHFASPVEQRVVAGSPKGGARWTRAWAPEVGGEVLTAARGGAGSVRGACGLSRWPMGRRERKRQ >Brasy9G306000.1.p pacid=40062950 transcript=Brasy9G306000.1 locus=Brasy9G306000 ID=Brasy9G306000.1.v1.1 annot-version=v1.1 MAMPFASLSPAADHRPSSSIFPSFCRSSPLYPVAGEEAPPSQQQHTMSARWAPRPATFTAAQYEELEQQALIYKYLVAGVPVPPDLLLPIRRGSDSLASRFYLHHTALGYGSSYFGKKLDPEPGRCRRTDGKKWRCSKEAAQDSKYCERHMHRGRNRSRKPVETQLVAPLSQSQQQQTPSAAAPASATAFQNHSLYPAIANGGGGAPGSFTLGSTQLHMDNAAPYATAGGGGNKDFRYSTYGVRSLAMDEHSQFMTAAMDTSMDNYSWRLLPSQTSAFSLSSYPLIGTLSGLDQNTICALPKAEREPLSFFGGDFTTTVDDNVKQENQTLRPFFDEWPKARDSWPDLPDDTNNTSFPATKLSISIPMTTSDFSTTSSRSPNGIYSR* >Brasy9G165500.1.p pacid=40062951 transcript=Brasy9G165500.1 locus=Brasy9G165500 ID=Brasy9G165500.1.v1.1 annot-version=v1.1 MAIKPIAQPSPEVIHLQKSHLLVSLLLLNLVILNAYPRRRPTLDDGEANENEEGPDAVSVSDRRVLNHPVSEKEDGARRLAERRCEAATVGPASDLLPNLTGVRTLNEEVQRCFQVTATKRAEVAIGPSTPLQAISAPKPVKEEKPGEELLLRRRPDQPHNLWELRDGSSHEMKSISGTRRIVAVGREDPSNGIRHGRLEKGAGKVKLTPRLEELGKERRGLIVRQVEDRCPAMACSTVLRLRRERAKSRG* >Brasy9G207900.1.p pacid=40062952 transcript=Brasy9G207900.1 locus=Brasy9G207900 ID=Brasy9G207900.1.v1.1 annot-version=v1.1 MSLDLLSCRFSFSSCGVRQPPSRRRVVFLAAGPSSPSTPSTPRSLHSSSSRERPVRAVPLGLSKQAPRPDGSNGRTKRRRRRRTVQKEQEEGECVPSIEEASIRVATLYENGDPLGRKELGRCVVEWLRKGMQSMASKFASAELQGDMAAALTLDWGSAEGQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLISYQSQGLISEWRSTHTWKLLKEMANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDVELEFTQEELNATTMLENNSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVIFKIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMLLQRNGLQKSNASINVVATLFGDSKDMMKMEQNCLTDWGELSLPDLRLSERYAFDASQLRAISLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVRQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFMKELERKRTNLREDLRDCIEDDSLAAGIRQLLKQLGRDMEKKEKETIMEVLSDAQVVLSTNTGAADPHIRKAGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASSLHDGLLNSRLMVQHRMHDSIASWASKEMYHGLLQSSHSVASHLLADSPVVKATWITRCPLLLLDTRMPYGILNIDCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPGAIAIQSPYIAQVQLLREKFEEYPGLSGVEASTIDSFQGREADAVVISMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRHGQVKHVAPGSLDGVSGLGFNQPSLPSIG* >Brasy9G207900.4.p pacid=40062953 transcript=Brasy9G207900.4 locus=Brasy9G207900 ID=Brasy9G207900.4.v1.1 annot-version=v1.1 MSLDLLSCRFSFSSCGVRQPPSRRRVVFLAAGPSSPSTPSTPRSLHSSSSRERPVRAVPLGLSKQAPRPDGSNGRTKRRRRRRTVQKEQEEGECVPSIEEASIRVATLYENGDPLGRKELGRCVVEWLRKGMQSMASKFASAELQGDMAAALTLDWGSAEGQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLISYQSQGLISEWRSTHTWKLLKEMANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDVELEFTQEELNATTMLENNSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVIFKIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMLLQRNGLQKSNASINVVATLFGDSKDMMKMEQNCLTDWGELSLPDLRLSERYAFDASQLRAISLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVRQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFMKELERKRTNLREDLRDCIEDDSLAAGIRQLLKQLGRDMEKKEKETIMEVLSDAQVVLSTNTGAADPHIRKAGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASSLHDGLLNSRLMVQHRMHDSIASWASKEMYHGLLQSSHSVASHLLADSPVVKATWITRCPLLLLDTRMPYGILNIDCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPGAIAIQSPYIAQVQLLREKFEEYPGLSGVEASTIDSFQGREADAVVISMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRHGQVKHVAPGSLDGVSGLGFNQPSLPSIG* >Brasy9G207900.5.p pacid=40062954 transcript=Brasy9G207900.5 locus=Brasy9G207900 ID=Brasy9G207900.5.v1.1 annot-version=v1.1 MSLDLLSCRFSFSSCGVRQPPSRRRVVFLAAGPSSPSTPSTPRSLHSSSSRERPVRAVPLGLSKQAPRPDGSNGRTKRRRRRRTVQKEQEEGECVPSIEEASIRVATLYENGDPLGRKELGRCVVEWLRKGMQSMASKFASAELQGDMAAALTLDWGSAEGQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLISYQSQGLISEWRSTHTWKLLKEMANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDVELEFTQEELNATTMLENNSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVIFKIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMLLQRNGLQKSNASINVVATLFGDSKDMMKMEQNCLTDWGELSLPDLRLSERYAFDASQLRAISLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVRQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFMKELERKRTNLREDLRDCIEDDSLAAGIRQLLKQLGRDMEKKEKETIMEVLSDAQVVLSTNTGAADPHIRKAGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASSLHDGLLNSRLMVQHRMHDSIASWASKEMYHGLLQSSHSVASHLLADSPVVKATWITRCPLLLLDTRMPYGILNIDCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPGAIAIQSPYIAQVQLLREKFEEYPGLSGVEASTIDSFQGREADAVVISMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRHGQVKHVAPGSLDGVSGLGFNQPSLPSIG* >Brasy9G207900.3.p pacid=40062955 transcript=Brasy9G207900.3 locus=Brasy9G207900 ID=Brasy9G207900.3.v1.1 annot-version=v1.1 MSLDLLSCRFSFSSCGVRQPPSRRRVVFLAAGPSSPSTPSTPRSLHSSSSRERPVRAVPLGLSKQAPRPDGSNGRTKRRRRRRTVQKEQEEGECVPSIEEASIRVATLYENGDPLGRKELGRCVVEWLRKGMQSMASKFASAELQGDMAAALTLDWGSAEGQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLISYQSQGLISEWRSTHTWKLLKEMANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDVELEFTQEELNATTMLENNSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVIFKIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYENFIWQRNLEALMLLQRNGLQKSNASINVVATLFGDSKDMMKMEQNCLTDWGELSLPDLRLSERQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFMKELERKRTNLREDLRDCIEDDSLAAGIRQLLKQLGRDMEKKEKETIMEVLSDAQVVLSTNTGAADPHIRKAGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASSLHDGLLNSRLMVQHRMHDSIASWASKEMYHGLLQSSHSVASHLLADSPVVKATWITRCPLLLLDTRMPYGILNIDCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPGAIAIQSPYIAQVQLLREKFEEYPGLSGVEASTIDSFQGREADAVVISMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRHGQVKHVAPGSLDGVSGLGFNQPSLPSIG* >Brasy9G207900.2.p pacid=40062956 transcript=Brasy9G207900.2 locus=Brasy9G207900 ID=Brasy9G207900.2.v1.1 annot-version=v1.1 MSLDLLSCRFSFSSCGVRQPPSRRRVVFLAAGPSSPSTPSTPRSLHSSSSRERPVRAVPLGLSKQAPRPDGSNGRTKRRRRRRTVQKEQEEGECVPSIEEASIRVATLYENGDPLGRKELGRCVVEWLRKGMQSMASKFASAELQGDMAAALTLDWGSAEGQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLISYQSQGLISEWRSTHTWKLLKEMANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDVELEFTQEELNATTMLENNSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVIFKIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMLLQRNGLQKSNASINVVATLFGDSKDMMKMEQNCLTDWGELSLPDLRLSERQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFMKELERKRTNLREDLRDCIEDDSLAAGIRQLLKQLGRDMEKKEKETIMEVLSDAQVVLSTNTGAADPHIRKAGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASSLHDGLLNSRLMVQHRMHDSIASWASKEMYHGLLQSSHSVASHLLADSPVVKATWITRCPLLLLDTRMPYGILNIDCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPGAIAIQSPYIAQVQLLREKFEEYPGLSGVEASTIDSFQGREADAVVISMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRHGQVKHVAPGSLDGVSGLGFNQPSLPSIG* >Brasy9G339900.1.p pacid=40062957 transcript=Brasy9G339900.1 locus=Brasy9G339900 ID=Brasy9G339900.1.v1.1 annot-version=v1.1 MATAPLQSCFQLLPIILLLLAAVTNHLILAAAELQPKMARPGCRDKCGNISIPFPFGIGPNRCFREKGFEILCDDSASPPRAFLADNRTNQYVSQGSGTVTDAGTTPHEDNSTALPLELVGISVNTGEARAYGAVSYDCATSSDSFWFKYQNTSFWNTPFAVSAMRNVLIGVGFGVEPHVYTIPTSIRSGPMNTERNDASCTAYPPYYGFVEANGSCNGRGCCEGTLPPEITPIKYVEVSLMSKFNHLPDTNPCSYGMVVEKSWYNFSVPDMNGDKVLVKRYPWGVSFVLEFAIGNASCPPEGQRPPADNACVSAYSSCDNATSGEGYVCKCRDNYDGNPYITDGCQDIDECKSPELYYCSSNGVCKNRPGGYDCPCKPGMRGDGKTDTCKEIFPQVARVIVGAVGSVVVTIVTFLIILLKEKRKMKEFYKKNGGPILEKAKLIKLFKKKELKQILKDSNIIGKGFFGEVYKGLLDNKKVAIKKPINAGVLENEQFANEVIIQSQVSHKNIVRLLGCCLEVDTPMLVYEFISKGSLHDILHDNNSNNKVELSLDLRLSIAAQSADGLAYMHSKTNTQILHGDVKPANILLDDNFVPKIADFGLSRLIVRDTKHTEFVIGDMNYMDPVYQKEGLLTEKSDVYSFGVVILELISRRKAIHRDTNNLVKSFREVHEKEEKATELFDKEIAVTEDLEILNSLTEMAMECLRLEVDQRPTMTDVAERLFMMSRSRK* >Brasy9G074500.1.p pacid=40062958 transcript=Brasy9G074500.1 locus=Brasy9G074500 ID=Brasy9G074500.1.v1.1 annot-version=v1.1 MSGATSFSFDPSLFLFFLLCSSSCTTPAATIACPPHRPHASSGSVEAVADGAVRLRMRLPKGYSISIIHLPPHPRRATYCLALRGHRPHRRTLLLPRRPRRAATASPCAVPSRAARPCCPAAHARPSPAPRGYCLAPRSHHPRSAPYCLALPCLHSHCPAPPPAPPARTAAGAAHHSSSPYKKKNGGEKKEKKRGVKRKRRLV* >Brasy9G027900.1.p pacid=40062959 transcript=Brasy9G027900.1 locus=Brasy9G027900 ID=Brasy9G027900.1.v1.1 annot-version=v1.1 MEPWKIFLPDEVQLSYLLQVKSATGKKAARKERRLSETASGSSGGGDAMIKSQKLADGDKAEAGKVKKMARVSEEYIKRLEKNGGGPRIPTFDFLDNNTGSNAPLLRAIAASSIASMEEFRAHNADILDQYKKKGYAEVEIEDPDEEELWIVKVARKSLASS* >Brasy9G004300.1.p pacid=40062960 transcript=Brasy9G004300.1 locus=Brasy9G004300 ID=Brasy9G004300.1.v1.1 annot-version=v1.1 MVTLLVQAVALLLTAAAGWRADAVSLTVTGTECVHELVPDEGGTVSRNFVVVDHDICWGSDHPGIDLTVTSPGGDTVYTFKAPRGGMYKLCFHNPYGAPETLSFYIHVGCIRDDEHNLTKHAPIDLIGLLNEPLRKILGTTNAKQTQLGRSRWGRAHIVEVVKEYTFVLEMLATYSKGKLKCVRIGSYFLEIAGSSST* >Brasy9G339500.1.p pacid=40062961 transcript=Brasy9G339500.1 locus=Brasy9G339500 ID=Brasy9G339500.1.v1.1 annot-version=v1.1 MASSQEKQPTFGVLRNAAALLDEMQLLGEAQGAKKVINSELWHACAGPLVFLPQRGSLVYYFPQGHSEQVAATTRKVPNSRIPNYPNLPSQLLCQVHNITMHADKETDEVYAQMTLQPVNSETDVFPIPALGSYTKSKHPPEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTQLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVVPLARYNKANYVQQSVGMRFAMMFETEESSKRRYTGTIVGVSDYDPIRWPNSKWRNLQVEWDEHGYGERPDRVSIWDIETPENALVFPSSSLNSKRQCLPGYGVPGLEIGSVNMPSFTEIPTALGNPRALGNPYGNLQHMPAVGSELAMMLLSQSGQNLGTPLGCHQSSYSSIIQNVKQNYVPPSTFGVSTGPIKQESMHSNEAQQQLHTPKMRRGDLENCEVQPVTDSVSASELNVAVREPRNTDSYPSQSISEQNGKGEPKVKTRRSKKGLSRKTISENSELSSAPSRVCDDQQHGFEVKLVAGDTQQINSANNEDSAGALTHGNFARQLQGQQVEQNGSLSPPKLESSKSPDGGKSVNSFPNQGCFSQFFDGLDWMIQPSYYQDSNGIQSVSASENIFSSSANIPSTINADTMEAFQNSCLSDCFPNSIQDFIGSPDLNSITFMSPDMQNLDVQHDGSNLPSTSNSYVQMSFSEDSGNHMESIQRSMNNTSCSQPQATEGFDLGMYSKLPSLKETQVLSLPEIHNSSRGTSSCSMDVTDYSIDRSAKPLKPPVRTYTKVQKLGSVGRSIDVTRYRDYRELRSAIASMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSESGMHVLNDCIQADQ* >Brasy9G344200.1.p pacid=40062962 transcript=Brasy9G344200.1 locus=Brasy9G344200 ID=Brasy9G344200.1.v1.1 annot-version=v1.1 MSPNLESTLKSQVPALLLRRLFSAGGGARRDEAAAAAAKQQKRQMPAGRRRVFVQTESGCVLGMDLDRGDNAHTVKRRLQLALNVPTGGTSLTFGDRVLENDLSSVRSDSPLLLTRNSIHRSCSTPCLCPVSEDFEQKDCSGLVEILGSSSVSVKCLVDDVATAIRSGLDPVPIDSGLGGSYYFKNVGGDRVAIVKPTDEEPFAPNNPKGFIGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSIFNINCPMNGGTPAHDQKQQITSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHGGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQASIPFSEEELEYIRKLDPMRDVEMLRRELPMIREACLRVLVLCTIFLKEAATFGLCLAEIGEMMTREFRGMEEEPSKLEVVCMEARKRVTEWEPFSPGVEQGEDMDFQFSMDVLGGYNDMIRSPRFNCSGMKTSNFRNPLTKLVESMNEDNDDDEDRKESFMHSSNRIPSAQFNPPSVHRTTSLNGSAHTLNRSADEQLPSSMCFVRFSDMSTEEWHVFIEKFQELLKEALDECKAAAGQRMKLRMGTSCKF* >Brasy9G091800.1.p pacid=40062963 transcript=Brasy9G091800.1 locus=Brasy9G091800 ID=Brasy9G091800.1.v1.1 annot-version=v1.1 MSRPPPLQPAPEMHHLRPHRSPPKSPPPPAFPPSPPPPALPLFPSPSASPPATSVRSLPHSRRRPIPFPPPPAPALSPPSSLLHRLPSLPSVIGLPSLHIAAGLPQANLPSLCC* >Brasy9G132300.1.p pacid=40062964 transcript=Brasy9G132300.1 locus=Brasy9G132300 ID=Brasy9G132300.1.v1.1 annot-version=v1.1 MEGECHRGGGGGLRDRAGYISTVFVGLKVAEPKCEPAAGSEGGGTGVHSIRYTEYILAGTGDPDDSIDKDMVSDMHVVVTALCRWRWGTTGRAGCTTMSKELLLLEEIEVEGASLKD* >Brasy9G060500.1.p pacid=40062965 transcript=Brasy9G060500.1 locus=Brasy9G060500 ID=Brasy9G060500.1.v1.1 annot-version=v1.1 MALLLLLHVLLVAAAARAPAAQAWGVEGHYMVCKIADGFLTSEALAAVKALLPASANGELAEVCSWPDTERPRIRWSAPLHFADTPGDCKFSYARDCHGTKGEKDMCVVGAINNYTASLQDSSSPYNRTESLMFLSHFVGDVHQPMHCGRTSDFGGNTILVTWYNTTKTNLHKVWDDKVIQTAMNKFYNDDLSTMIKAIKLNLTEDWSSEENEWAATTYPDKYAQESAELSCDAYVGVEQQSNLEDEYFFSALPVVQKRIAQGGVRLAAILNRIFSGNVRLQSS* >Brasy9G181300.1.p pacid=40062966 transcript=Brasy9G181300.1 locus=Brasy9G181300 ID=Brasy9G181300.1.v1.1 annot-version=v1.1 MLRHLCTAGKPVRALELLRQMASPNAVTYNTVIAGFCARGRVQAGIEIMREMRERGGIAPDKYTYATVISGWCKVGKVEDAAKVFDEMLTEGKVEPNAVMYNALIGGYCDKGNLEVALRYRGEMVERGVSMTVATYNLFVHALFMEGRAAEAHALVEEMGEKGLAPDAFTYNILINGYCKEGKEKKAMKMFDLMVGKGIRATVVTYTSLIHALSKKGMVQETDRLFNDAVRRGIRPDLFMYNALINSHCTGGDMDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRLDEARGLIEEMTKRGIQPDLVSYNTLISGYSMKGEIKDAVKVRDEMIDKGFNPTLLTYNAMIKGLCLNGQGDDAEDLVKEMVGNGITPDDSTYISLIEGLTTEDERLAAGDDVEA* >Brasy9G251500.1.p pacid=40062967 transcript=Brasy9G251500.1 locus=Brasy9G251500 ID=Brasy9G251500.1.v1.1 annot-version=v1.1 MNLDVFRHRYIHIWANLRHLIWDGGSIILLMGSRIIFILQDADLVRLALHALHGVKSSLDEIKEISVLFSLSPADRTSHRVANVWSRSSSTASVAHILNSICSSGLAVYFLCKFVHFYLFQSRETNCGSRERCGLDVCNDKDTDPPPPYSLVNQAFAAAVEKVLEGYFCSLNTLPPSIKLRRSEGQPDRPFVIPDRTSHKSSSEATLLEVYLHTEELRRHIKSLGNICFPKFAGLTLCQEGLTTDANLEFENFPRGTDLLSYLYVRLRDADPVHYALLKYLFTRSCEPYCNFIKSWIYRASVEDPYEEYLITQTENKQIQGCSSGALDDFTVLSFKGMNHVSVPCFLQDICNPLLRTGQQLQVLMKLVKSCNLCTTGGDAQASSTTIHLEEILPWFDTPIDSSVNSFTFSKSRAEAVICQRDAMYKSMVEKLHHFFSNVEIIPFGPASNSLYTSKSPLDRAVSDMELLHIDTHALPTCNMAADDEENDASSTSPESSDKLDPLESSDCSSSYSSMDEIEVESGIACGNLSSSMFSSYHASTGEANFSSETKNMCSYQSSSFHNDRNTSSPVNDYHRNGDLSCNNVPMIPQNMEHNVMPDTLELDYQYSKFWPFGKFPKNTFKSSPGKMCLVDEFLYTDDESAVEQVSHDVVYPSHNENSCKINRSWNTSVPYNLSTNPILKNAASHHMESDLQGKRKNRALTSFVFESVTNPCEVYCGRSTSCLVECEAGATKGVQTTAQFYEETDCSSKLLEAKTGSQGYLASTGEMAARDNIQENVCGGALWEKLLEYTAKTTEKIVRDSSAASDMPLDIAIDKCIIQEVLLQYEYVSSLTMKLLEEGFDLRGHLLALRRYHFMEQADWADFFIVSIYHKKWFFVKSEQRRAEIKRLLDLALQRSSCDTDPYKERLFIYMKEQPGISFAGSECGLDMLDDILLGYRVDWPVNIVITEEVLGKYAEIFCYLVQVRFAVFSLTEVWRFLKELTQLISHSRHSRPDILKELNSVVKVRHQVYHFLSTLQQYHHCNLSDISWRRFQHSLKHQVKDMLDIEYVHMCYVTDALHICFLSSETKPVATIIRSILQQALEFRSCFKSLDDLSESTVNQLNLHSLINFSQVEAIRMKFESNIKDLCILHSKSSKSEELGLSRFWGYLNYNEYHSLKISKDVGCFYFL* >Brasy9G251500.2.p pacid=40062968 transcript=Brasy9G251500.2 locus=Brasy9G251500 ID=Brasy9G251500.2.v1.1 annot-version=v1.1 MLAPPPQDADLVRLALHALHGVKSSLDEIKEISVLFSLSPADRTSHRVANVWSRSSSTASVAHILNSICSSGLAVYFLCKFVHFYLFQSRETNCGSRERCGLDVCNDKDTDPPPPYSLVNQAFAAAVEKVLEGYFCSLNTLPPSIKLRRSEGQPDRPFVIPDRTSHKSSSEATLLEVYLHTEELRRHIKSLGNICFPKFAGLTLCQEGLTTDANLEFENFPRGTDLLSYLYVRLRDADPVHYALLKYLFTRSCEPYCNFIKSWIYRASVEDPYEEYLITQTENKQIQGCSSGALDDFTVLSFKGMNHVSVPCFLQDICNPLLRTGQQLQVLMKLVKSCNLCTTGGDAQASSTTIHLEEILPWFDTPIDSSVNSFTFSKSRAEAVICQRDAMYKSMVEKLHHFFSNVEIIPFGPASNSLYTSKSPLDRAVSDMELLHIDTHALPTCNMAADDEENDASSTSPESSDKLDPLESSDCSSSYSSMDEIEVESGIACGNLSSSMFSSYHASTGEANFSSETKNMCSYQSSSFHNDRNTSSPVNDYHRNGDLSCNNVPMIPQNMEHNVMPDTLELDYQYSKFWPFGKFPKNTFKSSPGKMCLVDEFLYTDDESAVEQVSHDVVYPSHNENSCKINRSWNTSVPYNLSTNPILKNAASHHMESDLQGKRKNRALTSFVFESVTNPCEVYCGRSTSCLVECEAGATKGVQTTAQFYEETDCSSKLLEAKTGSQGYLASTGEMAARDNIQENVCGGALWEKLLEYTAKTTEKIVRDSSAASDMPLDIAIDKCIIQEVLLQYEYVSSLTMKLLEEGFDLRGHLLALRRYHFMEQADWADFFIVSIYHKKWFFVKSEQRRAEIKRLLDLALQRSSCDTDPYKERLFIYMKEQPGISFAGSECGLDMLDDILLGYRVDWPVNIVITEEVLGKYAEIFCYLVQVRFAVFSLTEVWRFLKELTQLISHSRHSRPDILKELNSVVKVRHQVYHFLSTLQQYHHCNLSDISWRRFQHSLKHQVKDMLDIEYVHMCYVTDALHICFLSSETKPVATIIRSILQQALEFRSCFKSLDDLSESTVNQLNLHSLINFSQVEAIRMKFESNIKDLCILHSKSSKSEELGLSRFWGYLNYNEYHSLKISKDVGCFYFL* >Brasy9G251500.3.p pacid=40062969 transcript=Brasy9G251500.3 locus=Brasy9G251500 ID=Brasy9G251500.3.v1.1 annot-version=v1.1 MLAPPPQFAGLTLCQEGLTTDANLEFENFPRGTDLLSYLYVRLRDADPVHYALLKYLFTRSCEPYCNFIKSWIYRASVEDPYEEYLITQTENKQIQGCSSGALDDFTVLSFKGMNHVSVPCFLQDICNPLLRTGQQLQVLMKLVKSCNLCTTGGDAQASSTTIHLEEILPWFDTPIDSSVNSFTFSKSRAEAVICQRDAMYKSMVEKLHHFFSNVEIIPFGPASNSLYTSKSPLDRAVSDMELLHIDTHALPTCNMAADDEENDASSTSPESSDKLDPLESSDCSSSYSSMDEIEVESGIACGNLSSSMFSSYHASTGEANFSSETKNMCSYQSSSFHNDRNTSSPVNDYHRNGDLSCNNVPMIPQNMEHNVMPDTLELDYQYSKFWPFGKFPKNTFKSSPGKMCLVDEFLYTDDESAVEQVSHDVVYPSHNENSCKINRSWNTSVPYNLSTNPILKNAASHHMESDLQGKRKNRALTSFVFESVTNPCEVYCGRSTSCLVECEAGATKGVQTTAQFYEETDCSSKLLEAKTGSQGYLASTGEMAARDNIQENVCGGALWEKLLEYTAKTTEKIVRDSSAASDMPLDIAIDKCIIQEVLLQYEYVSSLTMKLLEEGFDLRGHLLALRRYHFMEQADWADFFIVSIYHKKWFFVKSEQRRAEIKRLLDLALQRSSCDTDPYKERLFIYMKEQPGISFAGSECGLDMLDDILLGYRVDWPVNIVITEEVLGKYAEIFCYLVQVRFAVFSLTEVWRFLKELTQLISHSRHSRPDILKELNSVVKVRHQVYHFLSTLQQYHHCNLSDISWRRFQHSLKHQVKDMLDIEYVHMCYVTDALHICFLSSETKPVATIIRSILQQALEFRSCFKSLDDLSESTVNQLNLHSLINFSQVEAIRMKFESNIKDLCILHSKSSKSEELGLSRFWGYLNYNEYHSLKISKDVGCFYFL* >Brasy9G251500.4.p pacid=40062970 transcript=Brasy9G251500.4 locus=Brasy9G251500 ID=Brasy9G251500.4.v1.1 annot-version=v1.1 MFRALAKIIPFGPASNSLYTSKSPLDRAVSDMELLHIDTHALPTCNMAADDEENDASSTSPESSDKLDPLESSDCSSSYSSMDEIEVESGIACGNLSSSMFSSYHASTGEANFSSETKNMCSYQSSSFHNDRNTSSPVNDYHRNGDLSCNNVPMIPQNMEHNVMPDTLELDYQYSKFWPFGKFPKNTFKSSPGKMCLVDEFLYTDDESAVEQVSHDVVYPSHNENSCKINRSWNTSVPYNLSTNPILKNAASHHMESDLQGKRKNRALTSFVFESVTNPCEVYCGRSTSCLVECEAGATKGVQTTAQFYEETDCSSKLLEAKTGSQGYLASTGEMAARDNIQENVCGGALWEKLLEYTAKTTEKIVRDSSAASDMPLDIAIDKCIIQEVLLQYEYVSSLTMKLLEEGFDLRGHLLALRRYHFMEQADWADFFIVSIYHKKWFFVKSEQRRAEIKRLLDLALQRSSCDTDPYKERLFIYMKEQPGISFAGSECGLDMLDDILLGYRVDWPVNIVITEEVLGKYAEIFCYLVQVRFAVFSLTEVWRFLKELTQLISHSRHSRPDILKELNSVVKVRHQVYHFLSTLQQYHHCNLSDISWRRFQHSLKHQVKDMLDIEYVHMCYVTDALHICFLSSETKPVATIIRSILQQALEFRSCFKSLDDLSESTVNQLNLHSLINFSQVEAIRMKFESNIKDLCILHSKSSKSEELGLSRFWGYLNYNEYHSLKISKDVGCFYFL* >Brasy9G020300.1.p pacid=40062971 transcript=Brasy9G020300.1 locus=Brasy9G020300 ID=Brasy9G020300.1.v1.1 annot-version=v1.1 MTGSTKLMEKKLSLPAPAVIVRRSARPAKSFCCSSPAKSLKKDGPDIDWKEVFVTGSTKLTEKKLSPPAPAVIARRSARLAKSFCCSSPAKSVKKDGPVIDWKEVFVTGSTKLTEKKLSPPAPAVIARRSAHSAVSFCGSSPVNPLKKRGPVIDWTEVFMTGSTKLTEKNLSPPALAVIAPRSAWPAKSFCCSLPAKSLKKDGQVIDWTEVFVTGSTKLMETDLSPPAPAVIA* >Brasy9G156400.1.p pacid=40062972 transcript=Brasy9G156400.1 locus=Brasy9G156400 ID=Brasy9G156400.1.v1.1 annot-version=v1.1 MAARNPALLAGAIPEQAAPVAAVVALDPAAPPALTRPRKPKKEMTPEARAIETQKRGQRRVRKLARDAEQAAAKERELEEERERRLQEAAHAQGRQAEVMRTILLFGKMAQSALPPDSLPTTAASTGSSVVSRPPPPQPTTPASRPSSESPDTASTPSAAAGDTLDLNASPATTPSLPTKVPRPFPASSFDGGRNLFVDMPERAVDPTDPNYYNEPSQFMDDLISQEAPVFEEDAGEQWGDETQEGVSIDTEPLYTDAGIDPGSDASRCQTKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGTAYWKRIYDYFHEHRLLPAYSFMSDRGEVSLQKRWGLIQSECNKSAGAQDHVKARPLNGVGVGDMKHFALIHCWRILKEAPKWQDLYLATKKSHGEGKKRDCSVVDLEASGHTEAASQAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKEFIDRKLAIEEANAATTRTAAAAALLAEETRIMTADLSLMDEATRA* >Brasy9G197800.1.p pacid=40062973 transcript=Brasy9G197800.1 locus=Brasy9G197800 ID=Brasy9G197800.1.v1.1 annot-version=v1.1 MEPDGGLIACEEPPPPLEPMDLLSSAWCSSAIQVLQTGPKEDCSLALVEHPVMALDNDRRDLSELQKSDRSLVVDSSGFGTPQTQWKYDDLKSWIWLQKAIHPELDYDQKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAVLAAIAAERGSAPASMRETSVASAAALVAAQCARVAEAAGATRDQVAAAVGAAVAATDASNVITLTAAAATSLRGAAALRGRRGGSGGHGQNERADQAAPWQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAAANKDGKVVLRIKKMNLVMAFSNAKESVVHDMSPCAPEKASRDEEATYPMEVSTSKGKVELRADDYAVYKRWVTTVTHMLASSAALVSTRPPARRN* >Brasy9G083600.1.p pacid=40062974 transcript=Brasy9G083600.1 locus=Brasy9G083600 ID=Brasy9G083600.1.v1.1 annot-version=v1.1 MARESSTFLLPLFHLIQIQAQQQIGGEEGQRGSMGAGAWDAAASVRPRPKLVPSTDC* >Brasy9G021600.1.p pacid=40062975 transcript=Brasy9G021600.1 locus=Brasy9G021600 ID=Brasy9G021600.1.v1.1 annot-version=v1.1 MYKHFVGTEDLIQPATILMSVVGVLKYGERTYALNRSNMDNIRSSLMKELPPKCHFYLEDKAPQGGGFKEKVDEEEFLMRHAHSLFHICKHAIVDLSVDNDEDNPEIKVIGHLTNEQKYAVMELELSLMYDILYTKASVVHTYFGYCVRIISPAATTAALLLFQFSDKDGHNSVDVAITYVLLGCALLLEIISLLSALGSSWTFPFLCGSQRDWLQHAVMCRGRWERLRRWVVSLHWLINVTRLARILGSARRWSGTVGQYNMLYFCSRPSKRNSPLVGRFANMLGLGELWDREHYSWSVKFPDHLKHRLMRYIDALVRNEEVSTQGIVREKWGYWPLKVCNLYEDLEDHLGVEFQEGIIIWHIATDLFLDMSGKEAKFRLMEDKSLYEEAIRLLSNYMMFLLVKRPYMLPGLGQSKLYRRTCQNLDDIWCKKGEERLVLSNLLRLYDDPNSLSSLGERKQLGNMVMKHRADAGAKVPRLPYAVHVAARSASQE* >Brasy9G360000.1.p pacid=40062976 transcript=Brasy9G360000.1 locus=Brasy9G360000 ID=Brasy9G360000.1.v1.1 annot-version=v1.1 MAPGKKGKAKGVAPAAATAKESGGGGFPSCLRLMPPSTVAISVHAKPGSKVATITEIGEEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQEATLEGVFDALKKACDSS* >Brasy9G360000.2.p pacid=40062977 transcript=Brasy9G360000.2 locus=Brasy9G360000 ID=Brasy9G360000.2.v1.1 annot-version=v1.1 MAPGKKGKAKGVAPAAATAKESGGGGFPSCLRLMPPSTVAISVHAKPGSKVATITEIGEEAVGVQIDAPARDGEANAALVDFISSFLCTGLGIGNKKAGACCLLC* >Brasy9G360000.3.p pacid=40062978 transcript=Brasy9G360000.3 locus=Brasy9G360000 ID=Brasy9G360000.3.v1.1 annot-version=v1.1 MAPGKKGKAKGVAPAAATAKESGGGGFPSCLRLMPPSTVAISVHAKPGSKVATITEIGEEAVGVQIDAPARDGEANAALVDFISSGHFSTC* >Brasy9G065900.1.p pacid=40062979 transcript=Brasy9G065900.1 locus=Brasy9G065900 ID=Brasy9G065900.1.v1.1 annot-version=v1.1 MVLFEILGRRRNYDLAQAESRQWFPKWVWDKYEQGDMDTIVSAAAGVVGEEDREMAETMCKVALWCVQFQPAMRPTMSSVVRMLEAEMPIVPPVNPFHYVSFSGSGGENSSGSALTTGSTFLSSSRDTGRDSEPVSVAASPPSKPTDAIMKGVKSTDAAEPNSGKTDVLARNH* >Brasy9G125800.1.p pacid=40062980 transcript=Brasy9G125800.1 locus=Brasy9G125800 ID=Brasy9G125800.1.v1.1 annot-version=v1.1 MEGFSLAPAVDAPSYFLCPISMEIMRDPVTLSSGITYDRDSIERWVFTDGHGECPMTKQRLGAGDREPTPNHTLRRLIQGWCAVHAVERFPTPRAPVDAARVASVVDAARRGGQQALMASLGELADIVAESDCNRRCVEGAPGAVAFLVSVVKTHARDADDAAAAEFKPLLPGSSRDETPNKAGSPDPEEVALGILHSLKLSQESWKRILERGDNFLDTMASVLRRRPKPGRSDLSRTYGIQLLKAAVSEMPPAQLTSASADLVDAVVSLLTADKKPSSGKMIQNKKAVKIALQVLCRLCLWGRNRVKAVEAGAVSALVELLLDECCGGSNKRAGELAVVVMDHLCGCAEGRLELVAHPAGLAVMARAVSARGLATRRARCARCTPWRGTRRRPRCCRRCWRSGLSAGCCSSCRPVPRGTSRGSGPGRCSRCTPGSGRARRASCRTSTLPTRVDITCIF* >Brasy9G141700.1.p pacid=40062981 transcript=Brasy9G141700.1 locus=Brasy9G141700 ID=Brasy9G141700.1.v1.1 annot-version=v1.1 MVVDRERGDGGEAMAVSGPREEEGTGDAAAAETAEEGEGDERASDGEDEEKVEEEDVPEDVKKKEEELEEWSEIRLAIAELSPISRGGKGKPEHSSSPPTLPFLSLSLLLLQMLDKIGPTMAVLRLDVQRNIERLQELYLLDPSKYSTLTSMVEKDAEEGTARKADSCARAILWLTRSMDFTIELLQRLEEEGSDQQSLTQLVEAAYNASLKPWHGWISSAASKIAMKLIPERKIFVGWLVGTDPKYCGVLKDEIETLVQLLQPFLVDIHATLAKFRLDRLKST* >Brasy9G130200.1.p pacid=40062982 transcript=Brasy9G130200.1 locus=Brasy9G130200 ID=Brasy9G130200.1.v1.1 annot-version=v1.1 MPAGYPEGSYPWIWEDLLMQYVEEMIAHNTVILAGKLKIVQGDLDVVSDLQQSTEDRLVRMDLEMRKMQDERTLESTVGAGGTPIQEVQNDLFDFTVHPS* >Brasy9G278900.1.p pacid=40062983 transcript=Brasy9G278900.1 locus=Brasy9G278900 ID=Brasy9G278900.1.v1.1 annot-version=v1.1 MAAAVAAQVAGESSGTKTKKLKIAVIHPDLGIGGAERLIVDAACQLAAHGHDVHIFTSHHDKNRCFEETVSGSFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCMLLWWPSFDVILVDQVSVVIPLLKLKASSKIVFYCHFPDLLLAQHTTILRRLYRRPIDMIEEATTGMADLILVNSKFTAATFARTFCGLNARGIKPGVLYPAVSVEQFYEPHAYKLNFLSINRFERKKNLGLAISAFALLRSVVSKQHGDALQEASLIVAGGYDKRLKENVEYLEELKRLAATEGVSEHVKFVTSCSSSERNDLLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVTNEVTGFLCDPSPIEFSKAMLKFVNDHDLAVQMGKKARDHVVQKFSTKTFGDLLNSYVLNVYHQRIE* >Brasy9G250800.1.p pacid=40062984 transcript=Brasy9G250800.1 locus=Brasy9G250800 ID=Brasy9G250800.1.v1.1 annot-version=v1.1 MAQQAPEIPVGYSSSSTSPTGSIWEHAPIRSWSPYAGVATDPVAFCTDLTSSTHTGLPGRHQWNQTVLSTGAPGSSTEHVGSNGYGMDFLALLEARKAMPEMLEGFPTAACDYLKGMDGGACSSMAGSAPYGLHSASQCAGSSALPIRNDEITSSPPGYLWNSTVVQDSITVRIPCHSLEVKRDGNQQQAPVNAFLQQMLPRSVAIRGSSLGYSGTGNGMVFPEDQVMDDARSLPDAVSFSDYRSDTELTRTNQHVQHITSLQARTSSRNGSGSASGLKRKSEEKLGGSQRKSKQDTSHTSPPKTQAPVVKLGEREKVIALQHIVSPYGKTDRASVLFETIKHVEYLHEQIQLYSEPYIENSTKEVHFRWGGREEQKAGLEHDLRSRGLCLVPVSCTPQLLRDNSLLDCLTPAYKSSLYQ* >Brasy9G127700.1.p pacid=40062985 transcript=Brasy9G127700.1 locus=Brasy9G127700 ID=Brasy9G127700.1.v1.1 annot-version=v1.1 MVHLILFVFATLFHLSTAATTDTISAGQPLGSGGKLVSRNGRYALGFFQTGGESNWYMGIWFNTVPKLTPAWVANRDSPVKNTTLLQLVIFHDGNLVILDRSSNSVIWSTRANVEANNTIAVLLGSGNLVLQNSSNPSENLWQSFDYPTDTSLPGAKLGWDKVTGLNRRLVSWKNSVNPATGIYSEELDPSGVNQYLLTTLNSSMPYWSSGVWNGQYFASMPEMSKHHLVNFTFVDSDQEKYFMYTLDDESMVIRNYLDVSGQAKAYVWIESTQQWVMMFAQPKACDVYAICGPFTICNDDALSSCNCMKGFKVRSPKDWELDDRAGGCSRNTLLNCIGNKSTSSTDKFHSIACVKLPQNAQSMQIAASAGECAQVCQRDCSCTAYSFGDGGCSIWQNDLLNIRQQQCSGISSIDGETLYVRLNADELHTQKPNRRGLIVGVIICASVTALGLLSVFLLPVIWKNKTKFSAGGILGLNDAQGFTGITAFGYTDLRRATRSFSEKLGGGSFGCVFKGVLSDSTTIAVKRLDQACQGEKQFRAEVSSIGIIQHVNLVRLIGFCCEGGSRLLVYEHMPNKSLDLHLFQNMTTISWKSRYQIALGVARGLAYLHESCQDCIIHCDIKPENILLDASFTPKIADFGMAKILGRDFSRVLTTMRGTAGYLAPEWICGIAITPKADVYSYGMVLLEIISGRRNSQAPCSCGGDHGVYFPVLAARKLLEGESGSLVDHMLHDDVDLLEVQIACKVACWCIQDDEFDRPTMGRVVQILEGLVDISVPPMPRLLQAMTGTGSSHLRCS* >Brasy9G211900.1.p pacid=40062986 transcript=Brasy9G211900.1 locus=Brasy9G211900 ID=Brasy9G211900.1.v1.1 annot-version=v1.1 MASYVRHSGSDGRTMCSQRISSELWASISTRESEESWYGSYTAYMDSSRESSARSPARRHD* >Brasy9G292900.1.p pacid=40062987 transcript=Brasy9G292900.1 locus=Brasy9G292900 ID=Brasy9G292900.1.v1.1 annot-version=v1.1 MVRAGAGDVETGDGARRRRRGRPGRRNSTSASLPTRDLREPPSGTSQADDATPKFVGPPGSGRIHRDRTSPPLPGSDPTASAWIRRDHLTAASARVCQDWTLPLLPRSSMSFGPRRSEVSHVSRDLAAPEVVVAVAAAAQRGGLRGTDAGGGVRRRRETWQARPRERESRERLCVERKIWVVGESDKVGRS* >Brasy9G137800.1.p pacid=40062988 transcript=Brasy9G137800.1 locus=Brasy9G137800 ID=Brasy9G137800.1.v1.1 annot-version=v1.1 MARKDLFSRRRQRAACDEDRLSALPDDLLLHILSRLDTRSALGAAALSTRWAHLPRELPFLDLKVTDALPPRYHRCLALRHKLHPIAGRYERRAMRSMVSSVRSLLASPAHRRVGALSLEVFDFSTAGCINRLVEKAVDCWGVESLEVVANSTGPLAYPHRVYRFPRGRISRKPGESRLRSLKLGNCLPPPLEGFAALTTLLLRDLPWSTPPAVYEGILAACPQLRVLHLVSCRFHRDTPWLVFDAPMSEIRELVLEGNLMYVKLRSLPKLESLTVLEAHVLLCSDAAPCLAHVSFHFSVQSLNYPFLDHLISMFTLFLKDAITMRDLVLRFTGPEMWILRTDNPFSRMPNLKKLLVADVPSSWDVSWPHILIQEAPLLETLHVHVSQCQEEEPGQNISYLQPSASQQHHHLKELVVIGFQRTKIQLIHLVRFIVDTSTALRRVTLFKHGHVEDKGPCDWEIVSQQSTWSNEEKLAVLDGICCPTDQIEVILG* >Brasy9G278000.1.p pacid=40062989 transcript=Brasy9G278000.1 locus=Brasy9G278000 ID=Brasy9G278000.1.v1.1 annot-version=v1.1 MRCKRHPYESGVGVCASCLRDRLLALAAAQNEASSLPPAPPPDQPVMLFPPSVSPYVSRRKSDASVPGSWRRHPPPSRLFFRTPQVGPVGSGAGFEEGDIGFQIKPPRQRRGRLSALAALFGHRPGSKGGAREEERKRGGSWFAGIMPRNRRKKAPASPPLTSPLRRSSPRAVSDYRGLSPARCSDEGSGGESSSVADSPWRPSPSPMRKAPCRRFHGGAGPGVSVCISPLVLPSSARHHRGANPPDAPAVSGELIRPSPHHRLSSLHHCRSWKLADGGRFR* >Brasy9G057700.1.p pacid=40062990 transcript=Brasy9G057700.1 locus=Brasy9G057700 ID=Brasy9G057700.1.v1.1 annot-version=v1.1 MAGKGEEEEVYVASIDQGTTSTRFIVYDRHARPVASHQLEFKQHYPEAGWVEHDPMEIMESVWACIANALDKAAADGLNVSAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDVRTSSICRRLEGELAGGRTHFVETCGLPISTYFSALKLLWLMENVDAVKDAVRAGDALFGTIDTWLIWNLTGGIGRKDRKQIGQHVTDCSNASRTMLMNLKALDWDKPTLKALGIPADILPKIISNSEKIGLVADGFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIIRSAAEIEELAESVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTAIGAAYAAGLAAGVWTKEQIFAGLHKENTTVFRPKLDEAHRKKRGDSWYKAVSRSFDLADLSL* >Brasy9G199900.1.p pacid=40062991 transcript=Brasy9G199900.1 locus=Brasy9G199900 ID=Brasy9G199900.1.v1.1 annot-version=v1.1 MAGSRLPPTSPPPGPPKIHEPEQYLLRLLTILECSSSACNKLAASMYKKRYQEEKMLNLQHLHSKKMLNLQHLHST* >Brasy9G364700.1.p pacid=40062992 transcript=Brasy9G364700.1 locus=Brasy9G364700 ID=Brasy9G364700.1.v1.1 annot-version=v1.1 MSDVLGLRGGANGGHGGAAEQAGDALFCYFHPREFLVGVCAHCLRDRLLLLLAASSKHGGGHRARSPADGASYLSARPYCSRAVRRRTGSSISLPKVFALGSSFLHRQLDYNSSSRHHTAVDDDDDKKNGAGAEEDASVASLDDSFISIKFEDNGKATWDSCQKAAAAGAGAGDDDGAKPKTSTTDGKAAAATKTTTAVVEHVKRGGVTRWRKLLQLARWKRSTAAALPEQRSKGRGGGGRSWIRSLTRRRAAHGDRAWS* >Brasy9G316900.1.p pacid=40062993 transcript=Brasy9G316900.1 locus=Brasy9G316900 ID=Brasy9G316900.1.v1.1 annot-version=v1.1 MEAEREAPRMNRRQWKAARGNREDKWTRKYRLMQEANERNGCGPSAAAAALAKLAAEEEDEDPEAALAIRYRDSWIRHFSHYRGSYEATTSIPPMLYTDEPPLPHAWVGCPDAAIIFSVKVTKLHEDLEWPLEVYGIVAVRDSIDRNRNLLFNRSRDNCQTLNAEDASLLLTGPSRAVVIIDPVNFEVELKVKGETPSQDKLLSLLLIRDFYYLPGELRHEVYRHVHSSKLSTVEVTVGHLARTLEATIAVQVVEGSWPNCYHGRFVARIAILNDMEMVLLDTQDGTVPVTCDGAIDLSRCVVSVEEAGELTLSVDAWQSDDRADVIGRDQITFKPGRAGRSKGICDVGFCKMEVVVAWSLVPTML* >Brasy9G000200.1.p pacid=40062994 transcript=Brasy9G000200.1 locus=Brasy9G000200 ID=Brasy9G000200.1.v1.1 annot-version=v1.1 MWLAKAGLLAARAHLVQRLGRAAAPGRPPPNPPPSRQPPPWPTRPASFTRGRALSPAVRPLLLLQTQPRVGLPPDMFAPLWRTPTIPLPAPTPSASLHPALGRG* >Brasy9G197300.1.p pacid=40062995 transcript=Brasy9G197300.1 locus=Brasy9G197300 ID=Brasy9G197300.1.v1.1 annot-version=v1.1 MASRAIVRRRKYILEHTNAPLLLHSSNSPFGQGTFGCDIEHSTICHLPKQNLGDFNREKAQYSLSKRGPKGLSNGLLRSPTLGVSLAVYESKAQNFGFPLGARYFMQSVHTVSKTAGQPKFGVLNEQSEGQKQQTREASPEECDQAVEGLNTAKAKAKAKQVQEVQNTDQSIIQKFWAMLLGIGPALRVVASMSRADWAAKLQHWKEEFVSTLQHYWLGTKLLWADVRISSRMLVKLAGGKSLTRRERQQLTRTTADMFRLVPFAVFIIIPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEMKQTAEDLDDFLDKVRKGEHVSNDEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLRFMLRKKLRDIKNDDKMIQAEGVESLSDEELRQACRERGHLGLLSTEEMCHQLKDWLDLSLNHSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTIGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKQEKEDQAKLEEPEATKEDLALKEMTEPTAREEEELKKPREDEKKENLCNISQALAVLASSSSVTKEREEFLSLVNKEIELYNTMLEKEGTEDEEEARRAYRAAREESDHAAEVVAGEKVSSTLIEKIDAMLQKLEKEIDDVDKRIGNRWQLLDRDRDGKVTPEEVAAAADYLKDAIGKEGVQELITSLSKDREGKILVEDIKKLASETEENNEQEETVR* >Brasy9G296900.1.p pacid=40062996 transcript=Brasy9G296900.1 locus=Brasy9G296900 ID=Brasy9G296900.1.v1.1 annot-version=v1.1 MGHLTVLPLACALVFFFFLLSATPTLSIVTGRATRKQQRSSTSSLRHGAARTYVVLVEPPAHPHASDDAAHRRWHESFLRGLAARKAGSGTPNIRHSYTDVLSGFSAKLTAEELAAVSRKPGFVRAFPERKLPLMTTRTPGFLGLNAKQGVWESSSYGEGVIIGFLDTGIAASHPSFGDSDMPLPPAKWKGTCQPPARCNNKLVGLVTYMGGNDTTDAVGHGTHTTGTAGGQFVDGVSAFGLGKGTAAGIAPGAHLAMYKVCDAEGCFESNILAGMDAAVKDGVDVISLSLGGPSMPLDKDLIAIGAFGVMSRGVLVVCAGGNSGPTPSSLSNEAPWLLTVGAGSVDRSYRATVKLGDGEAFDGESLTQDKRFSSKEYPLYYPQGTSYCDFFDVNITGKVVVCDTETPLPPTNSIEAVQAAGGAGVVFINEADFGYTIVVEKYYDLPMSQVTATDGAKIMGYAKVGSSNGVAHNATILFNSTMVHVKPAPIVAAFSSRGPNMASPGVLKPDIMAPGLNILAAWPSMVPIDGTEEAYNYNVESGTSMATPHVAGVVALVKKVHPDWSPSAVKSAIMTTSSNVDNDGEPIMDEEHRKASYYSLGAGHVDASKVVDPGLVYDLGVGEYSAYICALLGEGAVRTITGNSSLTCEAVGSIPESQLNYPAILVPLSEKPFTAKRTVTNVGPAESRYAAHVDAPKWLKVEVEPAELEFKEAMEKKTFAVTVSVGSGDDGGQVAEGSLRWVSQDHVVRSPIIADARIAPA* >Brasy9G050100.1.p pacid=40062997 transcript=Brasy9G050100.1 locus=Brasy9G050100 ID=Brasy9G050100.1.v1.1 annot-version=v1.1 MAAAVPEPISTATVRVSNIPRLAVAAELLAFFDSAVAAVGATFACEIVAAHRGWQSRGHGTVQFDSAATATLAAELASSGRLPRFLGSPLSVSPAPADLLPRAPEPSLRASGARLLVGNRVAERELEMAETLDGVRVEVIPGKRRVDLYLTHDSQRYKLEVLFEDIRNCFRCSLDGTSAILLQLTYAPRIHTAFSGPAVYSRFTDDRLHACKEDAKFTWVRALDFTPNQSFGKCSTLVIKLDEDAPMLYILNSLPFSGVLGEMAISSMDVVGPSSKVVPLVDCPHGCLVSYEVLFRLNSLVHMGKIVAKHVNANLFTFLEQVPLHISRKIFEKMSKLESTCYEPLQFIESELKLTQNALLSSKREGEGKLMRCYRIHITPSKIYCLGPEEEASNYVVKHHSEYASDFVRVTFVDEDWSKLSVNAISARIEQGFFSQPFKTGLYYRILSILKEGFIIGPKKFEFLAFSASQLREGSVWMFASNDSLNAEGIRRWMGHFEEIRSVSKCAARMGQLFSSSRQTVEVRPRDVEEIPDIEVITNNMKYIFSDGIGKMSVRFAKEIAYRIGLDHKNPPSAFQIRFGGYKGVIAVDPSSYRNLSLRPSMRKFESDCRMLNITSWSKSQPCYVNREIISLLSTLGIRDEVFELMQQDDMCELDEMLTNKEVALSVLGKIGSAETKTAADMLLQGYKPISEPYLLMILKAHQDNRLTDIRSKFKIHVPKGRVLLGCLDETGILKYGQVYIRITKNNKEQKENEQPYFCKDDGKTVTLVGKVAVSKNPCLHPGDVRVLEAVCDLELHATKLVDCVVFPQRGERPHPNECSGGDLDGDLYFVTWDDKLIPEKADTPMDYTAARPRIMDHVVTLEEIQQHVVNYMLSDSLGAISNAHLIHADRDPMKARSPECLQLAALHSMAVDFAKTGAPAELPRSLMPREFPDFMERWDKPTYISTGVLGKLYRAAVSRMESSQALASRVQSSPAYDRELEVPGFEEFLEDADKHYDLYVEKLTTLMNYYGAEHEDEILTGNIRNRLLYLRKDRKRYSDMKDRIVDSVNALHEEVRGWFNSCLKAEEAEAAARVASAWYRVTYHPDHRRPEKKQFWSFPWIICDKLLAIKESKSNRCRQLVGDAAGADPMDCVPAKRMRMIQ* >Brasy9G225800.1.p pacid=40062998 transcript=Brasy9G225800.1 locus=Brasy9G225800 ID=Brasy9G225800.1.v1.1 annot-version=v1.1 MGCKSCQKPKAHHRKGLWSPEEDQKLRDYIIRYGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSQEEEETVMSLHATLGNKWSRIAQHLPGRTDNEVKNYWNSYLKKRVEGAQAAPAKSAGSDSPQSPAAALNESGVNRPANSGSSGPPESSSADDSSCLTGPAGAAAALIRPHAPVLPKVMFADWLDMDMDYGTGLMAPGLDAGLGAGSPAQGAASQQGSVQVDGPSCSAVDSLHGLGDGGGGICWDFDAADQMHMQSGGGGFCDLLSMSEFLGIN* >Brasy9G188800.1.p pacid=40062999 transcript=Brasy9G188800.1 locus=Brasy9G188800 ID=Brasy9G188800.1.v1.1 annot-version=v1.1 MGRAAATVRGKGLGCGARWAGSGLSKEGRLAEKVAVITGGASGIGKATAAEFVRHGAKVVLADVQDELGLAAAADLGATYTRCDVTDESQVSAAVDLAVSRHGKLDVMVNNAGIVGSLSRPALLSLDLADFDAVMAVNARGVLAGVKHAARVMFPRRSGSIICTASVAGVLGGVTPHPYSVSNAPWSASSGPRRGRPRAGVRVNAVSPNYVPTPLVMRILEEWYPDESAEGHRRIVESEINKMAGGGVVLEVEDVARAALYLASDEAKYVNGHNLVVDGGFTVGKPPNMPPPAARQ* >Brasy9G010500.1.p pacid=40063000 transcript=Brasy9G010500.1 locus=Brasy9G010500 ID=Brasy9G010500.1.v1.1 annot-version=v1.1 MESFPPKRDLEDLWWSAFPVGTEWENIDMIKKFNWNFENLEKTLEEGGELYGKTVYLFGSTEPQLLDVNGETKFVVVPVVAAVDCPFPPSDKIAINFVLTGKEEIIPMKEMKMSWVPYVPLQDRFGRIESLKTKIFTLCCTQRRSALNRMETERANKFYYYTPYMPLNPPEDEDGTVVRVIYPLEPPIVCDFDLELDDYENLADKLVKDEGLSEDEREKIKEFLEEKVPQRKIELEQAEEARKKAIEDMDPKQREAFENMKLYKFYPVKTPDTPDVNDVKSRYINRYYGHAHYLM* >Brasy9G132100.1.p pacid=40063001 transcript=Brasy9G132100.1 locus=Brasy9G132100 ID=Brasy9G132100.1.v1.1 annot-version=v1.1 MHHAKTDSEVTSSMAPSSPPPRAAYYVQSPSHDDGEHKTTAASSFHSSPAASPPRSLGNHSRESSSSRFSGKVGLPSSAATGSSRRGGPGGEAGAGAGAGAGGGRRSSPWMKEAAIEEEGLLMEDDAEDSARGGGFAALPKKVRYGVGFVAAFFALFFFFALILWGASRNQKPVVSLNSVTFHNFVIQAGTDASLVPTELSTINATVKLTFRNTGTFFGVHVTAQPLTLYYSQLLMASGNMKYFYQPRKSQRNVAVTVVGSKVPLYGGGAGLSSTPGPKGAPPPPVPLQLTVRIRARALVLGKLVKPKFYSDFQCSLRLDVAKLGKPVSLKKSCTHVN* >Brasy9G148200.1.p pacid=40063002 transcript=Brasy9G148200.1 locus=Brasy9G148200 ID=Brasy9G148200.1.v1.1 annot-version=v1.1 MGGALAVAGTKLQGGVARSAGFRCEGGRRFPAAPRCSWMRRLDAGATEVESVETAALRWRHSGAALGLRRSGRRRGVVAALEQGRCRSKPRRRKGALQQIRARRRWRLACRRSRQRWRQAGSRGGCVATEQGQAIAALENGAAAEQRQAAAALEGRPGDGAAGAAVSSLPSTAVVPMIIHAPGLGEVFWSCSWSRQAAVRNV* >Brasy9G006100.1.p pacid=40063003 transcript=Brasy9G006100.1 locus=Brasy9G006100 ID=Brasy9G006100.1.v1.1 annot-version=v1.1 MVHFKNRYLVMEVFIDASRGEADPVILTQFNITKAIRDSIQLNFGECGLAASLGSLQVKYVNPLTKLCVIRVSRQDHQKVWAAITMVMSIGKIPVSFNLLDVSGTIRACSKAALECEEAKYEQYKLAAGDRITPEIIEAIRSCFDKLRGLDS* >Brasy9G298000.1.p pacid=40063004 transcript=Brasy9G298000.1 locus=Brasy9G298000 ID=Brasy9G298000.1.v1.1 annot-version=v1.1 MGGSRRKLRRSRTKVRVGLPRKKPREFKPAFDLPEALAAAAAADGGGQAPSWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPHLVQSAPLQAPDVAAARAPVDEFEPVDTGSDLECDDLKSALGKRRRDGKSAPLEPLTKIQRICIGRLIEKYGDNYKAMFMDTKLNSMQHSVGTLKKLCERYHVGGKTILYPM* >Brasy9G298000.2.p pacid=40063005 transcript=Brasy9G298000.2 locus=Brasy9G298000 ID=Brasy9G298000.2.v1.1 annot-version=v1.1 MGGSRRKLRRSRTKVRVGLPRKKPREFKPAFDLPEALAAAAAADGGGQAPSWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPHLVQSAPLQAPDVAAARAPVDEFEPVDTGSDLECDDLKSALGKRRRDGKSAPLEPLTKIQRICIGRLIEKYGDNYKAMFMDTKLNSMQHSVGTLKKLCERYHVGGKTILYPM* >Brasy9G001100.1.p pacid=40063006 transcript=Brasy9G001100.1 locus=Brasy9G001100 ID=Brasy9G001100.1.v1.1 annot-version=v1.1 MIHISPPPACNPCSGAACEAPAPPAAVVSAPPPSAAPRRKQRALIGTRCNSHSAALVLVRVCGTTESRPSRLCCAQVVRIVDTDCLCEVEDEPVTTSVPLDTVHMWFLYRACRGTRSIPTDRTRCESVASPPPPPPPAPTPPSPPRSPTSHKLSKLVSREKIALYVVSAVVVAVCATTLVALVRHLLRARGTSAMRGSVADVGAVRADQSEAVSDKYKTASPSPTIARTPATTPSPTVARTPARTSPALSSTPIQSPPARLATPVPSPMRHAAPIPSAPPAPSGLVLV* >Brasy9G013900.1.p pacid=40063007 transcript=Brasy9G013900.1 locus=Brasy9G013900 ID=Brasy9G013900.1.v1.1 annot-version=v1.1 MRSNMLSLLSGFLLVMTVQQGLHTISVCADETSTVLDSTTVYWQKMLPNSPMPPAIVELLVSSSSGRKIQNQKSQLSLWGLVRKLISFYGNNEVEELIPFYGENNVEKIIPFYPGTKDEKNGLPRVIPFYPGTKDEKNGLARIIPFYPGTKDEKNGLPKIIPFYPGTKDEKNGMPRVIPFYPGTKDEKNGMPRIIPFYYPGTKDDKSGMPRIIPFFYPGTKDEKNGLPKIIPFYPGTKDDEKNGLPKIIPFYPGTKDEKNSMPKIIPFYPGTKDETNDLPRIIPFYPAGTKKDEINGLPKISMKRRTSSVQAEDEHVTATEAREIPHHLNHFFFEKEVPLHQNDALNHIHHNHFTISNLFFLEESLTPGTTINLYILPSTSTGAPLLPRAVADSIPMTTTSYPTILETFAPVTRSMAEDVWTVLDVCEQSADIANQSCATSVESMAEFAASVLTGGDTHGLRAFSSANVPAKGAMDGPRYKVAATRRATESMETMTCHDLSFPFPVFLCHSVNPTKVFEVTLEREEDGEKDGNVERMEALAVCHLDTSAFEPEKMPGGIKPGEATVCHFIGKDTILWATAPPTATAAA* >Brasy9G013900.2.p pacid=40063008 transcript=Brasy9G013900.2 locus=Brasy9G013900 ID=Brasy9G013900.2.v1.1 annot-version=v1.1 MRSNMLSLLSGFLLVMTVQQGLHTISVCADETSTVLDSTTVYWQKMLPNSPMPPAIVELLVSSSSGRKIQNQKSQLSLWGLVRKLISFYGNNEVEELIPFYGENNVEKIIPFYPGTKDEKNGLPRVIPFYPGTKDEKNGLARIIPFYPGTKDEKNGLPKIIPFYPGTKDEKNGMPRVIPFYPGTKDEKNGMPRIIPFYYPGTKDDKSGMPRIIPFFYPGTKDEKNGLPKIIPFYPGTKDDEKNGLPKIIPFYPGTKDEKNSMPKIIPFYPGTKDETNDLPRIIPFYPAGTKKDEINGLPKISMKRRTSSVQEDEHVTATEAREIPHHLNHFFFEKEVPLHQNDALNHIHHNHFTISNLFFLEESLTPGTTINLYILPSTSTGAPLLPRAVADSIPMTTTSYPTILETFAPVTRSMAEDVWTVLDVCEQSADIANQSCATSVESMAEFAASVLTGGDTHGLRAFSSANVPAKGAMDGPRYKVAATRRATESMETMTCHDLSFPFPVFLCHSVNPTKVFEVTLEREEDGEKDGNVERMEALAVCHLDTSAFEPEKMPGGIKPGEATVCHFIGKDTILWATAPPTATAAA* >Brasy9G331200.1.p pacid=40063009 transcript=Brasy9G331200.1 locus=Brasy9G331200 ID=Brasy9G331200.1.v1.1 annot-version=v1.1 MLSLLRRRRRLLTLSFSTLQSPAPAAAPAPSSPLDAAAVLETLSLYTNDWRRALDFFHWSASPAGANLPPTAATLSRAIDILGKHFEFPLANSLLLSHHDPSDPAFLRPALRALLNRLAAANLVDDAVRAFESTAGSIGLRDEASFHLLVDALCDHRRVDEAYHLCFGKSPPPFPPGTKTHNLLLRGWAKTRAWTRLRQHWFDMDNRGVAKDLHSYSIYMDGLAKSGKPWKAVKVFKEMKQKRLTVDIVAYNTAIHAIGLAQGVDFSVRLYRQMVDAGCKPNTATFNTIVKLLCKEGRFREGYAFVQQMHKAGCEPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCRPSMDTYVMLIKRFGRWGFLRPVFFVWKTMEEQGLSPDAFAYNTLIDALLEKGMVDMARKYDEEMLAKGLSPKPRKELGTKLPGAESDSDNAMSGVF* >Brasy9G164000.1.p pacid=40063010 transcript=Brasy9G164000.1 locus=Brasy9G164000 ID=Brasy9G164000.1.v1.1 annot-version=v1.1 MNDLISQEAPVFEEEVGEQWGDETQEGVSIDTEPLYTDAGAGTDPGSDVSWCESKGTSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGVAYWKRIYDYFHEHRLLPPYSFMSDRREVSFQKRWGIIQSECNKFAGAQDHVKARPVSGVGDMKAPKWQDFYLANKKSPSDGKKRDCIVIDFEASGHTKATSRAVRPKGRTNSKLDAKREVSNLAFEETLKKMWSEKDAVKEKMLQLKEEQMKEFIDVQKRKLAIEDTNAAATRTAATAAMLAEETRIVAADLSLMDEPTRAWFLAKRKMIQERDAPAPSKE* >Brasy9G046300.1.p pacid=40063011 transcript=Brasy9G046300.1 locus=Brasy9G046300 ID=Brasy9G046300.1.v1.1 annot-version=v1.1 MPSRRPDQPLLTGVGGEPASPRHRGLQRRRGLCCWRGFGGRPAPSVRRRTPPPLHSSVRQQRGEFILRGASQPSASINSSMPSGLSLRSPSSSPT* >Brasy9G242600.1.p pacid=40063012 transcript=Brasy9G242600.1 locus=Brasy9G242600 ID=Brasy9G242600.1.v1.1 annot-version=v1.1 MAKNTCKLCSRRFASPRALAGHMRSHSVAAARSQISSASSASTSFAAGDDASAGAAAEAKKAISQGYVLREKPKRKVRLAESDFSDRESEAEYPTPDAKRAAHAGSADPEPLSSLSDAATPEEGVAMSLMMLSRDSWPAEAPWPSSYLADSDDGSDDGGEARPHQAAAAHREKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPLKPLPPAPPRPAAHLQPLPECDGGEGGSKPQPQPHECPYCFRVFASGKALGGHKRSQLCSAAAAAASDADPAVVIKSLGLIDLNLPAPFDDVVELSAVSDPFLSSKPGS* >Brasy9G366000.1.p pacid=40063013 transcript=Brasy9G366000.1 locus=Brasy9G366000 ID=Brasy9G366000.1.v1.1 annot-version=v1.1 MEKTNPSAAHMKAFIAELNDLASLLRGNTKLAAALFDVRDEDDDKTPPISELFSHLQQYLSEYRSKFLRLKHRVDFSLYEDSEDEVDWEHLVATYWREFIRYRHGKDSAAHLDLEEETEPVKKAKEQIFLEEQRFVNYSRGKKSICHGNNFKSGTMLSPMLLTHCTPGLRIDHLGATTGTSLQVFSFKISEIKCDLEWPLLVYGVVNARDSVDCNRNILFYRTNINCQLLTRDDPFLRLTGPSRGILAINPLDFEVQLRVKGISMSRDRTLIMQRSHYSHGDGTTLRNVSFDNCLCTAQLSLEQIENSVQATFLGVRIIRGGSFSYGGRVAISQGSTMDANEVVLLDSRGGEVPMGTDGHIDLSRRVVSVEINPGHEDLEGLKVVLEAYSESGRGIAARGDVTFKPKLCYISQATCDLVPQKEEATCDLGGYQVEITVAWSVSASSRTYF* >Brasy9G266900.1.p pacid=40063014 transcript=Brasy9G266900.1 locus=Brasy9G266900 ID=Brasy9G266900.1.v1.1 annot-version=v1.1 MHRTYVCMHADAAVARSSLGLGRPKRDEEDEEETEAWGRHVGGSGGQNPGNVACGRGVARTGHACLLPWIFLPRDLSSPLPGRLSDHGGHAPPPGAPPPARPPLPFHPRPPPFRLHHHHGLHPAPRRPPCRASPSSRPPPSSPDTMRPRATPRSAPPRPSSSRQQRGRLLSDAVPGSTAAAVVCGLSHGCPHRADLVLI* >Brasy9G247600.1.p pacid=40063015 transcript=Brasy9G247600.1 locus=Brasy9G247600 ID=Brasy9G247600.1.v1.1 annot-version=v1.1 MDKMQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHVIGSYTMNMEEFAERAVRAGVITPLVELLRGRLTWVEQRVAVRALGHLATYPSTFAAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGAEMESRKAEEWASQLQCWSLQLINCFAFKPDFLHDFCKPEFLAKLPGMWGGLVNENSPAGIGLLRTICQSKLGRGHVANISGVIEALCNIARSSDDWQYMAIDCLLWLVQDPSTYHKVIDNIAPALIDLADISTLGDYKKLGDTIVTVLQECMQQNGNSRSSVSSHTKEEIDELLSSRQKLKSEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAATKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPSAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSSDPDLSLRQNKVPDYAERLVKKQMRAAWLFRASSLKHGGIHCEGDASDAFGQEADDSEWETVSESDGENDERREADDETEWKNGSHREDMHAKS* >Brasy9G322200.1.p pacid=40063016 transcript=Brasy9G322200.1 locus=Brasy9G322200 ID=Brasy9G322200.1.v1.1 annot-version=v1.1 MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANVQSRSGLSQGKNLSPGAVMAEKGRAEKAEEGLRTVMYLSCWGPN* >Brasy9G022700.1.p pacid=40063017 transcript=Brasy9G022700.1 locus=Brasy9G022700 ID=Brasy9G022700.1.v1.1 annot-version=v1.1 MEIRVDAGVLERMLVDESAEPTYLPLSLLQDITNCFSLDHQIGVGGFAVVYKGIVGKEMVAVKKLSNTFDIHENKFHGEVKSLMKANHKNIIRFLGYCSDTQGEMLDFEGKFVMADRRSWLLCFEYVPNGSLDKHITDASGGLEWKVRYRIIRGICEGLHYLHGKRILHLDLKPANILLDDRMVPKIADFGLSRCFDEGQTRAITKNLCGSQGYFAPELWRGQITFGLDIFSLGVIIIEILTGEKSYPEDEKVVESWMNRLGASEGDTELEQVRLCTKIGMECMELDPKKRPVARHIIDMLDKTGRAEYSDETDSSSVEQNHKMELPQDNLTDFIKENEKVKRRLFNSDDLNMFTEVEIKRITDNYSTTIGKGASGEVYHGILDDGTPVAVKSLMNYISPSLKEKFGKEVNIHRQISHKNVVKLLGYCSEENALMMVTEYISGGNLRDFLDCKDDPISLDTRLGIAVDCAEALSYMHSTMYPPIIHGDMKPDNILLDGKLGAKISDFGIARLLSMDNIDQYTIHIAGSRGYMDPEHIETGLVDAKNDVYSFGVVLLELITRKNASEKGISTSLARRFTQALAKGKKASWEMFDTEIASVSNMKVLDKIGKLAAECFGKDMKKRPEMKDVAERLQMLRKLHGQEDQEKTGRWSLWGAQGTTQNVKRKNESTSSSNSTVFFSLDIFNRNAQKNFRRNGGLALQNVKGLSIFTKTELKKITKNNADFLGNGSFGKVYKGTLPDNTIVAVKSSIKVTEDTKWEFAEEVKIQRQMIHRNILRLIGCCLEVDVPIFVYEFAANGSLHDILHGNEKTNLSLDLRLDIAIGCAEGLSYMHSHAIRHGDVRPDNILLDDKLTPKISDFGLSKLLVEEYFANNVVGCMGYIDPVFMKTGFLTQKSDVYSFGAVLLELLTRKTNNDDFSLIIEYQEVYEKKKNGRAMFDEGIATEVEDIFLLEEIGKLAIECLKEDLNDRPDMAEVAEQLVMLRTGRNPGKHSSSTSSRFSRNFSV* >Brasy9G198500.1.p pacid=40063018 transcript=Brasy9G198500.1 locus=Brasy9G198500 ID=Brasy9G198500.1.v1.1 annot-version=v1.1 MTKRRLHVVDPSRSFLISVRMQSVDYGSILLSAMLRLVFSFFPDLFSSCSSLFLIFPG* >Brasy9G155300.1.p pacid=40063019 transcript=Brasy9G155300.1 locus=Brasy9G155300 ID=Brasy9G155300.1.v1.1 annot-version=v1.1 MYNQRRGKSSVVIEEIQEDEKSASGEGQKGSNKKQNIKNGDDSRLQLAVRAPPAESLESEDEDGFPVSESKKSSKGSSKKGSNLNNGSNEDRKRKSGAISDHRDSSGEVKSENDGVSKKKKKAKDKKTAVDSENLNSDEKEIKEDSSANIVEAKQKKKKSKDASASEAEADQQDKMIISDNNAEEVTKQGAKKKNKKKKTKENNTNETQAVTDLTKPVSKEQPSQTRTFGNGMIVQTVALGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGKKPYEFRLGVGQVIKGWDIGVNGMRIGDKRKITIPPSMGYGKEKIGIIPPNSTLVFDVELVNVK* >Brasy9G155300.2.p pacid=40063020 transcript=Brasy9G155300.2 locus=Brasy9G155300 ID=Brasy9G155300.2.v1.1 annot-version=v1.1 MYNQRRGKSSVVIEEIQEDEKSASGEGQKGSNKKQNIKNGDDSRLQLAVRAPPAESLESEDEDGFPVSESKKSSKGSSKKGSNLNNGSNEDRKRKSGAISDHRDSSGEVKSENDGVSKKKKKAKDKKTAVDSENLNSDEKEIKEDSSANIVEAKQKKKKSKDASASEAEADQQDKMIISDNNAEEVTKQGAKKKNKKKKTKENNTNETQAVTDLTKPVSKEQPSQTRTFGNGMIVQTVALGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGKKPYEFRLGVGQVIKGWDIGVNGMRIGDKRKITIPPSMGYGKEKIGIIPPNSTLVFDVELVNVK* >Brasy9G015400.1.p pacid=40063021 transcript=Brasy9G015400.1 locus=Brasy9G015400 ID=Brasy9G015400.1.v1.1 annot-version=v1.1 MEPLLLENLVDGFICILGSSDADQPQPGAKHEAGGRGIPDQHPPPRGSTRRAGAPAGSDRVIVVGAGPAGLAVSVHLHKMGVPFDLVERQDEASWRSQEHNFNRGEDFPYPESFNSASQYFDSLLAYAEDHGIQPAFGTTVVSAATDEAGRLWRVRANRRLAIGGMEEVEYVGRFLVVATGVEKDMRMVSQIPGLSDSFQGEVIHATNYGITPASSNSSYRGKNVLVVGGCGATRAVSVGLIDQGAHPVVVTLDESVRHDIRSYAATSSSPLHRLPTLVNQMRSVLRFLLAWLVVHLSGCSSHGERAEMHGKPAMELAGLLMCACLFPIEKAMHFLVWIGLFLSGALCRLRPAERVWLPAPLKQAGLLFWYILSAVEISVKFLFLFAHCVLFAIVLLVSVLDLLGRWDVAPLAIQNTRRLRVTSTSFDLLRMLVWYALCLVDKFAALISLLADLGFDDDSSGPGAIDVTFASGVARFSESRVELVDGRAIEIDAVILDSGYRSNTPQAHWAPGRDVFGNKGYPNTALPQGWKGQSGLYAVGFGRRRQGLTPVQTARHISQATKSAPGRQTPVRVVF* >Brasy9G173100.1.p pacid=40063022 transcript=Brasy9G173100.1 locus=Brasy9G173100 ID=Brasy9G173100.1.v1.1 annot-version=v1.1 MKQKMVIKVNSMSCEKSRSKAMAMAARTTGVISVEITGDGRDMLEVVGEGVDPVCLVCRLRSKKLGHAQIVKVEDVKDKPAETKKPEDPKPQPVPVCPPPHCYYPGYYPQHMVVCDEPASGCAIM* >Brasy9G094200.1.p pacid=40063023 transcript=Brasy9G094200.1 locus=Brasy9G094200 ID=Brasy9G094200.1.v1.1 annot-version=v1.1 MEGGGERDHEAEPEYHCHDFEWEDLRVDVEANPFFSHHLSPFPTTAASPSPSSEAWRSFHRRHASGRFFKERRYLLKEFPDLLNNDDVAKMLEVGCGNGSTVVPILRCSRNNIVYACDCSKDTLEKANEIVNNTEGLDAKDRFHPFLLDVSKETFPDWLFCKSCQISNAKAVDLLLDSSEHNTRKEHPVLLKKINVVLVA* >Brasy9G071800.1.p pacid=40063024 transcript=Brasy9G071800.1 locus=Brasy9G071800 ID=Brasy9G071800.1.v1.1 annot-version=v1.1 MRGEMLLHIAPPPTCCATAAPAIRLLPPSSAAAPSSSSSSVRRRRANLRCSSSSSSSSSSSEMAATAGTAMYPLHRSKTIHLVRHAQGIHNVEGEKDHAAYMSPALLDAHLTPLGWSQVDSLREHVTKCGLAKKIELVITSPLMRTMQTAVGVFGGGNYADGVSASPLMVEGARHSGREAISSLNCPPFLAVETCREHLGVHPCDKRSSVTEYRSLFPAIDFSLIENDEDVLWEPDVREANESVAARGMKFFDWLWTREEKEIAIVSHSGFLYHTLNMYGKECHPTITEELGKHFANCELRSMVLVDRSMLGSDSPTCNFPGKTPAGLDLPSDVADKKHLEEAQKN* >Brasy9G071800.2.p pacid=40063025 transcript=Brasy9G071800.2 locus=Brasy9G071800 ID=Brasy9G071800.2.v1.1 annot-version=v1.1 MRGEMLLHIAPPPTCCATAAPAIRLLPPSSAAAPSSSSSSVRRRRANLRCSSSSSSSSSSSEMAATAGTAMYPLHRSKTIHLVRHAQGIHNVEGEKDHAAYMSPALLDAHLTPLGWSQVDSLREHVTKCGLAKKIELVITSPLMRTMQTAVGVFGGGNYADGVSASPLMVEGARHSGREAISSLNCPPFLAVETCREHLIENDEDVLWEPDVREANESVAARGMKFFDWLWTREEKEIAIVSHSGFLYHTLNMYGKECHPTITEELGKHFANCELRSMVLVDRSMLGSDSPTCNFPGKTPAGLDLPSDVADKKHLEEAQKN* >Brasy9G067500.1.p pacid=40063026 transcript=Brasy9G067500.1 locus=Brasy9G067500 ID=Brasy9G067500.1.v1.1 annot-version=v1.1 MDTVREEAWPVPARQQQQQPPAPPGPRPQQQNGRVDIRELKAQMEKRLGPDRSRRYFSYLSGYLSQRLTKPDFDKACLLTLGRENLRLHNRLIRSVLYNAYQAQCPPPPPDVGRSVGASAKKVSQAAEAFNSCNGDVRLLQVQGSRPVVGTVQDHQLKDRIKHMGPNGRVEAAANHTQFVQGGAAVAENGTLSSLELKRSMCLQQCESAEPLGKRLLVEQLLPENMLKQRRVMSDAADHSARMSKSPVRAPLGIPFCSASAGGARKLLPPPISAGEDRFSSFCEHGQLLNTEVLRKRMEKTAEALGLAGVTMDCADLLNNGLDLYLKNLIRSTVELKGSGVRGDTRKGASYKQHSQGKQINGVWLPNHVQMQPSSGPSGATNESRSHHLISVDDFRVAMQLNPQQLGEDWPVLLEKISIRPSEEND* >Brasy9G275500.1.p pacid=40063027 transcript=Brasy9G275500.1 locus=Brasy9G275500 ID=Brasy9G275500.1.v1.1 annot-version=v1.1 MTAVHNNIIRCSTFLAFLCTTCSSLQFTYPSFDDTTNEADFSFSPGTAISNGSLQITPNTGNLRHRSGRVVYARETLKLWNSNRTARTSFRTEFTLNILPLERNGTGEGMAFFLTNNPSLPRDSSGQWLGLSNKQTDGASANRIVAIEFDTRRSVEAGDIDGNHFGVDNNGVKLMWQYPLSNLSIVLASGVDVWVGLTYDGAMVSVQAVQEGRTFAYSWLADLSPYLLDDDIYFGFSASNGEFPQLNQIRSWNFSTYGEAIAGADDDEGRYRRQSLILAVSVPLAMFALFVALLVWRRLTRQTRLAYRNLEKMIDAHGPVRFKLRELRNATAGFSAARKLGRGGSGTVYLGYLSRMSMEVAVKRVSTNVNSNRGEKEFVAEVNTISKLSHRNLVKLIGWCHNKGELLLVYEYFPMGSLDKLLYARPSGRAAATPPSSPSSATTGQATETTITSGSDRELTWERRYRIIRGVASALDYLHHGSSKRILHRDVKASNVMLDEEYNARLGDFGLARVISHDGVTHHSTQAVAGTRGYMAYESFFTGRASLDTDVFAFGVFVMEVVSGKSPSSSVLCHGDDSGRGESRAGSHSRPAPMYIVDWTWRLYGEGKALHVADEVLGGMYDEAQVDCAVRLALACCHPNPRERPSMRTAVQVLIDGAPAPEPPFDKPAFVWPPGGNQQMELPDVGLLFTGGAGQHSSFGSISCSISGR* >Brasy9G233800.1.p pacid=40063028 transcript=Brasy9G233800.1 locus=Brasy9G233800 ID=Brasy9G233800.1.v1.1 annot-version=v1.1 MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADLPYGGAKGGIGCSPSELSMSELERLTRVFTQKIHDLIGTHTDIPAPDMGTNAQTMAWIFDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVTYATEALLAEYGKSIFGSTVVIQGFGNVGSWAAQLIHKRGGKVIAIGDVTGSIRNKAGIDIPALMKHRNEGGHLKDFHGAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILTKKGVIVLPDIYANAGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSAFQHIKAMCRSHDCNLRMGAFTLGVNRVARATLLRGWEA* >Brasy9G352600.1.p pacid=40063029 transcript=Brasy9G352600.1 locus=Brasy9G352600 ID=Brasy9G352600.1.v1.1 annot-version=v1.1 MATGGGGGGGGGEGELGAKEGAEVRSEGGPGRRFFVAVHVGAGFHAPANEKVYRRSMKRACLAAAAVLREGSGTSLDAVAAAIRVLEDDPITNAGRGSSLTESGRVECDASIMDGSTGSYGAVGAVQGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.6.p pacid=40063030 transcript=Brasy9G352600.6 locus=Brasy9G352600 ID=Brasy9G352600.6.v1.1 annot-version=v1.1 MATGGGGGGGGGEGELGAKEGAEVRSEGGPGRRFFVAVHVGAGFHAPANEKVYRRSMKRACLAAAAVLREGSGTSLDAVAAAIRVLEDDPITNAGRGSSLTESGRVECDASIMDGSTGSYGAVGAVQGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.7.p pacid=40063031 transcript=Brasy9G352600.7 locus=Brasy9G352600 ID=Brasy9G352600.7.v1.1 annot-version=v1.1 MATGGGGGGGGGEGELGAKEGAEVRSEGGPGRRFFVAVHVGAGFHAPANEKVYRRSMKRACLAAAAVLREGSGTSLDAVAAAIRVLEDDPITNAGRGSSLTESGRVECDASIMDGSTGSYGAVGAVQGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.5.p pacid=40063032 transcript=Brasy9G352600.5 locus=Brasy9G352600 ID=Brasy9G352600.5.v1.1 annot-version=v1.1 MATGGGGGGGGGEGELGAKEGAEVRSEGGPGRRFFVAVHVGAGFHAPANEKVYRRSMKRACLAAAAVLREGSGTSLDAVAAAIRVLEDDPITNAGRGSSLTESGRVECDASIMDGSTGSYGAVGAVQGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.2.p pacid=40063033 transcript=Brasy9G352600.2 locus=Brasy9G352600 ID=Brasy9G352600.2.v1.1 annot-version=v1.1 MRCKYHGWKYRFLRSCWSCARSGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.3.p pacid=40063034 transcript=Brasy9G352600.3 locus=Brasy9G352600 ID=Brasy9G352600.3.v1.1 annot-version=v1.1 MRCKYHGWKYRFLRSCWSCARSGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G352600.4.p pacid=40063035 transcript=Brasy9G352600.4 locus=Brasy9G352600 ID=Brasy9G352600.4.v1.1 annot-version=v1.1 MRCKYHGWKYRFLRSCWSCARSGVKNPIEVALHLAKEQMIGSSLLGRIPPMFLVGEGACQWAKSKGINVFGATSEENNWLVTENAKAQWVKYSSLLASAKELVNHPTGSASESSSVQLEASGAQAENLDNVKKMKTFTRSIMEDDQDCVMDTVGAVCVDNLGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIIGCCATGAGEHLIRGFASRECCISASLSQSGPASSCTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKRGDLPVLDAVELVAAYSSQSFGIGYFGSNMNNPKVSMLRDSRTASSSIQHFATRVNFGTPSTEQ* >Brasy9G312200.1.p pacid=40063036 transcript=Brasy9G312200.1 locus=Brasy9G312200 ID=Brasy9G312200.1.v1.1 annot-version=v1.1 MNPPPGPWQLPVIGSLHHMVGALPHHAMRDLALRHGPLMLLRMGEVPVVVASSADAAREVMKTHDAAFATRPLTSTIRTASHDGFGIVFAPHGDHWRGVRRLCVTELLNARRVRSFRGSREAEAGSLVACVAASLDDQAEPVVNVSALVAAYASHATVRAVAGDRIGNSEAFLASLDEGVSVVAGFSLPDLFPSSRLAHSLSGTARRIEAVFRDLSMLMDGVIEEKRARRSPGDEDKDILDVLLRTQDQEAAGGVPHDMGTIRAVIRDLFGAGSETSSTTLQWAMSELMRKPAALRRAQAEVRGALAGKSSVREDALPQMPYLRLVIKETLRMHPPVPLLVPRECREPCRVMGYDVPQGAMVLVNVWAIGRDAASWGADAEEFRPERFEESAAAVDFRGADFELVPFGAGRRMCPGINFGVAVTELALASLLFHFDWELPGGARGRGGLDMEEAFGITAGRKNDLWLQAAVHVPANKP* >Brasy9G361000.1.p pacid=40063037 transcript=Brasy9G361000.1 locus=Brasy9G361000 ID=Brasy9G361000.1.v1.1 annot-version=v1.1 MGGGVETMKPVAGMVLVQVVFAGVNIFYKLAVCDGMDMRVLIAYRYLFASAVLCPLAFFVERKKRTKLTWRVLLLSFVCGLCGGSLAQNLYISGMKLTSATFASAMTNLIPAITFILAVLFRYERLGIRTISGQAKLSGTLLGVAGAMLLTFYKGADVTPWHPTVNLIAQITSAHSHDQTTTGHPATAAAAAVESTNRLMGSLLCTGSCFFYALWLILQARLSREYPFHYSSTALMCAMTALQSLAFALCFDTDFSKQHQWRLELYSIRFLAVVYTGVLASGVMLVVLSWCVKRRGPLFASVFNPMMLVVVAVLSSLLLGEKLHLGSVLGAVLIVIGLYAVLWGKGKEASKAAAGGEAAGNNNNNNNKKVVAGGSELPHIDVVVHRHAPAPVTTNSQQQQQQQQQQDDDRMATAVR* >Brasy9G001200.1.p pacid=40063038 transcript=Brasy9G001200.1 locus=Brasy9G001200 ID=Brasy9G001200.1.v1.1 annot-version=v1.1 MIAAQVCHLQYLLHPRNPDISYSVCSMNKKFTFSESKMVSPVASCDPNHYTVYIQLNTHAFNSSIQYFSLHFTKQFLLPFLDTPIERMRLKLGGTSIRRRVRMLKATDCRATNTTNWTQFVRAANIKEEEIFAFVFTVRGHKLRLSLTVCRHTSPDIFPCFIQMTPHHCYSSFHLAYKSIYSISTHRFFFLSESLRTGASDACSLSTELLCSACLIMCERPCFSAT* >Brasy9G273500.1.p pacid=40063039 transcript=Brasy9G273500.1 locus=Brasy9G273500 ID=Brasy9G273500.1.v1.1 annot-version=v1.1 MGKKGGARKANGQDIDDELVNPIFSWSIQDVVNQVLFRDKVSTIPDRFLFFGLRSYLDSFRIPLLEEIRAEMSSTLDTQFNCSKPLRIQSLVPMTKGVRRPPLYRVTIAGIRSPCISDIVLLSAVMPLLRPSEPASNGSPYCLAHVKRVINTRIFVIRASKRVEDVRCYGFVVSLLSFIPYARIWRCLNYEAAAERNAALVKVVAGDDTMQSTYLTGARPRLDTSGTGDALLSGRLAAFGLNNSQAGAILSCVSAVQCSSGATGSKFSLIWGPPGTGKTKTISVLLLLLMTTTKKKSQGSKCRVLTCAPTNTAICQVASRLLFLSLRKKHDAGGGGCHRDLLLFGNKQRMPIDKDLNGIFLDTRVKRLSKCFSPVTGWKECLRSLEVFLDDPLSLQYQFLYMKP* >Brasy9G062700.1.p pacid=40063040 transcript=Brasy9G062700.1 locus=Brasy9G062700 ID=Brasy9G062700.1.v1.1 annot-version=v1.1 MKLPLSAGSPDAAALPESASKPSLPATWLILHALFCATSMAVGFRFSRLVVFLLFLPTPPMDPAAHLVSLVSPPVMLASANATAATITTTTTTTTTVTTTTTVAEAHAAHHHVHHGPVFVGRHAIRVRSWPHPDPAELIKAHHILAAVQDAQRSSRRRGAEPVRPVIAVTPTATSALQAPCLTSLAHTLRIVDAPLLWIVAEPGHRTDAVAAVLSRSGLDFLHLAGPAGASTARLRMHALREIRKQRMDGVVVFADENGILRTELFDEAQKVKSVGAVPVGILGEDEGTKESFLQGPACDDAGKLVGYHVSEETLLPAERGDMLLSSRLEWAGFVLNARVLWEGAPERPDWVRDLDAVDGAAHLDSPLALVTDAARVEPLAKCAQAALAWSLRSDTLHEVKFPHEWKFDPPLVNAASRQQSVVNTEDGH* >Brasy9G098300.1.p pacid=40063041 transcript=Brasy9G098300.1 locus=Brasy9G098300 ID=Brasy9G098300.1.v1.1 annot-version=v1.1 MDAEYSALEQGSSSCRAVAWGDSQSQQKRQRCQVIPRGSSSDQLDSSTSDSLQMAEPELQDFNYVEAEEEDYYMDDDDGDGNESEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKIEDSGVSTTSELEFEDDITKKYKAFKQFYTVQNFSDHHYANKVIDTTRREWAKRIQHEWKILEKDLPVSIYVCVAEDRMDCLRAAIIGPKGTPYHDGLFFFDAQFTSSYPSSPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGYGCETWNPAQSTMLQVLVSIQALILNEKPYFNEPGYASSANTASGEKNSVQYNKITFLHSCRTMLYSLRRPPEHFADLVAGHFRLHGRTILAACKHYMEGHTIGSVVPGEDESEESSGGAGASSSSVAPPKEDQGLVDLYGLFGARRIQFVPDLKTLFEDLLMEFNVKGADTRKFLEEKLKKNQPAA* >Brasy9G098300.2.p pacid=40063042 transcript=Brasy9G098300.2 locus=Brasy9G098300 ID=Brasy9G098300.2.v1.1 annot-version=v1.1 MDAEYSALEQGSSSCRAVAWGDSQSQQKRQRCQGSSSDQLDSSTSDSLQMAEPELQDFNYVEAEEEDYYMDDDDGDGNESEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKIEDSGVSTTSELEFEDDITKKYKAFKQFYTVQNFSDHHYANKVIDTTRREWAKRIQHEWKILEKDLPVSIYVCVAEDRMDCLRAAIIGPKGTPYHDGLFFFDAQFTSSYPSSPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGYGCETWNPAQSTMLQVLVSIQALILNEKPYFNEPGYASSANTASGEKNSVQYNKITFLHSCRTMLYSLRRPPEHFADLVAGHFRLHGRTILAACKHYMEGHTIGSVVPGEDESEESSGGAGASSSSVAPPKEDQGLVDLYGLFGARRIQFVPDLKTLFEDLLMEFNVKGADTRKFLEEKLKKNQPAA* >Brasy9G098300.3.p pacid=40063043 transcript=Brasy9G098300.3 locus=Brasy9G098300 ID=Brasy9G098300.3.v1.1 annot-version=v1.1 MDAEYSALEQGSSSCRAVAWGDSQSQQKRQRCQGSSSDQLDSSTSDSLQMAEPELQDFNYVEAEEEDYYMDDDDGDGNESEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKIEDSGVSTTSELEFEDDITKKYKAFKQFYTVQNFSDHHYANKVIDTTRREWAKRIQHEWKILEKDLPVSIYVCVAEDRMDCLRAAIIGPKGTPYHDGLFFFDAQFTSSYPSSPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGYGCETWNPAQSTMLQVLVSIQALILNEKPYFNEPGYASSANTASGEKNSVQYNKITFLHSCRTMLYSLRRPPEHFADLVAGHFRLHGRTILAACKHYMEGHTIGSVVPGEDESEESSGGAGASSSSVAPPKEDQGLVDLYGLFGARRIQFVPDLKTLFEDLLMEFNVKGADTRKFLEEKLKKNQPAA* >Brasy9G098300.4.p pacid=40063044 transcript=Brasy9G098300.4 locus=Brasy9G098300 ID=Brasy9G098300.4.v1.1 annot-version=v1.1 MDAEYSALEQGSSSCRAVAWGDSQSQQKRQRCQGSSSDQLDSSTSDSLQMAEPELQDFNYVEAEEEDYYMDDDDGDGNESEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKIEDSGVSTTSELEFEDDITKKYKAFKQFYTVQNFSDHHYANKVIDTTRREWAKRIQHEWKILEKDLPVSIYVCVAEDRMDCLRAAIIGPKGTPYHDGLFFFDAQFTSSYPSSPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGYGCETWNPAQSTMLQVLVSIQALILNEKPYFNEPGYASSANTASGEKNSVQYNKITFLHSCRTMLYSLRRPPEHFADLVAGHFRLHGRTILAACKHYMEGHTIGSVVPGEDESEESSGGAGASSSSVAPPKEDQGLVDLYGLFGARRIQFVPDLKTLFEDLLMEFNVKGADTRKFLEEKLKKNQPAA* >Brasy9G187800.1.p pacid=40063045 transcript=Brasy9G187800.1 locus=Brasy9G187800 ID=Brasy9G187800.1.v1.1 annot-version=v1.1 MVPSRSSQSPPPQACSAGEVAHIPLFLDTDLGTRLALNVSPGSTIRGLKSEVAMEHAAAFPDLGPVAVKSFQVLRKGAMYHLSDSMAVRSAFAKVKAGCFLHVKMSAVERDTQYCRDGVGVNNRVMELPSTSPEITNELLSQGLEGGENAPVLSNVHAHVAVPNDVHLSSSSQRNAERKNNTTLSLASDVRAISVPIDKPKSYKQGKKLGDQTEVVYVNSTSVANVKEDSIQLENPIIVGKNKKRKKRHSVTPKDVSSQEMTKPTTGAVKVPEAIDEGLPHENEGLKGDVTKHVQLLSDAQANIDLVHEGYKNPTIGGTSNSMCKVVAGEEKSAEGTNDGNHDEGAADEINKEKGSKSKNLLETIFTARNISQEKSCKESKRVSPIGIASIDTAEEKDQCVYGEKAAKPDIVSTQGEIVNDPYELQIASNVQQGDCNVIVENPNGDGKQKKKRRRRSESSKDDPTQDGTKSSEFVANASSTEDTSAHPLDAKQISLGTIGEATADDINQCGHEKAAELVIVSAQGESVNDPNNVQQGGSNEVENPNGDGKQRKKRRRRSESSKDGPTQDMTKSSEFLRDASSTENTSANPLAGIVYAQGESVNDPNNVQQEGSNAVQNPHSEGKQKKKRRRRSESSKDDPTQDVTKSSEFVTNASSTENTTAHSLDAKQIRLDTIGAAIVDENDQSEKAVELVIVNTQGESVNDPNNVQQGDSNIIANPIVDGKRKKKRRHSLESSKDDPTKDVTKSSGPITIGSSVQNTSADPLNAKQTAPVTTGEAAVSDQGKLDETLSVATTNVINEVLADLRCTDSLEKSLNGDVLTEQTKGGFSASKPPKYPPAIRSDAPISSPSHKSERKQLKVLSTVLDSSHSSAGVPEENGNTGLRESDSLRCSDKISDLMDDLTETMVVPADGRTNSNKCQGNQISLKHVPTDSGKAIQSLGEQVRQVASEDLKGEYATKAELLQGSFVIEAPANAGKKLQRKSKRSSKTQTPKIQEINHSTHVQDDQFAKDSQEKHVTDIGGTHDNEQKVGSPTESPVIYKDGTLVTYDKPNARKGRKKSSKTALSNQVTDFDDHGSDADIINFRSQLAADIPADAAEPNDSVAVHPDNEKINFIDHFSPRVMDGPSDSAENKEDGTIREVKGKKKRQGKLDVQSQRAASIEPNDLLKYHLPTDKTGLTDHFGSGKEVVPSVSAENINREDGNVKKAKEKRKIKRKTDLIKPESQNLNGDNQNSDNCTENLLHSLEKKPQQSVFDAGSQTNLPIDKDHARVSEGPRNSTSQTKNHSKSRKHETSLPINGRTDLNPKAVSDLIKSFSMSPTASSQSTHDTPENANRYRVAVRKVPIKMYEQVSDKSKKDSGERGTDAIFSDTISEGSDDVLNTISEKAAMENSSDDASTAADSGISSADRDGSGVPDDDDIVSLSQKSLKEGLHIGSILRGSTSYRKTKRKQAELLDDSEVPDSQPPECL* >Brasy9G187800.2.p pacid=40063046 transcript=Brasy9G187800.2 locus=Brasy9G187800 ID=Brasy9G187800.2.v1.1 annot-version=v1.1 MVPSRSSQSPPPQACSAGEVAHIPLFLDTDLGTRLALNVSPGSTIRGLKSEVAMEHAAAFPDLGPVAVKSFQVLRKGAMYHLSDSMAVRSAFAKVKAGCFLHVKMSAVERDTQYCRDGVGVNNRVMELPSTSPEITNELLSQGLEVPNDVHLSSSSQRNAERKNNTTLSLASDVRAISVPIDKPKSYKQGKKLGDQTEVVYVNSTSVANVKEDSIQLENPIIVGKNKKRKKRHSVTPKDVSSQEMTKPTTGAVKVPEAIDEGLPHENEGLKGDVTKHVQLLSDAQANIDLVHEGYKNPTIGGTSNSMCKVVAGEEKSAEGTNDGNHDEGAADEINKEKGSKSKNLLETIFTARNISQEKSCKESKRVSPIGIASIDTAEEKDQCVYGEKAAKPDIVSTQGEIVNDPYELQIASNVQQGDCNVIVENPNGDGKQKKKRRRRSESSKDDPTQDGTKSSEFVANASSTEDTSAHPLDAKQISLGTIGEATADDINQCGHEKAAELVIVSAQGESVNDPNNVQQGGSNEVENPNGDGKQRKKRRRRSESSKDGPTQDMTKSSEFLRDASSTENTSANPLAGIVYAQGESVNDPNNVQQEGSNAVQNPHSEGKQKKKRRRRSESSKDDPTQDVTKSSEFVTNASSTENTTAHSLDAKQIRLDTIGAAIVDENDQSEKAVELVIVNTQGESVNDPNNVQQGDSNIIANPIVDGKRKKKRRHSLESSKDDPTKDVTKSSGPITIGSSVQNTSADPLNAKQTAPVTTGEAAVSDQGKLDETLSVATTNVINEVLADLRCTDSLEKSLNGDVLTEQTKGGFSASKPPKYPPAIRSDAPISSPSHKSERKQLKVLSTVLDSSHSSAGVPEENGNTGLRESDSLRCSDKISDLMDDLTETMVVPADGRTNSNKCQGNQISLKHVPTDSGKAIQSLGEQVRQVASEDLKGEYATKAELLQGSFVIEAPANAGKKLQRKSKRSSKTQTPKIQEINHSTHVQDDQFAKDSQEKHVTDIGGTHDNEQKVGSPTESPVIYKDGTLVTYDKPNARKGRKKSSKTALSNQVTDFDDHGSDADIINFRSQLAADIPADAAEPNDSVAVHPDNEKINFIDHFSPRVMDGPSDSAENKEDGTIREVKGKKKRQGKLDVQSQRAASIEPNDLLKYHLPTDKTGLTDHFGSGKEVVPSVSAENINREDGNVKKAKEKRKIKRKTDLIKPESQNLNGDNQNSDNCTENLLHSLEKKPQQSVFDAGSQTNLPIDKDHARVSEGPRNSTSQTKNHSKSRKHETSLPINGRTDLNPKAVSDLIKSFSMSPTASSQSTHDTPENANRYRVAVRKVPIKMYEQVSDKSKKDSGERGTDAIFSDTISEGSDDVLNTISEKAAMENSSDDASTAADSGISSADRDGSGVPDDDDIVSLSQKSLKEGLHIGSILRGSTSYRKTKRKQAELLDDSEVPDSQPPECL* >Brasy9G187800.3.p pacid=40063047 transcript=Brasy9G187800.3 locus=Brasy9G187800 ID=Brasy9G187800.3.v1.1 annot-version=v1.1 MVSKVSVSLEWSFPKLCMSTGCAVPLITKFPVLRKGAMYHLSDSMAVRSAFAKVKAGCFLHVKMSAVERDTQYCRDGVGVNNRVMELPSTSPEITNELLSQGLEGGENAPVLSNVHAHVAVPNDVHLSSSSQRNAERKNNTTLSLASDVRAISVPIDKPKSYKQGKKLGDQTEVVYVNSTSVANVKEDSIQLENPIIVGKNKKRKKRHSVTPKDVSSQEMTKPTTGAVKVPEAIDEGLPHENEGLKGDVTKHVQLLSDAQANIDLVHEGYKNPTIGGTSNSMCKVVAGEEKSAEGTNDGNHDEGAADEINKEKGSKSKNLLETIFTARNISQEKSCKESKRVSPIGIASIDTAEEKDQCVYGEKAAKPDIVSTQGEIVNDPYELQIASNVQQGDCNVIVENPNGDGKQKKKRRRRSESSKDDPTQDGTKSSEFVANASSTEDTSAHPLDAKQISLGTIGEATADDINQCGHEKAAELVIVSAQGESVNDPNNVQQGGSNEVENPNGDGKQRKKRRRRSESSKDGPTQDMTKSSEFLRDASSTENTSANPLAGIVYAQGESVNDPNNVQQEGSNAVQNPHSEGKQKKKRRRRSESSKDDPTQDVTKSSEFVTNASSTENTTAHSLDAKQIRLDTIGAAIVDENDQSEKAVELVIVNTQGESVNDPNNVQQGDSNIIANPIVDGKRKKKRRHSLESSKDDPTKDVTKSSGPITIGSSVQNTSADPLNAKQTAPVTTGEAAVSDQGKLDETLSVATTNVINEVLADLRCTDSLEKSLNGDVLTEQTKGGFSASKPPKYPPAIRSDAPISSPSHKSERKQLKVLSTVLDSSHSSAGVPEENGNTGLRESDSLRCSDKISDLMDDLTETMVVPADGRTNSNKCQGNQISLKHVPTDSGKAIQSLGEQVRQVASEDLKGEYATKAELLQGSFVIEAPANAGKKLQRKSKRSSKTQTPKIQEINHSTHVQDDQFAKDSQEKHVTDIGGTHDNEQKVGSPTESPVIYKDGTLVTYDKPNARKGRKKSSKTALSNQVTDFDDHGSDADIINFRSQLAADIPADAAEPNDSVAVHPDNEKINFIDHFSPRVMDGPSDSAENKEDGTIREVKGKKKRQGKLDVQSQRAASIEPNDLLKYHLPTDKTGLTDHFGSGKEVVPSVSAENINREDGNVKKAKEKRKIKRKTDLIKPESQNLNGDNQNSDNCTENLLHSLEKKPQQSVFDAGSQTNLPIDKDHARVSEGPRNSTSQTKNHSKSRKHETSLPINGRTDLNPKAVSDLIKSFSMSPTASSQSTHDTPENANRYRVAVRKVPIKMYEQVSDKSKKDSGERGTDAIFSDTISEGSDDVLNTISEKAAMENSSDDASTAADSGISSADRDGSGVPDDDDIVSLSQKSLKEGLHIGSILRGSTSYRKTKRKQAELLDDSEVPDSQPPECL* >Brasy9G187800.4.p pacid=40063048 transcript=Brasy9G187800.4 locus=Brasy9G187800 ID=Brasy9G187800.4.v1.1 annot-version=v1.1 MVSKVSVSLEWSFPKLCMSTGCAVPLITKFPVLRKGAMYHLSDSMAVRSAFAKVKAGCFLHVKMSAVERDTQYCRDGVGVNNRVMELPSTSPEITNELLSQGLEVPNDVHLSSSSQRNAERKNNTTLSLASDVRAISVPIDKPKSYKQGKKLGDQTEVVYVNSTSVANVKEDSIQLENPIIVGKNKKRKKRHSVTPKDVSSQEMTKPTTGAVKVPEAIDEGLPHENEGLKGDVTKHVQLLSDAQANIDLVHEGYKNPTIGGTSNSMCKVVAGEEKSAEGTNDGNHDEGAADEINKEKGSKSKNLLETIFTARNISQEKSCKESKRVSPIGIASIDTAEEKDQCVYGEKAAKPDIVSTQGEIVNDPYELQIASNVQQGDCNVIVENPNGDGKQKKKRRRRSESSKDDPTQDGTKSSEFVANASSTEDTSAHPLDAKQISLGTIGEATADDINQCGHEKAAELVIVSAQGESVNDPNNVQQGGSNEVENPNGDGKQRKKRRRRSESSKDGPTQDMTKSSEFLRDASSTENTSANPLAGIVYAQGESVNDPNNVQQEGSNAVQNPHSEGKQKKKRRRRSESSKDDPTQDVTKSSEFVTNASSTENTTAHSLDAKQIRLDTIGAAIVDENDQSEKAVELVIVNTQGESVNDPNNVQQGDSNIIANPIVDGKRKKKRRHSLESSKDDPTKDVTKSSGPITIGSSVQNTSADPLNAKQTAPVTTGEAAVSDQGKLDETLSVATTNVINEVLADLRCTDSLEKSLNGDVLTEQTKGGFSASKPPKYPPAIRSDAPISSPSHKSERKQLKVLSTVLDSSHSSAGVPEENGNTGLRESDSLRCSDKISDLMDDLTETMVVPADGRTNSNKCQGNQISLKHVPTDSGKAIQSLGEQVRQVASEDLKGEYATKAELLQGSFVIEAPANAGKKLQRKSKRSSKTQTPKIQEINHSTHVQDDQFAKDSQEKHVTDIGGTHDNEQKVGSPTESPVIYKDGTLVTYDKPNARKGRKKSSKTALSNQVTDFDDHGSDADIINFRSQLAADIPADAAEPNDSVAVHPDNEKINFIDHFSPRVMDGPSDSAENKEDGTIREVKGKKKRQGKLDVQSQRAASIEPNDLLKYHLPTDKTGLTDHFGSGKEVVPSVSAENINREDGNVKKAKEKRKIKRKTDLIKPESQNLNGDNQNSDNCTENLLHSLEKKPQQSVFDAGSQTNLPIDKDHARVSEGPRNSTSQTKNHSKSRKHETSLPINGRTDLNPKAVSDLIKSFSMSPTASSQSTHDTPENANRYRVAVRKVPIKMYEQVSDKSKKDSGERGTDAIFSDTISEGSDDVLNTISEKAAMENSSDDASTAADSGISSADRDGSGVPDDDDIVSLSQKSLKEGLHIGSILRGSTSYRKTKRKQAELLDDSEVPDSQPPECL* >Brasy9G173300.1.p pacid=40063049 transcript=Brasy9G173300.1 locus=Brasy9G173300 ID=Brasy9G173300.1.v1.1 annot-version=v1.1 MRERAGAKGNGVTRSGRALHLSPSAPRRIRRGFLSSGFAVWLSCPGGHRRTGRGTKSPPLLLSFYFDHMLASYPSAHGYASLSMISCSRHLCLTSSPVGKGTGINLHHVRCFTFNFVQLKFFCVTSDSSSVLCL* >Brasy9G118300.1.p pacid=40063050 transcript=Brasy9G118300.1 locus=Brasy9G118300 ID=Brasy9G118300.1.v1.1 annot-version=v1.1 MRPKKMTARFTFLLVLCTLAALSTAAEYVRPPPGRIILTAHNKPASHPQQVHVSLVGANHMRVSWITDAKHGQTVVEYGRASRNYTASATGDHTSYTYFLYTSGKIHHVTIGPLDPGAVYYYRCGMAGDEFALKTPPAALPIELALAGDLGQTEWTASTLAHASKADYDVLLVPGDLSYADTQQPLWDTFGRFVQMHASRRPWMVTEGNHEVEAAATALPGSPSPFVAYATRWRMPHEESGSASGLYYSFDAAGGAVHVVMLGSYAGFDSTSGQHAWLARDLAAVDRRATPWLVVLLHAPWYNTNAAHAGEGEAMRKAVERLLYDARVDVVFAGHVHAYERFTRVYNNEANPCGPVYITIGDGGNREGLAFDFQKNHKLARLSMMREASFGHGRLSVVNATAARWAWHRNDDSDSTIRDELWLESLAANGACRRGNHAADSRTDEL* >Brasy9G168000.1.p pacid=40063051 transcript=Brasy9G168000.1 locus=Brasy9G168000 ID=Brasy9G168000.1.v1.1 annot-version=v1.1 MATPTMAPASVPALCVALLLVSSLADGAQPAPPLPQTTSVSPSSCSTELLRLLPCLPFLDGGAAAPPDTCCANLGSMVHDEPLCLCQALNQSGSGRSPVSVNMSRVLQLPPLCRLELPPAAGACAGLLPVGPAPSAPVISPQPTVNSTVPSTPMPVTPTPPLTTVSPGTSSQIPGYSSGSKLIADGISVAFGFMALASALAF* >Brasy9G060200.1.p pacid=40063052 transcript=Brasy9G060200.1 locus=Brasy9G060200 ID=Brasy9G060200.1.v1.1 annot-version=v1.1 MQGEYRSSSEDSAASAASAAAAAAAAAMAPLAAAAAAAAAKEEQVTVAVAPPPLLPLHHHHHHQQLQQQQQGQKRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEISGLAPLSSSSPAPSDEADGALSAASIRKKAIEVGSRVDALQTGMMPVPAASAPQPAPAAAHRERPLKPRTHSQEEEYLEQQQQQQKLQRTAWNGRAKNPDLNQAPSPDTSDAE* >Brasy9G314900.1.p pacid=40063053 transcript=Brasy9G314900.1 locus=Brasy9G314900 ID=Brasy9G314900.1.v1.1 annot-version=v1.1 MATNTRSSGETSDDVLWDVFARLPGLQDLLRCVATCRRWRRLVTDRDFLRRLGFWPDTARRPSVLVGIFSQNTVPAGPVVSLPKRKSGCPPQFLSLQAGDAARLAFSSFVADDHDGLFDLARPLASRRGLLLARVLPPDGFRDGQRLHLAVCRPLVDKRSTRLLPSPPFDLALNENVTGCALLTGADHDDGNLEQQQLMPAFQVLLLCAVGGGANSGFVCASAYSSATGIWGAPIRCCRAGLRRSGPSAGVVARGTVHWLFTDDEANLYTLDISMSTCPTPTRVSLTKIPIKVPKTVPWQPSPVPCIAEEDGMLAIVNIRDNGVLELWTNQDHDHGGLDGGWSRSHLTDLGSKWIDLVFFAESRRALLVEQGGVFFTVDRKSKEKTPLVYLSLREEETGHVKGRHWFPAENCTSTWCCGHGGYGLCGLRPPPVLYEMDWVFSRRLFLTSSD* >Brasy9G255600.1.p pacid=40063054 transcript=Brasy9G255600.1 locus=Brasy9G255600 ID=Brasy9G255600.1.v1.1 annot-version=v1.1 MSEHGGSSGKHPFYRGIRSRCGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPAIAASRPAPASTSADDIRAAAAAAAASLAGGGGIAPPGGAAGSSVQQQFGGSGSAAAGSAGSAAYLQEAAGIGENYNVNPQQQQYFLDEEALFETPQFLRSMAAGMMMSPPRLSPDSSDESPDPSEAGESLWSYRDP* >Brasy9G142700.1.p pacid=40063055 transcript=Brasy9G142700.1 locus=Brasy9G142700 ID=Brasy9G142700.1.v1.1 annot-version=v1.1 MSPKFSPSPLTLFALVLLLALPSLAAASSSFALPTIAIAAVGGANTTSPHHLACGLVSTGTDYHLSCASVSNRSASPHEYGHGEAGGASSTTPFSAVVAGDGYVCSVGPTSSSPESMRWWDLSDEEKSSKRVYRGAELSAVAGGGEYVCGLVETRIQCWRWPWAPLPKDVGFSAVAVGGGFVCGLVIGTGEVKCYGDGDAVGREPKGRYMLLAAGERHACAVDDAGMLDCWGEAAAVAAAAPPRLVRSVSTVAVGDGVTCVLWGNWTASCWPEAEAAPPPGMAQRQFVALEAKGKVVCGVLMSDYSLVCWGGDVAGGVSKVFDKVLPGPCAPSGSCPCGVWSGSAALCGDSTGYGAAICYPCGYTPPLMLQVPSTSQSTGRKRRPSDLAIALICVGVGSVLGTLLAALSVAYCLRQRSGSGSRSSSHGSGRIHAEPTSATTPAPPRVPRRLSALLSKGPNTTVEQFPLAALRAATDVFSPSHRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGASSSSAAARRVNHESAFVSELSLLSRLNHKNLVRLLGFCADGGEHILVYEFMPNGTLHDHLHKRPAPLSPPLASWPARLRLALGAARGIEYLHTYAVPPIIHRDIKSSNILLDATWSAKVADFGLSLLNNNNSSNAGDDGDNNEPLVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVLLLELLSGCKVIQRYEGSGTPRNVVDMAVPYIESDRVHRVLDIRLPLPTPGEMEAVAYVGYLAADCVRLPGRDRPCMSEAVGVLERAVAACEDDEDGDGDQAALSRSCTDGSTTM* >Brasy9G340000.1.p pacid=40063056 transcript=Brasy9G340000.1 locus=Brasy9G340000 ID=Brasy9G340000.1.v1.1 annot-version=v1.1 MVLFPIVSLLLVLASSSSWAAASPAADGTPVTNHRFRAWMEQHGKSYPTAEEEMRRFEVYRRNVERIEATNREGGLGYELGENKFTDLTSEEFLATYTGRYVLPSEMGEEEEEEEAGETVITTHVGDVVEGHASNLSALPESVDWRAKGAVTPVKDQQEHAVRSCWAFAVIAAVESLHQIKTGKLVTLSEQQLVDCGTPGCSVGTSVAGITWIKKNGGVTTEADYPYTGKKGACNANKLKNHAATVRDYRALRNNEQKLMEAVAQQPVTVAIEAGPSFQDYRTGVYSGPCGYTINHIVTAVGYGKDAATGKKYWIIKNSYGKSWGMGGYILMERGGVADPRGLCGVSFYPVWPTM* >Brasy9G283400.1.p pacid=40063057 transcript=Brasy9G283400.1 locus=Brasy9G283400 ID=Brasy9G283400.1.v1.1 annot-version=v1.1 MMDGSADRDQDNGGNSFDEAEAAFFGRGGGRGCCCFFPCWPSSSSAHQRIGGAAAGAEEKEEGPRWWRGAADAVLKVREWSELAAGPRWKTFIRRFGRGGAGGAGQRNFGAGRKLNYDALSYALNFDDGHGATPEPGGDHLYRDFSSRFAAPPTGSAKSSMDLGGRDAPPLFFSPPPPIVEA* >Brasy9G043500.1.p pacid=40063058 transcript=Brasy9G043500.1 locus=Brasy9G043500 ID=Brasy9G043500.1.v1.1 annot-version=v1.1 MEVAISSVTSELVSRFVSFLMNKYRTRHARSEEKAVERLQHLLMRVGTVVEEADGRYIANSRMLMQLKMLSEDMYRAYHVLDAFRYRALKEKRMNKVSNTTNSPFAVPLKRSRTADSSSEGKIMHLELRRALESLETAVANMAEFVVLLSGCERLSRRPYDAYLYTDNFMFGRHVEKQKLLSFLLQHNPPDDAPAVLPIIGSMAVGKKTLVSHVCGDERVRSRFSSVVHLNGDSLLRVLDHRSTISGMILVIVEFVSDVADGDWNKFHSVVKETNRGSKVIIVSRHKRIARFGSVKPIFLNPLPYEEFWYLFKILTFGSTDPAQHSHLVRIAEEFAKEVHSGGSLIAANAYADVLRRNMDVQFWLSMLNRHRRMIQKNLSVHGIPPNLRFQQGQSIDITDFTLDASSPIRIKPCTDSSDRITNDLMKTESAKIVTLWELVVNSTGRSKEFSLLTWESRIPPYSRYVHLAESSIDQDLPQGVTLSGRKRRGFPV* >Brasy9G029600.1.p pacid=40063059 transcript=Brasy9G029600.1 locus=Brasy9G029600 ID=Brasy9G029600.1.v1.1 annot-version=v1.1 MGMPVGQIGHHQVADRCLGRRAEHQHACERPTRATRACAWPAPLCMRWYLVTARSEPNRRAGAPDFFATDVRDAGAGHGARGDGGGAASDCARGHIVLGGVQHADAVPAVFLENTAANACDSSHRPPPVRRRAAASPRAVARCCPPLARASSRAPASARPRAVARCCPLLALLPPRAAARCSPPLARAPSRGLLPSSRAAARRSHARRRALLRCCPSRGTPRRALLPSARTSSQAPVGHLLTDRSPAYRRAWGRPMETRRDSARPGAGRRVLVGPPLTEVYYF* >Brasy9G221200.1.p pacid=40063060 transcript=Brasy9G221200.1 locus=Brasy9G221200 ID=Brasy9G221200.1.v1.1 annot-version=v1.1 MAVIPHHRLYEFAKAALIKIFAFPYATVCDVYCNGGADTDKWGEAQIGHYIGIDASAPVVSDAHELWENKWKHFTAEFIKLNPSADDFEAQLQEKGIEADIVCCMQNLQLCFESEEQAKKLLNNVSSLLKPGGYFLGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEIEEEKFPFFGKKYQLKFANEVMFENHCLVHFPSLMRLAREAGLEYVEIQNLTDFYDDNRPQFAPMLSNFGSNLVDPRGKLIARSYDILGLHSIFVFQKPDSDAIPPIVTPDLHGSDNAHEEERLWRQQAAVDDGRRSQADLIPLDPEKGILGPGPADMRL* >Brasy9G070200.1.p pacid=40063061 transcript=Brasy9G070200.1 locus=Brasy9G070200 ID=Brasy9G070200.1.v1.1 annot-version=v1.1 MGRRAPPSLAAAAVPCRFGQPGDGGARRGRIRGNPDRPPLQELEEADSGTRGRRREGESGGLGAGSATVAGFATAAGSGLLAAAHGGEGGVEGRRERDGERGGGDLEGEGVPGGGELEGGGLGEGLGRRRASAEREGNRARRRQRESGRGEEGGRSGEGGGGAVARGR* >Brasy9G202300.1.p pacid=40063062 transcript=Brasy9G202300.1 locus=Brasy9G202300 ID=Brasy9G202300.1.v1.1 annot-version=v1.1 MDSAAETNAGGDNGNTAATVVVVERVVTVEYLEQSMSRSLLGKFPDSSAFDFDYSQSGIWSPINKIPSAEPASGGGAGAGSRNFLIARSKRRARAGSRIKDSAGGGGRSRWRRRRLRRDGSFLDLHETGRAKLDFSPPMASPSPAKEGWRRVLKAAIRKFKVRHRRSRPAPLLQMMLPML* >Brasy9G175400.1.p pacid=40063063 transcript=Brasy9G175400.1 locus=Brasy9G175400 ID=Brasy9G175400.1.v1.1 annot-version=v1.1 MESPHNSVRDEDRISVRKDEDRISALPDELLLHILEFLAMRVAVQTSVLSTRWRHLPHQLSYLQMNALDFQDPGCTLDQVMAAYTDATRRLLSPRTCKCIRTIKTLQLSLYLADPYLSSIGHAVRDAAKSGEIEFLEFAINTHVEFPSEAQLVLFGQRFMSFLHACPGAFKLLTKLTLHPQHLQ* >Brasy9G201000.1.p pacid=40063064 transcript=Brasy9G201000.1 locus=Brasy9G201000 ID=Brasy9G201000.1.v1.1 annot-version=v1.1 MAGAGRRAAVAACGRWCLVILAVASALGVSGPALYWRYKKGFSSSAAASVSAAAVSSPSCPPCTCDCPPPLSLHSIAPGLMNFSISACGINDPERSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREKSEGAISKEKKLTALWERRARQLGWQDSRATAI* >Brasy9G201000.2.p pacid=40063065 transcript=Brasy9G201000.2 locus=Brasy9G201000 ID=Brasy9G201000.2.v1.1 annot-version=v1.1 MAGAGRRAAVAACGRWCLVILAVASALGVSGPALYWRYKKGFSSSAAASVSAAAVSSPSCPPCTCDCPPPLSLHSIAPGLMNFSISACGINDPERSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREKSEGAISKEKKLTALWERRARQLGWQDSRATAI* >Brasy9G211300.1.p pacid=40063066 transcript=Brasy9G211300.1 locus=Brasy9G211300 ID=Brasy9G211300.1.v1.1 annot-version=v1.1 MAAPPLGPLLRWGASSLRVHSAPPPTRRLFSALRRPSAVVRCEPGSRVMLKGMDYPELEKWVQSQGFRPGQAMMLWKCLYGNNVWAHRHDELAGLNKDLRKMICELADLKALTVKDIITASDGTRKILFSLENASVIETVVIPNARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARKLFSDEFGSITNVVFMGMGEPLHNVDNVIKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLHESNCDLAVSLNATTDEVRNWIMPINRKYNLNLLLGTLREELRLRRKSIVLFEYVMLAGVNDSMDDAKRLTELVHGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQSGLTVMVRLSRGDDQMAACGQLGEPGDYQLPLLRVPDKFQVAL* >Brasy9G356800.1.p pacid=40063067 transcript=Brasy9G356800.1 locus=Brasy9G356800 ID=Brasy9G356800.1.v1.1 annot-version=v1.1 MDLTIGLGQKKSIRPALGRSWALFLGLWARTARPTWSGAGVRSPSTISLGSFSFLGIWEAATWRRRKRGRRLLDGCGDEFSVEGCGPSKTQLPEDSVENKQVEAMDMLPRSSVFQLLLLSPCMCGRSASTMRVL* >Brasy9G365500.1.p pacid=40063068 transcript=Brasy9G365500.1 locus=Brasy9G365500 ID=Brasy9G365500.1.v1.1 annot-version=v1.1 METNNFKSRRGAMLFPLCLAAIICFMAQIGAANVVLMGNNLTLSFDDIEANFAPGVKGSGVNGVVYTAEPLNACSALTNKAVKGPPSPFALVIRGGCTFDEKVKNVQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFISKVSGEVLKKFSGRTDVEVWLIPTFENSAGSVMAISFISLLAMSAVLATCFFVRRHRIRRDRPRIPEAQEFHGMSSQLVKALPSLIFTKVQEDNCTSSLCAICLEDYNVGEKLRVLPCRHKFHAACVDMWLTSWRSFCPVCKRDADAGTSAPPASETTPLLSSAVQLPSPSSSFRSSVAASPPRPISRRPSSQSISRVYSVSGTPHSPSIQRYYRTSSAMSISRSGADLANMSSPLRGSHLGSTHSLVGNHLSPPINISYAPPHMYHSTHASPSPHVSSSYISNSGHSSSGYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQQSQLRHGGESETNLAGASSAQSFRQSYLRHCGDSDASLSAMASSGQSLPGC* >Brasy9G313500.1.p pacid=40063069 transcript=Brasy9G313500.1 locus=Brasy9G313500 ID=Brasy9G313500.1.v1.1 annot-version=v1.1 MRAKFPYPFPKKSASPILLPFSPTNRGARALPYPCEGATAGFLLPPRGSGAGRSWRRRRALAGTELRGGAALVGRDSMRGQQEIPGSGAVLLDFAAGSEEVGKQLSTTASGRRHGAPMRGSVGARGTKANAAGASWKRHGSSGGGGEARAGWLAGIWGKEQGRLTARWGRKEEAETTR* >Brasy9G308700.1.p pacid=40063070 transcript=Brasy9G308700.1 locus=Brasy9G308700 ID=Brasy9G308700.1.v1.1 annot-version=v1.1 MASAAAAAMMATLVLGLAAVAGAGDVEMVFLKSAVAKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCKTSEECSIRKGNFRGSSKYMKPLSFSGILGGSDKFNPDFYNWNRVKVRYCDGSSFTGDVEAVETSTNLHYRGNRVWQAIIQDLLDRGMSKAQNALLSGCSAGGLAAILHCDRFSDLLPASAKVKCFSDAGYFFDGTDITGNNYVRKSYKDIVNLHGSVKSLPSSCTSKRSPELCFFPQYVVPTLRTPLFILNAAYDTWQIRNIIAPNAADPKKTWAKCKLDIKSCSSSQLVTLQNFRKDFLAALPQPGQSPSLGIFIDSCFAHCQSGAQDTWLGEGSPSIQKMRIGKAVGDWFYDRHVSQLIDCPYPCNPTCKNREED* >Brasy9G099200.1.p pacid=40063071 transcript=Brasy9G099200.1 locus=Brasy9G099200 ID=Brasy9G099200.1.v1.1 annot-version=v1.1 MAAPPPAASPPPPAASPCLPPLLTCCCAGVDPAAALSVSTVPAATSSRARHPDHLHRTDPRMFTNFILQHPVPWDRESTRDPSQSSPARARTGAAAMGASRGGRGQVRRRKRAQGQGGGAGRLPGADAAARAQGGPGRRAAARRGGGHGGAGRGGGHGPARAGDSTAAAVGPGSLLARGIPQWRAGDGLDAHDRQVEEER* >Brasy9G101000.1.p pacid=40063072 transcript=Brasy9G101000.1 locus=Brasy9G101000 ID=Brasy9G101000.1.v1.1 annot-version=v1.1 MRWQTNFHELARLLILLLSLSSPPSKSRASSPSLLHCRRPPWFHHRRPPAPEHRRPSSTGGLPHRRPSSTDGLLHRRSSPIGPCSAGAGSGRRGSSRHGSFCAAPGGLLHAAEGHGKQWRAAAAGPEAEPPDPPAPTKPAAGSAARSQSLAALHISPILFVSTRGSGRSSYSPAQQG* >Brasy9G057800.1.p pacid=40063073 transcript=Brasy9G057800.1 locus=Brasy9G057800 ID=Brasy9G057800.1.v1.1 annot-version=v1.1 MFHLSSGCFMEERAALLDIRSSLIRTRAFQRSQPPLTGPVSWGKDNDDCCSWERVKCNSSTQRVSHLDLHFVRNTISAEDVWYLNSTVFSAFHELQYLDLSTNYPFSLSCLEGLVGLDKLRYLDLSFVTQGGVFPEFIAKLVSLEVLALDSNNMAGGLPSAAFENLRNLPELSMSVNNFNGNLPESLFSLPRLKILVLSVNLFVWHIPISSSSGPISLEVLDLSNNYLNGTLPFSAFKSIRKLNVGRNQFSGSLPPSLFAPPHLKFLDLSFNNFEGPFPISLSSEPVPLEVLCLSGNNLSGALPTERAFENLLNLRDLSLSTNQFSGHIPTFLFSLPHIERLNLSANFFSGPIPINPFSNLSLPLKSLRLSQNNLSGRISFISLRNLTKLEELDLSGNANLVVDVSIPGWIPPFQLKQLLLSGCDLDNSIIAEPQFLRTQRHLEVLDLSSNNLSGSMPNWLFTEEATLQDLNLGNNSLTGSLDPVWHTQSFLIVIKIHMNHVTGQLPANISLMFPNLSTLDFSSNNFFGHIPMSLCEINSMENLDLSNNKLSGEVASCLLTNYPSLMTLKVSNNKLGGLIFHGVNNLSTAEELCLDNNKFEGTIPHDLSGETLTVMDLHDNKLSGKLDTSFWNLPSLRVLNLAGNHMTGKIHPQICGLTRLGLLDLSSNNFTGSIPNCSFRALGFLNLSGNYLSGDISYYFFNASSLLALDIRNNHFTGSLHWVRSIDKLGFFPWAETGLKGRLLQTCANSCT* >Brasy9G129600.1.p pacid=40063074 transcript=Brasy9G129600.1 locus=Brasy9G129600 ID=Brasy9G129600.1.v1.1 annot-version=v1.1 MAMEMRASESVDMSEDLTRAIAPYAMALHDEFLHSHCSSCFRNLPSQRPCVMSCMVCCSVRYCCSDCLSSDCQVHTSSGECCFFVNHLEKASTSCTTEGTSDFRAALRLLYLLEMHDLVSSDSINQSSRIGGLSVNGIQEVLEEGGEVSERILEGSMLMSSVRKMRTQNAVVFLNDLTVEIVALWAVMINSVEVHICEGRDLGIAVYGPNFSWFNHSCFPNASYNFVLAPWNEDSVSDKPTCRAVPASKGVASDAWRAWQFEDGFTHAFGKYGPRVVVRCTKPINKGDEVCIAYIDLLQTREARHSDLWSKYKFICFCKRCTASPEPYVDLILNCDFRKLNSLEDAVMSPASENLDDILQQAISQYSLGDDPKACCDIIESMLSKNWMGELQQVELSRRRHMLHPLHHISLRAYMALASAYRFRALKSNTDGFNGENSDVSFEMTKAAAAYSFVLAGATHHLFLSECCFMNPLSHFLLSSGQSMLDLVQCIKGGAGKNVSEAKFSFASCSVSSSQYDSIQHHQFRSTCEDFGRRMLSLSLQCWPFLAQSSPSLEKIKNPIDFSWLGTTIFQSLHLSEEDSANLSYADGLPIFVEEQKGCVLSLAVCCINFCKYLASICYGPQHYLTIHAKDLLESINLAQ* >Brasy9G249200.1.p pacid=40063075 transcript=Brasy9G249200.1 locus=Brasy9G249200 ID=Brasy9G249200.1.v1.1 annot-version=v1.1 MAPAVAVAGYAGHQTASNNQGAEADQSLLQPTKPTAVVRRASPLATVVTRIATSRNGPIRTRSGPHGPKPRRGPPGRHRTVFLPPAAATASSPDSNHSGQQQRPASPSAVAQPDNPKVKRAAPATGDRTRQPSAPPSAASCRVTNPRRSTPRPRRRPPPEQTAARPGPAHFEIRRHSSGREPRRRRPPDGLSPPASSGDGEERGGLGWAGAAGARVSPEPPPRAMRALGSIVTQRAKEPGKDGARGGRGGACMLCYSCSVPRRAGTRQRVKHLVDPHRPCS* >Brasy9G167200.1.p pacid=40063076 transcript=Brasy9G167200.1 locus=Brasy9G167200 ID=Brasy9G167200.1.v1.1 annot-version=v1.1 MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQQGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDASGGGPGAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASASSASAHHHQHYLNAAAAPGLDSPTSTLSFSSDSAAAALASAVLKAAAARAAMQPMQQAYEDACKEQQQQNWGDLHVDAAGFAGSGFTGMLLDGSLNDDDARDQADVVVEVHETEEDKNYWNSILSLVNSSVVPAHEAYSPAPEF* >Brasy9G179100.1.p pacid=40063077 transcript=Brasy9G179100.1 locus=Brasy9G179100 ID=Brasy9G179100.1.v1.1 annot-version=v1.1 MAALRYAARRLGGSVLHRTQAAVASPAVPEGQRLFLPRFNHSNTPNQGSPPKKQLSPAMSEIQQKKEELYDMLSKAEKITYQNCRMMHHLSLQVNPRPKDLKWHGLRMAKWMTTAVDVCAIFTLLIIIESSMVAWFERSFGDGKVDALGVNKQEG* >Brasy9G272800.1.p pacid=40063078 transcript=Brasy9G272800.1 locus=Brasy9G272800 ID=Brasy9G272800.1.v1.1 annot-version=v1.1 MEDFATPKVQLERYKGVRQRHWGSWVSEMRHPSMKTRLWLGTYSTEDRAARAYDEAAEMLHGPAARVNFPASVDVTGSLHAYDRANVEKYRMLPPSSSTTTTKAAPARRRPELGGGMIFTDDEQFIEEMIKEMTHYGPIEFVPISSVRPNTN* >Brasy9G325900.1.p pacid=40063079 transcript=Brasy9G325900.1 locus=Brasy9G325900 ID=Brasy9G325900.1.v1.1 annot-version=v1.1 MEDIATLTSPSLLLLCAASLLLLLLIGRLRSHGGRRLPPGPPALPFLAKFLLLRRSIFDLGPLLVDLHARYGPVISIRLFHRTYVFVADRELAHRALVQGGATFAGRPPPHDPGRLFNAAGRDISASPYGAYWRILRRNLASEALSPARVASFAAARRSACDGLVSGLLQEAAAAAAGAGSTVALRPLLRRAMFAILVRMCFGVELRPEELDEVEDLQRRALRALTEFPVFSFFPALTKRLFHRQWAKLLAVRRRKDEVFGPLIHANRDSEGAPFCYAESLLDVRVEDGQRLTDAEMVSLCSEFLNGGTDTTVTLLEWIMAELVNRPEVQAKLQQELQSRPPEELNSGHLQSMPYLKAVVLEGLRLHPPGSFVLPHGVQQQLSTSAGAEIGGYTVPRGAAVNFLVAEIGRDEATWTAAREFRPERFMPGGEGHGVEVTGSREIKMMPFGAGRRVCPGYALGIHHAEYFVARMVGELQWLPETEGKAVDMAEKLDFTTVMKHPLRARVVPTS* >Brasy9G100400.1.p pacid=40063080 transcript=Brasy9G100400.1 locus=Brasy9G100400 ID=Brasy9G100400.1.v1.1 annot-version=v1.1 MEFRACLPSHRASPSPSVPQRRANNGPPPAVAMASTINEVKTAKKPYAPPREVQLQIMHSLPAQKQEIFDSLQSWARDNLLNLLKPVEKSWQPQDFLPDPSSEGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYMYLSGRVDMRQIEKTIQYLIGSGMDPGTENNPYMGFLYTSFQERATFISHGNTARHAKQFGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGEDDNLFEHFSSVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDYVCTLATRFRRLDERAQARAKQGPAISFSWVYDRKVQL* >Brasy9G214700.1.p pacid=40063081 transcript=Brasy9G214700.1 locus=Brasy9G214700 ID=Brasy9G214700.1.v1.1 annot-version=v1.1 MSRRTPPPGRHPAALPLLRRRDTPSPPCFSGAARALASAAATGDATRPGRPLLLRPPPRAVAARRRPAHRTAARCSSRGRHAPLPSAATPVPSCTVVGRCAAAHCCSGRRYARWPAAAALASFCDLDAELAVVLPARRKKKSGRGKREKGKGA* >Brasy9G090700.1.p pacid=40063082 transcript=Brasy9G090700.1 locus=Brasy9G090700 ID=Brasy9G090700.1.v1.1 annot-version=v1.1 MSTDSDTSSRNGADRRSFREITRDRLLIEMLRSAKNNSRSTWKVLIMDKLTVKIMSFSCKMADITEQGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKHPLYKKAYVFFSSPVQRELVSQIRKDSSVLTRVGALSEMNLEYFAIDSQGFITDHDKAIEELFTENAEGSMKYNSCINMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKTGSGTEKKEVLLEDHDPIWLELRHLHIADANLRLHEKMTNFISKNKAAQLYKAKFGQQTRTGGELSTKELQKMVQALPQYSDQIDKLSLHVEIADKLSDMIKQQHLKDVGQLEQDLVFGEAGTKELINFFRTRVDISRENKLRLLMIYAAINPEKIQGDKGAKLMQLAGLSADDMIAVNNMHCLCAHDTKKSSTGGFTMKFDLHKKKRGIRKERVGEESTWVLSRFYPILEELIEKLSKGELPKDEYHCMSDPSSSFRGIPSSMSARASPAHQPAQSMRSRWTGGTWARPRNSDDGYSSDSVLKHASSDMRKLGQRLFIFVVGGATRSELRAAHELSSKLNREIILGSSSLDDPPQFITKLKMLSTEELTLDDLHI* >Brasy9G090700.2.p pacid=40063083 transcript=Brasy9G090700.2 locus=Brasy9G090700 ID=Brasy9G090700.2.v1.1 annot-version=v1.1 MSTDSDTSSRNGADRRSFREITRDRLLIEMLRSAKNNSRSTWKVLIMDKLTVKIMSFSCKMADITEQGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKHPLYKKAYVFFSSPVQRELVSQIRKDSSVLTRVGALSEMNLEYFAIDSQGFITDHDKAIEELFTENAEGSMKYNSCINMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKTGSGTEKKEVLLEDHDPIWLELRHLHIADANLRLHEKMTNFISKNKAAQLYKAKFGQQTRTGGELSTKELQKMVQALPQYSDQIDKLSLHVEIADKLSDMIKQQHLKDVGQLEQDLVFGEAGTKELINFFRTRVDISRENKLRLLMIYAAINPEKIQGDKGAKLMQLAGLSADDMIAVNNMHCLCAHDTKKSSTGGFTMKFDLHKKKRGIRKERVGEESTWVLSRFYPILEELIEKLSKGELPKDEYHCMSDPSSSFRGIPSSMSARASPAHQPAQSMRSRWTGGTWARPRNSDDGYSSDSVLKHASSDMRKLGQRLFIFVVGGATRSELRAAHELSSKLNREIILGSSSLDDPPQFITKLKMLSTEELTLDDLHI* >Brasy9G090700.3.p pacid=40063084 transcript=Brasy9G090700.3 locus=Brasy9G090700 ID=Brasy9G090700.3.v1.1 annot-version=v1.1 MSTDSDTSSRNGADRRSFREITRDRLLIEMLRSAKNNSRSTWKVLIMDKLTVKIMSFSCKMADITEQGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKHPLYKKAYVFFSSPVQRELVSQIRKDSSVLTRVGALSEMNLEYFAIDSQGFITDHDKAIEELFTENAEGSMKYNSCINMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKTGSGTEKKEVLLEDHDPIWLELRHLHIADANLRLHEKMTNFISKNKAAQLYKAKFGQQTRTGGELSTKELQKMVQALPQYSDQIDKLSLHVEIADKLSDMIKQQHLKDVGQLEQDLVFGEAGTKELINFFRTRVDISRENKLRLLMIYAAINPEKIQGDKGAKLMQLAGLSADDMIAVNNMHCLCAHDTKKSSTGGFTMKFDLHKKKRGIRKERVGEESTWVLSRFYPILEELFHGSPNTKFPAGLCKWIH* >Brasy9G090700.4.p pacid=40063085 transcript=Brasy9G090700.4 locus=Brasy9G090700 ID=Brasy9G090700.4.v1.1 annot-version=v1.1 MSTDSDTSSRNGADRRSFREITRDRLLIEMLRSAKNNSRSTWKVLIMDKLTVKIMSFSCKMADITEQGVSLVEDLYKRRQPLPSMDAIYFMQPTKENVRIFMSDMSGKHPLYKKAYVFFSSPVQRELVSQIRKDSSVLTRVGALSEMNLEYFAIDSQGFITDHDKAIEELFTENAEGSMKYNSCINMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKTGSGTEKKEVLLEDHDPIWLELRHLHIADANLRLHEKMTNFISKNKAAQLYKAKFGQQTRTGGELSTKELQKMVQALPQYSDQIDKLSLHVEIADKLSDMIKQQHLKDVGQLEQDLVFGEAGTKELINFFRTRVDISRENKLRLLMIYAAINPEKIQGDKGAKLMQVTIDMLMLFSNVNGISSALFFGISSVTERLLMTSCACHYQKCRCLAH* >Brasy9G032200.1.p pacid=40063086 transcript=Brasy9G032200.1 locus=Brasy9G032200 ID=Brasy9G032200.1.v1.1 annot-version=v1.1 MGHLICRIEYYRKFGSCCLTSVFGQNLTFSQLKVNSAQSALRCPTQIVVRSMALSLGGDAASMVAVGLVWGATNALMRRGALVWDRRSRSLPAGSGVARRWAGLLLTWQYSAPFLANLSASAAFFRLLGDAPISVAVPVTNATTFAATAIAAALLGEGTRPWPAALGTALIVLGVWVCIS* >Brasy9G032200.2.p pacid=40063087 transcript=Brasy9G032200.2 locus=Brasy9G032200 ID=Brasy9G032200.2.v1.1 annot-version=v1.1 MGHLICRIEYYRKFGSCCLTSVFGQNLTFSQLKVNSAQSALRCPTQIVVRSMALSLGGDAASMVAVGLVWGATNALMRRGALVWDRRSRSLPAGSGVARRWAGLLLTWQYSAPFLANLSASAAFFRLLGDAPISVAVPVTNATTFAATAIAAALLGEGTRPWPAALGTALIVLGVWVCIS* >Brasy9G017500.1.p pacid=40063088 transcript=Brasy9G017500.1 locus=Brasy9G017500 ID=Brasy9G017500.1.v1.1 annot-version=v1.1 MDKVLAFSILSASPADIAGGSWARLSWRGRKQQQQQDDHHNQDGAGQQGGAGGGQAAEQGKSLEPRRPRFAPEFDGIDCFETIVWR* >Brasy9G365900.1.p pacid=40063089 transcript=Brasy9G365900.1 locus=Brasy9G365900 ID=Brasy9G365900.1.v1.1 annot-version=v1.1 MEPFSASASEGVGEAEKHIEGLVWAAELEPDSEGEREALPEPDSEGEGEALREPDHKREGEKHIETNQTQTELLVEPSLSMEKTNPSAAHMKAFIAELNDLASLLRWNTKLAAALFDVRDEDDDKTPPISELFSHLQQYLSEYRSKFLRLKHRVDFSLYEDSEDEVDWEDLVATYWMEFILYRPGKDSAAAHLEEREQEETESVKKAKEQIFLEERQFVNYSTGKESVCHGNNFTSGTMLSPMLLTHCTPGLPIDHLGATTGTSLQVFSFKISEIKCDLEWPLLVYGMVNARDSVDCNRNILFYRTNINCQLLTRDDPFLRLTGPSRGILAINPLEFEVQLRVKGISMSRDRTLIMQRSHYSHGDGTTLRNVCFDNCLCTAQLSLEQIENSVQATFLGVRIIRGGSFSYGGRVAISQGSTMDANEVVLLDSRGGEVPMGTDGHIDLSRRVVSVEINPGHEDLEGLKVVLEAYSESGRGIAARGDVMFKPKLCYISQATCDLIPQKEEATCGYQVEITVAWSVSASSRTYF* >Brasy9G181100.1.p pacid=40063090 transcript=Brasy9G181100.1 locus=Brasy9G181100 ID=Brasy9G181100.1.v1.1 annot-version=v1.1 MDTDTNTNLDGAVPDLEQQPLPEKKLDEEIPVLEQQPLQKTKLDEEALPHVNQHLLPPLPTAAGHKLAAGPGRPCDCELARGSASARASAAAARTMALVAATVGIAFAVHDRTPRPDTLLAVFVAIPEGRRRRVARVLLWSFAMALVLTMTNSVSMIAPPVAGSALFALGLVVSGLCFAESVPSVFRSRELPRAQTT* >Brasy9G091600.1.p pacid=40063091 transcript=Brasy9G091600.1 locus=Brasy9G091600 ID=Brasy9G091600.1.v1.1 annot-version=v1.1 MSTRGSRCIVSLSLVLLCLGAAAARATRPAPDASLAATTNGTCRSRVEPFGYRCEEHTVTTEDGYILSLQRIPSGRTGGSELGSSGKVPVLLQHGLMMDGLSWLMNSPDESLGFILADNGYDVWIANSRGTVYSSAHTTLSSSDPAYWNWSWDELASNDLSAMLQYVYDQAGQQKMHYVGHSLGTLIALAALSNQQPHVGMLRSAGLLSPIAFLNKVSSPLALAGADVFLAEALYWLGIDEFDPTGEAVHKLLTDICKLPGVDCYDLMSAFTGDNCCLDNSSVQLFLSHEPQATSTKNMVHLAQMIRRGMIAKYDYGDASDNTKHYGQPTPPVYNVSAIPDGFPLFLSSGGRDSLSDVQDVQRLELQALKSHDKDNLTVQYLADYAHADFVFAGNARERVYAPLMAFFRLQEK* >Brasy9G302600.1.p pacid=40063092 transcript=Brasy9G302600.1 locus=Brasy9G302600 ID=Brasy9G302600.1.v1.1 annot-version=v1.1 MHHDPNPFDEGGAEDNPFSNGGGRGGKQQYGFRPSEPVGFGGGRADATVDVPLDNMGTQGSNGKARELSSWESDLKRREADIKRREESLKNAGVPMEDKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLMWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFQGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQKVYMYFRGHK* >Brasy9G105400.1.p pacid=40063093 transcript=Brasy9G105400.1 locus=Brasy9G105400 ID=Brasy9G105400.1.v1.1 annot-version=v1.1 MAWDSHVIHPKSPALISLNLRPCFTTSGLKQARWRRTQIPNRGMAAVTE* >Brasy9G089500.1.p pacid=40063094 transcript=Brasy9G089500.1 locus=Brasy9G089500 ID=Brasy9G089500.1.v1.1 annot-version=v1.1 MGRATLLLLVAMAAAIGGCFAQMPIPARTDGFAYGKAPAWGEAVVVEAYFDPVCPDSRDAWPPLHKAVQHYGGQKVSVVVHLFPLPYHSNAFIACRSIHTVNKLNASAVYPLLEKFFKYQEAYYNQPTYTKSREMIVAEITNNLVAPVIGEANVAPYKAGFNDSMSDQSTRISFKYGCARGVTGTPYFFVNGIPLGDSGSPVDYDKWISTLDPLVGKM* >Brasy9G089500.2.p pacid=40063095 transcript=Brasy9G089500.2 locus=Brasy9G089500 ID=Brasy9G089500.2.v1.1 annot-version=v1.1 MGRATLLLLVAMAAAIGGCFAQMPIPARTDGFAYGKAPAWGEAVVVEAYFDPVCPDSRDAWPPLHKAVQHYGGQKVSVVVHLFPLPYHSNAFIACRSIHTVNKLNASAVYPLLEKFFKYQEAYYNQPTYTKSREMIVAEITNNLVAPVIGEANVAPYKAGFNDSMSDQSTRISFKYGCARGVTGTPYFFVNGIPLGDSGSPVDYDKWISTLDPLVGKM* >Brasy9G277600.1.p pacid=40063096 transcript=Brasy9G277600.1 locus=Brasy9G277600 ID=Brasy9G277600.1.v1.1 annot-version=v1.1 MRLFQALSLLAILLLASSGSSGASVLEDACKSFAATHKGIGYDYCIKFFQANKNSSTADIRGLALIAVEITGATAASTVKRIHALKASGKDKKVREGLADCADVYSQAMDELRAAAKGIASGIPRGLRDAATNLSAAMGAAGTCEEGFNELGVKSPLTAENSEFFKEATLALSVTSELSS* >Brasy9G229300.1.p pacid=40063097 transcript=Brasy9G229300.1 locus=Brasy9G229300 ID=Brasy9G229300.1.v1.1 annot-version=v1.1 MADEAKAKGNAAFSAGRFEEAASHFGDAIALAPDNHVLYSNRSAAYASLHRYKEALADAERTVALKPEWAKGYSRLGAAHLGLRDAGKAVEAYEKGLALEPSNKALKDGLAQARLALPRPRPPGFGGDAIGKVFQGPELWSKIAADPTTRAYLEQPDFMQMLRDVQRNPGSINNYLSDPRMMQVLTLMLNIRIQNENQNNEAPEPAAASPPPQQQQQHKPETKSREPEPETKSKDPEPEPMEMTEEEKERKERKVAAQKEKEAGNAAYKKKDFETAIEHYTKAMELHDEDISYLTNRAAVYLEMAKYDECIKDCDMAVERGRELRADFKMISRALTRKGTALAKLAKCSKDYDVAIESFQKALTEHRNPDTLKRLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPQDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPSNQELLDGVKRCIQQINKANRGELTPEELKERQDKAMHDPEIQNILTDPVMRQVLIDFQENPRSAQDHLKNPGVKQKIMKLVSAGIVQMK* >Brasy9G020100.1.p pacid=40063098 transcript=Brasy9G020100.1 locus=Brasy9G020100 ID=Brasy9G020100.1.v1.1 annot-version=v1.1 MGLFNGGSAEPSKADLSPPPPTTVAPRSARPAESFSTSSPANPLKKRGPLDIDQIDVLETGSTKLPESNLLPPAPAVIAPREVVVMDRPSKKICHTSGSSSASSTRHVWADLTGILLQEIMFLFSSFHDILAVSGTCRAWRAAVSSFPSVNTFTIPPLHLKPAPRNTPTYMRYLGCSYGHLIFSSNVHFLLVDVYTCTKVKAPKLQANSNNVVYYGILLAPLGSPNSCLLLCSRTSMFQWKVGTNSWTEYPLVAPSTSAFKYDGRSILQIVFFKGQMFAIDSLHRLNTISLEPQLSMREVVVPWGKDTVFHYDPWLVVCGDMLLMVILTVVNHPFHVLRLDFSAEPAKWVRMEKLENWALFLSLDRRCPTFSCMSPERWGGKSNCIYVPNASEDSDKPWIEVQLGQRVPSTTRSISDSLQGISNQLKSLWVLPSLVYGVGQ* >Brasy9G100800.1.p pacid=40063099 transcript=Brasy9G100800.1 locus=Brasy9G100800 ID=Brasy9G100800.1.v1.1 annot-version=v1.1 MSKRRQQQDCSNCSRKRRQQKHLYVVLDDIDNGYSIHKLDLRFEQDYSDSEDDRAESETDQQQLDFRRLPEPPALRFALPCCEKMFLAALGTNIFMASNYVYHAQPPALLYDTRAAMLNMGPQTPTNLRRGSVIVAIPPNAPLGGETRQKLYVLACEHHGKRLDAHVFFESMSWTRTPDDAGRDLAFPPTHEWSWDEPELQQILAQAPAPLDGNEEVVTSFAVHPDGHTIFLSTQDRERRDVGTYSFDTGNHGWRSHGPWVLPFLGQGYYDTELDEWVGLGKDGHVCSCQVFSSGYSTAPPDSKRTKDRLLHDVGTDGRRHLGASLTYMGGNSRFCLVECVVRDGENLRDALNGCDGSSLLHVTMFGLKYNRKGELEATSRRATSSYAVSKYLMGYSPVAFWM* >Brasy9G152600.1.p pacid=40063100 transcript=Brasy9G152600.1 locus=Brasy9G152600 ID=Brasy9G152600.1.v1.1 annot-version=v1.1 MGVRGNLATYLPGRGRRLIKKGRGLDQWFTLHLVNALGYHLLKWESGRHNLW* >Brasy9G075400.1.p pacid=40063101 transcript=Brasy9G075400.1 locus=Brasy9G075400 ID=Brasy9G075400.1.v1.1 annot-version=v1.1 MADVMVSTSTGAMGSVLGKLSAMLSDEYKLLKGVHGDIKFLRKELEAMHAFLLMMSDVEQPNEQAKIRVKAVRDLSYDIEDNIDKFMQLVEPESSSNDSGFMDLISKCKRKVKDIKTRHEIAKEVKHIKDQVKEVSERYARYTVDGFSAKPRNAKVDPRVMAVFKDASELVGIDGPRDELVRYLGQDGDESTRHLKFVSLVGYGGLGKTTLANQVYQKLGANFECQAMVSISRDPDMTKILSSVLSQISNGGKIHGRSGDQQAIISQIREFLKNKRYLIVIDDIWDVPTWRILECALFKNRCGSRIMITTRINDVAKSCCSSIGDLVYKMKPLNKDDSKKLFYKRIFGSEENCPFDFKEASVDILKKCCGLPLAINSISSLLATRQTKEGWDQVRCSIGFAKDQSSEIEAMNYILSLSYFDLPHYLRSCLLYLALFPEDYKIRRERLVRRWISEGLIRSEHGEDLAELGDVYFHELVNRSLIQPVDIGYDGKACYCQVHDIILDFLISKCADENFCTLLGSHSNLTNLSDNKVRRLSLMGDGDERNVIKPHELDLSHVRSIGAFGYGFGKQIPFFLKSDALRVLDLEGCFGLEEHHLKNIARFSQLRYLNIYDTGISKLTGQIGDLQYLETLNAFDDSLDALPETICRLQRLMHLSVSRFTRLPDGIGNMKNLRELWNINVLRQSLKFVQELGELTKLRMLTINWDADEIEGRKLVSYKEKLASSLCKLDACNLHTLRIYLDLGEKDGLLGDDPFIPALNSIREIHLFGGQIFRMTKWLVSLANLQKLTIYVALIEPQDLQMIGHIPTLFEFNLDVYGACIITSGGFQQLQKFAVECNDLMFEDGAMPKLMELRLYIRSIKCNSGGSSKKQKKINSGGVGVFDFGIHGLPCLATVQVTIDCRGIRAAAVDASEGAIKGMAEAHPNHPTLEITKKYTCCMLQDE* >Brasy9G179400.1.p pacid=40063102 transcript=Brasy9G179400.1 locus=Brasy9G179400 ID=Brasy9G179400.1.v1.1 annot-version=v1.1 MVWYYTESKNPSDPSETAMAHGQGYLATDAFAFVLQAACLAAGFVSLTPWRRSSSDQRSPFWMFLLSAALLLAVALAYGYLDDFCAVAAVAGPGRGGARVAAGRSKLRRFKPSTVPRCSFLVRQSAALAVERAHKQGKAPEAAAEGAELWEVVCGGGGGTSCEHIDGEN* >Brasy9G205900.1.p pacid=40063103 transcript=Brasy9G205900.1 locus=Brasy9G205900 ID=Brasy9G205900.1.v1.1 annot-version=v1.1 MRHLLLPRRLLPMAPLPSSAATKALLLNPAAVPARGRLPSPLACLPAPGGRSFRGASLRCYAAAAAVAEQHRIKVQNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGVLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKKPICIGRHAFGDQYRATDTIINGPGVLKMVFVPDGGEPVELNVHDFKGPGVALAMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKEQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTQKLESACVETVESGKMTKDLALLSYGPKVTREFYLSTEEFIDAVAQQLREKIQLPAAL* >Brasy9G084600.1.p pacid=40063104 transcript=Brasy9G084600.1 locus=Brasy9G084600 ID=Brasy9G084600.1.v1.1 annot-version=v1.1 MSTIVAMIVLLLLLMVTSSASATVVAGGELGRGTMPPSTVPFRAPLHRRQPLFRGRAANGCMPRGFRVPPSAPSRYVNYHTLDAGACGGGGARKP* >Brasy9G149600.1.p pacid=40063105 transcript=Brasy9G149600.1 locus=Brasy9G149600 ID=Brasy9G149600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIIRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYLPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYLVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQMEGFTPARGPPRVFESTYLPRQFLYGEQKEDAYVFPYSPQLLPRF* >Brasy9G361600.1.p pacid=40063106 transcript=Brasy9G361600.1 locus=Brasy9G361600 ID=Brasy9G361600.1.v1.1 annot-version=v1.1 MALSRAATLGMAVVAVLLLSAPAALSSPSLISMPSNDAGLSYNFHDESCPNLDQMVHDAVKKAKEADIGVVAGLIRLSFHDCFPQGCDASILLLNKFWGELNMPQNKGIRRSAVNLIEDIRKVVHEACGATVSCADILNLATRQAVMQSGVPGQLVPGYEVPLGRLDSPWPAALKKVQELPGPGFNATELKESLASRGLDTTDLVALSGAHTIGRASCGSFSDRFHENNDGFVKRLRDNCTSDSNRLQDLDVTTPDIFDNEYYRNLVKGEGVLSSDMALTRDAETNNLVRNFAGNQGWFFGQFGPSMRKVAHLRGAQEDKGQIRRYSCFWNNAWGPAGPPAAYLGGEELLKASV* >Brasy9G235000.1.p pacid=40063107 transcript=Brasy9G235000.1 locus=Brasy9G235000 ID=Brasy9G235000.1.v1.1 annot-version=v1.1 MALVPLLLSLLLVSCAAQSPASSPSGSNTAPVSASSPQASAAAPTTAKSPPQVSAASPSQASAAPPTTAASTPQASPAAASPSQVSAAPPTTAAASAPQVATTQPPTTAAASPQLAATSAPPTAAASAPLTATSPPMSSVASPPLVATSSAPVSAPPLAATAAPPATAPSVAALPPAIFAPVASPMAAPPATAPTAFAPSPLLMAPVASPATAPAPAPVSPAPSVAPTPSPVMAPELSPIMAPELSPVMAPELSPVMAPALTPLGAASPAFAPMGEEDSAAAGARAGVAALVALAAAGLLVLF* >Brasy9G008700.1.p pacid=40063108 transcript=Brasy9G008700.1 locus=Brasy9G008700 ID=Brasy9G008700.1.v1.1 annot-version=v1.1 MGKTKMTTSGDRAAWLRGQRRRSPFAASICGHRLLGPHGSDLLPPGSSSSRARPELHRGPSRASHLSLNSVSGRARLGSPFASFGRAPGSARVQADLQVYTQARLGSCPGRGYKYIHKTCMRVYIYACGE* >Brasy9G204600.1.p pacid=40063109 transcript=Brasy9G204600.1 locus=Brasy9G204600 ID=Brasy9G204600.1.v1.1 annot-version=v1.1 MKRRGSQTACSLLLIVAALILLVAFRCSLHHHSVNPGGQSRADGAGHDDTTLMELAAIDPAATSVLEAAKKMLEGNLARAPEEHREAAVRGLREWIGMQQRFDPGVMSELVSLVKRPIDRYYGRDDDEHDGRKYASCAVVGNSGILLASEHGGLIDSHEFVVRLNNAPAGKEGTTLARHVGARTGLAFLNSNVLSRCTSTRSRAGSVCRCRERYGGAAAVPILTYMCSGAHFVEHAVCSNNSDSSSSPAPVIVTDPRLDALCARVAKYYSLRRFARETGRPAAEWGARHEEGMFHYSSGLQAVVAAAGVCERVSVFGFGKAAGVRHHYHTLQKGELDLHDYEAEYEFYRDLQEARPEGIPFLRDSGFTLPPVVFYR* >Brasy9G286300.1.p pacid=40063110 transcript=Brasy9G286300.1 locus=Brasy9G286300 ID=Brasy9G286300.1.v1.1 annot-version=v1.1 MMSVRVANHKALKVLLAMIHVFGGRGGLLVSPAAAARGRQEVHMVPAVYVFGDSTVDVGNNQFLPGRDPLQLPYGIDFPGSRPTGRFSNGYNTADSIARLLGFKRSPPAFLSLTPETSRQIVQGLRGVNYASGGSGILDTTGNGTITLTKQVDFFAATKSNMTGKNKIDELLSKSLFLISDGGNDLFAFLSQNRTAAEVPSFYADLLSNYTRHVQTLYTLGARRFGVIDVPPIGCVPAIRATSPSGETKCVEAANALAKGFNDALRKLMARIAANLPGMKYSVGSSYNVIAFVTAHPGYAGFRDVASACCGGGRLRAGCTLPNATYCGNRNDHLFWDEVHGTEAAARRGAAVIFAAPVRLGFAAPVNFKQLVSSS* >Brasy9G349900.1.p pacid=40063111 transcript=Brasy9G349900.1 locus=Brasy9G349900 ID=Brasy9G349900.1.v1.1 annot-version=v1.1 MRRATTATSSSASDNAAPASKPRRKPGGWLRGIRHLAGSVAYGRRSTDVRDKEKERKEREARRLSSATDDSLDGNGGSRNAGRVRVRQYGKACKELTGMFMTQELAAHSGSVWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARESGGGSSPFVAVVENGSPEVTTLALNSADKGYVEKKRKPRVQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVAMVGSHKGSCHLFDTTEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSLEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNSSHPSRSRSAVDVTSSYEHFHCHDVTVAITWPGAEARGSFGSCSSRHSDSDGAVNPAPEAPVENNEHEANGTANTYTENPVCEGGASTSSHPVEAASTSWLDEKLPFAKSSPGQGSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV* >Brasy9G290700.1.p pacid=40063112 transcript=Brasy9G290700.1 locus=Brasy9G290700 ID=Brasy9G290700.1.v1.1 annot-version=v1.1 MYYLAVNGSFETVPCSNRTHFIAVPPDEERKMGESIFASMKEAKEEEGKLLPESHPDSVRVNRLAMEIVRAANKVFPANASKKKKKKKKKQQPQTEHMDGLEWEVIVVQDESVNACCLPGGKIMVYTGFLDHFNTDAELATMLGHQVGHVIARHTSETLTDLLYLFIVTLYFQICWGDSKSKREAVEGVMELCLRLSFSREMELEADHIGILLLAASGYDPRNAPAVYEKLGKITEKEDSKEDSKQDLKDFPSTHPSSEKRSEVLSQAKVMDEAMEVYRKAVKGGENKGVFFVFH* >Brasy9G132500.1.p pacid=40063113 transcript=Brasy9G132500.1 locus=Brasy9G132500 ID=Brasy9G132500.1.v1.1 annot-version=v1.1 MGAVNNFELSMYVLPSPICFSLLAGAEHQSLWMNRLKQQVLCTSADGKGAAPSWSIFLLRCTSTTEVEHLSLFDCSTHHHQITA* >Brasy9G209900.1.p pacid=40063114 transcript=Brasy9G209900.1 locus=Brasy9G209900 ID=Brasy9G209900.1.v1.1 annot-version=v1.1 MTHASSIGGPLGPLVRQPAAGKRGGQGWSDLQHEKKRERSRERKEEREPERRKEAHTSDQPDRGRRRRGVRICRRRGCGGRIRWGQGGRRPVGPDPAASMPRAEDGGGRCMAGPDPAASRQRAEDGGGRRPGRIRQSPGPGWPREVEEGAGGAGHGTGARSGHGRGRGGGRGELQKEPRVLQKISVPSSNWIVR* >Brasy9G011700.1.p pacid=40063115 transcript=Brasy9G011700.1 locus=Brasy9G011700 ID=Brasy9G011700.1.v1.1 annot-version=v1.1 MVSWSDESSSSRRSLPTDFSDMCPEWCYDSDYFGEHDDKTIRCSGHGMPAQRRVACNGPTTGRRYLGCSQLIYCKREMEKAEQFYADMRLAEEEKKVAIVEKKKAELAAARAEGDRMRMQQLKMKLELEMADYVSEATMKGEEMKLRIKRLRNTVILKEKLLLFAWALVATLVAFCVGFLEAFFFKM* >Brasy9G002100.1.p pacid=40063116 transcript=Brasy9G002100.1 locus=Brasy9G002100 ID=Brasy9G002100.1.v1.1 annot-version=v1.1 MLLRAAAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFCLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATHSPLLASKLTKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVFESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPVIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIDEKEDTEGSMDDDDKEYDYPQV* >Brasy9G056600.1.p pacid=40063117 transcript=Brasy9G056600.1 locus=Brasy9G056600 ID=Brasy9G056600.1.v1.1 annot-version=v1.1 MSFRDIGNMVQLEGKQQDHNNHNIQNGNNTGNNISGPNAASPFTGASAVISGNTVNGLQQPQSSVEKIGPFGSSSAGGNCDIKSSVAKIGPFGSSSAGGNCDIKVAPLLLKSITIRHGCIVDALAFTYKDCHGVEQTTGQWGGNGGRATTITLGPNEFVREVHGMYGQYIYGGDGIANFTIVTNLQTYGPYGGSKNLRNTKSFHIPVKNNGSIVGFFAHRNNVYVTAIGVYVKPF* >Brasy9G016300.1.p pacid=40063118 transcript=Brasy9G016300.1 locus=Brasy9G016300 ID=Brasy9G016300.1.v1.1 annot-version=v1.1 MRLNGGEQSSCKLKLIDTMQRLGIAYHFEQEINEILSSIHRKPRRARDDLACAALRFRLLRVNGFPVSLAALEQRHVEGLLSLYEASYLPFGHEEILDEARTFCADALTKLLPSMHPQLRRSAVHALDLPLHWRTPRLEARWFVDHYAQDVSPDPLLLHFAKLDFNSLHQQELARFTRWSKKDVGLGEKLTFARDRLMECFYYANGIAWEPNLGACREALAKVTNLIVHLDDVYDVYATLDELVLFTDAIGRWEESPSEMLPEYMQALYCGMYKTSNEVADHALKTHGCDVRVLLQKAWHDLSKAFLVEAKWYNGNYRPTLQEYLDNGWVSSSAPVLLLHAFPMLNMELTAESLEQVGSYPTSVRSASLIFRVCNDLATNSAELERGDAPSSIAIHMSENTCDEQEACKAMQDLRMDAWKSMNGDAFNHHQFPPPFSKICMNLARISHCIYQGGDGIGAPDAQKKNQIKELFLEPFFHE* >Brasy9G099600.1.p pacid=40063119 transcript=Brasy9G099600.1 locus=Brasy9G099600 ID=Brasy9G099600.1.v1.1 annot-version=v1.1 MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKNVPTKLFHCVIQAGHVEVGIRGNPPYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWASPIKGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRSFMGGIRSD* >Brasy9G222400.1.p pacid=40063120 transcript=Brasy9G222400.1 locus=Brasy9G222400 ID=Brasy9G222400.1.v1.1 annot-version=v1.1 MKMSCFLVVLMLAVATGSRPSLAAGRQSGSSLGRELGEFIAKAGDFFSSAGRAGADGWHSAAASARPSSVKGSGRGTGRKRLKKASVNCIPAEMCRRKKVLCGKRCYRTSRAGATAGAGASLSHIPSNKCVVRCKKCVPTC* >Brasy9G267700.1.p pacid=40063121 transcript=Brasy9G267700.1 locus=Brasy9G267700 ID=Brasy9G267700.1.v1.1 annot-version=v1.1 MPPDRLFRARYSCCNPLILANSCGILPDRAFPVRFSVLMPLKFPSDGGILPVNELSSKARYTKLAQLPGVPGMSPDKLFFDRSKLAILWRFPTCGERTPDK* >Brasy9G002600.1.p pacid=40063122 transcript=Brasy9G002600.1 locus=Brasy9G002600 ID=Brasy9G002600.1.v1.1 annot-version=v1.1 MSNSVVSNGVANKQPVDAVVSSQEEPQSTDLQLQVEPPTGNIMKKKKRKRSRGRSPNPQPALDLGQGPQVIIESKKNPAKKMRPVELEPDNSLKVRRIWLNKDERLILEEIHKFLVEKERLPNVKDIAFFQSLLKQLQDYSCDLRIVKDKMKSLKRRYDTHVVSTTDHERGLDVLSERIWGPRSTAVTAAAGLQEYKDQQQAAEARVEADYKSFQEMYPLLTREVMLIAVQQPSAKSLFIGLDAKTALTIETQLEKIKGAELKIQMRMETNVTAPKAKIKKKLVGLLEKVSKEKNKVKVN* >Brasy9G234400.1.p pacid=40063123 transcript=Brasy9G234400.1 locus=Brasy9G234400 ID=Brasy9G234400.1.v1.1 annot-version=v1.1 MLLQHLPPPFSTATARSSPRRPCGVSAVFFTVRCASSSAPSPPPPRSSAAAAGQQVANVHSYGTVDFERRKALRWSSLYRRIAVGHGRRPVGRTLADWDEGERRLDKWELCRVARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLVAKVRGVPHAEEYFESLPDPLKDKRTYGSLLNVYAQARMKEKTEDTFEQMRKKGYASDTLPFNVLMNFYVDVEEPQKVSAVTDEMKERNVSFDVCTYNIWIKSCAAMKDADGMDRVFNQMIADESVVANWTTYTTLASMHIKLGNFEKAEVCLKEAEKRTTGRDKKCFHFLITLYSYLQKKEEVYRIWNWYKATFSTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYSREGFVVKAEQTLNRFVEKGGNPKPNTWEILASAYLKDNQLSEALSCMEKAAAVKSASRWRPRPSNVESLLAYFKENNDTESADRLMSVLTSRGCAENEEYKSLINTYALAGT* >Brasy9G234400.2.p pacid=40063124 transcript=Brasy9G234400.2 locus=Brasy9G234400 ID=Brasy9G234400.2.v1.1 annot-version=v1.1 MLLQHLPPPFSTATARSSPRRPCGVSAVFFTVRCASSSAPSPPPPRSSAAAAGQQVANVHSYGTVDFERRKALRWSSLYRRIAVGHGRRPVGRTLADWDEGERRLDKWELCRVARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLVAKVRGVPHAEEYFESLPDPLKDKRTYGSLLNVYAQARMKEKTEDTFEQMRKKGYASDTLPFNVLMNFYVDVEEPQKVSAVTDEMKERNVSFDVCTYNIWIKSCAAMKDADGMDRVFNQMIADESVVANWTTYTTLASMHIKLGNFEKAEVCLKEAEKRTTGRDKKCFHFLITLYSYLQKKEEVYRIWNWYKATFSTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYSREGFVVKAEQTLNRFVEKGGNPKPNTWEILASAYLKDNQLSEALSCMEKAAAVKSASRWRPRPSNVESLLAYFKENNDTESADRLMSVLTSRGCAENEEYKSLINTYALAGT* >Brasy9G156900.1.p pacid=40063125 transcript=Brasy9G156900.1 locus=Brasy9G156900 ID=Brasy9G156900.1.v1.1 annot-version=v1.1 MLSLQSGDSRHHAPQPRAPAPAPVLGSGNGDWLGFGLPKLEESVSSSGPSFLLPSSLSIDARAQPDPKPKPLGAVDEERHLALAHQNYRSGKYREALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIEKNEEALAIDPQFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRSNFCDAWSNLASAYTRKGRLNDAAQCCRQALAINPRLVDAHSNLGNLMKSQGFIQEAYSCYIEALHIDPRFAIAWSNLAGLFMEAGDLDKALLYYKEAIKLKPSFADAHLNQGNVYKAMGMPQDAIMSYQRALQARPDYAMAYGNLATIYYEQGQLDMAVRCYNQAIVCDPQFIEAYNNMGNALKDSGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLVTTAASFYKAAISVTSGLSSPLNNLALIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYVQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALHLRPDFPEAICNLLHTLQCVCDWENRDAMFRNVEDIIRRQIKMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLIVSRLGLPPFVHPPPVPIKAEGKYCRLRVGYVSSDFGNHPLSHLMGSVFGMHNRDNIEVFCYALSQNDGTEWRQRIQSEVEHFLDVSAMTSDMIARIINQDKVQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQKNRDCLNPVLPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDRWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRSDQIIFTDVAMKTEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEEMIVSSMQEYEDRAVALAQNPADLQALTNKLKSVRMTCPLFDTARWVRNLERAYYKMWNLYCCGRDPEPFKVKEDDGEFPFDC* >Brasy9G079000.1.p pacid=40063126 transcript=Brasy9G079000.1 locus=Brasy9G079000 ID=Brasy9G079000.1.v1.1 annot-version=v1.1 MKEEGSGGVGIWTAPSSICVTLSMVGRIVGSSWRHHSATATKRSTFLMLKFASSSLSTSKSILPHL* >Brasy9G115200.1.p pacid=40063127 transcript=Brasy9G115200.1 locus=Brasy9G115200 ID=Brasy9G115200.1.v1.1 annot-version=v1.1 MSPSTLPLVRPPAPANPSLPPRRCPPLSAATLRSAPASSALAQFSRLRTKCRFSASGVREDYSSTPIDVVADVKTEKIVVLGGSGFVGSAICKAAVAKGIEVVSFSRSGRPSSYDPWADEVTWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANTIAVDAAKEFGIPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPSSGVVLRPGFIYGKRKVDGFEIPLDIVGKPLEQLLSSVENFTKPLSALPASDLILAPPVNVDDVAYAVINAVIDDSFFGVFTIEQIKEAAASARV* >Brasy9G012600.1.p pacid=40063128 transcript=Brasy9G012600.1 locus=Brasy9G012600 ID=Brasy9G012600.1.v1.1 annot-version=v1.1 MSGKYIIAGLLGSCAISYACDYIVSQKKIFGGTIPGTVSDKEWWQATEKRFQAWPRTAGPPVIMNPISRQNFIVKDLNP* >Brasy9G087100.1.p pacid=40063129 transcript=Brasy9G087100.1 locus=Brasy9G087100 ID=Brasy9G087100.1.v1.1 annot-version=v1.1 MRRRRRDLVEWLGGGSSEGGERRRDPRKRRLAMKSRSGRTRRRRGIAGGAAGADGDCGGGEVICRVGQKGNRAGADGRQKRIRSTAEATAGAMVTVRQRKRGRGGAGEKP* >Brasy9G280600.1.p pacid=40063130 transcript=Brasy9G280600.1 locus=Brasy9G280600 ID=Brasy9G280600.1.v1.1 annot-version=v1.1 MPSLLQDRPSGRFPVQTGFSTTLAAVLPSTQQRPALPRVESVLHTRRGNALGLGLAAWLDGWAGHEEEDKSERDRIGGRARRVRIEGGARQHQTGPKRETRGSSRPMSPALCARREELLRGRVGDGGSAVRFPSRPWRRRLRGRVVGGGVDRRETLRWEEGRGG* >Brasy9G112800.1.p pacid=40063131 transcript=Brasy9G112800.1 locus=Brasy9G112800 ID=Brasy9G112800.1.v1.1 annot-version=v1.1 MGSTTGWLPVALLLAAVALSSVLSPPAAAAAAEADGADHAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYAPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFIEEKDVPKLDTILTSMPIEDILRKQRLLANPSMKQAMLFPQPAQARDAFHQILNGLARKLPHPEGVYLPPGEKHLNWTAGPVGDLKPW* >Brasy9G112800.2.p pacid=40063132 transcript=Brasy9G112800.2 locus=Brasy9G112800 ID=Brasy9G112800.2.v1.1 annot-version=v1.1 MVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYAPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFIEEKDVPKLDTILTSMPIEDILRKQRLLANPSMKQAMLFPQPAQARDAFHQILNGLARKLPHPEGVYLPPGEKHLNWTAGPVGDLKPW* >Brasy9G114400.1.p pacid=40063133 transcript=Brasy9G114400.1 locus=Brasy9G114400 ID=Brasy9G114400.1.v1.1 annot-version=v1.1 MAFRNRSSGHAYKTSLPNRFHGHHPLPVRFRGPPHAHSSPQEKLSCSPFVSPDMAVQAQHAFHHDLQSYRALEDGTTGAPLSLDERGGCAPAVAGIVNAAVLSDLTCNDHSYNYAFVPRKRERVAAEAPGFVVELEQPRGVRTPAAPQGFVPVGDMLSRVAGSGAASTSGRIGNAAGVPRLFHPGMEIDALVRAETERMRACLEEARRRHVRTLVAAAERATAGRLRAAEAALELARGRTAELEERLRQTVAEGQAWIGVARSHEAVAAGLRAALDQLLIQSPSRAAQSGRECDDAQSCCFETTPARAGDDAGAASNASAAFCCKACGDGGACVLLLPCRHLCLCLVCEGAVDTCPVCAAAKNASFHVLLS* >Brasy9G190100.1.p pacid=40063134 transcript=Brasy9G190100.1 locus=Brasy9G190100 ID=Brasy9G190100.1.v1.1 annot-version=v1.1 MSKMMPVPCYETPEGVDIRGRYDPEFASVLTRDALAFVAALHREFRGPVRHAMELRRDAQRRYDAGELPGFDPATRLVREGDWTCAPLPPAIADRTVEITGPADPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTISFRDDARGGRVYKLDSSSGGTAKLFVRPRGWHLPESHVLVDNEPAIGCLVDFGLYFFHSHAAFRAGQGAGYGPFFYLPKMEHSREARIWNAVFSRAESVAGIENGSVRATVLVETLPAAFQMDEILHELREHSAGLNCGRWDYIFSYVKTFRAHPGRLLPDRALVGMAQHFMRSYSQLLVRTCHRRRVHAMGGMAAQIPIKDDAAANEAALELVRGDKRREALAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAPKAADVITQEDLLQPPRGARTVEALRLNARVGVRYLVAWLGGSGSVPLYNLMEDAATAEISRVQNWQWVRHGAALDAGCGVEVRATPELLARVVEEEMERLRAEVGLQRFRAGRYAEAGRIFGRQCVAPELDDFLTLDAYGLIVVHHPRASPSKL* >Brasy9G259700.1.p pacid=40063135 transcript=Brasy9G259700.1 locus=Brasy9G259700 ID=Brasy9G259700.1.v1.1 annot-version=v1.1 MEQPGGPWRDPRQGYLYGVGSAMQMPLQQQQQRSDTAAVGGGVLKRSLGEIERWQHQRQVAMQQELYMRAVRQRTAAASAAAISPLSSADIAAVLGRGASQPLVLSGSSLGGNLASPSSTLSSLTTASRAAIPLIQPQLHRQVPFVPSSEHALGVTRAPAPSATGSELSILQELEKQLLFDDDEAEPAMSGTGSAVTSSEWEETMMQQLNSITAAPSPPFPAVAAPNNNHHHHNNAGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAVALADGNLETAAAHLATLKRAANNPRGDAEHRLLAMMVAALSSRIAPTASATSKHIADLCGTEHRTGSQLLHDISPCFRFALQAANIAIVEAVADHRAVHLVDFDISVPQHVALIQCLADRRVPGTSLKVTAVTDPTSPFTQSLTETLPAVGGRLKKLAERAGIEYGFKIVNCRAAEIDSSKLGCEPGEALAVNLAFALSHVPDESVSPANPRDELLRRVRGLGPRVVTLVEQELNSNTAPLATRFTDACAHYGAILESLDATAGRDSADRARAEEAVANKAANAVGREGADRLERCEVFGKWRARFGMAGFRPVALATGIADQVKAHVGASQPGFAVKPENGVLRLGWMGRVITVASAWR* >Brasy9G043300.1.p pacid=40063136 transcript=Brasy9G043300.1 locus=Brasy9G043300 ID=Brasy9G043300.1.v1.1 annot-version=v1.1 MKARQFVNVVTQRHGRDCLYLVSRIKPQDLLFYPSMAEAQAANTENNITSPTSAVAPPRMEMSKSLKRAAKFTLGAYRSDNMRLDFLPFYGRGGSSGGGGDPDSKILATDLAGSTILFDAAARSVLPVPCLHEPKGDCPVSFSIAPAHGPDHMGLGLSADALYVMDRIPAGRNPCNFEALVHGKDDWEWHHLPPPPYVNDPSYVCTAIQSYTLLNGGATICVSSAGLVGTYCFDTASCEWTKAGRWALPFHGWAEHVPELGDLWFGMADNSLCALDLFNLDDRAPAPRLLHDWQVLDCTLEPAGFASPRLLMSVARRTCRVIQATMLLCSPVWRWFTVGRLDSR* >Brasy9G167400.1.p pacid=40063137 transcript=Brasy9G167400.1 locus=Brasy9G167400 ID=Brasy9G167400.1.v1.1 annot-version=v1.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGIFKKAKELGILCDAEVGLVIFSSTGRLYEYSSSSMKSVIDRYGRAKEEEQLFANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLELSLRCIRTKKDQLLIDEIHELNRKGSLVHQENVELYKKVNLIRQENVELYKKLNETEAANEVNRDSRPPYNFVEDANVPVHLELNLPQQQNSIEHTAPPKLGLQLNP* >Brasy9G089100.1.p pacid=40063138 transcript=Brasy9G089100.1 locus=Brasy9G089100 ID=Brasy9G089100.1.v1.1 annot-version=v1.1 MAAAGQIHDRRVTAGVPVDGCCSRPFVGVMVAVPSDHNHSPLLVSSCPQLINPLLPLQRRRRHPPALCSATVHGIKFQAGVTDLRRRSPSSPAGKGATK* >Brasy9G338200.1.p pacid=40063139 transcript=Brasy9G338200.1 locus=Brasy9G338200 ID=Brasy9G338200.1.v1.1 annot-version=v1.1 MAPPVITSSNTAEMKFLPTGEPTVRATFVRDEDERPKVAHDAFSDEVPVVSLDGIDGERRAEIRAQVAAACEGWGVFQVVDHGVGGGLVAEMERMSREFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREMVTYFTYPLRDRDYARWPDNPSRWRAVVEEYGEQLMGLACKLLGVLSESMGLETDALAQACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDNVGGLQVTRDDGRTWITVPPVPGAFVVNLGDHGHYLSNGRFRNADHQAVVNGESSRLSIATFQNPAPEAEVWPLAVREGEELILEEPMTFAEMYRRKMARDLELAKLKKEAKEAAPEIAAKSIDEILA* >Brasy9G081000.1.p pacid=40063140 transcript=Brasy9G081000.1 locus=Brasy9G081000 ID=Brasy9G081000.1.v1.1 annot-version=v1.1 MSSLRNAISRRAHKELAQPEARKKFGLLEKHKDYVVRAKAFHRKEDFIRKLKEKASFKNPDEFYFKMINSRTVDGVHRSKPETNYTEEELLLLKNKDMGYILQGIQSEKKKSERLSSTLHQLDNKRSNKHVYFAEDREEAKEIQSRIEHSSNSTDFGNIPSRIRRKTDSSYKELEGRKLRVQKLEKLYEETALQKELKKPGRKRKLREEEIENPTSQPVYKWREAVRQKFHHCTL* >Brasy9G081000.4.p pacid=40063141 transcript=Brasy9G081000.4 locus=Brasy9G081000 ID=Brasy9G081000.4.v1.1 annot-version=v1.1 MSSLRNAISRRAHKELAQPEARKKFGLLEKHKDYVVRAKAFHRKEDFIRKLKEKASFKNPDEFYFKMINSRTVDGVHRSKPETNYTEEELLLLKNKDMGYILQGIQSEKKKSERLSSTLHQLDNKRSNKHVYFAEDREEAKEIQSRIEHSSNSTDFGNIPSRIRRKTDSSYKELEGRKLRVQKLEKLYEETALQKELKVRFL* >Brasy9G081000.2.p pacid=40063142 transcript=Brasy9G081000.2 locus=Brasy9G081000 ID=Brasy9G081000.2.v1.1 annot-version=v1.1 MINSRTVDGVHRSKPETNYTEEELLLLKNKDMGYILQGIQSEKKKSERLSSTLHQLDNKRSNKHVYFAEDREEAKEIQSRIEHSSNSTDFGNIPSRIRRKTDSSYKELEGRKLRVQKLEKLYEETALQKELKKPGRKRKLREEEIENPTSQPVYKWREAVRQKFHHCTL* >Brasy9G081000.3.p pacid=40063143 transcript=Brasy9G081000.3 locus=Brasy9G081000 ID=Brasy9G081000.3.v1.1 annot-version=v1.1 MINSRTVDGVHRSKPETNYTEEELLLLKNKDMGYILQGIQSEKKKSERLSSTLHQLDNKRSNKHVYFAEDREEAKEIQSRIEHSSNSTDFGNIPSRIRRKTDSSYKELEGRKLRVQKLEKLYEETALQKELKVRFL* >Brasy9G131300.1.p pacid=40063144 transcript=Brasy9G131300.1 locus=Brasy9G131300 ID=Brasy9G131300.1.v1.1 annot-version=v1.1 MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLANRRRRRRRAPATPVLHLATVAPNGHPKNPNKPPKDIQEVPSRGAAAPAAASKVPLAQMLQAPPQDSIQIETGKEHRITFPEQPLPHHQRSGGPSSRGASGESRGGGAEPGVPEVSHLGWGHWYTLKELEAATAMFADEKVIGEGGYGIVYHGILEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEFVNNGNLEQWVHGDVGPVSPLTWDIRMKIILGSAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSSRNSEGVLDPKMTEKPSSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGRAPGQAKLAETASSEPGDSSGNDTPKDTPKGQSKADNFKWRNQEA* >Brasy9G131300.2.p pacid=40063145 transcript=Brasy9G131300.2 locus=Brasy9G131300 ID=Brasy9G131300.2.v1.1 annot-version=v1.1 MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLANRRRRRRRAPATPVLHLATVAPNGHPKNPNKPPKDIQEVPSRGAAAPAAASKVPLAQMLQAPPQDSIQIETGKEHRITFPEQPLPHHQRSGGPSSRGASGESRGGGAEPGVPEVSHLGWGHWYTLKELEAATAMFADEKVIGEGGYGIVYHGILEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEFVNNGNLEQWVHGDVGPVSPLTWDIRMKIILGSAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEMNLFRLILLNG* >Brasy9G307400.1.p pacid=40063146 transcript=Brasy9G307400.1 locus=Brasy9G307400 ID=Brasy9G307400.1.v1.1 annot-version=v1.1 MAPLPCTEKPRCLWAPLLWLAFLLLAVELGTADIYKDIELVWGASRTYFFMDGPSESLALSLDKSTGSCFKSKAMYLFARIDLDIKLIEGDSAGTVCTVYTISEGPWEIHDEIDLEFLGNATGEPYTLHTNVFANGVGGREQQFQLWFDPSADYHTYSIVWNPKHVLIQVDGVTIRDFKNNEAHGVSFPKWQQMRVYGSLWNADDWATQGGRVKTDWSKSPFVSYYRNYNVTWCQSSPGVDWCGNEPAGSRHFDLDQKALSDLAWVRQRYMTYNYCSDYDRFNASTLPKECTLP* >Brasy9G293500.1.p pacid=40063147 transcript=Brasy9G293500.1 locus=Brasy9G293500 ID=Brasy9G293500.1.v1.1 annot-version=v1.1 MGKDGEGQQEQSQVAAAAEGAGGGGGGERRRTRCRACRGSVRLQCVAALLLGAAVMFSALFWLPPFAGRGRRAGAPEAPGDALVADIVASFMLQKTVSELSETTSKLELDIYEEIGIPNSTVTVNFLRPLGASNWSNVIFSVVPYPKYSTISSTWLSILRSYFMSLVAQQSTLHLTESLFGNSSFFEVMKFPGGITIIPPQAAFLVQKPYASFNFTLHFPIYKVQDKTNELKDQMKTGLLLNPYENLYIKLTNLQGSTVDPPTIVRASIILEVGNHQPSLPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLCHSLHSGAGTDAPSPAPVAHQGHHDNHHHHHHHHHHHSHHHSQEVNKHLAPSPAPVHSHVEQPKYGSPTPSGCSYGCTNKPKSKAPVAPAAEPVANNYYDSPHTMPHAWSPSSISPSPSVTINPSRHHISPAPSPASAKPPLRTLSLSHVHHPAQVRAVPPAPDSSFATVGILVNGPSHSCCVC* >Brasy9G293500.2.p pacid=40063148 transcript=Brasy9G293500.2 locus=Brasy9G293500 ID=Brasy9G293500.2.v1.1 annot-version=v1.1 MGKDGEGQQEQSQVAAAAEGAGGGGGGERRRTRCRACRGSVRLQCVAALLLGAAVMFSALFWLPPFAGRGRRAGAPEAPGDALVADIVASFMLQKTVSELSETTSKLELDIYEEIGIPNSTVTVNFLRPLGASNWSNVIFSVVPYPKYSTISSTWLSILRSYFMSLVAQQSTLHLTESLFGNSSFFEVMKFPGGITIIPPQAAFLVQKPYASFNFTLHFPIYKVQDKTNELKDQMKTGLLLNPYENLYIKLTNLQGSTVDPPTIVRASIILEVGNHQPSLPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLCHSLHSGAGTDAPSPAPVAHQGHHDNHHHHHHHHHHHSHHHSQEVNKHLAPSPAPVHSHVEQPKYGSPTPSGCSYGCTNKPKSKAPVAPAAEPVANNYYDSPHTMPHAWSPSSISPSPSVTINPSRHHISPAPSPASAKPPLRTLSLSHVHHPAQVRAVPPAPDSSFATVGILVNGPSHSCCVC* >Brasy9G293500.3.p pacid=40063149 transcript=Brasy9G293500.3 locus=Brasy9G293500 ID=Brasy9G293500.3.v1.1 annot-version=v1.1 MGKDGEGQQEQSQVAAAAEGAGGGGGGERRRTRCRACRGSVRLQCVAALLLGAAVMFSALFWLPPFAGRGRRAGAPEAPGDALVADIVASFMLQKTVSELSETTSKLELDIYEEIGIPNSTVTVNFLRPLGASNWSNVIFSVVPYPKYSTISSTWLSILRSYFMSLVAQQSTLHLTESLFGNSSFFEVMKFPGGITIIPPQAAFLVQKPYASFNFTLHFPIYKVQDKTNELKDQMKTGLLLNPYENLYIKLTNLQGSTVDPPTIVRASIILEVGNHQPSLPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLCHSLHSGAGTDAPSPAPVAHQGHHDNHHHHHHHHHHHSHHHSQEVNKHLAPSPAPVHSHVEQPKYGSPTPSGCSYGCTNKPKSKAPVAPAAEPVANNYYDSPHTMPHAWSPSSISPSPSVTINPSRHHISPAPSPASAKPPLRTLSLSHVHHPAQVRAVPPAPDSWSFVSGEK* >Brasy9G344600.1.p pacid=40063150 transcript=Brasy9G344600.1 locus=Brasy9G344600 ID=Brasy9G344600.1.v1.1 annot-version=v1.1 MAVVSFSASPLPAALSSNPSPRYPPSFLPAPLLARRHSATAGGLGLGPGPAVVLLTRQKGRRVRLSATARTQREKAELETDVAAVEESFPVRETGAPEGAADDDPMPPAEDVGWVVRLEQSFNIFLTDSIIMILDGLYGDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESMNEFHHLLIMEELGGNSELVDRFLARFSAFFYYFMTVAMYMLSPRMAYHFSECVERHAYSTYDKFLKLNGEELKKLPAPEAAINYYMNEDLYLFDEFQTSRVPCSRRPKVDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMERRIEGTDAECVVPENDCEGIMDCVEKSLASED* >Brasy9G137200.1.p pacid=40063151 transcript=Brasy9G137200.1 locus=Brasy9G137200 ID=Brasy9G137200.1.v1.1 annot-version=v1.1 MMAGFCCSGDSVSSAPSYSKGASPSPHQYGPPLMSYSPSSADCYEEYTPSSPPRRAASPYYTPESPSPRAGPSLRLGSPDYTPSSPSLRAGSPYYTPESPPPRAASPDYTPSSPLPRAASPYYTPSSATPPPSPYYTPGSATPPPSPYYTPGSATPSTPSRRAASPDYTPCTPPPSRLASDAESRSSPYYTPSTPDYTPETPPPSPLVYEYDAESRTSPGPRRHHPYQRSGDSTCSFSSRASRISRGRHQRALGY* >Brasy9G113800.1.p pacid=40063152 transcript=Brasy9G113800.1 locus=Brasy9G113800 ID=Brasy9G113800.1.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTMPPEPSPQNSVVQIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113800.2.p pacid=40063153 transcript=Brasy9G113800.2 locus=Brasy9G113800 ID=Brasy9G113800.2.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113800.3.p pacid=40063154 transcript=Brasy9G113800.3 locus=Brasy9G113800 ID=Brasy9G113800.3.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKFVCSKLQEQHEGFPILQNQIGSIPIGTWSPHTGRTSNRQLRTSRLSAPLISCLDFLLEDRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113800.4.p pacid=40063155 transcript=Brasy9G113800.4 locus=Brasy9G113800 ID=Brasy9G113800.4.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTMPPEPSPQNSVVQIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113800.5.p pacid=40063156 transcript=Brasy9G113800.5 locus=Brasy9G113800 ID=Brasy9G113800.5.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G113800.6.p pacid=40063157 transcript=Brasy9G113800.6 locus=Brasy9G113800 ID=Brasy9G113800.6.v1.1 annot-version=v1.1 MVLSRFAWPYGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGVYQYRFLVDGVWRCDDTKPVVRDEYGLISNEVLVTLVENNTHLAVQQELPSTRRMNMDEGTILTTIAIFRHRVSEILLHNTIYDVVPVSSKGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAAIQRRPLVHVKDSDNLREVALTIIQNEISSVPIFKSSTDTSGMPLLNLASLPGILKYRVSSIPIVDDNESLLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRDCHTCLSTSTLLEVLEQLSVPGVRRLVVIEPMTRFVQGIISLRDAMKFLLG* >Brasy9G334900.1.p pacid=40063158 transcript=Brasy9G334900.1 locus=Brasy9G334900 ID=Brasy9G334900.1.v1.1 annot-version=v1.1 MAPADRRSLELAAGAGAGPEPDDDGRGPRTGNRWTCVAHVITGVIGVGVLALSWSVAQLGWVAGPIAMLCFAAVTYVSALLLSHCYRSPAGAGADDEEDHKEAAQRNYTYMDAVGAHLGKKQYTWVCGFLQYLNLYGTAVAYTITTATCLSAIKKADCYHGQGRGAPCGSDGGELHLFMLLFGAAQVVLSFIPNFHSMAWLSFVAAAMSFTYASIGIGLGLSKTIGNGTIRGSIAGVPVATPTQKVWRIAQAIGDIAFSYPYTIVLLEIQDTLRRTPPEGETMRKGNAIAVGIVTFFYISVGCFGYAAFGDAVPGNLLTGFGFYEPYWLVDFANACIIIHLLGGYQMFSQQIFTFADRRFAARFPDNAFVNRVYYVRIVPGLPAYGLNLPIRSRCRLEGGE* >Brasy9G165800.1.p pacid=40063159 transcript=Brasy9G165800.1 locus=Brasy9G165800 ID=Brasy9G165800.1.v1.1 annot-version=v1.1 MASQISTTTYNLHVRVCIEKMPLHLWSIEGAESGVIVDRLDSRTYAKEDTKIFSCWVWCWSLDRIPSEHHFTVFPAGAGRVVEMDRYSPLRREVAPPPEGLQFTALIHIDIAEDWTVPESRTPSSRQSGLPSSGSDDSPPHLAIQRYTWTECVRDGEEPRRPRQPVSCRDALTLPRRDDAGDDDDFRRRPARDHATPRLPAPRAPAAAPTGDGGSRHRSRTPVCRRRRAASLPPTEALPPPPPLPRRVALLPAVLSPPPVSPVADLMQQMPAGSPLSPDGRSSTSSVDPLAELLATERFDELCLSSQDADPMMVELEALCAAATASPLSFPPRSASPPARDAFGIGPGHGLGCRGSLCPSPLGIERNPVAHSDGTDADGVVRALFDTPAPPILDASPTALPRSPAVKKVTAATPRRSERQAKLPSATPVAQRATVRLAKELALINHDVNRHDAAASALVQKFKDPLSDVDVDGLAVLTRVDREAIHRAAAKAIASRAATSAH* >Brasy9G088300.1.p pacid=40063160 transcript=Brasy9G088300.1 locus=Brasy9G088300 ID=Brasy9G088300.1.v1.1 annot-version=v1.1 MNLWDGDKGWECTQTFGSKSSQSFDRDDPPKSKCQAFNPEDPNSFANLSVNEIVMPGSFRDPMIDVQVWSLDSSEPNYRLSGHLETVNCLAYFARADRQYLITGSDDCTAKIWDLQQKACIHTLEGFMSQVVHVISIPGRPYLVTCSRHGTIQVWSSIDFRLKTTADFSGGEYVRGLACLMGSRRIVIGRGYSISIMDIDVEEEAVTSDVEEEAVTPKIIK* >Brasy9G256500.1.p pacid=40063161 transcript=Brasy9G256500.1 locus=Brasy9G256500 ID=Brasy9G256500.1.v1.1 annot-version=v1.1 MVGFLRAFTAASAVPAAAVAAIALSSSSSPTSRIHFPLPTSLTSLASTFASSSSTSAVRTLNAVPPMAAAAAATADLSAPDKVTALPELTTEFMVDMKCEGCVTAVKNRLQTLKGIKNIEVDLNNQVVRLVGSLPVKTMLDALHETGRDARLIGQGNPDDFLVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTKGAESTGKVYNPPDYISEKPLGDLGTLEAGENGEAQFSGSKEKMKVVDLIGRSIALYATEKRSDSGIAAAVIARSAGVGENYKKLCTCDGVTIWESS* >Brasy9G188300.1.p pacid=40063162 transcript=Brasy9G188300.1 locus=Brasy9G188300 ID=Brasy9G188300.1.v1.1 annot-version=v1.1 MAAAVAAAAADQPRRRKPTPGRGGVVLPAGLSEEEARVRAIAEIVSEMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPAEYRDYFIRNLHDALSGHTSANVEEAVCYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDVVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDAEKLQHQGYGTLLMEEAERIACKEHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLS* >Brasy9G010600.1.p pacid=40063163 transcript=Brasy9G010600.1 locus=Brasy9G010600 ID=Brasy9G010600.1.v1.1 annot-version=v1.1 MDQSSVRSPHGAQSKAMAAAYRTADLRALDATFSGVRGLFSSGVTDLPRIFRVAAPEPVPVAAEPPSRATTVPVIDLGGGDRAAVVSDVRAAAAEWGFFLVTGHGVPEAAMAAAVESVRGFHEADGGEGSEKARLYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPAPADMPDSCRDSLFEYAKQVKNLGNTLFGLLSEALGLKPSYLTDIDCNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHDDRWVDVTPTPGAFIVNIADLLQLISNDTFRSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSDENPPLYRETLVRDFVACYYSIGLDAKTAISEFRL* >Brasy9G102400.1.p pacid=40063164 transcript=Brasy9G102400.1 locus=Brasy9G102400 ID=Brasy9G102400.1.v1.1 annot-version=v1.1 MDDKFNFVNVRVKHKMESGHTRAWTRNAEERTIVIVTMLRVKHVEQCLHTNTFSRVHFSSDVDVARQMKKR* >Brasy9G255000.1.p pacid=40063165 transcript=Brasy9G255000.1 locus=Brasy9G255000 ID=Brasy9G255000.1.v1.1 annot-version=v1.1 MAVGVPGTKLHLSSVARRPTLHQLAAVAVLCSVSYLIGVWHHGGFSAASPGGTTSSVSVAITPPSVPCVSPNVTVLGGSGGGGGGRLAPPLDFTAHHTAEGTEVESSAPAKRAYEACPAKYSEYTPCEDVERSLRFPRDRLMYRERHCPSEGERLRCLVPAPQGYRKPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHSKGWQRTTEDLNAEQQAIEAIAKSLCWKKIKEVGDIAIWQKPTNHIHCKASRKITKSPPFCSNKNSDAAWYDKMEACITPLPEVSDIKKVAGGELKKWPQRLTAVPPRIASGSIAGVTGEMFLEDTKLWKKRVGHYKSVISQFGQKGRYRNLLDMNANFGGFAAALVGDPMWVMNMVPTVGNSTTLGVIYERGLIGNYQDWCEGMSTYPRTYDLIHADSVFSLYKDRCEMDSILLEMDRILRPEGTVIIRDDVDMLVKIKSVTDGMRWNSQVVDHEDGPLVREKLLLVVKTYWTLGDEKNNNSEVK* >Brasy9G271800.1.p pacid=40063166 transcript=Brasy9G271800.1 locus=Brasy9G271800 ID=Brasy9G271800.1.v1.1 annot-version=v1.1 MAPADRRSLELAAGAGAGPEPDDDGRGPRTGNRWTCVAHVITGVIGVGVLALSWSVAQLGWVAGPIAMLCFAAVTYVSALLLSHCYRSPAGAGADDEEDHKEAAQRNYTYMDAVGAHLGKKQYTWVCGFLQYLNLYGTAVAYTITTATCLSAIKKADCYHGQGRGAPCGSDGGELHLFMLLFGAAQVVLSFIPNFHSMAWLSFVAAAMSFTYASIGIGLGLSKTIGNGTIRGSIAGVPVATPTQKVWRIAQAIGDIAFSYPYTIVLLEIQDTLRRTPPEGETMRKGNAIAVGIVTFFYISVGCFGYAAFGDAVPGNLLTGFGFYEPYWLVDFANACIIIHLLGGYQMFSQQIFTFADRRFAARFPDNAFVNRVYYVRIVPGLPAYGLNLPIRSRCRLEGGE* >Brasy9G031200.1.p pacid=40063167 transcript=Brasy9G031200.1 locus=Brasy9G031200 ID=Brasy9G031200.1.v1.1 annot-version=v1.1 MAPTRELALSLPAATATAGQPVVGEGPSSLPTARCLFPSPLGSQLPSPTDPATGRENSNLGLTGLSTGGTIGSAAFHRHGAAADKRGSGRRKEEVCADYFRQLWIPPPSRPLQARALASRHAASTGVWIRRDLVEAGRFDPGDCYRARRLDLLVFNPRSFSFSRDFWDHTYGREVLAAIKSRLLMQGSRGSAARGGGGFRGGGGDRRWKGKNPYQYNRPSQTQPAPQCLPQQQQQQTQQQMAQPQNQQTQQQQQQIQQQQQLIQQQPQGQQIPLIQQQLVPQQQQFLQQALQQQAQYQQIQVQPPLQQFQQVQLPLAVQPIPMQFQNQTEATGGAPGVLPALRRRDAANGIHGSHNLVKEIDGNYRSL* >Brasy9G073700.1.p pacid=40063168 transcript=Brasy9G073700.1 locus=Brasy9G073700 ID=Brasy9G073700.1.v1.1 annot-version=v1.1 MGIPFQLQTQQGIQQGRALLSENKIMIKKESSPSEPAGGQPVKNTSTSSKPTMPAAAKVNAMVGNRTWFGGLFNGSGKRRQVNADKTFEMTPLQEQRMQKLKERLNIPYDETRPDHLESLKKLWKVSFPDTELTSLVSEQWKDMGWQGPNPMTDFRGCGFVSLENLLFFARRYPAAFQRLLLKTQGIRATWEYPFAVAGVNVSYMLIQLLELNSARPKSLPGINFVKMLSEHEEAFDILYCIAFEMMDAQWLAMRASYMQFNDVLEATKAQLERELSLEDLHRIQDLPAYNLLFK* >Brasy9G073700.2.p pacid=40063169 transcript=Brasy9G073700.2 locus=Brasy9G073700 ID=Brasy9G073700.2.v1.1 annot-version=v1.1 MGIPFQLQTQQGIQQGRALLSENKIMIKESSPSEPAGGQPVKNTSTSSKPTMPAAAKVNAMVGNRTWFGGLFNGSGKRRQVNADKTFEMTPLQEQRMQKLKERLNIPYDETRPDHLESLKKLWKVSFPDTELTSLVSEQWKDMGWQGPNPMTDFRGCGFVSLENLLFFARRYPAAFQRLLLKTQGIRATWEYPFAVAGVNVSYMLIQLLELNSARPKSLPGINFVKMLSEHEEAFDILYCIAFEMMDAQWLAMRASYMQFNDVLEATKAQLERELSLEDLHRIQDLPAYNLLFK* >Brasy9G101100.1.p pacid=40063170 transcript=Brasy9G101100.1 locus=Brasy9G101100 ID=Brasy9G101100.1.v1.1 annot-version=v1.1 MLGSGNVDDMPPSPLSDDLLCSPIYSHPKRSLPGQMDTIFQGCYVKDDIHGFRVALTGLKAAHEKTPDNLRKSGEEIYNSLFYHACESDAVNCLSVLLEGVCGFKSSSVDMRDRHGRTLLHVAASALSTNCIKLLISNGARTDLRLFNQTRHLALQCAVSSLGDKVGWSKDDPASSCLANLKKDEKCMDAITLLAAKTRGVEEMAYRYAMGGSLTSFAILMVVAQDKLLGPISLMIKPNGFRTITSIYDSVLDQALSVGDSSAPQDVETKGSTGCDRNIQFIRSRPSA* >Brasy9G237700.1.p pacid=40063171 transcript=Brasy9G237700.1 locus=Brasy9G237700 ID=Brasy9G237700.1.v1.1 annot-version=v1.1 MVTVHKCYKESTNVLRSNAYVRSVTYPGHSKRILSRGESSCSQLTAFACPIIEDHGCNYHHTRKRSKALIMLHSVVWFEPCTQQMAGRRHRQPSHRYDAC* >Brasy9G258800.1.p pacid=40063172 transcript=Brasy9G258800.1 locus=Brasy9G258800 ID=Brasy9G258800.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKQIMKEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASSKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEETSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKRQVSAKATICLVDQATPAQDRIKGEGNKTPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKRYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy9G058800.1.p pacid=40063173 transcript=Brasy9G058800.1 locus=Brasy9G058800 ID=Brasy9G058800.1.v1.1 annot-version=v1.1 MHARTRSPARRNHWYNMFVFGDSFADNGNTKENNGRMARAWRYPYGISCQEYNGSPHSKPTGRFSDYKVQSDFLANMIGVHEAPHPYNRGFFHMARYGMSFATGGAGVFQVPQKVDTLHQQVKNFRSLLKSGTIARTRLPDAVFLVAISGNDYMLKTSLMSNSNDTSTIEPFVEEVTDEIVKNVKRMKKLGAEKILVNNMHPLGCVPLRTRMNNHTSCDERGNSIASIHNKHLEEKLSHLGESVLILDLYTAFNGVIADTTDSPVAEPFTSKLTPCCEPSDPNGLCGDRGDDEDDMFAYTLDTDNVQSYFYWDEMNPTHAGWEAVMSQLEYSIKDFLGIRVD* >Brasy9G071900.1.p pacid=40063174 transcript=Brasy9G071900.1 locus=Brasy9G071900 ID=Brasy9G071900.1.v1.1 annot-version=v1.1 MGRRLSTIPTVQPRHMLPLAPGIFGGVCRLSARSRLYGTPSVHTASDPDCSTKPYVALRSCHLRRRLPSLSQIKGVATAGRPSTQPAIPIAQPSHILPSAPAIFGSVYHLLARSMAVCPHRQRSRLLNQAICCPPPLPSSATSTISRPDPCRSYGVPSVHVVSDPDCSTKPYVALRSCHLSRRLPSLVQIHAVAMGCCPSTLPAIPTAQSSHMLPCAPAIFGGICGLSARSRPYLRRAVRPHRHRSRLLNQAICCHALLPSLAASAVSWPDLGRSYGGPSVHVASDPDCSTKPYVAMRSCHLRRRLPSLG* >Brasy9G148400.1.p pacid=40063175 transcript=Brasy9G148400.1 locus=Brasy9G148400 ID=Brasy9G148400.1.v1.1 annot-version=v1.1 MSSLPGDDVLASARTTPTTVVDGDVVFSGVALLFVALGLAFVLYHYVTVHRLQGQRDAAAAGTTPLPSSAGRQHGAVAAASGVQGVVGQVVPRASLPVTLYNAKDDFAEEELECAVCLGELWDGEAARFLPKCGHGFHAECVDLWLRSHPTCPLCRVDVDKPDALPPVLPEPANYGATRLPANVLFFGSSQDVATSARTVGGPSSSRVTDVVVNVIEGPERTSLALPPREGDAAKSRGLAWVGSIGRLWSRVRREVARWVLVVLVLATEQVLVLTPRAALLVLPMATSNRRDDGDLLV* >Brasy9G208600.1.p pacid=40063176 transcript=Brasy9G208600.1 locus=Brasy9G208600 ID=Brasy9G208600.1.v1.1 annot-version=v1.1 MDELDREQQRIGGHPYALEVGSYFLKGYYNILANTPDLARQFYNRSSTVVRMNCETMESSFGETLEEINEILMSMNVHKVEVKTANCLESWGGAIFVLVTGLVQLKGQPSRKRFSHSFLLAPQIKPDGFFVCSDIFKLICDEYDDRYRVTEYSYRVTDNIAQMAAHNTTTEAAYGYVAEELETERFAAPADTKERDGGIIYENHEMQQQDPLEFEAAVKEDTHFEDPAPALDTLAPSHPPSPPTQEEPVGEPPKQTYASVLRTKLHADHQVVQSTPHNKSTTETAESRLGGQVAQAVPIQEKLNLDTRQDVSIPEEEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEYEDMGGIHNALSASPIELNGRLVHVEERRPNSGVSRGGRRGRGRTDFSRGGRYEGDYATRSKGNGYQRRGGRQYDGYD* >Brasy9G208600.2.p pacid=40063177 transcript=Brasy9G208600.2 locus=Brasy9G208600 ID=Brasy9G208600.2.v1.1 annot-version=v1.1 MDELDREQQRIGGHPYALEVGSYFLKGYYNILANTPDLARQFYNRSSTVVRMNCETMESSFGETLEEINEILMSMNVHKVEVKTANCLESWGGAIFVLVTGLVQLKGQPSRKRFSHSFLLAPQIKPDGFFVCSDIFKLICDEYDDRYRVTEYSYRVTDNIAQMAAHNTTTEAAYGYVAEELETERFAAPADTKERDGGIIYENHEMQQQDPLEFEAAVKEDTHFEDPAPALDTLAPSHPPSPPTQEEPVGEPPKQTYASVLRTKLHADHQVVQSTPHNKSTTETAESRLGGQVAQAVPIQEKLNLDTRQDVSIPEEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEYEDMGGIHNALSASPIELNGRLVHVEERRPNSGVSRGGRRGRGRTDFSRGGRYEGDYATRSKGNGYQRRGGRQYDGYD* >Brasy9G279300.1.p pacid=40063178 transcript=Brasy9G279300.1 locus=Brasy9G279300 ID=Brasy9G279300.1.v1.1 annot-version=v1.1 MQTAKVKVKDMVSSAKEKAKEGTASMQGKTGKATAATHGEKEMAKEEERARKAQANADKHQEKAEHRADAAAGRHGTRVPLTGPHGHHAAAVDPAYPAAGAGAYPATDKYI* >Brasy9G207600.1.p pacid=40063179 transcript=Brasy9G207600.1 locus=Brasy9G207600 ID=Brasy9G207600.1.v1.1 annot-version=v1.1 MAAARDGEVRRAHATMVGVQFISAGYHVVAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFRHHGSPVQARPPVTRRLLASFFFLGLTGIFGNQLLFLVGLGYTNPTYAAAIQPSIPVFTFILALIMGTETASLGTHEGRAKIGGTIVCVLGAVVMVLYRGVAVFGSSELDLDVHSNGVITDMLQPEPVSSWFIAFGLEKWHIGVLCLIGNCLCMATYLALQAPILVKYPCSLSLTAYSYFFGAVLMVISGVFTTTDKEDWSLTQSEFAAAAYAGVVASALGYGLLTWSNKILGPAMVALYNPLQPVLSALLSVLFLGSPVYFGSIIGGLLIISGLYLVTWARRREKLHGIAASYVKCALEPHDGATQVVKGGNLTSWPFVFLSRLWNVPHES* >Brasy9G139000.1.p pacid=40063180 transcript=Brasy9G139000.1 locus=Brasy9G139000 ID=Brasy9G139000.1.v1.1 annot-version=v1.1 MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEITPVNTEVHKWMQKVDSWNPKMFTLTHTPVKYRAFVSKFKRRVLIARMAEAPDLASMYHVKLREAYETEDRLKDPDIMKQSEEDLCQLLDDVEAQLSKTKYLAGDEFSPADAMFIPILARIILLDLHEEYISCRPRVLEYHTLVKQRPSYKIVIGKYFNGWKKYRTLFRTSFFLCVRTLFRKY* >Brasy9G139000.2.p pacid=40063181 transcript=Brasy9G139000.2 locus=Brasy9G139000 ID=Brasy9G139000.2.v1.1 annot-version=v1.1 MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEITPVNTEVHKWMQKVDSWNPKMFTLTHTPVKYRAFVSKFKRRVLIARMAEAPDLASMYHVKLREAYETEDRLKDPDIMKQSEEDLCQLLDDVEAQLSKTKYLAGDEFSPADAMFIPILARIILLDLHEEYISCRPRVLEYHTLVKQRPSYKIVIGKYFNGWKKYRTLFRTSFFLCVRTLFRKY* >Brasy9G139000.3.p pacid=40063182 transcript=Brasy9G139000.3 locus=Brasy9G139000 ID=Brasy9G139000.3.v1.1 annot-version=v1.1 MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEITPVNTEVHKWMQKVDSWNPKMFTLTHTPVKYRAFVSKFKRRVLIARMAEAPDLASMYHVKLREAYETEDRLKDPDIMKQSEEDLCQLLDDVEAQLSKTKYLAGDEFSPADAMFIPILARIILLDLHEEYISCRPRVLEYHTLVKQRPSYKIVIGKYFNGWKKYRTLFRTSFFLCVRTLFRKY* >Brasy9G361300.1.p pacid=40063183 transcript=Brasy9G361300.1 locus=Brasy9G361300 ID=Brasy9G361300.1.v1.1 annot-version=v1.1 MSFRRMVRDFRESFGSLSRRNFEVKISSIPGLSGHLRGKSLESTTELHDSLVVIQQSSWASLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWREMCKDIVRNPEFCGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYHLYLSLTSAVLDDNGKFLLSAKRSRRTTYTDYAISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGKLCSQERASRRFSSRKVSPKVPTGSYPIAQVNYELNVLGTRGPRRMQCTMHSIPRSAVDPDGTVPGQPKELLPRLFEESFRTNTSSFSKYSVADQSTDFGSSRFSEFGGGAMQDGDAADKETPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAGAPPPAPVAPSEPSQPAPAQQPAQSQPSSSSSSSSHDTVILQFGKVSKDTFTMDYRYPLSAFQAFAICLTSFDTKLACE* >Brasy9G265200.1.p pacid=40063184 transcript=Brasy9G265200.1 locus=Brasy9G265200 ID=Brasy9G265200.1.v1.1 annot-version=v1.1 MARFSSSSLLALGVAVTLFAGVLCAPKVTFTVEKGSDPKKIVLQVKYDKEGDSMAEVELKQKGSNEWLAMSKCKDTGAWKYESPEPLKCPLNIRFQSEKGLRNVFDDVIPENYKIGSTYSPQEY* >Brasy9G172300.1.p pacid=40063185 transcript=Brasy9G172300.1 locus=Brasy9G172300 ID=Brasy9G172300.1.v1.1 annot-version=v1.1 MQSSRRLARLLRAPPPRTLLTRLYSSSSSLPPANGGGDGAGSSRVGGVAVKQVTRGNLAEALEELRARVRDAAFVGLDLEMSGVTSAPWRDNFELDRADVRYLKLRDSAQRFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELPDDISSHEFLCQTTSIDFLAKYQFDFNTCFHEGISYLSRAQEEEALQKLNVLYHDGISASPNTSEEEDVPLRSTADLLFTERMKIKFKEWRNVIISNPRMDNHWPECNKFSTNQFQTVFFKMHPAILLNGFTSHQLKLIQQVLRKDFKDLMYVSTFGEDNTSAKRVVYTDDSNDSVSLMKDLQEDLLRSREARVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQVYSKFIGPLPSTMKEFALGIHKIFPYIADTRHLMSASDSVQYLMRQKSKSLSSVFSLLCPAFHSTVAEPSTLSPVRIEVEADETMLSCFASGAKHEAGYDAFMTGCVFAQLCDHLDVKFEHLPPKDNLAMNNKLQKYINLLSPSFNSGTSLDLSTGMERPDTGYKCRYPTVLYDNIVLIWGYQSELRPRDIKDCICKVFGPASVTTIFSIDSTAVLVQFSKQESVNDFLDLKATLEKTDSAISVLHPLSTILEGGKTRAANYDTYRDICGSSVSKYFFADQAEAVCSSSKSLLKCENIDAGDAPRVINESILDETIPTSEKHAGGTRNVSKKEDDSDISCQDILDALQDGKALFGKRTRST* >Brasy9G172300.2.p pacid=40063186 transcript=Brasy9G172300.2 locus=Brasy9G172300 ID=Brasy9G172300.2.v1.1 annot-version=v1.1 MQSSRRLARLLRAPPPRTLLTRLYSSSSSLPPANGGGDGAGSSRVGGVAVKQVTRGNLAEALEELRARVRDAAFVGLDLEMSGVTSAPWRDNFELDRADVRYLKLRDSAQRFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELPDDISSHEFLCQTTSIDFLAKYQFDFNTCFHEGISYLSRAQEEEALQKLNVLYHDGISASPNTSEEEDVPLRSTADLLFTERMKIKFKEWRNVIISNPRMDNHWPECNKFSTNQFQTVFFKMHPAILLNGFTSHQLKLIQQKDLQEDLLRSREARVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQVYSKFIGPLPSTMKEFALGIHKIFPYIADTRHLMSASDSVQYLMRQKSKSLSSVFSLLCPAFHSTVAEPSTLSPVRIEVEADETMLSCFASGAKHEAGYDAFMTGCVFAQLCDHLDVKFEHLPPKDNLAMNNKLQKYINLLSPSFNSGTSLDLSTGMERPDTGYKCRYPTVLYDNIVLIWGYQSELRPRDIKDCICKVFGPASVTTIFSIDSTAVLVQFSKQESVNDFLDLKATLEKTDSAISVLHPLSTILEGGKTRAANYDTYRDICGSSVSKYFFADQAEAVCSSSKSLLKCENIDAGDAPRVINESILDETIPTSEKHAGGTRNVSKKEDDSDISCQDILDALQDGKALFGKRTRST* >Brasy9G172300.3.p pacid=40063187 transcript=Brasy9G172300.3 locus=Brasy9G172300 ID=Brasy9G172300.3.v1.1 annot-version=v1.1 MKIKFKEWRNVIISNPRMDNHWPECNKFSTNQFQTVFFKMHPAILLNGFTSHQLKLIQQVLRKDFKDLMYVSTFGEDNTSAKRVVYTDDSNDSVSLMKDLQEDLLRSREARVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQVYSKFIGPLPSTMKEFALGIHKIFPYIADTRHLMSASDSVQYLMRQKSKSLSSVFSLLCPAFHSTVAEPSTLSPVRIEVEADETMLSCFASGAKHEAGYDAFMTGCVFAQLCDHLDVKFEHLPPKDNLAMNNKLQKYINLLSPSFNSGTSLDLSTGMERPDTGYKCRYPTVLYDNIVLIWGYQSELRPRDIKDCICKVFGPASVTTIFSIDSTAVLVQFSKQESVNDFLDLKATLEKTDSAISVLHPLSTILEGGKTRAANYDTYRDICGSSVSKYFFADQAEAVCSSSKSLLKCENIDAGDAPRVINESILDETIPTSEKHAGGTRNVSKKEDDSDISCQDILDALQDGKALFGKRTRST* >Brasy9G276000.1.p pacid=40063188 transcript=Brasy9G276000.1 locus=Brasy9G276000 ID=Brasy9G276000.1.v1.1 annot-version=v1.1 MREQRRRTRNAAPPPTSVWRRARVGGDEGNPYTSTAAMAAVEDGSCLPWRRSKLQQAAAICITDFD* >Brasy9G183200.1.p pacid=40063189 transcript=Brasy9G183200.1 locus=Brasy9G183200 ID=Brasy9G183200.1.v1.1 annot-version=v1.1 MSNNAPGSEIAGQSAAMAADARTGYCAATRSFRSLRPPVPLPPPDAPLTFPAFALSLLQQSPPPSSRPALLDAATGEAVSYPAFLSQTRALAAALGRSQLGLGLGDVAFVLAPARLDVPVLYFALLSLGVVVSPANPALTAAEVERLVSISGASVAFAVSSTAAKLPANLPVVLLDSPHFRSLLNPNGDQESSDAADHAVIPIPIPQSATATIQYSSGTTGRVKAAALPHRSFIAMSAGFHAHALRRRRADNTNPSNKACGQHHQITLLGAPMFHSMGFFFTLNGIAMGQTTVVMTEPAARAGMRGVLEAAERWGVTEMMAAPPVVVGMARDTRHHRRLLPALEHVICGGAPLPDSAAKQFRRRFPHVDLCMGYGSTEAGGISRMIGQDEFPIIGSAGRITENVEAKIIDLVTGDPLAVGQKGELCVRGPSIMTGYVGDDEANAAAFDPEGWLKTGDLCYVDQDGFLFVVDRLKELIKYKAYQVPPAELELVLQTLPEIVDAAVMPYPHEEAGQIPMALVVRQPGSKVTEAQVMEHVAKQVAPYKKVRKVVFVDGIPKSPAGKILRRQLSDTLQSGGHLSRL* >Brasy9G031900.1.p pacid=40063190 transcript=Brasy9G031900.1 locus=Brasy9G031900 ID=Brasy9G031900.1.v1.1 annot-version=v1.1 MRPVFVGNLDYDTRHSELDRLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRALDGVPFGPGRRKLSVEWSRGDRGTRRDDRDGYSKPPVNTKPTKTLFVINFDPINTRVSDIERHFDPFGKISNVRIRKNFAFVQFETQEEATKALDATHSTKLLDRVISVEYAFRDDSEPGDRYDRPSRGGGGGGGYGRPDDSSYCRSVSPVYRRSRPSPDYGRPRSPALSPAYTSYDRSRSPVRDRYRGRSPVRSRSPLANRRAYD* >Brasy9G031900.2.p pacid=40063191 transcript=Brasy9G031900.2 locus=Brasy9G031900 ID=Brasy9G031900.2.v1.1 annot-version=v1.1 MPCHAMHRHDTMLGFAFVYFEDERDGDDAIRALDGVPFGPGRRKLSVEWSRGDRGTRRDDRDGYSKPPVNTKPTKTLFVINFDPINTRVSDIERHFDPFGKISNVRIRKNFAFVQFETQEEATKALDATHSTKLLDRVISVEYAFRDDSEPGDRYDRPSRGGGGGGGYGRPDDSSYCRSVSPVYRRSRPSPDYGRPRSPALSPAYTSYDRSRSPVRDRYRGRSPVRSRSPLANRRAYD* >Brasy9G300000.1.p pacid=40063192 transcript=Brasy9G300000.1 locus=Brasy9G300000 ID=Brasy9G300000.1.v1.1 annot-version=v1.1 MGREEHFEVVGCHGLRGLSATGRRGNRGLDELHEGKREKAERDKHGGEEGGGSALYAPFKLYGAPNFPKLLELSVSPALRALAWEACTSGRARDGQAPPAEGGQSVSRGVRHTLKVFVAMPRRTCHWHTLMVFVGMPRRAATGTSAAPSCIEVCS* >Brasy9G320200.1.p pacid=40063193 transcript=Brasy9G320200.1 locus=Brasy9G320200 ID=Brasy9G320200.1.v1.1 annot-version=v1.1 MPKHLRFIASLCSSQAYAEEGLTAMNLLLVFVLLLLICFCYSDDSLTPAKPLSPGDQLISNDGVFALGFFSLENSTANSYLGIWYHNIPERTYVWVANRDNPINSSLSGKLVLTNTSDLVLSDSKGRILWTTTNSITTGGDGAVARLLNTGNLVLQLRNSTEIWESYDHPTDTMLPGFKLWTNYKTHTAVRIVAWKGSQDLSTGKFFLSRDPSTGLQIVIWRDTSVYWRSGLWHGATASDNTGYMVSQIVDDGEKIYSNYSTGNSLRKSHWKLDYTGDLMFRVWNNHSWAVLFKRPGDGCRLYGSCGPFGYCDNMASTGECRCFDGFEPADGFSVNFSRGCMRKEALRCSGDHFLTLPGMKVPDKFVYVRNRSFEECTAECGRDCSCTAYAYVNLSSIIATTVGPSRCLIWIGDLVDSEKAGEIGGNLYLRLAGSPGTYGHNTAVKNKKSGVVLKILLPAISFLLILTCMYLVKPRGIHSNKETLKRPILGHLSTSQEVWDQNLELRCIRFRDIATATNSFHDANILGKGGFGKVYKGTLVDGKEVAVKRLSKGSEQGIEHFRNEVLLIAKLQHKNLVRLLGCCTHEDETLLIYEYLPNKSLDKFLFDNARKSMLDWPRRFDIIKGVARGLLYLHQDSRMKIIHRDLKPSNILLDEEMNPKISDFGMARIFGGNEQQESTKRVVGTYGYMSPEYAMEGIFSVKSDAYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYAWNLWKDGKTMDFVDPSVMGSYSLDEASKCIHIGLLCVQDSSNARLPMSSVVSMLDSEARPRAMPKQPMYFAQINYESEEAMEELENSVNGASLTALEGR* >Brasy9G082800.1.p pacid=40063194 transcript=Brasy9G082800.1 locus=Brasy9G082800 ID=Brasy9G082800.1.v1.1 annot-version=v1.1 MADWSMEPAVWVPPSDYQLSDWSMEPAPAAWVPGLPIEYLSTEMLGTSCYQYQASVPGSREEGRQQQLVEYAAAAATATPAEQRKAGQQQRREAADFHATEPKMIHSPIRVFEKSAHEFKVDIDKTRTKMHRYPTYFPDLGPRYNVPSTVAIGPYHHRRRHLHPAEDVKHVAAYHCIKQSGGHTVQEMYGAVVSVADVARSLYDKDAVSGISNDDFLPMMFYDACFLVQYMLTCTSDGLDEMDDSLRSFFDSNDEAIFNDIMLLENQLPWVVMETLLRFRPVPLEDFVTALKGFLQDRKDLNIHTVVLDDSFQPPHLLGLLRFYVVGRSNAIAKRKPLPPTEFISFSVSAVELAQIGITLAVSKTTELMEIGIRKGNFFGELSLPQLSLDATNASILVNMAAFELCATPNFQADLVDENSAVCSYLQHMAMIVDREEDVHELRSKRVLQGGGGLTNKDVLEFFASLQDLRLGPATSAPWKRSRATGRGGGSGSLYSSFITRTRKRSPLFSPPLLHLPVS* >Brasy9G245300.1.p pacid=40063195 transcript=Brasy9G245300.1 locus=Brasy9G245300 ID=Brasy9G245300.1.v1.1 annot-version=v1.1 MNYRPFDACVPEEVMDYIMKRARVAESMASCVGIVCNTCRALEGEFIDVAAEILAAGGKKLFSVGPLNPLLDAAAGEKQGKEQRQRHECLDWLDKQPAASVLYVSFGSTSSLREEQVAELAAALHGSKQRFIWVLRDADRGNIYTDAGDDRHAKLLSEFRKQTQGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDTELVCRYLKAGLLVRPWEKHGEVIPAETIQEVIETIMVAEEGLAVRQRAEALGKAVRSEGGSSRKELEDFIAYMTR* >Brasy9G071400.1.p pacid=40063196 transcript=Brasy9G071400.1 locus=Brasy9G071400 ID=Brasy9G071400.1.v1.1 annot-version=v1.1 MQMLFTKLGNEPPAHLLRRRQQVQVPFQSTTLFFTC* >Brasy9G115800.1.p pacid=40063197 transcript=Brasy9G115800.1 locus=Brasy9G115800 ID=Brasy9G115800.1.v1.1 annot-version=v1.1 MASNYVDTTEEEGRFHGHHSSSTTPTGAAASPKTKRRGWPGSASAPSGAGHGPAPKCVCAPPTHAGSFKCRLHRTSSHGHGHGSHPSSSPPSPANAAPSSSSRTVAAQ* >Brasy9G358300.1.p pacid=40063198 transcript=Brasy9G358300.1 locus=Brasy9G358300 ID=Brasy9G358300.1.v1.1 annot-version=v1.1 MGLVWFRRLCLRCVPCVLVGGAARLSGEPASTFLLHFWGVRRLFVVMPVCSVRPSSLFGVRGLGNLMLIWCMRQGRIHLWSASFSSLAKVFCHCSNHLEFLEKLLLPFSRNTL* >Brasy9G044600.1.p pacid=40063199 transcript=Brasy9G044600.1 locus=Brasy9G044600 ID=Brasy9G044600.1.v1.1 annot-version=v1.1 MRIRRCASRLVLGSAYDFAAAPDPAPRFELPPPPPPARTSPPADESHAGGGSFAAEAPSGLLCELSLSPWDLMSQLDLSDPQEKEFFMLTYLVSVPFRASWLLPTNMPASFIKKDEEEQEKALVAVDMVDKVNVRPPNKVAGKMARKQILAKEDRNAREPKKKAKLKTEEDNGVAAGEPELWKCKKNDGKRWFCHRTVNKPNSYCLYHTDRKRAAPPVLPAASKTSWSSKLRKKKAVDACEGFYYYAGFGPSRSKRHFTGSAMQEFPYADEQQDQSPPTEQQEKAPAAEDHAAPTAGEKAQTDAADCQAAADIDEPKCDDMGWIAGCDEESSDDAFGFNDEPRVVSVNGDVKRKSPVKKRWRKPVKARSLKSLM* >Brasy9G027800.1.p pacid=40063200 transcript=Brasy9G027800.1 locus=Brasy9G027800 ID=Brasy9G027800.1.v1.1 annot-version=v1.1 MHPPMGNESPPGRPVSGFVPGTAAAAGPPPFAAGAPLMRPGAAAPFAPQPQQPGVPPPQAGASPAAPFGAALPAAMVGYRGPPPPQGPFGTGPPPQGPFATASPPQGPFAKAPPPQSPFAAAPPSQGPFAAGPPSQGPFAAGPPSQGPYSAVPPSQGPFATAPPPFRPPPSSLGQPQSPTGSGVPAPTYVRPPPVQSQPPPVQGYYPGVPSSSPQFPMSRPAFQPPMQTMPPPPMGPPSSYSNQPAYPTTGPPMGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPAKVLEAYPLNCHPRYFRLTTHTIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVVNFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLLGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNVNVESALGPALKAAFMVMGQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHILRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQATTHGEKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQAVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLARIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRYLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPARRLLNFIYPSLYRLDEVLTMEPGRIDGSLKRLPLTLQCLDTAGLYLLDDGFTFLVWLGRMLPPELVNDILGVSLANFPDLSKIQLRECNNNHSRNFMTVLRTLRKKDFSCYQLPRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQSS* >Brasy9G027800.2.p pacid=40063201 transcript=Brasy9G027800.2 locus=Brasy9G027800 ID=Brasy9G027800.2.v1.1 annot-version=v1.1 MHPPMGNESPPGRPVSGFVPGTAAAAGPPPFAAGAPLMRPGAAAPFAPQPQQPGVPPPQAGASPAAPFGAALPAAMVGYRGPPPPQGPFGTGPPPQGPFATASPPQGPFAKAPPPQSPFAAAPPSQGPFAAGPPSQGPFAAGPPSQGPYSAVPPSQGPFATAPPPFRPPPSSLGQPQSPTGSGVPAPTYVRPPPVQSQPPPVQGYYPGVPSSSPQFPMSRPAFQPPMQTMPPPPMGPPSSYSNQPAYPTTGPPMGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPAKVLEAYPLNCHPRYFRLTTHTIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVVNFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLLGFPRTQIGFLTFDSTLHFHNFKGQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHILRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQATTHGEKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQAVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLARIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRYLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPARRLLNFIYPSLYRLDEVLTMEPGRIDGSLKRLPLTLQCLDTAGLYLLDDGFTFLVWLGRMLPPELVNDILGVSLANFPDLSKIQLRECNNNHSRNFMTVLRTLRKKDFSCYQLPRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQSS* >Brasy9G027800.3.p pacid=40063202 transcript=Brasy9G027800.3 locus=Brasy9G027800 ID=Brasy9G027800.3.v1.1 annot-version=v1.1 MSRPAFQPPMQTMPPPPMGPPSSYSNQPAYPTTGPPMGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPAKVLEAYPLNCHPRYFRLTTHTIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVVNFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLLGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNVNVESALGPALKAAFMVMGQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHILRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQATTHGEKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQAVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLARIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRYLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPARRLLNFIYPSLYRLDEVLTMEPGRIDGSLKRLPLTLQCLDTAGLYLLDDGFTFLVWLGRMLPPELVNDILGVSLANFPDLSKIQLRECNNNHSRNFMTVLRTLRKKDFSCYQLPRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQSS* >Brasy9G177800.1.p pacid=40063203 transcript=Brasy9G177800.1 locus=Brasy9G177800 ID=Brasy9G177800.1.v1.1 annot-version=v1.1 MAEPKDLPRARARTPTPTWAQRTEALTHILTDPSHSPSLHSQLFLASRVPCPPRGSSYPPFLCPGASILRWALTAVFLPWAARLGLPPSSWRSRCPFQLPPPLVPSTAIEPAPERWGETELRGYAQRRRARRGPMRTRPPVSVAGVVLTTVPNIVIITVIMRELFWVRPGRI* >Brasy9G219200.1.p pacid=40063204 transcript=Brasy9G219200.1 locus=Brasy9G219200 ID=Brasy9G219200.1.v1.1 annot-version=v1.1 MASSCMGIGGEGSAPAAPHVLAVDDSSVDRAVITGILRSSKFRVTAVDSAKRALELLGSGANVSMIITDYWMPDMTGYELLKKVKESSKLREIPVVIMSSENVPTRINRCLEEGAEDFLLKPVQRSDVSRLCSRVLP* >Brasy9G116200.1.p pacid=40063205 transcript=Brasy9G116200.1 locus=Brasy9G116200 ID=Brasy9G116200.1.v1.1 annot-version=v1.1 MRTGGVEPAVTAAIVEVEPVAPHVVEDCRGVLQVLSDGTVLRSAASPYAVEDRDDGRVEWRDAAYGSRGLGVRMYRPKPRPEGKKSLLRVLAYFHGGGFCIGSRAWPSVHACCLRLADEASAVVLSFDYRLAPEHRLPAAHEDAADALLWLRDRLAVTPHDDDDRVGSWLADSGVDPGRLFVSGESAGGNMAHHMAARFGAAGLGLGPVVKIAGYVLIMPAFTSEAPTESELESPATAALSRDVAERYNRLALPAGANKDYPLMNPLGPDSPGLVRVGGRVLVVIGDEDMLKDNQLRYAEQMKAAGNDVELFVLAGEQHGFFSSKPWSEASGEAVRAIGRFMDRDAAAADSD* >Brasy9G185000.1.p pacid=40063206 transcript=Brasy9G185000.1 locus=Brasy9G185000 ID=Brasy9G185000.1.v1.1 annot-version=v1.1 MSGAGGGRGKTVLAGDGAASSSGGRAPLPSGVGRAAPATGGGRALPAVGGQFQQPRGRMMSGAVAAASRGAPPGMGAAPRPSAPVVSSFSELPPMAFGQGCASGGAGFSFGAGPRSSPEDASGVALGLAAQRGRLPPQTPAPPPSALRKLPVEDRQGTAAKEKKKKMICWRCNSKEHVTKDCPEDVYCVVCDKYDHNELRCPILKMPRPTARLCGYGGDKMGFFQIPEEAMAGNGVASSISPTTLVSVSGGAISGEVLEAELRRLIPASSSWTWEAIPHGNNAFVVAFPSQEELQRVVNLEIRLKSHQVSLEFGEWIADEVPPAFFLHTVWVHVRGVPPSLKHFLGMWAVGSVIGITEEVDMHCFRKRGIVRIKVTVLDPTLFPVDVDVAVAKIGYVLQFSLEPEHFQVDPSVAPTPMERDDESKDKSHDRDDDTVDKQNKRAKSTVSSSKDSSPENIQPPSSHMQSSRFQVGGVEVDFSGWAVTPHAPRPRFGGTCPSSGVEAEAGKIASMARQVSAVSSNVVPAVSPSAVHVGLTKVQSPAIGSAMHVADLPVACTSVCVGASCGTSASVLAAGADARLAAGACSPATHVGTRPSAAGAVAGSPGPAASSVQLASAVGPARMVAAPRAAPSTPPRAAPSTPPRAASPASLPPLAAAAVASAASAPGSAQLRRSVRTNTPLPSGVIPADEDSLAKAMRRAATRNLDSPSGFVFLHALAPYLVYTSQAGGPSTGFDGVQQAGASCQGHFYPPWVAV* >Brasy9G350600.1.p pacid=40063207 transcript=Brasy9G350600.1 locus=Brasy9G350600 ID=Brasy9G350600.1.v1.1 annot-version=v1.1 MEMRSGKRMRSSPTDTEGSRSPSPYCRSSSPSPSPYRSPSPSPCRSWSQRPPSYDDADADDDGSSLSRSRGSDGNDAQGRAVWRPHSSRQSGERGHGGEFSVRIDDYDRLFTCRSCRRLLIPPVYQCPFSHVTCSRCHDEFGDNRCSSCGATNGYARNRTVEEFLWRISFSCRNKEYGCTTFLPQHEVRAHEQSCRHEPCFCPVDRCGFAGPTNAVEAHLTGFHHWRVIKFRYGESFIASAPKSTIYHSKDDDELFLIDSFGEGRGIAMSMICLRCDNALEQEFTYELKTPPGNVRGHHQLQLQSMVRRTSLRKGLGEKDKVFLLVPKELLCAMNDYVVEVCVRKEDTGA* >Brasy9G004400.1.p pacid=40063208 transcript=Brasy9G004400.1 locus=Brasy9G004400 ID=Brasy9G004400.1.v1.1 annot-version=v1.1 MAPSLHSLPTTVSLLILAVAVASAAAASPSSPPGEDGIRVVSAEKRIDLTGPIVKVFLTLKVQNAATASEASHVLLAFTPTEVQHLAIVKATRAEGKRKKKAYVPLPVEPSRLADAPNGASLYAILLSSPLKPAEMTTLEVFYVLTHSLEPFPAEITQSESQLVYYRDSAVILSPYHVLEQATYIKMPSNRVESFTRVDPTSRSGPEVKYGTYSNQLPNSYLPILVHFENNHPFAVVEELVRKVEISHWGNVQITEQYKLKHGGARHKGVFSRLEYQSRQSISGASSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGMPLQDFLFQADDGRRYANLTFGCPLLDTVVDDLTIKVVLPEGSKSPEAVVPFLTEQHLETSYSYLDVVGRTTVVIKKKNVVGEHNVPFQVYYEFNPIFMLAEPLMLISALLFLFVTCIAYLHMDLSIGKSQAS* >Brasy9G363900.1.p pacid=40063209 transcript=Brasy9G363900.1 locus=Brasy9G363900 ID=Brasy9G363900.1.v1.1 annot-version=v1.1 MAKRWLLQFLLALLLPVASTAVSCHPDDLRALRGFASNLSGAGAVLLRATWEGVGCNGGASGRVTTLWLPGRSLAGSIQGASSLAGLAWLKSLDLANNRLQVGTTFPSWIGELDRLRYLDLSHNASPLHVKHSDRRTLSDGQPNTITGTNNSVRSGNGNTVSGGDNTVISGNNNVVSGNNNTVVSGSDNVVSGTNQVVSGNNHILTGSNNTVSGSNNNVSGSNHIVSGSNKIVTGG* >Brasy9G347700.1.p pacid=40063210 transcript=Brasy9G347700.1 locus=Brasy9G347700 ID=Brasy9G347700.1.v1.1 annot-version=v1.1 MTRNPCYSTYPPAIHDHQSPSYHSNNHAEDSGFASSFRVDPQLGHVPCFPPMSLASNNHSPVQFFNEAPFTTKLQSEAMHTQKDKNSEDPPDMESENSEELNHNPVHENLNLNQGPEDLTARFNCKEYHIVLRKDLTNSDVGNIGRIVLPKRDAEANLPALLERDGLILQMDDFNLVATWNFKYRFWPNNKSRMYILESTGEFVKSHGLEAGDTLIIYKNPESGKFLVRGEKASQQSAPLLCLECKEEGSNNEDEECGFALSLHTKRT* >Brasy9G178600.1.p pacid=40063211 transcript=Brasy9G178600.1 locus=Brasy9G178600 ID=Brasy9G178600.1.v1.1 annot-version=v1.1 MRKRRDLLQQGICWYFSGGGSRRTKASRLAPLPRAARPRACPRRHRLRMHFFLAVNLQSFGRWRRLPAVCRRRRGVPPRPAAPKAAHELRDGVRGGRGSQVEPRERGWRGRGGPRRGGGGCGLWGSEGGRGWACQSGQR* >Brasy9G133900.1.p pacid=40063212 transcript=Brasy9G133900.1 locus=Brasy9G133900 ID=Brasy9G133900.1.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYVRAIEKSFSQQ* >Brasy9G133900.2.p pacid=40063213 transcript=Brasy9G133900.2 locus=Brasy9G133900 ID=Brasy9G133900.2.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G151800.1.p pacid=40063214 transcript=Brasy9G151800.1 locus=Brasy9G151800 ID=Brasy9G151800.1.v1.1 annot-version=v1.1 MEYITSRSLSRWLTVVGALLLSSCSPSSAAPQISLAQQFVVPHGHLRAIRGLRPLRWSDALADQAARFVGDCGAASAAASSASGGFTAGVNVFRARGAAWQPSDAVAAWAEQAEHYDFGSGACAAGRQCAQFRQVMWRGSQEVGCAAVECPSGETVMACHYEPRGNVLGQRPF* >Brasy9G259400.1.p pacid=40063215 transcript=Brasy9G259400.1 locus=Brasy9G259400 ID=Brasy9G259400.1.v1.1 annot-version=v1.1 MSGFAAILRRSCLTASSENLMETCVGLCISSGNSLRWLSSCAKQSTKSGTSILGQIKAVDSFAPVNGVSRASMMPLSAHMGTNWLITSKPRFNVLPAPLGVLSIRRAYSSDSGHPEVSQNIPNVPSTETSDVGTAGDGGTTWIDILDNARTSTIDATTDAGKKVKEMTDAITPQVQQFFAANPDLEKVVVPLGGTLFGTVMAWFVMPIILRRLHKYGSQNPITALLGNSTKTDASSYQTSIWSAVEDPAKYLITFMAFSEMAAAVAPSISPYFPQALRGAFVLSIVWFLHRWKANFITTAMTSQTALVTDKARLSAFNKVSSLGLIALGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNVLNGFSLQFSRPFSVGEYIKAGSIEGTVVEIGLTSTSLINPEKLPVTVPNSLFSSQVIVNKSRGQLRLSVTKIPIRLEDIQKVPAISEEINAMLRSNPKVVSETDAPYCYLSKLESSYGELVIGCILQKMRKDELLYAEQDILLKAAKIIKSHGVELGSTTQCC* >Brasy9G282100.1.p pacid=40063216 transcript=Brasy9G282100.1 locus=Brasy9G282100 ID=Brasy9G282100.1.v1.1 annot-version=v1.1 MLATASPHLQAAARRLSLAALPARLPRSVRLPGSSTRRPIRHASLRASSSSSAAEATPSAKEGAGAEELGFEEMLSRTTRRYYMLGGKGGVGKTSCAASLAVRFANSGHPTLVVSTDPAHSLSDSFAQDLTGGALAPVEGTDSPLFALEINPEKSREEFRTLNQKNGGTGVKDFMDGMGLGILAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAPEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESARLHSSLQKESVPVRRLVVNQVLPPSSSDCKFCAIKRKDQTRALDMIRSDPELMGLNIMQAPLVDMEIRGVPALKFLGDIVWK* >Brasy9G268400.1.p pacid=40063217 transcript=Brasy9G268400.1 locus=Brasy9G268400 ID=Brasy9G268400.1.v1.1 annot-version=v1.1 MGALEEAHLAAAAAIVACGCGDEEEEEDLAELGLEAPPPGDTMEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRFQSPQRLANEVCGALHASIQPAGVAVTLQCWHIPLPENLKCKSSQGWIRTSHSSRSGVFEGEDSSFWNDFVALLKLKGIDMEMDNHSSFLPWCPLRPHEVPVCNGHSKRTTTNGAISPKSVSTPSNMVSSVSSMLLLLEEDPLRKELLGSPQRYVQWLMRFRACNLDVKLNGFTLNSAGVYERPDEDASDHRAISSELHLPFCAQCEHHLLPFYGVVHIGYFGSGDGEGIDRSHFHALVQFYGCKLQVQERMTRQIAEAVYSVSHRGAIVVVEANHICMISRGIEKIRSSTATIAVLGDFLTDSSVKACFLQKVLDTVGLEA* >Brasy9G152300.1.p pacid=40063218 transcript=Brasy9G152300.1 locus=Brasy9G152300 ID=Brasy9G152300.1.v1.1 annot-version=v1.1 MAAPNPSPAMAAAAAAATPARAWWRTEFPAAASGSCFFPVGAKRFITGLQMTSTRLHPKISTPHVKSGEAAEGSPSSDTENATTAIPVVDEETLRRDLEAAIEDEDYASAARLRDELRHLREDGRSAVLAANARFYDAFREGDLAAMRSVWAKGEHVYVVHPSAGRIGGHETVMRSWEMVCDADHEFPLRLELQEVEVHVRGDMGYVTCLELVKTRGSGTSWGKQIATNVFEKVGDEWLMCVHHASHLDDDE* >Brasy9G363600.1.p pacid=40063219 transcript=Brasy9G363600.1 locus=Brasy9G363600 ID=Brasy9G363600.1.v1.1 annot-version=v1.1 MAAPHLLSLSHPKPHLHPPSLTHLSSFHGVRRLDLGVLPHRLDPTLPDPPPARPRAAGLDPRHSGAAAGLNPPETPRPSPRVDGSAASEPPRHRIRSALARALPDLLPTSRGSHRKWRPPTPPDVGSTAVGPFAGLIQPPLSEPATSSSGGGTGVLRCGFGAGLWVARIFFYFC* >Brasy9G357300.1.p pacid=40063220 transcript=Brasy9G357300.1 locus=Brasy9G357300 ID=Brasy9G357300.1.v1.1 annot-version=v1.1 MTKGGERDTVAEYEDLWAETTRISKAFFRSREIREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIMEQNGWPGLWVGNTINMIRIIPTQAIELGTFEYVKRGMRTAQEKWKEDGCPKIQLGNMKIELPLHLLSPVAIAGAAAGIAGTLMCHPLEVIKDRLTVDRVTYPSISIAFSKIYRTEGIGGFYSGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKKSLSRPELLIIGALTGLTASTISFPLEVARKRLMVGALQGKCPPNMMAALSEVIREEGLLGMYRGWGASCLKVMPNSGITWMFYEAWKDMLLADRDEPQPRPRDELL* >Brasy9G357300.2.p pacid=40063221 transcript=Brasy9G357300.2 locus=Brasy9G357300 ID=Brasy9G357300.2.v1.1 annot-version=v1.1 MTKGGERDTVAEYEDLWAETTRISKAFFRSREIREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIMEQNGWPGLWVGNTINMIRIIPTQAIELGTFEYVKRGMRTAQEKWKEDGCPKIQLGNMKIELPLHLLSPVAIAGAAAGIAGTLMCHPLEVIKDRLTVDRVTYPSISIAFSKIYRTEGIGGFYSGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKKSLSRPELLIIGALTELPMVMDLTTECWNRKREVQIYGQGTLDLSILENMMLSSYYQHSMADGPLNIT* >Brasy9G121700.1.p pacid=40063222 transcript=Brasy9G121700.1 locus=Brasy9G121700 ID=Brasy9G121700.1.v1.1 annot-version=v1.1 MSRSNKKSSSRGLDLKLNLSLPARGNSSNRAMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNNNNNSSNNNSSRKSRRG* >Brasy9G287800.1.p pacid=40063223 transcript=Brasy9G287800.1 locus=Brasy9G287800 ID=Brasy9G287800.1.v1.1 annot-version=v1.1 MGKYAARRGWRRLAAVAWVLLAVACSAALHWQLRWETMERAEQRLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKSPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFPHEKETFERQHGWNMKTMNREAAPLQDEYAPVIFSQDTVSYLARMDMYSGEEDRENILRARATGKPVLTNPFRLLGSNHLGVVLTFAVYRPDLPVDASVEQRVEATAGYLGGAFDVESLVENLLSKLAGNQDILVNVYDVTNTSEPMAMYGPQSPDGKVGLLHVSMLDFGDPFRRHEMRCRYRQKPPMPWSAITNPLGAFVIWMLVGYIICAAWSRYDKVTEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQMCGRALITLINDVLDRAKIEAGRLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKLVIGDPWRFRQILTNLVGNAVKFTDRGHVFVRVCLAENSNVEANKVLNVTLNGKDGKVESTANGALNTLSGFQAADERNSWEYFKLLLSDKESLSNELEGEKSHQIDSDRVTLMISIEDTGVGIPIHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAGLMGGQISFTSRPSIGSTFTFSAVVKRSCKDTSSDSKRSLSETLPTAFSGMKAILVDGRAVRSAVTRYHLNRLGIIVQVVNNMSMGIQAFSVQNGAAEPREKPSILFIENDTWRPKTDIQLLNRLHKPGMNGQVHELPKLILLDTSEADKDKNGSIFNVVMCKPIRASTIASCLQQLLKVEIPERKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAAAALKKYDAKVHCVESGKDAISLLQPPHHFDACFMDVQMPEMDGFEATRQIREMEKKANEEQKKLTSTEGSTFVEWHSPVLAMTADVIQATYEKCMKSGMDGYVSKPFDEEQLYQAVSRLVAGKSDPVG* >Brasy9G149300.1.p pacid=40063224 transcript=Brasy9G149300.1 locus=Brasy9G149300 ID=Brasy9G149300.1.v1.1 annot-version=v1.1 MFGDNQQKANTKRVVGTYGYMAPEYAMRGIFSAKSDVYSFGVLTLEVVSGVKSSTDYIMEFENLIIYAWNQWKEGKTNDLVDSNVVESCIPDETLLCVQLGLLCVQDNPNDRPPMSSIVFILENGSVTLPVPNQPVYFAHTNNEVEHATGNTQNSKNNLTLTVLEGR* >Brasy9G354600.1.p pacid=40063225 transcript=Brasy9G354600.1 locus=Brasy9G354600 ID=Brasy9G354600.1.v1.1 annot-version=v1.1 MAGAVESPASSCVSSDAEEEGAVGAAAPKPAMVVVGCPRCLMYVMVDSEAEQQQQPRCPRCKSPVLLHFVDGAGASNTNRQQGSKS* >Brasy9G106700.1.p pacid=40063226 transcript=Brasy9G106700.1 locus=Brasy9G106700 ID=Brasy9G106700.1.v1.1 annot-version=v1.1 MADWGPVIVATVLFVVLTPGLLCTLPGRGRVAEFGSMHTTGLAILVHAVLYFALATIFLIAIGVHVYTG* >Brasy9G215200.1.p pacid=40063227 transcript=Brasy9G215200.1 locus=Brasy9G215200 ID=Brasy9G215200.1.v1.1 annot-version=v1.1 MASMADSPTSSCVSSDAEEEAAAVAKPMVVVGCPQCLMYVMLSEAAKEPRCPRCKSPSLLHFLHGAAAAADANANRQGNRS* >Brasy9G302400.1.p pacid=40063228 transcript=Brasy9G302400.1 locus=Brasy9G302400 ID=Brasy9G302400.1.v1.1 annot-version=v1.1 MTDLCGSSSWADLPGHLLGLVFPLLELPEAMAAAAVCSSWCSAAAAAGVPRSRTPWLVSWENLHHHKDGKIEFRSLLSAEYKTCYKVNLLEGRRRHLNWCGASHGWLIASDELSNLVLCNPFTFDTIPLPPITDLGCVKGVYDAAGGIVGYRYGPDHQGFNPATEILGGWFYQKVVLSCDPSSSSSHGGLAAILSKGERYADCAYHDGRFCTVTLRGVIEMWDLHGPHEPTKEVIIADGDKKRGRVLARFLVSTPSGSLLQIRILRQRRQARCPVKIEVEMLEVDVEEGKLVGLSSSTALREHAVFVGLNHSACLPTKEFPKLRPNCVYFTTPRLVNYDNFGLRALPSVFIYNLENQTFEDVPPSFGSSYGEYTPSAIWYIPRI* >Brasy9G161400.1.p pacid=40063229 transcript=Brasy9G161400.1 locus=Brasy9G161400 ID=Brasy9G161400.1.v1.1 annot-version=v1.1 MAGGAVVAASDGRPAADYGGGLTLSVLTTCVVAASGGLIFGYDIGISGGVSQMEPFLARFFPHVLEKMAASKGNDYCLYDSQALTAFTSSLYVAGLVASLVASRVTKAMGRQGIMLMGGALFFAGGAITGAAVNVAMLIIGRMLLGFGVGFTNQAAPLFLAEMAPTKWRGSLTAGFQFFLAVGVVVANLTNYFTARISWGWRLSLGLAGAPAVVIFVGALFLTDTPSSLLMRGQPESRARAALLRVRGPGADVDAELKDITRAVELAQQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLSFFSPLVFHTAGFGSNAALMGAVILGACNLVALMLSTLVIDRYGRKVLFMVGGIQMIISQVAVAWIMGAQVGKKGEAPMARPYGVAVLVFTCLHAAGFGWSWGPLGWVVPGEIFPVDIRSAGNAMNVSIGLGLTFVQTQSFLPMLCRFKYATFAYYAAWVAVMTVFIALFLPETKGVPLESMATVWVKHWYWKRFVQPQPKSAEALT* >Brasy9G296200.1.p pacid=40063230 transcript=Brasy9G296200.1 locus=Brasy9G296200 ID=Brasy9G296200.1.v1.1 annot-version=v1.1 MRNLETAEHLLRDCCWSRRLCQSSSWSWIRHGRSMDLLTEICCPTALRQTAAKTTGSSREAAPPPEAGSDYHCIMASRTVDVTLVSARDLRDVNLVSKMEVYGVVYLAGDPLSKQRVATDRAGGRDPAWNATVRVTVPASGAGSGALRVLLRTERALGDRDVGEVIVPLSEILSGAGDGPTADADAKLVRAYKVRKVGSSKAHGVLHLFYKLGGVIHPDAHAKHPAVAAAGQGYLAAAAAPYAFAPPMLSYPYPCPPPTMVRPLRISSR* >Brasy9G342200.1.p pacid=40063231 transcript=Brasy9G342200.1 locus=Brasy9G342200 ID=Brasy9G342200.1.v1.1 annot-version=v1.1 MAPVSFHVDALLPGSIAPKLDSILQAHVYPQVAGVLRAVARFKALLLNNCKRTAAGPGRKKNKRTMKIAGFVVKLHLFGTARSARRVHLPADARCQDECSAWNAAAVWEEEEVEVCSGGNGGTEAECGYLCWLEEEQEEERPSGAGDGEGEGDGEEVVTNEIDRLAERFIARFHAKCLLEKQESYRRRLHHQTLIAGTAI* >Brasy9G191400.1.p pacid=40063232 transcript=Brasy9G191400.1 locus=Brasy9G191400 ID=Brasy9G191400.1.v1.1 annot-version=v1.1 MALKAVSREAARHKKSGSGGGDGHRRIWFERDVLLALRHPLLPALRGVLATEAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLAVEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPILPPEPDAAAAVPKPATVPSSPSLNRGKPKKPAMCFRFRSGGATKPTVSADSPSPPSTSRTASSSSSSSTTTTASSTVSGARTPAKSNSFVGTEDYVAPEIIAGSGHDFIVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRALTKQPELVGEKTPLRDLIARLLEKDPAKRIGARGIKAHPFFHGVDWDRILRVARPPFIPSPPQDDDGGEVLDVEKVVHETFAANDGEAAAAVDGQKPLPEAGGVVAGGDGERRMDPSKDGDFSVFF* >Brasy9G191400.2.p pacid=40063233 transcript=Brasy9G191400.2 locus=Brasy9G191400 ID=Brasy9G191400.2.v1.1 annot-version=v1.1 MFSDSVIRFYAAELVLAVEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPILPPEPDAAAAVPKPATVPSSPSLNRGKPKKPAMCFRFRSGGATKPTVSADSPSPPSTSRTASSSSSSSTTTTASSTVSGARTPAKSNSFVGTEDYVAPEIIAGSGHDFIVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRALTKQPELVGEKTPLRDLIARLLEKDPAKRIGARGIKAHPFFHGVDWDRILRVARPPFIPSPPQDDDGGEVLDVEKVVHETFAANDGEAAAAVDGQKPLPEAGGVVAGGDGERRMDPSKDGDFSVFF* >Brasy9G191400.3.p pacid=40063234 transcript=Brasy9G191400.3 locus=Brasy9G191400 ID=Brasy9G191400.3.v1.1 annot-version=v1.1 MFSDSVIRFYAAELVLAVEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPILPPEPDAAAAVPKPATVPSSPSLNRGKPKKPAMCFRFRSGGATKPTVSADSPSPPSTSRTASSSSSSSTTTTASSTVSGARTPAKSNSFVGTEDYVAPEIIAGSGHDFIVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRALTKQPELVGEKTPLRDLIARLLEKDPAKRIGARGIKAHPFFHGVDWDRILRVARPPFIPSPPQDDDGGEVLDVEKVVHETFAANDGEAAAAVDGQKPLPEAGGVVAGGDGERRMDPSKDGDFSVFF* >Brasy9G143100.1.p pacid=40063235 transcript=Brasy9G143100.1 locus=Brasy9G143100 ID=Brasy9G143100.1.v1.1 annot-version=v1.1 MKDPPPRTKVVLRRLPPAIGQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKSSEDVIEFAEFFNGHIFVNEKGAQFKAIVEYAPSQQVPKSTIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMAYIRQQRAAKNMAQRSANSRLGRKVVGVVTSTSSPKRASERRRASTSTQYVLRDAKEKPTYILVPKREDHSQREKIIAGTSDATSSGPSGSAQVDGKKDKIVLLKGRARADSTVSDSSTQHQPVASSRNTPPSGSRQDQRLEASGRIIKTILSNKEGRHAVASQHEQEGHIINAEKDKRPPRFPNSRSIMKDQTVENAEKSHYDDKHNHLHGSGPISEKIERHARNRDRPDRGVWAPRRYDKSASGGGTQASSSDFPLMQSHSGDNFSQPQADGHGERKIETRGPGTRTGPIENGNRHANRRGPPRGPKEMEVSAVTADGKPSKRGSASYGAQERQVWVQKSSSGS* >Brasy9G143100.3.p pacid=40063236 transcript=Brasy9G143100.3 locus=Brasy9G143100 ID=Brasy9G143100.3.v1.1 annot-version=v1.1 MKDPPPRTKVVLRRLPPAIGQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKSSEDVIEFAEFFNGHIFVNEKGAQFKAIVEYAPSQQVPKSTIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMAYIRQQRAAKNMAQRSANSRLGRKVVGVVTSTSSPKRASERRRASTSTYVLRDAKEKPTYILVPKREDHSQREKIIAGTSDATSSGPSGSAQVDGKKDKIVLLKGRARADSTVSDSSTQHQPVASSRNTPPSGSRQDQRLEASGRIIKTILSNKEGRHAVASQHEQEGHIINAEKDKRPPRFPNSRSIMKDQTVENAEKSHYDDKHNHLHGSGPISEKIERHARNRDRPDRGVWAPRRYDKSASGGGTQASSSDFPLMQSHSGDNFSQPQADGHGERKIETRGPGTRTGPIENGNRHANRRGPPRGPKEMEVSAVTADGKPSKRGSASYGAQERQVWVQKSSSGS* >Brasy9G143100.4.p pacid=40063237 transcript=Brasy9G143100.4 locus=Brasy9G143100 ID=Brasy9G143100.4.v1.1 annot-version=v1.1 MKDPPPRTKVVLRRLPPAIGQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKSSEDVIEFAEFFNGHIFVNEKGAQFKAIVEYAPSQQVPKSTIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMAYIRQQRAAKNMAQQYVLRDAKEKPTYILVPKREDHSQREKIIAGTSDATSSGPSGSAQVDGKKDKIVLLKGRARADSTVSDSSTQHQPVASSRNTPPSGSRQDQRLEASGRIIKTILSNKEGRHAVASQHEQEGHIINAEKDKRPPRFPNSRSIMKDQTVENAEKSHYDDKHNHLHGSGPISEKIERHARNRDRPDRGVWAPRRYDKSASGGGTQASSSDFPLMQSHSGDNFSQPQADGHGERKIETRGPGTRTGPIENGNRHANRRGPPRGPKEMEVSAVTADGKPSKRGSASYGAQERQVWVQKSSSGS* >Brasy9G143100.2.p pacid=40063238 transcript=Brasy9G143100.2 locus=Brasy9G143100 ID=Brasy9G143100.2.v1.1 annot-version=v1.1 MKDPPPRTKVVLRRLPPAIGQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKSSEDVIEFAEFFNGHIFVNEKGAQFKAIVEYAPSQQVPKSTIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMAYIRQQRAAKNMAQYVLRDAKEKPTYILVPKREDHSQREKIIAGTSDATSSGPSGSAQVDGKKDKIVLLKGRARADSTVSDSSTQHQPVASSRNTPPSGSRQDQRLEASGRIIKTILSNKEGRHAVASQHEQEGHIINAEKDKRPPRFPNSRSIMKDQTVENAEKSHYDDKHNHLHGSGPISEKIERHARNRDRPDRGVWAPRRYDKSASGGGTQASSSDFPLMQSHSGDNFSQPQADGHGERKIETRGPGTRTGPIENGNRHANRRGPPRGPKEMEVSAVTADGKPSKRGSASYGAQERQVWVQKSSSGS* >Brasy9G135800.1.p pacid=40063239 transcript=Brasy9G135800.1 locus=Brasy9G135800 ID=Brasy9G135800.1.v1.1 annot-version=v1.1 MPKAILQKFCQKLGWEAPKYSKTSEKDSKFIYAVNVLRGSTRRGKSRKAGGLTKIELPERDEEYVSVQEAQSRVATFALYQLFADLPLRQLIIEPYSSLVLRWQEGELELSSTSRVLDTEDSRRAGFVDILLDMDTHGTLPYQIRDALVGRVNIDSQNDEKHNPVDDQKEPPMLNRAGSRPPEQAESTALRKQLEHKIKLPKYLKMLQARASLPIARLKKKNLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSKDSLVGYQVRLDSARNEGTKFLFCTTGILFRKLSGNKDLSDVTHVVVYEVHGRTILSDFLLIVLKSLVEKRSNQPGRKLKMILMSATVDSTLFARYFGDCSVISVEGRTHAVSTHFLEDVYEKMDYCLALDSPAPGAYFAQHGEKTDRQHCDVESQPDLVWPGPPWKLDWTESGSSRNPNLVELTDGPKFDSIESRCAAHFSDHVRNGSHQIDCVQIFINRRPSLVLTVHLDEPSRFLMESYQRATTTKSSDLYFAYKNKVIRPHKTPRYYGIGKGSTVELRARTRGGMSSFEEYVANNKDTFTVIVKLPDGNLSLKMTIEGCRFLSSLLSCFTLTFSQRKSWGGKFEWKSHFKISDGMVKIAIKATGSFQGISMLKDIDRLTNFIEDKFSNKDYRLQDKNAPYIENLIRYLKQLEPSIFTDDQKYVILTNPSCMTSYERLLLAIAIQRKGKGLNHDDKGKWDRAMKECILSADWLVELQKIPLFKKLIKNAEKRGQPYDPKTAASAFRLQRDFGVHILEYRLVTHPEAKHGDTKIETFKDDDGVELMLPMHLKDLLPNVIKQLILGGIDIDRELSSAVGRCTSCTLQPFHPVISQPIDNDYVPTWIKKLQEKEKHKLAIRTASGATPQVPRTMGGATPSVQRTMGGANPPTQSTSQQTRHASSSTFPSSWSGLFKPDGHVADGVITAPSNPSIAAQPVEEKWNEVKGRRRK* >Brasy9G301800.1.p pacid=40063240 transcript=Brasy9G301800.1 locus=Brasy9G301800 ID=Brasy9G301800.1.v1.1 annot-version=v1.1 MTDSSSAAAAVPLLEAGGGKEMRHLGGRTAHNLSSSSLRKKSDASLLRKVPCAALRAFLANVQEVLLGTKLFVLFPAVLLAVLARYLRFGQVWLFVLSLIGLIPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALFALREGKITVVKCSLLGSILSNLLLVLGTSLFFGGLANLGTEQPFDRKQADVSTGLLILGVLCQSMPLMLRYAVGAGEHAVTSGDSGLVLSRACSIVMILAYAAYLYFQLKTHRQLFEPQEVEDEDDDLVSEDEAVLGFSSAMIWLAVMTLITAILSEYVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGVPMDLDFNLLETGSLFLAILVTSFTLQDGSSHYLKGLLLLLCYAVVGVCFFVLRRRSSDGNNLNVLSRSAERFLQTGR* >Brasy9G075100.1.p pacid=40063241 transcript=Brasy9G075100.1 locus=Brasy9G075100 ID=Brasy9G075100.1.v1.1 annot-version=v1.1 MYVAVTTNSLATPKFITSSSKMPTWWQRRTQTLMAQKQLKVLHALDVATTQLYHFTAIMIAGMGFFTDAYDLFSISLVTDLLGRIYYHDGVLPVGVSALVNGVALCGTVLGQLLFGWLGDKVGRRHIYGVTLKLMVVCSLASGLSFHRSPQSVIATLCLFRFWLGIGIGGDYPLSATIMAEYANKKTRGAFIAAVFAMQGLGNLAAGIVAIIVSQAFKNARGYDEDPHWHADYVWRIILMLGAVPAVLTYYWRMKMPETARFTALIAKNIKQAASDMALVLNIDIADEHEELADVLTREYEFGFFTMEFLRRHGLHLLSTTVCWFMLDVSFYLLNLFMKDIFRDIGWIGNGSTMSPLEQTYKIAQKEALIAIVGTLPGFFFAIKLMDKMGRIKMQLLGFTMMSVFMLVLAIPQVLYNGMWYSRYGHIYFVVMYSAIMFFTNFGPNSTTFIIPAEIFPARLRSTCHGISGAGGKCGAIIGVLWFLYAKRSLPTTLFVLVGCNILGLVLTFLLPETKKRSLEEVTGERGDGVQQRGLFSIVRTPMFTV* >Brasy9G267000.1.p pacid=40063242 transcript=Brasy9G267000.1 locus=Brasy9G267000 ID=Brasy9G267000.1.v1.1 annot-version=v1.1 MASSQEKQPTFGVLRNAAALLDEMQLLGEAQGAKKVINSELWHACAGPLVFLPQRGSLVYYFPQGHSEQVAATTRKVPNSRIPNYPNLPSQLLCQVHNITMHADKETDEVYAQMTLQPVNSETDVFPIPALGSYTKSKHPPEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGRPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTQLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVVPLARYNKANYVQQSVGMRFAMMFETEESSKRRYTGTIVGVSDYDPIRWPNSKWRNLQVEWDEHGYGERPDRVSIWDIETPENALVFPSSSLNSKRQCLPGYGVPGLEIGSVNMPSFTEIPTALGNPRALGNPYGNLQHMPAVGSELAMMLLSQSGQNLGTPLGCHQSSYSSIIQNVKQNYVPPSTFGVSTGPIKQESMHSNEAQQQLHTPKMRRGDLENCEVQPVTDSVSASELNVAVREPRNTDSYPSQSISEQNGKGEPKVKTRRSKKGLSRKTISENSELSSAPSRVCDDQQHGFEVKLVAGDTQQINSANNEDSAGALTHGNFARQLQGQQVEQNGSLSPPKLESSKSPDGGKSVNSFPNQGCFSQFFDGLDWMIQPSYYQDSNGIQSVSASENIFSSSANIPSTINADTMEAFQNSCLSDCFPNSIQDFIGSPDLNSITFMSPDMQNLDVQHDGSNLPSTSNSYVQMSFSEDSGNHMESIQRSMNNTSCSQPQATEGFDLGMYSKLPSLKETQVLSLPEIHNSSRGTSSCSMDVTDYSIDRSAKPLKPPVRTYTKVQKLGSVGRSIDVTRYRDYRELRSAIASMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSESGMHVLNDCIQADQ* >Brasy9G267000.2.p pacid=40063243 transcript=Brasy9G267000.2 locus=Brasy9G267000 ID=Brasy9G267000.2.v1.1 annot-version=v1.1 MASSQEKQPTFGVLRNAAALLDEMQLLGEAQGAKKVINSELWHACAGPLVFLPQRGSLVYYFPQGHSEQVAATTRKVPNSRIPNYPNLPSQLLCQVHNITMHADKETDEVYAQMTLQPVNSETDVFPIPALGSYTKSKHPPEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTQLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVVPLARYNKANYVQQSVGMRFAMMFETEESSKRRYTGTIVGVSDYDPIRWPNSKWRNLQVEWDEHGYGERPDRVSIWDIETPENALVFPSSSLNSKRQCLPGYGVPGLEIGSVNMPSFTEIPTALGNPRALGNPYGNLQHMPAVGSELAMMLLSQSGQNLGTPLGCHQSSYSSIIQNVKQNYVPPSTFGVSTGPIKQESMHSNEAQQQLHTPKMRRGDLENCEVQPVTDSVSASELNVAVREPRNTDSYPSQSISEQNGKGEPKVKTRRSKKGLSRKTISENSELSSAPSRVCDDQQHGFEVKLVAGDTQQINSANNEDSAGALTHGNFARQLQGQQVEQNGSLSPPKLESSKSPDGGKSVNSFPNQGCFSQFFDGLDWMIQPSYYQDSNGIQSVSASENIFSSSANIPSTINADTMEAFQNSCLSDCFPNSIQDFIGSPDLNSITFMSPDMQNLDVQHDGSNLPSTSNSYVQMSFSEDSGNHMESIQRSMNNTSCSQPQATEGFDLGMYSKLPSLKETQVLSLPEIHNSSRGTSSCSMDVTDYSIDRSAKPLKPPVRTYTKVQKLGSVGRSIDVTRYRDYRELRSAIASMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSESGMHVLNDCIQADQ* >Brasy9G163200.1.p pacid=40063244 transcript=Brasy9G163200.1 locus=Brasy9G163200 ID=Brasy9G163200.1.v1.1 annot-version=v1.1 MASMIGGHFVEAYMLRKACRERLATIEEATAAEGRNNGGSGERKQVARGGLFGSMTVRKKKSRAYPCE* >Brasy9G251000.1.p pacid=40063245 transcript=Brasy9G251000.1 locus=Brasy9G251000 ID=Brasy9G251000.1.v1.1 annot-version=v1.1 MRRAFEEISDEEWSNHTFKPSRVLKRPHRTSQPAAPPPPPIESFRYDRKASSAVGTSKVTVVLSDDDDDFELGGNVRSRRAGKSSGVLKPPQHNNPPRRAPVSSEPFRHNPQPSKAAITAAFSDDDDFVISDDDFDLPVSRTSRRGRSTGRRLATATVDLSEEEEEEELDLAYEDSDLADDNSDDLAADDSDHPDPRPSRPRRTAGRRFVIEDDGDSDGSASAGVMEVGDGEADDEINWSELENEDDDYGDYNGGRSVKAEEVDGDVVGKALRRCARISADLRQELYGSSTRNCESYAETDASTCQIVTQEDVDAACTCEELDFEPVLKPYQLVGVNFLVLLHRKKIGGAILADEMGLGKTVQAVTYLNLLQHLYNDPGPHLIVCPASVLENWERELKKWCPSFSVIMFHGAGRAAYSKELSSLGKAGCPPPFNVLLTGYSLFERKSAQQKDDRKALRRWKWSCVLMDEAHVLKDKGSFRWKNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELILHIKSILGPFILRRLKSDVMQQLVPKTQHVNFVSMGSEQYKAYTDATEDYRAVCQARSAKSSVQCSNNLSGLIPKRQISNYFMQLRKIANHPLLIRRIYSDKDVERIARLMYPKGAFGFECPLDRAIQELKNSNDFAIHQLLISYGDTGTKGALKDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVIERQTIVDTFNNDRSIVACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKESVDEKIYAIARRKLVLDAAILQSGADVDDETDVPEKTMGEILASLLLV* >Brasy9G251000.2.p pacid=40063246 transcript=Brasy9G251000.2 locus=Brasy9G251000 ID=Brasy9G251000.2.v1.1 annot-version=v1.1 MRRAFEEISDEEWSNHTFKPSRVLKRPHRTSQPAAPPPPPIESFRYDRKASSAVGTSKVTVVLSDDDDDFELGGNVRSRRAGKSSGVLKPPQHNNPPRRAPVSSEPFRHNPQPSKAAITAAFSDDDDFVISDDDFDLPVSRTSRRGRSTGRRLATATVDLSEEEEEEELDLAYEDSDLADDNSDDLAADDSDHPDPRPSRPRRTAGRRFVIEDDGDSDGSASAGVMEVGDGEADDEINWSELENEDDDYGDYNGGRSVKAEEVDGDVVGKALRRCARISADLRQELYGSSTRNCESYAETDASTCQIVTQEDVDAACTCEELDFEPVLKPYQLVGVNFLVLLHRKKIGGAILADEMGLGKTVQAVTYLNLLQHLYNDPGPHLIVCPASVLENWERELKKWCPSFSVIMFHGAGRAAYSKELSSLGKAGCPPPFNVLLTGYSLFERKSAQQKDDRKALRRWKWSCVLMDEAHVLKDKGSFRWKNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELILHIKSILGPFILRRLKSDVMQQLVPKTQHVNFVSMGSEQYKAYTDATEDYRAVCQARSAKSSVQCSNNLSGLIPKRQISNYFMQLRKIANHPLLIRRIYSDKDVERIARLMYPKGAFGFECPLDRAIQELKNSNDFAIHQLLISYGDTGTKGALKDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVIERQTIVDTFNNDRSIVACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKESVDEKIYAIARRKLVLDAAILQSGADVDDETDVPEKTMGEILASLLLV* >Brasy9G217400.1.p pacid=40063247 transcript=Brasy9G217400.1 locus=Brasy9G217400 ID=Brasy9G217400.1.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G217400.3.p pacid=40063248 transcript=Brasy9G217400.3 locus=Brasy9G217400 ID=Brasy9G217400.3.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G217400.2.p pacid=40063249 transcript=Brasy9G217400.2 locus=Brasy9G217400 ID=Brasy9G217400.2.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G217400.4.p pacid=40063250 transcript=Brasy9G217400.4 locus=Brasy9G217400 ID=Brasy9G217400.4.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G217400.6.p pacid=40063251 transcript=Brasy9G217400.6 locus=Brasy9G217400 ID=Brasy9G217400.6.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G217400.5.p pacid=40063252 transcript=Brasy9G217400.5 locus=Brasy9G217400 ID=Brasy9G217400.5.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLVKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDVMAGSIVRADRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRNTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G082500.1.p pacid=40063253 transcript=Brasy9G082500.1 locus=Brasy9G082500 ID=Brasy9G082500.1.v1.1 annot-version=v1.1 MASSSCSKEPPSSCVEANAVTVSVDAKLIVATGRGDCQQLKDMLSKEDSTAMVVVIASSIQPSIEKPLQSIINPLLLSSSCSGSWQELEFLLNGGNGQSQSSLKPSQEFLDLFMAYSFRNKGTSAQQASDDVEAFLNLSPISAVSLLEGVTVEGGTAFHAVATYGDSDSFLKSADIIHCVNRVKELLEMENKLKETALHQAVRIGTNDIVKLLMEENSELASFPKDGTSPLYLAILLEEELIVETLYKLSNMKLSYSGQNGQNALHAAVLRGTELTKKLLEWNNDLTTQRDENGRTPLHFAAALVRQTSVGERGTITMFLNKSPSSAGLHDAKGRTFLHVAAEKKKVGIVSYACRNQSLSWILNMQDNDGNTALHLAIQAGSLLMFCALVGNRQIHLNLTNKKGQTPLDISRCEIPPGLFDDKNSETKIHFALKVANARSGGCGCDNFEEPYSHRLKHNAREESEKARESTQTLCIGVVLIATVTFGAIFALPGGYRADDHTDGGTPTLAGRYSFDAFIIASTFAFMFSSVATLSLMYSGYSILNPQSRRIYLVVALYFGSTSVTCFTAAFALRMYMVLAPIAYKTAITICVTSPLVVLCNKMEFWLKWALLARPLCTRIGIIRTLVIVAQRILFNLFMEFWPFIFIFVWAAYTRNQL* >Brasy9G023200.1.p pacid=40063254 transcript=Brasy9G023200.1 locus=Brasy9G023200 ID=Brasy9G023200.1.v1.1 annot-version=v1.1 MEIAISAVAGELVSQFISILMNKYHSLWRYTQSEEKVVELERLQHLLMRASTIIEEADARYITNSGMMLQLKKLSEAMCRGYRVLDNSRYGALQDGAGFDEVSSNNSSSSCLYLAKRSRTTTDKATRLESHGALESLEIAVANMAEFVVLLGGCERMSRRPYDVYLYTDNFMFGRDAEKQKLLSFLLQHNDPPAGDHALEILPIIGSAAVGKKTLVSYVCGDKRVRSRFSTVLHLNGDNLMRILDNHGRFASDVGDDDWRKFLSFVIRMGRGSKIIIISRITRLARFGSVKPIFLSVLSYDELRYLFKALAFGSTDPAQHPQLVQIADEFAKVLHSTQGSLVSTNTFADVLRKNLNVQFWLGILEKGIRMVKRNLSIYGVHPTTLIEQGHPVDITDFASHPLCMTRYTINVSTKEELPSVTFGELLTDPSVRPKQDFILISWESRMAPHNSFAHLVTSHAQDTHEGSALPGRK* >Brasy9G248500.1.p pacid=40063255 transcript=Brasy9G248500.1 locus=Brasy9G248500 ID=Brasy9G248500.1.v1.1 annot-version=v1.1 MGRREKKRVILPFGRVILPFGRRSRRGCVQSFFVVALRQVIYLLCVNIPVVQQRRLWKAQGVSYREDSDRKTRTQFPSINTRTLNKPPSPKSQSRLQFPSPNSLAAPPIDANLHAMPKKMGVNSKAEAARERRSAEESDRRQRAERAKEDEYWREAEGSKSRAARRKEEEAEKRAEAAARKAENRRLAEAEAAAASAPSKTDARKAARVAAPAPKVTEAELVRRREEERMRLEREAEAAKKRAARTAEEEEYERTILVANTNRDDSIIEASSVDEAIVRMSIVDSEAALPADRHPERRLKASFKAFEEAELPRLKEEKPGLTLKQYKDMIWKLWKKSPDNPLNKAAE* >Brasy9G366600.1.p pacid=40063256 transcript=Brasy9G366600.1 locus=Brasy9G366600 ID=Brasy9G366600.1.v1.1 annot-version=v1.1 MAMASMVSKLARAAFATRASPAAAIPTVVSPAGGPKSEEVRPNSKVAPHALVGDDRTEVDPDENVFKSKEAMWALYEKWCKFHGVVRDRSEMERRFKTFSESARQVYESGGLMYMSQFSDMTMEEISLLYTNPRLSVHTQRKRYLDQRKHHLDQRKRKL* >Brasy9G340600.1.p pacid=40063257 transcript=Brasy9G340600.1 locus=Brasy9G340600 ID=Brasy9G340600.1.v1.1 annot-version=v1.1 MDSSPEKESTSRGSDRISGLTDDVLGQILSFLPNKKAARTAALARRWRYIFGSVHDVWFGEEPGDRADDWYTYYVQAHERKSCSDKLLDDMNAALLCRRRCSGLLPVPPLRSLSFAFDSYHWFDKVPVDQWLAQALSRRRPHGSNLPELHLHLCFRIGLYCNPRLIDSKEAADSGSDDDDMEWEKARWNYVLPQRLFSCTALRTLCVSHCRLKLPAIVDMPFLETLHLTAIPDSGRSIQRLISSCPRLAYLTLEALGKLRRIAVRDKRLRWFALHCCHNIKSVDIDASELSYRGTVPLESLLPQHGSQSLIPSWTIDLCVVPSEAAGFAMFARFLGKISHAKHLHLHHCCLDSRFFVAGAFPLFSGLTCLTLSIPTSYGTVGTVGVILEQTPNLEALSLLMLGGDVVPEETIVDPDKWSFSVPCLRRRLREIGMEYYKGSRPQKMLAGLLLRNALVLERLHVVFLGCLDFKRRSRLEIQIGGWAVAESEKIFI* >Brasy9G269200.1.p pacid=40063258 transcript=Brasy9G269200.1 locus=Brasy9G269200 ID=Brasy9G269200.1.v1.1 annot-version=v1.1 MAGSLLCRPAAMTRARGARPSQLDRRFASCALGLEQFEMLPPLWKINTCCCPLPLPPEARNQTEHQGRRAELPCAQTEHPRRMAIIDPSPNSPRHLLHCHPLQQRPRRDIAARPTAAAEEGGAAALCAPGRRRGGDAAEGVAEAVLVAAGEREGARGRREEAATLLLRLPQQLPERRVVQVRRPHHEPPRRHPDAHAHRHVARRDRGRRGPGAGTPAAQRLLEADDAADPAAAGGGGHGFDSDATPRILLCSSCVNGAEEEELVGGMLLAFRGDEAGEDL* >Brasy9G125300.1.p pacid=40063259 transcript=Brasy9G125300.1 locus=Brasy9G125300 ID=Brasy9G125300.1.v1.1 annot-version=v1.1 MRTQPIKHQTSLHGTTTGGSIRLAWHTLALCVRDTSGQHLARGPSYHVPSCRSHQPASLRKNSQGLATYKRRGEQRQRTKLLTPLAMPLLILLVLLSVAASHGDSSGDTYDSSMCLNQTYTCGGVKISYPFYLSGETKDLKGYENSYCGYPGLGILCDDEKPILRLGAANYKIMSIQDSRANVSLADPVVLNEGIRCPRPRVDHNVTLPQGSWLYFPDDTVDYLVFFINCTFNSTFFKPSTLVPISCREFDGGSGYSFVLPDDTVPTGNWSQACNQVIQLPMRKYGPISHNDPGWINNGYSKSLREEFQLGLKYRDRSQACLGCENSNGKCGYSRAMDFIGCLCPDERVHSNNCWSAGPIKKKRTMYIIAATTIPLLCLLFFALLLGSKKYLSRKKSKETIRIESFLQKNGTIYPKRYTYAQVKRMTKSFAEKLGQGGFGAVYRGDLSDGHQIAVKMLKDYKTDGEDFINEVASISKTSHVNVVTLLGFCLQGSKRALIYDYMPNGSLEKYAFKDNSKGENIQNSLGWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQNFCPKISDFGLAKLCLNKESAISIGGARGTIGYIAPEVFSKQFGAVSSKSDVYSYGMMVLEMVGARDKNIYASSASSSQYFPQWIYEHLDEYCVGASEIDGEITEIVRKMIVVGLWCIQLSATNRPTMTRIVEMLEGNTSGLELPPKVLLIS* >Brasy9G367500.1.p pacid=40063260 transcript=Brasy9G367500.1 locus=Brasy9G367500 ID=Brasy9G367500.1.v1.1 annot-version=v1.1 MALGNTRLDVRRLQQQSSSSCSATTVVAFVALCLVGVWMASSMLVTPADFSPFQPSLPRRPAATPAKGDAPPVVREESAEEEKPQDAVPADEATEKTTNQPGEQQTVPELKEKLDEEQDANKKGDKPHEQQNVSKPDAEQEAKKEAEVFLDASQAELLYETATEPGPWRTQAAESNMETKEKTTASSSIPASFSWKLCDVEAGADYIPCLDNVEAIKKLRSDTHYEHRERHCPQEPPTCLVPLPNGYRSPIRWPESRDQIWYNNVPHTKLVEYKGHQNWVNVSGEHLIFPGGGTQFKRGALHYIDFIQEAKKDVAWGKRTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDAVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPAYQKLPEDVEIWQAMSALTRSMCWKMVNKVKDRLNRVGVAIFQKPMDNRCYDRRSAANPPLCGEYDNADAAWNVSLQSCIHKLPVDPAIRSSRWPEEWPLRLERPPYWLKSSEAGVYGKPAPEDFQEDYEHWKRVISNSYMDGLGIDWSAVRNVMDMNAVYGGFAAALRDMQVWVMNVVPIDSPDTLPIIYERGLFGLYHDWCESFSTYPRSYDLVHADHIFSKVKKRCGLLGVIVEVDRMARPEGRLIVRDDMETIREVRSIAESLHWDVRLSYSQEKEGLLFVQKTMWRPNPSSS* >Brasy9G010900.1.p pacid=40063261 transcript=Brasy9G010900.1 locus=Brasy9G010900 ID=Brasy9G010900.1.v1.1 annot-version=v1.1 MAKAKAGRCTASPCCSRTTSPRGTRSTPRPAPWPCWAPSSGGTPASWPASAAPAPWCSARPTWTSGPTSAACRAPAAGAPAAARAKPIGRTVADAVHVLDTYDAHDAAATVAASKYIPRGGYLQFLKTDGLRGKRIGVPNGFFSYPNGSVQHMVYQQHLNTMRKQGAILIENLDIENLSVILDSLNNGQQIALAAEFMLSLNAYLSDLSYSPVRSLAEIIAFNNAHPVEEKLKEIGQIIFLVAENTTGIGAPERAAIDGLNKLSADGLEKLMRERELDAVVTPNAAASAVLAIGGMPGITVPAGYGETGVPFGVCFGGLRGYEPRLIEIAYAFEQVTKVRKTPTFMP* >Brasy9G010900.2.p pacid=40063262 transcript=Brasy9G010900.2 locus=Brasy9G010900 ID=Brasy9G010900.2.v1.1 annot-version=v1.1 MAAVALGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPITPRQDTVGPIGRTVADAVHVLDTYDAHDAAATVAASKYIPRGGYLQFLKTDGLRGKRIGVPNGFFSYPNGSVQHMVYQQHLNTMRKQGAILIENLDIENLSVILDSLNNGQQIALAAEFMLSLNAYLSDLSYSPVRSLAEIIAFNNAHPVEEKLKEIGQIIFLVAENTTGIGAPERAAIDGLNKLSADGLEKLMRERELDAVVTPNAAASAVLAIGGMPGITVPAGYGETGVPFGVCFGGLRGYEPRLIEIAYAFEQVTKVRKTPTFMP* >Brasy9G088400.1.p pacid=40063263 transcript=Brasy9G088400.1 locus=Brasy9G088400 ID=Brasy9G088400.1.v1.1 annot-version=v1.1 MSGSAFNAFKSRVPVEWSPRLYITLVRGLPGTRKLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQADELRRAPRPPLVVSHNPPPKVAASPEASA* >Brasy9G218000.1.p pacid=40063264 transcript=Brasy9G218000.1 locus=Brasy9G218000 ID=Brasy9G218000.1.v1.1 annot-version=v1.1 MATPLHVPCLLLLLISTASAEYAQELLRRAEGGREWIVGVRRRIHEHPELAFREHRTSALVREELERLGVTARSVAGTGVVADVGSGLPPIVALRADMDALPVQELVEWEHKSRIDGVMHACGHDVHTAMLLGAAKLLHERKDQLKGTVRLLFQPAEEGGAGASHMIKEGVLDGVEAIFAMHVDYRMPTGIIAAHAGPTQAAVSFFVVKIEGKTGKAETPHLNVDPIVAAAFTILSLQQLTSREDDPLHSQVLSVTYIKDGKSIDDTPPVVEFGGTLRSLTTEGLYQLQTRLKEVVEGQATVHRCIGVSEILGAPDYPMYPAVVNDEKLHHHVENVGRSLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEKVGSVHTAHNPHFFVDEDVLPIGAALHTAVVEMYLTGHSTLAEDGSLHLP* >Brasy9G037200.1.p pacid=40063265 transcript=Brasy9G037200.1 locus=Brasy9G037200 ID=Brasy9G037200.1.v1.1 annot-version=v1.1 MRSFYSPFYLALTLRRPPVDGAHQIRENPPARIPSFLDLTQVDAVTAAIRAAITRGVRPIPSLGPRQSDSSDSDLSGDDESSHHTNQHLWYPPIHSLQVGDARLFVSGSTERLRELVRRLPDPTLRWNAVRDALEDDGLYRLSDVLENPWILKAGTETFTRPCELTNESTMIWVTKLKITTKLVRLSKQELYEQNWESCEELKEECFAEVAGQCLQQLLVVACSLSDARWSDGHISQQLTVFDAIVDVLFNIQDLNFNRSGEIAGIANKMVNAFEGVILGTSDDIHGSNESTIHPATDVLIQVLNFFCRNRDMVQPILHSGDYNTDPCLDMFNYWLSKLKESAEIMFEEKGQRYIFILNNIYFVSQEKCRPGLLLPNVVGNLDSLIQQYIKSYLDECWVALVIYLDGEYLRKPRRSSLDKFTEEFFSICDRQMTWKVRTELKKELRKEIGKLIVPKYGNFLKALLANPSSRWPSRFKVMWPARSQKPVYTDRQLEQMIMELFER* >Brasy9G037200.2.p pacid=40063266 transcript=Brasy9G037200.2 locus=Brasy9G037200 ID=Brasy9G037200.2.v1.1 annot-version=v1.1 MPCYSDGARPSCHALVKGILLEMDQGKPATGQETSCLAAAAPVRLSDVLENPWILKAGTETFTRPCELTNESTMIWVTKLKITTKLVRLSKQELYEQNWESCEELKEECFAEVAGQCLQQLLVVACSLSDARWSDGHISQQLTVFDAIVDVLFNIQDLNFNRSGEIAGIANKMVNAFEGVILGTSDDIHGSNESTIHPATDVLIQVLNFFCRNRDMVQPILHSGDYNTDPCLDMFNYWLSKLKESAEIMFEEKGQRYIFILNNIYFVSQEKCRPGLLLPNVVGNLDSLIQQYIKSYLDECWVALVIYLDGEYLRKPRRSSLDKFTEEFFSICDRQMTWKVRTELKKELRKEIGKLIVPKYGNFLKALLANPSSRWPSRFKVMWPARSQKPVYTDRQLEQMIMELFER* >Brasy9G037200.3.p pacid=40063267 transcript=Brasy9G037200.3 locus=Brasy9G037200 ID=Brasy9G037200.3.v1.1 annot-version=v1.1 MIWVTKLKITTKLVRLSKQELYEQNWESCEELKEECFAEVAGQCLQQLLVVACSLSDARWSDGHISQQLTVFDAIVDVLFNIQDLNFNRSGEIAGIANKMVNAFEGVILGTSDDIHGSNESTIHPATDVLIQVLNFFCRNRDMVQPILHSGDYNTDPCLDMFNYWLSKLKESAEIMFEEKGQRYIFILNNIYFVSQEKCRPGLLLPNVVGNLDSLIQQYIKSYLDECWVALVIYLDGEYLRKPRRSSLDKFTEEFFSICDRQMTWKVRTELKKELRKEIGKLIVPKYGNFLKALLANPSSRWPSRFKVMWPARSQKPVYTDRQLEQMIMELFER* >Brasy9G037200.4.p pacid=40063268 transcript=Brasy9G037200.4 locus=Brasy9G037200 ID=Brasy9G037200.4.v1.1 annot-version=v1.1 MIWVTKLKITTKLVRLSKQELYEQNWESCEELKEECFAEVAGQCLQQLLVVACSLSDARWSDGHISQQLTVFDAIVDVLFNIQDLNFNRSGEIAGIANKMVNAFEGVILGTSDDIHGSNESTIHPATDVLIQVLNFFCRNRDMVQPILHSGDYNTDPCLDMFNYWLSKLKESAEIMFEEKGQRYIFILNNIYFVSQEKCRPGLLLPNVVGNLDSLIQQYIKSYLDECWVALVIYLDGEYLRKPRRSSLDKFTEEFFSICDRQMTWKVRTELKKELRKEIGKLIVPKYGNFLKALLANPSSRWPSRFKVMWPARSQKPVYTDRQLEQMIMELFER* >Brasy9G047600.1.p pacid=40063269 transcript=Brasy9G047600.1 locus=Brasy9G047600 ID=Brasy9G047600.1.v1.1 annot-version=v1.1 MFDLWSCRVQQWNGSVRGIGEDWKGAFASTLLVRQRVEKKYRFVLEKIRLERKTDLTRRSAHQEKLCKWFVQLLWHLINCMQSHSSPRCEGQ* >Brasy9G329500.1.p pacid=40063270 transcript=Brasy9G329500.1 locus=Brasy9G329500 ID=Brasy9G329500.1.v1.1 annot-version=v1.1 MADSAAAEGKAANGTAGGGDAAAGQGKKRADQAVAFHELFSFADKWDLALMAAGSLGALAHGAAMPCFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVASYSEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYLATFFAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSRSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRSGQSDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGNPDATIAEVEAAATASNAHSFISLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELVVKGSSGAYASLIRFQEMARNRDLAAASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISSADNSLKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYKDPNEMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARVAVDAADVKSAIAERISVILQNITSLMTSFIVGFVIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKIMSLFSHELRIPEEQILRRSQTAGLLYGLSQLCLYCSEALILWYGSHLVRAHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSIFGILNRATRIEPDDPESERVTTVRGDIELRHVDFSYPSRPDIEIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVMIDGKDIRRLNLKSLRLKIGLVQQEPVLFASSILENIAYGKEGATEEEVIEAAKTANVHTFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGGHSELVARPEGAYSRLLQLQQQRN* >Brasy9G329500.2.p pacid=40063271 transcript=Brasy9G329500.2 locus=Brasy9G329500 ID=Brasy9G329500.2.v1.1 annot-version=v1.1 MYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYLATFFAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSRSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRSGQSDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGNPDATIAEVEAAATASNAHSFISLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELVVKGSSGAYASLIRFQEMARNRDLAAASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISSADNSLKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYKDPNEMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARVAVDAADVKSAIAERISVILQNITSLMTSFIVGFVIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKIMSLFSHELRIPEEQILRRSQTAGLLYGLSQLCLYCSEALILWYGSHLVRAHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSIFGILNRATRIEPDDPESERVTTVRGDIELRHVDFSYPSRPDIEIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVMIDGKDIRRLNLKSLRLKIGLVQQEPVLFASSILENIAYGKEGATEEEVIEAAKTANVHTFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGGHSELVARPEGAYSRLLQLQQQRN* >Brasy9G161500.1.p pacid=40063272 transcript=Brasy9G161500.1 locus=Brasy9G161500 ID=Brasy9G161500.1.v1.1 annot-version=v1.1 MAGGGSAAADGGDRLHGYAAGGRVTLPVVITCLMAASCGLIFGYDIGVSGGVTQMESFLEKFFPEVLTGMKSAKRDAYCKYDNQMLTAFTSSLYIAGVLSSLLASQVTRSVGRQAVMLSGGALFLAGSAVNAAALNIAMLIIGRMLLGFGVGFTAQAAPLYLAETSPTRWRGAFTAAYHFFLVLGMLAATVANYFTNRIPGWGWRVSLGLAGVPATVVVVGALFVPDTPSSLALRGENDRARASLQWIRGQDADVGDEFKDIVIAVEEARRNDEGAFQRLKGKGYRHYLVMMVAIPTFFDLTGMIVISVFAPVLFRTVGFGSQKAILGSVILSVVNLGSVVVSSFVVDRAGRRFLFLAGGVAMLLCQVGVAWMLAGHLGRRNATTMARNYAEGVLALMCLYTFSFGMSWGPLKWVVPSEIYPVEIRSAGQAMTVSIALCLSFAQTQVFISLLCAMKYAIFLFYAGWVLVMTVFMAAFLPETKGVPLEAMRTVWAQHWYWRRFVRDAEQDTQVNCL* >Brasy9G050000.1.p pacid=40063273 transcript=Brasy9G050000.1 locus=Brasy9G050000 ID=Brasy9G050000.1.v1.1 annot-version=v1.1 MPPTTPQRRNQDEAPPRDWREEAVSAGSLRQVDLDRGTNGWAPPPGDLFHLRARGYFSSGGGGRRAKAPSSPEWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPNAHFLLAVNLQVPGRPDAYSSVFYFAAEAPIAPDSLLGRFVYGDDAYRNTRFKIVNRIVKGPWLVRATVGNYGACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPEKLFGAVRIAQMEMSSAKYVETAADEAVPETAGKAGAGFRVGSAKVANDSRHQERTSGKVSRSMSCQERLGGGKQK* >Brasy9G200800.1.p pacid=40063274 transcript=Brasy9G200800.1 locus=Brasy9G200800 ID=Brasy9G200800.1.v1.1 annot-version=v1.1 MAPEAAQLEVERRLRDIGARFASLPESNNELLSLLEEADTWLSRVDQSPPTNISNALRPTKEALTKKGLLNHPDPGVKVAVASCLTEVTRITAPDAPYEDDVMRDVFTAIVVEAFGKLDDMDSPSFAKRVSILETVAKVRSCVLMLDLECEDLIRDTFHHFFRTISPTHQENVTSSMETIMMFIIQESEPVHPDLASCLLQNLRKEKKDSFPASLTLAEKIVNLCPEKLKPAFIQLLQGTTLNLYSEAVESLVEGSSHARDDTDDASGKDTVADKKLPQTTVSDKSPHEISKSEKDVNCPGQDESHPCSTLTPSSNNGGASADNVRPPNGPASSKQKPELLSDDKQTKVSDELIHSDKETPEPVTAEPGKLSGISSKKSRKLDTSTESEGTERSEVPSDNQGLVASGELSPETNDGNNELALETGNRAADDKSKHVDSTPAVDKPKRGRPPAAKSQEKKPVGKSQVSGLESKEVRSRSASGGRAVRRLAKDGVKLSSRRSNEEESSKNQQKDRSNLQKEDTLSDEETDEDQSLKEMVSPKSFTKMEKSKAQPGDSGGSKRKRLQEAEEVPPSKKNKVLDGSLIGSRIKVWWPDDKKFYNGVVKKFDANSKKHKVVYDDGDIEILLLKDEKWEFVAHSKQDSIDDSDLPKKRGRPKVLRSSNSMPNDDSPVTSVRLKVKSAEKDAGETPKAGSSLKNEGGRLSRSSSKASHKDEAVGTKSVNRSKHVAGSKHKESKDETKSSVSNPKGFTQKASDGSKSNGLSTKRRPREKEVSSEEEEQGSAKASIGNKRRRKVLD* >Brasy9G200800.4.p pacid=40063275 transcript=Brasy9G200800.4 locus=Brasy9G200800 ID=Brasy9G200800.4.v1.1 annot-version=v1.1 MAPEAAQLEVERRLRDIGARFASLPESNNELLSLLEEADTWLSRVDQSPPTNISNALRPTKEALTKKGLLNHPDPGVKVAVASCLTEVTRITAPDAPYEDDVMRDVFTAIVVEAFGKLDDMDSPSFAKRVSILETVAKVRSCVLMLDLECEDLIRDTFHHFFRTISPTHQENVTSSMETIMMFIIQESEPVHPDLASCLLQNLRKEKKDSFPASLTLAEKIVNLCPEKLKPAFIQLLQGTTLNLYSEAVESLVEGSSHARDDTDDASGKDTVADKKLPQTTVSDKSPHEISKSEKDVNCPGQDESHPCSTLTPSSNNGGASADNVRPPNGPASSKQKPELLSDDKQTKVSDELIHSDKETPEPVTAEPGKLSGISSKKSRKLDTSTESEGTERSEVPSDNQGLVASGELSPETNDGNNELALETGNRAADDKSKHVDSTPAVDKPKRGRPPAAKSQEKKPVGKSQVSGLESKEVRSRSASGGRAVRRLAKDGVKLSSRRSNEEESSKNQQKDRSNLQKEDTLSDEETDEDQSLKEMVSPKSFTKMEKSKAQPGDSGGSKRKRLQEAEEVPPSKKNKVLDGSLIGSRIKVWWPDDKKFYNGVVKKFDANSKKHKVVYDDGDIEILLLKDEKWEFVAHKQDSIDDSDLPKKRGRPKVLRSSNSMPNDDSPVTSVRLKVKSAEKDAGETPKAGSSLKNEGGRLSRSSSKASHKDEAVGTKSVNRSKHVAGSKHKESKDETKSSVSNPKGFTQKASDGSKSNGLSTKRRPREKEVSSEEEEQGSAKASIGNKRRRKVLD* >Brasy9G200800.2.p pacid=40063276 transcript=Brasy9G200800.2 locus=Brasy9G200800 ID=Brasy9G200800.2.v1.1 annot-version=v1.1 MAPEAAQLEVERRLRDIGARFASLPESNNELLSLLEEADTWLSRVDQSPPTNISNALRPTKEALTKKGLLNHPDPGVKVAVASCLTEVTRITAPDAPYEDDVMRDVFTAIVVEAFGKLDDMDSPSFAKRVSILETVAKVRSCVLMLDLECEDLIRDTFHHFFRTISPTHQENVTSSMETIMMFIIQESEPVHPDLASCLLQNLRKEKKDSFPASLTLAEKIVNLCPEKLKPAFIQLLQGTTLNLYSEAVESLVEGSSHARDDTDDASGKDTEISKSEKDVNCPGQDESHPCSTLTPSSNNGGASADNVRPPNGPASSKQKPELLSDDKQTKVSDELIHSDKETPEPVTAEPGKLSGISSKKSRKLDTSTESEGTERSEVPSDNQGLVASGELSPETNDGNNELALETGNRAADDKSKHVDSTPAVDKPKRGRPPAAKSQEKKPVGKSQVSGLESKEVRSRSASGGRAVRRLAKDGVKLSSRRSNEEESSKNQQKDRSNLQKEDTLSDEETDEDQSLKEMVSPKSFTKMEKSKAQPGDSGGSKRKRLQEAEEVPPSKKNKVLDGSLIGSRIKVWWPDDKKFYNGVVKKFDANSKKHKVVYDDGDIEILLLKDEKWEFVAHSKQDSIDDSDLPKKRGRPKVLRSSNSMPNDDSPVTSVRLKVKSAEKDAGETPKAGSSLKNEGGRLSRSSSKASHKDEAVGTKSVNRSKHVAGSKHKESKDETKSSVSNPKGFTQKASDGSKSNGLSTKRRPREKEVSSEEEEQGSAKASIGNKRRRKVLD* >Brasy9G200800.3.p pacid=40063277 transcript=Brasy9G200800.3 locus=Brasy9G200800 ID=Brasy9G200800.3.v1.1 annot-version=v1.1 MAPEAAQLEVERRLRDIGARFASLPESNNELLSLLEEADTWLSRVDQSPPTNISNALRPTKEALTKKGLLNHPDPGVKVAVASCLTEVTRITAPDAPYEDDVMRDVFTAIVVEAFGKLDDMDSPSFAKRVSILETVAKVRSCVLMLDLECEDLIRDTFHHFFRTISPTHQENVTSSMETIMMFIIQESEPVHPDLASCLLQNLRKEKKDSFPASLTLAEKIVNLCPEKLKPAFIQLLQGTTLNLYSEAVESLVEGSSHARDDTDDASGKDTEISKSEKDVNCPGQDESHPCSTLTPSSNNGGASADNVRPPNGPASSKQKPELLSDDKQTKVSDELIHSDKETPEPVTAEPGKLSGISSKKSRKLDTSTESEGTERSEVPSDNQGLVASGELSPETNDGNNELALETGNRAADDKSKHVDSTPAVDKPKRGRPPAAKSQEKKPVGKSQVSGLESKEVRSRSASGGRAVRRLAKDGVKLSSRRSNEEESSKNQQKDRSNLQKEDTLSDEETDEDQSLKEMVSPKSFTKMEKSKAQPGDSGGSKRKRLQEAEEVPPSKKNKVLDGSLIGSRIKVWWPDDKKFYNGVVKKFDANSKKHKVVYDDGDIEILLLKDEKWEFVAHKQDSIDDSDLPKKRGRPKVLRSSNSMPNDDSPVTSVRLKVKSAEKDAGETPKAGSSLKNEGGRLSRSSSKASHKDEAVGTKSVNRSKHVAGSKHKESKDETKSSVSNPKGFTQKASDGSKSNGLSTKRRPREKEVSSEEEEQGSAKASIGNKRRRKVLD* >Brasy9G158800.1.p pacid=40063278 transcript=Brasy9G158800.1 locus=Brasy9G158800 ID=Brasy9G158800.1.v1.1 annot-version=v1.1 MVNEHHHHHLWRHRDGLPTPDLELPTAVGDGSQTVPAVVDEKVARWTCGKQSKRRRHVPDVYVSFGDQVVISSFILVLKCVLFVTLLEVLTPLIY* >Brasy9G357600.1.p pacid=40063279 transcript=Brasy9G357600.1 locus=Brasy9G357600 ID=Brasy9G357600.1.v1.1 annot-version=v1.1 MKARDSPLTTSQPLPLTPLSLFLALSRRPTRLHGGALPASTAATGWSGEGGLEINAERLEGSRLDPSTASPTIGEINNQDPGDGRAPLRPRSDLIFVFAELAINLQAEIKRVPEMGSGSAASGTDADERAGDGDDGKQLQQKATGDQAVAYPPWLTTVSGAGEVGHRHAVRADERHLRGSAFNSKRRRPSSSWP* >Brasy9G037600.1.p pacid=40063280 transcript=Brasy9G037600.1 locus=Brasy9G037600 ID=Brasy9G037600.1.v1.1 annot-version=v1.1 MPDFIRPSSAPDQTPGPRRRPLYGSFYIALAVPAAFIIWFLRRLAATIIGLFRVPPPAREDGAGQIRRIRVPSLLDPNQVAAVTAVIRGSMTIIPVGPRQSDSSDSDWSGSTSSDDDDDDQRNHPIHQHLLYSLIHELEAGDARLFVPGSTEQLRELVRGLPVEPDAELRWRGFMDARGEDGFYRLCDILENPWILKAGTEAFPGPCALTDESMMIWLMKLKITTKLVGLSKRELHEQSWESCEELKEECFAEVAGQCLEQLLEVACSFSDARWSDGHISQQLTVFDALVDVLFNIRDFSFSRSHEVPGIVNKMVNALKGAIQGTSNDIHSSKESTIHPATIALIRVLDFFCRNRNMVQVILDSDHCSEMFNCWVSKLKEGAQIIFQEKGQRYIFILNNTRYVLQMKCHPGLLLPNVVSNLDSLIEQYIMRYLDEYWFPPMLSYLDGDSLNKPRRSSLDKFTEEFFRICDGQMTWKVQTQLKKILREEIIKLIVPKYVNFSAAVQANPSSRWSSRFKRMWHTRPEKPEYTGVQLTLKIKEIFER* >Brasy9G037600.2.p pacid=40063281 transcript=Brasy9G037600.2 locus=Brasy9G037600 ID=Brasy9G037600.2.v1.1 annot-version=v1.1 MPDFIRPSSAPDQTPGPRRRPLYGSFYIALAVPAAFIIWFLRRLAATIIGLFRVPPPAREDGAGQIRRIRVPSLLDPNQVAAVTAVIRGSMTIIPVGPRQSDSSDSDWSGSTSSDDDDDDQRNHPIHQHLLYSLIHELEAGDARLFVPGSTEQLRELVRGLPVEPDAELRWRGFMDARGEDGFYRLCDILENPWILKAGTEAFPGPCALTDESMMIWLMKLKITTKLVGLSKRELHEQSWESCEELKEECFAEVAGQCLEQLLEVACSFSDARWSDGHISQQLTVFDALVDVLFNIRDFSFSRSHEVPGIVNKMVNALKGAIQGTSNDIHSSKESTIHPATIALIRVLDFFCRNRNMVQVILDSDHCSEMFNCWVSKLKEGAQIIFQEKGQRYLDEYWFPPMLSYLDGDSLNKPRRSSLDKFTEEFFRICDGQMTWKVQTQLKKILREEIIKLIVPKYVNFSAAVQANPSSRWSSRFKRMWHTRPEKPEYTGVQLTLKIKEIFER* >Brasy9G018000.1.p pacid=40063282 transcript=Brasy9G018000.1 locus=Brasy9G018000 ID=Brasy9G018000.1.v1.1 annot-version=v1.1 MALYRLLLLLAAPCITTTILLALLHPASAALGINYGQVADNLPSPQSAAILLRALNATKVKLYDADPRVLSAFSGSGVNFTVGLPDNLVPKLAADPSAAAAWVKSNLLPHLPATRITAVTVGNEVLTGDDPAMLKSLLPAMESLHAALMACKATSRVVVTTAHSLAVLSSSFPPSGAAFRRELLPYMTPLLSFLAKTNSPFLVNAYPYFAYKADPSTVDLDYVLFDSGSSKPDAVIDSGTGLSYNNMLHAQVDAVRSAICAADYGQKIEIVVSETGWPSAGDADEAGATPGNAARYNGNLMRMVKEGKGTPAAGEGEPLQVYVFALFNENLKPGPASERHYGLFKPDGTPAYDVGVKAPAIGGGSKGSNGTTGEGGGGGGLVVEEGPAGAGAGSDGGGAGGMDSTGFYTISAATRKAKRWRCMGRSSPVMAVLVLAMLSGLCWS* >Brasy9G301100.1.p pacid=40063283 transcript=Brasy9G301100.1 locus=Brasy9G301100 ID=Brasy9G301100.1.v1.1 annot-version=v1.1 MAAASVGAKSPRALELHRDPSPEFSSEGSSSSSSSSSSSRAAEAEAVARPVPCDGGGEEDQDFLGLDSPWVSAIEAESRLEEAAIAAAAAGLHLRGENEADADEIRDNQQRQEDELTALEAIYGDDLVEFESKGGLRYFQIYIHYDLHDGAEVCAQLSSANGNSKDGGCPDDGSEENGPDKFSYTCNFQYLPPLVLTCLLSKSYPSKEPPYFIVTAKWMDGPNVSQLCGMLDTIWAELPGQEVVYQWVEWIRSSSLLHLWFDGKIMLGQDIPTHKGDTRAISRSVPLESTIPLMFSYASKKSYQVFLEDIHMCMICLNQTKGSNFIKLPCQHFFCVKCMETLCRMHVKEGSVLRLVCPGTKCNAFIPHYLLKKLLSEEEFERWDRLALEKALDSMADVVYCPKCVIGCLEDEDNNAQCPKCSFLFCGFCKEVWHPGKQCLTPQQKIERKKASGKISDREMAQEMLTIRELYKNVRLCPHCRMAISKSAGCNKMVCTSCGKLFCFRCGKAITGYEHFKTCKLFEQRDVEEWQMQMNQLIHNEMQNQEKPLGSTIRCPNCRETIFKNDDRYVSCWACRTSHCTLCKRTIEGKEVKREHWGSPECVGLENLANL* >Brasy9G030500.1.p pacid=40063284 transcript=Brasy9G030500.1 locus=Brasy9G030500 ID=Brasy9G030500.1.v1.1 annot-version=v1.1 MAIEECKITWQKIFNSLTTGGKSMAIVQWYNSSIETRWIRDLNGPLSADELAELVTLFGIVSQVQLTEGTADAISWKLSSSASYTSSSAYLGQFQGLIRRPFDKIFWNCWPPEKCKFFAWLLVQHRGVFARHVWTAITAWIKCAVFDPSGWEPNDSMESWWLLRNEAASAATSKAVGRGATSLFLLTLWCIWKERNNRIFNLKRLPAAGVVSIIKNEAAMWSLMDTSGLGKLVSGTDDVP* >Brasy9G277700.1.p pacid=40063285 transcript=Brasy9G277700.1 locus=Brasy9G277700 ID=Brasy9G277700.1.v1.1 annot-version=v1.1 MADASSGNNNAAGSTSNAEVQIQIPAGFLRCVHGRRPPPGVPPPAPSRRAAADRRPPLARPPRAARRRRPRREPPRPRREPPRAPRCSLPTHSLAAPVPGATASFQHAAASAAVRTTRSARALLPEPLGRSRPPSAREPPPCAQREPRLPQQPCAGAALPARAAGANSARAGGLSLGRPAKADGSAAPSQKPGTKNWRWWLMVSVDAFFLVAGQTSATLLGRYYYHQGGSSKWVSAFVQTAGFPILFLALFCFPSKSPSSGGSGDAPVAKIGVIYVVLGLIIAADDMMYASGLKYLPVSTYSLVCASQLAFNVVFAYVLNSQKLTGLIMNSVVLLTLSDALLGVNHEETEDVNGFSRGKYLMGFLLTLGASGTYSLILSLMQLTFENVIKKHTYSAVLNMQIYTALVATVATVFGLFASGEWRSLRGEMDAFQSGQFSYFMTLVWTAVSWQVASVGVVGLVFEVSSLFSNVISTVALPVIPLFAVLVFHDRMDGIKIVSMLLALWGFVSYLMQHFIDDRKARKAGASGDS* >Brasy9G169600.1.p pacid=40063286 transcript=Brasy9G169600.1 locus=Brasy9G169600 ID=Brasy9G169600.1.v1.1 annot-version=v1.1 MGYDDAHSHASAAARDAKKKRGKSSAKLKQCKLDARREQWLSQVKDGKETKAATPPAGGGSNAGSPILASPHPPLPRRRVDTRSRGGAPEEAGATAQEVGSSDLDSPMHSPGSDNSRGGGCSQRNRCSSNGGGPSLSSVSSLWSSSRSVSDAEDDDTGSGPEEENGVLDDWEAVADALSVDDNSHCHQSSGTIVVPAATIDSTPSANVAKRKDPIRSNTRAWTPDDMFRPQSLPSVSKQASFPANIGNCWVGMGAAQQSVLSLPLSCPICYEDLDPTDTSFLPCPCGFHLCLFCHKRILEADERCPGCRKQYNAVPAGGVKAPAVGIGREMANGVPLRLSRSCSMGPRY* >Brasy9G204200.1.p pacid=40063287 transcript=Brasy9G204200.1 locus=Brasy9G204200 ID=Brasy9G204200.1.v1.1 annot-version=v1.1 MGPGTASASWGLQLGVVLVFLLASGSQGLNHEGWLLLALKSQMIDSSHHLDNWNPRDPSPCMWKGVNCSSASMPAVVSLNLSNMELSGTVGQSIGGLAELTGLDLSFNEFFGTIPTGIGNCSKLVWLALNNNNFEGTIPPELGKLAMLTTCNLCNNKHYGSIPDEIGNMASLVDLVGYSNNISGSIPHSIGKLKNLQSVRLGQNLISGNIPVEIGECHNLVVFGLAQNKLQGPLPKEIGNLSLMTDLILWGNQLSGAIPPEIGNCTNLRTIALYDNVLVGPIPPTIGNMKYLQRLYLYRNSLNGTIPPEIGNLLLAGEIDFSENFLMGGIPKELGNIPGLYLLYLFQNQLTGFIPKELCGLKNLTKLDLSINSLTGPIPAGFQYMPKLIQLQLFNNRLSGNIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLMSNKLSGNIPHRITSCRSLVQLRLSDNSLTGSFPTDLCNLVNLTTIELARNKFSGPIPPQIGNCMALQRLDLTNNYFTSELPREIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSLEGSLPNEVGRLPQLELLSFADNRLSGQVPPILGKLSHLTALQIGGNQLSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGSLALLENLFLNDNKLTGAIPDTFANLSSLLELNVSYNNLTGALPPVPLFDNMVVTSFIGNRGLCGGQLGKCGSESPSSSQSSNSVSRPMGKIIAIVAAIIGGISLILIAILLHQMRKPRETITPLQDKQILSAGSNMPVSAKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKPGHIIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMSRGSLGELLHGQSSSSLDWDTRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPIELGGDLVTWAKNYIRDNSMGPGILDSNLDLEDKAAVDHMIEVLKIALLCSNLSPYDRPPMRHVVVMLSESKDRAQTSSASSPASDNSSKKDSS* >Brasy9G204200.2.p pacid=40063288 transcript=Brasy9G204200.2 locus=Brasy9G204200 ID=Brasy9G204200.2.v1.1 annot-version=v1.1 MGPGTASASWGLQLGVVLVFLLASGSQGLNHEGWLLLALKSQMIDSSHHLDNWNPRDPSPCMWKGVNCSSASMPAVVSLNLSNMELSGTVGQSIGGLAELTGLDLSFNEFFGTIPTGIGNCSKLVWLALNNNNFEGTIPPELGKLAMLTTCNLCNNKHYGSIPDEIGNMASLVDLVGYSNNISGSIPHSIGKLKNLQSVRLGQNLISGNIPVEIGECHNLVVFGLAQNKLQGPLPKEIGNLSLMTDLILWGNQLSGAIPPEIGNCTNLRTIALYDNVLVGPIPPTIGNMKYLQRLYLYRNSLNGTIPPEIGNLLLAGEIDFSENFLMGGIPKELGNIPGLYLLYLFQNQLTGFIPKELCGLKNLTKLDLSINSLTGPIPAGFQYMPKLIQLQLFNNRLSGNIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLMSNKLSGNIPHRITSCRSLVQLRLSDNSLTGSFPTDLCNLVNLTTIELARNKFSGPIPPQIGNCMALQRLDLTNNYFTSELPREIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSLEGSLPNEVGRLPQLELLSFADNRLSGQVPPILGKLSHLTALQIGGNQLSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGSLALLENLFLNDNKLTGAIPDTFANLSSLLELNVSYNNLTGALPPVPLFDNMVVTSFIGNRGLCGGQLGKCGSESPSSSQSSNSVSRPMGKIIAIVAAIIGGISLILIAILLHQMRKPRETITPLQDKQILSAGSNMPVSAKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKPGHIIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMSRGSLGELLHGQSSSSLDWDTRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPIELGGDLVTWAKNYIRDNSMGPGILDSNLDLEDKAAVDHMIEVLKIALLCSNLSPYDRPPMRHVVVMLSESKDRAQTSSASSPASDNSSKKDSS* >Brasy9G190000.1.p pacid=40063289 transcript=Brasy9G190000.1 locus=Brasy9G190000 ID=Brasy9G190000.1.v1.1 annot-version=v1.1 MAAGVVNYPLVAGLLAFAVAQSTKFFTTWYKEKRWDARQFIASGGMPSSHSATVTALAVSVGIQEGFRSATFATAMIFACVVMHDAFGVRLHAGKQAEVLNQIVYELPLEHPLSETKPLREILGHTVPQVVAGCILGIVTAVIMLLALGSYS* >Brasy9G014400.1.p pacid=40063290 transcript=Brasy9G014400.1 locus=Brasy9G014400 ID=Brasy9G014400.1.v1.1 annot-version=v1.1 MQSPSKLFIASICTQPAAFGPQGWADLPDGLLHSILALSGSFHDLLAFAATCRSWRDAFSSYPTKSTFCAKFPPLLVQLSNHFAPPDLPNNGRHKLRLCKVIDPINQNMTLGCLIPRKIYQGMCFAGSSYGQIICCRGGHCLVIDVFSGAEVSPPCLPFCGDCEKELYYSGALTAPLGSPNSHLLVSTESSVFDWPVGSDSWSELKLSNAWIEQIVEFNGQFIAMDASYKIYTLQLSPELGLQEIATEWINDLRPSPYVDPWLVVCGNMLLMIFCFTTMSRGLSVLQCIPHRLDTSTAPAKWVEVKQLDNWALFVGGDLRSQPFSCISPERWGGRSKRLYSAARRSFIVHGVGDEPDISAHHKTVYKRSRSCKLRSLWVYPSMLYSDGQ* >Brasy9G028400.1.p pacid=40063291 transcript=Brasy9G028400.1 locus=Brasy9G028400 ID=Brasy9G028400.1.v1.1 annot-version=v1.1 MWREDQKGQFNCDVKKNCSNLFKMPMQTCLYASMERFEADTAAVVEQYRTKGYAEVEVEDFDEEELWIVKAVRKTMKLASS* >Brasy9G218900.1.p pacid=40063292 transcript=Brasy9G218900.1 locus=Brasy9G218900 ID=Brasy9G218900.1.v1.1 annot-version=v1.1 MEAALSPEPHFVVIPWPTTSHIIPLVDIGCLLAAHGAAVTILTTPATAQLVQSRVDRAQAGSAAGKITVTSIPYPSVESGLPAGCERLDHVPSPDKVPAFFDATMRFGDAVADHCRVLNASSPSRRPKCVIAGMCNTWAHGIARELGVPCFIFHGFSAFALLCCEYLHTHKPHEAALSLDELFDVPVLPPPFECRFARRQLPLQFLPSCSIGQDSLRELREFELAVDGIVVNSFEELEHGSAARLAEATGKTVLAVGPVSLCHGAPSPDVSDDARRCMAWLDAKKSKSVLYVSFGSGGRMPPAQFMELGMALVSCPWPVLWVIKGADALPDDVKKWLQEHTDADGVADSQCLAVRGWAPQVPILSHPAVAGFLTHCGWGSTLESVAAGVPMAAWPFTAEQFLNEKLIVDVLGIGVSVGVTKPTEGVLTGAGGEPAKAEVGMEQVKRAFEKLMDGGTEGEDRIRKVQELKAKAKAALETGGSSYVNLEKLVQSVV* >Brasy9G023900.1.p pacid=40063293 transcript=Brasy9G023900.1 locus=Brasy9G023900 ID=Brasy9G023900.1.v1.1 annot-version=v1.1 MLEVASKGILLSDPASGSSGGGDSKSAIGKKAARKERRLSETASGSSGGGGAMIKSQKLAEGDKAEAGKVKKIAKVPQEYIDLLLNGGFPRLPTFDGPSKSRSPAVQARVAHCKALVEELRAYNAGILAQYKELGHAFHEVEEEPWIDEAKARGQLARKKSIPPPASS* >Brasy9G140200.1.p pacid=40063294 transcript=Brasy9G140200.1 locus=Brasy9G140200 ID=Brasy9G140200.1.v1.1 annot-version=v1.1 MMVLSSGFCSSAGSVLSPSSYTPGTPSSSTPFQFCSPLEEPYEEYTPSSPSPRAACPSSSAASPDYSPSSPSPRAASPDYTPSSPTLRAGSPYYTPASPLPRAASPYYTPTSPGALPWSPYYTPTSPGGSAPSPYYTPASPGGPPASPYYTPETPPPSPLQLSDESRTSPAPHRHHPYQRSGASRISRGRLQRASGY* >Brasy9G132200.1.p pacid=40063295 transcript=Brasy9G132200.1 locus=Brasy9G132200 ID=Brasy9G132200.1.v1.1 annot-version=v1.1 MSGGTMNHRASRMLGVLSFFFFFLVAYTCAAVGDRRPYIVQMDASAMPAPFTTHEGWYTSVLSSLGAAGKNKEAAPEHLYTYAHAMNGFSAVLTPRQLGAIQGMPAHVAAFPETYARLHTTRTPEFLGLVDGAAAGGVWPASNYGDDVIVGIVDTGVWPESESFRETGITKPVPARWKGACEPGKAFKASMCNRKLIGARSFSKGLKQRGLGIASDDYDSPRDYYGHGSHTSSTAAGAGVSGASYFGYANGTATGIAPMARVAMYKAVFSGDTLESASSDVLAAMDRAIADGVDVLSLSLGFPETSYDTNVIAVGAFAAMQKGIFVTCSAGNDGSDGYTVMNGAPWITTVGASTIDREFTATVTLGSGGRGARSIRGKSVYPQAAAITGADLYYGGRGNRSRQRCEYSSLSRKAVSGKYVFCAAGDSIRQQMDEVQSNGGRGLIVATNMKEVLQPTEYLMPLVLVTLSDGAAIQKYAAATKAPKVSVRFVSTQLGVKPAPAVAYFSPAEPGVLKPDVVAPGVDILAAWVPNKEVMEIGRQRLFAKYMLVSGTSMSSPHIAGVAALLRSAHPDWTPAAIRSAMMTTAYVRDNTGRTVASLPKGSPGTPLDYGSGHVSPNQATDPGLVYDTTADDYVSFLCGLRYSSQRIAAVTGRRKVSCAAAGASLDLNYPSFMVILNNTNSATWTFKRVLTNVASSPAKYSVSVTAPAGMKVTVTPPTLSFGAKGSKQGFSVTVQVSQVKRAQDDYNYIGNHGFLSWNEVDGKHSVRSPIVSAFAQ* >Brasy9G264400.1.p pacid=40063296 transcript=Brasy9G264400.1 locus=Brasy9G264400 ID=Brasy9G264400.1.v1.1 annot-version=v1.1 MMNTKKIKLHDRQYCNGMAPPAPQLARHLMVPAASYDSAGAGGFSSPGFRHGFSSSALNYNHHQQIQQQHGGGWMQEEYAVAPSCVVGSNTAMFYAAEKFLGMTQLDCCPPLRMLPPQMPPPPTMPTPLELDRSAVRTYFVRPQQRRGDAADLPLPPPPQQQQESVQFHSHHGLYGNGSNNGSSAVEAHSFVPAAMDLQAPSGLQMSQTAESGHGQMPRSCVGVHPAPAPSKTRIRWTQELHERFVDCVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRTVKCVPSSSSSSEGKQQEKRAAGSDDVPNLDPKTGGMHITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQKIFKEQLKASGNAAAAAPGSPEPGCGAGDDVVIFPVSDDDDDDVQLLSVASSSYDEDLLAL* >Brasy9G264400.2.p pacid=40063297 transcript=Brasy9G264400.2 locus=Brasy9G264400 ID=Brasy9G264400.2.v1.1 annot-version=v1.1 MMNTKKIKLHDRQYCNGMAPPAPQLARHLMVPAASYDSAGAGGFSSPGFRHGFSSSALNYNHHQQIQQQHGGGWMQEEYAVAPSCVVGSNTAMFYAAEKFLGMTQLDCCPPLRMLPPQMPPPPTMPTPLELDRSAVRTYFVRPQQRRGDAADLPLPPPPQQQQESVQFHSHHGLYGNGSNNGSSAVEAHSFVPAAMDLQAPSGLQMSQTAESGHGQMPRSCVGVHPAPAPSKTRIRWTQELHERFVDCVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRTVKCVPSSSSSSEGKQQEKRAAGSDDVPNLDPKTGGMHITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQKIFKEQLKASGNAAAAAPGSPEPGCGAGDDVVIFPVSDDDDDDVQLLSVASSSYDEDLLAL* >Brasy9G264400.4.p pacid=40063298 transcript=Brasy9G264400.4 locus=Brasy9G264400 ID=Brasy9G264400.4.v1.1 annot-version=v1.1 MMNTKKIKLHDRQYCNGMAPPAPQLARHLMVPAASYDSAGAGGFSSPGFRHGFSSSALNYNHHQQIQQQHGGGWMQEEYAVAPSCVVGSNTAMFYAAEKFLGMTQLDCCPPLRMLPPQMPPPPTMPTPLELDRSAVRTYFVRPQQRRGDAADLPLPPPPQQQQESVQFHSHHGLYGNGSNNGSSAVEAHSFVPAAMDLQAPSGLQMSQTAESGHGQMPRSCVGVHPAPAPSKTRIRWTQELHERFVDCVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRTVKCVPSSSSSSEGKQQEKRAAGSDDVPNLDPKTGGMHITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQKIFKEQLKASGNAAAAAPGSPEPGCGAGDDVVIFPVSDDDDDDVQLLSVASSSYDEDLLAL* >Brasy9G264400.3.p pacid=40063299 transcript=Brasy9G264400.3 locus=Brasy9G264400 ID=Brasy9G264400.3.v1.1 annot-version=v1.1 MMNTKKIKLHDRQYCNGMAPPAPQLARHLMVPAASYDSAGAGGFSSPGFRHGFSSSALNYNHHQQIQQQHGGGWMQEEYAVAPSCVVGSNTAMFYAAEKFLGMTQLDCCPPLRMLPPQMPPPPTMPTPLELDRSAVRTYFVRPQQRRGDAADLPLPPPPQQQQESVQFHSHHGLYGNGSNNGSSAVEAHSFVPAAMDLQAPSGLQMSQTAESGHGQMPRSCVGVHPAPAPSKTRIRWTQELHERFVDCVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRTVKCVPSSSSSSEGKQQEKRAAGSDDVPNLDPKTGGMHITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQKIFKEQLKASGNAAAAAPGSPEPGCGAGDDVVIFPVSDDDDDDVQLLSVASSSYDEDLLAL* >Brasy9G167600.1.p pacid=40063300 transcript=Brasy9G167600.1 locus=Brasy9G167600 ID=Brasy9G167600.1.v1.1 annot-version=v1.1 MLCLARRRPCLFLVVSVAVLLLPVSSNGEVRRDQADNGVANFRLLLGLNTLGVGGLRPSQRQRHSPAALAPAPGPAPGRAHLPLFHKNARLPDPAAGRVVTHDRKRGDATARNEGGGHGDGKKSMQLIVVAAAAALSGAVAVLLVVLVVFLACRKVQRRRSGADQDGATSSNKVGSFDPGPNLFYVDAVKPYTEAGHEHEHDGKAPEMAGPKDEAEVPEREEESRGVTCSEDDDEDGDGAGSVHSSCCFHSSHFAYSELRDAKQGAGQVDGVSPSPSARSSRRRSSAPTTPADQKKAARAIASPYSPQCPRTPSNNQDRVRRAAHHSPSSSESTARMFLNFPDAQSRSARHVKEAEAGSVRSDAASGVTAPPPPPPPPPPPPPPPPSLRPPCVSGAVLPPPPPPLLLLNKRSGGPSLPPPPALPGMLRQSAPVGKNGAALPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFLYNSRFSAKHEEAQSRSPSLGHHVLDPKRLQNVTILMKAVNTTAEQIYAALLHGNGLSVQQLEALIKMAPTKEEVEKLTGYDGDVESLVPAERLLKLVLTIPCAFSRVEAMLYKETFADEVGHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGADGKTTLLHFVVQEMTRSQSTRTAEGTDIATGLAAELTNVKKTATVDLDVLTTSVSNLSQGMSRIKELVGSDLVLSGDERNGCFVALMAPFVSHADEVIRELEDGERRVLGHVRDITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGVKNFHAWNPVLNNV* >Brasy9G024900.1.p pacid=40063301 transcript=Brasy9G024900.1 locus=Brasy9G024900 ID=Brasy9G024900.1.v1.1 annot-version=v1.1 MEEEYHGHHHPLLHAAGRLHLQRQPSAAALASYTTTQWDDDPPHHDMALDYGGHDQQLRDALLRALGELDASRAELRRVESDRDELRRHYHSLLLLLHQYHSSQPPPPPTDPSLLHAEFEPQGGCAAAMDEFHTTGGASLSSEEGDCAEAEAELEMARRLPEKGRLVEAVVAAGPLLQTLLLAGPLPRWRHPPPPAPADMVIPPFNPGAAANSSFSSASASSSSPESDNCGGGPALPVVVALGQQQDALPCFRVMTTSSPFCM* >Brasy9G247900.1.p pacid=40063302 transcript=Brasy9G247900.1 locus=Brasy9G247900 ID=Brasy9G247900.1.v1.1 annot-version=v1.1 MSSCSPIPLLSPSSCPAGRRQTSQERRKGISSGVLPDGSALAWETNPALPRVLMHAPRQLTFSANKQPCSFLVK* >Brasy9G224900.1.p pacid=40063303 transcript=Brasy9G224900.1 locus=Brasy9G224900 ID=Brasy9G224900.1.v1.1 annot-version=v1.1 MNHLPQSSRVQCSPQAAATRKMAAISNTSSKRIALVTGGNKGVGLETCRQLASRELKVVLTARNEARGLEAVDGIRRSGGAGHGDVVFHQLDVTDTSSIDRLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKEQVESMDVDQRVQWMKENSKETYEEAKECMRTNYYGAKLVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELKKEFNDIDNLTEKRLDELLDLFLEDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKFPTMRINCLTPGYVKTDISMHMGVLTPEEGASNPVKVSLLPDDGPTGAYFDRDGEASFV* >Brasy9G325000.1.p pacid=40063304 transcript=Brasy9G325000.1 locus=Brasy9G325000 ID=Brasy9G325000.1.v1.1 annot-version=v1.1 MRVTDWAGRTSVGVHLHRAKHQHPCCPRNPGEPAPPDPHSKTRGRRPDFCAEAHSAEAPPTRLNGERIHMTPLNRNATPAGVVASRISPWIPGTRPQQPGRPPTPERARSGLAARRSSADTDGSGHAGQGIGAPAAGERKTGAPAAGERKTGAPAPTPRRTAAREGPAPDPAAPSTDSVARQAADAACRRTGLAGPEHPEEEEPRKGTRAPRKQGPAAAVPARALPGGDHGRRRGGGGGR* >Brasy9G364300.1.p pacid=40063305 transcript=Brasy9G364300.1 locus=Brasy9G364300 ID=Brasy9G364300.1.v1.1 annot-version=v1.1 MAKCWLLQLLLLALLLPVAISTAASACHPDDLRALRGFAGNLSGGAALLWSGASCCGWEGVGCDGASGRVTTLWLPGRGLSGPIQGAASLAGLAQLESLNLADNRLVGTIPSWIGQLDRLCYLDLSHDASVDEVAKINPSQRSLGVAVSTNRRTLGGEPNTITGTNNHVRSGKDNALSGNDNTVISGSNNVVTGNHNKIVSGSHNAVSGHMHVVSGNYHVVTGNNNAVSKSHNTASGDHNTVSGHHNTVSGNHNKVSGSHNTVSGSNHIVSGHNKVVT* >Brasy9G084700.1.p pacid=40063306 transcript=Brasy9G084700.1 locus=Brasy9G084700 ID=Brasy9G084700.1.v1.1 annot-version=v1.1 MTTNGDPVAQAAAQAQQQAAQLRQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTQGTLPSSTVV* >Brasy9G281000.1.p pacid=40063307 transcript=Brasy9G281000.1 locus=Brasy9G281000 ID=Brasy9G281000.1.v1.1 annot-version=v1.1 MAAADEWRCRKHPRAPGGGVCAHCLRDRLLRLCPDCARVRPCPCASASSPSSSSSSAASGSAAAVGRVRSLIERERRIGRSRSVAASGGGIGFGVGFGGEEKQRKSSRVLGWVSFRKPAAAGRGLEAEEQQQEGEEKGDDDAAAALARSRSVSAAAAAEGKGGANSKAGSGWGRFLPGPIKALRHRKSRAGAGSRGDRRESVR* >Brasy9G023800.1.p pacid=40063308 transcript=Brasy9G023800.1 locus=Brasy9G023800 ID=Brasy9G023800.1.v1.1 annot-version=v1.1 MSSPYSLVCGLNDDSQDWRIRVRIARLWEQRDSAKANEVVRLHFVAVDEKGNGIHGFISRGFLAKFRNALVESRVYYLEHFEVAAVRDKFRSVDHRFELRFTSWTLIREIVPAPAGFPMHTYKLRSFESILANITDKTFLVDVLGVLTGVSEVVSPEVRGYTTSKRTLRITDTRHTAIVSLWGDNAEEIDATGLVQLSKKEPVIVLVMGCTFRRQDSMLSLSASYGSKVCINLENPDVVALRNRIAGQFYLVENIADRGRRFASADPERTDVPYLASLVPHVAMNKMFRCFVRIDGPAEGQGWWFMSCDTCTCRATEDGDSFICTNNECDGKTASPRYKLAVNASDKGSSVEMVFFGDICRDLVGKPADISVAESYNVPSGVPSDIEQLFGRNYVVDVAVSRYSFRRDNISYQVLKFYPEGGAVFSDFVSCGGHVGASSSATPASGTVVPIVDGTVVGVLEENTGKHGKKRLVVLMFLIGVWVVYRNSSCVLCRSRSRSAAVKPDAQKRLFSEAEPSVEKR* >Brasy9G168700.1.p pacid=40063309 transcript=Brasy9G168700.1 locus=Brasy9G168700 ID=Brasy9G168700.1.v1.1 annot-version=v1.1 MQNSPPSHLLQNINPFGVPTNYQHIHYGHPTSSYQSMLQQQAYMNSPSGVFGAAGIRASSSHPPATIIHTDPAASSHGVESTTPHSRSQQEEPTQNIESSGSSPEEDERRGRKNWTEEENERLAKSWVNNTTDPVDGNAKKSDFYWKSVTEEFNNNRPADARKRSSKQCKSHWGTINKATVLFDGVYERMKNTYASGQCDNMLMHKTREMYKSENEQKLFTIEYMWRRLRAREQKFQSLEHTPHQATRKQKERMQTLIEKGVPKGRKQQKQRKEKKRASHNHLPRN* >Brasy9G061200.1.p pacid=40063310 transcript=Brasy9G061200.1 locus=Brasy9G061200 ID=Brasy9G061200.1.v1.1 annot-version=v1.1 MSILLLSSVPSPHAKLLAPPVVLTAIQLLVATLAVVAAAPVALPGCPEACGNITVPYPFGIRQGCSRPGFNLTCDETRHPPKLFLGDGVEVDAISLADGTMRIRSKVLNATSLGVNNGSWSSGMMPNATIAVSTQHNVFAVLGCNVIAHLATSYDISKQLDNSGCAALCDYWPETARAWDRDTPCSGVGCCHTTIASGLPAYGVQFKDFSPCSEPGKSAFSKDIPFAAAIVDRNWFSSNVGVMFNNTYNDAHLGEVTVVPTVLDWWLEPPRDDDILFFDSSISIWRCISLNSVVDSVVHYVQSRCSCLDGYEGNPYIAHGCQDTRSIS* >Brasy9G061200.2.p pacid=40063311 transcript=Brasy9G061200.2 locus=Brasy9G061200 ID=Brasy9G061200.2.v1.1 annot-version=v1.1 MSILLLSSVPSPHAKLLAPPVVLTAIQLLVATLAVVAAAPVALPGCPEACGNITVPYPFGIRQGCSRPGFNLTCDETRHPPKLFLGDGVEVDAISLADGTMRIRSKVLNATSLGVNNGSWSSGMMPNATIAVSTQHNVFAVLGCNVIAHLATSYDISKQLDNSGCAALCDYWPETARAWDRDTPCSGVGCCHTTIASGLPAYGVQFKDFSPCSEPGKSAFSKDIPFAAAIVDRNWFSSNVGVMFNNTYNDAHLGEYLYLEMHKLEQRSR* >Brasy9G289700.1.p pacid=40063312 transcript=Brasy9G289700.1 locus=Brasy9G289700 ID=Brasy9G289700.1.v1.1 annot-version=v1.1 MADGGGEEGNASSAHKSSSSRRRGAAQVGLDADELLTLMHGSDPLKVELNRLENEVRDKDRELGEAQAEIKALRLSERAREKAVEELTAELEKLDEKLKLTEALLDSKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQEDNRALDRLTKQKEAALLEAEKTVQTALAKAAMVDDMQNKNQDLMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKVLDRELARTKVTANRVAVVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQVKEKYQWRLKVLEDGLRGPPSSSSRPPTEGKSISNVSSRRLSLGGADNLSKTSPNGVLARRSPSFNSRSSLSTSSSLVLKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDNWKAGTEEKSSETTNSDSTDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVSAIRLEKEQENKAKRLGNLKGPGTASQAVPGRNAPRGGLTHNIQ* >Brasy9G289700.2.p pacid=40063313 transcript=Brasy9G289700.2 locus=Brasy9G289700 ID=Brasy9G289700.2.v1.1 annot-version=v1.1 MADGGGEEGNASSAHKSSSSRRRGAAQVGLDADELLTLMHGSDPLKVELNRLENEVRDKDRELGEAQAEIKALRLSERAREKAVEELTAELEKLDEKLKLTEALLDSKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQEDNRALDRLTKQKEAALLEAEKTVQTALAKAAMVDDMQNKNQDLMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKVLDRELARTKVTANRVAVVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQVKEKYQWRLKVLEDGLRGPPSSSSRPPTEGKSISNVSSRRLSLGGADNLSKTSPNGVLARRSPSFNSRSSLSTSSSLVLKHAKGTSRSFDGGTRSLDREGGGIIEKGMP* >Brasy9G011800.1.p pacid=40063314 transcript=Brasy9G011800.1 locus=Brasy9G011800 ID=Brasy9G011800.1.v1.1 annot-version=v1.1 MAGDEEGSMEAEKWTLTPFTAPKSTEGSVAGGEGSNKRKAAVAAPEGVCTAKAADEPGSFDPFGSQRRASAIDKMLPAAAAAVNQPGVDSSAAAGKGRKYRLSKAEIWNIIVLKPEPEPIADKDYLDDLAEFFPAEWIQERKLAHARFAEHDRKTYREWKEFRQEVIKDLKEKGYYEVDDEYYANREKNEIDFNKWKKKDFSGFVVATEEEELQALKEGSYKPYVPDDEDDDLLDDLSSDDEDLIFRGFHGPDDANKVAAVSN* >Brasy9G101500.1.p pacid=40063315 transcript=Brasy9G101500.1 locus=Brasy9G101500 ID=Brasy9G101500.1.v1.1 annot-version=v1.1 MEDSATAAAAPPATVGQAVIPLVNRLQDIMARLDGDAAAGVELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFHDFEHIKREIQSETDKEAGGNKGVSEKQIRLKIFSPNVIDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLGDPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSIKDALAFEEKFFSTLPAYHGLSQCCGVPQLAKKLNMILLKHITDMLPGLKSRINAQLVAVAKEHAAYGDTAESTAGQGVKLLNILGKYCEAFSSMVEGKNKVSTDQLSGGARIHYIFQSIFVKSLEEIDPCKNIGDEDIRTSIQNSGGPKGAMFLPEVPFEILVRRQIGRLLDPSLQCAKFIYDELVKISHGCLTSELQKYPILKRRMGESVNNFLRDGLRPAETMITHIIEMEMDYINTSHSSFVGGSTVVELAKREVQTSRVPTPLSVHKDGIGISSEIQLKSSVENNMQLKSERCQKSRAVFARDATRTTPEQGFQPDTDAGTSVVGGSQKGHSMVGGSLSSMSDPRVHSLNSFYSMVRLREPPITLKPSENKSNQDLTEIAIVKLLVKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVLIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSLSEYTTGLPKIPGLNNRSPGSIYTSSSSS* >Brasy9G101500.2.p pacid=40063316 transcript=Brasy9G101500.2 locus=Brasy9G101500 ID=Brasy9G101500.2.v1.1 annot-version=v1.1 MIMQYIKHPSCIILAVSPANADLANSDALQLARLGDPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSIKDALAFEEKFFSTLPAYHGLSQCCGVPQLAKKLNMILLKHITDMLPGLKSRINAQLVAVAKEHAAYGDTAESTAGQGVKLLNILGKYCEAFSSMVEGKNKVSTDQLSGGARIHYIFQSIFVKSLEEIDPCKNIGDEDIRTSIQNSGGPKGAMFLPEVPFEILVRRQIGRLLDPSLQCAKFIYDELVKISHGCLTSELQKYPILKRRMGESVNNFLRDGLRPAETMITHIIEMEMDYINTSHSSFVGGSTVVELAKREVQTSRVPTPLSVHKDGIGISSEIQLKSSVENNMQLKSERCQKSRAVFARDATRTTPEQGFQPDTDAGTSVVGGSQKGHSMVGGSLSSMSDPRVHSLNSFYSMVRLREPPITLKPSENKSNQDLTEIAIVKLLVKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVLIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSLSEYTTGLPKIPGLNNRSPGSIYTSSSSS* >Brasy9G166100.1.p pacid=40063317 transcript=Brasy9G166100.1 locus=Brasy9G166100 ID=Brasy9G166100.1.v1.1 annot-version=v1.1 MAGESSTRRPLFGGAISSTFPVRFQDVSNIREVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDVANEQDAEDDMVVEHSGTVQLAGLQVGEASAVAETAIGKLAVSKGRQGREAQNIVREGREAQNIVRVYLANIRLKIAATDVVITAYEPLLINPLSESTQAVAAGPTVPAEEAGCLPMSEVFRLAVMNFDVHDWNLFNGSA* >Brasy9G358400.1.p pacid=40063318 transcript=Brasy9G358400.1 locus=Brasy9G358400 ID=Brasy9G358400.1.v1.1 annot-version=v1.1 MAVGIDPDCDDGDGLSSAGDSLSFAPRISGIPIEIRTRQELSGPPYPARIPPMARAYLAGTPSYIAARNITEKESNPSRTRTNSSSSSTNGEILLRWNGDTDSMLDSERVTVVRQREEGAAVSAAKNSIKNPLKNSTKNSIKNTTSDIVLAPARVPVTSQCEESAAAAEMGLFVAITASVKEQQRCRRAALGAERGIRSALVLGLSADKDEEQGYRGAALGAERGIRSALLRGPCADKEEEQGYRGAALGAERGIRSALVLGLSADKDEEQGYRGAALGAERGIRSAPVLGLSADKDEEQGYRGAALGADRGIRSAPVLGLCADKEEEQGYRGAERSIRSALVLGLSADKEEEQGYRGAALGADRGIRSAHLVRGQSARPVAGAGAPSLEEKKASCSASDLLLAGARNGGLAAQAKVLVRQGAKFWFDVFVDWLKKLLRIPREEEVCMPMPEWSWLSIMGSPIIQSLDAEGNGDGSCVICACLKCIVANHTLDFERRYGSGSFPYQLSDIEEFKFACGAWQIWSPGNGTNEELVLLLVQKLEGLRTLRVPGWKNCKLQIEGHKRFVTKVLDPLSAAMFIHKGGPVIGSLEATNDYFKSNWDENYVFEGRMTAKSRRRRRNKNTNNMHSVVCFAYRLTYSGLQIRIMDNHFPHGPLRWISYSAFEEFWVIHIRPLDADLLRETDDSTRRSFLSKLTPF* >Brasy9G005400.1.p pacid=40063319 transcript=Brasy9G005400.1 locus=Brasy9G005400 ID=Brasy9G005400.1.v1.1 annot-version=v1.1 MEAAAGGVVVAKGKRSKRQRVHAAAAPEAVTSAAESSSLSSVVFASGGSGADEEEEAASGCVTEEEEDMAMCLMLLARGGHGGSTSSAAMAAMEGKKFRSRRREEGSGELVYECKTCSKRFPSFQALGGHRTSHKKPRLPAPKAASEDQKAPSMSPPPPPSPTDPTALLAIPAPGTPPPPAINPNPKQQRRDAATAMAIGGSSRVHECSMCGAEFASGQALGGHMRRHRPLVPAAAASEDGPTMTKEKSLLELDLNMPPAPCPCDDDTTAPAAFVFNVKDVLFPAPASAMVVDCHY* >Brasy9G318700.1.p pacid=40063320 transcript=Brasy9G318700.1 locus=Brasy9G318700 ID=Brasy9G318700.1.v1.1 annot-version=v1.1 MRVASRFWTIWKARNTASLKILFHGDPSNLVLFMCYLVNYCANLQKCEVKATMVMTTKMLQLVVVQRLQTLQGAWLESGVCTLCIENG* >Brasy9G142300.1.p pacid=40063321 transcript=Brasy9G142300.1 locus=Brasy9G142300 ID=Brasy9G142300.1.v1.1 annot-version=v1.1 MLAGARAPGAFVWLVRRGFVLRHRRFGLHRRRRVAEHGSSGTSHSLFRHEHGATATPTPLPTTTARKRSASSTHRAASQASRGSAVCCGAAQVQPHICAPGKSRGGPPRRHRLEREFGRRAVGCVASFLQLDAQGVASPMRFCLPSRTRSSTSRTSARSSLLRSHATAPAIETSAFTPFNRLSSRGGRKYR* >Brasy9G090200.1.p pacid=40063322 transcript=Brasy9G090200.1 locus=Brasy9G090200 ID=Brasy9G090200.1.v1.1 annot-version=v1.1 MNWEGNGDYMDVVGLIPTRFSGNHTVISKSGVGKTRVGLHELVDAFDAIWNYRGPKHAEPDGFREAIGRFVVTFMETKFKEICRRTSAAISGDFSFSRLGTEMEDLVHNWQSLSRAVMENVGQQFDTIDKINEIKKKIDSMYFLYVDAWTGGYFIHDTLPPPPRNVVWEAIDDGEGDGYTQHPITPDAEPSLSQSRGRGKLGSSTLKRGGKRGRKSIAGDGQETCKRTKTVGLRGGGLGGSSLVPAWFSRWSQDEDMNQCDELIKLANDELKLEFISLEFNLKESQGELPAANKFSELPTSNDGATTPSATASPPSSSTACPLSSSSSSSTAPANSTPALDLSHIRGLQGSEFVADDVVERYLNRLSTTFHSSDDGIAFIAPSVAHGLANTLEDPSTYGVDLSSQITVFPVNDSGNLMREGGSHWSLLVLDARGRPPQFIYHDSVLNEDTGNGFNYTAACRLSDRLRQLVPAAAEQEGIHEWSTPAQTNGFDCAVYMMAVASAISNWWRRSTPSERLEHSWSETVRQQVNSKTVALMRSEMAEMFLNNMDIKDMSFLKGAGP* >Brasy9G045300.1.p pacid=40063323 transcript=Brasy9G045300.1 locus=Brasy9G045300 ID=Brasy9G045300.1.v1.1 annot-version=v1.1 MECPYSSLLWCEVALWPNCRALATASRGAISIQDFHDRLMQNCNTNLQKGLGSLFILVCHSIWQERNSRVFHDKVTDMHQIAIFIKDEAQEWAFAGAKALRKLLWEPP* >Brasy9G169200.1.p pacid=40063324 transcript=Brasy9G169200.1 locus=Brasy9G169200 ID=Brasy9G169200.1.v1.1 annot-version=v1.1 MRRALRPRQHPSPPSSLPWYAPPPSTTEADPLIVAASEVALALPVHPAPLPATAPPPLLRLLPAFTSAHFLSLLRCNPLSLPPLPILSLFRLLLLASPPGPFRHTPSSFLSMSHHLLLHRLPHLARPLLRLLASRLGRSSAPRLLPDLLPAASPGDPAPLVSELAAAYADEGLLPDACSLVLLALRRGISLPPPVCSGLMSRLPSTLEAFTFYLQLLDAGMVPETRLFNVLMRDFVRLGELAGARKVFDEMRRRSVQPTVVTFNTLISGMCRERDLDAADWLYKEMSDVGIAPDVYTYGALIKGLCRTGRMEDAAKMFDEMRDRGVNPNAVVFTTLIDAHCKEGDVNAGMDLYQDMRVRGVMPDLVAYNALVNGLCRARNLKAAESIVEEMKNAGLKPDKVTYTTLIDGCCKDGKLDMAMDIKQKMAEKEVSLDEVTYTALISGLSKAGQPVDAEKVLREMMEAALEPDNTTYTMVIDAFCRKGDVKIGFKLLKEMQNKCKKPGVVTYNVIMNGLCKLGQMKNADMLLHAMLNIGVSPDDITYNILLDGQCKHGKVANSEELESSKGMVPDFAVYTSLISELAKKKPAKNYHDR* >Brasy9G229400.1.p pacid=40063325 transcript=Brasy9G229400.1 locus=Brasy9G229400 ID=Brasy9G229400.1.v1.1 annot-version=v1.1 MAAEALPRAAAPARRPSVAAASASRLLLGHRPFLAPSASRFAAGRAAVAGPAASLRPRPRRPRLSVVAMAGNDRQVPLGDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAVWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAQFSYQDIPADLEEMAQDYRVQMLETIVELDDEAMEGYLEGNEPDEETVKRLIRKGTIGASFVPILCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPDDPEVVLERRPSDDEPFSGLAFKIMTDPYVGSLTFVRIYSGKLVAGSYVLNANKDRKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCDPDSLVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDDETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPMEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPVMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMSKGRASYTMQLAKFDVVPQHIQNQLSAAKEEAAA* >Brasy9G229400.2.p pacid=40063326 transcript=Brasy9G229400.2 locus=Brasy9G229400 ID=Brasy9G229400.2.v1.1 annot-version=v1.1 MAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAVWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAQFSYQDIPADLEEMAQDYRVQMLETIVELDDEAMEGYLEGNEPDEETVKRLIRKGTIGASFVPILCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPDDPEVVLERRPSDDEPFSGLAFKIMTDPYVGSLTFVRIYSGKLVAGSYVLNANKDRKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCDPDSLVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDDETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPMEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPVMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMSKGRASYTMQLAKFDVVPQHIQNQLSAAKEEAAA* >Brasy9G152200.1.p pacid=40063327 transcript=Brasy9G152200.1 locus=Brasy9G152200 ID=Brasy9G152200.1.v1.1 annot-version=v1.1 MAAAKIRWGELEEDDGGDLDFLLPPRVVIGPDENGIKKTIEYRFDDDGNKVKVTTTTRVRKLARARLSKAAIERRSWGKFGDAAKEDAGSRLTMVSTEEILLERPRAPGSKADESSASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDIAGPAETVDRPITSDGPSALGASAKGSSYVPPRLRPGAPTDSGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN* >Brasy9G334000.1.p pacid=40063328 transcript=Brasy9G334000.1 locus=Brasy9G334000 ID=Brasy9G334000.1.v1.1 annot-version=v1.1 MASLFRARRRRSPEDDGDEDDRSGAGRAKRRRLSPEEAALTPVGEEGRSPGSGWLSTIVTGAKRVITSVLFSSQEETASAEEEEEEEEQDGEEESGNEDARDTHGAIVPYSESKIAIEEMVMKETFSRDECDKMVKLLQSRVVDSKLPEAYEYGTPKEIPTRNASAGHDFTGAWRSLNRNRNIPESSPFSSIGRGNFSPSSPLHASPELCNAAVTEAKKWLEEKRQGLGPKPENGPCTLNTDMLNSDFEFDKGSPVDLAKSYMQSLPPWQSPLLGSRKFKTPPSGGVHFNDGEGKSKLFSSTKVTTKDDFISSSNFWENLEELRRSRIGFSETYPDASKLKHHGSTSKLFDTDVSVFSSGTREEVRESMQSSKGSDKAAAVEPANGCSLPIAPTNDGNDGAVDSVDPAKDTGNQVQECHAASEVHPDAVPQGNHMPPTSDTKEAAGLSGDVKSFTAEPEIHEETHINSTSVPESRPKLRTSLRSLKKKVQNSISGSTNKTSANGLLDRSNGNSGLESSGNDNPSCTNSSSAVPPTSNELVDSTDHAADDNSVDTKMVSEKPVNGNSVENGAGMDSEKPVEEAPKPSYVRRGRKRVSKRQ* >Brasy9G287500.1.p pacid=40063329 transcript=Brasy9G287500.1 locus=Brasy9G287500 ID=Brasy9G287500.1.v1.1 annot-version=v1.1 MHCLAPHFLLLLPPPATAASRRALPQAPPPPPLLLPSRPAQGHAAPLHLPSARSPSRAAAAAPVSDDDDDDEEADEDDDEDDIDIRDADAEYDEDDEELVDEESGGEDEDEGEAEEDSREETAARRQQSEEYKSQQVAKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASQGRLFEVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNRRPVPLTWHFSKKFALLPLLDGKGKKMNRKLRMSHSQNISSPKSEFYYVKGKRKLRTNKNEQQGNRSPLDISKQVQLSKHEVTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFRMQYPDAIRENAVKGLMRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNNLFQMAGRAGRRGIDTVGHSVLVQTPYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESGDVMAKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERTQKEIQYLSSEITDEFIDRRCREELSEEDYSEISLLQKKFKEEKQIRNELKKRMELERMAAWKTRLEEFESGHLPFMCLQYKDKDSVHHTIPAVFIGSLSSFDDQKIESMLEDDSISPGKREVDSGGELYYPSYYVALSSDNSWYLFTEKWIKTVYRMGLPALPSVEGGTLPRETLKQLLLREDMMWDKVAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDNEVERFSHEHQAAVECHKQQRRKVSQLKKTIRSTNGFREFQKIIDKRNFTKEKIERLEARSRRLTRRIMQIEPTGWKEFLQISKVIQEARVLDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLIDLQEKHGVKIPCEIDTQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTMDLLAQIPKLPDIDPVLQKNAQIACNVMDRVPLSELAG* >Brasy9G287500.2.p pacid=40063330 transcript=Brasy9G287500.2 locus=Brasy9G287500 ID=Brasy9G287500.2.v1.1 annot-version=v1.1 MTTEILRNMLYQSVGMTASQGRLFEVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNRRPVPLTWHFSKKFALLPLLDGKGKKMNRKLRMSHSQNISSPKSEFYYVKGKRKLRTNKNEQQGNRSPLDISKQVQLSKHEVTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFRMQYPDAIRENAVKGLMRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNNLFQMAGRAGRRGIDTVGHSVLVQTPYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESGDVMAKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERTQKEIQYLSSEITDEFIDRRCREELSEEDYSEISLLQKKFKEEKQIRNELKKRMELERMAAWKTRLEEFESGHLPFMCLQYKDKDSVHHTIPAVFIGSLSSFDDQKIESMLEDDSISPGKREVDSGGELYYPSYYVALSSDNSWYLFTEKWIKTVYRMGLPALPSVEGGTLPRETLKQLLLREDMMWDKVAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDNEVERFSHEHQAAVECHKQQRRKVSQLKKTIRSTNGFREFQKIIDKRNFTKEKIERLEARSRRLTRRIMQIEPTGWKEFLQISKVIQEARVLDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLIDLQEKHGVKIPCEIDTQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTMDLLAQIPKLPDIDPVLQKNAQIACNVMDRVPLSELAG* >Brasy9G178900.1.p pacid=40063331 transcript=Brasy9G178900.1 locus=Brasy9G178900 ID=Brasy9G178900.1.v1.1 annot-version=v1.1 MGLGKTLQASAIVVSDIAESRGRDDDKDPKTFIICPSTLVAHWEYEMKYIDSSIMKPLQYIGSSQDRIMLHSQFDKFSVIITSYDIVRKDIDFLENIFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQKNVLELWSLFDFLMPGFLGTEKQFQATYGKPLIPAKDSKCSAKDAEAGILAMEALHKQVFICRARF* >Brasy9G314000.1.p pacid=40063332 transcript=Brasy9G314000.1 locus=Brasy9G314000 ID=Brasy9G314000.1.v1.1 annot-version=v1.1 MANLQASLNMHPSFAAAAAASGPPPSPIGGGGGSSAAVAQERNDRKQASAEQLVLDLCDRELRENTLLELSKKREVFQDLALLLWHSYGTIAALLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHPDTRIHFLHAHIPLFLYPFLNTFSKTRPFEYLRLTSLGVIGALVKIDDTEVIGFLLQTEIIPLCLRTMEMGSELSKTVSTFIVQKIMLDDIGLRYVCATIERFYAVSNVLGAMVVSLADLPSTRLLKHIIRCYLRLSDNPRACFELQTCLPDMLKDGTFHVSLKDDPTTRRWLQQLLHNVTASGMAGPP* >Brasy9G085000.1.p pacid=40063333 transcript=Brasy9G085000.1 locus=Brasy9G085000 ID=Brasy9G085000.1.v1.1 annot-version=v1.1 MLLFRPSWPRRPFHLLLQRPRAALFGLPATQKVLAEAAAMEGGSPAAMVYMDVADEPALRRQPSDVLKGIELGARNLFDDLRKQTVKVIASIVMFERRLTLKGTNAVFLGGKKRSSIYYDIWNIKYLRKFKWNDLVGEIAEKTHTIRQQKVNLEITVAKETM* >Brasy9G193000.1.p pacid=40063334 transcript=Brasy9G193000.1 locus=Brasy9G193000 ID=Brasy9G193000.1.v1.1 annot-version=v1.1 MSPSAPPSVARLANPPRTLPPTLSSPSTRSPHLPMPISSRRPRTATLAAAGGSGASPSLLAADPAHRDAVNLAARGAMANCLGETHLDLVVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSCPDKNVTIAKRCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNVIPDGMVKNQKLAANILTPTTKAADHDVPVTPDEIIKSRLMSKEDFDEARSKALSLFEYGQQVALENGVILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIANSYEERFKSGLEPENVDKEFLRLWFKNNCNPYKDPVLPEAPEELVCELAWRYIFLFETITNTKFEIPETQEPIHERISRNVAQALRNL* >Brasy9G351700.1.p pacid=40063335 transcript=Brasy9G351700.1 locus=Brasy9G351700 ID=Brasy9G351700.1.v1.1 annot-version=v1.1 MATTTTSNSSSSNLTLLLFPSTLPFIRVAFPTRRLPRSHRHAPPLLRLRTAASSSRTLTPPASPESREAAEDLRLETESALEWGGVCARLADFAATAAGRAACGEGRVPVGRSREESELLLEQTAAAAALLSSSSSAPLDFRGVGDSSAVVAAAARGRLLAVREICGVGRSLRAARGLFDQVKGLADEMPDGRYSPLLDILQDCDFLTELVQMIEFCLDSNLSVVLDRASEKLGTIRKERRSNIEILESLLRDASTKIFQAGGVDSPLVTKRRSRMCVGVKASHKHLLPGGIVLSSSGSGATYFMEPRDAVKLNNMEVKLSSDERTEELAVLGLLTSRIAYSRMKIRHLMGKIMELDLACARGSYALWLNGVRPAFSDRDSSGQLDPSSDFSVFIDGIQHPLLLEQSLGLAKGSTEVGKGQLSEEHPVSAMPVPLDMHVKSDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGSPKLPWFDQVLADVGDHQSLENSLSTFSGNISRLRKIVQVVSRDSLVLIDEIGSGTDPSEGVSLSTSILKYLAGRLNLAIVTTHYADLSRLKAVDGRFENAAMEFCLETLKPTYRILWGSTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYGSLLDERNLLESQANEVASVLSEVEVLYNEIRLEADDLDNRVATLRARESQKVQHELKLVKSQMDLIIRNFEVQLKNSKLEQYNAVMKKAEASTASLAAAHQPTEFAVSDDENKSSYVPQIGDKVYVEGLGGGSLATVVEILSEDGSCMVQYGKIKVRAKNNKMKLAQRDTKETSASSSVQGKGRPVRRSSPETKQDGSISFGPVVQTSKNTVDLRGMRVSEASRELQMAIDGCRSYQVLFVVHGMGTGAVKDCALDILRSHPRVAKLEDESPLNYGCTVAYIE* >Brasy9G071100.1.p pacid=40063336 transcript=Brasy9G071100.1 locus=Brasy9G071100 ID=Brasy9G071100.1.v1.1 annot-version=v1.1 MGVGARAGLVAERRASQLLANFAGELRQRLNPSIPVDGEEEGERVPVRPGLPHHPVRRAPEPAIAPHLHHVAHVDHDAALDVLRRGPSAAVVPEDLQSPFQWIEEVILPCVQRRGWSLHMTFALFCFGWMCRGKCSRRGHFPSLRRSLLAPVR* >Brasy9G150200.1.p pacid=40063337 transcript=Brasy9G150200.1 locus=Brasy9G150200 ID=Brasy9G150200.1.v1.1 annot-version=v1.1 MAASAVVLLLLLLVLPLSTVSDDRLVSGRPLAPGATIVSDGGGFSLGFFTPSDSTPARQYLGIWYSGIPELTVVWVANREAPLVLLTGRPSSGSKPALALTNASNLVLSDADGRVLWATGAGTAPSSGAGVGAGAEAVLTNAGNLVVRSPNGTTLWQSFDHPADTFLPGMKVRTGRAGAFIVSWKGPGDPSPGSFAYGMDPVTSLQAFTWKNGSRPLWRSGAWTGYRVNSEYVANTSTVIYLSVVDTGDETYMGFSLSDGAPRMHYVMTHGSGTGTGTGTGRLELRSWSTASSRWDTLGHWPPHECSRYGHCGPFGYCDNTAAAPSCNCLDGFEPASPAEWSIGRFSRGCRRKVELQCSAGKGDDFLAMPAMKAPDRFVLVRNRDAAACAEECARNCSCMAYAHANLSSSSRGDTTRCLLWAGDLIDAEKIGNVATSSSETLYLRVAAAETTVNSYSPKK* >Brasy9G120900.1.p pacid=40063338 transcript=Brasy9G120900.1 locus=Brasy9G120900 ID=Brasy9G120900.1.v1.1 annot-version=v1.1 MSIRPVWMPEPPGGGTGAPEIFAGGAGTVRRAIVIGNGCAGAENQCLGLVRALGLADRLTLYRITRPIGGINKWLHFLPISLHQIVDQILRHIFSNTTFAPLFQGKMLAPYPVHNVQSFGLSSVLEADTKKIVTMVHDTFEKEGLAIVVACGRDTISYASSVRFLAPDNVFVIQIQHPRYRVDRFDLVVTPRHDYYALTAKGQQEIPRLFRTWITPREPPGPNVVLTAGALHQADSAALRIAATNWHDELAPLTKPLVVVNIAGPTRNCNYGVDLVKQLVSSLHKVLKTCGSVRISFSRRTSQKVSDLILKEFSTHPKFYIWDGEEPNPHLGHLAWADAFIITADSISMISEACSTGKPVYVVGTEHCRWKFSDFHSTLHKRGAVRPFTGLEDMSDSWSYPPLNDAVDVAARVREVLAERGWRLA* >Brasy9G120900.2.p pacid=40063339 transcript=Brasy9G120900.2 locus=Brasy9G120900 ID=Brasy9G120900.2.v1.1 annot-version=v1.1 MSIRPVWMPEPPGGGTGAPEIFAGGAGTVRRAIVIGNGCAGAENQCLGLVRALGLADRLTLYRITRPIGGINKWLHFLPISLHQIVDQILRHIFSNTTFAPLFQGKMLAPYPVHNVQSFGLSSVLEADTKKIVTMVHDTFEKEGLAIVVACGRDTISYASSVRFLAPDNVFVIQIQHPRYRVDRFDLVVTPRHDYYALTAKGQQEIPRLFRTWITPREPPGPNVVLTAGALHQADSAALRIAATNWHDELAPLTKPLVVVNIAGPTRNCNYGVDLVKQLVSSLHKVLKTCGSVRISFSRRTSQKVSDLILKEFSTHPKFYIWDGEEPNPHLGHLAWADAFIITADSISMISEACSTGWKFSDFHSTLHKRGAVRPFTGLEDMSDSWSYPPLNDAVDVAARVREVLAERGWRLA* >Brasy9G120900.3.p pacid=40063340 transcript=Brasy9G120900.3 locus=Brasy9G120900 ID=Brasy9G120900.3.v1.1 annot-version=v1.1 MSIRPVWMPEPPGGGTGAPEIFAGGAGTVRRAIVIGNGCAGAENQCLGLVRALGLADRLTLYRITRPIGGINKWLHFLPISLHQIVDQILRHIFSNTTFAPLFQGKMLAPYPVHNVQSFGLSSVLEADTKKIVTMVHDTFEKEGLAIVVACGRDTISYASSIQHPRYRVDRFDLVVTPRHDYYALTAKGQQEIPRLFRTWITPREPPGPNVVLTAGALHQADSAALRIAATNWHDELAPLTKPLVVVNIAGPTRNCNYGVDLVKQLVSSLHKVLKTCGSVRISFSRRTSQKVSDLILKEFSTHPKFYIWDGEEPNPHLGHLAWADAFIITADSISMISEACSTGKPVYVVGTEHCRWKFSDFHSTLHKRGAVRPFTGLEDMSDSWSYPPLNDAVDVAARVREVLAERGWRLA* >Brasy9G120900.4.p pacid=40063341 transcript=Brasy9G120900.4 locus=Brasy9G120900 ID=Brasy9G120900.4.v1.1 annot-version=v1.1 MSIRPVWMPEPPGGGTGAPEIFAGGAGTVRRAIVIGNGCAGAENQCLGLVRALGLADRLTLYRITRPIGGINKWLHFLPISLHQIVDQILRHIFSNTTFAPLFQGKMLAPYPVHNVQSFGLSSVLEADTKKIVTMVHDTFEKEGLAIVVACGRDTISYASSIQHPRYRVDRFDLVVTPRHDYYALTAKGQQEIPRLFRTWITPREPPGPNVVLTAGALHQADSAALRIAATNWHDELAPLTKPLVVVNIAGPTRNCNYGVDLVKQLVSSLHKVLKTCGSVRISFSRRTSQKVSDLILKEFSTHPKFYIWDGEEPNPHLGHLAWADAFIITADSISMISEACSTGWKFSDFHSTLHKRGAVRPFTGLEDMSDSWSYPPLNDAVDVAARVREVLAERGWRLA* >Brasy9G330100.1.p pacid=40063342 transcript=Brasy9G330100.1 locus=Brasy9G330100 ID=Brasy9G330100.1.v1.1 annot-version=v1.1 MEQPAAVSEVFGNCDLLDQILLRLDNPVFLVLASRVDKTWKRRATDRDFLGRFRNRLARRPRPRPLGFYVSFVSYENPYDRTKFVPMFQPPELADVMSSAFFGNFGDNLDPGEQSHVVHCRNGRVLLNLYRRCDGPYAVLGPLDTAPPPSPVVSDIVFRFLADHGRDGPLCLVIGIFSSTDLVRLSRLQDQDGQWVDSISCATGLSATLGDPVGQFLARDDNLYMLSSNGYIVRLDLASMSFLVMGLPDGVELDGGDTEEAEDKQLLSRAYDDFGVYFIQIKGLHLRVWVCKSDGELANISLPKAFGHLANLIGDGGHYVVEKPEDWRYGLLRLLSAGDNADFVFLVDGNEIYRHIFYLDIKTRNVEEVYCRLNEDREVLDSCHFMTT* >Brasy9G239500.1.p pacid=40063343 transcript=Brasy9G239500.1 locus=Brasy9G239500 ID=Brasy9G239500.1.v1.1 annot-version=v1.1 MSAGTIPVSRGHGRRRCRDRAAHRGAQRRVAGWSGSCLHARGGEVGGNPAGSWPRESRPPPPQRAQPPPVRAQESRPPPLQRARPPPVRPHESYLPPVEKSGMPWVRESTAGGAPAGEGEEDRSGARMSLGGGAAGPRGYGGVRGRAHGGDAAADEFGRGSTGRVGGAVEAGRLQQSRGEEGMRERDRGAEEDNGGAAGLPEREREMALRITGSHVRWQNI* >Brasy9G217300.1.p pacid=40063344 transcript=Brasy9G217300.1 locus=Brasy9G217300 ID=Brasy9G217300.1.v1.1 annot-version=v1.1 MMSGRKNAGKASPCLLILISVGCFFATYNFLTMVGYGRGRDGPRKLLGGSGRDQDSAVSFESGSDPSKRFHVALTATDALYSQWQSRIMHYWYKEMRGRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADKGYIVLNRPWAFVQWLRKSNIKEEYILMAEPDHIFVRPLPNLANGDEPAAFPFFYIKPTDNEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDVETDKAFGWVLEMYAYAVASALHGVHHNLRKDFMIQPPWDLKSDNTFIIHYTYGCDYSLKGELTYGKIGEWRFDKRSYLRSPPPRNLSLPPPGVPESVATLVKMVNEATANIVGWDEER* >Brasy9G217300.2.p pacid=40063345 transcript=Brasy9G217300.2 locus=Brasy9G217300 ID=Brasy9G217300.2.v1.1 annot-version=v1.1 MMSGRKNAGKASPCLLILISVGCFFATYNFLTMVGYGRGRDGPRKLLGGSGRDQDSAVSFESGSDPSKRFHVALTATDALYSQWQSRIMHYWYKEMRGRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADKGYIVLNRPWAFVQWLRKSNIKEEYILMAEPDHIFVRPLPNLANGDEPAAFPFFYIKPTDNEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDVETDKAFGWVLEMYAYAVASALHGVHHNLRKDFMIQPPWDLKSDNTFIIHYTYGCDYSLKGELTYGKIGEWRFDKRSYLRSPPPRNLSLPPPGVPESVVCA* >Brasy9G217300.3.p pacid=40063346 transcript=Brasy9G217300.3 locus=Brasy9G217300 ID=Brasy9G217300.3.v1.1 annot-version=v1.1 MMSGRKNAGKASPCLLILISVGCFFATYNFLTMVGYGRGRDGPRKLLGGSGRDQDSAVSFESGSDPSKRFHVALTATDALYSQWQSRIMHYWYKEMRGRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADKGYIVLNRPWAFVQWLRKSNIKEEYILMAEPDHIFVRPLPNLANGDEPAAFPFFYIKPTDNEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDVETDKAFGWVLEMYAYAVASALHGVHHNLRKDFMIQPPWDLKSDNTFIIHYTYGCDYSLKGELTYGKIGEWRFDKRSYLRSPPPRNLSLPPPGVPESVVCA* >Brasy9G312400.1.p pacid=40063347 transcript=Brasy9G312400.1 locus=Brasy9G312400 ID=Brasy9G312400.1.v1.1 annot-version=v1.1 MALYGLRQRIHLIRFLRTVFFTGCYCSLPSPLLFRHHPRVAAEEGDQQQGATSRLRILGNNGKVKPPASPFSILSRWANSNFEQLTAASESTEGLHLLLQSPSQHLLFEEMNVCQKSIGTPLIFFLLSIFV* >Brasy9G033900.1.p pacid=40063348 transcript=Brasy9G033900.1 locus=Brasy9G033900 ID=Brasy9G033900.1.v1.1 annot-version=v1.1 MAATAPADDRPDPCHVAAAVSSPPQPTPEELVARAVAPVKPAFLRPPPVREAPSEENRATGAVPLEKKSKRQFKRERKQEQESVSRLCIAVGKSGNPDACKYGASCRFSHDIDAYLAQKPADLEGTCPFTVQDKLCPYGLNCRFLGTHKDNNAFSENHEINPLNKDVQKLLWKTKYKFPKASAQIKHLGLKEVIKTKANARTEDQKADHDNLDVSCELNCDDKTESLSGPPVNVDCDSGLCEQMVKLEGEPLVCNSVPCVEPRASKKSKVEDGGIHKNGAGTHDTMTYSEDSNLVNGLEVPSDDPSSCRVDLVETPHLRERKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLMQGQASEWALLRRHSSEDLFGVQICGAFPDTVARTVELVDNECSFDFIDINMGCPIDVVVNKGAGSQLLTKPMRIKSIVQASSAVTERPLTVKVRTAFFEGRNRADSLVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDDLHVIGNGDIFSYTDWNRHVSGCSKISTCMIARGALIKPWIFTEIKEQKDWDITSGERFNILKDFAHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVVPQRLNWRPPSYCGRDDLETLMASDSAADWVRISEMLLGKVPEGFTFAPKHKSNAYDRAENG* >Brasy9G033900.2.p pacid=40063349 transcript=Brasy9G033900.2 locus=Brasy9G033900 ID=Brasy9G033900.2.v1.1 annot-version=v1.1 MAATAPADDRPDPCHVAAAVSSPPQPTPEELVARAVAPVKPAFLRPPPVREAPSEENRATGAVPLEKKSKRQFKRERKQESVSRLCIAVGKSGNPDACKYGASCRFSHDIDAYLAQKPADLEGTCPFTVQDKLCPYGLNCRFLGTHKDNNAFSENHEINPLNKDVQKLLWKTKYKFPKASAQIKHLGLKEVIKTKANARTEDQKADHDNLDVSCELNCDDKTESLSGPPVNVDCDSGLCEQMVKLEGEPLVCNSVPCVEPRASKKSKVEDGGIHKNGAGTHDTMTYSEDSNLVNGLEVPSDDPSSCRVDLVETPHLRERKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLMQGQASEWALLRRHSSEDLFGVQICGAFPDTVARTVELVDNECSFDFIDINMGCPIDVVVNKGAGSQLLTKPMRIKSIVQASSAVTERPLTVKVRTAFFEGRNRADSLVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDDLHVIGNGDIFSYTDWNRHVSGCSKISTCMIARGALIKPWIFTEIKEQKDWDITSGERFNILKDFAHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVVPQRLNWRPPSYCGRDDLETLMASDSAADWVRISEMLLGKVPEGFTFAPKHKSNAYDRAENG* >Brasy9G222000.1.p pacid=40063350 transcript=Brasy9G222000.1 locus=Brasy9G222000 ID=Brasy9G222000.1.v1.1 annot-version=v1.1 MQASAARFCFSSASKKVIADASYTVARSCYWPLRGKTHVASLSAQDPPNVQKRITKQERRARVEEFVENYRASHEGKFPCASSVRQQVGGSYYIARALLQELEYNSRLKSDARNDSLESDNFKEKHGLNNTDLSTRDASTGFEGIKEEKAKTSESLDFKTKLQLSECHGKTGPIKSDLTSSISLEHKSGPTAASDQTESNKMMKAETLESSKHAHGGSTETNIWGSLKSFAEGLRVFWKNM* >Brasy9G337100.1.p pacid=40063351 transcript=Brasy9G337100.1 locus=Brasy9G337100 ID=Brasy9G337100.1.v1.1 annot-version=v1.1 MDAYRRFAGSGDAPPPPQQQHPLPLPSHPNPNGWYPGPAPPYHAPHPNHPFPPQQHQWGHPPPPDIQHQHRPPPQYTYQPHPPPMQPPPPPAPGNPWPPHHAGAQPPAPSYPPPPPGQAWTNHSWAQNDGYTGLANEDDWATKAKEWAAAKSGTQNHQIQQHVMPTNRTEVHHYGGYHDQYQHSAGVPAEPLHPPIPQSNSDQLPFQMTGQSRETNYLLDRGPMVPPPKSFSSFPSTYEQEVSYNYSSAPVNGDAMLQYPSSQQAQPAPAASAVQDGFPRGTPSMPGHGGQSFRMMVDPSDQPLEFNSRKPDMAVHQAVNINSTVPTGVSEHDTVASTQSWGPSAVGYFPRAPVPAQMDPSVHAAPLFGAVAGSNYVPPAAFGVGSVTEAFPTDASTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNFSSMESEDAAELVKRADQTDSRSIGPAKLTEDDDDDEDEIEEARMAAINKEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSTEPNDPTSVSSLKERDLGEPKAKSTAKVVVSAKPTNISSIDHLDGTGLSSPKGALLGLASYDSDESDDDEGEKSIDGEGHMNHNESAAIQSVSSGDDKKSNVEGSQRKANAESERELHIDDTQNGEFPLEVKTSIQPINEKAHGHSDVDCQNGKASSTNHAENNNNNNNNNNNAEITHRHLARSIHEEDLVKDAKLVHRKDLESSVTEKYNDGDNHSMRGNIVKKGIEEEKGSGRYAKHGLDERNNAKGDRKDFPEDARERKRDSADRRDKRKDGNDDRSRQIMKSSASHSSRRSRSPSGRSHTRKESSSHVRRSVSSDEPSDHVKKRKTHSRKDSMSPSPPRSRNRYINMCPFSILLINDFRNF* >Brasy9G110900.1.p pacid=40063352 transcript=Brasy9G110900.1 locus=Brasy9G110900 ID=Brasy9G110900.1.v1.1 annot-version=v1.1 MSRCTYMAQLKAGPRTATAAVPDIAAQLDLASACIKGGGEAMMTGRPPLVVIGHRGKGMNALASPDERLREVKENTVRSFNDAASVSGVSYVEFDVQVTKDGCPIIFHDNFIFTQELQDGEISGKRVTDLGLEEFRSYGPQKDQGKVGRPLLRKLKDGRILRWDVRYDDTLCTLQEAFEDADTRVGFNIELKFDDDLVYQEGELAGILQAILKVVSEHARDRPIIFSSFQPDAARLMRKLQDGYPVYFLTNGGTQIYADARRNSLEEAVRLCVACGLQGIVSEARAVFRHPSAIAMVKEASLSLLTYGQLNNVPEAVYMQHLMGVDGVIVDLVQEITEAVSEFAAAVGPAEPGLEDGSVLETVALAAKRTPNFSQREISFLLRLIPELVQ* >Brasy9G022800.1.p pacid=40063353 transcript=Brasy9G022800.1 locus=Brasy9G022800 ID=Brasy9G022800.1.v1.1 annot-version=v1.1 MTPSVPAGAQQMANAAPNLQTTRLVIDIGDSKTIEITLRGNLILQQSIGCPQIGTAAAPSTVASTAGAPAAGGASAAGAGAPAVLSDEEEDVRNQEFLDQMHARMADGCGHPVRRHSVPGGDAAAELDSPGLVPRVRQECLSLSRRRRRSSNGGGSNLRSWRSNSSIKLHGRQHAYFRHITDPGAWAAAVGENIPAYHQSGAPLLYRRLHFCCW* >Brasy9G327400.1.p pacid=40063354 transcript=Brasy9G327400.1 locus=Brasy9G327400 ID=Brasy9G327400.1.v1.1 annot-version=v1.1 MDASVGLRARPRASWAGQQKPHGAFFSGRVPATLTSEKPALRPLVLGSGTPMRRSTEPVRGARFKGLCCKKSAGTEKAHYSADEALVLKQKAEDVLPYLNDRCVYLVGMMGSGKTTVGKMIAEVLGYSFFDSDKLVEQAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMRKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALLEKRMDSYANADARVSLENVAFKHGHDDVNVLTPSAIAIEALLKMESFLTEKAMVRN* >Brasy9G327400.2.p pacid=40063355 transcript=Brasy9G327400.2 locus=Brasy9G327400 ID=Brasy9G327400.2.v1.1 annot-version=v1.1 MDASVGLRARPRASWAGQQKPHGAFFSGRVPATLTSEKPALRPLVLGSGTPMRRSTEPVRGARFKGLCCKKSAGMMGSGKTTVGKMIAEVLGYSFFDSDKLVEQAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMRKGLTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALLEKRMDSYANADARVSLENVAFKHGHDDVNVLTPSAIAIEALLKMESFLTEKAMVRN* >Brasy9G243500.1.p pacid=40063356 transcript=Brasy9G243500.1 locus=Brasy9G243500 ID=Brasy9G243500.1.v1.1 annot-version=v1.1 MIWRLVLLLCFLSLRQQVLAATASRSKVVTSLPRPPPLPPRDWVDEKNGAELFYYFVEAEAGAENAPFLLWLTGGDHCSVLSGLAFEIGPVSFVIEPYNGTLPRLETNPYSWTKVANILFVDSPVGAGFSFSRSPEGYDVGEVSTSLQLHELLVKWLTVHPKFLANPLYIGADSYAGKIVPLIAQKISEGIEAGRSPLLNLKGYLVGNPVTDYSVDLSYRVPFAHGFGIISDQLYETILGHCQAQDYKNPATHSHD* >Brasy9G228300.1.p pacid=40063357 transcript=Brasy9G228300.1 locus=Brasy9G228300 ID=Brasy9G228300.1.v1.1 annot-version=v1.1 MSAGDTLDKLVVFLAKRDGIDKLVKTYQYVSKLAHWAAESSHPDLARRAKNWETAAGLSRKVFRSGRSLTGFNALRRSPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLEPWLARRMSFVSAFGESVGYVFFIAMDFIMIRRGIRQERKLLREGGGKEKEKEKESELSKIRMDRVMRLMGTAANLADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS* >Brasy9G234000.1.p pacid=40063358 transcript=Brasy9G234000.1 locus=Brasy9G234000 ID=Brasy9G234000.1.v1.1 annot-version=v1.1 MDAPRDPPPGRARAPPPGWSGDSASGQGSGPDGSWRYGWEWASGPGGGWGYGYGHSSAQSPGGTPAEGSAFGFGYGSGGGGGGGGWSGRGVGFGFGGRGGHAGGFGWGGQHGGWGAGGGAFGSDHGGWGMRGGFGGGRQGQRPPPPRGGGAGRN* >Brasy9G254700.1.p pacid=40063359 transcript=Brasy9G254700.1 locus=Brasy9G254700 ID=Brasy9G254700.1.v1.1 annot-version=v1.1 MQPYLELASLRVTTTIPLSPRIYSGNFLATSSAMAAMAYIALWGAALAIVLSILHWAYRWSHPSSSGTLPPGSMGVPLIGETLQFFAPNPTCGLSPFVRERVKRYGSMFKTSIVGRPVVVSADPEVNHYVFQNEGKLFESWYPDTFTEIFGRDNVGSLHGFIYKYLKTLVLRLYGQENLKAVLLAETDAACRGSLASWAEKPSVDIKHGLSTMIFDLTAKKLIGYEPSKSSESLMENFTAFIRGLISFPLNIPGTAYHECMEGRKKAMKVLRGMMKERMADPERKCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKVVDALTEEHEAIVRNREDPDAAVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRRALEDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLTKVLMATFIHNLVTKYRWRTVKGGNIVRTPGLGFPDGFDIQLFPKN* >Brasy9G143000.1.p pacid=40063360 transcript=Brasy9G143000.1 locus=Brasy9G143000 ID=Brasy9G143000.1.v1.1 annot-version=v1.1 MKRYGLQLRTKPAASSSSRPPPAARPIAAFADDDDDDVEADILRQSSKKRALQKVEELQKKAIEEDPSVFAYDDVYDDMKEKAARPKIQAKVVRQSKYIEALKEKAEQRKREQDIVYERKLHKERSKEDHLFADKDKFVTSAYRKKLEEEQKWLEEERRRQLQEEKDDVTKKKDLSDFYFGLAKNVAFGARTHDGTEQVEPEKLDNKVEDTQTSKSDAEGSGRSPKRMRESSVGSDKARESRSVEEPATTGSRDSMTATSTEKDANVAPTASQVPQPAPVTDEHYKRSTDALAAARERALARKRAKEQQF* >Brasy9G143000.2.p pacid=40063361 transcript=Brasy9G143000.2 locus=Brasy9G143000 ID=Brasy9G143000.2.v1.1 annot-version=v1.1 MKEKAARPKIQAKVVRQSKYIEALKEKAEQRKREQDIVYERKLHKERSKEDHLFADKDKFVTSAYRKKLEEEQKWLEEERRRQLQEEKDDVTKKKDLSDFYFGLAKNVAFGARTHDGTEQVEPEKLDNKVEDTQTSKSDAEGSGRSPKRMRESSVGSDKARESRSVEEPATTGSRDSMTATSTEKDANVAPTASQVPQPAPVTDEHYKRSTDALAAARERALARKRAKEQQF* >Brasy9G193200.1.p pacid=40063362 transcript=Brasy9G193200.1 locus=Brasy9G193200 ID=Brasy9G193200.1.v1.1 annot-version=v1.1 MSYFQATTYKPHGGLTLDRPVAGLGRTCKLLRQHSVSQRFSRSCNLQEKVYPRLLVAAFHKRLGPVYASSGKGNLDFVNDQFSMESLNKAMDEAKKQRSIQDLLMEQMAKLTGQGSGGNGGNINRYGGSGGGSDGPEDESFTESLYEMVQVLLATIAFILTYIHIIRGEELYRLARDYTKYLVTGKRTSRLKRAMLNWRDFSDSITKKDSTQDVVSGSPIASEPMWWLQPQKLVNHLGEFFRNNLRPHTQES* >Brasy9G153600.1.p pacid=40063363 transcript=Brasy9G153600.1 locus=Brasy9G153600 ID=Brasy9G153600.1.v1.1 annot-version=v1.1 MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANTHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFLNLMNDRSSEEVSDAVFDDEYVSDLVKMVNWKADGGLTPLHMAALNGHVECVQLLLDLGACVSEVTIEDGTTMDLIGSGSTPLHYAACGGNAVCCQLLIARGANLAAQNASGLAPLMVAHSWHRNSLEEILSNEPEGRLRTLPSPYLCLPLMSIMSIARECGWRYRNQSPVCIDPCAVCLEGRCSVAAEGCKHEFCTRCALYLCSTSYASVNPAGAIPCPLCRHPIIAFAALPGTSPIRELPRNSLSLSLCTTCPAVNSDSSAASVPSHLYRTEFHCARMPPMGSSSFRSLSCQRLNPSFCMGTMDTNPCLIRCSRFGSILNRSASHGETNRRPWPLTFSPIVATTS* >Brasy9G206700.1.p pacid=40063364 transcript=Brasy9G206700.1 locus=Brasy9G206700 ID=Brasy9G206700.1.v1.1 annot-version=v1.1 MASTAPTSVCPFAPRLTVAASGSGRSLASSASYRAVRCWSARRGRRRRWAELRARCAGGQSAVKPGSESAGEGSASAGEEDRPRPQFDLNLAVVLAGFAFEAYSSPPVDAGWRETDAAECQTVFLSNVFLHEVYDGQLVVRLKKGTSLPAMDPWGTSDPYVVLQLNGQTTKSNIKWATKEPTWNEDFTFNIRKSQENLLQVEAWDANLVTPHKRMGNAGLYLETLCDGNKHDATVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRMPFVSDFLAQSSLGSALRTVLGSETVNASQFVRSAFGQLSSFTYTNLPKSLSSDIKVEVSERPEESLDKSDGSNELQQQKIDSKASGDNSDSQSEVEYTASIANSERNTLPDMSEPDEYFWSAFTNTLNQNVLQNFGISLPEAKQLDGFDLLTSLGSKSREIAEQVYLESGLATTDTSTSDDSETTAEHATCVGDEDSTMPTKEVVQASFPDINEVSRDVLSQTENILGALVILSKNFSPQEKGSVITDEANKKDDSIREDQGAANSIDDGAVASTEKSTDAQNTDDTRQLFASAETAVEAWAMLATSMGRSSFIQSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQTRWKDLITDLMLVPAGLNPERLGGDFKEVQVHSGFLSAYDSVRNRIMVLTKYAIGYTDEEGAETTPKWHIYVTGHSLGGALATLLAIELSSSQMAKNGIIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEEPVYLKCGDLKDALVNMEILDDEDQGDEIGEYTPDVFVSEFMRGETQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLETVRSRYQVGEMQIKNNTS* >Brasy9G206700.2.p pacid=40063365 transcript=Brasy9G206700.2 locus=Brasy9G206700 ID=Brasy9G206700.2.v1.1 annot-version=v1.1 MASTAPTSVCPFAPRLTVAASGSGRSLASSASYRAVRCWSARRGRRRRWAELRARCAGGQSAVKPGSESAGEGSASAGEEDRPRPQFDLNLAVVLAGFAFEAYSSPPVDAGWRETDAAECQTVFLSNVFLHEVYDGQLVVRLKKGTSLPAMDPWGTSDPYVVLQLNGQTTKSNIKWATKEPTWNEDFTFNIRKSQENLLQVEAWDANLVTPHKRMGNAGLYLETLCDGNKHDATVELEGLGGGGTIDLEVRYKSYDDIEREKQWWRMPFVSDFLAQSSLGSALRTVLGSETVNASQFVRSAFGQLSSFTYTNLPKSLSSDIKVEVSERPEESLDKSDGSNELQQQKIDSKASGDNSDSQSEVEYTASIANSERNTLPDMSEPDEYFWSAFTNTLNQNVLQNFGISLPEAKQLDGFDLLTSLGSKSREIAEQVYLESGLATTDTSTSDDSETTAEHATCVGDEDSTMPTKEVVQASFPDINEVSRDVLSQTENILGALVILSKNFSPQEKGSVITDEANKKDDSIREDQGAANSIDDGAVASTEKSTDAQNTDDTRQLFASAETAVEAWAMLATSMGRSSFIQSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQTRWKDLITDLMLVPAGLNPERLGGDFKEVQVHSGFLSAYDSVRNRIMVLTKYAIGYTDEEGAETTPKWHIYVTGHSLGGALATLLAIELSSSQMAKNGIIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEEPVYLKCGDLKDALVNMEILDDEDQGDEIGEYTPDVFVSEFMRGETQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLETVRSRYQVGEMQIKNNTS* >Brasy9G256800.1.p pacid=40063366 transcript=Brasy9G256800.1 locus=Brasy9G256800 ID=Brasy9G256800.1.v1.1 annot-version=v1.1 MPESPTSPAAKPLSPPGSPLNAPTPSAASALLRATVLFLAFLALQLVLFKSLLRFPSTRFLPAPGRCSSTWANGAADAGACKAGLVYVYDLPPEFNHDLVTHCDRLWPWYSFCPYLTNGGFGRPSAESPAFSALVPNASLPNWYNTDQFPLEVIIHRRLLSHHCRTTDPSLAAAFYVPFYAGLDVGSHLWGLNSTVADRDRAGTRLLGWLRNQTAFKSSGGWDHFITLGRITWDFRRYDARGWGTNFVLMPGMENVTRLVIEGDRLDAMDVGVPYPTGFHPRGARDVRAWQRHVLSRNRTRLFGFAGAERSGFRDDFRKVLVGECEDAGRAHCRSVNCRGTRCNNDAAEVTGLFLESKFCLQPRGDSYTRRSLFDCMVAGAVPVLFWRRTAYDQYRWFLPAGASGKGKGKEREWSVFMDRRELQAGNVSVLEVLQGFSEQRVRRMRERVVEMIPRLVYASSGGLGDGMADAFDVALSGVLKRFRRRRWSIPREGRPPGPVVDRRVNGTSMAPPVSNGKNRSVIRRRRRRRFSQDKSRRVSSSHIKPVVSQAEASSGRQTLQIS* >Brasy9G202700.1.p pacid=40063367 transcript=Brasy9G202700.1 locus=Brasy9G202700 ID=Brasy9G202700.1.v1.1 annot-version=v1.1 MAGSKPGVNPFAFLDSSDPGDKRLADFDAKPEKPAINLVQDLFGQAYAAYPSSVQGKQAKANNGGGGSRATASAASNRAQGGGAKRGGYNNSSGASRKHGVSVDEASSAQGPQAPRLYDINEFPSLN* >Brasy9G024100.1.p pacid=40063368 transcript=Brasy9G024100.1 locus=Brasy9G024100 ID=Brasy9G024100.1.v1.1 annot-version=v1.1 MQCQERKKGAPRRARRTSSRRKRLARPRANILPAERGGSNATCCFGALAGGQRGARKVGEAPAAARAPSTRTAAAVSVAARAPPRHGFLTNPNEDYIGYQPPDEPPCWIHVPEFAAGAGENRACHCLCPVKIRVAYEGTDTGRLLLACGFEDGVNCDYYQSLDPEWPGPMQHVLTKMWEEIDELKQSKRHEMHRLNQRNSVMHEQVRLAMQELEQEKKKAEEEKILAGEEKKQAEKAKVRLAEELLMLGLKLKSMKI* >Brasy9G265700.1.p pacid=40063369 transcript=Brasy9G265700.1 locus=Brasy9G265700 ID=Brasy9G265700.1.v1.1 annot-version=v1.1 MEMHGQAKDRLSALPDELLELVLSQLGSDEAVRTSVLSRRWRDVHTTVPVVDIREVEHVGRDSPTSETAVCFDQRVTGAILSKGPGTPIRTFRLDWCAPPRDLLDQWIITAVSSGAEEIDVALSYLYSSGHCLCPFGSSKHASADFDKDDTNRYTKTQRQLFGCPTLRRLRLSNWTLDLPPCTAMATSSLETLYLARIMDPGGLTQQLLLNCPRLADLTLQECPSVKKITVTSAHLRSFAMICCHHATRIRLSSPCLRSLHYKGGLPRRSLFKVKNFPGVIALTIEICEDLSGKQETDVAPVAALITRCTNLTHLYLSLRPSMAYHSRLLRYAIRALPLRQLGLQGYLLKDYDIRSVADLLCVTENLEVLSLFDLGPPKEKTSTRKDTCKISAFERCSFGGILGYLDSWTIPV* >Brasy9G095400.1.p pacid=40063370 transcript=Brasy9G095400.1 locus=Brasy9G095400 ID=Brasy9G095400.1.v1.1 annot-version=v1.1 MRGRRVCRAGKERGIGESHRADDEESSGPGASARSRGGPASVRWTPSGCVAAAPPPRRAAAAPTPCGPWWWRRWTQLVRCRCWRYYPP* >Brasy9G147600.1.p pacid=40063371 transcript=Brasy9G147600.1 locus=Brasy9G147600 ID=Brasy9G147600.1.v1.1 annot-version=v1.1 MNTTCGQSRTAEGGPCAGTRSWVGVLVEEQEAGSDGGEGGNGGGGGSGRVCPAELGRAALGRRSADGRQAAGRRRGRTNGGEGRERAEEPVDEANPAGAGRRLARAVLDLVGTPPVASCCSLGRSRGEGGEQRRRKRFGKIFSTEWQFWRK* >Brasy9G058100.1.p pacid=40063372 transcript=Brasy9G058100.1 locus=Brasy9G058100 ID=Brasy9G058100.1.v1.1 annot-version=v1.1 MSQITPPPPTPRRFVPRETPPPRPTPNAAVPRIPRPRPATAQPPLRLPAMASSAIADMVEEGKQIILMKQQGRFGYALRRAEALAMKHPKSPLPPSLIGAIRYDAAIRAREDAAGSEEELADAAALAESHLRGAHHAIIRVNSMAPNNIDNHIALAGVLVELSMFREAETALRRAWDLEANPLVATQGLHNRLGSSTRDAKNQSYERLTNRLVDHEIQKVSEVRNSHGGALNALKEARSLAKRFPGSVRARLFEALIELEYMNSLPRDLDRTKGVERLLQSANDIVETFPKSGVSASFRARLLFLLGRHDDAEEECNRALSLVDLKEDTILPASIRGDKGEFDDLLSKITTKRQMAGAGGRPCQKITADDIATIFNVDQVSSKEEVSFPAGGHELSKSTSNIGDIAAICSVDKLSSKEKVSYPAGGHELSKSTRTVGAFFSSNTKGIHLLEFILMTLWCLRHFVAEVIEMESLCTNHNGPCISEIVQNIFSLWENNEDHKEQLPRLAYAIQRRLDDENFFEKLQDDFVSEITTTILNGLHVNDMCLHIRFVGGTFACNWCRSKKVNNICINCSSPSRKEPHSTIVVYWTNVRADPRSFREILNEYVRPVMTFAYNGGTAVYSLAAMCLGSWEFMLEMCRKYRPSVLLYEVIK* >Brasy9G058100.2.p pacid=40063373 transcript=Brasy9G058100.2 locus=Brasy9G058100 ID=Brasy9G058100.2.v1.1 annot-version=v1.1 MSQITPPPPTPRRFVPRETPPPRPTPNAAVPRIPRPRPATAQPPLRLPAMASSAIADMVEEGKQIILMKQQGRFGYALRRAEALAMKHPKSPLPPSLIGAIRYDAAIRAREDAAGSEEELADAAALAESHLRGAHHAIIRVNSMAPNNIDNHIALAGVLVELSMFREAETALRRAWDLEANPLVATQGLHNRLGSSTRDAKNQSYERLTNRLVDHEIQKVSEVRNSHGGALNALKEARSLAKRFPGSVRARLFEALIELEYMNSLPRDLDRTKGVERLLQSANDIVETFPKSGVSASFRARLLFLLGRHDDAEEECNRALSLVDLKEDTILPASIRGDKGEFDDLLSKITTKRQMAGAGGRPCQKITADDIATIFNVDQVSSKEEVSFPAGGHELSKSTSNIGDIAAICSVDKLSSKEKVSYPAGGHELSKSTRTVGAFFSSNTKGIHLLEFILMTLWCLRHFVAEVIEMESLCTNHNGPCISEIVQNIFSLWENNEDHKEQLPRLAYAIQRRLDDENFFEKLQDDFVSEITTTILNGLHVNDMCLHIRFVGGTFACNWCRSKKVNNICINCSSPSRKEPHSTIVVYWTNVRADPRSFREILNEYVRPVMTFAYNGGTAVYSLAAMVLRGR* >Brasy9G152700.1.p pacid=40063374 transcript=Brasy9G152700.1 locus=Brasy9G152700 ID=Brasy9G152700.1.v1.1 annot-version=v1.1 MFPPRKVEDEMALGRQRTVRFYDERGKPTIPIHQKQAGFAASKLGIASSGKNKIFVGGDVQYNKIFDPSSDFILTWNRMFLFSCFLALFIDPLYFYVPKIVYSTPYSCVGTDRHLTIIITFFRSVADLLYVIHIIMKFRTAFINPSSTLRVFGRGDLVTDPKEIAWKYLRSDFAVDVVAALPLPQIIVWYVIPAIKYSTAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKTTGVVAKTAWQGAVYNLLLYMIASHVLGALWYLLSVDRQTACWKMTCRNETGCDIRYLDCDARNKNWSSETVVFSSCNASDPSISFDFGMFLPALSNLAPAQGFLIKFFYSLWWGLQNLSCYGQTLAVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPRELRERVRRFIQYKWLATRGVNEESILQVLPADLRRDIKRHLCLGLVRRVPFFSQMDDQLLDAICERLVSSLCTKGTYIVREGDPVIEMLFIIRGKLESSTTNGGRTGFFNSTILKPGDFCGEELLGWALVPKPTANLPSSTRTVKALIEVEAFSLQADDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWGSCFIQAAWRRYRRRKMAKDLSMRESFPSMRSDESSGEDDPPPKKNLSLKMMAGKIMAGNRKGLKTLKELPTLKKPDEPDFSAEPYE* >Brasy9G319700.1.p pacid=40063375 transcript=Brasy9G319700.1 locus=Brasy9G319700 ID=Brasy9G319700.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G127800.1.p pacid=40063376 transcript=Brasy9G127800.1 locus=Brasy9G127800 ID=Brasy9G127800.1.v1.1 annot-version=v1.1 MDSGLSPATASLRLGSSDRAYLGIWFNQIPKCTPAWVANGDKPVAGPTSPELIISGDGNLVILDQATKLIIWSTQANTRANNTVAMLLKTGNLVLQNTSNSSHVLWQSFDYPTDTHLAGAKLGLDKVTGLNHRLVSRKNSIDPAPGIYSYELHETKVSARFSLAAFNSSITYWSSGEWNGYYFGSIPEMTGRQLIDFTFVNNQQEVYFTYTLLDDATIMRFALDVSGQAKIFLWVEHAQDWVPAHTNPNNQCDVYGICGPFATCTENKLPFCSCMEGFSVSSPDDWELGDRTGGCMRNTPLNCSINKSTSVQDRFYPMPCVRLPNNGHKIGDATSAGGCAQVCLGNCTCTAYSYGNNGCLIWEDELTNVKQLQCDDNGNNNQATLCLRLDAKEVQTLQKNRRRINVVVIGASVVSFGLLSLFLLLIIRRLCAHRMKKLQCGGGIIMFRYPDLQHATKNFSEKLGAGGFGSVFKGFLNDSSAVAVKRLDGARQGEKQFRAEVRSIGIIQHINLVKLIGFCTEGDRKLIVYEHMHNRSLDNHLFHSNATGLKWSIRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLDELFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGTVITAKVDVYSYGMVLLEIISGKRNSGRDCTSGDDYVYFPVQVANKLLEGDVETLVDKNLHGDFHLEQVERAFKVACWCIQDGEFDRPTMGEVVQYLEGFLEVEIPPVPRLLQAIAGNPHSKSDWYLDRTSEEAEKLKDTRGSALHQGAHS* >Brasy9G152000.1.p pacid=40063377 transcript=Brasy9G152000.1 locus=Brasy9G152000 ID=Brasy9G152000.1.v1.1 annot-version=v1.1 MERSEAEVEVAADGGHTPHAATSMVQSARASIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVATKDEAAGVAAAAAAKGEEVEGDGQGEEVAVVTPMVVFVNSNSGGRHGPELKVRLHELISKEQVFDLSIVKPSDFVHYGLSCLERLADQGDNCAKDIRGKLRIMVAGGDGTVGWVLGCLQDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAGTAPIVHLDSWQAVITMPVGEIEELPHALKQVEPTDRLEFSKENGNDLPEEASCYKGAFYNYLSIGMDAQVLYGFHHLRDEKPYLAQGPVANKLIYAGYGCTQGWLCTPCTASPQLRGLRNILRLYIQRVNCSEWEQIQMPSSVRSLVVLNLYNYCSGRHPWGNLKPDYLEKRGFVEARSDDGLIEIFGLKEGWHASLVMAELIKAKHIAQAAAIKIEMKGGEWDRAYVQMDGEPWKQPLIQDQSTIVEINKVPYHSLMINGEQ* >Brasy9G152000.2.p pacid=40063378 transcript=Brasy9G152000.2 locus=Brasy9G152000 ID=Brasy9G152000.2.v1.1 annot-version=v1.1 MKRYRKVFDLSIVKPSDFVHYGLSCLERLADQGDNCAKDIRGKLRIMVAGGDGTVGWVLGCLQDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAGTAPIVHLDSWQAVITMPVGEIEELPHALKQVEPTDRLEFSKENGNDLPEEASCYKGAFYNYLSIGMDAQVLYGFHHLRDEKPYLAQGPVANKLIYAGYGCTQGWLCTPCTASPQLRGLRNILRLYIQRVNCSEWEQIQMPSSVRSLVVLNLYNYCSGRHPWGNLKPDYLEKRGFVEARSDDGLIEIFGLKEGWHASLVMAELIKAKHIAQAAAIKIEMKGGEWDRAYVQMDGEPWKQPLIQDQSTIVEINKVPYHSLMINGEQ* >Brasy9G293000.1.p pacid=40063379 transcript=Brasy9G293000.1 locus=Brasy9G293000 ID=Brasy9G293000.1.v1.1 annot-version=v1.1 MHHRLLRCRAMPLRPLPRRTLGVSGGRLFASLPPPPPLQSRREVHVWYLCPDELNDHSQLKMLCYVVPYAELLSPAERKYALSMNGTTLQKDAMLSRALLHTTLSRYTDCKIDPRSFEFKKNKFGKPEIVWPPDDSIVERPLHFNISHTSSLIACGIAIHAHIGIDIEEKKRKTAKSILSLARRYFTPSEVDHLAEISDLDAQRKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLGTSQGIQISKASKLCNDSHSACDNLSEN* >Brasy9G118800.1.p pacid=40063380 transcript=Brasy9G118800.1 locus=Brasy9G118800 ID=Brasy9G118800.1.v1.1 annot-version=v1.1 MNSVAASSIREPWAAGAGDAVNSIPAAGVQLRPEPELESGNGPMAVIGVLLNYPMDNGETRSASGPLHRAHANYASGLHSAWLGRCELLWSR* >Brasy9G247400.1.p pacid=40063381 transcript=Brasy9G247400.1 locus=Brasy9G247400 ID=Brasy9G247400.1.v1.1 annot-version=v1.1 MADQQPPDTPLPLISSLPPNPDPMPPPAPTLDLQIPAFSSKRKRTGFRRKVPSGSPADPLVASPAPHPPLPASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKEPFAALIPPHCDHLLTSAYNFLVSHGHVNFGVAPAIKERLPKEPTRPDTVIVVGAGLAGLAAARQLLAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVENTYNKFLDNSSHLRASMGDVAMDISLGAALETLRQADGGVSTQEEMNLFNWHIANLEYANAGLSSRLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYEKTVHTVRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGIKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFQTTPPTDAVSSVLRILRGIYEPQGVEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFITGVREAANISIHANARATKTRVEKSPSTNAQACATLLVDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGPRKKNATEGGKTEQHHSNKSLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDDMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKADRNSSRTKSGPSKLRLGVSKSPNLLHRDP* >Brasy9G015100.1.p pacid=40063382 transcript=Brasy9G015100.1 locus=Brasy9G015100 ID=Brasy9G015100.1.v1.1 annot-version=v1.1 MASTFSATSTVGLMAAPTGIASDKKSSSLSSVSSISLASRPRNVRLQRKFNFRVKAAKELYFNKDGSATKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVIAAGANPVQITRGIEKTAKALVEELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTTEYENCKLLLVDKKITNARDLINVLEEAIRGQYPILIIAEDIEQEALATLVVNKLRGSLKICAIKAPGFGERKTQYLDDIAILTGGTVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQDYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENEEQKVGAEIVRRALCYPLKLIAKNAGVNGSVVTEKVLSNDNVKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPLANPMDNSGFGY* >Brasy9G015100.2.p pacid=40063383 transcript=Brasy9G015100.2 locus=Brasy9G015100 ID=Brasy9G015100.2.v1.1 annot-version=v1.1 MASTFSATSTVGLMAAPTGIASDKKSSSLSSVSSISLASRPRNVRLQRKFNFRVKAAKELYFNKDGSATKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVIAAGANPVQITRGIEKTAKALVEELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTTEYENCKLLLVDKKITNARDLINVLEEAIRGQYPILIIAEDIEQEALATLVVNKLRGSLKICAIKAPGFGERKTQYLDDIAILTGGTVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQDYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENEEQKVGAEIVRRALCYPLKLIAKNAGVNGSVVTEKVLSNDNVKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPLANPMDNSGFGY* >Brasy9G108500.1.p pacid=40063384 transcript=Brasy9G108500.1 locus=Brasy9G108500 ID=Brasy9G108500.1.v1.1 annot-version=v1.1 MLAMDQKTYELIGIDYPGNRSVDVKNPSLGWIVGFMFVASFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFVWNCFKWFFSGVGDSCGFDHFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPADLGSNDFKGLYGYKVFIPVSVILGDGIYNLIKIIYATIKEIMNARSKQEELPLVRVLYDDEGSKVSAEEKLLNEVFVKDSIPPWLAGSGYVGLAAISTATVPMMFPQLKWYLVLSAYVVAPLLAFCNSYGTGLTDCNLASTYGKIGIFIFASWVGQNGGVIAGLAACGVMMSVVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFAAAIAINLTRDVTPKGVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWEMVNRKESEEFAGAVASGLICGDGIWSVPSAILSIMRINPPMCMYFKPSLNYV* >Brasy9G246400.1.p pacid=40063385 transcript=Brasy9G246400.1 locus=Brasy9G246400 ID=Brasy9G246400.1.v1.1 annot-version=v1.1 MDNPNHGGCSPTRLVLTVLLLCVAATPAASHGGPDTGVHSNFLVIVRRPYEYDTNVYKNVSSWHASLLASVCDMAKEALDKDPASVTRLIYSYRNVVNGFSARLTPEELQEMSQKDWFLKAYPERTYHLMTTHTPKMLGLMGGGSKGSKAEGVWNTSNMGEGIIIGVLDDGIYAGHPSFDGAGMKPPPEKWNGRCDFNNTVCNNKLIGARSFFESAKWKWKGLEDPVLPINEGQHGTHTSSTAAGAFVPNANITGNAVGTSSGMAPRAHIAFYQVCFELKGCDRDDILAAVDEAIEDGVDILSMSLGGNPGADFSEDPVSLGGFTAVLNNVFVSTAAGNVGPNPATLANGAPWLLTVGASTTDRRFVGTVKLGSGVELEGESMSEPKDYGSEMRPLVRDVNNGKCTNENVLRAQNITGKIIICEPGGGASTKKAKMVRRAGAFGMIAVVSQVFGAVVVPRPHVLPTVQVPYAEGLKIKAYAQSTASPTANLIFKGTTYDNPRSPMMAPFSSRGPNTKSRGILKPDIIGPGVNILAGVPGVVDLVLPPNTTMPKFDIKSGTSMACPHLGGIAALMKNAHPTWSPASIKSALMTTTETTDNTGKPIADVDGSQATYYATGAGHVNPEKAMDPGLVYNMTAQDYIPYLCGLNYTDQQVNSIIHPEPVVECAKLRKLDQKDLNYPSITVIINNAQSVVNVNRSVTNVGEAVSTYVVEVDVPKSVTVEVIPTKLVFKEVEEVLNYTVTVKADTVPESTIEGQLKWVFDKHIVRSPILILPGTGVEAAEEEEEAAANAAAPSA* >Brasy9G190300.1.p pacid=40063386 transcript=Brasy9G190300.1 locus=Brasy9G190300 ID=Brasy9G190300.1.v1.1 annot-version=v1.1 MARPAAKALPSKHLVALAVVAILGLVLVADFLWASSRSAASAIWSSRLDLSTRPTGSPPQPAKKQTTENSSTGFMDINATFADLPAPELEWEEMAEAPVPRLDGSAIQIKNLLYVFAGYGTINYVHSHVDIYNFSDNTWGGKFDMPKEMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTERKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEQEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEIVYSDVYMLDDGMKWKELPPMPKPDSHIEFAWTNVNNSLIIAGGTTEKHPINKRMTLVGEVFRFNLETLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKNPSPKKVVGCMWRTKLHL* >Brasy9G068800.1.p pacid=40063387 transcript=Brasy9G068800.1 locus=Brasy9G068800 ID=Brasy9G068800.1.v1.1 annot-version=v1.1 MPPSGCTIDDYDKIAMARYKEMPASKGRPFVLHHWAFIQLDHDDDSDAPNGGRNLRRLDGRKQEKERLKKQGETSSLTAELDELMKSKEIAAATKLEVKLALADKKQAVKEVEKERMKIDARRTAIEEERAKIDARWTAIEEAKAAKDLEEEENRFMAMDPSGFDELGRQWCQMKREDIILRRRQARVACRRC* >Brasy9G251900.1.p pacid=40063388 transcript=Brasy9G251900.1 locus=Brasy9G251900 ID=Brasy9G251900.1.v1.1 annot-version=v1.1 MEMAAAKNGGRPEWRVTVPEGASVTVEHEACLAARAWAWLASSVAMLGAKVCGFGDRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGCLYKGFNRAAATVSAGAIALGVHWIASHAGHTFEPFIRSGSVFLLASLATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVSTVLAMAQQRVCTVGIGISMCLSVSMFVCPVWAGQELHALTARNMDKLAGAVEACVEDYFAAEETDQDKQITAKVEGYKCVLGSKASEDAQANLARWEPSHGRFGCRHPYEQYKSIGAAMRRCAYCVEALRGCVRSSDYSQSTQAPEDDQNVVKRHLAGACTRVAQQCARVIREAAGSVGAMEISRIGMELAVAEMNAAVQELQCDLRALPSKLAAGDQKEASAVMDAVQLFTVTSLLIEVSARVEGVVDAVDTLASLAGFRSLDVKTEASEMDAKVPDYAQV* >Brasy9G068000.1.p pacid=40063389 transcript=Brasy9G068000.1 locus=Brasy9G068000 ID=Brasy9G068000.1.v1.1 annot-version=v1.1 MAGGNSSSSGSRETKKLLLMWRAGVLLAAVAVADAQQLRQNYYGSSCPSAESTVRSVISQHVQQSFAVAPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYNVELGRLDGKTFNRAIVKHVLPGPGFDLNQLNALFASNGLTQFDMIALSGAHTIGVTHCDKFVRRIYTFKQRLAYNPPMNLEFLRSLRRVCPINFSPTSFAMLDATTPRAFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANATAFNDAFVAAMAKLGRIGVKTGAGGEVRRVCTAVN* >Brasy9G140300.1.p pacid=40063390 transcript=Brasy9G140300.1 locus=Brasy9G140300 ID=Brasy9G140300.1.v1.1 annot-version=v1.1 MEEGLVNMAREDENKNTNKKKKWKTFRYPPDEAEFLMAYRPTPFPEVPKVPPEVEAAYPEARDLGESKGHMGHKHRSGREERQACASNGRRDAAGVCGQRLHHLPGRGHG* >Brasy9G179800.1.p pacid=40063391 transcript=Brasy9G179800.1 locus=Brasy9G179800 ID=Brasy9G179800.1.v1.1 annot-version=v1.1 MVEIPVIDLRLAGAAPEESARLRDACERLGCFRVSGHGVPAALQADMKAALRALFDLPDDAKRRNTEIIAGSGYVPPSAANPLYEAFGLWDAAVPADVDAFCARLDAPPHAREAVKSYAEKMHELIVDVAGKVAASLGLEGHVFQDWPCQFRMNRYNYTEDTVGSSGVQIHTDSGFLTVLQEDDCVGGLEVLDPAAGEFVPVDPLPGSFLVNIGDVGTAWSNGRLHSVKHRVQCVAAVPRISIAMFLLAPKDDRVCAPEAFVDADHPRRYRAFNYDEYRKLRLSTGERAGEALARMAA* >Brasy9G332400.1.p pacid=40063392 transcript=Brasy9G332400.1 locus=Brasy9G332400 ID=Brasy9G332400.1.v1.1 annot-version=v1.1 MDARAVALALPLTARVAALLPAATRFAAPSPDEERRIVVLPACPTRVLPPAVALRSDALGAGIDACGPALLRPHIDALPSKMSRPSPALLRPSMAGLFIATPAPDLALGLPPTIRRPFAAPYGQAVVSRAYRLRAPALLAPTSGPVSLLRARAPTLHRFRCAVAKNGGLAKLVDTLNTNGWLRGRVPKGVFATASTLFLLVKTVYGVLKETKEVSELIQYLKDLDKGSTTGGALDPAEKN* >Brasy9G195800.1.p pacid=40063393 transcript=Brasy9G195800.1 locus=Brasy9G195800 ID=Brasy9G195800.1.v1.1 annot-version=v1.1 MAKGTRCMALLLLVGLAARAVSAVPDGLLPNGNFKDGPGKSQLNGTIVMGRHSIPFWEVSGFVEYIGSGQKQGEMILPVPEGACAVRLGNDASIRQKLSVTRRTFYSITFSAARTCAQAEKLNVSVAKESGLLPIQTVYASSGWDSYSWAFKAMHSVVSLAIHNPGAEDDPACGPMIDSIAIKTLKPPQHTKSNMLKNGGFEEGPHVFPDSPWGVLVPPMDEDATSPLPGWTIISQSKAVKYIDSPHFVVPQGSYAVELVAGREAALVQEVATVPGRSYRLFFAVGDAGDGCKDGMVVDAYAARENLQMRYESQGVGGHRRAEVGFVAVANRTRVVFQSLNYHVKPDGTLCGPVLDDVSLVSVRKRVARRLFM* >Brasy9G195800.2.p pacid=40063394 transcript=Brasy9G195800.2 locus=Brasy9G195800 ID=Brasy9G195800.2.v1.1 annot-version=v1.1 MGRHSIPFWEVSGFVEYIGSGQKQGEMILPVPEGACAVRLGNDASIRQKLSVTRRTFYSITFSAARTCAQAEKLNVSVAKESGLLPIQTVYASSGWDSYSWAFKAMHSVVSLAIHNPGAEDDPACGPMIDSIAIKTLKPPQHTKSNMLKNGGFEEGPHVFPDSPWGVLVPPMDEDATSPLPGWTIISQSKAVKYIDSPHFVVPQGSYAVELVAGREAALVQEVATVPGRSYRLFFAVGDAGDGCKDGMVVDAYAARENLQMRYESQGVGGHRRAEVGFVAVANRTRVVFQSLNYHVKPDGTLCGPVLDDVSLVSVRKRVARRLFM* >Brasy9G195800.3.p pacid=40063395 transcript=Brasy9G195800.3 locus=Brasy9G195800 ID=Brasy9G195800.3.v1.1 annot-version=v1.1 MGRHSIPFWEVSGFVEYIGSGQKQGEMILPVPEGACAVRLGNDASIRQKLSVTRRTFYSITFSAARTCAQAEKLNVSVAKESGLLPIQTVYASSGWDSYSWAFKAMHSVVSLAIHNPGAEDDPACGPMIDSIAIKTLKPPQHTKSNMLKNGGFEEGPHVFPDSPWGVLVPPMDEDATSPLPGWTIISQSKAVKYIDSPHFVVPQGSYAVELVAGREAALVQEVATVPGRSYRLFFAVGDAGDGCKDGMVVDAYAARENLQMRYESQGVGGHRRAEVGFVAVANRTRVVFQSLNYHVKPDGTLCGPVLDDVSLVSVRKRVARRLFM* >Brasy9G019100.1.p pacid=40063396 transcript=Brasy9G019100.1 locus=Brasy9G019100 ID=Brasy9G019100.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy9G137700.1.p pacid=40063397 transcript=Brasy9G137700.1 locus=Brasy9G137700 ID=Brasy9G137700.1.v1.1 annot-version=v1.1 MRRLNLKMINVVAVGLVLCTLAAAGVWSPAPPPPAAQQQHGGGEHVVREGRRVVIVEYERELPLSPGDDSSTGVVKERHVITPPHATLHGGQTNGAVSDAADKAAGVAEEGKEKLSEAKESATGKVFGAVKRCKDRLCGAAKGAEEGASRVKHGAQDAARGAGEALSDAKDRAEDKVFDATSGAKEAARGAKDRVSEAAGEAKEKIKDGAAETAKSAKGKVSEAAGEAKEKASRAKDVAAETVTSATGKVSEAAGEAKEKASRAKDVAAETVTSATGKVSEAARNAKDSAKETAMNAKDKVSGMAERAEDYAQDAAGTAAQKVAHAEEVAKAKAGEVGKNLTDIVRRARDVASDAAAYLLGAPMEAARTATAVMHLLGFAAAYGACVWVTFVSSHVLAAALPRQQLGVLQSKLYPVYFRAMAYGVGLPLAAHLLGRERSSLASRAQSFNLLGALGLVLANMLLLEPKATKVMFERMKVEKEEGRGRDMSDVVDTPPVTVATTAPTTTATTTATATPMSAAATRVLLDDARAAKAAKATAGDAEMARSKVVRLNKRLKQLNSYSSLCNVLSLMALTWHLVHLARRLQMSTAC* >Brasy9G324800.1.p pacid=40063398 transcript=Brasy9G324800.1 locus=Brasy9G324800 ID=Brasy9G324800.1.v1.1 annot-version=v1.1 MVAGEDGSIWIGGHQIDTEGSGNGRSAEHPLVPLPLSPAQLNDAFVSSGSGSDYLEIAVPDKLLLITSHLAFSYLSEAQVSPFSFIREALESLAGDPPFTFAASGRGSALLAFDSEEVREATLLHGPFSYKGVDIKLERPEDSDNRSDAVYRWLLEVEAIDFPLELWHAEGASATLGLMGKICCIDLTYLEREDRSTWRYFIKKELGRTIPDRFVALLKENQTAIVSNRVVHAWMLKSSGDDPSENSSSAPSPRSRGRPPSPRRQGPDSPPARGVAPGTSSSNDTAFLYPGTNDTLAPSIASPNRFAVLADAALPPEDSAVEAAVHEDVVRKRGVSNKRALDVAARTQHSQRLADIEPPVFVDMYTKAVRARAAKFDDAVVSAPLARSLGRAKLLDGPAPASAAALQDIALACGASDDEVASIGLASVDAPAP* >Brasy9G044100.1.p pacid=40063399 transcript=Brasy9G044100.1 locus=Brasy9G044100 ID=Brasy9G044100.1.v1.1 annot-version=v1.1 MMTFRDHNPETGLVHSRKSQPSKKKLRKLSDDVEVQIIDDDDDSKQLVLYNAEIAQDKEGVEVTEPIHQSSPLQQRFPKARYGHASVLPSIGAYTVQCADCFKWRIVPTKEKYEELRETICEELFVCARACEWNRVLSCNDPEDMSQDGSRVWAIDKPSIAQPPSGWDRDVRIRGEGSSKFADVYYTSPSGKKLRSLVEIGRYLAENPHYIQQGVNLSQFSFAIPKPLQEDYVKKRTHAAHGLPELPEVVEANPLCWAAPPTRKELLKFGTSASNPVDLDLDQPTSALNPTNLDQPGWFKSPAVHTKKRTPRYTLSPSSFPKRTMKQVLSPSTAPKKRTLK* >Brasy9G044100.2.p pacid=40063400 transcript=Brasy9G044100.2 locus=Brasy9G044100 ID=Brasy9G044100.2.v1.1 annot-version=v1.1 MMTFRDHNPETGLVHSRKSQPSKKKLRKLSDDVEVQIIDDDDDSKQLVLYNAEIAQDKEGVEVTEPIHQSSPLQQRFPKARYGHASVLPSIGAYTVQCADCFKWRIVPTKEKYEELRETICEELFVCARACEWNRVLSCNDPEDMSQDGSRVWAIDKPSIAQPPSGWDRDVRIRGEGSSKFADVYYTSPSGKKLRSLVEIGRYLAENPHYIQQGVNLSQFSFAIPKPLQEDYVKKRTHAAHGLPELPEVVEANPLCWAAPPTRKELLKFGTSASNPVDLDLDQPTSALNPTNLDQPGWFKSPAVHTKKRTPRYTLSPSSFPKRTMKQVLSPSTAPKKRTLK* >Brasy9G110000.1.p pacid=40063401 transcript=Brasy9G110000.1 locus=Brasy9G110000 ID=Brasy9G110000.1.v1.1 annot-version=v1.1 MRSQFDENILDKSQEKENSLRAVQQVRRVALRGEHLVGSPEAEFAIVIAIVTAGDRRLLLDHNQLKTKNKGLGP* >Brasy9G250600.1.p pacid=40063402 transcript=Brasy9G250600.1 locus=Brasy9G250600 ID=Brasy9G250600.1.v1.1 annot-version=v1.1 MAPAPLVHVAFMDDASHFVASTATGYHVFNCNSLQRMLYKPNFTDGGDEVASAELLGPSVPKSLAVVKRRPNKSCGPEGRPVVHDYAIHYRGQSQTNAGKPLVLNPSGAVRGVRLLGDHMLVAGEGKAALYDVHGHREKEVSTGPNPLGLCAMALLGRARTLVYALPMPGPKGAVQVCRRGRPGSVVEVRAHDASLSCLALSPDARLLATASSRGTLVRIFSTADGTKLQELRRGSDRADIHCIAFSSDSKWLAVSSDKATVHVFPVTVNDQASSMPEDGDVLPAVPSVPSPAPAKAKESSSRLSFLKGYLPSYFGPKHSLAQFRLPEGTKYLVAFPPQHQHPCNVLIVGMDGSFYRCVFDPVEGGAMQQGEYKRFMKNFE* >Brasy9G005500.1.p pacid=40063403 transcript=Brasy9G005500.1 locus=Brasy9G005500 ID=Brasy9G005500.1.v1.1 annot-version=v1.1 MPMPILQMQLRHLNPPFAPQANRPRSSSSSAAPRGAARSPPSPSPHSARAAALGTPAAAAASPRTKPMAVGEGAAAVDLAAAEAAEVFMPDARAYWVTASLIAWDVSDQEASICLYASRDATIRLSPNRGIQGYDSKVELQPEHAGLPESVTQKFPFISSYKAFRVPSSVDVSSLVKCQLVVASFGADGKHRDVTGLQLPGVLDDMFAYTGPLGAVFSGEAVDLYLWAPTAQDVSVCFFDGPVGPLLETVQLKELNGVWSITGPRDWENRYYLYEVNVYHPSKAQVEKCLASDPYARGLSANGARTWLVDINNEALKPASWDELADEKPKLDSFSDITIYELHIRDFSVHDSTVDCNSKGGFTAFTYQDSAGIQHLRKLSDAGLTHVHLLPSFHFAGVDDIKSNWKNVDESELAKLPPGSDMQQAAIVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRLGLRVVMDVVYNHLNSSGPSGISSVLDKIVPGYYVRRDTNGLIENSAAMNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKHTMMRAKAAIQSLTRDSHGVDGSKIYLYGEGWDFAEVARNQRGINGSQLNMSGTGIGSFNDRMRDAINGGNPFGNPLQQGFSTGLFLEPNGFYQGNDEDTRLSLATYADHIQIGLAGNLRDYVLVSHTGEAKKGSEIHTFDGLPVGYTSSPIETINYVSAHDNETLFDVISLKTPMELSIDERCRINQLASSMMALSQGIPFFHAGDEILRSKSIDRDSYNSGDWFNKLDFTYETNNWGVGLPPSEKNEDNWPLMKPRLENPSFKPAKGHILAVLDNFLDILKIRYSSPLFRLSTASDVKQRVHFHNTGPSSVPGVIVMGIEDARNDRPEMAQLDANFSSVVVVFNVCPDEVSMDIPALASMGLELHPVQANSSDALVRQSAYETGKGRFTIPRRTASVFVEPRR* >Brasy9G005500.2.p pacid=40063404 transcript=Brasy9G005500.2 locus=Brasy9G005500 ID=Brasy9G005500.2.v1.1 annot-version=v1.1 MPDARAYWVTASLIAWDVSDQEASICLYASRDATIRLSPNRGIQGYDSKVELQPEHAGLPESVTQKFPFISSYKAFRVPSSVDVSSLVKCQLVVASFGADGKHRDVTGLQLPGVLDDMFAYTGPLGAVFSGEAVDLYLWAPTAQDVSVCFFDGPVGPLLETVQLKELNGVWSITGPRDWENRYYLYEVNVYHPSKAQVEKCLASDPYARGLSANGARTWLVDINNEALKPASWDELADEKPKLDSFSDITIYELHIRDFSVHDSTVDCNSKGGFTAFTYQDSAGIQHLRKLSDAGLTHVHLLPSFHFAGVDDIKSNWKNVDESELAKLPPGSDMQQAAIVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRLGLRVVMDVVYNHLNSSGPSGISSVLDKIVPGYYVRRDTNGLIENSAAMNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKHTMMRAKAAIQSLTRDSHGVDGSKIYLYGEGWDFAEVARNQRGINGSQLNMSGTGIGSFNDRMRDAINGGNPFGNPLQQGFSTGLFLEPNGFYQGNDEDTRLSLATYADHIQIGLAGNLRDYVLVSHTGEAKKGSEIHTFDGLPVGYTSSPIETINYVSAHDNETLFDVISLKTPMELSIDERCRINQLASSMMALSQGIPFFHAGDEILRSKSIDRDSYNSGDWFNKLDFTYETNNWGVGLPPSEKNEDNWPLMKPRLENPSFKPAKGHILAVLDNFLDILKIRYSSPLFRLSTASDVKQRVHFHNTGPSSVPGVIVMGIEDARNDRPEMAQLDANFSSVVVVFNVCPDEVSMDIPALASMGLELHPVQANSSDALVRQSAYETGKGRFTIPRRTASVFVEPRR* >Brasy9G293400.1.p pacid=40063405 transcript=Brasy9G293400.1 locus=Brasy9G293400 ID=Brasy9G293400.1.v1.1 annot-version=v1.1 MMCGKSARRDTSVVVVVLETSEVYIVVSLLVAGDTQVISVNPTTGALRYQGKHGEDLFDSEAAALNYITNGSRFLSKSTTYAKAVLGYAVFGSCALLLVAAQLSATVSGLPGGGCIYTVVESQWIKIQLQNPQAQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSPMTLQEPYEEFVWNGWLSKPFKDIGLPLHCVILLQGFAECRNFGGTGQQAGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWAPQKNGQTIPFSSYIWRRGTIPIWWGAEIKNAMSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSQVDAARQKKPGMVPIVCVNLLRYGEGKPETVLVEHFKESLKYLKSTGKLGNTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKEQLKDCRGPIISNGDGGFCMGSIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSMSLDVDAMFGLSASRYSEYNGRNARSLPPGWEERFDSVTGKSFYIDHNTRTTSWEHPCQEAPQKPWKRFDMTFEQFKSSTMLTPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPIADGGNSLLSFKKKDLIWVCQHGADYVELFIYLGEPCQVCQLLLTVSHGVEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSALARTAITLGEIEVLGVSLPWVDMLTNSKHVTETLELLHEKSYSIPRDLGLKDVAHLSSSEYGVHGNERTYTQSSPSVQIGGSGNFVDFLTGDIGMSNQSTMTGNTSYGNEEQTNFFDEEFDVNPFATASEEPVAIVNKQVEDCGHTKFYLEFFESLSGNKKGKSLNFEQMMKLEIKRFYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSNLADKLALLGHAVFEDRANASIGLEKVNNHAIDFWNISENGESCSGGACEVRYLSSLQASATSENVSLFVECSQCERTACKSCCAGKGAFLLLGNTYRDLKIYGGSQGGGYSALADSSVCKSCCSEVIKHALYVDYVRVLHSLRRRGRAEKAALKAANQVCRLEPCKISDSVHGVQSVQRQLKQLLDGEESLAEFPYASFLHTVETADDSEPLLSLLAPLGSGVYKSYWKAPLENTSLEFPIVLGGLSDVSGVAIIVSSCGYSRSDCPIVEIWSSNKIQREDRTFIGKWDVQDIIVSSPELCGPEKSSSLNAAPRHIKLHFPNPIRCRIISIKMTLPCIGSRSTKFNDEFDLLSLDESSFPDSKPSNPHSSFIHAKRIVVFGSSLRKEMDPDTSPGIMRMKSYLDGPPPLGRFRVPVEAERLTDNDLVLEQYLLPNSPGIAGFRLDSFSVIRPRVTHSPLSSELDMSEFSLIRMEDRHVNPAVLHIQVTIVKESGKLVVEEYRLPEVKANTQLYFDFLDLQQDARCVIFRLLGDVTSFVDDIAEIDGLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV* >Brasy9G293400.4.p pacid=40063406 transcript=Brasy9G293400.4 locus=Brasy9G293400 ID=Brasy9G293400.4.v1.1 annot-version=v1.1 MMCGKSARRDTSVVVVVLETSEVYIVVSLLVAGDTQVISVNPTTGALRYQGKHGEDLFDSEAAALNYITNGSRFLSKSTTYAKAVLGYAVFGSCALLLVAAQLSATVSGLPGGGCIYTVVESQWIKIQLQNPQAQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSPMTLQEPYEEFVWNGWLSKPFKDIGLPLHCVILLQGFAECRNFGGTGQQAGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWAPQKNGQTIPFSSYIWRRGTIPIWWGAEIKNAMSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSQVDAARQKKPGMVPIVCVNLLRYGEGKPETVLVEHFKESLKYLKSTGKLGNTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKEQLKDCRGPIISNGDGGFCMGSIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSMSLDVDAMFGLSASRYSEYNGRNARSLPPGWEERFDSVTGKSFYIDHNTRTTSWEHPCQEAPQKPWKRFDMTFEQFKSSTMLTPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPIADGGNSLLSFKKKDLIWVCQHGADYVELFIYLGEPCQVCQLLLTVSHGVEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSALARTAITLGEIEVLGVSLPWVDMLTNSKHVTETLELLHEKSYSIPRDLGLKDVAHLSSSEYGVHGNERTYTQSSPSVQIGGSGNFVDFLTGDIGMSNQSTMTGNTSYGNEEQTNFFDEEFDVNPFATASEEPVAIVNKQVEDCGHTKFYLEFFESLSGNKKGKSLNFEQMMKLEIKRFYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSNLADKLALLGHAVFEDRANASIGLEKVNNHAIDFWNISENGESCSGGACEVRYLSSLQASATSENVSLFVECSQCERTACKSCCAGKGAFLLLGNTYRDLKIYGGSQGGGYSALADSSVCKSCCSEVIKHALYVDYVRVLHSLRRRGRAEKAALKAANQVCRLEPCKISDSVHGVQSVQRQLKQLLDGEESLAEFPYASFLHTVETADDSEPLLSLLAPLGSGVYKSYWKAPLENTSLEFPIVLGGLSDVSGVAIIVSSCGYSRSDCPIVEIWSSNKIQREDRTFIGKWDVQDIIVSSPELCGPEKSSSLNAAPRHIKLHFPNPIRCRIISIKMTLPCIGSRSTKFNDEFDLLSLDESSFPDSKPSNPHSSFIHAKRIVVFGSSLRKEMDPDTSPGIMRMKSYLDGPPPLGRFRVPVEAERLTDNDLVLEQYLLPNSPGIAGFRLDSFSVIRPRVTHSPLSSELDMSEFSLIRMEDRHVNPAVLHIQVTIVKESGKLVVEEYRLPEVKANTQLYFDFLDLQQDARCVIFRLLGDVTSFVDDIAEIDGLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV* >Brasy9G293400.2.p pacid=40063407 transcript=Brasy9G293400.2 locus=Brasy9G293400 ID=Brasy9G293400.2.v1.1 annot-version=v1.1 MMCGKSARRDTSVVVVVLETSEVYIVVSLLVAGDTQVISVNPTTGALRYQGKHGEDLFDSEAAALNYITNGSRFLSKSTTYAKAVLGYAVFGSCALLLVAAQLSATVSGLPGGGCIYTVVESQWIKIQLQNPQAQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSPMTLQEPYEEFVWNGWLSKPFKDIGLPLHCVILLQGFAECRNFGGTGQQAGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWAPQKNGQTIPFSSYIWRRGTIPIWWGAEIKNAMSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSQVDAARQKKPGMVPIVCVNLLRYGEGKPETVLVEHFKESLKYLKSTGKLGNTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKEQLKDCRGPIISNGDGGFCMGSIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSMSLDVDAMFGLSASRYSEYNGRNARSLPPGWEERFDSVTGKSFYIDHNTRTTSWEHPCQEAPQKPWKRFDMTFEQFKSSTMLTPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPIADGGNSLLSFKKKDLIWVCQHGADYVELFIYLGEPCQVCQLLLTVSHGVEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSALARTAITLGEIEVLGVSLPWVDMLTNSKHVTETLELLHEKSYSIPRDLGLKDVAHLSSSEYGVHGNERTYTQSSPSVQIGGSGNFVDFLTGDIGMSNQSTMTGNTSYGNEEQTNFFDEEFDVNPFATASEEPVAIVNKQVEDCGHTKFYLEFFESLSGNKKGKSLNFEQMMKLEIKRFYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSNLADKLALLGHAVFEDRANASIGLEKVNNHAIDFWNISENGESCSGGACEVRYLSSLQASATSENVSLFVECSQCERTACKSCCAGKGAFLLLGNTYRDLKIYGGSQGGGYSALADSSVCKSCCSEVIKHALYVDYVRVLHSLRRRGRAEKAALKAANQVCRLEPCKISDSVHGVQSVQRQLKQLLDGEESLAEFPYASFLHTVETADDSEPLLSLLAPLGSGVYKSYWKAPLENTSLEFPIVLGGLSDVSGVAIIVSSCGYSRSDCPIVEIWSSNKIQREDRTFIGKWDVQDIIVSSPELCGPEKSSSLNAAPRHIKLHFPNPIRCRIISIKMTLPCIGSRSTKFNDEFDLLSLDESSFPDSKPSNPHSSFIHAKRIVVFGSSLRKEMDPDTSPGIMRMKSYLDGPPPLGRFRVPVEAERLTDNDLVLEQYLLPNSPGIAGFRLDSFSVIRPRVTHSPLSSELDMSEFSLIRMEDRHVNPAVLHIQVTIVKESGKLVVEEYRLPEVKANTQLYFDFLDLQQDARCVIFRLLGDVTSFVDDIAEIDGLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV* >Brasy9G293400.3.p pacid=40063408 transcript=Brasy9G293400.3 locus=Brasy9G293400 ID=Brasy9G293400.3.v1.1 annot-version=v1.1 MMCGKSARRDTSVVVVVLETSEVYIVVSLLVAGDTQVISVNPTTGALRYQGKHGEDLFDSEAAALNYITNGSRFLSKSTTYAKAVLGYAVFGSCALLLVAAQLSATVSGLPGGGCIYTVVESQWIKIQLQNPQAQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSPMTLQEPYEEFVWNGWLSKPFKDIGLPLHCVILLQGFAECRNFGGTGQQAGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWAPQKNGQTIPFSSYIWRRGTIPIWWGAEIKNAMSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSQVDAARQKKPGMVPIVCVNLLRYGEGKPETVLVEHFKESLKYLKSTGKLGNTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKEQLKDCRGPIISNGDGGFCMGSIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSMSLDVDAMFGLSASRYSEYNGRNARSLPPGWEERFDSVTGKSFYIDHNTRTTSWEHPCQEAPQKPWKRFDMTFEQFKSSTMLTPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPIADGGNSLLSFKKKDLIWVCQHGADYVELFIYLGEPCQVCQLLLTVSHGVEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSALARTAITLGEIEVLGVSLPWVDMLTNSKHVTETLELLHEKSYSIPRDLGLKDVAHLSSSEYGVHGNERTYTQSSPSVQIGGSGNFVDFLTGDIGMSNQSTMTGNTSYGNEEQTNFFDEEFDVNPFATASEEPVAIVNKQVEDCGHTKFYLEFFESLSGNKKGKSLNFEQMMKLEIKRFYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSNLADKLALLGHAVFEDRANASIGLEKVNNHAIDFWNISENGESCSGGACEVRYLSSLQASATSENVSLFVECSQCERTACKSCCAGKGAFLLLGNTYRDLKIYGGSQDYVRVLHSLRRRGRAEKAALKAANQVCRLEPCKISDSVHGVQSVQRQLKQLLDGEESLAEFPYASFLHTVETADDSEPLLSLLAPLGSGVYKSYWKAPLENTSLEFPIVLGGLSDVSGVAIIVSSCGYSRSDCPIVEIWSSNKIQREDRTFIGKWDVQDIIVSSPELCGPEKSSSLNAAPRHIKLHFPNPIRCRIISIKMTLPCIGSRSTKFNDEFDLLSLDESSFPDSKPSNPHSSFIHAKRIVVFGSSLRKEMDPDTSPGIMRMKSYLDGPPPLGRFRVPVEAERLTDNDLVLEQYLLPNSPGIAGFRLDSFSVIRPRVTHSPLSSELDMSEFSLIRMEDRHVNPAVLHIQVTIVKESGKLVVEEYRLPEVKANTQLYFDFLDLQQDARCVIFRLLGDVTSFVDDIAEIDGLNLRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLAAV* >Brasy9G016400.1.p pacid=40063409 transcript=Brasy9G016400.1 locus=Brasy9G016400 ID=Brasy9G016400.1.v1.1 annot-version=v1.1 MTLAELQLQLQLGKRRARDHHTHKAKSKSSTDDDEEEEEEEVIKMEVDELDSDDSGQMAVEDSDFYNFDADRGERCFKRGQVWALYGDDDGMPRHYALVETVSPGGGRHFRAQIRWLELQPNGEEGKPCGDFKVGRAVTVHSVNVFSHLVACERVAREAYRVYPRKGSVWAFHADDKDSGKCRYEFVVFLSGYSELYGASYGYLEKVQGFRSIFTRVDIGSHAVQSLQKGDVGVLSHQIPARKVPKGDASELPPGDCWELDPASLPSELLRIELHK* >Brasy9G030800.1.p pacid=40063410 transcript=Brasy9G030800.1 locus=Brasy9G030800 ID=Brasy9G030800.1.v1.1 annot-version=v1.1 MRVGPVPGRAVGHPLPLETHAPNLFLVFFNPEQKATKTLATAMAMEAASAADTAPPRPSRPAAFARRHPTSQPFVDLLDAASTRRQPAVSTHAPRSKLPLPQPLAATGPRRRRRWPATPRSTSSSRPTQTTPRRAAESSEFILLQPSLLMPRRFSTPTAEAFGCSIELDGRIKHRGRIMHVDPSNVHCGRDSACVLLELLLCMHTRGWGAPLRAA* >Brasy9G320300.1.p pacid=40063411 transcript=Brasy9G320300.1 locus=Brasy9G320300 ID=Brasy9G320300.1.v1.1 annot-version=v1.1 MEMVTWHGTKLYCRLKVWNGASVSAGTYPGNTSSVVYQTIVNTGDIFYLMYTVSDGSPYARIMLDYTGTMRLLTWNSYTSSWVATSERPAGGYGVYGSCGTFGYSDFTGAVPTCQCLDGFKSNSLNSSNGCQRVEVLKCGKQNHFVALPRMKVPDKFLRIQNRSFDQCAAECSRNCSCTAYAYANLSSSSTMADQTRCLIWTGELVDTSKVNNYGENLYIRLANPSGAHDKSNLLKTVLSVLACLLLLMCIALVWRCKYRVKRRKKEIQKKLMLGCLSSSSELVGKNLEALFVSFDDIVAATDNFSESNMLGRGGFGKVYKGMLEGNKEVAIKRLSYGSGQGIEEFRNEVTLIAKLQHRNLVRLFSCCIHEDEKLLVYEYMANKSLDSFLFDDTRKYVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGGNQQQGDTIRVVGTYGYMSPEYVMTGSFSVKSDTYSFGVLLLEIVSGLKIRSPQLIMNFTNLTAYAWRLWEDGNASCLVDSSINENCPIHEVLRCIQVGLLCVQEHPDARPLMSSVVFMLENETTSLPAPEQPAYFAARNLEIGHTSENMENSSNTMSMTTLMGR* >Brasy9G119600.1.p pacid=40063412 transcript=Brasy9G119600.1 locus=Brasy9G119600 ID=Brasy9G119600.1.v1.1 annot-version=v1.1 MATRVGNAVFALLLLCACFLTALACDNNGAKFGYTGSLGPSHWGRLSTNFSRCANGKKQSPINIRTDEVVYSSSLEPLRRDYAAANATLVDNIFNIALRYEDAAGTVQIEGVKYTLKQMHWHSPSEHTINGQRFAMEQHMVHYSDQGDIAVVAILYRLGRPEPFLMQIQDKLSELYVEACSAEKGAPIPAGVVSLWSLRRRANMYYRYVGSLTTPPCTENIVWTILGRVREMTMEQAAALIAPLEEGYRCNNRPTQQLNGRTVQLYHRFWKNENKNRSP* >Brasy9G119600.2.p pacid=40063413 transcript=Brasy9G119600.2 locus=Brasy9G119600 ID=Brasy9G119600.2.v1.1 annot-version=v1.1 MATRVGNAVFALLLLCACFLTALACDNNGAKFGYTGSLGPSHWGRLSTNFSRCANGKKQSPINIRTDEVVYSSSLEPLRRDYAAANATLVDNIFNIALRYEDAAGTVQIEGVKYTLKQMHWHSPSEHTINGQRFAMEQHMVHYSDQGDIAVVAILYRLGRPEPFLMQIQDKLSELYVEACSAEKGAPIPAGVVSLWSLRRRANMYYRYVGSLTTPPCTENIVWTILGRVREMTMEQAAALIAPLEEGYRCNNRPTQQLNGRTVQLYHRFWKNENKNRSP* >Brasy9G119600.3.p pacid=40063414 transcript=Brasy9G119600.3 locus=Brasy9G119600 ID=Brasy9G119600.3.v1.1 annot-version=v1.1 MATRVGNAVFALLLLCACFLTALACDNNGAKFGYTGSLGPSHWGRLSTNFSRCANGKKQSPINIRTDEVVYSSSLEPLRRDYAAANATLVDNIFNIALRYEDAAGTVQIEGVKYTLKQMHWHSPSEHTINGQRFAMEQHMVHYSDQGDIAVVAILYRLGRPEPFLMQIQDKLSELYVEACSAEKGAPIPAGVVSLWSLRRRANMYYRYVGSLTTPPCTENIVWTILGRVREMTMEQAAALIAPLEEGYRCNNRPTQQLNGRTVQLYHRFWKNENKNRSP* >Brasy9G284700.1.p pacid=40063415 transcript=Brasy9G284700.1 locus=Brasy9G284700 ID=Brasy9G284700.1.v1.1 annot-version=v1.1 MPAAAFAMHMDARFADAEEKPAAAAAPVQEEQDDDEEDGFDSDSDVGDALDWLDAADGRPSAAFSAAAAARRPNAHGGVLSRTTLQPLSNRTQKLASRFRAAPLEEWEGIMDVGMSNSVTTAIRDCIRDSAIGKTRNTGKADRATVEQAIDPRTRMVLFKMLNRGVFDNINGCISTGKEANVYHATKTDGQEFAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVREAGIRCPSPLHLKLHVLVMEFIGKGGRAAPRLKDAALSDDKLRESYFEIITTMRTLYQKCKLVHGDLSEYNILYFEGQLYIIDVSQSVDLDHPSAMVFLKEDCLHVTDFFEKRGVAVMTVTNLFNFVVDQNIADEDVDDYLEKVQQKILKNGGIFANDDEITPTVSVQRLDYMKQCEADIVNMSMMQRPSFVYEPTADKLYDQPLLGFVRTKKKPTKKQQERSAQNILEEPLDILEEPLDILEEPLENKDSKDARKEHKKKVKEEKREIRKTKTPKADKKRRKKMGKAKCKR* >Brasy9G147800.1.p pacid=40063416 transcript=Brasy9G147800.1 locus=Brasy9G147800 ID=Brasy9G147800.1.v1.1 annot-version=v1.1 MHPHLTLHRHPMCAEIIEEFQKCHLDHPIKKFFGECTELKIKLDKCFRQEKAVKRKANFEESKKFKEQLQAYKKEMAEKDNES* >Brasy9G036800.1.p pacid=40063417 transcript=Brasy9G036800.1 locus=Brasy9G036800 ID=Brasy9G036800.1.v1.1 annot-version=v1.1 MDSDLDLSFDFSWQTPLFFDLDLDLGVVDSFYMPAAVEDMPLPAEDSLSGLYSCYDDSSSPDGACCNSSLTTTTKTATARASRVSKNVILERDRRRRLNEKLYTLRGVVPNITKMDKASVIQDAISYIEELQEQERRLLAEISGLEVEPAAVKTESSFVSTGEVIEEEDEQSPVERQRRRKMRRTGSASSINDGPFCFCSPATRPVEILELQVTEVGEKMAVVSFRHGKTQRGALANVCKALESLHCLHVITACITTISGNIVHTMFVEAEGMSGSQVIKEMVRAALGQFGTYEERSLEYMSYQ* >Brasy9G036800.2.p pacid=40063418 transcript=Brasy9G036800.2 locus=Brasy9G036800 ID=Brasy9G036800.2.v1.1 annot-version=v1.1 MDSDLDLSFDFSWQTPLFFDLDLDLGVVDSFYMPAAVEDMPLPAEDSLSGLYSCYDDSSSPDGACCNSSLTTTTKTATARASRVSKNVILERDRRRRLNEKLYTLRGVVPNITKMDKASVIQDAISYIEELQEQERRLLAEISGLEVEPAAVKTESSFVSTGEVIEEEDEQSPVERQRRRKMRRTGSASSINDGPFCFCSPATRPVEILELQVTEVGEKMAVVSFRHGKTQRGALANVCKALESLHCLHVITACITTISGNIVHTMFVEAEGMSGSQVIKEMVRAALGQFGTYEERSLEYMSYQ* >Brasy9G036800.3.p pacid=40063419 transcript=Brasy9G036800.3 locus=Brasy9G036800 ID=Brasy9G036800.3.v1.1 annot-version=v1.1 MDSDLDLSFDFSWQTPLFFDLDLDLGVVDSFYMPAAVEDMPLPAEDSLSGLYSCYDDSSSPDGACCNSSLTTTTKTATARASRVSKNVILERDRRRRLNEKLYTLRGVVPNITKMDKASVIQDAISYIEELQEQERRLLAEISGLEVEPAAVKTESSFVSTGEVIEEEDEQSPVERQRRRKMRRTGSASSINDGPFCFCSPATRPVEILEAEGMSGSQVIKEMVRAALGQFGTYEERSLEYMSYQ* >Brasy9G342000.1.p pacid=40063420 transcript=Brasy9G342000.1 locus=Brasy9G342000 ID=Brasy9G342000.1.v1.1 annot-version=v1.1 MVSDQEIASCVESVLRSAGGAGGGEGASLAAVLQRAQSELGVDLSHKAPYIRDQMDLFFGPRLQQPPPPPQQQQPKPQQAPPAASAPSPAMLTQVPLHVPPQQQLGTLQPQFIFQTMPQLPGATAAAAAAVSAPPAVPAMAFYPPPPLAFRYANNALGGVATGGTVSFQQPAPGVGGTSSPTPTPTAGAQAGGDNKESASKRKRGGPGGLNKVCAISPELQTVVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFETDATDMFKMNKLLAKHITPLDPKDQAKKLKAQNTAPPEMPPVNQPYLVVSAALAKFIGIEGSVPHHDALKYLWDYIKANQLEDATSTSIICDSKLQELFGCHSILASEVSELLAHHFIQRT* >Brasy9G051000.1.p pacid=40063421 transcript=Brasy9G051000.1 locus=Brasy9G051000 ID=Brasy9G051000.1.v1.1 annot-version=v1.1 MRGSAKDLPGRRGRRAVLRAKKAMPTMRATTPKPRPPGAASDSSGGRRKDIASVRSRSHTHPATVLSGDD* >Brasy9G134100.1.p pacid=40063422 transcript=Brasy9G134100.1 locus=Brasy9G134100 ID=Brasy9G134100.1.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYVRAIEKSFSQQ* >Brasy9G134100.3.p pacid=40063423 transcript=Brasy9G134100.3 locus=Brasy9G134100 ID=Brasy9G134100.3.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G134100.2.p pacid=40063424 transcript=Brasy9G134100.2 locus=Brasy9G134100 ID=Brasy9G134100.2.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G085800.1.p pacid=40063425 transcript=Brasy9G085800.1 locus=Brasy9G085800 ID=Brasy9G085800.1.v1.1 annot-version=v1.1 MDLRTAFSFALLLATVLFPLSAHASSKLYIVYMGDKKHDDPTAITASHHDVLTSVLGSKDEALQSIVYSYKHGFSGFAAMLTESQAETIAKFPEVISVKPNTYHEAHTTRSWDFLDLDYNQPPQQPASLLQQANYGIWPESRSFDDAGYGPVPARWRGTCQTGQEFNATGCNRKIIGARWFTGGLSDEALKGDYMSPRDFGGHGTHVASTIAGSPVRGASYGGVLAAGVARGGAPRARLAIYKVLWGQNGGGSDAAVLAAIDHAINDGVDVLSLSLGKAGSENVGFASLHAVQRGISVVFSGGNDGPVPQTVTNAVPWVTTVAASTVDRAFPTLMTLGNNEKLVGQSLHHTASSISNDFKALVYAGSCDALSLSSSNVTGKIVLCYAPAEAAIVPPRQALKRAINHTVEAGAKGLIFARYAAESLDTLAANPVVKVSCTMSVVGNGVLSPRVASFSSRGPSPVFPDILKPDIAAPGVSILAAERNSYVFKSGTSMACPHVSAVTALLKSVHRDWSPAMIKSAIITTASVTDRFGMPIQAEGVPRKLADPFDFGGGHMDPVRAVDPGLVYDVDAKEYNKFLNCTLGLLEGCESYTRNLNLPSIVVPNLKQKVMVRRTIMNVGPSESTYRATLEAPTGVVVSVEPSVIKFTRGGRRSATFTMTFTAKHRVQGGYTFGGLTWSDGNTHSVRIPVAVRTVIQDFVADTS* >Brasy9G085800.2.p pacid=40063426 transcript=Brasy9G085800.2 locus=Brasy9G085800 ID=Brasy9G085800.2.v1.1 annot-version=v1.1 MSPRDFGGHGTHVASTIAGSPVRGASYGGVLAAGVARGGAPRARLAIYKVLWGQNGGGSDAAVLAAIDHAINDGVDVLSLSLGKAGSENVGFASLHAVQRGISVVFSGGNDGPVPQTVTNAVPWVTTVAASTVDRAFPTLMTLGNNEKLVGQSLHHTASSISNDFKALVYAGSCDALSLSSSNVTGKIVLCYAPAEAAIVPPRQALKRAINHTVEAGAKGLIFARYAAESLDTLAANPVVKVSCTMSVVGNGVLSPRVASFSSRGPSPVFPDILKPDIAAPGVSILAAERNSYVFKSGTSMACPHVSAVTALLKSVHRDWSPAMIKSAIITTASVTDRFGMPIQAEGVPRKLADPFDFGGGHMDPVRAVDPGLVYDVDAKEYNKFLNCTLGLLEGCESYTRNLNLPSIVVPNLKQKVMVRRTIMNVGPSESTYRATLEAPTGVVVSVEPSVIKFTRGGRRSATFTMTFTAKHRVQGGYTFGGLTWSDGNTHSVRIPVAVRTVIQDFVADTS* >Brasy9G150700.1.p pacid=40063427 transcript=Brasy9G150700.1 locus=Brasy9G150700 ID=Brasy9G150700.1.v1.1 annot-version=v1.1 MAKRLLFFLLLLVLLVAVFHAATASVQETCASATAKHKDLESFCVTTLQAAPGSAAADANGLAVIATKLTLANYTEAVATIKDLQRRGAWPEPVQAALATCRQRYLEAQNVVHSAIHALATGQKQAYVADMGVVGKAPVDCQDAFGSGAAAAGEKERSLLLKVNDDAQALTTLDVLIVLSL* >Brasy9G260200.1.p pacid=40063428 transcript=Brasy9G260200.1 locus=Brasy9G260200 ID=Brasy9G260200.1.v1.1 annot-version=v1.1 MAYPCSDHGYDLALRRKLLLLLHLLDYVRFLAAVVLDRLGVLSCPDETLPGQPWAELVDSTGAMERLMEAAFREPATKKRYSSKAVPQYRRRRIGLAAEASEEDKGADDDDEGSAAICAICLAALQEAGGCQQQQQQVTELCSCSHAFHAACIDSWFESGDGEGAGTCPLCRTPTLTTAWGGWPEPAAPRAS* >Brasy9G119500.1.p pacid=40063429 transcript=Brasy9G119500.1 locus=Brasy9G119500 ID=Brasy9G119500.1.v1.1 annot-version=v1.1 MAVAQPSRTESSPTPPLRFFLLVLAIFLTATADEAVAAGSGGLSIGINYGQIADNLPSPSRVSWLLRSMQVNRVKLYDADPNVLSAFLDTGVEFVVGIGNENVSAMADPAAAQAWVQQHVAPYYNLPSSTTTSTRRTRMITCVTVGNEVFKGNDTALKAGLVPAMRSVYSALAAAGLRGQVNVTTAHSLDVMGSSYPPSAGAFRPDVAPYMTPLLDFLSTTNSPFLINCYPFFAYRDDPRGVPLEYVLFQPNAGVTDPDTGLNYDNMLYAQVDSVYAAIQALGAQYADVVDVKISETGWPSMGDPDEAGATPEYAGVYIGNLLRRIEAKQGTPRRPAVPVDVYVFALFNENLKPGPASERNYGLLYPDGTPVYDVGLRGYLPPVEDSDGTRKVIHLFALIAMASITLILS* >Brasy9G119500.2.p pacid=40063430 transcript=Brasy9G119500.2 locus=Brasy9G119500 ID=Brasy9G119500.2.v1.1 annot-version=v1.1 MAVAQPSRTESSPTPPLRFFLLVLAIFLTATDEAVAAGSGGLSIGINYGQIADNLPSPSRVSWLLRSMQVNRVKLYDADPNVLSAFLDTGVEFVVGIGNENVSAMADPAAAQAWVQQHVAPYYNLPSSTTTSTRRTRMITCVTVGNEVFKGNDTALKAGLVPAMRSVYSALAAAGLRGQVNVTTAHSLDVMGSSYPPSAGAFRPDVAPYMTPLLDFLSTTNSPFLINCYPFFAYRDDPRGVPLEYVLFQPNAGVTDPDTGLNYDNMLYAQVDSVYAAIQALGAQYADVVDVKISETGWPSMGDPDEAGATPEYAGVYIGNLLRRIEAKQGTPRRPAVPVDVYVFALFNENLKPGPASERNYGLLYPDGTPVYDVGLRGYLPPVEDSDGTRKVIHLFALIAMASITLILS* >Brasy9G159200.1.p pacid=40063431 transcript=Brasy9G159200.1 locus=Brasy9G159200 ID=Brasy9G159200.1.v1.1 annot-version=v1.1 MDASGGAGARGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNSPEFAARLLPTYFKHSNFSSFIRQLNTYGFRKIEPERWEFANEYFVKGQKHLLKNIYRRKPIHSHSHQPGTLPDNERALFEDEIDRLAREKATLQADLWKFKQQQSGTMFQIEDLEQRVLNMEQRQGKMIAFLQQASKNHQFVNKLVMMAESSSIFTDAFHKKRRLAGLDYSTETAEATSFYDDHSTTSKQEMGNLLNQHFSDKLKLGLCPAIAESNLITLSTQSSHEDNGSPHGNHPVCDRMGMECLPLVPQMMELSDTGTSICPSKNSCFTPSVNDEGLLPCHLSLTLASCSMDVDRSQACNADGSTTIDEERDNPAEATTATTDDNQKTPADSGKADAATQRRGDARIATEAPAAPPAVVNDKFWEQFLTERPGCSETEEASSGLSRDPSMEDKGQTEGNMKDGSEDMEQLKL* >Brasy9G300100.1.p pacid=40063432 transcript=Brasy9G300100.1 locus=Brasy9G300100 ID=Brasy9G300100.1.v1.1 annot-version=v1.1 MASSQGPKKNYRCDRSLQQFYTGGPFAVGSAAPGGEGDAEAFLACACGSELRVVSAADASAIGEPIDGDSEAVTGLALSPDSRLLFAAGHSRLIRVWDLASRTCIRSWKGHDGPVMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTIMFHKDPKRLLLFSGSDDGTVRVWNLESKKCIAVLKAHFSTVTSLALSEDGQTLLSAGRDKVVNVWDVRKYSSKKTIPTYEMIEAVSFIGPGSGFLACLGVEPANLKKRTDSYFLTVGERGVVRIWCLESAVCVYEQQSSDVTINTENEESRRGFTATIMLPDDQGLLCVTADQQFLFYCCTRTDEGTFQLNLYKRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCLDTCVSASGKTLVVTGSKDNTVRLWDMEKRSCIGTGKGHLGAIGCVAFSKKSKNFFVSGSSDRTIKVWSWDDTLTDADGEVSLKAKAGVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPIEQCVITSSGDRTVKIWHVTDGSCLKTFEGHTSSVLKASFLSRGTQFVSCGSDGLVKLWTIKTSECIATYDKHEGKVWALAVGRKTEMLATGGTDSDLNLWHDCTTEDKQEDFRKKEEEALRGQELDNAVSDSDYKRAIQLAFELRRPRRLLELFSQLCRKDDPEDPIEKALVGLPKEGLRVLLEYIREWNTKPKFCHVAQFVLFRVLQSFPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRGTFLLDYTLTRMSVVDPDVDTATTRDVTKSSSVENGEIVLAEPALGVSQESPEKPGKKRKSSKSSTKVSKKVKASSNGDSKTISVEA* >Brasy9G066400.1.p pacid=40063433 transcript=Brasy9G066400.1 locus=Brasy9G066400 ID=Brasy9G066400.1.v1.1 annot-version=v1.1 MQNSKGSDKAAAVEPANGCSLPIAPTNDGNDGALDSVDPLRTVAIRYKNAMLHLKFILLVFCLDSRNIDCLLLSMGQTMHAERFLVFSLFFSSSTPSPPAIFSFFSLLRLPPSRLLLFSDEMEPACPDRSVNHRAIQRLADLRRSVAAMLEDGAVVSARLAELCRSIAGAIEDGAGVGEDVVGGVRDELQVLRNEAKLVRVPHSGEVLRSEAKLLRVPHGNEVMHNEVQVLHNEAKLVRVPCGEPKLVVDEMTLGEGKLSNEWNASVLKTLLMHR* >Brasy9G178700.1.p pacid=40063434 transcript=Brasy9G178700.1 locus=Brasy9G178700 ID=Brasy9G178700.1.v1.1 annot-version=v1.1 MPLGRLLRAASGARGFRRSLFTAAESRQGGCWTWYLLSKMTMAAGQETGPAVAFVSPPSLSHLSVPEHLGKHSGGLPLPGPDDDVVRVLVAEACSSSQDGFLLVNVKDIRVAASLLAGQGGTQVRHFTGFANPRNHPGMTHYVLNPYTREMSSCLPEIEGPKKTLAGFSLGLLTQADAGGLGPPDRYAVAEMAPHMGLMLRFLSDTGEWQILQGSPCHLPAGRPFMPDQEAVAWKGRLWWVDLTVGAICADPFSDRPEPCFVPLPSGSVLSEDIRGKAMDEVIQRGFNLEAEACWSTEPRMYRRVGVSGGWLRYVELSEKEPFILCSFELNADGSGWRLKHRLELSRLWADGGHPSLPLQQGDMTPQIGGLDRANANLVYVNVKKHIVCVDMQKGKVAGHCPHGDGDSFLTCLMTPWLPYSPIPGKKDVRRKSM* >Brasy9G104400.1.p pacid=40063435 transcript=Brasy9G104400.1 locus=Brasy9G104400 ID=Brasy9G104400.1.v1.1 annot-version=v1.1 MAVAAVAGGVVETREEQARSAAASSCLPYLPTAGPPRASAAAGGKPASRVPGAPPGGVVLVSKDPDNIREMALQEYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKGWDTYDLPDAIRVPRSTRTLVRKLCELGWLFRKVRVFISDNISRLPSDAATEVSTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPTVRMRLMAVLVDACRGLRGGGMADFMSRLLQRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAECLWREGYLIQSDMLPTFISPVLAQRILRAGKSINFLKVCCDDNGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKEHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANQISSFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARAPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTIIRVQFVSVLHKCQVLFNEMNHFVTNFQYYIMFDVLEISWARFSEEMDAAKDLDDLLTGHDKYLTSIVEKSLLGERSQGLLRNLFALFDIILQFRSHADRWFERIYDLQLRGKGKSKKKSKEAGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK* >Brasy9G241400.1.p pacid=40063436 transcript=Brasy9G241400.1 locus=Brasy9G241400 ID=Brasy9G241400.1.v1.1 annot-version=v1.1 MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSSRGRLYEYASNSTRSTIDRYKKASASPSGSAPTVDVNSQQYFQQESAKLRNQIQSLQSANRHLVGESVGSLTLKELKSLENRLEKGIGRIRSKKEADLQSENMYLRAKMAEAERVAATELDALPTFDARSYYQHQQLNMLQHETAASSSRYSSQPQPQHQDGQATATTTALHLGYEMTGPN* >Brasy9G339000.1.p pacid=40063437 transcript=Brasy9G339000.1 locus=Brasy9G339000 ID=Brasy9G339000.1.v1.1 annot-version=v1.1 MTGLRGTISPAIGNLTFLRTLNLGSNTLSGEIPGAIGQLRQLDLANNSIHGQIPRELCNCSSLEYMTLAKNDLQGEIPTAVGSLSRLRVFYLTANNVVGAIFLRKLLDLDSNTLGGVLPSYVANFSRQIQWLSMAGNGITGIIPPGIGNLVNLADLELGENSLHGPIPEEIGRLTNLRTLLLEENELSGHIQLSLGNLTLLQNFSLSNNRIDGPIPKTLGNLHELPSLDLSSNLLTGAIPDEIFNLPSLTSFLSLSSNYLSGVLSPQVGNLHNIASLDLSKNSLSGDIPGTPGSCASLVYLALDDNSFTGSMSTLNLTGNALSGSIPQELAKINGLQQLYLARNNLSGGILQLFQNSSALNELDLSLNHLDGEVPLSGVFANMSGFSIIGNDGLCGGIPELKLPPCQVKPHKQRHLLLLSILLPVAAIAICLCLLLSALLLFKRKITMDMMKISSPRVWVDNYSGVLFPERHPRVSYLEIFQATDGFAPDNLIGAGKYGSVYKGNMSLPSVKNGVVAVKVFTLHQTGSSRSFLAECTALRRAKHRNLINIITCSSSIDPSGNEFRALVFEFTPNLSLDRWLHPGTDEQWHKLSTVHLLNIVIDVADALDYLHNNSRPPVIHCDLKPGNILLGSEWTAYVTDFGLSKLVGEHIDQSRLNSRNSFGIRGTIGYVAPEYGSGGQVSIAGDAYSFGITLLEMFTGRAPTDEMFREGLSLHLFAEMAYPDKISEILDPALLQPYDKDETRLDNVLACLASVIRIGIMCSKETPSERMNMKNAAPELHRIRDVCMESSVKECP* >Brasy9G120600.1.p pacid=40063438 transcript=Brasy9G120600.1 locus=Brasy9G120600 ID=Brasy9G120600.1.v1.1 annot-version=v1.1 MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAARCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL* >Brasy9G120600.2.p pacid=40063439 transcript=Brasy9G120600.2 locus=Brasy9G120600 ID=Brasy9G120600.2.v1.1 annot-version=v1.1 MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAARCKELGITALHIKLRATGGNKTKTPEDVTPVPTDSTRRKGGRRGRRL* >Brasy9G233000.1.p pacid=40063440 transcript=Brasy9G233000.1 locus=Brasy9G233000 ID=Brasy9G233000.1.v1.1 annot-version=v1.1 MPPKSARRGGAAAARKGPGTRTRAAKAQLAVEEAVPVEEAALEEVKAAEEAPKVEEQKQQPSPPQQQPTAEEKPPLNATENGAIRSEDDGTVKETDEEDDKGERLEFEDEPEYEEEAAVDYDEKEEQYEEQYEEGDEVFEYTEDVVEEETDMVDEELDDGGDDGEGEEFENADEDHNVDVDDDDHREMVKANRKRKEFEVFVGGLDKDATESDLRKVFSEVGEISEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSDLKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYEVENFDDLILVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDAVFGVDRSAKVSFADSYPEVDDEMMAQVRTVFLDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACVDGITSSEVGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRIGQGAPRGGRFTYARPLPPRRPLPRFVRPDVSRLSPIRGRPLKRPIDIRDRRPVMSVPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDDLPPPRSRATFADYGPRVPVERRHSYRDDYSPRGSAYSDLGPRSAPRLSERRAYADDSYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMDDVPPRYHDVSVRQSKARLDYDVGGSSARYADAYTERLGRSHAGYSTSRSVSGHDSVYSSSRHGMSYGGSASSGDPGGMYSSNFSADYMPRGSDVGGNSYSSLYSGRSTGSSGGYFGGSGSSSYY* >Brasy9G233000.2.p pacid=40063441 transcript=Brasy9G233000.2 locus=Brasy9G233000 ID=Brasy9G233000.2.v1.1 annot-version=v1.1 MPPKSARRGGAAAARKGPGTRTRAAKAQLAVEEAVPVEEAALEEVKAAEEAPKVEEQKQQPSPPQQQPTAEEKPPLNATENGAIRSEDDGTVKETDEEDDKGERLEFEDEPEYEEEAAVDYDEKEEQYEEQYEEGDEVFEYTEDVVEEETDMVDEELDDGGDDGEGEEFENADEDHNVDVDDDDHREMVKANRKRKEFEVFVGGLDKDATESDLRKVFSEVGEISEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSDLKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKSYEVENFDDLILVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDAVFGVDRSAKVSFADSYPEVDDEMMAQVRTVFLDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACVDGITSSEVGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRIGQGAPRGGRFTYARPLPPRRPLPRFVRPDVSRLSPIRGRPLKRPIDIRDRRPVMSVPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDDLPPPRSRATFADYGPRVPVERRHSYRDDYSPRGSAYSDLGPRSAPRLSERRAYADDSYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMDDVPPRYHDVSVRQSKARLDYDVGGSSARYADAYTERLGRSHAGYSTSRSVSGHDSVYSSSRHGMSYGGSASSGDPGGMYSSNFSADYMPRGSDVGGNSYSSLYSGRSTGSSGGYFGGSGSSSYY* >Brasy9G359700.1.p pacid=40063442 transcript=Brasy9G359700.1 locus=Brasy9G359700 ID=Brasy9G359700.1.v1.1 annot-version=v1.1 MDDLPLPEDMLAEVLRRLPARDLAAARCVRKSWRAAVDGRRHMEEQDLQLQVAGIFLNFNDHAFSEFLARPRSSSSSSSSSPTTAISGKLHGYLPAEYCSTVKDHCNGLLLLDDRFVVNPATRRWANKAPLPARPPRCRRFADDRRFFREFDYIAFDPAMSPHYEVVVVPHAPFKWAQLSDRTVMDWEWPPSPMTLQVCSSRTNNAWEERSFVRQGDALGTIAEVQVAWPGDEQYAVYWRGQLYVHHHFIMRISLSSGKYQVIKPPPSSIPTSSSPDLRLRKSEKGVYLASIASPGRAAPTDLRHVLSRRIHNRQVRGSWIMEDVNYHFYRSKFPNDDVEQAPPENNLEWDSDNNDDRCS* >Brasy9G140100.1.p pacid=40063443 transcript=Brasy9G140100.1 locus=Brasy9G140100 ID=Brasy9G140100.1.v1.1 annot-version=v1.1 MGGASNLPPGFHFFPSDEELVVHFLRRKASLLPCRPDIVPTLPPNRYDPWELNGKALEAGNQWYFFSHSTQSRTSRNGQWNPIGAEEAVSSGGRNIGLKKKFIFSIGEPFQSNKTNWVMHEYHLLDRNGGSSTSSSSGKRSHKKKGHSNKESSNWVICRVFESSCHSQVSFHEDDMELSCLDEVFLSLDDYDEVSLPKN* >Brasy9G160500.1.p pacid=40063444 transcript=Brasy9G160500.1 locus=Brasy9G160500 ID=Brasy9G160500.1.v1.1 annot-version=v1.1 MERCVLGSQLHQISRAIFPLIQQRIKLIDLLRRQGIPSESSAHLMAMDSRVLPAACAAARPRPRESAGASSAEGRDTDMGMGLLGSAVAMDLPVLWDDEGRMKRELVAWAKAVASMAIRESMRC* >Brasy9G282600.1.p pacid=40063445 transcript=Brasy9G282600.1 locus=Brasy9G282600 ID=Brasy9G282600.1.v1.1 annot-version=v1.1 MPLLLQAMPCAPPACAVRPSLPSSVRFLRPATPPPSIYPRPNPKSQTPHTTFPLLSAAALFHARRPVAMAPVLRAVLLAFLVAALFPSALSRGHHLPGHGLVHRHGNVHGQAHAHAPLGGGAWSSAHATFYGGGDASGTMGGACGYGNLYSTGYGANTAALSTALYNDGLSCGACFEVRCDPAGTEAGAAHACLGTSVVITATNLCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALYKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVSNVGGPGDVHAVAVKSERSPSWQALSRNWGQNWQSNALLDRQSLSFRVTAGGGRSVVSSNAVPRGWAFGQTFSGAQFT* >Brasy9G314300.1.p pacid=40063446 transcript=Brasy9G314300.1 locus=Brasy9G314300 ID=Brasy9G314300.1.v1.1 annot-version=v1.1 MKEMLVGSAAPVGPVGRWGAAPPQALLERMKDYGQEGAFALWDELLPEERDLLVRDIESLDLSRIDRIIRRSLGSQGFLLPAVEPVPESSVSRVEERSPEDKERWWKRGLRAISEGKLAIVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLAAQSSDTPGNILPIHWYIMTSPFTDDVTRKFFESRKYFGLEAEQVTFFQQGTLPCISADGRYIMETPYKVAKAPDGNGGVYSALKSKKLLEDMSARGVKYVDCYGVDNALVRVADPTFLGYFIEKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGCTMGLKLEQFIFDAFTYSPSTTLFEVSREEEFAPVKNANGATYDTPDSAKLMLLRLQSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF* >Brasy9G314300.2.p pacid=40063447 transcript=Brasy9G314300.2 locus=Brasy9G314300 ID=Brasy9G314300.2.v1.1 annot-version=v1.1 MKEMLVGSAAPVGPVGRWGAAPPQALLERMKDYGQEGAFALWDELLPEERDLLVRDIESLDLSRIDRIIRRSLGSQGFLLPAVEPVPESSVSRVEERSPEDKERWWKRGLRAISEGKLAIVLLAGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQKLAAQSSDTPGNILPIHWYIMTSPFTDDVTRKFFESRKYFGLEAEQVTFFQQGTLPCISADGRYIMETPYKVAKAPDGNGGVYSALKSKKLLEDMSARGVKYVDCYGVDNALVRVADPTFLGYFIEKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSVFRAKRNLHR* >Brasy9G233100.1.p pacid=40063448 transcript=Brasy9G233100.1 locus=Brasy9G233100 ID=Brasy9G233100.1.v1.1 annot-version=v1.1 MFFLSRACTNISQILFSSFHVARARSKNCWKHCSFYWLCFSEELKRRIGEMQLCNSCVATVYRSLVNYIVWLFCH* >Brasy9G337700.1.p pacid=40063449 transcript=Brasy9G337700.1 locus=Brasy9G337700 ID=Brasy9G337700.1.v1.1 annot-version=v1.1 MATTTSCSRIRSIVRLQQTLRRWRSRARAASSSVPAGHVAVCVGVGVAARRFVVRAAHLNHPALRELLRQAEEERGGFFPSSPGPLALPCCDEDRFRDALRRVAASSSSGGAERRRSSFLCCRGGPGRDVAARPLLQGMAVEKMAW* >Brasy9G279600.1.p pacid=40063450 transcript=Brasy9G279600.1 locus=Brasy9G279600 ID=Brasy9G279600.1.v1.1 annot-version=v1.1 MDGREQQQQQQARVSSPPAAGGVMMPQHAYGAAPAMPPGSANVTHGMPLGFNPMSSPGASSPMKPAEMPGAMYRPDSAPPGMQQTSGGGAIVVSGSGGELVKKKRGRPRKYGPDGSIGYVPKPVAGATSEAGAGSNSNPDGKRRGRPPGSGKKKQLAALGSSGTSFTPHIITVKPNEDVASKIMSFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALSGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQQKREPTSAPMHTAGFGAPSAASPPSDGTSSDHSDDPGGPIGPNGSTFNNAGHPMQASYAPAGWSLPGNQGRYDPDLKIMTD* >Brasy9G336900.1.p pacid=40063451 transcript=Brasy9G336900.1 locus=Brasy9G336900 ID=Brasy9G336900.1.v1.1 annot-version=v1.1 MAPAVGDEDGRTNSLKRFSTGQQVECSSGVASRANPRPSGSVGGGGGPPNCDTRLMKRPRLVEDDGESDGTDSDDEKPLAKLKRISTGDAKKEDDDGHSKPALGAQKIKEEEYDDHNKPAVSTQKIKEEEDDDHNKPAVSTRKIDSANLASDKTVLARTASVKSERDDDSEDEKPLAMRTRRLTSNASSASRNSIKRRPGDSNIGTSSPFKKVKPSAIQREPKAADAHDNIIPRAPRLTSVEPSKTKPPAKNTTKNKKKSPSSFTKDSQKTVQMKAKKAVRNSWLSKATKVRQGSGSGKKWSTLEHNGVMFPPPYKPHGVKMLYNGQPVDLTSEQEEVATMFAVMRDTEYVSKETFINNFFTDWRKLLGKTHIIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALRDEKGKQEEKYLWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGRLKRRIRPNDITINIGKGTPVPVCPVPGESWKEVKHDNTVTWLAFWNDPINQKDFKYVFLAASSSLKGQSDKEKYEKARKLKDHIDNIRVNYTKDFRSKDQVKKQIAVATYLVDKLALRAGNEKDEDEADTVGCCTLKVENVTCLPPNKLQFDFLGKDSIRYLNTVEVELPVYEAVKEFCAGKNKGDNVFGEIDTIKLNAHLKELMPGLTAKVFRTYNASVTLDAILNKETEDGTLLQKISIYKRANKEVAIICNHQRAVSKSHDSQMAKLNEKIDELKAQRDGLKEELEKAKKGKRLGDDKDGKQKKKLTAEMLESKISGIETKIEGMVITKNSTEESKTVALGTSKINYLDPRITVAWCKTHEIPISKIFSKTILEKFGWAMDVGPDFRF* >Brasy9G001300.1.p pacid=40063452 transcript=Brasy9G001300.1 locus=Brasy9G001300 ID=Brasy9G001300.1.v1.1 annot-version=v1.1 MGEITVYNFLKDWWKFGVIFPPHLKDSSVEILGVRVYQRRKFTGKTYMSKAQKQQEEMQDPEMSEGASTF* >Brasy9G272200.1.p pacid=40063453 transcript=Brasy9G272200.1 locus=Brasy9G272200 ID=Brasy9G272200.1.v1.1 annot-version=v1.1 MGSSKLSMLVSLLPFLLFALVAAAAKEELSTYIVHVQHQDVNHVFGTANDRKTWYKSFLPEDGHGRLLHTYHHVVSGFAARLTRRELDAITAMSGFVAAVPNVVYKVQTTHTPRFLGLDTPLGGRNVTVGSGDGVIIGVLDTGVFPNHPSFSGAGMPPPPAKWKGRCDFNGSACNNKLIGAQSFISADPSPRAPPTDEVGHGTHTTSTTAGAVVPGAQVLDQGSGNASGMAPRAHVAMYKVCAGEGCASVDILAGIDAAVSDGCDVISMSLGGPSFPFFQDSIAIGTFAAAEKGIFVSMAAGNSGPIPSSLSNEAPWMLTVAASTMDRLILAQVILGNGSSFDGESVFQPNSTAVVALAYAGASSTPDAQFCGNGSLDGLDVKGKIVLCVRGGGVGRVDKGAEVLRAGGAGMIMTNQLLDGYSTLADAHVLPASHVSYAAGAEIMTYINSTTNPTAQIAFKGTVLGTSPAPAITSFSSRGPSTQNPGILKPDITGPGVSVLAAWPFQVGPPRFDLRPTYNIISGTSMSTPHLAGIAALIKSKHPEWSPAAIKSAIMTTADVNDRSNSPILDEQHKTANLFAVGAGHVNPEKAVDPGLVYDIAPAEYIGYLCSMYTDKEVSVIARAPVNCSAVPNISQSQLNYPSIAVTFPANRSELAPVVVKRTAKLVGESPAEYQAVIEVPAGSSVNVTVTPSVLWFSEASPTQNFLVLVFSWATEASPAPVQASIRWVSARHTVRSPISISYSPR* >Brasy9G179700.1.p pacid=40063454 transcript=Brasy9G179700.1 locus=Brasy9G179700 ID=Brasy9G179700.1.v1.1 annot-version=v1.1 MLSAFSPHRLPPPSAAPRRSTGPTAVTITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRATLSGTPAAGAVATDTKLRRLLKADMVAVFRELAAQGEALLALKVFEEIRKEHWYKPRLFWYVDLITVLASKGLLSEVSKACSYLKREQLEPDTEGFNLLLKTLLDAEFTQLTMDCFRLMKLWNSEPDRITYRTLIKGLESLGEMDLSAKTRLEAENDYGDLWDYLDEEEMIET* >Brasy9G149200.1.p pacid=40063455 transcript=Brasy9G149200.1 locus=Brasy9G149200 ID=Brasy9G149200.1.v1.1 annot-version=v1.1 MAQEREPLLQNGGNAAGASKGSPALLPSLARSVLKFLMWAVFLTWAGGIFFYPTKPVQAAFQEWAGVTKQSLFGITGTVFLAFSAPILIVAALAYVYIAAFPNDHIEKKKLRSLNFRLWTFPVLVDGPFGVISAVEFIGIVLFIVYVVYSMTYYVVESVSLVSKADLPSSTSSELILAVIGLRFGSVGLFCMIFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISWSLEGRLIEEMIQWKETGVANLPGVISLAAGLLMWATSLHPVRKRFFELFFYTHQLYVVFVVFLALHVGNFVFSISAGAVFLFMLDRFLRFWQSRAKVDIVSAACRPCGTMELVFSKPPSLRYNALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHMSILIKVLGEWTDKLKSIITDVQEQTNGSDDDGSGRSQAGRITASIEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRVEQGMPCAPKNVLVLWSVKKSTELSLLSAVDAQSITSSVSDKLHLDIQAFVTQESEPPLEDGILGGDQKVPAGIFVKNGVAMSGLVGTGDNFWAAMYFAASTVGSVLAYALAQVYYVQRFGVHAWWQLGLLLLLSMAAGVALPGGLVVLLWHLSERRRLQDERWDDAGAGAAVEQMANADGGADADADADADASTASLAAMRTTRYGCRPKFQAEFAAFAERAGGAAADVGVLVCGPPGLQASVARECRSQNLVGRRGGAVFHFNSHSFDL* >Brasy9G013300.1.p pacid=40063456 transcript=Brasy9G013300.1 locus=Brasy9G013300 ID=Brasy9G013300.1.v1.1 annot-version=v1.1 MAETVLSMARSMLGGAVSKATSAAATEMSLLMGVRKDIWFIKDELETMQAFLLAAEGMAKKDMLLKVWAKQVRDLSYNIEDCLGEFMVHVGSRSLLQKLMKLKDRHRIAMQIRDLKSRVEEISNRNRRYSLIDKNQNTSTTEETQERDSFLEDIRNQSANNIDEAELVGFSKPKQDLIKLIDVQSMNDPAKVVCVVGMGGLGKTTITRKVYESINKNFSCCAWIIVSQTFVRMEMLKTMIKQFFGDEALKKQLEGKVVQEDDLAGYLRDELNEKRYFVVLDDLWNVDHWEWIKTFAFPSSNGKGSRIIVTTRDIGLAKKCTFESFEPLIFHLKALEIDDATKLLLRKTRKGDKDMENDESMRKIVTKIVRKCGCLPLAIHTIGGLLATKKETEWESIYNQLPLELETNPDLAAMRRMVTLSYNHLPSHLKSCFLYLGIFPEDFEIQRSRLVGRWIAEGFIRVSVGMNIEDIANGYFNELINRSMIQPSRVNVEGIVKRCRVHDIVRDVMVSISREENFMFLFGDNITSVGEENFRHIAYHGSKCPKISKDWSHVRSLTMFGERPKDPSPPVCSADLRMLRTLDLRNAQFETTQKDIQNIGLMRHLKYLNVYNSRGYSNIYKLPRSIGKLQGLQILDIRDTHITTLPTEISKLQSLHTLRCSTYVSYDFFDLDEPKECLMHLLCLPMVFMPLGNHDERAKKIAALHMACSSGWPKSIGVRVPRGIGNLKELEILEVVDIKRTSSKALEELGQLTLLRKLKVETTGATEKKCKILGVAIENLSSLCSLHVSAGWDGTLEWMHSVSAPPLFLRSLRLYGCLGEEMPNWVGSLMHLVKIHLGRSRLKEGCKIMKILGTLPNLMLLSLRGDTYLGEKLVFRTGEFPKLKQLEIYALGEPKEVRFEEGTSPHMERIEISFCSLQSGIIGIKDLPRLKEISLGWDSKVGRLGVLQGEVDEHPNKPVLQLMQDRDKHDLGDIVQGSDDLQLEETTEEGSSLHPGPAAAGESSSQVIAIMPTTSKSEDDSNVEDEDGDDFCSCISDDDDEDAS* >Brasy9G013300.2.p pacid=40063457 transcript=Brasy9G013300.2 locus=Brasy9G013300 ID=Brasy9G013300.2.v1.1 annot-version=v1.1 MAETVLSMARSMLGGAVSKATSAAATEMSLLMGVRKDIWFIKDELETMQAFLLAAEGMAKKDMLLKVWAKQVRDLSYNIEDCLGEFMVHVGSRSLLQKLMKLKDRHRIAMQIRDLKSRVEEISNRNRRYSLIDKNQNTSTTEETQERDSFLEDIRNQSANNIDEAELVGFSKPKQDLIKLIDVQSMNDPAKVTFVRMEMLKTMIKQFFGDEALKKQLEGKVVQEDDLAGYLRDELNEKRYFVVLDDLWNVDHWEWIKTFAFPSSNGKGSRIIVTTRDIGLAKKCTFESFEPLIFHLKALEIDDATKLLLRKTRKGDKDMENDESMRKIVTKIVRKCGCLPLAIHTIGGLLATKKETEWESIYNQLPLELETNPDLAAMRRMVTLSYNHLPSHLKSCFLYLGIFPEDFEIQRSRLVGRWIAEGFIRVSVGMNIEDIANGYFNELINRSMIQPSRVNVEGIVKRCRVHDIVRDVMVSISREENFMFLFGDNITSVGEENFRHIAYHGSKCPKISKDWSHVRSLTMFGERPKDPSPPVCSADLRMLRTLDLRNAQFETTQKDIQNIGLMRHLKYLNVYNSRGYSNIYKLPRSIGKLQGLQILDIRDTHITTLPTEISKLQSLHTLRCSTYVSYDFFDLDEPKECLMHLLCLPMVFMPLGNHDERAKKIAALHMACSSGWPKSIGVRVPRGIGNLKELEILEVVDIKRTSSKALEELGQLTLLRKLKVETTGATEKKCKILGVAIENLSSLCSLHVSAGWDGTLEWMHSVSAPPLFLRSLRLYGCLGEEMPNWVGSLMHLVKIHLGRSRLKEGCKIMKILGTLPNLMLLSLRGDTYLGEKLVFRTGEFPKLKQLEIYALGEPKEVRFEEGTSPHMERIEISFCSLQSGIIGIKDLPRLKEISLGWDSKVGRLGVLQGEVDEHPNKPVLQLMQDRDKHDLGDIVQGSDDLQLEETTEEGSSLHPGPAAAGESSSQVIAIMPTTSKSEDDSNVEDEDGDDFCSCISDDDDEDAS* >Brasy9G013300.3.p pacid=40063458 transcript=Brasy9G013300.3 locus=Brasy9G013300 ID=Brasy9G013300.3.v1.1 annot-version=v1.1 MTPLKWYVWLVWVVWTFVRMEMLKTMIKQFFGDEALKKQLEGKVVQEDDLAGYLRDELNEKRYFVVLDDLWNVDHWEWIKTFAFPSSNGKGSRIIVTTRDIGLAKKCTFESFEPLIFHLKALEIDDATKLLLRKTRKGDKDMENDESMRKIVTKIVRKCGCLPLAIHTIGGLLATKKETEWESIYNQLPLELETNPDLAAMRRMVTLSYNHLPSHLKSCFLYLGIFPEDFEIQRSRLVGRWIAEGFIRVSVGMNIEDIANGYFNELINRSMIQPSRVNVEGIVKRCRVHDIVRDVMVSISREENFMFLFGDNITSVGEENFRHIAYHGSKCPKISKDWSHVRSLTMFGERPKDPSPPVCSADLRMLRTLDLRNAQFETTQKDIQNIGLMRHLKYLNVYNSRGYSNIYKLPRSIGKLQGLQILDIRDTHITTLPTEISKLQSLHTLRCSTYVSYDFFDLDEPKECLMHLLCLPMVFMPLGNHDERAKKIAALHMACSSGWPKSIGVRVPRGIGNLKELEILEVVDIKRTSSKALEELGQLTLLRKLKVETTGATEKKCKILGVAIENLSSLCSLHVSAGWDGTLEWMHSVSAPPLFLRSLRLYGCLGEEMPNWVGSLMHLVKIHLGRSRLKEGCKIMKILGTLPNLMLLSLRGDTYLGEKLVFRTGEFPKLKQLEIYALGEPKEVRFEEGTSPHMERIEISFCSLQSGIIGIKDLPRLKEISLGWDSKVGRLGVLQGEVDEHPNKPVLQLMQDRDKHDLGDIVQGSDDLQLEETTEEGSSLHPGPAAAGESSSQVIAIMPTTSKSEDDSNVEDEDGDDFCSCISDDDDEDAS* >Brasy9G307100.1.p pacid=40063459 transcript=Brasy9G307100.1 locus=Brasy9G307100 ID=Brasy9G307100.1.v1.1 annot-version=v1.1 MARSSLLSPAVAVAAAAITIACLTTCSSAAGGGNFYENFVVAWGDDPDPGRRVEVLDGGRVVTLTLNNVSGSGFRSKDAFLFGEFTMPMKLVPGDSAGTVATFYLTSKDPTAEGDGHDEIDFEFLGNVSGEPYVMQTNVFARGVGNREQRFYLWFDPTADFHNYTILWNPLNIIFSVDGVAVRVFRNHERHGLPYLSGQTMKVHATIWNDDTWVTMGGRVKTDWSHAPFVASYGAYAATVCVSSPAAETSGGDKCNGAAAEESSSWMARRLGPDGERAVAWAREKHMVMDYCDDPWHLGRPAECDIDRRV* >Brasy9G282800.1.p pacid=40063460 transcript=Brasy9G282800.1 locus=Brasy9G282800 ID=Brasy9G282800.1.v1.1 annot-version=v1.1 MASPLLKPHPNCSAGSFHGRCPPTTQLVQQRWTGSRRGAAVKARAFPSLEVVPLMATMVQHLEGQRDWVVTKSIWHLSDTAIKSFYTFYAMFTVWGVCFFASMKDPFYDSEYYREQGGDGTVHWYYDRQEDMEASAREELLREELLEEIEQRVGGLRELEEAGKEEEFVK* >Brasy9G282800.2.p pacid=40063461 transcript=Brasy9G282800.2 locus=Brasy9G282800 ID=Brasy9G282800.2.v1.1 annot-version=v1.1 MASPLLKPHPNCSAGSFHGRCPPTTLVQQRWTGSRRGAAVKARAFPSLEVVPLMATMVQHLEGQRDWVVTKSIWHLSDTAIKSFYTFYAMFTVWGVCFFASMKDPFYDSEYYREQGGDGTVHWYYDRQEDMEASAREELLREELLEEIEQRVGGLRELEEAGKEEEFVK* >Brasy9G059700.1.p pacid=40063462 transcript=Brasy9G059700.1 locus=Brasy9G059700 ID=Brasy9G059700.1.v1.1 annot-version=v1.1 MRLQHLHVAYLENKKAASSSSSSSTSPAPSTFPFAFQCLRPLAPKISLPEPRTKAPEFGRVRTAASKLLACTTVAAGGTTRWNPSAEQIKVLEALYRGGMRTPNAAQIERITEELGRHGRIEGKNVFYWFQNHKARERQKQKRAALLTLATAGTGLDDSSSNSPPPETTSKKDDGAEKMDAAACGDVTTSCKRQCKAWGDGGHGDAAGDGAVDDVTLELFPLRPQGKA* >Brasy9G159000.1.p pacid=40063463 transcript=Brasy9G159000.1 locus=Brasy9G159000 ID=Brasy9G159000.1.v1.1 annot-version=v1.1 MKCSSIELSNLDRVDFRGLAICPIRSKEGECNQPGCYFWFLEIGRFNMAARYYKDIGDIHQQEQYLEKASDYIERAADLFDSEVPTSQSNTIKQKVAETAVRLI* >Brasy9G063600.1.p pacid=40063464 transcript=Brasy9G063600.1 locus=Brasy9G063600 ID=Brasy9G063600.1.v1.1 annot-version=v1.1 MAEEAKVRYEKTVFKVVDLDDYAAEDDEYEEKLKKETLVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDFKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPCGLGDDDQCIEDDFTAWKEQVWPELDQLLRDDDDTTGASTPYTAAIPEYRVVFIDKSDLVVEDKSWTLANGNGVIDIHHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSVDTVEQAERLLGLSPDTVFSIHADAEDGSPRKGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALASHASDPTEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTERLHQGVCSTWMKNTLPLEYSEECSWAPIFVRQSNFKLPADPSTPVIMIGPGTGLAPFRGFLQERLALKESGVELGTSVLFFGCRNRNMDFIYEDELQNFLQEGALSELVVAYSREGPTKEYVQHKMVEKATEIWNIISQGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDNSKTESYVKSLQMEGRYLRDVW* >Brasy9G008200.1.p pacid=40063465 transcript=Brasy9G008200.1 locus=Brasy9G008200 ID=Brasy9G008200.1.v1.1 annot-version=v1.1 MATRAPPPSSSSSGSATVTIDRSSSSSASAAAQPPPPPQPETVVLRLKRRAKKKVSWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDDDVGRNPPADGGGGCSSSSHGHGHSHRDHHH* >Brasy9G008200.2.p pacid=40063466 transcript=Brasy9G008200.2 locus=Brasy9G008200 ID=Brasy9G008200.2.v1.1 annot-version=v1.1 MATRAPPPSSSSSGSATVTIDRSSSSSASAAAQPPPPPQPETVVLRLKRRAKKKVSWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDDDVGRNPPADGGGGCSSSSHGHGHSHRDHHH* >Brasy9G242300.1.p pacid=40063467 transcript=Brasy9G242300.1 locus=Brasy9G242300 ID=Brasy9G242300.1.v1.1 annot-version=v1.1 MASSRGTFLFAALGVLSVLSLPTATRGWADGGATWYGGPYGDGSEGGACGYKSDVGQDPFSSMIAAGGPSLFKNGKGCGACYQVRCKEDPACSGKHVTVVITDSCPDGTCQKEKAHFDMSGTAFGAMAKPGMADKLRNAGLLKIEFDRVPCKYHGKKISFKMDSGANPYYLAMLIEYEAGDGDLASVEVMEAGGSKGSAKWMPMRQSWGALWCLDSKTGKPLQAPFSFRLTSGSGKVLVANNVVPTGWNAGKAYQANVNYAA* >Brasy9G197500.1.p pacid=40063468 transcript=Brasy9G197500.1 locus=Brasy9G197500 ID=Brasy9G197500.1.v1.1 annot-version=v1.1 MATTAAAASLDEAKAKLVLRQVEFYFSDSNLPRDGFLRKTVEESEDGLVSLALICSFAKMRSHLGLDATVKEDSVPENTVLAVAKVLRCSSALRVSEDGKKVGRANELLKPDEIIEQVDSRSIAASPLPYNVKLEDVQSFFAQYGKVNSVRLPKHVSDKRHFCGTALVEFSEEEEANGVMKNNLIFAGADLEIKPKKEFDAEREAKKEAYEKSNPNKNGHDEGYPKGLILAFKLKKILADNDAEQNGGDKVDEADVAKKEGASSPTEESEIDHKEKIPDNTDVREEQADDLAELKGADAKSDNKDGNNASTNDKEPISREDFKEHFAKFGIVRYVDFSKGDDSGYIRFEDSKAAEKARAFAALSDEGGLIMKGHIVTLEPVTGQAEKDYWSEIKGGQGKYKDNRSNRGRDWKNNRGGGRHFTKRGRHSDSHERTSNKAQKVDASA* >Brasy9G032100.1.p pacid=40063469 transcript=Brasy9G032100.1 locus=Brasy9G032100 ID=Brasy9G032100.1.v1.1 annot-version=v1.1 MAIDQPLKRNGGMPAGAGCSQSAKTEMGQKMALVHQSLALLDSSKSASDDDFEPMDEERAIVVRQQSIPAIFDCGDPDDEIVDYVPLRIVRPERVESEEEKPPSTHHKHDAKHTSTALIRTYKRKRGKLTGSNSAKSKMEQKPASVDSNSSSRSRSESDNDDDSAPTVPDVDGRNKYGSVQDIRSSAWERALEVKEKLPAEDPSFAKRMLHSHVVQGFWLGLPSGFCREHLPKHDVIIALEDEDGRSYDAKYLGYKQGLSGGWRNFALQHDIKVGDAVVFQLMRSTRFKVYILRENKFTITDGALGLLSLDTSNENNISREESSDEDVKSMEDPKVTRVSSKVADDDDSNINVPNQEAVDDDIRSPYSDTDFDGVTSFSNFNIVVDGSVINGELFLDRQRRTYYELCQARKSLLHKNLLKKINPTLALGVIFETVNIAEGIRASRASSREEFALWKKTLESFELMGMDVTFMRKRVDDLLGLLPSRSLGDCPVADGEHEGYEEVKLEHKHAAKKMRALELKMSSLKDALKEMDVAMEEMESSVKKNAQAMRQIATAPW* >Brasy9G230200.1.p pacid=40063470 transcript=Brasy9G230200.1 locus=Brasy9G230200 ID=Brasy9G230200.1.v1.1 annot-version=v1.1 MATAAASSFLSPAKFALPSRSPSSKPARSVRFLPARAQQQVKEQDPEATLPPPPQEEEESAAGAKRKGPAVSLPRQPLAESKNMGREYGSQWLSCTTRHVRIYAAYIDPETHAFDQTQTDKLTLILDPTEEFVWNDDTCQMVYNEFQDLVDHYEGAPLSEYTLRLIGSDLEHYIRKLLYDGDIKYNMNSRVLNFSMGKPRVKFNSSQIPDVK* >Brasy9G206200.1.p pacid=40063471 transcript=Brasy9G206200.1 locus=Brasy9G206200 ID=Brasy9G206200.1.v1.1 annot-version=v1.1 MADGQVAGWGVQDDGWRKGPWTGQEDKLLLEHVRQHGDGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKMTPQEESTIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQQQLLKQRREMQQQQQQQSLLLAVGQGKADAVERTAGIVVEDEQGMGAGAGASAAASLAGAGHDHREELIMQDVMDFLCPMSCVLQLHGAGLQGGGGSGAGSSCCASTSEGYGSSEDDGATWGSLWNLEDVVVHDVGGGACTLW* >Brasy9G247300.1.p pacid=40063472 transcript=Brasy9G247300.1 locus=Brasy9G247300 ID=Brasy9G247300.1.v1.1 annot-version=v1.1 MDLTRRRRGGVPSVVDPSFRGLFEACYRSKQGGTGTETGNGKEEAMKNGLDVTGMPLPSGSHSSVHGAGSDPQLKRMLDCLKSTKCPAVINYGASWCGVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS* >Brasy9G247300.2.p pacid=40063473 transcript=Brasy9G247300.2 locus=Brasy9G247300 ID=Brasy9G247300.2.v1.1 annot-version=v1.1 MDLTRRRRGGVPSVVDPRGLFEACYRSKQGGTGTETGNGKEEAMKNGLDVTGMPLPSGSHSSVHGAGSDPQLKRMLDCLKSTKCPAVINYGASWCGVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS* >Brasy9G311100.1.p pacid=40063474 transcript=Brasy9G311100.1 locus=Brasy9G311100 ID=Brasy9G311100.1.v1.1 annot-version=v1.1 MCSPCLWPASGVGEKLKNVPMIQGMRGHILRNRGREKYGNSSTNHVYVLPFSCPCLTV* >Brasy9G145800.1.p pacid=40063475 transcript=Brasy9G145800.1 locus=Brasy9G145800 ID=Brasy9G145800.1.v1.1 annot-version=v1.1 MCSPINDQQLYPVTHSPLFTDTMTPRTSTLHIPPPQPHCGTAYYSHSIERVCLAGNEERAIFGKKSSVGERGSSTPTAYFRAYSLTSLYFAGTLGGFGRFPVFSLRRLLGFLRGELRPVSICPALRSRLYGRDGRCNNALHHVMEETGKLQHEQNAVVKLVAEKDQLVAEKDQVIAAKDQMIAELIAEKDELTKRNSGLKEQFQARESTDALQESGFQHHQPGRVQTRSMWSKKRQSEGHWELNHKPFLSACLKKLPPKEAKEKAFELYYFWKEQLLNPEWNPLKKVTVGGIPEEIIDVHDDKLQELHAAWGKEVHNAVVNCLMEMKECGLLKDRTVVPEVWNFKGNRKATLSESVDYMCSQVKRLKDTQSRMTRRAK* >Brasy9G068500.1.p pacid=40063476 transcript=Brasy9G068500.1 locus=Brasy9G068500 ID=Brasy9G068500.1.v1.1 annot-version=v1.1 MTRALEALSPYLKTPRRTVIQIEALLILGPWRRRSSHWSVQGTLWLAYTATFPLITYTLVQMVSSPVKNVLYPFWAILLVWVAGCPNAMTAYSLEDSKQWKRYSFELLQYLIYLSFILALLVPGSNRLTIPNLRRPDVLRPQPVYFPLLFLGSAVFMTNLFRIISVWMITNSNPSKLVADHMRVQVGQRGADHLQGQGQDEPFDFDPVTMKGYRYLVWPTPRRDLLITMETIKLDDGAITIKEIWDMTFDDSLLNTTQVGSSQLKNVCLSIALSNLLRRRFFGMDCAEAGLPETRKFALEGLFPEQDQANHYSRGFHVIEVELGFLYDLFFTKYATLFQTEFHFLFFVLLKLIVTCCCGLLLLQYSPTIMIFDPIIEVGTRRVDVTIIVLIMAAILLFEALQVILYLTSDWATVSLVWRYAKGLQSNLFGSILIFLILSSKKMFAKINSFGYWQNKMGQSSLIECCFRAKPFYMNPMFGSFTRPVFYMTMPSFHSWVFSKKTFQEVPSLVRNDIVSCLRKYSNGGPLTNGETALHLNGDVHAEFSWTLNLRNHSQTVVMLLWHIATEYCSMASSHDQEADTESGPLPDEKMQIENYKQVATTLSRYCAYLISFVPELLPGNSTDILCVFNDVLLEGRRALRQGKPSRDELLKVIAGSESSSSGGGNGNGDGGGGDNNTTTTTTTTTNNNNNIDAFSPFQKMQIENYKQVATTLSRYCAYLISFVPELLPGNSTDIFEDSIFVKGLKLGRALEEKGVLHRWELMAEFWVETIVYIAPSDNVAAHMERLAQGGEFLTHVWAILTHAGILKRD* >Brasy9G006900.1.p pacid=40063477 transcript=Brasy9G006900.1 locus=Brasy9G006900 ID=Brasy9G006900.1.v1.1 annot-version=v1.1 MDSDADPLAILNKLQFLGFCADLNIPDAESADPSEVFDAVLAAFLREVYPGEREARPIPATLGDGRRVDLLRLFAAVRAAGGYASAASSTGAWAAATKSADLDAAPAAPVKLLYAKYLGALDRWIQRLLEAQPPLGRTDQELSFDANGREDCNGRDQRHVVQKRKRGDMVGMLGWVRGLAENVEEDGTVAAGFADGYFSMVLEVREAVSRKRARRPNMTNGALLQEMGCKCYLSTNGARIDARCSKKLLRHPSLDTNELTTVVENISATSMVMEQERNLNGQKRNKVPVGSNYQAEVPQWTGVLPQNYDDLETLKWLGTELWPIEGENRKAPSYCDPIGRGRDDFCSCNLPRSVECVRFHIAERRLQLRRELGSAFYACGFDRMGEEVALSWTDEEEAKFRAVMRINASSSGRNLWNRLLSSFRLKRNKELVSYYFNCFLLRRRCYQNRITPKKIDSDDEEETEFRLLGNRLGQSATKYHSTKHTVCIQSTHCMDLDQ* >Brasy9G108100.1.p pacid=40063478 transcript=Brasy9G108100.1 locus=Brasy9G108100 ID=Brasy9G108100.1.v1.1 annot-version=v1.1 MGEEEKKPKEEEKPKEEEAKKEEKPKEGEEEKKDEKPKEGEVEKPKEEETPPPPPPPPEEVVMRVFMHCEGCARKVKKILKRFDGVEDVVADSKAHKVVVKGKKAAADPMKIVERVQKKTGRKVELLSPIPAPVEEKKEEEKKEEPEPPKPEEKKEPSVITVVLKVHMHCEACAQEIKKRILKMKGVQSAEPDLKASEVTVKGLFEEAKLAEYVHRRTGKHAAIIKSEPAAPAEKAGEGGDAKDEKKPEEGGDEKKDGKEAEKKDDKEGGGGEEKKDEKEKEGGGDGEDKDKEKDPGAIAAYMHYPRFPFPTGYYGPPPPGVGYAYPPPPHGYGYPPPPPPPPVYQSYPPQIFSDENPNACSVM* >Brasy9G108100.2.p pacid=40063479 transcript=Brasy9G108100.2 locus=Brasy9G108100 ID=Brasy9G108100.2.v1.1 annot-version=v1.1 MKIVERVQKKTGRKVELLSPIPAPVEEKKEEEKKEEPEPPKPEEKKEPSVITVVLKVHMHCEACAQEIKKRILKMKGVQSAEPDLKASEVTVKGLFEEAKLAEYVHRRTGKHAAIIKSEPAAPAEKAGEGGDAKDEKKPEEGGDEKKDGKEAEKKDDKEGGGGEEKKDEKEKEGGGDGEDKDKEKDPGAIAAYMHYPRFPFPTGYYGPPPPGVGYAYPPPPHGYGYPPPPPPPPVYQSYPPQIFSDENPNACSVM* >Brasy9G010300.1.p pacid=40063480 transcript=Brasy9G010300.1 locus=Brasy9G010300 ID=Brasy9G010300.1.v1.1 annot-version=v1.1 MMLEMPIEEASKAIRLSEPASGSSCGGDAIKSATGKKAAGKGSRLSERASGSSGDGVAIKAQKLAEGDKAEAGKVKRMGLVSKEYIDLLRKLDGGPRVRTFDRLANYTGPQADVLRESAARSTAAMERFRAQNAYILQQYSTYGEVEIEMEEDESWLDDPKRWVLQVARKNAAARKKMASSA* >Brasy9G323600.1.p pacid=40063481 transcript=Brasy9G323600.1 locus=Brasy9G323600 ID=Brasy9G323600.1.v1.1 annot-version=v1.1 MIKDVQIAHSSTSEALHYAWIKVRGERQHYSNFKYCLWASHNHAHFQTNFLMTAYYKKPMPKELSPINS* >Brasy9G189400.1.p pacid=40063482 transcript=Brasy9G189400.1 locus=Brasy9G189400 ID=Brasy9G189400.1.v1.1 annot-version=v1.1 MAASPQAAAAGRHALGRGTAPPRPRFRSARPPSSLEPPHRAACYLFRSLLPTPMAFCSTPRVCLTPSAFLPSRRNSEGYIPRSCSGSSLRIYTQSSLLSLLPSSALMVSAQLPSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGPTELKLAEAAMSAMAWGTNHESMAVEQYTSITGRSVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPYNKGKPELALPWRIVPYYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHDVLREFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIVKSRKLASEAKLLCRDVGGHVEFFP* >Brasy9G189400.4.p pacid=40063483 transcript=Brasy9G189400.4 locus=Brasy9G189400 ID=Brasy9G189400.4.v1.1 annot-version=v1.1 MAFCSTPRVCLTPSAFLPSRRNSEGYIPRSCSGSSLRIYTQSSLLSLLPSSALMVSAQLPSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGPTELKLAEAAMSAMAWGTNHESMAVEQYTSITGRSVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPYNKGKPELALPWRIVPYYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHDVLREFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIVKSRKLASEAKLLCRDVGGHVEFFP* >Brasy9G189400.2.p pacid=40063484 transcript=Brasy9G189400.2 locus=Brasy9G189400 ID=Brasy9G189400.2.v1.1 annot-version=v1.1 MAASPQAAAAGRHALGRGTAPPRPRFRSARPPSSLEPPHRAACYLFRSLLPTPMAFCSTPRVCLTPSAFLPSRRNSEGYIPRSCSGSSLRIYTQSSLLSLLPSSALMVSAQLPSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGPTELKLAEAAMSAMAWGTNHESMAVEQYTSITGRSVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPYNKETGSTSTAGPPMGAASSGCLETAHTGSSSTTSCVNSGGAT* >Brasy9G189400.3.p pacid=40063485 transcript=Brasy9G189400.3 locus=Brasy9G189400 ID=Brasy9G189400.3.v1.1 annot-version=v1.1 MAFCSTPRVCLTPSAFLPSRRNSEGYIPRSCSGSSLRIYTQSSLLSLLPSSALMVSAQLPSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWNEKVFGPTELKLAEAAMSAMAWGTNHESMAVEQYTSITGRSVGSLGFAVHTEANSGWLGASPDGILGCDPNGGILEVKCPYNKETGSTSTAGPPMGAASSGCLETAHTGSSSTTSCVNSGGAT* >Brasy9G045900.1.p pacid=40063486 transcript=Brasy9G045900.1 locus=Brasy9G045900 ID=Brasy9G045900.1.v1.1 annot-version=v1.1 MAGMAPEGSQFDAKNYDSKMQELLSQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL* >Brasy9G045900.2.p pacid=40063487 transcript=Brasy9G045900.2 locus=Brasy9G045900 ID=Brasy9G045900.2.v1.1 annot-version=v1.1 MAGMAPEGSQFDAKNYDSKMQELLSQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL* >Brasy9G112000.1.p pacid=40063488 transcript=Brasy9G112000.1 locus=Brasy9G112000 ID=Brasy9G112000.1.v1.1 annot-version=v1.1 MARWSDSTTVTALLEEDLNSRSEARSARAQQRAGAKRAWQKGRSRRRGCSRGSARAGRRRGSDGALGRREHAEGRDGRGGAREGRIERDERAAGDGPAGDEDGRPRGEEGEGEDRPVAAVEVPDRGLEAGPRAPEPEKQADDWDGGRDVGSGKRKTELTGWARR* >Brasy9G002800.1.p pacid=40063489 transcript=Brasy9G002800.1 locus=Brasy9G002800 ID=Brasy9G002800.1.v1.1 annot-version=v1.1 MDLNKKGTTAELTDDLVVDILSRLTYKSFCRSKCAYKAWSAFSSDPDYQKKLPKKVTAGLLCQSYGNSAIPLVSLSQNDGEIDGILADVPNNEHLELVDCCNGLVLCKYRSSFTPRGINRFVVCNPATREWRTLPDTHSATENPKNVTILAFDPSWSPQFYIFNFHLEITHPLILATTKLEIFQSESSAWLVDDTWNSDISLSEWKPRLFLDGMLFVETTEQQVLVFEGLESTADGIPPYRWTIKLPVWSLDDHAYHLWAWNLKCHLSMKDAFGRDDFVYYDDGGDDDGHIGSFWNCNYRIVALDLERELVLLSDQKTKNLLLYNISTGKLNEIRDSFEWHHNRYSYYVPCYSELPAQEQPSV* >Brasy9G312500.1.p pacid=40063490 transcript=Brasy9G312500.1 locus=Brasy9G312500 ID=Brasy9G312500.1.v1.1 annot-version=v1.1 MGLTTAPIVFLSLISLIILVSLLRRKSASTHSKKRRPPGPRCLPFIGSLHHLLTPHPQVALRDLAKKHGPVMYLRLGQVDTVVISSAAAAQEVLRDSALNFASRPSLLTVEIIGYGDIDLAFAPYGAYWRTLRKICTVELLSARKVRQFAAIRDGETLSLVSKIRDAAGRGGVVEPVNLGRLLVSCANGITGKAAFGDGCDAELQEQFLSAVAVALEFSGGFCVGDLFPSLWFVDNLTGLRRRFSRAHRQLDAVFDEIISKCETRREEKKKTTAKATTRPREDDLLSVMLRIRTRGSLSSPSAGQTSRQSY* >Brasy9G358200.1.p pacid=40063491 transcript=Brasy9G358200.1 locus=Brasy9G358200 ID=Brasy9G358200.1.v1.1 annot-version=v1.1 MDFSRFAAASGAGPGLQIGAAAGNGSFRACSLRRARRRGIGLGGGGGNLMVASALRGCGSSGLLLYAAPLKHHGTCCRSPTLRGRGIKCQGNDSLAYVDGPLEGTKGSSQGADEAAGGTSASDEEKSQTRVDVDDLRELLHRARKELEVARLNSTMFEGKAQRISESAIALKDKADGAQRDVSAAVATVQEIIGREEDAKEAVRKATMALSMAEARVQLAAEALDAKRGSVGQLEVSLDDVEEEAFASAQEEIKGCQASLSKCEEELSRIRGKKMELQKEVDRLTELAERALLDASKAEEDVSNIMVLAEQAVALEMEAAKRANDAELVLQKAEKAISSVDSVVELVPAAEGQISDEEDDLSDVYDYSGDGFADISERDEVSNVERLMQIGDLAAEGIEQLELSHETSNEERSDKLLVEPQKEAESDTDKSKQGKKPDIERKESKSSLKRSSRFFSASFFSSKSDGEFTPTSVFRGLMKTARKQAPKLVVGTLLLGAGVFFFSRAQKSNQLFQQPGIATSIEEVSSTAEPIVREIRQIPKRIKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTTAVVGMVAHRFAALPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLFLRPIYRQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLFMSNYPAISLILGLLIIGKTLLVTFIGRLFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPHLSSLLFLVVGISMAMTPYLAAGGQFLASKFEQHDVRSLLPVESETDDLQGHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIATTINEFRNRHLSELTELCTTTGSSLGYGFSKVMPKTKPPISDDEGESVDGALAI* >Brasy9G139900.1.p pacid=40063492 transcript=Brasy9G139900.1 locus=Brasy9G139900 ID=Brasy9G139900.1.v1.1 annot-version=v1.1 MAAAAASTSAATVSAISAHVAAGRLFAALDKLTPSWSSSPIPSCLYASLLRLATSHGSLSAARRIATHLAASSSTSSTSRSSVPTFLFNRAIEALAACGSVADARELFDLMPRRDGGSWNAIITASSRAGHPDEALSLFSDMNSLGVRPKDVTMASVLSCCAECLDLCGAQQLHGHIAKRDFQSNVILGTALVDVYGKCFLLADARRAFDGILEPNAISWNVIVRRYHLAGMGDMAVDMFFRMLSAGVRPLGYTVSHAVLACRDNNALEEGRCVHAFVLRHGYEHHVHVRSSVVDMYAKCGAMDAAQSLFNLAPMKDMVMSTSIVSGLASCGRIADAKRVFEGMKERNLVSWNAMLTGYIRSMDLTGALLLFQKMRQETKELDAVTLGSVLSACTGMLDIGKGEEVHAFAFKCGLFSSPFLKNALVRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALYALTKMQSEVTPNQSTFSSALAACANIFLLKHGMQIHAYIIRKGYEIDDILCSALIDMYCKCRQFDYSIRIFEARPSRDVILWNSMIFGCAYSGKGEYGLDLFDEMQKQGIKADSVTFLGALVSCISEGHVRLGRSYFTLMMDSSIIPRIEHYECMIELLGKHGCMVELEDFVEHMPFEPTTAMWLRIFDCCREYGNRKLGERAAKCINDSNPLTPVQFVATMDYESNNGDRVAESTSFSSEGEGCEELPFSSEGEARLLL* >Brasy9G176200.1.p pacid=40063493 transcript=Brasy9G176200.1 locus=Brasy9G176200 ID=Brasy9G176200.1.v1.1 annot-version=v1.1 MEDTDMGLGMISQVGTLVKSSFQHPRQLCSTGSGAVLEAFRCFHKVAGALYFCLSRASNSKIFHRLSTIAGSGSRACRSKIKQATSCMQRLAALQFGSQGREEHAIQMLLARLANATVGRLWNEAEERQACNIPMLAAATIIPPFENMSVSWIAIAS* >Brasy9G161100.1.p pacid=40063494 transcript=Brasy9G161100.1 locus=Brasy9G161100 ID=Brasy9G161100.1.v1.1 annot-version=v1.1 MAAGDGAASAYGGGLTFSVIITCLVAASGGLIFGYDIGISGGVSQMKPFLETFFPKVLRRMADAKRSQYCMFDSHALTAFTSSLYIAGLVASLFAGRVTRSLGRRGVMLVGGALFFAGGIMTGAAVNLAMLIVGRMLLGFGVGFTNQATPLYLAEMAPAQWRGSLGVAFQFFLSLGILIANLVNYGTARVRWGWRVSLGLAGAPAVVMVVGAFFLTDTPSSYVMRGKADLARAALVRVRGRGGDVDAELKDITRAVEAARSSQKGGFRKLLANREYRPHLTFALALPLCHQLSGMMVLTFFSPLVFRIAGFGSNAALMGAVILAGVKFVSLILSTLVIDRYGRKVLVIAGAAIMIVCQVANAWIMRAQGGKNGEVPLPRPYGMALLVLTCVQGAGFGMSWAPLIWVIPGEIFPLEIRSAGQSVSVSVVLGLTFLQTQTFLALLCALKYATFVYYASWVAVMTAFVLVFLPETKGVPLESMGSVWEGHWYWRRFVGGDGRRVKASPSDE* >Brasy9G087000.1.p pacid=40063495 transcript=Brasy9G087000.1 locus=Brasy9G087000 ID=Brasy9G087000.1.v1.1 annot-version=v1.1 MASIVFVILLLTLPFLASADVFCDNLKLVAATLPSNTSSSPSHFATATLGQSPDTVYAMALCRGDILGNDTVCGDCVSSTINRLVNQTPSPQDQCYRAYYYYGDPCTVIYSISLTILAPFNATADEDEPFEKWNIKNVTGDGIAALVRRLLVETVESAAASVGRFATGEMDSGTTFPLVRSLAQCTPDMSAGDCGACLRRLLGAVNATMAQRMGGQLHVVRCYFRYETYPFYTGEPTLRIDAAASAPPPAPAPARKHKDNMSKLWVIPVVAVPLAAAAFLCLICYYRRLTRQRKGKLTRLQGSRRAQDSEGEEQLVWEGNNSDFSVFEFEQVLEATNNFSEENKLGEGGFGAVYKGQFSEGLEIAVKRLASHSGQGFVQFKNEVQLIAKLQHRNLVRLLGCCSQEEEKILIYEYLPNKSLDFFIFDENKRALLDWPKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYDSNNTEGNTTRRVVGTYGYMAPEYASQGVFSIKSDVFSFGVIIFEILSGNRNSGSQQCGDFINFLGYAWQLWEEERWIDLVDASLVSKSNSREMMRCINIALLCVQENAADRPTMADVVAMLSSETMIMDEPKKPAYFNIRVGNEEASTTTDSRSINDMTISVTIPR* >Brasy9G276800.1.p pacid=40063496 transcript=Brasy9G276800.1 locus=Brasy9G276800 ID=Brasy9G276800.1.v1.1 annot-version=v1.1 MAASLSCAFFFDAEPLGEPGMPALDACALCGKPLRRDSDIFMYRGDTPFCSEECRDEQMQLDAVCARQAARAAARRQQVYSSGTEARRGHRETRKVSVAS* >Brasy9G325500.1.p pacid=40063497 transcript=Brasy9G325500.1 locus=Brasy9G325500 ID=Brasy9G325500.1.v1.1 annot-version=v1.1 MLRRGVRDLYSLRSLTRIPRPISSEVQSPLLLNSRRNSTKVSQKSSTQNSVPGPQEEPSQSGSKVSKLLLGTLVVGAAAMAAYQAGYIDLQFKDTKFPSAVREQNFTKIYENLKSPSEQKVDQKQTMLDPNTGTVQDSGSKVHTSQDLATKGIGAAVIPTVGEQPTPAEEEKTETHAQETLPVQDEHGADTKLPSQDTPTVDIKHHVVNDKALHEVPPGQADKIGSTVSPVQSSSTDPSVIGGGEQKSLAETYLLQEEPDITKDVSAKETRTDGIVGGGKATDDGKIMLDIIDAIHAAEKKQADTDAYMYSEEKRKLKDKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAAIKELQEKAEQTLRDELQQKNEESSQQVEKVQELAKAELAAALAKEKASQIEQIAEANLNIDALCMAFYARSEEARQSHSVHKLALGTLALEEALSSGSPIRTEVDLLRKSIEGIDKDSLLELALSSLPEDVLEYGSDTRMELKQKFNSLKETIRHFSLIPSGGGGMLTHAVARVASSIKIKEDHSGDSIESLISRVEDLIVDGDLSAAVDALTGGLQGSEAEEIATEWVKQARKRAIAEQTLTLLHSYASSITFS* >Brasy9G324900.1.p pacid=40063498 transcript=Brasy9G324900.1 locus=Brasy9G324900 ID=Brasy9G324900.1.v1.1 annot-version=v1.1 MEALRATTARLFELARAVHPRRAALKLLGQRVTLALIIANLDRAFCADLNKAVTEVCDALSRDIGKAADLAARLDAMRRHDNRNGNGNGGVPAVASSPLLASIAGLSGDGLYRALMALQLPAAAPADVHLEAALAAKRLTLRDRLVSFIDILGTKVGVEPEPEACTRFLAFLDRHMSLDSFIEAHLNLAGAPPPAAG* >Brasy9G105100.1.p pacid=40063499 transcript=Brasy9G105100.1 locus=Brasy9G105100 ID=Brasy9G105100.1.v1.1 annot-version=v1.1 MSPPSPPTQRRATTSWGVGALPNLHGPFLPRLPRLRLQSPDAGGVEPVPPLHSAGELNYSVTDGGAHPLIG* >Brasy9G143300.1.p pacid=40063500 transcript=Brasy9G143300.1 locus=Brasy9G143300 ID=Brasy9G143300.1.v1.1 annot-version=v1.1 MVLELFSSLGRQDTGNKGSRLLESNLINNASMVFGLCLRSLLDDQKNQKKTLEKHFKNSLLLWSFSSSPNWHNMVRTEKMTSFLRAPQS* >Brasy9G191300.1.p pacid=40063501 transcript=Brasy9G191300.1 locus=Brasy9G191300 ID=Brasy9G191300.1.v1.1 annot-version=v1.1 DLAGYLNRWTGPDPAALGPSGAGSVRPARGLGPETPWPACTPPPPLAVSVQEQPWTTGPDLAALPPPRAPGLALARILSPPRAFWSRRRDGSGQGLRR* >Brasy9G169500.1.p pacid=40063502 transcript=Brasy9G169500.1 locus=Brasy9G169500 ID=Brasy9G169500.1.v1.1 annot-version=v1.1 MANRARWVMKYEKGLVDILHENNVSHYRTPNGWKSEGWRKIVKDFNDRHPDAGFSKVQIQEHETQLKKDYKLIKSILQRDGVSWDQNASMIRTTDEIWDEIIDEAPKARKYQSKSFPLLQSLELLFERDIPEGDRNLTSSVPQHVLGNVDEEGNNINTLPSMSGRPCGLVIGSIDEEENNISTFQRTLELGPQGLDDLDLLQNHTEEILERQQPGSVPKPQKVDEPTHSSSCIEPQKDKRKKRKAPDIQQTMGAYLDFRMKQARTKEQTKKDGEQFSISRCIKALHAITDVSDQVRILAADVFKDASNREIFLSYEPRLRTLWLKREVNRLLC* >Brasy9G169500.2.p pacid=40063503 transcript=Brasy9G169500.2 locus=Brasy9G169500 ID=Brasy9G169500.2.v1.1 annot-version=v1.1 MANRARWVMKYEKGLVDILHENNVSHYRTPNGWKSEGWRKIVKDFNDRHPDAGFSKVQIQEHETQLKKDYKLIKSILQRDGVSWDQNASMIRTTDEIWDEIIDEAPKARKYQSKSFPLLQSLELLFERDIPEGDRNLTSSVPQHVLGNVDEEGNNINTLPSMSGRPCGLVIGSIDEEENNISTFQRTLELGPQGLDDLDLLQNHTEEILERQQPGSVPKPQKVDEPTHSSSCIEPQKDKRKKRKAPDIQQTMGAYLDFRMKQARTKEQTKKDGEQFSISRCIKALHAITDVSDQVRILAADVFKDASNREIFLSYEPRLRTLWLKREVNRLLC* >Brasy9G036100.1.p pacid=40063504 transcript=Brasy9G036100.1 locus=Brasy9G036100 ID=Brasy9G036100.1.v1.1 annot-version=v1.1 MDGGGVVMVGRAEIDTRAPFRSVKEAVVLFGEKVLVGELHAGRRLTQNREAAAKPCVKYQAVAPTASVLAAAPRPVPLAPVTKTTRELDVAKQELEKEREENHKMAGCIQSLQDELSSAMSELNKLKAAAARHEAEEPKSKAVIDLQIEDLKFMEIDDKQPTAAEFQKKRYVTFADPPPASPPLPDVVLELHHRHPQQPQYYAAAGRPQYREVRFSRQVSAGPEAVKKLAAAAVVAEEEGRKKKKKPLIPLVGALFMRKKKSSSSSGSGGHHDVNGSSSVKPRPSF* >Brasy9G033800.1.p pacid=40063505 transcript=Brasy9G033800.1 locus=Brasy9G033800 ID=Brasy9G033800.1.v1.1 annot-version=v1.1 MSSAAAGAGEETVRASHILIKHEGSRRKSSWKDPEGRVISATTRADAAARLGGLRDQILAGGASFADIAAQHSDCSSARRGGDLGTFGRRQMQKPFEDATYALKVGEISDIIDTESGVHIILRTA* >Brasy9G336400.1.p pacid=40063506 transcript=Brasy9G336400.1 locus=Brasy9G336400 ID=Brasy9G336400.1.v1.1 annot-version=v1.1 MARPKGKKRAAAADADAEAEAPAVRGRGRPKKSAKTEPKPPAALARGRPKRANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGTVYLFGSTEPQRLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYYMPLTPPEEEDDTVVKFLYPLEPPIVCEFDWKIDNMKDFIDEKVKDEVLPEEEKEKFKDFIKEMVRERKRELKQAKDARKKTIDDLDPKLKEAYANIRFYKFYPEKTNDTPDVSNVKAKYINRYYGHAHELL* >Brasy9G133500.1.p pacid=40063507 transcript=Brasy9G133500.1 locus=Brasy9G133500 ID=Brasy9G133500.1.v1.1 annot-version=v1.1 MAKEGGAGEREAAEESIVWREEARRFETPDGEAFLQYRLVALPRASSGGASATPASAAMDMVHTYVPGSKRGRGLAARLCDAAFAHARRHGLRVIPTCSYISDTYLPRNPAWNELLCKDDEPKPSNTSSSM* >Brasy9G126000.1.p pacid=40063508 transcript=Brasy9G126000.1 locus=Brasy9G126000 ID=Brasy9G126000.1.v1.1 annot-version=v1.1 MDITLSEDEGESEEEEEDDPIERAKNDSCITLVLDDVRHEAQDGARVKMVIRLSLVREDEEEVIEAMAKSERTAALEDLCCKEEFTVDMCAAVCRPRTPSPPRNLGLVI* >Brasy9G220800.1.p pacid=40063509 transcript=Brasy9G220800.1 locus=Brasy9G220800 ID=Brasy9G220800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGTGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G224300.1.p pacid=40063510 transcript=Brasy9G224300.1 locus=Brasy9G224300 ID=Brasy9G224300.1.v1.1 annot-version=v1.1 MYPPLLLLLVLLVARAGAGAAAPSNAHACASAAANSYAFCDASLPFPVRARALVSLLTLDEKIAQLSNTAAGVPRLGISPYEWWSESLHGLADNGPGVNFSSGPVGAATIFPQVILSAASFNRSLWRAVAEAVAVEARAMHNAGQAGLTYWAPNINVFRDPRWGRGQETPGEDPAVIAAYSVEYVKGFQGEYGDGKEDRMMLSACCKHYVAYDLEKWGNFTRYTFNAKVNEQDFEDTYEPPFKSCIQEGRASCLMCSYNQVNGVPACARKDLLQKVRDEWGFQGYIVSDCDAVGIIYGYQNYTNSDEDSIAIVLKAGMDINCGSFLIRHTKSAIQKGKITEEDINHALFNLFSVQLRLGLFDKTSGNQWFTQLGPSNICTKEHRELAAEAARQGTVLLKNDNSFLPLKRREVSHIAIIGPVANDAYIMGGDYTGVPCNPTTFLKGMQAVVPQTTVAAGCKDISCNSTDGFGEAIEVAKRADIVVLIAGLNLTQETEDLDRVSLLLPGKQMDLINSIASVTKKPLVLVITGGGPVDVSFAKQDKRIASVLWIGYPGEVGGQVLPEILFGEYNPGGKLPITWYPESFTAVPMNDMNMRADPSRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYNIIQAPKRISLSHSSALDFISTKRAHTRRDGLDYVQVEDIASCESLTFAVHISVANEGAMDGSHAVLLFTRSKSNVPGFPLKQLVGFERVYAAAGKATNVEIAVDPCKLMSSANTEGRRVLLLGSHLLMVGDEEHEFVIEA* >Brasy9G194600.1.p pacid=40063511 transcript=Brasy9G194600.1 locus=Brasy9G194600 ID=Brasy9G194600.1.v1.1 annot-version=v1.1 MNPGVYETLVIYGTSTSELEIIRDISRTFPSHIFFQQRHGTGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHAPMEGLYQSIKVVFQVGLALLRFCHDDLVSSHLEELEKEYRKRLEGPNARSSNKRLQPLKSTMSGAGSQPIV* >Brasy9G281400.1.p pacid=40063512 transcript=Brasy9G281400.1 locus=Brasy9G281400 ID=Brasy9G281400.1.v1.1 annot-version=v1.1 MLGSKSKSGLAEAKGDGKPEAKGSGKGSGKPEAKSNGKGTPPTPKGETPPTPKGERPRKPAVPKANAAYSTPPSAPRAADKSPRSSDRKSPKGATRITTTTTPPPEKQGKAAKPSQELQAQLDAVQEELKKAMGQLVEKEEEKGKVLEELERAKKVADEANAQLKEALDVKSRSVEDEKSHAVELELGSIDSANSKEDELRRKLKTMQSQQESDAAALHSTVEQLEKARYELADAIDAKNWALSQADDAMRASEVNTQKIELLNAEVERLKGLLDSEVESKSKEAAEEIGKLEAENSALRLELEKGKLAEKRVIELEGVVEQLRVDVANAKKECSKSDELVEEWKKKAQLLEFQLDEADQSIILKGKSLDSVMEELDETSTLLQNKESEAAVLQDNVRSLEEEVARLKEDIDLSSERLDAAEKEAADLSAEVEGLRLKLQAVEEEKIEALNNDELASSEIATLTGQRDELAKELEASKDEVEKVKKAMEGLASALHEMSAESREAQEKYLIKQEEIERARAQVDELNMSLQNAKESYEVMLDEVNYEKVCLKKSVERMEAEAKNVSDEWQSKELSFVNSIKKSEEEVVAMKAQMDKYLALVNEKETENAELLEKMNHLEAQLMEANKTSEEAKAETSQWKEKLLDKENELQNIKQENEDLQAKESAASEKIKELSSLVPNAKDGARNGSNKEDDNDNGACEDDEPVVVVAKMWENSKVTDYDSSKEKENDGESEVDLESNKGETALDSNGLQSTKTKNGSTSPTKQQHKKKPLLKKFGGLLKKRSQT* >Brasy9G136800.1.p pacid=40063513 transcript=Brasy9G136800.1 locus=Brasy9G136800 ID=Brasy9G136800.1.v1.1 annot-version=v1.1 DINERFFSTDYKVCLIKLENVDTQLSQNGGVFILVTGSLTMPESVKNRFTQSFFLVVQENGGYFVLNDVCRYIPKMPSAGTNEAFPTFPAEPVGRRMLAKMEEYAKRLPSGYKRYQQFEKRLQVAVVILGICMLI* >Brasy9G079200.1.p pacid=40063514 transcript=Brasy9G079200.1 locus=Brasy9G079200 ID=Brasy9G079200.1.v1.1 annot-version=v1.1 MSSPACHPGLPAHRRQANLLLPAAPPSVSKPTTHRIRPARLTLQFFSPCRRRHPRLISSSLGGMAERRRLLLVPAISISIGSFQYSLEKGAAKAEFTDMPALRGKDYGKTKMSYPDYTETESGLQYKDLRVGDGPSPKKGETVVIDWDGYTIGYYGRIFEARNKTKGGSFEGGDKEFFKFKVGSGQVIPAFEEAMTGMRPGGVRRIIVPPDIGYPDNDLNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELIRIIPTQ* >Brasy9G079200.2.p pacid=40063515 transcript=Brasy9G079200.2 locus=Brasy9G079200 ID=Brasy9G079200.2.v1.1 annot-version=v1.1 MSSPACHPGLPAHRRQANLLLPAAPPSVSKPTTHRIRPARLTLQFFSPCRRRHPRLISSSLGGMAERRRLLLVPAISISIGSFQYSLEKGAAKAEFTDMPALRGKDYGKTKMSYPDYTETESGLQYKDLRVGDGPSPKKGETVVIDWDGYTIGYYGRIFEARNKTKGGSFEGGDKEFFKFKVGSGQVIPAFEEAMTGMRPGGVRRIIVPPDIGYPDNDLNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELIRIIPTQ* >Brasy9G079200.3.p pacid=40063516 transcript=Brasy9G079200.3 locus=Brasy9G079200 ID=Brasy9G079200.3.v1.1 annot-version=v1.1 MSSPACHPGLPAHRRQANLLLPAAPPSVSKPTTHRIRPARLTLQFFSPCRRRHPRLISSSLGGMAERRRLLLVPAISISIGSFQYSLEKGAAKAEFTDMPALRGKDYGKTKMSYPDYTETESGLQYKDLRVGDGPSPKKGETVVIDWDGYTIGYYGRIFEARNKTKGGSFEGGDKEFFKFKVGSGQVIPAFEEAMTGMRPGGVRRIIVPPDIGYPDNDLNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELIRIIPTQ* >Brasy9G364800.1.p pacid=40063517 transcript=Brasy9G364800.1 locus=Brasy9G364800 ID=Brasy9G364800.1.v1.1 annot-version=v1.1 MAVVDRDVWLACATPLSRLPAVGAQVYYFPHGHSEQCPAALAAPLPPPHLFPCTVAAVALSADARTDEPFATISLVPGPHRALGGLPDPAPHHAVDPAFAHYAKQLTQSDANNGGGFSVPRFCADSVFPGLDFEADPPVQTLRMRDLLGTLWEFRHIYRGTPRRHLLTTGWSRFVNAKLLVAGDAVVFMRRPDGELLAGVRRTPRYPASQDPAEPPRNARARVPPQEVEDAARLAAQGMPFTVTYYPRQGAGEFVVPRREVEDALISPWEPGTQVRMQFLHPEERRSEWINGVVRAVDHSIWRMLEIDWDESAPPSLKNRHVNAWQVQLVGCPPLLKRLRIPEPIAPLIPGDVAMADPLAGLGSRYTPMLMGSPIPAGMQGARQDFLTDFPSSSTRMLTTQLLFPSDHPIPPSPGGGSSEVLNPENGSPPQFPDEIRTIQLFGTTITSAVQITNGSSEEVNHVPAAVVDGTANEDACETSPVDFTLLNGNDGHNQNGM* >Brasy9G313400.1.p pacid=40063518 transcript=Brasy9G313400.1 locus=Brasy9G313400 ID=Brasy9G313400.1.v1.1 annot-version=v1.1 MLDTKHTLQVLPWLLLLAQQAAASGCDCTAATDGADKQGAMKLKLVAIASILTAGAAGVLVPVLGRSLAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLHKGGGGRNGFPFAGLVAMSAAMATMVIDSLAAGYYRRSNFSKARPIENVDIPGQAGEEEARTDHAHHATHGHSHGEAVVISSPEEASIADTIRHRVVSQVLELGILVHSVIIGVSLGASVRPTTIRPLVGALSFHQFFEGIGLGGCIVQANFKVRATIIMATFFSLTAPVGIVLGIAISSSYNVHSSTAFIIEGVFNSASAGILIYMSLVDLLATDFNNPKLQTNTKLQLMTYLALFMGAGMMSMLAIWA* >Brasy9G313400.2.p pacid=40063519 transcript=Brasy9G313400.2 locus=Brasy9G313400 ID=Brasy9G313400.2.v1.1 annot-version=v1.1 MLDTKHTLQVLPWLLLLAQQAAASGCDCTAATDGADKQGAMKLKLVAIASILTAGAAGVLVPVLGRSLAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLHKGGGGRNGFPFAGLVAMSAAMATMVIDSLAAGYYRRSNFSKARPIENVDIPGQAGEEEARTDHAHHATHGHSHGEAVVISSPEEASIADTIRHRVVSQVLELGILVHSVIIGVSLGASVRPTTIRPLVGALSFHQFFEGIGLGGCIVQIPGSYNL* >Brasy9G073600.1.p pacid=40063520 transcript=Brasy9G073600.1 locus=Brasy9G073600 ID=Brasy9G073600.1.v1.1 annot-version=v1.1 MPLLQALSAPASPRSPSRFALFKASILPTKARCGVCTRGVKNGGSAAVFTAECSHSFHFPCIAAHARAAASGSLACPVCSSPWRQAPFLASLRLHLDVDSNSPQRSKRRTSDDSGRKTPPPPHSAAKVYDDDEPLLAPKAAANGSGFNPIPEADEEDDASMEGEFRGFFPHPPRPRTGLAVTVAPEAALVSSGRRHGKYVVVVKVKAPGLRSSASRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVIASLGPADRLSIVAFSGAAKRLLPLRRMSRQGQRSARQIVDRLVVCAAAQGQDQAQNACAGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQQQQKDARKHGEHHFLRRPQAAPAAATRFTHVEIPIAGPADETPVARSPLAPKEEQGGGLASSPPAEHAFAKCLGGLVSVVMQEVHLDLAFLTGEITAVYSCGPGQQAVALAGGAGGNGGSILSVRLGEMYAEEERELLVELRAPLSAQHNHPHSLSVRCSYRDPASQDTLRGAEQPLLLPPLHGESSSQRLHDLFVATRAVAESRRLAELSDFSTATHLLSSARRLVLQSPPTQQQQDLLGSLDTELSDMRWRRSQQAPTTPTSRSATPSGTPRASGGGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF* >Brasy9G054300.1.p pacid=40063521 transcript=Brasy9G054300.1 locus=Brasy9G054300 ID=Brasy9G054300.1.v1.1 annot-version=v1.1 MSKWRTKKKAAAAAGGEKEGGDNKQLAPMKGRRSDAPADQEVVMISVPLHCDGCARKVRRSLLRLDGVEEAIVEYSTNTVVVMGRKALENPMKVVETVERRTGKKALLLSPSPDKLPPPSKTEETKKHDVADLDMEMVVVLRIELHCDACSEEMKRRIRNIKGVEEAVPDMKSSELMVRGMVEPATLVGFIHKCTGRKAAIIRAEPLMDLPPAEAMAAAPSTEVSDNLEEKNEEVKEEKNKGSGGGEESVDEMNMEEPGKGNGVVVEEEITKKNVPNDANSGVTEENQLMKDHLFRFPMPAAVVGVAPAESQKMAMNNIYPYYYQQPAYAYGYPNYAYQPCQCPAYQPYGPCPHCPQQTSGYENPDGCTIM* >Brasy9G173000.1.p pacid=40063522 transcript=Brasy9G173000.1 locus=Brasy9G173000 ID=Brasy9G173000.1.v1.1 annot-version=v1.1 MKQKIEIRVHMTCDKCRSKALGSGTSGDRRQRQGPAGGGRRRGGLRQPDGPPAEEDGERRAHEGGGRRQCRGQAGAVSVPAAVVSRLLLLAGSGLPVP* >Brasy9G269000.1.p pacid=40063523 transcript=Brasy9G269000.1 locus=Brasy9G269000 ID=Brasy9G269000.1.v1.1 annot-version=v1.1 MCKVVLTVPSLAWLRRAVRRWRSRAAETSAGRRVPAGHVAVRVQQEGGGAAAARFVVRVTHLTHPAFMELLRDAEEEYGFPSGASGPVALPCDEARLRDVLRRVGSSDSGSGSGSLCRRGEDCHSRRPLLGAVDQKLVW* >Brasy9G294000.1.p pacid=40063524 transcript=Brasy9G294000.1 locus=Brasy9G294000 ID=Brasy9G294000.1.v1.1 annot-version=v1.1 MAMHLTGFFPLAISLLLLALSPPATLRRCSAEGSPPYKPLVSHLARDPATSLYTISIQDSGPLVVDLAGPLLWSTCRPKHPTFPCGSDECTAANNNGPSASSPAPSSESCTECACTARPCNPVTGACAAGDLTRSAMSANATDGRSLLGYTVSFTSVTSCAPDALLGSLPAGAVGVAGLSRAPLSLPSQTAYALGSSRFALCLPGVAVFGDTPIYLSGFPLELTGVIASTPLLRNPKNRDAYYVPVVAVSVFWTAAGAAADVSAALPPRALELDTGTGRGGVTLSTVARYTALRSDAYRALLQAYDAAVRKAPPGYAVTKVEPPAGSPFEVCYDAWTLRPTKRTGWDVPTIRLELGAGASSNWTINSGNSLVRVADRTVCLAFVDMGPGREDGPAAVIGTYQLEDNLLVFDAGNDVLRFSGLLWGSGATCSSFNFTS* >Brasy9G047500.1.p pacid=40063525 transcript=Brasy9G047500.1 locus=Brasy9G047500 ID=Brasy9G047500.1.v1.1 annot-version=v1.1 MALFSNEIRRTELANSCSPSPSTTIRRRRHGGWSLPTAAARRRQQQHDVDTMVDGASRLLQPTAAPSKPIIKTSSCARERHHHVASGIGTRLRQRVTRQRCSTWTRELAKPHSYAIKLGEGSRRHPGVHHDVGAAVEETSASSPRSPSP* >Brasy9G030600.1.p pacid=40063526 transcript=Brasy9G030600.1 locus=Brasy9G030600 ID=Brasy9G030600.1.v1.1 annot-version=v1.1 MLEMPIEVAIGKKAATETASGSSCGGEAIKWATGKKAARKESRLSETPPGSSARGEGDAFKSQKLADGDKAEAGKGKKMIKVSQKYIDRLLEEEAAGGPRIPNFDRFLNRKGPRAHLIQAQVAHGSAILAGIRANKARILEQYHRLGYALDEVDESFVEEEEE* >Brasy9G279000.1.p pacid=40063527 transcript=Brasy9G279000.1 locus=Brasy9G279000 ID=Brasy9G279000.1.v1.1 annot-version=v1.1 MAMEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQHLASFDLTPNHTLSRLISSWLDRASSSSSSSSSSSAPMAREKLPSLLASIESTPFKVTALKSLRSCMAGDEAAAARDDFLARDGIQVLGRVMAQALAESSAGGDFSAFRTCEEAAAVLAALPLADDASVDMMLDPALMRPVAALVQRGSSEARMHAMAILSKVSRAAASKDWTADVDVDDMVKSLLDLLSDDGGASSKLSSRALDVLLDVTSRSRSRSRSRNGNGNGNNKAFAEVTLAVRVLVELLPEADRHVAERALLLLKRLCKCPEGRAAFAEHALGVPAVARTVMRVSGMATRTAVNVLWLASCAGRPEERVLDDMVASGAVAKLLALLQVESSPSTKEKAARMLRVHGAFWRRYPCFPADLRDYLKFLN* >Brasy9G333400.1.p pacid=40063528 transcript=Brasy9G333400.1 locus=Brasy9G333400 ID=Brasy9G333400.1.v1.1 annot-version=v1.1 MGGLEEIRNEAVDLENIPIEEVFEQLKCTREGLTSDEGAQRVTIFGLNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGEGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEASILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSGLTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCIVSIAVGIVIEIIVMFPIQHRRYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKEHVLLLAARASRVENQDAIDTCMVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDAEGNWHRASKGAPEQIITLCNCKEDVKRKVHSVIEKYAERGLRSLAVARQEVPEKSKDSPGGPWQFIGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQSKDGSLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYLALMTVVFFWAIHKTDFFTNKFGVRSIRNSEFELMSALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVTAFLLAQLVATLIAVYANWEFARIKGIGWGWAGVIWLFSIVFYFPLDVFKFFIRFVLSGRAWDNLLQNKTAFTTKKDYGRGEREAQWATAQRTLHGLQAPESNNNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTINQNYTV* >Brasy9G289300.1.p pacid=40063529 transcript=Brasy9G289300.1 locus=Brasy9G289300 ID=Brasy9G289300.1.v1.1 annot-version=v1.1 MESLTLRASPSTATASPLLRLPGRRQAASVRVSASSGGSSEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAGKVINVTPRYARLRAEPNGAAKSRIIGWQNIELISDRPLETMLKEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCGLLEEVSGWINEKATVPVWAKMTPNITDITEPARVALKSGCEGVAAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARLMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMTEHDFSTIDDFRGASLPYFTTHTDLVQRQQEAIKQRKAIRLGLQSDKDWTGDGFVKETESMVSN* >Brasy9G281100.1.p pacid=40063530 transcript=Brasy9G281100.1 locus=Brasy9G281100 ID=Brasy9G281100.1.v1.1 annot-version=v1.1 MDCLTVQMPNPTTTAEGTIEAARDDSKLQRALVGDGIAKFSAALLLAVFRAPGGVFLRGRLLFDSYYGILAAVAVFGAMEVAAGFWVAGDPSRRRGKGKVVIWASVVPSVIVAALGGFAVLK* >Brasy9G359200.1.p pacid=40063531 transcript=Brasy9G359200.1 locus=Brasy9G359200 ID=Brasy9G359200.1.v1.1 annot-version=v1.1 MAVGLDPDCDDGDGLSSAGDSLSFAPRISGIPIEIRTSQELSGPPFPSRRPPMARAYLAGTPSYIFAKNLTEKESSPSRTRTNSSSSSTNGESLLGWNGDTDSMLASERVTVVRQREEGAAVSAAARNSVENFTKNSAAKNFVNNFVKNSGKNSAPSDIVLAPARVPLECQCEESAAAAAAAETGLSVPIAASDASAAEKEQQRGIRSAHVRGPSADMEEEQGYRGAALGAERGLSADMEEERGYRGAALGAERGIRSALVLGLSADKEEEQGYRGAALGADRGIRSAHLVRGQSARPIAGAGAHSLEEKKASCSASDLLLAGARNGGLAAQAKVLVRQGAKFWFDVFVDWLKKLLRIPREEEVCMPMPEWSWLSIMGSPITQSLDGQGEQDGMGLGSGSFPYQLSDIEEFKFACEVWMIWSPGKGTDEDLVLGVVQKLEGLRTLRVPGWKNCKLQIEGHKRFITKLLDPFSAAMLIYKGGPVVGSLEATNDYFKSNWDESYVFEGSMRSKSKSSRRRRRNKKTNNMHSVVCFAYRFTYSGLHIRIMDNHFPHGPLRWISYSAFEEFWVIQISPLDADLLRETDDSTRRSFLRKLTPF* >Brasy9G201700.1.p pacid=40063532 transcript=Brasy9G201700.1 locus=Brasy9G201700 ID=Brasy9G201700.1.v1.1 annot-version=v1.1 MGSACSRKRGQLVHEDELYSVRFSKSGSFKWLLHTLSRSSSDVRRKAQRPGPGHCPSLVELCVARVREDISRYSDFSMLPRDLSQQIFNELVEWRYLTEESLEAFRDCALQDICLEEYPGVKDAWMEVVASQGQSLLSVDISCSDVTDSGLDVLKDCSNMQSLACNYCDQISEHGLKTLSGLSNLTSLSLKKCAAVTAEGAKAFADLVNLVNLDLERCPKIHGGLVHLKGLRKLETLNMRYCNCITDSDMKYLSDLTNLRELQLSCCKISDIGVSYLKGLSKLAHLNLEGCAVTAACLESISGLASLILLNLNRCGIYDEGCENLEGLVKLKVLNLGFNHITDACLVHLKELVSLECLNLDSCKIGDEGLLHLKGLLQLRSLELSDTEVGSNGLCHLSGLRNLQSMNLSFTLVTDIGLKKVAGLNSLKSLNLDNRQITDNGLAALTGLTGLTHLDLFGARITDSGTNCMRYFKELQSLELCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDRTLELISGLTALVSLNLSNTRVSNAGLHHLKLLQNLRSLSLDSCKVTASEIKKLQLAALPNLISVRPE* >Brasy9G201700.2.p pacid=40063533 transcript=Brasy9G201700.2 locus=Brasy9G201700 ID=Brasy9G201700.2.v1.1 annot-version=v1.1 MGSACSRKRGQLVHEDELYSVRFSKSGSFKWLLHTLSRSSSDVRRKAQRPGPGHCPSLVELCVARVREDISRYSDFSMLPRDLSQQIFNELVEWRYLTEESLEAFRDCALQDICLEEYPGVKDAWMEVVASQGQSLLSVDISCSDVTDSGLDVLKDCSNMQSLACNYCDQISEHGLKTLSGLSNLTSLSLKKCAAVTAEGAKAFADLVNLVNLDLERCPKIHGGLVHLKGLRKLETLNMRYCNCITDSDMKYLSDLTNLRELQLSCCKISDIGVSYLKGLASLILLNLNRCGIYDEGCENLEGLVKLKVLNLGFNHITDACLVHLKELVSLECLNLDSCKIGDEGLLHLKGLLQLRSLELSDTEVGSNGLCHLSGLRNLQSMNLSFTLVTDIGLKKVAGLNSLKSLNLDNRQITDNGLAALTGLTGLTHLDLFGARITDSGTNCMRYFKELQSLELCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDRTLELISGLTALVSLNLSNTRVSNAGLHHLKLLQNLRSLSLDSCKVTASEIKKLQLAALPNLISVRPE* >Brasy9G220100.1.p pacid=40063534 transcript=Brasy9G220100.1 locus=Brasy9G220100 ID=Brasy9G220100.1.v1.1 annot-version=v1.1 MERACSPALRLLRNPTTTQLPAGSRNHGTRRSFAVHAQPPTEDDYPAESPKRVQVTQSLKRSRRRGTGARQSLVSVGTSRGGGDQWSSDFDLTLRQLRLDDLVEDGQSDADVLVHLLVQQHTQFGMSIKGRVVTSFTKICDSCSNPYCTKIDEHFNITVLSSSRKDQSGMPELGDSDPSVIFVKPGTEIDIDSAIQETIRLTASAKSSCSETCEKSTVVWKYGGNQKKSYSQRWSKLLDLKKTLDKAAN* >Brasy9G220100.2.p pacid=40063535 transcript=Brasy9G220100.2 locus=Brasy9G220100 ID=Brasy9G220100.2.v1.1 annot-version=v1.1 MERACSPALRLLRNPTTTQLPAGSRNHGTRRSFAVHAQPPTEDDYPAESPKRVQVTQSLKRSRRRGTGARQSLVSVGTSRGGGDQWSSDFDLTLRQLRLDDLVEDGQSDADVLVHLLVQQHTQFGMSIKGRVVTSFTKICDSCSNPYCTKIDEHFNITVLSSSRKDQSGMPELGDSDPSNRSSL* >Brasy9G367400.1.p pacid=40063536 transcript=Brasy9G367400.1 locus=Brasy9G367400 ID=Brasy9G367400.1.v1.1 annot-version=v1.1 MFLRTWSPGTIVPHFGFLFICKRTLLSMFLENCPTAYWASIQVPVLPRVYLLALSKLMLTTLLFFWYCEDNFCHVHFSTQMGNYLTSTGLAEKPVHVKQIRRSINHKGRPNVKLGDLPEDVLCTILSKLPTKEAARTSILSSEWRFMWTTCPKLSFSSADDMHRRGRKQHTQRFIDRVNAVLLKHCGQFVDGLEIKFIFESKLVCHLNNWIRSAMSSRTKTLALDLAPPSNFKGHGDRFIFPFELLDKESSLEHLQLSFVCFRLPPSNSHLMVGLQNLRMLYLHVLNTTRQDLEDVLCNCCNLEWLSLLRCNLYDELKVVRRPLAHLQYLQVVYCEITKIELHAANLSTFVYNGDCIPIALHESSKLENANLSFLGSTFQHAAAAVLNGLPDVQNLTLKFFIQTIETTWELHSRHMFSKLRHVQIMLAVSHEDDDKILYLVSLLRVTPFIENLEVHLDGVYTLWFATSGPSRYDIPPCEYKYLNLKNVHVTGFRAARGQIEFLAHLAENAPAIETLTVDTSERLADLIDPQEINPALSSAALNIIKGPLCKRLPPDAKLFLL* >Brasy9G367400.2.p pacid=40063537 transcript=Brasy9G367400.2 locus=Brasy9G367400 ID=Brasy9G367400.2.v1.1 annot-version=v1.1 MGNYLTSTGLAEKPVHVKQIRRSINHKGRPNVKLGDLPEDVLCTILSKLPTKEAARTSILSSEWRFMWTTCPKLSFSSADDMHRRGRKQHTQRFIDRVNAVLLKHCGQFVDGLEIKFIFESKLVCHLNNWIRSAMSSRTKTLALDLAPPSNFKGHGDRFIFPFELLDKESSLEHLQLSFVCFRLPPSNSHLMVGLQNLRMLYLHVLNTTRQDLEDVLCNCCNLEWLSLLRCNLYDELKVVRRPLAHLQYLQVVYCEITKIELHAANLSTFVYNGDCIPIALHESSKLENANLSFLGSTFQHAAAAVLNGLPDVQNLTLKFFIQTIETTWELHSRHMFSKLRHVQIMLAVSHEDDDKILYLVSLLRVTPFIENLEVHLDGVYTLWFATSGPSRYDIPPCEYKYLNLKNVHVTGFRAARGQIEFLAHLAENAPAIETLTVDTSERLADLIDPQEINPALSSAALNIIKGPLCKRLPPDAKLFLL* >Brasy9G367400.3.p pacid=40063538 transcript=Brasy9G367400.3 locus=Brasy9G367400 ID=Brasy9G367400.3.v1.1 annot-version=v1.1 MGNYLTSTGLAEKPVHVKQIRRSINHKGRPNVKLGDLPEDVLCTILSKLPTKEAARTSILSSEWRFMWTTCPKLSFSSADDMHRRGRKQHTQRFIDRVNAVLLKHCGQFVDGLEIKFIFESKLVCHLNNWIRSAMSSRTKTLALDLAPPSNFKGHGDRFIFPFELLDKESSLEHLQLSFVCFRLPPSNSHLMVGLQNLRMLYLHVLNTTRQDLEDVLCNCCNLEWLSLLRCNLYDELKVVRRPLAHLQYLQVVYCEITKIELHAANLSTFVYNGDCIPIALHESSKLENANLSFLGSTFQHAAAAVLNGLPDVQNLTLKFFIQTIETTWELHSRHMFSKLRHVQIMLAVSHEDDDKILYLVSLLRVTPFIENLEVHLDGVYTLWFATSGPSRYDIPPCEYKYLNLKNVHVTGFRAARGQIEFLAHLAENAPAIETLTVDTSERLADLIDPQEINPALSSAALNIIKGPLCKRLPPDAKLFLL* >Brasy9G351600.1.p pacid=40063539 transcript=Brasy9G351600.1 locus=Brasy9G351600 ID=Brasy9G351600.1.v1.1 annot-version=v1.1 MAMAAAAAGKGRVLPLLAVAAALAAALLYRAPFSKSLGGEGCSLLPQDHFWVASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSEETLKLKLEASRDKLYVDVGFWGGLVPENALNPSKLESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVESDEGINGELDPRSYSTYLRSRPPIWEESAIRDLQRAMKDTKVGGRSEGAHIHIVHLSDAETSLQLLKDAKHNGGRVSIETCPHYLAFSAEEVPDGDTRFKCSPPIRHAPNKDNLWKALLDGHIDMLSSDHSPSTPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLATWWSEKPAMLAGQKNKGSILPGYHADIVVWKPEAEFQLDDSHAVYHKHRNISAYLGKQLSGKVLSTFVRGNLVFAEGKHAGAACGATILAK* >Brasy9G347000.1.p pacid=40063540 transcript=Brasy9G347000.1 locus=Brasy9G347000 ID=Brasy9G347000.1.v1.1 annot-version=v1.1 MSALSKAGRPADAAALFDDMLAHGVAPSRAAFSILLHIYSSLLHLPSAAHSVLLWMSRLGFRPNPIDYTDLIFSFCRAGRLPDALHLFDEMRALKYPITPHTYAPILKAYCASGDIQAAHALISSMRLSGCHPDVVIYNIYIHGLCKVGDFNAVERVIEESSRNGWVPDAVTYSTFIAGLCRSGYVEDAFRQLEIMVAKGLQLPVVGLNILLDYAAQDLDMWVSKEVLERCEELGFEVDVVTYNTVMDHFCKKMKWLRVLKLFTDLLKKPITPNVQTYNILISCLCRAGKFQLAKFVFSCKGFVADTVTCNILIHEFYGAGKEGELGFLFSDVNAGKIAPDTITYNTLVDCFFRSGKRSEAANLVRHTDDGYPAEPVAHLAYWLFRSGNDREALRLFDDILAKGVPLDSRVFANVIKAFCRRGPVVECGEMTQLCSVLDRLLGIG* >Brasy9G162300.1.p pacid=40063541 transcript=Brasy9G162300.1 locus=Brasy9G162300 ID=Brasy9G162300.1.v1.1 annot-version=v1.1 MDGLLSKLRNLDAYPKVNEDFYSRTLSGGVITLASSFVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDITFPALQCSIISIDVMDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G162300.2.p pacid=40063542 transcript=Brasy9G162300.2 locus=Brasy9G162300 ID=Brasy9G162300.2.v1.1 annot-version=v1.1 MDGLLSKLRNLDAYPKVNEDFYSRTLSGGVITLASSFVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDITFPALQCSIISIDVMDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G162300.3.p pacid=40063543 transcript=Brasy9G162300.3 locus=Brasy9G162300 ID=Brasy9G162300.3.v1.1 annot-version=v1.1 MDGLLSKLRNLDAYPKVNEDFYSRTLSGGVITLASSFVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDITFPALQCSIISIDVMDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G162300.4.p pacid=40063544 transcript=Brasy9G162300.4 locus=Brasy9G162300 ID=Brasy9G162300.4.v1.1 annot-version=v1.1 MDGLLSKLRNLDAYPKVNEDFYSRTLSGGVITLASSFVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDITFPALQCSIISIDVMDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G162300.5.p pacid=40063545 transcript=Brasy9G162300.5 locus=Brasy9G162300 ID=Brasy9G162300.5.v1.1 annot-version=v1.1 MDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G162300.6.p pacid=40063546 transcript=Brasy9G162300.6 locus=Brasy9G162300 ID=Brasy9G162300.6.v1.1 annot-version=v1.1 MDISGQEHLDVKHDVFKQRIDAHGTVIATKQDAVGGMKVEKPLQMHGGRLEHNETYCGSCYGAEESGEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKREGFLQTIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGDPFPGVVNPLDGAHWFQHSPYGMYQYFVKVVPTVYSHINEQIILSNQFSVTEHARSSESVRMQALPGVFFFYDLSPIKVTFTERHASFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKREIGKFN* >Brasy9G181500.1.p pacid=40063547 transcript=Brasy9G181500.1 locus=Brasy9G181500 ID=Brasy9G181500.1.v1.1 annot-version=v1.1 MCSSSFYSVETDANSRCCPIGAAPQKLVNFELVTRQSREGIADLCQSDHRLLLICDGASGLSMETLFCAIFLLIGGSSRPLIITWKTIATIIRKSTNMSPFEIEKLVAEAWRECPNCKYRIDNDVSSQWPGLPAGVKFDPSDLELLRHLEAKVGLGNSASHILIDDFIPTIEEIEGICYTHPENLPGIKIDGTNSYFFHTISNAYDVGQRKRRKVVNSDHTVRDEPIRWHKTGKSRSISENGVVKGWKKILVLYIGSKKKGADIDKTNWTMHQYHLGVEEDEKHGELVVSKIFWQLRSNRTRKSRMYAVDEEYDSVAGEIDPTTPMTNPPQPRRLNSSPSKTEQNEEEDEEYGLLLTGTSQAINDAATLPGLTEHPLSNGTHTCPKNAPLPPDMDALPGFSDFDKDLFNLPDNPFGSQDSLSWLDLDSWRTSI* >Brasy9G181500.2.p pacid=40063548 transcript=Brasy9G181500.2 locus=Brasy9G181500 ID=Brasy9G181500.2.v1.1 annot-version=v1.1 MARAAAWPLPHRPRFHSTIPPHFQEVPHLPSLPLSSACLIVPHSASHRSSPSGAMEEPLIITWKTIATIIRKSTNMSPFEIEKLVAEAWRECPNCKYRIDNDVSSQWPGLPAGVKFDPSDLELLRHLEAKVGLGNSASHILIDDFIPTIEEIEGICYTHPENLPGIKIDGTNSYFFHTISNAYDVGQRKRRKVVNSDHTVRDEPIRWHKTGKSRSISENGVVKGWKKILVLYIGSKKKGADIDKTNWTMHQYHLGVEEDEKHGELVVSKIFWQLRSNRTRKSRMYAVDEEYDSVAGEIDPTTPMTNPPQPRRLNSSPSKTEQNEEEDEEYGLLLTGTSQAINDAATLPGLTEHPLSNGTHTCPKNAPLPPDMDALPGFSDFDKDLFNLPDNPFGSQDSLSWLDLDSWRTSI* >Brasy9G181500.3.p pacid=40063549 transcript=Brasy9G181500.3 locus=Brasy9G181500 ID=Brasy9G181500.3.v1.1 annot-version=v1.1 MARAAAWPLPHRPRFHSTIPPHFQEVPHLPSLPLSSACLIVPHSASHRSSPSGAMEEPLIITWKTIATIIRKSTNMSPFEIEKLVAEAWRECPNCKYRIDNDVSSQWPGLPAGVKFDPSDLELLRHLEAKVGLGNSASHILIDDFIPTIEEIEGICYTHPENLPGIKIDGTNSYFFHTISNAYDVGQRKRRKVVNSDHTVRDEPIRWHKTGKSRSISENGVVKGWKKILVLYIGSKKKGADIDKTNWTMHQYHLGVEEDEKHGELVVSKIFWQLRSNRTRKSRMYAVDEEYDSVAGEIDPTTPMTNPPQPRRLNSSPSKTEQNEAINDAATLPGLTEHPLSNGTHTCPKNAPLPPDMDALPGFSDFDKDLFNLPDNPFGSQDSLSWLDLDSWRTSI* >Brasy9G333900.1.p pacid=40063550 transcript=Brasy9G333900.1 locus=Brasy9G333900 ID=Brasy9G333900.1.v1.1 annot-version=v1.1 MPKLHLQRYRGVRQRHWGSWVSEIRHPVLKTRLWLGTYETAEHAARAYDEAARMLSGPAARTNFPASVDVTGSLSEDRRAKLERCCRVSPSPSSTAAAPASSSSSTGGAMEDDDEEEFVEEMIREMTHDGPVEFVPFSSARWSTN* >Brasy9G143700.1.p pacid=40063551 transcript=Brasy9G143700.1 locus=Brasy9G143700 ID=Brasy9G143700.1.v1.1 annot-version=v1.1 MPPEPDRLSALPEGLLDIVLSFLPAPQVVRTSVLSRRWRDLWRSTPCISINDYEFGISLWNSRQDLEDKWSKFEDFTTNRLLFHDNTSSLSKFRLRLFARVYNHRHVDRWIRPGIKYCPQVLQIEILGDAPPLFQMPHLGPSPSCRLRRMRLIGLCLDKHFTELLCSGFPVMQDLKLIGCSVSLQDINSSTLKKLVIDQCLNYSGHPVAIRAPSLVSVHLGTTNGCYQNGIYLYKTGSLVKASVHICRTSFPGKNECRLLGSLSFFEKTQKLCVSMH* >Brasy9G054400.1.p pacid=40063552 transcript=Brasy9G054400.1 locus=Brasy9G054400 ID=Brasy9G054400.1.v1.1 annot-version=v1.1 MHCFNKLEDTEKWKSRPKIKKHKTCSMDTPGTSSSVFDDEATSSSKSVPTKRPIGQKRAKEAQQKANASGSSSRELFGDIFETRESKRQEIFELMLAIDKQREEERKKVALEEEKIQIMRMAEERLAAAEDGRIMSMDISGMGEEEKEFYKLRKSQILKRLRN* >Brasy9G237400.1.p pacid=40063553 transcript=Brasy9G237400.1 locus=Brasy9G237400 ID=Brasy9G237400.1.v1.1 annot-version=v1.1 MKDTHKPRSQASPAATFSSSSAISSPSGGANKRPRKEGRHSTYYGVRMRNWGRWVSEIREPRKKSRIWLGTFGSAEKAARAHDVAALAIKGPTAHLNFPDLMHLLPRAASAEAKDVQAAAALAAAMEFPGDTNGKNADNTSDTQAVLPQPPPSHDETGLEGMLLDLPDMLLDMRFDSPSDLPCDSSWVVDDNMYVDGLFNLEDPTLWG* >Brasy9G032400.1.p pacid=40063554 transcript=Brasy9G032400.1 locus=Brasy9G032400 ID=Brasy9G032400.1.v1.1 annot-version=v1.1 MDILISAIIGDLVSRSASFVISKCFRQQPDIDKILQRLHTVVLRIDTVVEEAERRHITSKGMLRQLEMLRQGMRSRFNPSKRLRLSGTGSGSSNRAALLMVANNSIKELQQMVDTLEDTMVGMKEFIFFLESYPRIIRKPYGTYLLSDNCMFGRQTERQQVLNFLLRPSATPVSVLPIVGPLGVGKSTLVEHVCRDERVRDHFSMILFLPESCLKDEGVINLTGNNTKIRHQKCTSQNRLLIIVEIAEDINDGTWRRLKSSATCMTPCSGSKIIITSRSERIVNLGTTEALRLDHVPPKRYWHFFKSLAFGSTNPDEHPNLVTTAMEIALGLGQCFMSAHIIAGLLQGNFNARSWRTILECVTAYKRTHLLVFDKHRNLHLQKDEPVYYWRLARSCRYFVICNYHQSDSSEEVPKNHSRSGMIPYEAAYPYRALNGEQPIAVWWLVLYQNSAKANQLNHFFG* >Brasy9G246100.1.p pacid=40063555 transcript=Brasy9G246100.1 locus=Brasy9G246100 ID=Brasy9G246100.1.v1.1 annot-version=v1.1 MDQEEVTEFLGQVPLLQRLPGSSIRRIAEAVQVKHYEPGEYVAREGEPVDGLCIILDGQAEVSTPASTEEANRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLNCFVLPNQYGHLLQTKTIWNAEETPENHTLMEQILHLEPLELDIFRGFTLPEAPTFRQVFGGQLIGQALAAASKTVDCLKLVHSLHAIFLIAGDNNMPIIYQVHRERDGTSFATRKVEAKQKGLVIFTLTASFQKEELGFEHQAAIMPDVPPPEQLLNMEEIRERRITDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSTSQHEPSLYYWFRARGKLSEDPALHRCVVAYASDLLYSGVSLNPHRERGLKTFTLSLDHSMWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELIVSLTQEALIRRAKTPGQTPRPKL* >Brasy9G053800.1.p pacid=40063556 transcript=Brasy9G053800.1 locus=Brasy9G053800 ID=Brasy9G053800.1.v1.1 annot-version=v1.1 MASSAASSSSAFALLAAVFALHLCCCHGRQGVAAIYSLGDSITDTGNLVKEAPPGAFETIKHLPYGVTLGYPTGRCSDGLLMIDFLAQDMGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPAGLFGPRSFSMPFTVSSLKLQLRWFKDFLKSSFATDQDIRKRLQSSIVLVGEIGGNDYNYAFFTNKNVSDVEKLIPGVVQTIIDAAKEVLDMGASRVIIPGNFPIGCIPGYLTTMGSSEPSGYDSMGCLKEMNLFAAKHNSKLQQATAGLRSSYPNASIAYADYFNSFFSILKGASSLGFDANSTHKACCGAGGKYNYDERKMCGMEGTTACAEPSAYLSWDGIHMTQAAYKAMSRLIYHGRYLQPQILNFPEKNGHK* >Brasy9G362500.1.p pacid=40063557 transcript=Brasy9G362500.1 locus=Brasy9G362500 ID=Brasy9G362500.1.v1.1 annot-version=v1.1 MHTRGGDVVCDLTFALSTLMLTVSLSLSGEKLFAKELSHSSVTSSPCCHADGDWGATPIVGETEDTVVPDRDHDQAPCVGGGKAGTAGRRRLLDALEVEALASLSGRPMDRHDGDLRSQLRCRRPGDSG* >Brasy9G176900.1.p pacid=40063558 transcript=Brasy9G176900.1 locus=Brasy9G176900 ID=Brasy9G176900.1.v1.1 annot-version=v1.1 MAHAAAARSRCVVVAALAVAVFFLACLPPAASSSSSRAAAAPLQRTEMAAMYTAQELQEKPDVNKGVEEDVSTMGLGAEEEREVPTGPDPIHHHGRGPRRRQSP* >Brasy9G347300.1.p pacid=40063559 transcript=Brasy9G347300.1 locus=Brasy9G347300 ID=Brasy9G347300.1.v1.1 annot-version=v1.1 MSRSHAYAALLQRSAASPRLVASLHAALLKTGLLASDQFLSNHLLIAYFKSSSSSSSSCLGGLRLLDEMPRRNAVSWAAAVAGLARGGRHRQALAWFRRMRRSGCRHNEFALVSALNASSFVRGGGAGRVRQLYALVVRLGFESNVFLLNAFLAAMVRHGKLVDAVQLFEEAPLRDIVSWNTLLAGFAHHSCSRAWILWRRMTRESVGPDGFSFSTVLSGLAASEHLTSGLQVHAQLVKSVCGATDVCVGNSLVEMYMKNKALESGTKAFAEMPRRDVVSWTEMAAGCLHCGEPARAIGVLGRMMLDGIVPNNYTFATAANACAILASLSEGRKVHGYVIKLGEGSDVGVNNALIDMYAKCGSVSSAHEVFQSMRQRPVISWTAMIMGFAQNGQAREAVKVFDDMLLKGIAPNQVTFICVLNACSQGGFVDEGWIYFSAMTDKFGVKPGEDHYACMVDLLGKAGHIEDAEELISRMPLRPGALVWQALLSACQLHGNEAAAQRAAEHALALEKEDPSTYLLFSRMLAGRHNWDGARSLRGLMGDREVMKLPGSAWLQPMPESNQACLA* >Brasy9G179900.1.p pacid=40063560 transcript=Brasy9G179900.1 locus=Brasy9G179900 ID=Brasy9G179900.1.v1.1 annot-version=v1.1 MLGGLAEEAPSAVAGRESVESESFVHDEKLEGGASSGGEQGDRERVQHRHGRQHRVTASEGVPDAQLNGRGLLACGLICGVAAEHEEAVAGRRGLERSEEAAEADAIRRVGRCGDQDGAVPRGPDVRSVERDAEEERRVEASQGALGEQAAEGRAEDGATERLGGCRAADDGGDVQALHDVEQHIDREGIHHRSTADGCYSICGVVVV* >Brasy9G321100.1.p pacid=40063561 transcript=Brasy9G321100.1 locus=Brasy9G321100 ID=Brasy9G321100.1.v1.1 annot-version=v1.1 MKVPDKFLHLRNRSFHECATECSSNCSCMAYAYANLSNSGATADPSRCLVWTGELVDTGKQFNYGDNLYLRLADSPENSAPHNHGLKNPYRKGPRISVSVCYFLPLTDTSRGRNGLMAMHCISFLFLLFLSSSCKSDDQLTYAKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRFLVSYKGQVAMRLVAWKGPDDPSSGDFSCSGDPSSPALQKLIWNETMPYYRGNVLNGVSVSGGTYLSNASSVVYETSVSEGDRFCYMFTVSDGAPFTRMILDYTGMLRSLNWNHELSSWTVISEKPKAACEVYASCGSFSYCDLTGTIPMCQCLEGFKPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLSSVGVMADSSRCLVWTAELVDTGKFMNFGENLYLRLATPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.6.p pacid=40063562 transcript=Brasy9G321100.6 locus=Brasy9G321100 ID=Brasy9G321100.6.v1.1 annot-version=v1.1 MPSRWTENSAPHNHGLKNPYRKGPRISVSVCYFLPLTDTSRGRNGLMAMHCISFLFLLFLSSSCKSDDQLTYAKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRFLVSYKGQVAMRLVAWKGPDDPSSGDFSCSGDPSSPALQKLIWNETMPYYRGNVLNGVSVSGGTYLSNASSVVYETSVSEGDRFCYMFTVSDGAPFTRMILDYTGMLRSLNWNHELSSWTVISEKPKAACEVYASCGSFSYCDLTGTIPMCQCLEGFKPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLSSVGVMADSSRCLVWTAELVDTGKFMNFGENLYLRLATPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.2.p pacid=40063563 transcript=Brasy9G321100.2 locus=Brasy9G321100 ID=Brasy9G321100.2.v1.1 annot-version=v1.1 MGRKFSNTQPESEEPYRAHTPRLSVYVCYLLPLRRAEGEGLMAMHYTPIFFLLLLSSFCKSDDQLTHAKPLTHDNILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVTNRDNPIITASSAMLAITNGSQMVLSDSEGHTIWATTSNIAIGAAEAYAVLLNSGNFVLRLLNTTTDIWQSFDHPTDTILPTMRFLISYKSQVGERLVAWKGPDDPSSGDFSCSSDPSSPTLQWFIWHKTMPYCRGNVMNGMPTSGTYLSNASSIMYETFIHQGDEFYYRFAVSDGLAFSRLILDYKGVLRSLSWNNHSSSWSLIFQIPRAACDLYASCGPFSYCDLTGTAPTCQCLDGFEHTDFSFSRGCRRIEELKCDKQSRFVTLPGMKVPDKFLHLRNRSFHECATECSSNCSCMAYAYANLSNSGATADPSRCLVWTGELVDTGKQFNYGDNLYLRLADSPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRAGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.3.p pacid=40063564 transcript=Brasy9G321100.3 locus=Brasy9G321100 ID=Brasy9G321100.3.v1.1 annot-version=v1.1 MGRKFSNTQPESEEPYRAHTPRLSVYVCYLLPLRRAEGEGLMAMHYTPIFFLLLLSSFCKSDDQLTHAKPLTHDNILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVTNRDNPIITASSAMLAITNGSQMVLSDSEGHTIWATTSNIAIGAAEAYAVLLNSGNFVLRLLNTTTDIWQSFDHPTDTILPTMRFLISYKSQVGERLVAWKGPDDPSSGDFSCSSDPSSPTLQWFIWHKTMPYCRGNVMNGMPTSGTYLSNASSIMYETFIHQGDEFYYRFAVSDGLAFSRLILDYKGVLRSLSWNNHSSSWSLIFQIPRAACDLYASCGPFSYCDLTGTAPTCQCLDGFEHTDFSFSRGCRRIEELKCDKQSRFVTLPGMKVPDKFLHLRNRSFHECATECSSNCSCMAYAYANLSNSGATADPSRCLVWTGELVDTGKQFNYGDNLYLRLADSPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.7.p pacid=40063565 transcript=Brasy9G321100.7 locus=Brasy9G321100 ID=Brasy9G321100.7.v1.1 annot-version=v1.1 MAMHCISFLFLLFLSSSCKSDDQLTYAKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRFLVSYKGQVAMRLVAWKGPDDPSSGDFSCSGDPSSPALQKLIWNETMPYYRGNVLNGVSVSGGTYLSNASSVVYETSVSEGDRFCYMFTVSDGAPFTRMILDYTGMLRSLNWNHELSSWTVISEKPKAACEVYASCGSFSYCDLTGTIPMCQCLEGFKPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLSSVGVMADSSRCLVWTAELVDTGKFMNFGENLYLRLATPEDNYLFNLELVELVKLQVFYTVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.5.p pacid=40063566 transcript=Brasy9G321100.5 locus=Brasy9G321100 ID=Brasy9G321100.5.v1.1 annot-version=v1.1 MAMHCISFLFLLFLSSSCKSDDQLTYAKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRFLVSYKGQVAMRLVAWKGPDDPSSGDFSCSGDPSSPALQKLIWNETMPYYRGNVLNGVSVSGGTYLSNASSVVYETSVSEGDRFCYMFTVSDGAPFTRMILDYTGMLRSLNWNHELSSWTVISEKPKAACEVYASCGSFSYCDLTGTIPMCQCLEGFKPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLSSVGVMADSSRCLVWTAELVDTGKFMNFGENLYLRLATPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRAGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G321100.4.p pacid=40063567 transcript=Brasy9G321100.4 locus=Brasy9G321100 ID=Brasy9G321100.4.v1.1 annot-version=v1.1 MAMHCISFLFLLFLSSSCKSDDQLTYAKPLTHDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVANRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGMEIWQSFDHPTDTVLPNMRFLVSYKGQVAMRLVAWKGPDDPSSGDFSCSGDPSSPALQKLIWNETMPYYRGNVLNGVSVSGGTYLSNASSVVYETSVSEGDRFCYMFTVSDGAPFTRMILDYTGMLRSLNWNHELSSWTVISEKPKAACEVYASCGSFSYCDLTGTIPMCQCLEGFKPNDLKFSRGCRRKEELKCNEQSQFVTLPGMRVPDKFLHIKNRSFNECAAECSKNCSCTAYAYANLSSVGVMADSSRCLVWTAELVDTGKFMNFGENLYLRLATPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGKRRKKETQKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNVVAVKRLSKGSGQGTLEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNVSSLITYAWRLWEDGKATELVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYNDDVDARESTENSANAMSITKLEGR* >Brasy9G017900.1.p pacid=40063568 transcript=Brasy9G017900.1 locus=Brasy9G017900 ID=Brasy9G017900.1.v1.1 annot-version=v1.1 MAALVTASGFGIAPPSVLRRQIGPCNPAPDTPISMRSTARATHPRPPARRMATQRGNRVIRRASAVVRAGAGATGAGMNIIFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVGNSYEMVRFFHCYKRGVDRVFVDHPWFLEKVWGKTGEKIYGPDTGTDYKDNQLRFSLLCQAALEVPRILSLDNNPYYSGPYGEDVVFVCNDWHTGPLACYLKNSYQSNGIYRTAKVAFCIHNISYQGRFSLADFSLLNLPGRFKSSFDFIDGYDKPVEGPKINWMKGGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPAKDKFIAVKYDASTALEGKALNKEALQAEVGLPVDRKVPLVAFIGRLEEQKGPDVIAAAIPEILDEDVQIVLLGTGKKKFERLFKSLEERFPEKVRAVVKFNAPLAHYIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTIVDGETGFHMGRLSVDCNVVEPEDVKKVATTLKRAVKVVGTPAHQKMVRNCMAQDLSWKGPAKNWEEVLLGLGVAGSEPGIVGEEIAPLAKENVAAP* >Brasy9G017900.2.p pacid=40063569 transcript=Brasy9G017900.2 locus=Brasy9G017900 ID=Brasy9G017900.2.v1.1 annot-version=v1.1 MAALVTASGFGIAPPSVLRRQIGPCNPAPDTPISMRSTARATHPRPPARRMATQRGNRVIRRASAVVRAGAGATGAGMNIIFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVGNSYEMVRFFHCYKRGVDRVFVDHPWFLEKVWGKTGEKIYGPDTGTDYKDNQLRFSLLCQAALEVPRILSLDNNPYYSGPYGEDVVFVCNDWHTGPLACYLKNSYQSNGIYRTAKVAFCIHNISYQGRFSLADFSLLNLPGRFKSSFDFIDGYDKPVEGPKINWMKGGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPAKDKFIAVKYDASTALEGKALNKEALQAEVGLPVDRKVPLVAFIGRLEEQKGPDVIAAAIPEILDEDVQIVLLGTGKKKFERLFKSLEERFPEKVRAVVKFNAPLAHYIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTIVDGETGFHMGRLSVDCNVVEPEDVKKVATTLKRAVKVVGTPAHQKMVRNCMAQDLSWKGPAKNWEEVLLGLGVAGSEPGIVGEEIAPLAKENVAAP* >Brasy9G312300.1.p pacid=40063570 transcript=Brasy9G312300.1 locus=Brasy9G312300 ID=Brasy9G312300.1.v1.1 annot-version=v1.1 MLMRSFISRRWNSKDLRRITHGKEAYMAYVAEGLGNLQNWNEVMKFQRKNGSLFNSPSTTAAALIHIYDDKAFQYLNVLVNKFGGSVPTMYPANAYCQLSMVDSLEKIGIARHFSSEIKSILDITYSAWLQRDEEIMLDVATCAMAFRLLRMNGYDVSLDELCHVDEASTFHDSLQGYLNDTKSILELYKASKVTVSENEFILDNIGNWSGSLLTEKLSSDRVPKSSPILGEVEHALKFPFYAIPERLDHKRNIEHFDARGSQMLKTEHLPCCVNQDLLALAVEDFTFSQFIYQDELMHLESWVKENRLDQLQFARQKLTYCYLSAAATVFPPELSGARLSWAKNSVLVTVADDFFDVGGSKEELENLVALVEKWDEHSEDEFYSEQVKIIFYAIYTTVNQLGAMAIAVQNRDVKNHMIELWLQLLRSMKTEAEWRMRRYVPTIEEYTANAFVSFTLGPVVLIAFYLVGPKFSGCAVKDQDYNELYRLTSTCGRLLNDIQGFERESSEGKLDSVSLHLVHSDGSMSIEAAKESIRKSIASSRKNLLRLVLKEDNVVPRPCRELLWKMSQMAQICHFFYSHTDAFTSPIEMVSSVNAVIHEPLRLQINYPSLPVQSAK* >Brasy9G242000.1.p pacid=40063571 transcript=Brasy9G242000.1 locus=Brasy9G242000 ID=Brasy9G242000.1.v1.1 annot-version=v1.1 MSRDIDEGSVPGAVAGGGGELEGGDPVAGGGGDEAAADSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPTTDADLFYKSEISLCRVYKRSGIDDGGHGRASSSSNVQPTSPMPGPSRTSRPTGRQHGPSPTQQPPSFPLIGQAQCSTTVPLPPPAIVGVQPTAHNAMHPPPLPSRPSCPYDGHAPMMVMADAPVIGSSSTPVDDELSRLVGHGQAYAIANHQDAGTHFFPMPPPPAPHPLGTLPLSPAAGSDKLWDWNPDTTASKDYGSSSYK* >Brasy9G207000.1.p pacid=40063572 transcript=Brasy9G207000.1 locus=Brasy9G207000 ID=Brasy9G207000.1.v1.1 annot-version=v1.1 MMLGTGPDGVCSPESCRSGSPVRLGPGPSRKWLEGVRPRWLEAARDLYVAGIGPATRCSGGDGRRSAVRLYSTWERGKIREEERVLHRQARVMVVDGGKAPGQAPVDGHRRLEQGVAAGTARGMREQGLASYTFIESLRSSRGRLRNPGIAEEGDRAVAVHGRSRGRTACQRRFRAHRVDSFS* >Brasy9G025400.1.p pacid=40063573 transcript=Brasy9G025400.1 locus=Brasy9G025400 ID=Brasy9G025400.1.v1.1 annot-version=v1.1 MSEPAASGSSCGGGGDAIKPATGKKAARKGSEAAASGSSGGDALIKSQKVAEGDKAEAGKVKKMWTVTEEYIDRLRKEKAAGPKISNLDYLDEGTGPHADALRAIAARGAAVIAEMEAHKARILEEYDTHGSAQVELVEDTWSDNEMARKLMAWEKKARQLSS* >Brasy9G356000.1.p pacid=40063574 transcript=Brasy9G356000.1 locus=Brasy9G356000 ID=Brasy9G356000.1.v1.1 annot-version=v1.1 MELQLLPRDVLCDILSRLSIKEVFRMSTLSRQWRQLGICHPDMVFTEETFFGTSNTINPSASMAAEFVTRVNNVLRPLWSTAGTTTTLDKFVVKFKLGFRLGRKHKYHIDRWINFSTMSRAKHIALDFTIGRSASEGDKYVVPLCNLSGPNGSCVKSLDLSYVCLKLHPSFCGIMNLRNLTLSMVSINRGDLQCLLLSCTLLESLSIELCSLSSLCIRQELSRLQYLRVSHCDLEMIELRAPNPTRFEFDDYVRQITLCESLKLSEATFVSNWRVFAAYDYDLDLIFYELPPALPQLHKLFLLLNVDQVLMFSNIQTSFKNLRHLNINLDIFFDPRDTSWVLGLVNLLELSPLLEELELHIDRGRFCHPDPSMVMAAQGPLLRHLRRVYMSGFSDVLGLAELALYILGNATVLERMVVDPVVRMKYDLPTDRFYPSTNFSRNKEFVLPPRPTTKENMFCITEKRMFAKMHLEREEFRHIVTIL* >Brasy9G213200.1.p pacid=40063575 transcript=Brasy9G213200.1 locus=Brasy9G213200 ID=Brasy9G213200.1.v1.1 annot-version=v1.1 MVNLVEAQKPLLHFLVRRAGLRQHTVDVDGAGTVISFWVPKDKLPKNKATVREITPEAAAAPVTNSKAPPANKKKQRETKATKEARPAVVLVHGFAAEGIVTWQFQAGVLAKKYDVYIPDLLYFGGSTSPSADRSPGFQAECLVAALGKLGVERCTVVGFSYGGMVAFKMAESRPDLVRSLVVSGSVVAMTDCISSATLDRIGVKSSSELLLPDSVKGLKALLSIATHRKLWFPDRIHKDYLQVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTTLQSIDKAGHLVHLERPCVYNRRLKEFLAYVNDEAPKEKV* >Brasy9G150500.1.p pacid=40063576 transcript=Brasy9G150500.1 locus=Brasy9G150500 ID=Brasy9G150500.1.v1.1 annot-version=v1.1 MSRRNFLAALACIAAAAVSLMAVAPADGAILPWFGNRGGRDEAAASAAMATQALIPDVGLLADPFRILEHVPFGFDRDDVAMVSMARVDWRETPDAHEIVVDVPGMRKEDLKIEVEDNRVLRISGERRREATTEERKGDHWHREERSYGKFWRQMRLPDNADLDSIAASLENGVLTVRFRKLAPDQIKGPRVVGITGGDDGASDKKSVGAAGGEERQANRVEL* >Brasy9G171400.1.p pacid=40063577 transcript=Brasy9G171400.1 locus=Brasy9G171400 ID=Brasy9G171400.1.v1.1 annot-version=v1.1 MPGPGAHLLYALSGGAALSRLAAGGGGGRRFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSASAAAAGGLAMAAVHHPFYYPLLLGLPLAYLYAWLSRWLLRAGLLDAPGGVALSKRQCFLLIVGGSLSHFFLDHLFEENGRSTMYTWILSTGWWKGRAPIHPDAVFVVGLLCTCLIGGFLYINRVKHGKSMTEKSNQSFFLILVIATLYCMWCASQIYLRNPPQPAIVSFV* >Brasy9G360300.1.p pacid=40063578 transcript=Brasy9G360300.1 locus=Brasy9G360300 ID=Brasy9G360300.1.v1.1 annot-version=v1.1 MELCEGGDLASFIERSGRVEERVARNFMKQIGAGLQVLRRHHVIHRDLKPENILLSCPNSDAILKISDFGLSRVLHPGEYADTACGTRLYMAPEVMLFQKYNDKVDLWSIGAILFELLNGYPPFRGRSNVQLLQCINRTTSLPFSELVMRSLHPDSIDICTRLLCTNPVKRLSLQEFINHGFLRP* >Brasy9G319300.1.p pacid=40063579 transcript=Brasy9G319300.1 locus=Brasy9G319300 ID=Brasy9G319300.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G051800.1.p pacid=40063580 transcript=Brasy9G051800.1 locus=Brasy9G051800 ID=Brasy9G051800.1.v1.1 annot-version=v1.1 MRTVALGIVAMACLVGMAQGGNFNQDAEMTWGHGNGKVVDGGRGLDLTLDRTTGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSAHDEIDFEFLGNVTGEPYTLHTNVFAKGQGQREQQFRLWFDPTTSFHTYSIIWNPQHVIFAVDGTPIRDFKNNEARGVSFPKSQPMRLYASLWNADDWATQGGRVKADWSKAPFVASFRNFNADACVWSAGAQRCPAGTMEASATGGSGSSWWNQQLSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGVPAECKLRR* >Brasy9G160000.1.p pacid=40063581 transcript=Brasy9G160000.1 locus=Brasy9G160000 ID=Brasy9G160000.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy9G046400.1.p pacid=40063582 transcript=Brasy9G046400.1 locus=Brasy9G046400 ID=Brasy9G046400.1.v1.1 annot-version=v1.1 MAKAIPQIFPRSLHKLCRWHIMRKHKDSLGKLYKLFPDLKDQLAAVLNHPLMPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTRVSAYWKDVFCARMTSTQRSESMNHVLKKGFVREQHDLHIFAQQVNNCIQTRRESEAAEATTSMGVMKPLTRYGFEAQIQEHYTRAVYGVFRKRQFHSTGFRIKTSPHNTTEFLVHHYNKSKEFVWSRHEFRVLADEAEGIFQCECKLWEHTGLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKVMSSDHMFNVGMRAFKEVNSRMDEEGIETNAGADHHTEECYPEGPAVSDEIPTTNLKGICPRGNNIDDTVKEATKMYAHAQPPKVTKTKGSGNKKKDEAPAPAPSTAAARPEPELDANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATERVKICIRNLLDTDEDEDYEEQTDDGENEDDVEDYDENEEDQDGEGEEEEQFQTEVTNEQTLETAENNKPSLAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQKSGDKDMVPQGKRTFAAGRTTPRADGVGITEDCSKEQPRRAGTTNAYHKT* >Brasy9G281300.1.p pacid=40063583 transcript=Brasy9G281300.1 locus=Brasy9G281300 ID=Brasy9G281300.1.v1.1 annot-version=v1.1 MLSLLRRRAASSPAASLQQFQCAYQRSSERLLPCRDQEVSYGLNWAIAARGVVVKDKVFYNLEISELQKGGATYAEHLSGIPLHVKGNVISGVPNITGAQFAKLLKQVTFHLSSVSSIYVQDGAIGSSADCDAKVRVISDNPSAIMLLSNVLWKIPDRAISHDTCPLTIYVATSISTNVRNFLDSGIQYANGFAAAEIERSSLILCGKAFADSTMLKDALTALTIPILNARRGLPVPGWLLSFGSSVIMLFAPVELIKSCSKIQDVLVSTDSGVVIFSKQCTVLFPTKSRAPELFTKPATVIVVSSDSTDALPLVSKLSPGQAAYHFLAGYQDGKFVPAYNRAPSPVDPLALANALFLHLKEDSTPTYLINVKNSGKETGGNEFMKLIELALSNNMPDNKPEDSRVAELKGKYRSFLSGKFGKYLPEEFYF* >Brasy9G087400.1.p pacid=40063584 transcript=Brasy9G087400.1 locus=Brasy9G087400 ID=Brasy9G087400.1.v1.1 annot-version=v1.1 MVVLGHVLRGSCWHCCRVPRALHCLRRSRRAARSSTRRPLGGPSDLNAELRQHPRSASTAGLELGGEEHGAAVCCLNESREERPAGKKGLQGENVRRANGYILPTTTCSFIGVEDEIARGGWRSTPVHGQSGVVALELGHTRGRELVVVDLRLEPLPAASPVW* >Brasy9G365000.1.p pacid=40063585 transcript=Brasy9G365000.1 locus=Brasy9G365000 ID=Brasy9G365000.1.v1.1 annot-version=v1.1 MSKAYKRREDHATAEESRKYPAQESYLDLKKFPLLFTNVTGAKMAEHYNRTSVHYLSISSVTIEGTKMGISAVPVTQASHLQRESSYHIIQLCHHENQNGPIIELPVREEDNYVTGFRVLRPDDDVITTPWYACKGANLPNNIFRNVINSGFDIGYSKLEKTQIGKGILPKAVKYLLGFPENPVTCDDGEVYLETLFFMFGEGNRFPFAQKMVVNYIGKKKPASPTVIMVAVIRAWSDISKGIMALYLAVLEIELGIGSSERDREIWLHYATS* >Brasy9G025200.1.p pacid=40063586 transcript=Brasy9G025200.1 locus=Brasy9G025200 ID=Brasy9G025200.1.v1.1 annot-version=v1.1 MIKLGTLVTSPLETPLHWLSCKEALVLSQGVKLLLEHLFKAELQRLFYKAALVLSQGVKFLLPSYKTALVLS* >Brasy9G060900.1.p pacid=40063587 transcript=Brasy9G060900.1 locus=Brasy9G060900 ID=Brasy9G060900.1.v1.1 annot-version=v1.1 MAGWISSKLKAAETLLHQIDQQAAESLGKSSSASDLTALQQPSPAELLDGPEPRRPPPATPPPSRGLRLAAKRRHSQPPPPAPAPRRSASAAADLSAQDRPGGTPAEAVEAKAEEDRRDREGEKGGGPSESGSGSDEDSDGSGSDDSEEERRREEERSRRRAERLAAMAARAIAEREEAVARLEGEKTSLEKLLAVREKEQAQEASELQTSMIETMEATEIEKQRHHSTRMEALVRLAELEVTNAELAKSLAREQWNLEVQVDQVAQLREEVDLKTFAQDKYKRKIAKMQKASVPLVDEIESLRRLKLEDEIIDAEYTQTCDRIVSLKDKARKIEENIEMTRRDMVQPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKSTLVLRIEAVSRSLDNNVSSLASSSSSSRIDIEAGTWQGSYSPRLRDRIRTGQQHLGSAIRQLDSIFSAGHIFLRRNPKALIWATVYLVCLHIWVLYILSSHSTAPDTRPGATFSLESINKTSI* >Brasy9G260400.1.p pacid=40063588 transcript=Brasy9G260400.1 locus=Brasy9G260400 ID=Brasy9G260400.1.v1.1 annot-version=v1.1 MSDGSNNSVHSKRWESTLTYSLNRPEHTGRILGEGEGRRRPEQLEQRKLSLCYSMAYTKAAWTLMRCCKSPGRKAFMVFCFKVSPRAIGVSHVRRGAVALLPGLPLPQFQSLIRVQATTSR* >Brasy9G276200.1.p pacid=40063589 transcript=Brasy9G276200.1 locus=Brasy9G276200 ID=Brasy9G276200.1.v1.1 annot-version=v1.1 MDSSAHGSGASLRGASGGLGWGGSGGGGKGGSGSGIGGEITSAIGGGGASADAWTRLVSSGVEDDFVCTAGARGAGAGGLPYGHFLDACFLCRKPIASNRDIYMYRGDIPFCSEDCRREQMEMDEEMERKEKDTSKKLSPRPPSAWDVESPPRPPKTRAGSILAG* >Brasy9G276200.2.p pacid=40063590 transcript=Brasy9G276200.2 locus=Brasy9G276200 ID=Brasy9G276200.2.v1.1 annot-version=v1.1 MDSSAHGSGASLRGASGGLGWGGSGGGGKGGSGSGIGGEITSAIGGGGASADAWTRLVSSGVEDDFVCTAGARGAGAGGLPYGHFLDACFLCRKPIASNRDIYIGDIPFCSEDCRREQMEMDEEMERKEKDTSKKLSPRPPSAWDVESPPRPPKTRAGSILAG* >Brasy9G166900.1.p pacid=40063591 transcript=Brasy9G166900.1 locus=Brasy9G166900 ID=Brasy9G166900.1.v1.1 annot-version=v1.1 MEVLSVRQLLSLLTMPIFRFILPTIVRSRTWRCFATGIPSDTIAELNKEMESLFGESPSPSPLGGSSPPQQPARTSEAWEVQPALTHVDRSGQAKMVDVSPKQDSERVAIASCRVLLGQKAFNLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVHVDLTLNEEDSSVIIEGEVATSGKTGVEMEAMTAVSIAGLTVYDMCKAASKDICVTDICLQHKSGGKSGNWSRS* >Brasy9G059900.1.p pacid=40063592 transcript=Brasy9G059900.1 locus=Brasy9G059900 ID=Brasy9G059900.1.v1.1 annot-version=v1.1 MPKSGPANPSKAQPTPWPIKSNPNPNPHLISSPGAAPLSLSLSLSLSLSLSLPNSLVANSLLSPERPSSLLSLVSPRPPSYITPAMDPRRAPPDPAATSRGADVPWWPSPPDPVPPTTRAAGSPSPSHGADVPWWPSPPDPVPPTTRAAGSPSHLTVSLSLSLISLSLSRISPSNSLSIWFSERRRSSSSSERGGGARHQGGRWPEGGSWPEGGRCGGGEGGGRRRPLWGRGGRRPTGRRREEGRPAGGGGRGGGEGGNGVSGGGAQEGGRGGEVGQGRWRAGGEGGEPDPAGGGGRGVGSGAAVGREREGERERGARARERES* >Brasy9G026800.1.p pacid=40063593 transcript=Brasy9G026800.1 locus=Brasy9G026800 ID=Brasy9G026800.1.v1.1 annot-version=v1.1 MASSLSSAVHCALPSPSPSPSAAPRRASFLLRAAAPRRQSQRALVVAAALEDMRPAIDENPEGVLSGEWTENFSLVSYDDLRQYLESQIIDTDKMSPTARLGDVMSRPVQVAMADQKLAEIDALFATQSGMPVLDDEGRCIGVVSKKDKDKASNGLDSTVGEVMSSPPITLTPEKTVLEAAALMLKHKVHRIPVVNEQQQVIGIVTRTDVFQALEANRA* >Brasy9G354700.1.p pacid=40063594 transcript=Brasy9G354700.1 locus=Brasy9G354700 ID=Brasy9G354700.1.v1.1 annot-version=v1.1 MQFLSLPTASSPTHHHLPPKPLFKPISSSSASFRRPTQPPPPPPKPSPPPPPPPPPPNSNPLASKLWLSSRLSPPPPPPPPKTVHQPPPSPPPAEPEREPEPEPAPRKDDEDFRQKGKVFVGNLPLRTRKPDVAEFFRQFGPLDKVELVRAHDDPERNAGFCFLYYAGDPGDAEAAAERAAEVDGVDFRGRSLTVRLDDGRKGRARAEERARWVCHGRRPEARSPWHQGREEACREFRRVVESRPEDWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKAEGIELTIVTYSIIIAGFAKINDAQSADNLFKEAKAKLGDLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDAYHSMMHGYTIIQDEKKCLIVFERLKECCFTPSIISYGCLINLYIKIGKVAKAVAISKEMESYGIKHNNKTYSMLISGFIHLHDFANAFSIFEEMLKSGLQPDRAIYNLLIEAFCKMGNMDRAVRILEKMQKERMQPSNRAFRPIIEGFAVAGDMKRALDILDLMRRSGCAPTVMTYNALIHGLIRKNQVERAVSVLNKMSIAGITPNEHTYTIIMRGYAANGDIGKAFEYFTKIKEGGLKLDVYIYETLLRACCKSGRMQSALAVTREMSTQKIARNTFVYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAQKVIEEMADVGLKPNLKTYTTLIKGWAKASLPDRALKSFEEMKLAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSICREMFENDLTVDMRTAVHWSRWLHMIERTGGALTEALQRIFPPDWNSLEVLGEVSDSLSTGDSDYSSDSDLSDVDENQDADE* >Brasy9G106100.1.p pacid=40063595 transcript=Brasy9G106100.1 locus=Brasy9G106100 ID=Brasy9G106100.1.v1.1 annot-version=v1.1 MEIVRSQRPAAATGGGGAGALPTYRVAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVNELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMEMTLFRYRFRLESPESQRLLMSEFQLPYKALPHSEFEAVKDKLSQVARSIGQFASVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRSNSSKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQPNDAAEISPKDIDQLARTSFPLCMRHMLEKLRENHHLKNGGRMQFGLFLKGAGLKLEDALTFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNNMGVSGNALEGILDKVKNRHYQLACTLTFEATHNVSCDTGINHPNQYFSESQKVLQAKNQTVQNQPST* >Brasy9G106100.2.p pacid=40063596 transcript=Brasy9G106100.2 locus=Brasy9G106100 ID=Brasy9G106100.2.v1.1 annot-version=v1.1 MMLLSEVTNFVTSDMVNELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMEMTLFRYRFRLESPESQRLLMSEFQLPYKALPHSEFEAVKDKLSQVARSIGQFASVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRSNSSKALVLTNRKWTSTIKEQEKDRLTPIVEALSNAYFGPDYSQPNDAAEISPKDIDQLARTSFPLCMRHMLEKLRENHHLKNGGRMQFGLFLKGAGLKLEDALTFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNNMGVSGNALEGILDKVKNRHYQLACTLTFEATHNVSCDTGINHPNQYFSESQKVLQAKNQTVQNQPST* >Brasy9G360700.1.p pacid=40063597 transcript=Brasy9G360700.1 locus=Brasy9G360700 ID=Brasy9G360700.1.v1.1 annot-version=v1.1 MSEEDSTSAAAQEPRRPTPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVVPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATDAREAIQHSMDLYAQYILQSLRQ* >Brasy9G006400.1.p pacid=40063598 transcript=Brasy9G006400.1 locus=Brasy9G006400 ID=Brasy9G006400.1.v1.1 annot-version=v1.1 MDYNWATHASSYWVCDGIIHGGETDEAWEVAATLHQEIHIEDYSSNTLPSFGHELKTPPGRWMFFRDNSVLTVHPESTSFFLAADTSGSDPSLQLLPCDMFHQSDNLHVLKLCRCTFSFSSPPFHCCRNLRFLGLDSCKDQQGEDGEKQDRPAMVFFQSLWVLDICNTDWELASSPEIIYPMSANIREVHINNGRIWCRNMAWHQLQNVRKLRVIEPTSPWETGEMDEFRDMVKLELLDLSGNSTIQVLQNLSSATSLKTVVLDGCVGLMHVGPKGLPPSLESFSLDARTRGDQYKEAKISCISLSGCARLVSFRLCGSLLNLEKLDLSGTLVKTLDLKDEGLQIPSLQEIILLGCLQLHAILCPEEGLPKLRVLQIDSAVCRVETKLDQTYATIMDARFFQSLVLQSNVAFCWKSSRSHLNICVPCTNKVEKETYKEKTCPVSSGRIFCSPRPKLLIPKSYRTYMDVVIDGMAVDHDYIDALQFQPSDFHLEIGAGISNISVESLQGIKAIIFTVDKAESLHVHHNSSITTVIPEHMMSIEDERIDWRHLKQCYVVSCTRMHTVFTIWADFFCFQQLENFWAVDLRMAHCIWSKGRRIREDNFSFAELQNIQLYSCPRLTYVLPLLWFTLSSLETLHIVNCGDLIEVFPVEEEFLTKLATTRHRKGVLEFPKLKHIYLHGVYKLQRICEAKMFAPKLQTVRLRGCWGLRLLPAVGRDSRPVVECEKDWWEKLEWDGLEASHHPSLFEPRHSSYYKKPLPRGSVLR* >Brasy9G267900.1.p pacid=40063599 transcript=Brasy9G267900.1 locus=Brasy9G267900 ID=Brasy9G267900.1.v1.1 annot-version=v1.1 MDGAAGVRVAANGGQAAPCRASGTRWTPTAEQVRILRELYYGLGIRSPNAEQIQRIAGRLRQYGRIEGKNVFYWFQNHKARERHKKRLTAIDVSPNDGNTNSSNGDINATVLSLSPSGAAAASSAGLYGAGNNGGGGSAHLQMDASATACWDSSACMANDRSFMQLLQEQDYMGVRSTVAPTPWPACFSPYQTPPAREPETLPLFPTGGNGGGHQEIVHGGSYQMQQPNSQLWWGQQQHHHHQLLLQEQQNHQYSSYSSSNQLMMPIQDAGGNTSLELTLSSHYPAGSSM* >Brasy9G267900.2.p pacid=40063600 transcript=Brasy9G267900.2 locus=Brasy9G267900 ID=Brasy9G267900.2.v1.1 annot-version=v1.1 MDGAAGVRVAANGGQAAPCRASGTRWTPTAEQVRILRELYYGLGIRSPNAEQIQRIAGRLRQYGRIEGKNVFYWFQNHKARERHKKRLTAIDVSPNDGNTNSSNGDINATVLSLSPSGAAAASSAGLYGAGNNGGGGSAHLQMDASATACWDSSACMANDRSFMQLLQDYMGVRSTVAPTPWPACFSPYQTPPAREPETLPLFPTGGNGGGHQEIVHGGSYQMQQPNSQLWWGQQQHHHHQLLLQEQQNHQYSSYSSSNQLMMPIQDAGGNTSLELTLSSHYPAGSSM* >Brasy9G332700.1.p pacid=40063601 transcript=Brasy9G332700.1 locus=Brasy9G332700 ID=Brasy9G332700.1.v1.1 annot-version=v1.1 MAPKAGRGKGKGGKGDRKKKEEKVVPNAIDVTVTTPYESQVTLKGISTDRVLDVRRLLGSNVETCHLTNYSLSHVARGHRLEDGVEIVALKPCALTIVEDEYATEEAAVAHIRRLLDIVACTTAFAKPRDGAKHKSSKHARPSTPPSPPAANGDSAATAAAAPAISEAHDMAAIGSPPKLGEFYDFFSFAHLTPPLHFIRRKERNGAAQEGDYFEIEVKVCNGKLLHVVSSVKGFYLAGKPHTVSRSLVDLLQQLSSGFANAYEALMKAFVDHNKFGNLPYGFRANTWLVPPIYVDSATKSPALPVEDENWGGHGGGCGRDGKYDRRRWAKEFSILARMPCRTEEERVIRDRKAFLLHNLFVDTAIIRAASTIRRLIGTSPQTSPDGSLVLDERIGDLHITVNKDEADASLKLEDKVDGVAVYQTGDMDISQRNLLKGLTSDESVVAKDSSTLGVVIVKHCGYTATVKVSGRTKNCSDDKQTSDIADHLDGFLNIDVDDHPDGGSNALNVNSLRIPLPKIVNPDIAGSNQHLASKSHVDNYARKLARTVLESSLMKLENTPNKNPRLIRWELGSSWLQHLQKKDSSVSENGEKNAKKAEKDPSVKGLGKHFEQLRKIKKKEYIVEGAKSDKEESDSNYSLTNGMEESDDVAFSKTNKEADMRKLMPEDAFCRLKSFGAGFHQKSLEELTKMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHVIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENDLHTDAHNEHKLRLKWVESFLSKRYCWKLKDEFPHLRKSIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIVPVCKHVVYSSIDSRNLLESSKMALDKGKLDDAVNYGAKALSKVITVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYTLSVQHEQTTLQILQEKLGEDDLRTQDAAAWLEYFDSKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDMQRKCRRAKNNTRAHQGESVEEKENFQHDSGSLQADKKDFQETKLEVHTPVVAEQIHAVHDELKQADTLSPEEYSDEGWQAATLRGRSANVRKKSIRRKPSLTKLVVDRVEDGHTASAYRTGVQPQTKGDKEEAINSPSQLSFSNFFRSDKLNGDTATIEDKLCKAKSKPERSIKPTGINRPTNIASKLVSYKDVAVSPPGTVWKPILEKKEAKEKDNGQCTDVVLPSEEEDKKLTDEEKETLGSESSKEVISSQTEGVSHAEKAPDSNSDESPSPHKKANGSKLSASAPPFNPGSLLSVSHPYSTVAIYDASVLLQQIPSQAMEILPHAVDTRVPRGPRSTLYYRTGHSFQRKQGYTQSQSTIQRGSTSPPAMNPHAPEFVPGKSLPQTDVANGEHASTTDSADQQLGSQASDEVKVDIPAAEKAGETENATPGKGKENRGRDAMRDSYKAELARQILLSFIVKSVHDSLGSTRAQPDRKPSGSEEASKEQSSNITKTASGRKEVDKQPKAAEAPKSEKDTEGFTVVSKRRRSKQHFVTPINGLYSQQSICTSVS* >Brasy9G275400.1.p pacid=40063602 transcript=Brasy9G275400.1 locus=Brasy9G275400 ID=Brasy9G275400.1.v1.1 annot-version=v1.1 MDSEQHADNLLGNNSSGKQAVWTTAMSSFVLKFMANLVASGTRTSNGFKQVHLNSCATALNERFWLQVTSTQICNHLRKWKKIWARVDSLKSLPGAIWDEHTCTIVLDREHYTGHIKNHLSDADFLNTPIEHYHELATICGMASGVYARSTNEPLAMDVTENEIENEETSGASGPLATGVEETTISFTNTNGGGDCSGNLVPPKKPKGVGLEQHADNIGSKNGGGELVVWTNAMSSFVLQFLANLVAGGTRPSHVFKQVHLTSCGLALNEHFRVQLTAAQISNHLRKWKKIWAKVNVLKSLSGARWDENTCTIVLDHEHYTDHVKDHHNDADFLNTPIKHYREMATIFVKGKTSAIHAKGNCEPLARDVIENGVEHENNGGSDAIANNGVEEATMSFTNTNGGNIGGSSGSLPPPKKAKVNNDEGMLCMMTRMLDNLAKAIEKSSATHIDIPEDLWDNMMDLPGFEEAHLAHYYAYLCENVSVARAFNKLSMSNKMIWVTRYIKNHLSA* >Brasy9G102900.1.p pacid=40063603 transcript=Brasy9G102900.1 locus=Brasy9G102900 ID=Brasy9G102900.1.v1.1 annot-version=v1.1 MAKIKSNSNALVVVAWLLLLALAAMVDAGEERAARVEMAGWRRLEDTVEPELDQVRDLLQSHGGGGFVSVLDGNHQACHPKCVGGPGGPYTRPVSCIYQNGQANCP* >Brasy9G239200.1.p pacid=40063604 transcript=Brasy9G239200.1 locus=Brasy9G239200 ID=Brasy9G239200.1.v1.1 annot-version=v1.1 MAGKKQSGAGGEEMNWISRKLLLYNVTFGLYVMDWWERCLFNTTVMILIWSICYNISRLMWKRFDK* >Brasy9G170500.1.p pacid=40063605 transcript=Brasy9G170500.1 locus=Brasy9G170500 ID=Brasy9G170500.1.v1.1 annot-version=v1.1 CWRGGDRVFVLHGRRLQIRRRPFPPPLRHGKHVEPTVTRVSATARSPSSRARQAAPAQAPRVQERRLGGRPDGVQPQAPDRAVRQHQPGRVHVRRRTGDGTGSSEFLFPSSRRDEHGQQRRHRHRQQQRDEHGRLVVHPGALPIVQPRRMGCDNIDGSEFFFPSSMPLSPVGSTPRSPRLCVRWDTSICSFFSFLDS* >Brasy9G053100.1.p pacid=40063606 transcript=Brasy9G053100.1 locus=Brasy9G053100 ID=Brasy9G053100.1.v1.1 annot-version=v1.1 MALLAPTTLQCFLTGRPLCSTATAAAPQLTRSRQPSSRLSCKASDEKDATPLSREISGLGVKLGKLALVALAAGVLALGPVDGAMAGKSGGRVGGKAFRSAAPRPSGPRINNSRTNIYVNPGIAPPLGGYGYGGYGGYGWSPFSFYGGPGVAVGVGGGFDTFVLFIVAGAVVGAVRRFLNRDNDDNDD* >Brasy9G032000.1.p pacid=40063607 transcript=Brasy9G032000.1 locus=Brasy9G032000 ID=Brasy9G032000.1.v1.1 annot-version=v1.1 MAVATITPPAPQPPTSSAIRSLTAAGHHAAALRALSSLAASPSSALDHFALPPAIKSAAALRDSRSTRAIHGASLRRALLHRPTPAVSNALLTAYARCGDLDAALSLFAATPPDLRDAVSYNSLISALCLFRRWDDALAALRDMLADHEVSSFTLVSVLLACSHLADDGHRLGREAHAFALKHGFLDHGRERFPFNALLSMYARLGLVDDAQRLFFSSGAGVSDLVTWNTMISLLVQGGRCEEAVEVLYDMVALGVRPDGVTFASALPACSRLELLAVGREVHAFVLKDDDLATNSFVASALVDMYASNEQVSHARRVFDMVPEHGRQLGMWNAMICGYAQHGGMDEEALELFARMEAEAGCAPSETTMAGVLPACARSEAFAGKEAVHGYVLKRGMASNRFVQNALMDMYARLGRMDVARTIFAMIDLRDIVSWNTLITGCIVQGLISEAFQLAREMQLLSSAATGETMVEGDDTSVDGQRCMPNNITLMTLLPGCAVLAAPARGKEIHGYAVRHALESDLAVGSALVDMYAKCGCLALARAVFDRLPRRNVITWNVLIMAYGMHGLGDEALALFDRMVANGEATPNEVTFIAALAACSHSGLVDRGLQLFQGMKRDYGFEPTPDLHACVVDVLGRAGRLDEAYGIISSMSPGEHQVSAWSTMLGACRLHRNVELGRIAAERLFELEPDEASHYVLLCNIYSAAGLWEKSTEVRGMMRQRGVAKEPGCSWIDLDGAIHRFMAGESAHPESAQVHAHMDALWERMRTEGYTPDTSCVLHDVDETEKAAMLRYHSEKLAIAFGLLRAPPGVAIRVAKNLRVCNDCHEAAKFISRMVGREIVLRDVRRFHHFRDGTCSCGDYW* >Brasy9G217800.1.p pacid=40063608 transcript=Brasy9G217800.1 locus=Brasy9G217800 ID=Brasy9G217800.1.v1.1 annot-version=v1.1 MAKDIEAAPGEYAAKDYSDPPPAPLFDAEELTKWSLYRAAIAEFVATLLFLYITVATVIGYKHQADASASGPDAACGGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGVGLVKGFQSAFYVRYGGGANGLSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPFIGAAIAAAYHQYVLRASATKLGSSASFGRN* >Brasy9G114100.1.p pacid=40063609 transcript=Brasy9G114100.1 locus=Brasy9G114100 ID=Brasy9G114100.1.v1.1 annot-version=v1.1 MSLEVENPSTIETTKRLERQDSLFGDAEKVSTTTHHGSEGNWTQVLHLAFQSIGIIYGDVGTSPLYVYSSTFPNGIKDKDDLLGVLSLILYTLILIPMIKYVFIVLYADDNGDGGTFALYSLISRYSKIRLIPNQQVEDSMVSNYNIESPSSSLRRAQWLKEKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVTGIREKVPSLTETQVVWISVPILFILFSVQRFGTDKVGYSFAPIISVWFVLIAGIGMYNIAVYEIGILRAFNPLHIVTYFRRNGKEAWVSLGGAILCVTGTEGMYADLGHFNIRAIQISFNAVLFPSVALCYIGQAAYLRKFPENVADTFFRSTPAPLFWPTFIVAIFSAIIASQAMLSGAFAILSKALSLGCFPRVRVIHTSKHHEGQVYIPEVNFLMGLASIIITITFRTTTEIGNAYGICVVTVFLITTHLMTIVMLLVWRKNIISVLSFYIVFSSIEWIYLSSILSKFIQGGYLPFCFALILMALMVTWHYVHVMKYWYEFDHIVTTDEVTTLLEKHNVRRIPGVGLLYSELVQGIPPVFLRLVQKIPSVHSVFLFMSIKHLPIPHVASVERFLFRQVGPRENRMFRCVARYGYSDVVEESGDFTRFLAEKLKMFIENESAFAAKKPENEENNSATGVLEGQTRPRQSARSVVHSEEVIDPKMSSHVRNTSSYSLQTVEEEKQLIDAQMEQGVVYLMGSANVISGPKSSVLKKVVVDYVYAFLRRNLTEGHQVLSIPRDQLLKVGITYEI* >Brasy9G012000.1.p pacid=40063610 transcript=Brasy9G012000.1 locus=Brasy9G012000 ID=Brasy9G012000.1.v1.1 annot-version=v1.1 MADKEQGSMEAEKWMLTPCTGPKSTEGSVAMADKGSMEAEKWMLRPCTAPKSTEGSVAMADKSSMEAEKWMLRPCTAPKSTEGSVAGDELSNKRKTPVAAEGEQAPEGLCTAKAADEPVSFDPFGSQRRASAIDKMLWEAKLPAASAAAVNQPGVDSSAAAGKGRKYRLSKAEIWDIIVLRPPEPEPITDKHYLDDLAEFFPAEWIQERKLAHRHYAEQNRKFDEKWKEFRQEVIKDLKEKGYYEVDEEYYANREKIAAIVDESWKNKDYSRLLVATEEEERRAIEEGYYHPYVPDEDDDLVDELPSDDEDLIFRGFHGADDAHKVAAV* >Brasy9G128600.1.p pacid=40063611 transcript=Brasy9G128600.1 locus=Brasy9G128600 ID=Brasy9G128600.1.v1.1 annot-version=v1.1 MGEWCPSPTIAKDVTELIGRTPLVYLNKVVTGCEARIAAKLEIMGPCSSIKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYKLIVTMPASVSTERRTVLKAFGAQVVLTNPLLAMDDVVRRAEEIAARTPNSYVLQQFENPANPKVHYETTGPEIWSATAGTVDILVAGIGTGGTITGAGKYLKETNPHIQIIGVEPSESAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQITNEEAASMAKQIALKEGLLVGISSGATAAAAIRVARRVENRGKLIVVMFASFGERYLSSFLFESIRNEAEKMVVLDP* >Brasy9G327100.1.p pacid=40063612 transcript=Brasy9G327100.1 locus=Brasy9G327100 ID=Brasy9G327100.1.v1.1 annot-version=v1.1 MAAAAAVRAIVCEAAPLQRPQQQTRRDGASGKIVLQPRLCTLRSYGAPGSGAAVTRRLLPGEGEDMDVASGGAAAGGGRGAPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVEATTGDSLFRKLDALEIEEAAGVCLAVVASAATFAWVSSARGRIGRMLTLGCNSFVDALIDNVVDALFSDGQLQDWSDDV* >Brasy9G080700.1.p pacid=40063613 transcript=Brasy9G080700.1 locus=Brasy9G080700 ID=Brasy9G080700.1.v1.1 annot-version=v1.1 MSNKADLESPRGRKVKRTGKGAQGGALLPPARCPPASKRERHCRVSSSPAAALHRQRPQINRELGVVFPEVTKVGKGNCERSWANEGK* >Brasy9G325800.1.p pacid=40063614 transcript=Brasy9G325800.1 locus=Brasy9G325800 ID=Brasy9G325800.1.v1.1 annot-version=v1.1 MELYPGYLEDHFNIHKLSGAGTSPPEYMTSASAQFAPAPLRMGMYERPPPQQQLPPPVVGLWNSVDTTYKVDSGQATSGSTIMEADTKFDDPELEEVPGMDELEPARDVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRIKLAQLEQELQRTRQQQGVYPPGHPGMGFGVGGGVPMDSGVAAFEIEYGHWVDEQNRHTRELRGALQPGQQTTELELRMLVETGLGNYDHLFRIKNLAASADVFCVMSGMWRSPAERFFLWIGGFRPSEVLKVLRPQLEPLTDQQLEQVYHLQQSSTQAEDALSQGMERLQQTLADALTAAADPFASGMNDAIAKLKGLVCFLHQADHLRLETLQQMQKILTTRQAARGLLALGDYFERLRALSSLWAARPRESAIS* >Brasy9G339100.1.p pacid=40063615 transcript=Brasy9G339100.1 locus=Brasy9G339100 ID=Brasy9G339100.1.v1.1 annot-version=v1.1 MGGAASSSAAAGSNSAEAHELESLASGSLALPLLRAVFSRSSDLPSILSPPPAAFRSASSPPEPPPPPHFHDLLAGLGSAIASLFFDHGGADRGDWLGFLRGFNACCARARSSLPIAQLLRVYAAACAGAGVPCGVQFQPGEDEDDGGKVVGELAPAEIAVLLWMCWVMAWSGSAAPRVSPGEEVGKGEPTVVLPDVTHLVLSALVSAGAVADDAGVWGWEVSGAAKGVKVQEFTSWVISTVPGLGNCLSRYVQDRFRACQVDPVKESSVSTGITTSETSDVYVLTRGRAWAISLSLRNKLSEKFLSASVFGMDPEDLLYRSSVHGKGLSRFWSCVEGYKGPALILLSAFSNGGGANVDADRRWGIGALTEEGFENKDNFYGSSGYLCATYPIFRMLLPSGMEKNIMYCHLHTQVRAYEAKPKPLGLAFGGSIGNERIFIDDDFSKVTIRHHAVDKTYQHGSLIPNQGYLPVEASILDVEVWGLGGQKTKRQQDVYKKREDIFSGQRRKIDLAAFGNWEDSPEKMMMDMVSDPNKVQREER* >Brasy9G301700.1.p pacid=40063616 transcript=Brasy9G301700.1 locus=Brasy9G301700 ID=Brasy9G301700.1.v1.1 annot-version=v1.1 MSSDGGPTVSVKLFIDKEKKRVLFAESDKEFVDVLFSFLTLPLGTIVRLLGKQSQVGCLDELYRSVEGLSEDLFRTKACKAMLLSPVNAAAINCNQLKVKVHDSNPVYSCKNTSCEYSLFSLVPDAICCCGHVVQYIKQWTNKDCHPPIVGERKEKGVFAISVPKFIITDDLQVAPASTRVMFSLINKFGIPEKGNIEEKVLQLNSGKMTSLLRRALLTKQPLTGLYLDVDIAPNCTDLCKNPEDLLTNQAAEIDPNFKAIKVRLVETKNDSSVMYAEVGQDFVDLVFGLLITPLGTLLKTFSQLTLNG* >Brasy9G352000.1.p pacid=40063617 transcript=Brasy9G352000.1 locus=Brasy9G352000 ID=Brasy9G352000.1.v1.1 annot-version=v1.1 MGGDWQELAQAAVIGLLFAFFAAKLISVVVAFKDDNLRITRSPPPAAPAAPSSPGDPPPPASLDSGGDGSSDSDGDWEGVESTELDEDFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMEEYITIVDDLFPNWAAGSSAKRKDGDSTMSASGSKGPMGPVFSSLMYEEDQGNETELGDIHVSAREGAIDDVKKHLAAGVEVNIRDSEERTPLHWAVDRGHLSAVEVLVNSNADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADLQIKDGDGSTPQDLCSSTAWSFMNPAN* >Brasy9G277300.1.p pacid=40063618 transcript=Brasy9G277300.1 locus=Brasy9G277300 ID=Brasy9G277300.1.v1.1 annot-version=v1.1 MRPTDILPVHGNQNRRPSCRPLLALFLISCLAGLAFSVLYGRISLPDLTIEFSSQPAAARREGASAGPESAEGEEDGSVGTRWAVLIAGSNGYQNYRHRADICHAYQILKQGGLKDANIIVFMYDDIAHDPMNPRPGVIINHPRGRDVYAGVPKIAFNVDSEVHNLRTESLRQQYLLVKKRTEAEIENGRGSHVMQYGSLRLSDDPLYLYMGTNP* >Brasy9G117700.1.p pacid=40063619 transcript=Brasy9G117700.1 locus=Brasy9G117700 ID=Brasy9G117700.1.v1.1 annot-version=v1.1 MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYATELTRYNLGEDCPVFDDLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHSRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDIKDIGDREGKYYAINIPLKDGIDDTSFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFKIPLLVTGGGGYTKENVARCWAVETGVLLDTDLPNEIPDNEYIKYFGPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDQHTQDKQVHRDDEYYEGDNDNDHDDGAH* >Brasy9G355100.1.p pacid=40063620 transcript=Brasy9G355100.1 locus=Brasy9G355100 ID=Brasy9G355100.1.v1.1 annot-version=v1.1 MSTWRSSLARIELVVVVPGAVDTQPPSNSLARRSSPWPPAAAAVGFACKLLVTFAANPALVDLPGKPNHPEPAILR* >Brasy9G285300.1.p pacid=40063621 transcript=Brasy9G285300.1 locus=Brasy9G285300 ID=Brasy9G285300.1.v1.1 annot-version=v1.1 MKRLLVHGGRGMDYPSRSVVGKSSVLPGLGNNALPRYHSTEKHDDTVTGGEIGEKARTTAEEFLKIAKEKTDEVSEGAKETMQETKEAVFGESDDEKDKFKQRVEEGRYHQK* >Brasy9G215500.1.p pacid=40063622 transcript=Brasy9G215500.1 locus=Brasy9G215500 ID=Brasy9G215500.1.v1.1 annot-version=v1.1 MNILERIRGGGDKTTGTETPRKLEPWVEISESVSRLCCFDAGSRGGGISVKVIHDSRPIHDKLVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRIFADVLYDFGTALDFISPLCPQLFLEVAGLGNFTKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLSNVMGIGAGIGLSSTVCSTTQGKLIIGPLLSAVHLYGVVQEMRAIPINSLNPQRTAMVVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKVGQPLCRILSPQRVKQLRATYPNEKFLLSQKSNKTYMVLEQSATGEDALRGWLVAAFASELEKSGVGSRDSVLNEAYQKMEDVFPMFVSEVRSRGWYTDQFLDGNRSRIAYAKSQ* >Brasy9G215500.2.p pacid=40063623 transcript=Brasy9G215500.2 locus=Brasy9G215500 ID=Brasy9G215500.2.v1.1 annot-version=v1.1 MNILERIRGGGDKTTGTETPRKLEPWVEISESVSRLCCFDAGSRGGGISVKVIHDSRPIHDKLVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRIFADVLYDFGTALDFISPLCPQLFLEVAGLGNFTKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLSNVMGIGAGIGLSSTVCSTTQGKSGKVSSPAELRYREDLLFPNRLIEEAGSVKVGQPLCRILSPQRVKQLRATYPNEKFLLSQKSNKTYMVLEQSATGEDALRGWLVAAFASELEKSGVGSRDSVLNEAYQKMEDVFPMFVSEVRSRGWYTDQFLDGNRSRIAYAKSQ* >Brasy9G215500.3.p pacid=40063624 transcript=Brasy9G215500.3 locus=Brasy9G215500 ID=Brasy9G215500.3.v1.1 annot-version=v1.1 MNILERIRGGGDKTTGTETPRKLEPWVEISESVSRLCCFDAGSRGGGISVKVIHDSRPIHDKLVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRIFADVLYDFGTALDFISPLCPQLFLEVAGLGNFTKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLSNVMGIGAGIGLSSTVCSTTQGKMSNFSLRGRCMSFDDRGRTFLLLPRI* >Brasy9G150000.1.p pacid=40063625 transcript=Brasy9G150000.1 locus=Brasy9G150000 ID=Brasy9G150000.1.v1.1 annot-version=v1.1 MTSVAHSLFLSCERRLWNLKMVCLAQLGHSSNICLKKQNQVHLHHLRVF* >Brasy9G204100.1.p pacid=40063626 transcript=Brasy9G204100.1 locus=Brasy9G204100 ID=Brasy9G204100.1.v1.1 annot-version=v1.1 MASEEAESTHVVLFPFLARGHIPAFLRLAGLLHELRPGITVTLVSTPRLLGSLSLPATSPIRLHALPFVPADHGLPPGAESLADLHLHQFITFFQASESLRPAFQGFVSGIRSPVCVIADAFFAWTADVARACGAFHAIFLPGGAFGNAVFFSLWEHLPHTLAAGDEFPLPDFPGVVLHLTQVPRHMLAATGDDPWTAFFRRVIPCCRKTDAVLVNTVRELESSGLDMLRRSFGVRTYPIGPILAASNSTESQEDASIIQWLDAHPRRSVLYISFGSQNSINADQMTELALGLEASGRPFLWALRPPLGLDATDGFKPEWLPAGFEERTAQDKRGFLVRGWAPQMRILAHPSTGAFMSHCGWNSILESLCRGVPLLGWPLGAEQFFNAMLAVEWGVCVELARGNLESSEVASGAVAEAVGTVLGETEKGGEMRRNAGAIARAMAGAWEGRTGSSAVSLEGFLRCVETSIVR* >Brasy9G268900.1.p pacid=40063627 transcript=Brasy9G268900.1 locus=Brasy9G268900 ID=Brasy9G268900.1.v1.1 annot-version=v1.1 MRLKIFRCLCVQLAIPLLLLTQTSSTETSAHANDTGSCIASERSALVRFKAGLSDPENRLWTWRGDDCCRWKGVHCSRRTGHVLKLDVQGSYDGVLGGNISSSLVGLERLRYLDLGGNSFSGFQITEFLPCLHNLRYLSLSSSGFAGRVPPQLGNLSNLRYLSFGNNPDTYSTDITWLSRLSSLEYLDMSSVDLSTIPNWLPAVNTLASLKVLVLTSCQLNNSPDSLLHSNLTSLEYLDISFNPVPKRIAPNWFWDSTNLKHLDISWSQFSGPIPDGLGNMTSMVELYLSHNNLVGMIPSNLKNLCNLETLYIHDGGINGSITEFFQRLPACSWKRISALDLSNNSLTGSLPTKLQESLTNVTSLLFSGNKLTGPLPPWIGELAKLTALDLTNNNLDGVIHEGHLSGLASLEKLLLSGNSIAIRVNSTWLPPFNLTMIGLRSCLLGPKFPLWLRWQTPIYLDISNTSIADMVPDWFWIMVSSLDSVTMQQNKLSGFLPSTMEYMRANAMELSSNQFSGPMPKLPANLTYLDLSRNNLSGLPLEFGAPQLEVLLLFDNLIAGTIPPSLCDLPSLKLLDISGNRLTGSTPDCLVNGSTTKTKSLSISNLNLRNNNLSGGFPLFLQNCQQLIFLDLAHNQFFGTLPSWIREKLPSLAFLRLRSNKFDGHIPVELTKLANLQYLDLSNNNLSGGIPKSIVNFRRMILWKDDELDAVLNFEDIVFRSNIDYSENLSVVTKGQERLYTGEIIYMVNLDLSCNSIAGEIPEEIGALVALKSLNLSWNAFSANIPEKIGTLVQVESLDLSHNELSGRIPTSLSALTQLSHLNLSYNNLTGEIPSGNQLQALGDQESIYVGNPGLCGPAISKKCQGNESIPATPEHHGDARDTVSFFLAMGSGYVMGLWAVFCTFLFKRKWRVCWFAFYDSMCNWVYVQVAISWASWTEKWAETDFNSTRH* >Brasy9G324000.1.p pacid=40063628 transcript=Brasy9G324000.1 locus=Brasy9G324000 ID=Brasy9G324000.1.v1.1 annot-version=v1.1 MAEAPWRPSLSPCTSLSPRAPPPSATSTLQLPSPRASTSRARLPGDRSASPLHHAAPPAPGSARAPRPPPGAASTSHARACAARRQAPSPPSAPFLQRAARPAPPRARPSSSASATSRAPAALAPPVARACASPLASPPAAACCSPGPARATPLLAHLPPAQLDPQVQSKPSKQPVVLLLLLLSAAVLFAAAPRRAVLLLHVVLLLHFVDAHEDHIALEMKP* >Brasy9G141600.1.p pacid=40063629 transcript=Brasy9G141600.1 locus=Brasy9G141600 ID=Brasy9G141600.1.v1.1 annot-version=v1.1 MSSQGFGPGSPKSFRYPRADYDLESGIPRKGRKPKNPHLDSPGALSSVLMKIRIFYEAHPVAVALILLSFGLSVLILLSVYETRFRAMRSGINEVGSYPFPDLRNLVMVAGHSIYTSASCGKLDREDSWFLEPYQKHPGQAATFLAHIKEGVDIAARDQGALLLFSGGETRKDAGPRSEAQSYWAIAESKGWFGNDESVRSRSLTEEHARDSFENLLFSICRFRELTGTYPQNITVVSYDFKEERFAQLHRSALGFPEERFFFTGTPATPTAREAAVKGEASVRSQFQEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKSLFSYCGPVPYSGNLPWAQ* >Brasy9G132600.1.p pacid=40063630 transcript=Brasy9G132600.1 locus=Brasy9G132600 ID=Brasy9G132600.1.v1.1 annot-version=v1.1 MADDKKELSLNPSARGSSVPMTGGEEFSLQPCTQAKSMGFSLEPCTQAAEEACTGDQGAHKRKAPTSGLDGCAPKRTGEEVSEEVPWQAVDWLLEEEDDDLDYDEEFIEKIKARYAADVQKLFGSNPNVVWVDFPPCCVRPPGVVFPMPGNHRPDGQNDAAKEDEEFGGAVDDVVTPPASA* >Brasy9G099900.1.p pacid=40063631 transcript=Brasy9G099900.1 locus=Brasy9G099900 ID=Brasy9G099900.1.v1.1 annot-version=v1.1 MAGAGTSKGTADAVEKWMAFPAGAEEETTGGFTLPMPPLSPPGGKEIVEEPGSSGNQQQQHGWWPKPPAEQRGSGSSGKPAAEPRGSGVGSSKPSMEGRASYESLPRVSQELKDALSNLQQTFVVSDATRPDCPIIYASAGFYTMTGYSAKEIIGRNCRFLQGAETDQKEVSKIRDAVKAGKSFCGRLLNYRKDGTPFWNLLTVTPIRDDQGKVIKFIGMQVEVSKYTEGLSDKCMRPNEMPVSLIRYDDRQRENAMSSMTEVVQTVKHRKADTPEMMMMETPKASNADKDSSRMVVAGSSPLVTPATPSGGGLKSPLWDLKKEESRMSRLMSGRKSGRTSLMGFKVGKRSSVGSREPPVVEAPEVKMTVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGAETDQTTVDKIRDAIREQKEVTVQLINYTKSGKKFWNLFHLQPMWDQKGELQYFIGVQLDGSDHVEPLRNRLSETTEIQSAKLVKATAGNVDDAVRELPDANLRPEDLWAIHSLSVSPKPHKRNNSSWKAIAKIVETGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRAIIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAALDKQPLKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTSSKPHVIKHAASLKRRRSKEFLPPSFVADPSTPSNSFVGTEEYIAPEVISGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRRKTFYNILHKDLTFPSSIPVSLAAKQLINGLLQRDPSSRIGSNTGANDIKEHPFFEDIYWPLIRCMSPPELDVPLKLIGKESQPKLNPVEGVLDTF* >Brasy9G194300.1.p pacid=40063632 transcript=Brasy9G194300.1 locus=Brasy9G194300 ID=Brasy9G194300.1.v1.1 annot-version=v1.1 MAGLKPGVNPFAFLDRSDPGDKRLADLDAAIAAETKAAAQLRRERPCPIKAKNVTPAAAGESVSTDYTYTPPQPTGPVLFGRAYPSARDYIIRQNYLDKQAKASKANGGVSAGDVQGLLQMITTRPRAERSAVDIPRTRPLHCPSRRRRLPCRPLLRRRRACMTPTSSPP* >Brasy9G240300.1.p pacid=40063633 transcript=Brasy9G240300.1 locus=Brasy9G240300 ID=Brasy9G240300.1.v1.1 annot-version=v1.1 MRPDARLDSAVFQLTPTRTRFDLVLIVNGRKEKIASGLLKPFLAHLKAAQDQIAKGGYSITLEPNSGVEAPWFTRGTVERFVRFVSTPEVLERVTTVESEILQLEDAIAIQSNDNLGLRSVEDHGGKLTESNEGSRSKHDMDADKAIVLYKPGSESMPAMQDETTAHEENSKVQLLRVLETRKNVLRKEQAMAFARSVAAGFDIDSLGYLIAFAERFGASRLMRACSQFIELWKQKHETGQWIEVEPEAMSTRSEFPPFNPSGIMFMGDNMKQNMEPLSVPNGETNGEDAAKADQKSGQHAGYQAAYPPWAMHPPSGAAVYPPYPMQGMPYYPGVNPYYPPYPHVDDPRHHYPGRRSSRKHSSDSKDSETLEDGSDDSSSERGSSHGHKSHKKGKRSGKKKPSVVVIRNVNVTSKKHRSSESESQSSSDAGSEDTDDSHPKSRDGKHKSSSSKKNSGRKTAMGSGDEYIKDETSYGQDADQGNWSSFQNFLLRAEEKTRSNDADLFSSEKEPPSSRKKNVNNADPIVLAGRNSDDFHERGAVGFDSANGRSRGIRALSNDELVMSGDGRSYMDGEMKEIEAAGGAYRRGTSDDFMVYGQGRPVDRRSSLDPLAEARYRSPTQVDKNGYGATDESFIIPVRSDSHDNVGPESRTAIDIDVELPYSIQKKTDKKSETQLFYEPDQLLPERGFDDASFGYDPAMDYDSHMQVQTIVKVEDISAEDASPSIDNDTKKQEKQKPRNVKDSLDKRKKEALLRRLSAPKTPLNDAQKRAQNMRAYKADLLKLKKEQEEEQMKRLERLKLERQKRIATRSGKDSPKASKEHANGLSKSVPSFTGVKKEKGGTAEPLGERLKRLSEPKSLAGTDHPSNPKSITADHSRRRSMA* >Brasy9G066300.1.p pacid=40063634 transcript=Brasy9G066300.1 locus=Brasy9G066300 ID=Brasy9G066300.1.v1.1 annot-version=v1.1 MASLFRARRRRSPEDDGEEDGEEDDRSGAGRGKRRRLSPEEAALTAVAEEAAAEGKSPGWLSSTIVTGAKRVITSVTSVLFSSQEETASAEEEEEEEEEEEDGEEESGSEDARDTHGAIVPYSESKLAIEEMVTKETFSRDECDKMVKLLQSRVVDSKFPEAYEYGTPKEIPTRNASAGHDFTGAWHSLNCNRNIPESSPFSSTGCRNFSPASPLHASPELCNAAVTEANKWLEEKRQGLGPKPENGPCTLNTDMLNSVSYSLQLLGDWHD* >Brasy9G333300.1.p pacid=40063635 transcript=Brasy9G333300.1 locus=Brasy9G333300 ID=Brasy9G333300.1.v1.1 annot-version=v1.1 MAAFADTASRKLLRGDAAAGMSFGLDGLWQLIAGLLGSALRLLDMPLVALWHLLQTAVGGVAGLAILPFQALWHLLQSTAAGIGACFDGLWHIVPSFFANLAATAGSAAHLLATPFEALWHWLQAAAHYIHGFFPSLLATLIPALEAFWQWLKTAAAAALPIVLLIAALLCLVAIAWFFGPFLCAALEALVSVTCSCARCVCVPFVAIAKGLGVLDRAVLAFGRHGGRQGAGVRPPCLQLLLRLLCECDHEGPGSSGFPDLTRRVRGGPEDVLPDPPFRWRSRGCCRLQRPRRRLGGRRSFPFLMLLSHCVI* >Brasy9G110600.1.p pacid=40063636 transcript=Brasy9G110600.1 locus=Brasy9G110600 ID=Brasy9G110600.1.v1.1 annot-version=v1.1 MMSSALRTPARHTYTLRKRRHTTPVPPVTDEAATSPEIDVSPYHELSDHSDGIPTDCNSTSKGPGRVCLHNRPAGADLPHPDASSAAEARQKRISSTSKARQLRLCHRRLSSAIQNDRDAASASHTMPPPSSSHASLLLALAEASVSHPDETNCSIADEENENSKMRKELGAGQGGKGQETVVEGRPARETSFKMDSKVLDCNICFSPLKPPIFQCEVGHVLCALCFEKLPHKCVICCKRTGYSRCFALEQFINAIRAPCSNTKYGCDEFITHDQKEKHESLCTHAPCFCPEDGCSFIGSTVSLRDHFVTKHGWLQTNLQYKKTLRISIARNRRFALFVGEDLSMFLLINILTDIGNALTLVCIRPQESEPSYSSKISAVHRATAAEGRLVFQMDPLIASSALLGGVQLGKFFLLVPPELVDESKDELTINIRIDKLASKSG* >Brasy9G093400.1.p pacid=40063637 transcript=Brasy9G093400.1 locus=Brasy9G093400 ID=Brasy9G093400.1.v1.1 annot-version=v1.1 MAWRASLSRSVKEIRFLFCQSSPASAPAREFVKKNYADIKTRNPSLPFLVRECSGVEPQLWARYDMGVERCVRLGGLTEAQIDKKLEELAKAG* >Brasy9G105700.1.p pacid=40063638 transcript=Brasy9G105700.1 locus=Brasy9G105700 ID=Brasy9G105700.1.v1.1 annot-version=v1.1 MSTCSTANSAHSRSHGLLATPRPHCNLQDAINQRCAACLERQLNHLERQWDEHKTIRRTAAARPRHRRSRSDTTPSSAVFTGAGPGTPGLFPILRCSPRKLLSSLQQAASSGGGGGASAGAGAVVTVGDGIGNGKRSRARRYEEDIQDASSVCSVDAVVAMAAASSCSCSCECTIPRCPCGYSCGGGYGYGYSSVSSCSGSTAATSLFSLRDGAPAAVAGGTRKREGGRWTACGAGRFAAIAVVRVAVLGAMAVAVIEFGMDDGRMEFLVPT* >Brasy9G164800.1.p pacid=40063639 transcript=Brasy9G164800.1 locus=Brasy9G164800 ID=Brasy9G164800.1.v1.1 annot-version=v1.1 MQAPSARDNLYHGLPITVKSALRARLQSCNTEEERTVSQIKAEMQKTLRWILPVAENTARLLYVG* >Brasy9G164800.2.p pacid=40063640 transcript=Brasy9G164800.2 locus=Brasy9G164800 ID=Brasy9G164800.2.v1.1 annot-version=v1.1 MQAPSARDNLYHGLPITVKSALRARLQSCNTEEERTVSQIKAEMQKTLRWILPVAENTARWVRF* >Brasy9G196300.1.p pacid=40063641 transcript=Brasy9G196300.1 locus=Brasy9G196300 ID=Brasy9G196300.1.v1.1 annot-version=v1.1 MGASSVLLLLLLCLLGFCFAVSHQKSDSCAGDLQVSNLVPFDSSAFRCITLWKQEDFILRYKNTAPNKWSFVLSAPDKGSFVAVGFSGKGLMIGSSAVVGWAAASSSGGDGKGKGGVIKQYYLQGRTPEDVTPNEGRLAMVRNRSALVSHSGRLYLAFELSTDRPQPHLIYSVGYEGFVPSSDSKLQMHRDMGSRSFNYTSGLGSNGDAVTDSFPAERWHGLLSMMGWGVLLPVGMMAARYFRRQEPYWFYGHMAIQGLGFAVGIVAVILGFRLNEDGLKNIYVHKAIGIAILSMTSLQVTAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNIFLGLSIAQELSSYIVSYGVFVAVWVMAIAAFEIKRWYDDDDD* >Brasy9G344400.1.p pacid=40063642 transcript=Brasy9G344400.1 locus=Brasy9G344400 ID=Brasy9G344400.1.v1.1 annot-version=v1.1 MEGRLAAVPELSIAGFTAPCPPRHVYRELIRSVVVPGRHNGQTLSSSQSAHTGSSYSSDSGASDSCAPNNSGCFSSSACASAADFFDAYELTKIAHQMASDGYTQRIVQAFDKASPVPASGYRGGPDRALENWFFELDVDWVLQIRNLQAMRLQLQHKSASWLQDLIERWVRALSVLVCSMTEVVFATYEMIAVARFGKASIAKMLVFIDVFLPALRAENLQAMLDMFICVSRSSHKFMLFRFAAPSEAEWIFRETGDLLWRQVNRLNEAISSKMEEMRTLMERDNDDWWAIEIKRGGGEVHRHTRLMVDCIVSMENARSSIENHAPIDKQPVTLGLLLSNTISYLKDLLSRKSELCSDPTLKYLFLLNNFHFMAQVCEPSNHQELVLTPECEKYMDSYLDASWGHVLSCIPKSNCPGLLLLWTNTSPLARFESVFHKTCQAQKFWKVPDPRLRDWLRKTIAERVISGYHNYLKEHPELEKQVSIASNSPDVLAEMLGEIFEG* >Brasy9G116000.1.p pacid=40063643 transcript=Brasy9G116000.1 locus=Brasy9G116000 ID=Brasy9G116000.1.v1.1 annot-version=v1.1 MAQYIYATATRCCCTPRVHPAIPLQAPAPLAPSSSRPLRVSPLRRRWAMGASDRLKVADARPRHAGPCAATVLGEPLPASDDLGLVHPSAEFAAQALVSSPQQYQEMYQRSIDDPAGFWSEIAETFYWKQKWSPDEVCTENLDVTKGPIKIEQWFKGGKTNICYNAVDRHVEAGDGEKIAMYWEGNEPDQDGKLTYSALLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRITDCKPKVVITCNAVKRGAKLIPLKDIVDASLVQSAKNGVSVGICLTYENQLAMKKENTRWITGTDVWWQDVVPNFPTRCDMEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTASTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLLYEGAPNYPNPGRCWDIVDKYGVTIFYTAPTLVRSLMRDGSEYVDQYSRKSLRILGSVGEPINPTAWRWFYNVVGNSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLFGDKDRYETTYFKPFSGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGIPYSDDLRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLIALSDT* >Brasy9G116000.2.p pacid=40063644 transcript=Brasy9G116000.2 locus=Brasy9G116000 ID=Brasy9G116000.2.v1.1 annot-version=v1.1 MAQYIYATATRCCCTPRVHPAIPLQAPAPLAPSSSRPLRVSPLRRRWAMGASDRLKVADARPRHAGPCAATVLGEPLPASDDLGLVHPSAEFAAQALVSSPQQYQEMYQRSIDDPAGFWSEIAETFYWKQKWSPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRHVEAGDGEKIAMYWEGNEPDQDGKLTYSALLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRITDCKPKVVITCNAVKRGAKLIPLKDIVDASLVQSAKNGVSVGICLTYENQLAMKKENTRWITGTDVWWQDVVPNFPTRCDMEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTASTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLLYEGAPNYPNPGRCWDIVDKYGVTIFYTAPTLVRSLMRDGSEYVDQYSRKSLRILGSVGEPINPTAWRWFYNVVGNSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLFGDKDRYETTYFKPFSGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGIPYSDDLRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLIALSDT* >Brasy9G116000.3.p pacid=40063645 transcript=Brasy9G116000.3 locus=Brasy9G116000 ID=Brasy9G116000.3.v1.1 annot-version=v1.1 MAQYIYATATRCCCTPRVHPAIPLQAPAPLAPSSSRPLRVSPLRRRWAMGASDRLKVADARPRHAGPCAATVLGEPLPASDDLGLVHPSAEFAAQALVSSPQQYQEMYQRSIDDPAGFWSEIAETFYWKQKWSPDEVCTENLDVTKGPIKIEQWFKGGKTNICYNAVDRHVEAGDGEKIAMYWEGNEPDQDGKLTYSALLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRITDCKPKVVITCNAVKRGAKLIPLKDIVDASLVQSAKNGVSVGICLTYENQLAMKKENTRWITGTDVWWQDVVPNFPTRCDMEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTASTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLLYEGAPNYPNPGRCWDIVDKYGVTIFYTAPTLVRSLMRDGSEYVDQYSRKSLRILGSVGEPINPTAWRWFYNVVGNSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLFGDKDRYETTYFKPFSGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGIPYSDDLRKSLVMTVRSQDFLTF* >Brasy9G116000.4.p pacid=40063646 transcript=Brasy9G116000.4 locus=Brasy9G116000 ID=Brasy9G116000.4.v1.1 annot-version=v1.1 MAQYIYATATRCCCTPRVHPAIPLQAPAPLAPSSSRPLRVSPLRRRWAMGASDRLKVADARPRHAGPCAATVLGEPLPASDDLGLVHPSAEFAAQALVSSPQQYQEMYQRSIDDPAGFWSEIAETFYWKQKWSPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRHVEAGDGEKIAMYWEGNEPDQDGKLTYSALLDKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRITDCKPKVVITCNAVKRGAKLIPLKDIVDASLVQSAKNGVSVGICLTYENQLAMKKENTRWITGTDVWWQDVVPNFPTRCDMEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTASTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLLYEGAPNYPNPGRCWDIVDKYGVTIFYTAPTLVRSLMRDGSEYVDQYSRKSLRILGSVGEPINPTAWRWFYNVVGNSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLFGDKDRYETTYFKPFSGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGIPYSDDLRKSLVMTVRSQDFLTF* >Brasy9G220700.1.p pacid=40063647 transcript=Brasy9G220700.1 locus=Brasy9G220700 ID=Brasy9G220700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGTGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G099700.1.p pacid=40063648 transcript=Brasy9G099700.1 locus=Brasy9G099700 ID=Brasy9G099700.1.v1.1 annot-version=v1.1 MALKHHPDKQAALLLHEVTEEAKQAKKDEIESHFKAIQEAYEVLMDPTKRRIFDSTDEFDDDIPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDATPVADVDQFYNFWYNFKSWREFPHEDEYDLEQAESREHKRWMERQNAKIQEKAKKVEYARVRNLVDNAFKKDPRIQRRKEEEKAEKQRRREAKYMAKRLQEEEAARAAEEERKRKEEEAKKAAEAALNQKKLREKEKKLLRKEKSRLRALMAPVVADNQFNLSQDDVETACTSLDIEQLKKLCDSMEDKDTTEKARLLRGALSKESSPNTSKEKIQANGVEGSTPKPMPMGGKVPQGNALSGYEKKERPWGKEEVEMLRKATQKYPKGTSRRWEVVSEFIGTGRTVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAPSIVSPLSTRDETVVLPAVGAGTEPSKAAAAQPAAAQPAAASSQTANEKTGADPVPDEAPSATDQDAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVAAMRSNFRTKKGAE* >Brasy9G039100.1.p pacid=40063649 transcript=Brasy9G039100.1 locus=Brasy9G039100 ID=Brasy9G039100.1.v1.1 annot-version=v1.1 MAGGGGVGRGFRGSEHGARTPPSLQNADWDELPPHQLCPHGVERDTRILSKDAACTERNTHGGGRRHLSGARLWLLVNAGLEASELRMALGSVAAAMDALPRSVVEGDSVEAGELAWSAVVRPDAGDPAAIVPARDMHAK* >Brasy9G066800.1.p pacid=40063650 transcript=Brasy9G066800.1 locus=Brasy9G066800 ID=Brasy9G066800.1.v1.1 annot-version=v1.1 MAQAVVPAMQCQMGVRGKSAVRARPAAAGGRVWGVRRSGARGTSGFKVLALGTETTGVVPRLEQLLNMDTTPFSDKIIAEYIWVGGSGIDIRSKSRTISKPVADPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVVCDTYTPAGEPIPTNKRHRAAQIFSDPKVASQVPWYGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACIYAGIEISGTNGEVMPGQWEYQVGPSVGIDAGDHIWASRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKAMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASISDFSWGVANRGCSIRVGRDTEANGKGYLEDRRPASNMDPYTVTALLAETTLLWEPTLEAEVLAAKKLALKV* >Brasy9G099400.1.p pacid=40063651 transcript=Brasy9G099400.1 locus=Brasy9G099400 ID=Brasy9G099400.1.v1.1 annot-version=v1.1 MYADQISTGRKRSVHERLDSDLPSGRGGADAAGRARHAVSKRQRQADEKWKHDLYREDDGSASKSIDPRDLRFKLQRKSSQQGFAGQKGTGVRDLREMLSGTMHPQPSNADPRKAKPVPEVVKVTRRENVDERPVRQSKKVSKPSSSKKASQPKAESPLDSFLKSLGLEKYSITFQAEEVDMAALRHMSESDLKALGIPMGPRKKITLALESRA* >Brasy9G225100.1.p pacid=40063652 transcript=Brasy9G225100.1 locus=Brasy9G225100 ID=Brasy9G225100.1.v1.1 annot-version=v1.1 MEGAVSRPPNTRRIAVVTGGNKGIGLEVCRQLASDGVTVVLTARDEKRGADAVEKLKALGLSDVLFHQLEITDSSSIAALPDFLKTRFGRLDILVNNAAVSGVEHVQEVDTNEEKRLEWVLKNIRDTVDGAKKSVQTNYYGTKQVIDALLPLLQPSTEGRIVNVTSAYGLLRRINNEETRQELDDIENLTEERLDELLDKFLKDFEAGALEMHGWPLGLAAYKVAKAAMNAYSRILARRNRALHINCVHPGYVKTDMSMSSGVLTPEEGARSIVKVALLPDGGPTGVYFAMGQEASFV* >Brasy9G078200.1.p pacid=40063653 transcript=Brasy9G078200.1 locus=Brasy9G078200 ID=Brasy9G078200.1.v1.1 annot-version=v1.1 MGDHGAHEMQDMGADALEEARNEGNTETSNAKAFDPDVVMEDAMEEAAGEEVMDEKLPGGAPSVDAGSGSANSADVNDLINTFFSTPPGVETLSGELGGMDNQGEAEAPVFDNTPQCARKDSMNSAENNQGKNNTEAAANIELSDSSVEILGVRVYRRRKYSKKMYMSKALKKQAEMEEPARSEGASTI* >Brasy9G364600.1.p pacid=40063654 transcript=Brasy9G364600.1 locus=Brasy9G364600 ID=Brasy9G364600.1.v1.1 annot-version=v1.1 MHPRSRIRGREPPPPGSGGRYRRRSPPPSPARHHRRPPRDPLPPPQRRSSLERPPRRLEEKHPPPPPPPPPPPPHHAALGGDRRSRADVLLEAGRLAAHYLVAQGVLPEHLLHAREQDPNHVSSIPEPPPAGYVTRKQRDEPYPDDDPRWRRNERAGGGGDWARDSYNKGDDDRQSRVRSGWDRRSQSFDERRRHKDGGDVDRGGRRGHAYNEPRRPPMSRSYSHNDRRASSDDRRPSVDGRLDRRRRSRSRSRSRTRTRSYHSGGRRDSDSRAGSGDLDHKKVPQSRTVTGGDDNVGGDDVDKFPRDAKAPRSEVVVEADGGGSHEDEGMESEDRGQSEDHAQDDGTIHEDEEMESEYEEQEVCEDEEDDENDAVAGLNDAEAGEINATEQQLSNVDVELSHVDVAQPSEPVVEPTHMESQLSDVEGDMNAGVACRETCQIEPVANNSDCSDVRVEMEALPCEVEPDVADLTRDEQELPAWYGIFDLNVAGTQESCEMSDIPSDPPADHACDSLPDLVGLVSQPVYYDTSETQGQYEGECADNNQQSEDTQMLVNQGIGTYDLNDRILLNQRSDEHEQDNHQLVDEQMHLNNEETLLKQDADELEGHNHQIENEQMLQNQDPVVQDLDNYHVNGEQLLHIHAADEHPEDNHRIKNEQMLLHHVTGVHELDNCDLNSEQMLLHSDADKPAADGGQLEGSQMLLDQAADRQATLHNLGNGRIIDVINLEDDDEEQSDTREFLEPKSDVLRTDDALQGHMYSQDKQSSSSPDHPQTNIPATSSTVTQNFGNRWTGRGAVNAQGIPSDDDVLYGAFDKIPLEVINVWDLPSTELGKSL* >Brasy9G002500.1.p pacid=40063655 transcript=Brasy9G002500.1 locus=Brasy9G002500 ID=Brasy9G002500.1.v1.1 annot-version=v1.1 MSAAAAEDGEATLRHKTSTKKKKAKHGDVEVAPSKPPMPAAPFRETPPHESPAPGTPTLEEATRRTKEQGKRKTREEQHAAARNPSLAMAMHNPSPPAAAQTAILGQEQQQVVTKKRKRRAPLPSEPTQTHSLQLQGTCRAPPQHDPAREEEAAAAMRTKERNMKTRMVMEQQLLAPSPAPSHTLVEGGVMSRKKTRKHQDQMSSPSLTAAVATPIFQQDAKGKNKQEQAPLPSIPGQIHLQETQAPPEQEPQAGGCKGKCTKHNNGRKYHVPVLSSRRLIRHQLLNRKEKPLPQGMRTFQHFVDNCTDSPPFTAYIEQFRCDPVRQDRKPALPRTPDSLAMLPPRGHPSVDSSQLTACEISGASTIKNSVASKTKQKMSGSGSASGSQEKLNVKIRKTTVMGTKKQRKKPPLLTSAEKRSDKYRRVPLDQLVPPPCSPHKLLQEKYASDPWKVIVICMFLNLTQGKQVRKIVDGFFERYPDPVSAFNADPKKMEAYLAPLGLQSVKTRNIQKLSKQYVEEDWKYVTELCGVGKYAADAYSIFCAGRATEVVPEDHKLVDYWKYVCLELPRMKEVFSTHKDLVNVEEAGVREQNNVSPSVGESVACQNGSLG* >Brasy9G002500.2.p pacid=40063656 transcript=Brasy9G002500.2 locus=Brasy9G002500 ID=Brasy9G002500.2.v1.1 annot-version=v1.1 MSAAAAEDGEATLRHKTSTKKKKAKHGDVEVAPSKPPMPAAPFRETPPHESPAPGTPTLEEATRRTKEQGKRKTREEQHAAARNPSLAMAMHNPSPPAAAQTAILGQEQQQVVTKKRKRRAPLPSEPTQTHSLQLQGTCRAPPQHDPAREEEAAAAMRTKERNMKTRMVMEQQLLAPSPAPSHTLVEGGVMSRKKTRKHQDQMSSPSLTAAVATPIFQQDAKGKNKQEQAPLPSIPGQIHLQETQAPPEQEPQAGGCKGKCTKHNNGRKYHVPVLSSRRLIRHQLLNRKEKPLPQGMRTFQHFVDNCTDSPPFTAYIEQFRCDPVRQDRKPALPRTPDSLAMLPPRGHPSVDSSQLTACEISGASTIKNSVASKTKQKMSGSGSASGSQEKLNVKIRKTTVMGTKKQRKKPPLLTSAEKRSDKYRRVPLDQLVPPPCSPHKLLQEKYASDPWKVIVICMFLNLTQGKQVRKIVDGFFERYPDPVSAFNADPKKMEAYLAPLGLQSVKTRNIQKLSKQYVEEDWKYVTELCGVGKYAADAYSIFCAGRATEVVPEDHKLVDYWKYVCLELPRMKEDLVNVEEAGVREQNNVSPSVGESVACQNGSLG* >Brasy9G288800.1.p pacid=40063657 transcript=Brasy9G288800.1 locus=Brasy9G288800 ID=Brasy9G288800.1.v1.1 annot-version=v1.1 MAKLLLPVMMMVMAFLAVAARPVAPGEEWAGEATGAGYSSVFRFIQQVYLQQLQGPGASHSCQTWNPNGGC* >Brasy9G221000.1.p pacid=40063658 transcript=Brasy9G221000.1 locus=Brasy9G221000 ID=Brasy9G221000.1.v1.1 annot-version=v1.1 MASRDAAATFQLYRPMSTSMPTPTPQTMTLPFSAEGADAVVPAATRKPAKAGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTVEWLLRQAEPSILAATGSGTTPAVFSCSSAPSASVSLLGKRPREDQEPAPSSFWEALQARPAMAWGLSPSQEAQAYASVAHQGHVQHHHLNLLSALSGAARPPEEESR* >Brasy9G296600.1.p pacid=40063659 transcript=Brasy9G296600.1 locus=Brasy9G296600 ID=Brasy9G296600.1.v1.1 annot-version=v1.1 MSQSNSLHHFLRHVSFPPDPHLLPSALKSCPAQPLARALHAAAVVSGLAGDPFVASSLLHSYIRLGATGAARSVFDRMPEKNVVGWSALIAGYSARGDAEAAWALLEQMRSAGVEPNVITWNGLVSGLNRSGRALDAVTALVRMHSEGFFPDATGVSCALSAVGDVKEVSVGEQVHGYVVKAGCRLDACVVTALIDMYGKCGRADEIVRVFHESSHMDVASCNALVAALSRNAQVSEALLLFREFLCRGVELNVVSWTSIVACCVQNGRDLEAVDLFRTMQSEGIEPNSVTIPCVLPAFANVAALMHGRSAHCFSLRKGFLHDVYVGSALVDMYAKCGKARDARTIFDAMPSRNVVSWNAMIGGYAMHGDAANAVQLFCSMQKCKQKPDLVTFTCVLGACSQAGLTEEGRRYFNEMQQGHDISPRMEHYACMVTLLGRSGKLDEAYDLINEMPFEPDSCIWGSLLGSCRVYGSVLLAEVAAEKLFQLEPGNAGNYVLLSNIYASKKMWDGVNRVRDEMKNMGLKKEKGCSWIEIKNKVHMLLAGDNSHPMMTAITEKLNQLTIEMSRLGFAPSRDFVLHDVEEQEKDDILAVHSEKLAVALGLISTRPATPLRVIKNLRICGDCHEAMKFISSFEEREISVRDTNRFHHFKDGKCSCGDCW* >Brasy9G348400.1.p pacid=40063660 transcript=Brasy9G348400.1 locus=Brasy9G348400 ID=Brasy9G348400.1.v1.1 annot-version=v1.1 MSRSSVPAPAAVLLLLVALAAAMATAAAAIEPQDERPLLHEEPQQDERPLLHEEPQDNNKRALLLDELVAATTDAARAQQLMPQIKEEPAAQMAWAEALTAVQTAEKKDGGARASLPASEAGTKPAISIQGGDPGSVPAASGGNGKESGEHGKEEGNGGSSKEGEKSASSGAGAGKSCLSKEECHKKRLLCGKGCTLSAHAKCAAKCSKSCVPTC* >Brasy9G243900.1.p pacid=40063661 transcript=Brasy9G243900.1 locus=Brasy9G243900 ID=Brasy9G243900.1.v1.1 annot-version=v1.1 MTKHLSMAMVNIFFCILLLSSLIPPPLVESSTINDGHDAPIVAEGEKTPDGHVSDGFGEIKRARPPQFAPPAPQPNEPRVPPSS* >Brasy9G331100.1.p pacid=40063662 transcript=Brasy9G331100.1 locus=Brasy9G331100 ID=Brasy9G331100.1.v1.1 annot-version=v1.1 MDRYRDKFKRLDEASPRSSVPSEVGGGSSLRFSMPRFGYASFNPLKSFMSGVRKGSGGLKSLRQSLTSGAPKTAFAEDLKSSNKNIFDPQEKFLLRMNRFFFSSCILAVAVDPLFFFLPIINNTVNNSNCIGIDKKLAVTSTVLRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIAPVLIAMRYIKSYFIMDFFALLPLPQIVVWRYLRISDGPDVLSTKNALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLTIEREDSCWRFNCHRENGCDTSYLYCSESHGVSNSYNSWSISSQVFNRCDGTNDDYFNFGIYKQALVSQILEPGNIISKICYCFWWGLQNLSTLGQGFTTSTYPGEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRALPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMQFILHGCLESVTTDGGRSGFFNKVQLKEGDFCGDELLTWALDPKSAANFPASSRTVKALSEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRSKTVPAIVRLPKPPEPDFGIDDAD* >Brasy9G331100.4.p pacid=40063663 transcript=Brasy9G331100.4 locus=Brasy9G331100 ID=Brasy9G331100.4.v1.1 annot-version=v1.1 MDRYRDKFKRLDEASPRSSVPSEVGGGSSLRFSMPRFGYASFNPLKSFMSGVRKGSGGLKSLRQSLTSGAPKTAFAEDLKSSNKNIFDPQEKFLLRMNRFFFSSCILAVAVDPLFFFLPIINNTVNNSNCIGIDKKLAVTSTVLRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIAPVLIAMRYIKSYFIMDFFALLPLPQIVVWRYLRISDGPDVLSTKNALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLTIEREDSCWRFNCHRENGCDTSYLYCSESHGVSNSYNSWSISSQVFNRCDGTNDDYFNFGIYKQALVSQILEPGNIISKICYCFWWGLQNLSTLGQGFTTSTYPGEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRALPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMQFILHGCLESVTTDGGRSGFFNKVQLKEGDFCGDELLTWALDPKSAANFPASSRTVKALSEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRSKTVPAIVRLPKPPEPDFGIDDAD* >Brasy9G331100.2.p pacid=40063664 transcript=Brasy9G331100.2 locus=Brasy9G331100 ID=Brasy9G331100.2.v1.1 annot-version=v1.1 MDRYRDKFKRLDEASPRSSVPSEVGGGSSLRFSMPRFGYASFNPLKSFMSGVRKGSGGLKSLRQSLTSGAPKTAFAEDLKSSNKNIFDPQEKFLLRMNRFFFSSCILAVAVDPLFFFLPIINNTVNNSNCIGIDKKLAVTSTVLRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIAPVLIAMRYIKSYFIMDFFALLPLPQIVVWRYLRISDGPDVLSTKNALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLTIEREDSCWRFNCHRENGCDTSYLYCSESHGVSNSYNSWSISSQVFNRCDGTNDDYFNFGIYKQALVSQILEPGNIISKICYCFWWGLQNLSTLGQGFTTSTYPGEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRALPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMQFILHGCLESVTTDGGRSGFFNKVQLKEGDFCGDELLTWALDPKSAANFPASSRTVKALSEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRSKTVPAIVRLPKPPEPDFGIDDAD* >Brasy9G331100.3.p pacid=40063665 transcript=Brasy9G331100.3 locus=Brasy9G331100 ID=Brasy9G331100.3.v1.1 annot-version=v1.1 MPRFGYASFNPLKSFMSGVRKGSGGLKSLRQSLTSGAPKTAFAEDLKSSNKNIFDPQEKFLLRMNRFFFSSCILAVAVDPLFFFLPIINNTVNNSNCIGIDKKLAVTSTVLRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIAPVLIAMRYIKSYFIMDFFALLPLPQIVVWRYLRISDGPDVLSTKNALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLTIEREDSCWRFNCHRENGCDTSYLYCSESHGVSNSYNSWSISSQVFNRCDGTNDDYFNFGIYKQALVSQILEPGNIISKICYCFWWGLQNLSTLGQGFTTSTYPGEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRALPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMQFILHGCLESVTTDGGRSGFFNKVQLKEGDFCGDELLTWALDPKSAANFPASSRTVKALSEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRSKTVPAIVRLPKPPEPDFGIDDAD* >Brasy9G350500.1.p pacid=40063666 transcript=Brasy9G350500.1 locus=Brasy9G350500 ID=Brasy9G350500.1.v1.1 annot-version=v1.1 MSTSGIGAKRVRMPSTAAADDVLSPAAKKPRSGGGLRSPSPSSPRSQSRSPARSPSRSPSRSPVPPARSQSRSRSRDRYSRSRSRDSRSASEEEVDGQVWRPHSYRERGEQGRGGGGEYSVCIGDYDQLFTCRACRRMLSSPVYECSAGHLTCSRCRREIGAGRCSRCTEPVARSRAVEGFVATISFACRNQEFGCEEFLPHREMRAHERACPHEPCFCPAPRCGFAGPAYALQSHLAAVHGWDVVPFRYGESFQVHAALAPETVFLCDDYGELFHIIVSREACGSALSMVCIRPDNACKQELTYELKLPAEAGGGRHRLQISSTVWNTSLRYGIGEGADVFLLIPDKLPGNEADRVVEVCIRKAEEPGATRI* >Brasy9G350500.2.p pacid=40063667 transcript=Brasy9G350500.2 locus=Brasy9G350500 ID=Brasy9G350500.2.v1.1 annot-version=v1.1 MSTSGIGAKRVRMPSTAAADDVLSPAAKKPRSGGGLRSPSPSSPRSQSRSPARSPSRSPSRSPVPPARSQSRSRSRDRYSRSRSRDSRSASEEEVDGQVWRPHSYRERGEQGRGGGGEYSVCIGDYDQLFTCRACRRMLSSPVYECSAGHLTCSRCRREIGAGRCSRCTEPVARSRAVEGFVATISFACRNQEFGCEEFLPHREMRAHERACPHEPCFCPAPRCGFAGPAYALQSHLAAVHGWDVVPFRYGESFQVHAALAPETVFLCDDYGELFHIIVSREACGSALSMVCIRPDNACKQELTYELKLPAEAGGGRHRLQISSTVWNTSLRYGIGEGADVFLLIPDKLPGNEADRVVEVCIRKAEEPGATRI* >Brasy9G174400.1.p pacid=40063668 transcript=Brasy9G174400.1 locus=Brasy9G174400 ID=Brasy9G174400.1.v1.1 annot-version=v1.1 MMQIQRRSISSTGRHTRNRKTVAPRPTPTYVQEPAATEGSESGGAGVDMGRIPCCEKESVKRGQWTPEEDNKLRSHIAQNGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPDMSAMAGAGMAMGAPCFPAQPPPPQQQQQAQDDTIERIKMGLSRAIMSEPAAAAADDKPWPQPGDMSEMYAAFGNNDPAPHAHAQEFRYEGAAAAAPGYLMGGDHQRTSMWSSHHSMYSGSSGTEGALQEKGNDSVGSSGGDDEAEDCKESGKGASDISGLFGSDCVLWDLPDELTNHMV* >Brasy9G107300.1.p pacid=40063669 transcript=Brasy9G107300.1 locus=Brasy9G107300 ID=Brasy9G107300.1.v1.1 annot-version=v1.1 MSVEYNMDEALRARGVAENKFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLGAFADEEDVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVLYDQKRTDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHNSTKHSYGSTSRTSSIPGTGHVGYQQDSTYDSYNSQSFQWNQYSKTTPAAGTNAYSTQASEKPRRKNEESYSYNYSATGNACGPEKTPSRRGRFAKRRRNSNDGYTAVDYSGDIKETVVASTETIAFTDVGRANGTSVEKLRSAVSVRRGNVLREISQIDTRSLLIEKAKEAIRGKLQDLNMAASSRFAEKRKSEGKVYPSDNNIKANGVLSGKPGKGLKQCSSISADTLVPVIATDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSTRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVCWSKGPRGVIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEEGLTVIPLLKVAGFKAVFHRHMDTKEVRTIPKGELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVKEDEAVQTAK* >Brasy9G107300.2.p pacid=40063670 transcript=Brasy9G107300.2 locus=Brasy9G107300 ID=Brasy9G107300.2.v1.1 annot-version=v1.1 MSVEYNMDEALRARGVAENKFHARDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLGAFADEEDVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKVLYDQKRTDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAAAAAEATTRPVGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHNSTKHSYGSTSRTSSIPGTGHVGYQQDSTYDSYNSQSFQWNQYSKTTPAAGTNAYSTQASEKPRRKNEESYSYNYSATGNACGPEKTPSRRGRFAKRRRNSNDGYTAVDYSGDIKETVVASTETIAFTDVGRANGTSVEKLRSAVSVRRGNVLREISQIDTRSLLIEKAKEAIRGKLQDLNMAASSRFAEKRKSEGKVYPSDNNIKANGVLSGKPGKGLKQCSSISADTLVPVIATDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSTRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVCWSKGPRGVIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEEGLTVIPLLKVAGFKAVFHRHMDTKEVRTIPKGELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVKEDEAVQTAK* >Brasy9G103900.1.p pacid=40063671 transcript=Brasy9G103900.1 locus=Brasy9G103900 ID=Brasy9G103900.1.v1.1 annot-version=v1.1 MAPAGFGAGSGHTRPGPGHPSRDPNPDPASGLKIYQTRTRVPSRVPAGPDPSPPPGTRQDSASVGSSTDVKARRAAFGVRGWRRRRGGGSLEEEAAKAQPRPRMMRRRAAVGVRGWRRRRGGGSLEEATPAQPRPRMMRRRRRTSSGGSKNEAAVGMGWFGNGIEVGEKPMAIRIGLGRGRGGIEVWMASGGS* >Brasy9G200200.1.p pacid=40063672 transcript=Brasy9G200200.1 locus=Brasy9G200200 ID=Brasy9G200200.1.v1.1 annot-version=v1.1 MSSHDNNTVYGRPADAPASCPGLAAAASSPARGLQTTATVTSSHILSRPHAAARAQTRSRTSPHSVGNRALATTHATALARLALPMARNSAALPDLFPRRTRRPASWRTRWRKSWCSWWPAASVRRPDARRRTAPSHAVAVKAKAASSARCVAVAGWSTGTEIQCPARGRAPTRTGQGRKWVSASRTNGVETAEELRRPPPPRERSPASAAA* >Brasy9G057200.1.p pacid=40063673 transcript=Brasy9G057200.1 locus=Brasy9G057200 ID=Brasy9G057200.1.v1.1 annot-version=v1.1 MGTNVKYRAGLVLIVAVVLIWVTSAEVTQGIFANYKQPFAITYLGASLMVIYIPLAFIKDFIYRLLTRHSGSSRASKVTSKSSFGGSAPLKNGEFQKMLEMESQKTVMINYTDVNLPELEETKPLICGIGECGDDVLKEQELSTKQIAIYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINVAKVIAVFVSMAGVAMTTMGQTWAADESEVSNSGATQRTLLGDMFGLMSAVSYGLFTVLLKKFAGGEGSEKVDVQKLFGFLGLFSLCLLWWLVWPLTALGIEPKFTMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTNPLVATLGMSLTIPLAMVADMIIHGRHYSTVYIFGSVQVFSGFVIANLADRFSRFIGL* >Brasy9G272000.1.p pacid=40063674 transcript=Brasy9G272000.1 locus=Brasy9G272000 ID=Brasy9G272000.1.v1.1 annot-version=v1.1 MAQPPAPLGVAAADLDDDGHPRRIGNLWTCVAHIITGVIGAGVLALSWSVAQLGWVAGPAAMLCFAAVTYVSALLMSHCYRSPAPGPGPDSPALDKARRRNYTYMDAVRAHLGPKHTYLCGFLQYVYLYGIGIAYTITTATCLSAIKKANCYHAHGRAAACGSDEAEQHLFILLFGAAQLALSFIPDFHSMAWLSAVAAAMSFFYSSIGLGLGLAKTVGDGAVRGTLAGAPMPTPTQKVWRVAQAVGDIAFAYPYTMVLLEIQDTLRSSPAPEGEGETTRKGNVVAVLVTTFFYLCVGCFGYAAFGHAAPGNLLTGFGFYEPFWLIDFANACIVLHILGGYQSGFVNRVYYVRIVPGLPAYGLNLQRVCFRTAYVASTTGLAVVFPYFNEVLGLLGALIFWPLVIYLPVEMYCVQRRIAPWTPKWDIIAF* >Brasy9G027500.1.p pacid=40063675 transcript=Brasy9G027500.1 locus=Brasy9G027500 ID=Brasy9G027500.1.v1.1 annot-version=v1.1 MPSKRGRKAGIPAGSDGSVEVGLNGVPVEPQVTEKPPPEPQATEKPPPEQEEVAMAAGKRAAKRGRKPGIPAASDCSVELGLNGVPVEPQVAEKPPPEPAAEVEDEDAEGVEGSQQSEEAGREQSDLHSSSKEQSEDEDESMEEEAEDGEESMEEEAAGESAPALQSPSKGKRGGKKPAPSARDVVAEKSPPPGDKRRRRNEEPVPSPSPNKRNMVTRSHQTEVPAPEEHEPEDKGHEPEDQEEHEPEDEGAGIIKSKKKKKKSKKMRKSAQEDNGPEDEGLQPEDPEEHGPEDEGARIVKLKKKSKKMRKPAPEEHEPEDQGAEVIKSKKRKSDRIKPAQQKEHGPEDEGHEPEDPEEHGPEDEGAGIIKGKNKKNKKMRKPAPEEHEPEEQGAEVIKSKKRKSDRIKPAQQQGEPGTSKVKRTRRQWAPNDEILILEQVINYRHTHGGYAPEVQDSSFFESLLKQLGDPSLELRTVKDKMCSLRRRYFKKKHKSSTEHGKRLDNLSEQAWGKLSQVGAKDSSGRKLSKKALRKHSRKDSKSFEEVCEMYPHLAQVVELIADIDPSAKRSFTRINARVACKLEKQLDVAKAKISQVRQTLAGVLAEDC* >Brasy9G352700.1.p pacid=40063676 transcript=Brasy9G352700.1 locus=Brasy9G352700 ID=Brasy9G352700.1.v1.1 annot-version=v1.1 MAAERLTRIAIVNEDRCKPKKCRQECKKSCPVVKTGRLCIEVSPASKVAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERGMKAELCVDLELNQVIDRNVADLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAARVIRSLLRTNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIVETQEAEEITSYQRYKYPTMSKTLADFKLTVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGHLKPDTVEGTEIEIPEFNVSYKPQKLSPKFQGSVRQLLHKKIRDTYTHPQFTSDVMKPLQIEQLMDQEVMNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVVVYEGRPSIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKSAGSYYYLDD* >Brasy9G088200.1.p pacid=40063677 transcript=Brasy9G088200.1 locus=Brasy9G088200 ID=Brasy9G088200.1.v1.1 annot-version=v1.1 MNPRGSIITVSDLHRMLYDEDMKPGAMPLSVLSKITNNFSEELIIGSGGFAEVYKGIVENDLVAVKKLTEESMDEKEYKRELECLLSVRHKNIVRFLGYCGDTQWDMRRKKGEIIKSNKEKRLLCFEYVPNGTLDGCIKDPSTGLAWRNRYEIIKGICEGLSYLHQINILHLDLKPGNILLGENEVPKITDFGLSRYFREDKAYVTATKEVGTMGYMAPEYTSKQITKKFDLYSLGVLIIEIITGKKGYQAVHEVLESWINRLDISQRNSEWEQIKVCTEIGIQCIDSDPANRPDSMKDIMDRLTEMESVHVIPAAGGQSQLLDVHPPVLYFPFESNKVIPCSLHLTNNTNEQVAFRLMDRGNKSGPGFVRLPLCGLVPPRSTYTLVVTTEERNELERRNICMILKITILGDEHIDKFQSGTLLEEMGNEVQEVKLIGVHTTRQEIMPSKLPMSPKIKILDIGKWYHQSLGQKCYEMQSFDTNHAKQWIITGGSQGHVGIWNYQTQKKVDSLRLSMYEDVICVKFIARRQCFVAMTEIGVIHVYNYEPKIRKITIMSAGYVHPYWRRINKNGVLSLTVHPTKPNLLSVSGIEIKLWDGDKGWECTQIFKVLGSRSGPCPVAFNRMDIIAIASDVNKVEIRNLDSPKNDYMLSGHSDEVNCLHFFTSGDQEYLVTGSDDKTAKIWDMEKKICVYTLGSLGSPAISAVVYQPNLQILMTGSNDGLIYLWSTTNSRICSRSPTLERIIDVRYGGALHGLACWMGRVVIEKKDAVAVLDVENKDYQEESMEYIEALLTGHTTSKQVMELLDIHPLELLFHRKPHNIRSLHLTNKSDEHVVFRVAKVVDDEDSDSWDYLPLYGTVHPRSTCRLIVITDQDNEIATEMDIVVQSSILGDKNMVLLARPSECHEFFDQAKELGHVVHEVPLKFVYTPTQQETIFEPVIAPTTTITSWWMNKDVNMPLKNTKDGRLQCLDAHPTEPWIVTGHCNGDACMWNCDTQL* >Brasy9G060600.1.p pacid=40063678 transcript=Brasy9G060600.1 locus=Brasy9G060600 ID=Brasy9G060600.1.v1.1 annot-version=v1.1 MAAASFVDEAKIMYVDAEFEERFSAFQAEVASALDEAQEPGFDLPRLSDLDWAEPIPGYAATTAAQLACTGELFRHRAEACAGRPGEEKLRYLAAWWDTRRAEAERFLPTARRLWDRYLRSMLEAAEAEPPEDVFVHMAARDFLNSSRDGVPWPQRADEVNAAARAAGRGARFAEKHARRAGMLRRAAAGFAGKPGEEKLVAVLREHAAWVEAECADAEGLVAKLVSSPYWRVWRHVNGPEPGVQLEANLLPTLGSFKILAQNLN* >Brasy9G203800.1.p pacid=40063679 transcript=Brasy9G203800.1 locus=Brasy9G203800 ID=Brasy9G203800.1.v1.1 annot-version=v1.1 MRGAMHAVRSDMILLENQIPLFVLDRLLGTQQGRPEQTGAVAALAVRFFDPLMPTDTPMHRKDRCMLESSVAASSASFDPLSEPTLHCLDVFRRSLLRAGLQPTPPAAARLWPKMAVLRGVVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFDKGVLHIPRVLIHDDTKPLFLNLIAFEQCHHMDVDATPGGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVAELFNRLCLEVVFDINDSYLSGLSDQVNRYYDYKWSTWVASLQHNYFTNPWAIVSLVAGVFLLLLTTMQTFYSAYSYYRPAA* >Brasy9G021300.1.p pacid=40063680 transcript=Brasy9G021300.1 locus=Brasy9G021300 ID=Brasy9G021300.1.v1.1 annot-version=v1.1 MVSSTLATMRCLLLLETDLRTSSVHNIRSLVHRRCFSLNVQIRSLCIFSSISAGGVSRAILFLPRSSRTVGVKVKKNFGSWKKLDKEDDHSSTRPTSSQAIVGPSRQRFTVGINPQSPFPWQTPVPLSCSTPHGGGAAETSLAPLRRRSHAPPPRPPTPCGLPLSPVAARPELLVADGRSTHAVRGAEAPPLPMGRRGGTTRTEDRTAGAPDAAAGQAHARRWSAARTVTCSWFHLCLIPCCHLST* >Brasy9G052300.1.p pacid=40063681 transcript=Brasy9G052300.1 locus=Brasy9G052300 ID=Brasy9G052300.1.v1.1 annot-version=v1.1 MEAEEESISAAAMAEDAAEGARRQILALYRHGEVHGIVAVADTVAESARLGAIPVRARFRVASGPEWVDIDSVCDVRPEEACVEKQSAIKSGR* >Brasy9G221500.1.p pacid=40063682 transcript=Brasy9G221500.1 locus=Brasy9G221500 ID=Brasy9G221500.1.v1.1 annot-version=v1.1 MGCGSSRQVDGDGPRRKPGSVGDVVVFLPGLRAPRSVDFSQALAGRLDKSAVERLSALRARVVELAMQESAAALKPKRKTAARHGSTTANLLQALEDYLPVLLGLVKEGSELRHIVQFVWANQEDNAEETAMEDAWYEVLSVLHLMAMVCLLQANSLLLPRAYGDGYGPRVSEESRRATVDVFLKAAGYLDCAIRQVLPHMPSELRRQLPVDLAEGNLKGLSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQVQESIPELPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNSEKSHGMAIAALQASEEFLKESKRASEAFHATPPASRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQERVIGAPPPLPDFSLALTPEDYDLPPLDSVWNKDNHQ* >Brasy9G175300.1.p pacid=40063683 transcript=Brasy9G175300.1 locus=Brasy9G175300 ID=Brasy9G175300.1.v1.1 annot-version=v1.1 MQLMYDTWNGENPPVLFGYVPQLDKVCFASPALSGQVPFILSECLSSNRNLSILHLNFRTQMIWIKPESPHHLTPIFSKLRDVHLYGIFFECNLDWTMFILEGAPSVENFYLARHPCELSKSEDSAEKTNMVWESSKDLKHLNLKLLVMRGFEEEEKVMNYIRLVMARAVGLKRIELRDKDPCNRCKTIKLEPPRFAVDEASKHRIREHLTKGFSSPVQIIIG* >Brasy9G212600.1.p pacid=40063684 transcript=Brasy9G212600.1 locus=Brasy9G212600 ID=Brasy9G212600.1.v1.1 annot-version=v1.1 MAVSSRQYRFPAPGEEAEPARRSAGGSPRPSCGACGASAVASCVALCCCPCAVVSCFTLALVKAPYVAGRRWVRLRLANAKTRRARGAAALRNRKRVRSLEEEEDQQAAEGLAVARASKEWCELGRPAAASASGWWGSYCNTRHEAIISVAGEGRLRVSATEEAWMEMYEVGNWGFGRLSFSVAGDEATQEQVIRGDC* >Brasy9G170700.1.p pacid=40063685 transcript=Brasy9G170700.1 locus=Brasy9G170700 ID=Brasy9G170700.1.v1.1 annot-version=v1.1 MGTLLRRLLFSLALLLLAAAAAPGPVAAGRLSTSYYRRSCPRVEQIVSDVVAAKQRANPSTAAGTLRLFFHDCFVSGCDASVLVSPLSSDQTPERAAEINLSLPGDAFDAVARAKAALEAACPGAVSCADILALAARDLVGILGGPRFPVFLGRRDARRSDARDVEGNLPRTNMSARAMAVLFARKGITPQEMVALAGAHTVGFSHCAEFAHRLYNYGGADGYDPSLNPAFARALQSSCTGYDKDPTISIFNDIVTPRDFDELYYKNLPRGLGLLASDAALWEYGPTRVFVQRYADNRTAFFEDFARAMQKLGTVGVKTGRQGVVRRQCDILD* >Brasy9G162600.1.p pacid=40063686 transcript=Brasy9G162600.1 locus=Brasy9G162600 ID=Brasy9G162600.1.v1.1 annot-version=v1.1 MASPNVSDDEKSAPTLQQPPRPAMDRGKALADRHAAVVAAEEEPDQNEEPFSESESGSESIEIADLKTRMWKDQMLLMKLEGSSGGHDRRAGAQRPAAGSHLAQAQKDSETPESRYRRKAMLRAQDGVLRHMLRMMEACNARGFVYGIVDEAGVPVSGSSDSLRGWWKEDVGFERSGPLALTGPGTAHGSPASSSFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLGRGLPPPWWPTGQEVWWGLQGESQARQGPPPYRKPHDLKKVWKISLLSSVIKHLSPRFDQMRRLVWQSKRLQHRMSARDAETWSRVITHEEALDRQVQRSLQITPLGEEEDDDEDKESAVGDGPREAVRGLHVDKRKRQVVGNDESAGGNFDTGGGGEPLAALSGIDGVAEADRNSIDELMKMYYSCLQGTDGGEQDTKDVAALGRGGGEQGDAPAENAPLDADKVHDEMQLQGFLTIADVMDMNDFPSSPIWHWGSSDLD* >Brasy9G268000.1.p pacid=40063687 transcript=Brasy9G268000.1 locus=Brasy9G268000 ID=Brasy9G268000.1.v1.1 annot-version=v1.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR* >Brasy9G194800.1.p pacid=40063688 transcript=Brasy9G194800.1 locus=Brasy9G194800 ID=Brasy9G194800.1.v1.1 annot-version=v1.1 MTIDLSTMPGSSGLLDTAGRRDMNFFRNRYVLGLTGVAGIGGFLFGYDTGVISGALLYIRDEFPAVKDNLFLQETIVSMALLGAMLGAAGGGWINDVYGRKKSTLLADMMFALGSLVMCAAGGPYILILGRLFVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLVNLGFTEVPGTWRWMLGVAAVPACIQFVLMLFLPESPRWLYRKDEKAKAIAVLEQIYDSDRLEQEVELLASSSMHEFQSNCTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNRLALLLSLIVAAMNASGTIVGIYLIDRCGRRRLALTSLAGVVISLVILAMAFILQSSSILCGGMFLGSCQGTLGWLAVAGLALYIAFFSPGMGPVPWALNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSVVGWIGTGPTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELMWKERAWGRQGDRESLLSAAP* >Brasy9G110300.1.p pacid=40063689 transcript=Brasy9G110300.1 locus=Brasy9G110300 ID=Brasy9G110300.1.v1.1 annot-version=v1.1 MASPSAAAASSRFLSPLASSRTLLSSTLPTAATSRRRLLLSTTTTTICAAAMAVSSKAGSASFKVVDSHLHVWASPLQAADKYPYFPGQEATLRGDVDFLLECMDEAGVEGALIVQPINHMFDHSLVTSVLKKYPSKFMGCCLANPEDDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISSYIQEIEELCTDYPETTVIFDHMAFCKPPTNDDEEKAFSSFLNLSRFPQVYVKYSALFRISRESYPYEDTSQLLSRVISSYGANRIMWGSDFPYVVPECGYKGAKEAISHVLGNIAVSSSDLEWILGKTVSQLFHGAWVTP* >Brasy9G011300.1.p pacid=40063690 transcript=Brasy9G011300.1 locus=Brasy9G011300 ID=Brasy9G011300.1.v1.1 annot-version=v1.1 MGSSSATGKMHTPQLLLLPLLVVAFSSLDSHLAADDHGAQSIITVVGSVVSQATNAPFSGALVGIGCQDGDGQIVFLRQAVTDRCGGFRVHLNPSADTRRLASVKSCSVQLLQPRQPKSSNAAAPCDTAAATGSGDVVSIGAFAVRPDSVSSQNKGLFFPPNPLTPGPPKIGGVPIPPLTPGPPSILPPLIPGVPLPPLIPGGPPGSLLPPLPPLIPGVPSPPSSILPPVPLLPPIPKIPGIPQIPPIPLIPGGPPGSLLPPIPLIPGGPPGSVLPPSILPPIPKIPGVPLLPPIPLIPGGPPAA* >Brasy9G164700.1.p pacid=40063691 transcript=Brasy9G164700.1 locus=Brasy9G164700 ID=Brasy9G164700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G046600.1.p pacid=40063692 transcript=Brasy9G046600.1 locus=Brasy9G046600 ID=Brasy9G046600.1.v1.1 annot-version=v1.1 MQHKKDDTNAGVADEPSLPGCTPVWQDTPSQSVDGTEEVTQAWNAGEQLERYEKEHAARVAKHAEEVRQYYMKFPKTKRDAPNVPADHTENAPVSTASDDDFEAPAPPTVKNKVRFAVAKRKISSVPSQESPCVVNVRRSPRIATSLGAVAAHPARSGEKVSGSKRRRTVDKSYVPDGEDDAADAGEGNVSRVLLCYLLMNMDTQTMKIDCGPGRVLTVNRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERNASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICLGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGYGVVPVVMYLESCHSKSHSVMHRLTPRANFLHEKPLKAIFSQDIIRNDKSNLLKFVFGKLPWKGRNDIAYSYNLPVEELQIEPSPELNTDDGPVPIDSSCHDANAPGFADMAANQDLMCAGFEREAVIIEKQIREEATDRVQESTEHVLGQDAAAAEEEARLAEESAAADATVEDGDAHAADHWEEEYYLQYREQKSTGDGDGVDSAQVDAPIAGAALEAESTVAEEPPLNVMEEAVGDDFDGPQGHRDGPAAESAVDNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVVDNSSQQVGAEVLTGAPTADVNKQSSDIPDGDGGIGCTPDFPPSINLSTQDSGKDP* >Brasy9G133200.1.p pacid=40063693 transcript=Brasy9G133200.1 locus=Brasy9G133200 ID=Brasy9G133200.1.v1.1 annot-version=v1.1 MNDLIRLYKKAFLDGNDDVVSDIEKAITSMEKEKSKAASQFESITAEIISGKSKFLRLNADLENFRKQTEKDRAKFTSNIQVELVQSLLPLVDSFEKANLELTLETDKEQKISTSYQGIYKQLVETLKGLGVGVVETVGKPFDPLVHEAIAREESVQFKAGIVSHEVHRGFLLRERVLRPATVKVSTGPGDESTNALPTEQSVEDTQEDAVV* >Brasy9G352500.1.p pacid=40063694 transcript=Brasy9G352500.1 locus=Brasy9G352500 ID=Brasy9G352500.1.v1.1 annot-version=v1.1 MACKSDLAGQLQDDGSIHMNVVAAEYEEGSEEEEEPYEREFYDDDDGDDDEPETEVVDPCDDTLPEGEKANGEEPCDGATPPEEEDASVEEPFDLEFCDEEEDGGEQDSFHVEPFTDKPDNVHHTHRNQLYNVDPCDDLLAREELCAKKRFSAGAMQAVKKEQNKQEVLKRALRKGCSNEHKVLPATDEMEMKPFKKRLSVRFATDVSCYTYNTESFGAGKLEKRKAQFDDQDKHLGKRQEHTRSLSQEGDKLKGVDSTNLYVGNLPASVGSHKLIELFLPFGRIVRSKVADECFTGLSKGYGFVKYDDPHSATAAINRMNGRLVDGKTLEVRVAGIPPSGSNPSMQSVSESYSQPSKEIDMSSLYVCNLSLSMTKEELLQHFIPFGKIIDAKVPRDYATGLSKGYGFVRYSNSHDAANAIIHLNGHLVEGKKMEVRVSGVSPALSNSAVESRTDARLIKEIDMANLYVCNIPTSIDTKKLVELFLPFGKITHARLAPHQGTYSGIGRYGFIKFADSQCAAEAITLMDGALVEGETLVVRVAGLSSSASSSAVHGSPIPSPEINKSRLYITNLPRSTNADMMVKLFVPFGQISKVVMNLEYSLVYYADVASAVKAIKHMDGYMIGGKRLVVRRSDSCPTDAAEHASTQSLGKHVKEIDMANVFVGSIPSTLTGDQLVELFRPFGQIVQVRMFQHQGYGMVRYNDPLSAAAAIDRMDGYQIGGSILVVRVAGLPNPGDFSAATDDLKLQLPGNEERQIDMANLYVCHLPLYITTEKLIEIFLPCGKITQARVVTDRYTGISKGFGFVRFADTYCAAVALTHMNGYPLEGHILEVRIAGVHPSDMGSYMTQIYSQFTFPDPSTMAVGIPTSYWPYYGAESVYSMSVENQGQGTASQTDAASQTSQREGLPESVSASSIAEKDCPSMSSHAADSSQPQSSAGWAGPPGFESHAVCSQPPSVGWAGPPGFEPHAIPKKEPGTAMNPSQPCSKVHLAQSEGGQKRRSIV* >Brasy9G231900.1.p pacid=40063695 transcript=Brasy9G231900.1 locus=Brasy9G231900 ID=Brasy9G231900.1.v1.1 annot-version=v1.1 MSMRDRRSAAAAMSPSLRLLGFLNHQLQQPADDGGQEELELDERDVVWSSSSSNSYSPSSLASSPSPTPSTGGTSHRWPLSSSSRAFPSGSAGLSALLADDEDDSQYRSPATEAIPAAARRDQKQHQMRPQPYHQSAPVAVPAWSKASAADRRRREAQQDQAEEEEEEDGEDESVVPPHEMAARRAAAAASMMEGAGRTLKGRDLRRVRNAVWRTTGFLDDL* >Brasy9G184700.1.p pacid=40063696 transcript=Brasy9G184700.1 locus=Brasy9G184700 ID=Brasy9G184700.1.v1.1 annot-version=v1.1 MPEYSRGPCAVCCPVAPSLQAKLPDTSMTMSTNPSHIMMVRHAKKYQDSEIGPSRQASDEDVALGTGLNEVTQHVVQTLFEDELEYKKQYPRLDLGNKAAPLLQVGINGFGRIGRLVARLVLQNKNMELIAVNDPLVSANNMTKALEDTSLGVFPVIKVLDVADPGKIPWDNSGVDYVVESTGHFADTVTASAHLKGGAKKVVICALSKEAPSFVFGVNEDNYRPHIDIISIADCATICLALLAKDPR* >Brasy9G284200.1.p pacid=40063697 transcript=Brasy9G284200.1 locus=Brasy9G284200 ID=Brasy9G284200.1.v1.1 annot-version=v1.1 MAGGGGGGGGGGGGGQKQDDMAPHPVKDQLPGVSYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGNNVDKAIVIQTLLFVAGINTLLQSFFGSRLPAVIGGSYTFVLPTISIILAQRYANEPDPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLIALVGFGLYELGFPSVAKCVEIGLPELILLLIFAMYLPHAIGMLKSVFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGSAPWINVPYPFQWGAPSFDAGEAFAMMAASFVALVESTGSFIAVSRYASATPLPPSVLSRGIGWQGIGILLNGLFGTANGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCVFFAYVGSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTRARWFNDMVNVLFSSKAFVGGVVAYVLDNTLHRHDSVVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV* >Brasy9G120400.1.p pacid=40063698 transcript=Brasy9G120400.1 locus=Brasy9G120400 ID=Brasy9G120400.1.v1.1 annot-version=v1.1 MGGLHDRVAWAWPVLLLLLLQLAGASHVVYENQFLETEAAAATVPPSIVDAELSSGYHFRPPKNWINDPNAPMYYKGWYHLFYQYNPKGAVWGSIVWAHSVSRDLINWVALKPAIEPSIKSDMYGCWSGSATILPDGTPVIMYTGIDRAEINYQVQNVAYPRNKSDPLLREWVKPGHNPIIVPEGGVNATQFRDPTTAWYADGHWRLLVGSLSGASRGVAYVYRSRDFKRWTRARKPLHSASTGMWECPDFYPVTVGGQQHGLDTSVMSSPRIKHVLKNSLDLRRYDYYTVGTYDRNTERYVPDDPAGDKRHLRYDHGNFYASKTFYDPVKRRRILWGWANESDTAVDDVAKGWAGIQAIPRKVWLDPSGRQLMQWPVEEVEALRGKRPVILKDMLVKQGEHVEVTGLQTAQADVEVSFGLPSLDLESAEALDPALADDAEKLCSVRGADVEGGVGPFGLWVLASSKLEERTAVFFRVFKAGRGKPVVLMCSDPTKSSLNPNLYQPTFAGFVDTDIAKGKISLRTLIDRSVIESFGAGGRTCILSRVYPSLAIGKNAHLHVFNNGKTDIKVSGLTAWEMKKPLMNGA* >Brasy9G045400.1.p pacid=40063699 transcript=Brasy9G045400.1 locus=Brasy9G045400 ID=Brasy9G045400.1.v1.1 annot-version=v1.1 MAVFATNQGLLLLLTGQILAGSMLLPVILRLVIWSLKGLRMTKVRPEEFEFMMHNTKAMGLNHLLPNLQTVFLAVTVTALTAVAVTLFCCLNWYSAVFAGLTSSQKITNALFMAVNARQAGENSIDCSLVAPAALLLFMAMMYVPASTTVFSVQDDDARGDTEHKDGPKKRSLSLNNMLFSPLACNAAMIMIVCITERRLLFTDPLNFSTFNMIFEVISAYGNVGLSIGYSCSRLLHPEKESICHEKPYSFSGWWSDQGKVVLILVMLYGRLKCFHKHKN* >Brasy9G291100.1.p pacid=40063700 transcript=Brasy9G291100.1 locus=Brasy9G291100 ID=Brasy9G291100.1.v1.1 annot-version=v1.1 MALEWVVLGYAAGAEAIMLLLLTLPGLDALRRGMISVVRGALKPMMSVVPFCLFLLMDIYWKYETRPTCGDEHACTPSEHLRHQKSIIKSQRNALLIGAALLLYWILFSVTSLVVKLDHLQQRVDKLKKRDD* >Brasy9G076400.1.p pacid=40063701 transcript=Brasy9G076400.1 locus=Brasy9G076400 ID=Brasy9G076400.1.v1.1 annot-version=v1.1 MESSRKFFPAVVLLLLLVVATEVAPAAARECETESTKFEGLCMMHSHCPDVCVTEGFTGGKCSTWKRKCMCTKEC* >Brasy9G246600.1.p pacid=40063702 transcript=Brasy9G246600.1 locus=Brasy9G246600 ID=Brasy9G246600.1.v1.1 annot-version=v1.1 MHPQHPQDRATKIEAKKEMESSRSLLLLSLLPSLLLILAVATEAPGADELLSTFIVHVQPHENHEFGTADDRTAWYQSFLPDIGRLLHAYHHVATGFSARLTRQELDAISSMPGFLSAVPDRTYSVQTTHTPEFLGLSVGTQRNQSGQLGAGVIIGVIDTGIFPDHPSFSDYGMPPPPAKWKGRCDFNGTACNNKLIGARNFVASLNNGNSSHAPVPPVDDFGHGTHTASTAAGAVVPGANVLGQAMGVAAGMATRAHIAMYKVCNGGCAVSDILAGVDAAVADGCDVISISLGGPALPFHQDPVAVATFGAMEKGVFVSMAAGNSGPVESSLLNEAPWILTVAASTMDRSIRSTVQLGNGMSFHGESLYQPNGSPALFSPLVQAVASGKPLAEFCGNGSLDGFDVKGKMVLCEFGGNISATLKGAVVQSAGGAGMILTNQFIQGYDTLANAHVLPASHVGYAASTAIKSYINSTTIPVARIIFQGTVLGTSPAPSIVFFSSRGPSVQDTGVLKPDITGPGVNVLAAWPFQVAPPSTPVLPGPTFNIISGTSMSTPHLSGIAAAIKSKHPDWSPAAIKSAMMTTAEITDRSGNPILNEQDVAANLFATGAGHVNPTKAADPGLVYDTTPADYISHLCSMYTSQEVSVIARKLVNCSAIVAIDGNHLNYPSIAVTFPPSSRNSNAPVVVKRTVRNVGEVPSVYYPAVDMPDNGMSIEVFPHKLVFTEANQEMDFEVVMWPGQSGARLVQGALRWVSEMHTVRSPISVTPSPPLPRRSYS* >Brasy9G017200.1.p pacid=40063703 transcript=Brasy9G017200.1 locus=Brasy9G017200 ID=Brasy9G017200.1.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.7.p pacid=40063704 transcript=Brasy9G017200.7 locus=Brasy9G017200 ID=Brasy9G017200.7.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.5.p pacid=40063705 transcript=Brasy9G017200.5 locus=Brasy9G017200 ID=Brasy9G017200.5.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.9.p pacid=40063706 transcript=Brasy9G017200.9 locus=Brasy9G017200 ID=Brasy9G017200.9.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.8.p pacid=40063707 transcript=Brasy9G017200.8 locus=Brasy9G017200 ID=Brasy9G017200.8.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.2.p pacid=40063708 transcript=Brasy9G017200.2 locus=Brasy9G017200 ID=Brasy9G017200.2.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQVLQATNNFSQENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.10.p pacid=40063709 transcript=Brasy9G017200.10 locus=Brasy9G017200 ID=Brasy9G017200.10.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.6.p pacid=40063710 transcript=Brasy9G017200.6 locus=Brasy9G017200 ID=Brasy9G017200.6.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.3.p pacid=40063711 transcript=Brasy9G017200.3 locus=Brasy9G017200 ID=Brasy9G017200.3.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G017200.4.p pacid=40063712 transcript=Brasy9G017200.4 locus=Brasy9G017200 ID=Brasy9G017200.4.v1.1 annot-version=v1.1 MGIVGGGILLLATLGYQLASAEVFCDNLKIAGTALVKNITSSPVHFATASFGEAPDIAYVLALCKGGVLNSSGCGECVANRFDNMINVKPPPPQQCYHVYPYYGDCIIVYSNDDDILAPYNNTAENTLGKEWWNTKNVTGDISIIAGLIRDLLVGTVEKAASMAPGRFATGVMDTNTTLPTVYSLAQCTLDMSSSDCLACLRRLLGTVNSTMYMRMGGQVYFIRCFFRYEATHFYDGEPMLHLGTQLAPTPAPAKLPNHKRRMSKLWAIPIVVVPLVAAAFLCFILYSRRLTRQRKGEVMRLQGLRRAQDLEGEEQLVWEGKNSEFSVFDFEQGQFPEGLEIAVKRLASHSGQGFREFKNEVQLIAKLQHKNLVRLLGCCSEEEEKLLVYEYLPNRSLDFFIFDESKRALLDWSKLVAIIEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDAEMNPKIADFGLAKIFSSDSTEGNTTRRVVGT* >Brasy9G046000.1.p pacid=40063713 transcript=Brasy9G046000.1 locus=Brasy9G046000 ID=Brasy9G046000.1.v1.1 annot-version=v1.1 MSGDRRGGRTSGNGDYPGSSWETVENKKKSWQAAGRGQQAQLSSSSNAPPTTARQAWNGNGSSRPWGNNRAQSSDHRPAARGNPRPTPQTKSRESELQALNLVATPPLANGWQWASRSRPSDPESSKDDASSSGFNVETDNEDDDISDDDSDDDMSNEYDSDASAKSFGARKMNKWFKRFFEDLDNLTVDQIHEQSRHCPACKNGPGAIDWFKGLQSLVTHARTKGSKRVKLHRELAALLEEEMSRRGTSVVPSGEQFGKWKGLHESTESTDWEIVWPPMVIVMNTLLEKDDDDKWLGMGNQELFNYFSEYGATKARHAYGPSGHCGMSVLIFESSAVGYTEANRLHTHFVRQKTDREAWQRCKVLFLPGGKRQLYGFLAKKDDLETFNRHCKGKNRLKYEMRSHNEMVVIQMKQMSEDNQQLNYLKNKVVKKEQHSKAVTETACSLAQKLRETEEDNRLIRREAKEKHLEHMEELTSLEKFIEGNQENIYKAMELMGFGKLLQEERANARQCNVDSGSTENCRLREEQVQRFKDCQVTDLEEFEAERDKLIKAYTEKKMQLEKELDTALSRLMEKHKPGTFQASSS* >Brasy9G074000.1.p pacid=40063714 transcript=Brasy9G074000.1 locus=Brasy9G074000 ID=Brasy9G074000.1.v1.1 annot-version=v1.1 MRWPRSRLKWLLSACLISLLLLTLTDCDGLRLVAAVRKNSLWPPPSSPPSLPSRIGDELVEQLWVNCGLDRIVLHNVKNQSYYTHLCNIISNSYRTNEKIKERMTTTLSAEVANTFLDCLSEHSFPLPRGVSGNKQEDDEESGIPNSNNFSPPFASRRRYLLEQTSTTQYSLSQPEKVAITSVLSSEAETRPLVTTIKKSSKSGAADDDSGSTVVLAVGGIALVAIIGLVFWACRGSEDLTSPYDDKPLLSLSDLSGSSRKSCATPIDVSRLGALPRSPSSESKQTDEFSSVQPSRKLHTAQPSRKLKSAGAMSMKQELIERHSRMASHEITTIAGQPTVANSTAEKAGVSSATSSTVAPAGPPPPPLPAFHQKAAPPPPPNPQAPGAPPPPPAPGVPPPPPPPGAPAPPPPPPSVRAPAPPPPPGAPAPAPPPPPGAPAPPPPPGAAAAPAAPVPPPKPGTAPSPGPPPPPAPRAAGAGPGPPPPPLKKGGPPGAGPPPPAMPGGPKGRGPPPLKKAGGAPPVADSSKTKLKPFFWDKVTASADQAMVWDQIKAGSFQFNEEMIETLFGCNPVDKKGNDGKKEPAKEVPQFVRILDPKKAQNLAISLKALSISAEDVRIAVTEGHELPSDLIQTLLRWTPTSDEELRLRLYTGEMTQLGPAEQFLKTIIDIPYIFQRLEVLLLMASLPEEAAGVKQSFETLEVACQELRYSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGLDGKTTLLHFVVQEIIRSEGVRAVRAAKEQNSSISSVSSTDDLTEDVSDDTEHYKQLGLNVVSSLGDDLQNVRKAAILDADALTITVASLGHRLVKANEFLNTGLKSLDEESGFQRKMVQFIEQTQVQVTHLLEEEKKLRTLVRSTVDYFHGSTGKDEGLRLFVVVRDFLAILDRVCREVKDAAAKAAAEKKKAAAAAAAAAAPAAAARGKQPSQSSLSFRDPRQHLKPAIQGRRAKAQSSSSSSDSDD* >Brasy9G074000.3.p pacid=40063715 transcript=Brasy9G074000.3 locus=Brasy9G074000 ID=Brasy9G074000.3.v1.1 annot-version=v1.1 MRWPRSRLKWLLSACLISLLLLTLTDCDGLRLVAAVRKNSLWPPPSSPPSLPSRIGDELEDDEESGIPNSNNFSPPFASRRRYLLEQTSTTQYSLSQPEKVAITSVLSSEAETRPLVTTIKKSSKSGAADDDSGSTVVLAVGGIALVAIIGLVFWACRGSEDLTSPYDDKPLLSLSDLSGSSRKSCATPIDVSRLGALPRSPSSESKQTDEFSSVQPSRKLHTAQPSRKLKSAGAMSMKQELIERHSRMASHEITTIAGQPTVANSTAEKAGVSSATSSTVAPAGPPPPPLPAFHQKAAPPPPPNPQAPGAPPPPPAPGVPPPPPPPGAPAPPPPPPSVRAPAPPPPPGAPAPAPPPPPGAPAPPPPPGAAAAPAAPVPPPKPGTAPSPGPPPPPAPRAAGAGPGPPPPPLKKGGPPGAGPPPPAMPGGPKGRGPPPLKKAGGAPPVADSSKTKLKPFFWDKVTASADQAMVWDQIKAGSFQFNEEMIETLFGCNPVDKKGNDGKKEPAKEVPQFVRILDPKKAQNLAISLKALSISAEDVRIAVTEGHELPSDLIQTLLRWTPTSDEELRLRLYTGEMTQLGPAEQFLKTIIDIPYIFQRLEVLLLMASLPEEAAGVKQSFETLEVACQELRYSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGLDGKTTLLHFVVQEIIRSEGVRAVRAAKEQNSSISSVSSTDDLTEDVSDDTEHYKQLGLNVVSSLGDDLQNVRKAAILDADALTITVASLGHRLVKANEFLNTGLKSLDEESGFQRKMVQFIEQTQVQVTHLLEEEKKLRTLVRSTVDYFHGSTGKDEGLRLFVVVRDFLAILDRVCREVKDAAAKAAAEKKKAAAAAAAAAAPAAAARGKQPSQSSLSFRDPRQHLKPAIQGRRAKAQSSSSSSDSDD* >Brasy9G074000.2.p pacid=40063716 transcript=Brasy9G074000.2 locus=Brasy9G074000 ID=Brasy9G074000.2.v1.1 annot-version=v1.1 MTTTLSAEVANTFLDCLSEHSFPLPRGVSGNKQEDDEESGIPNSNNFSPPFASRRRYLLEQTSTTQYSLSQPEKVAITSVLSSEAETRPLVTTIKKSSKSGAADDDSGSTVVLAVGGIALVAIIGLVFWACRGSEDLTSPYDDKPLLSLSDLSGSSRKSCATPIDVSRLGALPRSPSSESKQTDEFSSVQPSRKLHTAQPSRKLKSAGAMSMKQELIERHSRMASHEITTIAGQPTVANSTAEKAGVSSATSSTVAPAGPPPPPLPAFHQKAAPPPPPNPQAPGAPPPPPAPGVPPPPPPPGAPAPPPPPPSVRAPAPPPPPGAPAPAPPPPPGAPAPPPPPGAAAAPAAPVPPPKPGTAPSPGPPPPPAPRAAGAGPGPPPPPLKKGGPPGAGPPPPAMPGGPKGRGPPPLKKAGGAPPVADSSKTKLKPFFWDKVTASADQAMVWDQIKAGSFQFNEEMIETLFGCNPVDKKGNDGKKEPAKEVPQFVRILDPKKAQNLAISLKALSISAEDVRIAVTEGHELPSDLIQTLLRWTPTSDEELRLRLYTGEMTQLGPAEQFLKTIIDIPYIFQRLEVLLLMASLPEEAAGVKQSFETLEVACQELRYSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGLDGKTTLLHFVVQEIIRSEGVRAVRAAKEQNSSISSVSSTDDLTEDVSDDTEHYKQLGLNVVSSLGDDLQNVRKAAILDADALTITVASLGHRLVKANEFLNTGLKSLDEESGFQRKMVQFIEQTQVQVTHLLEEEKKLRTLVRSTVDYFHGSTGKDEGLRLFVVVRDFLAILDRVCREVKDAAAKAAAEKKKAAAAAAAAAAPAAAARGKQPSQSSLSFRDPRQHLKPAIQGRRAKAQSSSSSSDSDD* >Brasy9G141100.1.p pacid=40063717 transcript=Brasy9G141100.1 locus=Brasy9G141100 ID=Brasy9G141100.1.v1.1 annot-version=v1.1 MSTRYGSPTIDDIEAYTIAYRAKLDDAESAGRIPNNVSLEVSSPGVERVIRVPDELERFKERAMYVRYVTRSEDEATPQEGDGVFRLISYDMDLCECTWGIADVKINRQQTGKGRPLSKKQREWRLQTPFESLKLVRVYSEC* >Brasy9G185200.1.p pacid=40063718 transcript=Brasy9G185200.1 locus=Brasy9G185200 ID=Brasy9G185200.1.v1.1 annot-version=v1.1 MAKPWGGVGAWALDAEREEEEREQAAAFPAPEPPAAAGGAASFPSLREAAAAGGGKQKKKKGTTLSLSEFAAFGAAGAPRRAAPAEPRGLTTQEMMMLPTGPRERSEDEQDRSRLGGGFRSYGDRDHGSGFDDDRRSSRASDLDMPSRADESDNWGRNKSFAPAPSDAGRRDSRFSGSSPLGRADDIDNWSRDKKPLPSRYPSLGSGGGFRESSGGGFRESSGGGFRESSGGGFRESSGGGFRESSGGGFRDSPGPSDSDRWVRGGSSAPMLQNGDRPRLNLNPPKRDTSATDAPPAEVARNRPSPFGAAKPREQVLAEKGLDWRKMESEIEKKTSRPTSSHSSRPNSAHSSRPGSPGSQISAVGSEGAPRVRPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAVNRPESDEEKILKEEINLLKVDLKEIEAKASDGSDQASTENAKDLSEKISQMEKQLELLTVELDDKIRFGQRPGSGAGRPGSGAGRVTSFPPTSLAEEPHVTVANMDRPRSRGGMETYPKPVEERWGFHGNRERGSFGGGRSSDRSTSRQGW* >Brasy9G157800.1.p pacid=40063719 transcript=Brasy9G157800.1 locus=Brasy9G157800 ID=Brasy9G157800.1.v1.1 annot-version=v1.1 MALRVFLLAAALLALSCSHGAIASDPSLLQDFCVADKMSQVRVNGLPCKAAKDVVAEDFFFSGLHMAGNAANKQGSAVTAVNVAQIAGLNTLGISLVRIDYAPYGLNPPHTHPRSTEILTVIEGSLNVGFVTSNPENKHFNKVLNRGDVFVFPKGLVHYQFNNCTTGTVAIAALSSQNPGVITIANAVFGSNPSIPDDIVAKAFQVEKNTVDWMQAQF* >Brasy9G281800.1.p pacid=40063720 transcript=Brasy9G281800.1 locus=Brasy9G281800 ID=Brasy9G281800.1.v1.1 annot-version=v1.1 MDEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKFDPWDLPKLASAGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTDPSLLQKKPLEKTIPPNDSWAICRIFKKTNATAQRAISHSWVSPPLPSTNGTYNPPHLHTTHRSRHSTENTLSTMTNIISSNIQFTGSGYFPSTVSSCQNTLNILDSISRPATSIVLPPPDSEHQTMSILSAIPLDLPSGMDIASMVLNTSHITLPSMDRSTPTSIEFAQPQQCSNSMINRCAVDLPDVGNNVNGVTRSINFPFSMQGSISDDWRATVPWDSLPCTTEVSTNYQSTKCYT* >Brasy9G333700.1.p pacid=40063721 transcript=Brasy9G333700.1 locus=Brasy9G333700 ID=Brasy9G333700.1.v1.1 annot-version=v1.1 MPKTHQYKGVRQRSWGSWVCEIRHPVLKTRIWLGSHKTAEAAARAYGEAARFLSGPAARTNFPGSIYATGNLTKDVRVKLEKCPVPPSSPSTTVAAPPAPSSSSTGGAMDEDDVEFVEEMIREMTDYGPVEIDPFASVRSTTS* >Brasy9G160900.1.p pacid=40063722 transcript=Brasy9G160900.1 locus=Brasy9G160900 ID=Brasy9G160900.1.v1.1 annot-version=v1.1 MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCTPFREQLLEYYANNKSPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKISSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS* >Brasy9G160900.2.p pacid=40063723 transcript=Brasy9G160900.2 locus=Brasy9G160900 ID=Brasy9G160900.2.v1.1 annot-version=v1.1 MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCTPFREQLLEYYANNKSPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKISSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS* >Brasy9G279200.1.p pacid=40063724 transcript=Brasy9G279200.1 locus=Brasy9G279200 ID=Brasy9G279200.1.v1.1 annot-version=v1.1 MQTAKVKVKDMVSSAKEKTKEGTASAQGKTGKATAATHGEKKMAKEEERAHKAQANADKHMEKAGHRAEADAARHGTTRVPLTGPQGHHGAPATGPASAAAADPAYPTTGANPAAEKYI* >Brasy9G050600.1.p pacid=40063725 transcript=Brasy9G050600.1 locus=Brasy9G050600 ID=Brasy9G050600.1.v1.1 annot-version=v1.1 MEMVLDWRSVGSLIATVMVFRTALRDFIPPEAERWLRLLVARVAAAFRGPVGTIHIDEADHGAAAGGAANDLYDAAQLYLGSRCLATAPAVRLYKPRQASHAVASLPDAHTTTDTFRGVRVKWTSTARPAASGNTNPYNPFGRGSSGGSAGVEQRSLELTFPRQHRELVHEHYIEHVIDVATRMRLKSRERRLYTNRATSPGDEHHHHRGLWTSHAFDHPSTFGTLAVDPALRDEIRADLLRFAGRREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVIVVEDIDCSLDLSDRNKKNNSNNTGNEDAAAAAILSPAAAMAAAVGRESISLSGVLNFVDGLWSSCVGERLMIFTTNHPERLDPALLRPGRMDRKIELGYCTPAALRVLAKNYLGVGGDDGPACDDDDDPGMVDALMAEAERLLAAEVRITPADIGEVFMGCDGAGASAALRKLVHELRRRGDAPAVDTTVVH* >Brasy9G010400.1.p pacid=40063726 transcript=Brasy9G010400.1 locus=Brasy9G010400 ID=Brasy9G010400.1.v1.1 annot-version=v1.1 MVDESVDEYLDIAGKTFGSEDDGFEFYNSYALEKGFSVRKSYVEWDEANQEIILRKMGGFLRKD* >Brasy9G010400.2.p pacid=40063727 transcript=Brasy9G010400.2 locus=Brasy9G010400 ID=Brasy9G010400.2.v1.1 annot-version=v1.1 MVDESVDEYLDIAGKTFGSEDDGFEFYNSYALEKGFSVRKSYVEWDEANQEIILRKMGGFLRKD* >Brasy9G010400.3.p pacid=40063728 transcript=Brasy9G010400.3 locus=Brasy9G010400 ID=Brasy9G010400.3.v1.1 annot-version=v1.1 MVDESVDEYLDIAGKTFGSEDDGFEFYNSYALEKGFSVRKSYVEWDEANQEIILRKMGGFLRKD* >Brasy9G078000.1.p pacid=40063729 transcript=Brasy9G078000.1 locus=Brasy9G078000 ID=Brasy9G078000.1.v1.1 annot-version=v1.1 MARIVALVLTVCFFVGFFLAAAPSFTFPSPSQDFLRCLSASVPSNLLIAHGFPSFQSELVSSIRNAKFVAPATARPPACIVKPTNASHVQAAVHCGRRHGVRVRVRSGGHDCEGLSYRSGADEPAFAVLDLANLHGVRVNRRQSTAWVDSGATIGELYYGIGKAAPGLGFPAGVCPTVGLGGLFSGGGMGLMMRKYGLSADNVLDATMGAMGKDLFWAIRGGGGGSFGIVLSWKIKLVQVPATVTYFDITKTMAQGAVDAVAKWQTVAPALPEDLGLRVVVENKKASFQGLYLGSCSAVVRTVRGRLPELGMTEADCREMSWVEYTAYIYFGDAARAGHGRQLGNLLNNRSMTLGPFVKNKSDYVKKPIPKSVWEKIFAFPYGGATGGQLVMEPHGGHVGSLPDDATPFPHRSGVLYNIQYVEFYPGSLKSNPEGWVTGLYKFMTTWVSSNPRGAYANYRDLDMGVNKSARAWGEKYFGADNFRRLALTKAKVDPNDFFRHAQSVPPLVSGK* >Brasy9G012400.1.p pacid=40063730 transcript=Brasy9G012400.1 locus=Brasy9G012400 ID=Brasy9G012400.1.v1.1 annot-version=v1.1 MAGPDLVPPGLAIVIMGVSGCGKSTVAAMLAQALGCSFVEADDYHSRANKAKMSKGVPLTDADRLPWLESLRGAIRERLDRGGEDVAVSCSALRHEYREILREGDGGYKAGSGSYATCRVKFVCLKASAEVIAERVSRRAEEGGHFMPASLLQSQLELLKIDDAEGITEVDTTVSPDEIVRATIARFREELASTAKLNPEELSAASCF* >Brasy9G302500.1.p pacid=40063731 transcript=Brasy9G302500.1 locus=Brasy9G302500 ID=Brasy9G302500.1.v1.1 annot-version=v1.1 MDADHLGITRPILSDDEVRASKARAEVHNKASHRSGSAVKVILSLQFLEVTAFFGVYLSLIVYLQDVLHGDSASNVATVSSWAGVCYLMPVLGAAIADSYWGKYKTTLVSLSISVLGVAMVTTSATLPSLRPPPCAQHNGHCPPATPTQSLLFFSGIYLCGIGIGASKAVFISFAAEQFEDDASERGASSKSKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASLVAAAVVSLAATAPVYRILPPAGSPLKGVLQVLFAFSRKVKLTVPRDAKELYEGEDVKNPLLHSPVRERLEHTDQFRFLDKAAMVTEEDLEGGDHRPWRLCTVTQVEELKTLLRLVPIWLTSAVYFLANTQAQTTFVQQGTKTDSTIAIGAVSISIPAASLTSVQTVCVAAFVALYNRAVAPASPFKPLQLMGLGHATAGIAVAVAACIEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLEFFYEQAPETMRGASTAFYFLSLSLGNLINSQLVTLVASVTAAGGRTGWFPPELDDGHLDYYFLLVVAVAAVNFAVFLALARNYTPKRVR* >Brasy9G315400.1.p pacid=40063732 transcript=Brasy9G315400.1 locus=Brasy9G315400 ID=Brasy9G315400.1.v1.1 annot-version=v1.1 MLILIAWLLFSYGAGRIHCSTFPGRKNNMDMAALLDFKNTITSDPHGVLSTYWNASTPYCQWKGVKCSSRHPGRVTTLDLAAQALSGPIAASVGNLTFLHTLDLAQNNFSGQIPHLNNLQRMQILNLSFNSLGGSIPETLTNCSNLKKLDLFANLLEASIPPQIDLLTNLVYLDISWNNLTGIIPSTLGNITNLQEIYLQENELEGCVPDELGQLSNISSLLLRGNRLSGSIPLSLFNSSSLQQLDLSANRVGDTLPTNIGDHLPNLQGLYLSYTMLGGHIPDSLGNATKLVTINFQNNSFTGKIPSSFGKLSRLHRLDLQLNMLEAKDSESWAFLQSLGNCSRLGLLLLTTPSDPKLLSKYYMYLDAF* >Brasy9G125000.1.p pacid=40063733 transcript=Brasy9G125000.1 locus=Brasy9G125000 ID=Brasy9G125000.1.v1.1 annot-version=v1.1 MKPSCALLILAVMLAASGSACRASRAAPAAKCDPRALRPCAPVVLWGAAPTAACCAELRELLKPCLCKYAKNRDLGKYINSRDSKKVAAACGLRVPIC* >Brasy9G048800.1.p pacid=40063734 transcript=Brasy9G048800.1 locus=Brasy9G048800 ID=Brasy9G048800.1.v1.1 annot-version=v1.1 MAMMVDPPNGTGNHGKHYYTMWQTMFEIDTKYVPIKPIGRGAYGIVCSSINQENNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVSELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSENDLEFIDNPKARKYIKSLPYTPGTPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVEMIREMLWQEMLQYHPEAARMVNM* >Brasy9G048800.2.p pacid=40063735 transcript=Brasy9G048800.2 locus=Brasy9G048800 ID=Brasy9G048800.2.v1.1 annot-version=v1.1 MAMMVDPPNGTGNHGKHYYTMWQTMFEIDTKYVPIKPIGRGAYGIVCSSINQENNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVSELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSENDLEFIDNPKARKYIKSLPYTPGTPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVEMIREMLWQEMLQYHPEAARMVNM* >Brasy9G144200.1.p pacid=40063736 transcript=Brasy9G144200.1 locus=Brasy9G144200 ID=Brasy9G144200.1.v1.1 annot-version=v1.1 MWVRRGVTERGRRPAALEVVAGHTLDGIPFPRRLGSAPDNLCRLTTMRLRGLYLARTFGEDLRSGCPVLEQLTLEVCSCYFPELVSGSLKTLALVSCHPNPRSITAPALARLCVSSPCPVDRPELWDPKGTARSVARASVSKLSCSFDQCLFDLLASACNVGALKLSGFSPPVRRRRTAQLDDEPEEFFPMLPNLTTLFVEDCDMGMRFEILGLFLQNAPNLQTVTLRHCKDSDSLPDDKNGREWEGSLGRRRRNGWKKTPPPSTQKPMDIVTWGISQIVRLSFGDGQTSKLLRTLLGISTLMDTRTIILTKENEDLTQDRRAMSMISLFSKKC* >Brasy9G315600.1.p pacid=40063737 transcript=Brasy9G315600.1 locus=Brasy9G315600 ID=Brasy9G315600.1.v1.1 annot-version=v1.1 MGLVRGAATSADPAFCYSLLQSCMSCFRRGRSVHHRIIASAAPPDLHLSTKLVIFYAKHGDVTAARRVFDGMPHRSVVSWTAMVSGYSRNGHLREALELFALMLASGVRPNQFTYGSVASACAGAGCVRSGEQVHACVAKGRFMGGVFVQSALMDMHLRCGSVVDAMRLFAEMERKDLVAWNALLRGLVERAQYGDALGLLPSMLRRAMLPDHFTFGSALKACGAVSVLANVELIHTCIIKLGYWGEKVVIGSIIDAYAKCRGLSSARLIYDSICEPDLVSSTALISGYSMDRNHSEDAMELFCKIHRKGLRIDGVLLSSLLGLCANVASLRFGTQIHAYMRKKQPMTDVALDNAVVDMYAKAGEFADARRAFDEMPYRNVVSWTSLITACGKNGFGEDAVSLFDRMVEDGVKPNDVTFLSLLSACGHSGLTSKGMEYFTSMMSKYGIDPRAEHYSSAIDLLARGGQLNDAWKLVQNIDIEPNSSMFGAMLGACKTHGSMPLGEIAAKNLFTIAPESSVHYAVLANMYAESSLWEDAQRTRKLMAETSGGKVVGCSVI* >Brasy9G227300.1.p pacid=40063738 transcript=Brasy9G227300.1 locus=Brasy9G227300 ID=Brasy9G227300.1.v1.1 annot-version=v1.1 MAPQQKIVLKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVTVAKKLKKFGRIDIVSVGPAKEEKKDDKKVEKK* >Brasy9G227300.2.p pacid=40063739 transcript=Brasy9G227300.2 locus=Brasy9G227300 ID=Brasy9G227300.2.v1.1 annot-version=v1.1 MAPQQKIVLKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVTVAKKLKKFGRIDIVSVGPAKEEKKDDKKVEKK* >Brasy9G227300.3.p pacid=40063740 transcript=Brasy9G227300.3 locus=Brasy9G227300 ID=Brasy9G227300.3.v1.1 annot-version=v1.1 MAPQKIVLKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVTVAKKLKKFGRIDIVSVGPAKEEKKDDKKVEKK* >Brasy9G227300.4.p pacid=40063741 transcript=Brasy9G227300.4 locus=Brasy9G227300 ID=Brasy9G227300.4.v1.1 annot-version=v1.1 MAPQKIVLKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVTVAKKLKKFGRIDIVSVGPAKEEKKDDKKVEKK* >Brasy9G288200.1.p pacid=40063742 transcript=Brasy9G288200.1 locus=Brasy9G288200 ID=Brasy9G288200.1.v1.1 annot-version=v1.1 MEIELPNIQKATSSDYWSLASNQYPSGKFPKVSIGIPVPRAGSVSRGRDAATIPAFERNLSQATDGRSRPPVGYIASVRVSQEAAKHDGSATEAPEAVSIKVSVSQPDNNACEQTGTFSFGTRKEQGSQLDQLEKTPFVSSQGKRQVESADKTKPASEVLRMKLWEILGGTSQTKEAVASPNPEDIEAPDLPKSQTTNEPSSGNKKLYTSPVPENIKTPDLLNCQTANHTKCKPSSDPIESDSDTPQVVEIRPITRTLGRKKAPAASKQQDKSQSAKKPLSTTSRSAPKQKTLENVFVFNEKCTPKTVGKSVTGNSGSFRNLRSSNRKAKAEPKKMHYSDRISHKTTHDDRERQMPSQSVPSENKREKTTSFSSLSRTGKTAESCSRSPKREKMLNEMAKVEARKMEISEKLLAKALNGGEDKLSSQNVSNNKGNCSSSLHWKENDSLNKAPDRSPQAQTAGGNNFNSPQSAAASPSPEPKMYPWDREASPQIYGKLGEKFASPLADRFRDMPDDFASPTFAANLNDSHQRSKMLDDDMYSAKYPKSVNSSRSSSYSSDPGSEPLDEMDKTDELPGSESPNSPEERENRKQPYLSSISPTEDEGAQISLPSFGEGYKSHKWLSDVDSPDKSPLEHLDKKSHLKEGRRGKRRLPSPIPFANVTQETIMSDKEPVQCSDDYLIRAFDQLLVVLGRFQTKIKSETSNKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKTKRKRLESTFEEQQEQLRVLHEKFKDEVNQQLLGCKNSLEDFEAYHAELKGVADKQKALHKKLLQRADKTVGSQLNDAEIKIAEVQKRARKKITSLKCALKELIMEAAD* >Brasy9G288200.2.p pacid=40063743 transcript=Brasy9G288200.2 locus=Brasy9G288200 ID=Brasy9G288200.2.v1.1 annot-version=v1.1 MEIELPNIQKATSSDYWSLASNQYPSGKFPKVSIGIPVPRAGSVSRGRDAATIPAFERNLSQATDGRSRPPVGYIASVRVSQEAAKHDGSATEAPEAVSIKVSVSQPDNNACEQTGTFSFGTRKEQGSQLDQLEKTPFVSSQGKRQVESADKTKPASEVLRMKLWEILGGTSQTKEAVASPNPEDIEAPDLPKSQTTNEPSSGNKKLYTSPVPENIKTPDLLNCQTANHTKCKPSSDPIESDSDTPQVVEIRPITRTLGRKKAPAASKQQDKSQSAKKPLSTTSRSAPKQKTLENVFVFNEKCTPKTVGKSVTGNSGSFRNLRSSNRKAKAEPKKMHYSDRISHKTTHDDRERQMPSQSVPSENKREKTTSFSSLSRTGKTAESCSRSPKREKMLNEMAKVEARKMEISEKLLAKALNGGEDKLSSQNVSNNKGNCSSSLHWKENDSLNKAPDRSPQAQTAGGNNFNSPQSAAASPSPEPKMYPWDREASPQIYGKLGEKFASPLADRFRDMPDDFASPTFAANLNDSHQRSKMLDDDMYSAKYPKSVNSSRSSSYSSDPGSEPLDEMDKTDELPGSESPNSPEERENRKQPYLSSISPTEDEGAQISLPSFGEGYKSHKWLSDVDSPDKSPLEHLDKKSHLKEGRRGKRRLPSPIPFANVTQETIMSDKEPVQCSDDYLIRAFDQLLVVLGRFQTKIKSETSNKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKTKRKRLESTFEEQQEQLRVLHEKFKDEVNQQLLGCKNSLEDFEAYHAELKGVADKQKALHKKLLQRADKTVGSQLNDAEIKIAEVQKRARKKITSLKCALKELIMEAAD* >Brasy9G288200.3.p pacid=40063744 transcript=Brasy9G288200.3 locus=Brasy9G288200 ID=Brasy9G288200.3.v1.1 annot-version=v1.1 MEIELPNIQKATSSDYWSLASNQYPSGKFPKVSIGIPVPRAGSVSRGRDAATIPAFERNLSQATDGRSRPPVGYIASVRVSQEAAKHDGSATEAPEAVSIKVSVSQPDNNACEQTGTFSFGTRKEQGSQLDQLEKTPFVSSQGKRQVESADKTKPASEVLRMKLWEILGGTSQTKEAVASPNPEDIEAPDLPKSQTTNEPSSGNKKLYTSPVPENIKTPDLLNCQTANHTKCKPSSDPIESDSDTPQVVEIRPITRTLGRKKAPAASKQQDKSQSAKKPLSTTSRSAPKQKTLENVFVFNEKCTPKTVGKSVTGNSGSFRNLRSSNRKAKAEPKKMHYSDRISHKTTHDDRERQMPSQSVPSENKREKTTSFSSLSRTGKTAESCSRSPKREKMLNEMAKVEARKMEISEKLLAKALNGGEDKLSSQNVSNNKGNCSSSLHWKENDSLNKAPDRSPQAQTAGGNNFNSPQSAAASPSPEPKMYPWDREASPQIYGKLGEKFASPLADRFRDMPDDFASPTFAANLNDSHQRSKMLDDDMYSAKYPKSVNSSRSSSYSSDPGSEPLDEMDKTDELPGSESPNSPEERENRKQPYLSSISPTEDEGAQISLPSFGEGYKSHKWLSDVDSPDKSPLEHLDKKSHLKEGRRGKRRLPSPIPFANDKEPVQCSDDYLIRAFDQLLVVLGRFQTKIKSETSNKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKTKRKRLESTFEEQQEQLRVLHEKFKDEVNQQLLGCKNSLEDFEAYHAELKGVADKQKALHKKLLQRADKTVGSQLNDAEIKIAEVQKRARKKITSLKCALKELIMEAAD* >Brasy9G199600.1.p pacid=40063745 transcript=Brasy9G199600.1 locus=Brasy9G199600 ID=Brasy9G199600.1.v1.1 annot-version=v1.1 MARRKQIKRANAAKDLQRMQQANPFGPMLRHTHANHSTRKPGYEEIAIAPTPVIAELAAEGAINAFWKANSAMADDNQPRRPVTTKTTQRGENDRLEYAVSSMQGYRGNMEDAHAAVENFDVPTATSFFGVYDGHGGPDVSMYCARHLHLEIRMHPKFTNNLPTAVKAAFFRMDPMMTTDEGRRELTTYRGIRSGFFEDHPGPIEVGSTACVALIRGNQIIVGNAGDCRCVLSRKRQAIVLTTDHKPSVLDERLRIVSAGHFVEVTQGVSRVDNEIAVARSIGNMRYKSNVALPPRLQALTCAPEIRSENITDDTEFLVMACDGVWDVVENQGFIDYIHQLLAAEMNLGRICEVILDRCVERSGDNMTVLLVRFKH* >Brasy9G236300.1.p pacid=40063746 transcript=Brasy9G236300.1 locus=Brasy9G236300 ID=Brasy9G236300.1.v1.1 annot-version=v1.1 MASIASQLQAIKSALGSAQEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLTGVDERFARYGNTLFSQTSLEVNREQQTPKENDKLNKSISSYLRLLGGYLQLPAALKTLEYLIRRYLVHVYNLDDLLLCALPYHDTHAFVRIVQLVNLGNSKWTFLDGVKSSGAPPPRSVLVQQCIRDNSLLETLSNYAAPTADFLHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPEITADQDYKAGALMIVGVLATRATLAPKLVQDLIVFVARAAQHDALETMDLPWLRVTVMAIISLVQSQSVHDFRKKPLMILKDIRDFSGVLSALSSEFNIDNFIRLYVESLVDYSISDDSCHAHLIETVETLHIKKFVERIVCKVLGNCIKASRVTKNPDINRTGIWAKKILSAIEKKHALELRDAIRKFLEDSEINSTGGDFTSNLLGLVFDEGKSIPAEISDSNVWFSLDHPKAVVRQSALLSIATSGIFKNNIQNPQKFINMQDAIIRNLHDDDLSVVQAALSIEGLAAVASPGGLLKAYNDVLAKCTDIICKGGPKSSKACDVAVSCLEKMVMEYQLHHVEYAKDIATAVLGLLIVHPKTFRVNLKALELAKKIQWEFYESSSLVYEVSADKIKNMSSEFIASINMKNIQAFAETFLSNPSKHVEWLADSGNRSRFARTPFLLIILQALLNPTEALDKQVNLCQVCLPVLKNEWYHIQPKVDFIGDEISIDNLEKCSTELVKHIFNSDTEALNARILVCIFWGLLKVQASYIKQNSMIGTGGNTTLGDLFLFFVTSSGKNIFQKHVQHLMVNCTGTPFQFISKYFLDEGLSAAVQSKSLLVLATVCSTCALSESSTLDESLCMQLLLGFPSLIIPLSHENKDIRSSAMKCIEGLSLVWQRLSTSTSRNGNNGKLPRCMSSPTFGVFLESLASQKAMISSDARFLPAYISSMLSPSQDLMVPESLHERFDQPTKDTILCFILRSAMKLSPYGKLMVLSALKGVGSILFEAEEVRSLFMYLLDRHSQHQSAHDSKQILSTHEMQILCLLLKVLFSVADQTSFVFDTSDALLKALKIDGLSPEDPVVMMPCLSALQNLQPVFFENLKTDTKEKVFGLLISMYRAENFEVRNATRDALLRINVHASTVVKFIELIVAQGDSKGNTKRIKRTEGLNRDIDIHFEDYFGEKAVVSILVSLLDILFLKKDVEQRLCLLQPLCQILSKLLSDQWISGIVCQYNKGHDASSEILDIPSFVKEAQQLVLLVLKDIIDAKLLGHQDKLLNSGNVNLLISCIRSTEDVGTRNHGFSLIASLAKAFPQLISESIVDLFVAIGDAVKQEDNHSQRVMEDLLSVVVPCWLTRTASIEKLLEIFIKALTDVAEHRRLTLMTYLLRTLGEENNLSTVIMYLLYTLVVRGSHSLPKHQKSHSALSFSALSQEWEYGLAVNMTGQYSYKLWFPCLCKLLEEIRGHQKQNLLPMLHLAMQFILSKLQDTELSYEVEAEEASNFIQSSLRKLMEEVVLCTVSVKDKKGDISGDILKEVRNCAITVLKIITGLMQASTYFKGITQLLEYPESLVKRKTLGILCETARANSLVQNKQRKARKLKHNSRSTVLPVDESSGPFFSELCYKILELIDGGIESDTSVKIAAISSLETLAKEYPSENPAYTKCLATIINHISSGDAVTSSGLINAAGSLINVLGSKALPQLPLIMKNMLQRSHQVSCCPSGKYADSFTRTVAGFSNQSTNILLSVLTTIEVIVQKLGEFVSPYLGEILDLVILHPECADQIDGKLDAKAADVRRLLTERVPVRLILSPLLDLHSSATKCGEASLSLAFQMLASLVSTMDRLAVGTYHTKIYEHCLVALDLRHQHLDSLKDINLVEQSIIDTIITLTMKLTESTFRPLFLRTLEWAESEVDQPTSARSMDRAIVFYKLINKLAEQHRSLFTPYFKYILEGSVQYLSEDGALSSSKQKKKAKLGDDKVKQRDSLSRQKLWILRALILKSLHKCFLYDNDQKILDASNFQTLLKPIVSQFVVEPPESLELVPDAPSVDEVDENLVLCLGQMAVTARSDVLWKPLNHEVLMQTRSEKVRPKMLGLKVVRYMVQHLKEEYVVLVPETIPFLGELLEDVELPVKTLSQEILKEIETLSGESLRQYL* >Brasy9G367800.1.p pacid=40063747 transcript=Brasy9G367800.1 locus=Brasy9G367800 ID=Brasy9G367800.1.v1.1 annot-version=v1.1 MSKPCTRGHGIQVGQEPKKLVDVPTCQLTAYLAAGTRLWVSAKDRGTYSLDTARRVPDLDGLCFGLCSQRRRLLAVDMDQSPPAVRYRWEDTFPQWSSLVYLGGGRFCNGMHDADDYSLRLINHKVCCYRMPSHGQMAYLL* >Brasy9G034000.1.p pacid=40063748 transcript=Brasy9G034000.1 locus=Brasy9G034000 ID=Brasy9G034000.1.v1.1 annot-version=v1.1 MPFTPGPYSRVAMASALGIGVFYGTVKFGIAKELEETRAMNIAQAEKTGDYVLHTLEYGWNKEVKLIPRFIRREKTDAPKLAKSKPAPKLAPAPGVDSPTAGGPAEATKPHKEEPSAHH* >Brasy9G189100.1.p pacid=40063749 transcript=Brasy9G189100.1 locus=Brasy9G189100 ID=Brasy9G189100.1.v1.1 annot-version=v1.1 MAGTESSQSSRQSSFNSLAKDLELPLEQGCLSIVVLGASGDLAKKKTFPALYNLFEQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSEFLQLIKYVSGSYDSGEGFDNLNKEISEYEKSNESGSSRRLFYLALPPSVYPSVCKMIRTYCMNPTSRAGWTRVIVEKPFGKDLDTAEELSSQLGELFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKDEEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFRCKKQGRNEFVIRLQPSESMYMKLTVKKPGLEMATEQSELDLSYGMRYQTVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGKLKTVPYQPGSRGPKEADELSEKVGYMQTHGYIWIPPTLK* >Brasy9G189100.3.p pacid=40063750 transcript=Brasy9G189100.3 locus=Brasy9G189100 ID=Brasy9G189100.3.v1.1 annot-version=v1.1 MAGTESSQSSRQSSFNSLAKDLELPLEQGCLSIVVLGASGDLAKKKTFPALYNLFEQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSEFLQLIKYVSGSYDSGEGFDNLNKEISEYEKSNESGSSRRLFYLALPPSVYPSVCKMIRTYCMNPTSRAGWTRVIVEKPFGKDLDTAEELSSQLGELFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKDEEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFRCKKQGRNEFVIRLQPSESMYMKLTVKKPGLEMATEQSELDLSYGMRYQTVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGKLKTVPYQPGSRGPKEADELSEKVGYMQTHGYIWIPPTLK* >Brasy9G189100.2.p pacid=40063751 transcript=Brasy9G189100.2 locus=Brasy9G189100 ID=Brasy9G189100.2.v1.1 annot-version=v1.1 MAGTESSQSSRQSSFNSLAKDLELPLEQGCLSIVVLGASGDLAKKKTFPALYNLFEQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSEFLQLIKYVSGSYDSGEGFDNLNKEISEYEKSNESGSSRRLFYLALPPSVYPSVCKMIRTYCMNPTSRAGWTRVIVEKPFGKDLDTAEELSSQLGELFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKDEEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFRCKKQGRNEFVIRLQPSESMYMKLTVKKPGLEMATEQSELDLSYGMRYQTVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGKLKTVPYQPGSRGPKEADELSEKVGYMQTHGYIWIPPTLK* >Brasy9G355900.1.p pacid=40063752 transcript=Brasy9G355900.1 locus=Brasy9G355900 ID=Brasy9G355900.1.v1.1 annot-version=v1.1 MPRIAIVTVTPPPPLWMLRAVATLPDNSRSLIVVDRRLLLAWAPPCRAAVVSGGRSTASPQEQAVDGVDQGAATGERRKPRTDDGSVGEVVEALRCVGHVGGAAVEAAVVEKHAPPARVEARVEDAAATRARGAGAPVGAHGSEADVVRQADGAGGAQHAPGDVVEEIRGKGRPRGGHGGAAAGGTRRGIITTSNGRRRSRHGSIPDRLSQAQIERSRCTLAN* >Brasy9G260300.1.p pacid=40063753 transcript=Brasy9G260300.1 locus=Brasy9G260300 ID=Brasy9G260300.1.v1.1 annot-version=v1.1 MANQLLSTVACHDTLPEGYARPESDRPRLAEVATDSNIPFIDLASPDKLGVIAEIDRACRTYGFFQVINHGISEELLEKVMAVGLEFFRLPPEEKAKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPDWPSNPEAFKEIISTYCREVRLLGLRLMGAISLSLGLEEDYVEKVLGEQEQHMAVNYYPRCPEPELTYGLPKHTDPNALTVLLQDPHVSGLQVLKDGQWIAVDPRPNALVINLGDQLQALSNGAYKSVWHRAVVNAAQERMSVASFLCPCNSAVIGPAAKLVGDGDEPVYRSYTYDEYYKKFWSRNLDQEHCLELFRGQQ* >Brasy9G061800.1.p pacid=40063754 transcript=Brasy9G061800.1 locus=Brasy9G061800 ID=Brasy9G061800.1.v1.1 annot-version=v1.1 MLPSSPARRFLLLVAVIACAASVTRCSGRRFAQLDRLRELHQRERRPPAEQVDAAGGLLARLLPSHSASFEFRIISTEQCGGKACFIINNHPLFDGEGTPEILILGVSGVEISAGLHWYLKHYCAAHISWAKTGGAQLSSVPHPGSLPHVPAGGILIRRPVDWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSNLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLTLQKKILSRMYAFGMSPVLPAFSGSIPAALKSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGRTSHVYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWINSDQFYGVPYIWCMLHNFAADFEMYGVLDAVASGPIDARLSENSTMVGVGMSMEGIEQNPIVYDLMSEMVFHHRQADLQVWVETYPTRRYGKSIVGLQDAWRILHQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLHTSASKMFSTMSAKSYLVKDESNDAYEQPHLWYDTNVVIHALELFLQYGDEVSDSSTFRYDLVDLTRQALAKYANQIFAKIIQSYKSNNMNQVTTLCECFLDLVNDLDMLLASHEGFLLGPWLESAKGLARDQEQEIQYEWNARTQITMWFDNTETKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSLEKKEPFALEEWRREWISLTNNWQSDRKVFATVATGDALNISRSLYMKYLRNADSLQLEDMDGSFGKSTSL* >Brasy9G165600.1.p pacid=40063755 transcript=Brasy9G165600.1 locus=Brasy9G165600 ID=Brasy9G165600.1.v1.1 annot-version=v1.1 MLKFYKREISPLLPSSCRYVITCSEYSIQAYERYGVAKDTFLTAWLLCRCNPLGEQGYDAPRWFGGEELPEE* >Brasy9G275800.1.p pacid=40063756 transcript=Brasy9G275800.1 locus=Brasy9G275800 ID=Brasy9G275800.1.v1.1 annot-version=v1.1 MKVTLYFWGIFCCLCSCTLSKNVSTRPNEVSIGALFTFNSTIGRVAKVSISAAVDDINDDPSILPGTKLVVQMQDSNCSGFVGIVQALQFMEKDTVAIIGPQSSVLAHIISHVANELQVPLMSFAATDPTLSSLQFPFFVRTTHSDHFQMASVADLVDYYQWKQVTAIFIDDDYGRSGISSLGDELAKRRSKILYKAAIRPGARKSEIVDLLVKVAMMESRVIILHANPDTGLTVFSLARNLGMTSSGYVWIATDWLGSFLDSSQHLDIGLLSTMQGVLTLRQHTENTRRKSMLASKWSALMKRGNVDRRFLINSYGLYAYDTVWIIARALDAFFSRGGNISFSIDPKLHEVVGGSLQLEAMTVFDGGRLLLERIHQVNFTGATGHVKFDSDGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSTVTPETVYLKPANHSRENKKLYPAIWPGETTTRPRGWVFPNNGNEIRIGVPNRASYRQFVSAEKSEMVRGLCIDVFVAAINLLQYPVPYKFIPFGNGSENPSYAELINKILTNDFDAAIGDITIVTNRTRVVDFTQPYVESGLMVLTSVKRHSSSGWAFLQPFTISMWCVTGLFFLIIGTVVWMLEHRINDDFRGPPAKQIITVFWFSFSTLFFAHREDTRGTLGRFVILIWLFVVLIVQSSYTASLTSILTVQQLSSPVTGIDSLVASDDPIGFQVGSFAENYLMRELGVPNSRLKALGSPEEYKQALELGPRNGGVAAIVDERPYVELFLTQHSKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTSILALSENGDLQRIHDKWLASDVTSMSQAKEVDLDLESEQLQVYSFSGLFFICGVACLIALAIHAGILVRKFYEHSSSSSAASSERAALPTDGGSSGRSKLQAFLSFADRREIDTRRAAKDKAAALAGGEDHYVIGGSATSSNSVGTSASC* >Brasy9G275800.3.p pacid=40063757 transcript=Brasy9G275800.3 locus=Brasy9G275800 ID=Brasy9G275800.3.v1.1 annot-version=v1.1 MKVTLYFWGIFCCLCSCTLSKNVSTRPNEVSIGALFTFNSTIGRVAKVSISAAVDDINDDPSILPGTKLVVQMQDSNCSGFVGIVQALQFMEKDTVAIIGPQSSVLAHIISHVANELQVPLMSFAATDPTLSSLQFPFFVRTTHSDHFQMASVADLVDYYQWKQVTAIFIDDDYGRSGISSLGDELAKRRSKILYKAAIRPGARKSEIVDLLVKVAMMESRVIILHANPDTGLTVFSLARNLGMTSSGYVWIATDWLGSFLDSSQHLDIGLLSTMQGVLTLRQHTENTRRKSMLASKWSALMKRGNVDRRFLINSYGLYAYDTVWIIARALDAFFSRGGNISFSIDPKLHEVVGGSLQLEAMTVFDGGRLLLERIHQVNFTGATGHVKFDSDGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSTVTPETVYLKPANHSRENKKLYPAIWPGETTTRPRGWVFPNNGNEIRIGVPNRASYRQFVSAEKSEMVRGLCIDVFVAAINLLQYPVPYKFIPFGNGSENPSYAELINKILTNDFDAAIGDITIVTNRTRVVDFTQPYVESGLMVLTSVKRHSSSGWAFLQPFTISMWCVTGLFFLIIGTVVWMLEHRINDDFRGPPAKQIITVFWFSFSTLFFAHREDTRGTLGRFVILIWLFVVLIVQSSYTASLTSILTVQQLSSPVTGIDSLVASDDPIGFQVGSFAENYLMRELGVPNSRLKALGSPEEYKQALELGPRNGGVAAIVDERPYVELFLTQHSKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTSILALSENGDLQRIHDKWLASDVTSMSQAKEVDLDLESEQLQVYSFSGLFFICGVACLIALAIHAGILVRKFYEHSSSSSAASSERAALPTDGGSSGRSKLQAFLSFADRREIDTRRAAKDKAAALAGGEDHYVIGGSATSSNSVGTSASC* >Brasy9G275800.2.p pacid=40063758 transcript=Brasy9G275800.2 locus=Brasy9G275800 ID=Brasy9G275800.2.v1.1 annot-version=v1.1 MKVTLYFWGIFCCLCSCTLSKNVSTRPNEVSIGALFTFNSTIGRVAKVSISAAVDDINDDPSILPGTKLVVQMQDSNCSGFVGIVQALQFMEKDTVAIIGPQSSVLAHIISHVANELQVPLMSFAATDPTLSSLQFPFFVRTTHSDHFQMASVADLVDYYQWKQVTAIFIDDDYGRSGISSLGDELAKRRSKILYKAAIRPGARKSEIVDLLVKVAMMESRVIILHANPDTGLTVFSLARNLGMTSSGYVWIATDWLGSFLDSSQHLDIGLLSTMQGVLTLRQHTENTRRKSMLASKWSALMKRGNVDRRFLINSYGLYAYDTVWIIARALDAFFSRGGNISFSIDPKLHEVVGGSLQLEAMTVFDGGRLLLERIHQVNFTGATGHVKFDSDGNLIRPAYDIVNIVGSGLRTIGYWSNYSGLSTVTPETVYLKPANHSRENKKLYPAIWPGETTTRPRGWVFPNNGNEIRIGVPNRASYRQFVSAEKSEMVRGLCIDVFVAAINLLQYPVPYKFIPFGNGSENPSYAELINKILTNDFDAAIGDITIVTNRTRVVDFTQPYVESGLMVLTSVKRHSSSGWAFLQPFTISMWCVTGLFFLIIGTVVWMLEHRINDDFRGPPAKQIITVFWFSFSTLFFAHMNVHLLFYRRGHERHPRPLRDPHMALRGSDRPVQLHCQPDFHTHSAAALISGHGDR* >Brasy9G074300.1.p pacid=40063759 transcript=Brasy9G074300.1 locus=Brasy9G074300 ID=Brasy9G074300.1.v1.1 annot-version=v1.1 MERDEEKTNKPLLVFEKNKPLLAAGNHRASSIWVVVASTAIAVCGSFVFGISVGYSSPSQEGIMRDLHLSLAEYSVFGSILTIGAMLGAILSGTIADRVGRRFAMAISDVFCIIGYLFIIFSKNVLWLDLGRLSIGCGIGLLSYVVPVYISEITPKNLRGRFAAGNQLLICCGASLAYALGTFMTWRTLAIVGVTPCILQLIGLLVIPESPRWLARTSDQVVFEKALQKLRGKGTDISEEAAEIKDFTEKLQLLPRSKMLDLFQKDYMHAVTVGVGLMVLQQFGGVNAICFYSSEIFVSAGFSSGNTGMLAMVVVQVPMTALGTLLLDKAGRRPLLMASAAGTCLGCLLVGLSFLSKEYHWAKDLNVVLALAGILVFTGSFSLGMGGIPWVIMSEIFPIHMKGSAGSLVTLVNWLGSWIISYAFNFLLLWSSYGTFFLFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLAPASSGMSPIA* >Brasy9G074300.2.p pacid=40063760 transcript=Brasy9G074300.2 locus=Brasy9G074300 ID=Brasy9G074300.2.v1.1 annot-version=v1.1 MERDEEKTNKPLLVFEKNKPLLAAGNHRASSIWVVVASTAIAVCGSFVFGISVGYSSPSQEGIMRDLHLSLAEYSVFGSILTIGAMLGAILSGTIADRVGRRFAMAISDVFCIIGYLFIIFSKNVLWLDLGRLSIGCGIGLLSYVPVYISEITPKNLRGRFAAGNQLLICCGASLAYALGTFMTWRTLAIVGVTPCILQLIGLLVIPESPRWLARTSDQVVFEKALQKLRGKGTDISEEAAEIKDFTEKLQLLPRSKMLDLFQKDYMHAVTVGVGLMVLQQFGGVNAICFYSSEIFVSAGFSSGNTGMLAMVVVQVPMTALGTLLLDKAGRRPLLMASAAGTCLGCLLVGLSFLSKEYHWAKDLNVVLALAGILVFTGSFSLGMGGIPWVIMSEIFPIHMKGSAGSLVTLVNWLGSWIISYAFNFLLLWSSYGTFFLFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLAPASSGMSPIA* >Brasy9G074300.4.p pacid=40063761 transcript=Brasy9G074300.4 locus=Brasy9G074300 ID=Brasy9G074300.4.v1.1 annot-version=v1.1 MYASALITIMQYSVFGSILTIGAMLGAILSGTIADRVGRRFAMAISDVFCIIGYLFIIFSKNVLWLDLGRLSIGCGIGLLSYVVPVYISEITPKNLRGRFAAGNQLLICCGASLAYALGTFMTWRTLAIVGVTPCILQLIGLLVIPESPRWLARTSDQVVFEKALQKLRGKGTDISEEAAEIKDFTEKLQLLPRSKMLDLFQKDYMHAVTVGVGLMVLQQFGGVNAICFYSSEIFVSAGFSSGNTGMLAMVVVQVPMTALGTLLLDKAGRRPLLMASAAGTCLGCLLVGLSFLSKEYHWAKDLNVVLALAGILVFTGSFSLGMGGIPWVIMSEIFPIHMKGSAGSLVTLVNWLGSWIISYAFNFLLLWSSYGTFFLFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLAPASSGMSPIA* >Brasy9G074300.3.p pacid=40063762 transcript=Brasy9G074300.3 locus=Brasy9G074300 ID=Brasy9G074300.3.v1.1 annot-version=v1.1 MRDLHLSLAEYSVFGSILTIGAMLGAILSGTIADRVGRRFAMAISDVFCIIGYLFIIFSKNVLWLDLGRLSIGCGIGLLSYVVPVYISEITPKNLRGRFAAGNQLLICCGASLAYALGTFMTWRTLAIVGVTPCILQLIGLLVIPESPRWLARTSDQVVFEKALQKLRGKGTDISEEAAEIKDFTEKLQLLPRSKMLDLFQKDYMHAVTVGVGLMVLQQFGGVNAICFYSSEIFVSAGFSSGNTGMLAMVVVQVPMTALGTLLLDKAGRRPLLMASAAGTCLGCLLVGLSFLSKEYHWAKDLNVVLALAGILVFTGSFSLGMGGIPWVIMSEIFPIHMKGSAGSLVTLVNWLGSWIISYAFNFLLLWSSYGTFFLFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLAPASSGMSPIA* >Brasy9G086100.1.p pacid=40063763 transcript=Brasy9G086100.1 locus=Brasy9G086100 ID=Brasy9G086100.1.v1.1 annot-version=v1.1 MAASSLRSTFLCSYPACALRRLSAAPRASAFAQPKRFDRARRFFPAAASMSTSSGTKEAPANNPGLQAEIDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPDMKFSLYFLGYEDLSSAPADPVNRTGWTFGQKATLELTHNWGTESDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLARIGEVTTAAS* >Brasy9G070800.1.p pacid=40063764 transcript=Brasy9G070800.1 locus=Brasy9G070800 ID=Brasy9G070800.1.v1.1 annot-version=v1.1 MATIVNTTEEEPMLAVVRFTAELAWADAGPDVAEPEVARLCVEAQQLILAGRWLDMASLMLASADLLLLSPRVPDKDLECILAIICNLVTKAGSEDEALQIAELICAKLTHQPDDKPVLRLKVLFSLYNLLASPYGKAFVYKKALELATAGKAADSIIPTFKNIDTLVSDWGIGSLEQRELFLAVARILKDQKGMSKEYFNSLNKYLATFDGSGDDADKIGDAKEEAAAAIIEFVKSSDLYECDLLDMPAVAQLVKDEKYQPVYELLKIFLTQRLDSYLEFQTANSALLKGFGLVHEDCITKMRLLSLLDLSSHCSGEIPYPAITKALGINDDEVEYWIVKAISCKILDCKVDQLNQIVNVSRHTERIFGLPQWQSLRSKLGVWRGNIAGAISTIQANKVAEDGGQGMQGLMIR* >Brasy9G075700.1.p pacid=40063765 transcript=Brasy9G075700.1 locus=Brasy9G075700 ID=Brasy9G075700.1.v1.1 annot-version=v1.1 MKLLHLLVLAMAMVNVCAMASRTVPREQLTVHGVVKRVMTAEGTAIDNHHAIPRPEYGSWSSPGNMPGNGHDIGSEQAKP* >Brasy9G049800.1.p pacid=40063766 transcript=Brasy9G049800.1 locus=Brasy9G049800 ID=Brasy9G049800.1.v1.1 annot-version=v1.1 MRSRTNRSEPRDIYQPVSMSGCAVGAVTPMRSWGAVGSDRSMSIQGGFMMFDAHHLNSATYILRLQYTSMKLLKHVSTEHLFLMIGPFFGPHIARFLLNVCQAEWKSTESLHSLFTYCLFSEKLRFWSNAL* >Brasy9G013400.1.p pacid=40063767 transcript=Brasy9G013400.1 locus=Brasy9G013400 ID=Brasy9G013400.1.v1.1 annot-version=v1.1 MAETVLSMARSMLSGAVSKAASAAADEMSLLMGVRKDIWFIKDELETMQAFLVAAEGMKQKDMLLKVWAKQVRDLSYNIEDCLGEFMVHVGSRSLSQQLMKLKDRHRIAMQIRDLKSRVEEVSSRNTRYSLIDKNQGTGTAEERDSFLEDIRNQSASNIDEAELVGFTKPKQDLIELIDVHTINDPAKVVYVVGMGGLGKTTITRKVYESVKKDFSCCAWIILSQSFVRMEVLKVMIRELFGDEALKKQLEGKVVREEDLARYLKKELKEKRYFVVLDDLWNLDHWEWVRKIAFPSNNVKGSRIIVTTRDAGLANDCSFEPRDAGLAKDCAFKPLIFHLKALEIDDATKLLLRKTRKRHEDMKNDETMRKIVPKIVKKCGCLPLAILTIGGLLSTKMVNEWESIYNQLPLELESNPNLGAMRRMVTLSYNHLPSHLKSCFLYLSIFPEDYEIQRSRLVERWIAEGFVRARPGMNIGDVANGYFNELLNRNMILPSRVNIEGIIKSCRVHDIVRDVMVSISREENFVCVSVDNVTSLADENFRHIAYHGSKCPVKSMDWSHVRSLTMFGERPKEASPLVCSADLRMLRTLDLHNAQFKSTEKDIQNIGLMRHLKYLNLYHSRGYSYIYKLPRSLGKLQGLQMLDIRHTHITTLPTEICKLQSLRSLRCGNIGPYFDPDLPFKCCMSLLCLPVLFTPLFNHDERAAKIAELHMACSSGRSESRGVNVPRGIGNLKELEILETVDMKRTCSNAVEELGQLTLLRKLKVDTKGATEKKCKILCVAIEKLSSLCSLHVDAGRDGTLEWLHSVSALPLLLRNLRLDGRLGEEMPSWVGSLMHLVKIDLWRSRLKEGGKIMEILGALPNLMLLSLRGDAYLGEKLVFRTGAFPKLKQLEIYVLDELKEVRFEEGTSPHMERIEIYGCSLGSGIIGIKDLPRLKEISLGWDSKVGRLGVLQGEVDEHPNKPVLQLMQDRDKHDLGDIVQGSDDLQLEETTEEGSSLHPGPAAAGESSSQVIAIMPTTSKSQHDLLYTYNSC* >Brasy9G058700.1.p pacid=40063768 transcript=Brasy9G058700.1 locus=Brasy9G058700 ID=Brasy9G058700.1.v1.1 annot-version=v1.1 MASISVVVVVAALAFLIVVVGSNGAAGAGGTGQAAGQESTISCLMDCSVVIGACSVDCTKKSLGEFPQCTMDCLQADLACIAGCRPAVGPPAAA* >Brasy9G066600.1.p pacid=40063769 transcript=Brasy9G066600.1 locus=Brasy9G066600 ID=Brasy9G066600.1.v1.1 annot-version=v1.1 MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSLGKRASMEDFYETRIESVDGQLIGLFGVFDGHGGAKVAEYVKHNLFSHLLRHPKFMSDTKVAIDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.2.p pacid=40063770 transcript=Brasy9G066600.2 locus=Brasy9G066600 ID=Brasy9G066600.2.v1.1 annot-version=v1.1 MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSLGKRASMEDFYETRIESVDGQLIGLFGVFDGHGGAKVAEYVKHNLFSHLLRHPKFMSDTKVAIDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.3.p pacid=40063771 transcript=Brasy9G066600.3 locus=Brasy9G066600 ID=Brasy9G066600.3.v1.1 annot-version=v1.1 MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSLGKRASMEDFYETRIESVDGQLIGLFGVFDDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.4.p pacid=40063772 transcript=Brasy9G066600.4 locus=Brasy9G066600 ID=Brasy9G066600.4.v1.1 annot-version=v1.1 MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSLGKRASMEDFYETRIESVDGQLIGLFGVFDDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.5.p pacid=40063773 transcript=Brasy9G066600.5 locus=Brasy9G066600 ID=Brasy9G066600.5.v1.1 annot-version=v1.1 MEDFYETRIESVDGQLIGLFGVFDGHGGAKVAEYVKHNLFSHLLRHPKFMSDTKVAIDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.6.p pacid=40063774 transcript=Brasy9G066600.6 locus=Brasy9G066600 ID=Brasy9G066600.6.v1.1 annot-version=v1.1 MEDFYETRIESVDGQLIGLFGVFDGHGGAKVAEYVKHNLFSHLLRHPKFMSDTKVAIDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.7.p pacid=40063775 transcript=Brasy9G066600.7 locus=Brasy9G066600 ID=Brasy9G066600.7.v1.1 annot-version=v1.1 MEDFYETRIESVDGQLIGLFGVFDDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G066600.8.p pacid=40063776 transcript=Brasy9G066600.8 locus=Brasy9G066600 ID=Brasy9G066600.8.v1.1 annot-version=v1.1 MEDFYETRIESVDGQLIGLFGVFDDDSYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGDAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVEMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSSGPA* >Brasy9G342300.1.p pacid=40063777 transcript=Brasy9G342300.1 locus=Brasy9G342300 ID=Brasy9G342300.1.v1.1 annot-version=v1.1 MDGAAAARKRSRTDTANGGAKRSKETESQQTGLSSKSKPCTKFFSTVGCPFGEGCHFLHFVPGGYQAVSKTHNLGHAAVSAPSRAPVDHGANSHPAPAGKTRMCTKYNTAEGCRFGDKCHFAHGERELGRTTYESPYAPPMGGRFGSRHEPPPPAMTGPPTGSFGASATAKISVDAALAGGIIGKSGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASDMVGELIATISASTPLKNPAGAVPPAGRGGPGGRSNYKTKICENFLKGTCMFGDRCHFAHGENEQRKGAAV* >Brasy9G058000.1.p pacid=40063778 transcript=Brasy9G058000.1 locus=Brasy9G058000 ID=Brasy9G058000.1.v1.1 annot-version=v1.1 MFHLSSSCFMEERAALLDIRSSLTRARSSQFRAPVLTAPVCMNWQIQRMMLGNLTVFSAFRELLYLDLSYNNPCSLSCFEGLVGLDKLRYLDLLYVKGAAIASNFITSGSGHFAVQSVIAVDINSPFCCIHHRLCRLSFVIQFGCFFICLN* >Brasy9G058000.2.p pacid=40063779 transcript=Brasy9G058000.2 locus=Brasy9G058000 ID=Brasy9G058000.2.v1.1 annot-version=v1.1 MFHLSSSCFMEERAALLDIRSSLTRARSSQFRAPVLTAPVCMNWQIQRMMLGNLTVFSAFRELLYLDLSYNNPCSLSCFEVVDKSAPTNGQWGRPR* >Brasy9G190800.1.p pacid=40063780 transcript=Brasy9G190800.1 locus=Brasy9G190800 ID=Brasy9G190800.1.v1.1 annot-version=v1.1 MPVRNALVTLYGVSGECGDARRVFDEMASRDVVSWTALVSAFVRGGWFAEALVVVGQMDVVPNERTMACALVACGRLGAAPVGKAVHGWYLRRESDLKLIVGNAVLDMYVKCEKLDLARRVFDRLLVRDVVSWTVMISGLVQCKLPSKALELFNEMQKSGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSLIDMYSKCGCLETSLSIFHKMPLKNLSSWNALINGFALHGHGREALEHFDRMVASGLTPNEVSFIIVLGACCHSGLVQEGLQLFELMKNSYKLLPWEEHYGCVVDLLGRAGLIHEAYSVTKVMPMRPAVLTWGALLSACQAHRQVDFSQQILRHVHELESSESGVYALLSNIYAVNRRWADVKTVRGLMSEKGLQKEPGSSVIEVNGKTSEFVVGQRNHKNVDEICAMLSILMEQILLDGL* >Brasy9G201100.1.p pacid=40063781 transcript=Brasy9G201100.1 locus=Brasy9G201100 ID=Brasy9G201100.1.v1.1 annot-version=v1.1 MEEENAAPTNSITVDLKVYMHCDACERSVRRTIKKIDGVETVEVDREENKVKVTGDFDSEKVLKKIKKKTGKKAEILIPEEIEEEEQEPDVVCVPYGDPVPDMDYILGDEFLRPARWDLYYFDDENTEACRIM* >Brasy9G190600.1.p pacid=40063782 transcript=Brasy9G190600.1 locus=Brasy9G190600 ID=Brasy9G190600.1.v1.1 annot-version=v1.1 MAAGRQGGHRDYEARERELDAEASRRSKEQNHHNHLGGRPRSDADRRRDSGRSRGGREFSNGFGHRRTPPPKSRLSGRLGEREPGEVLSGSASDDSGGRTHTVTENVVSSSSREGKVAPGAAAPSPSKKRKFSPIIWDRDSPKPPLHSDIASGKKVVESLSADTASGTKVVESVSAELPPPPPLPPQGHSPERLVVEKSPMDVDLAVDTEGTEQLHEPEESKVLEEEEYPTMRNISTSRWAGANDEEEESTAPRKKKNISPADSAELRPGKKTPSPELGEVVVSDISVGRTISRSSDSGRMGNDEKEDLEVDKDDYMDVDREEASNSDSANRLSSDSENEARRSESPEPVKLGHRCINMLQGCRSVDVFERLNKINEGTYGVVYRARDKKTGEVVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAKTMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPNLSEAGFDLLNRLLTYDPEKRITADAALQHHWFTEVPLPKSKDFMPTFPALNELDRRTRRYLKSPDPLEEQRLKELQAKGSRGLFG* >Brasy9G043600.1.p pacid=40063783 transcript=Brasy9G043600.1 locus=Brasy9G043600 ID=Brasy9G043600.1.v1.1 annot-version=v1.1 MEIAISAVTSELVTRFISFLMDRYRSTRARSEEKAVERLQHLLMRVSTVVEEADGRYITNSGMLMQLKMLSEAMYRAYHVLDAFRYQAHEEKGVNKVSGTTNLPFSIPLKRSRTADSSSNGKIMHLELHRSLETLEIVVANMAEFVVLLGGCERLARRPYDAYLYTDNFMFGRHAEKQKLLSFLLQHNPPGHAPAVLPIIGSIAVGKKTLVAHVCGDERVRSSFASVVHLNGDSLLRVLDLDQRRTMLGMILVIVKFDSDVADEDWNKFHSFVKETNTGSKVIIVSRHQRTARFGSVKPIFLNPIPYEEFWYLFKTLAFGSADMAQYPHLVRIAEEFAKELQSGGSLVAANAVADVLRTNLNIQFWHCMLSRHRRVVQKNLSVHGAPPNLHFQQGHTIDITDFALHASSPIRIAPCTRSSNMMKNDSLKTKSPKVVTLRELLVNPTGRPKEEFSLLTWESRMPPYSRFAHLVESCSDHDLPQDVTLSGRKRQGAPV* >Brasy9G043600.2.p pacid=40063784 transcript=Brasy9G043600.2 locus=Brasy9G043600 ID=Brasy9G043600.2.v1.1 annot-version=v1.1 MLSEAMYRAYHVLDAFRYQAHEEKGVNKIMHLELHRSLETLEIVVANMAEFVVLLGGCERLARRPYDAYLYTDNFMFGRHAEKQKLLSFLLQHNPPGHAPAVLPIIGSIAVGKKTLVAHVCGDERVRSSFASVVHLNGDSLLRVLDLDQRRTMLGMILVIVKFDSDVADEDWNKFHSFVKETNTGSKVIIVSRHQRTARFGSVKPIFLNPIPYEEFWYLFKTLAFGSADMAQYPHLVRIAEEFAKELQSGGSLVAANAVADVLRTNLNIQFWHCMLSRHRRVVQKNLSVHGAPPNLHFQQGHTIDITDFALHASSPIRIAPCTRSSNMMKNDSLKTKSPKVVTLRELLVNPTGRPKEEFSLLTWESRMPPYSRFAHLVESCSDHDLPQDVTLSGRKRQGAPV* >Brasy9G234600.1.p pacid=40063785 transcript=Brasy9G234600.1 locus=Brasy9G234600 ID=Brasy9G234600.1.v1.1 annot-version=v1.1 MRAPLPATEMAPPPPPPPTPAARILSDYGWDLLLGSITAFYAVMVPYTKVEESFNVQAMHDILYHNHHIEKYDHLEFPGVVPRTFIGALVISIFSSPAILMMRIFHVPKVYGLLAVRLMLGCVILTTLRLFRVEIKRKYGRHVEAFFVILTAIQFHVLFYSTRPLPNILALAFVNLAYSFWFKGNFLCTLQTLIVAAVVFRCDMVLLLGTIGLALLLSRSFSLLEAVKCCISTALICIGFTVLVDSIMWQRILWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVLPVALFVVLYSKLPHKELRFIIASIPMFNVSASLAAGRIHNNRKKSGWNFLYVLMLGAFLVSLGYSAVTFMASYSNYPGGHALKALHEADSSVKEKTVHIDAFTAMSGVSRFCENEYPWRYSKEEDISIEEYQKRNFTYLLNEHRYISGYKCLFAVEGFSRAKIQPGIPPLSLVKVPKVFAHGNTRDPDILSLDWPGCP* >Brasy9G234600.2.p pacid=40063786 transcript=Brasy9G234600.2 locus=Brasy9G234600 ID=Brasy9G234600.2.v1.1 annot-version=v1.1 MRAPLPATEMAPPPPPPPTPAARILSDYGWDLLLGSITAFYAVMVPYTKVEESFNVQAMHDILYHNHHIEKYDHLEFPGVVPRTFIGALVISIFSSPAILMMRIFHVPKVYGLLAVRLMLGCVILTTLRLFRVEIKRKYGRHVEAFFVILTAIQFHVLFYSTRPLPNILALAFVNLAYSFWFKGNFLCTLQTLIVAAVVFRCDMVLLLGTIGLALLLSRSFSLLEAVKCCISTALICIGFTVLVDSIMWQRILWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVLPVALFVVLYSKLPHKELRFIIASIPMFNVSASLAAGRIHNNRKKSGWNFLYVLMLGAFLVSLGYSAVTFMASYSNYPGGHALKALHEADSSVKEKTVHIDAFTAMSGVSRFCENEYPWSEHRYISGYKCLFAVEGFSRAKIQPGIPPLSLVKVPKVFAHGNTRDPDILSLDWPGCP* >Brasy9G234600.3.p pacid=40063787 transcript=Brasy9G234600.3 locus=Brasy9G234600 ID=Brasy9G234600.3.v1.1 annot-version=v1.1 MRAPLPATEMAPPPPPPPTPAARILSDYGWDLLLGSITAFYAVMVPYTKVEESFNVQAMHDILYHNHHIEKYDHLEFPGVVPRTFIGALVISIFSSPAILMMRIFHVPKVYGLLAVRLMLGCVILTTLRLFRVEIKRKYGRHVEAFFVILTAIQFHVLFYSTRPLPNILALAFVNLAYSFWFKGNFLCTLQTLIVAAVVFRCDMVLLLGTIGLALLLSRSFSLLEAVKCCISTALICIGFTVLVDSIMWQRILWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVLPVALFVVLYSKLPHKELRFIIASIPMFNVSASLAAGRIHNNRKKSGWNFLYVLMLGAFLVSLGYSAVTFMASYSNYPGGHALKALHEADSSVKEKTVHIDAFTAMSGVSRFCENEYPWRKILKGGRHFH* >Brasy9G234600.4.p pacid=40063788 transcript=Brasy9G234600.4 locus=Brasy9G234600 ID=Brasy9G234600.4.v1.1 annot-version=v1.1 MRAPLPATEMAPPPPPPPTPAARILSDYGWDLLLGSITAFYAVMVPYTKVEESFNVQAMHDILYHNHHIEKYDHLEFPGVVPRTFIGALVISIFSSPAILMMRIFHVPKVYGLLAVRLMLGCVILTTLRLFRVEIKRKYGRHVEAFFVILTAIQFHVLFYSTRPLPNILALAFVNLAYSFWFKGNFLCTLQTLIVAAVVFRCDMVLLLGTIGLALLLSRSFSLLEAVKCCISTALICIGFTVLVDSIMWQRILWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVLPVALFVVLYSKLPHKELRFIIASIPMFNVSASLAAGRIHNNRKKSGWNFLYVLMLGAFLVRFFCEGKNGSH* >Brasy9G081300.1.p pacid=40063789 transcript=Brasy9G081300.1 locus=Brasy9G081300 ID=Brasy9G081300.1.v1.1 annot-version=v1.1 MAKWFSSGFLHLLLVVAVLPLLVPSSQGKGSSSVNATDTLTATRPLSGDGRLISQRGKFALGFFQPQAQGSTGKWYIGIWYNKISVQTVVWVANREKPISDPASSSLTISDDGNIILRHSKSIVWSSNSTKAAFRSTVAVLLDTGNLVVRHKSNTSNVLWQSFDDITDTWLPGNKLSLNKVTGVSNRMVSWKNHGDPAPGMFTVEMDPDGSNQNIILWNSSQVYWASGNWTGTSFPNMPELSPANTYPNTPYTYGFVNNSQEVYFTYNVTDDRVLSRNVISVSGQSQSSVWVETAQAWVIYFSQPKANCDVYGLCGAYSKCSGSGLSTSCSCLKGFSESDPNSWNLGDQTAGCRRNVPLQCSREDLVKGKQDRFYTIASVKLPHKAQSIQATSIQNCQAACLDNCSCSAYSYNGTCSLWYAELLNLQDTVDGSVDVIYIRVAASELPDSRTKKWWIFGIIIGGLAILGSGVITLYFLCRKRQINGIHLAEGSLITFKYSDLQFLTKNFSEVLGAGAFGSVFKGVLPDTTTMAVKKLEGLRQGEKQFRAEVSTIGTIHHINLIRLIGFCSEGTKRLLVYEYMPNGSLDHHLFGGSSLSLSWSTRYQIATGVARGLTYLHEACRDCIIHCDIKPQNILLDASLIPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNTLHGGTSADTFFPLVVARELAEGGVHTLLDSEVLIDVHLGELERICKVACWCVQDSESSRPTMGEIVQILEGLVDVEMPPTPRYLQVLAEGVKSSQVSSLG* >Brasy9G158400.1.p pacid=40063790 transcript=Brasy9G158400.1 locus=Brasy9G158400 ID=Brasy9G158400.1.v1.1 annot-version=v1.1 MNSWRSAARILHQQQAPSNVASTSEPVPVQTARTGIHEKDSSKQQPVVHTRSEFAAMMQSASVKIQEGATAGSQGEAAFAEMEQAMTGLMALSYKEAEPRKLHRVLATRWAQDDADPLMEDVMDDPVTLASGYGFWISFSFRLHRQNNQIDWVLKEKGQANILQGHNKLTCTSCSSVDQSHMCPATGKSYLPPSTTADTLLIPLVTPSEEQIQVILEKLSGSSGPPKETLHLIQPCLEKWPDLAAVLLDLKKKWKLSWTPDLEEERITIILNLSKHRPNREILAGQTKLPGVLKEVVEKADSLGSSASLLAMVASIISLLSEFDMFRKKMLRRRGMKMLRDLLKIEDAVVRKESGTAILALCKDEEGKASAEDYDVSGTLLECFTVTDEFLLLLDRLPKSPHVLDKICDQAVEWVNIVMGGQASVMITSRGIHSAISLIHTIALRDVGMLVVKNVEGFKECLRKLSATTIPRGTLYQIEELNSMFSSTVPQN* >Brasy9G311800.1.p pacid=40063791 transcript=Brasy9G311800.1 locus=Brasy9G311800 ID=Brasy9G311800.1.v1.1 annot-version=v1.1 MNPPPGPWQLPVIGSLHHLVGALLHRAMGDLALRHGPLMLLRMGELPVVVASSAAAAREVMKTHDAAFTTRPRTDTIRTITRDGLGILCVTELLSARRVRSFRGSREAEAEADSLVASVASASEGKAVVNVSYLVSTYMAAAVVRAVVGGRIDDSDTFLASLEESAKVAAGFSLPDLFPSSRLARALSGTARRVEAVVGELSRLMDAVIEDKRARRSGAGGDEEEDILDVLLRFQHPDDAPLDIGTIRAVIRVRSVRGWERDLGDHALMGDVGADAEPGGAPKGPEQCPGGSAAGAALLAAGDQGDAPAARAGAVAHPTGPDAEEFRPEMFEDAAPAVDFRGSDFEFLPFGAGRRMCPGMTFGVIVTELALASLLFHFDWELPGGAGGLDMEEALGITARRKNDLWLHATVHAPY* >Brasy9G212800.1.p pacid=40063792 transcript=Brasy9G212800.1 locus=Brasy9G212800 ID=Brasy9G212800.1.v1.1 annot-version=v1.1 MARMMCGRTGEPAVRKGPWTLEEDLILVGYISQHGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGLRRGSISPEEDMVIRELHSRLGNKWSEIAKHLPGRTDNEVKNYWRTRIHRKPHQGKLQPQAQQQQQQHPCLDSVATSESASASASTSQASSTVGDEHMQASFPYPELSWVADQSDVGAITTRFFSSADFGDNVWNVEDSFWETLPFADPVYEAL* >Brasy9G207800.1.p pacid=40063793 transcript=Brasy9G207800.1 locus=Brasy9G207800 ID=Brasy9G207800.1.v1.1 annot-version=v1.1 MGSWDSLVPASSCCGAQDPAPIFYWFNHQWCFPDSSHASLKFSGCLVLCRNRDAPQFLNSTGSHEQEHGPGNQKFREAQNSRSLPAAELIMGTSPEVQHPLAFS* >Brasy9G094000.1.p pacid=40063794 transcript=Brasy9G094000.1 locus=Brasy9G094000 ID=Brasy9G094000.1.v1.1 annot-version=v1.1 MLLLLFSLTSVPIPHAPPLQALPHAQGNFVIPLPHAPPLQGNYAMPFPPGQLQPNHGVPIPPNVLEPKNLRLNIYSLKAYDCSKGFAAILAKESNRYLKTACFPVQATEQDAIFANTCMTALTWVEPLRKLLAPHPVNVMLESSIFHLLDSFSGLEDLLTNMDQYGASMVHCSREDNRPAKDLAVHGMGLGLQYTREYADPPSWLKIRK* >Brasy9G047300.1.p pacid=40063795 transcript=Brasy9G047300.1 locus=Brasy9G047300 ID=Brasy9G047300.1.v1.1 annot-version=v1.1 MCPPTSDPDTVNSTSPRPPLRQRDPAADDLGFPPQPPHVDPSPQHRLELSSAANLGLNTQPSGEPRGNGCHGHHGLHGSTLTTM* >Brasy9G265000.1.p pacid=40063796 transcript=Brasy9G265000.1 locus=Brasy9G265000 ID=Brasy9G265000.1.v1.1 annot-version=v1.1 MAGFFPVAVAVAFHLCLLLCSSSSLRVAPGSSPARHGGGRRIRTAYHFQPAKNWQNDPNGPMYHNGVYHFFYQYNPSGATWGTGNLSWGHSVSGDLVNWLALALALVPDSPFDANGCWSGSATVLPGGIGPAFLYTGIDAAGEQVQNVAFPKDPADPLLRDWVKPRYNPVIPLPSGVPGDSFRDPSTAWVGRDGLWRVAVSAAVVGGEGGGSTLVYRSKDFRRWERNPAPLHASGEAGMVECPDLFPVAAPGQEEGLEFRFDSSSSPAPAATVLKLSVMDTLQDYYAVGRYEDATDTFTPADGEEEDCRRWRRFDYGHVYAAKSFFDAGKRRRVLWAWANESDSQADDIARGWSGVQIFPRKVWLDADGKQLRQWPVEEIETLRIPNRRGVLSLPGADQVNAGGVNEIVGVAGSQADVEAVFEVPALENAESLDDDPNWVLDDPQRLCGEKGAAVRGSVGPFGLLVLASGDLREHTAVFFRVFRYQGKYKTLMCTDLTRSSTRAGVYKPAYGAFVDMDIAEHGALISLRTLVDHSVVESFGAGGRTCMTARVYPEHADEAGNGEGSRLYVFNNGTGAVKVARLEAWELATASVNVVAAGDDAGSILLGSIRASEVE* >Brasy9G149500.1.p pacid=40063797 transcript=Brasy9G149500.1 locus=Brasy9G149500 ID=Brasy9G149500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIIRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYLPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYLVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPTPQLTKVFQMEGFTPARGPPRVFESTYLPRQFLYGEQKEDAYVFPYSPQLLPRF* >Brasy9G100900.1.p pacid=40063798 transcript=Brasy9G100900.1 locus=Brasy9G100900 ID=Brasy9G100900.1.v1.1 annot-version=v1.1 MEPTENISGVWSTNLHLFNLAYLWQVVGIFGFSPEDHIGKISFPPVQAVPSLPSSPPHHFSGNDRLRCLRPCALDQIKYVSGSYDSGQGFELLNKAISGNQTSENNQPGNYRRIFYLVLPPSVYPSVCKMIRTYCMAPSSHTGWTRVIVEKPLGKDLGSAEEPSSQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIPACPSLC* >Brasy9G018400.1.p pacid=40063799 transcript=Brasy9G018400.1 locus=Brasy9G018400 ID=Brasy9G018400.1.v1.1 annot-version=v1.1 MCDARSVKCAPQYRRATKAASIDLRAMFSAARFVIPVGIHPQPCGVAAPGRQALALTAVSARPRRGGGGPRRDRSWHDDGGSGDESFFGDEDEDEPEEEETHTPPGRRQPASPEPALRGSDVLRALQRAAAAKEETKKKKKKQRSPAPRRREEKGGGGAKAAVEVMGEVRPIEIRPEWAPRIRELELRVQQFLAKHQ* >Brasy9G123500.1.p pacid=40063800 transcript=Brasy9G123500.1 locus=Brasy9G123500 ID=Brasy9G123500.1.v1.1 annot-version=v1.1 MRAIAVVAMLAAALLAVSARAEQCGSQAGGAKCPNCLCCSRFGFCGSTSDYCGSGCQSQCSGCGNTPVTPTPSGGGGGVSSIVPRSLFDQMLLHRNDAACQAKGFYTYDAFVAAANSFPDFATTGGTDVRKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFNQERGATSDYCTQSAQWPCAAGKKYYGRGPIQISHNYNYGPAGRAIGADLLGNPDQVATDPTVSFKTAFWFWMTAQAPKPSSHDVITGRWSPSGADQAAGRVPGFGVITNIINGGLECGRGQDNRVADRIGFYKRYCDLLGVSYGDNLDCYNQRPFA* >Brasy9G170800.1.p pacid=40063801 transcript=Brasy9G170800.1 locus=Brasy9G170800 ID=Brasy9G170800.1.v1.1 annot-version=v1.1 MAVGKLAVLALVASSLLMLSTIKAAGYAPAPAPLGPPPHKIVDPSKDCVGACDVRCSENKRKNMCSRACLKCCSVCHCVPAGTAGNQETCGKCYTDWTTHGNRTKCP* >Brasy9G018500.1.p pacid=40063802 transcript=Brasy9G018500.1 locus=Brasy9G018500 ID=Brasy9G018500.1.v1.1 annot-version=v1.1 MARAAVSTAPLSRLHSPPPPIHHCPLSHPELRIRQQRRALCIASALPQVVADLPPLSLPAAAAAAAAVAAAVSLSDPERRRKAQAEAVGGGDKEAVRAYFNSTGFERWRKIYGSNTDDVNRVQLDIREGHAQTVAAALAMLRDSPEDVPLSGATVCDAGCGTGSLAIPLASAGASVLASDISAAMVSEAQRQAAAAAASAAGSSFVMPRFEVRDLESLEGRYDVVVCLDVLIHYPREEAQKMIRHLASLADKRLLISFAPLTLYLGLLKRVGELFPGPSKATRAYLHAEKDIEEALRDAGWRVSKRGFISTQFYFAKLFEAVPVAGSSSS* >Brasy9G126300.1.p pacid=40063803 transcript=Brasy9G126300.1 locus=Brasy9G126300 ID=Brasy9G126300.1.v1.1 annot-version=v1.1 MGRPCRRTRSRKPVLLLLLTLLLLVLPSCEATRGMQPFKGRPLERGGANHFLGFLPRGQMPPSGPSRQHNSVGLESQLENKP* >Brasy9G112500.1.p pacid=40063804 transcript=Brasy9G112500.1 locus=Brasy9G112500 ID=Brasy9G112500.1.v1.1 annot-version=v1.1 MVAVKEHLFAATLGMISRLVLGNKYVGKESSGSGSAMAPEEFMRVMEEFFFLNGALNVGDFVPWLGWLDLQGYVGRMKKVGRTLDAFMERVLDEHDACRRLEGDDSFVANSKDMVDVLLLQQLGSGDEEPLERDSVKALAMDLIAGGTNTNAITLEWAMSELLRNPGAMDAAGEELGRVVGRGRLVREDDIQSLPYIRAVVKETLRLHPVGPLLAPHEAREDATVPAAVVSGSGNGVSYDVPAGTRVLVNVWAIARDAAPWGERPEEFRPERFLPGGSKSGVDVVGQDMELLPFGAGRRMCPGHGLGIKVVQICLANLIHGFAWRLPDGLGIKEELGMDEVFGLTTSRKFPLEAVHARAKAPRSPLHAAFLRLGFC* >Brasy9G296000.1.p pacid=40063805 transcript=Brasy9G296000.1 locus=Brasy9G296000 ID=Brasy9G296000.1.v1.1 annot-version=v1.1 MMGGGRRREAAAAAGQEEGELDLEEGEALGGAARPTAHDELLDPDSLTYIDEKLHNLLGHFQKDFEGGVLAENLGSHYGGYGSFLPTYQHSPSVISQSRSPAVPPNRGSASRSPYIPVESAEKSHFFKTALDSRRKDDCCQGTSSGTNDTGHQQKLNRATKGPEQKAPKIRIKVNTNRSLARNTAAIYSGLGLDISPSSSMDDSLDGSTGAPEPENLRNESPNTIFQIMTCHPIPGGVLLSPLAENILELRKRPKSVTNKHEAPALADDKAEFNRDWCHVTSVARGNKDQMVNKYKYDEKKDHALNVKSSKCRHNNSMIMNKMTMPELLDISDDTDSVLVPRSIKTEQASVEESTKLVDDISNNHLKETKNDPLKGRIRNKSSVGDIESIVDVGAVNLADDGNHPKGKANSKASTVRNAFKDLSKDSKTEPTLHEGFISKIKYDSDGYSDRPSTTSSQPQNVSRNNASVDRDKGKVVHVKDELSPYRRKGMENLVNAESMDTIPESVGGNPSGMPKRKKKISSLQTAPSGKKLKVKAQKQLSNDITMKSYGEDMSVKPKETVSPAETDKGRLGDGNDGDHKISPLNFDRSTPVPSACVNEATKSSVSVPAAEPVVINERWACCDKCDKWRLLPYGMDPDILPKKWRCSMQSWLPAMSSCKISEDETTKALRALYMVPAPENDISLDGRHDISTSAICTAMAPLSSEGDTKFITTSGTPKVGSNVNVPSKLNMAEMSKPSKKLHALISRNPDVDCFPKQKGKRKHVGSSDKGETVAEDLTRPESKSGIGVNLGNLRASKKIKKEFNEAAKNSPSIKETPTFLHQDSGISPSLGKYGSPSSAKCNDDKVISDEGTRTSDAGRSDLPDSSSKVRKSKQRQLSKLGTGPGASDASVKHVVKEAISESNGAKEKPMPELKSLKMDDRKVAHARGPIVGRDSDRMYAEKKCLSEQHQENIHLQHSLLSESATRKSTCYAQVSTAATSSSSKVSSSHKSKVDFQETRASPVESVSSSPLRASGKNIVDQNKRYPCAVAENVPSQEPGRSVSSFSTEKHDLGSGSDLAKLHGSGCFNGDLHRHVLKDGELQKVKQDLVTNECSQNEDSGLGGRNGQLNSVIARKVNSHVLSIHGNCDEKQPPACEHGKTPPHLNSNQRDHAKLTSGKLPAQVKPDKGHAEQKDLKTNPSAVNGSKQQTTLNNTANGDVSYKAKQSKNTVIENTKQVALNRDASNPINTSVLLKEARDLKHWSKRLKEKGDDLESASICFEACLKFLHVASLLEGPSIDRSKEGDSIQAMRLYSETGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDKHALQATSLMVPPAESPSSSASDVDNLNYQSTAAKAVSARALYSPQIAGNPIPRNNHHLMGLLAYADDINYAFDGTRKSQSSFAAYVADIGKVQVDGIALVREVLEFSFHNVKRLLQLIRQSLESINHECVK* >Brasy9G188600.1.p pacid=40063806 transcript=Brasy9G188600.1 locus=Brasy9G188600 ID=Brasy9G188600.1.v1.1 annot-version=v1.1 MGDHLHQQQHLDLDPGFRFRPTDEELISFYLNQKVKDRSFCTITIGEVNINKCEPWDLPMKAKMLGPGVTEWYFYYHKDRKYPMSHRTNRATEAGYWKITGKDKEIFDGHGLLIGMKKTLVFYMGRAPNGRKTNWVMHEYRIVSNNKMPSYSTNDTITDQSSNSKDEWVVCRIFQKSIGINEEVVPSYPMVPINNDQIMPPMPMTVGDQQQGFDTNTTPCTQIVQLPPHMDPASSMYQLYDNTSSSSLAPLPTNDLHFANNQTPPMSLYQHHMQMPTQLDATTDEGFLGIDTWDIIHGVVGPQYHHHH* >Brasy9G350000.1.p pacid=40063807 transcript=Brasy9G350000.1 locus=Brasy9G350000 ID=Brasy9G350000.1.v1.1 annot-version=v1.1 MAWFWMVAAAGAVLLWAVSLGRILSSPAPYCLPLSARFLPPLRGDRRSRHVLLVLAHPDDESMFFTPTILFLKSKGHNVHILCMSLGNADGLGNIRKEELFKACATLNIPAEQVKVLDHQKLQDGFHEKWDHGLLVELTMEQVQLWDIDTIVTFDSCGVSGHPNHRDVYHGISKLLHENQQGNIEAWELVSLNIFRKYSGPVDIWLSSLTSSSSKQPTYCVVNCSPSRTFEAMAAHRSQWVWFRRLFVRLSSYTYINMLRKI* >Brasy9G350000.2.p pacid=40063808 transcript=Brasy9G350000.2 locus=Brasy9G350000 ID=Brasy9G350000.2.v1.1 annot-version=v1.1 MAWFWMVAAAGAVLLWAVSLGRILSSPAPYCLPLSARFLPPLRGDRRSRHVLLVLAHPDDESMFFTPTILFLKSKGHNVHILCMSLGNADGLGNIRKEELFKACATLNIPAEQVKVLDHQKLQDGFHEKWDHGLLVELTMEQVQLWDIDTIVTFDSCGVSGHPNHRDVYHGISKLLHENQQGNIEAWELVQEIVREALKLHIHKHAPKNLAFDAVSKKQRHCVSMKKLLLKNNTTVCWACSHWIR* >Brasy9G350000.3.p pacid=40063809 transcript=Brasy9G350000.3 locus=Brasy9G350000 ID=Brasy9G350000.3.v1.1 annot-version=v1.1 MAWFWMVAAAGAVLLWAVSLGRILSSPAPYCLPLSARFLPPLRGDRRSRHVLLVLAHPDDESMFFTPTILFLKSKGHNVHILCMSLGNADGLGNIRKEELFKACATLNIPAEQVKVLDHQKLQDGFHEKWDHGLLVELTMEQVQLWDIDTIVTFDSCGVSGHPNHRDVYHGISKLLHENQQGNIEAWELPEHFPQIQWSS* >Brasy9G350000.4.p pacid=40063810 transcript=Brasy9G350000.4 locus=Brasy9G350000 ID=Brasy9G350000.4.v1.1 annot-version=v1.1 MAWFWMVAAAGAVLLWAVSLGRILSSPAPYCLPLSARFLPPLRGDRRSRHVLLVLAHPDDESMFFTPTILFLKSKGHNVHILCMSLGNADGLGNIRKEELFKACATLNIPAEQVKVLDHQKLQDGFHEKWDHGLLVELTMEQVQLWDIDTIVTFDSCGVSGHPNHRDVYHGISKLLHENQQGNIEAWELVR* >Brasy9G350000.5.p pacid=40063811 transcript=Brasy9G350000.5 locus=Brasy9G350000 ID=Brasy9G350000.5.v1.1 annot-version=v1.1 MAWFWMVAAAGAVLLWAVSLGRILSSPAPYCLPLSARFLPPLRGDRRSRHVLLVLAHPDDESMFFTPTILFLKSKGHNVHILCMSLGNADGLGNIRKEELFKACATLNIPAEQVKVLDHQKLQDGFHEKWDHGLLVELTMEQVQLWDIDTQASS* >Brasy9G063700.1.p pacid=40063812 transcript=Brasy9G063700.1 locus=Brasy9G063700 ID=Brasy9G063700.1.v1.1 annot-version=v1.1 MMSGNGNGSGPSGSGGGFFQQQQQHPKRRPPPAPAGGPSSSDQQQRKMLRLSTPQGQDADALAAGVVPPVTVVLDDRCICHRVHLGRHSGYRSLAAALRRMFVDEDDDNGTAADGEGQGELVDLSNAVPGHVVAYEDMEDDLLLAGDLNWRDFVRVAKRIRILPAKSPNGRTTTNQPRRPD* >Brasy9G348300.1.p pacid=40063813 transcript=Brasy9G348300.1 locus=Brasy9G348300 ID=Brasy9G348300.1.v1.1 annot-version=v1.1 MALRAETRRRQRDDLLVGDGEFHSLTYDMMLAWEAPDPDTDADLQKSVLLCSGDMDGGGDDGTSMFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAVAHPMTVRNLFDALTNSTGGRLHFLIYHKYLKSLDTVLRSAKPMSGGVHKTPRLELCNGEVVLDVFGAATTKPVLQHIGTSTWPGRLTLTNHSLYFEPIGVDFSYGDAVVYDLAGDLKQCVKRESTGPWGAHLFDKAVMYKSSSISEPVFFEFPQFKGHSRRDYWFAITKEVLHAHKFIRRYKLASFQRAEALSVATLGILRYRAVKEGFHILPAYFKTTLAFNLAEKLPKGDKILEALHGQLKQQHCSRFRGTDELVGGPDDPFPLSAHTLMRMGLLELKEEDHPPEERDFSARDVQTGGTSSVQMALERSVGYSDRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLRFLADWEEPLKSYVFLLCFLYIVYRGWIWYIFPSLLLGCTAFMLWNKHHGNRQMIGAFEVTTPPRRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNKVAVAMLVAAAAFALLPLRRIVLLIVVEAYTRHMPARKKSSEKLLRRLREWWLRIPAAPVQLLRPQDTRRWRSRLRSR* >Brasy9G235800.1.p pacid=40063814 transcript=Brasy9G235800.1 locus=Brasy9G235800 ID=Brasy9G235800.1.v1.1 annot-version=v1.1 MLLNPASSEALVLDSIRQHLMEEPHGATVAPSQGQKGGVAMRPAYLRSASFGSLVADQWSSSLPFRADDSDDMVVYGALRDAFSCGWLPDGSFAAVKPEPLPSSPDSYDASSCFAFLGPDTPSEESSEAMPVPTRSEEASASRGKQQQHYRGVRMRPWGKFAAEIRDPAKNGARVWLGTYDAAEDAALAYDRAAYRMRGSRALLNFPLRITSEIAAAAAAGHKRPAAPEPATSDSSSSSSSSGSPKRRKRGEAAAASMAMPLVPPPSSLAWFVPAPPVEQVAGMAPRLEQLVS* >Brasy9G212100.1.p pacid=40063815 transcript=Brasy9G212100.1 locus=Brasy9G212100 ID=Brasy9G212100.1.v1.1 annot-version=v1.1 MHQDACTFCLFLCFFLPPAAAFPHLPTRRLNGADAGEGERRRGGRRRRPLLSPVRSWLSSSSGHGRPSRLCSWHGVGVVAAAPPRWRLTRASGARRRGPRSVPSGRSWRRHRGSSPGLTSVAPTPAASARSARSPPRLVFSDLEANTEGARHFCIRARRRRVASVASVEIMADSGVVSDDDDFISQSDPDDCDFDLGNRDGAVNAGGPAAGDSVFVAVNGPAILEILNRYLSLKNLLMLVIAKAH* >Brasy9G199000.1.p pacid=40063816 transcript=Brasy9G199000.1 locus=Brasy9G199000 ID=Brasy9G199000.1.v1.1 annot-version=v1.1 MQPKINAFFKRQAPGPDSSSVDGARRERSGPTEAKRPKSCADAKVLNKKRNYGQFHLELGQPDFLLHMCAVCGMMYARGNDEDEKVHRAYHKSYFEGVPFKGWRDEIVVASSEGGERIILASGENSCKRNSKVQEVIKVVEKELGFGEGQLLHKLCKVYLFISGGRIVGCLVAEPIKAAHKVIPSSTTENRRDISKAESGQANHTLEFGEISFKREVSRRHNHPDKNKEECQGPGAIICEEEAVPALCGFRAIWVVPSRRRKQIGSQLMDAARKSFYEGSTLGISQCAFTPPTSAGKALASSYCKTSAFLVYREGDV* >Brasy9G310200.1.p pacid=40063817 transcript=Brasy9G310200.1 locus=Brasy9G310200 ID=Brasy9G310200.1.v1.1 annot-version=v1.1 MARLIARTLTLARPAAPRSSLRPVRAFSAKVEFIEIDLSEESPSSSSGVAGDSTEEPMGMGRLNDAIHGVIVRRAAPDWLPFAPGGSYWVPQMRRPLGVSDLVGQVVYTAKGAVSAAAFTEEEAMCFTTLQGWPSAAYFVEGKFPHPVKESKKDQTDEEES* >Brasy9G310200.2.p pacid=40063818 transcript=Brasy9G310200.2 locus=Brasy9G310200 ID=Brasy9G310200.2.v1.1 annot-version=v1.1 MARLIARTLTLARPAAPRSSLRPVRAFSAKVEFIEIDLSEESPSSSSGVAGDSTEEPMGMGRLNDAIHGVIVRRAAPDWLPFAPGGSYWVPQMRRPLGVSDLVGQVVYTAKGAVSAAAFTEEEAMCFTTLQGWPSAAYFVEGKFPHPVKESKKDQTDEEES* >Brasy9G310200.4.p pacid=40063819 transcript=Brasy9G310200.4 locus=Brasy9G310200 ID=Brasy9G310200.4.v1.1 annot-version=v1.1 MARLIARTLTLARPAAPRSSLRPVRAFSAKVEFIEIDLSEESPSSSSGVAGDSTEEPMGMGRLNDAIHGVIVRRAAPDWLPFAPGGSYWVPQMRRPLGVSDLVGQVVYTAKGAVSAAAFTEEEAMCFTTLQGWPSAAYFVEGKFPHPVKESKKDQTDEEES* >Brasy9G310200.5.p pacid=40063820 transcript=Brasy9G310200.5 locus=Brasy9G310200 ID=Brasy9G310200.5.v1.1 annot-version=v1.1 MARLIARTLTLARPAAPRSSLRPVRAFSAKVEFIEIDLSEESPSSSSGVAGDSTEEPMGMGRLNDAIHGVIVRRAAPDWLPFAPGGSYWVPQMRRPLGVSDLVGQVVYTAKGAVSAAAFTEEEAMCFTTLQGWPSAAYFVEGKFPHPVKESKKDQTDEEES* >Brasy9G310200.3.p pacid=40063821 transcript=Brasy9G310200.3 locus=Brasy9G310200 ID=Brasy9G310200.3.v1.1 annot-version=v1.1 MARLIARTLTLARPAAPRSSLRPVRAFSAKVEFIEIDLSEESPSSSSGVAGDSTEEPMGMGRLNDAIHGVIVRRAAPDWLPFAPGGSYWVPQMRRPLGVSDLVGQVVYTAKGAVSAAAFTEEEAMCFTTLQGWPSAAYFVEGKFPHPVKESKKDQTDEEES* >Brasy9G181700.1.p pacid=40063822 transcript=Brasy9G181700.1 locus=Brasy9G181700 ID=Brasy9G181700.1.v1.1 annot-version=v1.1 MISVGSPIVTDVPIILPWTAQQQVTHGQGHHITLNGVFVWSLIITWKTIATIIRNSTNLSPCEIEELVAKAWRECPNCKYLIYNNVSSQWPGLPAGVKFDPSDLELLKHLEAKVGDGNSASHILIDDFILTIEKKEGICYTHPENLPDIKIDGTNNYFFHTVSNAYVVGKRKCRKISNSGHTAPDEPIRWHKTGKSRSILENGVVKGWKKILVLYIGSKKRGGNIEKTNWTMHQYHLGVEEDEKHGKFVVSKIFRQLRSNKTGESQTDAVNEERDSFAGEIDPTTPMTNRPQPPRLNSSPFKTEQYQEEDEEYEAAVAALLGLDEHPMSNGIPYDASPKKAPLPLDMDAFHEFSDPDVGTLGDNDKLFGSQDSFGSWLNLNENNIAPEQGF* >Brasy9G335500.1.p pacid=40063823 transcript=Brasy9G335500.1 locus=Brasy9G335500 ID=Brasy9G335500.1.v1.1 annot-version=v1.1 MRPTPTLLSLTLDAALLRIARLQDLSRIPDHLLVDLFHRTISAGKLTEKVLKLFLATDCEEIVLLVQLLNIKQPLVPVLPTRCSEKF* >Brasy9G297700.1.p pacid=40063824 transcript=Brasy9G297700.1 locus=Brasy9G297700 ID=Brasy9G297700.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPVEEEPAAEKAEKAPAGKKPKAEKRLPAGKTASKEGGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy9G255800.1.p pacid=40063825 transcript=Brasy9G255800.1 locus=Brasy9G255800 ID=Brasy9G255800.1.v1.1 annot-version=v1.1 MELEAGARPLMMLKEWLELESSAQLSCDDGGSGCYRSGSGRRWKNIARVSTAVRAALLLSSGRGREREVMLSANSSRRRLRVGFWKKQRSEEVDRPSCSASNSASSGAAGRRSHGTEVARLEATCRLDPEREQKQRRSPVSVMDFLSQDEDDDGEEDANPDDDGDGEDGAASPTFQRSIANIRRASLRLLQTIRQFEQLADLDTSDIDDATTTTEDISSHMVETDSIEEVESVHAQRLLLDGSILGSNHYYQKLLVDFFCESKNLDGPDQGKLLETADAWLHGNACSLRPDRRVEMTDFEWLGCWRNFRGDEQKLLVVDLEGDIFWSLIGELVCELH* >Brasy9G103600.1.p pacid=40063826 transcript=Brasy9G103600.1 locus=Brasy9G103600 ID=Brasy9G103600.1.v1.1 annot-version=v1.1 MVICCFTALAVERRLPSQAHTATPETSIFPQLPGLLLPLPPPPPPPPLLLPVPLLLPAPLSPLLPATRHRCYLSLEPIIALTETLQRQSPLPSWRQP* >Brasy9G164200.1.p pacid=40063827 transcript=Brasy9G164200.1 locus=Brasy9G164200 ID=Brasy9G164200.1.v1.1 annot-version=v1.1 MYAISGLQNRSSEPRVSDRMQIQEKIIDAHGTDLDLAPPGPATNAGGEEGEAEDDQTTDADSQLQAFAGRINELCDEMQALAASISKIKGSSKIEGGRGVIGTPELASHVVSQQVSDLRWMVAACLGQMIPGDEEDEESSDEEEGAPAAAVDAEEDTEIVDEEAEARADCYYNGKSLTELEEEEFARHRGGCEAVWGKGSCNTFENFTLLSSMLFTHVAPGCIPDEADLGRTFQIYSIEITELKGFRWPLKVYGVVAARDEVDRRRNALFLRTRGDCQILTRKDPFLHLTGPCRAIMSEGRIDIEIQLRVKRGKKSEDRSLISRICSFDGENGDYLRSCLIDNHFCTMELSYEKLEKSVQATIFGVQVVDREAPSPFEYGVRVVCSSLPNYGAQDGVYEEVVLLDSKHGTKHAIKRGYLNLSRQVVSVELQGRLKVCIHAYTRSGEIAAHGHVFIVPQACNTSRHICVVGGSEVEITVAWSLLLEDEILIRMNGCVDPSDGFPPLHPALLEKMGISE* >Brasy9G261800.1.p pacid=40063828 transcript=Brasy9G261800.1 locus=Brasy9G261800 ID=Brasy9G261800.1.v1.1 annot-version=v1.1 MAARRLGGAGRALLSLPNVRRRASNSWSAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSFRHMQQAKIDQRLHSIEQSLRDTHRVEHDEIKKIVTSNNISTPACIATALTTSVVGYALGWRGGAWYTRRIFRREQQKLMGQIKSHTRWHWRPFSKLRSRLRSSRNASKSSDAPPPSTHGASETPAAASSAASANASKNSQAAAGCGWLPR* >Brasy9G293300.1.p pacid=40063829 transcript=Brasy9G293300.1 locus=Brasy9G293300 ID=Brasy9G293300.1.v1.1 annot-version=v1.1 MVIKCTFSFTLSPANFKELMETWILPFCGKDINLVVLGIAAVIWVLRKT* >Brasy9G181200.1.p pacid=40063830 transcript=Brasy9G181200.1 locus=Brasy9G181200 ID=Brasy9G181200.1.v1.1 annot-version=v1.1 MDTNTKLDESVPDLEQLLLPETKLDGAVPDLEQQLLPEKQQPQPETKLDEAIPDSKQHLLPPPLPLPPAAGPGHKLAGRPCDCEVARAASARASSASARTMALVAATVVLAFGVYVGPTAQETLLAVFVAMFAIIGASSALLAWRVGRRKEEQGVGAWPGAAAERVLLWSFAMALVMTMTTCVSITRAPPVAGSVLFLLGLVVGGLCFAEIVPSVFRVAHDEPRPSIAE* >Brasy9G130100.1.p pacid=40063831 transcript=Brasy9G130100.1 locus=Brasy9G130100 ID=Brasy9G130100.1.v1.1 annot-version=v1.1 MDLSAVHAALSAKSYSALAPLCDDLLLQVASRGAATDDWPYAVHLLAHLYLNDLNSARFLWKTVPQEVKDARPEPAAIWKIGQCLWNRDYAGVYTTANGFEWSPEIADFVAAFLESYRKRIFELLISAYSTIIVADVAHFMGMSEEDATNYAMQNGWSLDAATKMLTVRKQKAQTNQKLDASKLQRLTECVFHLEH* >Brasy9G285200.1.p pacid=40063832 transcript=Brasy9G285200.1 locus=Brasy9G285200 ID=Brasy9G285200.1.v1.1 annot-version=v1.1 MQMPFCPKLWDRSPTPPENSIAIDGTVVVTNNFGLSAPGKSTTLRLFSGTQIDHQTKKGKLSGEAALRGGKKSKHGKTSTTTYQVTFFVDAEFGTPGAVVVKNGNRNDRFFLRHVQLQLAADRSLHFECNSWVYPYKKSNSDRLFFINTSYLPAKTPEALVLLRDEELRSLRGNGRGERKDWERIYDYDCYNDLGNPDNPDHVRAVIGGTRNHPYPRRCRTGRPLSKTDEVTETRKHMINLDFYIPPDERFSPGKLAEVLTLAVQAVTHFVVPEVRTLVHGNDFRSMEQLRNDLYSKPAQPAVDGEVLEQLKSSVPSHKTYKKVAKAVKENPVKFPTPQVIQHDHEAWRTDEEFAREMLAGLNPVTIKRLQEFPPVSSGGKKSSITAAHIESQLEDVTIEMAMLQNRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKGDGTLKPVVIELSLPSGAEGDGEISRIFLPASPGTADAHLWHLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPIHKLLEPHLKGTMQINTLARSILLNAGGILERTLYPGKYAMEMSSDIYGHWRFTEQSLPNDLIKRGMASEDPGLPGGLSLHIEDYPYAVDGLEVWRAIEGWVRSYCAHFYHRDEEVAGDAELQAWWDDVRSVGHGDRQGDPACWLELHSVEHLADTLTTLIWIASALHAAVNFGQYGYAGFPPNRPTRCRRFVPLPGSAEMTQLQADPEKFFLQMVPDRFTATLGLALIEVLSIHTSDEVYLGQRETATWTDDGQVLQLLDRFREELRRVEKRVAERNRDPRLRNRMGPVKVPYTLLFPDVVAQEKGLTGKGIPNSVSI* >Brasy9G318900.1.p pacid=40063833 transcript=Brasy9G318900.1 locus=Brasy9G318900 ID=Brasy9G318900.1.v1.1 annot-version=v1.1 MGMSPLPVFVLLSLICFCKSDDRITPAKPLSPGDKLISQGGVFALGFFSLTNSTADLYIGIWYNKIPELTYVWVANRDNPITRTSPGNLVLTDNSDLVLSDSKGRSLGTTMNNITSGTAGTAAILLDSGNLVVRLPNGTDIWQSFQHPTDTILPNMPLPLSKIDDLYMRLIAWRGPNDPATSDYSMGGDSSSDLQVVIWNGTRPYWRRAAWDGALVTALYQSSTGFIMTKTIVDRGGEFYMTFTVSDGSPSMRMMLDYTGMFKFLAWNSNSLSWEVFLERPNPSCERYAFCGPFGYCDATGTVPKCNCLSGFEPDGVNFSRGCMRKEDLKCGNGDIFLTLRGMKTPDNFLYVRNRSFDQCAAECSRNCLCTAYAYANLKNGSTTVDQSRCLIWMGELVDTAKFQDGSGENLYLRLPSSTVPKESNVLKIVLPVMVSLLILLCVCLAWICKSRDIELPPICFKDIVTATDNFSDYKLLGKGGFGKVYKGLLGDGKEVAVKRLSKGSGQGANKFRNEVVLIAKLQHRNLVRLIGYCTHEDEKLLVYEYLPNKSLDAFLFDSTRNFVLDWPTRFKVIKGIARGLLYLHQDSRLTIIHRDLKPSNILLDAQMNPKISDFGMARIFGGNEQQANTIRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIAWSLWKDGNARELVDSSILENCPLHGVLRCIHIGLLCVQDHPNARPLMSSTVFMLENETAQLPTPKEPVYFRQRNYETEDQRDNLGISVNNMTITIP* >Brasy9G008900.1.p pacid=40063834 transcript=Brasy9G008900.1 locus=Brasy9G008900 ID=Brasy9G008900.1.v1.1 annot-version=v1.1 MALAKVKAEPRDEVKKERPHQVSLPVKAAPLPPRRVNEDEDEEVTSRLRVGDPCGISLVPRSKKPRATAGNDDDVEIIDVAPRSKKRVREEDVVDLTATHPLPYLNPKPIRAIAPHEVAGMYDRPRPVRAIAPAPEKDWKMVVAPPEAELGDFPPEPDWFLVEKSYVAGLSTHSGRRMMDAGEIVHFAFPSYDRIHCGVKMSAKKAVALAKIVRFSTKRAGEIGKLSTEWTNCLVPLVNSSKVKIQGKIVFPTMELRLMQEILLYVSFYIHKSVFTEGDNSSLSKLAPASVDFSDNPLHALFKLLKLRASVKANFGLDDLTRQRLWNLRGGANSDAEYTPIVGLETHRTAGQTFPEQGANEQAISEAALNKIIGTAETYDLKEAEPPSTLVSTLKPYQKEALFWMSELEKGSIDDETKQTVDPCFSAYSIADKRAPKVYINVFSGEATTRFPSLSRTTQGGILADAMGLGKTVMTIALILSNPRGEQSRYIERDVSPVRGRDTRARTSSPSIRGGTLIVCPMALLGQWKDELEAHSTPGSLSVFVYYGGDRTGDLRLMAEHTVVLTTYRVLQSAHKADGSSVFHRIDWYRIVLDEAHTIKSPRTKVAQAAYMLASQCRWCLTGTPLQNNLEDLYSLLCFLHVEPWCNLNWWQKLIQRPYENGDERGLKIVKAILRPLMLRRTKETKDKLGNPILVLPPAHIEVVECEQSVEERDFYEALFRRSKVQFDKFVAQGNVLKNYANILELLLRLRQCCDHPFLVISKADTNKYTDLDELAQRFLEGVQSDSGRLAVVPSRAYVEEVVEEIRQGATTECPICLESASDDPVITPCAHRMCRECLLSSWSTPAGGPCPLCRSPVTKDQLIKLPGKCRFEVDAKNNWKDSCKVAKLIMTLEGLEKKREKSIVFSQFTSFFDLLEFPFNQKGIKFLRFDGQLSQKHREKVLREFSESQDKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVQVRRFIVKDTVEERMQQVQARKQLMISGALTDDEVRSSRIEQLKMLFK* >Brasy9G008900.2.p pacid=40063835 transcript=Brasy9G008900.2 locus=Brasy9G008900 ID=Brasy9G008900.2.v1.1 annot-version=v1.1 MALAKVKAEPRDEVKKERPHQVSLPVKAAPLPPRRVNEDEDEEVTSRLRVGDPCGISLVPRSKKPRATAGNDDDVEIIDVAPRSKKRVREEDVVDLTATHPLPYLNPKPIRAIAPHEVAGMYDRPRPVRAIAPAPEKDWKMVVAPPEAELGDFPPEPDWFLVEKSYVAGLSTHSGRRMMDAGEIVHFAFPSYDRIHCGVKMSAKKAVALAKIVRFSTKRAGEIGKLSTEWTNCLVPLVNSSKVKIQGKIVFPTMELRLMQEILLYVSFYIHKSVFTEGDNSSLSKLAPASVDFSDNPLHALFKLLKLRASVKANFGLDDLTRQRLWNLRGGANSDAEYTPIVGLETHRTAGQTFPEQGANEQAISEAALNKIIGTAETYDLKEAEPPSTLVSTLKPYQKEALFWMSELEKGSIDDETKQTVDPCFSAYSIADKAPKVYINVFSGEATTRFPSLSRTTQGGILADAMGLGKTVMTIALILSNPRGEQSRYIERDVSPVRGRDTRARTSSPSIRGGTLIVCPMALLGQWKDELEAHSTPGSLSVFVYYGGDRTGDLRLMAEHTVVLTTYRVLQSAHKADGSSVFHRIDWYRIVLDEAHTIKSPRTKVAQAAYMLASQCRWCLTGTPLQNNLEDLYSLLCFLHVEPWCNLNWWQKLIQRPYENGDERGLKIVKAILRPLMLRRTKETKDKLGNPILVLPPAHIEVVECEQSVEERDFYEALFRRSKVQFDKFVAQGNVLKNYANILELLLRLRQCCDHPFLVISKADTNKYTDLDELAQRFLEGVQSDSGRLAVVPSRAYVEEVVEEIRQGATTECPICLESASDDPVITPCAHRMCRECLLSSWSTPAGGPCPLCRSPVTKDQLIKLPGKCRFEVDAKNNWKDSCKVAKLIMTLEGLEKKREKSIVFSQFTSFFDLLEFPFNQKGIKFLRFDGQLSQKHREKVLREFSESQDKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKREVQVRRFIVKDTVEERMQQVQARKQLMISGALTDDEVRSSRIEQLKMLFK* >Brasy9G219800.1.p pacid=40063836 transcript=Brasy9G219800.1 locus=Brasy9G219800 ID=Brasy9G219800.1.v1.1 annot-version=v1.1 MHQYRPMRTVRQYQCSNLRCPIKFQTRINTASPHLASGTQVGVVQYSVPKQKQEHPAGRQLRVASKTMAPHTTEEDAPAGDEESPVEASTLRHRHNTARNGAGEDDEGKGNGAALLAQEDEEEASVERAFEGKAVPTWREQLTLRAFVVSFFLAIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTGAIERMGFLRQPFTRQENTVIQTCVVAAYDIAFSGGFGNYLFAMSDTIANQTTEAKDAQNIKNPHVGWMIAFLFLVSFVGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFSFLWGFFQWFYTGGDSCGFSSFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNISVLLGGILSWGIMWPLISNKRGSWFSADLPDSSLHGLQGYRVFISISLILGDGLYNFLKVLVRTTAAFATMVKKNSMIPVSDEGGAMVTGEAVSYDDQRRTELFLRDQIPKTVAYAGYAAVAAVSIATLPLLFPQLKWYYILVAYLFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGAPHGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFADIGISGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCFIFFAAAIVINLARDLAPGKVAKFIPLPMAMAIPFYIGSYFAIDMFVGSVILFVWGVVNKAKADAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAKVDDFLG* >Brasy9G287300.1.p pacid=40063837 transcript=Brasy9G287300.1 locus=Brasy9G287300 ID=Brasy9G287300.1.v1.1 annot-version=v1.1 MEVVLHSDEALAAVAPIAVYWVYSGRFRLNTKEDEDSKNMAGVQARHVRFNVLSQHFLQLVSVALLTTVFRGGSSSASTSQAPAGDLAVVSVPVPAPALVPYAYGTIYGHPLEALMADTVGASLALFVSGMSLRDTAVFLSLCNIKAIDNHCGSLWNDAAYHGVHHMPGGVRHNFCDLFFITWDKMFGTHMPYAVEERSGGGLKLRILRPKSAPKTRFEPV* >Brasy9G103500.1.p pacid=40063838 transcript=Brasy9G103500.1 locus=Brasy9G103500 ID=Brasy9G103500.1.v1.1 annot-version=v1.1 MSSRPCPAGFSSAKDRLNPPISTASSRPTTVNFFSVRNDSSSSLRFLLLCRRPHLTSSSGTCRSCGGGLDLHREHAAVLG* >Brasy9G145300.1.p pacid=40063839 transcript=Brasy9G145300.1 locus=Brasy9G145300 ID=Brasy9G145300.1.v1.1 annot-version=v1.1 MEKKNCLRPGHRVGGHQGDFGFQGRKRKEKGLHATITWGWCQLMRLLCLAQTPIKPTYSSVLMSAQKVPPMAGGKENRGKNKGFGEENERRRSERNIKLGEQNVVDRAVDRTRYKNLETARVLGGTSEG* >Brasy9G319800.1.p pacid=40063840 transcript=Brasy9G319800.1 locus=Brasy9G319800 ID=Brasy9G319800.1.v1.1 annot-version=v1.1 MAAKTTSSSSCFSFLKEALLLPTRNPKLFAPVLLLFFVASMVAPLTNVLCIRPLTADLGRLAAEMKNTDASSAEYARILEEIQRDATKILAAAAALLLVALPLAFAKQILAFSAASTTYSGGRYSLAELLRALTTKGSGALNLKAPCLTIAVVTVLEISSMAVLGALLYAMIGGSSKSGVIFVVLGLLFVLGFLVFLYLNVVAMVGVAASVVDGGACRGLRALRRAWGLMTRVRRKKGFVLLLAAYLLPTLVAPLYAFGMVYAKTSMAIGLCLLSVYALLSSACELFAIAAATVYYYQAMEGREGTAACDHAKIPTGETNV* >Brasy9G246500.1.p pacid=40063841 transcript=Brasy9G246500.1 locus=Brasy9G246500 ID=Brasy9G246500.1.v1.1 annot-version=v1.1 MGSFKVSLLSLVPFLLLALVAAQNNGEDDRITFIVYVQPQANHAFGTADDDRKAWYQSFVPKDGRLLHAYHHVASGFAARLTQRELEAMSAMPGFVAAVPNRVYKLLTTHTPRFLGLDTPVGGMKNYSGGSGTGVIIGVLDSGVTPDHPSFSGDGMPPPPAKWKGRCDFNGRSTCNNKLIGARAFDTVPNATEGSLSPIDEDGHGTHTSSTAAGAVVPGAQVLGQGKGTASGIAPRAHVAMYKVCGLEDCTSADILAGIDAAVADGVDIISMSLGGPSLPFHEDSLAVGTFAAAEKGIFVSMSAGNSGPNHTTLSNDAPWMLTVAASTMDRLISAVVHLGNGLSFEGESVYQPEVSAAVLYPLVYAGASSVEDAQFCGNGSLDGLDVKGKIVLCERGNDVGRIDKGSEVLRAGGVGMILANQLIEGFSTIADVHVLPASHVSHAAGDAIKNYIKSTARPMAQFSFKGTVLGTSPAPAITSFSSRGPSMQNPGILKPDITGPGVSVLAAWPFQVGPPSAQKSSGAPTFNFESGTSMSAPHLSGIAALIKGKNPDWSPAAIKSAIMTTADVTDRYGKPILDEQHGAADFFALGAGHVNPDKAMDPGLVYDIAPADYIGFLCSMYTDQEVSLIARRAVDCKAIKVIPDRLLNYPSISATFTKSWSSSTPIFVERTVTNVGEVPAMYYAKLDLPDDAIKVTVVPSSLRFTEANQVKTFTVAVWARKSSATAVQGALRWVSDKHTVRSPITATFV* >Brasy9G209600.1.p pacid=40063842 transcript=Brasy9G209600.1 locus=Brasy9G209600 ID=Brasy9G209600.1.v1.1 annot-version=v1.1 MSCFLSCFGGGDEDRRRRKSRRRSPSSLPPWPDHHVARVGKASSEEDDVVKEAPPPLPDVRASAPPPLLVEAADELVTGASTGSEQRELAEQKTLTQPSLTVHVAEEVVSGSSPGLSQLNEHKELPARSLPQKAIVTPPLFTMKCSPVATTVVSTPDMELREVSEEENRSSGKKKVSFNMNVTSYENTSLPDQEEVSSESIKWMKDEDEKHMQKTVLLPENHRYGNCTDSDDDIGDEYCEDDNCGDYSDAEEDFVECKVDLVDEEEMSTDENKEESHESLFSLPMSKDRQNDQEVTSPIPKSSEGPAQEESPLIQGNSHRDRSKYVHPVLNPVQNLSQWKEVKAQVGPVKKLYKENVNSGPDAGASPSSKVANQTKMSPSNASKGDVSVDASLSTWLVSSGHSTVDKAQCKSPRSVSSICREERPVLGALTVDDLKQSSTTSSPRWSPSHNRQEVPILGTVGSYWSCTGPDNECCSSRSDSGTNGIPNTTSKYREDRRVNWHSTPFNVRLDKALKKSSA* >Brasy9G209600.2.p pacid=40063843 transcript=Brasy9G209600.2 locus=Brasy9G209600 ID=Brasy9G209600.2.v1.1 annot-version=v1.1 MSCFLSCFGGGDEDRRRRKSRRRSPSSLPPWPDHHVARVGKASSEEDDVVKEAPPPLPDVRASAPPPLLVEAADELVTGASTGSEQRELAEQKTLTQPSLTVHVAEEVVSGSSPGLSQLNEHKELPARSLPQKAIVTPPLFTMKCSPVATTVVSTPDMELREVSEEENRSSGKKKVSFNMNVTSYENTSLPDQEEVSSESIKWMKDEDEKHMQKTVLLPENHRYGNCTDSDDDIGDEYCEDDNCGDYSDAEEDFVECKVDLVDEEEMSTDENKEESHESLFSLPMSKDRQNDQEVTSPIPKSSEGPAQEESPLIQGNSHRDRSKYVHPVLNPVQNLSQWKEVKAQVGPVKKLYKENVNSGPDAGASPSSKVANQTKMSPSNASKGDVSVDASLSTWLVSSGHSTVDKAQCKSPRSVSSICREERPVLGALTVDDLKQSSTTSSPRWSPSHNRQEVPILGTVGSYWSCTGPDNECCSSRSDSGTNGIPNTTSKYREKGELALDSLQCQAG* >Brasy9G209600.3.p pacid=40063844 transcript=Brasy9G209600.3 locus=Brasy9G209600 ID=Brasy9G209600.3.v1.1 annot-version=v1.1 MKCSPVATTVVSTPDMELREVSEEENRSSGKKKVSFNMNVTSYENTSLPDQEEVSSESIKWMKDEDEKHMQKTVLLPENHRYGNCTDSDDDIGDEYCEDDNCGDYSDAEEDFVECKVDLVDEEEMSTDENKEESHESLFSLPMSKDRQNDQEVTSPIPKSSEGPAQEESPLIQGNSHRDRSKYVHPVLNPVQNLSQWKEVKAQVGPVKKLYKENVNSGPDAGASPSSKVANQTKMSPSNASKGDVSVDASLSTWLVSSGHSTVDKAQCKSPRSVSSICREERPVLGALTVDDLKQSSTTSSPRWSPSHNRQEVPILGTVGSYWSCTGPDNECCSSRSDSGTNGIPNTTSKYREDRRVNWHSTPFNVRLDKALKKSSA* >Brasy9G194100.1.p pacid=40063845 transcript=Brasy9G194100.1 locus=Brasy9G194100 ID=Brasy9G194100.1.v1.1 annot-version=v1.1 MKAPSLLVQCFPGLLPTKATSCVPVVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDFQGLDIFKGKVSVADMIAFSPCEVASSKHDGTLKYWENSITLVNILKNEIRDAQLSFRGKRVLELRCGSGLAGIFACLKGASIVHFQDMNAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPVVHFYAGEWEELHTILSVVHPPALPTNLSFSEDDFMDGCSSHDGSSIVGQEHRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAMNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGSVRQLKALMEEEGVLGGHFLTELCDREIWKFFFK* >Brasy9G056700.1.p pacid=40063846 transcript=Brasy9G056700.1 locus=Brasy9G056700 ID=Brasy9G056700.1.v1.1 annot-version=v1.1 MTSSSAFLLGVFAVLVVLSSSIGTASVNFAAPTTGPCSNNCSPEPQCVQSCKAKGLLQCNADCTRGCSSSCHGTSCNCESYCSNACNSGVESGCNSSCTNWQACQTCKDGYSQQCAACCTGYCNNRCV* >Brasy9G066500.1.p pacid=40063847 transcript=Brasy9G066500.1 locus=Brasy9G066500 ID=Brasy9G066500.1.v1.1 annot-version=v1.1 MANHLFRLPALLLLLTMIASTTEFEERESHVEPVDAGGYRTYIVLLERPGGEDDMDDDALRAWHQSYLPSMTTALGQPRLKSSYRTVFTGFAARLTEEELKQVSAKPGFVRSFPNVICYLALPNRMRGLPYDWPSGAAGGWGVINGEPSLDDDGFGGMTASTRRNDGRARAIRT* >Brasy9G068200.1.p pacid=40063848 transcript=Brasy9G068200.1 locus=Brasy9G068200 ID=Brasy9G068200.1.v1.1 annot-version=v1.1 MMNLPASASSCDDFIGVYGAPSNNPSPNNPPQPQPPSSLFPLMDHQEQQHRDHHHLGYNLEPNSLALLPPSNAHHHHGATIAAHSAHDILQFYPTSASSHHHYLAAAAAGNPYSGHFSGGGTFQSYYGQQQQQQGPGPEYSYFPALVSSAEENMASFAATQLGLNLGYRTYFPPRGHGGYAYGHHPPRCQAEGCKADLSGAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAADKKRSTQASKTASTKGKAAGSSSKSTGTGDGMDIQVLGVADLSKDQDETMGLGEVVKEMQVDPKGKASMQQQQQQGHHALHQQQQSHHGFHFPSSSAGSCFPHQSQAVSSSDNTSNIAQVQEPSLAFHQQHHHQQHSNILQLGQAMFDLDFDH* >Brasy9G289800.1.p pacid=40063849 transcript=Brasy9G289800.1 locus=Brasy9G289800 ID=Brasy9G289800.1.v1.1 annot-version=v1.1 MGTPGKAMAPSPGKPTTLLDVQEVEWITRELERLLVREAGAAAGDTIHYRRRKKKAKVMSPPTPKPEANKKKGGFLAELLGKHAVSICGDGGAAAVLPSGGGGRRRRGRGGSFQEVDKI* >Brasy9G344000.1.p pacid=40063850 transcript=Brasy9G344000.1 locus=Brasy9G344000 ID=Brasy9G344000.1.v1.1 annot-version=v1.1 MAWGVPTRPSSSRVQALYELCKRTFPSPPTGASSSPPPAHAVRSISSLMDTITPADVGLRDDNDLEDEGGHGFFESSFMKGSARAARWTQPITYLHIYECDAFTIGIFCLPTSAVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPIVLASNQSVRLAKLHKDDVLTAPCPTTILYPQSGGNLHCFTSVTSCAVLDVLAPPYSEDAGRSCTYFHDYPFSSFSAGRTKVVDSADNYAWIEAIRTPVNIYMRPGMYTGPTVQDRLS* >Brasy9G290100.1.p pacid=40063851 transcript=Brasy9G290100.1 locus=Brasy9G290100 ID=Brasy9G290100.1.v1.1 annot-version=v1.1 MTGHSSRPQLQGKMVLMPKTAAGLDVLDETLPRHVTCQLVSSTVPNPKCHDRGALGEEEHVSMHWPKILAADFTFEVTFNWDVDKLGVPGAVIVKNNHDTEFFLKTLTIFDVPGHGSIVFLANSWVYNHDKYGYSRVFFTNDTYLPSQMPELLKPYREDELRILRGEDRPGAKKADEPYKEHDRVYSYDVYNDLGEPDRKQPRTTLGGPGKKQYPYPRRCRTGRKPTATDPACESRNVMLIIDQFYVPRDERFNHAKQADLLAYLAKSVSVGLFPVMRQQLDTVVVPREFNNLEDIYKLYEEGGLQVPDLPALDQLIFSFFPLSLLKNLMPATGDVLFKLPKPGVIKLPDAWRTDEEFAREMLAGLNPHIITRLTEFPPKSTLPKEKQVIDGKEIPGYGDQNSKITAEHIQHGLGKLTLEKALDGGKLFILDHHDNFMPHLKDINSLDNTFVYATRTLLLLQDDDTLKPLAIELSSPHLSDDGTKVVGAYSKVYTPPAGGGVEASIWQLAKAYAAVNDSAWHGLISHWLHTHAVIEPFVIATSRQLSVTHPVHKLLQPHYRDTMTVNALARQVLINAGGFFEMTVCPGKHALAMSSQVYANWNFTEQALPEDLVKRGVARPDATQPYKVELLIKDYPYAVDGLAVWSAIEDWADEYCRIYYPCDQALQGDTELQAWWKEVREVGHGDIGDKPWWPQMRTVEELVRSCATIVWIASALHAAVNFGQYSYAGFLPNRPTVSRRPMPEPGTKEYDQVERDPDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDTQEWTSDDRAKQAFRRFSERLVEIEGTIMTMNQDKDHLKNRNGPVEFPYMLLYPNTSNGDATGITAKGIPNSISI* >Brasy9G233300.1.p pacid=40063852 transcript=Brasy9G233300.1 locus=Brasy9G233300 ID=Brasy9G233300.1.v1.1 annot-version=v1.1 MALRDALLSVAQTPHRLRRRALVTLTPAQELNEVRDRSGPRMARRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYAVAGVSALLSSFCYAEFAAAVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTCGVTEPNAWRIQVAGIAEGYNALDFPAVALILLLTICLCYSTKESSMLNMVLTAFHLLFFAFIVVAGFWNGSARNLVRPHGLAPYGVGGVLDGAAVVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVFLVSALYCLMSLALCAMLPYTEIAESAPFSSAFREKVGWKWAGGVVGAGASIGIVASLLVAMLGQARYLCVMARARLVPACLAKVHPSTGTPMNATIFLGFCTASIALFTELQIVFEMISIGTLLAFYLVANALIYHRYAKLGTNRPLYVLLFLLLLTLSSLGFALSRRIDGRWRWGMALFGAIWVAVTVIFHYTTQQDVAGPSSESWTVPLMPWPAATSVFLNVFLMTTLKLRSFERFGIWSLVITIFYLCYGVHSTYAAEENEIGNAMIHHADMDIS* >Brasy9G258100.1.p pacid=40063853 transcript=Brasy9G258100.1 locus=Brasy9G258100 ID=Brasy9G258100.1.v1.1 annot-version=v1.1 MREKAAAEFLEKALFTVAIGSNDILEYLSPSIPFFGREKSDPAVFLDTLVSNLAFHIKRLNELGARKFVIADVGPLGCIPYVRALEFIPAGECSATANKLCEGYNKRLKRMINRLNQEMGPESVFVYTNTHDIVMGIIRQHGQYGFDNALDPCCGGSFPPFLCIGVANSSSTLCEDRSKYVFWDAFHPTEAVNFIVAGEIVDGDAVAAWPINVRALFQYQYKYK* >Brasy9G014900.1.p pacid=40063854 transcript=Brasy9G014900.1 locus=Brasy9G014900 ID=Brasy9G014900.1.v1.1 annot-version=v1.1 MKTLFVLALLALVVTSAVAQSDTTCNQEYGEQLAQCQQQQQQQWQKQMTPCMKFLQQQCSPVKLPLVKWRMWQLNNCQAMQQHCCKQLVQIPEQSRCEAIHSMAQAIVQPQDQPEIIRTAFQTLPSMCSVYVPEYDS* >Brasy9G131000.1.p pacid=40063855 transcript=Brasy9G131000.1 locus=Brasy9G131000 ID=Brasy9G131000.1.v1.1 annot-version=v1.1 MAGARKKLQERVALRRTAWRLADIVVLFLLLALLARRASSLRDSSWPWIAALVCEAWFTFVWLLTINGKWSPVRFDTFPEHLLEDDDELPAVDMFVTTADPALEPPVITVNTVLSLLAVDYPDPRRLACYVSDDGCSPLTCYALREAAAFAALWVPFCKRHAVGVRAPFMYFSSAPDEAGSSHAEFLESWASMKSEYEKLASRIENADEESILRDPEFADFIGTERRNHPTIIKVLWDNSKSKTGEGFPHLVYVSREKSPKHYHNFKAGAMNVLTRVSAVMTNAPIMLNVDCDMFANNPGVALHAMCLLLGFDDETDSGFVQAPQKFYGSLRDDPFGNQMEVLFQKLGGGVAGIQGMFYGGTGCFHRRKVIYGTPPPDTVKHGTTGSPSYKELQMKFGNSKELIDSSRSTILGDVLARPTTDMSSRIEMAKQVGACNYEAGTCWGQEIGWVYGSMTEDILTGQRIHTTGWKSVLMDTSPPAFLGCAPTGGPASLTQFKRWATGVLEILISKNSPILGTIFGRLQLRQCLAYLIIDVWPVRAPFELCYALLAPFCLLANQSFLPKASDEGFRIPVALFLTFNAYYLMEYKDCGLSARAWWNNHRMQRITSASAWLLAFLTVLLKTMGLSETVFEVTRKESSTSDGGASTDEADPGLFTFDSSPVFIPVTVLTILNIVAIAVGVWRAVVRPTVKGVYGGPGIGEFVCCCWMVLCFWPFVRGLVSRGRYGVPWSVKVKAGLIVGALVHFCTRN* >Brasy9G195300.1.p pacid=40063856 transcript=Brasy9G195300.1 locus=Brasy9G195300 ID=Brasy9G195300.1.v1.1 annot-version=v1.1 MALGGFVDWRGNAINREVHGGVRAAWFLYVLTVVTNVVIVPNLLNLVTYLHGTMHMGVSGSATTSTNFFGATSGFALIGAFLSDSYITRSRTMLLFGPFMFLGYGLLALQAYLPSLHPPPCNIEAEVNNCKEVHGWNAALLYTGLYMTAFGDGFVRVCLPSLGADQFDHKDPSESRQQSSFFNWYTFGISFGGFVGLILIVWLENYKGWAIGLGVCCILILLGLLVVAAGFPFYRNQVPEGSPLTRILQVLVVAFKNRKLELPQKPEEAQENNTGLGTASIDALSQTNSLKFLDKACINPGRNGAWSVCTVTKVEETKIVLRMLPLFISSMIGYISNIILFTFTVQQGGMTNTSMGKIHVSPATLFIIPITFQMVMLAVYDQFIVPFLRKRTGYVGGITHLQRIGIGFVAMLLASVIAAIVEKKRKESVVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPRGMKSIGTALFWCDLGLASLMATLLVEVVNKATRHGHQGGWLEGSSLNNSHLDLFYWVVAVVGLLGFLNYLYWAKKYVYQHNPRIAELPTDQDSP* >Brasy9G263900.1.p pacid=40063857 transcript=Brasy9G263900.1 locus=Brasy9G263900 ID=Brasy9G263900.1.v1.1 annot-version=v1.1 MAPHSPAVKHPSRAPAIAGPRIGKVAAPAQARKIRIVHVLAPEVIKTDARHFRELVQRLTGMPKGGGGASSSSSSPASSAESAGESSLSLPAAGGSPDPASGAAVVAPPPAKTKGEAAALPVEEEGFAGRALGEVEAESNDVFFQGLEDFLLNGDEF* >Brasy9G328700.1.p pacid=40063858 transcript=Brasy9G328700.1 locus=Brasy9G328700 ID=Brasy9G328700.1.v1.1 annot-version=v1.1 MHVQSQSNPQIFETMGYFSSEKQWFTAKEKTKRHSPNNPINFGRIPTPRHASRIERTSTARTTE* >Brasy9G108800.1.p pacid=40063859 transcript=Brasy9G108800.1 locus=Brasy9G108800 ID=Brasy9G108800.1.v1.1 annot-version=v1.1 MAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSAILSIMRIDPPICMYFKPSLAG* >Brasy9G049600.1.p pacid=40063860 transcript=Brasy9G049600.1 locus=Brasy9G049600 ID=Brasy9G049600.1.v1.1 annot-version=v1.1 MGQEAEQQRRAAGLLASRVALRAGVEKSRALSHALGRSAARVEEIQGRLTTTEAAVRPIRASPDALEDAAANIDHAVGPAAAVLKVFDAVHGLEPPLLADAAAAEDLPGYLAVVARLEEALKFLSDNCGLAEQWLADIVEYVGEHSLADPRFVTELAEELSRLKNSSSDLDGGLLAAGLDKLEAEFCRLLAEHSAPLAMQDPDNSKPTSIAPPRIPPAAVNKLSLTLDRLAANGRLSYCVASYADARGDTVSASLRALGLEYLQDPSEDAQALSTSVELWGRHLEFAVRHLLEAERKLCVAVFERRPEAAPSCFADIAARAGILDFLKFGGAVADARKDPIKLLRLLDVFDSLNKLRMDFNRLFGGKACVEIQSRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPVNGGVPRLVTFVPKYCNQLLGEQYRPVLTQVLTIHRSWRKEAFNDKMLVDAVLKIVKALEANFDTWSKTYEDKTLQYLFMMNTHWHFFKHLKGTKMVEILGDLWLREHEQYKDYFSTNFLRESWGTLAPLLSRDGLILFSKGRATARDLVKQRLKSFNASFDEMYQKQSAWTIPDKDLQQSICHLVVQAIVPVYRSFMQTYGPLVEQDVSASKYVKYSAEALDKMLSTLFMPKPTRTGSLQLRNSNGKITSAMTGLYRSTSTLK* >Brasy9G062000.1.p pacid=40063861 transcript=Brasy9G062000.1 locus=Brasy9G062000 ID=Brasy9G062000.1.v1.1 annot-version=v1.1 MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQLGVDLLRAGSPALDVVEAVVRELESDPCFNSGRGSALSRSGSVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMEKSPHSYLAFDGAEEFAREQGLEVVDNSYFITEENVGMLKLAKEANSILFDYRIPLTGADTCSALAAASEKNNGMVMNGLPISIYAPETVGCAVVDSTGACAAATSTGGLMNKMNGRIGDSPLIGSGTYACKACAVSCTGEGEAIIRSTLARDVAAVMEYKGLALQEAVDYCVNERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE* >Brasy9G184800.1.p pacid=40063862 transcript=Brasy9G184800.1 locus=Brasy9G184800 ID=Brasy9G184800.1.v1.1 annot-version=v1.1 MADGEFNEEMILQILHRCPAATVARFRAVSSRWRDIIYELAFRRNYGRNRYPLPMFFCRRDFDVAPFELDVDPGAHIARVELHALDLAATKSEFVIGFPDFPAPARDDDDGENFPADDAGESVVTIQGSCDGILLLSCLGDLRAVSPYTRRFANLPPVHLHGNDDRGGIVGFYRFTPPGAGTVYRLLYRIRMDGIQDDTGYGILELGRVHEARLLGRPISPLSPPELLRILAEEMVDHFEPPVLVGGRLHWRPLPVIQPYFVIVAFDVNIEQFSCFSPPAQVRMGQLLELNDTLAMAVIPLEDVRPHMDIWVRIDGAWSRRYNITLAYHDLSQIHVDIYGDLPVLAVWQNNRLYVLLRGRYILAQHIINATGSSRYHHSTPDENYRIITTSYMLQETFAQHEFLSIPF* >Brasy9G184800.2.p pacid=40063863 transcript=Brasy9G184800.2 locus=Brasy9G184800 ID=Brasy9G184800.2.v1.1 annot-version=v1.1 MADGEFNEEMILQILHRCPAATVARFRAVSSRWRDIIYELAFRRNYGRNRYPLPMFFCRRDFDVAPFELDVDPGAHIARVELHALDLAATKSEFVIGFPDFPAPARDDDDGENFPADDAGESVVTIQGSCDGILLLSCLGDLRAVSPYTRRFANLPPVHLHGNDDRGGIVGFYRFTPPGAGTVYRLLYRIRMDGIQDDTGYGILELGRVHEARLLGRPISPLSPPELLRILAEEMVDHFEPPVLVGGRLHWRPLPVIQPYFVIVAFDVNIEQFSCFSPPAQVRMGQLLELNDTLAMAVIPLEDVRPHMDIWVRIDGAWSRRYNITLAYHDLSQIHVDIYGDLPVLAVWQNNRLYVLLRGRYILAQHIINATGSSRYHHSTPDENYRIITTSYMLQETFAQHEFLSIPF* >Brasy9G032900.1.p pacid=40063864 transcript=Brasy9G032900.1 locus=Brasy9G032900 ID=Brasy9G032900.1.v1.1 annot-version=v1.1 MDIVVSAIVGDLISRSATFVINKYFQQQPGIDEILQRLQRVVLRIDTAVKEAEGRHITNQGMLLQLKMSSQGMYRGHYVLDAMRFQDFGEEEKLNNSSSALSKFSPSKRLRFSCTGTGSLQLMVDTLEDTMAGMKEFFIFLESYPRILRQPYGTYLLLDNCMFGRQTERQQVLNFLLQPSATPDLDVLPIVGPRRVGKRTLVEYVCRDEKGSLKDEGVIDLRGNNIKVRHQNSDSRNRFLVIVEIAEDINEGTWKRLKSSAACMSPCSGSKIIITSQSDAIKLTGIFFKSIAFGSTNPDEQPKLATMAMEIALEQRQCWPGAHLIAGLLRDNFNARFWRIILECVRAYKKTHLVMFDKHPNLRVRKDEPVYYWRLARSCRYFLVCNYHQSDSTEEVPKITVRDILLGCGGTLPHGEFEALAMRSHIPPYYNYTISCKMQTPKATVRRKKRVPQEEAYFV* >Brasy9G356500.1.p pacid=40063865 transcript=Brasy9G356500.1 locus=Brasy9G356500 ID=Brasy9G356500.1.v1.1 annot-version=v1.1 MEYETTYADLSLQTVRVLLQLFNNGTTYTFSFFFLIPGVRTYLLYSVRHTTGRTTQFEITSAG* >Brasy9G329300.1.p pacid=40063866 transcript=Brasy9G329300.1 locus=Brasy9G329300 ID=Brasy9G329300.1.v1.1 annot-version=v1.1 MSSSSRRSRSRRAGSSLPSSSRRSISEEQVSELLSKLQALLPDSQPRNGAHRAGAASRVVQDTCSYIRSLHQEVDDLSETLAALLASDAVPSDQAALIRSLLM* >Brasy9G146600.1.p pacid=40063867 transcript=Brasy9G146600.1 locus=Brasy9G146600 ID=Brasy9G146600.1.v1.1 annot-version=v1.1 MPAGSAFLLECGDPLNQRVSSMEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQVRFLPAYRVISDSDRDRILMFAKSGISVQQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.7.p pacid=40063868 transcript=Brasy9G146600.7 locus=Brasy9G146600 ID=Brasy9G146600.7.v1.1 annot-version=v1.1 MEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQVRFLPAYRVISDSDRDRILMFAKSGISVQQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.2.p pacid=40063869 transcript=Brasy9G146600.2 locus=Brasy9G146600 ID=Brasy9G146600.2.v1.1 annot-version=v1.1 MPAGSAFLLECGDPLNQRVSSMEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.3.p pacid=40063870 transcript=Brasy9G146600.3 locus=Brasy9G146600 ID=Brasy9G146600.3.v1.1 annot-version=v1.1 MPAGSAFLLECGDPLNQRVSSMEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.6.p pacid=40063871 transcript=Brasy9G146600.6 locus=Brasy9G146600 ID=Brasy9G146600.6.v1.1 annot-version=v1.1 MPAGSAFLLECGDPLNQRVSSMEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.8.p pacid=40063872 transcript=Brasy9G146600.8 locus=Brasy9G146600 ID=Brasy9G146600.8.v1.1 annot-version=v1.1 MEGTSSGEEEEEEDSPNQTRLSLETAAADDSAPYIGQRFLTHDAAYEFYSGFAKQCGFSIRRHRTEGKDGVGKGITRRYFVCHRAGNTPAKPFSDGAKPQRNRKSSRCGCQAYLRIGRDAGAGAPEWRVTGFSNHHNHELLPQDQQMMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.4.p pacid=40063873 transcript=Brasy9G146600.4 locus=Brasy9G146600 ID=Brasy9G146600.4.v1.1 annot-version=v1.1 MMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G146600.5.p pacid=40063874 transcript=Brasy9G146600.5 locus=Brasy9G146600 ID=Brasy9G146600.5.v1.1 annot-version=v1.1 MMRIMELEKCVEPGSLPFTEKDVRNLILSFRRFDQEEESVDLLRMCRNAKEKDPNFVYDFTKANDRLENIAWSYASSIQSYEIFGDAVIFDTNHRLAALDMALGIWVGMNNYGMPCFFGCVLLREESVHSFAWALQVFLNFMNRKAPQTILTDQNVHLKEAAEKELPNTKHALSIWLIAARFPSWFNTVLGKRYNDWKNEFYRLYNMENTTDFDLGWSDMVNCYGLHGERHIATLFASRKHWALPYLRGYFSAGLTAVPEVSKSINAFIQQFMSAQTRISDFVEQVAIVVVNKDQAVGQQIMQENPQNISFKTAAPMEGHAAAVLTPYAFSKLQDELVAAAHCASFHLEGNAFLVRHRTKTEGGCSVTWNQSEQLVSCSCQLFESSGILCRHALHVLTTLNYLQIPDHYLPVRWRRTQSRPPKSLNGIPDHGGASERVKALQSMVSALVSEAAKSDERIDLATQEVSDLLSRIRQQPVLVNISGDSVHRQQ* >Brasy9G303800.1.p pacid=40063875 transcript=Brasy9G303800.1 locus=Brasy9G303800 ID=Brasy9G303800.1.v1.1 annot-version=v1.1 MLDGRTCGCYLLMSLLIDDKLTRWNNKNLMIWCNMGLVQQGDGRDREMVCNHYYHIARNQGRWTSEFRIIMKKICSFKKTHKTSIMLHIQMYIWSKKQEIKKNLLVNST* >Brasy9G218300.1.p pacid=40063876 transcript=Brasy9G218300.1 locus=Brasy9G218300 ID=Brasy9G218300.1.v1.1 annot-version=v1.1 MPAFGASDPPLADSYRALLRSGGIAPAPEESCLLSPVLERELPMIDIECLITGGGEGASSVRIKRKACADAMARAASEWGFFQVTNHGVGRELLEEMREEQARVFRLPFETKHEAGLLNGSYRWGNPTATSLRQLSWSEAFHVPLASISGRDCDYGKLSSLRGVMQEVADAMARVADAVAGALAEKLGQEAGSALFPAGCDGTTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTILCQDQVGGLQLMKDSRWVAVKPHPDALIVNIGDLFQAWSNNRYKSVEHQVVANAKAERFSVAYFLCPSYDSPVGTCGEPSPYRSFTFGEYRRKVQDDVKRTGKKIGLPNFLKHPPVDGLK* >Brasy9G250900.1.p pacid=40063877 transcript=Brasy9G250900.1 locus=Brasy9G250900 ID=Brasy9G250900.1.v1.1 annot-version=v1.1 MSDVYKKAKPGRLVFKGGEAATLRKPKKQKKNKKPAGDVPADADAEAAAAPAPAEGAEGGDGGGDYTIDAAKRMKYEELFPVETRKFGYDPTNAARTSRDRTVEEALDDRVRKKADRYCK* >Brasy9G303700.1.p pacid=40063878 transcript=Brasy9G303700.1 locus=Brasy9G303700 ID=Brasy9G303700.1.v1.1 annot-version=v1.1 MEEFLHFPLLPLLLLLLTCSSPSFVHSMESSTSRCPPSNISIPYPFGIAGHSPSPALGFEITCGLSGPILHINNSVFGILNISLLDGFVSILATATKQPCSGNYADFSLEGTNFTFSDTRNKFTAVGCDMVAMLVNGTSGYSSGCASFCSTKNIMVNGTCSGVACCQAPVPKGLRKLSLEFTNITGQLGRHKKDNSTLACPEAFIAEENSYVFSTLDLNLTKSLQYRPVVLEWSIDGGSCEEAKRSASYACRENSYCYDAANGIGYRCNCTNGYQGNPYLQGPDGCQDIDECSIESRCTHGCINMEGEFRCTCPSGMSGDGFKEGSGCKGIGTLQISIVAALALLLLLIVLGFWTHWLVKKRKLAKKRQRYFMQNGGVLLKQQMFSQRAPLRVFTSGELDKATNKFSDNNIVGRGGFGTVYKGILSDQMVVAIKRSQRIDQSQAEQFVNELVILSQVTHKNVVQLVGCCLETEVPLLVYEFIANGALFHHLHNTSAPLSWEDRLRIAFETASALAYLHLAAKMPIVHRDVKSSNILLDKSFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRERPISDGQIDEVRSLALHFSCLFHQHRLLEIVDSQVAEEAGMRHVKTVAQLALRCLRLKGEERPRMIEVAIELEALRRLMKQHSVLKFEGEHLLRESRCNGDMKFDAQSNSSLDGIAKDESMEIILLPSNDLSC* >Brasy9G320000.1.p pacid=40063879 transcript=Brasy9G320000.1 locus=Brasy9G320000 ID=Brasy9G320000.1.v1.1 annot-version=v1.1 MAAKGTFSSCFTFLKEALLLPTRNPKLFAPPLAPDNMARHAAELKNTDPSSVDYARILEEIERDSRHVMELLPATAALLLVSLSLDFAKQILAFSAASTTYSGGRRYSLAGLLGALTAKGGALSIKGPSITIAVAAALKLSCAVLLGAPLSAMMVMGPDYSSRSGVISAIQGLLFVLRSIASLCFNVVTLVGVAASVADGGGACRGVRALWRAWRLMTRVRRKEGFVLALAAHLLAAHLLPALLTPLYAKKSLAMGLCVQSFYALLSSAFQLFSIAAATVYYYQAMEAMESKEGMSACGYLKIV* >Brasy9G089600.1.p pacid=40063880 transcript=Brasy9G089600.1 locus=Brasy9G089600 ID=Brasy9G089600.1.v1.1 annot-version=v1.1 MTSRLLLHALALLLPVLLFAAAGVSGRRRAPPSLEFHHRFSARVRGWADARGHELPGGWPPPGGAAYVAALAGHDRHRALAAADHPPLTFSEGNATLKVSNLGFLHYALVTVGTPGHTFMVALDTGSDLFWLPCQCDGCPPPDSGASGSASFYIPSMSSTSQAVPCSSDFCDHRKDCSTTSSCPYKMVYVSADTSSSGFLVQDVLYLSTEDSHPQYLKAQIMFGCGQVQTGSFLDAAAPNGLFGLGIDMISVPSILAHKGLTSDSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQKHPTYAITITGITVGTEPMDLEFSTIFDTGTSFTYLADPAYTYITQSFHTQVRANRHAADTRIPFEYCYDLSSSEARIQTPGVSFRTVGGSLFPVIDLGQVISIQQHEYVYCLAIVKSTKLNIIGQNFMTGVRVVFDRERKILGWKKFNCYDTDNTNPLSINSRNSSGFSPSTYSPQETKNPAGATQLRHLNSSPPVMWHNNSLVLMFLLVHSVIFF* >Brasy9G196900.1.p pacid=40063881 transcript=Brasy9G196900.1 locus=Brasy9G196900 ID=Brasy9G196900.1.v1.1 annot-version=v1.1 MQVPQSNAKAGNAKGSVLSVATTVDYSSSLGMRAAAAGSSSQQLYGQQQQIEASSCINISPPAPGRQPTSYLPAPATRSRLSFLPSTPARATDPSISRAVRRMDYSGSSSSSKEPSPTGAWWSKETVAVVTGANRGIGLALAARLAERGLTVVLTARDGVRGEAAAAPLRDRGLPVVFRRLDVSDAASVAAFAGWLRDAVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKLLTEALLPLFRRSPATSRILNISSQLGLLNKVSNPSLMRLLQDEETLTEAKIEGMVSQFLAQVKDGTWAEHGWPKVWTDYSVSKLALNAYTRVLARCLRERGDRVSANCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPGELPTGTFFKWCTPQLYSKL* >Brasy9G205600.1.p pacid=40063882 transcript=Brasy9G205600.1 locus=Brasy9G205600 ID=Brasy9G205600.1.v1.1 annot-version=v1.1 MPPPPPGPWRRADGANSTEKLIVGGGSGEPADTDGAPGNSTYDTPSWSKEDRNTNATIVVDNVLPNSTRQTAALPSTSPPDQRKEDNKHSRHRRPSTARHKQRSTRRKKDIILPVLDQQAEGAGAEQLSDGADPAGANNNTSVAVGPGNHRVVWTSGVQKSLVSFAKCDVFSGGWVREEGYAFYPPGSCPLIDDDFNCHKNGRQDTDFLNWRWQPSGCDIPRMNASDFLERLRGQRIIFVGDSLNRNMWESLVCTLRHGVKNKKSVYEASGKNQFKTRGYYSFKFRDYNCSVDFIRSIFLVKELVRESINGTVLDAKLRLDELDATTPAYQTASIVVFNTGHWWTHSKTSKGLNYYQEGNHVYPSLEVMDAYKKALTTWARWVDKNIDPARTQVVFRGFSLTHFRGGQWNSGGRCHRETEPIFNQTYLTEYPERMRILEQVLSRMKTPVIYLNISRLTDYRKDGHPSVYRVRYDTEEERMAAVMKQDCSHWCLPGVPDTWNELLYASLLQAGKGSWRL* >Brasy9G089000.1.p pacid=40063883 transcript=Brasy9G089000.1 locus=Brasy9G089000 ID=Brasy9G089000.1.v1.1 annot-version=v1.1 MEKFLVSTSTGVMGSVLKKLGAMVSDEYKLLKNVRRDVEFLKDELEVMHAFLLEMADVQEPHPQAKLRANAVRELSYDIEDKIDKFMLLVDDESSSCFDGFKELFSKTMKKIADLKTRHKIAKDVKDIKSQVNEMSARYARYKIDESSRPRNEKVDPRLCAVYKDASELVGIDGPTDKLVKWLSDKDSEAAHHLKVASIVGCGGLGKTTLARQLLNQDDAHAGARDPQLIIDKIRDFLEDKRYLS* >Brasy9G234800.1.p pacid=40063884 transcript=Brasy9G234800.1 locus=Brasy9G234800 ID=Brasy9G234800.1.v1.1 annot-version=v1.1 MDHNAGSFLAVRRLSGHHTSPADVVSGSTAWIGRGFSCVCVQRRESDTRISFDLTPIQEECLQRLQNRIEVPYDSQNREHQEALKALWHASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPKSFEELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQADKPRSLIGAVFLNLLIENDRAFDILYCITFKLMDRKWLEMHATYMDFNAVIKSTRRQLERELLLEDIQQIEDMPSYCFLSR* >Brasy9G151100.1.p pacid=40063885 transcript=Brasy9G151100.1 locus=Brasy9G151100 ID=Brasy9G151100.1.v1.1 annot-version=v1.1 MTAAQVHHLQQLLRPGSPDIRYYVCSMNKTLTSSKSKMYFTVHFTKEFLLPFLGNPIEHLHLKLRDSSIRQRVRLLKSHDNKYLSFDANIYT* >Brasy9G044000.1.p pacid=40063886 transcript=Brasy9G044000.1 locus=Brasy9G044000 ID=Brasy9G044000.1.v1.1 annot-version=v1.1 MDPELVPAISTAMSTAVAPSSSPPPPRRLVFAFYLTGHGFGHATRAIEVRVPAPSPPHSPGISATTISPDSSVSPNLTSLAVASRSQVVRHLIAAGHEVHVSTAVPEFVFTAELRSPGLHVRKVLLDCGAVQSDPLTVDPLASLEKYHQTAVVPRETILRTEEEWLSSVKADLVVSDVVPVACRAAADVGIRSVCIGNFSWDFIYAEYIMAAGHHHRSTVWQIAEDYSHCETLLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKDLGIAENAKVVILNFGGQAAGWKLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDTYTPDVMAASDCMLGKIGYGTASEALAYKLPFVFVRRDYFNEEPFLRDLLERYQNSIEMIRRDFLSGHWKPYLLRALTLQPSYDGPINGGQVAAQVLQDIAVGKKCVSDKFNGARRLQDAIVLGYQLQRAPGRDVEIPDWYSLSSAEIGAHPISANIEKKEDAPSCYEDFEILHGDLQGLTDTMAFLKSLSGLTGNELKSFEMQSRERTAASVLFDWEREIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHAQARQLENAGAVPVVQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYEKAREFFSQDPSQKWAAYVSGTILVLMTELDVQFTDSLSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVTIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTFMGRKMIKCAASNLVPISTTSNAPAQSDDYKENGMDLLKSEAAIEYLCNLPPHRYEAVYSKDIPEIITGDAFLEKYGDHNDMVTVIDPKRSYSVKAPTRHPIYENFRVETFKALITAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHQKTPEKGSPSLFGAKITGGGSGGSVCVIGKNCLESTKEIAEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIQRRRQIK* >Brasy9G044000.2.p pacid=40063887 transcript=Brasy9G044000.2 locus=Brasy9G044000 ID=Brasy9G044000.2.v1.1 annot-version=v1.1 MDPELVPAISTAMSTAVAPSSSPPPPRRLVFAFYLTGHGFGHATRAIEVRVPAPSPPHSPGISATTISPDSSVSPNLTSLAVASRSQVVRHLIAAGHEVHVSTAVPEFVFTAELRSPGLHVRKVLLDCGAVQSDPLTVDPLASLEKYHQTAVVPRETILRTEEEWLSSVKADLVVSDVVPVACRAAADVGIRSVCIGNFSWDFIYAEYIMAAGHHHRSTVWQIAEDYSHCETLLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKDLGIAENAKVVILNFGGQAAGWKLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDTYTPDVMAASDCMLGKIGYGTASEALAYKLPFVFVRRDYFNEEPFLRDLLERYQNSIEMIRRDFLSGHWKPYLLRALTLQPSYDGPINGGQVAAQVLQDIAVGKKCVSDKFNGARRLQDAIVLGYQLQRAPGRDVEIPDWYSLSSAEIGAHPISANIEKKEDAPSCYEDFEILHGDLQGLTDTMAFLKSLSGLTGNELKSFEMQSRERTAASVLFDWEREIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHAQARQLENAGAVPVVQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYEKAREFFSQDPSQKWAAYVSGTILVLMTELDVQFTDSLSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVTIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTFMGRKMIKCAASNLVPISTTSNAPAQSDDYKENGMDLLKSEAAIEYLCNLPPHRYEAVYSKDIPEIITGDAFLEKYGDHNDMVTVIDPKRSYSVKAPTRHPIYENFRVETFKALITAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHQKTPEKGSPSLFGAKITGGGSGGSVCVIGKNCLESTKEIAEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIQRRRQIK* >Brasy9G044000.3.p pacid=40063888 transcript=Brasy9G044000.3 locus=Brasy9G044000 ID=Brasy9G044000.3.v1.1 annot-version=v1.1 MSTAVAPSSSPPPPRRLVFAFYLTGHGFGHATRAIEVRVPAPSPPHSPGISATTISPDSSVSPNLTSLAVASRSQVVRHLIAAGHEVHVSTAVPEFVFTAELRSPGLHVRKVLLDCGAVQSDPLTVDPLASLEKYHQTAVVPRETILRTEEEWLSSVKADLVVSDVVPVACRAAADVGIRSVCIGNFSWDFIYAEYIMAAGHHHRSTVWQIAEDYSHCETLLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKDLGIAENAKVVILNFGGQAAGWKLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDTYTPDVMAASDCMLGKIGYGTASEALAYKLPFVFVRRDYFNEEPFLRDLLERYQNSIEMIRRDFLSGHWKPYLLRALTLQPSYDGPINGGQVAAQVLQDIAVGKKCVSDKFNGARRLQDAIVLGYQLQRAPGRDVEIPDWYSLSSAEIGAHPISANIEKKEDAPSCYEDFEILHGDLQGLTDTMAFLKSLSGLTGNELKSFEMQSRERTAASVLFDWEREIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHAQARQLENAGAVPVVQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYEKAREFFSQDPSQKWAAYVSGTILVLMTELDVQFTDSLSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVTIPTHMRFWGLDSGIRHSVGGGDYGSVRVGTFMGRKMIKCAASNLVPISTTSNAPAQSDDYKENGMDLLKSEAAIEYLCNLPPHRYEAVYSKDIPEIITGDAFLEKYGDHNDMVTVIDPKRSYSVKAPTRHPIYENFRVETFKALITAANTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHQKTPEKGSPSLFGAKITGGGSGGSVCVIGKNCLESTKEIAEIQQRYKAATGYLPILFDGSSPGAGKFGYLKIQRRRQIK* >Brasy9G135200.1.p pacid=40063889 transcript=Brasy9G135200.1 locus=Brasy9G135200 ID=Brasy9G135200.1.v1.1 annot-version=v1.1 MRATRSSVSSCSSVAASRSACARCSFMAMIAVWYWIISSTYWLRRSSQIHRYRRSGFLGVFDVKVAAAIPQAQVNRGAWGSVVPDSADESSDGSMECGARNGSRGGGIRGNGGRGGGGDSGERRQRWGWEGRRSCVGWGGGTAAEVGGGFGERRQRWGGKGGGAAWVGEGGGRRLSRSRGKRVGRRGLDWGRKRQPGAWGGEEQREARGEESAGLAWHLGRKEEDAKKHLGALTTAQMCARHLGQPGGGPGLTFANLQALDGWDDGVTPQCAGK* >Brasy9G316600.1.p pacid=40063890 transcript=Brasy9G316600.1 locus=Brasy9G316600 ID=Brasy9G316600.1.v1.1 annot-version=v1.1 MAGREDGRPDPGGRRPDPPAWKPDPGRRLPDPPTWEPWKPSRGGQVESGARRRAGKEEGRWPGRDGEPGGAAVGFGGDGLEAGRIRWRRARGRPDPGPRRPDLASN* >Brasy9G030100.1.p pacid=40063891 transcript=Brasy9G030100.1 locus=Brasy9G030100 ID=Brasy9G030100.1.v1.1 annot-version=v1.1 MDKMARFYQGRCDPHSPEFQSYVAKFEEAAFNGANSKEQYLRILSTKLISMGKGRREEQERQMRLRRSQLRSDIAVQVQALHGGSCSSSMPQARRSGSPRGPSSQMTPQASGLVPNQHMVHAYTPNRQTEVMQEQTDTMTFLDQFLNFEPTENSPMAQGVQPGSARDVDLIEKMFRQIKSWNEAYFSKFMELDRGIVVQNLTEEKLSSLPRDIAKTYRYAVSVKKATRKVLNFLQLQKSNLHEGLKSEFPMVEKLIRHLLGVIEIKISRNAKINTGYQLQNCGEQSQVGNAAPATGGKSASILQPRRITVAGIPPAHQQDSSNHFLGVASSSCSISASVTLQSLPTNKLECLTPSPVAKSGATPSASPCALVKPIFTSPVAKPVVAPAALPCDPVVSIPMDCDSIIAFLSHDNAATPAQDPNANGSNQATPKAIMSASPLQTETVPGTAEDQVRGGSETPVGNRPINRLIDAIRSSSPAALQKSANSIWSVLSIGDIVPPGKIGTILDCKFSEQQQGGFNAVDKMKRTFDHAGLHSESLPFGRLDYSSMKFQCDASECGSSSERNMKRHKTQNANDALLEEINSVNNVMIDTVISVTGDCGTDRITSCSNGAVIKLSYSGVSVAPTLKALLATSEMSLVMPAKLFVPADYPSSSPVLIDDQGDDQLRKKSSDISAWVHVAFRRALRDLPEPRSIKETARAWDACVRKAVVEFAQKHGGGTISSMLGQWERCAGA* >Brasy9G030100.2.p pacid=40063892 transcript=Brasy9G030100.2 locus=Brasy9G030100 ID=Brasy9G030100.2.v1.1 annot-version=v1.1 MDKMARFYQGRCDPHSPEFQSYVAKFEEAAFNGANSKEQYLRILSTKLISMGKGRREEQERQMRLRRSQLRSDIAVQVQALHGGSCSSSMPQARRSGSPRGPSSQMTPQASGLVPNQHMVHAYTPNRQTEVMQEQTDTMTFLDQFLNFEPTENSPMAQGVQPGSARDVDLIEKMFRQIKSWNEAYFSKFMELDRGIVVQNLTEEKLSSLPRDIAKTYRYAVSVKKATRKVLNFLQLQKSNLHEGLKSEFPMVEKLIRHLLGVIEIKISRNAKINTGYQLQNCGEQSQVGNAAPATGGKSASILQPRRITVAGIPPAHQQDSSNHFLGVASSSCSISASVTLQSLPTNKLECLTPSPVAKSGATPSASPCALVKPIFTSPVAKPVVAPAALPCDPVVSIPMDCDSIIAFLSHDNAATPAQDPNANGSNQATPKAIMSASPLQTETVPGTAEDQVRGGSETPVGNRPINRLIDAIRSSSPAALQKSANSIWSVLSIGDIVPPGKIGTILDCKFSEQQQGGFNAVDKMKRTFDHAGLHSESLPFGRLDYSSMKFQCDASECGSSSERNMKRHKTQNANDALLEEINSVNNVMIDTVISVTGDCGTDRITSCSNGAVIKLSYSGVSVAPTLKALLATSEMSLVMPAKLFVPADYPSSSPVLIDDQGDDQLRKKSSDISAWVHVAFRRALRDLPEPRSIKETARAWDACVRKAVVEFAQKHGGGTISSMLGQWERCAGA* >Brasy9G202800.1.p pacid=40063893 transcript=Brasy9G202800.1 locus=Brasy9G202800 ID=Brasy9G202800.1.v1.1 annot-version=v1.1 MALDMFSDMSAAGPSVIDLPAVLRTILLLLAEYSRRARRRGACTRGRILWRAKTESTADQSCRTACYCGRITSSVEAEDSAAGQNRETLTTWTLGVAEEDGSRASCDCHVTMLDRLLPNLLGSDERRPAAELDSVGGAWECTEGRQLAVNVRRKAPKLDRSMAEYVILCTTGSRYQFDRLKFLVRQLFHEDGPSSGVSGHVQRDTLRDWDKLSLLCWVTIGGCLNSTDGFSIDDVQEQDDDGSHDWSERSCRGSMDDVSESQDVSCLDQDTVCTSDDDSDDAHCRVTLPAATLTASTALVKMLSPRAADSNTTVASSSRQLASSSSSDDDYMNSSVTELDSSIADQLDGNDDGDMDDCENDDGDMDDCESVSSSTTTTEQELLLRQRDVDEDIAVSVAREQTTTETTHNGGTPWWLVPGFRFAPTDQELLQHYLKLKVLNIALPRQHPVAEGYNVYALDADEIPLDGRNGDKERLGFFFVRKENKGTYSNGCYHATPEGYWRIRGRPARVRQCGRTIAFKTPMDFYRGRPPHGCRTPWSMFEYALNAADWRNDDLRNTTQPWMNSYVVCKVRKRELQAPSDGRAERFCRGLLPRRPFFKKVSPRSFRLEKIVDGAPPPLKEEETAPERPGGEKGLRGNRTHPNMF* >Brasy9G334200.1.p pacid=40063894 transcript=Brasy9G334200.1 locus=Brasy9G334200 ID=Brasy9G334200.1.v1.1 annot-version=v1.1 MSTVATAVMSSVAATVAVVITIAVIRRCRHVRKKMHKKFISAISEEISKRVRNWQAAPGAAAGSMEDEVVIEIGPVEKFLHEIMNEKPMRFSSGQLGCYTRGYSSELGSGGFGVVYKGELPNGLQVAVKVLKMSMNRKVQEGFMAEIGTIGRTYHVHLVRLYGFCFEKNTKALVYEFLEGGSLEKYLYHDDDEEAEEGRKRLEWSTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFVPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDLAQAESREWFPKWVWDKYKQGDMDAVVSAAAGVVGEKDREKAETMCKVALWCVQFQPATRPTMSSVVRMLEGEMAIVPPVNPFHYVSFSGGGGENSSGSALTTGSTFLSSSSRDTGRDSEPVSVVASPPSKPTDAIMKGVKSTDAAVMV* >Brasy9G193500.1.p pacid=40063895 transcript=Brasy9G193500.1 locus=Brasy9G193500 ID=Brasy9G193500.1.v1.1 annot-version=v1.1 MASSKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIVWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYFSEEKEAAPSLEG* >Brasy9G359000.1.p pacid=40063896 transcript=Brasy9G359000.1 locus=Brasy9G359000 ID=Brasy9G359000.1.v1.1 annot-version=v1.1 MRKPTTFPQKKKKKRKPTTTSAPCHKPFLPRRSSGSPQRAVGLLPRQGRPPLIGRGPVPDIPPGVTPTAESALAAAYS* >Brasy9G332800.1.p pacid=40063897 transcript=Brasy9G332800.1 locus=Brasy9G332800 ID=Brasy9G332800.1.v1.1 annot-version=v1.1 MPSSSSSSRAPAARAAAAGGISDANTTFVQADPATFRALVQKLTGAAPAPPAPAAVEPPAAFVRRPKLQERRRARPLELARPHPLYHYHNGHYQGRLMMMQQYSPVSTMDGGLVLAATPSPSSSSAPESSCGVVVISKEEEEREEKAIACKAFYLHSSPRSADSQRPKLLPLFPVVRFP* >Brasy9G072300.1.p pacid=40063898 transcript=Brasy9G072300.1 locus=Brasy9G072300 ID=Brasy9G072300.1.v1.1 annot-version=v1.1 MLPGHKKKKKGSRNASSRSPPQERQPEERLTTAAWLRAFLHRYLRHLPGWLLRVFRLAVTDTTATGAPGFSETAPTTRGADQALPAGPPEEKKAIAIRQARLYEAPLHNSARRQWNQRESTTQLLDRPRPTMEQIYKEYTKTVDPFELQGNHRQYQIMRDSIKSTTFDKDICFVCYIKQTHQRSKPVLISNRNMESHFERLHLKLGKVRCRHCEAMFPTRHLEWHTLHCHP* >Brasy9G329700.1.p pacid=40063899 transcript=Brasy9G329700.1 locus=Brasy9G329700 ID=Brasy9G329700.1.v1.1 annot-version=v1.1 MDPSRVGELRGFVEACKKDPSLLSDPNLAFFRDYLESLGADLPAAAFGKAPKTSSMDDIDEDDEEDLNMRDPTPEPDELDEEIVESDLELEGDIVESDHDDSPQKMGDPSVEVTEESRDASQEAKGNAMEAMSEGKFDEAVEHLTKAILLNPLSAIMYGTRASVFIKMKKPAAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAARDLHAASNIDYDDEIHAVLKKVEPNAHKIVEHRRKYDRLRKEREEKKAERDRLRRRAEAQAAYDKAKKKEQSSSRSSGGASPRGFPGGMPGGFPAGMGGGFPAGMGGGFPGGAMPGGMGGGFPGGAMPGGMGAGFPGGAMPGGMGGGFPGGAMPGAGGGPGNVDMGDILNDPDLMSAFGDPEVMAALQDVMSNPANFAKHQANPKVGPIIAKMMAKFNGSK* >Brasy9G306200.1.p pacid=40063900 transcript=Brasy9G306200.1 locus=Brasy9G306200 ID=Brasy9G306200.1.v1.1 annot-version=v1.1 MAQFFGRMWDRAQGKLDVLRICDKVFEELATMDTEKDAKLLDINSLHIATLMVYNAINKQLVGPHKDPPCMQVVAEKIKRYRTEKKEGIAQQELRELIMEWVSKDLRLVLANKAAVAILAAPLLAVSAKNAGRKVPMMRDAVEKVPTPLLFAVFSAALMLLQDVRAGKQ* >Brasy9G036200.1.p pacid=40063901 transcript=Brasy9G036200.1 locus=Brasy9G036200 ID=Brasy9G036200.1.v1.1 annot-version=v1.1 MARPQAKSYRRRAAAGSSQHGRPVAKWPARLMDGFRKMLVGLFSFPPRPHKVTFSVVPGSGGGGDSAPKRSSCSSNLQPVNAHYDEAIADCVEFFHRSARVDVRSRPHF* >Brasy9G344500.1.p pacid=40063902 transcript=Brasy9G344500.1 locus=Brasy9G344500 ID=Brasy9G344500.1.v1.1 annot-version=v1.1 MAGHLAAVPEESTNGFTAPYPPRNVYRELIQGVVASGGHFISTLSSHSSHNGSSNSSYNGSSYSSHPGSNSSGYSSAMAADVKNRGILTADLGAQELTEIAHRMVSDGYPQSMVQAYSGGLDHVLESWFFELDVNWVLKIPRGRGSWFKDMPAPFLVDSAETWIRALTVIAVSMKEVIVTVHETSAVTRFCKASISAMLVFVDAVVSDIKKKPKALSTRLVSHWGGEGNRLKEAIFSTMEEVRTHMEDDYLWAIGIPQGKGEVHRNTCLMVNCIISMVKAQGSTQKSAWSHDIKSISDLIDDSVHYLKDLLLRKSELCSEPSLRDTLRKAIIERVIAGYRDYLKEHPELEEHVSGRSSSPDVLEEMLGELFEG* >Brasy9G200000.1.p pacid=40063903 transcript=Brasy9G200000.1 locus=Brasy9G200000 ID=Brasy9G200000.1.v1.1 annot-version=v1.1 MEDAHAAFEDFDVPTATSFFGVYDGHGGPDVSMYCARHLHLEIRKHPEFTNDLPTAVDGAFFRMDQMMTTDQGRRELTRYWDRKLTLKDIVLRCACFEDHPGPIEVGSTACVALIRGNQIIVGNAGDCRCALSRNRQAIVLTTDHKPSVLAERQRILNAGHFVEVTQGVSRVDNEIAVARSIGDMRYKSNIALPPRLQALTCAPEIRSENITDDTEFLVMACDGVWDVVDNQGFIDYIHILLAAVPAMNLGQICEALLDEFVERSRDNMTVLLVRFKHNAQASPELPVEPQEDQIPLGSSSGSGTDDELQSKKTKAPFEVELSAGASCSRSTRECC* >Brasy9G083000.1.p pacid=40063904 transcript=Brasy9G083000.1 locus=Brasy9G083000 ID=Brasy9G083000.1.v1.1 annot-version=v1.1 MGDWTWWFGVLLGAGPLLVLAVWHCNDAFYQAAFALRRRRRRHGSHGKLLPLPPGHMGIPLLGETVAMLWYFRVIRRPDGFIAAKKRNYGEGVGMYRTHLFGSPTILVCLPDVNRFVLQSPDSFGISWPAPELLGLASMFNAEGSKHTRIRGFIVAALSQPKSLANMARVTQPRIVAALQSWAAKGTIVAKTEIRKVMFECICEIFISMKPSPLTEKMNKWYVGLIGGIRALPLDLPGTAHNHALKCRRKLNMVFQEELEKRKKRANCALGGEEDYDDLMSGLMQMEDEQGIKLSDDEVVDNIVSLVLGGYETTSSAVLWAAYHLAKSPDVLAKLRDENVAMSQGKNSNFIDRDDISKMKYTAKVVEETIRMANIATMVSRVTRRDDVEYGGYTIPRGWQVVVWLRSIHTDEKYYTDPLTFNPDRWAKLPKAGTNQVFGAGNRTCPGNMLSRLNITIMLHHLSLGYEWELLNADAGVDYIPTPMPVDGAPMAFRKLSTSTC* >Brasy9G081500.1.p pacid=40063905 transcript=Brasy9G081500.1 locus=Brasy9G081500 ID=Brasy9G081500.1.v1.1 annot-version=v1.1 MPTAATSPETRRNGVPGHGRARVVREKGEEGSADLRRGSAGPKGRRRARNPRRRRAPEAAAALARERGRGKGCGSRRARWESTSGEDRSGQCREVSGGGRGVGGGEATSPYLGKNRRWRAALMERAVAAQQRGCGSGEGEEEGGSAPFIARPGSRAGEGRGPTASGLHAGTVSGLDRVRRRGRG* >Brasy9G011600.1.p pacid=40063906 transcript=Brasy9G011600.1 locus=Brasy9G011600 ID=Brasy9G011600.1.v1.1 annot-version=v1.1 MDMDANSSSLVSVGDSSNLVSITSTAQASKDEILVIITGKIIGKIIVFVGDVGLIRTILSSLELFLLGSNLTSTEGLLPINLRRQRDKLLPVEVVLVVGRQHLVNRRCPPL* >Brasy9G062300.1.p pacid=40063907 transcript=Brasy9G062300.1 locus=Brasy9G062300 ID=Brasy9G062300.1.v1.1 annot-version=v1.1 MVASSFFFAGLGQNSFGAPANTGSNNRCCKIQHRNTIITTGHGTSTSSSPATYSVLLLSWSRTRMELSLVRSRVFAPSPSTTRHRCRLPSGAFSPPPSQQDTLRSRAMRPRQEWVGSWVRSNDALVRGLPIFVGGASLLAVLLNRALSGVAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVAPRGVDCNRVGPGVSSPALHELLWTWDSLTAATCCKSLVVVYGGNCILQIGVAAGSPEDGIAVTVDAQKFIQGSLYTSAIESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDNGIAIIGGDTIRGFTNLDQAWIAMIADKLDATLSKS* >Brasy9G346700.1.p pacid=40063908 transcript=Brasy9G346700.1 locus=Brasy9G346700 ID=Brasy9G346700.1.v1.1 annot-version=v1.1 MDPGGGGGALLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASAVRLCLDRRSHGARSLLAPALAQWQGPALLAHNDAVFTDEDFASISRIGDSKKVSQAWKTGRFGVGFNSVYHLTDLPSFVSGKYVVMFDPQGAYLPNVSAANPGKRIDYVTSTAVTLYGDQLSPYRAFGCDMKAPFQGTLFRFPLRNAEQASSSRLSRQVYTEDDILFLFSQLYEEAVYNLLFLKNVLALEMYVWESDMSEPKLVYSCSLGSQDDNLSWHRQALIRFSGNSAESFEQKIDSFSVDFVSEAFLGKNLEKKSHTYFIVQGMASALSKIGVFATGAAKEYDLHLLPWASVAACISNVGPEDSNLREGHAFCFLPLPVRTGLSVHVNAYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVVAPLFRELLLSLRTLSDSTVSYYSLWPTGLYEEPWSILVDQIYKVIYTSPVLHSEIKGGAWISPAEALVNDEGFSRSNDLNEALVLLGMPVVRVPSAVADMFSKFNTKYMLKRVSPNTVRHFLQDSVKLGTLGKSHKLILLEYCLIDLDSADVGKCMNGLPLIPLANKQYGIFSEILQENHYYVCDSIEHELLSAVGDRIIDRSIPPVLLDKLFQIANNSQANISVIDGAVFLQFFPRLFPPGWKRRNQVPWDPSLGGSSPTAPWFKLFWQYIVEHSYDLDLFSDWPILPSLSGHLYRGSTESKLIETESLSSLMKELLAKLGCKILDTQYLRECQQLSHYVYDGDATGVLHSIFGIVSLEGVDLHTLFQRITPGEKNELYQFLLDPKWYLGVCLSDESIKLCKKLPIFRIFDGGSPSSYGFSDLSHSRKYVPPLGVPEHLLNSDFVFCISPSNEDIIMRYYGVERMSKSIFYQRYVLNKLDELQTEVRDSVLLTILQDLPQLSLEDPRFKDCLKVLKFVPTINGALKSPQSLYDPRVEELYALLQESDCFPNGLFQNPEVLDMLLCLGLRTSVSIDTILQSARQIDSLVHKDQEKAHSRGKVLLSYLEVHAHKWHVNKPLDARKKVNMLAKVTTVLRPRDMSRELDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMIAPPKQVRMQEDMWIVSASSRILDGECNSSALSYSLGWSSPPSGSSIAAQLLELGKNNEVVIDQVLRQELALVMPKIYSLLTNLIGSDEMDIVKVVLEGCRWIWVGDGFATVDEVVLSGHLHLTPYIRVIPIDLAVFKDLFLDLGIKEQLDTVDYASILTRMATRKATASLEAEELRTAVLVVQHLAEFRFQDQQTQIYLPDSSARLCLSSELVFNDAPWLLDFGHEIAGNASTIAFSSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYSISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDMPGFVSGENIVMFDPHARYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYATQDVEMLFSSFSEVVSEALLFLCNVKKITLYVKENDSQEMRLVHRVSKHNITQVSKEPHALNTMLAFVHGNQSSGMDRNNFFNKLNKIKDSDLPWSCQKVAILEQSPNAHLVHSWILTECIGGGHARKLSTASDSKSHFFVPWASVAAYVHSVSVDDTKELSGEDEVNHDDLVLKHLALRSSQDRKFFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWTGNDMAGGGRVRSEWNLALLEDVAAPAYGYLLAAIAEELGPSDLFLSFWPTAVGVEPWCSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAIELAEVLSQAGLPLVSVSKPIVDSFMNAYPSVHLLNPHLLRNLLIRRKRGFRSREDAILVLEYCLSDMDDPSLSDKLQGLALLPLANGSFTTFNNRGEGERVFFTSQMEFDLLKDSIPHLVIDNSLPDGVLKKLFDIASSARSNMYLFTCNFLLELLPRILPPEWQHAKQLSWFPEQQGQPSVEWMILLWNFLRHSCEDLSIFAKWPILPLVDSKLMQLGNASNVIRGDGWSENMYSLLQKLGCFFLRPDLQIDHPQLANFIQESTAAGVLNAVHSVASDVQDIKQLFESTSLAETHELRSFIFQSKWFSGNLINTSHMNTTMNLPIFESYKSRELVTLTNPRKWLKPDGVHEDLLNGSFIRTESEKEKSILVSYFDVREPEKAEFYKDHVLPRMSEFLSQPAIVSAIVRDVKLLIENDNSVRDAFSETPFVLAASGAWLHPSRLYDPRVPELHKLLHKETFFPSEKLMTTEIIELLASFGLKRTFGFSTLLDIARSVTLVHNSGQEDEAVAHGKMLLTYLNFLEWKTPNIEDENTFHEVDNLEASKIDENLDAEKNGDRSDPDLTLASLFSNFDHDLPEHEFWSELKNISWCPVHVAPLLKGLPWFISEDHIAPPVITRPRSQMWLVSSKMRILSDDSCSMYLQRELGWLDPPNVNILSSQLVELSKSYDELKKFSEDTAIDTVMVKEIQLIYSKLQNIVDSDDANILKENLDGIPWIYVGDRFVPPHALAFESPVKYHPYLYAVPSELSEFKKLLLDLGVRQTFDAMDYLNVLCRLQGDAKGEPLSTEQLSFVHCVLEAFVDCYPDSQAADVLLNSLVIPDSFGVLTPSRNLVYNDAPWMSTDPTAKNFVHPSIGNDLANRLGVRSLRGSSLLDDELMRDLPCMEYAKISELLALYGESDFLLFDLIELADYCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTMISREEICSLQLPPPWKLRGNTLNYGLGLLSSYFVCDALTILSAGYFYIFDPLGLTGGATSTATSSARFFSLIGNDLVERFRDQFLPMRVTQEPSLSSANSTVIRMPLSSKCLKELEAGCNRVKQIFDRFIQNPSSALLCLRSVIQVSLSTWENGASQPTLDYSVLVDPSVATLRNPFSEKKWRKFQISRIFASTSAAIKMQAIDVHVIESGCSYIDKWFVSLCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVPTNINASGCILSPLPLSGSISMPVTTLGHFLVRHDSGRYIFGSRHVNSLRELEMNRKKLVEAWNEELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSMILQAYGDRVYSFWPRSKQHTVSLTGHGSTASNLSSPRTSKADWQSLIEQVIRPFYLRLADLPVWQLYRGNLVKVDEGMFLSHSGSGDDDNLPSATVCSFIKEHYPVFSVPWELVSEIQAVGVTVREIRPKMVRGLLKDSSSVLLRSIETYIDVLEYCFSDMDPYRFSDLHRPHESQPNSQLAEPVNSSVSNFMPSSSSSLSYHTSTQRPGASGGDALEIMTYFGKALYDFGRGVVEDISKTSGPASHRAQTVENNVLSSIISELKGVPFPTSTKRLARLGVTELWIGSEEQQLLMYPLLDHFIHHQCLEKPFLALLLSTQVIHMPLKLRSFSPHLLSGHLKHIFDERWVHHAVEKKSQWIPWDSNADSSTSGPTPKWIRSFWKIFSSLNGELSLLSDWPLIPAFVNRPVLCRVKECHLLFVPPVDDSNAQTLHVSGVVDDVAGEVEISGPCGDETGEVEQKSSLRNAFDSMNSKFPWLPALLNQLNIPIFDLSFPECGAICNLFPSHDRTLGQTIASKLVSAKNTNHLSSSVSLSSEDCDRLLALFVSEFRLSSNHLYQREELDVLRELPMYKTVTGTYTSLLGSDHCILSPTAFFHPSDSRCLSSSANANLFLQALGVEQLNDQEILVRFALPGFGNKTAQEQEDILAYLYSNWRDLQLNSAVVNTLRETNFVTNANEFCTELFKPKELLDPSDALLASVFSGERNKFPAERFMSDGWLGILRKAGLRTSIEADMIVQCAKKIETMGNDVMSSLEDQDDFEADLSDRKNEIPLELWSLAESVVNVILANFATLYDNGFCQKIGEIVFVPAEKGFPSIGGKRGGRRVLASYSEAILSKDWPLAWSSAPILAKQAIVPPEFSWGAFRLRSPPAFSTVLKHLQSVGRGNGEDTLAHWPSSSGIMTVEDAFLQILQYLDKVWGTISSSERTELQKLAFIPVANGTRLIAVKSLFARLTINMSPFAFELPSLYLPFVAILREIGMQESLTNSYARELLLDIQKACGYQRLNPNELRAVMEILDYMCSGVNQAISDGSAGLFDSVIPDDGCRLVSAASCVYIDPYGSHLLSNINTYKIRFAHPDLPQNICKALGIKMLSDVIVEELDGEEKLVFLDSICSVTLDRIKEKLLFKSLHDALRIVMIGVANHFPSFEALSLVQIESILEDISQNLQFVKHVHTRFLLLPNLQDVTRTAQHPSIAEWSSNGKHRSIYFANKSMGHILVAEPPSFLTIHDVIAIVVSHRLGAPVILPVASIFACPDGSEKEVLEILHLGTETGVSKREGRYDGSLGAELLSQDARQVQFLPLRPFYSREIVAWKTGKEGEKIRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSMADLSSAHFQLDGGRVAEVGQQSHTSINARTDVVDDMAAGLEYGKVSSMELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLQESQVALLVEQEKAESAVREADVAKAAWSCRVCLNSEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP* >Brasy9G017700.1.p pacid=40063909 transcript=Brasy9G017700.1 locus=Brasy9G017700 ID=Brasy9G017700.1.v1.1 annot-version=v1.1 MAMERLLASLVFCEAPLDAYGTSATATKQLAVVSGATVAEKKRGLLCGESSSSSLSSTAKPQQRRAGFELAFDGLNCFDTVVMH* >Brasy9G297400.1.p pacid=40063910 transcript=Brasy9G297400.1 locus=Brasy9G297400 ID=Brasy9G297400.1.v1.1 annot-version=v1.1 MATCRTGETNITQARCHSLMAASSCFSPRCSLSVARPRRARGAAALGRRSGREENEGRRRWAGGAAAKGSWGGGAGHKGRPRRARGAAAVGSRGGREGHHTKRERRWPRRARGALDGGRKGLAARQMQRASCAAGPGSPSDPRMPTCCRSPGRALKGATSPPLAHSGFSDFTSAEARKRACNVYGSARYCCNSKQGTPAACGPTSYSKAFKSVCRTPTTMRTICRGLQIPLLSCPWSPLYAT* >Brasy9G215300.1.p pacid=40063911 transcript=Brasy9G215300.1 locus=Brasy9G215300 ID=Brasy9G215300.1.v1.1 annot-version=v1.1 MGSMALLRSLGCFLFFLCSSLGAAANGMYGRAGGEGEASRGVADGARGSRSAWPGYLYTRAVGRCTPQFWSSGAEPWPNIVPQEAAVWKVFGSRSIDKYGPRLTLLDATTRTDDVGGSPFVKLVKHGSAALLNAYARRGFPFDSWEVKALLLEALVSEDAAAAQAERFELANESCV* >Brasy9G228700.1.p pacid=40063912 transcript=Brasy9G228700.1 locus=Brasy9G228700 ID=Brasy9G228700.1.v1.1 annot-version=v1.1 MIPAVSAFMDDDAGIGIHDDPAAGESTHAPLLPETSLQGRRAPARQKPQPSSSTVLPAIAWTAVLLLALAGLVSYGRPQRHGGGVADPEENEVAGAGRVVEVAASRGVLQGVSEKSAGPALLEAGRAFDWTKGMLAWQRTAFHFQPQKNWMNDPNGPLYYKGWYHLFYQWNPDAAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATTLPDGRIVMLYTGATEEMVQVQLLAEPADPSDPLLLRWAKSEANPILVPPPGVGLSDFRDPTTAWLNPTDSTWRITIGSKNPEHAGLALVYKTEDFVHYEQLPSLLHLVHGTGMWECVDFYPVSTTSRPAGDEIGLETSVPPGPGVKHVVKVSLDDDRNDYYAIGTYDAKDDTWAPDDAAIDVGIGLRYDYGRFYASKTFYDPVGRRRVLWGWIVETDSERADILKGWAGLQSIPRTVLMDTKTGSNLLQWPVVEVENLRMSGKSFDGLAVKPGSVVPLDVGKATQVDIEAVFQVHLDSSVAAGAAGAEAYNCSASAGAAGRGVLGPFGLLVLADEGLSEQTAVYFYLVKGANGKFSTFFCQDALRSSKANDLDKKVYGSLVPVLDGENLSVRILVDHSIVESFAQGGRTCITSRAYPTKAIYDSARVFLFNNATNVNITAKSLKIWEMNSAYIRPYPSSL* >Brasy9G228700.2.p pacid=40063913 transcript=Brasy9G228700.2 locus=Brasy9G228700 ID=Brasy9G228700.2.v1.1 annot-version=v1.1 MVPDHWYDINGVWTGSATTLPDGRIVMLYTGATEEMVQVQLLAEPADPSDPLLLRWAKSEANPILVPPPGVGLSDFRDPTTAWLNPTDSTWRITIGSKNPEHAGLALVYKTEDFVHYEQLPSLLHLVHGTGMWECVDFYPVSTTSRPAGDEIGLETSVPPGPGVKHVVKVSLDDDRNDYYAIGTYDAKDDTWAPDDAAIDVGIGLRYDYGRFYASKTFYDPVGRRRVLWGWIVETDSERADILKGWAGLQSIPRTVLMDTKTGSNLLQWPVVEVENLRMSGKSFDGLAVKPGSVVPLDVGKATQVDIEAVFQVHLDSSVAAGAAGAEAYNCSASAGAAGRGVLGPFGLLVLADEGLSEQTAVYFYLVKGANGKFSTFFCQDALRSSKANDLDKKVYGSLVPVLDGENLSVRILVDHSIVESFAQGGRTCITSRAYPTKAIYDSARVFLFNNATNVNITAKSLKIWEMNSAYIRPYPSSL* >Brasy9G368300.1.p pacid=40063914 transcript=Brasy9G368300.1 locus=Brasy9G368300 ID=Brasy9G368300.1.v1.1 annot-version=v1.1 MKIGGGGGCEVEARGINYHISISSSKQHPLGKIWSRPEEPLQQQQQLDDESPSQYPSTTQQRRRLVLRDVTCRARPGELLAIVGPSGAGKSTLLEILAGRLHPTTTCGELRVNGGAVDAARLRRLCGYVTQRDVLFPLLTVRETLHFSARLRGSAGRVDALIRNELALGRVADTRVKDLSGGERRRVSIGVECVHGPAVLFLDEPTSGLDSASALQIVSALRAMASGGRGTTVVLSIHQPGARIVKMLDSVLLLAAGSVLHHGTVDALCSLLSEAGLALPPHVDAVEFSIDSIDHLRLHHKINHPRHRCTLQHLFHKDDDDIELHKKSISSSHGNGNSWAREVAILSHRFVKNVSRTRQLFACRTVCMLLAGLALGSIFYDLGEDKTMERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANAAVFLPFNLLLAVAFAAPVYWLTGLRRTAPAFAYFLLLIWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIRKADMPGYWVGMHYLSLFKWPFEALLVNEFRGSYCVVRSSGVCLATGDEVLRKEGLGEECRWRNVGVMLGFVAFYRVLGYVLLRLRCSLRLKAAARSASASACCCFSSSSQSSSR* >Brasy9G305500.1.p pacid=40063915 transcript=Brasy9G305500.1 locus=Brasy9G305500 ID=Brasy9G305500.1.v1.1 annot-version=v1.1 MSSRMAGATLLRHLGPRLFSAAEPASGLAARNIMAPAAARIFPARMASTAAAPDAKEGASATAKTGSAATPEQSKTKSVVSYWGIESRKLVREDGTEWPWFCFTPWDTYRADTSIDMQKHHKPKSLPDKVAYYAVRSLRVPMDLFFQRRHASHALLLETVAAVPPMVGGMLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFLEVTQPNWWERALVMAAQGVFVNAYFVGYLVSPKFAHRFVGYLEEEAVHSYTEYLKDLEAGKIENTPAPAIAIDYWRLPADATLKDVVTVIRADEAHHRDANHYASDIHYQGLTLKETPAPIGYH* >Brasy9G305200.1.p pacid=40063916 transcript=Brasy9G305200.1 locus=Brasy9G305200 ID=Brasy9G305200.1.v1.1 annot-version=v1.1 MLCTKMESICLTAAVPPQSSLATIFPVCVSRAATRLVSMYALHLQCPAATFSHPQGLHGYLVPPSRATPPCRCRSPPSLVPPLSAAAAGRRFACALRASAAGNPHPDPQDEPRPEGGFWAKWMVEGAEMRARVAKLGLAAVLAYGMFDAVTYTAFFVLAFLGYEKSTGKNPVANLKALIGIVILMWTGNNVTRPFRVAGAAALAPVIDRGLKGIQEKLNLPSQMYAFLLVVGSVAVVCFTLVGFLILSKWGK* >Brasy9G305200.2.p pacid=40063917 transcript=Brasy9G305200.2 locus=Brasy9G305200 ID=Brasy9G305200.2.v1.1 annot-version=v1.1 MLCTKMESICLTAAVPPQSSLATIFPVCVSRAATRLVSMYALHLQCPAATFSHPQGLHGYLVPPSRATPPCRCRSPPSLVPPLSAAAAGRRFACALRASAAGNPHPDPQDEPRPEGGFWAKWMVEGAEMRARVAKLGLAAVLAYGMFDAVTYTAFFVLAFLGYEKSTGKNPVANLKALIGIVILMWTGNNVTRPFRVAGAAALAPVIDRGLKGIQEKLNLPSQMYAFLLVVGSVAVVGR* >Brasy9G037000.1.p pacid=40063918 transcript=Brasy9G037000.1 locus=Brasy9G037000 ID=Brasy9G037000.1.v1.1 annot-version=v1.1 MDGSSSLPLPRPSPTPQPPLPPQIFLRCPAPPQPPGAAPAPAHVHFFRAPSPIPMYSPRIPGPRYIAARPPTPPPPAAPAAMAPPRLPPLTQAAAPAHPPSSEAATVPFPPPKPAGKGRPPKPNAPDNEQKLERENAQSEVGKVETEQGHHKEGITGPIKGIKRPKKQKGSSHGATEGDAGPLFSPNNCRYDNSLGLLTKKFINLLRGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKGLKNMIRWKGFDMIMPKEMERRTSALKEEIESSYDEDYRLDEEILKVQAKLEALKVNKDTRKWLYLSKEDIIKIPCFQGSTLIAIKAPRGTCVEVRDPNADMDIFKDLESQEKQYQILLRSSMGPIDCYLISDHQEISNPDQVAPDNLDPAVTTGSSQIPQQVDYHPSQAPEIGESNIVGKQASEPSRTQELMSGILRIVPADADIDADYWLASEVDATMTDTWARAT* >Brasy9G222600.1.p pacid=40063919 transcript=Brasy9G222600.1 locus=Brasy9G222600 ID=Brasy9G222600.1.v1.1 annot-version=v1.1 MKFKASFTDDGISLLDKRFLPAMDKVGRVCHVYFTPTHAMLLHNLLGSTGPDGGGPQCVAQFAKDLLFREYNVSSRVSNRVAFTVDIALLHRALRSALAVQAQSSAAGDAPAAIQVKLVNKQTAGSRSAAPFLMFETKGARSAVVQDVPISKPLSSSDVGRLQAALDAAQELPETLVQVPDLPQLQSLVDRLKNIGDLLTVAITQYGDLHLQVSTSLVTVGSEFRRLRILGVHANAPVGDQNLSATTRMDMAVERGEALSVQVNMKHLVKSLQCNLAKPDCTFYGIAPQGACLTVIFQYFIPGTRLMDKSISFYCRLPVLDPGNG* >Brasy9G222600.2.p pacid=40063920 transcript=Brasy9G222600.2 locus=Brasy9G222600 ID=Brasy9G222600.2.v1.1 annot-version=v1.1 MKFKASFTDDGISLLDKRFLPAMDKVGRVCHVYFTPTHAMLLHNLLGSTGPDGGGPQCVAQFAKDLLFREYNVSSRVSNRVAFTVDIALLHRALRSALAVQAQSSAAGDAPAAIQVKLVNKQTAGSRSAAPFLMFETKGARSAVVQDVPISKPLSSSDVGRLQAALDAAQELPETLVQVPDLPQLQSLVDRLKNIGDLLTVAITQYGDLHLQVSTSLVTVGSEFRRLRILGVHANAPVGDQNLSATTRMDMAVERGEALSVQVNMKHLVKSLQCNLAKPDCTFYGIAPQGACLTVIFQYFIPGTRLMDKSISFYCRLPVLDPGNG* >Brasy9G222600.3.p pacid=40063921 transcript=Brasy9G222600.3 locus=Brasy9G222600 ID=Brasy9G222600.3.v1.1 annot-version=v1.1 MKFKASFTDDGISLLDKRFLPAMDKVGRVCHVYFTPTHAMLLHNLLGSTGPDGGGPQCVAQFAKDLLFREYNVSSRVSNRVAFTVDIALLHRALRSALAVQAQSSAAGDAPAAIQDVPISKPLSSSDVGRLQAALDAAQELPETLVQVPDLPQLQSLVDRLKNIGDLLTVAITQYGDLHLQVSTSLVTVGSEFRRLRILGVHANAPVGDQNLSATTRMDMAVERGEALSVQVNMKHLVKSLQCNLAKPDCTFYGIAPQGACLTVIFQYFIPGTRLMDKSISFYCRLPVLDPGNG* >Brasy9G329100.1.p pacid=40063922 transcript=Brasy9G329100.1 locus=Brasy9G329100 ID=Brasy9G329100.1.v1.1 annot-version=v1.1 MGCATSVEAHREMRWVEPPRTSRSFSLPSVDRQRLRAKAASVLGHITAPGRRSGAGAGPTASCKYAATMATLSMEEIMMKLENDRTLAAVLAAVNAKETSAVVTRPGTPPNEPEPEVINAWELMAGLEEDDAPTPRVAAARDLIQTPPLWMVQAGNADVPPIAFEFDQEILSGFREALAADTSPTVKEEPAQQEKKDDADADAGTTASRARASDDMPAELAGIVRARINAFQEKIERRNNKGGAAGGRVPPGAKRKAAVVYFTSLRGVRKTFVDCCAVRSILRGYGVRVDERDVSMHAAFKAELARLLPGAAAPLPRVFVDGRCLGGAEDVHALHEAGELARALEACEAAPARKLGCMEACAACGDVRFVPCETCYGSCKVFVVEDGDEEEDGEFRRCPDCNENGLIGCPVCCC* >Brasy9G239900.1.p pacid=40063923 transcript=Brasy9G239900.1 locus=Brasy9G239900 ID=Brasy9G239900.1.v1.1 annot-version=v1.1 MSTKMKKGILRPFRYFTNIMDTKEQEIQIGFPTDVKHVAHIGWDGPSTANKKVEEPGAPSWMKDYHSAPLDSASFRSDRGGSAAAAASNPWASQEIVVDGLGDTSFRDTKSDAAGGDSPPSPGARRSRRNRSRDSAATSSMDASAGGGAEPSEKKDKAKKGIRKNRKKDKEKQDKAAEEGGSTTCQDLPAVPKKSNRRKNKGSSEGSGGASAKDGGAGAAPEEGAAPLPQVGEEDKGGTD* >Brasy9G267800.1.p pacid=40063924 transcript=Brasy9G267800.1 locus=Brasy9G267800 ID=Brasy9G267800.1.v1.1 annot-version=v1.1 MGPSILLFDKEKFCSSVRLPKDNCMCPESSFSSSNNVRRFVSLPISSGIGPCRLFSPSSRSARLTRFPIPGGIIPVIPFPAMLSHWICLEKLAT* >Brasy9G214500.1.p pacid=40063925 transcript=Brasy9G214500.1 locus=Brasy9G214500 ID=Brasy9G214500.1.v1.1 annot-version=v1.1 MDTPGTSSSVFEDEATSPSKSVPTKRPIGQKRAKEAQRQANASGSSSRELFGDIFETRESKRQERFELMLAIDKQREEERLAEERNRTAIKEKKIQIMRMAEERLAAAEDGRIMSMDISGMGEEEKEFYKLRKSQILKRLRN* >Brasy9G142800.1.p pacid=40063926 transcript=Brasy9G142800.1 locus=Brasy9G142800 ID=Brasy9G142800.1.v1.1 annot-version=v1.1 MPPPSSYIGSPVFWIGIGIALSAAFSMVSSMVKKYAMEQAFKSMMTQAPPNTFGTNSPFPFSMPPQAGSTAPSSYPYSGPRKSTSPNGTTVDVSATDVAATGTSEAADVIETSKPSKKFAFVDVSPEELQQKELQSSLETVDVKSASTESEVKEDAEQNVPTNGAAFKPTEDSSTGPTESSKSGPMLSIDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLEDMLNNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING* >Brasy9G286400.1.p pacid=40063927 transcript=Brasy9G286400.1 locus=Brasy9G286400 ID=Brasy9G286400.1.v1.1 annot-version=v1.1 MMRMRVANDNALLVLAVFVFLFGSRGSLLVSAARGREGVHLVPAVYVFGDSTVDVGNNQYLAGEKPLQLPYGIDFPGSIPTGRFSNGYNLADSIARLLGFKMSPPAYLSLTPETSRQIYRGFGGVNYASGGSGILDTTGKTLTLTKQVEDFAATKSNMTEKHEPRRIDELLSRSLFLISDGGNDMAEFFKSHDRAVPSFYEDMLSSYERHVRALYGLGARRFGLIDVPPVGCVPVARAIFASGECVEAANGLAKGFNDALRELMAGLAAGPLPGMSYSVGSSYNVVTDFTANPEPNGFKDVASACCGGGRLGVATWCVPNATYCGDRDDHVFWDGVHGTQASSSRGAEAIFAAPVELGFAAPLNFKQLVSSPPMAMVRASARVAKDAVLDLAV* >Brasy9G159300.1.p pacid=40063928 transcript=Brasy9G159300.1 locus=Brasy9G159300 ID=Brasy9G159300.1.v1.1 annot-version=v1.1 MVAEADLGPELLVPPATPDIGRKPPAQSAEDGCSSRSAPPAPASAGLLEVDKHGFFCVPPSIGEQRQSAPEEGQDCAANTEASSPEESASSDDEIVPPGECSAPNATSPGATLISTPDKVENTPWKKPKKRSTKGLTRLRVNKDKRFKSLHKTPVTKGNAKKSAKRKLDFDSPEIITSSFSRAMLMENLRFLAKINNLSNELRSKNGKKRKTCGVMAMVPYQNAPIDSPCSALVPFGNSAQLAMVPYLNRGKKVRAKLLGITPETKRVYDVLMKWDQIDGESFEGLDIGSGPEWDKIRQEYKKHVDMFIAIVKDLFGPREFSQWGGSVIDSVVGTFLTQNVADHLSSNAFMILAAKFPMNKGSGNAEESSHVPPVKENLNLNKASSSNSISSTFSKPVDCEEVGYSEEVKGQYGEEYKTIIENFLAIIQENDISRWGKDELLNLVKDKSSNSVCSETTLRKFIACLRLEDTAHWDKLRREAYRKGYDNRSGTRITDKVDWEAVLHAPLVEVANCIAGRGQHYLLALRIQAFLARIKKDHGSFDLDWLRYVPRESAKNYLLSINGLGAKSVDCIRLLSLKQKAFPVDVNVSRIVTRLEWVELECSPEEFHLVDLYPLMKDIQTYLWPRLCTIEKEKLYELHCLMITFGKVICTKAAPNCAACPFRARCRYYKSNLARSLLPPAEESVHGRGEEQTSMVTSERLLLANGSCTPGHLVCQNQIKESNTAGRVPTRNCEPIIEVPPSPECEYEALDEQEHGLDIEDMMSDGEQYDAKINLCLYKPMVSNVCWTPNRGKDLVLSNSQHTSYQSPKLKNPGRLRTEHHAYVLPDDHVILEEFEKRVPEDPCPYLLVVIPCPDDEVVKGTILIPCRTASRGNFPLNGTYFQDHEVFADYTSSRFPITIHRELIWELERCIVYFGSSIHSITKGQTRQDIEDCFKKGYVCIRAFDRQTRYPRRLCDTLHANTGKQEGSEQKEGHGDRRRGRPAQGKAP* >Brasy9G064600.1.p pacid=40063929 transcript=Brasy9G064600.1 locus=Brasy9G064600 ID=Brasy9G064600.1.v1.1 annot-version=v1.1 MHAFQFSILLWPFNLVLPLLRQLPRCCATLRAAAEHYDAELREYLAGRRRAQGQGSSAAAAASLRRVQRRPAEELVAHAMVALIDISY* >Brasy9G133600.1.p pacid=40063930 transcript=Brasy9G133600.1 locus=Brasy9G133600 ID=Brasy9G133600.1.v1.1 annot-version=v1.1 MAAPEISIDICPEFNTFEHLRSTRYIATDRPWLKLYGVRVQPVSPFSSLSSLPDLALIHQCLPDELLFEIFARMSPYTLGRAATVCRKWKYTARNPTLWRNACLKTWQRNGTEANYRLLQSLYDSSWRKMWTLRPRIRNDGLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPQKVKDVVKYMHFRASKGDCVFKGDYTLSGDGQIEMALLYPGHRYTLVRMRLRVRGTTIGANNRLDVLKILTTGVNGTELRNWKGNILELVEGWGEDETHDPDVPAVSHSRGLTPFVFVPFEEADTSVLNLPVEKMDYFVPG* >Brasy9G117500.1.p pacid=40063931 transcript=Brasy9G117500.1 locus=Brasy9G117500 ID=Brasy9G117500.1.v1.1 annot-version=v1.1 MSRSLPPLLSISLLSHSLPRPHLPSSLFCPHAPGLPSSPPFWPARAASALDLPSRPPPPPAFPSRPPTPLPDPASAGAGSRPRPSPDPHHHALDAAPHTAQHRRRPPHLARHRRFLPIPPSTDAGLPISPATAASSPSRPAPTPASPSRPPPPLPPHPAQHRRRPPHLARHRRFLPIPPSTDAGLPIGQKTKSRERREGRRKNLAARAARPQLLQHRLRLGQPRPRRRSSNHGGRATSARRTRVSANRAHAVTAPPTAAEPHPPGKPASGLTAPAPPPPLRTGAPAPDPAAPRPIPPTPRLLKLATRGTSVAGGGSVIEDAAPLGRMTDRTFELDSPAAVDVGSLEFNVLRRSASASKKKAPVARSRAACSCSSPTAGRRDPGSRAGGEAVMAWDLKTSLFLPHDGTIPSLYPEPPPLPSCPQSPLLRRRAAPASALLRPRLPSAPHIRPSPCELATGRRDLCRLLPKLSSAEVEDGGDTWQRGLEREPIEAGIEQTTARTRANRSETCSGWQSRRDSAAGMKGTAWGIALSLSSTSLREIPRQEEHHDEQVEQKCRTTIKVTMKVDSGISMWARFLRL* >Brasy9G018100.1.p pacid=40063932 transcript=Brasy9G018100.1 locus=Brasy9G018100 ID=Brasy9G018100.1.v1.1 annot-version=v1.1 MPALAVDAAAPVAHAFTTCDAAARFPAAPLFAPAAVVAAAAAAVDKPDVGAAAWSADLSSALYNVDGWGAPYFFVNDDGDIAVRPHGAATLPGQEIDLAKVVARAAGPSAGGGLGLPLPLLVRFPDVLRHRVESLNAAFDYAVRSTGYGGRYQGVYPVKCNQDRYVVEDIVEFGAPFGFGLEAGSKPELLLTMSCLVARGSPDALLVCNGYKDLEYVSLALIARTMGLNTVIVLEQEEELDIVVEASRRLDIRPVVGMRAKLRTKHAGHFGATSGEKGKFGLNAAQILSVVSKLKALGMLDCLQLLHFHIGSQIPTTALLADGVGEAAQIYCELARMGAAMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPVICSESGRALVSHHSVLVFEAFSASAPAANIDSATAYLLDELTDDCRNDYRNLMAAAVRGDFETCALYADQLKRRCAEQFKEGVLGLEHLAAVDGLCEIVARGMGVAERPRTYNINLSVFTSLPDMWAIEQQFPIIPIQRLQERPAIDGILSDLTCDSDGKVDQFIGGRHSLPLHELPSHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAPGPSCADVLRAMQHEPEVMFEVLKQRTDDGATAAALARAFGAMPYLSFGGSEASLESSGMSSDSEGSAAGAAEDDDDEWEFMRGLTV* >Brasy9G322600.1.p pacid=40063933 transcript=Brasy9G322600.1 locus=Brasy9G322600 ID=Brasy9G322600.1.v1.1 annot-version=v1.1 MAAAAKATSWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANVQARGGLSQGKKLSPAAAAAAVAEKRRAEKAEEGLRTVMYLSCWGPN* >Brasy9G277000.1.p pacid=40063934 transcript=Brasy9G277000.1 locus=Brasy9G277000 ID=Brasy9G277000.1.v1.1 annot-version=v1.1 MARSVACAFFFDAEPLREPERHALDACALCAKPLARNSDIFMYRGDTPFCSEDCRYEQIEHDLACARQQASSRRKQQQQQAQRTPAAAPRASAVSAKADVSVAS* >Brasy9G201200.1.p pacid=40063935 transcript=Brasy9G201200.1 locus=Brasy9G201200 ID=Brasy9G201200.1.v1.1 annot-version=v1.1 MEPGLSIESGSAIRVAVLPVGGPIPPQCLRDYAALVAQHARVDLASLRPYYSEHQKSPFSHQPWDTGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLARVAADLVDAARTYPSALASRCFAFCPTDEQLAEKKSDGIIMFPPSDQKSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYTTAIDLARLTGDVFWHAGALEGIVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGSLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTSKSDHASPKELGASNSNADSGKVHPQSVVSLFESRWSTLQMVVLREILMSSIRAADPLTSWSAAARLLRSFYPLITPAGQSGLASSLANSADRLPWGTRCADPCLPFIRLHSLPLHPSQRDIVKRNPHKKEWWIGAGPSGPFIYTPFTKGGTSGTSKQEINWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHSFGVITEHLFKEVDCLLLGATQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFKVTLRAWHLSLTDLEADVGRSLTNSRRTQREGINPFLNIHYAGPANQGNGEVSLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFSEAHLRPVSDKDNISNGSDMVHNDISLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVSVHLDGTNGEQTLDGTADAACHKTRIDRDYSARVLIPLENFKLPVLDASFFLKENGSDEPLGSKAATIAEKNAKAELNASINNLISKIKVRWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFRLAKDGTMAKIVSASANDFSHSSNENACPSTGESVLRCKDPISAHEMTHMEVQIRNNTKEIIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIQVEVPPLQELVHPFSVYFLVPGDYSLQASSVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTA* >Brasy9G177300.1.p pacid=40063936 transcript=Brasy9G177300.1 locus=Brasy9G177300 ID=Brasy9G177300.1.v1.1 annot-version=v1.1 MAAGALVAMLARLVLPFLLLAAASGAGHQELISRRSFPEGFVFGTASSSYQYEGGAMEGGRGPSIWDNFTHQHPADKITDRSNGDVAADSYHLYKEDVRLMKDMGMDAYRFSISWTRILPYGTLRGGVNREGIKYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYGGFLNPNIINDYKDYAEVCFREFGDRVKHWITFNEPWSFCVTGYASGVFPPGRCSPWEKGNCSVGDSGREPYTVCHHQLLAHAATARLYKEKYEALQKGKIGISLVSNWFVPLSRSKSNSDAAIRSVEFMLGWFMDPLIRGDYPLSMRRLVGNRLPRFTKEQSELVKGAFDFIGINYYTTSYADNLPPSNGLKNSYNTDARTNLTGVRNGVPIGPQAASPWLYVYPPGFRELLLYVKKNYGNPIIYITENGVDEANNNSLPLKEALKDDARIEYHHEHLLALLSAIRGGANVKGYFAWSLLDNFEWASGYTVRFGLNFVDYNDGQKRYPKHSARWFKNFLKK* >Brasy9G294500.1.p pacid=40063937 transcript=Brasy9G294500.1 locus=Brasy9G294500 ID=Brasy9G294500.1.v1.1 annot-version=v1.1 MDFSRNGRGAIKAAEPAQPLLASNQILPWSSTARRFLSSLALSSSSCTHSPSLSEASIVDRRRQRRPGAMGCGGSKEDVATGNNNSNGAGRGSKLFRRKSTMAAASHRSSQASSPYPSADTSVTVKDVVKEPAAGETKAPDAKADQSSAPEKTPVGAVVEEKEEGVVAAAASTVAEAAPAAENPLVKGEDELPKSTMADEQAAAKIEEAEEETPPASRNQDGKSVEPSTTEPMEANLVDEQKPEEAAAVSEPSLPQEKEKPGESTAN* >Brasy9G196100.1.p pacid=40063938 transcript=Brasy9G196100.1 locus=Brasy9G196100 ID=Brasy9G196100.1.v1.1 annot-version=v1.1 MSPREILVVSLLLVAVSSTLLTAVGAARGLLLVPAEPQHGSNATTGHAAPTLHERARSLVMTWMAQLTAGPSPRGPGH* >Brasy9G197000.1.p pacid=40063939 transcript=Brasy9G197000.1 locus=Brasy9G197000 ID=Brasy9G197000.1.v1.1 annot-version=v1.1 MGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHAITVAAAVAGCAVIAAPDPPRGRVYTAHMSGTVVVSILQGAAAVLAFSRTSEFLADGLRSYVREEDGAVILRMVGGLGIAIFCLEWVALALAFVLRYYAYVDRECAGNPMRRSAKVGGEDGTGNWPWLFQA* >Brasy9G328800.1.p pacid=40063940 transcript=Brasy9G328800.1 locus=Brasy9G328800 ID=Brasy9G328800.1.v1.1 annot-version=v1.1 MYSNHERFICLDTTYNQTASTRSSSSSKMRCNSISAANSREITSQQPNPVAYLKEQQTTKSPEVTRTKK* >Brasy9G291000.1.p pacid=40063941 transcript=Brasy9G291000.1 locus=Brasy9G291000 ID=Brasy9G291000.1.v1.1 annot-version=v1.1 MNSILKRSGSALFRVLLRQNPPPLPLPPPPPLQTATRSFHRSSQIPPAEVSRTAVTPMRGLFRHPWPAVRPLLPRPFSTSTTTMSLLEHYFTIFARRQQRPELIHFARRRGGGIPWYLSWEKLLARVLVPAAAAYAVYRSCLQTVPYTHRRHAVVLPPRYERKLGESEFARHKEALLADGDDKILPTDHADTVRVRRIADEIIGAAQRALITPRGNGELLDDESGVVESRRAPRGQPQPMTKHLEGLDWEVIVVRDKEINAGCLPGGKILVNTGFLEYFKTDDEIAAVLGHEVGHIIARHTAEDISKGLFSALVHIGIRQVFDNRHLVWTLSSLLFELPFSRKMEIEADHIGIMLLAAAGFDPHVALEFHKKLGEFGGESELQNYLSTHPSSRKRVQSLSQYKLMEEAMELYRETNARKTAIVYSCF* >Brasy9G053700.1.p pacid=40063942 transcript=Brasy9G053700.1 locus=Brasy9G053700 ID=Brasy9G053700.1.v1.1 annot-version=v1.1 MNRFNQSPWSKVQPEMILAFISFAEYFCPRFFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVLDTSSSVLALVL* >Brasy9G299100.1.p pacid=40063943 transcript=Brasy9G299100.1 locus=Brasy9G299100 ID=Brasy9G299100.1.v1.1 annot-version=v1.1 MSPCRRRSSPCRTTSPLRSSYSPRIRRRYISPDQHDPLPRQVLSELTAPPHGRNKASRSQSPPPPSPPLPPLQV* >Brasy9G072900.1.p pacid=40063944 transcript=Brasy9G072900.1 locus=Brasy9G072900 ID=Brasy9G072900.1.v1.1 annot-version=v1.1 MLFPKPSSPRAALASSLCLDRGRPPLNSTIPSSSFPYDHSSAFMESLSSPRADAEEEQDLPPGFVSKELLTHKQVQVVHLSKKIKDGMHLAPTTSAEEMRRAEKEERGHG* >Brasy9G071600.1.p pacid=40063945 transcript=Brasy9G071600.1 locus=Brasy9G071600 ID=Brasy9G071600.1.v1.1 annot-version=v1.1 MGGGSGSKAGPRVMENVEDVDLSAVRYERPPMQAPHLTGFPLRAFVWFMESPLFGPLVTSVLKKQNNMTQMLQQTVIPDRPMYFPEYPPQEPEQGVVIIAEDRDPVDRVEETLQCLTPYDPSGRFTSAGEKNPFLYWKIRDFAYAYRSGITTPSAVAEHVILGVEEWNNKTPPMPMLVYFNVDDLRKQADASTKRFEQGNPISILDGIFVAIKDDIDCFPYPSKGATTFFDQIRSVEKDAVCVARLRRCGVIFIGKANMHELGLGVTGNNPNYGTVRNPHSIDRYTGGSSSGPAAIVSSGLCSAAIGTDGGGSVRIPSSLCGIVGLKTTYGRTDMTGSLCDAGTVEVGSPLTASVEDAMLVYSALAGCRPTDIRTLRPSPLCVPDLVSSDNSNILQSVKVGKYTEWFNDVSDIEISNTCDSALNLLCSTFGCQIEEIVLPELEEMRTAHLVSIGSESFCDLNPHYQAGRRAELTLDTRTSLALFGSFTSTDYVASQCLRRRIMYYHMEAFKKVDVIATPTTGITAPKIPPSALKLGESDYVVSANLMRFILAANLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKRRNRPSTFYDILKA* >Brasy9G008400.1.p pacid=40063946 transcript=Brasy9G008400.1 locus=Brasy9G008400 ID=Brasy9G008400.1.v1.1 annot-version=v1.1 MAPTTPSPAGAAVQLCSLPLFDGDEFLGEVEVVAPENYHAFPSTEIRVSRRSPPSQTCPPVAVLRMISPESMMICKLRPKQPRSALRALHSACLNQRMTAVVDVAGGKEELHLVAMKSCKNATPCGFWCWSVPRGLYAANLRMLDGRRLAVVLDLDETLVASNNMATFEHRMERLNGWLLECNVNDASQMKAISDELCRTSNDMDLLANFMDTGAIIIDDQEVMSRAEEVRLRAPAGAGHIKGIRPVIRDVPSRNNVVLTRINPQVPRTSVFVNIRPGWDDFRTYLSGSEHPFEVYVCTMSGIDYAHEVWRLLDPEAKLISPEEISHRIISARSDSKKSLQRVFQESLCHPIMAVVIDDRAEVWDEKDTQRVIEIQAYNPSACPEDKAVNGLPVLENLRRALSDVHENFFREFDENLIKKGDEEVMYENEALDLLYPPSNIFSYTPWKKGNNIARPSDAPAPEDTSGAQVKRGA* >Brasy9G359300.1.p pacid=40063947 transcript=Brasy9G359300.1 locus=Brasy9G359300 ID=Brasy9G359300.1.v1.1 annot-version=v1.1 MRVAPHALPCLAAVYCVSNLPTCSKLLSQGWTRGMNDARGRCSVPCRVSGHLDLRRADSRARNGDFIVQLSSSLLRIACSMWWFENDDVPTRIIQVLTGEKDGKLKYPADHRRAFEQHGGQFLASKFEQHDVRSLLPVESECHVEGKFGPENVNYQNLCPTEGVHVHTLVYSLCPTKQPQ* >Brasy9G359300.2.p pacid=40063948 transcript=Brasy9G359300.2 locus=Brasy9G359300 ID=Brasy9G359300.2.v1.1 annot-version=v1.1 MNDARGRCSVPCRVSGHLDLRRADSRARNGDFIVQLSSSLLRIACSMWWFENDDVPTRIIQVLTGEKDGKLKYPADHRRAFEQHGGQFLASKFEQHDVRSLLPVESECHVEGKFGPENVNYQNLCPTEGVHVHTLVYSLCPTKQPQ* >Brasy9G315800.1.p pacid=40063949 transcript=Brasy9G315800.1 locus=Brasy9G315800 ID=Brasy9G315800.1.v1.1 annot-version=v1.1 MPPASSAGFTATVGRIRTLPAERPHLRRCTKLLCSAVLVVLLLAAVLLFVAYLAVRPHRPRFHVVAFTASVIQQAAGGDGAGTVLLSGQLSVRNPNHDVGFFYDRLYLSVHYGNVDVVKDQDITGRPMYQPPKTTTPVTFEGVTVPASSATASMARDAGADGGSVAFTVKVRSRIRVRVAFWGSHWHPLHVGCDIAVGPDGQLLPESRQKRCAIDFL* >Brasy9G331300.1.p pacid=40063950 transcript=Brasy9G331300.1 locus=Brasy9G331300 ID=Brasy9G331300.1.v1.1 annot-version=v1.1 MRTSSMAMASLLLCLLLATRAHGIRLDRQLNEALNNKQEPAGDSKAGKQPSELTAHSASKHCTSSDGRCSDAGKAKKAAAPAHAAKHHEPIPGGKREEEEAAPSRVLPRQENAAATYPDILDIAGMDYTPANRKPPIHN* >Brasy9G331300.2.p pacid=40063951 transcript=Brasy9G331300.2 locus=Brasy9G331300 ID=Brasy9G331300.2.v1.1 annot-version=v1.1 MRTSSMAMASLLLCLLLATRAHGIRLDRQLNEALNNKEPAGDSKAGKQPSELTAHSASKHCTSSDGRCSDAGKAKKAAAPAHAAKHHEPIPGGKREEEEAAPSRVLPRQENAAATYPDILDIAGMDYTPANRKPPIHN* >Brasy9G013500.1.p pacid=40063952 transcript=Brasy9G013500.1 locus=Brasy9G013500 ID=Brasy9G013500.1.v1.1 annot-version=v1.1 MSLTSQHEVYQTEFLLKNVCSIYAFRFSWAQEDEDPMEYTRCTAKPYILAELMAGHPNIVSASPMFP* >Brasy9G074400.1.p pacid=40063953 transcript=Brasy9G074400.1 locus=Brasy9G074400 ID=Brasy9G074400.1.v1.1 annot-version=v1.1 MGGELKVLDALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSKTPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMIMVLCCVASGLSLGSGAGGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGVVAIVVSAAFKHRFDAPAYKDDPAGSTVRQADYAWRIVLMFGAVPALLTYYWRMKMPETARYTALVARNNKQATADMERVLNVQLAKDEDPQEEEDQRRRRQQEQFGLLSREFVKRHGRHLLGTTVCWFVLDIAFYSQNLFQKDIYAAVGWLPRADTMNALQEMFSISRAQTLVALCGTIPGYWFTVFLIDVLGRFTIQLGGFFFMTAFMLGLAVPYDRWTGSNPAGFVVMFAFTFFFANFGPNSTTFIVPAEVFPARLRSTCHGLSAAAGKAGAIVGSFGFLYAAQSPDPAKAEAGYPPGIGVRNSLFLLAGCNVIGFFFTFLVPESKGKSLEELSGDNQEDDPAESEASAQQEYRSTTLSPPTA* >Brasy9G214800.1.p pacid=40063954 transcript=Brasy9G214800.1 locus=Brasy9G214800 ID=Brasy9G214800.1.v1.1 annot-version=v1.1 MVTKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTAHRFEAEMARIMGKEAALFVPSGTMANLVSVLVHCDTRGSEIILGDTSHIHIYENGGISSIGGVHPKTLPNNPDGTMDIDRIVAAIRHQDGALYYPTTRLICLENTHANCGGKCLSVEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVRAADSISVCLSKGLGAPVGSVIVGSKAFIDKAKIVRKTLGGGMRQVGILCAAAYVGVRDTVGKLADDHRKAKVLAEGLKKIKQFTVDLTSVETNMVFFDITDPHISPDKLCQVLEQRNVLAMPASSKSVRLVIHYQISDTDVQYALTCIEKVVEEILSGNVKLEQLTNGTTANSYGH* >Brasy9G304800.1.p pacid=40063955 transcript=Brasy9G304800.1 locus=Brasy9G304800 ID=Brasy9G304800.1.v1.1 annot-version=v1.1 MAAAAARLLLLRPGASRTAATCSALLHRPLDSFSRCSRSLEPPHLRPPSTVFRRRLSDAAFDVQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVANHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTLVDCKHAMKHLNEVKPRWVVNEAVEQVAYADRIILNKIDLVDDAEQEVLANKIKLINGMAQMKKAKFGDVDMDFVLGIGGYDLDRIESEVQLNESKETGHCHSGDAHGHHHDHVHDSAVTSVSIVSEGVLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRINKLVFIGRNLDEAALRKAFKGCLL* >Brasy9G065500.1.p pacid=40063956 transcript=Brasy9G065500.1 locus=Brasy9G065500 ID=Brasy9G065500.1.v1.1 annot-version=v1.1 MPNKKRKTSPGQQHEAAAKRKRIKRSKLQLSSLPTLPLKEAIRTSVLSTQWKHVWRGGCTKLDFTRKTILTKDERTSFTVRSRDDNRKMFIKRVNSIIRQHSEIGIEQFRVVQSLHNEHADYVDTWVNYAIESKAKELVLDLDWGAHGPEIVPYDFFSHSTNTNSYMYLNSLQLSFVSLRLPADFTGFPNLTKLDLESVNITNEDTEHLLFICTLLVTRHLNKLKHLAVLTCPLLQEIELNCGITELDYRGPIIPLALARSLRLTNICIKFSTKHSTVDFIFSEIPNTLHDLEVLTLRCTHIQRAALPRRVLKFDSLRHLRLETIICRKKIDVLDLAFVAYLDVSTHKMCLDTSKSATTNMKRRKYFTKAKENVWKSSKDMVMNRGHRRYCLEDGELRRLPSYPHCHLNMVHITGFYGQKDQLELALHVLNNATMLKEMKLEVRSTVHEPGKLFLGSFYIYSDGYSVASEFLGREDHNNVVHILGSR* >Brasy9G334700.1.p pacid=40063957 transcript=Brasy9G334700.1 locus=Brasy9G334700 ID=Brasy9G334700.1.v1.1 annot-version=v1.1 MAQPPAPLGVAAADLDDDGHPRRIGNLWTCVAHIITGVIGAGVLALSWSVAQLGWVAGPAAMLCFAAVTYVSALLMSHCYRSPAPGPGPDSPALDKARRRNYTYMDAVRAHLGPKHTYLCGFLQYVYLYGIGIAYTITTATCLSAIKKANCYHAHGRAAACGSDEAEQHLFILLFGAAQLALSFIPDFHSMAWLSAVAAAMSFFYSSIGLGLGLAKTVGDGAVRGTLAGAPMPTPTQKVWRVAQAVGDIAFAYPYTMVLLEIQDTLRSSPAPEGEGETTRKGNVVAVLVTTFFYLCVGCFGYAAFGHAAPGNLLTGFGFYEPFWLIDFANACIVLHILGGYQSGFVNRVYYVRIVPGLPAYGLNLQRVCFRTAYVASTTGLAVVFPYFNEVLGLLGALIFWPLVIYLPVEMYCVQRRIAPWTPKWDIIAF* >Brasy9G090800.1.p pacid=40063958 transcript=Brasy9G090800.1 locus=Brasy9G090800 ID=Brasy9G090800.1.v1.1 annot-version=v1.1 MGSLLALTDDILAEILIRVPSPSDLARASAAYASFRRVVSSPRFLRRFRLLHHPPPPLGVFFPDGPAFFPALPPNPSAPAARALAVAADFSFAFLPLPDRSWLVRDYRDGRFLLDRALAGSTCFTEVAVCDPLFRRYLLLPPIPDDLAASVDNPYLQRGGADGGPQSRSNEIFLASRGNDELSEELLFAVIWMACCRGKLVAFVFCSESRQWRALSPPVHHALSMRRVMGVRLGQRNYAHGCFYWMITLTRRWLVLDSRKMEFSILDISPVLLGRTMMFSNQITTLESGEGRTTVVVSDLFREDKRCVLYFYTFMSFSDRWQLQNKITLPEEWGYRFRGIIGAFEGCLFMKLDHPKENLGDAVEKNVTYFWFNVKTMQVVRFTEIDSVTVSEAYLYTGFPPSLSLPSV* >Brasy9G254600.1.p pacid=40063959 transcript=Brasy9G254600.1 locus=Brasy9G254600 ID=Brasy9G254600.1.v1.1 annot-version=v1.1 MTPPRPCCRHSVPPQVRALSRPQASAAAGPWSRGSGHWTPHRADAMASRSCATLPWTAQPLDLRDSATGAGAAATAASTDVPHQASGGEGASRRVVGDGDGRARQRRWIGGEEGVAAALDRGRGGRAAALEPGTGGRGGGVGSDERRAAALDRGTGEGNGDDFCFFFRGGGGDDWVRQTEETKGSAQFRHLGRPVRKCGALYCSI* >Brasy9G224200.1.p pacid=40063960 transcript=Brasy9G224200.1 locus=Brasy9G224200 ID=Brasy9G224200.1.v1.1 annot-version=v1.1 MASALAIAVPATCSPLVASSPARRFHALPSTRAPRSPALRAVTGLAVNRRSVGRGASVVCAVQGQDTSIQVPDVMKGTWQSLVMESELPVLVEFWASWCGPCKIIDPVVGKLSKEYEGKMKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDSVIGAVPESTLISSIEKFAER* >Brasy9G034800.1.p pacid=40063961 transcript=Brasy9G034800.1 locus=Brasy9G034800 ID=Brasy9G034800.1.v1.1 annot-version=v1.1 MGEDSEESLGSSGANSLACGLRRLSWAGPVLLYLSGRQLASWPEPSPGPKAEQPSFGSSARRAR* >Brasy9G010200.1.p pacid=40063962 transcript=Brasy9G010200.1 locus=Brasy9G010200 ID=Brasy9G010200.1.v1.1 annot-version=v1.1 MERKGGTPTMSWDSPGSMYGSSSCTTPRCCTRAVSASRSCSCTVPRCCTRGMEASGGSTSTAPAGSAFTASRCRSISWTGTALRWCICSRTASKCCPCMEWRCSSCSSTATSWCRFSRTASRRCSCAHTASSDFSILSMDV* >Brasy9G001600.1.p pacid=40063963 transcript=Brasy9G001600.1 locus=Brasy9G001600 ID=Brasy9G001600.1.v1.1 annot-version=v1.1 MRRGSRRLSLLRRSASRRRKGGSRLSLHFWPVGGAPWLCSAIVQGQAWPFRTGSRTGGLLYPQPNRGRWRGLCCWRGFGGRPASSVRRRIPPQLHSSVRQRRGEFISGGASQICCMTVFSSPAFCFLSSVPSGLSPPNLPPSPM* >Brasy9G024400.1.p pacid=40063964 transcript=Brasy9G024400.1 locus=Brasy9G024400 ID=Brasy9G024400.1.v1.1 annot-version=v1.1 SDSSTLTKGQELICSDKLISRNGKFALGFFTTGSSKKCSDNTTLPNWYLGIWFNKIPKFTPVWTANREKPVTDPKFKSSKLRATRDGNLVILNHATESIIWSTQIVANGRRTPSKNNNIVVMLSDDGNLVIRDALNSSIVWWQSFDHPTDVFLPGTKIGRNKLDPSGARQYFVKLCNSSMVYFSTGEWNGHYFNFVPEMSGSNFLSKFIKNDKEEYFTYAPSDPTVVTICFLDVSGLTRQLLWVENLQDWETIYIQPKASCDVFAVCGPFAVCNDNTVPLCNCMNGFSVKSPADWELDDRTGGCMRNMPLEYCISNKSNTTGLTDQFFPIPSLRLPYNAHSMEAVQSAQECLQVCLRKCSCTAYSYSRSGCSIWHGEIIDAKYNNATINTNGEILYLRLAVGEVQSWGNNTGRRRRRRRRRTIIGVTTGAFSSLVMLLIIWRNKRKWCAFKYVDLQRATKNFSEKLGGGAFGSVFKGILRDSTTIAVKMLDGACQGDKQFRAEVSTVGMIQHVNLVKLIGFCCEGDTRMLVYEHMANRSLDAHIFQSNGTMRNWSARYQIAIGVAKGLSYLYESCHDCIIHCDIKPENGRGPQNILLDASLVPKIADFGMAKLMGRNFSRVLTTMRGTVGYLAPEWISGVAITPKVDVYSYGMVLLEIISGRRFVSRNSPEECTGSGDHDVYFPVQAARKLLEGDVGSLVDQKLLGDINKEEVERACKAACWCIQDHDFDRLTMGDVVQILEGFVELDMPPVPRLLQAILGRSSTT* >Brasy9G028100.1.p pacid=40063965 transcript=Brasy9G028100.1 locus=Brasy9G028100 ID=Brasy9G028100.1.v1.1 annot-version=v1.1 PRPHLSFLTHSSAPPWLLLSSQISLSTFLRLHPRHPPQAAAAHRCHRRTMAPSPLRHCRLRQQRRRSRNGRRRPLSLSFAGLDRARGPRR* >Brasy9G215000.1.p pacid=40063966 transcript=Brasy9G215000.1 locus=Brasy9G215000 ID=Brasy9G215000.1.v1.1 annot-version=v1.1 MSTSETATVIPVYDVAPGQQGAPAVDRAPAPSAPPAAVPAAAAPAAAAAKSTTPRRFAAGLFFRQSDRGSRCLAFLDFLLRIAAFGPALAAAIATGTSDETLSVFTEFFQFRARFDDFPAFLRFFMVANAVAAGYLVLSLPFSAVVVLRPQATGLRLLLLVCDTIMIGLLTAAAAAAAAIVELAHNGNERANWVAICMQFHGFCQRTSGAVVASFLSVFLFLLLVVLAAFAIRKR* >Brasy9G215000.2.p pacid=40063967 transcript=Brasy9G215000.2 locus=Brasy9G215000 ID=Brasy9G215000.2.v1.1 annot-version=v1.1 MSTSETATVIPVYDVAPGQQGAPAVDRAPAPSAPPAAVPAAAAPAAAAAKSTTPRRFAAGLFFRQSDRGSRCLAFLDFLLRIAAFGPALAAAIATGTSDETLSVFTEFFQFRARFDDFPAFLFFMVANAVAAGYLVLSLPFSAVVVLRPQATGLRLLLLVCDTIMIGLLTAAAAAAAAIVELAHNGNERANWVAICMQFHGFCQRTSGAVVASFLSVFLFLLLVVLAAFAIRKR* >Brasy9G251400.1.p pacid=40063968 transcript=Brasy9G251400.1 locus=Brasy9G251400 ID=Brasy9G251400.1.v1.1 annot-version=v1.1 MVDAPNQSQYGERVPQGGTRRIYTPYHLEGFDLNRPSLRALYDLPTSPECLFAEELRDRRSWGENLTFYAGCGFLVGGAAGMATGFKRGVEEAERGDSFKLRTNRVLNNVGSVGRGYGNELAVIGMLFAGVESGVAARRDADDWRNTVAAGLGAGLLYRSPKGPRSAVVGAAVGGLMAGAAVAGKQVLKRRHPNLAF* >Brasy9G015500.1.p pacid=40063969 transcript=Brasy9G015500.1 locus=Brasy9G015500 ID=Brasy9G015500.1.v1.1 annot-version=v1.1 MVEAHETSRAGSGGVWPRASLRRRTRGGRTREPQSLAAAFTGNVLACRQQPPVAAREEGGGGRGGGGRPQVARETKEARTSLSLKLQSVPPPHAPARKNLPHASPERAPPPSPPPPRPPDPPPISRPPVPTPSPGPSLREGTRSRRHPALRRRARGSGDRRCRWGREEPLQEGQRLGPPAPTAGEVPARTRRWSSSLGSYSSFVAGTVEAASLGRR* >Brasy9G240400.1.p pacid=40063970 transcript=Brasy9G240400.1 locus=Brasy9G240400 ID=Brasy9G240400.1.v1.1 annot-version=v1.1 MPRSKSTSGGSLFYRRKNSLRRDDLVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYIREEASYGRKAPIDPFTRESTKPSASQGVPLGGMGTGSISRGFRGEFKHWQITPGSCEMLPVMENQFSIFITRGSKKYSSVLAPGQHDGLKKSSNDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLVMTWANSIGGLSDHSGGHVNEPFIGENGVSGVLLHHKTANNNPPVTFAVAACENQNVDVTVLPDFGLSGESSVTAREMWGTIVQDGCFDRDNFKAGPSMPSSLGETVCAAVSASTWVEAHGRCTVVFALAWSSPEVKFKKGSTYHRRYTKFYGTSPRSAINLVQDALMNYKHWEEEIDKWQTPILRDERLPEWYKITLFNELYFLVAGGTVWIDSESLMVDADKNLNSSLPEDSDLPLHDSNCNSTVPLIDPMPLDFDDKENVGKFLYLEGVEYFMWCTYDVHFYASFALLNLFPKIELSIQRDFARAVLREDRSRVRFLADGTWGTRKVIGAVPHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDRDGDGMIENDGFPDQTYDAWTVLGVSVYCGCLWLASLQAAAAMARGLGHNDYAERCMVKFEKAKHVFEAKLWNGSYFNYDSGASYSSRSIQADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMYPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEDQAFTTAEGIFVAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPKAILEAPKVNTMDRAHVSPGALQFLQDSVRKITPKNGCFGSNVFNCDC* >Brasy9G240400.2.p pacid=40063971 transcript=Brasy9G240400.2 locus=Brasy9G240400 ID=Brasy9G240400.2.v1.1 annot-version=v1.1 MMKLGLRLWSYIREEASYGRKAPIDPFTRESTKPSASQGVPLGGMGTGSISRGFRGEFKHWQITPGSCEMLPVMENQFSIFITRGSKKYSSVLAPGQHDGLKKSSNDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLVMTWANSIGGLSDHSGGHVNEPFIGENGVSGVLLHHKTANNNPPVTFAVAACENQNVDVTVLPDFGLSGESSVTAREMWGTIVQDGCFDRDNFKAGPSMPSSLGETVCAAVSASTWVEAHGRCTVVFALAWSSPEVKFKKGSTYHRRYTKFYGTSPRSAINLVQDALMNYKHWEEEIDKWQTPILRDERLPEWYKITLFNELYFLVAGGTVWIDSESLMVDADKNLNSSLPEDSDLPLHDSNCNSTVPLIDPMPLDFDDKENVGKFLYLEGVEYFMWCTYDVHFYASFALLNLFPKIELSIQRDFARAVLREDRSRVRFLADGTWGTRKVIGAVPHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDRDGDGMIENDGFPDQTYDAWTVLGVSVYCGCLWLASLQAAAAMARGLGHNDYAERCMVKFEKAKHVFEAKLWNGSYFNYDSGASYSSRSIQADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMYPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEDQAFTTAEGIFVAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPKAILEAPKVNTMDRAHVSPGALQFLQDSVRKITPKNGCFGSNVFNCDC* >Brasy9G244100.1.p pacid=40063972 transcript=Brasy9G244100.1 locus=Brasy9G244100 ID=Brasy9G244100.1.v1.1 annot-version=v1.1 MAKKVEVIAALLALNLLFFTFSDASGRSYPPAAGGGYGGGGSGGGGNGGGGGGNCGGGNCGGGGGGNCGGTGNGGGGSCGGGNCGNCGGGGNGGGGNGGGGNGGGGNNGGGNNGGGGNGGNSSRCPIDALKLGVCANLLNGLLNLQLGTPPALPCCSLIQGLLDLEAAVCLCTALRANVLGIINLNIPIDLSLLINYCGGRVPSGFQCN* >Brasy9G020000.1.p pacid=40063973 transcript=Brasy9G020000.1 locus=Brasy9G020000 ID=Brasy9G020000.1.v1.1 annot-version=v1.1 MATGPPVIIVGAGPSGLATSACLARRGVASVVLERDDCVASLWRKRAYDRLHLHLPKQISTLPHAPHGAAAPEYLPRDDFVRYLDAYADRFAVQALLRRSVRAALSVPLISSNTLKVEALNLGTGEEEKHAARFLVVAAGEFDEKVIPAVPGLDTFPGVAIHSSEYRSAKGLQGKAVLVVGCGNSGMEIALDLAESGAAASVVVRGEAHLMTRRIMSLSTSLFAYLPLWAIDNLALFMYYIAFGGDTAKHGVPRPALGPFARKLQKNAYPVIDVGTYAKIKTGQIRVLPAMERIDGNMVEFAGGQRHPFDVIVFATGYRSGVNKWLRGEPGGGLIGDDGMAKGRSPKGEKGLYRAGLAGRGIYGSGTDAEDISRQLQSGSGDVGASS* >Brasy9G113900.1.p pacid=40063974 transcript=Brasy9G113900.1 locus=Brasy9G113900 ID=Brasy9G113900.1.v1.1 annot-version=v1.1 MSLQVEDPRGTETPAPLKRHDSLFGDAEKVSHSKHHGSEVSWVRTLSLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKNNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKVRLIPDQQAEDAAVSNYHIEAPNSQLRRAQWLKQKLESSKAAKITLFTLTILGTSMVIGDGTLTPAISVLSAVSGIREKAPSLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVIHDIGVLRAFNPMYIVQYFKRNGKDGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVTLCYIGQAAYLRKFPGDVANTFYRSIPAPMFWPTFIIAILAAIIASQAMLSGAFAILSKALSLGCMPRVQVIHTSHKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGHAYGICVVTTFVITTHLMTVVMLLIWQKHVIFIMLFYVVFGSIELIYLSSILSKFIEGGYLPICFALVVMSLMATWHYVQVKRYWYELDHIVPINEMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFMFMSIKHLPISHVIPAERFIFRQVGPREHRMFRCVARYGYSDTLEEPKEFAAFLVDRLKMFIQEESAFAQNEVESDNTSEVSESQARTRRSTQNAVHSEEAIQTRVSSNSGRISFQENQTVEEEKQLIDREMERGMVYLMGEANVTAQVKSSVFKKIVVNYVYTFLRKNLTEGHKALAIPKDQLLKVGITYEI* >Brasy9G113900.2.p pacid=40063975 transcript=Brasy9G113900.2 locus=Brasy9G113900 ID=Brasy9G113900.2.v1.1 annot-version=v1.1 MSLQVEDPRGTETPAPLKRHDSLFGDAEKVSHSKHHGSEVSWVRTLSLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKNNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKVRLIPDQQAEDAAVSNYHIEAPNSQLRRAQWLKQKLESSKAAKITLFTLTILGTSMVIGDGTLTPAISVLSAVSGIREKAPSLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVIHDIGVLRAFNPMYIVQYFKRNGKDGWVSLGGIILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVTLCYIGQAAYLRKFPGDVANTFYRSIPAPMFWPTFIIAILAAIIASQAMLSGAFAILSKALSLGCMPRVQVIHTSHKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGHAYGICVVTTFVITTHLMTVVMLLIWQKHVIFIMLFYVVFGSIELIYLSSILSKFIEGGYLPICFALVVMSLMATWHYVQVKRYWYELDHIVPINEMTTLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFMFMSIKHLPISHVIPAERFIFRQVGPREHRMFRCVARYGYSDTLEEPKEFAAFLVDRLKMFIQEESAFAQNEVESDNTSEVSESQARTRRSTQNAVHSEEAIQTRVSSNSGRISFQENQTVEEEKQLIDREMERGMVYLMGEANVTAQVKSSVFKKIVVNYVYTFLRKNLTEGHKALAIPKDQLLKVGITYEI* >Brasy9G181600.1.p pacid=40063976 transcript=Brasy9G181600.1 locus=Brasy9G181600 ID=Brasy9G181600.1.v1.1 annot-version=v1.1 MGCPFVLNENSLTHRWQHILAECNRYTAAYDSVKNRPVSGVGVASQVIQAMDAFRAMNNGKKFNLPHCWIKLHKAPKWIDLIASLKIQAKNAAQGRKRTNDGITIDLEADEISGALGKRSDRPRGKKLSKDDLKREASTIALQESLKEMISVKEASSGKRGEIRELKKDERFKSFMDTIQEKYRGDAAVAADMAAAAKLDATSRAKEVKLKVLMEENRIMSMDLSPLDDVARAWFIKKKKEIADRQA* >Brasy9G295800.1.p pacid=40063977 transcript=Brasy9G295800.1 locus=Brasy9G295800 ID=Brasy9G295800.1.v1.1 annot-version=v1.1 MERLQRIFSTGMGQSPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKQFDTHSKTNEETVQEMLSLAIKYNKAVQEEDELPPDKLAIVNVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF* >Brasy9G102200.1.p pacid=40063978 transcript=Brasy9G102200.1 locus=Brasy9G102200 ID=Brasy9G102200.1.v1.1 annot-version=v1.1 METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRTHPSQVIEIPSDASLADTVETLSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLHQQFDTSANGMTGSAAGSPVANLAARLGSFTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGDHIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPYKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPKIYKQYRTITAKDFLTAVRHHLQEQHEPSPLLHDVITCKRDDAIKDIILKLDTEKIHRIYVVDDKGDTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV* >Brasy9G102200.2.p pacid=40063979 transcript=Brasy9G102200.2 locus=Brasy9G102200 ID=Brasy9G102200.2.v1.1 annot-version=v1.1 METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRTHPSQVIEIPSDASLADTVETLSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLHQFDTSANGMTGSAAGSPVANLAARLGSFTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGDHIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPYKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPKIYKQYRTITAKDFLTAVRHHLQEQHEPSPLLHDVITCKRDDAIKDIILKLDTEKIHRIYVVDDKGDTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV* >Brasy9G102200.3.p pacid=40063980 transcript=Brasy9G102200.3 locus=Brasy9G102200 ID=Brasy9G102200.3.v1.1 annot-version=v1.1 METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRTHPSQVIEIPSDASLADTVETLSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLHQQFDTSANGMTGSAAGSPVANLAARLGSFTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGDHIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPYKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPKIYKQYSAPPSPGATRAVPAVA* >Brasy9G023000.1.p pacid=40063981 transcript=Brasy9G023000.1 locus=Brasy9G023000 ID=Brasy9G023000.1.v1.1 annot-version=v1.1 MEQNVLERILEGTQVAAPSPDLHRDPRRRAYAPPPPPPRAACLAAARPAVAPARCPDRRSEPRRPALLQPSRPRAAPAAVPSPAATARCMPSRRTPGRCARSSPRQRRRPNFHGGGGIISTAAAAGHGTGELLSTAVPSPRRPGQRGAAWLGTAAGAARGRDGCSSAWQRGSERRSGQRAGATAGHAAARHAARGGGA* >Brasy9G276100.1.p pacid=40063982 transcript=Brasy9G276100.1 locus=Brasy9G276100 ID=Brasy9G276100.1.v1.1 annot-version=v1.1 MLAATTVSLPPPLRRSPRPISLSSSHGFEGLANPIHPRTRRRTLACRAELQQDAPFVAAMGACVLASLALPAPRVRGEAGEEEDEGEFGATDTRMGVMGIISFLPYFNWLSWVFAWLDSGRRLYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHVQLEAGIRSGDIEGFTFVEKAQKLLFPNPMKAKDDHRGKKRESLRTGHRSNTRIPSAHESREKLRNSDIFKRKLDEPNDEKQKKSDWH* >Brasy9G011100.1.p pacid=40063983 transcript=Brasy9G011100.1 locus=Brasy9G011100 ID=Brasy9G011100.1.v1.1 annot-version=v1.1 MAWPRLQAVFAVVVVVLALLAADATTTANHGFFRFEEASIDAIRLGFSNGSLTSVALVSFYLDRIARLNPLLHAVIEVNPDALRQAARADADRRRGFSGRAAMGGLHGVPVLLKDNIATRDALNTTAGSLALLGSVVRRDAGVVARLRRAGAVVLGKANPTEWSAFRSVDNGWSARGGQSLNPYVLSADPCGSSAGPGVAAAANLAAVTLGSETDGSILCPSSSNSVVGIKPTLGLTSRAGVIPITPRQDTIGPMCRTVSDAVQVLDAIVGYDALDAAATGTASKYIPRGGYMQFLKKDGLKGKRIGVPSGFFRGLYYGEKQLSVYKQHLATMRKHGAVVMENLAVATNLTTLLDDIGSNEGVAVQAEFKISLNAYLADLLYSPVRSLAQVIAFNNAHPIEERLKDFGQQNLIAAENTTGIGSVERAAIQRLKELSANGLEKLMKEHRLDAIVTPNSDSSSLLAIGGHPGIVVPAGYDDEGIPFGICFGGLQGYEPRLIEMAYAFEQATQVRRPPMFKH* >Brasy9G011500.1.p pacid=40063984 transcript=Brasy9G011500.1 locus=Brasy9G011500 ID=Brasy9G011500.1.v1.1 annot-version=v1.1 MVVFLLLLSAAGILRPNPPNPLFSIPNLAPVSGNHAVWFKREAGWRPGGGRARRRLPEIREEDALTGGRPFPRRRSCTGENARARPSREGHYSGVLVIGWRARLEATPPYGWRSG* >Brasy9G327500.1.p pacid=40063985 transcript=Brasy9G327500.1 locus=Brasy9G327500 ID=Brasy9G327500.1.v1.1 annot-version=v1.1 MAMAAPPFLAVALSVTLALLCGGNVARAQTPVFACDAANSTVAGYAFCDRAKSASARAADLVSRLTLAEKVGFLVNKQPALARLGIPAYEWWSEALHGVSYVGPGTHFSPLVPGATSFPQPILTAASFNASLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASRYAVGYVSGLQDAGADADGPLKVAACCKHYTAYDVDNWKGVERYTFDAKVSQQDLDDTFQPPFKSCVIDGKVASVMCSYNKVNGKPTCADKDLLSGVIRGDWNLNGYIVSDCDSVDVLYSQQHYTKTPEEAAAITIKSGLDLNCGDFLAKHTVAAVQAGELSESDVDRAITNNFIMLMRLGFFDGDPRKLAYGSLGPKDVCTSSNQELARETARQGIVLLKNDGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLHGLGNNVATVYQPGCSNVGCSGNSLQLSAATAAAASADVTVLVVGADQSIEREALDRTSLLLPGQQPDLISAVANASKGHVILVVMSGGPFDISFAKAMDKISAILWVGYPGEAGGAALADIIFGKYNPSGRLPVTWYPASFADKVPMTDMRMRPDNSTGYPGRTYRFYTGETVFAFGDGLSYTTMSHNLVSAPPSEVSMQLAEGHACHTEECASVEAAGDHCEGMVFEVRLRVHNTGEMAGAHTVLLFSSPPAVHNAPAKHLLGFEKLNLEPGQAGVAAFKVDVCKDLSVVDELGNRKVALGGHTLHVGDLKHTLNLGV* >Brasy9G327500.3.p pacid=40063986 transcript=Brasy9G327500.3 locus=Brasy9G327500 ID=Brasy9G327500.3.v1.1 annot-version=v1.1 MMKFILIGDLWTEFLLQVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASRYAVGYVSGLQDAGADADGPLKVAACCKHYTAYDVDNWKGVERYTFDAKVSQQDLDDTFQPPFKSCVIDGKVASVMCSYNKVNGKPTCADKDLLSGVIRGDWNLNGYIVSDCDSVDVLYSQQHYTKTPEEAAAITIKSGLDLNCGDFLAKHTVAAVQAGELSESDVDRAITNNFIMLMRLGFFDGDPRKLAYGSLGPKDVCTSSNQELARETARQGIVLLKNDGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLHGLGNNVATVYQPGCSNVGCSGNSLQLSAATAAAASADVTVLVVGADQSIEREALDRTSLLLPGQQPDLISAVANASKGHVILVVMSGGPFDISFAKAMDKISAILWVGYPGEAGGAALADIIFGKYNPSGRLPVTWYPASFADKVPMTDMRMRPDNSTGYPGRTYRFYTGETVFAFGDGLSYTTMSHNLVSAPPSEVSMQLAEGHACHTEECASVEAAGDHCEGMVFEVRLRVHNTGEMAGAHTVLLFSSPPAVHNAPAKHLLGFEKLNLEPGQAGVAAFKVDVCKDLSVVDELGNRKVALGGHTLHVGDLKHTLNLGV* >Brasy9G327500.2.p pacid=40063987 transcript=Brasy9G327500.2 locus=Brasy9G327500 ID=Brasy9G327500.2.v1.1 annot-version=v1.1 MHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASRYAVGYVSGLQDAGADADGPLKVAACCKHYTAYDVDNWKGVERYTFDAKVSQQDLDDTFQPPFKSCVIDGKVASVMCSYNKVNGKPTCADKDLLSGVIRGDWNLNGYIVSDCDSVDVLYSQQHYTKTPEEAAAITIKSGLDLNCGDFLAKHTVAAVQAGELSESDVDRAITNNFIMLMRLGFFDGDPRKLAYGSLGPKDVCTSSNQELARETARQGIVLLKNDGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLHGLGNNVATVYQPGCSNVGCSGNSLQLSAATAAAASADVTVLVVGADQSIEREALDRTSLLLPGQQPDLISAVANASKGHVILVVMSGGPFDISFAKAMDKISAILWVGYPGEAGGAALADIIFGKYNPSGRLPVTWYPASFADKVPMTDMRMRPDNSTGYPGRTYRFYTGETVFAFGDGLSYTTMSHNLVSAPPSEVSMQLAEGHACHTEECASVEAAGDHCEGMVFEVRLRVHNTGEMAGAHTVLLFSSPPAVHNAPAKHLLGFEKLNLEPGQAGVAAFKVDVCKDLSVVDELGNRKVALGGHTLHVGDLKHTLNLGV* >Brasy9G024000.1.p pacid=40063988 transcript=Brasy9G024000.1 locus=Brasy9G024000 ID=Brasy9G024000.1.v1.1 annot-version=v1.1 MKNPESRGYIYAEIRERLLCFEYISNGSLDKKITDELRGLEWDKRYQIIKGICAGLHYLHMEKHILHMDLKPANILLDDQMVPKITDFGLSRPTENSQTMSNSFSSPGYGAPENLFGRGRMSVKSDMYSLGVIIIEVLTGNKGIPEIYNLFCWEAELCCASKEAHSVAHQRVGLKRSRRSYKGRRHVCRWRR* >Brasy9G332600.1.p pacid=40063989 transcript=Brasy9G332600.1 locus=Brasy9G332600 ID=Brasy9G332600.1.v1.1 annot-version=v1.1 MGFWVGLAVGIAVGIALIVGFARSENTRAARRRQLAATVASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELTKIWPFVNQAASDLIKTSVEPVLEQYRPMVIASLKFSKLTLGTVAPQFTGISIIESNDAGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGDISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAILYVRPLPDKTKRSKTISNDLNPIWNEHFEFIVEDADTQSVTVKIYDDDGIQESELIGCIQVSLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFNMKDEAPNPFRQQFSMTSLERTMTSNGNGNGTGSTEYSRLSSRKRKEIILRGVLSVIVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVTESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFRRDYMGRCILTLTKVLIEEDYTDSFTLEGAKTGKLNLHLKWSPQSIYRDSREPV* >Brasy9G138900.1.p pacid=40063990 transcript=Brasy9G138900.1 locus=Brasy9G138900 ID=Brasy9G138900.1.v1.1 annot-version=v1.1 MAEIVATAVVSDTVSRISTFLIDKCYARKSSSEREDDDDTERLEMAHIRMEAALETSGKSWPPLTDVSLLRWRVKLKRAADECGAVLRGRKRRAVEPPSSQKTERRRSSFPARIAHTARSFFSSSSVNDSPGAEIRRYERIADGASEFVRFVELGGRVRRRLLLDPLVGHLFAGRGFHYEISQGSRRYHFTSRPMGFAERGLEAGLYLCYHERGASAMNFVLGIMLRVTESTDLTAIATECLELFTPRLKPVADITRRELGRVHTRSLHCYPFLASTDPVHWNLHSSETRRARPNPLCCHGGHERQGCSRESAGTMKFPEPVIKIFVQRDVSVSEGRRIRQRRNRKRSSPSIAADHSGEIGSDYEDSRPLQLTAVFAPHASSEELPSGVESIAVEVIDGGRRAVRENVGLHELEELLMPGAIGRVCHGSAEMSAQEVFWRSGHGVAYLCVEKMGTDVTVHRATQWLV* >Brasy9G240100.1.p pacid=40063991 transcript=Brasy9G240100.1 locus=Brasy9G240100 ID=Brasy9G240100.1.v1.1 annot-version=v1.1 MELHDARVHPRLKVLRSLARGAFPAALPNPVLAAAKRKRQGNVVIQGAAPAGSTLCPAVETVPGSSPPDRVGAGKKRMPRSRGRRRRVEEPHLLPPPPAGSPSTQESYAPPMFSETPDRMR* >Brasy9G198600.1.p pacid=40063992 transcript=Brasy9G198600.1 locus=Brasy9G198600 ID=Brasy9G198600.1.v1.1 annot-version=v1.1 MGKPGLPVPVRPAAGWGLGCRCLPCGPPPHPCVPRRPPLRAEVSAAPALACLRHEPCGPSPPSLRAAAARPLLRPCVPRGGPSSAASPAAARPCVPRGGPSSAAAYRMRLDPCLLL* >Brasy9G110400.1.p pacid=40063993 transcript=Brasy9G110400.1 locus=Brasy9G110400 ID=Brasy9G110400.1.v1.1 annot-version=v1.1 MQPPQTPQKLPPRAPSPQGFSGGAAFSPMQGIGASQVSAAAAAAAVRARAAEQMAYEDAWKASNPDFKTPFASVEDAVSRLLPYHVFADYEEDDDVCEGDTEKSSDEKWDQQVIEAMSSQISEFEKQVLAFNVMAGKRADGTMCGEEKFMLDLMLQNDERRMTEHLRAALIAQHEKQQEEEEAVRAAAARLALAQAQAQAGGSGPWTLVQQPTASAWQQALAAAALGPGGAQMPPQQQLDAAAAANMWMMMQQQQQHQQQQQHQQQQYPQQQQQLALGVWPTFVAARGEGSSSSSGQAGVPGVWQGQGQGQGQAAVGQAMSGAPAAGMAQTWWVSDAQQREQ* >Brasy9G272600.1.p pacid=40063994 transcript=Brasy9G272600.1 locus=Brasy9G272600 ID=Brasy9G272600.1.v1.1 annot-version=v1.1 MASLFRARRRRSPEDDGDEDDRSGAGRAKRRRLSPEEAALTPVGEEGRSPGSGWLSTIVTGAKRVITSVLFSSQEETASAEEEEEEEEQDGEEESGNEDARDTHGAIVPYSESKIAIEEMVMKETFSRDECDKMVKLLQSRVVDSKLPEAYEYGTPKEIPTRNASAGHDFTGAWRSLNRNRNIPESSPFSSIGRGNFSPSSPLHASPELCNAAVTEAKKWLEEKRQGLGPKPENGPCTLNTDMLNSDFEFDKGSPVDLAKSYMQSLPPWQSPLLGSRKFKTPPSGGVHFNDGEGKSKLFSSTKVTTKDDFISSSNFWENLEELRRSRIGFSETYPDASKLKHHGSTSKLFDTDVSVFSSGTREEVRESMQSSKGSDKAAAVEPANGCSLPIAPTNDGNDGAVDSVDPAKDTGNQVQECHAASEVHPDAVPQGNHMPPTSDTKEAAGLSGDVKSFTAEPEIHEETHINSTSVPESRPKLRTSLRSLKKKVQNSISGSTNKTSANGLLDRSNGNSGLESSGNDNPSCTNSSSAVPPTSNELVDSTDHAADDNSVDTKMVSEKPVNGNSVENGAGMDSEKPVEEAPKPSYVRRGRKRVSKRQ* >Brasy9G225400.1.p pacid=40063995 transcript=Brasy9G225400.1 locus=Brasy9G225400 ID=Brasy9G225400.1.v1.1 annot-version=v1.1 MDRSSASNPPEKRVAVVTGGNKGIGLEVCRQLASKGVLVVLTARDEKRGTKAAQGLHASGLSDVVYHKLDVADPSDAARLADFIRNKFGKLDILINNAGVIGATAEIDARAPLQDVLVGKNPAERLQWLLQYSTETYEEAEECLKINYFGTKYVTTALLPLLQASSDGRLVNVSSNYGLLRVYFSELLTNTPSVPC* >Brasy9G098500.1.p pacid=40063996 transcript=Brasy9G098500.1 locus=Brasy9G098500 ID=Brasy9G098500.1.v1.1 annot-version=v1.1 MSSSTPDMIENVKVVDTRVLECGICASPLKPPIFQCEVGHMVCSRCSKRKMAPSGARNCHACRRVLPGGYSRCFGAEHILESFQVPCPNAASGCSARLPYTDQQLHLRQECRHAPCHCPAKGCTFAGSTWALKTHFDEEHRWPHKVVIKNDVLVKVTLKDGFNVIQHYRCSTMEEGKERPPVRLYLFLLNVVREPHGCVVTAFYVHRAVAADESAPMALECRLKLVYESPCRNHRQESTFTVPCTDLFGGLPPSGDWFEFVVPKSIHPLHLDTMKILLSIGIDD* >Brasy9G270700.1.p pacid=40063997 transcript=Brasy9G270700.1 locus=Brasy9G270700 ID=Brasy9G270700.1.v1.1 annot-version=v1.1 MSKRTVLKVDTSCAKCKRKVLLAVSGLQGVDKIEIDSEKGTMTVTGAVDPVDVVVATRRKAGKRADVVTIGPPPAPAPSNSKPGEKKQPDQKQHLAAAAEKRAPEPPVTVYVHHVPGPPPPLAWPVGHHVPPPAYEQCGVVYQQDPCSIM* >Brasy9G180700.1.p pacid=40063998 transcript=Brasy9G180700.1 locus=Brasy9G180700 ID=Brasy9G180700.1.v1.1 annot-version=v1.1 MDPAPQSHPILSYVLSRLPAALAKPKPAPGGDFDIEQPPVETPSPRTPSSVGEFELVERMPGLRHASVLRAMTRAVADVSAARSALRELGPRPDHELVDSSRSLIADAGDGASRISEEDLEACRMVVKLEETHDAYETLLHEAEGRLEKVYRSAMEGRDLEEAEEKDEPAVAAKEGDVVVQEEVVAVLKQAEDGKAVESVRLVDRQLRFLPEAFGRIQGLRVLDVSHNQLEVIPDAIGRLDHLEELLLASNALVSLPDSVGLLSNLKILNVSSNKLRTLPDSISKCRSLVELDASYNGLTYLPTNIGYELVNLRKLWVHMNKLRSFPSSICEMQSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDMKELPFSFGDLLKLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLAMPPSDIVNEGVDAVKEYMSKRWLDALLEEEQKSMVAAAAESPQASTPKAWLARSVSWVSDVSGSVVGYVSGHNRPEKDAYLDQQF* >Brasy9G136100.1.p pacid=40063999 transcript=Brasy9G136100.1 locus=Brasy9G136100 ID=Brasy9G136100.1.v1.1 annot-version=v1.1 MEAAAASATNKAPSQASSTAADQDDDFDLSRLLNHRPRINVERQRSFDDRSLGDLYLSAMDGRSGGYMDSYDSMYSPGGGLRSLSGTPASSARHSFEPHPLVFEAWEALRRSLVCFRGQPLGTIAAVDHSAGEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDTLAANFGESAIGRVAPADSGFWWIILLRAYTKSTGDLTLAETPECQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALVLLKPEGEGNKGTAERIVTRLHALSYHMRAYFWLDLQQLNVIYRFKTEEYSHTAVNKFNVIPESIPDWLFDFMPSKGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLVGEMPLKICYPAIEGHEWQSVTGCDPKNTRWSNHNGGSWPVLLWLLTAACIKTGRLKIARRAIDLAEARLAKDSWPEYYDGKLGRYVGKQARKHQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMNPVLKRSASWTV* >Brasy9G142500.1.p pacid=40064000 transcript=Brasy9G142500.1 locus=Brasy9G142500 ID=Brasy9G142500.1.v1.1 annot-version=v1.1 MSMENHVSDAVRDLAALSTRLLASLGNDKNLAFSPVSFHSMLSLLAAGASGATRDQIVAFLGPAGADAHVALASKAASSVLAASKWTQVQYATGIWADASLRLNPAFASMAAATYKAEVRPAEFADKAKEEMANINAWVTGKTGGLVKDVLSELRTSTQLVIANTVRFSGNWADAFSPELTVDGVFYADADGPDKAVRVPFMTGSDDHELLRIGVHPAGFKKTFAMYIYLPDGRDGLPGLVRALGANPAELLRKSVAPVEGFTVGKLKIPKFKVSLTRIVMGRSRPWRPPVVAPVGVHGPPVLRFVDVDEKGTVAAAATLAKGEGFSYSPDPAVDFVADHPFLFILMEEVTGVVLFAGQVINPLLH* >Brasy9G070400.1.p pacid=40064001 transcript=Brasy9G070400.1 locus=Brasy9G070400 ID=Brasy9G070400.1.v1.1 annot-version=v1.1 MAPLPWSDLPPELLGLVIDRLASPSSVDHRGSWLRFLQLLILLPLTQSARRAHFRELCRSEHSPSLDRARFRAVCRSWKSAVTEHALSAPPRQTPWIVLSDGSFLTLNGVQPLYRLPSFPQNARCIGSTDEWLALDSVDDANNDVHAYSLHNPFSAATVPLRELDAVIGNVSGLFQVRKVLMRSTPHDVVALVTNNWNYPVILVRPGKGVWLPKPRTAPYVYIIDVAFLGDKLYGITQAEDLVSLGVAFDGNGVPEVTSVDRVIRHPPMDYKFYVWSSDDEDDTEDESKDGHNDDDEFEENYIVSQMDDKKRAVYELMKSTGDDMIREPLHYWYDDEVPEPNLITVSWHLVESNGKLLMVRRQLQFPAYIIQFTRKVEVFEADVCAGTWRPVMEEESDVIYFIDTGDVYNMRTHTMSPSLRCIDHLYSTWIFSLEIVV* >Brasy9G031800.1.p pacid=40064002 transcript=Brasy9G031800.1 locus=Brasy9G031800 ID=Brasy9G031800.1.v1.1 annot-version=v1.1 MVLGRNFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQPDCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPITSLKKYIIEQNLASEAELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV* >Brasy9G315200.1.p pacid=40064003 transcript=Brasy9G315200.1 locus=Brasy9G315200 ID=Brasy9G315200.1.v1.1 annot-version=v1.1 MNRASPARLYKLNKDLSPGQIALIKSRGFGGLTIIARNAPSEMSKWLMGTYTPESREMVIPEKGRIAVTADSVYRNFKLPNTGKKVIYERTQQSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFIDLKDVDNINFCEFVADQIHEASLKLGDKSSVKCCMYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGRYGKLDHKPHSGAPIDTAAANDDSLFKGLAYAEKFVSSKLPDTYNTSKKRKIAMMVRDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGGNEVVKQARQKDDQDGQDANVASKASDKASDKQIMKEGGEGTVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASNKSGSSSRFCVK* >Brasy9G108400.1.p pacid=40064004 transcript=Brasy9G108400.1 locus=Brasy9G108400 ID=Brasy9G108400.1.v1.1 annot-version=v1.1 MSRGVFCEHPVAFSPTSIVALPFSLAPHRLLLRIASAASSPRLARRPCRAAPATTPRSRLRLLLPWASSRDSAPRLGGTIRAAAKYTGDSGGSAYSGGSATGGSVAPPPWIRFGGHGDE* >Brasy9G304300.1.p pacid=40064005 transcript=Brasy9G304300.1 locus=Brasy9G304300 ID=Brasy9G304300.1.v1.1 annot-version=v1.1 MGEMDLGDMVLSWSLQEIMDDDLYRGKVETIPCNFNSLDQYLNSYRAPLIEETRSDLCSCLELISEAPSSKILSMEVAGKSGLYFMDVDFWDNGAGFSTETYTARNGDIFILSSMKPEAPEDFNRYGVTYSLAIVTEVSLDDEYQKGFRVKVAKDIGLEEDLNKLRHAIFLNNIMTNIRIWKALSFDTQMHNNFGVINSLLAPTNLSDDVCCICVEHDGDCLPSFTEQLLSINLNRSQVDAIESVISAIRCRHMNHTKLIWGPPGTGKTKTVSALLWVLACLKCRTLTCAPTNVAVVGVCARFLQNLKDFNEQIDENSLPFPLGDVLLFGNKSNMDITEDLEDVFLDFRVDVLVESFSSLSGWKYRISSVISFFEDCASQYDMRLEDDGKSDPVCFLDFIKKQFDVTSLALKRCIMNLWIHLPGRCFPRDKVIAVSKLLNMLEKFGVLLCDVDLTDESLKRGLGFLSTENSVCAQPISFIEKELGGARFTCLKLLKDLQHSLNLPTGVDKIWVQSYCMRNATLLFCTTSSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSQVCKEAGFGTSLFGRLVLLNFEKYLLNIQYRMHPCISSFPNAQFYERNILDGSNVLSSSYNQHYTCLPFGSYTFINVTDGREDKEGTGNSRRNMVEVVVVLHLIQTIFKSWKRTGQGLSIGVVSPYSSQVDAIKRRLDKTYDKCDGFHVRVKSIDGFQGEEDDIIILSTVRSNGSGVVGFLSDYQRTNVALTRARHCLWIVGNAHTLYKSGTVWTDLVADAQRRKCVFSATDDSAMCKLVLKVKQELDELDDLLNADSVVFSNTRWKVVLSDEFRKSFTKIKSPHLRREVLQKLIKLGGGWRITVKNLDIPGGFHLAKVYKVRDLYLVWSTDLEKNETRYRQIIRIWDLLSQQHVARTVQRLENLFSMYTDDYLDHCRRVQTQGKLEVPMVWDVEHDIIRYKKDCKADAQEEQDLVDTSYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEEVIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLNLDAISGANDKNIMPVKDVGESSVKQVFITVSPKLCSAIKNHICRLKRFSSGDVSDDTSILHMHDAMDDLEEFTEIPDNFSDLPHEHYPLTITYRKFLMMLDGTCQTSFFDVFYGEVRSSNDREHSKSRAWQTFIESKEVTYEKFAAFYWPRFNADLTKKFDSSTVFTEIISHIKGGYQASRPYTGKLGRQDYVMLSDKRFSSLNSEKRDMIYDIFLHYETMKSTAREFDLSDFVNSLHSSLVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTGFLSETEAFNQGVKKGKKVHLSDMFQLSQNFRTHCGILRMAQSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESKSKHGNLHGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMKDKDIIAQSEEVSHPDFDRSKHYLLCSELKQLYVAITRTRQRLWICENTDDHCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSTDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKWARAAGLVATADRVISTNLELGKASYQTASEIYESIGMHEKAATCYMKLGDYKRAGMVYMQKCGSSRLEDAGDCFAVTECWSEAAEVYFKAKCYTKCFSMCSKGKQLFHLGLRFLQQLEEEHLIENSKSLEVSAVRKTYLENCAQHYFERGDIKLMIPFVKAFSSMDHVRAFLNSRNLVDELLSLEMEMGNFLEAAAIAKHKGNVLLEVDMLEKANLFENATHLLLLHIVVDSLWSSNSRGWPPKRYVEKEQLLAKAKEMAKKVSEFFYCFACLETDSMSDVHKSLPSLNTTWLEGRKCGNLFVELVASRSILDVHLQSRASGYNLELGPGSEDESSCRGMLACNQISPETLVYVWNHWKSIIVKVLCHLRHSDGLKSNDYAIMYEDLCAKYFGWRKDDEDNRYVVLNMNSSWLSVTGRNSLQQDGNRCWLDVLQCHSCALHFCMNELSSVGLSVLKKLESFVQVPPKQASSYALVRTVLMINEIAKFLEEPEFSMPKSTIKLRSFFALCECRFFELVFLVWRDGTARNLLSVLDSPTAYGLIADSLGAYLRPTNKNLTHGHLGRTTLLLLHAARLDDVLISRLQQYLDNNSEWTDFFRCLKRFLDTGVDRTSLISNFKLALDFTFNGVEWRDELDYISPICYVGLMECLGFLSSAYLIQNDCIFCTSSLLVNMLECRTSKVYLDTCLVSNSSPDSDMDRIACSTGRFIFQTIMTILTNKNMLREWARTTSTPSSYIPVLKLVVTLYPLILTHSLDNCYEVTNRLLKCGVFEDLPLEFSQKIVHALQMRSRTPSNFTRVFADALAAIGNRMVVIGSPKGRAISGNLNAHMIATADLCDVQKVMDLLRPEEPSSVKQKNLLPEEIKSNGKKISNIPSGNFPKTSDVRDNKMESTKGMDLSDESIPFWERFEAFQVNKQGQQDARVISQFLVSAISWLEQRIPTENVNVQLFEEVKQICDEFAMHCARAKKRVTVEDLLLRWEDGESKLQTFISLLRSQKASMDGDRRNEAAAAVEAHSADQQTGCSDNEPDAGGSNEVESVEKEAAASASTSKKAAQKQKNKKKSKKSKGRGRK* >Brasy9G206900.1.p pacid=40064006 transcript=Brasy9G206900.1 locus=Brasy9G206900 ID=Brasy9G206900.1.v1.1 annot-version=v1.1 MSKRKSSVQHSAAAKTKRPAKREECLYLVLDDWEKGYSVHKVDVDAFQCDADEAPPEHHFTEPPLVRFDVVHGNSHSIVAHGTKIVCMKPHQASPAIPAFDTATSAVGILPWPEFRMDFGLPLLVSIAGKLFLFIDSTYCLSDPPSTVSSTTPPCAQVQQQPWVWNTVSSRLPFKVCCVLCWAVHPDKRTLFVSARRWASDAECGTFSFDAERLEWTRHGNWLMPFDGQAHYVPELDAWVGLCRHKGGTGHLCCSDVVPVAAGGRRTTLPRWKMVGEDRLFHKESPRHMGATLVHMGGGSRFCVVESVWHKDDDDDDVRRKPKEYVGYIAPPRVVLCMTTFSVSYDQDGEPQLLSPLARRCNVFKRSHGVPDTITDPVAFWI* >Brasy9G271200.1.p pacid=40064007 transcript=Brasy9G271200.1 locus=Brasy9G271200 ID=Brasy9G271200.1.v1.1 annot-version=v1.1 MSLEVQDSEVDIVIAALQPNLTSFFEAWQPFFSRFHIIVVKDPDMAADLKIPSGFDVKVYTKSDIEGLLGATSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDTAGMTVDAVTQHMTNLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSSGLWLHNADYDPMTHVVKRNQRNTNYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPGLRIRKEGKHRWDTLEDIWNGLSAKVVCDSLGYGVKTGLPYVMRSDAEAGKALESLKDWEGVKVMDDVLPFFQSLKLSRTAVTVDDCVKELASIVREKLAPKNPIFAKAADAMEEWTKLWKSHGAQNA* >Brasy9G308800.1.p pacid=40064008 transcript=Brasy9G308800.1 locus=Brasy9G308800 ID=Brasy9G308800.1.v1.1 annot-version=v1.1 MNPPAQLPRTPPMSSPPVPSLRLPLRPSLLPPTKATAANTLSRRATSPARCLLLAPPRALVVPKPLVAMSSSASSSFRSPLLHACRPCALPETSSTPELTLKDPKKYEDWDSLTARFAGAANVPFLLLQLPQIVLNARNLIAGNKTALFAVPWLGMLTGLLGNLSLMSYFAKKRETEAVVVQSLGCISTYVVIAQLAIAESMPLPQFIATTAVVATGLTLNFLNYIRWLPEGAWLLWEDFMTIGGLAVLPQVMWSTFVPFIPNSVLPGIIFGSLAIVAVAMARMGKLSEGATKVVRALSGWTATLLFMWMPVAQMWTNYLNPSNIEGLSAFTMLLAMLGNGLMLPRAVFIRDLMWFTGCFWASLLQGWGNLACMYCFNTIIREFFFATTFGLISWLVFTIWRDTAAHGNSSPMTSVKELIFGK* >Brasy9G301300.1.p pacid=40064009 transcript=Brasy9G301300.1 locus=Brasy9G301300 ID=Brasy9G301300.1.v1.1 annot-version=v1.1 MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETLVKLHAMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAAASGGEKKKKNNKESGAKSTKKTDSLPAPSPSQSSSTTTTTTMTTNCSSGESAGEQSNTSKDDEGDEREKIMEIPMIDALSFDFDMLVQDADPVPDQNTYRAPAAAASPCSSASPPCVADGELLDLPELDIVPELWSIIDGDAEAPWSNAAAACHVEAGEDGKEWWLEDLERELGLWGPIEDYQCINPGPQAQSGRVDPLSVMDPVSCYFQAGPAVAKSALQGSEHPAVLANNQMDL* >Brasy9G198800.1.p pacid=40064010 transcript=Brasy9G198800.1 locus=Brasy9G198800 ID=Brasy9G198800.1.v1.1 annot-version=v1.1 MTTNGDPADQAAAQAQQQQAAQLRQQQIEQQTIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQLTQGTLPSQQVSSASSTHGASLLPYLYDF* >Brasy9G049000.1.p pacid=40064011 transcript=Brasy9G049000.1 locus=Brasy9G049000 ID=Brasy9G049000.1.v1.1 annot-version=v1.1 MSGNDSQAAADRIKAQALSNAKGLSRAQAERAAEAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVKLGVRPNAVPTSSAGGQCQKCFQPGHWTYECKNERVYISRPSRTEQLKNPRLKKAWLPASSQFVNPDLEKEMEEERKLMKEKLKKEKSERRKVKTKVKSKRKHRASVSDSDSDSESSATGSEYSSESGSSSDSSSDSEDNKKRRRKTKQKKRRHRRDSTASSSSESESDSDSDSDDKGSRRKSKRCSDKRRT* >Brasy9G317900.1.p pacid=40064012 transcript=Brasy9G317900.1 locus=Brasy9G317900 ID=Brasy9G317900.1.v1.1 annot-version=v1.1 MAAWNGALLAAAVFAAVVLAGVQTAAAASKFDGVVQPSWANDHVVYEGDLLKLRLDSSSGGGFASKNKFLYGKATADLKLVPGDSAGVVTAFYLSSAGDRHNEFDFEFLGNTSGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYSVLWNPSRVVFLVDDTPIRVYENRTAVKGHHHRHLNGTDSNAVPQFPGPQPMSVYSSIWNADDWATRGGLVKTDWSHAPFVATFRDVSVDGCVWAANASDTDAGEIARCSQGSGEWGKEAGEAQELTVHQSHQLVWARAHHLVYDYCVDTDRFPVPAPECAGSR* >Brasy9G328900.1.p pacid=40064013 transcript=Brasy9G328900.1 locus=Brasy9G328900 ID=Brasy9G328900.1.v1.1 annot-version=v1.1 MRHYPNSYCEVFGGTLDITKLSQTKEIHWPPTDHVWYYHEFVANTSRGPILRAPVKCLGELLVSPSFHEQKEIHWPMTDHVWYYHEFPANTSQCTIPRAIVKCLGELLVSPSFYKQKEIHWAATNHVWYYHEFLGSTSRGTVSRATVNCLGELLMWPSFLTNDHAQQI* >Brasy9G183800.1.p pacid=40064014 transcript=Brasy9G183800.1 locus=Brasy9G183800 ID=Brasy9G183800.1.v1.1 annot-version=v1.1 MPSPSLPATPPVLPISEYEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGTIAITQPRRVAAVSVSRRVAQELGVSIGEEVGYAIRFEDRTSEKTLIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLVTSATLDGLKVSKFFSGCPVLNIPGTIFPVEKFYSTDRPTNYIESSLRTAIDIHVKEVPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPECRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSSIYQKEFLEATIPEIQRTSLAGSVLYLKSLNLPDIDILMFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRLMAELPLDPSLSRTLIEANELGCLSQALTVAAVLSAEITLRQTRSKEIEGKRKRRELPDGSGWGDHIQLLQIFESWDQADYDPKWCSDHDLQVRGMKFSKDVRNQLSQIIQKIAKGPTDLQARRGRKSDPDYRKLRKALCVGYGNQLAERMLHHNGYHTVGYRTQLVQVHPSSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVEQAWVKPILKKLEKLNIKKLSGGSTAPTDSQPLDDKQVSSPTKATDIKQSDVDSKIQAARERYLARKGKK* >Brasy9G183800.3.p pacid=40064015 transcript=Brasy9G183800.3 locus=Brasy9G183800 ID=Brasy9G183800.3.v1.1 annot-version=v1.1 MPSPSLPATPPVLPISEYEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGTIAITQPRRVAAVSVSRRVAQELGVSIGEEVGYAIRFEDRTSEKTLIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLVTSATLDGLKVSKFFSGCPVLNIPGTIFPVEKFYSTDRPTNYIESSLRTAIDIHVKEVPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPECRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSSIYQKEFLEATIPEIQRTSLAGSVLYLKSLNLPDIDILMFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRLMAELPLDPSLSRTLIEANELGCLSQALTVAAVLSAEITLRQTRSKEIEGKRKRRELPDGSGWGDHIQLLQIFESWDQADYDPKWCSDHDLQVRGMKFSKDVRNQLSQIIQKIAKGPTDLQARRGRKSDPDYRKLRKALCVGYGNQLAERMLHHNGYHTVGYRTQLVQVHPSSVLEVEQAWVKPILKKLEKLNIKKLSGGSTAPTDSQPLDDKQVSSPTKATDIKQSDVDSKIQAARERYLARKGKK* >Brasy9G183800.2.p pacid=40064016 transcript=Brasy9G183800.2 locus=Brasy9G183800 ID=Brasy9G183800.2.v1.1 annot-version=v1.1 MPSPSLPATPPVLPISEYEDEIVAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGTIAITQPRRVAAVSVSRRVAQELGVSIGEEVGYAIRFEDRTSEKTLIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLVTSATLDGLKVSKFFSGCPVLNIPGTIFPVEKFYSTDRPTNYIESSLRTAIDIHVKEVPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPECRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSSIYQKEFLEATIPEIQRTSLAGSVLYLKSLNLPDIDILMFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRLMAELPLDPSLSRTLIEANELGCLSQALTVAAVLSAEITLRQTRSKEIEGKRKRRELPDGSGWGDHIQLLQIFESWDQADYDPKWCSDHDLQVRGMKFSKDVRNQLSQIIQKIAKGPTDLQARRGRKSDPDYRKLRKALCVGYGNQLAERMLHHNGYHTVGYRTQLVQIFYVIKSANTKLVIKKLCSAI* >Brasy9G220200.1.p pacid=40064017 transcript=Brasy9G220200.1 locus=Brasy9G220200 ID=Brasy9G220200.1.v1.1 annot-version=v1.1 MGAEAIRPAVFLVPFPAQGHVTPMLHLARALAAHGVDATVAVPDFIYRRIAGTMTFDSDSSTTDESAGVALTCIPSGVVVKDGDEPPGFADFAHAMEHHMPAHLERLLARERAATGRRVACLVVDVLASWAVPVAARCGVPAAGFWPAMLASYRVVAAIPELMVKGFISESGAPIDDDDEIGQEQMIRGLQLILPGNVELRPDELPWLVGDSATQKSRFAFWRQALHRARSFRSLLVNSFPDESGASTTVAATNDGLHYPTRVFPVGPLLAADGAVTPSLPRRPCITKQHSSSSSMWKADSTCVSWLDRQPAGSVVYVSFGSWVGPIGPEKVRELALGLEATGRPFLWALKKDPSWRAGLPDRYAERVAAAGRGKVVAWAPQQDVLTHGSVGCYLTHCGWNSTVEAVQHGVRLLCCPVSGDQFINCAYITGVWEIGIKLRGMSRDEVKGCVERIMDGKEGRRLQEKMDALREKVLASEARCLAQRKVRSFVNEIKRDYQLSMQMYSTL* >Brasy9G152500.1.p pacid=40064018 transcript=Brasy9G152500.1 locus=Brasy9G152500 ID=Brasy9G152500.1.v1.1 annot-version=v1.1 MASAAGRHRKEEMVEDDMTLDDGDIEESPRQSFDGDTDEDGDEEEEEEEQDGEGDGVGSFESRQWPQSYRETTDTYTIAASPIFGYLGPSTSKYSIDGGRSGLASDLKLPLLLEKQESVKSLRRHLLGSVRDEKLSFQYTGEIYVGQGCSVTQTVFNGINVLAGVGLLSTPFTIHEAGWAGLAVLCVFAVVCCYTGVLLKHCFESKDGISTYPDIGEAAFGRIGRLIISIILYTELYSYCVEFIILEGDNMTSIFPGVNINWLGIHVDSKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLVVFVSVALVGTTEGIGFHSTGEAVKWSGMPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFTICTAIYGSFAIFGYLMFGDKTLSQITLNLPKESFASKVALWTTVINPFTKFALLLNPLARSLEELRPEGFLNETICAIVLRTGLVASTVVIAFLLPFFGLVMALIGSLLSILVAVIMPALCFLKITQNKATRTQVVASVGIIVLGVVSAALGTYSSVARIIGYY* >Brasy9G149700.1.p pacid=40064019 transcript=Brasy9G149700.1 locus=Brasy9G149700 ID=Brasy9G149700.1.v1.1 annot-version=v1.1 MPPPNPPDQLLPPWTEPRVRRATASAGLRHRRRCRPGRRHPRTDPPPPPYTLPSSAIPLSSLLHPPATSSSGQSSAHLANPAALLPHERRCPELIFAAVEARSATNPIHRCQARSDAHLHPRRRCEYLGGTVPLQSAGRPRPQLNLLPGAYCCS* >Brasy9G065600.1.p pacid=40064020 transcript=Brasy9G065600.1 locus=Brasy9G065600 ID=Brasy9G065600.1.v1.1 annot-version=v1.1 MGSFKLSMLVSLLPFLLFALAAAGAGEELSTYIVHVQHQDGNHVFSTAGDRKAWYKSFLPEHGRHGRLLHEYHHVASGFAARLTRRELDAITAMPGFVTAVPDVIYKVQTTHTPNFLGMDTLLGGRNATVGSGDGVIIGVLDTGVFPNHPSFSGAGMPPPPARWKGRCDFNGSACNNKLIGAQTFTSGNSSLRTPPTDEEGHGTHTSSTAAGAVVPGAQVLDLGRGSASGMAPNAHVAMYKVCGEEDCSSADILAGIDAAVSDGCDVISMSLGGPSLPFFRDSIAIGTFAAAEKGIFVSMAAGNSGPAHGTLSNEAPWMLTVAASTMDRLFLAQAILGNGASFDGESVFQPNSTTSVPLVYAGSSSTPGAQFCANGSLDGFDVKGKIVLCDRGDGVARIDKGVEVLRAGGAGMILANQVIDGYSTLADPHVLPASHVSYAAGVLIKNYINSTTNPTAQLVFKGTVIGASPAPAITSFSSRGPSFQNPGILKPDITGPGVSVLAAWPFQVGPPRLDFRPTFNIISGTSMSTPHLAGIAALIKSKHPYWSPAMIKSAIMTTAEVNDRSGDPISDEQHRPADLFAVGAGHVNPVKAVDPGLVYDIQPEDYISYLCGMYTDQEVSVIARSAVNCSAVPNISQSQLNYPSIAVTFPANHSALAPVIVARRLTSVTDGPVVFNAVIDVPADKSVNVTVSPSALLFSEANPFHNFTVLVWSWSTEASPAPVEASISWVSDKHTVRSPVSISFASL* >Brasy9G207500.1.p pacid=40064021 transcript=Brasy9G207500.1 locus=Brasy9G207500 ID=Brasy9G207500.1.v1.1 annot-version=v1.1 MGAFLLFLCVLVPFIVLARAVRGKKGGAGRRSSSPSSSCGGSSLALPPGSMGWPYVGETFQLYSSKNPNVFFARKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPESIRGSVPAIEAIALRSLRSWDGLQVNTFQEMKTYALNVALLSIFGEEEMQYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERERQRGSDLLGSFMDDREALTDAQIADNAIGVIFAARDTTASVLTWMVKFLGDNPAVLKAVTAEHEEIAREKESSGEPLSWADTRRMRVTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLCHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKDDA* >Brasy9G091700.1.p pacid=40064022 transcript=Brasy9G091700.1 locus=Brasy9G091700 ID=Brasy9G091700.1.v1.1 annot-version=v1.1 MTSPVDPTAAAPQSPSIITNGAVNGLLPGAEAFAVHYPGYPSSPARAAHTLGGLPTIAKVRSSKSRLELRFRPEDPYCHPAFGEPRASTGLLLRLSRPKGGDAPPRAEVVAQVRNAYYFEGMADFQHVVPVQAAKVRKRNRPDCPSSKDDLGSDKVGDLETDDEDAMMLVPPLFSIKDSPTKIALLASSNALSKSMQRGVVRHRWEMDIEPTLALSFDIQAVPKKINWEDHIPKKSPEWGWQMAMCKLFEERPVWPRQSLYERLLDEGVQVSQGQFKSLLFKAGYYFSTGPFGKFWIRKEYDPRKDPESRIYQMIDFRLPPQLRNLQIKEHCGSEKWSEMCKLERMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHSTGWFSKPMIKILRSQLSIRFLSLCPIEDAKIFLRDAREAIERSKKQEGLCRSTQLEERQDFDEEAPEKHAGSEGQVHNFDCEDIDDEEQEDKEESDGYDSPPMAEDVRDFSLHDSYTLGEGFPTGYLDGVLRSFPVNEDGQIKLGEALNNTEGSDGEFEIFEQPSDDEE* >Brasy9G358100.1.p pacid=40064023 transcript=Brasy9G358100.1 locus=Brasy9G358100 ID=Brasy9G358100.1.v1.1 annot-version=v1.1 MDGASRSGLEAVLLGLAPVEVVLGTPLSFSTEKLMRAYAGPTSNVRVECTPRACFGEAGALAELLSSFEKSEVNSPTIENNRQMIEISEADNSRHGIGNNRHGIDNNLHGIEGIMAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.10.p pacid=40064024 transcript=Brasy9G358100.10 locus=Brasy9G358100 ID=Brasy9G358100.10.v1.1 annot-version=v1.1 MDGASRSGLEAVLLGLAPVEVVLGTPLSFSTEKLMRAYAGPTSNVRVECTPRACFGEAGALAELLSSFEKSEVNSPTIENNRQMIEISEADNSRHGIGNNRHGIDNNLHGIEGIMAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.8.p pacid=40064025 transcript=Brasy9G358100.8 locus=Brasy9G358100 ID=Brasy9G358100.8.v1.1 annot-version=v1.1 MDGASRSGLEAVLLGLAPVEVVLGTPLSFSTEKLMRAYAGPTSNVRVECTPRACFGEAGALAELLSSFEKSEVNSPTIENNRQMIEISEADNSRHGIGNNRHGIDNNLHGIEGIMAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLELTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.4.p pacid=40064026 transcript=Brasy9G358100.4 locus=Brasy9G358100 ID=Brasy9G358100.4.v1.1 annot-version=v1.1 MDGASRSGLEAVLLGLAPVEVVLGTPLSFSTEKLMRAYAGPTSNVRVECTPRACFGEAGALAELLSSFEKSEVNSPTIENNRQMIEISEADNSRHGIGNNRHGIDNNLHGIEGIMAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.2.p pacid=40064027 transcript=Brasy9G358100.2 locus=Brasy9G358100 ID=Brasy9G358100.2.v1.1 annot-version=v1.1 MDGASRSGLEAVLLGLAPVEVVLGTPLSFSTEKLMRAYAGPTSNVRVECTPRACFGEAGALAELLSSFEKSEVNSPTIENNRQMIEISEADNSRHGIGNNRHGIDNNLHGIEGIMAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.5.p pacid=40064028 transcript=Brasy9G358100.5 locus=Brasy9G358100 ID=Brasy9G358100.5.v1.1 annot-version=v1.1 MAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.6.p pacid=40064029 transcript=Brasy9G358100.6 locus=Brasy9G358100 ID=Brasy9G358100.6.v1.1 annot-version=v1.1 MAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.9.p pacid=40064030 transcript=Brasy9G358100.9 locus=Brasy9G358100 ID=Brasy9G358100.9.v1.1 annot-version=v1.1 MAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLELTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSVRSDLSTVLSSVLTMLGRLLDSQRGITRIFHCKATAKEFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.3.p pacid=40064031 transcript=Brasy9G358100.3 locus=Brasy9G358100 ID=Brasy9G358100.3.v1.1 annot-version=v1.1 MAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G358100.7.p pacid=40064032 transcript=Brasy9G358100.7 locus=Brasy9G358100 ID=Brasy9G358100.7.v1.1 annot-version=v1.1 MAMPELVVQAMTLIVRYLKGFGMERLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSYGSVEGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNLICARHDAVSEISESMGSRQYSDSMLHDGEDGCCTSSFVGVIEAILTAGKQLQKLVLEDTDTVSSQHKTVHSSLLRRLISTASSSTVLSNAVKLLSCLNKDAADQGDMLNIFIASVDQFPEVAEGHVTVEMAKRKLDLLIVEYRKQLGMRNLEYKTVAGTTYLIELPVDRRVPSNWMKVNSTKKTIRYHTPEILKNLDNLVLAKEELAVICRTTWQTFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVQPNFVPENEANQIHIKDGRHPVLESLLGDNFVPNDTDLQADEEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGIYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMEIGTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLPLSCIKRASVMAAKLQEELSEREENKVARLMEKPAGHSPKAVCAAQPYQGLMEACRRILCDMRSVRSSNDLTDALSRLKNAKEIAVKTI* >Brasy9G219100.1.p pacid=40064033 transcript=Brasy9G219100.1 locus=Brasy9G219100 ID=Brasy9G219100.1.v1.1 annot-version=v1.1 METSTKPHFVLVPWVGGVSHIIPMSDIGCLLASHGACVTIITTPASASLVQSRVDRAARQGAVIAVTAIPFPAAEAGLPEGCERMDLIPSPAMVPSFFKANKRFGEAVARYCRQDAARRPSCVIAGTCHTWTLPMARDLGVPCYIFHGFGAFALLCVEHLYKQGRHEAIACADELVDISVLPQPFECKILGRQLPLQFLPSMSVGRALMQEIREFDVAVDGIVVNSFDELERGSTALLAAAAGKRVVAVGPVSLCCGAPPSLDPPRGDDDAGRCMAWLDAKKAKSVVYVSFGSAGCIPPAQLLQLGMALVSCPWPVMWVIRGAGSLPDDVKEWLRENTDADGVADSKCLVVRGWAPQVAILAHPAVGGFMTHCGWGSTLESVAAGVPMVTWPLFAEQFVNEKLIVDVLGLGVSVGVTKPTENFLTAGKPGSGEAKAAEVGAEQVKRALERLMDGGIEGEEMRRKALEFKGKANVALQEGGSSYSNLEKLIESSL* >Brasy9G235700.1.p pacid=40064034 transcript=Brasy9G235700.1 locus=Brasy9G235700 ID=Brasy9G235700.1.v1.1 annot-version=v1.1 MRPTRQAPAKPRPPRISTHRRSPLLYNPQPSHPALFTPLSDSTLREQSIQCPTLVDMADHHRDRGSGVLGGVGFGERGGGYGDYQSHQNNDNNNKQPALTTALKAATAATAGGSMLVLSGLILAGTVVALTVATPVLVIFSPVLVPAAVALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARIASKARDIKDAAQHRVEQVQRD* >Brasy9G350700.1.p pacid=40064035 transcript=Brasy9G350700.1 locus=Brasy9G350700 ID=Brasy9G350700.1.v1.1 annot-version=v1.1 MLAIFQKQVAHAPQELHSPRAAAKPSKNPDEILRDFHSAHPSAAFSVSFAGGAALACVSSSSQPRLSSHQRMFCGLDGIYCVFTGHLDNLSSLARQYGISGRTTNEALLVTEAYRTLRDRGPYPADQVLKDLSGSFAFVVFDTKPATTGAGAGAVFAAQSTDGAVPLHWGVAADGSVVICDDRDAAKAGCGRSYAPFPAGCMFHSEGGLKSFEHPMNRLMAMPRVDSEGMMCGANFKVDAFAKVGASMPRVGSNANWGAAWDDAAV* >Brasy9G062100.1.p pacid=40064036 transcript=Brasy9G062100.1 locus=Brasy9G062100 ID=Brasy9G062100.1.v1.1 annot-version=v1.1 MALSLRKKQLDVITRMLHLYQQQSSPDGGGDGEEEAYKILVMDGPCISLLSPVLRVGDLRKHGVTLHLNIDKARQQVADAPAVYLVRPTPANADRIAADAAAGLYASFHVNFSTSVPRPVLERLASATASSRSAHRVARVADQYLDFICLEDGLFSLAQPRAYVALNDPAAADADITALVDAVALGLFCVVATLGSVPIIRCASGGPAEMVAAALDARLRDHLLAKPNLFTEAASSAASSFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLSLKLNKLKLPAEKYDLDDSDPFWVANNWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYYECENDMLVNGTVDRNMLLSLLRGKGTKEDKLRLAVTYLLSFEAPPPSELEQVEAALRESEVDMSAFLYVKRIKSLNTQFAAASSTASRSNIVDWAEKLYGQSISAVTAGVKNLLSDGRQLALARTVEALMEGKPNPEVDNYLLFDPRAPRSGTGGQFRGPFREAIVFMIGGGNYIEYRSLIELGQQSQPSKHVIYGATEILNGVEFIQQLAELGQKAGLGGGSSNIPLQ* >Brasy9G154400.1.p pacid=40064037 transcript=Brasy9G154400.1 locus=Brasy9G154400 ID=Brasy9G154400.1.v1.1 annot-version=v1.1 MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVMTSSSNFLGTKKAQPSINGSKDAGALSLEKEQSKLEKIKASVKSNGTESKKPQLTKTNSSLSKQALASLFDKKEVVSSKVKSSSGRSTPSSPTSVYSLPASFERFSNDMKLRTKAKGADKSSPSKLSLLEKAASVLKVTTAGRKSSAGNSLSSALLSIEPGPKALRRSWEGKADAKGKDNSTPKAVKVDRKSENRSTSTPRRRPPADEKPSHKDDTKIQTPPRKSTASAPSDDSDRMVNKHLSPIRRTSGVLSNPNITNLVKVAANSKKLTDAGTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQLAVEQFLALHAAMSRATAVADSLSKAAAATVRDGSAAGEAAADEESLAVAAERRRRAASWVGAGLATDLSAFSLYNLRPPPAGAASPLAVVLVDESAKPAAAAAAAKASPPGKSRMSPAKVGKGRTTGLTAASAAAPPPDWERGGGAEERGELARRLGEEARGWFLAFVERFLDAYAASAAAPWDRDRAARMLPQLKRVNDWLSEIGKPPPPPPETDLGADEAAAASSGGVPEERIERLRKKIYEYLLTNVDSAAAVLGEASPAATWRKG* >Brasy9G212200.1.p pacid=40064038 transcript=Brasy9G212200.1 locus=Brasy9G212200 ID=Brasy9G212200.1.v1.1 annot-version=v1.1 MSLRTMTFVHHSNGDAVGHILSHQRRAPPDICAKSVDLIPPLCGFSGDLEVLGETDVSSKSFDCVEALNPLIEHVSSSLLDTQCKPSSGVEESSVHLENSNNDNTNTDKPPVDCSSRFWELQYFRLTQGRGEVILHLSSLAARFAWVMRQRRWVWFSFSACQRRSLMRLFSCISTFSWVVKN* >Brasy9G112300.1.p pacid=40064039 transcript=Brasy9G112300.1 locus=Brasy9G112300 ID=Brasy9G112300.1.v1.1 annot-version=v1.1 MYGCSEHVFGRVLKFCHLHTNGKYGSVMMYHRCRSPCNFANLCCRTHHGYSLRPLLPGVLLEQPSPYIRGVSVLPGPSIRTAQCRVHMMCGAGEARARPANHHRINLN* >Brasy9G068600.1.p pacid=40064040 transcript=Brasy9G068600.1 locus=Brasy9G068600 ID=Brasy9G068600.1.v1.1 annot-version=v1.1 MPPSPLPEQTNKQNHQETSKIPSINTTTSDRLPPRLRLRHSIQLPCSLRAVPPTPMEEGRKETAMRELPAGSDESPPPAPVPRRGLRYNSPLVQVSLIGLVCFCCPGMFNALSGLGGGGQFDHTTADNANTALYACFAVFGILGGGAHNLLGPRTTLLLGCLTYPLYAASFLYYNHRRNSQVFPITAGALLGAGAGLLWAAQGAIMTSYPPPNRRGSYISLFWCLFNLGGVLGGLLPFSFNYNRGADAADVNDGTYIAFMAFMLVGAGLTFLVLPPSKIVRDDGTRATRVTYSSVSTEGWEILKLFTNWRMLLVLPAAWASNFFYTYQFNNVNGLLFTLRTKGLNNVFYWGAQMIGSAGIGYFLDFGFSSRRKRGLIGVAAVAVLGTAIWAGGLANQLRYLDGKFENKIDFKEGSRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQIDTHKTSLLSQLIVNWGLTTISYPLLALLVFLAVKDDDNSVSNVEDGKEKDTKMAAPTSFH* >Brasy9G038500.1.p pacid=40064041 transcript=Brasy9G038500.1 locus=Brasy9G038500 ID=Brasy9G038500.1.v1.1 annot-version=v1.1 MAMRSVLSKVPPRLGLRLRAPTAHALLPVAAAGSRLISTNLPSTAAGGEQIVYSKENLAEQLASLNLTYAENQRRFAAEYKEIMGMIHEQKAANIEASREFVEQHKKDMEKLNRIEKATDVVIVGSAMLVIVTLITMI* >Brasy9G021700.1.p pacid=40064042 transcript=Brasy9G021700.1 locus=Brasy9G021700 ID=Brasy9G021700.1.v1.1 annot-version=v1.1 MGVNCMPSCWLTLQCASLLSILMFLVGVVKYAERIWALKCSTMDSIRSSLNKSRGSNDHYTIPNGVKGEFDEQVLLEVAHSLLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRWSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGNIGQYNLLHLCACASSDPLGRLAEILGLKERWNKFHYSRTFMVPEVVKKLVFYRHGKLMSKSRHVTALGLLRAKWGKWALDKNGLYYDVFKGYDYLLGVELQEGILIWHIATDVFLANRENQEDTAAAHDVVAAIKVLSNYMMFHLVRRPDLLPGLVLNRVYQLTCENLVDIWHGSLQREKLEVILYREKDNLDFKSSELRVQFGVEVADKLIQKEKAGPTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPENRASSR* >Brasy9G015200.1.p pacid=40064043 transcript=Brasy9G015200.1 locus=Brasy9G015200 ID=Brasy9G015200.1.v1.1 annot-version=v1.1 MVPVAGLLLSTNLGILVTPVRCKARPVGGCWAGTWEAQRGTVTGKGFALVALFPSIFLPGCVPHASMCVAGGCRFSALLQGLI* >Brasy9G302800.1.p pacid=40064044 transcript=Brasy9G302800.1 locus=Brasy9G302800 ID=Brasy9G302800.1.v1.1 annot-version=v1.1 MDHADHRSLRRPILADDEASGSNRSSEARELEVHEVNHCSDKALKIILCLQFLEVSAFYGVYLSLIVYLQDVLHGDSASNVATVSSWAAASYLMPVLGAAVADSYWGKYKTVLVGLTISVVGLAMVTTSATLPSLRPPPCAQQSAHCAPATLRQELLFFSGIYLCAVGIGAAKAVFISFAAEQFEYDDGRKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASFVVASVVGLVATAPMYRILPPAGSPLKGVLQVLVASSRKVKLTVPRDAAELYEQEDVKNPLLHSPVRERLQHTDQFRCLDRAAMVTDEDLEDCDHRPWRLCTVTQVEELKTLLRLIPIWLNSAVYFVANTQAQTTFVQQGTKTDSMIAIGAVSISIPAASLTSIETVCVAACVTLYNRAVAPASPFTPLQLMGLGHATAAAAVAVAACTEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLQFFYDQAPETMRGASTAFYFLSVSLGNLINSQLVTIVASVTAAGGRTGWFPPELDEGHLDYYFLLVVAVATVNFGVFVALANNYTPKRVRQSRLGSFPSS* >Brasy9G302800.2.p pacid=40064045 transcript=Brasy9G302800.2 locus=Brasy9G302800 ID=Brasy9G302800.2.v1.1 annot-version=v1.1 MPVLGAAVADSYWGKYKTVLVGLTISVVGLAMVTTSATLPSLRPPPCAQQSAHCAPATLRQELLFFSGIYLCAVGIGAAKAVFISFAAEQFEYDDGRKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASFVVASVVGLVATAPMYRILPPAGSPLKGVLQVLVASSRKVKLTVPRDAAELYEQEDVKNPLLHSPVRERLQHTDQFRCLDRAAMVTDEDLEDCDHRPWRLCTVTQVEELKTLLRLIPIWLNSAVYFVANTQAQTTFVQQGTKTDSMIAIGAVSISIPAASLTSIETVCVAACVTLYNRAVAPASPFTPLQLMGLGHATAAAAVAVAACTEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLQFFYDQAPETMRGASTAFYFLSVSLGNLINSQLVTIVASVTAAGGRTGWFPPELDEGHLDYYFLLVVAVATVNFGVFVALANNYTPKRVRQSRLGSFPSS* >Brasy9G302800.3.p pacid=40064046 transcript=Brasy9G302800.3 locus=Brasy9G302800 ID=Brasy9G302800.3.v1.1 annot-version=v1.1 MPVLGAAVADSYWGKYKTVLVGLTISVVGLAMVTTSATLPSLRPPPCAQQSAHCAPATLRQELLFFSGIYLCAVGIGAAKAVFISFAAEQFEYDDGRKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASFVVASVVGLVATAPMYRILPPAGSPLKGVLQVLVASSRKVKLTVPRDAAELYEQEDVKNPLLHSPVRERLQHTDQFRCLDRAAMVTDEDLEDCDHRPWRLCTVTQVEELKTLLRLIPIWLNSAVYFVANTQAQTTFVQQGTKTDSMIAIGAVSISIPAASLTSIETVCVAACVTLYNRAVAPASPFTPLQLMGLGHATAAAAVAVAACTEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLQFFYDQAPETMRGASTAFYFLSVSLGNLINSQLVTIVASVTAAGGRTGWFPPELDEGHLDYYFLLVVAVATVNFGVFVALANNYTPKRVRQSRLGSFPSS* >Brasy9G302800.4.p pacid=40064047 transcript=Brasy9G302800.4 locus=Brasy9G302800 ID=Brasy9G302800.4.v1.1 annot-version=v1.1 MPVLGAAVADSYWGKYKTVLVGLTISVVGLAMVTTSATLPSLRPPPCAQQSAHCAPATLRQELLFFSGIYLCAVGIGAAKAVFISFAAEQFEYDDGRKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASFVVASVVGLVATAPMYRILPPAGSPLKGVLQVLVASSRKVKLTVPRDAAELYEQEDVKNPLLHSPVRERLQHTDQFRCLDRAAMVTDEDLEDCDHRPWRLCTVTQVEELKTLLRLIPIWLNSAVYFVANTQAQTTFVQQGTKTDSMIAIGAVSISIPAASLTSIETVCVAACVTLYNRAVAPASPFTPLQLMGLGHATAAAAVAVAACTEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLQFFYDQAPETMRGASTAFYFLSVSLGNLINSQLVTIVASVTAAGGRTGWFPPELDEGHLDYYFLLVVAVATVNFGVFVALANNYTPKRVRQSRLGSFPSS* >Brasy9G302800.5.p pacid=40064048 transcript=Brasy9G302800.5 locus=Brasy9G302800 ID=Brasy9G302800.5.v1.1 annot-version=v1.1 MPVLGAAVADSYWGKYKTVLVGLTISVVGLAMVTTSATLPSLRPPPCAQQSAHCAPATLRQELLFFSGIYLCAVGIGAAKAVFISFAAEQFEYDDGRKASYFSWYYAVANMGMLTAGTLLVWVQDRLSWGLGYGICASFVVASVVGLVATAPMYRILPPAGSPLKGVLQVLVASSRKVKLTVPRDAAELYEQEDVKNPLLHSPVRERLQHTDQFRCLDRAAMVTDEDLEDCDHRPWRLCTVTQVEELKTLLRLIPIWLNSAVYFVANTQAQTTFVQQGTKTDSMIAIGAVSISIPAASLTSIETVCVAACVTLYNRAVAPASPFTPLQLMGLGHATAAAAVAVAACTEARRLRIAMEGDSAAAAAMGIAWLLPQYVVMAVSDASLSVGQLQFFYDQAPETMRGASTAFYFLSVSLGNLINSQLVTIVASVTAAGGRTGWFPPELDEGHLDYYFLLVVAVATVNFGVFVALANNYTPKRVRQSRLGSFPSS* >Brasy9G172800.1.p pacid=40064049 transcript=Brasy9G172800.1 locus=Brasy9G172800 ID=Brasy9G172800.1.v1.1 annot-version=v1.1 MRQEIIIRMQPGSPDKRHKRALTVAAAVSGVESIAVAGRDKDLLVVTGDGVDATKLTKKLKKEVGEAEIVELRTLGGGNSGAPSSKEYYNNTVVVAAAQAQHYSRSPYHDWHPSVNNTPGRGYDYYYPGVLPSSSSPSYGCGYASPVAAGYDYGRGSSYARAVARSHPANYSPMIERHDYGAAGRWSGAGRQRHRHRHRHGGGGEPSCCSIL* >Brasy9G172800.2.p pacid=40064050 transcript=Brasy9G172800.2 locus=Brasy9G172800 ID=Brasy9G172800.2.v1.1 annot-version=v1.1 MREIIIRMQPGSPDKRHKRALTVAAAVSGVESIAVAGRDKDLLVVTGDGVDATKLTKKLKKEVGEAEIVELRTLGGGNSGAPSSKEYYNNTVVVAAAQAQHYSRSPYHDWHPSVNNTPGRGYDYYYPGVLPSSSSPSYGCGYASPVAAGYDYGRGSSYARAVARSHPANYSPMIERHDYGAAGRWSGAGRQRHRHRHRHGGGGEPSCCSIL* >Brasy9G176100.1.p pacid=40064051 transcript=Brasy9G176100.1 locus=Brasy9G176100 ID=Brasy9G176100.1.v1.1 annot-version=v1.1 MCAEIIEEFQKCHLDNHVNKFFAECTELKVKLDSCFRQEVSAGFSHSFHDRLRFFISLAIISALFLT* >Brasy9G217200.1.p pacid=40064052 transcript=Brasy9G217200.1 locus=Brasy9G217200 ID=Brasy9G217200.1.v1.1 annot-version=v1.1 MAMLRHVAGLALRRSATSPAAVVARSFVSPGCTGPAAGLALLQRPAPPPAAAAAAAASRFFSNGRSSNTKSALDKRMEETKEALYPRKMHTHDSAAKIRIVMKSFNNQKSNLMGLAPYTQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKVFVVKKAETHELAKKFFWLKRMRIMGAQYEIHISFKTRLDKKIGCSKGVGLLRQ* >Brasy9G191000.1.p pacid=40064053 transcript=Brasy9G191000.1 locus=Brasy9G191000 ID=Brasy9G191000.1.v1.1 annot-version=v1.1 MAGCGGHTNPKMPNPEVQRPAAGEVGMFGASGTVMNPNPKYVDDLRRQCEILRRNTTFLEEIAHRRRMREPLEDKESSATPLRIAEKEGMADEESSQVSSISELLSLMPDQKEEMDPRKCPRFNSNSEQVYLPCGARDDEKKLAECFDLMLHDMDDLANRMLSVESILSELSITNLEEEEEEKVSVPLSLYKIDELVDATSRVNMNAKEFVKCCGWVTKEEEEEEETEFRTEEEEEEDIYTIKIVDIKGNLNWPLYVYGVVAARDTVDHNRNLLFYRSSTKCQKLTQEDPFLRLTGPSRAIVALDRVYFEVALNLKDETSKSHDRALICHSLCYDESSYHAELHTICLSSNLCAVELSLERLSRTVQATILSVRVIEGVSWPFNHGARVACSSSHKEVKTIPCQGISKPVVLLDSLGEEGPVGSDGCLHLSRRVVSVELQEGLEVVIQAYSPSGHVDSHGHVHFTPQLCNISQATCVLGHINGHSKVEITVAWSCLSCLVLDKMDLLIEGCVNGGHA* >Brasy9G067400.1.p pacid=40064054 transcript=Brasy9G067400.1 locus=Brasy9G067400 ID=Brasy9G067400.1.v1.1 annot-version=v1.1 MEWWRKAVVVPARRAFVAVAARLRRNKNNKEVLCSSDGLSRLTGLMKLREEVQSCGYEDVLVMWEMLQKSQPPESPEPPKPPPKVPRGLFCRCLRRHSRRC* >Brasy9G145600.1.p pacid=40064055 transcript=Brasy9G145600.1 locus=Brasy9G145600 ID=Brasy9G145600.1.v1.1 annot-version=v1.1 MAAAAMEMSNHAAGSAPGICSDALFRELWHACAGPLITVPRQGERVYYFPQGHIEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRTEADSDEVYAQIMLQPQDEQSELTSAGLPQELERGTIHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGAEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRHMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNMSVGMRFKMKFEGDEALERRFSGTIVGVGSTPTMPSSQWVDSDWKSLKVQWDEPSSILRPDRVSLWELEPLDSANPQTPEPPSRNKRARPLASPSVVPDLPSNFGLWKSPSEPSQTLSFSEPQRARELFPTSMFSSTNVMFNQFYWPGRETKDGSYAASTNKVTAERKLEPTTTGGCRLFGIEISSTVEETQPVVTVSVGDHEQTAASVDMDSSVLSQPSYINNSDAPAGSSERALLETQSRQVRSCTKVIMKGMAVGRAVDLSRLDGYGDLHRKLEEMFDIHGELCSTLKRWQVVYADDEDDMMLVGDDPWDEFCGMVKRIYIYSYEEAKQLAPKAKTLVIDGATKAEWCELIT* >Brasy9G241200.1.p pacid=40064056 transcript=Brasy9G241200.1 locus=Brasy9G241200 ID=Brasy9G241200.1.v1.1 annot-version=v1.1 MRQPHGSCGSRSSPAVAFFLAAATICAQFATGLADDKKAEVQSKGHTGQTVLFVLLGIGAAILVSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD* >Brasy9G308000.1.p pacid=40064057 transcript=Brasy9G308000.1 locus=Brasy9G308000 ID=Brasy9G308000.1.v1.1 annot-version=v1.1 MALGDWDRSLLHPQKRIFMAHSAHASYRGWLLFRLSPGGPTAQHPASPWPSQAFAVPVQVPSKSFPMRHLLLPAPRQASPLTAQTLSPGASSGPQILALPMAARTQAWQFAAALVFFHGSEYVLAAAFHGHRNVTATSLLISKQYVLAMSFAMLEHLIESLFFPELKGYQFLSNIGLLMVLTGEVIRKIAVVTAGRSFTHVIRIQYEDQHQLITHGVYRFMRHPGYSGFLIWAVGTQLMLCNPVSTVVFALVLWRFFSKRIPYEEFFLRQFFGSQYEEYAGKVHSGLPFIK* >Brasy9G308000.2.p pacid=40064058 transcript=Brasy9G308000.2 locus=Brasy9G308000 ID=Brasy9G308000.2.v1.1 annot-version=v1.1 MALGDWDRSLLHPQKRIFMAHSAHASYRGWLLFRLSPGGPTAQHPASPWPSQAFAVPVQVPSKSFPMRHLLLPAPRQASPLTAQTLSPGASSGPQILALPMAARTQAWQFAAALVFFHGSEYVLAAAFHGHRNVTATWYQFLSNIGLLMVLTGEVIRKIAVVTAGRSFTHVIRIQYEDQHQLITHGVYRFMRHPGYSGFLIWAVGTQLMLCNPVSTVVFALVLWRFFSKRIPYEEFFLRQFFGSQYEEYAGKVHSGLPFIK* >Brasy9G141300.1.p pacid=40064059 transcript=Brasy9G141300.1 locus=Brasy9G141300 ID=Brasy9G141300.1.v1.1 annot-version=v1.1 MVRPAATVCKPSPAAKPCDYSTVQAAVNAAPNYTAGHFVIAVAAGVYEETVIIPYEKTNILLVGEGMGSTVITASRSVGIEGIGTYDTATVAVTGDGFRARDITFENSAGAGAHQAVAFRSDGDRSVLENVEFRGHQDTLYARTMRHLYRRCHITGTVDFIFGNAAAMFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFRNCSVDGNKEFMLLFQAKPQSYQLYLGRPWKEYSRTVYVSCYLGKVVRPEGWLPWRGDFALRTLYYGEFDSRGPGANYTSRVKWSSQTPYNHVGFYSVESFIQGHVWIAY* >Brasy9G141300.2.p pacid=40064060 transcript=Brasy9G141300.2 locus=Brasy9G141300 ID=Brasy9G141300.2.v1.1 annot-version=v1.1 MVRPAATVCKPSPAAKPCDYSTVQAAVNAAPNYTAGHFVIAVAAGVYEETVIIPYEKTNILLVGEGMGSTVITASRSVGIEGIGTYDTATVAVTGDGFRARDITFENSAGAGAHQAVAFRSDGDRSVLENVEFRGHQDTLYARTMRHLYRRCHITGTVDFIFGNAAAMFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFRNCSVDGNKEFMLLFQAKPQSYQLYLGRPWKEYSRTVYVSCYLGKVVRPEGWLPWRGDFALRTLYYGEFDSRGPGANYTSRVKWSSQTPYNHVGFYSVESFIQGHVWIAY* >Brasy9G141300.3.p pacid=40064061 transcript=Brasy9G141300.3 locus=Brasy9G141300 ID=Brasy9G141300.3.v1.1 annot-version=v1.1 MVRPAATVCKPSPAAKPCDYSTVQAAVNAAPNYTAGHFVIAVAAGVYEETVIIPYEKTNILLVGEGMGSTVITASRSVGIEGIGTYDTATVAVTGDGFRARDITFENSAGAGAHQAVAFRSDGDRSVLENVEFRGHQDTLYARTMRHLYRRCHITGTVDFIFGNAAAMFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFRNCSVDGNKEFMLLFQAKPQSYQLYLGRPWKEYSRTVYVSCYLGKVVRPEGWLPWRGDFALRTLYYGEFDSRGPGANYTSRVKWSSQTPYNHVGFYSVESFIQGHVWIAY* >Brasy9G255700.1.p pacid=40064062 transcript=Brasy9G255700.1 locus=Brasy9G255700 ID=Brasy9G255700.1.v1.1 annot-version=v1.1 MEWASYHYSSSGTQSPVEAQDENSYYMTVSSDKGVCSRNPGRWVCELREPHGIGKQRIWLGTFDTAEMAARAHDVAARPCRVPQLPPDSPRRLRVPPRGAGHDEIRRAAVEAAELFRPAPAAPPRHCDAADDGPAAAGSSSSSPGAELVQALLESEMQGYLDMAQGMLIEPPPMAGPSAWMVEEEYGYCDVRLWSY* >Brasy9G205400.1.p pacid=40064063 transcript=Brasy9G205400.1 locus=Brasy9G205400 ID=Brasy9G205400.1.v1.1 annot-version=v1.1 MGTLAAAISVVAASPASSHAGGRRHRRGSVAIRCSSAANERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSMAKRGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAASRQDQRWKSCYKNINWMEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGTRASVLDFNKSSSFFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYLTGEELEKLAKEVGFSSAKHYELGGGLMGNLVTTR* >Brasy9G205400.2.p pacid=40064064 transcript=Brasy9G205400.2 locus=Brasy9G205400 ID=Brasy9G205400.2.v1.1 annot-version=v1.1 MGTLAAAISVVAASPASSHAGGRRHRRGSVAIRCSSAANERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSMAKRGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAASRQDQRWKSCYKNINWMEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGTRASVLDFNKSSSFFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYLTGFCFNS* >Brasy9G205400.3.p pacid=40064065 transcript=Brasy9G205400.3 locus=Brasy9G205400 ID=Brasy9G205400.3.v1.1 annot-version=v1.1 MAKRGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAASRQDQRWKSCYKNINWMEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGTRASVLDFNKSSSFFTASLQSWAIDNVVVPLASGYGLTEEYKYLKSSISQYLTGEELEKLAKEVGFSSAKHYELGGGLMGNLVTTR* >Brasy9G182900.1.p pacid=40064066 transcript=Brasy9G182900.1 locus=Brasy9G182900 ID=Brasy9G182900.1.v1.1 annot-version=v1.1 MKRASPWNRLSEAEAAVNRSVAASRVGKYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTARDCTPVAVAGSGNSTAAPGPECTVGSSNPGYQQCLARTKSDLIVATAVAAMAGSFAMGALANLPLALAPGMGANAYFAYNMVGFHGSGSIPYRTALAGVMLEGIVFFVLSAVGLRSRLARMIPRNIRLASAVGIGLFLAFTGLQANQGVGLVGASPSTLVTLTACSQTDPATGACLPGTTLHSPTFWLGVAGFLITATCLARDVKGGMIYGIVAVTAVSWVRSTGVTVFPDTPAGDAGFSYFKKVVDFHTIETTAGQLSFTGFRHGSVWLAMLTLLYVDVLDTTGTMYSMAEYGGFTDGEGGFEGEYRAFLVDGGSTVLSAALGSTTVTTYIESTAGIREGGRTGVTAITVSACFLASLFFSPLLTSVPPWAVGPSLVLVGAMMMRVAKDIEWGDMKEAVPAFVTMALMPLTFSIANGIIAGLAVYVALNWYDWARHAFGKVGKVLDERRIQVAAASTAELGPAQDAV* >Brasy9G170900.1.p pacid=40064067 transcript=Brasy9G170900.1 locus=Brasy9G170900 ID=Brasy9G170900.1.v1.1 annot-version=v1.1 MAKDSIPPPNLHGINRIRKSSQVFPGGRALALILSTVVFVLVFSTYYPVQIFYDSPKRTRTSQFLIRQPSPQMDYVNWRWMPQGCDMARFEPQLFLEIVEVPVKVHSDTTDKFITWHFRSHNFTLMALWTKFLVEESQREINGILNESHDIHLDKLDAILAANLHELNILVVSSSRWFFRTNYLYEDGKLIGYIYCSEDNITSFSVLSAIQRVFKTTLSNLNRHREPRLQLTVVRTATPAHFENGLWDTGGSCNRTEPAGEGKAMTSTIEWAIRNVQVEEVNRAQKEKKHKGGMNIEILDITKAMSMRPDAHPGIHWNNQWMRGYSDCSH >Brasy9G108200.1.p pacid=40064068 transcript=Brasy9G108200.1 locus=Brasy9G108200 ID=Brasy9G108200.1.v1.1 annot-version=v1.1 MYPSQSTSGSRQHAAQLTQDLQAEFAAYGRSLSTGPLLLQREPHQSWLRRMEEKLRSVYAAITCTRTSDVVHHQASHQPRQQEPPHLRHHPRPRLPEQSMPRPLPPEQAGGSSWQQQSSFDYWQQQPSFQAGGSPWQHQQSPPMNFEFRPQTQPQGMDGPRHIHPRNAVPDDVADIC >Brasy9G261300.1.p pacid=40064069 transcript=Brasy9G261300.1 locus=Brasy9G261300 ID=Brasy9G261300.1.v1.1 annot-version=v1.1 MMTESARGSVIGALGGLVLGHGLLEEQERREGIGGAGAWSRGVGGGDRRRRCLVAWGDWRPWLTGRDRRRRLARWGGARGGGAEAAGLRAGGARRGREGGGGGVRDWRGEEKRKERTKRTGLKDSPAVKFQP* >Brasy9G291900.1.p pacid=40064070 transcript=Brasy9G291900.1 locus=Brasy9G291900 ID=Brasy9G291900.1.v1.1 annot-version=v1.1 MAMLRHVAGLALRRSATSPAAVVARSFVSPGCTGPAAGLALLQRPAPPPAAAAAAAASRFFSNGRSSNTKSALDKRMEETKEALYPRKMHTHDSAAKIRIVMKSFNNQKSNLMGLAPYTQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKVFVVKKAETHELAKKFFWLKRMRIMGAQYEIHISFKTRLDKKIGCSKGVGLLRQ* >Brasy9G020400.1.p pacid=40064071 transcript=Brasy9G020400.1 locus=Brasy9G020400 ID=Brasy9G020400.1.v1.1 annot-version=v1.1 MEKIAAAGERAGVGIDWRAVFLDGVPPEMPEAVFSSPPPPAAVAPRSPRSAESFSASSPANPVKKRRPRLDVDWTEVFAEPPPVPTVVAPRSARLAESFCGSSPANPVNKRGPYIDWMEVFGAGCTKLTERNLSPPAPAAIVPRSARSSILFPASPTENPVKRHGLVIDWTEVFVTGSNKLTETNLSRPAPAVIAPRSARPAKSFCSSSSTNPVKKRGPIIDWTEVFVTGRTKLTETNLSPPAPAVIAPRCAHSAVSFSGSSPVNPLKKHGPVIDWKEVFVTRSTKLAEKKLSPPAPAVIARRSAHSAISFRGSSPVNPLKKRGPVIDWTEVFMTGSTKLTERNLSPP* >Brasy9G201300.1.p pacid=40064072 transcript=Brasy9G201300.1 locus=Brasy9G201300 ID=Brasy9G201300.1.v1.1 annot-version=v1.1 MLVRPIPFWQPGPSHVGHIRAHMMSVRTPNCHQPEIPETVPPRRTAEGPRKNLGSMAEKDGRRKAVPALGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFVYALGHFLTEYLIYHTMAAANLSTVGFFAGTSIVWMLLQWNSHGDAHVSHAVKQS* >Brasy9G212300.1.p pacid=40064073 transcript=Brasy9G212300.1 locus=Brasy9G212300 ID=Brasy9G212300.1.v1.1 annot-version=v1.1 MPRVRLLESAERLASAPAGGPPAPPPPKPSASPPPPPPASSSPPPPTPSPPPPSPSGQNNRSAKVPPNTSGSPPPEKSPTPSPPPPVSPPPSPPPTSRSPPPSSPPPSSPPQRSSPPPASPTPPEASTSASPPPSQPEIPAPATPTPSSPSGAASASPGTRVSLPATTTRQAPPAPGTPPSTSQLLPSGVTVIMPASGPPAGTWHSPPHPKPAAASPPPPPLAPPSGGSAITVPNGVLVGVAVGGFLMALASLFMVACVTNRGNNDKRRPPMPMRKRTVVVPARGAASPDVLSSGPAAPSPSEAGSYDFSGSKSWFTYEELAGITGGFSAENVIGEGGFGKVYMGALGDGRRVAVKQLKVGGGQGEKEFRAEVEIISRIHHRHLVTLVGYCVTENHRLLVYEFVSNNTLEHHLHGKGRPVMDWAKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILMDDAFEAKVADFGLAKLTNDSMTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDYRELADPALECRYSKTEMRRMVEAAAACIRHSGTKRPKMVQVWRSLDVDSSSTDLTNGVKLGHSTAYDSGQYSADIELFRRMAFGNDLNTAEYGFSDEDERHGTAAGQ* >Brasy9G235300.1.p pacid=40064074 transcript=Brasy9G235300.1 locus=Brasy9G235300 ID=Brasy9G235300.1.v1.1 annot-version=v1.1 MQKVRLKWVKNRGLDHLITRTTSIRSSCLLLDYIARLPSSPVPARALARFQKPLGLTFPVLRFLRRHPTLFAEQPHPRFPSLPSFSLTPASDILLARLARASAVDSHLRLARLLLLTRSRSLPLASILPLRFDLGLPYDFASAFPSSHPDLFTVSNNHISLSTSRLPEDITISSLQRRHAESITGATYRALSRPPSSSNAPLAFPMRFPRGYGGMKKVKAWMEDFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTLVLREGYERGKLVENHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDEDSDEEGTLDGDEEFQGEGMDEDADVECFGMEIVDDDGPDNDEYERDGYD* >Brasy9G035300.1.p pacid=40064075 transcript=Brasy9G035300.1 locus=Brasy9G035300 ID=Brasy9G035300.1.v1.1 annot-version=v1.1 MQRGISEIRCDMGDLSTLFCFRASGGLSRKLRNAAPSPGRISFHGQVSAYPLSSCRIIAPHEALPGAQVGTASTCDEKQTGYEPSPWGDFFIGYEPLQGSEEWMIVRVDELKEDVHMLFKTCNNTTARMFLPDTLQHLGIDHHFEDRIDAVLTEILDSAELSNSSSLQEAALRFRLLREHGYWVSPDVFNKFRADDGSFSKYLTTEPRGLLSLYNAAHLLVQGEPVLEEAITFARHHLESMSGSLKSPLAEEVKRALHIPLPRTCKRAETLHYISNYEKEEGHDPILLELAKLDFNLLQSVHLKELRAITEWWKHFSGHIGLSYIRDRVVESYTWAYVLYYEKGFELPRSMFTKILVLITTIDDTYDSHATIEECRKLHEAIQRWDERAVSLLPEYLKKLYIELLRTFKNIEAEMPSNINYDIAYLKKAIQNNVTGYLQEAEWSHKNHKPSFEEQINLTSVTIGTPALCVCMMAGMDNMKMKQTLEWTSGVPGPVIAAAKIGRCMNDIAAFERRKCKGDVASTVECYINDHGVTGEVAIARIDTLLEVEWRTLNQARFENRAMLPALQRIIGLARSATFFFDNRNDAYTASKHLQRTIESFFVKPI* >Brasy9G114000.1.p pacid=40064076 transcript=Brasy9G114000.1 locus=Brasy9G114000 ID=Brasy9G114000.1.v1.1 annot-version=v1.1 MDLSTMERRPATRTRDHDHTAERMALLDLFVMEQRNGQKSATLSATASDLIGKEQGKDTAIDCRPGEQLRRELWQRWHIPITVPASARPDFYMVASFRRSKFRLTEESVSNLLNVCLGGSPEDFRVTNLRDRTFRFSVTDKLIGFHIAKLESFTCSNFVVYFHLWGFGGPDYIKEFAAWCKEEQQQWQLPKKPRSPLKAARTYAEVVTHEPPLLTGANAVPIVRQSAFLRLDNAIPSPPMSPWSRTKEIDLEDAGYSPEAIRKCKEDYIARFNKQQKAPIPIRTVFQRLEFPRSSTSMAAPEKTRHISVNGDKTNGRPNQIGAPSSDKLNANYAGNGKAQVEDKLNNNGDKTQVDPINEGPANQVPNNYEGRANGPWPTCRRCLKMDHNQSSCTNAIHRRFCLIPGHTYRYCRKRQAQNIPNQDSKPQPGTTVAPSSDDGGPNLGQLHRRPDSAHPWPIRHHRRPGPPSTMQVSCLGHIPAKNEDVAIISMVPPPNPDAPFHETRDTILDFLDGQLGIRTDYMQRSSLGHAIVRLVSTSDRDWLVLHSPHLHNVIQFSFTEHNKGINWRAFTYNQEVWIMILNLPLDLWDTAHVNAAIAKWGKLLSWDNTVSNLTRAIVKVGVESLADIPYSIMDHAQHAPQLPDLNEQIHWQPWPAPPKEVQQNHLIEDPLIAQNLMQLFEKVQNQPENQNPANANDHDDDSAITLTLSSNAPGDVSIGSVNQAFQIPAPMAPIDPIQGVQHLLEEGDNQLQVAINGNQNDGIGVHNDMIIGRVIIPPFIHTHQEFPALMENDINQPMMEKLHITGEGNDIWQQYFRPSDKQSQTVSLPGPWIDFFTAMLVSSANFFTAKFFSPTCGTFLLPIMMYPGYLLYLKNAHPSMLHLAS* >Brasy9G149900.1.p pacid=40064077 transcript=Brasy9G149900.1 locus=Brasy9G149900 ID=Brasy9G149900.1.v1.1 annot-version=v1.1 MDGAAANGWTRRWLTVEEADALHCVGYPCPPDTRLPGDWKLSTGGIPTPQVPHGQRRQAAITEAFFHELTPAQRLLPAWDRHTGTEWLAFFERRHAEQMARYEPAARRAIDGPPQYPIGRRLWWGEPGCTLDGVLAHIVAGNRYLTVCSASSTWPPHLLIKDEPGSSRGCQTPKPEPGWTPEAEYERRALEFRLDDDPEEFPGQLVAERANKREAEEAARLDLAMTDAEGEAWSRQEAERETAARARRKMPVLKKPKTEVKVEPITIDSDDSDFDAYLADFND* >Brasy9G053400.1.p pacid=40064078 transcript=Brasy9G053400.1 locus=Brasy9G053400 ID=Brasy9G053400.1.v1.1 annot-version=v1.1 MDSWPSTYHSESDKQHQPTLYLDNFRTIDWLWFALSEQIFDSSFQERPDNRVGNLPIKQLDRFPGKIKSS* >Brasy9G345200.1.p pacid=40064079 transcript=Brasy9G345200.1 locus=Brasy9G345200 ID=Brasy9G345200.1.v1.1 annot-version=v1.1 MFLTEKYHALLPFHHHRKPPAPAVAPAGKDSGSGSRFEAALAARLGTLLPLPASSPPLSLLARIADLLAATLADAAPALLAGGDASAAVAEHLDAGVALLDACNAIAARVDRLRRRRLLARFALHLLSPSPLSPSSTARARAALADRAAVDPDSPPLPSIPFDPPRRHKPAAAARVLLAVNAVSSLASSAAAAILDRTTTTLFPLVPTTSNLPWAEPFNAVSIGLSALALSSASTTEADAVDEAVGKLASALDENEGEGSDEAAVRAAAKEVEARTEELAARLDRLSDAVNAVFRAALRLRDAELGTFMAAAGPALQQTRK* >Brasy9G287100.1.p pacid=40064080 transcript=Brasy9G287100.1 locus=Brasy9G287100 ID=Brasy9G287100.1.v1.1 annot-version=v1.1 MDKCLASLIFFLGIIEKRIRCRPAKLNSVLHRLEYNDDRPPSSNDDAALQSRFHLLLVFRVREVSICTEFLPEMRQTKYIFLRALRTTEYTCRHKAEQKSPGLTWSSAGPETSQAVGKR* >Brasy9G165100.1.p pacid=40064081 transcript=Brasy9G165100.1 locus=Brasy9G165100 ID=Brasy9G165100.1.v1.1 annot-version=v1.1 MAFGKELYWPRRAAATPIATKVRNKFHVSLKPLFPWTLGNVGDRCPADDDSVKRQPSWLRQRILSMAVRR* >Brasy9G275100.1.p pacid=40064082 transcript=Brasy9G275100.1 locus=Brasy9G275100 ID=Brasy9G275100.1.v1.1 annot-version=v1.1 MVATPWTPPPPSPLPAATSHMAVTQALADALRSCGARGALAGARALHSRLINVGLASVVFLQNTLLHAYLSCGALSDARNLLRDEITEPNVITHNIMMNGYAKLGSLSDAVELFGRMPRRDVASWNTVMSGYYQSGQFLNALEIFVSMRQSGDSLPNSFTFGCVMKSCGALGWHEVALQLLGLVSKFDSQDDPDVQTALVDMLVRCGAMDFASKQFSWIKNPTIICRNSMLVGYAKSHGVNHALEIFKSMPERDVVSWNMVISALSKSGRVREALDMVVDMQSKGVRPDSTTYTSSLTACARLSSLELGKQLHVQVIRNLPHIDPYVASAMVELYAKCGCFKEAKRVFSSLRDRNNVSWTVLIGGFLQYGCFSESVELFNQMRAELMTVDQFALATLISGCCNTMDMCLGCQLHSLCLKSGHTRTVVISNSLISMYAKCGNLQNAELVFNFMAERDIVSWTGMITAYSQVGNIAKAREFFDDMSTRNVITWNAMLGAYIQHGAEEDGLKMYSNMLTEKDVIPDWVTYVTLFRGCADIGANKLGDQIIGHTVKVGLILDTSVVNAVITMYSKCGRISEARKAFDFLSRKDLVSWNAMITGYSQHGMGKQAIEIFDDILNKGAKPDYISYVAVLSGCSHSGLVEEGKFYFDMMKRDHNISPGLEHFSCMVDLLGRAGHLIEAKNLIDEMPMKPTAEVWGALLSACKTHGNNDLAELAAKHLFDLDSPGSGGYMLLAKMYADAGKSDDSAQVRKLMRDKGIKKSPGYSWMEVNDKVHVFKAEDVSHPQVIAIREKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICDDCHTVIKLISTVTDREFVIRDGVRFHHFKGGSCSCMDYW* >Brasy9G359800.1.p pacid=40064083 transcript=Brasy9G359800.1 locus=Brasy9G359800 ID=Brasy9G359800.1.v1.1 annot-version=v1.1 MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWISDNTEKSLKLASVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVETQVWFTCLNALISPGQHGFQPQYTDGMRTGALSFDCGRESSLSSSSTYTTDSLENKLSSANSTKDRSSGEYTYSDRTDASEMQVKSASSSDIRVSVSSALSTSSHGSGEDSESFGDVYVWGEVMCDTTSRSGSDGNVHAPGATTDILVPKPLESNVMLDVSYVACGVKHAALITRQAEVFTWGEECSGRLGHGAGTSIFQPRLLESLSICNVEIIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSTVSHWIPKRVSGPLEGLQVSTISCGTWHTALITSSGQLYTFGDGTFGALGHGNRETISYPKEVESLKGLRTISVSCGVWHSAAVVEVIMTQSNASSGKLFTWGDGDKYRLGHGDRSAKLKPTCVPSLIDYNFHKSACGHTLTIGLTTSGHMFTVGSSVYGQLGNPNNDGRYPRLVEDKVGGGGVVEVACGSYHVAVLTNTGEVYTWGKGANGRLGHGDIADRKVPTLVEALRDRSVKRITCGSSFTAAISQHKWVSGVEQSQCSACRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYMKLSKVLDSGVSYSRNTIPRIPGDTKAERMDTKAIRVASATSSDMIKNLDVKAAKQTKKSDHAPQFPAILQLKDIPFVGPGDLHNTGSTVSNGYSNDTRYAPQILRMPHLNSLSSLSSESIESFREENELLKQEVQKLRAEVNSLRQEREQQDNELQKSEAKAHEAVTLAAEEVSKSKAAKEVIKSLTAQVKEMAERLPPGEHDMKPPRVVYLPGGVVSPEIGGRESQRRYEPGGIHYSQTPTYVTSARFNGLPPQAHQTSNPSDNAMVPHESMFENFNKSKDFPAAAQQRTNGGYRPRSEDFDRRETERFQINLQGWNTRGSGSPSNQVEAEWIEQYEPGVYLTLVSLHDGTKELKRVRFSRRRFGEHQAESWWSENHEKVYDKYNVRRTDRVSSVMTS* >Brasy9G043100.1.p pacid=40064084 transcript=Brasy9G043100.1 locus=Brasy9G043100 ID=Brasy9G043100.1.v1.1 annot-version=v1.1 MINWRKSSMMKLCAKWTVRKWLREKEKTNPSYFGPGREQFLAPPLACATVCPPDDRAQIIGAYAHPATRRFHLLLASGETDGRQLMAPTIFRLLRVGDAVCREIPLEAQDGQQQQSSEISMPTKTLNRVTLHGSLHWLVLSVGSGMLRVLAFDMTHEKLQWMEAPPNVQKQSPADLITNARLGVLSSAGDLCAFVVDPSTGSMDMWVLDGYASSWRLKERIGLVVPENYYRRSSDLSRNFRESTMVDVAEGAQVGEEIVVHLSNGKIYGCYNLGRKDWQSLNFYCGGFVRYNSLVMHRESVLQHEVSFGEASRPASLTISQRGQLTQLSANLVY* >Brasy9G283700.1.p pacid=40064085 transcript=Brasy9G283700.1 locus=Brasy9G283700 ID=Brasy9G283700.1.v1.1 annot-version=v1.1 MWPAATRLETAPPSAHGPATAPTCGSSVHPPVTTSHTPAPTTPPAAAQKREFVRSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRLIEKQQGGYPKLRVSWLYRPADIELNKGIQLNAAPNEIFFSFHQDETSAVSLLHPCKVAFLRKGAELSSGISSFACWRVYDIDNKCLWWLTDRDYINERQEEVNRLLYRTRLEMHAAVQSGGHSPKRLNVPSASQQLKASSDGAQNCGPSKGKKRDRVEHGIDPAKRDRDCLLKVDDTEPGNIKGDNTKLVIAKITEKSGLPHAEAVEKLVHFIQLDQTERKMDFADRVRLADIIAATESPDCLNRFMQLRGLPVLNDWLQETHKGKSGEGGSPKDTDKPIEDLILALLRALAKLPISLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSTDAKPVLSGPAISWSGKAVFPEISSAGNGRSGSSEPSPRNPLSQLSSPKALSAKPGTADAAAKSNPFTSGSSKLQHIQPANVATNLKDPPCNSTGGTCGPDFPSVKEEKSCSSSQSLNNSQSCSSDHGKTVGPLKDDARRSTAASANPSKISGSSARGHRRSNNGLVKKEVGFQKEAALGRSSPLDRSLLQERSSQSGMACEKGGETLSDHVNSHRLIVRFPNPGRSPGRSTVGASCEDPSISGSRASSPVPADKHEQNDRRVKMKTEHSRPHLGSDANAEPAQSNHIEGATGSEEGDKSSCGMLDGDCSRTAEEAGKDASASQGPCSLYVNEKDICIGETTVRNSFNPLNALIEIKYSEASHSVQAGDDTAMNLLASVAGEVSRSELVMPSTSPGKPPVNELGYEGESIGKLEVECDVGPSQHSGLSDDVEKDISEKEDKRNVSFVAKEEQHLRDSGTNSSSHDSKGATSTRPSPLSGMDSKAVESKANTGSHEVECANKCSHIPSSVDSLGGGDQKSSVRQPADIKIDTQFSRNSSVSEPRNAWTVREKAEDGCVSSSDQKHLLGLPDHLKINDRSADSTAGKFVSKPSLLSLNGDVKNADGLVVKVPKEDEKKEQPCSTSADVTKLVSSAVLPPDPANGNGIVEESKGSSSELSSHVKPRALTSPDNEHSARQSSKKLCDDVGGKEDLVSSDEGSSVAAKAKSTGTAKLDFDLNELGDEGNHSGPATSPVICSSAIHLPSLSPFVSAISSGLPAAITVAAPAKGPFVPPENLLRVKPDAGWKGSAATSAFRPAEPRKVLGMFLTAPDTAVSDNAGKQSRPAFDIDLNVADDQVLEDDISESSAQTIGSESGNSRSRNGRVQSAGLELDLNRADEVAENSQFISNASHRIEVTLLPARPLPGVPSNPGTNSSRNFFDLNNGPCLDEASAELAQRSLSSKSSSSIPFLPQVPGVRMNSAEMSNMSPWFGSANSCAPVAIRPFLPARAEQPYPIDTAPGTQRFIAPAADGGQFRSDFCRAPVISTSPTMVFHPPPSYQYAGFPFTPSVHLPTTGFPMGSTSYANAAPAGVPYFPTIVPSHVGSTGVLPVQHARQYAMNLTEGTSRDGHDSNWKWRRQGLDLNSGPGSIDAEGKDERLALSSRSNVVTPPQAFVEEQTRMYQMPGVGIKRKEPEGSWDAERSSSYKQLSWQ* >Brasy9G283700.2.p pacid=40064086 transcript=Brasy9G283700.2 locus=Brasy9G283700 ID=Brasy9G283700.2.v1.1 annot-version=v1.1 MWPAATRLETAPPSAHGPATAPTCGSSVHPPVTTSHTPAPTTPPAAAQKREFVRSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRLIEKQQGGYPKLRVSWLYRPADIELNKGIQLNAAPNEIFFSFHQDETSAVSLLHPCKVAFLRKGAELSSGISSFACWRVYDIDNKCLWWLTDRDYINEEVNRLLYRTRLEMHAAVQSGGHSPKRLNVPSASQQLKASSDGAQNCGPSKGKKRDRVEHGIDPAKRDRDCLLKVDDTEPGNIKGDNTKLVIAKITEKSGLPHAEAVEKLVHFIQLDQTERKMDFADRVRLADIIAATESPDCLNRFMQLRGLPVLNDWLQETHKGKSGEGGSPKDTDKPIEDLILALLRALAKLPISLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSTDAKPVLSGPAISWSGKAVFPEISSAGNGRSGSSEPSPRNPLSQLSSPKALSAKPGTADAAAKSNPFTSGSSKLQHIQPANVATNLKDPPCNSTGGTCGPDFPSVKEEKSCSSSQSLNNSQSCSSDHGKTVGPLKDDARRSTAASANPSKISGSSARGHRRSNNGLVKKEVGFQKEAALGRSSPLDRSLLQERSSQSGMACEKGGETLSDHVNSHRLIVRFPNPGRSPGRSTVGASCEDPSISGSRASSPVPADKHEQNDRRVKMKTEHSRPHLGSDANAEPAQSNHIEGATGSEEGDKSSCGMLDGDCSRTAEEAGKDASASQGPCSLYVNEKDICIGETTVRNSFNPLNALIEIKYSEASHSVQAGDDTAMNLLASVAGEVSRSELVMPSTSPGKPPVNELGYEGESIGKLEVECDVGPSQHSGLSDDVEKDISEKEDKRNVSFVAKEEQHLRDSGTNSSSHDSKGATSTRPSPLSGMDSKAVESKANTGSHEVECANKCSHIPSSVDSLGGGDQKSSVRQPADIKIDTQFSRNSSVSEPRNAWTVREKAEDGCVSSSDQKHLLGLPDHLKINDRSADSTAGKFVSKPSLLSLNGDVKNADGLVVKVPKEDEKKEQPCSTSADVTKLVSSAVLPPDPANGNGIVEESKGSSSELSSHVKPRALTSPDNEHSARQSSKKLCDDVGGKEDLVSSDEGSSVAAKAKSTGTAKLDFDLNELGDEGNHSGPATSPVICSSAIHLPSLSPFVSAISSGLPAAITVAAPAKGPFVPPENLLRVKPDAGWKGSAATSAFRPAEPRKVLGMFLTAPDTAVSDNAGKQSRPAFDIDLNVADDQVLEDDISESSAQTIGSESGNSRSRNGRVQSAGLELDLNRADEVAENSQFISNASHRIEVTLLPARPLPGVPSNPGTNSSRNFFDLNNGPCLDEASAELAQRSLSSKSSSSIPFLPQVPGVRMNSAEMSNMSPWFGSANSCAPVAIRPFLPARAEQPYPIDTAPGTQRFIAPAADGGQFRSDFCRAPVISTSPTMVFHPPPSYQYAGFPFTPSVHLPTTGFPMGSTSYANAAPAGVPYFPTIVPSHVGSTGVLPVQHARQYAMNLTEGTSRDGHDSNWKWRRQGLDLNSGPGSIDAEGKDERLALSSRSNVVTPPQAFVEEQTRMYQMPGVGIKRKEPEGSWDAERSSSYKQLSWQ* >Brasy9G283700.3.p pacid=40064087 transcript=Brasy9G283700.3 locus=Brasy9G283700 ID=Brasy9G283700.3.v1.1 annot-version=v1.1 MWPAATRLETAPPSAHGPATAPTCGSSVHPPVTTSHTPAPTTPPAAAQKREFVRSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRLIEKQQGGYPKLRDETSAVSLLHPCKVAFLRKGAELSSGISSFACWRVYDIDNKCLWWLTDRDYINERQEEVNRLLYRTRLEMHAAVQSGGHSPKRLNVPSASQQLKASSDGAQNCGPSKGKKRDRVEHGIDPAKRDRDCLLKVDDTEPGNIKGDNTKLVIAKITEKSGLPHAEAVEKLVHFIQLDQTERKMDFADRVRLADIIAATESPDCLNRFMQLRGLPVLNDWLQETHKGKSGEGGSPKDTDKPIEDLILALLRALAKLPISLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSTDAKPVLSGPAISWSGKAVFPEISSAGNGRSGSSEPSPRNPLSQLSSPKALSAKPGTADAAAKSNPFTSGSSKLQHIQPANVATNLKDPPCNSTGGTCGPDFPSVKEEKSCSSSQSLNNSQSCSSDHGKTVGPLKDDARRSTAASANPSKISGSSARGHRRSNNGLVKKEVGFQKEAALGRSSPLDRSLLQERSSQSGMACEKGGETLSDHVNSHRLIVRFPNPGRSPGRSTVGASCEDPSISGSRASSPVPADKHEQNDRRVKMKTEHSRPHLGSDANAEPAQSNHIEGATGSEEGDKSSCGMLDGDCSRTAEEAGKDASASQGPCSLYVNEKDICIGETTVRNSFNPLNALIEIKYSEASHSVQAGDDTAMNLLASVAGEVSRSELVMPSTSPGKPPVNELGYEGESIGKLEVECDVGPSQHSGLSDDVEKDISEKEDKRNVSFVAKEEQHLRDSGTNSSSHDSKGATSTRPSPLSGMDSKAVESKANTGSHEVECANKCSHIPSSVDSLGGGDQKSSVRQPADIKIDTQFSRNSSVSEPRNAWTVREKAEDGCVSSSDQKHLLGLPDHLKINDRSADSTAGKFVSKPSLLSLNGDVKNADGLVVKVPKEDEKKEQPCSTSADVTKLVSSAVLPPDPANGNGIVEESKGSSSELSSHVKPRALTSPDNEHSARQSSKKLCDDVGGKEDLVSSDEGSSVAAKAKSTGTAKLDFDLNELGDEGNHSGPATSPVICSSAIHLPSLSPFVSAISSGLPAAITVAAPAKGPFVPPENLLRVKPDAGWKGSAATSAFRPAEPRKVLGMFLTAPDTAVSDNAGKQSRPAFDIDLNVADDQVLEDDISESSAQTIGSESGNSRSRNGRVQSAGLELDLNRADEVAENSQFISNASHRIEVTLLPARPLPGVPSNPGTNSSRNFFDLNNGPCLDEASAELAQRSLSSKSSSSIPFLPQVPGVRMNSAEMSNMSPWFGSANSCAPVAIRPFLPARAEQPYPIDTAPGTQRFIAPAADGGQFRSDFCRAPVISTSPTMVFHPPPSYQYAGFPFTPSVHLPTTGFPMGSTSYANAAPAGVPYFPTIVPSHVGSTGVLPVQHARQYAMNLTEGTSRDGHDSNWKWRRQGLDLNSGPGSIDAEGKDERLALSSRSNVVTPPQAFVEEQTRMYQMPGVGIKRKEPEGSWDAERSSSYKQLSWQ* >Brasy9G283700.4.p pacid=40064088 transcript=Brasy9G283700.4 locus=Brasy9G283700 ID=Brasy9G283700.4.v1.1 annot-version=v1.1 MWPAATRLETAPPSAHGPATAPTCGSSVHPPVTTSHTPAPTTPPAAAQKREFVRSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRLIEKQQGGYPKLRDETSAVSLLHPCKVAFLRKGAELSSGISSFACWRVYDIDNKCLWWLTDRDYINEEVNRLLYRTRLEMHAAVQSGGHSPKRLNVPSASQQLKASSDGAQNCGPSKGKKRDRVEHGIDPAKRDRDCLLKVDDTEPGNIKGDNTKLVIAKITEKSGLPHAEAVEKLVHFIQLDQTERKMDFADRVRLADIIAATESPDCLNRFMQLRGLPVLNDWLQETHKGKSGEGGSPKDTDKPIEDLILALLRALAKLPISLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSTDAKPVLSGPAISWSGKAVFPEISSAGNGRSGSSEPSPRNPLSQLSSPKALSAKPGTADAAAKSNPFTSGSSKLQHIQPANVATNLKDPPCNSTGGTCGPDFPSVKEEKSCSSSQSLNNSQSCSSDHGKTVGPLKDDARRSTAASANPSKISGSSARGHRRSNNGLVKKEVGFQKEAALGRSSPLDRSLLQERSSQSGMACEKGGETLSDHVNSHRLIVRFPNPGRSPGRSTVGASCEDPSISGSRASSPVPADKHEQNDRRVKMKTEHSRPHLGSDANAEPAQSNHIEGATGSEEGDKSSCGMLDGDCSRTAEEAGKDASASQGPCSLYVNEKDICIGETTVRNSFNPLNALIEIKYSEASHSVQAGDDTAMNLLASVAGEVSRSELVMPSTSPGKPPVNELGYEGESIGKLEVECDVGPSQHSGLSDDVEKDISEKEDKRNVSFVAKEEQHLRDSGTNSSSHDSKGATSTRPSPLSGMDSKAVESKANTGSHEVECANKCSHIPSSVDSLGGGDQKSSVRQPADIKIDTQFSRNSSVSEPRNAWTVREKAEDGCVSSSDQKHLLGLPDHLKINDRSADSTAGKFVSKPSLLSLNGDVKNADGLVVKVPKEDEKKEQPCSTSADVTKLVSSAVLPPDPANGNGIVEESKGSSSELSSHVKPRALTSPDNEHSARQSSKKLCDDVGGKEDLVSSDEGSSVAAKAKSTGTAKLDFDLNELGDEGNHSGPATSPVICSSAIHLPSLSPFVSAISSGLPAAITVAAPAKGPFVPPENLLRVKPDAGWKGSAATSAFRPAEPRKVLGMFLTAPDTAVSDNAGKQSRPAFDIDLNVADDQVLEDDISESSAQTIGSESGNSRSRNGRVQSAGLELDLNRADEVAENSQFISNASHRIEVTLLPARPLPGVPSNPGTNSSRNFFDLNNGPCLDEASAELAQRSLSSKSSSSIPFLPQVPGVRMNSAEMSNMSPWFGSANSCAPVAIRPFLPARAEQPYPIDTAPGTQRFIAPAADGGQFRSDFCRAPVISTSPTMVFHPPPSYQYAGFPFTPSVHLPTTGFPMGSTSYANAAPAGVPYFPTIVPSHVGSTGVLPVQHARQYAMNLTEGTSRDGHDSNWKWRRQGLDLNSGPGSIDAEGKDERLALSSRSNVVTPPQAFVEEQTRMYQMPGVGIKRKEPEGSWDAERSSSYKQLSWQ* >Brasy9G025700.1.p pacid=40064089 transcript=Brasy9G025700.1 locus=Brasy9G025700 ID=Brasy9G025700.1.v1.1 annot-version=v1.1 MMLEVASKGIRLSDPASGSSCGGDSVKSATGKKAARKERRLSETASGSSGGGEAVIKSQKLADGDKAEAGKVKKMWTVTPEYIDRLRKEKDAGPKIRNFDYLNDRTDPGAYALRAIAAGAAAVTADVEAQKAKILKEYDTHGSAQVEVVEDPWSDNEMVRKLMAWKEKVQQLSS* >Brasy9G323300.1.p pacid=40064090 transcript=Brasy9G323300.1 locus=Brasy9G323300 ID=Brasy9G323300.1.v1.1 annot-version=v1.1 MATTGDGDALPPPKRLRHDAHAGDEGTAPATAKPKKVKLNPADCNLDFDIGGGGLRGHALHEGGFAYCWSGARATAGVRGGGKYCFGCRVVAEQDVTMEDTDTDKRHLCRVGVSRGDEPVGSLGESGQSFGFGGTGKLSHMGKFFDYGVKFGVGDTIVCAVDLNSKPMASIGFSRNGEWLGIAQNFDSSDKGLGLVDAPVSPMQWESAIFPHVLLKNVIVEMQFSKEDGLEPVDGYEPWSSASADGNAVSGPMFVEQGECEVMMMVGLPASGKSTWAEKWVKEHPEKRFVLLGTNLALDQMKVPGLLRKHNYGERFDRLMDHATAIFNKLLDRAAKVPRNYVIDQTNVYRSARIRKLRPFANYRKIAVVMFPLPSELNSRAAKRFKEMGKDVPAEAVDQMTANFVLPLSKHMPDSKEPFDEVIFVELSRDDAQRNLDDMKHLPPRVSTPSYDNFNNQMVSSTYTGIVSLGIPAARSSLSGFQPPMDNPYGTGVQAPAASLGIQSFQSTAENQHLIQPSYLNGPYQHQTHTVYPNPSYTNSTDQHQIPPNYPSTTDQHQIYGSCPTILFPGYVHGSTYVPHESPYNPNPSNTDLHQRVEGPMAYTNFYQTLGPSGYGPAASTERPIHAQPLPQAMYGSSGNYRPYEQQSIDGHNTSLQYGQVFMPRPYGIPPPPVPGRPALPPYYMNPPPSTW* >Brasy9G198900.1.p pacid=40064091 transcript=Brasy9G198900.1 locus=Brasy9G198900 ID=Brasy9G198900.1.v1.1 annot-version=v1.1 MVAGSKAGGGGGDDGYSTGGWRAEEAVAGNRMALDALRELVVYPFIYAHQSRLLGLKWPRGLLLYGPPGTGKTSLVQAVVRECNAHLTMINPYSVHKAHAGEGEKFLREAFSEAYSQASQGRPAIIFIDELDAICPRRDNKREQESRIVGQLLTLMDGNKKTAKKLPHVVVVASTNRVDAIDPALRRPGRFDSEIEITVPSVEERLQILKLYTKNLHLDSTVDLQTIAASCNGYVGADLQALCREAALLAYRRLTNSSEDEKVHTLIMADWESARSQVRASMTRGVTKEVSTVLWDDIGGLQDLKKKLQQAVEWPIKHAAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQSARLAAPSILFFDEADAIAPKRTGPGGNGGNVTVGERLLSTLLTEMDGLELATGIIVLAATNRPKAIDAALLRPGRFDMVLYVPPPDVQGRHEILRIHTRKMKLGEDVDLGKIAECTELFTGADLEGLCREAGMAALREDLSANSIHDAHFEAARRSLRPSLTKAEIDEYAAAAIHGLSTRKH* >Brasy9G198900.2.p pacid=40064092 transcript=Brasy9G198900.2 locus=Brasy9G198900 ID=Brasy9G198900.2.v1.1 annot-version=v1.1 MVAGSKAGGGGGDDGYSTGGWRAEEAVAGNRMALDALRELVVYPFIYAHQSRLLGLKWPRGLLLYGPPGTGKTSLVQAVVRECNAHLTMINPYSVHKAHAGEGEKFLREAFSEAYSQASQGRPAIIFIDELDAICPRRDNKREQESRIVGQLLTLMDGNKKTAKKLPHVVVVASTNRVDAIDPALRRPGRFDSEIEITVPSVEERLQILKLYTKNLHLDSTVDLQTIAASCNGYVGADLQALCREAALLAYRRLTNSSEDEKVHTLIMADWESARSQVRASMTRGVTKEVSTVLWDDIGGLQDLKKKLQQAVEWPIKHAAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQSARLAAPSILFFDEADAIAPKRTGPGGNGGNVTVGERLLSTLLTEMDGLELATGIIVLAATNRPKAIDAALLRPGRFDMVLYVPPPDVQGRHEILRIHTRKMKLGEDVDLGKIAECTELFTGADLEGLCREAGMAALREDLSANSIHDAHFEAARRSLRPSLTKAEIDEYAAAAIHGLSTRKH* >Brasy9G036400.1.p pacid=40064093 transcript=Brasy9G036400.1 locus=Brasy9G036400 ID=Brasy9G036400.1.v1.1 annot-version=v1.1 MALSPLLLPTAASAADTSDRRRQHHGKRKKKPPPSPRTTPPPAARTSTSGSRRRGAASPSTKIKSPAPAAMDPSSKLARRHHSKTKSSNKAASSSSSSWEQLKSLLSCRSATAAARVHDPAAPSSSARLRAGGACGASLCSIRDVLVDGASASSVASSAAPLNRSSRRTHRSVTAASSQGHPSSLRGLSGCYECRAINVEPVSRRYPRPRELCPCSQCGEVFTKAESLEHHLAIRHAVSELGPEDSGRNIVEIIFKSSWRQQRQSPGMGAAMCQIERILKVHNPARTVARFEAYRDAVRARCRAATAATRAAADGNELLRFHPARLSCALGLAGSSSLCSSEDTDPQSANSSSGSGHGECCGVCAAIRHGFAPWAGEHALGVRTTASSGRAHQGAGAGAGSARAMLVCRVIAGQVRKAGGEEEEGLFDSVAGEEDGGGAYGSMEELFVANPRAILPCFVVIYRVAAAAPPC* >Brasy9G153100.1.p pacid=40064094 transcript=Brasy9G153100.1 locus=Brasy9G153100 ID=Brasy9G153100.1.v1.1 annot-version=v1.1 MAWSGRGPLNRASSVVSPAEGRLASLALRRTVASGSVVAGGGGAGMSRMGDGMSLQMDATKLSMVNGVVVVELGGVGQRSRSVKGSSGSSCMGGAGSVTGGVVGTCRARIEKRLLMSMLFVAAGCGEAAVGGGGEGPRPVSRGRQPESEGEPAGRDVARPGERTTGPRRARPFLLTILSDYTF* >Brasy9G244000.1.p pacid=40064095 transcript=Brasy9G244000.1 locus=Brasy9G244000 ID=Brasy9G244000.1.v1.1 annot-version=v1.1 MDKSPEARTARSLRPNIVVERKLGELDAIVGEALSSRPRRSDVDGSLFKDINARTDFLRTLIAAQRDCHCGARPEHQVEAEARFAVLELAFQQWAAQSGPVVAPPARTEEEEQEPGDDDQARAAGSGSTGCSCTESCFGFDFTGQGLDQEAAFDGKRDLEQQDRAADARKAAPVEVAAAATRSATARWRRRAAALCGAAGILAAVVLAAGLALEFAAVAQQSVYLVPT* >Brasy9G329400.1.p pacid=40064096 transcript=Brasy9G329400.1 locus=Brasy9G329400 ID=Brasy9G329400.1.v1.1 annot-version=v1.1 MERSKGAAAAKRRKAGDGPVAAPDPDAGRPSCPSLSLDLLAKIHGRLPFLDRLALAAVFGESCKDQFEPEAPCLLLPGSTADTARLFSLAERRAASARVPDPAMRDYLVIGSNHGWFATADDRGQIYLTNPTTGAQHELPHIATMGVFLPEKLRTAAARWGPQGYGTCTYSACQMRRLFYRKVVLSDSPRAETYAAMLILDPEIGAPAFATAEDPAWRLARSPDGVEDAIFQPDGKFWSVSYTGVVESWERDADTGMYQSTPVAPTLALPAIKPCPRKYLVAAPDGRLMVVHKYSEVIEGRYSQDRQCRCSFKVHVLGDGGLWEETTDIGEAALFVGVNNSLCVPTRGRPEIMAGCPPGHADDDLGKAAVYRRSRGVGVYSLNHGAMTCLELGPHDGSSSWPPPAWMTTSLS* >Brasy9G356400.1.p pacid=40064097 transcript=Brasy9G356400.1 locus=Brasy9G356400 ID=Brasy9G356400.1.v1.1 annot-version=v1.1 MATYKLGVEVASAHDLMPKDGHGSASACVELNFDGQRFRTAIKEKDLNPVWNEHFYFNVSDPSNLPELALEAYVYNVNKSVESSRSFLGKVRIAGTSFVPFPDAVIMHYPLEKRGMFSRVRGELGLKVYITNDPSIRASNPLPAMDPVSNNSRPSQAEQIAADITGTNLNTSQEHRSEARTLHTIAKDAHHHQHHGHLPASFSEQPSKYGIEQMKPQPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQIVGGRVVRGEKHASTYDLVERMQYLFVRVVKARDLPDMDITGSLDPFVEVRVGNYRGITKHFEKQRNPEWNAVFAFARDRMQASVLEVLVKDKDVLKDDFVGMVRFDLNDVPIRVPPDSPLAPEWYRLVHKSGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLDDASAVTHMKSKVYHAPRLWYLRVNIIEAQDILIHDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILTLEDRVGPNKDEMLGRIIIPLTMVDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSSRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWIRTRTIMNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGDKNGEKTSNGKDVKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYARPIPVHQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMSDFDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFSGVCAWKNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSQEIVRMRYDRLRSVAGRIQTVVGDIATQGERIQALLSWRDPRATAIFVLFCFTAAIVLYVTPLQVLAALGGFYAMRHPRFRHRLPSIPVNFFRRMPARTDSML* >Brasy9G187200.1.p pacid=40064098 transcript=Brasy9G187200.1 locus=Brasy9G187200 ID=Brasy9G187200.1.v1.1 annot-version=v1.1 MECPMDATGNKAALVIQFHTIVNDATSPSSSPDREGAEGTKHHCSGNEATGQFLLTVNPSVLLRVLSSCELDPKDLAALEATCKFFRDPANFPPDLALSLPELAAFDMCQERAMFKPMKPEEKEWLKQRCGGSWKLVLRYILVGEKNYRREKSQVIAGPGHSIVVTAKGDVYSFGVNCSGQLGLGDRENKFKPCLLRSLQGIRITQAAVGLRRTMLVSDTGSVYTFGLDTFGGLDQYGASNGNCVNSPKLLESLKGVFVVQASIGGYFSTVLSREGRVYTFSWGRAERLGHSSELADVEPRLLSGPLEDVLVAQIAAGNCYLLLLSYQPTGMSVYSLGCGLGGKLGHGNKDFEGVPKLIGHFQAFSIRPVSISAGAWHAAVMCRDGRVFTWGWNTCGCLGHGDSEDCVTLPRAVEALNNVKAVHVSAGEWCTFVVADNGDVYSFGFRGSRNLGFQDNDAENEDVLPPKPATLLAELNERFVQISPTNAYNWVNAEQPHHTVALAESGMVYAFGAGSMGQLGVKLVEGKEAMPTPVQVAIDNVKPTPASA* >Brasy9G252300.1.p pacid=40064099 transcript=Brasy9G252300.1 locus=Brasy9G252300 ID=Brasy9G252300.1.v1.1 annot-version=v1.1 MAATPKAWKVEYAKSGRSSCKSCKSPIGKDALRLGKMVQSTQFDGFMPLWNHASCIISKKNQIKSVDDVEGIDALRWDDQEKIRNYVGNSSATASSKAAISDKCTIEVAQSARASCRHCSEKIAKGNVRVSAKVEGQGWYHVSCFLEMSPTATVEKIPGWEALSHEDKGAIHDVKKCTANKQQTTLKGSKRKNGDSDMQECKAPKLDGSISEGATRNKGKLNAPYDSNASSADLQQKLKEQSDTLWKLKDELKKHVTTAELRDMLEANGQDPCGPERHLLERCADGMLFGALGPCPVCTSCLYYYGGQYQCSGYVSEWSKCTYTTTEPARIKKKWKIPDEIKNDYLTKWFKSQKLKKPERALPPMSPQKYVGQSTQQSLIGEALDKLRVSVVGQSKDVADEWKQKLKFAGVNSNGRVTKDTNCLVSCGELDNENAEVRKARRLNVPILREDYLAECIKKNRVLPFDSYRLETTLESSKGSTVTVKVKGQSAVHEASGLQDTCHILEDGKSIYNTTLNMSDMTQGVNSYYILQVIEEDSGSECYVFRKWGRVGSEKIGGTKLEEMSKTDAIKQFKKLFLEKTGNPWGVWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDISKTKSSLAPQLLELMMMLFNVETYRAAMMEFEINMSEMPLGKLSKENIHKGFEALTEIQNLLDNTGNQELALRESLIVAASNRFFTLIPSVHPHIIRDKDDLTMKAKMLEALQDIEIASRLVGFDNDNDESLDEKYKKLHCNITTLAHDSEDYKLVEKYLLNTHAPTHKDWSLELEEVFVLDRDGESNKYSRYKNNLHNKMLLWHGSRLTNFIGILSQGLRIAPPEAPMTGYMFGKGLYFADLVSKSAQYCYVDKNNPTGLMLLSEVALGDMHELKKAMPMDKPPRGKHSTKGLGKTVPLESEFVEWSDGVVVPCGKPVPASIRASELLYNEYIVYNTSQVKMRFLLKVRFHHKR* >Brasy9G252300.2.p pacid=40064100 transcript=Brasy9G252300.2 locus=Brasy9G252300 ID=Brasy9G252300.2.v1.1 annot-version=v1.1 MAATPKAWKVEYAKSGRSSCKSCKSPIGKDALRLGKMVQSTQFDGFMPLWNHASCIISKKNQIKSVDDVEGIDALRWDDQEKIRNYVGNSSATASSKAAISDKCTIEVAQSARASCRHCSEKIAKGNVRVSAKVEGQGWYHVSCFLEMSPTATVEKIPGWEALSHEDKGAIHDVKKCTANKQQTTLKGSKRKNGDSDMQECKAPKLDGSISEGATRNKGKLNAPYDSNASSADLQQKLKEQSDTLWKLKDELKKHVTTAELRDMLEANGQDPCGPERHLLERCADGMLFGALGPCPVCTSCLYYYGGQYQCSGYVSEWSKCTYTTTEPARIKKKWKIPDEIKNDYLTKWFKSQKLKKPERALPPMSPQKYVGQSTQQSLIGEALDKLRVSVVGQSKDVADEWKQKLKFAGVNSNGRVTKDTNCLVSCGELDNENAEVRKARRLNVPILREDYLAECIKKNRVLPFDSYRLETTLESSKGSTVTVKVKGQSAVHEASGLQDTCHILEDGKSIYNTTLNMSDMTQGVNSYYILQVIEEDSGSECYVFRKWGRVGSEKIGGTKLEEMSKTDAIKQFKKLFLEKTGNPWGVWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDISKTKSSLAPQLLELMMMLFNVETYRAAMMEFEINMSEMPLGKLSKENIHKGFEALTEIQNLLDNTGNQELALRESLIVAASNRFFTLIPSVHPHIIRDKDDLTMKAKMLEALQDIEIASRLVGFDNDNDESLDEKYKKLHCNITTLAHDSEDYKLVEKYLLNTHAPTHKDWSLELEEVFVLDRDGESNKYSRYKNNLHNKMLLWHVWERPLLCRFSKQECTVLLCG* >Brasy9G116500.1.p pacid=40064101 transcript=Brasy9G116500.1 locus=Brasy9G116500 ID=Brasy9G116500.1.v1.1 annot-version=v1.1 MAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPDGGAGLPVPQPPPGVDPNAMATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFMVGQKFSKPKGQNEDMSYSRGRNMLNQLGLQNYEKNFKKGLLTDETLPLLNDSALRDVNIPPGPRLVILDHIKREPGLTKSN* >Brasy9G116500.2.p pacid=40064102 transcript=Brasy9G116500.2 locus=Brasy9G116500 ID=Brasy9G116500.2.v1.1 annot-version=v1.1 MAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPDGGAGLPVPQPPPGVDPNAMATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFMVGQKFSKPKGQNEDMSYSRGRNMLNQLGLQNYEKNFKKGLLTDETLPLLNDREPGLTKSN* >Brasy9G070000.1.p pacid=40064103 transcript=Brasy9G070000.1 locus=Brasy9G070000 ID=Brasy9G070000.1.v1.1 annot-version=v1.1 MDNNQRQPDSYTIAVREGDTPGESDDPPQRQEMQVTLLKAAVEGDQKELERILQEELDQQVEPEKRAPEPRQEEEIRTPPRTSMLLQGVTSDLDGVLHIAARLGHVELVNKIGMWPGLVVDVEAKNRRGETPLHCAAATGNVAMIGLLMSIVCREQRAKQLLREKKCDGETCLHEAVRSGNKLAVKTLVEEDVNIFERGDPCVLVGMEDNEGVSPLYLATTLRQLDIAQFLTQKQRRLSYPDASYKGPGEKTALHAAVLLDKDLSKCLVQWKKGCLLSMADESWNTPLHLLASTEDTSIAKLLLEADECAGYHADKEGSLPIHVAAANGSLTIVKLLAKKRPGCALACNNLGQTILHIAVQKRRYDVVNYVCSDHRLAGILNVRDSGGNTALHLAVEQGNQFIFCRLMRCIEVCISFTNKEERTPLDIAQLKLPPGLSLSPAPRQWIMYHLVLAGGDYGTCRREQFATVLAKPDREKESKSTGKSAGLVAVCTFAAPFTVTRMYSRSEESAEYESLAWAIAYRIFMASDAFAFAFSAVATSCCTYAGFSFMDRRTRLFYLTTGGVSLRLAAVSIIIVFSSGVYVAVAPVDYLIPVAVCPFAALVIIPQLTPVFVMLLHAWSLLMRLGFLAWCRTMFCWLPRPSRYRVPGRYRGLRGSSLAVLFCVFLVCYLIFVCAFLSARNIIKGF* >Brasy9G354800.1.p pacid=40064104 transcript=Brasy9G354800.1 locus=Brasy9G354800 ID=Brasy9G354800.1.v1.1 annot-version=v1.1 MIRKEAFVVSPSVLREARRIIQDSKIMKEDDSNWPDPDRVGRHELEIFMGNEHISFTNSKIGCLFYVDLGCQLGVALALL* >Brasy9G149000.1.p pacid=40064105 transcript=Brasy9G149000.1 locus=Brasy9G149000 ID=Brasy9G149000.1.v1.1 annot-version=v1.1 MHGTKLRITSLSQPPQQQLGAAMGGGADVHAPRPARPAPRHAKLKMLFVVIVTNFFSVYLFSGASLSLNLPDSAPSIHLWDSTALLRDLNATRDALTLARAELSLVRAQCGTSSLLLESVLAKLGAVHGEDAPAAKDFNGWPEEPAGELKLAIEPHRLPHGFSVNFGTDELFPGLGFACRNFQEDLTRYMTYNASAACPDDEALALQLILKGCEPLPRRRCRPRSPARYAEPKPLPESLWSIPADTTVNWTPYTCKNYTCLVDRARSRGGSYDCKDCFDLAGKERRRWLADNGGPGFSIDGVLRSRPPGTVRIGLDIGGGTGTFAARMRERNVTVVTTTLDLDAPFNRFVASRGLVPLQLSLAQRLPFADGVLDIAHSMKALSNSVPDAVLEFALFDVYRVLRPGGVFWLDHFFCLGTQLNATYVPIIDRVGFRRLRWKESRKLDLGVERNEWYISALLEKPMT* >Brasy9G346300.1.p pacid=40064106 transcript=Brasy9G346300.1 locus=Brasy9G346300 ID=Brasy9G346300.1.v1.1 annot-version=v1.1 MARGGNEEEDEWGEEAEESVERVFEGREVPGWREQITARALATSALLGSMFSVIVMKLNLTTGLIPSLNVSAGLLGFFLLTSWTRLLSRAGVRGVKPFTRQENTVVQTCVVACSGIAFSGGFGSYIFAMSDRISEQSGEVYDEDSIKNPSLGWMMGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGRYFAMSFSWGIFQWFYSAGKDCGFKAFPTMGLEAYYHRFYFDFSATYVGVGMICPYLVNISVLVGGIISWGIMWPYIEHKKGDWYSADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTKTMIALAAQVRLMLSGPSLPISNADDQDRTPAETFDDQRRKEVFLRDQIPNTFALGAYMGIAVVSIFTAPRIFHQLRWYHVACSYLVAPVLAFCNAYGCGLTDWSLATTYGKLAIFLVGAWAGQADGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFPGIGMPGSEYPSPNALVYRNMAVLGVQGIGTLPKHCLQLCIGFFVVAIAMNLARDVVGPSAARFVPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRVDSAKAGVFAPPVASGLICGEGIWTLPQSLLALAGVHPPICMKFLSRANNAKVDAIIGIRTVH* >Brasy9G168500.1.p pacid=40064107 transcript=Brasy9G168500.1 locus=Brasy9G168500 ID=Brasy9G168500.1.v1.1 annot-version=v1.1 MAGSRLPPTSPPPGPPKIHEPEQYLLRLLTILECSSSACNKLAASMYKKRYQEEKMLNLQHLHSKKMLNLQHLHST* >Brasy9G037700.1.p pacid=40064108 transcript=Brasy9G037700.1 locus=Brasy9G037700 ID=Brasy9G037700.1.v1.1 annot-version=v1.1 MWMDMASGSRFQSVMRKPNQRPLFFRCVKQLFRFQRLGDNATP* >Brasy9G131900.1.p pacid=40064109 transcript=Brasy9G131900.1 locus=Brasy9G131900 ID=Brasy9G131900.1.v1.1 annot-version=v1.1 MVTLMEWCVHAPPHYFKMPWVSTEFPRYISLTGSAIQNQLLGNDVLDFELCDLLVRRLTQLDNRMEPTSARMRWRHVLESDFSVCAIAEDDITSLLSIQLQFIGDSITYNMSCTTMFAVPSFIEQSWCAYMFDMKEEIIHVLDPLGLHLESAKIKELQGHYANVIQHKLFQCFSKYYEIWNPQKKQWRHLYPVLTNDKFTKNQSGLCMLHCVRNYNGQDLEQPLTLNGYSRLQHTFLHELLTMEDNKSRLPLPILKIIDPPNWRQV* >Brasy9G260900.1.p pacid=40064110 transcript=Brasy9G260900.1 locus=Brasy9G260900 ID=Brasy9G260900.1.v1.1 annot-version=v1.1 MGDLQIVLAGAKIEAQYVEMKVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRRKRRAAQFWGADQPGLGDDADKFGDAPKHYLRAFTAYRCRKSWKKLFPMIRL* >Brasy9G260900.3.p pacid=40064111 transcript=Brasy9G260900.3 locus=Brasy9G260900 ID=Brasy9G260900.3.v1.1 annot-version=v1.1 MGDLQIVLAGAKIEAQYVEMKVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRRKRRAAQFWGADQPGLGDDADKFGDAPKHYLRAFTAYRCRKSWKKLFPMIRL* >Brasy9G260900.2.p pacid=40064112 transcript=Brasy9G260900.2 locus=Brasy9G260900 ID=Brasy9G260900.2.v1.1 annot-version=v1.1 MGDLQIVLAGAKIEAQYVEMKVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRRKRRAAQFWGADQPGLGDDADKFGDAPKHYLRAFTAYRCRKSWKKLFPMIRL* >Brasy9G091000.1.p pacid=40064113 transcript=Brasy9G091000.1 locus=Brasy9G091000 ID=Brasy9G091000.1.v1.1 annot-version=v1.1 MAKREAHMLLSSKHHEAVTCNSPAQDTATHREEPNRDECPLSPATSHELAPGRLYLALPLPASRSRPIPRKRRMDAGASPMQRALLLLLQLRRERRRCRSASPQSLLQSAAYRRSASTARSLRRHRLRSPRAPAAPHRSTTPSAPTTPPRASSSAPTSTQAFLDAAARLRRPLAAANAGARAQLAPRLSIRAAAAEADGVGLVGVAYGAPPRPGEPHALRLRPRHPLRSVPTCTRRAAYSSAAAATSLSRSNSSSTARVYAEGAADLERARRWLELDELAGVGA* >Brasy9G150100.1.p pacid=40064114 transcript=Brasy9G150100.1 locus=Brasy9G150100 ID=Brasy9G150100.1.v1.1 annot-version=v1.1 MAAPNPSPAMVAVAAATPARAWCRTEFPAAASGSCFFPVGAKRLPQGKGGAAGWELMYLSSHGYGELDSEGFWFGCCQQTYVPTACGKVFSDAPLCFMLL* >Brasy9G172000.1.p pacid=40064115 transcript=Brasy9G172000.1 locus=Brasy9G172000 ID=Brasy9G172000.1.v1.1 annot-version=v1.1 MNSRSSRSTSAVIGLLVVALLFSTLILTASARHVAVFNAKEDAAGQAEAAGGSSRPARTSTVEMRGGDAWAAVEMQDMLKRDYTYKARRRSPIHNDEPLQENEEP* >Brasy9G271300.1.p pacid=40064116 transcript=Brasy9G271300.1 locus=Brasy9G271300 ID=Brasy9G271300.1.v1.1 annot-version=v1.1 MRPTPTLLSLTLDAALLRIARLQDLSRIPDHLLVDLFHRTISAGKLTEKVLKLFLATDCEEIVLLVQLLNIKQPLVPVLPTRCSEKF* >Brasy9G336500.1.p pacid=40064117 transcript=Brasy9G336500.1 locus=Brasy9G336500 ID=Brasy9G336500.1.v1.1 annot-version=v1.1 MKARAKILWIWTLGTAGIMIANVVRTRVNDMGMILRDEDEAAAAAGGGSGGGTSGERVMRDDELSRLSSLKGFKLAWTPIPKTYTISGELKIEPYRVGDGNVAVLHKNL* >Brasy9G015300.1.p pacid=40064118 transcript=Brasy9G015300.1 locus=Brasy9G015300 ID=Brasy9G015300.1.v1.1 annot-version=v1.1 MDDLALEGVAQTRNLRHHPHVLVDAGSHDQATADVLVLLLHARVSGESTIDAHAPEPGPGLARRESKYSRPEVKCSGMGKLKPWAPKARTWLVQDASSCRSRMTDGRPFLWRWTATASASADGPAPTTITRSASAGAGAAARRAEGGRGSAAEAGWSAAIMLGNTKSLVSTPPPPRDPATPLLFSVAQAAAQPPPIPAPAVRRAARPSSDAGTPLLLPTAARSSQARDVLLGRALQWRRSRPATANLLASLFDLFDSN* >Brasy9G248800.1.p pacid=40064119 transcript=Brasy9G248800.1 locus=Brasy9G248800 ID=Brasy9G248800.1.v1.1 annot-version=v1.1 MAAALLVVLACISGLVLPAQAEAPYRFINWEVTYGNINPLGVRQQGILINGQFPGPEIECQTNDNLIINVHNRLPEPFLLSWNGFQHRKNSWQDGVPGTNCPIPPGKNYTYHMQAKDQIGSFFYFPSLAFHKATGGFGAIRIHSRPRIPVPFPPPAAEYTMLIGDWYTTGHKALQAMLDSGKQLPSPSGILINGKGPKRASFTVEHGKTYRLRISNVGLHSTLGFAIQGHNVTLVEVEGTHTVQNTYSSLDVHAGQSLSVLFTANRPARDYHVVVSTRFTNHSLASSAVLHYAGSKLPASGPQPILPAGDVDSALRQARSIRTNLTASGPRPNPQGSYHYGKINVSRTIRLANSAGPGKRRYAVNGVSYVDADTPLKLADYYNISGVFHMGGIPDAPASGEHGKTALKKATAVMDSDHRSFVEVVFENSEDAVQSWHLDGYNFFVVGMDKGAWSEKSRKGYNLEDAVARSTVQVYPRAWTAIFIALDNVGMWNVRSQDWARRYLGQQFYLRVYTPTHSLRDEQPIPANAILCGRAANRSRHGPSPNTKLPRPGGWN* >Brasy9G200700.1.p pacid=40064120 transcript=Brasy9G200700.1 locus=Brasy9G200700 ID=Brasy9G200700.1.v1.1 annot-version=v1.1 MAGGGRVRFNVGGQLFETTTTTLANAGRDSMLGALLDSSWNVPRASSAGAGVGGEAQPAEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRSARWGAFDGDRLRLASSVPGRAPGDGTAIRAAPDGGLCVAHGGAVRVYNWMLDERRPVSLDHYSQVNDAAYLDAATLLVAAREKKRLLLGNGDEDGGGMAAFSAASGDLRHRFRVHHARQPKSFTAGALAFDASSGRIFASCKGRLNEHGVGVWDSATGEQADFFYEPPGCALGDADRLQWLDAASALMVATLFPKTDNCSIALLDFRDKSVAWSWSDAGTAAQDKRVLHAIAMDDERSVCVINQYDDLGFLDLRTTAGGVRWSSRSKLMNRKAPGEESCYPKLATHGGQLFSSMNDSISVFSGPEHVLTSTLRRSYGGPICDFSIGGDRLFVLHNEENVFDVWETPPPPII* >Brasy9G120200.1.p pacid=40064121 transcript=Brasy9G120200.1 locus=Brasy9G120200 ID=Brasy9G120200.1.v1.1 annot-version=v1.1 MPPPPLHLPPYLAPTWPIARPCSSAPPFQRAPSPLLHPRSSSSSSLLTEALGAESLVDPEDAAMDGVPAAAEADDGRAPPCKTHGGIVHDDEDDDQAFVTLRRTRSGRAFPPPISVIGKSGWPWLSLRAHREDGRLVLREMRLPSQELLQQCREDGRFKLLRHPAEAGGGRCGGRGSGIGRGSLLEDPIAD* >Brasy9G213100.1.p pacid=40064122 transcript=Brasy9G213100.1 locus=Brasy9G213100 ID=Brasy9G213100.1.v1.1 annot-version=v1.1 MSRKALRRAKIQERIVDRSKLPLERKSDYLKKLKGLCFRCLSPSDLVKNCREPVRCWNCRRFGHLARYFSSSARSSSSSTPVSPPSFAAGLCEKNRSFADVVMSSSGNRRGGHEDRPEMDHCAMAATQEMLEGERAFVSHAVVVWLGESRPRTEIYHVVEAFKAEFGVQAHEIQVSEHFLEDWLVFFTDPALAEAAAARHRFRCGGRHFRVAQRSADRHTEEIDMHFRARLCIEGLNVNAWVREAAEKIIGPHCALDFIEPSSVRRENTQTFNVWVWTTDPFAIAKAMWLTITAPADGAPASYVVSLRQPVPRRDPKRGRCCRLRVHLDQFIDLSPHGSGEPTRMNWKLGVTDGSSNRPRYCSEKAGRDNYSRRDDDDEEERRGRGDGRKGKSWHRGWLSRSKSSRHEPYRRRDSRGKHAAYDKVGARTTGLGPLRRRTSSKKRASSTPSPKKVWRVRCTSSSAPAAARHRSRSPPPPAASISIQPSPALPPPPPCFADIPTWTPPSPTPSVQTRNSMDPIIAEAHLSIRPMPADNVVIVHDAAPAATDHDNYFVQQQALIVQDSGATAVDTPRGSEMLIAGDNCTLHEFLDGIAQPPLPQLLPTPAKSAPSARQLQQNVVNNSDQQNLQENSITCRSARLSKNPKGAGVLELKAQEFLAGGLGIISEDESFDDAAKSLFVKMFQGPEPLTEAAILTIDKLVVLIKKKMQVKKGKGKGKAKENLPTVAAPAIDA* >Brasy9G335600.1.p pacid=40064123 transcript=Brasy9G335600.1 locus=Brasy9G335600 ID=Brasy9G335600.1.v1.1 annot-version=v1.1 MSLEVQDSEVDIVIAALQPNLTSFFEAWQPFFSRFHIIVVKDPDMAADLKIPSGFDVKVYTKSDIEGLLGATSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDTAGMTVDAVTQHMTNLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSSGLWLHNADYDPMTHVVKRNQRNTNYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPGLRIRKEGKHRWDTLEDIWNGLSAKVVCDSLGYGVKTGLPYVMRSDAEAGKALESLKDWEGVKVMDDVLPFFQSLKLSRTAVTVDDCVKELASIVREKLAPKNPIFAKAADAMEEWTKLWKSHGAQNA* >Brasy9G274300.1.p pacid=40064124 transcript=Brasy9G274300.1 locus=Brasy9G274300 ID=Brasy9G274300.1.v1.1 annot-version=v1.1 MARFEQTKAIDDQRPGAAQVGHQNITEGPRNPLNSSDIDMLAEARVPKARKPYMITKQREKWTEDEHKLFLEAMHLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIRESSGDNSNSSGGAATPAIQIPPPRPKRKSVHPYPCNLRSAPGKHARELPRLEKPQLQMQQPLCEQVNGSPTSVVTASQIGSENFDSDTSTIDIEERCPTSSIATDEFAVQAPPTDAEEVKGSSTSKEVVCDTSEAPVLRLFGKRVVVNDSHQQPNLCNMQTVTEMELDASAETTTSGTGKFSSHGASEENTWNPWLTNMQQFMCYLPQGAVFFSYNDGSVPYPLLSNPKPVTSDQQHQHEPSEAEYKLMQREASLVESNTTSTSVPETTTQYSDCAESCTKANSGDEKIVPVAGFRKYVSPTSVHQRGFMPYKRCAAESKVLQAQAPSEEADGEMTRLCL* >Brasy9G080400.1.p pacid=40064125 transcript=Brasy9G080400.1 locus=Brasy9G080400 ID=Brasy9G080400.1.v1.1 annot-version=v1.1 MAAAAAAALPDELLLDIFSRLQDPIDLLRCAATCGRCLRLILDLHRDAAAGNANLLRLHRSSSILGAFYQNNGKPPSPPKFVRLHDPPLPAGSRSFFPNSDGILSSYSAKPLASRRGLLLSRLMMNTPLDQRKLHLAVSHPLLGGRTRVLPPPPFDLDPDLRRDLTGYALLLTAADAGFFRVLVTAAAVFSSSGDHHQPVHRAYSYSSATGLWSAPVATECASLATSGPRAGTVDARGTAHWLYRDAFSFYILAVAGDAAPLTELPFTLPLQRQLQQQTLFPCLTRGGDLALFHTSAGVGGALHLWKMADHGRSSWARSELRAPSPLNHLIQVVGFAESAGKLLVCSEQRLWSLWWLDVESGKMEPAEASSGHGCYYPTQVCGRLRTCQGYDSCSECTYNCHVLYEVMRWPSFLRHMSAWS* >Brasy9G095600.1.p pacid=40064126 transcript=Brasy9G095600.1 locus=Brasy9G095600 ID=Brasy9G095600.1.v1.1 annot-version=v1.1 MESLLASPSLRASSFPAAAAAATRSPVPSRVATLATARARPLWCGPKDTIEALARDDMLNAVELVQWENGKSVNDIAASQGIRIRRHCRPNSSVKVIHEEMGEPRNILEKIIWDKEIEVAEGHAKKPLKEVIESAGKAPPTRDFYGSLKAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQGSFENLQKVRNAGVKCPLLCKEFVVDKWQIYYARSMGADAILLIAAVLTDLDITYFLRICKELGLTALIEVHDEREMERVLNINGVQLIGINNRSLETFVVDTSNTKTLLEKHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH* >Brasy9G162400.1.p pacid=40064127 transcript=Brasy9G162400.1 locus=Brasy9G162400 ID=Brasy9G162400.1.v1.1 annot-version=v1.1 MKLSCLLLVSFAAAALASEGAVEVAGGGGLASDPEKKCVYTVYVRTGSIWKGGTDSKIGVTLLGSDGTGIRIGDLERWGGLMGDGHDYYERGNLDIFSGRGPCMARLPCWANVTSDGTGAHHGWYCNYVEVTVTGPHMGCAQQLFTVEQWLATDASPYRLYAVRDTCSSGDSSSVSVSAPVATGDEARGAAS* >Brasy9G066900.1.p pacid=40064128 transcript=Brasy9G066900.1 locus=Brasy9G066900 ID=Brasy9G066900.1.v1.1 annot-version=v1.1 MEKKLPCVLVLLASSLVLAATLADGASSSVHPSLNSKQARVLLLLGRKGRGESDLPGYLHYRREGQEQHEVASMEVKKGAGLREAGKEEEEEEGLIHSADYSGVTMHSKSPPAQHKHPKP* >Brasy9G122100.1.p pacid=40064129 transcript=Brasy9G122100.1 locus=Brasy9G122100 ID=Brasy9G122100.1.v1.1 annot-version=v1.1 MSTEILTKFLTVLFGYAMPAVECFKAVEQRTGRTDQLRFWCQYWIILVILVIFDEIAGALISRIPMYYELKLAFLVYLWYPQTRGTDIVYETFVRPLVMQYEPNIEERLRYLRANAGDLIVFYLKNFTDRGYELFLRALDYVRSQASRGSRTRRFFSFRGDRGDRPSFVDDDYVVPGGDRRRAARQRRPGPGDY* >Brasy9G102600.1.p pacid=40064130 transcript=Brasy9G102600.1 locus=Brasy9G102600 ID=Brasy9G102600.1.v1.1 annot-version=v1.1 MYQWRKFEFFEEKAAGRGGGGGAPAVPAEIAGRVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQASSQSSAICLKVFDLDKVQEEGSSTTTPFCVQILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFTLQVEAISDGSSSPITGLGFRVEGPAHQLFAITPSSITLFGLHYQPPRRQTLDQIGCETNAVAMSDRMDLIVGRAEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCVIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVTEWGYIILIMSDKRILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNDFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVARKAGRHELYLKILLEDLARYDEALQYISGLEANQAGLTVKEYGKILVDHRPSETVEILLKLCTDGGDPTTRRGSNSMRLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQMEIHNTLLELYISKDLSFPSISQENGFEDTIKERKGKEITKGYRSGTTEKGNLGNEGTKMAKDIADRQRKGLALLKSAWTSEMEDALYSVDLALIICNANAFKDGLLFLYEKLKLYKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKHFGELGEDCSKEVKEVLTYIEKEDVLPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAAEYRSVMEAKQKLELNSRDQNLFFRQLRGSKDGFSVVADYFSKGVVSKTTIPPENAP* >Brasy9G218400.1.p pacid=40064131 transcript=Brasy9G218400.1 locus=Brasy9G218400 ID=Brasy9G218400.1.v1.1 annot-version=v1.1 MDYGIELKGCVCRIKNCATELFSMEEDLMIDDEESWNLVGRDLRLKATFLYIDLSRVISSCGSDECKKTLTRLANKFFYFMDELEDAVKSRSVLLMQVCYSDTTHVLREVVAALVPSH* >Brasy9G175100.1.p pacid=40064132 transcript=Brasy9G175100.1 locus=Brasy9G175100 ID=Brasy9G175100.1.v1.1 annot-version=v1.1 MELKPAVLISALLCLALSRGALSQRAPIITIVETPAPAPAPRQVDLAELLSLAGPYGTFLDYLTKTDVIKTFQSQANDTEEQGGHGITVFAPQDSAFAAVDPAALSNLTADQLRSLMLHHAAPRYYPLSAFSALATAVPMFAYGVNVTDKAGKTGVVSGWAAAKLVSSVYSTRPVAVYALDRVLLPKEIFPTAPEVAPVPAPAPAPGKAMDAAPGKGESGAKDDAGGKSSSCSRVGAGSLLLGCLVLMVSGVLV* >Brasy9G187700.1.p pacid=40064133 transcript=Brasy9G187700.1 locus=Brasy9G187700 ID=Brasy9G187700.1.v1.1 annot-version=v1.1 MEPPSRFWASLWTFVKFLPYFAGLLLLGAIKGILLCPWACLIMAIGISALVLGLWPMHLIWTYYCIIRTKMVGPVVKLLLLIAATVILILWLIVAIPGSAFAGLVYGFLAPIMATFDAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDMKDLLFHSYFSIMDDLHLQAPPDGKPYEIRLLHIPGALLSAACGLMLDAIMFTLIAIYKFPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVLGAVLASILSSIPLGMYGAVVAYQESSVIMGLSYAASSVSIFDEYTNDVLDMAPGSCFPRFKYRKNEGSSHGGHLSKPASFDKEKQEGKKPISRITSFKNSMDEFNPFKMLDHLFSECKRQGEVLVNDGVITMKDIQETKSDKVGSGVLNVGLPAYVILNALLRSAKANSNGLVLSDGAEITSDNRPKSTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKMRVLRIGEPSNFKGTLPHVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDVLVKALLLELERTMGGSLPANGSQAQRLRGSIGRVLSQKSIGKTANIRDEDPEAQITRKARTP* >Brasy9G187700.2.p pacid=40064134 transcript=Brasy9G187700.2 locus=Brasy9G187700 ID=Brasy9G187700.2.v1.1 annot-version=v1.1 MEPPSRFWASLWTFVKFLPYFAGLLLLGAIKGILLCPWACLIMAIGISALVLGLWPMHLIWTYYCIIRTKMVGPVVKLLLLIAATVILILWLIVAIPGSAFAGLVYGFLAPIMATFDAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDMKDLLFHSYFSIMDDLHLQAPPDGKPYEIRLLHIPGALLSAACGLMLDAIMFTLIAIYKFPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVLGAVLASILSSIPLGMYGAVVAYQESSVIMGLSYAASSVSIFDEYTNDVLDMAPGSCFPRFKYRKNEGSSHGGHLSKPASFDKEKQEGKKPISRITSFKNSMDEFNPFKMLDHLFSECKRQGEVLVNDGVITMKDIQETKSDKVGSGVLNVGLPAYVILNALLRSAKANSNGLVLSDGAEITSDNRPKSTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKMRVLRIGEPSNFKGTLPHVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDVLVKALLLELERTMGGSLPANGSQAQRLRGSIGRVLSQKSIGKTANIRDEDPEAQITRKARTP* >Brasy9G338400.1.p pacid=40064135 transcript=Brasy9G338400.1 locus=Brasy9G338400 ID=Brasy9G338400.1.v1.1 annot-version=v1.1 MGALEEAHLAAAAAIVACGCGDEEEEEDLAELGLEAPPPGDTMEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRFQSPQRLANEVCGALHASIQPAGVAVTLQCWHIPLPENLKCKSSQGWIRTSHSSRSGVFEGEDSSFWNDFVALLKLKGIDMEMDNHSSFLPWCPLRPHEVPVCNGHSKRTTTNGAISPKSVSTPSNMVSSVSSMLLLLEEDPLRKELLGSPQRYVQWLMRFRACNLDVKLNGFTLNSAGVYERPDEDASDHRAISSELHLPFCAQCEHHLLPFYGVVHIGYFGSGDGEGIDRSHFHALVQFYGCKLQVQERMTRQIAEAVYSVSHRGAIVVVEANHICMISRGIEKIRSSTATIAVLGDFLTDSSVKACFLQKVLDTVGLEA* >Brasy9G183100.1.p pacid=40064136 transcript=Brasy9G183100.1 locus=Brasy9G183100 ID=Brasy9G183100.1.v1.1 annot-version=v1.1 MVFCCQLCSSPFAFVQGFRWSLQALSSYSAISEAWRRCVSRIACFVRVVHQRQMSEFLLLHLRYDKAGDPGWGSVTLIRYLQPYHLPRVHKPERSPGEPPERHQLASTFEALEGISCCFASDYSFEQAAGSCRCLLRLMFQFYSSCVSFFVWVCFALFSSVLQSSVACSMDP* >Brasy9G228900.1.p pacid=40064137 transcript=Brasy9G228900.1 locus=Brasy9G228900 ID=Brasy9G228900.1.v1.1 annot-version=v1.1 MLFTESVSSGEQRQAARAKKLKGPSSSGIRSNPPRQAAAPKLPTRKKKTASRTKASSSQAAPDVEVELEPVPNTDFKGLPRKKWIEERRINPYNKPKTASDPRFWTIAQEMYWNQIFESFKSKLVEQKAIDFDFIEDNAEFEGIIEVCEILDVYKLMDLQQDFCPDLVRQFYCTVHFHDDEERSMSWMCGHELIRNMPLSVLAQAIGYVYCSYRDYRGVRIFDQEPLDMNAIMGFCHPPRTKNIGFTSTMYPFYDVMNKLFRNSIDPKVGDLTAVRGRLINLMKYVEPGKEEKIDVIDYIYKGMQAIVYDKRSPSYAPVVQAFINKCASTNVMDNNYVVRHSRVKPQTPSQTFVEPLPHGPTATAEWEEARASGDRPHGKGIAPPEPSVPKPKKKRNATYRAIRAMFKYVRAIHKRVFKSSQRSKRALSLINAERRKNGEKIPIGSEDEDSEEEVVEYPFSSGESEDEATTSAPPAPHVVDSEEEDEEEEE* >Brasy9G260700.1.p pacid=40064138 transcript=Brasy9G260700.1 locus=Brasy9G260700 ID=Brasy9G260700.1.v1.1 annot-version=v1.1 MEFTPAGTSSRFSREGEGEEEEEEGEELEEEEASPREIPFMTTMTAAVSSSSSPTSASPSAAASASASARSSDGAGASGSGGGGGGGDVEVIDKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEATRDRLFIDWKRRAELIRDPHRGLARLPMPMPTSSPYGPWGAGAGGFYMPPAPPATLYEHHRLRQGYDFRNINAPVPARQVLFFGSASGAMPPHAPMLPPPQPQQQPPLHIAVPVQPNPVVTVGLPMVLDSVPLASSPTAAAKRVRLFGVNLDNPHSHGGGESSNDANALSLRMPGWQRPTPHRLLELPPHGAAGAGAESSAASSPSSSSSSKREAHSSLDLDL* >Brasy9G117300.1.p pacid=40064139 transcript=Brasy9G117300.1 locus=Brasy9G117300 ID=Brasy9G117300.1.v1.1 annot-version=v1.1 MVITASSIPGIKLQRNIVKITSIGLPSQQNWKRLLPNKSISIELRFCGSLLSPLLLPSSVSSRGNDTVHMAPASPPPRTVICVGDVHGFISKLESLWANLQSALPADAFATALVIFLGDYCDRGPHTRRVLDFLLALPARHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFAATWPEYIDNEAHEGWFHGPGHEDMHVQGRRWGGVIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLMKAVPEEHKRFLYDLVWIHEEENVPVDTDGGQIVCKLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNIPEDLAGKQTVIVSGHHGKLHIDGLRFIIDEGGGYEDKPIVAVVFPSKQLIRSTEGTASQD* >Brasy9G358600.1.p pacid=40064140 transcript=Brasy9G358600.1 locus=Brasy9G358600 ID=Brasy9G358600.1.v1.1 annot-version=v1.1 MGSFFSYLAGAKISPCTFRLPLTDAEVKSGALSYDAIMAIGVQGPGPLMQEELVAGYQWRLVREALEEYNYDNQDRPEFQYLIGKTAMEAKKGMQIKVACVGLREHFWYHVSFSARRKGESERRFFAELRYDPYFHQLFVETCTILDEPLCRFRSSCAFCPDDSEILHPSQMEFACGKEGHEKEFYRERDILQRPFLKRS* >Brasy9G015900.1.p pacid=40064141 transcript=Brasy9G015900.1 locus=Brasy9G015900 ID=Brasy9G015900.1.v1.1 annot-version=v1.1 MVSGQQNVKVKEEKSDTQKARKNKEEEQRNEEKGRVGCQREMMMEKEQRDMMDKEKAKKDKEEEQRKKEEKEREECQLRETEERKKEKEQSEWMDMEKEKKDKEEEPRKRKEQGKEVHGYRGRKDEQHHERNEEEETTENTFPHFFKVVMPGESTQQLKIPRAFKRYLENESSGVVSLRDASGNTWHVGLVANSMELSFVHGWERFFSDHRIHVGDFLVFRYNGQSQFSVLLFGRSGCEVRCAFLPRSCNDGVVEDGEGGMGINVDGTDPHEEDTRNMVEEKDGGDIDMNAGGIDLMREDVGYTLAEGDDKVDMNTDTDVTNPQEEDVGNMAEENDGVDMDTNVGGTNLMEEDPRYTLVGHDDKVDTGINTYVTNPQEEDASNMAEENDGGGMGTNAGDTDIMEEDVGYALAEDDDEGDMDTITDVTNLQEECVVGAKSKAPEEEGDDWPMGRDDALDTLEEKDLDGTTNYTHGTSQQQEDAKYAHGTPPKEEEEQEEEDEEEDDEDEDEEEEEEEEEEDEEEEEEEEEEEEEEEQEEEEEDNDDDDDDDVDVPVAAAAADDDDDAVAADDSDSDSDGFGVRNKRYKKIDDVLAECDRLEAKKRKVMGKRRATPLCSSYKVASSSSANVKVETNTMIRSVAPVRSRFNCTLFNKSNNTPQPGKVFAKVQRVPEVTSQRRAVTEEEVEYALEKARQFHSHRSFGFKAMKYSEVYTTYFMIIPDMLVKNSLPKKDTQMTLWDPQGATWEVSYVYQQRSGGVCSAAFAAGWGAFSVHNNLEEYDVCVFEVLDPSNIKVHVNRVVCEITPYIQHPPQLDLNSRSSC* >Brasy9G164600.1.p pacid=40064142 transcript=Brasy9G164600.1 locus=Brasy9G164600 ID=Brasy9G164600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy9G040600.1.p pacid=40064143 transcript=Brasy9G040600.1 locus=Brasy9G040600 ID=Brasy9G040600.1.v1.1 annot-version=v1.1 MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYLPAKLSSDCKDGGLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPVQPPIAPPYKTALEMKKASSHGAGAADISKSANHLSTPELQS* >Brasy9G263300.1.p pacid=40064144 transcript=Brasy9G263300.1 locus=Brasy9G263300 ID=Brasy9G263300.1.v1.1 annot-version=v1.1 MVEAASADAAAATRTLRWAGRAGYLGGVPRAAVIAAVGSVAKAYVSLLNTTTVHNADALHRLVSSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNVFMSYMFRLGKCVPITRGAGIYQDHMNEALEVLSTGGWLHSFPEGKVAQDHQPIRRLKWGTASLIVRAPVTPIVLPIVHTGFEKVMPEKSFFGRRPPLPLCGKEIHMIVGEPVDFDLPSLKRAAASMPQDTSFERKGWPTITEDELDESAQRWLYQEMSDKIRSAMEGLRKTLLNLEQP* >Brasy9G336700.1.p pacid=40064145 transcript=Brasy9G336700.1 locus=Brasy9G336700 ID=Brasy9G336700.1.v1.1 annot-version=v1.1 MARPKGKKRAAAADAEAEEAKAPAVRGRPKKSAKTEPKPPAAVARGRPKPANTEPKPPKPEAEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYLFGSTEPQLLDVNGESKIVLIPIVVVVDCPFPPSDKIGIKSVQRENEEIVPMKAMKMAWLPYVPLEDRLSRIDSLKTKIFTLGCTQRRCALKHLKTEQVRKFDYCMPYLAVRRPVPLGNYPRPKYVQRLRLLNYLDERLKEISETFEVVKFV* >Brasy9G366300.1.p pacid=40064146 transcript=Brasy9G366300.1 locus=Brasy9G366300 ID=Brasy9G366300.1.v1.1 annot-version=v1.1 MSGEEEEALGFREDDALRFIFGDSIAGMDDDAGFDRSLMELRVFRDVFSSGVNHLHPHAHMEGHFKVAETSLLSSLSAQQQPADALQAGVLDTKVEGIETDRMDARAARGVEEHAHSHGRLDGTVDPLVEHNAHCRSEQNGVDELALELDAALQGFLGYWPDGARCATGDVVGQQAFRAPVVENNVDVHMQAMVEDSVAAGLCDAVGFAASTSTSGVDDPLPSYMDALAEFSRFQSDTSLSDPFLYQWLHDQQPFPSDASCLSYDHGQIVDTSQVLYTCSGADLSERGAEEYPFYNKSAHDTAMPPRLSNDCIGSGQFVELEHHCEKGTPDPNTSSLDDVDVPQCSNLQSVPPVVGSKRTLSRDLPDQLEAHAHCLFIDAGWTIKPRKRNDRAKMASYFTAPHREVVLSSLTQAWKFCGNKLYEASVGSERGKHPKEWSDVDTFWKDLTDTMEYIQKILVNQQNALTLLQRWELLDPFIAVVFISRKITALQQCKTLRAVDSSTFVLDDNKQMSSESKSTQKASDSSTTCMVRSTPVITESDCSARAIETCNRSQALQSSHDLKGGLYGDINLKSGRTQGQNCEASDRTENRIKESTETRQVCSGAKLVNNSVKKARKKPEVIPYIDANGLDGLYAQSFMQHTMENIFNQESNVAILDFSNPDNINLSGRHSICPAVGTLKKHPRAESRSAKLSGNNQINKPNVLFPSESKQMSMLRGDTVKEPHTISEPDPNERVPDANEIVPVEMVTISEPDSNARESDANEIIPIEMVHKNLPSSKESSLGIPPKDSHNVPTDSAVPLESCHESNAALPKTDLSRESQICKMVAAKRKPEGCDKYAKKRPREFRINDDDLLIAAIVKNRDAGSYHKFAAGSSFSVAKKFKSQKRGSKLFVRTCGKGGTNLLGGKRISLARKTVLCWLIATGFLTVKEVIQYRNRKSNEVIKDGQVTWEGILCNCCAKTLSISDFKAHAGCRLRKSSLGLFLQSGKSYTLCQVEAWSAELMSRRSDAYGRKVEAVDENDDTCGFCGDGGELLCCDNCPSTYHEACLSSQELPEGSWYCHNCTCRSCGNPVSEKEVSSFSDILKCLQCGDAYHDTCIDHVMLPSDGKRSDTWFCGRYCKEIFMGLHSQVGIENVINNDLSWTILRCNTDGQRLHSAQKIALMTECNTKLAVALTLLEECFIRMVDPRTGVDMIPHVLYNKGSNFARLDYKGFYTVILEKGDEILCVASIRLHGTKAAELPFIATSVDYRRQGMCRRLLDIIEKVLRSFHVEMLVLSAIPELVNTWVSGFGFKPIEDDEKKQLRNVNLMLFPGTSLLTKRFDGIITAKPEKEKGAYNVSGLTNDKCLPNGKANEHLVLHELELPEKKLNSEVEMNGSFRTLKHECGPAAWFNSAKLTVGEV* >Brasy9G023600.1.p pacid=40064147 transcript=Brasy9G023600.1 locus=Brasy9G023600 ID=Brasy9G023600.1.v1.1 annot-version=v1.1 MIDSVKRDLDLEIDDVNWGAKYRYQHARYSCARELRDVKEENRALKDSIARLTQGWRGTLTELGILHDLSYATCCSAFDAYTEATTAVMGGVLGNTIELEEQAERALTEATDAFRWTAGGLD* >Brasy9G015000.1.p pacid=40064148 transcript=Brasy9G015000.1 locus=Brasy9G015000 ID=Brasy9G015000.1.v1.1 annot-version=v1.1 MSEQPLPQPRSSMREALEKEDKEKAASAAAAKEKAAKDKAPPPVAAPPMAKNGGKNGGGGNGGGGAQPPVAEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSFFITHLLLPVLFPAIVTQVAFPASDFTPEAKYVVKGATCSVHEMSMYQRLTRHSIAIADSNLSPLGWSGLSWAIGILIAAPILTQVAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIASAIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLTYSMTIARYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIIALFVSGAGFYFKNHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPPGKEGAFAIWYAYVRCIGAMIGFAAASAGPGRAGGSFAAAFLGCFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGEKGEGMSAVADSGEGRGRV* >Brasy9G295500.1.p pacid=40064149 transcript=Brasy9G295500.1 locus=Brasy9G295500 ID=Brasy9G295500.1.v1.1 annot-version=v1.1 MSLPIAVVAGIAAGAAALLVALVVAIALWCRARLRARRNRTSETGSSDPSTLVEWGKGGRSSSAPEHQGARHFPLEELAQATNNFSEANLVGAGSFGLVYKGLLLDGSVVAIKRRMGAPRHEFAEEVRRLSEISHRNIVTLIGYCQEGGLQMLVYEYSPNGNVCSHLYDTGKGSMTRLEFKQRLAIAIGAAKGLNHLHSLVHPLIHKNFKTSNVLVDENFIAKVADYGLVGLLRGYEDVGPSQGFISSVYQDPEAHSVAQFSESSDVYSFGVFLLELITGREAARLAPPESREPLAHWLEAHFSSNELIDPRLGGGFTSEGMKEFVGLAFQCLNPSCRRRPKMRLVAAELDRILETEMSLTTIMGDGTAIITLGSQLFTS* >Brasy9G289100.1.p pacid=40064150 transcript=Brasy9G289100.1 locus=Brasy9G289100 ID=Brasy9G289100.1.v1.1 annot-version=v1.1 MEDDSNALILPCKRKNKAHGKGKDGKKNKSKEDPKMSKTQLKKLQKLEEEKQKKLLQAQSIEVLRKHRIADDAYALLQTSGSIGQAETMKEKRRRAVQFSKAGLDVPEELSLFKKNCRQKEVPENSEAALEACPMKFADAEKIDHPGSELKNHENGPVKPMKHQPMMRAGVSIPERKTEETDDDEILAHQTIQSSVPSCSDAKIDLQVKEPEQGEAAVQEFFNTPLVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENPVVILCGETGCGKTTQVPQFLYEAGFGTSNRADRKGIIGITQPRRVAVLATSKRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIVKIRKTMYAEQQEKLRSGLKINPENVICQLKVVLMSATLQLKDFISNRRLFDVIPPAVEVPVRQFPVTIHFAKRTREDYLGQAYKKVMSIHKTLPQGGILVFVTGRREVDDLCKKLQRASKRLTDRKPERVGDKNDSRPEVEDKEIFEAYDIDRNEPEHQDDMFFSYGEDASSAGLNVDSSDGETESEMDTDSDDEDSAAHETTEEDGPVLSFLKGAESSSVLKASFKAISGMSGEPASVDEPSNAAIAEKSSPSVPSFSKCTEPASVSRARLHVLPLYAMLPASQQLRVFRDIPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKNYDHATGMASYEVQWISKASASQRAGRAGRTGPGHCYRLYSGAAYGKDDLFPEFSEPEIKKMPVEGIVLMLKFMSIDKVANFPFPTPPNKESLVEAERCLNTLEALDSQGRLTSMGKAMAQYPMSPRHSRLLLTIIKILKSRQGCARSNFILGYAIAAASALSFTNPLLIQGDASGESKEDYPEPEHKDRDERKLQKKLRAVVRKERERFSISSSDALTISHALRLFESSENPVAFCRDHSLHLKTMEEMSKLRKQLLRLIVNHSKVCEEFAWNFGGSEDVEEAWRTESDKKPMLNEEELLGQGICAGWADRVAKKIQTFAGLSKEDRKVRATRYQSCALNDTIYLHRSSSVAQIPPEFVVYSELLNTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQNDQVYCYVSAIFSRHNWQLPLHSLPIKDATSRLQVFAWALLKGDVLPCLRVVQKILALSPSAVLGPPSQRRVGDLLSSLKIGRKLIDSRAALREAWKIDPDFLYPEIQAWIQEKYQSQFGAIWEQMHQEVLLEGRELFPQRFKKVEG* >Brasy9G334600.1.p pacid=40064151 transcript=Brasy9G334600.1 locus=Brasy9G334600 ID=Brasy9G334600.1.v1.1 annot-version=v1.1 MGAGQSVPADAAAAAAATTASVSQIEKVTSVHEFTIREYSRTRGMGVGKSVLSQCFDVDGRRWYVRFYPDGYCTADAAWVAFYAQTLYKPQLRAVRAEFSFALLNAAGDPAYTRRSDRACKYDTLCNSWGIRRFIARTELEGAALGAVHGDDSITVRCTVTVHKDRRRSLKSRRLFNHHGLPAVPEAPPSCHAANSLRFLATGKAPFDVRFDLGGEVFEAHRMVVAAQSPWFESLLYGHGSESRSNTVEITADTDDAITPAAFAGVLYYIYHDELPDEAAAGDKASAWRRKKAFEFTMDLFAAADYCLIERMKLMCAGRLCEFVADDNVEMLVQLAELHSCEELEQACRNYADFKGISLVPMSQIPATTAPTTGPDAVDPDAVPATG* >Brasy9G336100.1.p pacid=40064152 transcript=Brasy9G336100.1 locus=Brasy9G336100 ID=Brasy9G336100.1.v1.1 annot-version=v1.1 MSKRTVLKVDTSCAKCKRKVLLAVSGLQGVDKIEIDSEKGTMTVTGAVDPVDVVVATRRKAGKRADVVTIGPPPAPAPSNSKPGEKKQPDQKQHLAAAAEKRAPEPPVTVYVHHVPGPPPPLAWPVGHHVPPPAYEQCGVVYQQDPCSIM* >Brasy9G366100.1.p pacid=40064153 transcript=Brasy9G366100.1 locus=Brasy9G366100 ID=Brasy9G366100.1.v1.1 annot-version=v1.1 MLLTSPFLAPLHLSPNAATMGAPVSSVRISAAKQLTGRVVTTKANKTVGVEVVRLAPHPKYHRRERIKKKYQAHDPDNQFKVGDVVELLRSRPISKTKHFLAVPVPPRDTRRKAQLLPPLQSDGAGEDDDAQ* >Brasy9G046200.1.p pacid=40064154 transcript=Brasy9G046200.1 locus=Brasy9G046200 ID=Brasy9G046200.1.v1.1 annot-version=v1.1 MRKIMMECNREEAFRAREIALKQMENEDFIGAQKIALQAQMLFPELEDISKLLNICNVHCAAEARVNGETDWYAILQVEPTADPDDIKKQYRRLAKSVHPDKNCFSGAEAAFKLVSEAYTVLFDQTKRSHYDIRRQNALRKVRKQATQQQKSDTSKSDVPGYVASFWTICPHCVTRYQYPNCVLNTVMCCLSCRKNYFAYNLKEQYVPTSSSVPSSFQVPAKMFPSQQGRPVKLSSVRGTTYVKPRMNVAHCDEYMKGYSRSSMDEKANQSQSGTRGGNQFSAMNQDKSSVPTVNEHMGERSIPNPADPDIIGIQKSGTEEASAAPNAKKVPGPVKLSKTGVNTYTKVKINVARCDIKGNGSTGGDKEANQSNITSRKVEIPTMNQAKSSAQTTNINTDGRWMPDPADPNVDRKNLWKEDISTVSDGAGSSSLRRSARRKQDAAGSSNLDSNSKKKQRINDLQSTDLNCKKMFDDNVSNADRQSVPSHVSSKIDIQEKAKTRDMGDQDNINAEATDTVGQNEQSYSVKLSLPDTDFFEFEKLRDVNMFAVGQIWAIYDNLDGMPRYYARIKRFDASNFKVHLTWLEYVEANEAEKKWTDEELPVACGSFRLGTADLSQDRPMFSHIVSGAKCKKRNYEIHPSKGEVWALYKGWSLQWGSDADNHRSYEYEVVEVLSNVSVSAGISVVPLVRIKGFVSLFATAKDKSEIVIASSELLRFSHSIPFYRTNGNEKTGVPGGFLELDTACLPVDLDAAFPSVTLDSFMSPCNKDDIAFTDFCDDGAIGKDEDISSEQNTSLPKTAHGCNGLGASSTQNCPSPRIYTYPDSEFHNFEEFRSCKNFQCGQIWALYCDLDYFPKFYGWISKVELEPFEVHLTWLEACPQLKQEKQWLKQDIPLSSGNFKIRNWEIKYETNDTFSHLVYAGPIESNQQIQILPQVGEIWAIYMNWTPDWTPSSIDACEFAMGEIIERTEASTKVSLLAQVDGYRAVFKLDKRKVALEIPSRDNQKFSHRVPSFRLTEESGGKLHGFYELDPASVPDVFLYRDAP* >Brasy9G163700.1.p pacid=40064155 transcript=Brasy9G163700.1 locus=Brasy9G163700 ID=Brasy9G163700.1.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G163700.2.p pacid=40064156 transcript=Brasy9G163700.2 locus=Brasy9G163700 ID=Brasy9G163700.2.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G163700.3.p pacid=40064157 transcript=Brasy9G163700.3 locus=Brasy9G163700 ID=Brasy9G163700.3.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G163700.6.p pacid=40064158 transcript=Brasy9G163700.6 locus=Brasy9G163700 ID=Brasy9G163700.6.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G163700.5.p pacid=40064159 transcript=Brasy9G163700.5 locus=Brasy9G163700 ID=Brasy9G163700.5.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G163700.4.p pacid=40064160 transcript=Brasy9G163700.4 locus=Brasy9G163700 ID=Brasy9G163700.4.v1.1 annot-version=v1.1 MMDDSFVNMPNQPLMNDPFVLMGQSAPSYTMKNPSKSTLRMGSAIANWSHGDENSQSMSNNITARDDGCRLVLGLGPTPDFYSTHCEPTGVYKSKECQTLSDQSFSFTDPGMLRLGLQLDGAETIQHLQAPNGRVHSFAIVDEASTSAAVRSMGGYMPSLLFAPRSTSSTANEAQLQTKGSLDLTQCTDNSTKHTQQHLQLSPEPSATTETSFGVSSDVVTAATTSEQRSHTRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTDFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLRARQQEHGVVNNGGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGISTASDSDGTVRSQSMIPPQLLVPRSMMPSSSSEPTVRGGREGGCVVPEGRVHGGDLMSLLGGSFRNANLDNL* >Brasy9G235900.1.p pacid=40064161 transcript=Brasy9G235900.1 locus=Brasy9G235900 ID=Brasy9G235900.1.v1.1 annot-version=v1.1 MDFAGEMDDFALEIIREHLLGGDGSTTTAVPATAAAKNHEFPDGVTFPVLQPPSSAAPEPAYLQPAMSFFPQEEQLQGRPYAYTDITQEYVNSCPAGGDMGEVITFRAPEPVMIQFGGEPSPVATTARAPPSSLTISLPPSSSSFGWPRAQAAAAGAAAPAAADQDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTSVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPPPPAPKSQAATGTTNKRKRSQDQEECYYPDVEAASKAVKTEAAPSPSADTPSSLSREMSTGTACSTVTSAATSEGGFPPLTPSSSGWEQYWEALLGGMPLLSPLSPHPALGFPQLTVS* >Brasy9G311700.1.p pacid=40064162 transcript=Brasy9G311700.1 locus=Brasy9G311700 ID=Brasy9G311700.1.v1.1 annot-version=v1.1 MEGWLSLCLISVLATLLALWFLKLSGYNKSKPKKRLPPGPWTLPIIGSLHHLIGALPHRTLTALSRRHGPLMLLRLGEVQTVVVSSAEAVALVMKTNDLTFSSRPSIPTMDILTCGGKGFAFAPYGDHWRQMRKVCIVELLSSKQVKRMEGIRAELVGSLIRRIAGTAAASATGGTGTMINLSEKVTRLSNDAVSRAVLGGRFAQQGEYIRTLYDALALLGGFHLIDFFPSWRLVRWLSNEERNMKRRYGRVQHIIADIVEARREATKAAAGGGASCGGSTNDDDMLDVLLRLQQEDTLSFPLTTEIIGAVLFDILGAATETTGILLVWAMSELARHPEAMAKAQLEVRKVLGEDHRAVITNSDLAELHYMRMVIKEVLRLHPPNPIILRMNREDCKIMDYDIPKGTSVYVNIFAVSRDPKHWENPEQFRPERFENSKMDYTGTYSEFTPFGTGRRQCPGIQFSSSLAELALANFLYHFDWTLPDGATPASFDMTEKFTFTVSRRYDLHLRAIPHVCLKSMPSK* >Brasy9G092300.1.p pacid=40064163 transcript=Brasy9G092300.1 locus=Brasy9G092300 ID=Brasy9G092300.1.v1.1 annot-version=v1.1 MAMDSDLLQRCLEAGGRDFLLHHPSSPPSPTAAAAASSSSILQSLPLHVSFDRGYYLLVKAIQELRERKDGLPVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMENYRTGAGADEGSDIDTIDFDALACNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISSFGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQRDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKYELQDGQKIYSFDKSKAETDNFIEMYLRPPFASEEMKIDDWIKVTQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCRTVNRDQLLIAAESIDTLNESFLVLKGPSRKIVAAEASNLGIKGPWITKSYLEMILESKGVPRLNTPPPVSSKLLTESQEKKIDVPKPIRVTTDRAANLDDFVQPWTRSPPKKFDQEPALGKWQFIQDSPSRSNIQLAALPDSYDLDRGLLLSVQAIQAVLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIALLTKNIKEIRNSHKAKVPCFDFEKFSRNGFKEFQVSEECGVVIFEGVYTLHPAIRKSLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQCEIMTTVFPLFQQYIEPHLVDAHLKIQNDFDPVLSPESSPFVLKSTKQVSYQDILKVLDASKVCSSVQNFTDVYLRLPGIPSNGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYQAVAYIEASAVIYQDGKILIEVDHLQGVTNPYLQIKGTNKDIVSSAASALKLEGSYTTKSYLQIILESLPTDDNFPAGVHNQQAARLQELVEFIQSQGGSFNSDLSSPIRENSSTDGVLDDLQSRIKKLERWNTINMVLWTILLSALVGYSLYQKRRH* >Brasy9G133100.1.p pacid=40064164 transcript=Brasy9G133100.1 locus=Brasy9G133100 ID=Brasy9G133100.1.v1.1 annot-version=v1.1 MDRGQQGVSLQRFGQPRMQYQHGGGGGGNRSRMPPFARGGGGGAYSRGQKQFYPPPPPPPPPPIPAGPPRQNRYEVLMEAGRLAAEYLVAKGVLPAASLQRGGGGVGTVGWGQMPPPPPLPQAQEAPGFYDSRRNGRQRLNDEQSSPNPRSRRNHGGDYSNSNNNDYNGRGKRKFGAYNRNSDWGRDRERNRGYSDSRNYDDGEEEVGAPGYRRDRRGGGVIDEVGSSVSGVAGEGPASKVEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSTNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESFIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G133100.2.p pacid=40064165 transcript=Brasy9G133100.2 locus=Brasy9G133100 ID=Brasy9G133100.2.v1.1 annot-version=v1.1 MDRGQQGVSLQRFGQPRMQYQHGGGGGGNRSRMPPFARGGGGGAYSRGQKQFYPPPPPPPPPPIPAGPPRQNRYEVLMEAGRLAAEYLVAKGVLPAASLQRGGGGVGTVGWGQMPPPPPLPQAQEAPGFYDSRRNGRQRLNDEQSSPNPRSRRNHGGDYSNSNNNDYNGRGKRKFGAYNRNSDWGRDRERNRGYSDSRNYDDGEEEVGAPGYRRDRRGGGVIDEVGSSVSGVAGEGPASKVEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSTNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESFIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTNEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G133100.3.p pacid=40064166 transcript=Brasy9G133100.3 locus=Brasy9G133100 ID=Brasy9G133100.3.v1.1 annot-version=v1.1 MDRGQQGVSLQRFGQPRMQYQHGGGGGGNRSRMPPFARGGGGGAYSRGQKQFYPPPPPPPPPPIPAGPPRQNRYEVLMEAGRLAAEYLVAKGVLPAASLQRGGGGVGTVGWGQMPPPPPLPQAQEAPGFYDSRRNGRQRLNDEQSSPNPRSRRNHGGDYSNSNNNDYNGRGKRKFGAYNRNSDWGRDRERNRGYSDSRNYDDGEEEVGAPGYRRDRRGGGVIDEVGSSVSGVAGEGPASKVEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPVMAIDEQVNDSTLAVQGDSTNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGVQIYNIDTPPEDESFIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSSLSWMIQYMVL* >Brasy9G209800.1.p pacid=40064167 transcript=Brasy9G209800.1 locus=Brasy9G209800 ID=Brasy9G209800.1.v1.1 annot-version=v1.1 MGSTNKILNPLLLQLNKMRLEVTCPVCVKLMSEPMMLPCCHAACRTCATTQTMNENGYSCAMCTFAYRSEDLKPALHLEGIVNILRSLDSTVTNVGLMITHQGSQADISAANTRSQGTPEPVNVNHHNSVGSKVVCNESTGHDKAKVDSVGNKANDQIVEPMVLVQKGPCGSQSSDGPTDLDCDSNHLEGELITARSPQSVLKRVPNVTHDHTRKLKRQKCTEQSENFDTEQPTMSGAWKCVLCHSSETSECTGPLLHYLLGELVGDDQASKSNVLHVHGECIVWAPRAYITDGMVKNLEAELNRASTMICNVCGLKGAAVGCFVDSCRKSFHLPCAHRISGCRWDKGNFVMLCASHSLKRLPCEISKSENKKIQVQRPPSKSKNKKIQVQRSPSKSKNKKIQVQRSPSETMLVENSPPIENNGLLEASRFPTSDWMICGSGLSRHGKEFLDQFGRQTGITVTNDWSPNVTHVVANTNEDGASSRTLKILMAILTGKWVVNVNWLKACLKAREPVSEEPYEIRSDIYGSFDGPRKGRLRAVHEEPSLFSGLTFYFGRNFDLTYKAQLADLIATAGGLILGKANLSCTSLILYNTDPPQIKDQDKINLAIKKRKDEAEKLAATIGCRAVPHGWLLDAIASCTVQFPISQCT* >Brasy9G209800.2.p pacid=40064168 transcript=Brasy9G209800.2 locus=Brasy9G209800 ID=Brasy9G209800.2.v1.1 annot-version=v1.1 MGSTNKILNPLLLQLNKMRLEVTCPVCVKLMSEPMMLPCCHAACRTCATTQTMNENGYSCAMCTFAYRSEDLKPALHLEGIVNILRSLDSTVTNVGLMITHQGSQADISAANTRSQGTPEPVNVNHHNSVGSKVVCNESTGHDKAKVDSVGNKANDQIVEPMVLVQKGPCGSQSSDGPTDLDCDSNHLEGELITARSPQSVLKRVPNVTHDHTRKLKRQKCTEQSENFDTEQPTMSGAWKCVLCHSSETSECTGPLLHYLLGELVGDDQASKSNVLHVHGECIVWAPRAYITDGMVKNLEAELNRASTMICNVCGLKGAAVGCFVDSCRKSFHLPCAHRISGCRWDKGNFVMLCASHSLKRLPCEISKSENKKIQVQRPPSKSKNKKIQVQRSPSETMLVENSPPIENNGLLEASRFPTSDWMICGSGLSRHGKEFLDQFGRQTGITVTNDWSPNVTHVVANTNEDGASSRTLKILMAILTGKWVVNVNWLKACLKAREPVSEEPYEIRSDIYGSFDGPRKGRLRAVHEEPSLFSGLTFYFGRNFDLTYKAQLADLIATAGGLILGKANLSCTSLILYNTDPPQIKDQDKINLAIKKRKDEAEKLAATIGCRAVPHGWLLDAIASCTVQFPISQCT* >Brasy9G083700.1.p pacid=40064169 transcript=Brasy9G083700.1 locus=Brasy9G083700 ID=Brasy9G083700.1.v1.1 annot-version=v1.1 MDSTNTTAQRSGGGGGHVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVVTRYVLSTTATTDGCPFPVAAISDGFDAGGIASCPDTAEYLRRMESVGSETLSRLISDEARAGRPVRVLVYDSHLPWARRAAQRAGVAAAAFLTQLCAVDVIYGEAWAGRVALPLTDGSALLGLLSVELGPDDVPPFVAAPEWHPAFTESALGQFDGLEEADDVLVNSFRDLEPKEADYMELAWRAKTVGPTLPSFYLDDNRLPLNKTYGFNLFSSIALCMEWLDNQAPCSVVLASYGTVANLSSTQLEELGYGLCNSGQPFLWVLRSDEAEKLPQELRDKCNMKGLVVPFCPQLEVLAHKATGCFLTHCGWNSTIEAIVTGVPMVAIPQWADQPTTAKYVESVWGIGLRARRNEKCLVTREEVERCVKEVIGGEKKYTRNAAKWMHKAKEAMQEGGSSDKNIADFVAKYSPDQRF* >Brasy9G084000.1.p pacid=40064170 transcript=Brasy9G084000.1 locus=Brasy9G084000 ID=Brasy9G084000.1.v1.1 annot-version=v1.1 MDSTGTGSSVAHEGGEGASVFFFPVPGAQGHANPMLQFGRRLAYQYGFRPTLVVTRYTLSTTPPPDAPFRVAAISDGFDAGDKPSGPDMTEYLRRLEAVGSDALARLISDEARAGRPVKVLVYDPHVAWARRVARDAGVPAAAFFSQPCAVNIFYGEVHAGRMAMPVTESDAGTLVARGALGVELRPEDLPPFVALPEWHPVFTKTSIRQFEGLEDVDDVLVNSFSDIEPTEVEYMELAWRAKTIGPSLPSFYLDDDCPLSNKSYGFDLFSGDNAVCMEWLEKQTISSVVFASYGTFSKYDESQLEELGNGLYNSGKPFLWVVRSDEAHKLSQELKTKCEKKGLIVLWCSQLEVLAHKATGCFLTHCGWNSTLEAIVNGVPLVGIPHWGDQPTIAKYVESAWDMGVQVQKGLNGQVRREEVERCIKEVMDGERKDEYKRNAAKWMQKAKEVMHTGGSSDKHIAEFAAKYSSS* >Brasy9G084000.2.p pacid=40064171 transcript=Brasy9G084000.2 locus=Brasy9G084000 ID=Brasy9G084000.2.v1.1 annot-version=v1.1 MDSTGTGSSVAHEGGEGASVFFFPVPGAQGHANPMLQFGRRLAYQYGFRPTLVVTRYTLSTTPPPDAPFRVAAISDGFDAGDKPSGPDMTEYLRRLEAVGSDALARLISDEARAGRPVKVLVYDPHVAWARRVARDAGVPAAAFFSQPCAVNIFYGEVHAGRMAMPVTESDAGTLVARGALGVELRPEDLPPFVALPEWHPVFTKTSIRQFEGLEDVDDVLVNSFSDIEPTLEELGNGLYNSGKPFLWVVRSDEAHKLSQELKTKCEKKGLIVLWCSQLEVLAHKATGCFLTHCGWNSTLEAIVNGVPLVGIPHWGDQPTIAKYVESAWDMGVQVQKGLNGQVRREEVERCIKEVMDGERKDEYKRNAAKWMQKAKEVMHTGGSSDKHIAEFAAKYSSS* >Brasy9G162200.1.p pacid=40064172 transcript=Brasy9G162200.1 locus=Brasy9G162200 ID=Brasy9G162200.1.v1.1 annot-version=v1.1 MHGRRHLAASLARSLAQPPSRPISSTPSLLQTLDPSTQSPPLAAPAVGRLAEMRRRLQADVPSLGDFAYSVEVGTRKKPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKTLKPDMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQSSVRDHRANFKQSMDVLKMAKKYAPPGTLTKTSVMLGCGETPDQVVSTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFENYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEDDRAKSGAVADSSS* >Brasy9G157200.1.p pacid=40064173 transcript=Brasy9G157200.1 locus=Brasy9G157200 ID=Brasy9G157200.1.v1.1 annot-version=v1.1 MAPLLSSPQAPLLLYFLLLSPLLLFLLHRYYSRSCRRKQAPDPDVDHYGRRRLPPSPPGLPLIGHLHLVGSSPHVSLARLAAKHGSPNGLLLLRLGQVRNLVVSTPRAAEAVLRTHDHVFASRPPNALADALLDGGADVAFAPYGDYWRQARRLVTTHLLTAAKVHSPSLRRGREEQTRLAMDKIRSASAAVDMTALLGAYTNDVVCRAVSGNGSFREGRRNEMFREVIEGNAATFGGFNLQDYFPSLGKLDLLAWMVFAKTNRLRRRWDQLLDKIIDDHETKSSLLQQQQYGLAVEEDQERDFVDVLLGLRQEYSLTRQDIKAILMDMFVAGTDTSYIVLEFAMAELMRKPHLMAKLQSEVRDKTPPKNDDQQMVTEDDLGAMAYLQAVVKETLRLHPPFPLLLPHLSMAECIIDGYTIPAETRVIINAWALGRDAASWKDAGEFVPERFMVDGGGTPVPEFKGRDFQFLPFGAGRRICPGINFGMATVEIMLANLMYCFDWELPGGMRPEDVDMTDLFGLSMRRKEKLLLVPVSRHVSRA* >Brasy9G035700.1.p pacid=40064174 transcript=Brasy9G035700.1 locus=Brasy9G035700 ID=Brasy9G035700.1.v1.1 annot-version=v1.1 MEHQSPCSEFSVITSTLERGDNVTRISAMNRTVVLLTMARNTLKAIRASIINARMMDPLAHHNALKAINNCWEDEAFGYILRDPVDLRSLTVQAQQGALKILCACLPRLPGNVNDMRCVVAFVSLLTSVHTDVVCGCADALLSLSPFVPGFACAITKAYCNCLSKTPPLQIDNVITVLDRLRQLSSAIKADSDVDNVAICVLRALVIRDHVLQQKILDLAVDILNPRNIENIVQLVLNEMDPTVTTVEYQIDACYIKYLMG* >Brasy9G340900.1.p pacid=40064175 transcript=Brasy9G340900.1 locus=Brasy9G340900 ID=Brasy9G340900.1.v1.1 annot-version=v1.1 MASPAEKESLRRDRISDLTNDVLGHVLSFLPNKEAGRAAALARRWRYIFGSVHDVSFAEEQGDRDNDWYTYYAKAEERKSCSDELLDGISAALLCRRRCAGLLPVPPLRSLRFAFDSYHWFDKVAVDQWLAHALSRHSRPHGGSSLSELHLDLCFCLGVCCSREVVDGKEAADSGSDDDDMRLEEAIWTYVLPRRLFSCTALRTLCVTGCRLKLPAIIDMPFLETLHLAAIADSRRSIQRLISSCPRLADLTLEALGKLRTVTSGDKRLRRFALLCCHNIKSIDIDASELNYRGAVPLESLLPLHGSRSLIPSWTIDLCVLPEAAGFAGFARFLEKISHAKHLQLGKSVCSDRRFFAASAFPLFSGLTCLTLEWRIRSCETVGTVRMILEQTPNLEVLSLLMLDGDVVPDEIMVHPEESSFSIPCLRRRVRDISIKGYKGSKPEKMLAKLLLCNALVLERLHVVFVEGFRRSRLEIQMTGWAAADSEKTFI* >Brasy9G268600.1.p pacid=40064176 transcript=Brasy9G268600.1 locus=Brasy9G268600 ID=Brasy9G268600.1.v1.1 annot-version=v1.1 MAPPVITSSNTAEMKFLPTGEPTVRATFVRDEDERPKVAHDAFSDEVPVVSLDGIDGERRAEIRAQVAAACEGWGVFQVVDHGVGGGLVAEMERMSREFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREMVTYFTYPLRDRDYARWPDNPSRWRAVVEEYGEQLMGLACKLLGVLSESMGLETDALAQACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDNVGGLQVTRDDGRTWITVPPVPGAFVVNLGDHGHYLSNGRFRNADHQAVVNGESSRLSIATFQNPAPEAEVWPLAVREGEELILEEPMTFAEMYRRKMARDLELAKLKKEAKEAAPEIAAKSIDEILA* >Brasy9G027100.1.p pacid=40064177 transcript=Brasy9G027100.1 locus=Brasy9G027100 ID=Brasy9G027100.1.v1.1 annot-version=v1.1 MLLPLPLLPATPSPMHLQQHFFFLLILLNLFCFFITTASSASSPNSEVAFLSSWLSASPARPPDWSPSSPSPCSWSHITCSPAGAVTGVAFQSVHLAVPLPAGLCAALPWLSSLVVSDSNLTGGVPEDLAQCRRLATLDLSANSLSGEIPASLANASALESLILNSNQLTGPIPPALGVIESLRELFLFDNRLSGELPPSLGKLRLLESLRLGGNHELSGEIPDSLSALSNLAVLGLADTKISDPPSFGKLGSLATLSIYTTSLSGPIPPELGGCGNLTDVYLYENSLSGPIPPELGKLGKLQKLLLWQNSLTGPIPNTFGALSSLVSLDLSINSISGAIPPELGRLPALQDLMLSDNNLTGAIPAALANATALVQLQLDTNEISGLIPPELGRNLVNLQVLFAWQNRLEGKIPPELAAMASLQALDLSHNRLTGAIPPGLFLLKNLTKLLILSNDLSGVIPPEIGKAERLVRLRLAGNRIAGSIPRAVAGMKSVVFLDLGSNNLGGSIPNEISMCSQLQMLDLSNNTLTGSLPESLAGVRGLQELDVSHNKLTGALPDSFGKLESLSRLVLAGNALSGPIPPALGKCGALELLDLSDNGFSGGIPDELCNLDGLDIALNLSRNSLTGPIPGKISQLAKLSVLDVSYNALDGGLMPLARLENLVTLNVSHNNFTGYLPDTKLFRQLSPGSLLAGNAGLCTTGGDVCFRRNAGDGEDDEGTQARVHRLKLAIALLVTATVAMVVGMIGILRARQMKMAGKGGGHGSGSESEGGRGWPWQFTPFQKVSFSVEQVVRSLVDANVIGKGVHGVVYRVCLDSGETIAVKKLWPATTAAADIIGKDAGRDSFSAEVRTLGTIRHKNIVRFLGCCWNRSTRLLMYDYMPNGSLGAVLHERGSNGGGGGAQLEWDVRYRIVLGSAQGLAYLHHDCSPPIVHRDIKANNILIGLDFEPYIADFGLAKLVDDDANFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRHKGSATVLDPALRGRSDTEVEEMLQVMGVALLCVSPTPDDRPTMKDVAALLKEIRLEREEYAKVDVLLLKGGGGGLPTDAPTTTPATTSTSSTPPCRQGPGSSSNSSSFSAIYSSSKAKSPFG* >Brasy9G033700.1.p pacid=40064178 transcript=Brasy9G033700.1 locus=Brasy9G033700 ID=Brasy9G033700.1.v1.1 annot-version=v1.1 MFSSQGGGRVNKTRSQSTSPKAIKLREQIHVQKSSPTILGRLLELQVTNQHISCSAHRRRRRTCPRTERRRRRPRDGCTAHALGSRAPACGVRSGVCDRRRSTSARARGVVGAGVRVQEAAAARARSGGDERASGGGQGARAAHSAALTPTAVAASARSAAAPLAPSAAAAHEPEAAHAQGGGFRARTVCARPTSTAPAVDGRVQRCVRSVRGGALQRLAHGGGSHVAAPKRQLAHGGAWLRARGAQQWLGAARGLKPAVAGGEARWCDTVSPW* >Brasy9G184900.1.p pacid=40064179 transcript=Brasy9G184900.1 locus=Brasy9G184900 ID=Brasy9G184900.1.v1.1 annot-version=v1.1 MRRIMAHRGHLDGRSAQAQGLMRHGAFSAAILSGRQHLEPSPATTLEILEDKLAVLTAEAEKLIRENQRLSSSHQVLRQDIIDTEKEMQMIRTHLGDVQLETDMQIRDLVERIRLMEADIQAGDAVKKELHQVHMEAKRLITERQMLTSEVEIVTKELQKLSGNNTNLAELLAELDGLRKEHHSLRSAFEYEKNTNVKQVEQMRTMEMNLITMTKEADKLRFDVANAENRAHAAQVTAPQPGTAQAAGASAATNPYASAYTNQAAAYQQGNPQAVAYQQQQGTPQAAAYQQGTPQAAAYQQGTPQAAAYQQGTLQGGAYQQGTPAGTYAYPTAFDTAKAYQLHAAQASAYAGYSGYPVAGYAQPSYPGTYAAPQHPVTSGTATDVTSTYGSVGSAGYPAGVVQPSTGAANTGQAPPAPYLATYDPTRGAQR* >Brasy9G184900.2.p pacid=40064180 transcript=Brasy9G184900.2 locus=Brasy9G184900 ID=Brasy9G184900.2.v1.1 annot-version=v1.1 MAHRGHLDGRSAQAQGLMRHGAFSAAILSGRQHLEPSPATTLEILEDKLAVLTAEAEKLIRENQRLSSSHQVLRQDIIDTEKEMQMIRTHLGDVQLETDMQIRDLVERIRLMEADIQAGDAVKKELHQVHMEAKRLITERQMLTSEVEIVTKELQKLSGNNTNLAELLAELDGLRKEHHSLRSAFEYEKNTNVKQVEQMRTMEMNLITMTKEADKLRFDVANAENRAHAAQVTAPQPGTAQAAGASAATNPYASAYTNQAAAYQQGNPQAVAYQQQQGTPQAAAYQQGTPQAAAYQQGTPQAAAYQQGTLQGGAYQQGTPAGTYAYPTAFDTAKAYQLHAAQASAYAGYSGYPVAGYAQPSYPGTYAAPQHPVTSGTATDVTSTYGSVGSAGYPAGVVQPSTGAANTGQAPPAPYLATYDPTRGAQR* >Brasy9G263200.1.p pacid=40064181 transcript=Brasy9G263200.1 locus=Brasy9G263200 ID=Brasy9G263200.1.v1.1 annot-version=v1.1 MSDSSKTVPPEQLPSEDLHPPPMPVINLGHLLEPTTRSCVVEDIGKACRDLGYFQVINHGISQSVMDGAVEAASDFFKLPSEAKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPENPAIYREHMGKFAVEIMRVALQLMEAILEGLGLGKDYQHEKFEEGLQLLSVNCYPKESETDTAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRALLNPEEERISIASINGFALHEKVSSAKELVNEQNPKRYKESSFNDFLDHLTRNMDKKHMNFLESLRI* >Brasy9G324400.1.p pacid=40064182 transcript=Brasy9G324400.1 locus=Brasy9G324400 ID=Brasy9G324400.1.v1.1 annot-version=v1.1 MVRPAGLLDPTRRRPFLDQRRPSSFKRRWQQRPLWARLALSLVLALACVLLLLGLAGSPDPSSPLSTVSSRSAATVSSPLLRQRSYLEGITDAHNMTDEMLSAHSFSRQLMDQISLAKTYIVAAKEASNLQFVAELSALVRREQSILAQAAAHGGMVVKEDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIHSLEEKSKAEADKSTKYGQIAAEELPKGLYCLGIRLTMEWFKSTELQRKFSDRSPAVQSNLRDNSLYHYCVFSDNIIAVSVVVNSTTLNSKHPEKIVFHLVTDEVNYAPMNAWFAMNDYRGAIVEIQKVEDFTWLNASYVPVLKQLQDAATQNFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSDLFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSRPLLQQCFMR* >Brasy9G324400.2.p pacid=40064183 transcript=Brasy9G324400.2 locus=Brasy9G324400 ID=Brasy9G324400.2.v1.1 annot-version=v1.1 MVRPAGLLDPTRRRPFLDQRRPSSFKRRWQQRPLWARLALSLVLALACVLLLLGLAGSPDPSSPLSTVSSRSAATVSSPLLRQRSYLEGITDAHNMTDEMLSAHSFSRQLMDQISLAKTYIVAAKEASNLQFVAELSALVRREQSILAQAAAHGGMVVKEDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIHSLEEKSKAEADKSTKYGQIAAEELPKGLYCLGIRLTMEWFKSTELQRKFSDRSPAVQSNLRDNSLYHYCVFSDNIIAVSVVVNSTTLNSKHPEKIVFHLVTDEVNYAPMNAWFAMNDYRGAIVEIQKVEDFTWLNASYVPVLKQLQDAATQNFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSDLFTINLNGNVMGAVETCMETFHRSAMLIIPYGSLGLYHLGFLPFMAWLKHWTQNGMSWGWGTQLWILLPLRKVLYCITMEI* >Brasy9G110100.1.p pacid=40064184 transcript=Brasy9G110100.1 locus=Brasy9G110100 ID=Brasy9G110100.1.v1.1 annot-version=v1.1 MSRRWQICNIRSQIRNTNLFGGVHNGIDLEVPRVHGEASRTEEGRGQGPGSGCVGGGGVEARPCRLRDEIVSG* >Brasy9G306300.1.p pacid=40064185 transcript=Brasy9G306300.1 locus=Brasy9G306300 ID=Brasy9G306300.1.v1.1 annot-version=v1.1 MLLKAMEQATSISSCSRNPERAPDGTGMEQVLSDLQALKGLYGLLHRGQADEKLDDASRALLMRMLDNATQQALLRQAKMLSGPLMSPALERKLSIQPGDRRPSGADAGPRLMPVPSPSLSIRASERSRLLMPQSSVRSRYGHMLPQSSMRSRDGGHMLPQSSLRSRDSRRVYDDHRGSARYDHHLLGRVASNRSSRIPAMPRQRLAPLADLSDRDRRPRVGSGRGDRSSSLERSSSRHQESSVSRSRAPSALGMARRHGSSTRRFRKLEDSGLLSVGVMSRRGSVRGTRRPSSSSSEEDDGAAVTTRSRVRPNDERTERRGLLRDEESEEEPSLPRRRRKEGSVSLGRSSRRNRPRRALDRIDSGSTYNSSRSVIRRSSSGATSPTATPSTSAAPAPRYSSSSSSVSVSPRGSEHGFSASSLSKSRRRRERQERREGRLRRFKDKIAMVFHHRHDHHHHHHIENHRRPGGSNEVGGRRRDDNRRPSPSPWRYLGLGGMFHRGGRDGEKKKKEKTKTKTTSRTVVTVPGKKRDGGGGNAQSLFDALVRHELGARKVPAPPARARMVRGGSMSRVQVKKMHWWERLRRQRRGRGEVAGSSRPRRRLGQGKVL* >Brasy9G153900.1.p pacid=40064186 transcript=Brasy9G153900.1 locus=Brasy9G153900 ID=Brasy9G153900.1.v1.1 annot-version=v1.1 MATGTAPEEVVQAPSGAKGAAGEATRKQSDAQGRGGGGGGGRGGGGGGGGGGGFGGRGKKRKNKEVFIYGNYRNYYGYRIDRNIGEDPRLEIFKREWFAGKDCLDIGCNQGLVTIGLAMKFECRSILGVDIDSGLIETANWNLRRIARTGKLDTESAKVHNSSDSTIQRCPKEVVSEVSNGNISKHKHHDLFEIVSFRPENFVESMHKCSEQYDTIMCLSVTKWIHLNWGDDGMITLFVKIWRLLRPGGIFIMEPQPWTSYRRNRLVSEVARENFNDICIYPEKFREILLDKIGFRSVEVITDRLVGSVTGFDRPIEVYHK* >Brasy9G118200.1.p pacid=40064187 transcript=Brasy9G118200.1 locus=Brasy9G118200 ID=Brasy9G118200.1.v1.1 annot-version=v1.1 MAFLRPSVVACAAAAFLVAAVGAQLMDPGKPDGITWSADVIPIVKPQEQPTVASCYNKANPAQDPICQIEARRCPRGCPDSCYVHCPSCKLVCLCELTNTACYDPRFVGGDGNKFLFHGRRDADFCLLSDTNLHINAHFIGKQNPQAGARDFTWVQALGIRFGGHRLYLGVKRTATWDSAVDRLVITFDGMPVELESSWTHPSAPALSIFRTGAANGVVVRLDGRFRIVANAVPVTEEDSRVHDYGLAAADGSLAHLNVAFKFYSISADVHGVLGQTYRSDYVSAGGVDVGAKIPVMGGTAKYTVSDIFGTDCEVARFAGEEDVKAVVGLIDEPADAMCGSGKGGAGLVCKK* >Brasy9G043400.1.p pacid=40064188 transcript=Brasy9G043400.1 locus=Brasy9G043400 ID=Brasy9G043400.1.v1.1 annot-version=v1.1 MELAISVVTNELVSRFVSFLTSKYSSCHASSSSDQKQLERLQLLLLRARTIVEEADGRYITNSGMLAQLKALADAMYRGYWALGASRYSSLEEEEEEDDDDGQEVSNSLSSRIKRCRSIIQGGGGARNRKAAPRQYNMDLQHGALESLETVVASLQEFVMILGGCDHMLLRRPYDVYLYIDNFLFGRHAEKQILLNFLLQDSPPHVSSAAAAAPAVLPIISGLAVGKRTLVAHVCKDERVQSRFSSILRLNDSDFYRIADSGILMSGRTLIVVEIVSYVDDKDWATFYSYVASMGRGSKVIIISRLKRAEEFGTVKPIFLNALTYPEFSYLFKALAFGSANPIDHHPRLAQIADRFAIELQSRWSILAAILFADVMRTDLNAHFWFSILNRCTRLVERNFYMYGEHPKLRLERGLEIDVRDLVPCPASPLKLVWNCSDSDVVKRELPKVTFTDLFVDPMPRVGMTLVAFESRIPPYTSFDHILSSSDQNTPLPGTKRQRVPF* >Brasy9G287900.1.p pacid=40064189 transcript=Brasy9G287900.1 locus=Brasy9G287900 ID=Brasy9G287900.1.v1.1 annot-version=v1.1 MALARDSDRNRRVLASSARAGAALSSAFCSLSRHHAQTAASAGVLVEILAAIVVFSTPLDAESMRRIACSPSSLSSVVSIMSDADLSLPQARASAAIVLREIASSSDAQCLHAMATTNAIYGALVSLLQNPVSPQATKAALVTAYYLVTARGELAAPRMAELGTVRVLIELLVDADKGTTEKALAALDAMLVAGEEARGEARSLRALAVPVLLKKMHHVSDMATEFAVSALWRLCKDDDGCKAEALQAGAFQKLLLLLQVGCEGVARERASELLRMLNGCREDGAECIDTVDFKALKRPF* >Brasy9G122700.1.p pacid=40064190 transcript=Brasy9G122700.1 locus=Brasy9G122700 ID=Brasy9G122700.1.v1.1 annot-version=v1.1 MSGGGRPQVARKVVQSVQRRVPLAPIRRPIAVPSDYHRFPVSFPSAAAAAASRGSVRGDIEEGIVTMKPLKRKAPCQKSDAAELTRRVMTSPGFTEGLGSPLMTPVSGKASRTYKSKAKCSKSGPQTPISNAGSPGNPLTPAGSCRYDNSLGLLTKKFINLLRQAEDGIVDLNDAAETLDVRKRRIYDITNVLEGIGLMEKKIKNTIHWKGLDGSGSNSDNVISVLQTEVENLNLQEEVLDEHISEMREKIREFTEEESNQRWLYLTEDDIKGLPCFQNGTLIAIKAPHGTTLEVPDPDEAGDYIKRRYRIVIRSTRGSIDLYLVSKFDEKIEELVDVATPPRQADLATPTSMKGFGAIEAGQSSRAKDLLPNIQYIHKTPDLNAQDFGGATITPEVDTDADYWLLTDGDDVSITDMWKTAPEVQWDQFLAKEVTTTPCALKQQPAVVGKPTVVRPTHG* >Brasy9G122700.2.p pacid=40064191 transcript=Brasy9G122700.2 locus=Brasy9G122700 ID=Brasy9G122700.2.v1.1 annot-version=v1.1 MLASSPGNPLTPAGSCRYDNSLGLLTKKFINLLRQAEDGIVDLNDAAETLDVRKRRIYDITNVLEGIGLMEKKIKNTIHWKGLDGSGSNSDNVISVLQTEVENLNLQEEVLDEHISEMREKIREFTEEESNQRWLYLTEDDIKGLPCFQNGTLIAIKAPHGTTLEVPDPDEAGDYIKRRYRIVIRSTRGSIDLYLVSKFDEKIEELVDVATPPRQADLATPTSMKGFGAIEAGQSSRAKDLLPNIQYIHKTPDLNAQDFGGATITPEVDTDADYWLLTDGDDVSITDMWKTAPEVQWDQFLAKEVTTTPCALKQQPAVVGKPTVVRPTHG* >Brasy9G218800.1.p pacid=40064192 transcript=Brasy9G218800.1 locus=Brasy9G218800 ID=Brasy9G218800.1.v1.1 annot-version=v1.1 MDLRALSMHAFAVLAMLLCSAVTFIQSPTDVFGPVRLHEPTPSSARDFGAVVSHPPLAILRPKSAADIALLLGALSSSSSAAAVTGPRAAVAARGAGHSLQGQAQARGGIVVETRSLPRAVTVVAHADADGARASYADVGAGAMWVEVLEECLKAGLAPLSWTDYLYLTVGGTLSNAGISGQAFKHGPQISNVLQLEVVTGNGEVVTCSPTKSSDLFFAVLGGLGQFGIITRARILLQHAPPKVRWVRAFYDSFATFTSDQELLVSMPEHVDYVEGFMVLNEHSIPGSSIAFPANINFSPDFGSKGKKKVYYCIEFAVHDFQQDGSDSVDHVVELVSGELSYMRPHMYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNMFVPKDGITQFKDMLMDTVTAGDFDGAILVYPLLTDKWDGNTSAVVPSTPDGVMYVFSVLRSADPSRCGLRCVEEILEQHRRVADEAGRAGAKQYLARQPSQEHWRDHFGSSWDRFAARKAQFDPMHVLGPGQGIFPRTDSASST* >Brasy9G171000.1.p pacid=40064193 transcript=Brasy9G171000.1 locus=Brasy9G171000 ID=Brasy9G171000.1.v1.1 annot-version=v1.1 MGMAASPALLSLSLAPAVYRHPHLLFSSTHSRRHLRLAPASASSSSSSSSSPSPSPSQRGGGVFLSPSALSQLDALAAFRYAHSFPHGILTVRALTAPDDAEADALVGLLASSFAEDVRWAPAQRYAQLLAFVIRRYLYERRGLAPHAAVLVGFYKPAVAEEGEEGEDGEDEGEMACTAEVSLDAVGAPGAPPTPTPPLEFPYICNMTVKTSLRRRGIGKQLLKACEDLSVKMDAKRRVYLHCRLIDQVPFNMYKKAGYEVVQTDSIFVWLSLQKRKHLMRKELPQVAAGGDFTTIDFDDSVLMS* >Brasy9G315700.1.p pacid=40064194 transcript=Brasy9G315700.1 locus=Brasy9G315700 ID=Brasy9G315700.1.v1.1 annot-version=v1.1 MLYRLLFGFALPFVLQSSSVLSNPPGVRVGFYKYTCPNAEVIIRDEMTKIISGVPSLAGPLLRMHFHDCFVNGCDGSILLNSTPGSPSEKESIPNLSLRGFGTIDLVKSKLEQACPGVVSCADILALVARDVVLLEIGGNRLVQASNGGKRTQTKGPHWDVPTGRRDGMRSVKEDALNNLPPPFFDATQNLNQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSDRLYNFSGTHMADPMLDKQYTRRLKTKCKPNDTTMLVEMDPGSFRTFDTSYYRVIAKGRALFTSDETLMLDPFTRDYVLRQAGIAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVNKMHI* >Brasy9G268700.1.p pacid=40064195 transcript=Brasy9G268700.1 locus=Brasy9G268700 ID=Brasy9G268700.1.v1.1 annot-version=v1.1 MVRADSGDAETGDGARRRRRGRPGRRNSTSASLPTRDLREPPSGTSQADDATPKSVGPPGSGRIHRDRTSPPLPGSDPTASAWIRRDHLTAASARVCRDWTSPLLPRSSMLFGPRRSEVSHVSRDLAAPEVVVAVAAAAQCGGLRGTDAGGGVCGGVRHGKRGRGWVRALPGVGYTGDAGETAGEAREARVGGGVGARRRQHGRPREGAPRRRRGRSRVRRARPGLGSAVGRVGDSGGGRGWGRPGGGGQEGGVRRRRACEAKETRR* >Brasy9G248300.1.p pacid=40064196 transcript=Brasy9G248300.1 locus=Brasy9G248300 ID=Brasy9G248300.1.v1.1 annot-version=v1.1 MSGSVKSSSSQSGSFDFDVQDRDRRREVVVKIDPDGSTSGALPGTSASPSSPGDSFSFKNNRPSQPQPATPSAGAGECGDGDDPAGRLIGNFLRKQAAAGCELSLDPDLEVVEEPRQSRPPRAPTSSISTSRELRVSFQDPQNRNNPDNAEVLRCTSTSTSTAGASTGLFARSKTRSRLMDPPPPSTANVEDQRNERKSFVMKGPPKSGQLRSGLIGKSGLIGMSGPIGKSGGAFDNDDDDDPFVDEGLTADLKREKVDCLIIFEWIGLVVIVALLVCSLTVPSLSGEKLSGLHLWKWELLVLVLICGRLVSGWVIRVAVFFVERNFMLRKKVLYFVYGVRRAVRNVLWLGVALVSWHFLFDNDAKREMETPVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMIAEVHRLQGAAVPGQDAAAMPAPVPPKGARAASKRGGLSSKQLQRQKTDRHNFDEGISIDQLNRLSQKNISAWSMKRMMRIVRYGALTTMDEQIKHATGQEDELATQIHSEHEARVAAKRIFHNVAKTGSKHIYLSDLMRFMRQEEALKAMDLFEGAKENNRVSKRALKNWVVNAFRERKALALTLNDTKTAVNTLHQMANVVVALVVFALWLLILEIATTRFFVFLSSQLLVAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKIYYPNSQLATLPIMNYYRSPDMGDAVDFSVHVATPAEKLALMKERLLHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFERRELILQEMMKILRDLDIEYRMLPLDINIRNAPPIQSTRMPTTWTTHF* >Brasy9G119200.1.p pacid=40064197 transcript=Brasy9G119200.1 locus=Brasy9G119200 ID=Brasy9G119200.1.v1.1 annot-version=v1.1 MAFSGHGVGLEGSEKSQPARPETTSSDHHEAVVPEQVSATARMSTSKEEKLLKSPAKEEQGDQDAGESTRERLKRHRREMAGKVWVPELWGQEKLLKDWMDCSAFDRPLVPAGLLTARRALVAESCARRRPAPTPRAGCSSPPLRVQNGCS* >Brasy9G027000.1.p pacid=40064198 transcript=Brasy9G027000.1 locus=Brasy9G027000 ID=Brasy9G027000.1.v1.1 annot-version=v1.1 MPSSKSVAWWSAVSKFLLSLIRPKGKDDGKSEEQRYDPRCGMNKEEFEWRFHRASTVNQRMPQVLEKMDVLLKTTRPLFLDDPDGLNQWDQLEKEIRYSFEHRLHYFLASPLSIPNSDLYEQLMKRKAWSRTLVGRASVGLEKLKEASTRPPSRYRRPVRAGAIAAGVAAAFALGLAVGRGSALLHGSSKQRQQQTIANNATLAN* >Brasy9G027000.2.p pacid=40064199 transcript=Brasy9G027000.2 locus=Brasy9G027000 ID=Brasy9G027000.2.v1.1 annot-version=v1.1 MNKEEFEWRFHRASTVNQRMPQVLEKMDVLLKTTRPLFLDDPDGLNQWDQLEKEIRYSFEHRLHYFLASPLSIPNSDLYEQLMKRKAWSRTLVGRASVGLEKLKEASTRPPSRYRRPVRAGAIAAGVAAAFALGLAVGRGSALLHGSSKQRQQQTIANNATLAN* >Brasy9G249900.1.p pacid=40064200 transcript=Brasy9G249900.1 locus=Brasy9G249900 ID=Brasy9G249900.1.v1.1 annot-version=v1.1 MGPACRRWGVTGGAVIAATTVALMQIVFLVPPTTAQQSGGTSRVVPAEGYCSMYGICAHRSDGKVLNCVNATKAVKTLYFPRGSKVCAPLSPVMSVVQLISLTLYTNKSNRLFHFLLDAQLA* >Brasy9G034500.1.p pacid=40064201 transcript=Brasy9G034500.1 locus=Brasy9G034500 ID=Brasy9G034500.1.v1.1 annot-version=v1.1 MTTMREVAVVSKSPPVVVIGADDGQQSGSGTIDLSCFEKSLGPLPVTVLLVFDHPIRDPVETIKKALSQSLAVGHYHPMAGRLTPDGCGIACTGEGVSFVGASASCALDDQHLPSLLKDLAVAYPADWCRPAVDPLVQMQVTEFSCGGFVVGVTWNHVMADGAGMAQFLRAVGELARGLPSPSVVPVRTLSNLPCLPPSIVAAQRAMTAAASKEMASLDFTIPSSVIARPCTVFEAVAALLWLCRTRAAVATCNGDGSPVTMVFPSNVRQLLGAEDGYYGNYLSVQLVQATSGAAATNGLVKRAKDGGDQQQQPLHGGVGWYDTLLVSSWRNLGFEAAEFGGGTPARVMWRQEQTVLPICVVCLPCKGEDDGVNVMSMCVRPEHADAFLAALQAVYYA* >Brasy9G282700.1.p pacid=40064202 transcript=Brasy9G282700.1 locus=Brasy9G282700 ID=Brasy9G282700.1.v1.1 annot-version=v1.1 MLCHVALPAAARRSHVGPKPRRRSRTLVARLCGCRCDRRHLLGASSAAGLLHLVNPTSFAVAAPPIDPEVMLERVHPARPGWYEKLYATAMDEGMKSYEAEIAKYKSNLFSQLSVAGKNILELGVGTGPNLKYYASADGVNVVGVDPNMYMEEYSRAAATSAGLPLANFTFRRGVGEALPAEDSSMDAVIGTLVLCSVKDTTMALREVKRVLKPGGLYLFIEHVAAPDGSLLQFVQSALDPLQQFVSDGCHLTRKTAENIEQAGFSSLSLNAARLSSACIISPHVYGVACK* >Brasy9G144600.1.p pacid=40064203 transcript=Brasy9G144600.1 locus=Brasy9G144600 ID=Brasy9G144600.1.v1.1 annot-version=v1.1 MPWLVVPGHCFSLHDAAIHRRLRRRLSRLVGDWLALVPPDSGRPYMLNAFTMARIKLPLWAGEPMGKIVSSSAPDTGSGCTVAAIAHHCSEYRHKDKGKIVVCRLGGGGAQSTWWSITDDIIFFEGKLYALDGVGRVHQLFEDADLGRLQNKGTWKPPVEEIGGDSTMPGCTCTWLCMVGRRFGAACVPGGSHYTCAVGVFELPTTARRPPPVKDFNGHAVFVGDACCGAFAAGGKIRENQICFVDGEKNLSSLSLTKSGQRDARRPLRALQSYDARHRCVRTYQPRQRTQPWQCVAAQRFPNKRSMGPPPPTTPSGPELLLWDVLSCLGSSAPLYSTAPADHLGTAVTVHISVPKSRAYHSHTWRFKKRRQSASEAKQAAAHEAVTFLRSRFRAVLDESPWSSIPYLHGAGVDLKAEQQQEEEEEEEEQVTFGTGGRRPTTLARPPPSLSGRKKKTWKRRTWTRGGRGGGKPDEDCGRGRRRGVI* >Brasy9G225700.1.p pacid=40064204 transcript=Brasy9G225700.1 locus=Brasy9G225700 ID=Brasy9G225700.1.v1.1 annot-version=v1.1 MEGAVHIFTECCFARQVWLLVAAWSGFPIFSPTSWNPTCSMVEWWTARIEIARRTMTRFAARGATSIFLLSLWSIWKERNCRIFNAKSTPPAGIMVLIKNEAELWRLLDGKGIGALLSGPDDVP* >Brasy9G142400.1.p pacid=40064205 transcript=Brasy9G142400.1 locus=Brasy9G142400 ID=Brasy9G142400.1.v1.1 annot-version=v1.1 MDVREPVALEIPVEDGAAARVPPRIRRRLLEGRSGGGGGGPTSAEEIEAKLKEADHRRQQFYEWLSCKARKKPRSPSWSSQEEDYGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRFEKEKEKLETRVESRVRQAAENRTRLLHADMQRRAALKERKARSLVQKATSESKYTERVRSEILQKRAAAEKKRLGLLEAEKRKAQARLMHIQRAAKTVCSQRETERMKLKEQLESKLQRAKRKRAEYLKQRGSPCSSAHADYIKHADILSRKLARCWRSFVKSRKTTLALVQAYDALEINGKSVKAMPFEKLAMSMESPTALEATKALLDRLEKRLILCQSAGLSSAENIDHLLKHLGSPKRKAPPNRQGKPRVAAKRPARSSETSKSSRYSLRVVLCAYMVLAHPSAVLSGQGEQEKLLMESATDFIREFELLVKTVLEGPGRVSRQSSLDTFTAESSSHQMSSEITGQSKFRTQLVNFDKAWCAYLYRFVVWKVKDARSLEGDLVRAACKLELSMMQTCKLTADGQSHNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMNSALSDARSKFFEAKENGNPLATPVANVSTPLGINLSGQLPPSEISTSSKTAAEGSRPVVRSLFGASSSSSSKTPVNLPTENEHMVNEMLHENGVAFAGKSDAASTVEKDLQTKLRETMEKAFWDVLTDSMRGDKPDYSQLINLVKEVRDSLHDLAPKEWKEEILANIDIEILSQVLESGSQDTQYLGQILHYSLDMVRKLSAAAKEDEMKKSHDKLLSELAASSEVNNDKAISSFVIAVIKGLRFTLEEIKELQVEVSKAHIQLMQPMITGSAGVEYLQKAFSDRYGPPANASSSLPLTLQWVSTSKNIVEEEWTEHVGALSVLPAADHAQPLVTVLRSGHGAPGPQAASLSAAGSSCLPECKGEKIDKLVRIGLLQLISGMEGLQLQSTPESFHLNFMRLRAVQGQFQEVIVMATSMLVLRQVLMSENSKITPLELENVISELFGTLVKLLDSSPEAGTEEIVEAMMSSSASAGSLSDEKIQSRRQIITRVLLKSLQAGDVVFKKVSRAAHWAFRGVVLGGSGMKGLKLADAALRRVGAGKLADRVVKASEVLIRVATVSEKVHGPWYKALA* >Brasy9G189900.1.p pacid=40064206 transcript=Brasy9G189900.1 locus=Brasy9G189900 ID=Brasy9G189900.1.v1.1 annot-version=v1.1 MNLWSHAAADDSDSDGSLLSDVSASPPRRCSPPRPPPPPPPKHRPRPDPTSKPKRKPKPQPKPNPVPSSTSAAASAPPLPAAALSDPHGLTARIAPASALTANASTVSFSSFRRLVQSRNLSIDPVAAFTAPDPDPAPTPDPAPAETPSAAPCPSPTPTAAPPQVRPKRVHPNSVSEASVAAAEQPKRPRGGGEGNFVRLNINGYGRKRTFKSQARRSTKYRPWRRQQPGGAKARGGVDEEGDFVAEALLEREKNGAGGGNGVLEAVEVAREDPSEQNLESLLRLVFGYDSFRQGQLEAIQNVVSGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTGDEFHETLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVNALEIPSGNLIQTSQVRENLQLSISMSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDYVCKHLCDNNITSKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESLEEYIQETGRAGRDGRLSHCHLLFDLTTFYKIHSLSHSDGVDEYAMRKFLHQIFSSGNTTGCICSLAKESTSRKFDIKEEVLLTVLTQLEIGDDQYLHLLPQFSVTCTLYFHKMSPQLLADKDMLLRSILNKSEMKDGSHVFEIPIVANDMRITMNEVFDRLQKLKFSGELSYELKDPAYCYMVLKRPDDLNALSENLTRWLSEVENSKIRKLDAMFALANYAVKGCKRTGGCSGSQHTPCIQKRIIDYFGKNNATSDDDCCTPLRKSSTFLQSDIKVFLQSNSFAKFTPRAVARIMHGISSPAFQAATWSKNHFWWDVIWRLIFHWSWRLPNQSLSNSLEKENSTTIWQSEPLSG* >Brasy9G189900.3.p pacid=40064207 transcript=Brasy9G189900.3 locus=Brasy9G189900 ID=Brasy9G189900.3.v1.1 annot-version=v1.1 MNLWSHAAADDSDSDGSLLSDVSASPPRRCSPPRPPPPPPPKHRPRPDPTSKPKRKPKPQPKPNPVPSSTSAAASAPPLPAAALSDPHGLTARIAPASALTANASTVSFSSFRRLVQSRNLSIDPVAAFTAPDPDPAPTPDPAPAETPSAAPCPSPTPTAAPPQVRPKRVHPNSVSEASVAAAEQPKRPRGGGEGNFVRLNINGYGRKRTFKSQARRSTKYRPWRRQQPGGAKARGGVDEEGDFVAEALLEREKNGAGGGNGVLEAVEVAREDPSEQNLESLLRLVFGYDSFRQGQLEAIQNVVSGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTGDEFHETLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVNALEIPSGNLIQTSQVRENLQLSISMSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDYVCKHLCDNNITSKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESLEEYIQETGRAGRDGRLSHCHLLFDLTTFYKIHSLSHSDGVDEYAMRKFLHQIFSSGNTTGCICSLAKESTSRKFDIKEEVLLTVLTQLEIGDDQYLHLLPQFSVTCTLYFHKMSPQLLADKDMLLRSILNKSEMKDGSHVFEIPIVANDMRITMNEVFDRLQKLKFSGELSYELKDPAYCYMVLKRPDDLNALSENLTRWLSEVENSKIRKLDAMFALANYAVKGCKRTGGCSGSQHTPCIQKRIIDYFGKNNATSDDDCCTPLRKSSTFLQSDIKVFLQSNSFAKFTPRAVARIMHGISSPAFQAATWSKNHFWGRYMEVDFPLVMEAAKSELVKFVGKGE* >Brasy9G189900.2.p pacid=40064208 transcript=Brasy9G189900.2 locus=Brasy9G189900 ID=Brasy9G189900.2.v1.1 annot-version=v1.1 MNLWSHAAADDSDSDGSLLSDVSASPPRRCSPPRPPPPPPPKHRPRPDPTSKPKRKPKPQPKPNPVPSSTSAAASAPPLPAAALSDPHGLTARIAPASALTANASTVSFSSFRRLVQSRNLSIDPVAAFTAPDPDPAPTPDPAPAETPSAAPCPSPTPTAAPPQVRPKRVHPNSVSEASVAAAEQPKRPRGGGEGNFVRLNINGYGRKRTFKSQARRSTKYRPWRRQQPGGAKARGGVDEEGDFVAEALLEREKNGAGGGNGVLEAVEVAREDPSEQNLESLLRLVFGYDSFRQGQLEAIQNVVSGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTGDEFHETLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVNALEIPSGNLIQTSQVRENLQLSISMSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDYVCKHLCDNNITSKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESLEEYIQETGRAGRDGRLSHCHLLFDLTTFYKIHSLSHSDGVDEYAMRKFLHQIFSSGNTTGCICSLAKESTSRKFDIKEEVLLTVLTQLEIGDDQYLHLLPQFSVTCTLYFHKMSPQLLADKDMLLRSILNKSEMKDGSHVFEIPIVANDMRITMNEVFDRLQKLKFSGELSYELKDPAYCYMVLKRPDDLNALSENLTRWLSEVENSKIRKLDAMFALANYAVKGCKRTGGCSGSQHTPCIQKRIIDYFGKNNATSDDDCCTPLRKSSTFLQSDIKVFLQSNSFAKFTPRAVARIMHGISSPAFQAATWSKNHFWGRYMEVDFPLVMEAAKSELVKFVGKGE* >Brasy9G189900.4.p pacid=40064209 transcript=Brasy9G189900.4 locus=Brasy9G189900 ID=Brasy9G189900.4.v1.1 annot-version=v1.1 MNLWSHAAADDSDSDGSLLSDVSASPPRRCSPPRPPPPPPPKHRPRPDPTSKPKRKPKPQPKPNPVPSSTSAAASAPPLPAAALSDPHGLTARIAPASALTANASTVSFSSFRRLVQSRNLSIDPVAAFTAPDPDPAPTPDPAPAETPSAAPCPSPTPTAAPPQVRPKRVHPNSVSEASVAAAEQPKRPRGGGEGNFVRLNINGYGRKRTFKSQARRSTKYRPWRRQQPGGAKARGGVDEEGDFVAEALLEREKNGAGGGNGVLEAVEVAREDPSEQNLESLLRLVFGYDSFRQGQLEAIQNVVSGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTGDEFHETLQRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVNALEIPSGNLIQTSQVRENLQLSISMSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDYVCKHLCDNNITSKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESLEEYIQETGRAGRDGRLSHCHLLFDLTTFYKIHSLSHSDGVDEYAMRKFLHQIFSSGNTTGCICSLAKESTSRKFDIKEEVLLTVLTQLEIGDDQYLHLLPQFSVTCTLYFHKMSPQLLADKDMLLRSILNKSEMKDGSHVFEIPIVANDMRITMNEVFDRLQKLKFSGELSYELKDPAYCYMVLKRPDDLNALSENLTRWLSEVENSKIRKLDAMFALANYAVKGCKRTGGCSGSQHTPCIQKRIIDYFGKNNATSDDDCCTPLRKSSTFLQSDIKVFLQSNSFAKFTPRAVARIMHGISSPAFQAATWSKNHFWCLSFSGV* >Brasy9G218500.1.p pacid=40064210 transcript=Brasy9G218500.1 locus=Brasy9G218500 ID=Brasy9G218500.1.v1.1 annot-version=v1.1 MALQLAIQSLAASLSPAPNKPTKPPSPSNGHQQPQEPITTTSASSSSRRLAAVGTALLASNLLPPATGSAGAFDLRLTVPEQSSEEAEAVVRTHARNLVRVRDLIDARSWRELQASLRASAANLKQDLYAIINAKPASQRPELRRLYSDLFNSVTRLDYAARDKDELQVKEYYGNMVAAIDQIFAKIM* >Brasy9G218500.2.p pacid=40064211 transcript=Brasy9G218500.2 locus=Brasy9G218500 ID=Brasy9G218500.2.v1.1 annot-version=v1.1 MALQLAIQSLAASLSPAPNKPTKPPSPSNGHQQPQEPITTTSASSSSRRLAAVGTALLASNLLPPATGSAGAFDLRLTVPEQSSEEAEAVVRTHARNLVRVRDLIDARSWRELQASLRASAANLKQDLYAIINAKPASQRPELRRLYSDLFNSVTRLDYAARDKDELQVKEYYGNMVAAIDQIFAKIM* >Brasy9G218500.3.p pacid=40064212 transcript=Brasy9G218500.3 locus=Brasy9G218500 ID=Brasy9G218500.3.v1.1 annot-version=v1.1 MALQLAIQSLAASLSPAPNKPTKPPSPSNGHQQPQEPITTTSASSSSRRLAAVGTALLASNLLPPATGSAGAFDLRLTVPEQSSEEAEAVVRTHARNLVRVRDLIDARSWRELQASLRASAANLKQDLYAIINAKPASQRPELRRLYSDLFNSVTRLDYAARDKDELQVKEYYGNMVAAIDQIFAKIM* >Brasy9G217600.1.p pacid=40064213 transcript=Brasy9G217600.1 locus=Brasy9G217600 ID=Brasy9G217600.1.v1.1 annot-version=v1.1 MAGSARSAAAKHGYGRMFAPTWGSAAARGSQGGAADEFDESDVWGSFGSAVDSSPADLAGRAAARPIPQPVVVRAGAPGRTNPQLDRPAVRGSLPMSIPDWQKILGVEYRDHYRAGEWEVNGGDDDDYGKVVIPPHELAWRSRAASMSVHEGIGRTLKGRDLSRVRDAVWKKTGFED* >Brasy9G191100.1.p pacid=40064214 transcript=Brasy9G191100.1 locus=Brasy9G191100 ID=Brasy9G191100.1.v1.1 annot-version=v1.1 MITRRAAAVALRASLRRTCSSHAADSADPLLGLVDAPTPHPQPRLSPEDFAFIKEPAPALPAAALPPPEVILISKAIRAFGADFDGKAERFLRRYREFLTDSVVVAVLRAVRSPELCVRFFLWAERQVGYSHTGACYDALAEILGFEDPARTAERLLREIGEDDREVLRRLLNVLVRRCCRRGLWNEALEELGRLKDFGYRPSAVTYNALVQVLASAGQVEMGFRVQKEMSASGFCMDRSTIGCFAQALCKVGRCADALNMLEKEDFNLDTVLCTQMISGLMEASLFNEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNASDYAYAYKLFNRMTTCGSPPGYVVYNIFIGSICGQEELPNAELLDLAEKVYEEMLAASCVLNKVNTANFARCLCGVGKFEKAFQILKEMMRKGFVPDTSTYTKVITFLCQAKKVEKSFLLFQEMKRVGVSPDVYTYTILIDSFCKAGLIEQARSWFDEMRSVGCSPNVVTYTALLHAYLKSKQLIQAHDIFHKMVDAACYPNAVTYSALIDGLCKAGEIQKACEVYEKLIGTSGNVESDFYFEGKDRCTIAPNVVTYGALIDGLCKAQKVSDAHELLDAMLAAGCEPNQIVYDALIDGFCKIGKIDNAQEVFLRMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSEMLNDSCNPNVVTYTAMIDGLSKVGEIEKALNLLSLMEEKGCSPNVVTYTALIDGLGKAGKADASLKLFKQMNTKGCAPNYVTYRVLINHCCAAGLLDEAHLLLDEMKHTHWPKHLQGYHCAVQGFSKKFIASLGLLEEMESHETVPIASVYGMLIDSFSKAGRLETALELHKELVEVSSSLNMTSTGMYASLIQALCLASQVEEAFTLYTEMTRKGIVPDLSVLVSLVKGLIEVNKWDEALQLCYGMCHEGVNWQGNKSFDGG* >Brasy9G191100.2.p pacid=40064215 transcript=Brasy9G191100.2 locus=Brasy9G191100 ID=Brasy9G191100.2.v1.1 annot-version=v1.1 MITRRAAAVALRASLRRTCSSHAADSADPLLGLVDAPTPHPQPRLSPEDFAFIKEPAPALPAAALPPPEVILISKAIRAFGADFDGKAERFLRRYREFLTDSVVVAVLRAVRSPELCVRFFLWAERQVGYSHTGACYDALAEILGFEDPARTAERLLREIGEDDREVLRRLLNVLVRRCCRRGLWNEALEELGRLKDFGYRPSAVTYNALVQVLASAGQVEMGFRVQKEMSASGFCMDRSTIGCFAQALCKVGRCADALNMLEKEDFNLDTVLCTQMISGLMEASLFNEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNASDYAYAYKLFNRMTTCGSPPGYVVYNIFIGSICGQEELPNAELLDLAEKVYEEMLAASCVLNKVNTANFARCLCGVGKFEKAFQILKEMMRKGFVPDTSTYTKVITFLCQAKKVEKSFLLFQEMKRVGVSPDVYTYTILIDSFCKAGLIEQARSWFDEMRSVGCSPNVVTYTALLHAYLKSKQLIQAHDIFHKMVDAACYPNAVTYSALIDGLCKAGEIQKACEVYEKLIGTSGNVESDFYFEGKDRCTIAPNVVTYGALIDGLCKAQKVSDAHELLDAMLAAGCEPNQIVYDALIDGFCKIGKIDNAQEVFLRMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSEMLNDSCNPNVVTYTAMIDGLSKVGEIEKALNLLSLMEEKGCSPNVVTYTALIDGLGKAGKADASLKLFKQMNTKGCAPNYVTYRVLINHCCAAGLLDEAHLLLDEMKHTHWPKHLQGYHCAVQGFSKKFIASLGLLEEMESHETVPIASVYGMLIDSFSKAGRLETALELHKELVEVSSSLNMTSTGMYASLIQALCLASQVEEAFTLYTEMTRKGIVPDLSVLVSLVKGLIEVNKWDEALQLCYGMCHEGVNWQGNKSFDGG* >Brasy9G097500.1.p pacid=40064216 transcript=Brasy9G097500.1 locus=Brasy9G097500 ID=Brasy9G097500.1.v1.1 annot-version=v1.1 MAASSPALAVLLLFSAFFVVQGRTASGAVPTVKPLEQLCGSLGSFCVTPELCTSALCSSPSPNSPCRSAGNTAQLAALAASLAAANATAAKASLESALSLATDTERARQRQAPALAEARKGIWSCLRLYEGAVPALRWAARAVAAGRYRGVREVLEAAQYVASGCAGMAGGGECEAGKLIPRENDRFGSMAIVAHAVVASMSAA* >Brasy9G306600.1.p pacid=40064217 transcript=Brasy9G306600.1 locus=Brasy9G306600 ID=Brasy9G306600.1.v1.1 annot-version=v1.1 MAGATFLSAPALLGLPSSSSASSTARRHAHIQVCCHGKAEDSEHATYREPLRFRRRDLIGGCCFGTAVGLEIIEGSTRFTGVAAAADLIERRQRSEFQSSIKGTLTAAIQAKPELVPSLLTLALNDAMTYDKATKSGGPNGSIRLSAEISRPENSGLSAALDMLTETKKEIDSYSKGGPIAFADLIQIAAQQALKQTFLDAAIAKTGGNEEKGRTLYSAYGSSGQWGFFDKIFGRDDAQEPDPEGRVPKWSSASVQEMKDKFIAVGFGPRQLAVMSAFLGPDQAATEAKLIADPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSYLGQKINYEAYTYPKQKINLGNLKL* >Brasy9G357800.1.p pacid=40064218 transcript=Brasy9G357800.1 locus=Brasy9G357800 ID=Brasy9G357800.1.v1.1 annot-version=v1.1 MRLARPYTVAMGRAAGPHALPLARIKKIMKRSTAGDGGAGGESRMISGEAPVVFSRACELFVAELTRAAWAATLEGKRRTVHREDVAAAVRDVDLFDFLAALVNDDDAGAGCQGALG* >Brasy9G160400.1.p pacid=40064219 transcript=Brasy9G160400.1 locus=Brasy9G160400 ID=Brasy9G160400.1.v1.1 annot-version=v1.1 MALDSRTLPAAATTTCDVLAVDVVDFSAARPPPLSGNGSAPSTEIGDFGSAVPIDLAPLNDERTMKEELVAWAKAVASMAIRASMQC* >Brasy9G244400.1.p pacid=40064220 transcript=Brasy9G244400.1 locus=Brasy9G244400 ID=Brasy9G244400.1.v1.1 annot-version=v1.1 MRSRHRPPGVPADAPLEVVRAVDAAIMGSRGGGVDRLREMLYEEQGELPHSVVDLLLGTMGGVDGLDKVGDGTGTGAPPSIMSNSHAAVTAAELLPDLPCGEEPSPRTRMAIGLHAALRACTRNRAMCSLSGLLAILLESAEKLFIGTGQSSSGSWDGTPLLQSIQLLGGHSLSVKDLHSWLGLVKKSLGTSWATPLMLALEKAMGSEEARGPTVTFEFDGESSGLLGPGDSRWPFSSGYGFATWIYIESFSDTLNTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGGGKGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGSLHESRAFDFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFREPIGPDRMCRLALRGGDILPSFGNGAGSPWKATNDHIKNMAEESFALNHEIGGCLHLLYHPSLLNGRFCPDASPSGSAGTHRRPAEVLGLVYVSSRVRPAESLWALAYGGPMALLPLTVSSVQADSLEPALGELPLSLGTASLSAPIFRIISLAIQHPGNNEELCRTCAPELLSRVLHYLLQAISKLESGEEEAVTDEELVTAIVSLCQSQGNNHELKVQLFSTLLLDLKMWSSCNYGLQKKLLSSLADMVFTESGCMQDANALQMLLDGCRRCYWAIRESDSIDNLPRTGMERSLGEVNALIDELLVVIELLLGAASSTAASDDVRCLIGFIVDCPQPNQVARLLHLIYRLIVQPNISRANMFGQSFISSGGVEALLVLLQREAKAGNNHILENPGATLSACDVLNNGDSDAKVTTGDGDHQDDEIQLAEQHESILHEEDTEQEATSTKGASFKMLGADIGRKISNSENQLLKNLGGIRFSITADNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASSASNPHLAGGGLSTVHDEGNTMSEDRVSLLLFALQKAFQAAPRRLMTANVYMALISAAINVSSVDENLNLYDCGHRFEHIQLLLVLLRTLPYASRSFQARAIQDILFLACSHPENRTTLTSIAEWPEWILEVLIYNHEKGSKRNVDGVSIGEIEDLVHNFLIIMLEHSMRQKDGWKDVEATIHCADWLSMVGGSSTGDQRSRREESLPIFKRRLLGSLLDFCARELQVQTEVIAAAAAGVAAEGLSPEEAKVQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRVLSSFLSSASMASSAPSRSNSLSRTGSEHIDAGLSRRSSLSSDAGGLPLDVLTSMADANGQISAAVMERLTAAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDLFGGGGSDWESWKYVLEKDSNGNWVELPLVKKSVEVLRALLLDDSGLGGGLGIGGGSGPGMGVMAALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDALLKNTSIKDVITEGTGHQAGNMMPFDGNSPSFTRKPRPALLWSVLGPILNMPISESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGVHELTSYDGRNPLIADDRALAADALPIEAALSMISPGWAAAFASPPVAMALAMMAAGASGTETITTPRNTLNRRDTSLPERKAAARLQTFSSFQKPIEIAPIKPGSTPKDKAGAKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSASDIERAKRWNTSEAMSAAWMECLQSADSKPVSGREFSTLSYKYVAILVSGFALARNLQRVEMERQTQADVLNRHRASTGVRAWRHLLHCLTEMGRLYGPFEGPLCAPDRIFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYDDRKLLSAAAQSNESNPEGADSSLTDTIPSNASVIMAEAMSVDDRNEENEQIESDTAPGSVDQLPHSSLADQQSVKGSVDSRSSGISTDRNLVRSTVVAPGYMPSEADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDEHGSETHMDDHACTSGQYYQQDKDRSWLVSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDMEARKNAYRAIIHTKPPNLNDIFLATQRAEQILKRTQLMERWVNWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYQSKVLNLDDPSTYRDLAKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDFVELPHWAESPVDFIHKHRKALESEHVSTHLHEWIDLIFGYKQRGKEAVMANNVFFYITYEGTVDIDKIADPVQRRAMQDQIAYFGQTPSQLLTVPHMKRKPLTDVLQLQTIFRNPSELKSYVLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTSGAFIRIFKGSTSSGEDYEFPRAIAFAASAIRSSAIVAVTSDKDIITGGHVDGSVKLISPDGAKTNESASGHLAPVTCVALSPDSNYLVTGSRDTTVILWRVHQAGSIHKKNQPEPPQATPTTPRSPLPISPSSMGNLLETRRRRIEGPMHVLRGHLGEVLSCSVSPDLGVVVSSSKMSGVLLHSLRTGRLIKTIHVEEAHTVCLSSQGVILVWSESKKRLSSFTVNGLPIATSVLSPFSGRVSCIEISMDGHYALIGTCSSSNYKCEDSTENPDHELNKPSGNDISEQTETAQSVHVPSICFVDLHKLKVLHTLKLEKGQDITAIALNKENTNLLVSTADKHLMVFTDPALSLKVVDQMLRLGWEGDGLLQS* >Brasy9G022100.1.p pacid=40064221 transcript=Brasy9G022100.1 locus=Brasy9G022100 ID=Brasy9G022100.1.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.2.p pacid=40064222 transcript=Brasy9G022100.2 locus=Brasy9G022100 ID=Brasy9G022100.2.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.3.p pacid=40064223 transcript=Brasy9G022100.3 locus=Brasy9G022100 ID=Brasy9G022100.3.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.4.p pacid=40064224 transcript=Brasy9G022100.4 locus=Brasy9G022100 ID=Brasy9G022100.4.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.5.p pacid=40064225 transcript=Brasy9G022100.5 locus=Brasy9G022100 ID=Brasy9G022100.5.v1.1 annot-version=v1.1 MVSLRSGNLVAGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.6.p pacid=40064226 transcript=Brasy9G022100.6 locus=Brasy9G022100 ID=Brasy9G022100.6.v1.1 annot-version=v1.1 MVSLRSGNLVAGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.11.p pacid=40064227 transcript=Brasy9G022100.11 locus=Brasy9G022100 ID=Brasy9G022100.11.v1.1 annot-version=v1.1 MVSLRSGNLVAGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.7.p pacid=40064228 transcript=Brasy9G022100.7 locus=Brasy9G022100 ID=Brasy9G022100.7.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIATSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.8.p pacid=40064229 transcript=Brasy9G022100.8 locus=Brasy9G022100 ID=Brasy9G022100.8.v1.1 annot-version=v1.1 MTYVSVLPRDMPFHLLEEITDGFSEERKLGSGAYGKVYMGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIATSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRHGNPVNFKLGKVHGFAYVKSIKRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.9.p pacid=40064230 transcript=Brasy9G022100.9 locus=Brasy9G022100 ID=Brasy9G022100.9.v1.1 annot-version=v1.1 MVSLRSGNLVAGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G022100.10.p pacid=40064231 transcript=Brasy9G022100.10 locus=Brasy9G022100 ID=Brasy9G022100.10.v1.1 annot-version=v1.1 MVSLRSGNLVAGVHKDGEKIAVKILNDMPEFDDNEKQFEKEFNNLTRLQHQNIVRLVGFCYEIQKQCVEYNKRTVLAEKIHRALCFEYMPNGSLDKYLSDEYSGYGWQTRYAIIKGICKGLKHLHEESESPIYHLDLKPENVLLDENMVPKIADFGLSRLFGEERTQITKSCIGTIGYLPPEYIKRNVISIKFDIFSLGVVIIKIMAGSKGYFKSDEMSAEQFIELVHGNWMNRLQETSVYKHESYSEQVKRCIEIAVSCVDEDRHKRPSIGDIVNKLNKTETIHKLPNFPHALRNDTESFMDQTSPCTAHEKIKMDPCIFTESELLDVHPLQLRFSFQPNKLVSCPLHVTNNTDDHHVAFRCFPKIPQSYYDQLFWLRGIVPPRSSCTYIVTMEKQEKPPADMDALAVIVKSCVAHDGMETLQVDDSFFRRVREENRVKVHEVTLIAVCDHPTGEMMTSEEATRPAIEIIACRFGMGHVTSIDVHPTKPWFLVGHLDGIVSIWNYETQAKVTALRIAKQRAQTFLKRRTVWRPSICSAKFIARKQWFVATDGDGYIYVYTYMTMDKLEMFKAHEKGVNSLVVHPTDPFVLSASEDHEIKLWDWEAGWDCIRTFVAHSSSVEQIMFNPQDGNTFASVSIDCTVQIWSIVSSDPLATLECYPDEQVCVDYFSIVGGQQYVVTGSSNGTARIWDLQTERCIRRLEGLQCAYACNIGVVDCLPDRPILITASEDNSVALCNSVTYRLFIGCDEGVAIMEIDVVDCSSDVMRELL* >Brasy9G226700.1.p pacid=40064232 transcript=Brasy9G226700.1 locus=Brasy9G226700 ID=Brasy9G226700.1.v1.1 annot-version=v1.1 MLCLLLNSRYHIQSRVYYLMVLSLLVWQHNLCHSSMEGRFVQLYCTANLFIFRTQLRIDEFKGLWLFHPSIGVESCMECQCVSKRDHCFAAHSLN* >Brasy9G135500.1.p pacid=40064233 transcript=Brasy9G135500.1 locus=Brasy9G135500 ID=Brasy9G135500.1.v1.1 annot-version=v1.1 MGDYLHEYYSVARFKAAYARPIPQLAEQTQRPEVDIEFTLCAPLAGRIANRHMTPGRPDGPWGPPKLDAWVTARVPG* >Brasy9G000700.1.p pacid=40064234 transcript=Brasy9G000700.1 locus=Brasy9G000700 ID=Brasy9G000700.1.v1.1 annot-version=v1.1 MRPNIITEAGITTRFNQWWSSTPFITSGVILICGAIYLVCLLIGYDSYREICFLPSAVVSHFQVYRFYTSVLFHGSLLHVLFNMLFNMLTLAPLGTELERIMGSVRLLFLMFLLATTNAVLHLIVAFLVAYNPLYAVPSLVDECSIGFSGVIFSMIVIETSLSGLQSRSVFGLFNIPAKWYAWILLILFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSLLSICVRRPGFILCTGGTTYGQLPTHYNMSTSTAPRNKKIPDFQGEDVHLLLQERNQLQGRLTAANTVRADATVTTDQPDTFDEELKKLVGMGFEKTQAEVALAAADGDTNVAIEILMSQQD* >Brasy9G235500.1.p pacid=40064235 transcript=Brasy9G235500.1 locus=Brasy9G235500 ID=Brasy9G235500.1.v1.1 annot-version=v1.1 MEIVDKIAEPKDPLVVTARKVQNLELPAIPIKASWKGKSSQQQDEKDLPDDGEESFRSVDSSDEGGRTSFSGASHPLEPIDMDLMKTVYVAIDEEKPESPVCLVRGLSVKAPFIDDLSIRVTGVKANAVVCAGSAEGLAEERKMSGAAVASLATARSSQATETVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVAAMSIVSSCSNTYKSEAIASETMFTVERNYGSVKGSVRGNSLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRGKAIHAVRVRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQKQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPKRAGGSFCVQPTCMEPTSACIQPACFMPKLFGQKSKKKTRKTRSELGQSATNLPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIRGLLAKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVSEAIPSNKRVAGADMKSGGKYLDFEFF* >Brasy9G255100.1.p pacid=40064236 transcript=Brasy9G255100.1 locus=Brasy9G255100 ID=Brasy9G255100.1.v1.1 annot-version=v1.1 MSMEVLHDTTGKKEAVVYYMNAPVPYAIEENFGGCFFGDDDDLALVLQEQEIVHRLIEGNDGSGSSRALSDPSPSYGHQRTLDGWKLTGSANYASQLAVDEALARRLQEIEDQIAETSIDGNIIKEGKKPASSSTSNNGNASASRCPLVATEDGVDPDNMTYEELQQLGETIGTESKGLPDDMIMLLKSSTYKIRIFSRKEKHDECVICCMAYKNRDKLTTLPCEHQYHQICVTKWLKINKVCPVCNKEVFGS* >Brasy9G255100.2.p pacid=40064237 transcript=Brasy9G255100.2 locus=Brasy9G255100 ID=Brasy9G255100.2.v1.1 annot-version=v1.1 MSMEVLHDTTGKKEAVVYYMNAPVPYAIEENFGGCFFGDDDDLALVLQEQEIVHRLIEGNDGSGSSRALSDPSPSYGHQRTLDGWKLTGSANYASQLAVDEALARRLQEIEDQIAETSIDGNIIKEGKKPASSSTSNNGNASASRCPLVATEDGVDPDNMTYEELQQLGETIGTESKGLPDDMIMLLKSSTYKIRIFSRKEKHDECVICCMAYKNRDKLTTLPCEHQYHQICVTKWLKINKVCPVCNKEVFGS* >Brasy9G255100.3.p pacid=40064238 transcript=Brasy9G255100.3 locus=Brasy9G255100 ID=Brasy9G255100.3.v1.1 annot-version=v1.1 MSMEVLHDTTGKKEAVVYYMNAPVPYAIEENFGGCFFGDDDDLALVLQEQEIVHRLIEGNDGSGSSRALSDPSPSYGHQRTLDGWKLTGSANYASQLAVDEALARRLQEIEDQIAETSIDGNIIKEGKKPASSSTSNNGNASASRCPLVATEDGVDPDNMTYEELQQLGETIGTESKGLPDDMIMLLKSSTYKIRIFSRKEKHDECVICCMAYKNRDKLTTLPCEHQYHQICVTKWLKINKVCPVCNKEVFGS* >Brasy9G198300.1.p pacid=40064239 transcript=Brasy9G198300.1 locus=Brasy9G198300 ID=Brasy9G198300.1.v1.1 annot-version=v1.1 MESKGGKKSSSSSSLMYEAPLGYSIEDVRPAGGAKKFSAAYSNCAKKPS* >Brasy9G304000.1.p pacid=40064240 transcript=Brasy9G304000.1 locus=Brasy9G304000 ID=Brasy9G304000.1.v1.1 annot-version=v1.1 MVSPSPRILSLLPEHHARGAPTPVVPGLLLLEPPRKQRFHEHPRWCGRVGAIEAKQATGPPATPADLNCKMWSQPVTGAWPLREWWCLRGVRRIARSEQNSFLCHGSMEAHLCEVRMLIAKLFPFFLPCRVGLSCIFIVGCALPVA* >Brasy9G042400.1.p pacid=40064241 transcript=Brasy9G042400.1 locus=Brasy9G042400 ID=Brasy9G042400.1.v1.1 annot-version=v1.1 MKEAELVAIARSSASTLRATPCCPTLTPAPFARFLSQRFPRSSNYFNFEVHMYNDRSNAMKLRKGWHWYRLPPPPAIVDTTTAKCHALIDVHGCDPMLIVSSPERSGAGTYCFDTVSYSWFKAGCWALPFCHRAEHVPELDNLWFGIADAPPHNFCAMDLSSLDSHRAPTLRYDWEDLSRPHGWVMVDCSMVYLGGGKFCITKIFEFGRSQDTDDLPGTGAVISGLEVVRHGKRKSKLKMVKHKSKFYNFISDEIQSVI* >Brasy9G042400.2.p pacid=40064242 transcript=Brasy9G042400.2 locus=Brasy9G042400 ID=Brasy9G042400.2.v1.1 annot-version=v1.1 MKEAELVAIARSSASTLRATPCCPTLTPAPFARFLSQRFPRSSNYFNFEVHMYNDRSNAMKLRKGWHWYRLPPPPAIVDTTTAKCHALIDVHGCDPMLIVSSPERSGAGTYCFDTVSYSWFKAGCWALPFCHRAEHVPELDNLWFGIADAPPHNFCAMDLSSLDSHRAPTLRYDWEDLSRPHGWVMVDCSMVYLGGGKFCITKIFEFGRSQDTDDLPGTGAVISGLEVVRHGKRKSKLKMVKHKSKFYNFISDEIQSVI* >Brasy9G085700.1.p pacid=40064243 transcript=Brasy9G085700.1 locus=Brasy9G085700 ID=Brasy9G085700.1.v1.1 annot-version=v1.1 MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPLPSILRLSAALDWEEAREPLHADIDKAKTCGVGPGMAFARAVLPRLQPPGPAPGAAAGAGVGLVPCAVGGTAIREWARGQPLYEQMVRRAHAAAGYGEIEALLWYQGESDAESDAATAAYQENVERLIANVREDLGMPQLPFIQVALASGNKRNFEKVRKAQLSLNLPNVVTVDAIGLALNDDNLHLTTESQVKLGEILAQAYIGNFLQASCIPASSSAHAI* >Brasy9G085700.2.p pacid=40064244 transcript=Brasy9G085700.2 locus=Brasy9G085700 ID=Brasy9G085700.2.v1.1 annot-version=v1.1 MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPLPSILRLSAALDWEEAREPLHADIDKAKTCGVGPGMAFARAVLPRLQPPGPAPGAAAGAGVGLVPCAVGGTAIREWARGQPLYEQMVRRAHAAAGYGEIEALLWYQGESDAESDAATAAYQENVERLIANVREDLGMPQLPFIQVALASGNKRNFEKVRKAQLSLNLPNVVTVDAIGLALNDDNLHLTTESQVKLGEILAQAYIGNFLQASCIPASSSAHAI* >Brasy9G085700.3.p pacid=40064245 transcript=Brasy9G085700.3 locus=Brasy9G085700 ID=Brasy9G085700.3.v1.1 annot-version=v1.1 MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPLPSILRLSAALDWEEAREPLHADIDKAKTCGVGPGMAFARAVLPRLQPPGPAPGAAAGAGVGLVPCAVGGTAIREWARGQPLYEQMVRRAHAAAGYGEIEALLWYQGESDAESDAATAAYQENVERLIANVREDLGMPQLPFIQVMAAFSNVWFVFILGTAVSIPSLLELK* >Brasy9G085700.4.p pacid=40064246 transcript=Brasy9G085700.4 locus=Brasy9G085700 ID=Brasy9G085700.4.v1.1 annot-version=v1.1 MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPLPSILRLSAALDWEEAREPLHADIDKAKTCGVGPGMAFARAVLPRLQPPGPAPGAAAGAGVGLVPCAVGGTAIREWARGQPLYEQMVRRAHAAAGYGEIEALLWYQGESDAESDAATAAYQENVERLIANVREDLGMPQLPFIQVK* >Brasy9G121000.1.p pacid=40064247 transcript=Brasy9G121000.1 locus=Brasy9G121000 ID=Brasy9G121000.1.v1.1 annot-version=v1.1 MVFVKTQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKFRDLDQEYEGNVEASGEDFSVEPSDERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVADYMKTLAEEEPEKYQSHFSEYIKKGIEAGGMEALYKKVHAAIRADPTMAKSTKEPPKTHKRYNLKKLTYEQRKASLVERLNALNSSAGADVNEDDDE* >Brasy9G025600.1.p pacid=40064248 transcript=Brasy9G025600.1 locus=Brasy9G025600 ID=Brasy9G025600.1.v1.1 annot-version=v1.1 MSEPASGSSCGDGDAIKPATGKKAARKGSEAAAPGSSGDATMKSQKLAGGDKAEAGKVKKMWTVTPEYIDRLRKEKAAGPKIRNFDYLNDRTDPGAYALRAIAAGAAAVTAETEAQKARILEEYDTCGSAQVEVVEDPWSDNEMVRKLMAWKEKVQQLSS* >Brasy9G314100.1.p pacid=40064249 transcript=Brasy9G314100.1 locus=Brasy9G314100 ID=Brasy9G314100.1.v1.1 annot-version=v1.1 MAEQTEKAFLKQPKVFLSTKKADKAKRPGKAGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGTVAIRGRIIAGTCHSAKMNRTIIVRRDYLHFVKKYQRYEKRHSNIPAHISPCFRIREGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGKKAFVAA* >Brasy9G362200.1.p pacid=40064250 transcript=Brasy9G362200.1 locus=Brasy9G362200 ID=Brasy9G362200.1.v1.1 annot-version=v1.1 MATSLPIAVLLLLLLTPAALSLPLFPDSDDSFHAVSCPPLDSIVLSSVQAALRQEIALAAGLIRIFFHDCFPQGCDASVYLIGTGADEVDMGPNLTLQRRAMQLVESIRAKAHAACGPTVSCADVSALATRAAVVVSGGPSYSVPLGQLDSFVPAAQDRVFQLPAPNTASVATLVESFATRGLADVADLVALSGAHTVGRSQCSSFADRSARMDDTFSRKLAANCSKHPARLQNLDVVTPDLFDNGYYKALTFNQGVFTSDMALIKNRTSAAIVRQFAESKEAFFAQFARSMTKLANAPRPGGNVGEIRRNCFTRNVRQQAAAAAADIELAGEEEGFKASA* >Brasy9G012300.1.p pacid=40064251 transcript=Brasy9G012300.1 locus=Brasy9G012300 ID=Brasy9G012300.1.v1.1 annot-version=v1.1 MERKVVVVCAVVGFLGVLSVALGFAAEGTRVKVSDVQTNSPGECIYPRSPALGLGLISAVTLVVAQCIINTVAGCICCKRHPVPSDTNWSVALISFIVSWVTFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALTSSKSPPSWGPQSNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP* >Brasy9G340800.1.p pacid=40064252 transcript=Brasy9G340800.1 locus=Brasy9G340800 ID=Brasy9G340800.1.v1.1 annot-version=v1.1 MEMHGQAKDRLSALPDELLELVLSQLGSDEAVRTSVLSRRWRDVHTTVPVVDIREVEHVGRDSPTSETAVCFDQRVTGAILSKGPGTPIRTFRLDWCAPPRDLLDQWIITAVSSGAEEIDVALSYLYSSGHCLCPFGSSKHASADFDKDDTNRYTKTQRQLFGCPTLRRLRLSNWTLDLPPCTAMATSSLETLYLARIMDPGGLTQQLLLNCPRLADLTLQECPSVKKITVTSAHLRSFAMICCHHATRIRLSSPCLRSLHYKGGLPRRSLFKVKNFPGVIALTIEICEDLSGKQETDVAPVAALITRCTNLTHLYLSLRPSMAYHSRLLRYAIRALPLRQLGLQGYLLKDYDIRSVADLLCVTENLEVLSLFDLGPPKEKTSTRKDTCKISAFERCSFGGILGYLDSWTIPV* >Brasy9G137000.1.p pacid=40064253 transcript=Brasy9G137000.1 locus=Brasy9G137000 ID=Brasy9G137000.1.v1.1 annot-version=v1.1 MPTPSSPSSLLPPPAPASASSASRRRRRLLASTHPSSSSAPNSAAASSSSSYSSSSSASSNPPFFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARAPRCAKTPGPGAKPSPSPPLPLPPSLFSTRVVAEYDYSVGPEKKARRRCRRTPPQRRWPAVADALGDWLAVLSLYRSCTKSRDCLAPAGPPPRPRPRAPA* >Brasy9G237500.1.p pacid=40064254 transcript=Brasy9G237500.1 locus=Brasy9G237500 ID=Brasy9G237500.1.v1.1 annot-version=v1.1 MPDAHVQNSQQQSHPREKSSFPPACVANIALTTERLQPPSTLPASMGAAATVKEEEEEDDGDLQAAIAASKEEVAPLPRTAEEDTEMIRKTEEVSALAELAQWPQLGLALHLSVQDAAPPPPRKNLHRGGGGGGGGGGGRRLGLGRRAVVAVTPWQRLEQEQDPPPEDEQMADQSPPVECWTPSRPPVIVIDTDSE* >Brasy9G004100.1.p pacid=40064255 transcript=Brasy9G004100.1 locus=Brasy9G004100 ID=Brasy9G004100.1.v1.1 annot-version=v1.1 MGGDRRKHEQICCTVVGARAKNMAVMSHLKRSLGLCARKISPMVGARPMTSAEIMRRQMGVTEQTDDRIRKTLTRCLIGPQMPKKVESLVLPMELLRHLKASEFTDTSEYHSWQLRQLKLLEAGLISHPFVPLDRSPVASSLREMIRSTEPQIDVCALSTDVMALAWRSVETAVLDEVDELLELIKKTWNILGLNKTIHNVCFTWVLFERYVTTGQIEPDLLPATLAMLQHVSNDAKQAEQEPGYTRVLSATLASIHSWAENKLLDYHEAFDKSAMQNVVAFAVSAAQMLNPHIPATAGLSFSATAADLVERYIKSSVKHAFAKGRGNSSMLVEVEEDPSETLIYVAVQTKEMVRVEKDMYGTILRQWHPCPTAIAAITLHTCFGTYLKRYVSKMASSCLSSESNKYLVQMAHDDDDVYDSGISSYDVDSIILGLVNWWMDERLRIGAECVRRARDSETWNPSSNSKSYAQSAVDLMRLAKATVYELMEMEIHQQQRSTTKHLVDSIDRLVHRYVSLLVSTCGTGTKESYIPPLPPLTRCNRDSRRLLQHLLLNLNCGGGIGDTAAVTTAGVQVATRACPTTSRATQRIYVRLNTLHYLLAVLHSINRSLALSGQGQRIGHRRARSFSFDRACPAIEAAVIHVAELSAFRLVFLDSAHCFHQTLYQGGVTGARIRPAVRVMKQNLAFLASVLGDRVQAPVVRGVMKASVEAFLMVLLAGGSGGHSVEKTTVPCGVAEEAVDSETAVAEEVVDLMALSTERLIDEFSDANTLLRVLCHRDDEAASRFLKKAFDLPKRR* >Brasy9G094900.1.p pacid=40064256 transcript=Brasy9G094900.1 locus=Brasy9G094900 ID=Brasy9G094900.1.v1.1 annot-version=v1.1 MVAVAAGRRPSVSRRRSGCGGGQQLQHPQAQQQRMLAVAVAARVAAAKPASTEAALYGGGGDGCCVDFLVCLLRALGVSAASAGPAQFKWAARPLRRKRHGGSSPRGPSAEGRRPELGGAPGRITANGACATASLYSMQGKKGVNQDAMVVMENFGSKDDTIFCGVFDGHGPQGHLVAKRVRDILPMKLSANLGRGEYKEMLTSSVSTEATAGDMAKNAVEDTDAALGTEENVDYPEIFTALRTSLLRAFYVMDKDLKLHKNIDCLFSGSTAVTVIKQGHDLIIGNLGDSRAILATKDENNHLVALQLTVDLKPNIPSEAARIRQRRGRVFSLPNEPDVTRVWLPKYNSPGLAMARAFGDFCLKNYGLISVPDVSYHRITEKDEFIVLATDGVWDVLSNADVVSIVSKAASEASAAKLLVQSAHRVWRTRFPTSKVDDCAAVCLFFNTNATNKSSNSGIEDIANDTQPNSGNHFLTVKSSTVVPAVLATTLVTGDEWSVLDGISRPVNLTNLLTPRSAENESMKT* >Brasy9G171700.1.p pacid=40064257 transcript=Brasy9G171700.1 locus=Brasy9G171700 ID=Brasy9G171700.1.v1.1 annot-version=v1.1 MASLPTLSATAASLPRPHPHVCCFRNPASSSSWACFAESNGCCGVLDAGRYSRLRLRWRSPVRAKVGEVDRDQGADLGLRPDRKSLRRRLRLRPRLRLLSWRLRRLSPRELAGDAAAALRRALRRVPPPAVASIVLGALLLAARLTLPKNPAREVAYSDLLAGLRAGAVTAVAFEEDSRRIYFNKAEDIGSGDDEGDTGKGAATAKWPYYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFLQRQMSAGGSADKRRRPRKQRVGFDDVQGVDEAKEELVEIVSCLRGSLNYKKLGARLPRGVLLVGPPGTGKTLLAKAVAGEAGIPFFPVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDVKVIVMAATNRPKALDSALCRPGRFSRKVFVAVPDLEGRRNILAVHLREVPLEEDLEIICDLVANVTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQKGPDSERLGLTKLFPWLTKPGNKPSNPDDFPGLLGYHTLS* >Brasy9G171700.2.p pacid=40064258 transcript=Brasy9G171700.2 locus=Brasy9G171700 ID=Brasy9G171700.2.v1.1 annot-version=v1.1 MASLPTLSATAASLPRPHPHVCCFRNPASSSSWACFAESNGCCGVLDAGRYSRLRLRWRSPVRAKVGEVDRDQGADLGLRPDRKSLRRRLRLRPRLRLLSWRLRRLSPRELAGDAAAALRRALRRVPPPAVASIVLGALLLAARLTLPKNPAREVAYSDLLAGLRAGAVTAVAFEEDSRRIYFNKAEDIGSGDDEGDTGKGAATAKWPYYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFLQRQMSAGGSADKRRRPRKQRVGFDDVQGVDEAKEELVEIVSCLRGSLNYKKLGARLPRGVLLVGPPGTGKTLLAKAVAGEAGIPFFPVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDVKVIVMAATNRPKALDSALCRPGRFSRKVFVAVPDLEGRRNILAVHLREVPLEEDLEIICDLVANVTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQKGPDSERLGLTKLFPWLTKPGNKPSNPDDFPGLLGYHTLS* >Brasy9G292500.1.p pacid=40064259 transcript=Brasy9G292500.1 locus=Brasy9G292500 ID=Brasy9G292500.1.v1.1 annot-version=v1.1 MPAAAVPPPDPLPPGPVMPAATRSRLQESSSAATSLASAPSNRGHRPHSSRRRRAALRKPHLQNLKRHRGESSPLPLRSGIPARDRPQAGATAGNLHSSRRGCRLEKPTDLGTPPPSSSNRTPSWTSTSPPSHAAVFSQTTVELAILNHRSWPTPGILLATAVHGLAGRSLSELAWTEVGKLLTRFFTT* >Brasy9G189200.1.p pacid=40064260 transcript=Brasy9G189200.1 locus=Brasy9G189200 ID=Brasy9G189200.1.v1.1 annot-version=v1.1 MSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAVIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQALSDMKFVKPTDTGETDATEWALSYIKEKAPERLSFVACSEVFDSSKGGAEKMCHEMGVAFLGKVPMDLQLCKAADEGRSCFSDQKCSASAPALKSIIKKLIKT* >Brasy9G026600.1.p pacid=40064261 transcript=Brasy9G026600.1 locus=Brasy9G026600 ID=Brasy9G026600.1.v1.1 annot-version=v1.1 MAEIVLLLVIEKIGVALANAAADQASAQFAKHGTKLTELQGSMGRVVRELRVMHDVLCQMDTRNRNDQVYEGWLDGVRKVAHAMEDMVDEYLYQVGREHYIGCCFYLKKGFGKPRSLLSFNQIASGVKEIEKDLTHLSEIKNRWVSMINNEDTSSSNYIVKRSRDLASYSRSLDEEDLVGVDKNRKKLEQWLGGDDRERSVITLFGMGGLGKTVLAANVYNKEREKFQCHAWVSISQTYSIEDVLRNIIKELFKDKAGVSSDTAVMDITCLQETLKRFLEQKKYLIILDDVWTPEAFYDFSRALVCNFKGSRLIITTRQRDVAALASQGHMLTLEALPEDKAWDLFCKKSFPRETNHECPEELKLLSEEIVSKCKGLPLAIVSVGSLLYVREKTVEEWRRIHDQLSWEIINNSRFDHVRNVLHLSFIYLPTYLKSCFLYCSLFPEDYLFQRKKLVRLWTAEGFIVEKGSSTLEEVAEGYLKELINRNMLQVVRLNSIGRIKRFRMHDIIRELAVDLCQKDCFGVKYEEYKCGGSVQKDGRRLVVHKLKKNIQQTFCSIHGFRTFIALDKSMPSFVLLPLLFEKSRYMTVLELSGLPIEKIPNAIGDLFNLRHLGLRNSKVKLLPKSIEKLSNLLTLDLSTSDIQELPGGIVKLKKLRHLFAEKGTLPIEIGFCSGVRIPFRLGNLTDLQTLQALEAQDESIRQLGELRQLRSLRIWNVKGIYCEHLSESLAQMPFLSYLHVGASDENEVLRLNVLLPNLQKLFLSGRLPEGALLGLQAIAQKLYWLDLSWSQLREDPLPCLSRLVNLTMLFIGTGAYSGHELAFLTGWFPKLKTLHLRGLPGLKRLEIKQASLVALETFTLDSLKSMKEVPLGLEFLVPLQYLRFGEITQEFLTLLLQCPGLVGRQWWYNRQD* >Brasy9G076200.1.p pacid=40064262 transcript=Brasy9G076200.1 locus=Brasy9G076200 ID=Brasy9G076200.1.v1.1 annot-version=v1.1 MKAVAVLVCILLVMTTSSAAAAAPARKLAGDDGQLTGETQAMAVVVVDGRQQPADGHGGHQCNRINFPCP* >Brasy9G109700.1.p pacid=40064263 transcript=Brasy9G109700.1 locus=Brasy9G109700 ID=Brasy9G109700.1.v1.1 annot-version=v1.1 MVLIDDQDEYAKLVRGMNPPRVEIDNEVSKIGTFIQVDSVNTHGTLLALVQVITDLNLVVRKAYFTADGDWFMDVFYVTDRDGEKVTDEATLNYIQTRLESDDCYYTEARDNSEGIVPSESEDDHQYSSIELTGTDRPGLLSEVCAVLSDVSCAVVSADLWTRNTRVAAVVQVADAATGGAISSDAARVAEIGRRLAHLLRCRSGCHAAAAASVAAEPALVAMHRERRLHQLMAADPESGVVEGDGACLQPAPGTTPATAVEVTDCAQRRYTFVVVRCRDVPKLLFDTVCTITDAQYVVYHGNVSTEPDGVTAYQEYYVRNKAGLAATEPERLLLKRQLEAAVERRFADGIELEVRSGDRAGLLSDVTRIIRENGLTILRAGVKSQGGEAVDTFYVSDPMGLDCPVEPRTIDTIRAQIGEATLRVKKNPFADADQQQRHHDAAASAVGAIAFILGSVYKFYRPFQSLALVKLY* >Brasy9G182100.1.p pacid=40064264 transcript=Brasy9G182100.1 locus=Brasy9G182100 ID=Brasy9G182100.1.v1.1 annot-version=v1.1 MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLHREARLG* >Brasy9G361200.1.p pacid=40064265 transcript=Brasy9G361200.1 locus=Brasy9G361200 ID=Brasy9G361200.1.v1.1 annot-version=v1.1 MSRAKHIALDFTIGRSASEGDKYVVPLCNLSGPNGSCVKSLDLSYVSLKLHPSFCGIMNLKNLTLSMVSINRGDLQCLLLSCALLESLSIELCSLSSLCIRKELCRLQYLRVHHCDLEMIELQAPNLTKFEFDDYVRQITLCESLKLSEATFVSNWRGFEAYDYDLDLIFYELPPALPHLHKLFLLLNVDQVLTFSNTQTSFINLRHLNMNLDIFFDPEDNSWVMGLVNLLELSPLLEELELHGTTLDWRCAMKLSGQSARRTWTQSRVQGKPTPGAF* >Brasy9G020200.1.p pacid=40064266 transcript=Brasy9G020200.1 locus=Brasy9G020200 ID=Brasy9G020200.1.v1.1 annot-version=v1.1 MACRIILRLSTSESSEETRPGYRLDGGVRDWKHQADGDQSLATGTHSHRAKIQITTNHLILVDSTLFKDYPLKFGVVLTTGTAVLGRSAESSAPSARDEGWSDWPHALNCAAATKTSRSLFSLHLSTSVCRGWHAKSFGPCVSADEIFSDSLQH* >Brasy9G125100.1.p pacid=40064267 transcript=Brasy9G125100.1 locus=Brasy9G125100 ID=Brasy9G125100.1.v1.1 annot-version=v1.1 MRGKFCILTILLLAAASVLPAESAADAVDIAIYWGQNASEGTLGDTCGTGLYAYVNLAFLSTFGAGRAPVLDLSGHCDAPSGTCAALATDIASCQSAGVKVLLSMGGGIDDAGAPGYNLSSPSDAQGVAAYLWDNFLGGTGVSRPLGDAVLDGIDFDMEAPSGYYDDLARNLTWLYKGAAAATPTGVEKKKNKAYLLTAAPQCPFPDASLGGALGTGLFDHVWVQFYNNPPCQFAADASGLRSAWQQWSAALPSATVFLGLPASPDAAGSGFVDADTLVSRVLPLVEGAPNYGGVMLWSRSYDKDSGFSVKLQANLQNRNAGNGTSSYRKRIYTIVGVVAGTVLLLLLLTTCFLCHKKYRSSSPPEDGSSTPPKTEQFQQKLIPQHPQRYTYSDVQRMTKTFAHKLGQGSHGDVYRGSLRDARQITVKVLKNCKGSDKDFVNEVARIGGISHSNVAPLLGFCLQGPTRALIYEYMPNGSLESYALNNNEDSVEDNYSLWLYWEKLFDIAVGVARGLEYLHEGIGDNDVHISVKPRNILLDQELCPKISDVGVANLCLLKESKTFHSVRERDGYDAPESVSRKFGAVSSKSDVYSYGVMVLEMVRAKRHVKVGADTTSKYFAQWLYEHLDQFCNSISEINGDTRDLVRKMIIVGLWCVQAAPMSRPSMSRVVEMLESSSTDLELPRRIS* >Brasy9G079700.1.p pacid=40064268 transcript=Brasy9G079700.1 locus=Brasy9G079700 ID=Brasy9G079700.1.v1.1 annot-version=v1.1 MSIWRVGKRDGASCGALFGFGGEQPAEEDSASVKETAAGRSKGAGGRKRRIGEDSGVRCRGTRLRSGGGGVLGPEGGRRWRAWPGSGWASSRWSNRGRRPEARSTEAGGRVVAGDAARSSKLGGGRARPVLEMAGTSAGGSPAWWSGAGDGERRPGGRQPWESREEGERTGKKAAGVEREGGALEGGGSRGGARGERGRGRRPWKKKGGAWRGSRREKELGRQREAQYVRRPRQSPPTPI* >Brasy9G174200.1.p pacid=40064269 transcript=Brasy9G174200.1 locus=Brasy9G174200 ID=Brasy9G174200.1.v1.1 annot-version=v1.1 MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNHVTLDHISVRGNNIRYYILPDSLNLETLLIEDTPRVKSKKLTTGKPMGRGRGRGRGRGRGRGR* >Brasy9G174200.3.p pacid=40064270 transcript=Brasy9G174200.3 locus=Brasy9G174200 ID=Brasy9G174200.3.v1.1 annot-version=v1.1 MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNHVTLDHISVRGNNIRYYILPDSLNLETLLIEDTPRVKSKKLTTGKPMGRGRGRGRGRGRGRGR* >Brasy9G174200.4.p pacid=40064271 transcript=Brasy9G174200.4 locus=Brasy9G174200 ID=Brasy9G174200.4.v1.1 annot-version=v1.1 MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNHVTLDHISVRGNNIRYYILPDSLNLETLLIEDTPRVKSKKLTTGKPMGRGRGRGRGRGRGRGR* >Brasy9G174200.2.p pacid=40064272 transcript=Brasy9G174200.2 locus=Brasy9G174200 ID=Brasy9G174200.2.v1.1 annot-version=v1.1 MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNHVTLDHISVRGNNIRYYILPDSLNLETLLIEDTPRVKSKKLTTGKPMGRGRGRGRGRGRGRGR* >Brasy9G237300.1.p pacid=40064273 transcript=Brasy9G237300.1 locus=Brasy9G237300 ID=Brasy9G237300.1.v1.1 annot-version=v1.1 MEREQVLASLPQVSNSCTCSGGSNTTSSSSSSILNASSPSSGDSSGSGSGNKKKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDAAAIAIKGRAAAASLNFPALAHELPRAASAAPKDVQAAAALAAAAHCPVATPVLSPDADIGMDGQEPATAVRDCWIDEEIITQGNGCIGGLDLDLAFFDVPDDALLGFGFTLPEPPSYCGSPWDDVPVDDLFFGEPLPLWEH* >Brasy9G295000.1.p pacid=40064274 transcript=Brasy9G295000.1 locus=Brasy9G295000 ID=Brasy9G295000.1.v1.1 annot-version=v1.1 MERSPSPEGRWGDLPEDIAIAVASRLQEADVCALGGCSRSWRSACDADFVWEGLFRRRWPVTAATVVAGGRAGASSVQGWKALYINHHGRTAVAISRVIEFVDSSTHNGSLEAEYYLKAIADLALMKDIGFVNVQFFLLSRNRSAIINLIGLHYSIACLHIQPNEVDKALQASQIAERKVCVSLLKLGRWFYGFRLPDDYESTKISLSGLTSAEGAKVLVILNRGAVHEVFRLQVSSVGTNN* >Brasy9G069600.1.p pacid=40064275 transcript=Brasy9G069600.1 locus=Brasy9G069600 ID=Brasy9G069600.1.v1.1 annot-version=v1.1 MAGSGGGGGNLKNMVIAFLIPLPSILFYLTFVRPQDGHNGGANPVSSWCAAHPLLLANILFLINVDILFWLVGLLLSNNWLIDLYWTVIPVMLLHYFRAHPAAVANAVRSAVVVALTWVWSARLTHNYFRREGWEWGKREDWRFSEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSDQPLGVWDLVATIACIAGVVIAYFADTQLHNFVTRNDKLKQLGEPTVPTLEDGLWEFSRHPNYFGEQLWWWGLYLFAWNLGQRWMFIGALVNSLCLGYVTVLVERRMLKQEHRAEAYKLYQRTTSVLIPWFRKSVPEPKQKET* >Brasy9G305000.1.p pacid=40064276 transcript=Brasy9G305000.1 locus=Brasy9G305000 ID=Brasy9G305000.1.v1.1 annot-version=v1.1 MDFMKVFDQTVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGSALSELAQATRKFSECQMVMSVLWTRVAERGSNWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDSGINVRKKVETLLGIINDKERIKAMREKAASNRDKYVGLSSTGSTYKSSSASLGSNYSSGERYGSFSGTREADSFSDSYRDKEPDKKSASNTGSHKSGSKIRNDVKHDRSSSKSPSNTKGNEDDFDDFDPRGSSSNGAANTKTSEVDLFGPNLMDDFIDEPAAIPATNSAAEPQADLFADADFQAAAPSTETAAHQDIQDNVDLFGGNATFASAFTPQTGFIPPPSSGTSSDANVSMSKNTIPEPFDPFADIPISSFGGSDPFGAFSSNVGSSSAPPPLHDSTGSIDTSSQNLQAASDFGAFESNTEAAAKDPFDFSSSGNLGKADVTPLASPKTDASDFGAFVANTEEAAKDPFDLSSSSNHGRTAQAPMAAPKSNAKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLSDGSDDKAQQPSWSMGAGGSGLGMSGIPKSTQGGGIESLANYNKYQFGFK* >Brasy9G312600.1.p pacid=40064277 transcript=Brasy9G312600.1 locus=Brasy9G312600 ID=Brasy9G312600.1.v1.1 annot-version=v1.1 MIELIKNPKVMAKAQAEVRRTLDNKSPEDHEGHLGELAYTRMVVKETLRLHPPVPLLFPRICRETCDVDGFEVAEGSRVMVNAWAIGRSPEYWHGDAEEFRPERFQDSTADYNGTRFEYLPFGSGRRMCPGVNFALAGLELIVARLLYYFDWSLPVGIRPDELDKDMIVGATARRKNQLCLVASPYKVPMETQS* >Brasy9G291700.1.p pacid=40064278 transcript=Brasy9G291700.1 locus=Brasy9G291700 ID=Brasy9G291700.1.v1.1 annot-version=v1.1 MRREIGGGHRRPPRPAAPSTSAFSCPEKFRNFQLQEEYDAYETVQLPSSWSRRKIIEIVAAKDLIFALAESGLCGAFNRTTNKRICCLNTSPDEMIKSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLDGGFPLFETESLRYPGFVEFDDVNGKILTFSAHDSTYKVFDIKNYRFLYSIYDKDIQEIKISPGIMLVIYQKASNHVPLKILSIEDGTPLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSGLIEVNKTKFMTPSAFIFLYENNLFLTFCNRTVSAWNFRGELVTSFNDHELWHPNCNTNNVYITADQDLIISYCKVSRDGRNDEGPEASPMGSINMSNIFTGKCVTKISPLDPTLTVAPRRRGDSSRSTITSTISDALEDITALFYDEDRNDIYTGNSKGLVHVWSN* >Brasy9G291700.2.p pacid=40064279 transcript=Brasy9G291700.2 locus=Brasy9G291700 ID=Brasy9G291700.2.v1.1 annot-version=v1.1 MRREIGGGHRRPPRPAAPSTSAFSCPEKFRNFQLQEEYDAYETVQLPSSWSRRKIIEIVAAKDLIFALAESGLCGAFNRTTNKRICCLNTSPDEMIKSLFYNKNNESLITVSVYESDRFSSLKCRTTPIDTYKVFDIKNYRFLYSIYDKDIQEIKISPGIMLVIYQKASNHVPLKILSIEDGTPLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSGLIEVNKTKFMTPSAFIFLYENNLFLTFCNRTVSAWNFRGELVTSFNDHELWHPNCNTNNVYITADQDLIISYCKVSRDGRNDEGPEASPMGSINMSNIFTGKCVTKISPLDPTLTVAPRRRGDSSRSTITSTISDALEDITALFYDEDRNDIYTGNSKGLVHVWSN* >Brasy9G070700.1.p pacid=40064280 transcript=Brasy9G070700.1 locus=Brasy9G070700 ID=Brasy9G070700.1.v1.1 annot-version=v1.1 MMESQPLQDPTAEAHGAAADQAGAAAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLVPSSLNYLAIVPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCIAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVMCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDPSDDFSFPSLFPDAMRPVTDPVANLFDRMLCTRSKPSELALPVSDPTKASRRRERGERVLEERLAADHTSDTEAPAHTAED* >Brasy9G242100.1.p pacid=40064281 transcript=Brasy9G242100.1 locus=Brasy9G242100 ID=Brasy9G242100.1.v1.1 annot-version=v1.1 MPRGRGSPVSNPKETNVEETTNSNKDNTRHSRSAYLLLGLLIVFLHGSWSVYRTQFGNLPLPLDAEQAGKRGFSEASALKHVEYLTGLGPHPVGSDSLDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLSGGLFNGKTLLYADLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAVDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDRTSVYHTKNDKMKLLKPGSLQHIGENMLAFLLHAAASPKFMKDAHQAKQESTEQKKAIFFDILGKYMIVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRHGLVSFGISCLSIILTLIFSICLPVVVAFALPHISPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKRHIQQVYLKTKPGLTGNTIEYVVDLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLSPARLPKQLKVVTLVLALAAPVVSSAGLVVRMVDMMAGSIVRADRNPGGLPDWLGNVVVAAGIAIVVSFTFVYLLSYVHISGAKRTLLSLLCTLFGLALVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDRYTEPSSYISLFSNTPGKLTKELMDLGGEEFSCGRNMTIDFVTFTMKYGCRSYKGSNTGWSKSEVPLLHVESDSASDDTRRTIVSVDTKSSTRWSLAINKQEIDDFTIQVDSENLVQLGDKSQLDGWHTVQFAGGKSSPTKFQLTLFWSSNATHASPKEAKVEDYPFLVKLRTDVNRVTPMVEKVLEKLPRWCTPFGKSTSPYTLAFLTALPVNV* >Brasy9G203500.1.p pacid=40064282 transcript=Brasy9G203500.1 locus=Brasy9G203500 ID=Brasy9G203500.1.v1.1 annot-version=v1.1 MAGPRRAALLCFLVSCIASAARTTDARGPGRQDLGVSIGDGGGGISIGIGGSGWPKPLPPPPKPKPWEPRRCDFENERLYRAYLVIQKFKKTVICDPQNIAGSWTGTDICGNSSYKGFYCDRPYKVTDRTVASVDFNGYGLQADSVQGFVDGLPDLALFHANSNSFGGAVPSLKSLQYFYELDLSNNKLAPAAFPAEVLGITNATFIDIRFNSFFGELPAALFSSFPVIEAIFVNNNQFSGPLPDNLGDSPANYLSLANNGFTGPIPASIARAGDTLLEVLFLNNRLSGCIPYELGLLGKATVIDAGTNMLTGTIPASYACLRSVEKLNLADNLLYGVVPDALCQLASSSGGGRLANLTLSGNYFTWLGSCCWDLIREGKLNVDRNCIPWAPNQRSDEECASFFFRKIESHAACPISVHAPCESSGWGYYDAAEQADAAAEESKYRTYSALQP* >Brasy9G355300.1.p pacid=40064283 transcript=Brasy9G355300.1 locus=Brasy9G355300 ID=Brasy9G355300.1.v1.1 annot-version=v1.1 MEGDVVSAYIYHLRTQNNMLTRDGGKVFLETSIGSAYLKRDGGNNEDGTDIDVDPSERKGQWIVNMIIEYFDSDMVLDSFGVTMNRVDLHKTLKGLTKYIEIAQQTIPDITCNSRWPDMHVSKWRVEEMLQTKTQTDSSSCGLFMLKYMEHFTGHELSEPVEQSNMSAFRCKIPLILFNTDLNTNLRIFFECDEDPTPEITEPVDTTKGTSMGVVTGTSEITDPTFTTKKCISGVFPGISEVTAKQLQDCLEPEKIIVVGFLRNLGWGEGYAHFDIDDCTGTTLVPFLKWLGSLENNMYIKSRQSYQ* >Brasy9G355300.2.p pacid=40064284 transcript=Brasy9G355300.2 locus=Brasy9G355300 ID=Brasy9G355300.2.v1.1 annot-version=v1.1 MEGDVVSAYIYHLRTQNNMLTRDGGKVFLETSIGSAYLKRDGGNNEDGTDIDVDPSERKGQWIVNMIIEYFDSDMVLDSFGVTMNRVDLHKTLKGLTKYIEIAQQTIPDITCNSRWPDMHVSKWRVEEMLQTKTQTDSSSCGLFMLKYMEHFTGHELSEPVEQSNMSAFRCKIPLILFNTDLNTNLRIFFECDEVDTTKGTSMGVVTGTSEITDPTFTTKKCISGVFPGISEVTAKQLQDCLEPEKIIVVGFLRNLGWGEGYAHFDIDDCTGTTLVPFLKWLGSLENNMYIKSRQSYQ* >Brasy9G297000.1.p pacid=40064285 transcript=Brasy9G297000.1 locus=Brasy9G297000 ID=Brasy9G297000.1.v1.1 annot-version=v1.1 METPKTVLFNLEGVFEDFSTRRTALIRALTTDQDEFYGFCNSETEILCLYGHKDESWEVKPPEPMVPTILPEPMTGINLCRNDVSRVDWLSMVAIHSDAWLMSVSFFLGALLTADERCCRSWRNVVDDGIEGQSLGKAEVEGSRSCGTFVRRNNESEGYDDPGGHRFVVRCLYRRCTLLEIFDT* >Brasy9G297000.2.p pacid=40064286 transcript=Brasy9G297000.2 locus=Brasy9G297000 ID=Brasy9G297000.2.v1.1 annot-version=v1.1 METPKTVLFNLEGVFEDFSTRRTALIRALTTDQDEFYGFCNSETEILCLYGHKDESWEVKPPEPMVPTILPEPMTGINLCRNDVSRVDWLSMVAIHSDAWLMSVSFFLGALLTADERYTSVLIKYKQVRGMQKKSK* >Brasy9G144000.1.p pacid=40064287 transcript=Brasy9G144000.1 locus=Brasy9G144000 ID=Brasy9G144000.1.v1.1 annot-version=v1.1 MEAATKGALAGGGSSCDRLSALPDDLLHRVLSFLPTLEVVQTSLLSKRWTGTWRSAPCLSINIRDFPITRGDSIGFQVNLQWAKMMQFTTNLLRFHDAPALDAFRFEIDWVDRDRIQAIDRLVRRAITYRPSVLEVVVLIRCFQDPYEFRNLGGSGSCRLKSLHLYGLSLDTSFAEQLRSGCPVLEDLELRDCDCKFREIQSSTLKNMAIRVRLMFSVGMLVIKAPALASLNLSIYGDNVSVDRSTNSVATASISVNDRWPHVPPGFITLTNRVELLRSLSNVMLDEDLDIFKNLRILSLRYCLGKGNGVIRELKVIGRFLPKAPNLEKLTLENCWFSDYLKDKKNKRKTSEIPLDSPDQKAFEFQNLKLIEIYYIGHHGLEDDQVFQIMQRLWRYLQRATFNLIKM* >Brasy9G299400.1.p pacid=40064288 transcript=Brasy9G299400.1 locus=Brasy9G299400 ID=Brasy9G299400.1.v1.1 annot-version=v1.1 MKGTVPSILLGCVVARQVWTVVLGHWDRPAWVPTVQHTLAGWWTDLEVANKERKNLNTAISLVCWSIWKHPNAVVFDGVAPNALRILRDLGRESSAWVSAGLLKAGSAFSVFGFVDLGWTLGE* >Brasy9G368200.1.p pacid=40064289 transcript=Brasy9G368200.1 locus=Brasy9G368200 ID=Brasy9G368200.1.v1.1 annot-version=v1.1 MDEASAADAAASSGGGRWYTGMSSDNVKGLILALSSSLFIGASFIIKKKGLKKAASSSGVRAGVGGYSYLFEPLWWVGMITMVVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPERQIESVTEVWGLATEPAFVCYVAIVLATVAVLVYKFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKVTFSGTNQLIYPQTWAFTTVVIACVITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMAEGLSNSSSFRLPTSSSMRSPKQTDEYSEGIPLRSSESFRGPH* >Brasy9G004900.1.p pacid=40064290 transcript=Brasy9G004900.1 locus=Brasy9G004900 ID=Brasy9G004900.1.v1.1 annot-version=v1.1 MRERLPGGGGGGTAARSGAGAAGSRGLQRRRRCSRPQMLLHAAAGVADGRGVEDRRGKIGGGGRAREERPDPVASGPDPRPGRRSGRAGARGKTRREWGRRSGRAGARGGRRSVGRAGARGGRRSVGRAGARGGRRSGRAGARGGQVLGGEGRGSGREALGEGE* >Brasy9G168100.1.p pacid=40064291 transcript=Brasy9G168100.1 locus=Brasy9G168100 ID=Brasy9G168100.1.v1.1 annot-version=v1.1 MSFQCIVPRKATYTRTRRSTDKSCATTPPRRAPARPKPKNLLSPPRRAPARPKISYLRRAAPDSAPPGPFGHGGATPTPSRPPLQRFPLARRRARSPLPGGRSPDSLSLPVPTARRSLAAADRGPPPCAAKRGGGGGGGGGEVSSSDGEGTRLLLQAALWGAEAAYIIWLFLLPYAPGDPVWAISQTTITDLVGLSLNFFFVLPLINSAGIHLLESPVLHPMAEGLFNFVIAWTLLFAPLLFTDARRDRYKGSLDVLWGFQMFLTNTFLIPYMAIRLNDLDKDQPLPQASKLGSVMVKGASVVGITGGLVCILSIVWAFFGRADADFGGTLDRWQFAQDYVLSERLAYAFLWDILLYSIFQPWLIGDNLQNVKPNTTEFVKVARFLPVVGLVAYLLCLEEKKD* >Brasy9G353900.1.p pacid=40064292 transcript=Brasy9G353900.1 locus=Brasy9G353900 ID=Brasy9G353900.1.v1.1 annot-version=v1.1 MEREAMLREKARLLLESISVSEAARDEAAAASASMDRRMAAVDEAMRPAQARTWSACKVHDNVVRSLRAAEAILKHLDDVHQAEPVILNGPSKGVTDYLDAVDKLRGVVSFFTSKRSCAAGDEALKNVDQLLSKAGIELESEFSRLLSKWSKPVELEHLFNCLSSHSSAKDVSEAQPNPGAACSLPTLVDPRYMSVLSKLVQKSAELGHHKQFMKIYRDIRSSTLELTLKQLGVEYVTTEEVQTMQVESLDAKVAHWIQCLQIAVKLLFPAERVLCDQIFEGQKDHCFAAATSKSLLTLLSFGEAIAKSQTSPEKVFMLLDMFDATLELQSEVEVVFQGDECSGNRKSAINLVKCLARMTKRTLGDFKDNILKDSPKSMTTDGDVHPLTSYVGNYIKFIFDYQSSLKLIFQESSIGDRTNSRLVAEMSGVIHALETNLDVKAKQCKDHALGNLFLMNNIHYIVRSICSSEFKDLFGDDWIQRHRRVVQQHATQYRRVTWGKVVECLSSQGLTSSAGSATEVAPESVGNVRSFSGTTPRSVIKARFRSFNKQFEEVCQTQINWAIPDRELHDNLILMIAEILLPAYRSFLKRYGPFVENSHNASKYIKYTPEALEQALGNLFVKKPLSEQGH* >Brasy9G170300.1.p pacid=40064293 transcript=Brasy9G170300.1 locus=Brasy9G170300 ID=Brasy9G170300.1.v1.1 annot-version=v1.1 MLPLSSSPLPSPLLLSLPIATSKLCHPHARLPPLHASASPAPELLAKSALRRISDKLRSLGYLETSSEASTPAPTHISDAPSPGEIFVPTPTQLPRHRVGSTLDPSWATGDGEADTARRRRRGGRDAAAPSPPSAAELKLPRDELRRLQGVGIRLRKRLKVGKPGVTEGIVNGIHERWRNVELVKIRCDDVSAMNMRRTHEILERKTGGLVIWRSGSTIILYRGTNYKYPYFHDQQSNSGDDKDLGIASVQQSSSEEEADNHASHDSILEEDEGDSFGIVYGEQRTIGEEDKNHDIVSMEQSVIRKEDENHPTIFSKEPVVDTQEVNLVTRSTLKSSYGAANKHHARFLVRTNTDPLSEVRAPARSTLVTGVGSPNKFRLQLPGEVKVAEEGDKLLDGLGPRFSGWWGYDPFPVDADLLPAIVPGFRRPFRLLPSGVPSKLTDREMTILRRLARPLPYHYALGRSSNLQGLAVSMIKLWERCEVAKIAIKRGAYCIDSELVSEELKGLTGGTLLSRDNESIVFYRGKDFLSPAVSLAIEKRRKHSNSTIGKLKPETEESTSSQDASELKMKSDTSVNRHECQEEKNEGETSLNTVAQNVQTRLSQAIAEKEKAEKLLEELEKASQPSKAETREGISDEERYMLRKIGLQMKPFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSMKDVEYAARTLEAESGGILVAVERVSKGHAIIMYRGKNYHRPSTLRPKSLLNKRDALKRSVEYQRQKSLKLHVLNLSKNIDYLRGQMQMEGHPVARSDPMNSVHENKGINDLSNSSGTLEEKSECLKLLLRVSSQEGQDCTSGGTDGTLNLTKSGVPLDDRQNKLCFNNRKDDSSEASRPCLNESSSTVSSNDLIKYQNRHSSAVACHSDDDSESYSRDVEIVKPCQALDEPKLEEKCTPFLPFRTAPLSNRERLVLRKQALKMTKRPVLAIGRNNVITGVAKTIQTHFKKHPLAIVNIKNRADGTPVRQLISELERATGSVLVSREPNKVILYRGWGAEMTQKSSKENSTNEVEKEVISPQLLEAIRLECGLHPDESQ* >Brasy9G173400.1.p pacid=40064294 transcript=Brasy9G173400.1 locus=Brasy9G173400 ID=Brasy9G173400.1.v1.1 annot-version=v1.1 MKQKTVISLSMPNETSRSKAMTIAARIPGVISVGITGDGKDMLEVVGVSVDPVRLVCCLRNKKLGHAQIVKVEEVKDKDKKKPEDPPLYYCYPAYPPAPPAPHLVPYDEPPTGCAIM* >Brasy9G129300.1.p pacid=40064295 transcript=Brasy9G129300.1 locus=Brasy9G129300 ID=Brasy9G129300.1.v1.1 annot-version=v1.1 MADEYGRSGAYGRSGTDDYETGYKKSGTDDYDRSGSGGYNKSTGDDEYSGGAGYKKSGASADVDYDGGYNKSSNEDYGRNKSGTDDYDRSGTGGYKKSGGDDDEYIGGAGGYKKSTGDDDEYSGGGYKKSASGDDEDESEKYRKEEKEHKRKEHLGEMGTLAAGAFAMYEKHQAKKDPENAHRHKIEEEVAAVAAVGSGGYAFHEHHEKKESREDAEEEEKESGGRGEGKKKHHFFG* >Brasy9G161700.1.p pacid=40064296 transcript=Brasy9G161700.1 locus=Brasy9G161700 ID=Brasy9G161700.1.v1.1 annot-version=v1.1 MPGGGFLLNGGGVPDYGSALTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPELLKRTTRHSSKDVYCMYNNQALTAFTSSLYAFGMVGTLVASRVTRRVGRQAIMLTGGSLFLVGALVNAAAANLAMLIVGRTLLGLGLGFAGQATPVYLAEMSPPRWRGGFISAFPLFISVGYLVANLINYGTARIPGWGWRLSLGLAAVPAGVMVLGATFITDTPSSLVLRGQHDRARAALQRVRGKGADVDAEFSDILAAVEHDRRNEEGAFRRILRRGYRPYAVMAVAFPVFLNLTGVTVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGVVASGFAMDRYGRKLLFMIGGALMFTCQVAMASIVGSQLGNGSKMPKGYGMAVLVLTCVFSASFSWSWGALYWTVPGEIYPVEVRSAGQGTAVALNLGLNFVQAQCFLAMMCCFKYGIFLFYACWLVVMTAFAMAFVPETKGVPIESMGHVFARHWYWGRFVKDQKFADEST* >Brasy9G161700.2.p pacid=40064297 transcript=Brasy9G161700.2 locus=Brasy9G161700 ID=Brasy9G161700.2.v1.1 annot-version=v1.1 MESFLEKFFPELLKRTTRHSSKDVYCMYNNQALTAFTSSLYAFGMVGTLVASRVTRRVGRQAIMLTGGSLFLVGALVNAAAANLAMLIVGRTLLGLGLGFAGQATPVYLAEMSPPRWRGGFISAFPLFISVGYLVANLINYGTARIPGWGWRLSLGLAAVPAGVMVLGATFITDTPSSLVLRGQHDRARAALQRVRGKGADVDAEFSDILAAVEHDRRNEEGAFRRILRRGYRPYAVMAVAFPVFLNLTGVTVTAFFSPILFRTVGFESDAALMGAVILGLMNIGGVVASGFAMDRYGRKLLFMIGGALMFTCQVAMASIVGSQLGNGSKMPKGYGMAVLVLTCVFSASFSWSWGALYWTVPGEIYPVEVRSAGQGTAVALNLGLNFVQAQCFLAMMCCFKYGIFLFYACWLVVMTAFAMAFVPETKGVPIESMGHVFARHWYWGRFVKDQKFADEST* >Brasy9G252700.1.p pacid=40064298 transcript=Brasy9G252700.1 locus=Brasy9G252700 ID=Brasy9G252700.1.v1.1 annot-version=v1.1 MASDARLSLPRRLPSLLLRPMLRAPCASASTSPRCRILTGVRLSTPAPSRGGSRLRPRAAEAERQGRMQREDDVVDSNVLPYCSLDRKEKKSIGEMEQEFLQALQSFYYDKKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGKPIMTDIEFDELKLRLKKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDFTGFEITYLLELPEPFSFIFTWFAALPLIFWIAQAITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAKNSVKCANCGTALVYDSGSRLITLPEA* >Brasy9G252700.2.p pacid=40064299 transcript=Brasy9G252700.2 locus=Brasy9G252700 ID=Brasy9G252700.2.v1.1 annot-version=v1.1 MASDARLSLPRRLPSLLLRPMLRAPCASASTSPRCRILTGVRLSTPAPSRGGSRLRPRAAEAERQGRMQREDDVVDSNVLPYCSLDRKEKKSIGEMEQEFLQALQSFYYDKKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGKPIMTDIEFDELKLRLKKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDFTGFEITYLLELPEPFSFIFTWFAALPLIFWIAQAITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAKNSVKCANCGTALVYDSGSRLITLPEA* >Brasy9G039900.1.p pacid=40064300 transcript=Brasy9G039900.1 locus=Brasy9G039900 ID=Brasy9G039900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAVGLVTDFNKQTQEKSTAPADLAGKAKRSSRLR* >Brasy9G092000.1.p pacid=40064301 transcript=Brasy9G092000.1 locus=Brasy9G092000 ID=Brasy9G092000.1.v1.1 annot-version=v1.1 MGQGADADEQLRRRLRRVFAAEERSFRMDRLSPAAAALRSAVADVLPRFLGSYSDDTLAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVAWLWGYLSKKAVAPADDSVAQNGLSNESWNRNDKKNVLATSTQPGDAHIVKSKVLAPPQEHNGLQKLDSTNGQNVAQRRISSTVVGSPKRLVGDKSYWEGQLQKKDRSATGDRSFSMLKSGLAAMSEHTLAQEELHNEHLGRSASTGRWPVSVGTDGGEVTESMKRRRNVWDRLGKPAIEDQGLARETETVLVGNRIDKKPKLMVAEHEQKCCVNLSTEGDLFDKANSRMISSSNAEFDKAHEHRESNRSRLIGRLDFGNVKRNYDQTRDVISQKSSSPLPVKSVPSKSLNEFTSDAKSSPAAVSESACHASNKSSKGHVPASNKLSQLTIRINSRTDVLQSQQISSPAQSKSGSSVREDGVNKPVKDEMLDVKLKLKQMELDMLKLRSKQAQINNGKQGAPPSGPHSNLEDDADSRTVLVTNVHFAATKEALSTHFMKCGRVLKNIVLTDANTGHPKGAAFVTFADKESIGRAISLSGTSFYSRVLTVVRKAEAPPGFLASVQQTGMPQPWNSPPFQKGASPKRLSSYHLQWKREQSVMENSTANCPNN* >Brasy9G092000.2.p pacid=40064302 transcript=Brasy9G092000.2 locus=Brasy9G092000 ID=Brasy9G092000.2.v1.1 annot-version=v1.1 MGQGADADEQLRRRLRRVFAAEERSFRMDRLSPAAAALRSAVADVLPRFLGSYSDDTLAYQARDDLEAFLGDDSAKFVAWLWGYLSKKAVAPADDSVAQNGLSNESWNRNDKKNVLATSTQPGDAHIVKSKVLAPPQEHNGLQKLDSTNGQNVAQRRISSTVVGSPKRLVGDKSYWEGQLQKKDRSATGDRSFSMLKSGLAAMSEHTLAQEELHNEHLGRSASTGRWPVSVGTDGGEVTESMKRRRNVWDRLGKPAIEDQGLARETETVLVGNRIDKKPKLMVAEHEQKCCVNLSTEGDLFDKANSRMISSSNAEFDKAHEHRESNRSRLIGRLDFGNVKRNYDQTRDVISQKSSSPLPVKSVPSKSLNEFTSDAKSSPAAVSESACHASNKSSKGHVPASNKLSQLTIRINSRTDVLQSQQISSPAQSKSGSSVREDGVNKPVKDEMLDVKLKLKQMELDMLKLRSKQAQINNGKQGAPPSGPHSNLEDDADSRTVLVTNVHFAATKEALSTHFMKCGRVLKNIVLTDANTGHPKGAAFVTFADKESIGRAISLSGTSFYSRVLTVVRKAEAPPGFLASVQQTGMPQPWNSPPFQKGASPKRLSSYHLQWKREQSVMENSTANCPNN* >Brasy9G130500.1.p pacid=40064303 transcript=Brasy9G130500.1 locus=Brasy9G130500 ID=Brasy9G130500.1.v1.1 annot-version=v1.1 MLPTPVAGVFSEQALAAETAAIVSALTHVISSGRGGPPCPAPPLAMLGASCQGTMEPEGMAEPAPATARKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGSRAKLNFPEDAAAATTRRATDAAAAASSKPAAVLESQQQQGDDVMDYLEYSRILEGTGPSPSDFLLGGGGGNEGNGNGRFLGSWSIGTPPASSGASASLAGAGPASASPLFQCGSGSGWKQSSTDEIYQRAI* >Brasy9G023100.1.p pacid=40064304 transcript=Brasy9G023100.1 locus=Brasy9G023100 ID=Brasy9G023100.1.v1.1 annot-version=v1.1 MHQGPNCTPAPPGQEIDGSSDQSLRPLLYNGWVFPLFMANFFVMVLANIRRRNASGIGMLILWTEYQLAKLIAPYALNNISICNDGALCQRQQLSAFWSTFLLHHLGGPDNFSVFSLEENELSWAGMISIGLDIFGSGYVLLYKNVRGDTALFSASIIIFIVGAAKNMERARALFGTDFGIIWNSSKKKQPSQIFSTDGDGSVSLDDEEALMVAHDMLPVCKRAMSDSSVENVSPDNDHDLNTSREIFNLGWQDMCSVVEMELSLMYDILYTKAAVAHTWIGYIIRVASPVAVAAATILFWLFYSKEGQTIEDVLVTYALLAATFVWDTRWLFRALGSTWAHTFLRSSWSWLHHWALCTGRWRRFRHVIVSLELLLTAPSSSPSSYRRWSGTVEQYSLMRHKASDDVKRAVFTRVRKLLKLTITYEKPDKDAYSMKDITTSWGQLTAKRRHENLVSLHLGFGHEFQEDILVWHIATQVFLICSDRARSVIRNDKNAASQATAIKVLSEYLMFLVAVRGHMLPGLALRGLYEVTLKYLRQVDKDGSSSSLFTTREEKLARMLIDRKKTDKRWGFDRHEETRTVRDGANLAVELLDEAHESEMPLLLDLIFNVWVDKLLYAATQCSPESHAKQLGRGGGLTTIVWIMAQHAGLYQIGVNGPDAISDDEMQPDEKKRKEPGEMEAEQDSPPRMSSYPEPGEEEYPPSWPESIVGPPYFGGHKPRMDPRPQVLDEPQEIKPRKPRRERHRRYATLYPVD* >Brasy9G306900.1.p pacid=40064305 transcript=Brasy9G306900.1 locus=Brasy9G306900 ID=Brasy9G306900.1.v1.1 annot-version=v1.1 MAVDLMGCFAPRRADDQLAIQEAAAAGLRSLELLVSSLSAAPSSKAHPQQQQPQQPPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESPPHAPVVSAPPPPPPPSAPVATVVAPVVVAPQPQPQSLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLGKPPLSGHKRKPCAGAHSEANTTGSRCHCSKRRKNRVKTTIRVPAVSSKIADIPADEFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHSPVPLSAPSPMPPMAAAAVSSLSAGNGHA* >Brasy9G115900.1.p pacid=40064306 transcript=Brasy9G115900.1 locus=Brasy9G115900 ID=Brasy9G115900.1.v1.1 annot-version=v1.1 MGAPVSFVVMASAVLAVALCGGVGRAQDMDNEWARYRGFFGGGGTLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDVNLTGGGPPPVGAQTAALTPFVRDVATQFCYQEVGHLRAIKQNVRGFPRPLLDISATNFGKIVEQAMNTTLDPPFNPYENSLNFLIASYIIPYVGLTGYVGANPKLLTPQARRLVAGLLGVESAQDAVIRALLYERGLSRVASYGVGVAEVTAHISELRNELGRRGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGTGNPAQAGGFFPQGADGRIARGLLM* >Brasy9G236000.1.p pacid=40064307 transcript=Brasy9G236000.1 locus=Brasy9G236000 ID=Brasy9G236000.1.v1.1 annot-version=v1.1 MESCSLVDTAAASTLCSTAGGRRRARSGTRFFNCSSSSKEHGVSASCSMGRMLSGAKSAARRKLFRSEPDWLGLDWSDPSDAAGHHWWTTLENNFVLEASEDEYGGVVVDADRLPSDQAAFAGSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEESYLMLTYWIPDEPSLLPANASHQVGVGGFVINDQMEVLVVQEKYRGSPMDGVWKLPTGFILASEEIFTGAIREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSNGIKIIDESEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHDVVSKFDGRASTLYYNVDEPEDVTCSAA* >Brasy9G218100.1.p pacid=40064308 transcript=Brasy9G218100.1 locus=Brasy9G218100 ID=Brasy9G218100.1.v1.1 annot-version=v1.1 MLAIITKFTTELINKKKTAKIIVEQYSMQTPGQRDLHHAYAEGGGARNGIGTVTLGIEGIGGNATLGMDGIEIEGIGGIVTFGTTIAGMGGNVTWGTVTAGTAGTVSWGTVTAGTAGMGGTVSWGMVTAGTVGIVGTVGTGGFGMGMPGTAAGAAASVVSARRRPAWVMLPFRRASAMAMAKKLQVLAAAILGSFVLSDF* >Brasy9G362900.1.p pacid=40064309 transcript=Brasy9G362900.1 locus=Brasy9G362900 ID=Brasy9G362900.1.v1.1 annot-version=v1.1 MLAQILKAKYHPDSTIWTAKNSVPKSAFWTSILKVWHHIENSCFYQIVNGNISIWSTPWCAIWKNIHNHLILQRSNFSYPAVVKDLWIQGTKTWNVNLINNLFSPYAAVIITNTPILQFDGDDMLCWKHNPSGICTSKSAYRLTLQEVLSPSSNGSIVTNQDLSLLRTIWASKNLIPRVQLFAWRLIRKALPTGKRAGKYSRHINKLCCRCGLTEDEIHLFFLCPFSKAAWFSAPWFIRTEAFATNSESITDIISFLLNSNHPQASTQNIFTFVWCIWKARNDCLFSRKHSLPAHIFISAQALIDCTCLELGGSH* >Brasy9G029500.1.p pacid=40064310 transcript=Brasy9G029500.1 locus=Brasy9G029500 ID=Brasy9G029500.1.v1.1 annot-version=v1.1 MILAAAFVIKSWKVPSVFLCRALLPKRFGSVSRWIPKDAPEYLIVSYYASSLHECWTKLNEISWKKNKDRKHCPLRLLLLFVLRNFASRGRGSASSCSVLG* >Brasy9G306400.1.p pacid=40064311 transcript=Brasy9G306400.1 locus=Brasy9G306400 ID=Brasy9G306400.1.v1.1 annot-version=v1.1 MDANRRQSGIQQLLAAEQEAQQIVNAARAAKLARLRQAKEEAEREIAEYRAQMEADFQRKLAESSGDSGANVKRLEQETNEKIAQLKQQAATISPEVIQMLLRHVTTVKN* >Brasy9G087200.1.p pacid=40064312 transcript=Brasy9G087200.1 locus=Brasy9G087200 ID=Brasy9G087200.1.v1.1 annot-version=v1.1 MLCNLEEPTRLLQGLASNRTVKQALPPKARISCILKVITVKKRQLLLVVQTRKCPKARENHRKEQGPNNLYPEDLIRLQDTQFSLLLTVKIAMHARQVWCERSKGRGCERRRRCIGKI* >Brasy9G056300.1.p pacid=40064313 transcript=Brasy9G056300.1 locus=Brasy9G056300 ID=Brasy9G056300.1.v1.1 annot-version=v1.1 EGNPPEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIISLIVSLFRVLRYSE* >Brasy9G121900.1.p pacid=40064314 transcript=Brasy9G121900.1 locus=Brasy9G121900 ID=Brasy9G121900.1.v1.1 annot-version=v1.1 MGQRAACDEDRLNALPDDLLLRILGRLDTRSALGAAALSTRWSHLPRELRALDLKVTDGLPPRYHRCLALRHKLHPVAGRYERRAMRSMVNSVRSLLASEAHRHRRVGRLSLEVFDFSTSGCINRLSRLRSLKLVNCLPPPLEGFAALTTLVLRDLPWSTPPAAYEGIVAGCPRLRVLHLVSCRFHTETPWLVFDAPMSEIRELVLDGRLMSVQLRSLPKLESLTALQAHLRLCSDAAPCLARVSFHFSVESLKYPCIDHLVSMFTRFLRDAVTMRDLVLRLTGPEKWIVPAENPFSRMPNLKKLLVADVPSSWDVSWPHILVQAAPLLETLHVHVSHCQEEEPGQNVSYLQPSASQHHRHLKELVVIGFHRRAKIQLIHLVRFIVDTSKALRRVTLFKRGHVEEKGPCDWEMVSQQSTWSNEEKLAVLDGICSSTAQIEVMLG* >Brasy9G061500.1.p pacid=40064315 transcript=Brasy9G061500.1 locus=Brasy9G061500 ID=Brasy9G061500.1.v1.1 annot-version=v1.1 MLLYFTKGTFVESVPAVLEWWLDLISDEDMFPLSARPESSYFRCSSLNSVSYYAGLNYDKRRCNCSLGYEGNPYITDGCQDIDECQQPDVYPCLHGNCINMPGTYRCATKKSISRLPGLITVIAISAGSGVLFSLLGIAKITNKLKQQRDKKLRQKFFKKNHGLLLQQLISSNKDIAQRTKIFSLAELEQSTNKFDQNRILGGGGHGTVYKGILSDQRVVAIKKAKIVVQREIDQFINELVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFHLHGQNEDPLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDTLTAKVSDFGASRSIAIDETGILTAVQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVTPVFSSHSSEGTSLSSHFVSLVRDNRLLDILDAQIVEEGGAEDAAMVARIAVACLSLKGEERPTMRQVETALEDVQGSNVHHNSQTPRVSQNALKDHSCKGAKGDEGTRLYSLEKEFIQSSELPR* >Brasy9G101400.1.p pacid=40064316 transcript=Brasy9G101400.1 locus=Brasy9G101400 ID=Brasy9G101400.1.v1.1 annot-version=v1.1 MVLKSLTRPKLSSVLMTKEETDELIPGLPEDMAKICLALVPRKHFPAMGAVSRRWMLFVGSREFSAVRKEVGKIEELIYVLVAEPGGKGSRWEVLGHQNNRVLPPMPGVTKAGFGVVVLDGKMFVIAGYDVDHGKECVSDAVYQYDARLNRWGAIASMNVARRDFACAVLEGVIYVAGGFGSDSNIYC* >Brasy9G212000.1.p pacid=40064317 transcript=Brasy9G212000.1 locus=Brasy9G212000 ID=Brasy9G212000.1.v1.1 annot-version=v1.1 MEAERRSDRLRQEPTVATRVEDGGAANSGDESDGEFEFSFASRPDPAAATGGATADELVYPVFGRVFDETRAPVRRPLGRLFLEEESAPARNSSVGSTSSSSSSAATDSADLDGVSPDTYCVWTPGAPSPASSPARSPRKSGSTGSLSRWRRVSELLVIGRSHSDGRDKFRFLSAPPSPARDHQPKAKPSPRGAVNGKADTELDTVAASHRMFYGSPKGSPGAARRTFLPYRQDLVGLFSNAKGLSRSPYYQPF* >Brasy9G120500.1.p pacid=40064318 transcript=Brasy9G120500.1 locus=Brasy9G120500 ID=Brasy9G120500.1.v1.1 annot-version=v1.1 MGTAATLPLLVCLLCWTAVIVRSSHIVYPELQSLEAKEVDKELRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWVGLEPGIYPSKPFDVNGCWSGSATVLPSGVPAIMYTGIDPDERQVQNVAYPANLSDPFLREWVKPNYNPIINPDQGINASAFRDPTTAWFGPDGHWRLVVGSKENMRGIAVLYRSRDFKKWIKAHHSLHAGLTGMWECPDFYPVAVAGTGSRSHRSGVDTAELRDAAVAEEVKYVLKVSLDLTRYEYYTVGTYDHAKDRYTPDPNFPDNDYGLRYDYGDFYASKSFFDPAKKRRVLWGWANESDTVPDDRHKGWAGIQAIPRKIFLSRSGRQLIQWPVEEVKSLRAKHVNVSNKAVKSGDYFEVTGFKSVQSDVEAAFAIKDLDKAEEFDPAWRTNAQGLCHKLNSHIKGGVGPFGLWLLASGDLKERTAVFFRVFKTNDTAKHVVLMCNDPTRSSYESQIYRPTFAGFVNVDIAKNKKIALRTLIDHSVVESFGAGGKTCILTRVYPRKAIGDDAHLFVFNNGESDIKVTNLRAWEMKTPKMNAPEKI* >Brasy9G341900.1.p pacid=40064319 transcript=Brasy9G341900.1 locus=Brasy9G341900 ID=Brasy9G341900.1.v1.1 annot-version=v1.1 MAPSTSSASTLLHLPPGLHPPRGPQRGGWLDHPRRRRPRHAAVRCSFAFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALVNSQAQHPLQIGEQLTRGLGTGGNPNLGEQAAEESKEVIANALIDSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARILEAKEKAASLASVGAQQQAPAPTWSRRLFS* >Brasy9G121200.1.p pacid=40064320 transcript=Brasy9G121200.1 locus=Brasy9G121200 ID=Brasy9G121200.1.v1.1 annot-version=v1.1 MAVTTIEDLPADVLARALRRLDGRSLAAASGATAGLRALAADPDTWRALCLADWPSLASQHGLLSAVPPRRLFADALPFPCPDATELGPGAGLPGELVSAVDVHYRGAALLSTVVETPAASSPWFLSSPFRVEAVADCTKPAPATAATAPFSPSELELSWVVMDRARGRAVNVSSRRAVAVGRHWYTGDTLVRFAVVLGGCGFEATVACSEETGHVSEVSLAAEDADGAAVSGERSLRLLAAAMEGQRKGGEREQGEAKTRYEAFVKSKKGRKESKARREALVDLCCSAASAVAVLAFIATVLLR* >Brasy9G250500.1.p pacid=40064321 transcript=Brasy9G250500.1 locus=Brasy9G250500 ID=Brasy9G250500.1.v1.1 annot-version=v1.1 MFVRKLVEKASKKHSSGAGGLRAEDVSPRLAFHYGVPADAALLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLQFADGQGVLLNVNTQNQIEVWDIDTKKLCYLHPLEKQITAFAVLQKSFYIYVGDSVGNVSLLKLDLDQRCLSDMPYCIPFAESYGSTANVRNEVEVAFLSPQPLAEYNRLLIIFKDGVITLWDIKTSKGIFVSGRTMQQQSHQEEKNVTSSCWACTKGSKIAIGYDSGDIYLWAIPDILSAQNSSSSSNQNLPLQRLNLGYKLEKVPIVSLRWVASNEKSGRLYINGFSQQAYLYQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKHRQTVLVLLLKSGQIYLYDDSEIEHYLLHSQSRSPPTLPYHSSVKQPYGDSGINIAKFYTTGPTGLASLEEDYFSSSVSKYPWLLSMKDKGQISASSTNIHKTRNLYITGHIDGTISFWDASCPLLLQIFTIKPQNEDNAASGTRITSVQFDMPSSILISGDQSGTVRIITFKKDSSDNILSFLHAKQGDNNNVRSIKLKGAVTSTSMISNSKHFAVGTEKGIISVIKIEDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNANPVQTNRPSRALLLQTLELSPNDASVSDNQNAALKEWLLLLCTENAIRLFSLSHAIQGMKKITNKKKLNGSCCFASLIHSPSNIGLVLVFSNGKVEIRSLPDLSLLKESSLRGFVYSKNSNSSSSMTCSSDGEMILVNREETYFFSTLCQDDIYRHVDNINTVYRKDHLPREEPSYVVKSPKEKKKGIFGMIMKDAKGNKAKKSDAISNEQFTMTTCEELASVFSSSNFTPPSERRNSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLNKQKISKGFQTLRGKLKPRTEEKVNSGNTKPEDEPLIRQVDQIKMKYGYATTDDSTSVPKMIGNKLQENIKKLEGINLRSADMAHGAQSFSAMAKELLRTTKNEKGSS* >Brasy9G250500.4.p pacid=40064322 transcript=Brasy9G250500.4 locus=Brasy9G250500 ID=Brasy9G250500.4.v1.1 annot-version=v1.1 MPYCIPFAESYGSTANVRNEVEVAFLSPQPLAEYNRLLIIFKDGVITLWDIKTSKGIFVSGRTMQQQSHQEEKNVTSSCWACTKGSKIAIGYDSGDIYLWAIPDILSAQNSSSSSNQNLPLQRLNLGYKLEKVPIVSLRWVASNEKSGRLYINGFSQQAYLYQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKHRQTVLVLLLKSGQIYLYDDSEIEHYLLHSQSRSPPTLPYHSSVKQPYGDSGINIAKFYTTGPTGLASLEETASSLNGQDYFSSSVSKYPWLLSMKDKGQISASSTNIHKTRNLYITGHIDGTISFWDASCPLLLQIFTIKPQNEDNAASGTRITSVQFDMPSSILISGDQSGTVRIITFKKDSSDNILSFLHAKQGDNNNVRSIKLKGAVTSTSMISNSKHFAVGTEKGIISVIKIEDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNANPVQTNRPSRALLLQTLELSPNDASVSDNQNAALKEWLLLLCTENAIRLFSLSHAIQGMKKITNKKKLNGSCCFASLIHSPSNIGLVLVFSNGKVEIRSLPDLSLLKESSLRGFVYSKNSNSSSSMTCSSDGEMILVNREETYFFSTLCQDDIYRHVDNINTVYRKDHLPREEPSYVVKSPKEKKKGIFGMIMKDAKGNKAKKSDAISNEQFTMTTCEELASVFSSSNFTPPSERRNSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLNKQKISKGFQTLRGKLKPRTEEKVNSGNTKPEDEPLIRQVDQIKMKYGYATTDDSTSVPKMIGNKLQENIKKLEGINLRSADMAHGAQSFSAMAKELLRTTKNEKGSS* >Brasy9G250500.5.p pacid=40064323 transcript=Brasy9G250500.5 locus=Brasy9G250500 ID=Brasy9G250500.5.v1.1 annot-version=v1.1 MQQQSHQEEKNVTSSCWACTKGSKIAIGYDSGDIYLWAIPDILSAQNSSSSSNQNLPLQRLNLGYKLEKVPIVSLRWVASNEKSGRLYINGFSQQAYLYQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKHRQTVLVLLLKSGQIYLYDDSEIEHYLLHSQSRSPPTLPYHSSVKQPYGDSGINIAKFYTTGPTGLASLEEDYFSSSVSKYPWLLSMKDKGQISASSTNIHKTRNLYITGHIDGTISFWDASCPLLLQIFTIKPQNEDNAASGTRITSVQFDMPSSILISGDQSGTVRIITFKKDSSDNILSFLHAKQGDNNNVRSIKLKGAVTSTSMISNSKHFAVGTEKGIISVIKIEDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNANPVQTNRPSRALLLQTLELSPNDASVSDNQNAALKEWLLLLCTENAIRLFSLSHAIQGMKKITNKKKLNGSCCFASLIHSPSNIGLVLVFSNGKVEIRSLPDLSLLKESSLRGFVYSKNSNSSSSMTCSSDGEMILVNREETYFFSTLCQDDIYRHVDNINTVYRKDHLPREEPSYVVKSPKEKKKGIFGMIMKDAKGNKAKKSDAISNEQFTMTTCEELASVFSSSNFTPPSERRNSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLNKQKISKGFQTLRGKLKPRTEEKVNSGNTKPEDEPLIRQVDQIKMKYGYATTDDSTSVPKMIGNKLQENIKKLEGINLRSADMAHGAQSFSAMAKELLRTTKNEKGSS* >Brasy9G250500.2.p pacid=40064324 transcript=Brasy9G250500.2 locus=Brasy9G250500 ID=Brasy9G250500.2.v1.1 annot-version=v1.1 MPYCIPFAESYGSTANVRNEVEVAFLSPQPLAEYNRLLIIFKDGVITLWDIKTSKGIFVSGRTMQQQSHQEEKNVTSSCWACTKGSKIAIGYDSGDIYLWAIPDILSAQNSSSSSNQNLPLQRLNLGYKLEKVPIVSLRWVASNEKSGRLYINGFSQQAYLYQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKHRQTVLVLLLKSGQIYLYDDSEIEHYLLHSQSRSPPTLPYHSSVKQPYGDSGINIAKFYTTGPTGLASLEEDYFSSSVSKYPWLLSMKDKGQISASSTNIHKTRNLYITGHIDGTISFWDASCPLLLQIFTIKPQNEDNAASGTRITSVQFDMPSSILISGDQSGTVRIITFKKDSSDNILSFLHAKQGDNNNVRSIKLKGAVTSTSMISNSKHFAVGTEKGIISVIKIEDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNANPVQTNRPSRALLLQTLELSPNDASVSDNQNAALKEWLLLLCTENAIRLFSLSHAIQGMKKITNKKKLNGSCCFASLIHSPSNIGLVLVFSNGKVEIRSLPDLSLLKESSLRGFVYSKNSNSSSSMTCSSDGEMILVNREETYFFSTLCQDDIYRHVDNINTVYRKDHLPREEPSYVVKSPKEKKKGIFGMIMKDAKGNKAKKSDAISNEQFTMTTCEELASVFSSSNFTPPSERRNSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLNKQKISKGFQTLRGQKSIIIRCSRKIEAQNRRKGEFREYET* >Brasy9G250500.3.p pacid=40064325 transcript=Brasy9G250500.3 locus=Brasy9G250500 ID=Brasy9G250500.3.v1.1 annot-version=v1.1 MQQQSHQEEKNVTSSCWACTKGSKIAIGYDSGDIYLWAIPDILSAQNSSSSSNQNLPLQRLNLGYKLEKVPIVSLRWVASNEKSGRLYINGFSQQAYLYQVLILNEESESRIVKMVLPLTEACQGMELVTGLSDPNKHRQTVLVLLLKSGQIYLYDDSEIEHYLLHSQSRSPPTLPYHSSVKQPYGDSGINIAKFYTTGPTGLASLEEDYFSSSVSKYPWLLSMKDKGQISASSTNIHKTRNLYITGHIDGTISFWDASCPLLLQIFTIKPQNEDNAASGTRITSVQFDMPSSILISGDQSGTVRIITFKKDSSDNILSFLHAKQGDNNNVRSIKLKGAVTSTSMISNSKHFAVGTEKGIISVIKIEDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNANPVQTNRPSRALLLQTLELSPNDASVSDNQNAALKEWLLLLCTENAIRLFSLSHAIQGMKKITNKKKLNGSCCFASLIHSPSNIGLVLVFSNGKVEIRSLPDLSLLKESSLRGFVYSKNSNSSSSMTCSSDGEMILVNREETYFFSTLCQDDIYRHVDNINTVYRKDHLPREEPSYVVKSPKEKKKGIFGMIMKDAKGNKAKKSDAISNEQFTMTTCEELASVFSSSNFTPPSERRNSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLNKQKISKGFQTLRGQKSIIIRCSRKIEAQNRRKGEFREYET* >Brasy9G262600.1.p pacid=40064326 transcript=Brasy9G262600.1 locus=Brasy9G262600 ID=Brasy9G262600.1.v1.1 annot-version=v1.1 MAALAASRRLLHLRPELELCLRSRVLPLYSCRANGPGRSGHAPPRRRLVSCRQGPCGEGNAFAGKNNVMPSPDEQLNPGKDDSALEPMEKKNFSDEFLQLSLEEEEGHGVVCETTVQDVRKSAVELLAARAFTVSELRKKLCGKKFPVDTVDSVIADFKSRGLLNDGYYAESFSRSRWQSSTWGPRRIKQALRQKGVPDAEADQATRKVFQDDHDDEKQTARGISEASMDHLFVQASKQWQQGKSLPLENRRARIVRWLQYRGFSWGVTNTIVRKLEAQHPP* >Brasy9G012900.1.p pacid=40064327 transcript=Brasy9G012900.1 locus=Brasy9G012900 ID=Brasy9G012900.1.v1.1 annot-version=v1.1 MAARALTAAVFFGGRRAGGLRTGARATASATGAQVEAALNRKDVEELVDLNPQGEETVVAVVGGGGAPDAGEWAPDGDTGVFVPADAGTPVTPVNGGSSASVLDQAVFVREEDMEDVERPAIDMPNASPNANGGSSN* >Brasy9G036600.1.p pacid=40064328 transcript=Brasy9G036600.1 locus=Brasy9G036600 ID=Brasy9G036600.1.v1.1 annot-version=v1.1 MAPPPQKPTPVIPREAWEGCSVLLDINDGDRLAFFRLNPGATVKIGNTSYSLQPLVGRPFGSLFRVGPSGLVPCTAEAPPQAQVGADGQVQDETRDNRSLVDNNTAQNLSSEDIEAMKRDGASGDAIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKYPARIGFMRVDALSLLLSMANVGAYSDVLVVDIVGGLVVGAVAERLGGTGYVCNTYLGSAPSNIDIIRMYNLSSNMNSRIFQASLSDVCSLQNSGTALNGNIQGEVVEPSAVSDESPQSSLALPVDAAVSDGNAQSTGVQPIKIEVPEPSMDENLNQDDNSLSDCKGNDGTSIASKPVKAGRAPSPEKMKYWEEHGFSSLIVAAPEHEVESLVADLLPLLSYSAPFAIYHQQLQPLATCMHSLQVSKSAIGLQITEPWLREFQVLPSRTHPHMQMSGFGGYILSGIRIQKPDPCNGEYARIAQPSMLLSIGENQSS* >Brasy9G036600.2.p pacid=40064329 transcript=Brasy9G036600.2 locus=Brasy9G036600 ID=Brasy9G036600.2.v1.1 annot-version=v1.1 MAPPPQKPTPVIPREAWEGCSVLLDINDGDRLAFFRLNPGATVKIGNTSYSLQPLVGRPFGSLFRVGPSGLVPCTAEAPPQAQVGADGQVQDETRDNRSLVDNNTAQNLSSEDIEAMKRDGASGDAIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKYPARIGFMRVDALSLLLSMANVGAYSDVLVVDIVGGLVVGAVAERLGGTGYVCNTYLGSAPSNIDIIRMYNLSSNMNSRIFQASLSDVCSLQNSGTALNGNIQVDAAVSDGNAQSTGVQPIKIEVPEPSMDENLNQDDNSLSDCKGNDGTSIASKPVKAGRAPSPEKMKYWEEHGFSSLIVAAPEHEVESLVADLLPLLSYSAPFAIYHQQLQPLATCMHSLQVSKSAIGLQITEPWLREFQVLPSRTHPHMQMSGFGGYILSGIRIQKPDPCNGEYARIAQPSMLLSIGENQSS* >Brasy9G036600.3.p pacid=40064330 transcript=Brasy9G036600.3 locus=Brasy9G036600 ID=Brasy9G036600.3.v1.1 annot-version=v1.1 MAPPPQKPTPVIPREAWEGCSVLLDINDGDRLAFFRLNPGATVKIGNTSYSLQPLVGRPFGSLFRVGPSGLVPCTAEAPPQAQVGADGQVQDETRDNRSLVDNNTAQNLSSEDIEAMKRDGASGDAIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKYPARIGFMRVDALSLLLSMANVGAYSDVLVVDIVGGLVVGAVAERLGGTGYVCNTYLGSAPSNIDIIRMYNLSSNMNSRIFQASLSDVCSLQNSGTALNVDAAVSDGNAQSTGVQPIKIEVPEPSMDENLNQDDNSLSDCKGNDGTSIASKPVKAGRAPSPEKMKYWEEHGFSSLIVAAPEHEVESLVADLLPLLSYSAPFAIYHQQLQPLATCMHSLQVSKSAIGLQITEPWLREFQVLPSRTHPHMQMSGFGGYILSGIRIQKPDPCNGEYARIAQPSMLLSIGENQSS* >Brasy9G115600.1.p pacid=40064331 transcript=Brasy9G115600.1 locus=Brasy9G115600 ID=Brasy9G115600.1.v1.1 annot-version=v1.1 MGGDDLAGGAGGDNSSSSLVGGGGWAKVTGEVFWDPRAVCKDQKDEGKKMSTSEINLRQYSNIVAALEGENRRLMDSVKELEMVIEKMKQEKKIMERRHRLEIRVRDRKELCIVMFVGAFAIGYVVAALITRGFI* >Brasy9G065300.1.p pacid=40064332 transcript=Brasy9G065300.1 locus=Brasy9G065300 ID=Brasy9G065300.1.v1.1 annot-version=v1.1 MDPASSSAMAKQTWELENNIPAKAIDPEAMDAVYRFDEVANARALQQKPWANDPHHYRRVRISALALLKMVVHARSGGTIEIMGLMQGKVDGDTMIVMDAFALPVEGTETRVNAQADAYEYMVDYSTINKQAGRLENVIGWYHSHPGYGCWLSGIDVSTQMLNQTYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDDPISEYQTIPLNKIEDFGVHCKQYYPLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRFGMLMSSQRKKEPEDSPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKASTSAPESPVPEPMVET* >Brasy9G064100.1.p pacid=40064333 transcript=Brasy9G064100.1 locus=Brasy9G064100 ID=Brasy9G064100.1.v1.1 annot-version=v1.1 MGATASVLSLPVAATAIAGAAGCFALGYLLALARSPRHAADAPASGGLSDEDSEDDSEEDDDEKSGRARARTAKGAAGRKRTGLRLLFWSRNVVTKSDSARETERAQVQAASAPLEIENLAQIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQGRAKSMNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL* >Brasy9G064100.2.p pacid=40064334 transcript=Brasy9G064100.2 locus=Brasy9G064100 ID=Brasy9G064100.2.v1.1 annot-version=v1.1 MGATASVLSLPVAATAIAGAAGCFALGYLLALARSPRHAADAPASGGLSDEDSEDDSEEDDDEKSGRARARTAKGAAGRKRTGLRLLFWSRNVVTKSDSARETERAQVQAASAPLEIENLAQIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQGRAKSMNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL* >Brasy9G064100.3.p pacid=40064335 transcript=Brasy9G064100.3 locus=Brasy9G064100 ID=Brasy9G064100.3.v1.1 annot-version=v1.1 MGATASVLSLPVAATAIAGAAGCFALGYLLALARSPRHAADAPASGGLSDEDSEDDSEEDDDEKSGRARARTAKGAAGRKRTGLRLLFWSRNVVTKSDSARETERAQVQAASAPLEIENLAQIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQGRAKSMNLPTHITIDAGRTQIAPRPADMVDDVTGGLKLL* >Brasy9G064100.4.p pacid=40064336 transcript=Brasy9G064100.4 locus=Brasy9G064100 ID=Brasy9G064100.4.v1.1 annot-version=v1.1 MGATASVLSLPVAATAIAGAAGCFALGYLLALARSPRHAADAPASGGLSDEDSEDDSEEDDDEKSGRARARTAKGAAGRKRTGLRLLFWSRNVVTKSDSARETERAQVQAASAPLEIENLAQIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQGRAKSMNLPTHITIDAGRTQIAPRPADMVDDVTGGLKLL* >Brasy9G156600.1.p pacid=40064337 transcript=Brasy9G156600.1 locus=Brasy9G156600 ID=Brasy9G156600.1.v1.1 annot-version=v1.1 MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNSSNNELVRTQTLVKNAIVQVDAAPFKQWYLTHYGVDIGRKKKTPAASKKDATEDGDAPAAVAAEETKKSNNVQRKLEKRQQGRTLDPHIEEQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA* >Brasy9G111500.1.p pacid=40064338 transcript=Brasy9G111500.1 locus=Brasy9G111500 ID=Brasy9G111500.1.v1.1 annot-version=v1.1 MATADGQPQPVATEPSPSPAKRKPETESDLSPLDPSPKAARLDADEEAAAEAKARAADKGKGKMVVEEEDEGDEESDDEEEEVEGGGDDSDGFCEDPLAEVDLNNILPSRTRRRAAPQPGAYLVPPEETEEDDDDEDADIGMAPEEESEEGEESD* >Brasy9G000500.1.p pacid=40064339 transcript=Brasy9G000500.1 locus=Brasy9G000500 ID=Brasy9G000500.1.v1.1 annot-version=v1.1 MSLIGPSRPLDQAGPTRSPDETSISHSSQGKQEKKGKRLPPSLPSRLHHAGTQPLRPPLAAPPPSHLMPLSCLPSACRYESSPPHAAPPPLAAWRSPLSCLPLRLISSSSSPRHLAHLVLRFKKALHPGTRPARRKPHQQ* >Brasy9G035400.1.p pacid=40064340 transcript=Brasy9G035400.1 locus=Brasy9G035400 ID=Brasy9G035400.1.v1.1 annot-version=v1.1 MIVRVDELKEDVHMLFKNCNNTTARMFLLDTLQHLGIDHHFEDRIDAVLTEILDSAELSNSSSLEEAALRFRLLREHGYWVSPDVFNKFRADDGSFSKYLTTEPRGLLSLYNAAHLLVQGEPVLEEAITFARHHLESMSGSLKSPLAEEVKRALHIPLPRTCKRAETLHYISNYEKEEGHDPILLELAKLDFNLLQYVHLKELRAITEYVNSPPPLSLSLSLSLSLSLK* >Brasy9G185100.1.p pacid=40064341 transcript=Brasy9G185100.1 locus=Brasy9G185100 ID=Brasy9G185100.1.v1.1 annot-version=v1.1 MARRSAPLLRRLVSSPPPLPGHGAAARRTVTYMPRPGDGTPRPVTLIPGDGIGPLVTGSVQQVMDAMHAPVYFETYDVHGDMPSVPPAVIDSIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCANVPGLPTRHKNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNYRKKVTAVHKANIMKLADGLFLESCREVASKYPGIEYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGLVGGTGVMPGGNVGQDHAIFEQGASAGNVGNDNLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGKYRTKDLGGTSTTQEVTDAVIANLD* >Brasy9G185100.2.p pacid=40064342 transcript=Brasy9G185100.2 locus=Brasy9G185100 ID=Brasy9G185100.2.v1.1 annot-version=v1.1 MARRSAPLLRRLVSSPPPLPGHGAAARRTVTYMPRPGDGTPRPVTLIPGDGIGPLVTGSVQQVMDAMHAPVYFETYDVHGDMPSVPPAVIDSIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCANVPGLPTRHKNVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNYRKKVTAVHKANIMKLADGLFLESCREVASKYPGIEYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGLVGGTGVMPGGNVGQDHAIFEQGASAGNVGNDNLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGKYRTKDLGGTSTTQEVTDAVIANLD* >Brasy9G243200.1.p pacid=40064343 transcript=Brasy9G243200.1 locus=Brasy9G243200 ID=Brasy9G243200.1.v1.1 annot-version=v1.1 MEEVICWRSAKSWRAADGADVFYEKIMLYEQCILQDSAEFMQLLVPEAGSSAASGGGVLGGCHGGLDHEAMHKLVNQTGEQMQDIVKMVIPQLFSKENVYGLLMKFVGQYLKWLKDNKGKLKKEEYEQYEKQLELMVNLTVVYDDDPENFSKITKIMSKIAECGPPPSDIIEDTVPGFDPSTLLQLILVNRLQFTSNIMRNYDACHISFLVVLLFRMLLSFEFVMVVGYS* >Brasy9G164300.1.p pacid=40064344 transcript=Brasy9G164300.1 locus=Brasy9G164300 ID=Brasy9G164300.1.v1.1 annot-version=v1.1 MARVPLLVALCLVCFASGASAAGRKMVGVYELRKGDFSVKVTDWGATVTSVVFPDSKGNLGDVVLGYDTIAEYVNGSSYFGALVGRVANRVAKARFVLDGKVYHLYANDGKNALHGGHRGFSKVIWTVKEYVAGGDCPHITLYYHSFDGEQGFPGDLDVHVTYELSSPYVLSVRMNATALDKATPVNLAQHTYWNLGGQGTGDVLGHTVQLLAAHYTPVDGTLIPTGQLAPVAGTPYDLRAPTAVGARVRQVSGGSSNGSAVYGFDINYAVDGEDAHALRKVAVVRDGASGRAMELWADQPGVQFYTGNFLKDVKGKGGVVYGQYGALCLETQGFPNAVNQPGFPSQIVRPGQGAYKHDMVFKFSF* >Brasy9G134600.1.p pacid=40064345 transcript=Brasy9G134600.1 locus=Brasy9G134600 ID=Brasy9G134600.1.v1.1 annot-version=v1.1 MKKRFKIQLLVLKEDDAYEEGPYRWISITSTDITVHGMQHIPAETSSFLLISKGSAPPLVLPNGLFRHSSNLGVLVLCCCAFNFASPPFVECHSLRFLGVDHCINDKTDGEDHAEWPCLNTLWVLDLRFTDWNEILSEEKIDLMTNTRELNIEGLMCWQYITRLQDQLPNLQRLRIIKPTQELEASTNTCNSFLDKTKLEILDLSGNSEMETLPNSLSKASSLQVLVLDGCIGLEDVVLPDGLPHLLRLFSFDGYGPSSQWTPALELPPNHFRPSTTADKKEINVSKISLEGCTHLENFFIRGLANLAELDLSGSAIKTLDFETMVVEVPRLKRLFLLGCERLRAIRWGKSDISLELLCIDTRAQVGCPRPSFNQNKSSRLQVYAVISDARLGRSLLHPLNNYRNSGGNIKDVYLNIHVTSSSVHSESVQFEAICKEKKIVMYSGQVQRPVVPVGLYGDILSMVCDAQMQPFPQPPDTTNLDGHIDIAEESYNLDHYYFGEILPRYARSLHVHDVFISAGILGRKWNLLKQCRMERCPKLDGAVFRSWSDKFDVLEIFWALDLLMAHSIFSESYRSVDDGSFRNLRHLHLGSCHRLQFVLPVWVSSFPSLETLHIIHCGDLKHVFVLDGNYPQEIATNGVPFPKLTTIHLHDLPALQQICEVNMVAPALETIKIRGCWSLRRLPIVDARGLGVKKPAVEIEKDVWDKLEWDGAEPSHHYEAPVHCRYYKKKLPRGSVLR* >Brasy9G009400.1.p pacid=40064346 transcript=Brasy9G009400.1 locus=Brasy9G009400 ID=Brasy9G009400.1.v1.1 annot-version=v1.1 MKHERAEVASDGDAIKSQKQAEGDKAEAGKAKRMGRVSQEYIDRLRKEGSPRIPNFDFLDNGRTGPKDDLLREIAARSTAAMEGLRAHNADILEQCRTKGHAEVEMEEESWLDDEKLWVVQLARKELARKKMADSA* >Brasy9G317600.1.p pacid=40064347 transcript=Brasy9G317600.1 locus=Brasy9G317600 ID=Brasy9G317600.1.v1.1 annot-version=v1.1 MFHNKELQRLFSNSSSNRLDHKEQVHCLSMLGLCITVWVNISIYIYILGSLDQT* >Brasy9G307500.1.p pacid=40064348 transcript=Brasy9G307500.1 locus=Brasy9G307500 ID=Brasy9G307500.1.v1.1 annot-version=v1.1 MAWPSSTKACAWALVVTLCVAAGTAPAVVAGRIDDGGGLEVMWGGASVSPDGQVISLSLDRSSGSGFRSRDTYLYARIDLQIKLVPQNSAGTVATCYMMSEGSWEAHDEIDLEFLGNETGQPYTLHTNVFSNGAGQREQQFRLWFDPTADFHTYSIVWTPQHILVLVDGTPIRELRNHADKGVAYPSWQPMRVHGSLWDAEDWATQGGRVKTDWSQAPFVAQYRNFTAVSTASGASGYEQDMMMDAAVQQEMKRARESYMTYDYCADGRRFPQGAPPECYMA* >Brasy9G148000.1.p pacid=40064349 transcript=Brasy9G148000.1 locus=Brasy9G148000 ID=Brasy9G148000.1.v1.1 annot-version=v1.1 MGAFGGPGGEPSSSSLLWRPGAPPPPPLPPATASDRRPRATLTPPSGDRERPRRLRLPPATASDPDVFFRRPRAPTPAFGDLEAPLPSGAAGSGEPLRYMVPYQTWRSWR* >Brasy9G020700.1.p pacid=40064350 transcript=Brasy9G020700.1 locus=Brasy9G020700 ID=Brasy9G020700.1.v1.1 annot-version=v1.1 MTGKGVRRREKNYRAAHGGDARLPPPPKQRELDALPSKLRRLIAIQNMQSGSGKAGAAASSGGIPGKQVADATGKNKASKDKKTKKEAALETAADGKAADVRGKGGPEDEQNMNADESKRKRKRGKAVDLRFKELDATVSVSKKQKRKKHLDEKKKKRKGGKVETLVDFPGREKVKFGDIVQAPPKLSFPKLKSPLDASRERIRKEVVEKYRNIKGWTSRPGLQLPTVAEKTSV* >Brasy9G017800.1.p pacid=40064351 transcript=Brasy9G017800.1 locus=Brasy9G017800 ID=Brasy9G017800.1.v1.1 annot-version=v1.1 MASSARAAASAARSALRAAPLGGRVPGSSLLHLAPSSPAQATGILRRSAAAAWMQTLVAPLHSAAGSARTRTCSAQDGNTSFSWNFRRPFSSNEKHLPAISDPEIESALKDLMAASWNELPGSLVEEAKKAASKATDDKAGQEALENVFRAAEACEDFGGVLVTLRLALDDLCGLTGENVGPLPSYVEDAVKSAYNRYMAYLESFGPEEHYLRKKVESELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA* >Brasy9G343400.1.p pacid=40064352 transcript=Brasy9G343400.1 locus=Brasy9G343400 ID=Brasy9G343400.1.v1.1 annot-version=v1.1 MPAAAVVSGCLLPRSMPRPAPATATRALPVINIGRLGDKDTAARALVVQDIARACRDRGCFQVINHGVSESAMDGAMAAAAEFFEMSTERKEEFASDDIRHPVRYDTSSRDGISKARSFLKHYANPLQDWLSFWPLQPPSYREKMGAYAMAIQSLSVQLMGAIVQGLGLEPKYLQQELIQGLQFMALNNYPQGSSSLAPTDMIGLAPHSDYGFLTILLQSSPGLEVLHHEHDAAWTPVPAIRGALHVHLGDQMEVLSNGRLRSLVHRAVLNTDESRISIVSIHGVAMDEKVECAEMLVDEGHPRLYKASSFHDFLEFLPTNVKAYRRFVETLKIDTA* >Brasy9G330800.1.p pacid=40064353 transcript=Brasy9G330800.1 locus=Brasy9G330800 ID=Brasy9G330800.1.v1.1 annot-version=v1.1 MPPPLQAHRMLLSHRRASPIPRRRFTAAVSSLPSAPAKTLAPGSAPSSIISIRESLLSGERTAADITSEYLSRLRRTEPSVRSFIHVADAAAEREAQELDRRIASGGQDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLMAYASSLDVVGCFGSSVVDTATVLSVIAGHDKMDSTSSSHDVPDYRSDLVSLNLLESKPLNNLRIGIIQETLGDGVDTGVISSIKAAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVLADDLNELYGDSRSNGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALRRYDILVSPAAPSAAYKIGEKANDPLAMYAGDIMTVNVNLAGLPALVVPCGFVDGGSAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLHDFSFVPPLLADS* >Brasy9G298500.1.p pacid=40064354 transcript=Brasy9G298500.1 locus=Brasy9G298500 ID=Brasy9G298500.1.v1.1 annot-version=v1.1 MRAIPCACCRRKSPLLVSLLLPLLAALWQGGTVARALDFTREDFPSDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDLGADGYHRYKEDVELMTDTGLEAYRFSISWSRLIPRGRGPLNPKGLEYYNNLINELTKRGIEIHVTLYHLDFPQILEDEYRGWLSPRVVADFTAFADACFREFGDRVRHWTTMDEPNVIAIAAYDSGAFPPCRCSAPFGINCTVGDSTVEPYVVAHNSILAHASVVRLYHQKYRATQKGVVGMNIYSFWNYPFSNSPADVAATQRSLDFMIGWILDPLVYGDYPEIMKKKAGSRIPAFTQEQSELIRGSIDFVGINHYTSVYVSDGKGSADAGLRDYNADLSATFRLSKNDSGTGQFIPINMPDDPQGLQCMLQYLTDKYQNIPIYVQENGYGQFFNDSVNDHNRVEYLSGYIGSTLTALRNGANVKGYFVWSFLDVFELLAGYYSRYGLHYVDFKDPGLPRLPKLSAKWYSKFLRSEIGINIEDKVSSDARSHAQK* >Brasy9G298500.2.p pacid=40064355 transcript=Brasy9G298500.2 locus=Brasy9G298500 ID=Brasy9G298500.2.v1.1 annot-version=v1.1 MKTGGAQASGTLLLMQEDVELMTDTGLEAYRFSISWSRLIPRGRGPLNPKGLEYYNNLINELTKRGIEIHVTLYHLDFPQILEDEYRGWLSPRVVADFTAFADACFREFGDRVRHWTTMDEPNVIAIAAYDSGAFPPCRCSAPFGINCTVGDSTVEPYVVAHNSILAHASVVRLYHQKYRATQKGVVGMNIYSFWNYPFSNSPADVAATQRSLDFMIGWILDPLVYGDYPEIMKKKAGSRIPAFTQEQSELIRGSIDFVGINHYTSVYVSDGKGSADAGLRDYNADLSATFRLSKNDSGTGQFIPINMPDDPQGLQCMLQYLTDKYQNIPIYVQENGYGQFFNDSVNDHNRVEYLSGYIGSTLTALRNGANVKGYFVWSFLDVFELLAGYYSRYGLHYVDFKDPGLPRLPKLSAKWYSKFLRSEIGINIEDKVSSDARSHAQK* >Brasy9G298500.3.p pacid=40064356 transcript=Brasy9G298500.3 locus=Brasy9G298500 ID=Brasy9G298500.3.v1.1 annot-version=v1.1 MRAIPCACCRRKSPLLVSLLLPLLAALWQGGTVARALDFTREDFPSDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDLGADGYHRYKEDVELMTDTGLEAYRFSISWSRLIPRGRGPLNPKGLEYYNNLINELTKRGIEIHVTLYHLDFPQILEDEYRGWLSPRVVADFTAFADACFREFGDRVRHWTTMDEPNVIAIAAYDSGAFPPCRCSAPFGINCTVGDSTVEPYVVAHNSILAHASVVRLYHQKYRATQKGVVGMNIYSFWNYPFSNSPADVAATQRSLDFMIGWILDPLVYGDYPEIMKKKAGSRIPAFTQEQSELIRGSIDFVGINHYTSVYVSDGKGSADAGLRDYNADLSATFRLYPYQYAGRSTRAAMYAPVPH* >Brasy9G298500.4.p pacid=40064357 transcript=Brasy9G298500.4 locus=Brasy9G298500 ID=Brasy9G298500.4.v1.1 annot-version=v1.1 MKTGGAQASGTLLLMQEDVELMTDTGLEAYRFSISWSRLIPRGRGPLNPKGLEYYNNLINELTKRGIEIHVTLYHLDFPQILEDEYRGWLSPRVVADFTAFADACFREFGDRVRHWTTMDEPNVIAIAAYDSGAFPPCRCSAPFGINCTVGDSTVEPYVVAHNSILAHASVVRLYHQKYRATQKGVVGMNIYSFWNYPFSNSPADVAATQRSLDFMIGWILDPLVYGDYPEIMKKKAGSRIPAFTQEQSELIRGSIDFVGINHYTSVYVSDGKGSADAGLRDYNADLSATFRLYPYQYAGRSTRAAMYAPVPH* >Brasy9G052100.1.p pacid=40064358 transcript=Brasy9G052100.1 locus=Brasy9G052100 ID=Brasy9G052100.1.v1.1 annot-version=v1.1 MQASPSRSNRRRYLLFASGPAAAVTARARAPPVAPPSPTSSSAPPVALPSPPSPTSSSAPPSAPPVS* >Brasy9G211000.1.p pacid=40064359 transcript=Brasy9G211000.1 locus=Brasy9G211000 ID=Brasy9G211000.1.v1.1 annot-version=v1.1 MSSASSSQPPAPSEGESTTANDNARPHDPGTDSAGPARSRLSLQLDKRSLHFSVNAWVLIVALIGILPLATQQLQYKGYRLSLLGTTCTTGYALFALYGLPGAGNTQAVQVWCHHVTSSKDFIPFMYCLMFVTSKLHFKLVLVPVICWALEHVARFLRRHFTNSSLYRAYLEPLCTWVETNTTAVNFLCANAEILLGFLLILSLFSKKRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHSYTPFLHDPINAGMRWWFR* >Brasy9G211000.2.p pacid=40064360 transcript=Brasy9G211000.2 locus=Brasy9G211000 ID=Brasy9G211000.2.v1.1 annot-version=v1.1 MSSASSSQPPAPSEGESTTANDNARPHDPGTDSAGPARSRLSLQLDKRSLHFSVNAWVLIVALIGILPLATQQLQYKGYRLSLLGTTCTTGYALFALYGLPGAGNTQAVQVWCHHVTSSKDFIPFMYCLMFVTSKLHFKLVLVPVICWALEHVARFLRRHFTNSSLYRAYLEPLCTWVETNTTAVNFLCANAEILLGFLLILSLFSKKRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHSYTPFLHDPINAGMRWWFR* >Brasy9G211000.3.p pacid=40064361 transcript=Brasy9G211000.3 locus=Brasy9G211000 ID=Brasy9G211000.3.v1.1 annot-version=v1.1 MSSASSSQPPAPSEGESTTANDNARPHDPGTDSAGPARSRLSLQLDKRSLHFSVNAWVLIVALIGILPLATQQLQYKGYRLSLLGTTCTTGYALFALYGLPGAGNTQAVQVWCHHVTSSKDFIPFMYCLMFVTSKLHFKLVLVPVICWALEHVARFLRRHFTNSSLYRAYLEPLCTWVETNTTAVNFLCANAEILLGFLLILSLFSKKRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHSYTPFLHDPINAGMRWWFR* >Brasy9G218700.1.p pacid=40064362 transcript=Brasy9G218700.1 locus=Brasy9G218700 ID=Brasy9G218700.1.v1.1 annot-version=v1.1 MDYMENESHEEKKQDEEKRHNKQANEEARLEEYKKLIDVKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLRTITNEQKDGLMEELKSVNLSKFVSEAVSYICEAKPRSADIQATVQICSLLHRRYKDFSPCLVQGLLKAFFPGKSGDCLALDKNSRAMKKRSTLKLLMELYFVGIVDDATTFINIVKDLTSAEHLKDHETTQTNLSLLASFARQGKHFLGLQKHGQEASDEFFVGLSVTDDVKSFFKKALSSYYDATTVVLQSEHASLRVMESENSKILNAKGELSDENTALYEKLRKSFDQLLHCVSSLAEALDMQPPVMPDDGHTTRVTTGTDLSPSGKESSAVESIWDDEDTQAFYESLPDLRVFVPGVLLGEAEPKLVDQHGMVHEQTSESTLAHGNEVQDNAETSVPEHQLEVKANVVSKNREDKEKVDKGKSKEKDAGRKEDTESDKVRVTDGASLDNLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRTLFNVPRTSLELLPYYSRLVATLSKCMKDLPSMLLPMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTIRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYMVKCFLKVHKGKYSQVHLIALLTADLSHYHDGFPVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNLIIVFGCGTVEQDVLDPPEDCFRIRMIITLLQTCGHYFDRGSAKRKLDRFLLYFQRYVLKKGPLPLDVEFDVQDMFAELRPNMTRYSSTEMLDSALAELEENEHGSSAEKGGCERDSDNRPQMKQSESAAFDANCKRSVNRPNKNGREHEEAADSESHSGRGSVYRDGHEDGDFLYEEKSDDMSEIGVGNDYGCMLVGSDEESFQVRHKVVQVDPKEQEDFDRELKALVQESLESRKSELRAKPTLNMTVPMNACDWSKDPKATGAESGQEITAEESGSAGSGSKVKVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALHQEKQNIKQKILEYNEREEEESKGEPLQTGYWGQGASSTGSSIRSAGCGAWDGANRGGGNRQRCYIAGGIYRGYGRGR* >Brasy9G218700.2.p pacid=40064363 transcript=Brasy9G218700.2 locus=Brasy9G218700 ID=Brasy9G218700.2.v1.1 annot-version=v1.1 MDYMENESHEEKKQDEEKRHNKQANEEARLEEYKKLIDVKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLRTITNEQKDGLMEELKSVNLSKFVSEAVSYICEAKPRSADIQATVQICSLLHRRYKDFSPCLVQGLLKAFFPGKSGDCLALDKNSRAMKKRSTLKLLMELYFVGIVDDATTFINIVKDLTSAEHLKDHETTQTNLSLLASFARQGKHFLGLQKHGQEASDEFFVGLSVTDDVKSFFKKALSSYYDATTVVLQSEHASLRVMESENSKILNAKGELSDENTALYEKLRKSFDQLLHCVSSLAEALDMQPPVMPDDGHTTRVTTGTDLSPSGKESSAVESIWDDEDTQAFYESLPDLRVFVPGVLLGEAEPKLVDQHGMVHEQTSESTLAHGNEVQDNAETSVPEHQLEVKANVVSKNREDKEKVDKGKSKEKDAGRKEDTESDKVRVTDGASLDNLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRTLFNVPRTSLELLPYYSRLVATLSKCMKDLPSMLLPMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTIRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYMVKCFLKVHKGKYSQVHLIALLTADLSHYHDGFPVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNLIIVFGCGTVEQDVLDPPEDCFRIRMIITLLQTCGHYFDRGSAKRKLDRFLLYFQRYVLKKGPLPLDVEFDVQDMFAELRPNMTRYSSTEMLDSALAELEENEHGSSAEKGGCERDSDNRPQMKQSESAAFDANCKRSVNRPNKNGREHEEAADSESHSGRGSVYRDGHEDGDFLYEEKSDDMSEIGVGNDYGCMLVGSDEESFQVRHKVVQVDPKEQEDFDRELKALVQESLESRKSELRAKPTLNMTVPMNACDWSKDPKATGAESGQEITAEESGSAGSGSKVKVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALHQEKQNIKQKILEYNEREEEESKGEPLQTGYWGQGASSTGSSIRSAGCGAWDGANRGGGNRQRCYIAGGIYRGYGRGR* >Brasy9G218700.5.p pacid=40064364 transcript=Brasy9G218700.5 locus=Brasy9G218700 ID=Brasy9G218700.5.v1.1 annot-version=v1.1 MDYMENESHEEKKQDEEKRHNKQANEEARLEEYKKLIDVKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLRTITNEQKDGLMEELKSVNLSKFVSEAVSYICEAKPRSADIQATVQICSLLHRRYKDFSPCLVQGLLKAFFPGKSGDCLALDKNSRAMKKRSTLKLLMELYFVGIVDDATTFINIVKDLTSAEHLKDHETTQTNLSLLASFARQGKHFLGLQKHGQEASDEFFVGLSVTDDVKSFFKKALSSYYDATTVVLQSEHASLRVMESENSKILNAKGELSDENTALYEKLRKSFDQLLHCVSSLAEALDMQPPVMPDDGHTTRVTTGTDLSPSGKESSAVESIWDDEDTQAFYESLPDLRVFVPGVLLGEAEPKLVDQHGMVHEQTSESTLAHGNEVQDNAETSVPEHQLEVKANVVSKNREDKEKVDKGKSKEKDAGRKEDTESDKVRVTDGASLDNLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRTLFNVPRTSLELLPYYSRLVATLSKCMKDLPSMLLPMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTIRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYMVKCFLKVHKGKYSQVHLIALLTADLSHYHDGFPVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNLIIVFGCGTVEQDVLDPPEDCFRIRMIITLLQTCGHYFDRGSAKRKLDRFLLYFQRYVLKKGPLPLDVEFDVQDMFAELRPNMTRYSSTEMLDSALAELEENEHGSSAEKGGCERDSDNRPQMKQSESAAFDANCKRSVNRPNKNGREHEEAADSESHSGRGSVYRDGHEDGDFLYEEKSDDMSEIGVGNDYGCMLVGSDEESFQVRHKVVQVDPKEQEDFDRELKALVQESLESRKSELRAKPTLNMTVPMNACDWSKDPKATGAESGQEITAEESGSAGSGSKVKVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALHQEKQNIKQKILEYNEREEEESKGEPLQTGYWGQGASSTGSSIRSAGCGAWDGANRGGGNRQRCYIAGGIYRGYGRGR* >Brasy9G218700.3.p pacid=40064365 transcript=Brasy9G218700.3 locus=Brasy9G218700 ID=Brasy9G218700.3.v1.1 annot-version=v1.1 MDYMENESHEEKKQDEEKRHNKQANEEARLEEYKKLIDVKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLRTITNEQKDGLMEELKSVNLSKFVSEAVSYICEAKPRSADIQATVQICSLLHRRYKDFSPCLVQGLLKAFFPGKSGDCLALDKNSRAMKKRSTLKLLMELYFVGIVDDATTFINIVKDLTSAEHLKDHETTQTNLSLLASFARQGKHFLGLQKHGQEASDEFFVGLSVTDDVKSFFKKALSSYYDATTVVLQSEHASLRVMESENSKILNAKGELSDENTALYEKLRKSFDQLLHCVSSLAEALDMQPPVMPDDGHTTRVTTGTDLSPSGKESSAVESIWDDEDTQAFYESLPDLRVFVPGVLLGEAEPKLVDQHGMVHEQTSESTLAHGNEVQDNAETSVPEHQLEVKANVVSKNREDKEKVDKGKSKEKDAGRKEDTESDKVRVTDGASLDNLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRTLFNVPRTSLELLPYYSRLVATLSKCMKDLPSMLLPMLEEEFNFLINKKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTIRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYMVKCFLKVHKGKYSQVHLIALLTADLSHYHDGFPVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNLIIVFGCGTVEQDVLDPPEDCFRIRMIITLLQTCGHYFDRGSAKRKLDRFLLYFQRYVLKKGPLPLDVEFDVQDMFAELRPNMTRYSSTEMLDSALAELEENEHGSSAEKGGCERDSDNRPQMKQSESAAFDANCKRSVNRPNKNGREHEEAADSESHSGRGSVYRDGHEDGDFLYEEKSDDMSEIGVGNDYGCMLVGSDEESFQVRHKVVQVDPKEQEDFDRELKALVQESLESRKSELRAKPTLNMTVPMNACDWSKDPKATGAESGQEITAEESGSAGSGSKVKVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALHQEKQNIKQKILEYNEREEEESKGEPLQTGYWGQGASSTGSSIRSAGCGAWDGANRGGGNRQRCYIAGGIYRGYGRGR* >Brasy9G218700.4.p pacid=40064366 transcript=Brasy9G218700.4 locus=Brasy9G218700 ID=Brasy9G218700.4.v1.1 annot-version=v1.1 MDYMENESHEEKKQDEEKRHNKQANEEARLEEYKKLIDVKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLRTITNEQKDGLMEELKSVNLSKFVSEAVSYICEAKPRSADIQATVQICSLLHRRYKDFSPCLVQGLLKAFFPGKSGDCLALDKNSRAMKKRSTLKLLMELYFVGIVDDATTFINIVKDLTSAEHLKDHETTQTNLSLLASFARQGKHFLGLQKHGQEASDEFFVGLSVTDDVKSFFKKALSSYYDATTVVLQSEHASLRVMESENSKILNAKGELSDENTALYEKLRKSFDQLLHCVSSLAEALDMQPPVMPDDGHTTRVTTGTDLSPSGKESSAVESIWDDEDTQAFYESLPDLRVFVPGVLLGEAEPKLVDQHGMVHEQTSESTLAHGNEVQDNAETSVPEHQLEVKANVVSKNREDKEKVDKGKSKEKDAGRKEDTESDKVRVTDGASLDNLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRTLFNVPRTSLELLPYYSRLVATLSKCMKDLPSMLLPMLEEEFNFLINKKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTIRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYMVKCFLKVHKGKYSQVHLIALLTADLSHYHDGFPVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNLIIVFGCGTVEQDVLDPPEDCFRIRMIITLLQTCGHYFDRGSAKRKLDRFLLYFQRYVLKKGPLPLDVEFDVQDMFAELRPNMTRYSSTEMLDSALAELEENEHGSSAEKGGCERDSDNRPQMKQSESAAFDANCKRSVNRPNKNGREHEEAADSESHSGRGSVYRDGHEDGDFLYEEKSDDMSEIGVGNDYGCMLVGSDEESFQVRHKVVQVDPKEQEDFDRELKALVQESLESRKSELRAKPTLNMTVPMNACDWSKDPKATGAESGQEITAEESGSAGSGSKVKVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALHQEKQNIKQKILEYNEREEEESKGEPLQTGYWGQGASSTGSSIRSAGCGAWDGANRGGGNRQRCYIAGGIYRGYGRGR* >Brasy9G114300.1.p pacid=40064367 transcript=Brasy9G114300.1 locus=Brasy9G114300 ID=Brasy9G114300.1.v1.1 annot-version=v1.1 MLAKLRLDMTHMFPNEGASSSSASMSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHITLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDLPSKYKPRKKHWFF* >Brasy9G114300.5.p pacid=40064368 transcript=Brasy9G114300.5 locus=Brasy9G114300 ID=Brasy9G114300.5.v1.1 annot-version=v1.1 MTHMFPNEGASSSSASMSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHITLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDLPSKYKPRKKHWFF* >Brasy9G114300.3.p pacid=40064369 transcript=Brasy9G114300.3 locus=Brasy9G114300 ID=Brasy9G114300.3.v1.1 annot-version=v1.1 MTHMFPNEGASSSSASMSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHITLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDLPSKYKPRKKHWFF* >Brasy9G114300.2.p pacid=40064370 transcript=Brasy9G114300.2 locus=Brasy9G114300 ID=Brasy9G114300.2.v1.1 annot-version=v1.1 MTHMFPNEGASSSSASMSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHITLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDLPSKYKPRKKHWFF* >Brasy9G114300.4.p pacid=40064371 transcript=Brasy9G114300.4 locus=Brasy9G114300 ID=Brasy9G114300.4.v1.1 annot-version=v1.1 MSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHITLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDLPSKYKPRKKHWFF* >Brasy9G130400.1.p pacid=40064372 transcript=Brasy9G130400.1 locus=Brasy9G130400 ID=Brasy9G130400.1.v1.1 annot-version=v1.1 MDRYKRVEKPREEAAIGPNEIRITAQGRTRNYISYALTLLQDDATDEIVIKAMGRAINKTVAIVELLKRRIAGLHQNNSIESIDITDTWEPLEEGLNILETTRHVSLITVTLSKKELDTSSPGYQPPIPADQVRPPTDFDQDAEDLPRERGRGRGRRGGRGRGRGFSNGGADYDGENGYLEGPRGYRGRGRGRGRRGSFGPGRGYGGDGYVMEEAGGYHGVEHDAPPVHGPPEHEQGYEGNRGTGRGRGRGRGRGRSGGRGHGPPPQD* >Brasy9G173900.1.p pacid=40064373 transcript=Brasy9G173900.1 locus=Brasy9G173900 ID=Brasy9G173900.1.v1.1 annot-version=v1.1 MMMVSELVLAAPAILLSLLLTLVLSHFLPLLLNPKAPRGSFGWPLVGETLRFLTPHASSTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKDKGSRITFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLTFMKGLISFPLRIPGTPYAKAVQARERISSTVKGIIEERRKVGSCKRDDFLNVLLSTNELSDEEKVSFVLDSLLGGYETTSLLISMVVYYLGQSAQDLDLVKREHQGIRSSKGKEECLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPCRWEGGSQGTIKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNFRWRIDGDDVPMAYPYVEFPRGLPIEIEPICSVS* >Brasy9G065800.1.p pacid=40064374 transcript=Brasy9G065800.1 locus=Brasy9G065800 ID=Brasy9G065800.1.v1.1 annot-version=v1.1 MADRRRQLRGGLRPRRLRNGGVSFARRRRGIFYGLSFPRERRRGVVASVAWEGSGRRREPLGVGRCCTAREGAGGGAEPRRVGRCCAARRCAGGQLQRRGAAREGDGGRAGGCGGAGGQRRRHGWLRPREREPAGAGGHRRRHG* >Brasy9G113400.1.p pacid=40064375 transcript=Brasy9G113400.1 locus=Brasy9G113400 ID=Brasy9G113400.1.v1.1 annot-version=v1.1 MNFSSYFFSSSSSSSSSSEKKSSSSSKRRQQQQQPDANPTRYLGVRRRPWGRYAAEIRDPATKDRHWLGTFDTAEEAAVAYDRAARSLRGVRARTNFAYPDLPPGSSVTPYLSPDLTAADNGSMHELLQPFYADPSAALKPTHGQSAPAAADIGNEYMYGGAPDMSALMDDDIAMPDDLPVDSMDFSSMYGGKGSMDFSSMEFGGYSASAASGGGGGHGVYFEEGYVHSPLFSPMPAADDAGADGFQLGGSSSAYYY* >Brasy9G019800.1.p pacid=40064376 transcript=Brasy9G019800.1 locus=Brasy9G019800 ID=Brasy9G019800.1.v1.1 annot-version=v1.1 MHGSRNGAKRNASVLEATNGWIDGDWVPMGLTSHHFISHLLPFLVPIEVKLKEVFLLLCPLHWTFGHGMQCKWSHGDAVGLEADAWDACGPWCGSFSLLCRCFLVLDNCMEKDIGQVSYKDLFP* >Brasy9G123800.1.p pacid=40064377 transcript=Brasy9G123800.1 locus=Brasy9G123800 ID=Brasy9G123800.1.v1.1 annot-version=v1.1 MIQNGVDDPLALTLGSIYSGAVPSPPPARSIRRRLNNSAPSQQQPEPPSEDAGAAADGSAAPKPPLPWATERPARHETLEILLSRGVTTVEGEARCKRCNCKAAVAYDLAAKFQEVRDYVAAHRHEFNDRAPEAWMNPALPDCAACGEKRCVSPVIPDDKGEINWLFLLLGQLLGCCTLEQLKYFCKNTGRHRTGAKNRVLYYAFLEMCKQLDPRGPFDDSVANGNGFLHA* >Brasy9G292000.1.p pacid=40064378 transcript=Brasy9G292000.1 locus=Brasy9G292000 ID=Brasy9G292000.1.v1.1 annot-version=v1.1 MNNTVGTLALGHYYDEDTVAAVIIGAGTNACYIESNEAITKGQDLLTNSGRMVVNVEWGSFRPPKKPLTPYDICFNNETQNYYDQGFEKMISGVYLGEIASSVFHKMAQVSDVFGTAVDGLAIPFTLSTPCLAAIREDDSVDLREVGRRLDEHLKISNVPLKTRRLVQRVCDIVTRRAARLPAAGIVALLQKIGRDGTCGGTTRVRRITGTPKRSVIAIEGGLIQGYAAFREYMNEAVGEILGEEIAATVVLRMVEEGSGIGAALVAAAYSSNQHNSIHVGMVQT* >Brasy9G280800.1.p pacid=40064379 transcript=Brasy9G280800.1 locus=Brasy9G280800 ID=Brasy9G280800.1.v1.1 annot-version=v1.1 MALSLARSPHPAAAALQPPCITHFAALLPLKHSPRQPYPRLRVRLPATAVAASSAPEAQVADAEAVAEGGDEEQEEEKRRNLYVANLPWTLPAVEIEKLFAECGVVKDVQVIKMKDGRKRGFAFVTMGTAEEAAAAIEKFNSYDVMGRIIKVEFSKTFRKPAPPRIPSTIFARHKLYVSNLAWKARSSDIKAFFSQFNPISANVVFDDKKSAGYGFVSFQTKEDAEAALSELNGKELLERPVLLRWRDDKGGVKADGEVQGVKVDDQAEGVMADNRGEDEGEDKQG* >Brasy9G013200.1.p pacid=40064380 transcript=Brasy9G013200.1 locus=Brasy9G013200 ID=Brasy9G013200.1.v1.1 annot-version=v1.1 MSENYGWADLPEGLLHSIVALSCSFSDLLAFNLTCRSWRAAFSSYPSKATFSTLLPPLLLQPDVPVLTPCDLLFAQKHRSCRVTDPASQHSRLHCQIPLGCFCFTGASYGQLIFSSNRSCLLVDVFTGLSVSPPQLPDNNRSKLYYGALTAPLASPNSHLLVSTSSRSFFWRVGSHYWSSRCNETIKKIVTFNGQVFGIDSFGRLFTVQLTPQIRTQEMAVVWGESMSTGRRLVNLCLVACGDMLILIRCRGSFPARGDTFEAFRLDLSTENAKWVKVEELGNWAIFISTDERSQSLSLMNPERWGGRSNCIYCYSHDSEHWTAFELGKPASDPSIFVFISNGGMVQPMWVVPSMFFSPFL* >Brasy9G215100.1.p pacid=40064381 transcript=Brasy9G215100.1 locus=Brasy9G215100 ID=Brasy9G215100.1.v1.1 annot-version=v1.1 MKEDGVPPKILIHTSYINACCKDGDMLITEEVIKEITDVELKVKTYTTLINDWARSSFPDKALKYFEEMKLAGLKPGFISLKGNSDGGSTYTGILNVCREIFEHDLTVDMCTTVHWSRLRDRKGTISTSENISPDWNSLKF* >Brasy9G026900.1.p pacid=40064382 transcript=Brasy9G026900.1 locus=Brasy9G026900 ID=Brasy9G026900.1.v1.1 annot-version=v1.1 MDRSPLRPDPERHPIDRAMDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPVDLFSFIAQDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENGETLLYRRFCAAQRHSAYQKLLVKLDFIQRHMDFLKKYDKSQMGTAYSTSEYENAVSEKINSELSSRLQNDLERSDWIPVRQYYGRMMLRRIARECAIQAQTIRVILVGEAIPKNPEVRMLPLIQRIQGKFGTFAAAGRPWRLLALGILATVATARHQG* >Brasy9G026900.2.p pacid=40064383 transcript=Brasy9G026900.2 locus=Brasy9G026900 ID=Brasy9G026900.2.v1.1 annot-version=v1.1 MDRSPLRPDPERHPIDRAMDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENGETLLYRRFCAAQRHSAYQKLLVKLDFIQRHMDFLKKYDKSQMGTAYSTSEYENAVSEKINSELSSRLQNDLERSDWIPVRQYYGRMMLRRIARECAIQAQTIRVILVGEAIPKNPEVRMLPLIQRIQGKFGTFAAAGRPWRLLALGILATVATARHQG* >Brasy9G026900.3.p pacid=40064384 transcript=Brasy9G026900.3 locus=Brasy9G026900 ID=Brasy9G026900.3.v1.1 annot-version=v1.1 MDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENGETLLYRRFCAAQRHSAYQKLLVKLDFIQRHMDFLKKYDKSQMGTAYSTSEYENAVSEKINSELSSRLQNDLERSDWIPVRQYYGRMMLRRIARECAIQAQTIRVILVGEAIPKNPEVRMLPLIQRIQGKFGTFAAAGRPWRLLALGILATVATARHQG* >Brasy9G026900.4.p pacid=40064385 transcript=Brasy9G026900.4 locus=Brasy9G026900 ID=Brasy9G026900.4.v1.1 annot-version=v1.1 MDRSPLRPDPERHPIDRAMDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENRHMDFLKKYDKSQMGTAYSTSEYENAVSEKINSELSSRLQNDLERSDWIPVRQYYGRMMLRRIARECAIQAQTIRVILVGEAIPKNPEVRMLPLIQRIQGKFGTFAAAGRPWRLLALGILATVATARHQG* >Brasy9G026900.5.p pacid=40064386 transcript=Brasy9G026900.5 locus=Brasy9G026900 ID=Brasy9G026900.5.v1.1 annot-version=v1.1 MDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENRHMDFLKKYDKSQMGTAYSTSEYENAVSEKINSELSSRLQNDLERSDWIPVRQYYGRMMLRRIARECAIQAQTIRVILVGEAIPKNPEVRMLPLIQRIQGKFGTFAAAGRPWRLLALGILATVATARHQG* >Brasy9G026900.6.p pacid=40064387 transcript=Brasy9G026900.6 locus=Brasy9G026900 ID=Brasy9G026900.6.v1.1 annot-version=v1.1 MDRSPLRPDPERHPIDRAMDRHGIHDVAGPFLAGGVDLLGQWTGLGRDLGIPYALTDERRLRAYLDLRERLLQIAVAELVSLAKSPDGDQFHAGYVDHVIKRHTRHDGTSSLLQPAADDDVTTITGADNKKASIRRYLPDSHIHRVENGETLLYRRFCAAQRHSAYQKLLVKLDFIQRHMDFLKKYDKSQMGTAYSTSEFRRRSIQS* >Brasy9G250400.1.p pacid=40064388 transcript=Brasy9G250400.1 locus=Brasy9G250400 ID=Brasy9G250400.1.v1.1 annot-version=v1.1 MRSKSDGDASFKASGKRKKATGGVAKPKRAPTPFFAFLAEFRPQYMEKHPEAKGVAAVTKAAGEKWRSMSDEEKAKYGGKKQEVQENKAAKKKESTSSKKAKTDGDEEEGEGSDKSKSDVEDDGEEDGANEEDED* >Brasy9G355800.1.p pacid=40064389 transcript=Brasy9G355800.1 locus=Brasy9G355800 ID=Brasy9G355800.1.v1.1 annot-version=v1.1 MASAAAPDCKSPPAAISDAPSASTTSSVSDSDASPWPSLPADLVDLIGWRVLATGDLLDYVRFRDACSHWRSSTDSPCGRGILDPRFHPRQWRIMPPKPVDGSCRNRLFRDCRARKTNTNTTRRFSNLSTGATTSTRIDPLLAAPGDDDDDDHLVLDSVDGLLLLVLLPKQGGRKKPVRLLHPLTGDALFLPPLPPITIATLFGDRTRSERELPGNMATALSIHSAAAACMLTIAIRGMRRLLYASTGDRQWSASAWTLSPSLPMLYVLGGATTTGTGSNGARPILELDPLLPAPRLVATCPPGKFLGGEGHGSPARLEVRDPGMLVVKGVDSGDRVVYRVADLVADLYDSQNFLDIHVPMK* >Brasy9G159900.1.p pacid=40064390 transcript=Brasy9G159900.1 locus=Brasy9G159900 ID=Brasy9G159900.1.v1.1 annot-version=v1.1 MSGVGAGGEDTGASAGVGATAEGVGAVDGAGTGAAVGGGDIGAGVGGGKTGAGAGGGVAGGGGGAWGAAAGAAPGACAEAATARSARTERKASGEAAIALLGVLRWLRFASRLLCLRCW* >Brasy9G362300.1.p pacid=40064391 transcript=Brasy9G362300.1 locus=Brasy9G362300 ID=Brasy9G362300.1.v1.1 annot-version=v1.1 MAAGTGTRRRGLFLLTLLLAMAGAASGGALVGDRCPAASASACGAGMRCATCSPLPNSGPSVCCRTTPIDPKTHGTGLPFNKYSWLTTHNSFAITGTPSGTGTPIISPPNQEDSVTSQLNNGVRGLMLDTYDFKNDLWLCHSFSGKCFDFTAYVPASKVLGEIRAFLDGNPAEVVTVFVEDYAAPGSLGKALAAAGLTKYMFPVSGMPKNGGDWPLLKDMVAQNHRLIVFTSKQGKEGSDGVAHEWSYVVETQYGSEGLVVGACPKRGESKALDSKGQSLVLMNFFTTNPSQIWACANNSAPLIAKLKSCYDASAARWPNFIAVDFYMRSSGGGAPLATDVANGRLQCGCDTVAYCKVHLHPCTLSHRFADSRFGSGHEQAQ* >Brasy9G007800.1.p pacid=40064392 transcript=Brasy9G007800.1 locus=Brasy9G007800 ID=Brasy9G007800.1.v1.1 annot-version=v1.1 MVGAVRTRIPFLWVLLFLFSLLLLLPLPSVAAADFSHCGGCDDGDGALWGTDSILQCQKVGDFLIAAAYFSIPLELLYFTTCSDLFPLKWIFLQFGAFIVLCGLTHLIAVFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDQEVGKMKRQEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPNESRTEMILTHHLREREITESHSGSIPIGDPDVVQIKATRGAIVLGEDSALGIASRCNPEAGAAVAAIRMPMLRVSNFKGGTPEVMQTSYAILVLVLPEDGSLGWGEQELEIVEVIADQVAVALSHAAVLEESQLMREKLAQQHRDLLRAKHEAMMATEARNSFQSAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTMNREHLSLVRRPFSLHSLIKEAVSVVRCLSGAKGVDFEFQVDNSLPERVVGDEKRVFHIVLHMVGTLIHQCSAGCLSLHVNSYNDMEERHNQDWMLRRANLSAGYVCVKFMIRIRKSEDNLLGSSSSKISQEPNASSSEMGLSFNMCKKIVQMMNGNIWSISDSKGLRETVMLALQFQVQHVTPVSGASSDLYRSSPIPNFNGLHVLLVDGDDTNRAVTHKLLEKLGCRVFSVSSGIQCMSSFAGGESSFQLVIVDLTMHTMDGFEVALAIRKFRHNSWPPLIVALAASADDSVRDQCQRSGINGLLQKPVTLAALGDELYRVLQHN* >Brasy9G007800.2.p pacid=40064393 transcript=Brasy9G007800.2 locus=Brasy9G007800 ID=Brasy9G007800.2.v1.1 annot-version=v1.1 MVGAVRTRIPFLWVLLFLFSLLLLLPLPSVAAADFSHCGGCDDGDGALWGTDSILQCQKVGDFLIAAAYFSIPLELLYFTTCSDLFPLKWIFLQFGAFIVLCGLTHLIAVFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDQEVGKMKRQEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPNESRTEMILTHHLREREITESHSGSIPIGDPDVVQIKATRGAIVLGEDSALGIASRCNPEAGAAVAAIRMPMLRVSNFKGGTPEVMQTSYAILVLVLPEDGSLGWGEQELEIVEVIADQVAVALSHAAVLEESQLMREKLAQQHRDLLRAKHEAMMATEARNSFQSAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTMNREHLSLVRRPFSLHSLIKEAVSVVRCLSGAKGVDFEFQVDNSLPERVVGDEKRVFHIVLHMVGTLIHQCSAGCLSLHVNSYNDMEERHNQDWMLRRANLSAGYVCVKFMIRIRKSEDNLLGSSSSKISQEPNASSSEMGLSFNMCKKIVQMMNGNIWSISDSKGLRETVMLALQFQVQHVTPVSGASSDLYRSSPIPNFNGLHVLLVDGDDTNRAVTHKLLEKLGCRVFSVSSGIQCMSSFAGGESSFQLVIVDLTMHTMDGFEVALAIRKFRHNSWPPLIVALAASADDSVRDQCQRSGINGLLQKPVTLAALGDELYRVLQHN* >Brasy9G186200.1.p pacid=40064394 transcript=Brasy9G186200.1 locus=Brasy9G186200 ID=Brasy9G186200.1.v1.1 annot-version=v1.1 MSFAAPSAPASPSSRFPSPSTAASRRFLRHLLAAPPRPPPLRRCAPYHWMAQYWTQGSLEKNKALVEYLKQYGAVTTDKVAEVLESMDRALFVSKGFTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDIGSGSGYLTACFAMMVGPEGRAVGIEHIPELVASSIGNVERSAAAPLLKDDSLSFHVSDGRLGWPDAAPYDAIHVGAAAPEIPQPLLEQLKPGGRMVIPVGTFSQDLQVIDKNPDGSTSVRNGASVRYVPLTSRDAQLQDP* >Brasy9G323000.1.p pacid=40064395 transcript=Brasy9G323000.1 locus=Brasy9G323000 ID=Brasy9G323000.1.v1.1 annot-version=v1.1 MVCIRQATIDDLLAMQACNLMCLPENYQMKYYFYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAYDMRKMLRQPQPKKHHHHHHGGGCCSHDAPPAASGSSPTSTSPEKKADT* >Brasy9G052600.1.p pacid=40064396 transcript=Brasy9G052600.1 locus=Brasy9G052600 ID=Brasy9G052600.1.v1.1 annot-version=v1.1 MATRPTPRSRDGTRPQSASGTRPTSSPRPCSSDASSSSRAGASPSQTASDKPVPSFLRPTVSSSLRDNSSTPAPSSSSSKARAVTPKRPSTADKAPARPRPTTPKLSPAQSAPPRSVTPKRTPTQSAPRPTTPQRSPAQSAPQRSPAQSAPRPVTPNKRSPAQPQKQQQPIQGAPRQIIAPMDKAKAKASTSLWSVVSPRHLMQKASNAFKVGRSRSKKNKDLAGPAAAAAASASEKDAADVIETETSARALPVEEEQPHPAPETAPLDVLQEEVVVVERNAAQSAREEATSQEAVSTEIEREEQRGRDEQAGAGDAEKAKAIRDQKVVMESEAAEVDKPVPGEKPQAGIVEETVKEAETNTEDEPAAKESATLLNGQDEPAASMAREEVVEETKAEERQQKEELPKHEEVKGNSEASAISEEPKEQPEAAGESKLTAAYRATSMPATALEEALEEMDTPTNAATTSRSEPVTPAKEAISKDKAVIDTLLSASAPTTPRRGGGSAKRGMASSSMAKIPEEAVRLTFKGSKVKTALEKRPEEAQPRKKEVARSNDVLEETKSKLLEKKTSKVKALVGAFEVVMDSPRASTPGKSPRPGDW* >Brasy9G349600.1.p pacid=40064397 transcript=Brasy9G349600.1 locus=Brasy9G349600 ID=Brasy9G349600.1.v1.1 annot-version=v1.1 MAPPHDIQWSIWSLGKIWVAKKIKIEVNSFFFWECLF* >Brasy9G211400.1.p pacid=40064398 transcript=Brasy9G211400.1 locus=Brasy9G211400 ID=Brasy9G211400.1.v1.1 annot-version=v1.1 MAETPRARPPVRPPPVRPPPPVPVPESEPRTPPRESSPSTPGEDYHTPTPSLDEAREETPPWQQEEANGRASATKSPPLSPVRLPSQQQQRLPPPDSPTGNGEEGPAPAPGRRPQLRLATGLVRTPSQGSVANKSPSPSVTPPSPLTPAPDAAANGKSAQSTPKRAEAWKPPPATPISVPFDPDEEAITSPLQLGKARLDSQRAHAPGAAAEHRGDGRGVPADVAAVAAVGERRPLSAGLRVATAVLSLASFAVMLSARTPGWDGDFYARYVQYRYAIGVNVIVCVYSIAQAGGEIRRLVWPRFIYRSMSSYYVSLFLDQVLAYLLMSASSAAASRNDLWVSRFGQDAFNKKISSAVWLSFLGFLTLAASSLISTANLFSMV* >Brasy9G346200.1.p pacid=40064399 transcript=Brasy9G346200.1 locus=Brasy9G346200 ID=Brasy9G346200.1.v1.1 annot-version=v1.1 MRPPWVQTLEHAMLQRSVPWRPRPNQAPTLRHCPRASAPTRRCSRAFLRHRRASPTTRRRPRLDLGLPPLPARLRSDPTPLSGSPASVAAERVLCRVYVRPNPKPNSMVVEHLLLLISSPSLIRCCSITLVPHAAAMYDLGREPKIPVPFM* >Brasy9G031100.1.p pacid=40064400 transcript=Brasy9G031100.1 locus=Brasy9G031100 ID=Brasy9G031100.1.v1.1 annot-version=v1.1 MLVGVGTEGMNIQMGTGTWPAGPEIQIGDCAEGTAENHPGGLHAVVVERPLLGPDDNFSSTSGGQPAEDDDDGTDEEVSSKRRRLA* >Brasy9G305300.1.p pacid=40064401 transcript=Brasy9G305300.1 locus=Brasy9G305300 ID=Brasy9G305300.1.v1.1 annot-version=v1.1 MSSRMAGSVLLRHVGASRLFSATATSPSAAAARPFLAGGDGVPGAWVRLMSTTAGSQAKQEAAKAAAPPKEGGEKKEVVVNSYWGIEQAKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTMLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVIAVQGVFFNAYFFGYLISPKFAHRVVGYLEEEAVHSYTEFLKDLEAGKIDDVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDVYYQGMQLKATPAPIGYH* >Brasy9G135600.1.p pacid=40064402 transcript=Brasy9G135600.1 locus=Brasy9G135600 ID=Brasy9G135600.1.v1.1 annot-version=v1.1 MPRRGGRRKPTASSSDIRWVMLDRLVHLDKVKVDEFVAAADGTLSETCYSCTDRPLIVYVRVAIPPAVSRLYLCWPDGLRPEMSMVNPPTIIAAHGHSVLFEARVPHVDQRCPDFYPIDYFVYTACRSAKSPSLRRLPPCFKGGRVDHEVDHFFQPYRLQQQRGMSSGNIGLLCCGDEEFTVAELSSSGELCLLHHAPGEGNEAKDWDIKALQMPYGEGIPDFFGSSWQTDVVIPFGGSYLCWVDYYLGLLFVSVGGEDTNKPYYVPMPAFLESRRLYIDAGAPDLARRVCVTDSGVIKLICISDRAGRSLHDRSCSNFKITSWNLIKRKWNKDATMEASDFWAVIDADKRLPHLRPEFPTMSLVDPDVVCFVLNENYRIYWLIEVNLKKKVLGLVTLYISDDEEGFSVEISRSHRNINEEEDEVCSDDMTRRTYLEGSFLPSTFTHYLDKNLTQSLEFSNKLEKEKLKKAKENGLIKHLTEGPV* >Brasy9G135600.2.p pacid=40064403 transcript=Brasy9G135600.2 locus=Brasy9G135600 ID=Brasy9G135600.2.v1.1 annot-version=v1.1 MPRRGGRRKPTASSSDIRWVMLDRLVHLDKVKVDEFVAAADGTLSETCYSCTDRPLIVYVRVAIPPAVSRLYLCWPDGLRPEMSMVNPPTIIAAHGHSVLFEARVPHVDQRCPDFYPIDYFVYTACRSAKSPSLRRLPPCFKGGRVDHEVDHFFQPYRLQQQRGMSSGNIGLLCCGDEEFTVAELSSSGELCLLHHAPGEGNEAKDWDIKALQMPYGRSLHDRSCSNFKITSWNLIKRKWNKDATMEASDFWAVIDADKRLPHLRPEFPTMSLVDPDVVCFVLNENYRIYWLIEVNLKKKVLGLVTLYISDDEEGFSVEISRSHRNINEEEDEVCSDDMTRRTYLEGSFLPSTFTHYLDKNLTQSLEFSNKLEKEKLKKAKENGLIKHLTEGPV* >Brasy9G341600.1.p pacid=40064404 transcript=Brasy9G341600.1 locus=Brasy9G341600 ID=Brasy9G341600.1.v1.1 annot-version=v1.1 MAAAHAAAAESPTHRASSQATSTPPYPSAARIADSSCFPQYTASLKCLESNQNKSKCQQQFDDYKECKKKEREARLERNRSRTLFG* >Brasy9G253100.1.p pacid=40064405 transcript=Brasy9G253100.1 locus=Brasy9G253100 ID=Brasy9G253100.1.v1.1 annot-version=v1.1 MVSTGVEETAAKKQAACMAPNPGKATIVALGHAFPQQLVMQDYVVEGFMRNTNCNDPELKEKLTRLCKTTTVKTRYVVMSDEILRRYPELAQEGLPTMKQRLDISNKAVTQMATEASLSCVRSWGGPLSSITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKALAESCPGARVLLATSETTVAGFRPPSPDRPYDLVGVALFGDGAGAAIVCTDPTPLERPLFELHSALQRFLPGTEKTIDGRLTEEGIKFQLGRELPHIIEAHVEDFCQKLIKERDQGHAHLTYDDMFWAVHPGGPAILTKMEGRLGLDGAKLTASRSALRDFGNASSNTIVYVLENMVEESRRRRELQQAAGAEGDHACEWGLILAFGPGITFEGILARNLQAT* >Brasy9G177000.1.p pacid=40064406 transcript=Brasy9G177000.1 locus=Brasy9G177000 ID=Brasy9G177000.1.v1.1 annot-version=v1.1 MDLAPDLFAVSRRKNRSLKQATEDNAWIRDLPLILSQFVKLFSFVCRLLLDVGSPDTIAWKLSPSGTYFAKSAYFAQFQGLVRQPYSRLFWNLVADWTGLFFFSPVTWLPDTPIECWWMDKIDEAKRSLDKFKVRGAAERNNRIFNAKHSPAEGILMVIKNESALWRLIDSKGIGALLSGPDDVP* >Brasy9G354300.1.p pacid=40064407 transcript=Brasy9G354300.1 locus=Brasy9G354300 ID=Brasy9G354300.1.v1.1 annot-version=v1.1 MPQETLSASTTVTSSSTQPSYWRLRLHHKWTQKKLHDTSAPTTSLHGRSTLLQLCTSPPITRRSTLHATAHSDPDQARAAAAASPHRAQMRPAPGPDPAHQHRNEQAPGHCLAPSGLQPPRAPAGATSTRPQTGAAGQQQPPTADRSQTPRSPRTPQIWPASGPDAARTAAATLPRALAASAPPSTTQEQPPTSPPTTPASQIRPSRPDPATTPSHAATANHAAVHQQTGRSRAARTADPAPLEPYRAAREQDAEIRGAAQTTEGPSLPHHELGCGSSSPAATVGRTGLARRRHPAAARDRR* >Brasy9G064500.1.p pacid=40064408 transcript=Brasy9G064500.1 locus=Brasy9G064500 ID=Brasy9G064500.1.v1.1 annot-version=v1.1 MAGAGATAGACLRRLSAAEDARRRAAARRVAPGVRCAACGAVAEGRRGAASRWVARGACRQKIQPRQAGQTHDRPKGLVVCRRACHLDRPRDQDQQTKICIAWANNKCCSQPKTVITYSSSCLPHPKIV* >Brasy9G215700.1.p pacid=40064409 transcript=Brasy9G215700.1 locus=Brasy9G215700 ID=Brasy9G215700.1.v1.1 annot-version=v1.1 MSEELKRDYEIGEEIGRGRFGVVHRCASRSTGGLYAVKSVDRSRLSDDLDRGLAELEPKLVQLAAAGNPGVVQVHAVYEDESWTHMVMDLCTGPDLLDWVRLRQGAPVPEPEAAAVALQIAEALAICHRRGVAHRDVKPDNVLLDSGYEGGSPAPLRARLADFGSAAWVGPGGGGAWGLVGTPHYVAPEVVAGGEYGAKADVWSAGVVLYVLLSGGALPFSGETASDVFAAVLRGSPRFSPRLFAGVSPLAKDLMRRMMCRDVSRRFSAEQVLGHPWILSGGVREAVQPT* >Brasy9G241800.1.p pacid=40064410 transcript=Brasy9G241800.1 locus=Brasy9G241800 ID=Brasy9G241800.1.v1.1 annot-version=v1.1 MAALAAHHHRVFSSNPSTTPRTYLPHHLPTPSSSRRRPPRGARVLRHASGGSSAVPAASSSLEELSRSCTTWSWRGMRVNYLVRGEGPPVLLVHGFGASVAHWRRNIDVLSESNTVYAIDLLGFGASDKPPGFSYTMETWAELILEFLDEVVKRPTVLVGNSVGSLACVIAASDSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKGIASALFERVKDRKKLKDILLSVYGNKDAVDDELVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPKIRIPVLVLWGDQDPFTPIDGPVGKYFSGLPSELPNVRLHMLKGVGHCPHDDRPDLVHQKLLPWLEELPSATEPEVALT* >Brasy9G241800.2.p pacid=40064411 transcript=Brasy9G241800.2 locus=Brasy9G241800 ID=Brasy9G241800.2.v1.1 annot-version=v1.1 MAALAAHHHRVFSSNPSTTPRTYLPHHLPTPSSSRRRPPRGARVLRHASGGSSAVPAASSSLEELSRSCTTWSWRGMRVNYLVRGEGPPVLLVHGFGASVAHWRRNIDVLSESNTVYAIDLLGFGASDKPPGFSYTMETWAELILEFLDEVVKRPTVLVGNSVGSLACVIAASDSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKGIASALFERVKDRKKLKDILLSVYGNKDAVDDELVECFADHKRAG* >Brasy9G049500.1.p pacid=40064412 transcript=Brasy9G049500.1 locus=Brasy9G049500 ID=Brasy9G049500.1.v1.1 annot-version=v1.1 MSVVAAAAVGPPAPVAPPPSVVPFGTLYGGGGGGARKRKDVVRPEETAAAEEGGGGGHGLFVLETVVEEEAEAEGERSSIGAVSDDEAVQDGDEAESSPGQRKKKGGLACLDALDDALPIKRGLSSFFSGKSRSFANLQDVVAVAGATGGANVLAKPENPFNKRRRILRCSSIRRVSSTSLTALPPFLPPGPGPSSFNIANDDGAINGSGSG* >Brasy9G261200.1.p pacid=40064413 transcript=Brasy9G261200.1 locus=Brasy9G261200 ID=Brasy9G261200.1.v1.1 annot-version=v1.1 MTRRKRGPRSGRKAGAIEEWPNLVDVVMSWSLEDVMNEGLFKHEFSLVHIVSHVRDAVRNISNFNRSGFPFSYFGWIDQKPYSASYEFALEVDSKNTKSSNRPERYDPCVGDIIILSDVMPENISDITHDGRPYRIAFITDGGDEDDDLPPTKKMSPLFAAYLLNIISYIRIWRCLDYTTVRRNQNIIQEVVHYPRVANFHAKGITSIDTMEIWSKLSTMDLINSQNDAILNCISAMISSGGGSSNLSLIWGPPGTGKTKTISVLLWLLRKMKHGILTCAPTNLAVKQVASRFLRLTKENCLDTRCLGDVLLFGNKHRMCVEGGLKEIYVHARVRKLLVCFAPLTGWRHCLSSLSDFLDNGYSQYLQYSEDQKEEDKLSFLYYTRERFSAIYPELRRCFKELLFHVPKSSILEVNYTNIISLLELLEDFNTFRRKTTGAEIKEIFMYKDVPRKSNKPNFSKTVTTLGKTRIKCLELLKILLSSLKLPITSSKHAIREFCMSSASIIFCTVSSSSKVTSNNKLELLVVDEASQLKECETLIPLRLPSLRHAILIGDECQLPATVLSKVSFWVCEDALFGRSLFERLISLGHEKHLLNVQYRMHPSISIFPNTRFYDGILLDAPNVMQKERQKKYLPGSMFGPYSFINIEDGWEDFDELGHSRKNMIEVTVIQEILRNLQRACSKATKKVTVGVISPYTVQVVAIQEKMRRMKFEPLAVKINSVDAFQGGEEDIIILSTVRSNSAGLVGFLSNRQRTNVSLTRARHCLWILGNASTLSSSGSIWADLVRNAKDRQCFFNANKDGAISRVITKHVCELTKVEDKRDRPLKVTNNKVQAPSRKDLKGRHSSMKCLSSDVGAQSRDTISHSELHCPKDIAEDISLK* >Brasy9G317800.1.p pacid=40064414 transcript=Brasy9G317800.1 locus=Brasy9G317800 ID=Brasy9G317800.1.v1.1 annot-version=v1.1 MGDHELMHAAHPAMYNSNNGGAGAVSNGAGAMWWNTVPAAACSTEQLAAGFGGTWQSALAGSGYDVVAAADGGGGKAKSCAAAATPASSESPGNNSSITFQEPTTGCCLNDPAAAGFTDWNHTYMSSSTAGAGNLHGFLQVGHVQDNNMSAARADHSMNASSLMSNNNLDLALQGGGSGSHHEQQLLSSLGQQAELLLSPTSPYGFHSSSLLRSLMDHNQSTANKSASALRIQQQQQQYNQYGQQQQQQQQMVAGGGRGQGPLQFTNDAAFWNNNPSAAAGFGGMMAAPAADQAAVRAVKQSSPAPPRAANLALKNVLEGVGDSSSITSSRKKASGEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYVKFLHDQVGVLSAPYLKNGNHHPHQVPQYLKSSSASPDKPSKDGGEVSSLKDRGLCLVPISSTFAVASEVPVDFWTPFGAGFR* >Brasy9G317800.2.p pacid=40064415 transcript=Brasy9G317800.2 locus=Brasy9G317800 ID=Brasy9G317800.2.v1.1 annot-version=v1.1 MGDHELMHAAHPAMYNSNNGGAGAVSNGAGAMWWNTVPAAACSTEQLAAGFGGTWQSALAGSGYDVVAAADGGGGKAKSCAAAATPASSESPGNNSSITFQEPTTGCCLNDPAAAGFTDWNHTYISTAGAGNLHGFLQVGHVQDNNMSAARADHSMNASSLMSNNNLDLALQGGGSGSHHEQQLLSSLGQQAELLLSPTSPYGFHSSSLLRSLMDHNQSTANKSASALRIQQQQQQYNQYGQQQQQQQQMVAGGGRGQGPLQFTNDAAFWNNNPSAAAGFGGMMAAPAADQAAVRAVKQSSPAPPRAANLALKNVLEGVGDSSSITSSRKKASGEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYVKFLHDQVGVLSAPYLKNGNHHPHQVPQYLKSSSASPDKPSKDGGEVSSLKDRGLCLVPISSTFAVASEVPVDFWTPFGAGFR* >Brasy9G317800.3.p pacid=40064416 transcript=Brasy9G317800.3 locus=Brasy9G317800 ID=Brasy9G317800.3.v1.1 annot-version=v1.1 MGDHELMHAAHPAMYNSNNGGAGAVSNGAGAMWWNTVPAAACSTEQLAAGFGGTWQSALAGSGYDVVAAADGGGGKAKSCAAAATPASSESPGNNSSITFQEPTTGCCLNDPAAAGFTDWNHTYMSSSTAGAGNLHGFLQVGHVQDNNMSAARADHSMNASSLMSNNNLDLALQGGGSGSHHEQQLLSSLGQQAELLLSPTSPYGFHSSSLLRSLMDHNQSTANKSASALRIQQQQQQYNQYGQQQQQQQQMVAGGGRGQGPLQFTNDAAFWNNNPSAAAGFGGMMAAPAADQAAVRAVKQSSPAPPRAANLALKNVLEGVGDSSSITSSRKKASGEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKVLSAPYLKNGNHHPHQVPQYLKSSSASPDKPSKDGGEVSSLKDRGLCLVPISSTFAVASEVPVDFWTPFGAGFR* >Brasy9G289900.1.p pacid=40064417 transcript=Brasy9G289900.1 locus=Brasy9G289900 ID=Brasy9G289900.1.v1.1 annot-version=v1.1 MEPAAADSPPSPQQPLLGSPYAGAAAGLGNHQAPPPPPRPGRLAALIGRAAGRRGPSMLVRETAALQLERRRADWAHSRPVVALDVAWNVAFAAAAAAVLAASAAESPAKPLRMWLVGYALQCVVHVSLVCSGPGRRRRAARARGSDVESDAANAGADGSGSDDDDDEVLEERSSSVERCESVNTLVSFLWWIIGFYWVVSGGDMLEQGAPRLYWLTVVFLAFDVFFAVFCVAVACFIGVALCCCLPCVVAILYALVGQEGASDADIGVLPRYKYSVPSEDVEKGTDEGVMIPIMNNSGASTSERILLHEDAECCICLSSYEDGVELSALPCNHHFHSTCITKWLRMHATCPLCKFNILKGSEN* >Brasy9G356200.1.p pacid=40064418 transcript=Brasy9G356200.1 locus=Brasy9G356200 ID=Brasy9G356200.1.v1.1 annot-version=v1.1 MDGQDSDLPQFYAAQQQHHHRTMLPTAGGGQHVSPSPLSGGMHHSVIRPMPNMSMSPTAILQSIGGGGGFHHHMDHPTTTASSPLSPSSSIMMQHGAAMGPGTTTAIASPPEPVKRKRGRPRKYGPDGAMNKMSSLSSSSHQHQMMGAPPRSATMGSLDMVGGMDVDAANKKRRGRPPGTGKKLSSPTKKPSGNAFSGSAGTSFTPHIITASPSEVCQQQPHNGRNQADVAGKIAAFATQSPRAVCVLSAMGSVSRVVLRHPADHASSVARAPPSYNNPAIYEGLYEILSLSGSYNLNEDQQNQSDGISVTLCSPERHVIGGVLGGALVAASTVQVVLGSFVHGGSRAKSKKAGKQPNFGSDSLSGGGTDASPSSGHNQNLTPPSVVTTTGGWPSSGIFDTRSSNIDINSSRG* >Brasy9G356200.2.p pacid=40064419 transcript=Brasy9G356200.2 locus=Brasy9G356200 ID=Brasy9G356200.2.v1.1 annot-version=v1.1 MDGQDSDLPQFYAAQQQHHHRTMLPTAGGGQHVSPSPLSGGMHHSVIRPMPNMSMSPTAILQSIGGGGGFHHHMDHPTTTASSPLSPSSSIMMQHGAAMGPGTTTAIASPPEPVKRKRGRPRKYGPDGAMNKMSSLSSSSHQHQMMGAPPRSATMGSLDMVGGMDVDAANKKRRGRPPGTGKKLSSPTKKPSGNAFSGSAGTSFTPHIITASPSEDVAGKIAAFATQSPRAVCVLSAMGSVSRVVLRHPADHASSVARAPPSYNNPAIYEGLYEILSLSGSYNLNEDQQNQSDGISVTLCSPERHVIGGVLGGALVAASTVQVVLGSFVHGGSRAKSKKAGKQPNFGSDSLSGGGTDASPSSGHNQNLTPPSVVTTTGGWPSSGIFDTRSSNIDINSSRG* >Brasy9G208000.1.p pacid=40064420 transcript=Brasy9G208000.1 locus=Brasy9G208000 ID=Brasy9G208000.1.v1.1 annot-version=v1.1 MAPSLADLLRRRPWKPPLFVHAYSLPSLSLCSHLCASIFIYLVRAWFLLPFPFTYSRSTERLAECVPACT* >Brasy9G136200.1.p pacid=40064421 transcript=Brasy9G136200.1 locus=Brasy9G136200 ID=Brasy9G136200.1.v1.1 annot-version=v1.1 MDGLPFDPNTNAGNTDGVDAVAAGKDNNVGVTDSQRTTTKRFKRHSRDQVAQLEAVFQQCPRPDEQVQQDLSVKLGMGANQVKFWFQNRRSAKKKKKKQEEVKLLREENWMLHVENQTIKEALCKKTCLKCGVPMVHVGDTPEKRRLLAENTKLKNDLLRANAFLNMVSGGSEGAPSSRAYSP* >Brasy9G008800.1.p pacid=40064422 transcript=Brasy9G008800.1 locus=Brasy9G008800 ID=Brasy9G008800.1.v1.1 annot-version=v1.1 MSMAVVTKSPPVLVVPPPPLTGGDVDAVSLTSSLDRTVVPFPVTSVLLFDRPIPNTADTIKAALSSVLAHYRPIAGRLAFDDDDDDNQILRIEGAAGVPFVAASATCALADVTTAVLGELVVQYHGDFCRHADPLLMVQVTEFGCGGGFAVAATWNHVLTDGAGMAQFLGAVAELARGGALPSVVPLRSDDGSLPRLPASKVAAQKLTMSLVANTDFVLLDLAVPASLVARVKAEFHDEPPCTVFEAVAAVLWRCRTRAAGAGDGAMMTLSFPSNVRGLVGARRGYYGNCVVVQTLRAPRHAVADGDIAGVVRMIRRAKERIPELLLMEEASNGAAVAAGEGEGGAEEDQGGMEPPYNTFVVSSWRNLGFDGVDFGSGTPTRVMWHGENTVVPGCVVCPPEKDGDGVKVISLCVRPEHVDSFQSELAREAGVVAK* >Brasy9G257700.1.p pacid=40064423 transcript=Brasy9G257700.1 locus=Brasy9G257700 ID=Brasy9G257700.1.v1.1 annot-version=v1.1 MRPEKALPLKFKLCRASRLPIAEGISPASLLSERFNNVRLPSRKSSLGRPPVSRFFGSDNVSSHERLPKVAGIWPAKEFPPR* >Brasy9G191600.1.p pacid=40064424 transcript=Brasy9G191600.1 locus=Brasy9G191600 ID=Brasy9G191600.1.v1.1 annot-version=v1.1 MAGSRSRPTPTRPRAMQHALPQFPAQAPARRPPTGCSLGRPSGTRRAQPQLRGAAFSRQPYPYTLRPRLAGRETTRASPLNLSSGARGSRSPARMITSPVPAGRTRRALLFAAQRQPIFLLLVFSSPHLPVSLQVRRISNKGG* >Brasy9G366500.1.p pacid=40064425 transcript=Brasy9G366500.1 locus=Brasy9G366500 ID=Brasy9G366500.1.v1.1 annot-version=v1.1 MMPAYGKTLTGDRPVDDDDRLVTHGENNNTNGAESMGGTEFKNLEEEEEDAAIWIPPEAAGTEDHDVVAYINDDDNDDECHGGTMNWVQQSSSDSEPSSPSPTPREERQAAMLDAINRQLKMLVGRFLASAGMSLPQGEEESWLDIITSLSWEAALLIKPDGSVGNQMDPGSYIKVKRVASGTRRQCEVIKGLVFKKCAAHKHMPTKCHNPKLLLLRGALGDSDVGLSSFDSMEQEKDHLEKAISQVMEICAPNVIMVEKTVSRDIQELLLNEGVTLVLDMKLNRLQRIARFSGSPLVSVSEILSMPKLKQCDYFHIEKVAEDHNITAEGGKRPCKTLMFLEGFSKPLGCTILLRGANSEELKKVKQVMLYTVFAAYHLVLETSFFEDQRVFLNDRYASKEGNSVGMKEVSPVISSEIHVLADGSIPVSHTENDAIHNRSLVQYDDGETPIASSANPDALNPPENGFLSEVAGGTVIHHHSNHTLPSEKLLSLPSGSLRKFIDIFHHQNIYLPVTSSQETTNHHKEGRLEPDPEIPSKGFHAGVATEEPVNSCGNMGNLNDLQKQVMAKTNQQMGLTDHLVGVKHEQSLVALENRNEHSTAYISEEKTSGIDDEDVLDSQSILVLMSSQCIPNQVTCEQSHLSRINYYGNFDVSLGQYLQDILQNKNLSCSSCGEPPEDHMYSYTHRKGNLSVIVKRLLPEHRLPGESKGKIWMWTRCLRCEHESGISKSSRRVLISPEARNLSFGKFLELSFSSQSAARMLSICGHLLNRDCLRFFGLGSKVAMFQYSSVDIYTACKPQRTLEFHSSSTRELFEQEARNVLDTGVNLFTEVETLLQHMKNQFPKVVLNCGTFLEFSQLEEMLIKEKAEFTDSLVKAVDQHGMSRFTVHENLDVNWLYQDLLLQLYVWDRRLHRLLVCTYPGKERMSNGMKKVTVELTDDRTAAEADDNEPVQFSELGMNGHASMLVDETPQDRHYSVISDSLGVQWQGNERITHSISVKQHSFGIPRFRISEWDDMERWIWSPLYESRLVYRQELQAGSLEKFELVNCYSPSHLSTLHKQSAEEVCSRRFVVGPGGNVLSVSEDEISSIVSRALAVSEDRRHLLDSIVQSQASYTTGGDHDKSMENLSYEGSSPSSPWSSNESSDSEASFSSDDLYNYDSSLLSSSLHPEISVNGRVTLKQKYSVICVHANQFYTLRKKCCSSELAYIASLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEVKIDMMVMENLLFGHNISRIYDLKGAIFSRYVTNSSGGDAVYLDQNFVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDNENHEFVFGIIDYLRQYTWDKQLETWVKASLVVPKNVLPTVISPREYKKRFRKFMTKYFLTVPDDWSTPNRSESCKYCAHRKCNLSKVDSQKPNHQTEACVIQ* >Brasy9G366500.2.p pacid=40064426 transcript=Brasy9G366500.2 locus=Brasy9G366500 ID=Brasy9G366500.2.v1.1 annot-version=v1.1 MMPAYGKTLTGDRPVDDDDRLVTHGENNNTNGAESMGGTEFKNLEEEEEDAAIWIPPEAAGTEDHDVVAYINDDDNDDECHGGTMNWVQQSSSDSEPSSPSPTPREERQAAMLDAINRQLKMLVGRFLASAGMSLPQGEEESWLDIITSLSWEAALLIKPDGSVGNQMDPGSYIKVKRVASGTRRQCEVIKGLVFKKCAAHKHMPTKCHNPKLLLLRGALGDSDVGLSSFDSMEQEKDHLEKAISQVMEICAPNVIMVEKTVSRDIQELLLNEGVTLVLDMKLNRLQRIARFSGSPLVSVSEILSMPKLKQCDYFHIEKVAEDHNITAEGGKRPCKTLMFLEGFSKPLGCTILLRGANSEELKKVKQVMLYTVFAAYHLVLETSFFEDQRVFLNDRYASKEGNSVGMKEVSPVISSEIHVLADGSIPVSHTENDAIHNRSLVQYDDGETPIASSANPDALNPPENGFLSEVAGGTVIHHHSNHTLPSEKLLSLPSGSLRKFIDIFHHQNIYLPVTSSQETTNHHKEGRLEPDPEIPSKGFHAGVATEEPVNSCGNMGNLNDLQKQVMAKTNQQMGLTDHLVGVKHEQSLVALENRNEHSTAYISEEKTSGIDDEDVLDSQSILVLMSSQCIPNQVTCEQSHLSRINYYGNFDVSLGQYLQDILQNKNLSCSSCGEPPEDHMYSYTHRKGNLSVIVKRLLPEHRLPGESKGKIWMWTRCLRCEHESGISKSSRRVLISPEARNLSFGKFLELSFSSQSAARMLSICGHLLNRDCLRFFGLGSKVAMFQYSSVDIYTACKPQRTLEFHSSSTRELFEQEVLDTGVNLFTEVETLLQHMKNQFPKVVLNCGTFLEFSQLEEMLIKEKAEFTDSLVKAVDQHGMSRFTVHENLDVNWLYQDLLLQLYVWDRRLHRLLVCTYPGKERMSNGMKKVTVELTDDRTAAEADDNEPVQFSELGMNGHASMLVDETPQDRHYSVISDSLGVQWQGNERITHSISVKQHSFGIPRFRISEWDDMERWIWSPLYESRLVYRQELQAGSLEKFELVNCYSPSHLSTLHKQSAEEVCSRRFVVGPGGNVLSVSEDEISSIVSRALAVSEDRRHLLDSIVQSQASYTTGGDHDKSMENLSYEGSSPSSPWSSNESSDSEASFSSDDLYNYDSSLLSSSLHPEISVNGRVTLKQKYSVICVHANQFYTLRKKCCSSELAYIASLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEVKIDMMVMENLLFGHNISRIYDLKGAIFSRYVTNSSGGDAVYLDQNFVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDNENHEFVFGIIDYLRQYTWDKQLETWVKASLVVPKNVLPTVISPREYKKRFRKFMTKYFLTVPDDWSTPNRSESCKYCAHRKCNLSKVDSQKPNHQTEACVIQ* >Brasy9G366500.3.p pacid=40064427 transcript=Brasy9G366500.3 locus=Brasy9G366500 ID=Brasy9G366500.3.v1.1 annot-version=v1.1 MPTKCHNPKLLLLRGALGDSDVGLSSFDSMEQEKDHLEKAISQVMEICAPNVIMVEKTVSRDIQELLLNEGVTLVLDMKLNRLQRIARFSGSPLVSVSEILSMPKLKQCDYFHIEKVAEDHNITAEGGKRPCKTLMFLEGFSKPLGCTILLRGANSEELKKVKQVMLYTVFAAYHLVLETSFFEDQRVFLNDRYASKEGNSVGMKEVSPVISSEIHVLADGSIPVSHTENDAIHNRSLVQYDDGETPIASSANPDALNPPENGFLSEVAGGTVIHHHSNHTLPSEKLLSLPSGSLRKFIDIFHHQNIYLPVTSSQETTNHHKEGRLEPDPEIPSKGFHAGVATEEPVNSCGNMGNLNDLQKQVMAKTNQQMGLTDHLVGVKHEQSLVALENRNEHSTAYISEEKTSGIDDEDVLDSQSILVLMSSQCIPNQVTCEQSHLSRINYYGNFDVSLGQYLQDILQNKNLSCSSCGEPPEDHMYSYTHRKGNLSVIVKRLLPEHRLPGESKGKIWMWTRCLRCEHESGISKSSRRVLISPEARNLSFGKFLELSFSSQSAARMLSICGHLLNRDCLRFFGLGSKVAMFQYSSVDIYTACKPQRTLEFHSSSTRELFEQEARNVLDTGVNLFTEVETLLQHMKNQFPKVVLNCGTFLEFSQLEEMLIKEKAEFTDSLVKAVDQHGMSRFTVHENLDVNWLYQDLLLQLYVWDRRLHRLLVCTYPGKERMSNGMKKVTVELTDDRTAAEADDNEPVQFSELGMNGHASMLVDETPQDRHYSVISDSLGVQWQGNERITHSISVKQHSFGIPRFRISEWDDMERWIWSPLYESRLVYRQELQAGSLEKFELVNCYSPSHLSTLHKQSAEEVCSRRFVVGPGGNVLSVSEDEISSIVSRALAVSEDRRHLLDSIVQSQASYTTGGDHDKSMENLSYEGSSPSSPWSSNESSDSEASFSSDDLYNYDSSLLSSSLHPEISVNGRVTLKQKYSVICVHANQFYTLRKKCCSSELAYIASLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEVKIDMMVMENLLFGHNISRIYDLKGAIFSRYVTNSSGGDAVYLDQNFVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDNENHEFVFGIIDYLRQYTWDKQLETWVKASLVVPKNVLPTVISPREYKKRFRKFMTKYFLTVPDDWSTPNRSESCKYCAHRKCNLSKVDSQKPNHQTEACVIQ* >Brasy9G080500.1.p pacid=40064428 transcript=Brasy9G080500.1 locus=Brasy9G080500 ID=Brasy9G080500.1.v1.1 annot-version=v1.1 MFVCLADAGYIDGDEFCADSRRRGELLEDLVSAMLELVPDAVAHLISTGMEKMQQDEEEMGLAATRGGGGDRRPREEEGWRQGRRGGGGGRRRRAREEEEVTGGHGRVGGGGGRRTGGGGGGTGARSGHGRRRGGGRGRRCWAREQGAATGGGGLEAGEICKKNPEFYRKAQFRPKIGLRVDF* >Brasy9G234900.1.p pacid=40064429 transcript=Brasy9G234900.1 locus=Brasy9G234900 ID=Brasy9G234900.1.v1.1 annot-version=v1.1 MQPPCLGACGGSLAVLGPSRRRPSSVSRATVSCAAGGGRASSRGKENVWSVDNERAAAQKDRSQKNRRRRRQGGRRLPPPPPGRRKENDAGSRVLVSGAMLVDVETVLQTQEPVIMPSWDTFASSLSGIWKGVGAVFSPITAEMEPVGLGSKEEYLYDCYTLSQIEKVDDNVHGSVIQRKTNWVQLNPHGEAEKQSAGHYGWNDSSSEKVTVDLPAHESFDLKKSEVLDEDTITMEPGIVFFEDGSYSRGPLDIAIGEYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAMYEEQWTSPANIHVEDDTPVDVRPFSQRKRTKPSELTGSWKVYEVSATPIYSEEIQELEGGAPFVYLCMETMKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDVDKDGILCIGVSWYSDEGINLVMDRDYGTDGKLREVRTKTEVKRRWNQPVPP* >Brasy9G036300.1.p pacid=40064430 transcript=Brasy9G036300.1 locus=Brasy9G036300 ID=Brasy9G036300.1.v1.1 annot-version=v1.1 MEAQMFFHPTPAEPLKADMPTCIPRLRGGGGGGGARRRPPRKHGGAPAPSAPPTASSSASVMDRVREALLRLAMLSAVSSSSSPACKAARLQPQQQQPQTARAPSRAASVRMSPSRTASVRMASPSPAYADSYRSEAVDDCIEYLKRSAAGAAMPPMPAAAAIVVAEPAAVEVVSSAARPSEPM* >Brasy9G020900.1.p pacid=40064431 transcript=Brasy9G020900.1 locus=Brasy9G020900 ID=Brasy9G020900.1.v1.1 annot-version=v1.1 MAGSGHLKVVAAVCCALLLALAAAGQPAEATSCIERCGCVPCPEGKVCTAVCTVPKACEDRCRCQDRCALLRCSAECQDACKAKC* >Brasy9G131400.1.p pacid=40064432 transcript=Brasy9G131400.1 locus=Brasy9G131400 ID=Brasy9G131400.1.v1.1 annot-version=v1.1 MIISKKNRNEICKYLFQGIESHQGRPLAPSNMENQEGVLYAKKDYNLEKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRNFLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRDFDGDRPRFGDRDGYRGGPRAAPGDFGGEKGGAPAEFQPSFRSSGARPAFGRGGGGGFGAGPTSSSME* >Brasy9G353700.1.p pacid=40064433 transcript=Brasy9G353700.1 locus=Brasy9G353700 ID=Brasy9G353700.1.v1.1 annot-version=v1.1 MPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFSAITKNSLATLLSFGEAIAMSKKRSPEKLFVLLDMYEIMYELQTEIDKIFVRESCSQMRDSALNLTKCLAQTAQKTFSDFEEAIEKDATENIHTDGAVHPLTSYVINYVKFLYLTINPL* >Brasy9G220900.1.p pacid=40064434 transcript=Brasy9G220900.1 locus=Brasy9G220900 ID=Brasy9G220900.1.v1.1 annot-version=v1.1 MLPFVILGFVIKPLELKGFTHNKKTKEYGPMLNPELQDETNNNGIKQGVPAGTEGLTEKIPQRFSFSSFGKKVMIEIGYFGQDMKELLQEKVYVINVLGYIAYNFVIGAYSYWGPKAGQDIYKMASADLMFGGITIVCGIFGTLAGGFILDKIESTISNAFKLLSGATFLGAIFCFSAFCFKSLYGFIPFFSVGELLVFATQAPVNYICLHCVKPSLRPLSMAMSTVSIHIFGDVPSSPLVGLLQDKIHNWRATALTLTSILFIAVIFWFIGIFVRSVDRFNEQSEHGLPAAERSNLRPLLDESDETRASQ* >Brasy9G226500.1.p pacid=40064435 transcript=Brasy9G226500.1 locus=Brasy9G226500 ID=Brasy9G226500.1.v1.1 annot-version=v1.1 MAGGLVGVKAAPFTYAAHALGAAAAVMVLVWCIHFRGGLAFDAVNKNLIFNVHPVLMLIGYIILGSEAIMVYKIFPKLNHDTAKLTHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGAISLYGIQWIFGFLAFFFPGAAPDVRRGALPWHVLFGLFVYVLTLATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALVVVLFGAAVVVVAVSPKAQVPEPEGYAPIPVS* >Brasy9G028500.1.p pacid=40064436 transcript=Brasy9G028500.1 locus=Brasy9G028500 ID=Brasy9G028500.1.v1.1 annot-version=v1.1 MMLEVASKGIRLSDPASGSSCGGYSIKSATGKKAARKERRLSETASGSSGGGDAMIKSQKLADGDKAEAGKVKKMARVSEEYIKRLEKNGGGPRIPTFDFLDNNTGSNAPLLRAIAASSIASMEEFRAHNADILDQYKKKGYAEVEIEDPDEEELWIVKVARKSLASS* >Brasy9G028500.2.p pacid=40064437 transcript=Brasy9G028500.2 locus=Brasy9G028500 ID=Brasy9G028500.2.v1.1 annot-version=v1.1 MMLEVASKGIRLSDPASGSSCGGYSIKSATGKKAARKERRLSETASGSSGGGDAMIKSQKLADGDKAEAGKVKKMARVSEEYIKRLEKNGGGPRIPTFDFLDNNTGSNAPLLRAIAASSIASMEEFRAHNADILDQYKKKGYAEVEIEDPDEEELWIVKVARKSLASS* >Brasy9G028500.3.p pacid=40064438 transcript=Brasy9G028500.3 locus=Brasy9G028500 ID=Brasy9G028500.3.v1.1 annot-version=v1.1 MMLEVASKGIRLSDPASGSSCGGYSIKSATGKKAARKERRLSETASGSSGGGDAMIKSQKLADGDKAEAGKVKKMARVSEEYIKRLEKNGGGPRIPTFDFLDNNTGSNAPLLRAIAASSIASMEEFRAHNADILDQYKKKGYAEVEIEDPDEEELWIVKVARKSLASS* >Brasy9G334300.1.p pacid=40064439 transcript=Brasy9G334300.1 locus=Brasy9G334300 ID=Brasy9G334300.1.v1.1 annot-version=v1.1 MVRLGAMSAHPAAAVLDLGILGLGSPGLLVFLALVATVAVAAVAAFGCAKGAKKPRRNNNDVYYYGQGYPPPPPPAGAYGYPAQPQAGYGYQPPPNGGKQGRGGMGAGAGLALGGAAGLATGVIVGSALSGGGGGCGGGCGGGCGGGCGG* >Brasy9G293800.1.p pacid=40064440 transcript=Brasy9G293800.1 locus=Brasy9G293800 ID=Brasy9G293800.1.v1.1 annot-version=v1.1 MGGGHDMHGHGGGVKGFVSNLVTGGKGQGHGQHGHGDHGHGYQQGYGQQHYGYPPAATGAYPPHGHGGYAPAAYPSHGAQHGHMGMGSYHTGHHQHGGGVFGGGKHKGGGMFGGKHGRKWK* >Brasy9G052800.1.p pacid=40064441 transcript=Brasy9G052800.1 locus=Brasy9G052800 ID=Brasy9G052800.1.v1.1 annot-version=v1.1 MRSRGRRQSQSMLAAVVLGVVLLLATAACAGAQQLSQSYYASTCPNVETLVRGAVTQKLQQTFNAAPGTLRLFFHDCFVRLRVLQGCDASVLISGPADEHSAGPDTTLSPDALDLITRAKAAVDADARCSNKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAAVKHSLPGAGFGLDQLNKLFAANGLTQTDMIALSGAHTMGVAHCANFVRRLYPFKGAAPPQSNPPMNLYFLRQLRGTCPLNKYGPATVAMLDAVTPMQFDNGYYQTLQQQKGLLASDQALFADRRSRPTVNRFAANQTAFFDAFAAAMAKLGRVGVKSGSDGEIRRVCTKVN* >Brasy9G052800.4.p pacid=40064442 transcript=Brasy9G052800.4 locus=Brasy9G052800 ID=Brasy9G052800.4.v1.1 annot-version=v1.1 MRSRGRRQSQSMLAAVVLGVVLLLATAACAGAQQLSQSYYASTCPNVETLVRGAVTQKLQQTFNAAPGTLRLFFHDCFVRGCDASVLISGPADEHSAGPDTTLSPDALDLITRAKAAVDADARCSNKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAAVKHSLPGAGFGLDQLNKLFAANGLTQTDMIALSGAHTMGVAHCANFVRRLYPFKGAAPPQSNPPMNLYFLRQLRGTCPLNKYGPATVAMLDAVTPMQFDNGYYQTLQQQKGLLASDQALFADRRSRPTVNRFAANQTAFFDAFAAAMAKLGRVGVKSGSDGEIRRVCTKVN* >Brasy9G052800.5.p pacid=40064443 transcript=Brasy9G052800.5 locus=Brasy9G052800 ID=Brasy9G052800.5.v1.1 annot-version=v1.1 MRSRGRRQSQSMLAAVVLGVVLLLATAACAGAQQLSQSYYASTCPNVETLVRGAVTQKLQQTFNAAPGTLRLFFHDCFVRAAVDADARCSNKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAAVKHSLPGAGFGLDQLNKLFAANGLTQTDMIALSGAHTMGVAHCANFVRRLYPFKGAAPPQSNPPMNLYFLRQLRGTCPLNKYGPATVAMLDAVTPMQFDNGYYQTLQQQKGLLASDQALFADRRSRPTVNRFAANQTAFFDAFAAAMAKLGRVGVKSGSDGEIRRVCTKVN* >Brasy9G052800.2.p pacid=40064444 transcript=Brasy9G052800.2 locus=Brasy9G052800 ID=Brasy9G052800.2.v1.1 annot-version=v1.1 MRSRGRRQSQSMLAAVVLGVVLLLATAACAGAQQLSQSYYASTCPNVETLVRGAVTQKLQQTFNAAPGTLRLFFHDCFVRGCDASVLISGPADEHSAGPDTTLSPDALDLITRAKAAVDADARCSNKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAAVKHSLPGAGFGLDQLNKLFAANGLTQTDMIALSGSAGWNGGLASRRLAGRRDMVGTKAAPG* >Brasy9G052800.3.p pacid=40064445 transcript=Brasy9G052800.3 locus=Brasy9G052800 ID=Brasy9G052800.3.v1.1 annot-version=v1.1 MRSRGRRQSQSMLAAVVLGVVLLLATAACAGAQQLSQSYYASTCPNVETLVRGAVTQKLQQTFNAAPGTLRLFFHDCFVRGCDASVLISGPADEHSAGPDTTLSPDALDLITRAKAAVDADARCSNKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAAVKHSLPGAGFGLDQLNKLFAANGLTQTDMIALSGSAGWNGGLASRRLAGRRDMVGTKAAPG* >Brasy9G297500.1.p pacid=40064446 transcript=Brasy9G297500.1 locus=Brasy9G297500 ID=Brasy9G297500.1.v1.1 annot-version=v1.1 MVDHHLPPEEPGHHHKSGKAVRAEDLKPARRRGFNNYYYGGHAAGHGGAFRTLCFVLLVIILLAGITALVLYLVYRPSTPAFAVTSVAVYSLSNATAPPAAGAVISGAAPALMAASFQVTLVIRNPSGRSAATYDRLTAYVAYRGEPITAPASLPPLAQEPSSAVAVAPVVGAGAAVPVPVSPDAAAALSRDVAYGVVSLRVVLFGRVRFVSGPFRSGWRSLYARCDLLVGVRKQERGREAPLFGNPSCDVN* >Brasy9G299600.1.p pacid=40064447 transcript=Brasy9G299600.1 locus=Brasy9G299600 ID=Brasy9G299600.1.v1.1 annot-version=v1.1 MAKEASRASWNHTYERGLVDTLLDHNVPKYKGQNGWTPEGWKTMADKFNQKFPLARFTKQQIQEKEKELKGNYKAVRDARKQSGDFPKVKKFRSKPFTLFNSLSSLYEGSVATGDLNFVSVPQVVQHVDLTTDPVSPTDSSTNHSGSLNPFSSTSLDGHMSSTDLHGQEASRREDAEPTTSALKEEPPKRRKQSQVAVVLEEYLDFRKKHSVKLIEEIKEPKPDEKFSIAACVSTLEEMEGLTDREKGKALRLFKCQQNHEIFINTKVASVRLCWLKEEIDAART* >Brasy9G164400.1.p pacid=40064448 transcript=Brasy9G164400.1 locus=Brasy9G164400 ID=Brasy9G164400.1.v1.1 annot-version=v1.1 MARAPVFLALLCLVVVASALATTADARKMVGVYVLKKGDFSVKITNWGATIMSIVFPDSKGNLGDVVLGKDTLAEYVNDTSYFGPLTGRVAQRMARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGDSPHITLYYRSFDGEQGFPGDLDVYATYSLSSPYVLSIRTNATALNKATPVNFLQHTYWNLGGQGSGGSILGHTLQLFASRYTPMDEELLPSSGRVVPVAGTPYDFRAPTPIGARIRQVTGANKVFGYDINYVVDGEGMRKVAVARDGASGRALELWANQPAMQLYTGNYLNGTKGKGGEVYQQYGGFALETQGYPDAVNHPEFPSQTLRPGQVYKHDMLIKFSF* >Brasy9G057500.1.p pacid=40064449 transcript=Brasy9G057500.1 locus=Brasy9G057500 ID=Brasy9G057500.1.v1.1 annot-version=v1.1 MARIGTSSQTDFVDMDKMASSITREINSFCSAFESSNGHIQFQLHKVPQHIREVDRSCYEPIVLSIDPYSHGSQSLMLMEKEKWKSLDYILKLNCEVSLQDYIRAIAKLEKQARDCYSEEIPVDRKKFLQMLLLDTCFILVKVDGSVLAVRRVEDQSSGDTPQKIIDGNSEDVAGMQSGSNQSVKSHKAKHADSVPEIELTILDGNAEDNGQISHYNDKKPDGDDVTGDWYATAAWHDLFLLENQIPFFVIEAVYRLVATNGLTMPILTDTIVDCVENILRQFPSGIKASNRPKAFHHLLHLCHMFFRPTQKLVEIHEDQPNVRYFHCLVQLVGRLLPIQQKGCFQDGYYPRWCQAVLYHEAGIQLNKREYSSCNIHSLLDIRFNNGVVEIPFFAIDENTESLFRNLIALEQTDSQFGNDVSTYISFMSHLVMTPSDATLLATRGIIVHMLDSDEEVSALFVRLTKDVTLDISRGNYMTNLFRILENHYQNRLNRWMAWLWQTHSVIHG* >Brasy9G057500.2.p pacid=40064450 transcript=Brasy9G057500.2 locus=Brasy9G057500 ID=Brasy9G057500.2.v1.1 annot-version=v1.1 MARIGTSSQTDFVDMDKMASSITREINSFCSAFESSNGHIQFQLHKVPQHIREVDRSCYEPIVLSIDPYSHGSQSLMLMEKEKWKSLDYILKLNCEVSLQDYIRAIAKLEKQARDCYSEEIPVDRKKFLQMLLLDTCFILVKVDGSVLAVRRVEDQSSGDTPQKIIDGNSEDVAGMQSGSNQSVKSHKAKHADSVPEIELTILDGNAEDNGQISHYNDKKPDGDDVTGDWYATAAWHDLFLLENQIPFFVIEAVYRLVATNGLTMPILTDTIVDCVENILRQFPSGIKASNRPKAFHHLLHLCHMFFRPTQKLVEIHEDQPNVRYFHCLVQLVGRLLPIQQKGCFQDGYYPRWCQAVLYHEAGIQLNKREYSSCNIHSLLDIRFNNGVVEIPFFAIDENTESLFRNLIALEQTDSQFGNDVSTYISFMSHLVMTPSDATLLATRGIIVHMLDSDEEVSALFVRLTKDVTLDISRGNYMTNLFRILENHYQNRLNRWMAWLWQTHSVIHG* >Brasy9G057500.3.p pacid=40064451 transcript=Brasy9G057500.3 locus=Brasy9G057500 ID=Brasy9G057500.3.v1.1 annot-version=v1.1 MARIGTSSQTDFVDMDKMASSITREINSFCSAFESSNGHIQFQLHKVPQHIREVDRSCYEPIVLSIDPYSHGSQSLMLMEKEKWKSLDYILKLNCEVSLQDYIRAIAKLEKQARDCYSEEIPVDRKKFLQMLLLDTCFILVKVDGSVLAVRRVEDQSSGDTPQKIIDGNSEDVAGMQSGSNQSVKSHKAKHADSVPEIELTILDGNAEDNGQISHYNDKKPDGDDVTGDWYATAAWHDLFLLENQIPFFVIEAVYRLVATNGLTMPILTDTIVDCVENILRQFPSGIKASNRPKAFHHLLHLCHMFFRPTQKLVEIHEDQPNVRYFHCLVQLVGRLLPIQQKGCFQDGYYPRWCQAVLYHEAGIQLNKREYSSCNIHSLLDIRFNNGVVEIPFFAIDENTESLFRNLIALEQTDSQFGNDVSTYISFMSHLVMTPSDATLLATRGIIVHMLDSDEEVSALFVRLTKDVTLDISRGNYMTNLFRILENHYQNRLNRWMAWLWQTHSVIHG* >Brasy9G057500.4.p pacid=40064452 transcript=Brasy9G057500.4 locus=Brasy9G057500 ID=Brasy9G057500.4.v1.1 annot-version=v1.1 MARIGTSSQTDFVDMDKMASSITREINSFCSAFESSNGHIQFQLHKVPQHIREVDRSCYEPIVLSIDPYSHGSQSLMLMEKEKWKSLDYILKLNCEVSLQDYIRAIAKLEKQARDCYSEEIPVDRKKFLQMLLLDTCFILVKVDGSVLAVRRVEDQSSGDTPQKIIDGNSEDVAGMQSGSNQSVKSHKAKHADSVPEIELTILDGNAEDNGQISHYNDKKPDGDDVTGDWYATAAWHDLFLLENQIPFFVIEAVYRLVATNGLTMPILTDTIVDCVENILRQFPSGIKASNRPKAFHHLLHLCHMFFRPTQKLVEIHEDQPNVRYFHCLVQLVGRLLPIQQKGCFQDGYYPRWCQAVLYHEAGIQLNKREYSSCNIHSLLDIRFNNGVVEIPFFAIDENTESLFRNLIALEQTDSQFGNDVSTYISFMSHLVMTPSDATLLATRGIIVHMLDSDEEVSALFVRLTKDVTLDISRGNYMTNLFRILENHYQNRLNRWMAWLWQTHSVIHG* >Brasy9G252200.1.p pacid=40064453 transcript=Brasy9G252200.1 locus=Brasy9G252200 ID=Brasy9G252200.1.v1.1 annot-version=v1.1 MRPEATSGQPAGIPYAGEGEEALGMAVCGASNVFRTARHVPDTAFLNPPGVTVWCNLSRFLSFFFFFFFVIYATWHLPVCFKCTVIFFRRPSTPTTELPKSCRPVNKNLSRSWYHQVELGDLYMASRCVCVKFTKYHYKGNG* >Brasy9G048600.1.p pacid=40064454 transcript=Brasy9G048600.1 locus=Brasy9G048600 ID=Brasy9G048600.1.v1.1 annot-version=v1.1 MDFINQVVVPAALSEILGRVFSFLANKFPLPAAAAAGGGGDRDVHRRQLERLLGSIGSIVEEAEGRHITNHQLLCHLKALTEAMYRGRFALEAADLDDDIKNNADDDNRRSSSSALCSPLHRAKRSRVASFVFRAGGDGGGLTSAQRLADANQELESLTRDCMRGFILLVQGYPRNVHRAVATALYMDRRVFGRHVEKERIVDFLLRPPPPHELSALAVVGAKKVGKTTLVKHACDDERVRGHFARVEWFETPDVVTEGGRPGQAVWESDGPEYLAGVRRIISRPRPGITKPLLLVFEDAWPMDTGASTGAGEGTMKLLFTCRDADVARVLGTVEPVVLSKPTPEEYWYYFKAFAFRGTDPREHPRAAAVGREICEHMDRTYLEARVLGGVLGANLDARFWRRVLAATVRCDQRPLHVGVLVKLLPINGRLLQSYGYCRSPPELTVRDVLGGRVAGSLDGFTVHLCRETLYMDHWYSITFNSV* >Brasy9G309400.1.p pacid=40064455 transcript=Brasy9G309400.1 locus=Brasy9G309400 ID=Brasy9G309400.1.v1.1 annot-version=v1.1 MEQSSSGSAKARRSSSGGSWGSVGGGGSDPFDIPAKGAPIERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEVIRKIRAQAHVIRAAFRFKEAARVNDQPKEIKASHVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVASMLKTDTEKGISGDDSDLTARQNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIGFAVLLVVFVTATSDYKQSLQFQNLNEEKQNIHLEVVRGGRRIKVSIYDLVVGDVVPLKIGDQVPADGILVSGHSFSIDESSMTGESKIVNKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMIGLSVAVVVLIVLLARYFTGHTYNPDGSPQYVKGKMGVGSTIRGIVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKLAPADNTQMLSAAVLSLIIEGIAQNTSGSIFEPEGGQAPEVTGSPTEKAILSWGLQLGMKFSEARSKSSVLQVFPFNSEKKRGGVAVHLGGSEVHVYWKGAAELILESCTNWIDADGSKNSMTPEKVGEFKKVIEDMAVASLRCVAFAYRPCDMDDVPNEDQRADWVLPEDNLIMLGIVGIKDPCRPGVQDSIRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPIIMEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRSRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASLVRVVRWGRSVYANIQKFIQFQLTVNVAALIINFVSAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPNNHLMQRPPVGRREPLITNIMWRNLLIMAIFQVSVLLTLTFKGHSLLQLKHDNPAHAETLKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNRLFMAIIAITVVLQVLIIEFLGKFMSTVRLSWQLWLVSTGLAFLSWPLSLLGKLIPVPDRPFSDSFTCCSRGKKDADDENAGSAKQNVV* >Brasy9G309400.2.p pacid=40064456 transcript=Brasy9G309400.2 locus=Brasy9G309400 ID=Brasy9G309400.2.v1.1 annot-version=v1.1 MEQSSSGSAKARRSSSGGSWGSVGGGGSDPFDIPAKGAPIERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEVIRKIRAQAHVIRAAFRFKEAARVNDQPKEIKASHVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVASMLKTDTEKGISGDDSDLTARQNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIGFAVLLVVFVTATSDYKQSLQFQNLNEEKQNIHLEVVRGGRRIKVSIYDLVVGDVVPLKIGDQVPADGILVSGHSFSIDESSMTGESKIVNKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMIGLSVAVVVLIVLLARYFTGHTYNPDGSPQYVKGKMGVGSTIRGIVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKLAPADNTQMLSAAVLSLIIEGIAQNTSGSIFEPEGGQAPEVTGSPTEKAILSWGLQLGMKFSEARSKSSVLQVFPFNSEKKRGGVAVHLGGSEVHVYWKGAAELILESCTNWIDADGSKNSMTPEKVGEFKKVIEDMAVASLRCVAFAYRPCDMDDVPNEDQRADWVLPEDNLIMLGIVGIKDPCRPGVQDSIRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPIIMEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRSRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASLVRVVRWGRSVYANIQKFIQFQLTVNVAALIINFVSAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPNNHLMQRPPVGRREPLITNIMWRNLLIMAIFQVSVLLTLTFKGHSLLQLKHDNPAHAETLKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNRLFMAIIAITVVLQVLIIEFLGKFMSTVRLSWQLWLVSTGLAFLSWPLSLLGKLIPVPDRPFSDSFTCCSRGKKDADDENAGSAKQNVV* >Brasy9G309400.3.p pacid=40064457 transcript=Brasy9G309400.3 locus=Brasy9G309400 ID=Brasy9G309400.3.v1.1 annot-version=v1.1 MEQSSSGSAKARRSSSGGSWGSVGGGGSDPFDIPAKGAPIERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEVIRKIRAQAHVIRAAFRFKEAARVNDQPKEIKASHVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVASMLKTDTEKGISGDDSDLTARQNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIGFAVLLVVFVTATSDYKQSLQFQNLNEEKQNIHLEVVRGGRRIKVSIYDLVVGDVVPLKIGDQVPADGILVSGHSFSIDESSMTGESKIVNKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMIGLSVAVVVLIVLLARYFTGHTYNPDGSPQYVKGKMGVGSTIRGIVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKLAPADNTQMLSAAVLSLIIEGIAQNTSGSIFEPEGGQAPEVTGSPTEKAILSWGLQLGMKFSEARSKSSVLQVFPFNSEKKRGGVAVHLGGSEVHVYWKGAAELILESCTNWIDADGSKNSMTPEKVGEFKKVIEDMAVASLRCVAFAYRPCDMDDVPNEDQRADWVLPEDNLIMLGIVGIKDPCRPGVQDSIRLCTAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPIIMEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRSRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASLVRVVRWGRSVYANIQKFIQFQLTVNVAALIINFVSAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPNNHLMQRPPVGRREPLITNIMWRNLLIMAIFQVSVLLTLTFKGHSLLQLKHDNPAHAETLKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNRLFMAIIAITVVLQVLIIEFLGKFMSTVRLSWQLWLVSTGLAFLSWPLSLLGKLIPVPDRPFSDSFTCCSRGKKDADDENAGSAKQNVV* >Brasy9G300300.1.p pacid=40064458 transcript=Brasy9G300300.1 locus=Brasy9G300300 ID=Brasy9G300300.1.v1.1 annot-version=v1.1 MGGIGSGGQAGRDEGSRTGGESAGAAAAQAGRPGEAQILAAIAQPGESRWKSKLRFARQDRVQGTCTVLCTCTPPPLIATGSVFSDHVITTAAAAGLPAPLKAEAMRKSQSHDFETDVPASELWEVYGTLRAAELLPELLPQVLSKVELVSGDGGLGTILELTFPPGIPGLEKYKEKFTKIDNEKYIKEAETIDGDVLKLGFLYYMVRFEIIVKGPSSSVIRSTIEYEIDDGHPELESMVSTAPLAATAERFAGYIKEQKITQSST* >Brasy9G126800.1.p pacid=40064459 transcript=Brasy9G126800.1 locus=Brasy9G126800 ID=Brasy9G126800.1.v1.1 annot-version=v1.1 MATTGLKGMAFLLALAATLLSCCTASSSPYHLRPRVAARARDAKSPAEPPAYHNAPEHGKHYHHADPSAEPPAYHDGSQHRHQARRGRGHHRHTDAPPSPSPALHVVEQPPSRLPPRNDAPPSHNASSDHAPPPHLPACHEPPPSNHHAPPPHIPAHHAPSPLDHPPPPPDHAPPPHIPARRTPPRTHHLQITCHRLICRLIAPTASHAGSSRVTTCGSRAPASYAVSSRATTAGSPSTASCASSSHPTTPNNVPPPPDHAPPPHLPAHHTPPPPDKAPPPHIPAHHTAPPPDKAPPPPEHSSPPHIPAHHTPPPLNKAPPPPDHAPPPHIPAHHTPPPPGSAPPPHMPTHHTPPPPVNAPPPPESTPSPPPYHTPLPPSPAHHGPPRPPHHTPFPPSPTHHAPPPPFHHAPQPRFPAPTHYSPPYPTYHSPPIPAQLSPPPPPSRYPPHVLAPPPHKYPSRPAHHGREDGSTASATMGP* >Brasy9G175900.1.p pacid=40064460 transcript=Brasy9G175900.1 locus=Brasy9G175900 ID=Brasy9G175900.1.v1.1 annot-version=v1.1 MPTRQWSIKFPNLAIASDPETLELDGLASRLRQNPPIAMPQFRRWADLPPDLLCRIGDILDLKCYASARGACTAWRCALAPPSPSLLVVLDDNRYRPSAASLPTQRSFELKPILSGGRCVGSSNGWLALSICLYGGHNMFSLFSPISGAEILLPPLIYESRWVSKLVFAPNPAKDDFVAAAICDIDRLAYVTAGARRWAILDPVRLVAGDQLADVVYHDKGRVYCLTRCGDVYVLRLPERRRRKPLVSEDSAAGPSEQTVPVLSPTDHPALSFHGRRNQQQRNLRMMCYEHRRWREYQPHQSISHIKAAFGPDVLMPRKVPPGSVGPDLNAPATVEPLLSEGNLPFDPDNSFAPPYNTVSVFTSAKNLVFCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEVLVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGIPGLKGNCVYWIGGSGRDQGMVFDMGTGRSTPCHPVAGVVPGLPKSTICWFFLSDMVNNCNINGGRRVYQTRARVRAERAQDAEE* >Brasy9G175900.2.p pacid=40064461 transcript=Brasy9G175900.2 locus=Brasy9G175900 ID=Brasy9G175900.2.v1.1 annot-version=v1.1 MPTRQWSIKFPNLAIASDPETLELDGLASRLRQNPPIAMPQFRRWADLPPDLLCRIGDILDLKCYASARGACTAWRCALAPPSPSLLVVLDDNRYRPSAASLPTQRSFELKPILSGGRCVGSSNGWLALSICLYGGHNMFSLFSPISGAEILLPPLIYESRWVSKLVFAPNPAKDDFVAAAICDIDRLAYVTAGARRWAILDPVRLVAGDQLADVVYHDKGRVYCLTRCGDVYVLRLPERRRRKPLVSEDSAAGPSEQTVPVLSPTDHPALSFHGRRNQQQRNLRMMCYEHRRWREYQPHQSISHIKAAFGPDVLMPRKVPPGSVGPDLNAPATVEPLLSEGNLPFDPDNSFAPPYNTVSVFTSAKNLVFCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEVLVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGIPGLKGNCVYWIGGSGRDQGMVFDMGTGRSTPCHPVAGVVPGLPKSTICWFFLSDMVNNCNINGGRRVYQTRARVRAERAQDAEE* >Brasy9G175900.3.p pacid=40064462 transcript=Brasy9G175900.3 locus=Brasy9G175900 ID=Brasy9G175900.3.v1.1 annot-version=v1.1 MPTRQWSIKFPNLAIASDPETLELDGLASRLRQNPPIAMPQFRRWADLPPDLLCRIGDILDLKCYASARGACTAWRCALAPPSPSLLVVLDDNRYRPSAASLPTQRSFELKPILSGGRCVGSSNGWLALSICLYGGHNMFSLFSPISGAEILLPPLIYESRWVSKLVFAPNPAKDDFVAAAICDIDRLAYVTAGARRWAILDPVRLVAGDQLADVVYHDKGRVYCLTRCGDVYVLRLPERRRRKPLVSEDSAAGPSEQTVPVLSPTDHPALSFHGRRNQQQRNLRMMCYEHRRWREYQPHQSISHIKAAFGPDVLMPRKVPPGSVGPDLNAPATVEPLLSEGNLPFDPDNSFAPPYNTVSVFTSAKNLVFCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEVLVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGIPGLKGNCVYWIGGSGRDQGMVFDMGTGRSTPCHPVAGVVPGLPKSTICWFFLSDMVNNCNINGGRRVYQTRARVRAERAQDAEE* >Brasy9G150400.1.p pacid=40064463 transcript=Brasy9G150400.1 locus=Brasy9G150400 ID=Brasy9G150400.1.v1.1 annot-version=v1.1 MEREIGAAEYEVNEIDDTLLGSVGSRLSLFAREFKSRSSSSSALRLPNNCYGTSFVIDPDGRWYRMWANMMFLWSIYSVFFTPLAFCFFRGLPEQLLDLECVQLVFLADIAIHFFLAYRDPHTHRIVHSKRRIALRYIKGNFSLDMLGCFPWDAIYKFTGRMELVRYLVWLRLYRARKIQDFFKKMEKDIRISYLFTRIVKLITVELYCTHTAACVFYYLATTLPPAREGSTWIGSLAMGDHSYINFREIDLLTRYITSLYLAIVTMATVGYGDIHAVNTREMVFIVVYVSFSMLLGAYLIGNMTALIVKGSRTEQFRDKMTELIRYMNRNKLGSDIRSLVKAHLLLQYESSYTRDRIVDDIPVAVRSKTLYLDMVSKVHLFKGCSEDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVSTGEGVSEEIISELLPNDIVGDVAIICNTPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDSRQILSNLLKGKRTESKGKQLESNITYLIAKQEAELVLGVNNAAYHGDLFRLKGLISAGADPSKPDHDGRTALHVAALRGYEDIVRFLIQRGANVNSIDKFGNSPLLQAVKSGHDRIIWLLVAQGAALNLEDAGGHLCRVVADGKTDLLRRLLRFGIDPNCRNYDRRTPLHVAAGEGLPLVAVMLVEFGADVLATDRWGNTPLDEARRCSSKPLVRILEQASAAVGAVHP* >Brasy9G133000.1.p pacid=40064464 transcript=Brasy9G133000.1 locus=Brasy9G133000 ID=Brasy9G133000.1.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYVRAIEKSFSQQ* >Brasy9G133000.6.p pacid=40064465 transcript=Brasy9G133000.6 locus=Brasy9G133000 ID=Brasy9G133000.6.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYVRAIEKSFSQQ* >Brasy9G133000.4.p pacid=40064466 transcript=Brasy9G133000.4 locus=Brasy9G133000 ID=Brasy9G133000.4.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G133000.2.p pacid=40064467 transcript=Brasy9G133000.2 locus=Brasy9G133000 ID=Brasy9G133000.2.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G133000.5.p pacid=40064468 transcript=Brasy9G133000.5 locus=Brasy9G133000 ID=Brasy9G133000.5.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G133000.3.p pacid=40064469 transcript=Brasy9G133000.3 locus=Brasy9G133000 ID=Brasy9G133000.3.v1.1 annot-version=v1.1 MAAAAAACSAPPRNLFYATAQLAPSAAAATSRRRVGCPISTRRWPSRRPWAHRPDAASRIRRTTPRTKAARVSCAYSTGAEAITACSWNQYVICSDVPVLVEFWASWCGPCKMVHRIVDEIAKEYAGRIKCYKIDTDDYPQTATSYNIERVPTVILFKDGERIHSITGTLPKAVYTAS* >Brasy9G114500.1.p pacid=40064470 transcript=Brasy9G114500.1 locus=Brasy9G114500 ID=Brasy9G114500.1.v1.1 annot-version=v1.1 MRIDENGWQSIWRVMSPQEYTQLTERRKSMVWLEAIRRDQSKPGKHLIWRFITPYVFVQLGPMAEARVWKLFTERLDGRQVIFDDEPFDRINEAELNQVRQLQGAPWDEEPAHECYVLRDDEDGIEEIAEEIFDLLTLGIWELLQKGQFMAVCQHSRYYEPAEPDAGNKKAKHVGLCWYLKANAPAEYADHVGTI* >Brasy9G153800.1.p pacid=40064471 transcript=Brasy9G153800.1 locus=Brasy9G153800 ID=Brasy9G153800.1.v1.1 annot-version=v1.1 MLDPDPSSRLTAPQVLEHPWLKNAETAPNVSLGSAVRARLQQFSAMNKLKKRALGVVARSMPVEELDKYVQMFHIMDKDKNGNLSLEELMEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLAAAFRYFDKDGSGFIEVDELREELGPNEQAILEIIRDVDTDQDGRISYQEFELMMKSGTDWRNGSRHYSRANFSSLSRKLCKDEAAAGISSS* >Brasy9G105500.1.p pacid=40064472 transcript=Brasy9G105500.1 locus=Brasy9G105500 ID=Brasy9G105500.1.v1.1 annot-version=v1.1 MSGISLAVGPRSDPDSGAERQQPSATMLGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTLYLLALSRLAFPSLPSSAAHAHSQQEIFRGSKLFQAYVVLGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENVVGTLGVFSPPLRALVPLLYTVRRVFVVVDWVYDVWSDSKVVLTRSTPVQEKAWVWFGRYLAVANLVYFSVNLFVFLIPRFLPRAFEKYFRMRDEVCSKTAEDRRARGEDVDGAAAAKSVGDKKAD* >Brasy9G155800.1.p pacid=40064473 transcript=Brasy9G155800.1 locus=Brasy9G155800 ID=Brasy9G155800.1.v1.1 annot-version=v1.1 MDNSMPVVSKMFCSSTPTALMIRTRPMVVNGGGFVVTDFNHNVVFIVDGCGILGSKGELMVKDGEGEQILFISRKGGIVQALSTRNKWNGYSMDYQGKNKLVFSLTDPKSCIAKGAPIRIHIEPKRHCKNWDFEIGGSFGDRDCTIIDCTGKIVAQMAKKQLIGSNDFYHVTVQSGCDQAFIIGVMAVLDNIHGESTRCL* >Brasy9G155800.2.p pacid=40064474 transcript=Brasy9G155800.2 locus=Brasy9G155800 ID=Brasy9G155800.2.v1.1 annot-version=v1.1 MDNSMPVVSKMFCSSTPTALMIRTRPMVVNGGGFVVTDFNHNVVFIVDGCGILGSKGELMVKDGEGEQILFISRKGGIVQALSTRNKWNGYSMDYQGKNKLVFSLTDPKSCIAKGAPIRIHIEPKRHCKNWDFEIGGSFGDRDCTIIDCTGKIVAQIETHSN* >Brasy9G097100.1.p pacid=40064475 transcript=Brasy9G097100.1 locus=Brasy9G097100 ID=Brasy9G097100.1.v1.1 annot-version=v1.1 QNNWVLLQNSPCLPPANAPSSPSSLTAAPPPPSRRPRTPQGVVPIPATGEALGASPIHLGAGDTPSRTDHAAHGRSSSSHRAPDQRKKGPAAATGLEDGGDWTTSGGASSASKFFPSPPSPMEPATRH* >Brasy9G195100.1.p pacid=40064476 transcript=Brasy9G195100.1 locus=Brasy9G195100 ID=Brasy9G195100.1.v1.1 annot-version=v1.1 MQLSYSRIRREEQICFWLGKTIHEIHLVYPPAVHDLTQREKEHRFGSCIFAS* >Brasy9G291400.1.p pacid=40064477 transcript=Brasy9G291400.1 locus=Brasy9G291400 ID=Brasy9G291400.1.v1.1 annot-version=v1.1 MASVQLCGAAVGAASFSRKGAASIEALRVPTPATGEAFRGLVARAATVVGPKYTTLKPLADRVLVKIKSAEQKTTGGEVVAVGEGRTIADNKVEVSIQVGAQVVYSKYAGTEVGLNDSNHLILKEDDIIGILETDDVKDMKPLSDRVLIKVAVTEDKTPGGLLLTETAKEKPSIGTVVAVGPGPLDEQGKRIVLPVSAGSSVLYSKYAGAEFKGADGTNYIVLRVSDLMAVLS* >Brasy9G322500.1.p pacid=40064478 transcript=Brasy9G322500.1 locus=Brasy9G322500 ID=Brasy9G322500.1.v1.1 annot-version=v1.1 MASRPLPTTRSAPPWLPAAPRRPLRPCTPPTSPDGTRTGGRRVRRRRPHPLRTTRTPSPATDSHQRRPTPHLGRDGAQSGTPPSPSFPTAKVH* >Brasy9G274900.1.p pacid=40064479 transcript=Brasy9G274900.1 locus=Brasy9G274900 ID=Brasy9G274900.1.v1.1 annot-version=v1.1 MAPPSSLLRDLLVADGFKNRRNKKPVPDNSPTAPRAVSSMPVQHRRPTKPARSQSDVQGVLTRNRLRDYGNADADDDGNRDDDAGDGQKLAAATRRSSASLTSARSYQNKNKDRNGVTRGDGVGGSTSSSTAIPCLDESALGALISLAAGSVKQFGNDEAFRASLRSGCTSCVGESNHRAVLDLRVIAQTVERAAAAAEGILDPRDLKRASLKLHALASLGADEAQAVTASGVPHERLAACAHLYMSVVSRLQKKDHSSAVHALEAFCLAPREARTVLLPALWDRLFRPGLSHLRAWRDREHSAAAAAASSVVKKDAVEKVFLDALDGGTRSLACYYRDWLLGRTEAMALPSVPAPPSTALAAGGAARFSSSTTYDIGSDVAFSSGSPSPAMFAIEETPRQPEQVEKEEIVEAKVMDAESVFHECDGGEASTSYSPTPRAEEHEPVPDKLATEALEPKSQIEDERSRHGAGESTSYLPIRDMSAIDLLTLEFCEGPLLSGTDGDQVQPSIFSTAPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWLERGIRACPVTGQELETLSVPDTNRVLKRLIDSWKSEHCKSLQLVTESRVPEEKLNVAVVDQVLDSGCDASEQIQRARHLMAIGGVDFHLHRFQEGTVEQKARAAEHLLLCIQAEGGCRNYVAVGLDGESAIRLLHSEDVSARSAAVRLLVELLCLRRREMVELVIRGLGTTSIPETMNVLLQHLRSSPVEEQPLVAVLLLYFDHTLEEPHRSNTCREEAARILTESLIRCVSDDNVVPNTRKALLMLGGHFSFSGDLLAEDWMLEQAGFVDDSSATSVNSDAAMQDTESAEEEAWLGHVTTVLLGNGRRPFLAALSRCLISPDAGLAAACLTTAAWLSRSLASLDATDTQLAAFAALVPWLKQCLAGTGSSAHLQARHRVLAAVTLHNFSKIPDCRVLLMLLADGLRDHLAELAELTRTAGQLYAELSE* >Brasy9G274900.2.p pacid=40064480 transcript=Brasy9G274900.2 locus=Brasy9G274900 ID=Brasy9G274900.2.v1.1 annot-version=v1.1 MAPPSSLLRDLLVADGFKNRRNKKPVPDNSPTAPRAVSSMPVQHRRPTKPARSQSDVQGVLTRNRLRDYGNADADDDGNRDDDAGDGQKLAAATRRSSASLTSARSYQNKNKDRNGVTRGDGVGGSTSSSTAIPCLDESALGALISLAAGSVKQFGNDEAFRASLRSGCTSCVGESNHRAVLDLRVIAQTVERAAAAAEGILDPRDLKRASLKLHALASLGADEAQAVTASGVPHERLAACAHLYMSVVSRLQKKDHSSAVHALEAFCLAPREARTVLLPALWDRLFRPGLSHLRAWRDREHSAAAAAASSVVKKDAVEKVFLDALDGGTRSLACYYRDWLLGRTEAMALPSVPAPPSTALAAGGAARFSSSTTYDIGSDVAFSSGSPSPAMFAIEETPRQPEQVEKEEIVEAKVMDAESVFHECDGGEASTSYSPTPRAEEHEPVPDKLATEALEPKIEDERSRHGAGESTSYLPIRDMSAIDLLTLEFCEGPLLSGTDGDQVQPSIFSTAPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWLERGIRACPVTGQELETLSVPDTNRVLKRLIDSWKSEHCKSLQLVTESRVPEEKLNVAVVDQVLDSGCDASEQIQRARHLMAIGGVDFHLHRFQEGTVEQKARAAEHLLLCIQAEGGCRNYVAVGLDGESAIRLLHSEDVSARSAAVRLLVELLCLRRREMVELVIRGLGTTSIPETMNVLLQHLRSSPVEEQPLVAVLLLYFDHTLEEPHRSNTCREEAARILTESLIRCVSDDNVVPNTRKALLMLGGHFSFSGDLLAEDWMLEQAGFVDDSSATSVNSDAAMQDTESAEEEAWLGHVTTVLLGNGRRPFLAALSRCLISPDAGLAAACLTTAAWLSRSLASLDATDTQLAAFAALVPWLKQCLAGTGSSAHLQARHRVLAAVTLHNFSKIPDCRVLLMLLADGLRDHLAELAELTRTAGQLYAELSE* >Brasy9G081100.1.p pacid=40064481 transcript=Brasy9G081100.1 locus=Brasy9G081100 ID=Brasy9G081100.1.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKGISNLGELQTLETVQASKDLSVHLKKMNKLQNVWIDNISAADCEDLFSALSDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G081100.2.p pacid=40064482 transcript=Brasy9G081100.2 locus=Brasy9G081100 ID=Brasy9G081100.2.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKGISNLGELQTLETVQASKDLSVHLKKMNKLQNVWIDNISAADCEDLFSALSDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G081100.3.p pacid=40064483 transcript=Brasy9G081100.3 locus=Brasy9G081100 ID=Brasy9G081100.3.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKGISNLGELQTLETVQASKDLSVHLKKMNKLQNVWIDNISAADCEDLFSALSDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G081100.4.p pacid=40064484 transcript=Brasy9G081100.4 locus=Brasy9G081100 ID=Brasy9G081100.4.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G081100.5.p pacid=40064485 transcript=Brasy9G081100.5 locus=Brasy9G081100 ID=Brasy9G081100.5.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G081100.6.p pacid=40064486 transcript=Brasy9G081100.6 locus=Brasy9G081100 ID=Brasy9G081100.6.v1.1 annot-version=v1.1 MAEAILLAVSKIGTLLLNEAIIAVVEKLSRKAHNLKELPAKVRRIEKELSMMNDVIKDLDTAHVSSNVIKNWIACVRKLAHNVEDVIDKYSYEALKLKEEGFLSKYIGRGGHIKTFNKIADEVVQIEEEIKHVKDLQNYWSNTSQPINREHADIDRQRSGGCFPELVKDDDLVGIEENRSKLTEWLGTDEGESTVITVSGMGGLGKTTLVKNVYDREKANFPDAHAWIVVSQTYGVGDLLETLLRKIDHTKQPVNTGAKADDYELTEAIKKILQGKKCLIVLDDVWDSKAYTQICSAFHGVQGSRVIITTRKEDVAALAPPTRRLLVQPLGSTESFNLFCKKAFHNNPDRKCPPELQKVAAAVVQRCHGLPLAIVSAGSLLSTKQPTDHAWCLTYNHLQSELRENNNVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAISRESLVRLWVAEGFALKRDNSTPEEVAERNLMELIGRKMLEVVERDELNRVSTCRMHDIVRDLALAIAKEERFGTANDQGEMIRMDKEVRRFSTCGWKDRREAVGVEFPRLRTILSLAAASSSTNMVSSILSGSSYLSVLELQDSPISTLPASIGDLFNLRYIGLRRTHVKSLPDTIEKLSNLQTLDIKQTKIEKLPPGIVKVDKLRHLLADRYTDEKQTEFRYFVGVEAPKDMPLLSSLLLNACDEKETLSFEALKPISTKLHRLIVRGGWADGTLKCPIFQGHGKYLKYLALSWCDLGREDPLQLLASHVPDLTYLSLNRVSSAAALVLSAGCFPQLKTLVLKRMPDVKQLVIEKDAIPCIDGIYIMSLLGLHMVPQGIVSLKSLKKLWLLDLHKDFKTEWILCQMRNKMKHVLELRD* >Brasy9G311600.1.p pacid=40064487 transcript=Brasy9G311600.1 locus=Brasy9G311600 ID=Brasy9G311600.1.v1.1 annot-version=v1.1 MVGWLSLCLIALSTLLALLFLRKLSGSKNKPKKQLPPGPWTLPIIGSLHHLLGVLPHRTFMALSQRHGPLMFLRLGEVPTVVVSSADAAALVVKTNDLNFSSRPTIPTMDILTCGGEGFAFTPYGDHWRQMRKVCVVELLSARQVRRMEGVRAELVGNLVRHISGNASAGANNTVNVSERVTKLSNDVASEAIFGGKFPRQREYLQSLDEAMSLLGGFYLVDLFPSSRLVRWLSNGECKMERSYGRMQNLIDDIIEGRKAAKAAGQLAFSANDDDLLNVMLRLQEEDSLPFPLTTKTIGAVLFEIFGAATETTGRLLEWIMSELIRHPEVMAKAQLEVRKVLGEGRSVITNNDLAELHYMRMVIKEVLRLHPPNPLFFRMAREDCEIMGYDVPKSTSVYVNIFAISRDPKYWENPDGFQPERFENKNIDYNGTYSEFIPFGAGRRQCPGIQFSSSLTEVALARFLYHFDWMLPDGATVASFDMSEKFKLTLSRKYDLHLRAIPHVWT* >Brasy9G358700.1.p pacid=40064488 transcript=Brasy9G358700.1 locus=Brasy9G358700 ID=Brasy9G358700.1.v1.1 annot-version=v1.1 MGSLFSYLAGGREVNEISPCSFRLPLIDAQGEPIKTAADLARAYDALMAVCVPVPSWLMQEEIEAGYHCGLVREALEEYNYENQDRPEFQCLVGKTAMEAKKGMQIKVACVGLREHFWYHVSFSARRKGEDERRFFAELRYDPYFHDLFVETCTILEEPLCRFRSSCAFCPDDSEILHPSEMEFACGKEGHKKEFFRERDILRRPFMKRS* >Brasy9G252500.1.p pacid=40064489 transcript=Brasy9G252500.1 locus=Brasy9G252500 ID=Brasy9G252500.1.v1.1 annot-version=v1.1 MKGGPNELAFGWCVLVAAAPHLRRRAPPQCRTTLSPAAARRPNLHREPRRRSAPSPAPHADPAVAPLRAAVACCPGLHREPNRPAAAPARCPRARPSHPRAAPEPGRPARALPPPPPRAPPRAVAAAAPDFPRTAAAATRRRRHRHESPSRLALALPPLPP* >Brasy9G019500.1.p pacid=40064490 transcript=Brasy9G019500.1 locus=Brasy9G019500 ID=Brasy9G019500.1.v1.1 annot-version=v1.1 MPKIAFSTENSLRNIIFINVTLTIIILLHGHRVQMNHFRLYFRETSRSAAFQLEEEGHEGCRRPATDVREG* >Brasy9G109000.1.p pacid=40064491 transcript=Brasy9G109000.1 locus=Brasy9G109000 ID=Brasy9G109000.1.v1.1 annot-version=v1.1 MRKHPRHSQGRDARRRHACPAGGARTRGGCSTPTRAAPTPEPAPACRASPRHSPGRPQHRRRVRRREEQRRRPDGTRPSRRATAFASSLPPRIAAAAGPIVETPGRGHLDLPPHGGRTLQVPRRRPTASWRRPTARGASSPEFVAWGGEQQRGRELRGERKKRRGARGRERKEAGGFLPKKCPH* >Brasy9G118500.1.p pacid=40064492 transcript=Brasy9G118500.1 locus=Brasy9G118500 ID=Brasy9G118500.1.v1.1 annot-version=v1.1 MDRLNAKLYMQNCYILKENERLRKKAQLLNQENQALLTELKQRLAKTAANKASGNGNAAPGVRAPLPDLNTAPPAHTAGHEKTKKAAAN* >Brasy9G274000.1.p pacid=40064493 transcript=Brasy9G274000.1 locus=Brasy9G274000 ID=Brasy9G274000.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy9G270000.1.p pacid=40064494 transcript=Brasy9G270000.1 locus=Brasy9G270000 ID=Brasy9G270000.1.v1.1 annot-version=v1.1 MAPAVGDEDGRTNSLKRFSTGQQVECSSGVASRANPRPSGSVGGGGGPPNCDTRLMKRPRLVEDDGESDGTDSDDEKPLAKLKRISTGDAKKEDDDGHSKPALGAQKIKEEEYDDHNKPAVSTQKIKEEEDDDHNKPAVSTRKIDSANLASDKTVLARTASVKSERDDDSEDEKPLAMRTRRLTSNASSASRNSIKRRPGDSNIGTSSPFKKVKPSAIQREPKAADAHDNIIPRAPRLTSVEPSKTKPPAKNTTKNKKKSPSSFTKDSQKTVQMKAKKAVRNSWLSKATKVRQGSGSGKKWSTLEHNGVMFPPPYKPHGVKMLYNGQPVDLTSEQEEVATMFAVMRDTEYVSKETFINNFFTDWRKLLGKTHIIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALRDEKGKQEEKYLWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGRLKRRIRPNDITINIGKGTPVPVCPVPGESWKEVKHDNTVTWLAFWNDPINQKDFKYVFLAASSSLKGQSDKEKYEKARKLKDHIDNIRVNYTKDFRSKDQVKKQIAVATYLVDKLALRAGNEKDEDEADTVGCCTLKVENVTCLPPNKLQFDFLGKDSIRYLNTVEVELPVYEAVKEFCAGKNKGDNVFGEIDTIKLNAHLKELMPGLTAKVFRTYNASVTLDAILNKETEDGTLLQKISIYKRANKEVAIICNHQRAVSKSHDSQMAKLNEKIDELKAQRDGLKEELEKAKKGKRLGDDKDGKQKKKLTAEMLESKISGIETKIEGMVITKNSTEESKTVALGTSKINYLDPRITVAWCKTHEIPISKIFSKTILEKFGWAMDVGPDFRF* >Brasy9G353000.1.p pacid=40064495 transcript=Brasy9G353000.1 locus=Brasy9G353000 ID=Brasy9G353000.1.v1.1 annot-version=v1.1 MTVAASRSLVLLHPISIYSSSSSSAAAVLRLPRIATVRRTLSSCSCSSSSSSSADRSASSFASMDAPPQGYRTNVGICLADPSLTKIFSASRIDIPSAWQMPQGGIDEGEEPRAAAVRELREETGVRSAEIVAEAPHWVTYDFPADVRDRLNARWGTNWKGQAQKWFLFRLTGNDDEINLMGDGSEKPEFSEWTWMTPEQVIEKAVEFKKPVYEETLKHFAPYLQSDPTATS* >Brasy9G070600.1.p pacid=40064496 transcript=Brasy9G070600.1 locus=Brasy9G070600 ID=Brasy9G070600.1.v1.1 annot-version=v1.1 MHLVNDLHGNHVIHKCLTNFGAGENRAPLLA* >Brasy9G216700.1.p pacid=40064497 transcript=Brasy9G216700.1 locus=Brasy9G216700 ID=Brasy9G216700.1.v1.1 annot-version=v1.1 MSAGLQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTYCTLHVAQRLHFFEPKAVDGHTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKLSLMVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSTPVVAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMGLYSWFSVRESKKKLTNDALPVSQMPDKETEPLLATKDNSDTKKANGVSHDC* >Brasy9G216700.2.p pacid=40064498 transcript=Brasy9G216700.2 locus=Brasy9G216700 ID=Brasy9G216700.2.v1.1 annot-version=v1.1 MSAGLQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTYCTLHVAQRLHFFEPKAVDGHTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKLSLMVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSTPVVAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMGLYSWFSVRESKKKLTNDALPVSQMPDKETEPLLATKDNSDTKKANGVSHDC* >Brasy9G305600.1.p pacid=40064499 transcript=Brasy9G305600.1 locus=Brasy9G305600 ID=Brasy9G305600.1.v1.1 annot-version=v1.1 MDPSAAEPVQPRAAAGDGSEPAEGSRRRRGTMVRGALGMAFPIAASFLFSFLVGLAGLALGGLSSSASVSMPSTCRILSTGVDIRSSKVCELGLLNYRAKHVFYPSSNRRYRCHDDYYWASVFQVEYTEYFSGQISYAVAEVPKEALPQNCRPDFGAAWSTTAKFKVNESYSCKYTLGSAKADIYSDKLFNCTAEEPSISEMLNRIFILFSKLYMSEDFSSGRMLGYIAAGVVAGMLSSLFITIVLRCFRGVFFAAARWAVSNHSIRVFAYCFKRACLLVAYVSFVGWVTLQYSKMIGLKELLVDYELMERFL* >Brasy9G231100.1.p pacid=40064500 transcript=Brasy9G231100.1 locus=Brasy9G231100 ID=Brasy9G231100.1.v1.1 annot-version=v1.1 MFQGCGLFACVRRRGADVRKRGEAGAASSRVAAEPPLGWDEDLEVEVEGSAVARQMAWAEVESATGCFSSRVIGRGGFSTVYLAALSSSRLAAVKVVQHGGSERHSRAFRQELDVLLSLRHPHVVRLLGYNCDERDEGVLVFEYAPNGDLHENLHGGAGNVLPWSRRVAIAFQVATALEYLHESSREVIHGDIKASNILLDANMDAKLCDFGFAQSSSGAGGGVKGHRAGGRPSGRAIMGSPGYVDPHLLRTGVASKESDVYSFGVLLLELVTGREAVCRETGRRLTQVVGPAVSEGRVADVVDPRLLVRGQQQHDAGEASVIAELAMRCVGDSPGLRPSMADAVRVLQEKTSALLSAVGSRLDRKIMF* >Brasy9G192100.1.p pacid=40064501 transcript=Brasy9G192100.1 locus=Brasy9G192100 ID=Brasy9G192100.1.v1.1 annot-version=v1.1 MCSDLVRLRVAVHALVSAATRGFVDVVDTLVECGADPNATSRVLLRSLKPSLHLNVDCTALFAAIVSRQVAVVRQLLQIGVKKDSKVRLGAWSWDAATGEELRVGAGLAEPYDAAWCAVEYYESTGSILRLLLQNGYSSGATHLGRTLLHHAILCVSVGAVETLLAAGADCEAPVKTSRSTRSRPVHMAARLGQPEILQMLMDMGCDVNARAEAGDVAAILAARHKREDCLRVLVSAGADLALLNSAGESAASVASSAGWKTGFERAVLGAIRSGIVPLSTDRNIFSPMMFAASCGDAASFEVLLAQPDVDVDEQDADGCSPIMVAAMEGNVDAFRALVFAGANVKLSNKRGETAIGLAQLSKKRDLFEQVMLEFALEKGMPAGGFYALHCASRRGDAAAVHHLASTGYDVNIPDGDGYTPLMLAAREGHAAVCELLISHGARCDVKTTRGETALSMARAALATAPFNKAEDVIMDELGRQLVLQGAHVAKHTKGGRGRPHGKSLRMVAAVGVLRWGGSSRRNVMCKEAEVGGSSAFQRHRQRKGRGNDAYTPGLFRVVTTTGKEFHFVCQGGEEEAELWVRGIRALTRAVFGKRGD* >Brasy9G167700.1.p pacid=40064502 transcript=Brasy9G167700.1 locus=Brasy9G167700 ID=Brasy9G167700.1.v1.1 annot-version=v1.1 MAGSSEGATAGDRVRPGRRGPSHDSGRGDREAATADPLRGRGMETAGVTWIGLAAWIGGVWEGAAGIGLGRTAWGSEGEGSRPGWAQRGAWIGAAAWMRTGASSGWHRRAGHLPGDGGRADPSSRQACAHPPVHHFCRYP* >Brasy9G112900.1.p pacid=40064503 transcript=Brasy9G112900.1 locus=Brasy9G112900 ID=Brasy9G112900.1.v1.1 annot-version=v1.1 MASLRILSAVALAVIMAGLAGSSDAVDLPDYIVQGRVYCDTCRAGFETNVTTYIKGAKVRLECKRFETEKIERALDGVTDETGTYKIELKDSHPEDICEVVLIQSPLADCNKIQALRDRARVELTRNIGISDNLRLANSLGYLKDMPLPVCAQLLKQFKAADDDDDDDQVKKA* >Brasy9G312800.1.p pacid=40064504 transcript=Brasy9G312800.1 locus=Brasy9G312800 ID=Brasy9G312800.1.v1.1 annot-version=v1.1 MSRTLVQPVGQKRLTNVAVVRLRKGGQRFEIACFPNKVLSWRSHVEKDLDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDLTNICVEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTMTMIERLMHEIHFAVDPNLTSKEQALRVIKKLIEHFPIKRAPLTVRFTAPKSKLGGLMEKLEEWSAIVLSKDESGNQSSLVCEIEPSILHSCEERLKDVQGRVEVLSVSAHTEGGSSSDQYDNVEDNVEKSHSVPAKETAIAQLSETMQKQSLSSEVESQGQGQGKQQKKCKECDVLLEDKLYRDHCKSGWHKHNYTRHKNGLPPLSQEECLMEMELAESKRDLKDYDF* >Brasy9G258200.1.p pacid=40064505 transcript=Brasy9G258200.1 locus=Brasy9G258200 ID=Brasy9G258200.1.v1.1 annot-version=v1.1 MRHLDASGSMEAAAAAAGPGHKPAGKAPSLSSSVVAMAASLVAVGLGGAALLLWWALAFHPAHARLWMVPAGLVLLGTPILAWLSLFASGRGRGHDATGAHTPAA* >Brasy9G174000.1.p pacid=40064506 transcript=Brasy9G174000.1 locus=Brasy9G174000 ID=Brasy9G174000.1.v1.1 annot-version=v1.1 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKDNGLIFMEASAKTAQNVEEGFVKTAGAIYKKIQDGVFDVSNESYGIKVGYVVPGQSGGAGASSSQGGGCCG* >Brasy9G226600.1.p pacid=40064507 transcript=Brasy9G226600.1 locus=Brasy9G226600 ID=Brasy9G226600.1.v1.1 annot-version=v1.1 MDFYDVALLAGTDAITEQSNFIFSPLSLRAGLALLAAGTNGGTLRQLLAFLGSQELHLLNDTNANLLAEMGTWPQLSFAAGIFADRSVSLRPEFVSAAASAHGAFASTVDFKNQPEAAAAEVNALIAHATGGRLRSLVSPASFRGDTKMVLANAMHFKATWSRRFDPSDTVHRDFHRLDGTEVKVPFLSDPGKHMAAIFDDDLGFKVLQLFYETMESDDGGRFNPASPRFCMLIFLPDRRDALRDLLRLAATEPGFVTRCVPEDSQEVIPCMVPKFKFAFGFDATDPLRSLGLAAPFDPQAADLSGAVASCTPAMEGLYVSSVEQVCGVEVDEEGTTAVGVLYEPSSPTYSPGQPPPPPPMSFVADHPFMFAVVECNKAEVLFLGHVVDPSKEL* >Brasy9G095800.1.p pacid=40064508 transcript=Brasy9G095800.1 locus=Brasy9G095800 ID=Brasy9G095800.1.v1.1 annot-version=v1.1 MFLLPPSSACPSQPPSMVRTRRRRCSFSVLRVVGEAAPARCALAPCPNLSAVPAPPPSPLAPSPAVPPTGPLLHLQIRNKKPALDPN* >Brasy9G036700.1.p pacid=40064509 transcript=Brasy9G036700.1 locus=Brasy9G036700 ID=Brasy9G036700.1.v1.1 annot-version=v1.1 MDGPLSPRSSPARAAMETGSTAACAYSVLLTSIAFACSRRLLRALLLRRGAHARAHPRRRRQSLWFEPFNTAYVIVSRGEESLLEIPSGGFDRSDKISADLLFCKAFWFLVREGIPKGSLGCLVRSQGLLCSSSNRRRRPSPSRSNPRCLPPRHREHITVTDDNELKLSGGFSMGSTSAAAAAQFFRKSRVMAMSMACRKVAWTATSLIALSHHPPRPPLLLSSRQHTMVATAAAPTWWPHLCSSL* >Brasy9G314600.1.p pacid=40064510 transcript=Brasy9G314600.1 locus=Brasy9G314600 ID=Brasy9G314600.1.v1.1 annot-version=v1.1 MEQGARLLLPLPRPPFDLVGPLDRGLAGCALLTDADYGADDDDDSDLRTVKVLLLYTDKEGIVRACSYSSATGIWTAPVSCCRATEFVRCGPRAGVVAAGGTVHWVCRSTTSFYTLDVDISAATTRVSLTETPIKVHATQQQPPLPCVVKQGRLSLVNMRDRGVLELWTEREFQDDDDVDGHDCRGYPWGWVRSELVDLGADRIDAVFFAESRGALLVEQGGAFSAVDLESKEKPLLGIEDEGMMGHAKGESLFPASSCTSTCCKGFSCGWNCDYNPPVLYEADWVFSFTPADDEPTAVASMPLHGVSAARGRRSQRKKLSANNTPGWRELWTEREQAKRAETNKDALLVEQGGASSGVDPESNEKRLPDIKDEETTGHVEDAPWFPEEYCSSSRSYCGRTCDDYAGPVLYEEGWGWVSSGFPGDDEPAAVAATPLRNVHVSAARARSQREKPFANTPGCRGNNKCEDGACTILIGEMPVKLVTPAELPPKFRTPSWVLVGSIRVPI* >Brasy9G030700.1.p pacid=40064511 transcript=Brasy9G030700.1 locus=Brasy9G030700 ID=Brasy9G030700.1.v1.1 annot-version=v1.1 MDRGTDARSILLGNVIPLRLGYVGDVNRSQQDDDKVIRYGLMCVLGSPSLHCVWYAWLYPRVSSLEQKLLLVRR* >Brasy9G222300.1.p pacid=40064512 transcript=Brasy9G222300.1 locus=Brasy9G222300 ID=Brasy9G222300.1.v1.1 annot-version=v1.1 MWSLFSVCWEIFGFQLNASSSAVTLATTTPAPGSPLVVSPATPRDIDSAPRRADLIDDATGSPPGFGLCARRSVSPSPSPPTPAIEGPQALPRTPSPPPPRRTTNAFLRRLTRAVPAPLLPAPAMARRRAAEATARGLPLRRSARLARRTGNGGNPVATARAVLLKRLGITDVGDSAQIAMERYMDLFSGPLSQAVIQAIGALYGLDAQTPLPTIVALDSAGAAAAPVH* >Brasy9G232200.1.p pacid=40064513 transcript=Brasy9G232200.1 locus=Brasy9G232200 ID=Brasy9G232200.1.v1.1 annot-version=v1.1 MALHQREAGGGKEEKASALYGDSSEPGPRHGSVPPWREQLTARGLVVSMAVGTMYSVIVMKLNLTTGLNPTLNVSAALISFVMLRGWTQALARLGVAVRPLTRQENTVVQTCAVACYSIGAAGGFGSYLLGLNKKTYEMAGVDMEGNVGHKEPRIGWMIGFLLTVSFVGILALVPLRKVLVIDYKLTYPSGTATAVLINGFHAPQGDEVAKMQVSGFTKYFAISFFWSFFQWFYSGGDKCGFSQFPTFGLRAWKQTFFFDFNLTYVGAGMICPHLINLSLLLGSVLSWGLMWPLIGGLKGNWYPADLPESSMKSLQGYKAFICIALILGDGIYNFAKIIVSTTMNLLDKSKLKNTKKEEDILPLDELHRNEVFMRDGLPNWLACSGYLALSVVAIITIPLMFPELKWYYAVIAYLLAPALGFSNAYGAGLTDINMAYNYGKVALLILAATAGKESGVIAGMVGCGMVKNLTSISADLMQDFKTGHLTLSSPRSMLIAQIIGTAMGCIISPLTFFVFYNAFDIGNQDGPWKAPYALIYRNIAILGVEGFSALPMHCLQLCYGFFGFALVANLMRDFLPRKYGKWIPLPMAMGFPFLVGGSFAIDMCVGSLIVYIWRKIDRTKAGHMVPAVASGFICGDGLWIFPASLLALAKITPPMCMAFGSTH* >Brasy9G100100.1.p pacid=40064514 transcript=Brasy9G100100.1 locus=Brasy9G100100 ID=Brasy9G100100.1.v1.1 annot-version=v1.1 MYLVKQQPRIPTFGDWENSEDTPYTQKFEGARKNKKTGIYSNPNDPGHQPEPPRRSPLNPSSYTPEAGEQGPRNPPHGRRPETDPHNREPAPRRHSTPQREQGGNTSTPRSPYRAAAGSASPMQPNNTSKPKHRAAGGQTPERRASSDVHGQHTPGRSRMRQGYQGYNAEEEVAVPPFGAWDEANAASGEKFTGIFNRVRDDKLSPNSSARQSSNANHGQENKVQQTCPCCIL* >Brasy9G100100.4.p pacid=40064515 transcript=Brasy9G100100.4 locus=Brasy9G100100 ID=Brasy9G100100.4.v1.1 annot-version=v1.1 MAQPRIPTFGDWENSEDTPYTQKFEGARKNKKTGIYSNPNDPGHQPEPPRRSPLNPSSYTPEAGEQGPRNPPHGRRPETDPHNREPAPRRHSTPQREQGGNTSTPRSPYRAAAGSASPMQPNNTSKPKHRAAGGQTPERRASSDVHGQHTPGRSRMRQGYQGYNAEEEVAVPPFGAWDEANAASGEKFTGIFNRVRDDKLSPNSSARQSSNANHGQENKVQQTCPCCIL* >Brasy9G100100.2.p pacid=40064516 transcript=Brasy9G100100.2 locus=Brasy9G100100 ID=Brasy9G100100.2.v1.1 annot-version=v1.1 MAQPRIPTFGDWENSEDTPYTQKFEGARKNKKTGIYSNPNDPGHQPEPPRRSPLNPSSYTPEAGEQGPRNPPHGRRPETDPHNREPAPRRHSTPQREQGGNTSTPRSPYRAAAGSASPMQPNNTSKPKHRAAGGQTPERRASSDVHGQHTPGRSRMRQGYQGYNAEEEVAVPPFGAWDEANAASGEKFTGIFNRVRDDKLSPNSSARQSSNANHGQENKVQQTCPCCIL* >Brasy9G100100.3.p pacid=40064517 transcript=Brasy9G100100.3 locus=Brasy9G100100 ID=Brasy9G100100.3.v1.1 annot-version=v1.1 MAQPRIPTFGDWENSEDTPYTQKFEGARKNKKTGIYSNPNDPGHQPEPPRRSPLNPSSYTPEAGEQGPRNPPHGRRPETDPHNREPAPRRHSTPQREQGGNTSTPRSPYRAAAGSASPMQPNNTSKPKHRAAGGQTPERRASSDVHGQHTPGRSRMRQGYQGYNAEEEVAVPPFGAWDEANAASGEKFTGIFNRVRDDKLSPNSSARQSSNANHGQENKTCPCCIL* >Brasy9G326600.1.p pacid=40064518 transcript=Brasy9G326600.1 locus=Brasy9G326600 ID=Brasy9G326600.1.v1.1 annot-version=v1.1 MPPFDTILRSLRCSLAETLGSFAPLAGKLVHLKDTGDVAIGCSASDSVKFVVAESDADIGRLADDEEYDLRVLERLVPEVDMSELPTPVLAVQATRFERGMALGVTAHHAVADGRSLWTFVEAWATACRGETPAAKPSFDRSLVKLPGGEQPTRSVLRNIAPNLPLATPPPVLVEDRARFTRRTFTLDARAIKRLKDHIVTLTQSHGAAPSAFAAVAALAWACFARCKPFASNDAVHLFFFADVRDRLDPPAGAGYIGACLTGCLATLPARELRGERALAAAASAVRDEVCRMKEDPVAGWNFLRIGVEVSVERIMNVSGSSGFRAYEVGDFGWGKPRRTEPIRMNHDGQVALMRARDGNGVQVSVSLLQPKQMDEFKSHFLRLLD* >Brasy9G033400.1.p pacid=40064519 transcript=Brasy9G033400.1 locus=Brasy9G033400 ID=Brasy9G033400.1.v1.1 annot-version=v1.1 MIPPADGDSSPWDIISNEKESWITLVLDDVCREAHDRARVETAVRLSLIREDNKEVIEAMEKSERTATLEELRCKEEFTVDMCEAVRRSKTDK* >Brasy9G355400.1.p pacid=40064520 transcript=Brasy9G355400.1 locus=Brasy9G355400 ID=Brasy9G355400.1.v1.1 annot-version=v1.1 MHKGVMSGPDHQVTDHSVLLLGYDKMKPGDPYWNEQKPYEPYWLGCNTQGCGWGDNGLFRIARSTGQRGGALGILREPMLPLKFSSSNKLVTSRCESCEKCFYKYTFYDRYDKYF* >Brasy9G007500.1.p pacid=40064521 transcript=Brasy9G007500.1 locus=Brasy9G007500 ID=Brasy9G007500.1.v1.1 annot-version=v1.1 MSAVTPLPTAGCGSDDPQEEDELVTVRVPPAVLPQGKDGARVQQLVQSAPPAGDSGQKMMELRGWLMVLATVIASITYASGLSPPGGFERASRPRATATNAGGGAATRPPPPRSSGIINGTTFLDSMMPALREASPGRFRAFYYCNTAAFALSLSIMLLLASRDLRKLARTKALEILVGLDVLALLVAYIAGSTFGVLELAVCSGLVLIVPLALVVVSSSRLGGKYFWDEVSAE* >Brasy9G092600.1.p pacid=40064522 transcript=Brasy9G092600.1 locus=Brasy9G092600 ID=Brasy9G092600.1.v1.1 annot-version=v1.1 MIRPKGTRRAKAIRQEVPVENTHHQENSTAPIGTHTSDTMMVSTTEY* >Brasy9G257100.1.p pacid=40064523 transcript=Brasy9G257100.1 locus=Brasy9G257100 ID=Brasy9G257100.1.v1.1 annot-version=v1.1 MTEPDPAPWKTWYPHATVATPRNVGSTAMASSSQTAAATPEKRPPRSLFDLPSDFFDSYVLLRAHPSSAPSPAEPSEPSRLAPVPSQQQQPTEAAGVRWTCNTCAGEFDSLQEQRDHFKSDLHRLNVKLSVAGKSIIKEEDLDKVDSDSLFDDLEVSSVSGSEDELENIPSSDRRLSVRGKEEFRKKLFFRSHSGDTVSFWRCVLFKEHEEPIFDCKSGNTVSHGSTSFVHEDEILSRVKLLTCEPRNTSRLRIILLTSGGHFAGCVFDGNSIIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKSYFDVCVCAYIYAPSKNRQMLFDGDRTQSILQECDIRPLPLSVHRPTLKEAKRVYNNLTQLYYETECSSMGEVLPHVENVTNFEQSAIAKEEIIVSSKEPISDSSLNLDSLNLHEAITIPSSHNETTPLHEAAKAGNVQQTLELLEQGLDPCIKDERGKTPYLLASDKEVRNTFRRFMALNLEKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKQKKAREKEKAQASQSQPDLRGTSVGQMGKPIASVPGLKHKHQSPQAVAVSMQEERERKLADEREKRAAAAERRLAALAAQSGSTSGASAAEKRTATAADDTTCSCCFSSLAGKVPFHRYSYKYCSTTCMHLHSEMLED* >Brasy9G292700.1.p pacid=40064524 transcript=Brasy9G292700.1 locus=Brasy9G292700 ID=Brasy9G292700.1.v1.1 annot-version=v1.1 MTAVTPTQEELLRAHLEEQKIEEGDEPVVEDDDDEEDDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPDLSSVISNPEASTAAQDDDEEVDDTDVEPKDIELVMTQAGVPRARAVKALKSADGDIVSAIMELTN* >Brasy9G049900.1.p pacid=40064525 transcript=Brasy9G049900.1 locus=Brasy9G049900 ID=Brasy9G049900.1.v1.1 annot-version=v1.1 MTLRRHRRRPGKPSLGFHPKSRRRCPVRGLDEASKKEGVALRLASTYTAPSTSTPAAHATQENQRRRIRTPRPGAAAPTSARRRTQPKLHQHASMENVVHRNPYDAPQQERDTRRRRGVKDFSLDSGNEATTAGASTDAGKSQIWPRSPQIKRRHRRIWPCRPRNRRPCRRRAQNRRPCRRRTQNGRPRPDAPPHRRKRRARTGSGRSLHGSGGPPSSRRCLPQNRPRAAQSTLRKKNRGRGRGPRENKAPPPPSPRGLCPAATTGGGEGAEEGGRWLPRSSMGSPPESPDAERRGGLFSSPRA* >Brasy9G075800.1.p pacid=40064526 transcript=Brasy9G075800.1 locus=Brasy9G075800 ID=Brasy9G075800.1.v1.1 annot-version=v1.1 MKKEQAKERTKLLAIVLVFAMLTQGSAVRVVMGMVKTDNGGKNPVLGVGGGTTVDNHHAIPRDQYSSHGGEDAGGSTGAADTTNN* >Brasy9G075800.2.p pacid=40064527 transcript=Brasy9G075800.2 locus=Brasy9G075800 ID=Brasy9G075800.2.v1.1 annot-version=v1.1 MKKEQAKERTKLLAIVLVFAMLTQGSAVRVVMGMVKTDNGGKNPVLGVGGGTTVDNHHAIPRDQYSSHGGEDAGGSTGAADTTNN* >Brasy9G061300.1.p pacid=40064528 transcript=Brasy9G061300.1 locus=Brasy9G061300 ID=Brasy9G061300.1.v1.1 annot-version=v1.1 MKTMIRTSSSTVGPLVFLHLVVAAAASPPVALPGCPETCGNITVPYPFGTRQGCFREGFDLTCDETRHHPPKLFVGSAEVSGISLPDGTLRILTKMLNASSLQQLNGSWSAGLRPTGPLALSTRHNRFVAMGCNLLANLFAPAPGPDNSSPYSYSPAANVNDPIAVCAALCVVRSALPRADAVCSGVGCCQTPIARGLPSYGVQLNDLAQRPAAYPVQGAAFIADREWLGGQQHAALQLSFLDDPRKVVESTAVPTVLEWSLNMDRDQDLFLYDPAVSQWTRCVSVNSVIVQEDVDGNLYGRARCDCAGGYQGNPYIVNGCQGRPQSERPRECILYRISEPYGWCGPF* >Brasy9G042600.1.p pacid=40064529 transcript=Brasy9G042600.1 locus=Brasy9G042600 ID=Brasy9G042600.1.v1.1 annot-version=v1.1 MEVVVSAVTSELVSRFVSFLMDKYRSRRARSEEKAVERLQHLLMRVCTIVEEADGRYITNSGMLMQLKMLSEAMYRACHVLDAFRYPALEEKGVNEVSNTTSLPFGIPRKRSRTVKSSSNGKTMHLELHRALETLEIAAANMSEFVVLLGGCERLSRRPYDSYLYTDNFMFGRHAEKQKLLGFLLQHNPPGDSPAVLPIIGSIAVGKKTLAAHVCGDERVRSRFASVVHLNGDGLLRVLDHRSAMSGMILVIVEFVSDVADEDWNKFHSFVKKTNRGSKVIIVSRHQRIARFGSVKPILLNPFPYEEFWYLFKTLAFGSADLTQHPHLVRIAEEFAYELQSGGSLVAANALADVLRMNLNVQFWLCMLNRSRRVIQKNLSEHGFPPYLLFQHGHSVDITDFALNASSPIRITPWRSSSNVMTNDLIKTESPKVVTLRELLLNHADRPKEEFSLLAWESRMPPYTKFSHLVVASSTDQDLPQGLALSGRKRRGVPV* >Brasy9G239700.1.p pacid=40064530 transcript=Brasy9G239700.1 locus=Brasy9G239700 ID=Brasy9G239700.1.v1.1 annot-version=v1.1 MGEMNWVNRKIHLYNVTMGLYMLDWWERCLFNIMVLILLCFICLNGSRFAIDVYQSHLKSRFMHGGNHGMGGIGMPS* >Brasy9G274100.1.p pacid=40064531 transcript=Brasy9G274100.1 locus=Brasy9G274100 ID=Brasy9G274100.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy9G306100.1.p pacid=40064532 transcript=Brasy9G306100.1 locus=Brasy9G306100 ID=Brasy9G306100.1.v1.1 annot-version=v1.1 MGQAWASLQEKLQGRQWKERQVRKITDKVFDRLTEDNNKKREKDALAFEEVYIAVLCVYNDLNKYLPGPHYDPPSKERLKALIDEFDINVDGLLDREEFAEFIRKLTAESLCAISFKLIVTLVAAPALALATKRATEGVPGVGKVVHKVPNAIYASAITLAAVLVQRSAEGVVE* >Brasy9G211200.1.p pacid=40064533 transcript=Brasy9G211200.1 locus=Brasy9G211200 ID=Brasy9G211200.1.v1.1 annot-version=v1.1 MRFPSAGGGGSGDAGFVRADQIDLKSLDEQLERHLSRHERTEPPPPQPGSRRGESTRPGDAQPAPAQQPRRRREDWEVDPTKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEITALRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTEHGHLGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAFKVVVQLALDLARGLSYLHSEKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSALANVMKRCWDANPDKRPEMAEVVSMIEAIDTSKGGGMVPIDQSQGCFNCFRQHRGP* >Brasy9G045500.1.p pacid=40064534 transcript=Brasy9G045500.1 locus=Brasy9G045500 ID=Brasy9G045500.1.v1.1 annot-version=v1.1 MGVYTFVCRSSGGEWTAKQHKGELEASAATTYDLHRQLVAAASAADSAAGVQSSFTAVSPTSAICQVIVGAVGGGAMVGGPAAGGAAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD* >Brasy9G061700.1.p pacid=40064535 transcript=Brasy9G061700.1 locus=Brasy9G061700 ID=Brasy9G061700.1.v1.1 annot-version=v1.1 MAHISDIKLIRTDTTLDLSQKAEKGMNWNGALPLLI* >Brasy9G230300.1.p pacid=40064536 transcript=Brasy9G230300.1 locus=Brasy9G230300 ID=Brasy9G230300.1.v1.1 annot-version=v1.1 MPERGLPGGAADGPHRLRPDQIDPDWLYALQHVLPQIDARTYVSEDPASGGAELPFRADINAPVPSPARERSKRRVEEENLSADVSPIPSPARARGKRRMEEEKPSTEIPWYEANPNIDKDFRVFFQHARMVNGRLVLEKDGQVVRYEQVVESAAVAFAFGEKEKVAVTSTNGPASESEFPSESHAHGRGFSALCEKGLHGVVWPTHIMKRPESRFKERLIHVLSKPFSQREYDQLVHRASIHIAATKERRTRAGVKYFPSAHEKNKSYFDCFPDLEEQVKSTSYPNQLSLLRGFFFWLKNIGYEDQFRPWRDDFTHYKVISSTE* >Brasy9G230300.2.p pacid=40064537 transcript=Brasy9G230300.2 locus=Brasy9G230300 ID=Brasy9G230300.2.v1.1 annot-version=v1.1 MPERGLPGGAADGPHRLRPDQIDPDWLYALQHVLPQIDARTYVSEDPASGGAELPFRADINAPVPSPARERSKRRVEEENLSADVSPIPSPARARGKRRMEEEKPSTEIPWYEANPNIDKDFRVFFQHARMVNGRLVLEKDGQVVRYEQVVESAAVAFAFGEKEKVAVTSTNGPASESEFPSESHAHGRGFSALCEKGLHGVVWPTHIMKRPESRFKERLIHVLSKPFSQREYDQLVHRASIHIAATKERRTRADLEEQVKSTSYPNQLSLLRGFFFWLKNIGYEDQFRPWRDDFTHYKVISSTE* >Brasy9G316000.1.p pacid=40064538 transcript=Brasy9G316000.1 locus=Brasy9G316000 ID=Brasy9G316000.1.v1.1 annot-version=v1.1 MTADGRAPRAAVSGEERLRSCRHGRIGKQAEKKTKKASAAAGDAKKASAAGIGCSELKLGSETAGAAKSEQEAPKKKKMTRLPQAEVNMILALPNDDDHAPPCVKAWYRQNPGRRPTEEEDDTALLFIEIQEEFGEFQAWIRSEYDKNGYVEVDEDFLASRAQVQAWSDEAREASLKTINLPEENEGLKIFLRAWP* >Brasy9G191700.1.p pacid=40064539 transcript=Brasy9G191700.1 locus=Brasy9G191700 ID=Brasy9G191700.1.v1.1 annot-version=v1.1 MKFDQEVTMERAEVDVDFTVPDNWKLHGELCKKLYKIVHEVSNAIPALETTRPGSSSGLLALSSLRIAVDKAKNLLQYGSECSKLYLALSAECVLSKFEKARDALLESLHQLEETLPEAIDSQIPEIANELENSVFALDQAEKQAGDQVKQIIQNEKKSNGFLDDNELDLFKKTAFKVGITSSATALTERRALRRLLERAHAEEDTKKESIASYLLHLMRKYSNHFKSETIESINSQCSSPSCSFSSISSSIDLLGNVPALEKLLPRSGSFNFKQIKGLSASMPLPPEELRCPVSLQLMYDPVVIASGQTYERACIEKWFSSGNTTCPKTRKLLSQLCMTPNYCIKGLIASWCEQNRVPVPSAPPESPKLKYLRIASLKSSKCLVTNGVSTILFEETGGKDDAKLNPDDAFEKCSSHNSREAASEICEEEEMSEENCSHQNTGEAAPERCERWLRVLNKSGECIDEQREVVEQIRFLLKDDDELRNYVGANGITEPLTNFLKMAVEREDVQSQEVGTMALFNLAVSNNRNKQQLLSAGVIPLMEQMIQKLETCEAAVAMYLNLSCLEEAQAIIGSSEAIPFLIRSLQEEGARSDTCRLDALLTLYNLSLHAPNISPLLSSGIIHSIHAVLAPSSSWTDKALTVLINLAMTWAGKKEIAANPSIVGDIVLILDNGEAAEQEKAVSCLWIICSGDEGCSQTVLQEGVIPALVSLTANGTGRAKDKAQKLLRLFREQRQRELEQPRVELHEVATQAVAEQKLQQQQEEEEQEEVAALAVKNAAGEQSDSGGKRPPQLSRSRSRRFARAFTCLLKKWTLQKGGESCKL* >Brasy9G076300.1.p pacid=40064540 transcript=Brasy9G076300.1 locus=Brasy9G076300 ID=Brasy9G076300.1.v1.1 annot-version=v1.1 MKAVAVLVCILLVITTSAAAAAPARKLAGDDGQQTGETQAMTVVVVDGRQQSDGYGDRRCKRSNFPCPQELEMEKP* >Brasy9G244800.1.p pacid=40064541 transcript=Brasy9G244800.1 locus=Brasy9G244800 ID=Brasy9G244800.1.v1.1 annot-version=v1.1 MGSRDDDGPTTEDQGYAADIHSIWEAQVRIAPYVHKTPILSSTSINAMAGKQLFFKCECFQKAGAFKIRGASNSIFSLDDAQASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPKNAPECKVDNVKRYGGRIIWSDVTMESRESIAKKVQEETGAILIHPFNDKYTISGQGTVFLELLEQVPEIDTIIVPISGGGLISGVALAAKAINPTIRILAAEPKGADDSAQSKVAGKIIKLPATNTIADGLRAFLGDLTWPVVRDLVDDVIVVDDNAIVNAMKMCYETLKVAVEPSGAIGLAAALSDEFKQSSYWHESSKVGIIVSGGNVDLRVLWESLYK* >Brasy9G273100.1.p pacid=40064542 transcript=Brasy9G273100.1 locus=Brasy9G273100 ID=Brasy9G273100.1.v1.1 annot-version=v1.1 MGGLEEIRNEAVDLENIPIEEVFEQLKCTREGLTSDEGAQRVTIFGLNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGEGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEASILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSGLTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCIVSIAVGIVIEIIVMFPIQHRRYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKEHVLLLAARASRVENQDAIDTCMVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDAEGNWHRASKGAPEQIITLCNCKEDVKRKVHSVIEKYAERGLRSLAVARQEVPEKSKDSPGGPWQFIGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQSKDGSLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYLALMTVVFFWAIHKTDFFTNKFGVRSIRNSEFELMSALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVTAFLLAQLVATLIAVYANWEFARIKGIGWGWAGVIWLFSIVFYFPLDVFKFFIRFVLSGRAWDNLLQNKTAFTTKKDYGRGEREAQWATAQRTLHGLQAPESNNNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTINQNYTV* >Brasy9G345800.1.p pacid=40064543 transcript=Brasy9G345800.1 locus=Brasy9G345800 ID=Brasy9G345800.1.v1.1 annot-version=v1.1 MFVLSQIEHNLPMPPHLLSRPLVDAIKTELERLFLDKVVANLGLCVSVYDILAVEGGFIFPGEGCSTYKVSFRLLMFRPFIGEVLVGKISGYDEKGLQVSLDFFSDICIPGHLMQYGTVRGEDGRWMLKTEDDDELHLDLDDEIRFLVASIKYPPIPVEQKEDDKPFAPMQINGSIKGDGLGLLAWWAADEEEEEKEEEE* >Brasy9G155900.1.p pacid=40064544 transcript=Brasy9G155900.1 locus=Brasy9G155900 ID=Brasy9G155900.1.v1.1 annot-version=v1.1 MGEEAPCSVAPRRAAAAMHFRLPRHGLRRKVLIVRLGGSGGGGGGARAGGVRRIKLRRWFRRAMWSLAELCVAALSGPPVPGKPCASRPSRGWASSRASPRHSCRPCW* >Brasy9G155900.2.p pacid=40064545 transcript=Brasy9G155900.2 locus=Brasy9G155900 ID=Brasy9G155900.2.v1.1 annot-version=v1.1 MGEEAPCSVAPRRAAAAMHFRLPRHGLRRKVLIVRLGGSGGGGGGARAGGVRRIKLRRWFRRAMWSLAELCVAALSGPPVPGKPCASRPSRGWASSRASPRHSCRPCW* >Brasy9G079800.1.p pacid=40064546 transcript=Brasy9G079800.1 locus=Brasy9G079800 ID=Brasy9G079800.1.v1.1 annot-version=v1.1 MYSSLIPHSAEASFPIRFHRDASRGATTTEGEDPSLPSFRLADLLLLSVKSLLSSGSELNNMWVVHI* >Brasy9G038400.1.p pacid=40064547 transcript=Brasy9G038400.1 locus=Brasy9G038400 ID=Brasy9G038400.1.v1.1 annot-version=v1.1 MCTDLDERYKGRRRVAVGPGRRRRVEARRTERPVEGGGTRVEGGREGVEGGRRRHAGGRRQGGGGTRVEGGREGVEGGRRRRARVEAASDGWREARLAGRGRQGGRRGGWREARRVEGKERRAAAARGSGDGVKRRRREKKASASR* >Brasy9G299700.1.p pacid=40064548 transcript=Brasy9G299700.1 locus=Brasy9G299700 ID=Brasy9G299700.1.v1.1 annot-version=v1.1 MDGNKDEALRSVKLAQTALASGDRQRADKFIRIAQRLDPSLPIVDLLSTNKKFDPLNGTCQEKTRRGQVRENLKTPKECVGASNDVKGYTEENDRVVRDIRKNKDYYAILGVEKNCSVEEIRKAYRRLSLKIHPDKNKAPGAEDAFKMVSKAFKCLSNDQSRKTYDQTGALEGHDFNDQYSNVMRPRAARRRRQARNSFYNYEEDLDPDEIFRSFFYDTHDNSFRAHNAYRARGTGRQEQPRREHSVQGGSVINLTILVHLAVILLFVLFAFIPVRQPEYALQKTYNFPMSKVTEKHGVEYFVSKQDFDLQFPLGSPSRDNLEEYVFRDYKTLIGRHCRVELQRRKWAKDYPTPHCDKLRNLAVAY* >Brasy9G237000.1.p pacid=40064549 transcript=Brasy9G237000.1 locus=Brasy9G237000 ID=Brasy9G237000.1.v1.1 annot-version=v1.1 MARAPGGGEAVRKGPWMAEEDEALLEHVRTHGPCDWSSIRSKGILPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLRGRSSKSSNAKAPSSSLEPSVTENLQCHQGSCHDHASFLGTSSSGQCWAAAAPLMEYQGAAGIPHDQESSAFFSFEGPLPLQAVPQLPLSDGEASSSNAALFAPELPFDQPPYHLLDFPGLSERCNVGPGFVGAGADDHAYQELLPIVQPAPTPMMLPFFGMECGRDAVKIETRDDFFDDLPPDMFDSLDQPPPPLSPSATN* >Brasy9G021000.1.p pacid=40064550 transcript=Brasy9G021000.1 locus=Brasy9G021000 ID=Brasy9G021000.1.v1.1 annot-version=v1.1 MPEGEDSSNIIADTDADSLVVGVEHMNVQHNQDELTVWRRTDVEGVSGDASVIENARVSPMPEPGDEDIADEDLDPDDTYIDDGVVAPVNIQDEDDQDFFV* >Brasy9G164500.1.p pacid=40064551 transcript=Brasy9G164500.1 locus=Brasy9G164500 ID=Brasy9G164500.1.v1.1 annot-version=v1.1 MSNCETTHGFTEVLRKIMRHIGLRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVHKAVGIGHTIELAIQLVAYMCVTLLRTKYERLDKGPFKYLPRGFITCKNKFFTLPGLPDEKVADDSYDFCNFVTSQEYMMVNMRAEIEHYRKQLWIALGHLSAVVDAGMYENEVRYPPSPPAPEFDKLFQVDGFTPVRGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQPLPRF* >Brasy9G068300.1.p pacid=40064552 transcript=Brasy9G068300.1 locus=Brasy9G068300 ID=Brasy9G068300.1.v1.1 annot-version=v1.1 MRRRHPLVLCLFLLLTAAAAAAAPSTSKPRAPAVSPAAAADFVRRSCRSLAGTAYPRDCERSLMPRAPAVGRSPRRLAQAALAVAADRARACSAYIGVPPKGNGKRGGGAMGDCAETVRDAADLLRQSAAEVGAGRMGRASSPRFAWRLSNAQTWASAALTDADTCLDSLSASSGGASRDDVRRRVVAVAQASSNALALVNRLQPAPHPPPAAT* >Brasy9G047100.1.p pacid=40064553 transcript=Brasy9G047100.1 locus=Brasy9G047100 ID=Brasy9G047100.1.v1.1 annot-version=v1.1 MEQRLSSSLFSVPAPTVAARDDLPATIPPRPDPRRPRSRRNRGRQRSAQTPRVPKWTRRRCAGMDRRSAGGAHGRERLQRTRTGAAAALAGGSSSSTRGQGRTRGERRRRAHEAGRASGGSSGQATASQVGRGARRLRRLGVSGEPASPRWERDGQRKKMGNWRVTAGVGGPGITPPGGRGAPVITVDGYLYYWKISLCLRPKASGKGTNTSGKGFAGGFSSGPPAKGVRMEP* >Brasy9G101900.1.p pacid=40064554 transcript=Brasy9G101900.1 locus=Brasy9G101900 ID=Brasy9G101900.1.v1.1 annot-version=v1.1 MEHHHHLQRHHLSPTQTQEEDLMISPDFFVDVDQLHFHDADVGGGGGGGFEPVAAAAGGVVVDDGAWMEDLMQLGDVLFGGVTGENIEVVVDAMAADDDRLQYQEAWYDDQQQEEYEGSPDQPCSYDDVISPGGSGDHQGGAGEDNGELSATRKRRDRSKTIVSERKRRFRMKEKLYELRSLVPNITKMDKASIIADAVEYVKNLQTHARKLKEDVAALEARPGLPRRLQQQQDRQAAAAGRRRGNDEGISRPRGGGGGGGARVTSVGAAQVGDGLFFVTVECERRDGVAAPLCAAVESLACFRVQSSTLGCSSDRVVSTLTLKVSEAGEDMVISERTVKLWVLAALLKEGFRPEASTAEIIC* >Brasy9G202600.1.p pacid=40064555 transcript=Brasy9G202600.1 locus=Brasy9G202600 ID=Brasy9G202600.1.v1.1 annot-version=v1.1 MAGLKPSVNLFAFLERSDPGDKRLADFDADAMAQEQEAETAAEAKPKKTAPDCGGSRPKKPRRSPRTKSLTGKPGRAKNSTTAAGSMYRSLREPWRRRWLLYKPLRHRSRAYMSSKSPPPAAYMGSTRNTTPSRGDLRPYAAIAESNQTRSRSVDFV* >Brasy9G093900.1.p pacid=40064556 transcript=Brasy9G093900.1 locus=Brasy9G093900 ID=Brasy9G093900.1.v1.1 annot-version=v1.1 MPDLGDGRVALTFPDPACHFISPKAPNPLPSLFSSKPENAFVGIILPPAYTAGQLLRSKKNPSVLCKIHTGMVKAPAQSSSSSSSSSSVADVPGSERERGRPAAVRVKWERRTGRRRGRRGPAEIQLCAAGINLTSSTTSILMASSSSRPNFEPYKAHGFYFHLKKEELIEYIESFPGWSNDPCCIEIMQMADAMPPGHIAGFAGSDIHSRNGISVLSLCHRVRTFMQYGAIGYGMSLSQCPTLSNEELLTWPSFFQRWFYMVPTVDGRISLSYFV* >Brasy9G137900.1.p pacid=40064557 transcript=Brasy9G137900.1 locus=Brasy9G137900 ID=Brasy9G137900.1.v1.1 annot-version=v1.1 MMDQLSLVPYEGGSGVGGAGGKYKECMRNHAAAMGGQAFDGCGEYMPASPDSLSCAACGCHRSFHRRQAAGGSLTGSPVFFRPPPPPPTHTHHGAVLQGFLASAPPPPPQLALPYHAVPTAAAWHHGLGLDPAHAARAGSETPPRAEDCSPGSGSGGPGAGMFGRKRVRTKFTPEQKEQMRAFAEKQGWRINRDDGGALDRFCLEIGVKRNVLKVWMHNHKTHLASPTFRSSAAAAAAGININPVAGAGLGIGITGAGTGDGDDEDTDDSPPRAAVSSPSPSPISV* >Brasy9G185300.1.p pacid=40064558 transcript=Brasy9G185300.1 locus=Brasy9G185300 ID=Brasy9G185300.1.v1.1 annot-version=v1.1 MSPAAAGAASSGEAPPSRPKRELYTIPASSSWFRWDDIHETERSALPEFFGGPGGSSYGTASRNPRIYREYRNYIINKYREDPARRLTFTEVRKALVGDATLLRKLFGFLDSSGLINFSATSPRPAAQQPGVDAVLEAPVGLQVTPRPQVSYSAEERFGGGSGENVFRLPPLSSYGDVFGEWAPGKGPICAFCGVECKDGKVETLEDGFKVCSMCCKTNSDNEEANKCAGDKKESADNHASSAWTDAETLLLLEGVLKHGDDWDLITQHVRTKNKLECIARLIQLPFGEHMLGAINGKTDSRFQTSQTTDGKTNHYIVKDTSSQSTEMVDGMQIDGEQDGADKLVEQPSKRQRLSSSIDVTGSLMEQLALLTTATSPDVVAAAAAASIKALGSENPQAKNAFHLSEKEYQGKTFSSNHVHESECNVGDQEGEMHGQTVPDKKLQKKYISTAYQVRAAVGTAVGVAAARAKMLVDQEEREIELLLASIIETQLRKIQYKIKHFEELELIMDQEYNTIQQIKESLINEWLKVLEQAFQAGVPIQRDEVLTKLFLNKSTP* >Brasy9G233900.1.p pacid=40064559 transcript=Brasy9G233900.1 locus=Brasy9G233900 ID=Brasy9G233900.1.v1.1 annot-version=v1.1 MQALTAGQSSPAAGAVRKPRDPTPDHPPYSWMIGEAITALGEDGGSAEDAISGFIRGRHPGVPAAHDKFLRHYLAKHVAEGLFVCVAPGRYACRPDETELALPEVPAEKPPPAAEAKRGRGRPRKDGSWPASPAGKEKVRTEPPPETVAKRGRGRPRKDGASPASAADKEKVESEPPPETVATPVAKRGRGRPRKDGSSPASASGKEKVESEPPPATMATPVAKRGRGRPRMDGSWPASPAGKEKVGSELTSATPRRRGRSRFLALAAATGVSSEALLTDMEVDGSEAPSTAEPHELALMVANDDGSATAAATQDDGGEAPSAKRPLVANEPAAFSTPPHVTLDDGGGAPSAKLALVPKEPAAFSTPERSTQLAKLVPVAADEGYAPSLAADEEHGMDAPWSKYKRRRRSCSSAPAEATHGSAPASIADKAAGKTLPATPKGRGRQHKSAPVTSAASDGTPNKARSVPVKPRCQPRKLFQLTAGEVPDRLFCVLALPAPTAAATK* >Brasy9G343500.1.p pacid=40064560 transcript=Brasy9G343500.1 locus=Brasy9G343500 ID=Brasy9G343500.1.v1.1 annot-version=v1.1 MGRFSSASGNSAGRREQQQQQQPPARNYTSPPAKFPMAAQQPSSASAHHSGERWPPLESSPDVFNQFMWSLGVPQGEAEFHDVYGLDPDALAMVPQPALAVLFCFPDPPEDPSNPPEQVLAKEEKTTSDEVYFIKQIDSLGNACGTIALLHAVGNACSEISLLENSCLELFFKSTASMDPYERARVLDKDDDMETAHSLAASAGDTELHDIVEEHYICFMVLNGTLYELDGMKGGPIKHASSSPESLLQDAVHIIKAIMHKIPNSMNFNVMVLSRKPK* >Brasy9G268100.1.p pacid=40064561 transcript=Brasy9G268100.1 locus=Brasy9G268100 ID=Brasy9G268100.1.v1.1 annot-version=v1.1 MGSRRSRRVSWAIGANLCKVRLFISEDSPSQAGLRPQDNLQAKGSWLMHAAGPNSDDSLPPGFESLQPTNDLKIDTSQIPLIRWKCPSHILLNPGWHIVAGEESKEIAIQNERNFGALEAIYPRASNIPPNPFVSPDVKDSRYDDSRTPLVPLIPVEEDDASDQLEEPTLDQPSNYHKYDPEEINASQVSNAPVTAIQQQPCGPTGALSSGLSAEPDVLAAASAAYTAIMQSNQQGSMVDHDLLVKILSDPAQVERLTKEYSQIRHEQSTSSSVVAPMPPGPPPQMTVSAPASFSNHMATFQNTNPAPPPPSMAPMRPPPLAPVPVMNRLPQGFPSVAMNLPPSPSPAMSFANAPVRPMNYYKTLIHQHGGERQEPLEKQQFGMYHQSAPPQTGTINNGMNGASMVSRDTKSRPMKLCAYFNGPRGCRNGANCAFLHDASAPSRQEQQKGSKRIKLDNGIVGRN* >Brasy9G228600.1.p pacid=40064562 transcript=Brasy9G228600.1 locus=Brasy9G228600 ID=Brasy9G228600.1.v1.1 annot-version=v1.1 MNRRFVNLVTRNWAEGVYSVRRIDPSLLFYRSAKAALEAADEAAKRKESFPAMQTLQLPHPTMNFTTTPSGGRLNLFTLLSTRATCEGRMLFANSIGEAVLYDADKQLINTTGRLNQPKGTVPMCLSIAHPSTEQDSLYVMNIYPGDAADRCFEVLEYMPNCIELNDLMATWRWRLLPPPPFVLQPRYDRTLLYYLLHHHSEGDIGTYSFETARRDSSHHLGWRHSEEWKHVGKWKLPFNGRAQYVPEFNLWFGFSASSPNYLCAVDLSAMDKDRPPTAQQQDVKGPEGEVWLPIRLKLLNMGDGKFLIAKTFEEKATGERFAVLTGVEIMHGIDDGQSLQMVKHKCAYYIFTNDTIYMVL* >Brasy9G058200.1.p pacid=40064563 transcript=Brasy9G058200.1 locus=Brasy9G058200 ID=Brasy9G058200.1.v1.1 annot-version=v1.1 MEESTAGDKPNWSELPEDMLLTAMSAMDALDVVRSSAVCSHWRSTYTTLRRLRLPPSKQQSPCLLYPRPRDASGGRPDSDIDPDDDAPALYPDDDAPLYLYSPSADATVRIRLPQDDELVLAGSEHGWLLATDKAANPYLLNPLTGAKAPLPPATTFQCVVGSSLDGHGDIVYHVDDPSLPSAPSYAVPARRARSWMYRHVALSAGGADPSCVVLVVHEWPMDLYFARPGDGRWASLADSLGYGFISAVYNGKDGLFYVLQCCGTVHALDLRHHRGHGPQTWVAARPHRLGPVLVSRLLCLAVAPCGGVLLVARKYWLSEPDDDFDAENPFSVYKLDLGAKEDKKKKPEKLEGIGDRQCVLLLGDKCSALCAKINMEDCPRLRTNCAYLADFIRREFLRDPFRIIKRRDVGVCWDFESGQLHNIAHLWPAHHLDLELPDSAPASIWITPSPF* >Brasy9G291800.1.p pacid=40064564 transcript=Brasy9G291800.1 locus=Brasy9G291800 ID=Brasy9G291800.1.v1.1 annot-version=v1.1 MWHTREAEEDDGGGEKRRSSDVAAPGRVSGKKHARTTRRSFSSTSLKSVVDVGIRRALSQEAPGADGGGASSRKHSHRGRAVTGGAAPRRKASSTSSSSASKGKKGSAKEHGGSLRPAGLKPPRCSSRGLEGIARDREEAVLMPMPTQSCSSEQVDLDREEAVLMPVPMQSAHVDAPLQSCSSEQHDGDDQKASSSSPEPVARIGNGNGTENASLPEEDRAEGTSSSSSAVADGCEAEAEPDTVDVEKRDAAAEDVTARRSPPEAKLGNNGEMMITSDSETEPSYVFIKKKAVVEEEAIAVAVRLSEALAVSESDAIPVPQLEVHGEINGIASAAPTAAADMAAESATTTRKKNTEEAPAVRESSGSAPDTPPMCSGSGERTRSIERLLEADIALLRRKREEPENATAYAGKSVDPATTPGSAGSRLHATGASPRGTSMGFKKRFLNFGKKNSRGNREAAVVGIDCTSPSTPVTPSPADGARGGPWQTVVSEETDHGAYAASPQACSLQSLVAASPAKSELGDIVPQEKSPRDMLMLSDFTAHRSFFSLRSFNCSRS* >Brasy9G291800.2.p pacid=40064565 transcript=Brasy9G291800.2 locus=Brasy9G291800 ID=Brasy9G291800.2.v1.1 annot-version=v1.1 MWHTREAEEDDGGGEKRRSSDVAAPGRVSGKKHARTTRRSFSSTSLKSVVDVGIRRALSQEAPGADGGGASSRKHSHRGRAVTGGAAPRRKASSTSSSSASKGKKGSAKEHGGSLRPAGLKPPRCSSRGLEGIARDREEAVLMPMPTQSCSSEQVDLDREEAVLMPVPMQSAHVDAPLQSCSSEQHDGDDQKASSSSPEPVARIGNGNGTENASLPEEDRAEGTSSSSSAVADGCEAEAEPDTVDVEKRDAAAEDVTARRSPPEAKLGNNGEMMITSDSETEPSYVFIKKKAVVEEEAIAVAVRLSEALAVSESDAIPVPQLEVHGEINGIASAAPTAAADMAAESATTTRKKNTEEAPAVRESSGSAPDTPPMCSGSGERTRSIERLLEADIALLRRKREEPENATAYAGKSVDPATTPGSAGSRLHATGASPRGTSMGFKKRFLNFGKKNSRGNREAAVVGIDCTSPSTPVTPSPADGARGGPWQTVVSEETDHGAYAASPQACSLQSLVAASPAKSELGDIVPQEKSPRAHRSFFSLRSFNCSRS* >Brasy9G258700.1.p pacid=40064566 transcript=Brasy9G258700.1 locus=Brasy9G258700 ID=Brasy9G258700.1.v1.1 annot-version=v1.1 MGSKTELLSRIAAGDGHGENSSYFEGWKAYDKNPFDLHNNRGGVIQMGLAENQLSLDLIEEWSKAHPEASICTAEGASQFRRIANFQDYHGLPEFRQAMAQFMGQVRGWKATFDPDRIVMAGGATGAQETLAFCLANPGEAFLVPTPYYPGFDRDCCWRSGIKLLPIECHSSNDFRLTKEALSSAYESAQGKGIRVKGVLITNPSNPLGTLTDRATLAMLASFATEHRIHLICDEIYAGSVFADKPEYVSIAEVIEHDAPGCDRDLVHIAYSLSKDFGLPGFRVGVVYSYNDAVVACARKMSSFGLVSSQTQHFLARMLSDEPFMARFLRESASRLAARHERFTSGLREVGIGCLRSNAGLFSWMDLRGMLGNKKTAEAELELWRVIIHEVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALDRIRLFVRRHQQHKAKRWAAKGQLRLSLPRHGAMASQYLNSPMGLLSPQSPLVHAAS* >Brasy9G258700.2.p pacid=40064567 transcript=Brasy9G258700.2 locus=Brasy9G258700 ID=Brasy9G258700.2.v1.1 annot-version=v1.1 MAQFMGQVRGWKATFDPDRIVMAGGATGAQETLAFCLANPGEAFLVPTPYYPGFDRDCCWRSGIKLLPIECHSSNDFRLTKEALSSAYESAQGKGIRVKGVLITNPSNPLGTLTDRATLAMLASFATEHRIHLICDEIYAGSVFADKPEYVSIAEVIEHDAPGCDRDLVHIAYSLSKDFGLPGFRVGVVYSYNDAVVACARKMSSFGLVSSQTQHFLARMLSDEPFMARFLRESASRLAARHERFTSGLREVGIGCLRSNAGLFSWMDLRGMLGNKKTAEAELELWRVIIHEVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALDRIRLFVRRHQQHKAKRWAAKGQLRLSLPRHGAMASQYLNSPMGLLSPQSPLVHAAS* >Brasy9G258700.3.p pacid=40064568 transcript=Brasy9G258700.3 locus=Brasy9G258700 ID=Brasy9G258700.3.v1.1 annot-version=v1.1 MASRSSDSFDRDCCWRSGIKLLPIECHSSNDFRLTKEALSSAYESAQGKGIRVKGVLITNPSNPLGTLTDRATLAMLASFATEHRIHLICDEIYAGSVFADKPEYVSIAEVIEHDAPGCDRDLVHIAYSLSKDFGLPGFRVGVVYSYNDAVVACARKMSSFGLVSSQTQHFLARMLSDEPFMARFLRESASRLAARHERFTSGLREVGIGCLRSNAGLFSWMDLRGMLGNKKTAEAELELWRVIIHEVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALDRIRLFVRRHQQHKAKRWAAKGQLRLSLPRHGAMASQYLNSPMGLLSPQSPLVHAAS* >Brasy9G063100.1.p pacid=40064569 transcript=Brasy9G063100.1 locus=Brasy9G063100 ID=Brasy9G063100.1.v1.1 annot-version=v1.1 MLKMNFLLSSVPCPQAKLLMPTLMALQLLMATAFPVALPGCPESCGGITVPYPFGVGQSCSRPGFNLTCDETRHPPKLFLGDGVEVDAISLADLTVRIQSKVLNATSLGFSNGSWSGGLTPANATIAVSTQHYVFAAIGCNIIAHLVADHRHEYVSACSALCDGWTGLGDASCSGVGCCQTTITQGLPAYGVQFKDLAQTAGFVVDSEWFSRNVGALRNSTFNSGSFFYGAVRKVTSVPTVLEWWLEVERDGDLVVFNQDSGWTCIGLNSFAAGVDVGSRVRCSCSEGYEGNPYIAVLDAKVSSIRHATTAASL* >Brasy9G241300.1.p pacid=40064570 transcript=Brasy9G241300.1 locus=Brasy9G241300 ID=Brasy9G241300.1.v1.1 annot-version=v1.1 MVGPDVFQARTEAPETERPRDASKAPLFREEMAIRSCMHAHMGAPVALCRDGENVNPRPPSGPCRQEWKLRG* >Brasy9G064200.1.p pacid=40064571 transcript=Brasy9G064200.1 locus=Brasy9G064200 ID=Brasy9G064200.1.v1.1 annot-version=v1.1 MGLLLLLQFLLVAAAARAPAAEAWGKEGHYMTCKIADSFLTEEAATAVKELLPGWAKGELAEVCSWADTQRFRYRWSSPLHFADTPGDCKFSYARDCHNTKGEKDMCVVGAINNYTAALKDSESTFDPTESLMFLAHFVGDVHQPLHCGHVADLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYNDDQSTMIDAIQRNITEEWSSEEKQWETCRSRTKTCADKYAQESAVLACGAYEGVEQDDTLGDEYFFSALPVVQKRIAQGGVRLAAILNRIFSGNGRLQSS* >Brasy9G127000.1.p pacid=40064572 transcript=Brasy9G127000.1 locus=Brasy9G127000 ID=Brasy9G127000.1.v1.1 annot-version=v1.1 MASNPFPDWLVLDRFVFWSDHFAFPEDAGAGSTLAYGTNSIGQNLCICFNLVAPPRASRLHLRIMEEEAMSDLRSFDVVASHRDVLLLAMGCHISMPDYPNYPMIDHFIYRTGAGGGCSQPSLTLLPPLDGTTAEVRDRIEAKTNGLTNQRLRRMQFLDQGVLCRGAEEEFEFAVAELEITGSKAPPKLHVLLSSPKSTTRWEVKHPPIVPLHCNGGRGFSLEELLFHFDADTVIPFKSCLCWVDYCFGILFCDVFDESPKLEYLQFPSQLPKFARGSETRTWMQAYHAVGVTKGDVMKFVTVVCGKGWFPETIEPATPDFTVTSWSLRISESDNTMEWEQDAILRSSELWGLDGFAHLPRTPFQFPVISMDEPNVVYFMLEREGYGKDKKVWLVAIDMSNTTLKSSSLYISFVEQVGDLSGDESKYFAEQKLRFYETFLPSEFSK* >Brasy9G048500.1.p pacid=40064573 transcript=Brasy9G048500.1 locus=Brasy9G048500 ID=Brasy9G048500.1.v1.1 annot-version=v1.1 MASSCLPTGLRLDLEMVKSAAAAPPGGAAPLRPAHSSASASSTLSEASNSSSTSSLSLKRARTPRKRPNQTYNEAAALLASLYPSVFPATGEGAPGTAPRLLGLASALADDPSCSDLLPPFPVLGNAACLLRDLPRPQTPRSPVVTKSCLSPSPVSSVFTEFRDSAPSPGTPDGAAADEPGDLDYDDDDGFDSDSFLLGGEEGAAVSIDGIMGKLSMESRAASGINPVLSSSSTDNYLRSLMVLGLGFQRSRNNIKQALKRHDDDSEWWTCPAIPLKDITAAPPPSLALPPPAEKTKKKKSKKKSLKDIAVGECRKCEEEVPESANGDAGILSLPKTGLGLSLNTEEVLKAWYDRGSVSVFGDSSIPDSSSTDGLAKLSEIELFLENGPANVSREGGIEKMRHKQKQCTPLLANKSRYQARKVNSECRPRVKGRFVSQASLLKKAADKGS* >Brasy9G350100.1.p pacid=40064574 transcript=Brasy9G350100.1 locus=Brasy9G350100 ID=Brasy9G350100.1.v1.1 annot-version=v1.1 MGAVVLPEEHDEEGGAAVGVCRSRRRSSSSSSSTRYVFASLNSVLLGYEPLLLLLHWKSNPRWFSLQPSILPLSVWP* >Brasy9G309200.1.p pacid=40064575 transcript=Brasy9G309200.1 locus=Brasy9G309200 ID=Brasy9G309200.1.v1.1 annot-version=v1.1 MLSLLPLRLPSPVATTLTSAAFFLLPGVTRVRTLRSPPRANMSAAASTPDAAASSAAFVGGGEEAGKEDVVVQYVVLRRDLVDAWPLGSVVAQGCHAAVAALWAHRDHPDTTAYCAPENLDRMHKVTLEVKGETQLKNLAEKLKAAGVRHKMWIEQPENIPTCIATAPCPKSQVASFFRKLKLCK* >Brasy9G309200.2.p pacid=40064576 transcript=Brasy9G309200.2 locus=Brasy9G309200 ID=Brasy9G309200.2.v1.1 annot-version=v1.1 MLSLLPLRLPSPVATTLTSAAFFLLPGVTRVRTLRSPPRANMSAAASTPDAAASSAAFVGGGEEAGKEDVVVQYVVLRRDLVDAWPLGSVVAQGCHAAVAALWAHRDHPDTTAYCAPENLDRMHKVTLEVKGETQLKNLAEKLKAAGVRHKMWIEQPENIPTCIATAPCPKSQVASFFRKLKLCK* >Brasy9G058600.1.p pacid=40064577 transcript=Brasy9G058600.1 locus=Brasy9G058600 ID=Brasy9G058600.1.v1.1 annot-version=v1.1 MASPSRVPIVVVDDDDDTAASPATLRKPSRSRATPSTAPDFLEAFSPSPPVPKRRASVTPILLLDDDDDTPPPPKRRPEPPGSVVRETPQSLAPCSLGRRPRAASGETHDSDSALPRSFRFDYDAAASRIPVSAPRCSVDLAAKTPGFTTPRSVGPSSAPAFSSTSRTKHDLSGASCPISLDSDDELDDFGYKEFTPQKEDTPSKDDKKQETKMQKEADKHQRTEQKKLAKEKADCGSGKDALRSIVAEIDPTVLEIGSIGGSLLTRFAEKNLTFQVTPNPMKGSILWNMVPQNDQHPVSEVPYILFVLQAQEFCDLTRTGAFFNHVLEVRGRYPTFTICYVINKLVKHMKTSEQHQYANPSSSNSWKRPPVEEVLCKLVTHYDRVHSKQCTDEAEVAEHVVGLTTSLANCKFRKQLTWLYVHGNGAMSSKGSMDKALFKNNTWLRFLIAIPKVKPSLAVAISKKYSTMRSLLNVYMDPSKTEREKERLLEDLMCEDSFGNETKKLGKAWSKRLYKILMAQDGTMGADEALKS* >Brasy9G241600.1.p pacid=40064578 transcript=Brasy9G241600.1 locus=Brasy9G241600 ID=Brasy9G241600.1.v1.1 annot-version=v1.1 MAPAMGLKRPAAAAATAAQTITLLPPDARVAVREAVRVAVREAEQPPSARVPAVPAPAAAVDGVLCLEEVDGRRWSYVVEGAASSAGKPGRVSARGRGGSAAPVGATFRAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMSVFTTRALLNSVGVSQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPHLFLPMACMANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILISKRNPSLVASFAFLSCGYLLSSYREVRSVVLNTLNTARFTVAVDSFIKTGHVPSLKEGNLEETIFNPPWRHQPVAIGSRFGEAFQEPASFVSTSPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRGSYQYVNPNPLNMDFLPHIEESCKIVTSSYGIFKRKAREQGWIMSESLLNPGRARLCGVVPQ* >Brasy9G216000.1.p pacid=40064579 transcript=Brasy9G216000.1 locus=Brasy9G216000 ID=Brasy9G216000.1.v1.1 annot-version=v1.1 MESLLKLCLGILFLAAQHAPGIAAPSSQCRRHCGNVEIPYPFGTNPNCSLGKNFHISCRKQGGISKPFRGNFEVISISLTNGTMRVFNYIVGYCYSTSANRMNTFGRFRGFKGQHSSPIRLSDVQNRFTVIGCNARALISDKKDTGYQGLGVATCRNLSDLVDGSCSGMGCSHTTIPKRMYNYLTIFSSSYNTSEIWEFNRCSYAVLMETSTFNFSTTYINTTKFNDTNDGRVPMVLDWAIRDEKSCDTATKNKTGTYACLSSNSVCVDSANDDGYRCNCSQGYEGNPYLPGGCQDVDECSIHPCPSGGTCHNTVGGYRCSCRAGRKLEGNTCNPDIGLIIGVTMGLFSVMVIVVIIVFCGQMIIQKKRLNKVKDEYFRQHGGLLLFDKMKSEKGIAFTVFSEAELIHATRNFDNRRILGKGGHGTVYKGIINNNMQVAVKKCVLVDERQKKEFGQEMLILSQINHKNIVKLLGCCLEVEVPILVYEFVLNGTLFELIHGKDQALQISFSTLLRIAHEAAEGLSFLHSYASTPIIHGDVKTSNILLDENYMAKVSDFGASILAPADKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQLPLKLEGSETPRSLSSVFLSAMRENNLDAVLVSHVKGQESMELLRGLADLAKNCLDMYGDNRPAMKEVADELNRLRKLSLHPWVRHNVETDAESLLSGESTGGYEIELIGYPMGESENQPINPRSSYYAR* >Brasy9G344900.1.p pacid=40064580 transcript=Brasy9G344900.1 locus=Brasy9G344900 ID=Brasy9G344900.1.v1.1 annot-version=v1.1 MRFRAKLSSSRALFSPLARFLGLQNFAAFARSFFPPGAVFRPPKISSCLHWSSRRSPFPLLLRSGRGFQLAPAAFFPNAHTHRSIRSVFSDPCPLCRSFLVLISSRQQVRTLQLNTRLTRNQLISR* >Brasy9G041900.1.p pacid=40064581 transcript=Brasy9G041900.1 locus=Brasy9G041900 ID=Brasy9G041900.1.v1.1 annot-version=v1.1 MPLPRGKAKPSPAAPRKGCRSQELEQEVKRLQEVLREETALHGILENALDHAAVTLADMSYLPTNAQELLSNIPAMETAVSKLEEDMASLHFQLIQERNERRLVEYRLKQRPLCSHHCSAKSESDDAASEKSTKGVKVHPCASPHDSAPKLQRQFSLKGFGNPNPNRLSEDIVRCMKNIFISLSDSCREASRNNPSMGNQQSVPSPSGISAFWSLSEPSSISSWVQSPQVDLNQNNNLLASETVFDPYKAREKLSWSEIGSYGAAAEVSWMSAGKKQLEYAAESLRKFRLLIEQLAEVNPVHLNEDSRLAFWINLYNALLMHAYLAYGVPRSDMKLFSLMQKAAYTIGGNSFSAAFIEYVILKMKPPNHRPQMALLLALQKIKAPEEQKKFCIAAPEPLLTFALSCGMYSSPAVKIYTASNVREELQDAQRDFIRASVGVSRKGKLLIPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSFLGTRTFGIIPFDSRFRYLFLPDMGPSN* >Brasy9G040800.1.p pacid=40064582 transcript=Brasy9G040800.1 locus=Brasy9G040800 ID=Brasy9G040800.1.v1.1 annot-version=v1.1 MNLGQAAHLSGQTAQMNPGSGHGMPQQQQLQVAPGPPGMDDEFLSMRRTMLQRIADIIKKRQRRTQTNQQLMYLVKQLEGLIVKKHPTKAGYYEILKGSIEVHLAEAYKALKAIHQRQQMLTQVSSSSNNGTMIPTGDMAQSANGNSAMPYLMDTKATGDNSITGSMHNRYQNPSTSMPLDSTTSSVSVVTTSGSLQRQATHTIPTLGFSNQPTLLANSEYLSGAGCFNGKLNIMPQMQQEHKPFDNAQSCYTVQCLGGDAGSGVHSSMPDTSSYGSSDAKMNDGMGLRSNLHKTTSSEAFANPSPYGSSCNKSFHQQFNAFPPQKTSTSADMTIPISFYDTGSSAPTSNLGTNDANFLSNSIMNAEFLTSQTTTQSLQRQPQHCMKAALLDHKKKVNFSASQLSQEQLLRQQQLQPNHHHSQFVQNHYSFNCQQQNTQQHQFNRRGNSLEQCQLGSGHADQLLGHGDLSHSELMSSQVTKYANPKGQCQLTNSQDNDKRGQMSVKLSDSQHFHIPALNSHGSQPLLSLHQKSVGGLSSASCFVNGKYTEPLLQLHFKSQPIDKAHVTNSFSIEKQGQDFFCEGTMAQDRGHQLVSSDSLIVGCAVTSSDPKLPKLLTRGYIQATRKMKDDLNQIRWLLMLKHAEACPAPVGSCKSQYCASVQEIVKHFRDCQTKSCAYRYCSQSKMLSGHYEKCIDEHCPVCSKVKERLRRSSEQAHKPTLDEPILTIQKNTIQQTTNGAHDDRMDIDLVVVHTFDEQPSAPKRLRLQPMSTNASENKNPNVDVSEANPRFISQEQETKMVPKQEVNVMADMPPPEDPVIIGHGIDGKIGAMQNNVISGVRQANLLADKDMNKNCFDFKNKTNKRTDTMMAKSSKPKIKGASLMELFTPEQIKEHADSLKQWVGQSKAKVGKNQAMEHSENENSCQLCKVVKLNFEPPPIYCSPCGIRIKRNALYYTVSTIETSHNFCILCYNESRNHKIEVEGKLIDKDKLSKKRNDVETEESWVMCGKCESWQHQICALFNVKRNDGGEAEYICPKCYVWEIEHGLRIPLPQSAVLGAKDLPKTLLSDHIEERLFKRLREERHNRALRDGKSFDEVPGADGLVVRVVSSVDKKLEVKPRFFEIFQEDKYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGAECAAPNQRRVYLSYLDSVKFFRPEVKTVSGEALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPRSDKLREWYLSMLRKAMKEHIVVELTNLYDHFFITTKECKAKVTASRLPYFDGDYWPGAAEDMINQLFLEENDSKLQKGKVKKAITKRALRAAGQTDLSGNASKDAILMQKLGETIYPMKEDFIMVHLQHSCSHCSLLMVAGKRWVCHQCRSFNICDSCYDAEQQLEEKERHPNNSRDSHVLHPVEIAGVPGDTMDKDDILECEFFDTRQAFLSLCQGNHYQHDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICKNDIETGQGWRCEECTDFDVCAACYQKDGGANHRHKLTNHPSSTDRNAQNKEARQMRVQQARRMLDLLVHAHSCRAIPCLYPDCLRVKRLFKHAMICKIRAARGCRTCQKMWSLLQLHARACKESNCSIPRCRDLKNHLRRLQQQSESRRRAAVDEMMRQRAAEVVRN* >Brasy9G349400.1.p pacid=40064583 transcript=Brasy9G349400.1 locus=Brasy9G349400 ID=Brasy9G349400.1.v1.1 annot-version=v1.1 MCVLVGFYLLCVYIWPPHACTQRLGGVPGMFSYAALSHFNVVRKSGCVQLWHRPMGVIEGMSNLQAAYAAVFKDSPSILFFLAQKII* >Brasy9G230800.1.p pacid=40064584 transcript=Brasy9G230800.1 locus=Brasy9G230800 ID=Brasy9G230800.1.v1.1 annot-version=v1.1 MFRRVKKPSSLGSMEVEQFVLFIFLCYLSSRFAADAYDPLDPHGNITINWDFQAIDTGYTVMVSIHNYQLYRHIERPGWRLGWAWSGKEVIWNTWGGETTEQGKCSGVHGAGPGGAAPHCCEKRPVMVDLPPGAPVKKQVANCCRGGVLSSLTQDNRTAVAAFQMYVSGFDRDANGNPEKPVNFSIGVPGYTCSNVTDVPATRSMVDGQRHVQVLMTWQIICSYSQFRDGPSPSCCVSLSSFYNNTIVGCPQCSCGCQGSASAPHCLSGGEQSKAQARPDGGPPAPLVRCTDHMCPIRVHWHVKQNYKAYWRVKATITNYNLVSNYSDWNLVVRHPNLRSLTQLFSFNYEPLIQYGAINDTGMFWGIQNYNQMLLQDGNVQTEMILKKDVGDFTFSGGWAFPRRVYFDGHECAMPSPDQYPALPNAAGPDARVSPVQRWLIAISCLLSLCVHLLV* >Brasy9G230800.2.p pacid=40064585 transcript=Brasy9G230800.2 locus=Brasy9G230800 ID=Brasy9G230800.2.v1.1 annot-version=v1.1 MFRRVKKPSSLGSMEVEQFVLFIFLCYLSSRFADAYDPLDPHGNITINWDFQAIDTGYTVMVSIHNYQLYRHIERPGWRLGWAWSGKEVIWNTWGGETTEQGKCSGVHGAGPGGAAPHCCEKRPVMVDLPPGAPVKKQVANCCRGGVLSSLTQDNRTAVAAFQMYVSGFDRDANGNPEKPVNFSIGVPGYTCSNVTDVPATRSMVDGQRHVQVLMTWQIICSYSQFRDGPSPSCCVSLSSFYNNTIVGCPQCSCGCQGSASAPHCLSGGEQSKAQARPDGGPPAPLVRCTDHMCPIRVHWHVKQNYKAYWRVKATITNYNLVSNYSDWNLVVRHPNLRSLTQLFSFNYEPLIQYGAINDTGMFWGIQNYNQMLLQDGNVQTEMILKKDVGDFTFSGGWAFPRRVYFDGHECAMPSPDQYPALPNAAGPDARVSPVQRWLIAISCLLSLCVHLLV* >Brasy9G230800.3.p pacid=40064586 transcript=Brasy9G230800.3 locus=Brasy9G230800 ID=Brasy9G230800.3.v1.1 annot-version=v1.1 MHTIRWIRTGTLPLIGIFRPSTQATRCAHVLVMVSIHNYQLYRHIERPGWRLGWAWSGKEVIWNTWGGETTEQGKCSGVHGAGPGGAAPHCCEKRPVMVDLPPGAPVKKQVANCCRGGVLSSLTQDNRTAVAAFQMYVSGFDRDANGNPEKPVNFSIGVPGYTCSNVTDVPATRSMVDGQRHVQVLMTWQIICSYSQFRDGPSPSCCVSLSSFYNNTIVGCPQCSCGCQGSASAPHCLSGGEQSKAQARPDGGPPAPLVRCTDHMCPIRVHWHVKQNYKAYWRVKATITNYNLVSNYSDWNLVVRHPNLRSLTQLFSFNYEPLIQYGAINDTGMFWGIQNYNQMLLQDGNVQTEMILKKDVGDFTFSGGWAFPRRVYFDGHECAMPSPDQYPALPNAAGPDARVSPVQRWLIAISCLLSLCVHLLV* >Brasy9G339600.1.p pacid=40064587 transcript=Brasy9G339600.1 locus=Brasy9G339600 ID=Brasy9G339600.1.v1.1 annot-version=v1.1 MQACVTLDADELELIDMHRSMLQLSASSMSMYLHGGDMTTRQDRANGITHDYTKGTTQSSPTPAPACPVPCPGCWGFISRRSSTSRGHLPGRD* >Brasy9G259800.1.p pacid=40064588 transcript=Brasy9G259800.1 locus=Brasy9G259800 ID=Brasy9G259800.1.v1.1 annot-version=v1.1 MSRVLARHRLEFGSCTFFPDFLLSTCASLPIAQPAPSPDSASLHPEQRRHGRRRRSPPCHPPFRWPSLPVTSRLSTAYQRRPDPAAGIGSSDLLLTSLSGTEHLQQ* >Brasy9G298800.1.p pacid=40064589 transcript=Brasy9G298800.1 locus=Brasy9G298800 ID=Brasy9G298800.1.v1.1 annot-version=v1.1 MDNYKHNPGDVEEPLLAAEPGSDKQDGLAAAAEVKRLLRLGGPIVASCLLQNLVNMVSIMVVGHLGELPLAGASLATSLANVTGYSLLTGMASALDTLCGQAFGARRHRLLGVYKQRAMLVLALACVPVVLVWANATRVLVSLGQDRAIAAAAGGYARWLIPSLLVYAPLQCHVRFLQTQSLVLPVTASSAAAALCHFPVCWALVYKTGMGSSGAALSNAVSYGVNLAVLALYVRVSSACEDTWSGFSSEAFKDLRQCAELSLPSAMMLCLEWWSFEILVLLAGLLSNPRLETSVLSICLNTGALLYMIPLGLSYSISTVISNELGAGRPQQAKLAVQVVMYMALSEGLVIAFTMTLLRSFWGYMYSNEQEVVSYIARMLPVLGISFFMDGLHSSLSGVLTGCGKQKIGAGVNLGAFYMLGIPAAVLLAFVFHLNGMGLWLGIVCGSFIKLVLLLFITWCIDWEKEALKAKDMVFSSSLAET* >Brasy9G226200.1.p pacid=40064590 transcript=Brasy9G226200.1 locus=Brasy9G226200 ID=Brasy9G226200.1.v1.1 annot-version=v1.1 MGRTPCCDIKGLKKGPWTPEEDKLLLDYVQASGPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAVVGNKWSMIAAQLPGRTDNEIKNYWNTNLKKQLRQAALAGEHPSLQAAITTTDSPGAAAAATISSPASRHAAQWETARLEAEARLSLLSTSGAATATATTSSSSSTVAAADAEHSPPDIFLRLWNSEVGDTFRSSSSTMAVAREEDAGVLQQQEEEGVLLPMEPKPAEDSSATSNVTTAADGLTADEYQVFLDMACEDLGGFFHGGGFSQLYQSPSLFAEFQNFQ* >Brasy9G280500.1.p pacid=40064591 transcript=Brasy9G280500.1 locus=Brasy9G280500 ID=Brasy9G280500.1.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDAWLPAATSNYRTVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.2.p pacid=40064592 transcript=Brasy9G280500.2 locus=Brasy9G280500 ID=Brasy9G280500.2.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDAWLPAATSNYRTVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.7.p pacid=40064593 transcript=Brasy9G280500.7 locus=Brasy9G280500 ID=Brasy9G280500.7.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDAWLPAATSNYRTVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.8.p pacid=40064594 transcript=Brasy9G280500.8 locus=Brasy9G280500 ID=Brasy9G280500.8.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDAWLPAATSNYRTVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.3.p pacid=40064595 transcript=Brasy9G280500.3 locus=Brasy9G280500 ID=Brasy9G280500.3.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.4.p pacid=40064596 transcript=Brasy9G280500.4 locus=Brasy9G280500 ID=Brasy9G280500.4.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.9.p pacid=40064597 transcript=Brasy9G280500.9 locus=Brasy9G280500 ID=Brasy9G280500.9.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.10.p pacid=40064598 transcript=Brasy9G280500.10 locus=Brasy9G280500 ID=Brasy9G280500.10.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.11.p pacid=40064599 transcript=Brasy9G280500.11 locus=Brasy9G280500 ID=Brasy9G280500.11.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.12.p pacid=40064600 transcript=Brasy9G280500.12 locus=Brasy9G280500 ID=Brasy9G280500.12.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLISAWSW* >Brasy9G280500.13.p pacid=40064601 transcript=Brasy9G280500.13 locus=Brasy9G280500 ID=Brasy9G280500.13.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLISAWSW* >Brasy9G280500.14.p pacid=40064602 transcript=Brasy9G280500.14 locus=Brasy9G280500 ID=Brasy9G280500.14.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.15.p pacid=40064603 transcript=Brasy9G280500.15 locus=Brasy9G280500 ID=Brasy9G280500.15.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAATIKQLSEDGSVLRKNPRAELATLEEDDPDKKRQRTKLIRVGDRNCSWILQRELEGLTE* >Brasy9G280500.5.p pacid=40064604 transcript=Brasy9G280500.5 locus=Brasy9G280500 ID=Brasy9G280500.5.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDAWLPAATSNYRTVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAGVGDRNCSWILQRELEGLTE* >Brasy9G280500.6.p pacid=40064605 transcript=Brasy9G280500.6 locus=Brasy9G280500 ID=Brasy9G280500.6.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAGVGDRNCSWILQRELEGLTE* >Brasy9G280500.16.p pacid=40064606 transcript=Brasy9G280500.16 locus=Brasy9G280500 ID=Brasy9G280500.16.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGAPASSQPSYHWAPSLVNSGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAGVGDRNCSWILQRELEGLTE* >Brasy9G280500.17.p pacid=40064607 transcript=Brasy9G280500.17 locus=Brasy9G280500 ID=Brasy9G280500.17.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDVAGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAGVGDRNCSWILQRELEGLTE* >Brasy9G280500.18.p pacid=40064608 transcript=Brasy9G280500.18 locus=Brasy9G280500 ID=Brasy9G280500.18.v1.1 annot-version=v1.1 MERSNNTNVRRLGSGSKLKDYPFVRRLRNRRLLAYLRTQGFEPAYDWITLGTDVHMSAAHLRQLVARFQFGEAISYIRRFLPPSGGRDEPRSLVFFIQSLWTLANVAAMATGIDAVPPAVYYRDVTTLITLCSCDSKIPSILQWLTRSPKFRASLDWGLVTEKASSIAVDLALEAPELRRKLLLPAGDGPQDLLPIGPRLSPRRHSRERAPQLTPSAIAKCYLNRKRRLPSLSPFHGVNKEALNRVLHLLEVCLKAGKRPELHQGQPLHSSAKDGPCNTPFLQTRFGTPTCPVENIGTSSVTNAGVCSPFFQTMSGTLTDPAKNIGTSSVRNAGDPTFTGQPNYLWPSVANSGAPTFTGQPRIPSVAISGAPVSQPAKKNGIMSTTNAGVGDRNCSWILQRELEGLTE* >Brasy9G242900.1.p pacid=40064609 transcript=Brasy9G242900.1 locus=Brasy9G242900 ID=Brasy9G242900.1.v1.1 annot-version=v1.1 MSSTAAGAAFLLRSTSATTNPLLRHTSATTNPLLHLSSPKSKLLRLHSSRRRRLPVPRLSLTPPATASSNSAPSPSPPPPSATPPPPLFPNWSPPRAIWRGLSALLLAGQVFHRVLTGRIHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELTPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPIDYLVVPRVLACVLALPVLTLISFALGLASSAFLADAIFGVSTSIILESARRALRPWDLISSLIKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVISLVGIFIADFALSCLFFQGAGDSLKHAMG* >Brasy9G242900.2.p pacid=40064610 transcript=Brasy9G242900.2 locus=Brasy9G242900 ID=Brasy9G242900.2.v1.1 annot-version=v1.1 MSSTAAGAAFLLRSTSATTNPLLRHTSATTNPLLHLSSPKSKLLRLHSSRRRRLPVPRLSLTPPATASSNSAPSPSPPPPSATPPPPLFPNWSPPRAIWRGLSALLLAGQVFHRVLTGRIHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELTPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPIDYLVVPRVLACVLALPVLTLISFALGLASSAFLADAIFGVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVISLVGIFIADFALSCLFFQGAGDSLKHAMG* >Brasy9G242900.3.p pacid=40064611 transcript=Brasy9G242900.3 locus=Brasy9G242900 ID=Brasy9G242900.3.v1.1 annot-version=v1.1 MSSTAAGAAFLLRSTSATTNPLLRHTSATTNPLLHLSSPKSKLLRLHSSRRRRLPVPRLSLTPPATASSNSAPSPSPPPPSATPPPPLFPNWSPPRAIWRGLSALLLAGQVFHRVLTGRIHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELTPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPIDYLVVPRVLACVLALPVLTLISFALGLASSAFLADAIFGVFGAIIAVGAGDSLKHAMG* >Brasy9G044500.1.p pacid=40064612 transcript=Brasy9G044500.1 locus=Brasy9G044500 ID=Brasy9G044500.1.v1.1 annot-version=v1.1 MMRLLLLVGCSVIHFFRWCSSGARDWRWASKKTVLRALRLPIEPPEAPKANQGGDPAAPPPEKPALLFHSLAAAALSLSLAPLLRFPMRCWRRSSAAGCGARSGRRGSGARVRFMRRGSGTPCCSHPPPRRRRPWMMREALPLEGDPSPPPSIPTALTLHRRRRRRLGLLAPASSRPLSSRPFVDPFQGRPWGQWTRAELGPITWGVLLGGHCGWGRGPTESRECLVVGVGWTNFRVCWLGECESVGPASCLAVRVR* >Brasy9G017100.1.p pacid=40064613 transcript=Brasy9G017100.1 locus=Brasy9G017100 ID=Brasy9G017100.1.v1.1 annot-version=v1.1 MATIGVLLLLTLLIELVSADVFCDNLKSVVSVLAKNTSSSPEHFATATFGQAPDIVYALALCRGDVLDDSSCADCVTNTFVNSVLNQMPLPPFQCYYGYVYFGLCLIVYSFNDDIFAPFNATGLEPFERWNVRTITSDVRLTTSEAGLVASMIHDLLVDTVDTAARMTPSRFATGLMDSRTSFPMVYSLAQCRPDLSADDCMSCLHHLLDTINSTMSRRIGAQIHVMWCSFRYEVSRFYEGEPMLHHKAPLAPAPAPTPTKRQRHMSKLWAIAIVVVALAAAAFLCFIFYHHQLMQQRKGKLMRLKGLRRAQDFEGEEQSVWQGKNSVFSMFDFEQVLQATNNFSHENKLGQGGFGAVYKGQFPEGLEIAVKRLASHSGQGFNEFRNEAQLIAKLQHRNLVRLLGCCSEEEEKLLVYEYLPNKSLDFFIFDENKRALLDWSKLVTIIEGIAHGLLYLHKHSRLCVIHRDLKPGNILLDAEMNPKIADFGLAKIFSSDNTEGNTTRRVVGTYGYMAPEYASEGIFSIKSDVFSFGVIIFEILSGKRNSGSQQCGDFINLLGYAWQLWEEGRWIDLIDATLVPKSDSTEMMRCCQNAKQ* >Brasy9G354500.1.p pacid=40064614 transcript=Brasy9G354500.1 locus=Brasy9G354500 ID=Brasy9G354500.1.v1.1 annot-version=v1.1 MPETANYWQMAHAINGPAVPSSAVRSKKRESQSTHPPPSSFLPRTWPESPFHFDAYKTLAAVADNSTPHCLPNPNQVSYSAHRDPILQSDPQPGENSAAATTKMPSSGFAFVPGSGGNKKAAPAVEIRQVWAYNMDAELKAMRAAAERCPFVAMDTEFPGVIHTHPTKHHAALTAAERYELLKANVDALSLIQVGLTFAATADSPPEVAFEVNLRGFDPRIHRHAPDSVALLAAQGIDFAAHREHGVDPRVFAAMLRTAGLVPGKWAGSARTWVTFSAGYDFGYMVKLLIGRKLPASMADFQGLVRAFFGDEVYDVKQMMTGCGGLYGGLERVAGALGVARVAGRCHQAGSDSVLTWDAYRRMRQVYFPQHGVLRASYAGVIFGLEPCPPTAATAAPVNIVGGGNYSFQVPMAMAAQVNSSWVPPAMAAAPYMASGGGSWVVPPAAARMASGGRKGARRARGNKVAPVAATVL* >Brasy9G122600.1.p pacid=40064615 transcript=Brasy9G122600.1 locus=Brasy9G122600 ID=Brasy9G122600.1.v1.1 annot-version=v1.1 MGHLSPSSTSRFPPLASSTSAQKARGPARPHPFHHKLTASRPRFLSFPPGSPSPPLPRSSFLCCSFPLHPTICAPSMAAASALLLANPAAPTDTARYRHRLLQPRLQQPHQLPPGLLACRSLPLRQPRRPLSAVQETKEESAKTAEEITEKYGLEVGLWKIFSSKEEEEEGEGGKTKSRTDEAKELLAKYGGAYLATSITLSLISFTACYLLINAGVDVQQLLSKVGIVTDETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVANWIGKIRKGGD* >Brasy9G022200.1.p pacid=40064616 transcript=Brasy9G022200.1 locus=Brasy9G022200 ID=Brasy9G022200.1.v1.1 annot-version=v1.1 MDFPWVQLLWSTYYSSTVPHASAPCGSFWWRDIMKLNPIFRGFSNCLVSMGDSCLFWKDKWNDVVPSYAYPRVFSFSTQEDISVRDFVGALPQAPSLQAPLSALAFQEFGTLRQEFQSLDVGTTDHDSWCYAWNKTWLLVIDRLNTRGMLKRRHYNISTVWNCLLCPSPPEESLNHLFFECPFSQHCWGALGIYWDLQLPISDRLLAARATWARGLFGEVFTLAAWAIWSERNAKVFDDLNPSFVSWRAKLKIELERLYHRSLQDRFRAKLSSMLEPLLLF* >Brasy9G228800.1.p pacid=40064617 transcript=Brasy9G228800.1 locus=Brasy9G228800 ID=Brasy9G228800.1.v1.1 annot-version=v1.1 MMSPAESSETSFFPDHLVEQQQQHPFAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVAVRCGHCANLLSVNLRSLLLPAAAAPSQLPFGQPLISPTSPASPHGLLDEMSSFQAPSSLLTEQSSPNVSSITSSNNSCAINTPAAMSVPPEKAAQREPQPRKNASSGSTKHPEKRQRVPSAYNRFIKDEIQRIKANNPDITHREAFSAAAKNWAHFPHIHFGLMPDQALRKTSIQSQDAAGDCMLFKDSLYAAAAAAAAAASSMGVTPF* >Brasy9G361700.1.p pacid=40064618 transcript=Brasy9G361700.1 locus=Brasy9G361700 ID=Brasy9G361700.1.v1.1 annot-version=v1.1 MAWSRAAMPLGTIVLVATLLSLPAALSLPSLISMPTGKDDLALTNTCRDDIVRNAVQAARSRDVGVTAGLLRISFHDCFPQGCDASILLTGANSEQDIRPQNGGLRQNALDLIESIRDQVHRACGWRSVSCTDIMNLATREAVKQSGGPDYTVPTGRLDSVDPAPRTAVEQSLPAPFFDVNQLLENFGRKGMDNLDLVALSGAHTIGKASCGSFSNRFGENTEFMQALSKTCRDIPGWRQDLDVLTPNDFDNKYFVNLLQGKGLLTSDMALVNDGRTRWLVEGFAGNHWWFFGQFGTSMSKLAHMQGDQGRNGQVRDSCTRKNSGLAQDLAHAVEEFVASV* >Brasy9G080200.1.p pacid=40064619 transcript=Brasy9G080200.1 locus=Brasy9G080200 ID=Brasy9G080200.1.v1.1 annot-version=v1.1 MDHNMEVTAAKLTDDLVVDILSRLTYKSFCRCKCAYKAWSTLSSNPDYSKKLPTKVTTGLLYQSHNKSAIPLVSFSQNDGEIDGVLADVPHYEHLELVDCCNGLVLCKYMSGFTSPGICRFVVCNPATREWRTLPDTHSATDDPLYVTILAFDPSWSPQFYVFNFHLKHEHHLILGTSKLEIFSSEISAWLVDDTWDPEVTFPWWKPHLFLNGMMYVETTGAKIVVFEGLEAMGYGILPYHWSIELPPDALYVASFTNGCFGKSSGILHYALPHKNGHSIVVWTLDDYADHLFVWNVKCHLSMKDAFGRDDLVYYDNAGFDGGPGFFWNCDYQVLSLDLERELVLLCDKKTQGLLLYNISTGELNEIRDGWWLCQSSYYVPCYSELPAQGQPSV* >Brasy9G080200.2.p pacid=40064620 transcript=Brasy9G080200.2 locus=Brasy9G080200 ID=Brasy9G080200.2.v1.1 annot-version=v1.1 MDHNMEVTAAKLTDDLVVDILSRLTYKSFCRCKCAYKAWSTLSSNPDYSKKLPTKVTTGLLYQSHNKSAIPLVSFSQNDGEIDGVLADVPHYEHLELVDCCNGLVLCKYMSGFTSPGICRFVVCNPATREWRTLPDTHSATDDPLYVTILAFDPSWSPQFYVFNFHLKHEHHLILGTSKLEIFSSEISAWLVDDTWDPEVTFPWWKPHLFLNGMMYVETTGAKIVVFEGLEAMGYGILPYHWSIELPPDALYVASFTNGCFGKSSGILHYALPHKNGHSIVVWTLDDYADHLFVWNVKCHLSMKDAFGRDDLVYYDNAGFDGGPGFFWNCDYQVLSLDLERELVLLCDKKTQGLLLYNISTGELNEIRDGWWLCQSSYYVPCYSELPAQGQPSV* >Brasy9G080200.3.p pacid=40064621 transcript=Brasy9G080200.3 locus=Brasy9G080200 ID=Brasy9G080200.3.v1.1 annot-version=v1.1 MDHNMEVTAAKLTDDLVVDILSRLTYKSFCRCKCAYKAWSTLSSNPDYSKKLPTKVTTGLLYQSHNKSAIPLVSFSQNDGEIDGVLADVPHYEHLELVDCCNGLVLYDPLYVTILAFDPSWSPQFYVFNFHLKHEHHLILGTSKLEIFSSEISAWLVDDTWDPEVTFPWWKPHLFLNGMMYVETTGAKIVVFEGLEAMGYGILPYHWSIELPPDALYVASFTNGCFGKSSGILHYALPHKNGHSIVVWTLDDYADHLFVWNVKCHLSMKDAFGRDDLVYYDNAGFDGGPGFFWNCDYQVLSLDLERELVLLCDKKTQGLLLYNISTGELNEIRDGWWLCQSSYYVPCYSELPAQGQPSV* >Brasy9G080200.4.p pacid=40064622 transcript=Brasy9G080200.4 locus=Brasy9G080200 ID=Brasy9G080200.4.v1.1 annot-version=v1.1 MDHNMEVTAAKLTDDLVVDILSRLTYKSFCRCKCAYKAWSTLSSNPDYSKKLPTKVTTGLLYQSHNKSAIPLVSFSQNDGEIDGVLADVPHYEHLELVDCCNGLVLYDPLYVTILAFDPSWSPQFYVFNFHLKHEHHLILGTSKLEIFSSEISAWLVDDTWDPEVTFPWWKPHLFLNGMMYVETTGAKIVVFEGLEAMGYGILPYHWSIELPPDALYVASFTNGCFGKSSGILHYALPHKNGHSIVVWTLDDYADHLFVWNVKCHLSMKDAFGRDDLVYYDNAGFDGGPGFFWNCDYQVLSLDLERELVLLCDKKTQGLLLYNISTGELNEIRDGWWLCQSSYYVPCYSELPAQGQPSV* >Brasy9G330500.1.p pacid=40064623 transcript=Brasy9G330500.1 locus=Brasy9G330500 ID=Brasy9G330500.1.v1.1 annot-version=v1.1 MNSQRKRIPKIEPFKHRVEVDPKFFDKSWKKLDDAIREIYNHNASGLSFEELYRTAYNMVLHKHGPKLYEKLTENLKGHLRERCRLVEAAQGGLFLEELQRRWADHNKALQMIRDILMYMDRTFIPTSKKTPVFELGLELWRDIVIRSPKIHARLFDTLLEHIHRERMGEMINRGLMRNTTKMLMELGSSVYQADFERPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKIANVVDKEMLSNHMQRLIHMENSGLVNMLIDDRHEDLTRMYDLFKRVPDGHSTIRSVMASHVKETGKTLVTDPERLKDPVDFVQRLINAKDKYDEIVSVSFSNDKVFQNALNSSFENFINLNNRSPEFISLYVDDKLRKGVKGANEEDIEAVLDKLMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFTDLKTSQDTMQSFYANLSADIDAPTISVQILTTGSWPTQPCATCNLPPEILGICEMFQAYYLGIHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSIDSLTYNEIQQATAIPHVDLKRCLQSLACVKGKNVLRKEPMSKDISESDTFHFNDKFTSKLVKVKIGTVVAQKETEPEKMETRHRVEEDRKPQIEAAIVRIMKSRRVLDHNSVVTEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKSDRKLYRYLA* >Brasy9G330500.2.p pacid=40064624 transcript=Brasy9G330500.2 locus=Brasy9G330500 ID=Brasy9G330500.2.v1.1 annot-version=v1.1 MVLHKHGPKLYEKLTENLKGHLRERCRLVEAAQGGLFLEELQRRWADHNKALQMIRDILMYMDRTFIPTSKKTPVFELGLELWRDIVIRSPKIHARLFDTLLEHIHRERMGEMINRGLMRNTTKMLMELGSSVYQADFERPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKIANVVDKEMLSNHMQRLIHMENSGLVNMLIDDRHEDLTRMYDLFKRVPDGHSTIRSVMASHVKETGKTLVTDPERLKDPVDFVQRLINAKDKYDEIVSVSFSNDKVFQNALNSSFENFINLNNRSPEFISLYVDDKLRKGVKGANEEDIEAVLDKLMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFTDLKTSQDTMQSFYANLSADIDAPTISVQILTTGSWPTQPCATCNLPPEILGICEMFQAYYLGIHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSIDSLTYNEIQQATAIPHVDLKRCLQSLACVKGKNVLRKEPMSKDISESDTFHFNDKFTSKLVKVKIGTVVAQKETEPEKMETRHRVEEDRKPQIEAAIVRIMKSRRVLDHNSVVTEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKSDRKLYRYLA* >Brasy9G184200.1.p pacid=40064625 transcript=Brasy9G184200.1 locus=Brasy9G184200 ID=Brasy9G184200.1.v1.1 annot-version=v1.1 MPEAGHYSHKKNDGICNGVCSSEPASKAVVAMSRLKCALRGFDFRVLLALLVGVPIVILMVYAHGQKVTYFLRPIWESPPKPFKIIPHYYHENVTMENLCKLHGWKVRETPRHVVDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLTKPLHFKENRQRFKFAESRLTYGMVGGRFVKGENPFVEESYQRVYLDRLIKISRIKDDDILIMSDVDEIPSGHTIDLLRWCDDTPEIIHLQLRNYLYSFEFLLDDKSWRASIHRYRSGKTRYAHFRQTDMLLADSGWHCSFCFRHISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGAIPREKVARLYGLLCRLLCMGATAKLLEDWLWQVRLI* >Brasy9G184200.2.p pacid=40064626 transcript=Brasy9G184200.2 locus=Brasy9G184200 ID=Brasy9G184200.2.v1.1 annot-version=v1.1 MPEAGHYSHKKNDGICNGVCSSEPASKAVVAMSRLKCALRGFDFRVLLALLVGVPIVILMVYAHGQKVTYFLRPIWESPPKPFKIIPHYYHENVTMENLCKLHGWKVRETPRHVVDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLTKPLHFKENRQRFKFAESRLTYGMVGGRFVKGENPFVEESYQRVYLDRLIKISRIKDDDILIMSDVDEIPSGHTIDLLRWCDDTPEIIHLQLRNYLYSFEFLLDDKSWRASIHRYRSGKTRYAHFRQTDMLLADSGWHCSFCFRHISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGAIPSTYSAVHLPSFLLQNADRFRYLLPGNCRRESG* >Brasy9G303300.1.p pacid=40064627 transcript=Brasy9G303300.1 locus=Brasy9G303300 ID=Brasy9G303300.1.v1.1 annot-version=v1.1 MAAWTKGPRVTERQVTTIPYLILFPGTHYTVHRAGGIIYNCSDNDASSRTDFCFRRSDSSEQRQDVSTRRIDSCDRRRALRARTSVKRKAAAEWWAFDADTNICAPF* >Brasy9G063500.1.p pacid=40064628 transcript=Brasy9G063500.1 locus=Brasy9G063500 ID=Brasy9G063500.1.v1.1 annot-version=v1.1 MRIRLGARRDPAGRGAPAGWRRRRRSSRGAWCGAGRGAGRSQGGRAGGTWRRTMGLSRRVAGEGGSGWLARGGSRWLEEAAPAEAWLAAAADGESGEEEERPNGIGNLGSGLDGNG* >Brasy9G274400.1.p pacid=40064629 transcript=Brasy9G274400.1 locus=Brasy9G274400 ID=Brasy9G274400.1.v1.1 annot-version=v1.1 MAPKLLSALAARKEKSSTIKVKVLRLWDSINPATNELISTDLIVADKKGNTMHASIWHKLVDKFKPKILESSIYILKNFDVWEYNRFRPLKNSLKIIFISDTTEGSRNSRKERTSILREIEILLLDGEKIKVTLWGDTLANMLDEDLRLSLNTTNASKIYFDMEIPETKEIIKRHCTKDVLPTMMKLDESILGTIEEQMFFNRRTIREITELRSSDVKEEEFVCPTKAEISEIVTDRRWWYMACNHCFSMTEKEADNYICKRCNKISEEPKQQCMLKVKISDDTATTTCILFNEVPERLMGNEAVRTLLEQEGYSDELPDDFHNLCGTTHIFRLKLSFKNLQLGMENFKINYTFEPNEQLEMEYSNDRAKE >Brasy9G295100.1.p pacid=40064630 transcript=Brasy9G295100.1 locus=Brasy9G295100 ID=Brasy9G295100.1.v1.1 annot-version=v1.1 MERWLHAAVLMCLLVLCSGRELKTKSAPIYNSTLAKTLAEYTSAVYTNDLTQLFTWTCEKCCDSTKGFEVIELIIDVKNCLEAYVGFAKDMNAVVVAFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTLRDGVVHGIQKTREAYGNIPIRVTGHSMGGAMASFCALDLIVNYGLEDVTLMTFGQPRIGNSVFASHFKKYLANAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLDSLVYPIEEICDDSGEDPRCSRSVSGNSVQDHIHYLGISMHSESRGSCRIVTDDNMLRYKIGAVDGTIVLSKEPGLSVDQQHSAQ* >Brasy9G268300.1.p pacid=40064631 transcript=Brasy9G268300.1 locus=Brasy9G268300 ID=Brasy9G268300.1.v1.1 annot-version=v1.1 MSASAEPEKASAAATESEEKAEAQDGGSGGELLYCGATVRTMGQKAMGGGIQGNLLSPSRLRPLVGVDIRSVASGCTAFHCVALGADGRCYTWGRNEKGQLGHGDTVQRDLPTIVSELSKYKIIKASVGKNHTVVVTDDGKAFSFGHNKYGQLGTGSLRNEIETSPVPCLVTEVTAAVCGGDFTVWLSSVEGSSILSAGLPQYGQLGHGTDNEYNTKDSSVKLSYDPQPRPRAIAVLSEKTIVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKNNVLPPNAIVSAGSASCACTAGGGQLYMWGKMKNTGDDWMYPKPLMDLSGWNIRCMASGNMHHVVGADDSCISWGAAQYGELGYGPNGQKSSANPKKVDSLEGMHVTSVGCGYGMSLIIVDRAKIGDKLDQLDIYDGEASTQVEERVEAKVTKKASASTNSRSNKRKKNKDLSESEEDEDEDDSEDDENGEVKGGKGGRGRKPSNRGRGRGAKKATPEPKTSGRGRGRPKKTESPPQKAESSGRGGKRGRGRPRK* >Brasy9G268300.2.p pacid=40064632 transcript=Brasy9G268300.2 locus=Brasy9G268300 ID=Brasy9G268300.2.v1.1 annot-version=v1.1 MSASAEPEKASAAATESEEKAEAQDGGSGGELLYCGATVRTMGQKAMGGGIQGNLLSPSRLRPLVGVDIRSVASGCTAFHCVALGADGRCYTWGRNEKGQLGHGDTVQRDLPTIVSELSKYKIIKASVGKNHTVVVTDDGKAFSFGHNKYGQLGTGSLRNEIETSPVPCLVTEVTAAVCGGDFTVWLSSVEGSSILSAGLPQYGQLGHGTDNEYNTKDSSVKLSYDPQPRPRAIAVLSEKTIVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKNNVLPPNAIVSAGSASCACTAGGGQLYMWGKMKNTGDDWMYPKPLMDLSGWNIRCMASGNMHHVVGADDSCISWGAAQYGELGYGPNGQKSSANPKKVDSLEGMHVTSVGCGYGMSLIIVDRAKIGDKLDQLDIYDGEASTQVEERVEAKVTKKASASTNSRSNKRKKNKDLSESEEDEDEDDSEDDENGEVKGGKGGRGRKPSNRGRGRGAKKATPEPKTSGRGRGRPKKTESPPQKAESSGRGGKRGRGRPRK* >Brasy9G268300.3.p pacid=40064633 transcript=Brasy9G268300.3 locus=Brasy9G268300 ID=Brasy9G268300.3.v1.1 annot-version=v1.1 MICYILLAAFHCVALGADGRCYTWGRNEKGQLGHGDTVQRDLPTIVSELSKYKIIKASVGKNHTVVVTDDGKAFSFGHNKYGQLGTGSLRNEIETSPVPCLVTEVTAAVCGGDFTVWLSSVEGSSILSAGLPQYGQLGHGTDNEYNTKDSSVKLSYDPQPRPRAIAVLSEKTIVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKNNVLPPNAIVSAGSASCACTAGGGQLYMWGKMKNTGDDWMYPKPLMDLSGWNIRCMASGNMHHVVGADDSCISWGAAQYGELGYGPNGQKSSANPKKVDSLEGMHVTSVGCGYGMSLIIVDRAKIGDKLDQLDIYDGEASTQVEERVEAKVTKKASASTNSRSNKRKKNKDLSESEEDEDEDDSEDDENGEVKGGKGGRGRKPSNRGRGRGAKKATPEPKTSGRGRGRPKKTESPPQKAESSGRGGKRGRGRPRK* >Brasy9G126600.1.p pacid=40064634 transcript=Brasy9G126600.1 locus=Brasy9G126600 ID=Brasy9G126600.1.v1.1 annot-version=v1.1 MEAWSGPRRSPKPQAPANQHPSWFPSFVRSQAGDRTKQCPWSSGMAMQYRAPGLNWMGYLCWNRELDGLLVRLLVEMNNNRTVHCY* >Brasy9G273000.1.p pacid=40064635 transcript=Brasy9G273000.1 locus=Brasy9G273000 ID=Brasy9G273000.1.v1.1 annot-version=v1.1 MEWPLDVNLIASSMMSCTEQSAERTSSQRKEEENHVLFQIKGICNNAWVGLTSQAATYMQAALHGLSCFRPAA* >Brasy9G273000.3.p pacid=40064636 transcript=Brasy9G273000.3 locus=Brasy9G273000 ID=Brasy9G273000.3.v1.1 annot-version=v1.1 MEWPLDVNLIASSMMSCTEQSAERTSSQRKEEENHVLFQIKGICNNAWVGLTSQAATYMQAALHGLSCFRPAA* >Brasy9G273000.4.p pacid=40064637 transcript=Brasy9G273000.4 locus=Brasy9G273000 ID=Brasy9G273000.4.v1.1 annot-version=v1.1 MEWPLDVNLIASSMMSCTEQSAERTSSQRKEEENHVLFQIKGICNNAWVGLTSQAATYMQAALHGLSCFRPAA* >Brasy9G273000.2.p pacid=40064638 transcript=Brasy9G273000.2 locus=Brasy9G273000 ID=Brasy9G273000.2.v1.1 annot-version=v1.1 MEWPLDVNLIASSMMSCTEQSAERTSSQRKEEENHVLFQIKGICNNAWVGLTSQAATYMQAALHGLSCFRPAA* >Brasy9G200100.1.p pacid=40064639 transcript=Brasy9G200100.1 locus=Brasy9G200100 ID=Brasy9G200100.1.v1.1 annot-version=v1.1 MASTAVRTPQPPPGHAFTKPSGRQIIRQYLAPKALHGGRGTFLPGHVAEGVDVFSASPEALPFHPSCNRTRPNGDVWGYFFAARPAGDARPVPGGCWVRYGPDKGYGHGGEDPHQAAEAFRRRFAFHVTWLRGDGRGVASVPTPWLMKEYRLNKAAAAFRAGPWAAADMDCVVCKVFRKPAPPPTPFVSDEDDEEVLPDSGYPSEDEEEADDYYSSDEDQERKRVGCSIEGRARKRARFGRD* >Brasy9G130900.1.p pacid=40064640 transcript=Brasy9G130900.1 locus=Brasy9G130900 ID=Brasy9G130900.1.v1.1 annot-version=v1.1 MGRFEHGNLLVSLSEGNRHGYSLTAVAVVLGMAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.7.p pacid=40064641 transcript=Brasy9G130900.7 locus=Brasy9G130900 ID=Brasy9G130900.7.v1.1 annot-version=v1.1 MGRFEHGNLLVSLSEGNRHGYSLTAVAVVLGMAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.8.p pacid=40064642 transcript=Brasy9G130900.8 locus=Brasy9G130900 ID=Brasy9G130900.8.v1.1 annot-version=v1.1 MGRFEHGNLLVSLSEGNRHGYSLTAVAVVLGMAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.2.p pacid=40064643 transcript=Brasy9G130900.2 locus=Brasy9G130900 ID=Brasy9G130900.2.v1.1 annot-version=v1.1 MGRFEHGNLLVSLSEGNRHGYSLTAVAVVLGMAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.4.p pacid=40064644 transcript=Brasy9G130900.4 locus=Brasy9G130900 ID=Brasy9G130900.4.v1.1 annot-version=v1.1 MGRFEHGNLLVSLSEGNRHGYSLTAVAVVLGMAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.3.p pacid=40064645 transcript=Brasy9G130900.3 locus=Brasy9G130900 ID=Brasy9G130900.3.v1.1 annot-version=v1.1 MAAAGLCKALHRSFVMPWDFRKFFSGSERLYYTGGLENLGNNCFLNVILQALASCDHFVSSLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.6.p pacid=40064646 transcript=Brasy9G130900.6 locus=Brasy9G130900 ID=Brasy9G130900.6.v1.1 annot-version=v1.1 METNLDGLLGSDGTLPEEQSERMPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.5.p pacid=40064647 transcript=Brasy9G130900.5 locus=Brasy9G130900 ID=Brasy9G130900.5.v1.1 annot-version=v1.1 MPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G130900.9.p pacid=40064648 transcript=Brasy9G130900.9 locus=Brasy9G130900 ID=Brasy9G130900.9.v1.1 annot-version=v1.1 MPLIFALSSLLKDLSIVRSERTVLNPRRVMHALSFYVSHFNLTRQQDASEAFLHLLTSMRDEFSCCYVPHRSSLADITMFPSKVYKQREGYEPECRRWIKSIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDRNGDIISGCTLVDCLKHFTVLEHIDNYRCDHCWHSAAAKYFSLQSEVDEEKVNKLRTCVDYDSCSCRHIFGPEKIAWTLSSKATKQLAITRCPKILCIHLLRASISLDGELIKREGHISFPLLLDLSPFAGGTFSNGHGPEPSAMNMQKYDQPSLHLYRQLNAQMPINMFPTGGNLSSQPHKDELTNGGGCLPYKGNVDVATSSLSPSSSRNELYGLSAVIEHYGICGGGHYAAYRRVVSNSDAGDLVGPRRRHWLYVSDDHVSQVSEGDVLAAEATLLFYERL* >Brasy9G079300.1.p pacid=40064649 transcript=Brasy9G079300.1 locus=Brasy9G079300 ID=Brasy9G079300.1.v1.1 annot-version=v1.1 MRISSFFLIAAAGIIYAIAAASAATGCDVPFKDQSRTAGIVGAWEPIGNVNDPHIQMLGGWAVSEYGNRANCRLKFHRVVSGRQQVVSGTNYELIIDASRELGGGKEGRYKAVVYEQGWSNVRNLVSFSRA* >Brasy9G289400.1.p pacid=40064650 transcript=Brasy9G289400.1 locus=Brasy9G289400 ID=Brasy9G289400.1.v1.1 annot-version=v1.1 MAVAAAEKAVRCLGLGFDMTCDLRLKFCKESGGCLVARNDETAAPVIVPGVGVLRDVPGDVKCGKGDRVRFKSDVLEFNKMSEVFNQQRCSVEGKIPSGLFNACFDLDSGSWAQDASSTKCLAMDGYFISLLELRLERRRPLALAPHVLHDVPAAWDPSAIASFIDKYGTHVVTGLSMGGQDVVYVKQDAAASPLLSPSEIRAHLDRLGDQLFTGACAVPPPHSKSRSKFKIPEAFNVFDAQVAQQRLQGITTLVSSKEGITVIYSKRGGNPAVSSHSEWLLTVRSAPDVINAKLVPITSLLRGVAGTGFLSHAINLYLRYKPPLGDLKYFLDFQHHRMWAPVLGELPLGPCSNRQGSSPALHFSLLGSKLYVSSSQVFVPNLPVTGMRLHLEGKKNNRLGIHLQHLAATPAFMAAVRNDRSLPAWRVSDDHRYYEPVQWRTYAQVCTAPVKYDPRWCSDADADDRRRRPDTAFVVSGAQLHVKAHDSTNVLHLRLLYSELPGYAVVQSRWARGTARLPGKSSSSFLSMPFSGSSSSSSSGDAQKARPPVVVNINSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLVTGARLDVDKGKISLHVKFSLLAPASS* >Brasy9G210900.1.p pacid=40064651 transcript=Brasy9G210900.1 locus=Brasy9G210900 ID=Brasy9G210900.1.v1.1 annot-version=v1.1 MAMASPNNKALERYKSAVTAAASVVGAAMLLRRAVADFLPAGTSLGALLLLPPASARRHTVLIEEFDGALYNRVFLAAKAYVSTLLAAAPSVPLMKASLPRGSGADQRVLLALRPGTAVVDVFDGAKLTWRLSRQQGRRGEDGGTREAFKLSFDAQHKDMVLGAYLPAVMARVEAMSQGQRQPRLYSNEWGKWSAVRLRNASTMATVAMDAALRQAVVEDLDRFLTRKEYYRQTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDVGGVRNNTELRKLLIRMKNRSILLVEDVDCALATAPRREVDGGSDGSSPGPAGSKNHKVTLSGLLNMVDGLWSNSGQERILVFTTNHKDRLDPALLRPGRMDMHIHMGYCGFVAFTELAANYHGVDDHHPLFPEIEALLREVEVAPAEVAERLLMTDAADAAVEMVAKLLRDRKAGTGEEDGAGGYVKQKLHVGPRRPRRLAPAPAPRRGGAGAASARRAVLGEEMGGSSRRGQGRGSGTGRHGRGRGRR* >Brasy9G015700.1.p pacid=40064652 transcript=Brasy9G015700.1 locus=Brasy9G015700 ID=Brasy9G015700.1.v1.1 annot-version=v1.1 MENEKERERVDAEEQEKDLHEDRERKEQMELEEQNEKNENGDTSKNTLPCFLVILPGKSTEQLAIPPPFNKHLENESPGVVFLRGPSGNKWRVELVANNMELCFVHGWKEFLSDNRIRPGYFLVFCYNGQSQFSVIVFDSTTHEAPYAFLARPSNDGITEEDEGMGTYADDTDPEEEDTDNMTTENGGT* >Brasy9G349200.1.p pacid=40064653 transcript=Brasy9G349200.1 locus=Brasy9G349200 ID=Brasy9G349200.1.v1.1 annot-version=v1.1 MNAEEIPWARIGADYVMQPTSVFADKDKAAAHLKAQPEIVVQLVDLIRITSIIEVNFSKILQ* >Brasy9G301500.1.p pacid=40064654 transcript=Brasy9G301500.1 locus=Brasy9G301500 ID=Brasy9G301500.1.v1.1 annot-version=v1.1 MATSTLSTVMVSNLSLKAAQRDVKEFFSFSGDILHVEMQSADELSQVAYITFKDKQGAETAILLTGATIVDMAVIVTPATDYEVPASVLAALEPKDGKSAVLEKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGSSVVNDKVKEMDQKYLVSEKTKSALAAAEQGVSTAGSAIMKNRYVLTGAAWVTGAFSKVANAANDVGAKAKEKIIADQEGKTVERESAQGNISDDPAKHKDSDDDFAKVHVSETTEDIPISRAATVPITEEGSSNATPLPPAPKKPEPAQGLIL* >Brasy9G360200.1.p pacid=40064655 transcript=Brasy9G360200.1 locus=Brasy9G360200 ID=Brasy9G360200.1.v1.1 annot-version=v1.1 MERRKMIADLLRASAASSAIRGGAQLHGALLKLGFGSDTMLGNNLIDMYAKCEELGTAREVFEGMLERNVVSWTALMVGFLRHGDARECLRLLGAMRRLSEVAPNEFTLSASLKACGVVGDMAAGVWIHGACVRTGFEGHRVVTNSLVLLYSKGGRIGDARRVFDGAAFRNLVTWNAMISGYAHAGHGRDSLVVFREMQQQRQEEDDDQPDEYTFASLLKACGGLGAAREGAQVHAAMAVRGVSTASNAILAGALLDMYVKCRCLLPMAMQVFDRLEQKNAIQWTTVIVGHAQEGHVKGAMELFRRFWSSGVRADGHVLSSVVGVFADFALIEQGRQVHCYTAKTPAGLDVSVANSLIDMYHKCGLTDEAGRRFREAPARNVVSWTAMINGLGKHGHGRDAIDMFEEMRAEGVEPDEVAYLALLSACSHSGLVEECRRYFSTIRHERRLRPRAEHYACMVDLLGRAGELNEAKDLVATMPMAPTVGVWQTLLSACRVHKNVSVGREVGETLLAIDGDNPVNYVMLSNIFAEAGEWRECHRVRGAMRRRGLRKQGGCSWVEVGKEAHFFYGGGDDSHPRAADIRRVLQDVERTMRERLGYSPGSSSSAAEAALHDVDEESRAESLRAHSERLAVGLWLLLHHGHDHDDGQGMGKRKEEVIRVYKNLRVCGDCHEFFKGLSSVVGRVLVVRDANRFHRFEDGLCSCKDYW* >Brasy9G146400.1.p pacid=40064656 transcript=Brasy9G146400.1 locus=Brasy9G146400 ID=Brasy9G146400.1.v1.1 annot-version=v1.1 MLQQKLREIEASSKGERNQEWSHSKFSVFILIRKLVLIVSSFFNLRFMSNHGWRHTSVYLNLKYTYI* >Brasy9G037300.1.p pacid=40064657 transcript=Brasy9G037300.1 locus=Brasy9G037300 ID=Brasy9G037300.1.v1.1 annot-version=v1.1 MAAVEGRGRQRTMESDRPELPRPRLRHRAAVRPVGTPPRPRARHVRALGDPADLPLPPPRPRAHGAPPVQPSRYGWLAACLYLCVCAVLGRAAMLNRLWIRPPPEQYTVLPAAIVAALVITLLLRRHPPHLDQEIADATEQIVAILAPVPLVLTLSILDANQVAAAVAAIRSSMEDNTRPSFAFSPPRSDSDFDGGGSSSSNDEVNANVWYTSIHSLETGDAHLIDPVFIQQLQEMTGGLTEADAVEVMRRVFRDAQESGGFYRLSDVMDNQRILHAGTEIIAHPHALTDRSTGTLVMTSKIIIKLLHLIWFELQGQNWQLSQEVKQECFRVVIGQSVEKLLEVALAFSNASWSADRTSQMLTIFDALVDVLYNIGALPFNRFEFISNGVADMADMTLNRFDSIHNVVAHIFCKMVIDFRGILEGITNDMHSSRESNIRPTTVLLIRYLEFFYRNGEMLQSVLGTEDCTIELTMINFWVSRIMEDAERTFQDKGQRYIFLLNNMYYVLREKCNPGLLLPSVVDNLDSLIQRYVKKYLDECWVPLMIYLDGESLKKPSRSSLDKFTEEFFTICDHQMTWKVRTELKKALRKKISKLIVPKYGNFLKALQANPSSRWPSPLKGMWLARSEKPVYTDEQLDDIVKQIFER* >Brasy9G037300.2.p pacid=40064658 transcript=Brasy9G037300.2 locus=Brasy9G037300 ID=Brasy9G037300.2.v1.1 annot-version=v1.1 MAAVEGRGRQRTMESDRPELPRPRLRHRAAVRPVGTPPRPRARHVRALGDPADLPLPPPRPRAHGAPPVQPSRYGWLAACLYLCVCAVLGRAAMLNRLWIRPPPEQYTVLPAAIVAALVITLLLRRHPPHLDQEIADATEQIVAILAPVPLVLTLSILDANQVAAAVAAIRSSMEDNTRPSFAFSPPRSDSDFDGGGSSSSNDEVNANVWYTSIHSLETGDAHLIDPVFIQQLQEMTGGLTEADAVEVMRRVFRDAQESGGFYRLSDVMDNQRILHAGTEIIAHPHALTDRSTGTLVMTSKIIIKLLHLIWFELQGQNWQLSQEVKQECFRVVIGQSVEKLLEVALAFSNASWSADRTSQMLTIFDALVDVLYNIGALPFNRFEFISNGVADMADMTLNRFDSIHNVVAHIFCKMVIDFRGILEGITNDMHSSRESNIRPTTVLLIRYLEFFYRNGEMLQSVLGTEDCTIELTMINFWVSRIMEDAERTFQDKGQR* >Brasy9G284800.1.p pacid=40064659 transcript=Brasy9G284800.1 locus=Brasy9G284800 ID=Brasy9G284800.1.v1.1 annot-version=v1.1 MMRVRVASHKALMLLAMILISGRDGGLLVSAARGREEVHMVPAVYVFGDSTVDVGNNQFLPGGVPLQLPYGIDFPGSRPTGRFSNGYNTADSIARLLGFKRSPPAYLSLTPQTSHQIAQGLRGVNYASGGSGILDTTGNGTITLSKQVEYFAATKSDMTKRNNNNPGEIEDLLSRSLFLISDGGNDMFAFLSQNQTAAEVPSFYADLLSNYTRHVQALYALGARRFGIIDVPPIGCVPAIRATSPSGETKCVEAANALAKGFNDALRKLMAGIAAKLPGLKYSVGSSYNVIAFVTAHPGYAGFRDVASACCGGGRLGGEAGCLPNTTYCANRNDHVFWDAVHGTEATTRKGAAAIFAAPVKLGFAAPVNFKQLVSSS* >Brasy9G284800.2.p pacid=40064660 transcript=Brasy9G284800.2 locus=Brasy9G284800 ID=Brasy9G284800.2.v1.1 annot-version=v1.1 MMRVRVASHKALMLLAMILISGRDGGLLVSAARGREEVHMVPAVYVFGDSTVDVGNNQFLPGGVPLQLPYGIDFPGSRPTGRFSNGYNTADSIARLLGFKRSPPAYLSLTPQTSHQIAQGLRGVNYASGGSGILDTTGNGTITLSKQVEYFAATKSDMTKRNNNNPGEIEDLLSRSLFLISDGGNDMFAFLSQNQTAAEVPSFYADLLSNYTRHVQALYALGARRFGIIDVPPIGCVPAIRATSPSGETKCVEAANALAKGFNDALRKLMAGIAAKLPGLKYSVGSSYNVIAFVTAHPGYAGFRDVASACCGGGRLGGEAGCLPNTTYCANRNDHVFWDAVHGTEATTRKGAAAIFAAPVKLGFAAPVNFKQLVSSS* >Brasy9G165700.1.p pacid=40064661 transcript=Brasy9G165700.1 locus=Brasy9G165700 ID=Brasy9G165700.1.v1.1 annot-version=v1.1 MNDALCPILCWNVRGLNNPARRTAVCELASSAKAGILCLQETKMAAIDDAAACEIAGPSRCSRHCLPAVGTKGGVAIFWNSDIVDISNPQVLQFSITAVVTVIHSGIAFVLSTVYGPSDDALKPAFLQEMRDISPGPGMPWLIAGDFNLIYDAHDKNNLNLCRRLMGQFRAAIDQAEIFELRCSNRNFSWSNEQAHPTLVKLDRLFCNASWDSLFAPCAVHALSTAHSDHCPLLLACFSLPPRKARFRFENFWPKHHGFSETVIAAWSEEVRSTNPLRRIHIKLCRTARALRSWSKSLFSDARFQLHLALEIVLRLDTAQDLHCLSPMEFHLRRALKARVLGLAAVERARRRQASRQVWLKEGDANTRFFHIKINSRRRRNFLHQITTDSGIHVAHDEKAHALLQHFTSVLGATAPCSRALAWQELDLPMIPAAGLDNPFSLGEIWSIEGDMFPKFHICGVSTYM* >Brasy9G041400.1.p pacid=40064662 transcript=Brasy9G041400.1 locus=Brasy9G041400 ID=Brasy9G041400.1.v1.1 annot-version=v1.1 MASTVSFSPAKVQMLQVQATNSHGRAAPGSCFAVPRTGLRLRSTAVRVSSEQEAAAAVRAPSGRSIEEYEADAVAGRFPAPPQFVRPKAPDGTPEIRPLDMAKRPRRNRRSPALRAAFQETSISPANLVLPLFIHEGEEDAPIGAMPGCFRLGWQHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRIGAIRSALDAEGFNDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADETEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAAGVLCGMRSSK* >Brasy9G263100.1.p pacid=40064663 transcript=Brasy9G263100.1 locus=Brasy9G263100 ID=Brasy9G263100.1.v1.1 annot-version=v1.1 MPAAAVVSGCLLPRSMPRPAPATATRALPVINIGRLGDKDTAARALVVQDIARACRDRGCFQVINHGVSESAMDGAMAAAAEFFEMSTERKEEFASDDIRHPVRYDTSSRDGISKARSFLKHYANPLQDWLSFWPLQPPSYREKMGAYAMAIQSLSVQLMGAIVQGLGLEPKYLQQELIQGLQFMALNNYPQGSSSLAPTDMIGLAPHSDYGFLTILLQSSPGLEVLHHEHDAAWTPVPAIRGALHVHLGDQMEVLSNGRLRSLVHRAVLNTDESRISIVSIHGVAMDEKVECAEMLVDEGHPRLYKASSFHDFLEFLPTNVKAYRRNQECKRSWSEVLGDWGWSPGKSSISRMVGRLWGEDWRGDHIILEFVASCR* >Brasy9G263100.2.p pacid=40064664 transcript=Brasy9G263100.2 locus=Brasy9G263100 ID=Brasy9G263100.2.v1.1 annot-version=v1.1 MDGAMAAAAEFFEMSTERKEEFASDDIRHPVRYDTSSRDGISKARSFLKHYANPLQDWLSFWPLQPPSYREKMGAYAMAIQSLSVQLMGAIVQGLGLEPKYLQQELIQGLQFMALNNYPQGSSSLAPTDMIGLAPHSDYGFLTILLQSSPGLEVLHHEHDAAWTPVPAIRGALHVHLGDQMEVLSNGRLRSLVHRAVLNTDESRISIVSIHGVAMDEKVECAEMLVDEGHPRLYKASSFHDFLEFLPTNVKAYRRFVETLKIDTA* >Brasy9G263100.3.p pacid=40064665 transcript=Brasy9G263100.3 locus=Brasy9G263100 ID=Brasy9G263100.3.v1.1 annot-version=v1.1 MDGAMAAAAEFFEMSTERKEEFASDDIRHPVRYDTSSRDGISKARSFLKHYANPLQDWLSFWPLQPPSYREKMGAYAMAIQSLSVQLMGAIVQGLGLEPKYLQQELIQGLQFMALNNYPQGSSSLAPTDMIGLAPHSDYGFLTILLQSSPGLEVLHHEHDAAWTPVPAIRGALHVHLGDQMEVLSNGRLRSLVHRAVLNTDESRISIVSIHGVAMDEKVECAEMLVDEGHPRLYKASSFHDFLEFLPTNVKAYRRFVETLKIDTA* >Brasy9G082100.1.p pacid=40064666 transcript=Brasy9G082100.1 locus=Brasy9G082100 ID=Brasy9G082100.1.v1.1 annot-version=v1.1 MAMLQSGIRLAHQKTSALVSRLVNAARSSSPASASSSNISSTQKFFNESGHELKYEEPAGDMMDILFGGAATVGMLVFRHYTPHCLHVLPVPTRGWGQEEAKNTSGAAMHVSEKFSLDSVLELF* >Brasy9G173500.1.p pacid=40064667 transcript=Brasy9G173500.1 locus=Brasy9G173500 ID=Brasy9G173500.1.v1.1 annot-version=v1.1 MSVRLQRACKPTAMATTVLSFPPNPYKKFSPTPPSILPPDPTSLKQLCKEGNLRQALRLLTARTPGRSPPQEHYGWVLDLVAAKKAVAQGVQVHAHAVATGSLEGDDGFLATKLLFMYGKCGRVADARLLFDGMSARTVFSWNALIGAYLSSGSACEALGVYRAMRLSAASGVAPDGCTLASVLKASGVEGDGRCGCEVHGLAVKHGLDRSTLVANALIAMYAKCGILDSALRVFEWMHDGRDVASWNSMISGCLQNGMLLQALDLFRGMQRAGLSMNSYTTVGVLQVCTELAQLNLGRELHAALLKCGSEVNIQCNALLVMYTKCGRVDSALRVFREIDEKDYISWNSMLSCYVQNGLYAEAIEFIGEMLQGGFQPDHACIVSLSSAVGHLGWLINGKEVHAYAIKQRLDTDTQVGNTLMNMYMKCRYIEYSAHVFDRMRIKDHISWTTIITCYAQSSRHIEALENFREAQKEGIKVDPMMIGSILDACSGLETILLAKQLHCYAIRNGLLDLVVKNRIIDIYGECGEVYHSLKMFETVEQKDIVTWTSMINCYANSGLLNEAVVLFAEMQSTDVQPDSVALVSILGAVGGLSSLAKGKEVHGFLIRRNFHMEGAIVSSLVDMYSGCGSLSGALKVFNGAKCKDMVLWTAMINATGMHGHGKQAIDLFKRMLQTGVTPDHVSFLALLYACSHSKLVDEGKCYLDMMMSTYRLEPWQEHYACVVDLLGRSGQTEEAYEFIKSMPLEPKSVVWCSLLGACRVHKNHELAEIAANRLLELEPDNPGNYVLVSNVFAEMGKWNNAKEVRARISERGLRKDPACSWIEIGNNVHTFTTRDNSHRDAESIHLKLAEITERLRKEGGYTEDTRFVLHDVSEEEKVDVLHRHSERLAISFGLINTRPGTPLRIAKNLRVCGDCHEFTKLVSKLFYRDIVVRDANRFHHFRGGSCSCGDFWCSAFALRRGKQQVTSYNKPNRKNPKL* >Brasy9G173500.2.p pacid=40064668 transcript=Brasy9G173500.2 locus=Brasy9G173500 ID=Brasy9G173500.2.v1.1 annot-version=v1.1 MEFNDIRLSAERDALASSGLVSRELHAALLKCGSEVNIQCNALLVMYTKCGRVDSALRVFREIDEKDYISWNSMLSCYVQNGLYAEAIEFIGEMLQGGFQPDHACIVSLSSAVGHLGWLINGKEVHAYAIKQRLDTDTQVGNTLMNMYMKCRYIEYSAHVFDRMRIKDHISWTTIITCYAQSSRHIEALENFREAQKEGIKVDPMMIGSILDACSGLETILLAKQLHCYAIRNGLLDLVVKNRIIDIYGECGEVYHSLKMFETVEQKDIVTWTSMINCYANSGLLNEAVVLFAEMQSTDVQPDSVALVSILGAVGGLSSLAKGKEVHGFLIRRNFHMEGAIVSSLVDMYSGCGSLSGALKVFNGAKCKDMVLWTAMINATGMHGHGKQAIDLFKRMLQTGVTPDHVSFLALLYACSHSKLVDEGKCYLDMMMSTYRLEPWQEHYACVVDLLGRSGQTEEAYEFIKSMPLEPKSVVWCSLLGACRVHKNHELAEIAANRLLELEPDNPGNYVLVSNVFAEMGKWNNAKEVRARISERGLRKDPACSWIEIGNNVHTFTTRDNSHRDAESIHLKLAEITERLRKEGGYTEDTRFVLHDVSEEEKVDVLHRHSERLAISFGLINTRPGTPLRIAKNLRVCGDCHEFTKLVSKLFYRDIVVRDANRFHHFRGGSCSCGDFWCSAFALRRGKQQVTSYNKPNRKNPKL* >Brasy9G273400.1.p pacid=40064669 transcript=Brasy9G273400.1 locus=Brasy9G273400 ID=Brasy9G273400.1.v1.1 annot-version=v1.1 MPPSVASTSMRCLVLSPSLLSLPHLLSTQIPQRTGCLPLVTCRLPRHRCTSLHSVVQPQPVGRCRCHKSSHTIVCAFDCSSVGLDDFYF* >Brasy9G232300.1.p pacid=40064670 transcript=Brasy9G232300.1 locus=Brasy9G232300 ID=Brasy9G232300.1.v1.1 annot-version=v1.1 MKQERRRKHPRPPPPPPGLELAMAPRSRDGSGGRDEIRELDDAEAGAAHARGRVPPWREQLTARGMAASLAVGAIYSVIVMKLVLTTGLVPTLNVSAALIAFVILRGWTRAMAHLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSYLLALDKKTYEMAGETEGNVPGSYKEPGIVWMTGFLLAVSFVGILALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKHQVNGFTKYFAISFLWSFFQWFYSGGDSCGFSQFPTFGLLAWKQTFFFDFSLTYVGAGMICSHLVNLSLLVGAILSWGVMWPLISDFEGDWYPANIPESSMRSLQGYKAFICIALILGDGLYNFVKIIAFTVKSLLGRSKLKNTKKEEDIPVLDGLHRNEVFTRDSLPTWLAYSGYIALSVIAVIAIPLMFHEMKWYYVVIAYVLAPALGFCNAYGTGLTDMNMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVTISADLMHDFKTGHLTLTSPRSMLIAQAIGTAMGCVIGPLTFFLFYNAFDIGNPEGLWKAPYALIYRNMAILGVEGFSALPLHCLQLCYGFFGFAVAANLMRDLFPPKYGRWVPLPMAMGVPFLVGASFAIDMCVGSLVVFVWHMIDGGKAALMVPAVASGLICGDGLWIFPSALLALAKINPPLCMAFRSTH* >Brasy9G048100.1.p pacid=40064671 transcript=Brasy9G048100.1 locus=Brasy9G048100 ID=Brasy9G048100.1.v1.1 annot-version=v1.1 MPLMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASTSLVKQVSDRSLSLQLRLDIRNYVMNYLAARGPKLQNFVTGSLIQLACRITKFGWFDDDRFREIFKEATDFLALASQDHYLIGLKILNSLVAEMNQANSAMPLTLHRKIATSFKDQFLVQIFQISLTSLHQLKIEVPDDIRSVPLSLALRCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKSNNTSVSKEALECLVRLASVRRSLFAEDPARSQFLSHLMSGTREILQTGQGLGHHDNYHEFCRLLGRFKVNYQLSELLNVEFYAEWIGLVAEFTTKSLLSWEWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRMNYVQASIADNSSDIDNPLDNAEGLQDQLESLPYLCRFQYESCSRFIINIMEPLLQAYMARSRLPTAGDVAELSVIEGQITWMVHIIAAILKIRQTVGCSQDSQELFDAELAARVLQLINITDTGVHAQRYQELNKQRLDRAILIFVQNFRRSYVGDQAVHSAKQLYSRLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLDSTKFIIANHSRENFPFLGEYRYVRSRTNFYYILGSLVFMEDGPVKFRCFMEPLLQVAVSLEASADAAFQTDVVKYAFTGLMRDLRGITMATNSRRTYSLLFDWLYPSRMPLLLRAISLCTDDPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREISKLIVAYGSRVLLLPNGSDIYASKYKGMWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMVLSIPLSDLLTFKKLSKAYYGYMEVLFNNHITISSVLNLDASTFAHIVSSLESGLKGLDTGISTQCASAIDSLSAFYFNNITAGDNPPSPAALNLARHIGEFPNLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRLSQCFDKLMTDVTRSLEPKNRDRFTQNLTTFRHDFRMK* >Brasy9G250000.1.p pacid=40064672 transcript=Brasy9G250000.1 locus=Brasy9G250000 ID=Brasy9G250000.1.v1.1 annot-version=v1.1 MGNCFGYEEAEAEAVKTPPRHHGQPQSATASRSPPPTAAPNAHVAMGGAAGHGRRSPGSSRSTLSSSTTTGGSSSGSTSAAGSVIGAFPEPDQGTILETPNLRIFTYAELKAATRNFKPDSMLGEGGFGRVYKGWVDEKTMNPARSGTGMVIAVKKLSQESVQGLQEWQSEVNFLGRISHPNLVRLLGYCLEDKELLLVYEFMAKGSLENHLFRKGGSVQPISWGLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHYNAKLSDFGLAKDGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTARPAPQLNLVDWAKPYLADRRKLARLVDPRLEGQYPSKAALRAAQLTLSCLAGEPRNRPSMAEVVAVLEEIEGMRPRHHRRASPEEESPRAAAAHHHQSPRPRSDGARHSSSHPSPRVR* >Brasy9G250000.2.p pacid=40064673 transcript=Brasy9G250000.2 locus=Brasy9G250000 ID=Brasy9G250000.2.v1.1 annot-version=v1.1 MGNCFGYEEAEAEAVKTPPRHHGQPQSTASRSPPPTAAPNAHVAMGGAAGHGRRSPGSSRSTLSSSTTTGGSSSGSTSAAGSVIGAFPEPDQGTILETPNLRIFTYAELKAATRNFKPDSMLGEGGFGRVYKGWVDEKTMNPARSGTGMVIAVKKLSQESVQGLQEWQSEVNFLGRISHPNLVRLLGYCLEDKELLLVYEFMAKGSLENHLFRKGGSVQPISWGLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHYNAKLSDFGLAKDGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTARPAPQLNLVDWAKPYLADRRKLARLVDPRLEGQYPSKAALRAAQLTLSCLAGEPRNRPSMAEVVAVLEEIEGMRPRHHRRASPEEESPRAAAAHHHQSPRPRSDGARHSSSHPSPRVR* >Brasy9G228100.1.p pacid=40064674 transcript=Brasy9G228100.1 locus=Brasy9G228100 ID=Brasy9G228100.1.v1.1 annot-version=v1.1 MSEKPDPRRGPPPVRPVQAPVEALPWGRRIRPESHRIRPEGAAAATKPTGQELQRPPGRCTMPAAAAEQEGPAVAGTARILAGGDWPQTDLPTAAATGREERKGFFASGRRNWEPNGGFAGVGQRVPRARRDREASRSVPTSNRTSLEPQRTTPAPSSPVTTRRRPQTAVAAGSRLRTSLLQPPAPRYSPDPLAPLHRSHC* >Brasy9G104500.1.p pacid=40064675 transcript=Brasy9G104500.1 locus=Brasy9G104500 ID=Brasy9G104500.1.v1.1 annot-version=v1.1 MYFDKQETMEETILVGDDLMRGPPCPVIPKEIASHVLEGVELCDGILKNLFLCLQINDIEPFCQDEIVLYQHCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERVTQLQSEVTLLE >Brasy9G273600.1.p pacid=40064676 transcript=Brasy9G273600.1 locus=Brasy9G273600 ID=Brasy9G273600.1.v1.1 annot-version=v1.1 MSSSSSRATSRFEIPARMEMPIFLCPRCRADVVRRISRTPKNTNRPFYVCSEKGVKCFFLWVDVLAQTLMNELLEEHEEWLPILPRTAAAAARAPAEETEGGARIDREVAVELRRLNQKIMKLEDQSQICNYIWAFVGMVIALGVMLKLYGKA* >Brasy9G301200.1.p pacid=40064677 transcript=Brasy9G301200.1 locus=Brasy9G301200 ID=Brasy9G301200.1.v1.1 annot-version=v1.1 MAAASAVASSPQALEARPAVPVQEFSVEASSSSSSCAVEARPLSCDGGGEECVLDLHSPWVAAAEAESRLEEASAAGLYLRVEKLAEEELRDNRQRQDDELMALEAIYGDDLSEFGNKGGLRYFQIYIHYDLHDGVEVCAKLSSANDSPKDVGCPDDGTEEHGDRPDEFSYTCNFEYLPPLVLTCLLPQSYPSKDPPYFTVTAKWMDGPDVSQLCEMLDNIWAELPGQEVVYQWVEGIHNSSLSYLRFDGKITLGPDIAMHKLDNRAISRSLPLESVIPSMLSYSSKKHYEAFLQDFHMCMICLNQTKGSNFIKLPCQHLFCEKCMETLCRMHVKEGSVFQLVCPDTKCNASVPPYLLKRLLKEEEFERWDRLSLEKALDSMSDVVYCPRCAIGCLEDEGNNAQCPKCSFIFCSFCKDPRHPGKQCLTPEQKLQRRQASGGMSEWEMVKEMLSIKKLYKDAILCPKCKMPISRTEGCNKIVCGNCGQFLCFRCGKAISGYDHFRINKGCKIFAPVDTDIAVWQKRMEELKRERGMRAQRHPVAGSATVKCPKCRQEVIKDDDKYIFCWTCRASYPTRSKQHGPSSRSRIRD* >Brasy9G301200.2.p pacid=40064678 transcript=Brasy9G301200.2 locus=Brasy9G301200 ID=Brasy9G301200.2.v1.1 annot-version=v1.1 MAAASAVASSPQALEARPAVPVQEFSVEASSSSSSCAVEARPLSCDGGGEECVLDLHSPWVAAAEAESRLEEASAAGLYLRVEKLAEEELRDNRQRQDDELMALEAIYGDDLSEFGNKGGLRYFQIYIHYDLHDGVEVCAKLSSANDSPKDVGCPDDGTEEHGDRPDEFSYTCNFEYLPPLVLTCLLPQSYPSKDPPYFTVTAKWMDGPDVSQLCEMLDNIWAELPGQEVVYQWVEGIHNSSLSYLRFDGKITLGPDIAMHKLDNRAISRSLPLESVIPSMLSYSSKKHYEAFLQDFHMCMICLNQTKGSNFIKLPCQHLFCEKCMETLCRMHVKEGSVFQLVCPDTKCNASVPPYLLKRLLKEEEFERWDRLSLEKALDSMSDVVYCPRCAIGCLEDEGNNAQCPKCSFIFCSFCKDPRHPGKQCLTPEQKLQRRQASGGMSEWEMVKEMLSIKKLYKDAILCPKCKMPISRTEGCNKIVCGNCGQFLCFRCGKAISGYDHFSTNPSIVGLIKAARSLRLLTLT* >Brasy9G357500.1.p pacid=40064679 transcript=Brasy9G357500.1 locus=Brasy9G357500 ID=Brasy9G357500.1.v1.1 annot-version=v1.1 MLKSAKAVVVQAWKDQDIQVTVVISLIVQLLLLFAGILRRRKINRFIRFIIWLAYVGADAVAVFAIGLLCKYEEKYKLRSHHSPGELTLPFLWAPFLLLHLGGQDTMTAFSIEDNNLWLRHLLNLVVQVTLTLYVFAKSFDILDSQLLAVAIPIFVAGMIKYGERTWALYTGSRDNLGSRKEEQRLQDYTRAGDDVVASAYALNTVLRVRGLLMGRTLFQLGARIEKELVDDFARHGQREGKLKIVMMELGMMYDLLYTKAMVLQTWTGQIFRCIAEISMVVAFVLFLANRELHAHNRANVAITYTLFGGAMLMEAFSLVMVIVSPWTKALSKQDIFICWLSSGACFQRRTMNQQQQQQEQEQGEQEQGEQPGQQLSSFSLGQFNLTDYSMSLKRTPKLTKVISAFGLEKKWRNLCHTQHVKDKEISHYIERLLCTEEGSQQLELGRELNYVLSMPFEHALFRLHIFTDLYLSNLRIPVDDDDAAMAVLAAECRKLSEYLMFLMAVYPSMLPVSSAAAQDLEYFFAKWVGDTPMMTKPEILNSYVNERLTTSNETYSVSPFGSLPHSVVSLRAMKEVWARLLIYAAGKCPVELHARQLGSGRELLTSVWLLMVHHGAGDVGKREVNLFKSNDPRVPRAGSLVSIDESSWIQRLQDPLYTFEFHQHEEQQQPAQDEHVPSLYVAPLAPLRPTHEQDIDEFLRLFQVWWREQRDIDDEQPVVGSASTETEQAADYRSSSETEQPLQEEVASQPEDISPEIEDHVVEIAEIEQAVDAGIEEADDDGAAAAMEIELAQARHVALQIEHDDKPGTST* >Brasy9G067200.1.p pacid=40064680 transcript=Brasy9G067200.1 locus=Brasy9G067200 ID=Brasy9G067200.1.v1.1 annot-version=v1.1 MAAAAAAAAATEAAAIARRLASCNTSTRVRAVRYLLSDFLPASAAHLSPTDLLKLWKGLFFCFWHSDKPLYQSSLASSLAGAVSSAPSPAAAAAFLSAYLATIRREWPHIDVHRLDKFYLLNRRFINHAFLFLRAHAFAPDVTSQIISVVSENALLPEADTPSAGTSRGLGYHVADAFVDELLPVLPVSLQSVELLLAPFFTVLEKSTDRVLVNKVRSNLFERFLESGNQLLEMMKKGEEVENGSAEEKLGKVGLLFGFSKRFLDIGANVETVLANRKVVFALRDAFVKLEKGLELSQIKIDVPVFECTEVSSVENGMDIDEAKVEKKKKKAKKAPLIEAGEEDGKAMKKEKKVKKDKNKKEKKEKKKKNKVKVVDGGDIPDLSADDPAGDQQMGNDTDAFTFDEVSMSNLQKQFEKAAAEAGMPNGGSSSSASPVTPNTAKVAKKRKRSKSVDRLSEASDGDVGSGVLEGATICYSKGECTKKGSSAWAY* >Brasy9G067200.2.p pacid=40064681 transcript=Brasy9G067200.2 locus=Brasy9G067200 ID=Brasy9G067200.2.v1.1 annot-version=v1.1 MAAAAAAAAATEAAAIARRLASCNTSTRVRAVRYLLSDFLPASAAHLSPTDLLKLWKGLFFCFWHSDKPLYQSSLASSLAGAVSSAPSPAAAAAFLSAYLATIRREWPHIDVHRLDKFYLLNRRFINHAFLFLRAHAFAPDVTSQIISVVSENALLPEADTPSAGTSRGLGYHVADAFVDELLPVLPVSLQSVELLLAPFFTVLEKSTDRVLVNKVRSNLFERFLESGNQLLEMMKKGEEVENGSAEEKLGKVGLLFGFSKRFLDIGANVETVLANRKVVFALRDAFVKLEKGLELSQIKIDVPVFECTEVSSVENGMDIDEAKVEKKKKKAKKAPLIEAGEEDGKAMKKEKKVKKDKNKKEKKEKKKKNKVKVVDGGDIPDLSADDPAGDQQMGNDTDAFTFDEVSMSNLQKQFEKAAAEAGMPNGGSSSSASPVTPNTAKVAKKRKRSKSVDRLSEASDGDVGSGVLEGATICYSKGECTKKGSSAWAY* >Brasy9G349500.1.p pacid=40064682 transcript=Brasy9G349500.1 locus=Brasy9G349500 ID=Brasy9G349500.1.v1.1 annot-version=v1.1 MVVVWFFCANTDDPLVRESRLGDAFLNEDDTAKLEARGATLKEDLGNDGDVDEELTQAREVVIPRPSSGPCSVRCWCWTSSRGMLGTLQRRRASDEGPQVWIWIRVCLCC* >Brasy9G134300.1.p pacid=40064683 transcript=Brasy9G134300.1 locus=Brasy9G134300 ID=Brasy9G134300.1.v1.1 annot-version=v1.1 MAATFCAYPAGAGAANPTYRRPRTITAPAALPDVRKPSRLPSFLSFRRPNAALPPLRVAGADPKIVNGEDFPPMNDLIRLYKKAFLDGNDDVVSDIEKAITSMEKEKSKAASQFESITAEIISGKSKFLRLNADLENFRKQTEKDRAKFTSNIQVELVQSLLPLVDSFEKANLELTLETDKEQKISTSYQGIYKQLVETLKGLGVGVVETVGKPFDPLVHEAIAREESVQFKAGIVSHEVHRGFLLRERVLRPATVKVSTGPGDESTNALPTEQSVEDTQEDAVV* >Brasy9G198200.1.p pacid=40064684 transcript=Brasy9G198200.1 locus=Brasy9G198200 ID=Brasy9G198200.1.v1.1 annot-version=v1.1 MAEIEIKTAPADFRFPTTNQSRHCFTRYVEYHRCVNAKGEETADCEKFAKYYRSLCPAEWVDKWNEQRENGTFPGPL* >Brasy9G355500.1.p pacid=40064685 transcript=Brasy9G355500.1 locus=Brasy9G355500 ID=Brasy9G355500.1.v1.1 annot-version=v1.1 MRLRVVLYLSEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGILLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLAGQFSNEEGTELVRLASRCLHYEPRERPNVRSMVQALTPLQKDVETPSYELMDMPQGGVSSMQSLTLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLTSKKKGDNAFQQKDFTAAIDCYSQFIEVGTMVSPTIYARHCLSYLMNDMAEQALSDAMQALVISPTWLTAFYLQAAALLSLGMENEAQEALKDGSAQETSSSSGR* >Brasy9G111600.1.p pacid=40064686 transcript=Brasy9G111600.1 locus=Brasy9G111600 ID=Brasy9G111600.1.v1.1 annot-version=v1.1 MSSRAPPVELDFLGLRAAANDVPGVGENQGQSRGASSSSSIRGMETSAIARIDPQLLRRVVVAARPPPPPAAAAPMTVFYNGSVAIFDVSHHKAEAIMRMATEVTTSSERDGRGNTLVGNFGKDIPLARTNRCNSSS* >Brasy9G308200.1.p pacid=40064687 transcript=Brasy9G308200.1 locus=Brasy9G308200 ID=Brasy9G308200.1.v1.1 annot-version=v1.1 MESSARQEDAAPPWMPSETSAFRPFVRSAEASPSASGNGVAPHISNLHGVKRKPSVAKLTSDIIQTFGRCDPGFKYSEALNPKRFLTHPSTPAHNDGADNSNWDLILYVNLELVNTTSNRRFIVKEMLGQGTFGQVVKCWDTETSDYVAVKVIKNQPAFYQQAIVEVSLLTTLNKNFDPDDRHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLNVKYVHAFSKQILDAMIVMRDGGIIHCDLKPENILLAPTATTAAAVKVIDFGSACMEGKTVYAYIQSRYYRSPEVLIGCPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLKRMLKILGRQPSDDLLREAKNTGRFFKHVGHIYLGSRAHEGLGSAYKMLSEEEVEGREPNRPKLGKWYFPQLRLDQLIYTYPWDNTELSETEKADRTVLVDFLRGLLEFDPNKRWSPLQASGHPFITGEPFTGPYEPVPETPRIPVARAAAVDHNPGGGHWLVAGLSPQVGSANRCLPVNNPYPPQMPFSYGSSYGSFGSHGNYAGNAGHASSYGSYGDVNNVNMYYSPLGPSGFSHVGTSPDIRLRPRISYDRGIRLSPGSMGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPATGSIHGSPLGKATLTGQYSKRKSLPMLPHEYTSQHGQGRHGDGVSFSHSDAYVRGHAGYSQHALPSSGHSSWRPQIGSGSGFSLEASSSHGPSQAFPSHNAPTLPSFDTIPDTSAPSTLDPADWDPNYSDESLLQEDNSLSADLSSSLHLRDAATGQTSGSARSVHVQSHNFASSNPLPTSQSYRADQQFHSSSLRGGTRPTVPITYGGYNSPNYPQQNHRSRHGQQFHQQRYNQSTNSQMRPPMGSHQSGQPAWPPYGVGDGVPWGGTGVHPFTTSGLPSSLRRKDYGSIF* >Brasy9G308200.2.p pacid=40064688 transcript=Brasy9G308200.2 locus=Brasy9G308200 ID=Brasy9G308200.2.v1.1 annot-version=v1.1 MESSARQEDAAPPWMPSETSAFRPFVRSAEASPSASGNGVAPHISNLHGVKRKPSVAKLTSDIIQTFGRCDPGFKYSEALNPKRFLTHPSTPAHNDGADNSNWDLILYVNLELVNTTSNRRFIVKEMLGQGTFGQVVKCWDTETSDYVAVKVIKNQPAFYQQAIVEVSLLTTLNKNFDPDDRHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLNVKYVHAFSKQILDAMIVMRDGGIIHCDLKPENILLAPTATTAAAVKVIDFGSACMEGKTVYAYIQSRYYRSPEVLIGCPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLKRMLKILGRQPSDDLLREAKNTGRFFKHVGHIYLGSRAHEGLGSAYKMLSEEEVEGREPNRPKLGKWYFPQLRLDQLIYTYPWDNTELSETEKADRTVLVDFLRGLLEFDPNKRWSPLQASGHPFITGEPFTGPYEPVPETPRIPVARAAAVDHNPGGGHWLVAGLSPQVGSANRCLPVNNPYPPQMPFSYGSSYGSFGSHGNYAGNAGHASSYGSYGDVNNVNMYYSPLGPSGFSHVGTSPDIRLRPRISYDRGIRLSPGSMGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPATGSIHGSPLGKATLTGQYSKRKSLPMLPHEYTSQHGQGRHGDGVSFSHSDAYVRGHAGYSQHALPSSGHSSWRPQIGSGSGFSLEASSSHGPSQAFPSHNAPTLPSFDTIPDTSAPSTLDPADWDPNYSDESLLQEDNSLSADLSSSLHLRDAATGQTSGSARSVHVQSHNFASSNPLPTSQRADQQFHSSSLRGGTRPTVPITYGGYNSPNYPQQNHRSRHGQQFHQQRYNQSTNSQMRPPMGSHQSGQPAWPPYGVGDGVPWGGTGVHPFTTSGLPSSLRRKDYGSIF* >Brasy9G326200.1.p pacid=40064689 transcript=Brasy9G326200.1 locus=Brasy9G326200 ID=Brasy9G326200.1.v1.1 annot-version=v1.1 MAALRRLARRIHSFQFPSRLLPLPIPDFRGHLPRFSTSPPHFMTEYLVSYGLSPAAAAKAAPRFSHLSSTDRPDAVIAFLRSQGLGRAQLRDIISRLPALLLSDVDATLSPKFDAVRALGLTRAESARLFALFPSALSYGIRSTLLPRVLFWLDLLGSSRLLMKWLGKTWLLKYSVGLLLQNMSTLRGLGVPQDRVTAVVRTQPTVIMQSPAKFNALVARVEACAGILPSSGMYVWCLFSLHNINDRSFRAKRAVVMRAAGCDEEEFAAMFRRAPCFMSMSADLLRRKVEFLREKVGCSAERLLRNPVMLTLSIDKRMAPRCRAVEALRSKGINIGNSNMVTIVRLTEDRFVKKYILKYAEQVPELLELYPQVEGHAEIHRAGT* >Brasy9G089400.1.p pacid=40064690 transcript=Brasy9G089400.1 locus=Brasy9G089400 ID=Brasy9G089400.1.v1.1 annot-version=v1.1 MALSCMRCPAAGPAAAARRGAGLPPPPSSLALSFARYSGGRSTAAAGWRIEAVAGKGVKDPMDTSVENAVTPAAPSKVENGTPSAITLEEFEDLSALSKSDESSVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFSIFGYARSKMTDAELRDMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEDFADLGKKLKQHEGSKVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKGHTKGGTTYPGYTDDKTVPKDSLAPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGCFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEQKRTAPELYPYGSRGPVGAHYLAAKYNVRWGDLSSEHYKA* >Brasy9G061600.1.p pacid=40064691 transcript=Brasy9G061600.1 locus=Brasy9G061600 ID=Brasy9G061600.1.v1.1 annot-version=v1.1 MAPSKHTFGSFGITALLLLLSAALVSAQLSTEFYDETCPDALEIIEDAVRAAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDGANGEKNAVPNKNSLRGFELVDAIKADLEDSCAKVVSCADILAVAARDSVVALGGPTWEVELGRRDGTTSSQDAANNDLPAPSSDLGALVKAFSDKNLTARDMVALSGAHTIGQARCVNFRDRLYNENATLDATLASSLKPRCPATASNGDDNTSPLDPSTSYVFDNFYYKNLMKNKGLLHSDQQLFNGGSADAQTTGYASASGMAGFFDDFRVAMVKMGGIGVVTGAGGQVRVNCRKAN* >Brasy9G172900.1.p pacid=40064692 transcript=Brasy9G172900.1 locus=Brasy9G172900 ID=Brasy9G172900.1.v1.1 annot-version=v1.1 MRRLAPLKSIGSAGLLFRLQSSSTNLLPKLAKGECSWGQVAQVLSQLADLLCLLTGRGRNEEGDGDQDPDQLGEGPLEGDQGGGVDHGGGVRDDRRRGEEPAAGDRRGDRLEPDHGEAAAQGGTRGGGRAPHRRRRHDPRRRPLRRRGPEPVPLPPEPVRQAARRRGDLYYAAAAGGGMMYQPQGGYYGSTPGRYGARDQYQYNGGYPAQYGQQQDYYSYPAAGANNMHTVVHHQYADDPNSCSVM* >Brasy9G172900.2.p pacid=40064693 transcript=Brasy9G172900.2 locus=Brasy9G172900 ID=Brasy9G172900.2.v1.1 annot-version=v1.1 MRRLAPLKSIGRLQSSSTNLLPKLAKGECSWGQVAQVLSQLADLLCLLTGRGRNEEGDGDQDPDQLGEGPLEGDQGGGVDHGGGVRDDRRRGEEPAAGDRRGDRLEPDHGEAAAQGGTRGGGRAPHRRRRHDPRRRPLRRRGPEPVPLPPEPVRQAARRRGDLYYAAAAGGGMMYQPQGGYYGSTPGRYGARDQYQYNGGYPAQYGQQQDYYSYPAAGANNMHTVVHHQYADDPNSCSVM* >Brasy9G187400.1.p pacid=40064694 transcript=Brasy9G187400.1 locus=Brasy9G187400 ID=Brasy9G187400.1.v1.1 annot-version=v1.1 MAMVASTSIAYHKPRLAVVCRKKERGDRGELEREKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLDSLLHKS* >Brasy9G068700.1.p pacid=40064695 transcript=Brasy9G068700.1 locus=Brasy9G068700 ID=Brasy9G068700.1.v1.1 annot-version=v1.1 MWRRRLGALLLRSPSSSAPSSSSSSYQQHHHHLIPTPNEKPLALNLLRLFASQAGSDGGRPFIAFILGGPGSGKGTQCTRIASDFGFAHVSAGDLLRNEISSGTDKGELILEIIKEGRIVPSEITVELIRKAIESSTAKRVLIDGFPRCEENRIAFEKITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESYNIPVVEYYSARGKAHKINATGTEDEIFEAVRKLFSSLRVHTFHKLRYDVECFLGISKLSVVTRVARS* >Brasy9G068700.2.p pacid=40064696 transcript=Brasy9G068700.2 locus=Brasy9G068700 ID=Brasy9G068700.2.v1.1 annot-version=v1.1 MWRRRLGALLLRSPSSSAPSSSSSSYQQHHHHLIPTPNEKPLALNLLRLFASQAGSDGGRPFIAFILGGPGSGKGTQCTRIASDFGFAHVSAGDLLRNEISSGTDKGELILEIIKEGRIVPSEITVELIRKAIESSTAKRVLIDGFPRCEENRIAFEKITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESYNIPVVEYYSARGKAHKINATGTEDEIFEAVRKLFSSLRL* >Brasy9G068700.3.p pacid=40064697 transcript=Brasy9G068700.3 locus=Brasy9G068700 ID=Brasy9G068700.3.v1.1 annot-version=v1.1 MWRRRLGALLLRSPSSSAPSSSSSSYQQHHHHLIPTPNEKPLALNLLRLFASQAGSDGGRPFIAFILGGPGSGKGTQCTRIASDFGFAHVSAGDLLRNEISSGTDKGELILEIIKEGRIVPSEITVELIRKAIESSTAKRVLIDGFPRCEENRIAFEKIAIDSAKHLFLDGPYAKEIWFLF* >Brasy9G357000.1.p pacid=40064698 transcript=Brasy9G357000.1 locus=Brasy9G357000 ID=Brasy9G357000.1.v1.1 annot-version=v1.1 MPSSESVSALWTGAQRRRALSARTCRSSAPFSSRRRTAADRRVLVEAGGGATLTKASSRKRLLAPASPGALSAPACRSPGGAKSSRSRSAAAFSSQGRTAVDRRTLVDAGETRARAASTKMSSRKRHLARTWAAMMTPNPVLGAWALQPRKHSPQLEAAEEKELWRPIEAQLGRRSRPIAIPMPPSFLEVRSSSDSPPLAPEEEA* >Brasy9G311200.1.p pacid=40064699 transcript=Brasy9G311200.1 locus=Brasy9G311200 ID=Brasy9G311200.1.v1.1 annot-version=v1.1 MEVILRRFDLADVDAMMSWASDPEVTAFCRWEPYSSTESLLAYLRDTVLPHLWFRAICLGSGAGRPVGLVSLSPSPDERCRGELGYVVARPHWGTGVATAAVKRALGAVFGEVEGLAGVEALVDVDNAAWRRSWTWTTQRVAEKAGFRREGVLRRH* >Brasy9G341000.1.p pacid=40064700 transcript=Brasy9G341000.1 locus=Brasy9G341000 ID=Brasy9G341000.1.v1.1 annot-version=v1.1 MASSSSSGPSAAAGKADRLSALPDAVLGHLLSFLPTKEAGRAARLARRWRHVFCNVHTVSFSERAGDRSDDWSTFYYDASERKSCSWAILDDVCSALLCRRRCAGHGVPLRALRFAFDSCHGWDGPHVDTWLAHALRHSRCSQELCLDLCFYLGQICGGGGNGGGGGESDDDDEEKGRRWYGFRHVLPRGIFSCAALRELSLCSCWLKLQRPPAAAVAIDLPNLETLRLADITRDSGKSVQRLISSCPRLADLTLESIPRLKRVSVLHRPLRRFSLRCCHGLKSVEVDASELRAFEYRGEPPLESLLSLHGLQGVNISSCALDFCRTSSSKHLGADLVRSLMQKISDARQLRLHHGKLESRFFLGLPSFPNLVRLALQGPIWRRADAVVVGRVLAQTPSLEVLSLYMEPPPPPPPEAPRYRHRRPSSNEQQPQQQEQEQEEDASSRISPDEIGVPEESSFAAMACLRQRLREINMVHYWGDEAQRMVARLLLRSTPVLERVCVVLVKGTFEAQAKMKEEIESWVVAVDAEKVFL* >Brasy9G315500.1.p pacid=40064701 transcript=Brasy9G315500.1 locus=Brasy9G315500 ID=Brasy9G315500.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITHEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESNLHLVLRLRGGL* >Brasy9G224800.1.p pacid=40064702 transcript=Brasy9G224800.1 locus=Brasy9G224800 ID=Brasy9G224800.1.v1.1 annot-version=v1.1 MLYTTNHTQAARATLARDAADSASKQRKLAMCPSSSFFLPIFLLALLAADGSDHAAVLAADQFTYNDFSGANLTLDGLAAVAPNGLLALSNGTSQAAGHAFHPTPVRMRNGGGAVQSFSVAFVFAIVSNFTVLSDNGMAFVVAPSTKLSTFNAGQYLGILNVTDNGKAENGVFAVELDTMLNPEFQDMNSNHVGVDVNSMRSVQNHSAGYWDDATGAFNNLSLISRQPMQVWVDYDGATTRLDVAMAPLDVPRPKKPLISAPVNLSAVLVTDTAYVGFSAATGVIFTRHYVLGWSFALNGPAPPLDASKLPALPRFGPKPRSKVLEIVLPIATAAFVLALAIAFFLFVRTRVRYAEVREDWEVEFGPHRFSYRELYKATKGFKNRQLLGTGGFGRVYKGVLPKSNLEIAVKRVSHDSKQGMKEFIAEVVSLGHLRHRNLVQLLGYCRRQGELLLVYDCMPNGSLDKYLHDKTKPVLDWGQRFQIIRGVASGLLYLHEDWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGLDPQTTHVVGTMGYLAPELVRTGKATPATDVFAFGVFVLEVACGRRPLGCAAPDDQSVLLDWVQERQRRHAALDAVDPRLCGKYDADEARLAIKLGLMCAHPLPDARPGMRQATQYLDGEVPMPELVPTFLSYTTLALMQNDGFDSFAMSFPSTVSTSVSPISGDVTAVSGLSGGR* >Brasy9G042200.1.p pacid=40064703 transcript=Brasy9G042200.1 locus=Brasy9G042200 ID=Brasy9G042200.1.v1.1 annot-version=v1.1 MSSQAVVAVKPTAAPDKTVHSYACGSTQSSVHKLLDAKLDHLGLLDDNLSSTSQSSNIKTELIRSSSLPFRLQKRSPQSDPESPLSHVSHPNFSDPMVSNSSTFCTSLFSSSSTNSAPCHQMGALPFLPHPPKCEQQVIPGQSCSSSLHLSGGDIGNACDEAEQSDDMKDFLNLSGDASDASYHGENNAMAFTEQMEFQFLSEQLGIAITDNEESPRLDDIYDTPPPQLSSLPVSSCSNQSLHNLGSPVKLPLTSARSSSGSTTANKSRLRWTLELHESFVEAVNKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRHARYLPDTKEDKKASLDCKKVQSAQSGSNGSDLEKNKNLAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHAKYLHRILEEQQKASNGGSSSLKISTEPPESTSKNGTAPEEATTSSPQPSKNIAATDAGTECDSPVRAEQESQ* >Brasy9G120300.1.p pacid=40064704 transcript=Brasy9G120300.1 locus=Brasy9G120300 ID=Brasy9G120300.1.v1.1 annot-version=v1.1 MPSAAAKATGPTGNIGLRKIRRYWPGKAPDWCGSAADEGVDDLRTACLSLKNKDLRRLKVSKNQPEKQSMDHRLLRRPEVVSTVVQESETEEDEEEKRRMRIRESRLLLGEEEELLQHEETEAEDESEFEYETESEDEQQLDITAMAKPALFVPKPQRERGRRLEELAKKRLEGRRVETRQIVVEEIRKEAQIDKMTLNDDEDGVDTDDELNEAGEYESSKHREIARIKRARGEGAEVNKMSILSKECELNRKLDPLARPKKRQRRQTTCKFDNYTRDISAPTGEDKMDKSILPKVMQVKNFGRSGRTKWTHLVNEDTTYRNTPCTFSSVAC* >Brasy9G275000.1.p pacid=40064705 transcript=Brasy9G275000.1 locus=Brasy9G275000 ID=Brasy9G275000.1.v1.1 annot-version=v1.1 MRRGGAGAPADLGSVLGHPTPNLRDLYQLGRKLGQGQFGTTFLCTELATGTEYACKSISKRKLITKEDIDDVRREIQIMHHLSGHNNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKKYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSEPWPVISDSAKDLITRMLNPRPAERLTAHEVLCHPWIRDQGVAPDRPLDTAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLKKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACQEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL* >Brasy9G156500.1.p pacid=40064706 transcript=Brasy9G156500.1 locus=Brasy9G156500 ID=Brasy9G156500.1.v1.1 annot-version=v1.1 MVNDKILAIRNNGFRCLNTLDYIAFRGVCFGWRACTPTPCDPTLRYLRPAAWVPLSDGDAVRADDACEIAFFHTRAATRRVLRVRLPELRRHRIVGFTDGLVILLHERTTAVRVLHPFTRAAVDLPPLAAAYREALGARKTHLLGMNAVVCGGSSATSIAVVVWFPWETVVLAAEPDSDWKVLHQGQYVRSMLPFRGRLYAVFSSSKAIVQLYPPRQPLLEVAAHSPDIFGDHSFCRYFLVESGGKMLLVVHYPPRHPHEGFKLYAVDLRGGGIGKLIPVSCLGDRTLFLSRDRCLSVLARDIPSLSLSGNSIDFSFPHSPVVLHSLRTGLSEHLADHCQIHDRIGRIRPSVRPFTLVDHLLTYCHHREWANGLMFHEYHDIPECFKELRKKIRAKDSQLRIPRIIRK* >Brasy9G350400.1.p pacid=40064707 transcript=Brasy9G350400.1 locus=Brasy9G350400 ID=Brasy9G350400.1.v1.1 annot-version=v1.1 MSWCCIPRSRENFPLKRRSREQENPYSHSIGGISAAKNIRLFSYSELRSATDNFNRSNKVGRGGFGTVYKVKISYLCVGIEGESMGTIRNRRDVAVKVLSAESRQGTREFLTEIDVISNVKHPNLVELIGCCVEGDHRILVYEYLENSSLDRALLGSNSEPANFTWSIRSAICTGVARGLAYLHEEIASPIVHRDIKASNILMDKNYIPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVIEIVSGKSGSRSLLVDDKLLLEKAWELYEAGNLTELVDPDIGDYPEEEAIRYIKVALFCTQAAAARRPSMPQVLKMLSKPIRINESELTAPGYINEYKSSDSKGTASSGSRFKNSAAEESDMSSTVVPQTVTEMSPR* >Brasy9G350400.2.p pacid=40064708 transcript=Brasy9G350400.2 locus=Brasy9G350400 ID=Brasy9G350400.2.v1.1 annot-version=v1.1 MSWCCIPRSRENFPLKRRSREQENPYSHSIGGISAAKNIRLFSYSELRSATDNFNRSNKVGRGGFGTVYKGTIRNRRDVAVKVLSAESRQGTREFLTEIDVISNVKHPNLVELIGCCVEGDHRILVYEYLENSSLDRALLGSNSEPANFTWSIRSAICTGVARGLAYLHEEIASPIVHRDIKASNILMDKNYIPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVIEIVSGKSGSRSLLVDDKLLLEKAWELYEAGNLTELVDPDIGDYPEEEAIRYIKVALFCTQAAAARRPSMPQVLKMLSKPIRINESELTAPGYINEYKSSDSKGTASSGSRFKNSAAEESDMSSTVVPQTVTEMSPR* >Brasy9G096200.1.p pacid=40064709 transcript=Brasy9G096200.1 locus=Brasy9G096200 ID=Brasy9G096200.1.v1.1 annot-version=v1.1 MKRFVYVNDESCGESYCDNRVSNTKYTLWNFLPKNLLEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANERKVWVVKDGIRGQIKAQEIHVGNIVWLHENDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRITPSICANLSSDQLGKVKGVLECPNPDNDVRRFDANMRLFPPIIDNEKCPLAINNTLLQSCYLRYTEWACGVAIYTGNETKSGMSRGTAEPKLTAADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKDSNGRKHWYLMYPAEGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDCDEQMFDRETSTPAHSANTAISEDLGQVEYILSDKTGTLTENIMIFRRCCINNTQYGDDSGDALKDTRLLNAVSSNDPDVVKFLMVMALCNTVVPIKSNDGAISYKAQSQDEEALVNAASNLNMVLTSKDSSSAEICFNSSKFQYELLDVLEFTSDRKRMSVVVKEGGSGKFLLLSKGADEAIFPRSNPGQQTKTYLEAVEMYSHLGLRTLCLGWRELEEGEYKEWSKKFQEASCSLDNRECKIAEVCHSLEQGINILGVTAIEDRLQDGVPETIKLLRSAGINVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLERALVIMKTTPERKDLAFVLDGWTLEIILKHSMESFTRLAMLSRTAICCRMTPLQKAQLVGILKTVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFVTGLSGTSLFNSISLMAYNVFYTSLPVMTILFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALVVFLITVHTYAYEKSNMEEISMVALSGCIWLQAFVVTLDTNSFTYLQVILIWGNFVAFYIINLILSSVPTLQMYTVMWRLCSQPSYWITMALIVTIGMGPVLALRYLRNVYRPSAIDVLQQIEQTNGPTQTSRNVESSTRIYLDHLLTDLRRNKGSIHQPLLSDSVASIR* >Brasy9G096200.2.p pacid=40064710 transcript=Brasy9G096200.2 locus=Brasy9G096200 ID=Brasy9G096200.2.v1.1 annot-version=v1.1 MKRFVYVNDESCGESYCDNRVSNTKYTLWNFLPKNLLEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANERKVWVVKDGIRGQIKAQEIHVGNIVWLHENDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRITPSICANLSSDQLGKVKGVLECPNPDNDVRRFDANMRLFPPIIDNEKCPLAINNTLLQSCYLRYTEWACGVAIYTGNETKSGMSRGTAEPKLTAADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKDSNGRKHWYLMYPAEGPWLLLIWLKVYTQSLLTAMSKCLIGKQVRLLTQLTISEDLGQVEYILSDKTGTLTENIMIFRRCCINNTQYGDDSGDALKDTRLLNAVSSNDPDVVKFLMVMALCNTVVPIKSNDGAISYKAQSQDEEALVNAASNLNMVLTSKDSSSAEICFNSSKFQYELLDVLEFTSDRKRMSVVVKEGGSGKFLLLSKGADEAIFPRSNPGQQTKTYLEAVEMYSHLGLRTLCLGWRELEEGEYKEWSKKFQEASCSLDNRECKIAEVCHSLEQGINILGVTAIEDRLQDGVPETIKLLRSAGINVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLERALVIMKTTPERKDLAFVLDGWTLEIILKHSMESFTRLAMLSRTAICCRMTPLQKAQLVGILKTVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFVTGLSGTSLFNSISLMAYNVFYTSLPVMTILFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALVVFLITVHTYAYEKSNMEEISMVALSGCIWLQAFVVTLDTNSFTYLQVILIWGNFVAFYIINLILSSVPTLQMYTVMWRLCSQPSYWITMALIVTIGMGPVLALRYLRNVYRPSAIDVLQQIEQTNGPTQTSRNVESSTRIYLDHLLTDLRRNKGSIHQPLLSDSVASIR* >Brasy9G096200.3.p pacid=40064711 transcript=Brasy9G096200.3 locus=Brasy9G096200 ID=Brasy9G096200.3.v1.1 annot-version=v1.1 MAIIATYVSWNTIYLLVISLQSVKTAALDGETDLKTRITPSICANLSSDQLGKVKGVLECPNPDNDVRRFDANMRLFPPIIDNEKCPLAINNTLLQSCYLRYTEWACGVAIYTGNETKSGMSRGTAEPKLTAADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKDSNGRKHWYLMYPAEGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDCDEQMFDRETSTPAHSANTAISEDLGQVEYILSDKTGTLTENIMIFRRCCINNTQYGDDSGDALKDTRLLNAVSSNDPDVVKFLMVMALCNTVVPIKSNDGAISYKAQSQDEEALVNAASNLNMVLTSKDSSSAEICFNSSKFQYELLDVLEFTSDRKRMSVVVKEGGSGKFLLLSKGADEAIFPRSNPGQQTKTYLEAVEMYSHLGLRTLCLGWRELEEGEYKEWSKKFQEASCSLDNRECKIAEVCHSLEQGINILGVTAIEDRLQDGVPETIKLLRSAGINVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLERALVIMKTTPERKDLAFVLDGWTLEIILKHSMESFTRLAMLSRTAICCRMTPLQKAQLVGILKTVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFVTGLSGTSLFNSISLMAYNVFYTSLPVMTILFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALVVFLITVHTYAYEKSNMEEISMVALSGCIWLQAFVVTLDTNSFTYLQVILIWGNFVAFYIINLILSSVPTLQMYTVMWRLCSQPSYWITMALIVTIGMGPVLALRYLRNVYRPSAIDVLQQIEQTNGPTQTSRNVESSTRIYLDHLLTDLRRNKGSIHQPLLSDSVASIR* >Brasy9G096200.5.p pacid=40064712 transcript=Brasy9G096200.5 locus=Brasy9G096200 ID=Brasy9G096200.5.v1.1 annot-version=v1.1 MRLFPPIIDNEKCPLAINNTLLQSCYLRYTEWACGVAIYTGNETKSGMSRGTAEPKLTAADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKDSNGRKHWYLMYPAEGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDCDEQMFDRETSTPAHSANTAISEDLGQVEYILSDKTGTLTENIMIFRRCCINNTQYGDDSGDALKDTRLLNAVSSNDPDVVKFLMVMALCNTVVPIKSNDGAISYKAQSQDEEALVNAASNLNMVLTSKDSSSAEICFNSSKFQYELLDVLEFTSDRKRMSVVVKEGGSGKFLLLSKGADEAIFPRSNPGQQTKTYLEAVEMYSHLGLRTLCLGWRELEEGEYKEWSKKFQEASCSLDNRECKIAEVCHSLEQGINILGVTAIEDRLQDGVPETIKLLRSAGINVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLERALVIMKTTPERKDLAFVLDGWTLEIILKHSMESFTRLAMLSRTAICCRMTPLQKAQLVGILKTVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFVTGLSGTSLFNSISLMAYNVFYTSLPVMTILFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALVVFLITVHTYAYEKSNMEEISMVALSGCIWLQAFVVTLDTNSFTYLQVILIWGNFVAFYIINLILSSVPTLQMYTVMWRLCSQPSYWITMALIVTIGMGPVLALRYLRNVYRPSAIDVLQQIEQTNGPTQTSRNVESSTRIYLDHLLTDLRRNKGSIHQPLLSDSVASIR* >Brasy9G096200.4.p pacid=40064713 transcript=Brasy9G096200.4 locus=Brasy9G096200 ID=Brasy9G096200.4.v1.1 annot-version=v1.1 MTYTEWACGVAIYTGNETKSGMSRGTAEPKLTAADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKDSNGRKHWYLMYPAEGPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDCDEQMFDRETSTPAHSANTAISEDLGQVEYILSDKTGTLTENIMIFRRCCINNTQYGDDSGDALKDTRLLNAVSSNDPDVVKFLMVMALCNTVVPIKSNDGAISYKAQSQDEEALVNAASNLNMVLTSKDSSSAEICFNSSKFQYELLDVLEFTSDRKRMSVVVKEGGSGKFLLLSKGADEAIFPRSNPGQQTKTYLEAVEMYSHLGLRTLCLGWRELEEGEYKEWSKKFQEASCSLDNRECKIAEVCHSLEQGINILGVTAIEDRLQDGVPETIKLLRSAGINVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLERALVIMKTTPERKDLAFVLDGWTLEIILKHSMESFTRLAMLSRTAICCRMTPLQKAQLVGILKTVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFVTGLSGTSLFNSISLMAYNVFYTSLPVMTILFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALVVFLITVHTYAYEKSNMEEISMVALSGCIWLQAFVVTLDTNSFTYLQVILIWGNFVAFYIINLILSSVPTLQMYTVMWRLCSQPSYWITMALIVTIGMGPVLALRYLRNVYRPSAIDVLQQIEQTNGPTQTSRNVESSTRIYLDHLLTDLRRNKGSIHQPLLSDSVASIR* >Brasy9G060100.1.p pacid=40064714 transcript=Brasy9G060100.1 locus=Brasy9G060100 ID=Brasy9G060100.1.v1.1 annot-version=v1.1 MATIVCWTITHLCRDCSFTTEVWQLIQSWSVTLGTTTSATDDINAHWESLLHGLPKKEKRMLSGRLIATWWGVWKERNRRVFRSKALPALEVAYLVWEEVQSRTSASSLDPGDL* >Brasy9G365100.1.p pacid=40064715 transcript=Brasy9G365100.1 locus=Brasy9G365100 ID=Brasy9G365100.1.v1.1 annot-version=v1.1 MHTSTSVWCTRGCLKERHLLPLSHSPSIHTTPSLFISSSEGSSSCCSALNPLTLIDRERKRKQGGMEKYEPVREIGSGNFGVAKLMRNKETRELVAMKFIERGYRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFERICDAGRFHEDEARYFFQQLVCGVSFCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKQADVWSCGVTLYVMLVGGYPFEDSKDPKNFRKTIARIMSVQYKIPEYVHVSQPCRHLLSRLFVASPHKRITMAEIKAHPWFLKNLPRELKDEAQQAYYNRRPPPAAAGDAAGASSNGAAAPEVYYSAQSVEEIMKIVQEAQTVPKPDRKPAAGYGWGGAGDEDDDEQEQEEEEYEEEDEYERTVREVHASGEMDMSKLQI* >Brasy9G321200.1.p pacid=40064716 transcript=Brasy9G321200.1 locus=Brasy9G321200 ID=Brasy9G321200.1.v1.1 annot-version=v1.1 MAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNENRRGMLKILLPVTACLLVLACIFLVWICKFRGMRRNKDIRKKAMLSASTELGDENIELPFVSFRDIVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIHGDERLLIYEYLPNKSLDVFIFDPSSKHVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDGKPMDMVDSSIVDKCSPTEVLRCIHIGLLCVQDNPINRPLMSSVVFMLENETTTLSTPKQPVYFAQRNSEAKETEENTSSSVNNMSLTVLEGR* >Brasy9G321200.3.p pacid=40064717 transcript=Brasy9G321200.3 locus=Brasy9G321200 ID=Brasy9G321200.3.v1.1 annot-version=v1.1 MAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELVNENRRGMLKILLPVTACLLVLACIFLVWICKFRGMRRNKDIRKKAMLSASTELGDENIELPFVSFRDIVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIHGDERLLIYEYLPNKSLDVFIFDPSSKHVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDGKPMDMVDSSIVDKCSPTEVLRCIHIGLLCVQDNPINRPLMSSVVFMLENETTTLSTPKQPVYFAQRNSEAKETEENTSSSVNNMSLTVLEGR* >Brasy9G321200.2.p pacid=40064718 transcript=Brasy9G321200.2 locus=Brasy9G321200 ID=Brasy9G321200.2.v1.1 annot-version=v1.1 MAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNENRRGMLKILLPVTACLLVLACIFLVWICKFRGMRRNKDIRKKAMLSASTELGDENIELPFVSFRDIVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIHGDERLLIYEYLPNKSLDVFIFDPSSKHVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYVSMIKC* >Brasy9G098000.1.p pacid=40064719 transcript=Brasy9G098000.1 locus=Brasy9G098000 ID=Brasy9G098000.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYIILDPTLLQLALKYNEKKMVCRKCYARLPARSTNCRKKKCGHTGEVRLKKRFVSKTSMN* >Brasy9G348900.1.p pacid=40064720 transcript=Brasy9G348900.1 locus=Brasy9G348900 ID=Brasy9G348900.1.v1.1 annot-version=v1.1 MALQLLPSTLSVPKKGSSLGAAAKETASFVGASRKAPLSLAVRTRVATAPPPVSTPTPGATTSAPGTKKTLRQGVVVITGASSGLGLAAAKALSETGKWHVVMACRDFLKASKAAKSAGMAAGSYTVMHLDLASLDSVRQFVEHFRRTGMPLDALVCNAAIYRPTASTPTFTADGVEMSVGVNHLGHFLLARLLMDDLKSSDYPSRRLVIVGSITGNDNTLAGNVPPKADLGDLRGLAGGLSGSSSGSAMIDGSESFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRALFPPFQRFVTKGFVSEAESGKRLAQVVAEPSLTKSGVYWSWNKDSASFENQLSKEASDPEKARKVWELSEKLVGLA* >Brasy9G090500.1.p pacid=40064721 transcript=Brasy9G090500.1 locus=Brasy9G090500 ID=Brasy9G090500.1.v1.1 annot-version=v1.1 MDLLSAAYGATSDDDADGTPAPSLATRIVPSAAPPPPKRPRWEPTPYLPPPPLSAPLQAAPPLTAPASGRYVSKRERAILAASSVPVGSATLLPPPIAPEFDSPVVGSLTDSNIRADILHSLRSQLKHGSSNSLPLKLSVSLRSHTKAVNSVDWAPSHGHLLASAGMDHTVHIWNVWNQGNTTARVLKYHTAAVKDVRWSPHRPFLLSGGFDCSSRLVDVEEGKEIRVFKEDQAVEVIKFNPSNSNIFLSGGSKGSLRLWDIRSGLATTEFHKSLGTILDIEFSADGKQFISSTDTTRSNISENSIIIWDILRQVPLSNQVYTEAFTCPCVRYHPCEASFVAQSNGNYIAIFSARPPFKLNRYRRFEGHGVWGFPVKCSFSLSGRELASGSSDGCIYFYDYKSSKFLRKIEALKEACTYVAYHPVIPNVIAACGWTGEVTVFE* >Brasy9G288600.1.p pacid=40064722 transcript=Brasy9G288600.1 locus=Brasy9G288600 ID=Brasy9G288600.1.v1.1 annot-version=v1.1 MDYAILSWNVRGLNNPAKRKAVQSFISDLQCNIICLQETKLAVVTEAVVGEVLGTRFCKKFIFKPAEGTRGGILIDVSEDFEISKNLLAPDLFSLSGLLVDRSNGSSWSITGVYGPNDDEEKVQFMQELRQVKLCVLDEWLLLSDFNLISIVQDKSSSNVDLRMMGRFRAVIDDLELFDLLISGRRFTWSNERDGATLTRIDRFLISRGWEMKFPQYQLSPASTAVSDHCPLLLKKMNIMKFRGFHFENKAKMNRQRELTVQI* >Brasy9G320800.1.p pacid=40064723 transcript=Brasy9G320800.1 locus=Brasy9G320800 ID=Brasy9G320800.1.v1.1 annot-version=v1.1 MNKTFTSSKSRMYFSVHFTKEFLLPFLDTPLNVCA* >Brasy9G006600.1.p pacid=40064724 transcript=Brasy9G006600.1 locus=Brasy9G006600 ID=Brasy9G006600.1.v1.1 annot-version=v1.1 MPRERILPDNIEEAVQMITPYLEDTNNTAYKAIYFDGWKGLAASAVLRAIAQDPPPSLLEKFDKIVHVDCSLWKSRRALQRKIVQELKLPQRVMALFDKQDEEDDFRGVDERSRAEIHDVGAEVHQALRDQKCLVVFHNGSDNTIDLIDFGILLSVWSGSKVLWTFRGRLRLNSKIKEKVDNSHLCLYDEYSVLGWNFLLQNEAREIDGCSDNLGQAVEECCLYLLSLNSQGGGIVDYNWATHASSYWVCDGIIQEGQDEKAWKVAATLHQEIHIEDYSSNTLPSFGHELETPPGRWMLARDNSVLTVRPESTSFFLAADTSESGRPLRLLPNDMFHQSDNLHVLKLCRCTFSFSSPPFHCCRNLRFLGLDGCKDRQEEDGEKQDRPAMVFFQSLWVLDICKTDWELVASPEITDQMSANIREVHINKGRIWRSNMAWRQLQNIRKLRVIEPTSPWETEEMDEFRDMARLELLDLSRNSTIEVLPSLSGATSLKTLVLDGCVGLKHVGPKGLPPSLESFSLGARAGVDQNEKAEITSISLAGCARLVSFRLCGSLPNLEKLDLSGTLVKTLDLKDEGLEIPSLQRIVLLGCLQLRAILWPEAGLPKLRVLQIDSAVCHAETKLDQAYATIMDARFFQSLVLQSNVAFCWKSTRSHLNLCVPCTSKVEEQISKKEKTIPRSSGQIMGRPGPKSLIPKSYRTYTDVVVDNMVVDHGYSNTLQFQPSDFHVEIGAGISNISVESSQGIKAIIFVMDKAESLHVHDNSSITTVIPEHMMSIENQRILWRHLKRCHVVRCTRMHTVFTIWDNYYCFEELETFWASDLRMAHCIWSKGRRIGEDNLSFAKLRNIHLYSCPRLTYVLPLLRFTLRSLETLHIVNCGDLIEVFPVEEEFLTRIAIGHRKDILEFPKLKHIYLHGVYKLQRICEAKMFAPKLQTVLLRGCWGLRRLPAVGRDSRPVVECEKDLWEKLEWDGREAGHHPSLFEPRHSSYYNNGHHPSLPRGSVLR* >Brasy9G246300.1.p pacid=40064725 transcript=Brasy9G246300.1 locus=Brasy9G246300 ID=Brasy9G246300.1.v1.1 annot-version=v1.1 MWASPGRLPAMEEEEHRAGGGQMASCWGRLGIAALWQRLRQLSLARRRARHGGGRSSSIVLGAGGLNYDPLSYAQNFDDSSLGLEHHDPDFTARFAPARNGAGSPTRA* >Brasy9G054700.1.p pacid=40064726 transcript=Brasy9G054700.1 locus=Brasy9G054700 ID=Brasy9G054700.1.v1.1 annot-version=v1.1 MSRRGRGSPDPELGFAAARAMEWDSESDGAASVGSGDMEEEEEEEGGGEVVVEGADGSEGGGGGGRGDGVGGMFTFAMEGMLRGSGPYGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVSGIQRCIDNGTQFRGDLLNFRKDGFPLMNRLHLTPIYGDDDIITHYMGIQFFTNASVDLGPLPGSITREPVRSTRFSPDNSFRPISTGPGESNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCTRLYDLTKNEDLWRMVCRNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFILDLDAKHPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVSMDRPVWREVPASWAPPSRLGHSMSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEDEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEVHELSLASNSV* >Brasy9G329000.1.p pacid=40064727 transcript=Brasy9G329000.1 locus=Brasy9G329000 ID=Brasy9G329000.1.v1.1 annot-version=v1.1 MSTSIKLSALCSSTLYPTKCEKSLSPVVNETSDPEEVLKASLRVAMDEVAAAFARYADVGKGATDGTVTKSAIGECKKLLDDAVGDLKDMAGLRADQVVSHVKDLRTWLSGVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIVTRVGEFLKGQESSQKNGSSIGAGSRRLLGWPAIISDAETRRRRLLAISGKLDEIASVRDASRRLLVETMDEIDDMSHDGSRRLDNFVFGDHFSNLTDIPSQSKDSDFVRRRLLSMSFDDASSNKATDDSDRDAVRRRRRLLSAQLESIADMSARLNRRLLAMDVPAGDDMAGKKRQRLSNSLVMINDAAAEAQAQLEEIDSNCGNDFTPLEEEERRALTSDVIGTIEDLDHKHHQRKMLTTDVIGTIDDLDHKHHHRRRLLTFPEWVPSQARRLLQIPGMQKPNAVVAADGSGNFKTITEAVNAAPKKSTARFVIYVKAGEYKEYVTIPKDVTNVFMFGDGPTKTRVVGDKSNKGGFATIATRTFSAEGNGFICKSMGFVNTAGPDGHQAVALHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTIDFIFGNSAALFQNCLMTVRKPMDSQANMVTAHGRTDPNMPTGIVLQGCKIVPEQELFPARLTIASYLGRPWKEYSRTVVMESTIGDLIRPEGWSEWMGDLGLKTLYYAEYNNNGPGAGTSKRVTWPGYRVIGQAEATHFTAGVFIDGISWLQNTGTPNVMGFIK* >Brasy9G017400.1.p pacid=40064728 transcript=Brasy9G017400.1 locus=Brasy9G017400 ID=Brasy9G017400.1.v1.1 annot-version=v1.1 MPSPPAPPAMRLLFFLLLLLLLAAPLAAAWRPWPPRNGSSNAVSGGIGVSKKFEGSSDFVKLEYHMGPVLAADITVHPIWYGAWPAAQKRTIRAFLRSLSPEAQSAAAIPSPSVTAWWRTVRLYADQTDANVSAVVNLGREKSDARMSRGASLTRLDIQRVIRDAVTARTRPLPVDSSGGVYLVLTSPEVHVETFCGQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEICAYPFAIPSYVANGRKPEMAPNGDVGVDGMVSVIAHELAEAASNPLANAWYAGGDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGAAYNVNGVGGRRFLVQWVWDPYRSYCSGPNALDHQ* >Brasy9G003200.1.p pacid=40064729 transcript=Brasy9G003200.1 locus=Brasy9G003200 ID=Brasy9G003200.1.v1.1 annot-version=v1.1 MSSAPYSLLRDLSDDSQDWRVRVQVARLWEQRDAATSDELIRLHFVAVDEKGDGIHGFIPKGHLVKFKDMITEGCVYYMHLFEVTVARDKFRSVEHKYELRFTSWTVVTRIDPVPADFPLHAYKIRSFQNIMENITDKTILAVCFVIVLIGVVTGVSELLSADVKGRSTARRILRITNTRHTAIVSLWGSNAEELDANGIVHMSEHEPVIVLIMGCTFRMQDAMLALSGSYSSKICVNLANRDVVAFRSRYKLVIMGVEGDARIEMVFFGPIAEELLGKPADILVAESYGIDSGVPPEISSLMGRNYVLDLAVSRYSFRKDNITFQVLKFYPEGGAVYAKFISAGSSVAETSAQGGQLAGASLFN* >Brasy9G292100.1.p pacid=40064730 transcript=Brasy9G292100.1 locus=Brasy9G292100 ID=Brasy9G292100.1.v1.1 annot-version=v1.1 MPSAVAPSTPRLNPSWPHPPAAAPMTSPHPPFAAPMTSPHLGLGLAPPPLPADPSVAPPPRRAPRLAKRRHAATTSRSRAQPSPSAPWNPFGGGRDTDGSGQNVNGGLGSVDGGGGSGFGKGQTGGVVFGSAPAGKHQSSQPPQASSNEAPFVFGSVRDSLPRFDEGSSATSKLRDRMGKLNLRSPDGYTSSSVHVTEANAMQEKLAQVRIGNQAPVKIHSEATTVTPMVFMSGSGHADSTDDVASSSSKNASSAVNGTDDANALLEKITPLNIGSGTPFQNMKYGGGDSHQTEVFRFGGGKPAAAGTVYGNTSSNISEKGKDFFSAANNNASTSANVATSLPPESTSNLNVGGGVRRNMKTDNENCPPEAFVFGRNGSTRSASEQSARVVVDDGGNFVSGPSTDTRTSDHGTTEGSLQEEMTKLNIQYRIPSKSMKDEAASLQPESFVFRSNATSSFFSAQAPSFTNFQTNASSETKDSGKNLADDIIRKSTYSKSNNSKCYRTSNFVFGSGSSATAASEGAEEHAPQDDINNMEGRSVCIQVNDASIPDFSFQGKAEAMPGYGTVLHPKVQESCPFTRLNHSSIFSTFENEMPSFSLNSMDAERETAPGESGAIKQDLPKCSRESLFGIDYIKSAYRDKKEAHKSTRKKKRPTRLKQHAQFNQVSQETCTNGVSSDLAGDYSPMDCSPYQVAVEQVSREASVSSDQSIHIPDGGVPNQNTSCADDLVSATEHLVIDADLPMYENEGRPADVDASESNFGSNFSSFDEEVNFCNASQPSFTNINVGANDEPKMCTTEAWFHGYECSVKGKTCEDDTSRKLYESVESVNFQSSSEKFSGLSFMFGASLYSDSTLSTQKRNTKRKSRTKGGQTPKPSAVQASVQAKASRDTKGVQFTPETSKTEDSSKAISAALETCDTWRTSGNQAYENGHFATAEGCYTRGITSISHHGTSARCSRALTLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEGASRNYTACLKSNNAAGSDFKMFAEASDGLEKAKRVEDMISQSRELLKKRTLPEATTALELISNALHISSHSDNLMEMKADALLTLRRYEEVIELCQETVDLAERNSVLVNGNGESNNSSVSEKAECSGSLWRPYLICKSYFLLGKLEEALDLLKRHELATPVEESDGSASRKCFSSLSTSIRQLLSFKAAGNESFNARRYSEAVEQYSAALACNSDSRPFSAVCFCNRAAAYQALGQLTDAIADCSLAMVLDANYLKAISRRATLYEMIRDYGQSANDLTKLISLLQKQTNKPGVSPKVLNKQSDLKQARARLISVEDEAKKDAPLNLYLILGVEPSCSPADIKKAYRKAALRHHPDKASQLLVRNENADDGFWRDVVKEVHADADHLFKMIGEAYNILSDPEKRGEYDFEEELRNTARRTFKGRSTQSPEQHFRKQYDRGFSPRQWQPAGKTGASRSRWSGYGYSDDYW* >Brasy9G112100.1.p pacid=40064731 transcript=Brasy9G112100.1 locus=Brasy9G112100 ID=Brasy9G112100.1.v1.1 annot-version=v1.1 MSGAGEGSGGGRAARLPRWTRQEILVLIEGKRVVEARGRGRGGRGGGGLAGAEPTKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIREWERSLLLPSGTGKEVSFWAMRNDARRERRLPGFFDREVYDIIEGRGSRNAAAQAQVVVEEEEGKEKAAQVLEGGRGVPEEGLFTSSSASSEDDDEETSVPPVPPPPSALVLPSPSPSPAPAPAVVAVTVPDKKNEAPMQDSSDKAATSKGKQQEQIVDDPPPEQGGQKRQRSNDTTGEATDLQGQLIEILDRSSRMVAAQLEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSMFTATCMPISIVN* >Brasy9G112100.2.p pacid=40064732 transcript=Brasy9G112100.2 locus=Brasy9G112100 ID=Brasy9G112100.2.v1.1 annot-version=v1.1 MSGAGEGSGGGRAARLPRWTRQEILVLIEGKRVVEARGRGRGGRGGGGLAGAEPTKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIREWERSLLLPSGTGKEVSFWAMRNDARRERRLPGFFDREVYDIIEGRGSRNAAAQAQVVVEEEEGKEKAAQVLEGGRGVPEEGLFTSSSASSEDDDEETSVPPVPPPPSALVLPSPSPSPAPAPAVVAVTVPDKKNEAPMQDSSDKATSKGKQQEQIVDDPPPEQGGQKRQRSNDTTGEATDLQGQLIEILDRSSRMVAAQLEAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSMFTATCMPISIVN* >Brasy9G247700.1.p pacid=40064733 transcript=Brasy9G247700.1 locus=Brasy9G247700 ID=Brasy9G247700.1.v1.1 annot-version=v1.1 MRGRGWTPYLEQPSGERGEGCSLEQPRDQRRLGLAAEVAGDGSRDPVGDKLGRRRSSQCRGGGGGGGGGGAPEATALRRAAAGGNSEQRTILHKGLQ* >Brasy9G100000.1.p pacid=40064734 transcript=Brasy9G100000.1 locus=Brasy9G100000 ID=Brasy9G100000.1.v1.1 annot-version=v1.1 MATSPAADAAILGTLNDFTSQKNWDKFFAIRGVGDSFEWYAEWQQIQAPLLSLLLEEERADILVPGCGSSALSEQLYDLGFRRITNIDFSRVIVADMLRRHARVRPEMRWRVMDMTNMQFPDGSFDFILDKGGLDALMEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGLTGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G100000.2.p pacid=40064735 transcript=Brasy9G100000.2 locus=Brasy9G100000 ID=Brasy9G100000.2.v1.1 annot-version=v1.1 MATSPAADAAILGTLNDFTSQKNWDKFFAIRGVGDSFEWYAEWQQIQAPLLSLLLEEERADILVPGCGSSALSEQLYDLGFRRITNIDFSRVIVADMLRRHARVRPEMRWRVMDMTNMQFPDGSFDFILDKGGLDALMEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G100000.3.p pacid=40064736 transcript=Brasy9G100000.3 locus=Brasy9G100000 ID=Brasy9G100000.3.v1.1 annot-version=v1.1 MKNRYIHLCFGTNIGTITRMRTAYRTDGSFDFILDKGGLDALMEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGLTGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G100000.4.p pacid=40064737 transcript=Brasy9G100000.4 locus=Brasy9G100000 ID=Brasy9G100000.4.v1.1 annot-version=v1.1 MKNRYIHLCFGTNIGTITRMRTAYRTDGSFDFILDKGGLDALMEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G100000.5.p pacid=40064738 transcript=Brasy9G100000.5 locus=Brasy9G100000 ID=Brasy9G100000.5.v1.1 annot-version=v1.1 MVIVLEFPDGSFDFILDKGGLDALMEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G100000.6.p pacid=40064739 transcript=Brasy9G100000.6 locus=Brasy9G100000 ID=Brasy9G100000.6.v1.1 annot-version=v1.1 MEPEVGMELGMKYLNEAKRVLKSGGKFACFTLAESHVLGLLFSEFRFGWDMSIQAIASEPSNKSAFQTFMVVMAKGKMGVVHTIKSLLDQSAKYCNMAQANAVIHALQNESRIRESHTSGGDILFSLRDLQLGAIGDLNVIVPGRRRHLILGEQGSSLYCYKAVLLDSKNKTGTFVYHCGVFIVPKARAQEWLFASEEGQWHVVENAKAARLIMVFLDSRHMDSDIDVIKKDLSPLVKDLEPEYPEDADPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYESVEGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPSDETDNKNKKSSTASKKKRSQKKGSKSSLRIDHSYLGSSYHSSIISGLSLVASALNNAASSGEKVSTTIVGLGAGCLPMFLRGCLPYLDIEVVELDPIIEEVAKKYFGFLMDEQLKVHLGDGIRFIEEKAVPDHSALTHSVLNGKDSNAVRILIVDVDSSDLSSGLSCPPANFVEDHFLTSAKKFLSAGGLFVINLVVRSSTVREMVVSRLKAVFEHLYSLQLEEDVNEVLFASSSERYLEIDHLDGAATKLKAMLKFPVDVESDIQNLQKLQ* >Brasy9G057400.1.p pacid=40064740 transcript=Brasy9G057400.1 locus=Brasy9G057400 ID=Brasy9G057400.1.v1.1 annot-version=v1.1 MSAKGKQSEERFRNIYELASSMKEELDYYWSLGEVPETQTVTCLIHKVQQHIREVGRFSYEPIMLSIGPYHHGAASCQAMEKTKWGYLSEVVRINCKSNLMDYLNAVGALLSQARSCYSEQIKMDNETFIQMLLLDGCTILVALGGTVELRARILSSDLSSGMDGEKDKLKQKIDEVTPTEPETQIQESKQNREMEDKEPEQSGLWFIRFVNHDLLLLENQIPFFIVMKLYELVAGKNTPTEPFTVAIAKYVESALRCYPIAIKDFNRPKVFQHLLHLCHMYFRPTQKPSEEHQYEVGPQYLHSFLSFGRRYLKLGQHHQHHYENSHTAQQVEYLEAGQQLNRWRRAAQYLEAGVQFRKREFDKLDPHSLLDIRFTSSALEVPCVVIDEHTEFLFRNLIAFEQTCPQFGDDFTAYSVFMSQLISMPEDVTLLAKKGILVHHLDSDETVSDLFTMLSKDVVFDFNGNYYLKSLCQTMEAHYQSRLNRWMAWLWFNHFSNPWMGLAALATVVVLVCTIVQTVFGILAYVDPPGRPNP* >Brasy9G054000.1.p pacid=40064741 transcript=Brasy9G054000.1 locus=Brasy9G054000 ID=Brasy9G054000.1.v1.1 annot-version=v1.1 MRFPLLNSTTVVLFVVMFACVLQIMDLVDRFKMTRLPSFEIVSSNEVVFLIGSSLFATHAEVNLETVLNHMPRYQLLSEDLLCVSKKPSAYLPFLEMSLSSTASAWPAKMVSVVAEIAGMSRKKSKVKPSR* >Brasy9G330700.1.p pacid=40064742 transcript=Brasy9G330700.1 locus=Brasy9G330700 ID=Brasy9G330700.1.v1.1 annot-version=v1.1 MSGQSQRLNVVPTVTMLGVVKARLIGATRGHALLKKKSDALTVQFRAILKKIVATKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFSHFVDPAGGASGGPSGASPSLTGLARGGQQVSACRTAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTITYIKGELDELEREDFFRLKKIQGYKRREIERQMIEAKKFAEEQLAEDLALKRGISMGAATNILVGGGDKDDDIIF* >Brasy9G168200.1.p pacid=40064743 transcript=Brasy9G168200.1 locus=Brasy9G168200 ID=Brasy9G168200.1.v1.1 annot-version=v1.1 MASPSSVFPKVVDGENEATARRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGIVALVVSWGMTLYTLRLLILMHECVPGVRFDRYRDLGVHALGPRLGLWVVVPQQLIVQVGCDVVYMVTGGNCLQKFFESACPPSCAPRLHGSYWICIFGSSQFLLSQLRDLNSIAAISLAAAAMSLSYSTISWAACLARGPVAGVSYAYSVAGTASDGVFRVCSALGQVAFAFAGHGVVLEVQATIPSSATKPSRVPMWKGTVAAYLVTAACYFPVAFVGYWTFGRDVSDNVLVALERPPWLVAAANLMVVVHVVGSYQVYAMPVFESIETILVNKFRVPRGVLLRLVARSTYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSFFLPCILWLRIKKPPRFSASWLANWGCIVVGVMLMLVSTIGGLRSIIQDASTFQFYS* >Brasy9G140900.1.p pacid=40064744 transcript=Brasy9G140900.1 locus=Brasy9G140900 ID=Brasy9G140900.1.v1.1 annot-version=v1.1 MLLPAYRFRGSSTREQQACMQESLLLLLDHEASLLFTEAMSKKPPATVLLIFLKAFILFETISRQCISLVILKWAVVEHEAGQLRATRKLGWARRPVANDAVINSDPLERSVAEESAHVHIWYCFFGIHGRTNEMRYDWRILRRRLQ >Brasy9G140900.3.p pacid=40064745 transcript=Brasy9G140900.3 locus=Brasy9G140900 ID=Brasy9G140900.3.v1.1 annot-version=v1.1 MLLPAYRFRGSSTREQQACMQESLLLLLDHEASLLFTEAMSKKPPATVLLIFLKAFILFETISRQCISLVILKWAVVEHEAGQLRATRKLGWARRPVANDAVINSDPLERSVAEESAHVHIWYCFFGIHGRTNEMRYDWRILRRRLQ >Brasy9G140900.2.p pacid=40064746 transcript=Brasy9G140900.2 locus=Brasy9G140900 ID=Brasy9G140900.2.v1.1 annot-version=v1.1 MLLPAYRFRGSSTREQQACMQESLLLLLDHEASLLFTEAMSKKPPATVLLIFLKAFILFETISRQCISLVILKWAVVEHEAGQLRATRKLGWARRPVANDAVINSDPLERSVAEESAHVHIWYCFFGIHGRTNEMRYDWRILRRRLQ >Brasy9G361500.1.p pacid=40064747 transcript=Brasy9G361500.1 locus=Brasy9G361500 ID=Brasy9G361500.1.v1.1 annot-version=v1.1 MASSRAAIVVFLLALCAGAVRSAPAVAPGLSWGFHESSCPDLDHIVKYYVAEAFRRDVGIAPALVRILFHDCFPQGCDASVLLNGTGSELLETPNQTLRPTALKLIDDIRAAVHRYCGPVVSCADITALATRDALVAAGGPTYEIPLGRRDGLAPASKALVGTLPAPTFDVPTLIKSFKGRNLTTADLVSLSGAHTIGHSHCPSFNDRFPPSADPTIDPDFSRKLQAKCGADIPPGTVTQVNDVRTPDVFDNKYYFDLIARQGLFKSDQGLIDHGDTKRMATRFALNQGAFFEQFAASMVKMSNMDVLTGSQGEIRLISCSVPNKRVSGVVETDGDEGLVAEM* >Brasy9G083300.1.p pacid=40064748 transcript=Brasy9G083300.1 locus=Brasy9G083300 ID=Brasy9G083300.1.v1.1 annot-version=v1.1 MPSYSMGFLLALIVCTFALGALGARDLAEDDWSIAARHEQWMARYGRMYSDVAEKARRLEVFKANVGFIESVNARNHKFWLEANQFADITQDEFRAMHTGYKMQVSGTKARATGFRYANVSLDDLPASVDWRANGAVTPVKDQGQCGCCWAFSTVASMEGIVKVSTGKLISLSEQELVDCDVGMENKGCGGGLMDNAFEFIVNNGGLDTEADYPYTGADGTCNSNKESNIAASIKGYEDVPANDEASLQKAVAAQPVSIAVDGGDDLFRFYKGGVLTGACGTELDHGVAAVGYGVAGDGTKYWLVKNSWGTSWGEDGFIRLERDVADEAGMCGLAMKPSYPTA* >Brasy9G214300.1.p pacid=40064749 transcript=Brasy9G214300.1 locus=Brasy9G214300 ID=Brasy9G214300.1.v1.1 annot-version=v1.1 MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKATLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAS* >Brasy9G220400.1.p pacid=40064750 transcript=Brasy9G220400.1 locus=Brasy9G220400 ID=Brasy9G220400.1.v1.1 annot-version=v1.1 MQIETAMARAIAMLSLAAVLFSIASAGATAGASWSPRPETDLVTGLPGQPEVGFRHYAGYVDVGTGGDKALFYWFFEAEKEPDKKPLLLWLNGGPGCSSIAYGAAQELGPFLVRSYGANLTRNAYSWNKAVNLLFLEAPVGVGFSYTNKTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKSRDFYIAGESYAGHYVPQLAELIYERNKAASRDRTINIKGFMIGNAVLNDATDQLGMVEYAWSHAVISDELHAAVTRECDSFKEEAAGGKPSKACSPAVRAFLGAFDDIDIYSIYTPTCLLSPSSSSSSSTASSPSRLVAAPRVFSQHEAWHAMTKRVPAGYDPCTEAYVKRYFNRGDVQRALHANRTGLHYPYSACSEVISRWNDSPATVLPILKKLMSAGLRVWVYSGDTDGRVPVTSTRYSINAMKLRPRETRKKAEWGGWRAWYHRRQVAGWAVEYEEGMTLVTLRGAGHQVPLFAPDRSLVMLYHFLQGQPLPASRSH* >Brasy9G192400.1.p pacid=40064751 transcript=Brasy9G192400.1 locus=Brasy9G192400 ID=Brasy9G192400.1.v1.1 annot-version=v1.1 MRWWESVKSYGGNLGCFPRIGRKEPEDLYSYPIDPPEKRRGSRGLAEEDPSERTIEVPAVALREVNEITGGFDGEKLIGQGSYAKVYRVTLRSARLAVVKKLEKPSKHASNDVFLRQLAVASKLRHDHFVRLLGYTISGDLRVLVYEFASMGTLHDALHGPARGEEQEEGDQDRDQRPVLGWAHRVQIALDAARGLEYLHEKARPAVTHKDVRSTNVLLFDGMRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWASPLLTEDRAQECIDPRLGDQYPPTGALKLGRIAVQCLQYDPTFRPSMGTIARVINYAVVRDQQGVV* >Brasy9G061900.1.p pacid=40064752 transcript=Brasy9G061900.1 locus=Brasy9G061900 ID=Brasy9G061900.1.v1.1 annot-version=v1.1 MAAPSPTTAAAAGTTHRSVLLPASHHRASSSLRLPVRAGARSIRVSAATAPPAATASPPSSAAGAVEGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTGMARNIAQADASLKAGKWARNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTKEPPAADDKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVTGALRGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGVIEPISDVFVNLVNADFTAKQRGIRISEERILMDGSPETPLDHIQVQIANVESKFPSAISETGEITVEGKVKDGVPHLTKVGAFEVDVSMEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKRAVMAIGVDEEPSKATLTKIGEIPAIEEFVFLKL* >Brasy9G266400.1.p pacid=40064753 transcript=Brasy9G266400.1 locus=Brasy9G266400 ID=Brasy9G266400.1.v1.1 annot-version=v1.1 MKRGHGDRLSGLPDAALERILSSLPSDEAVRTSALSRRWRDVYAAVPVVDLVDTKVGNMNLPDEDLKVCFDQQVTGAILCKSPGTPVRAFRLDVFSPPGALLDQWIATVVSSGAEEIDVKLRYWHYSKRRLCPFGSSKEASADFHRHDRKRFTKTQRYIFGCRTLRGLRLKNWTLDLPPSMAMSSLEKLHLARIMDPDGLLQQLLSNCPRLADLTLQECPSVGKITVSSAHLQRFAMICCHHATRIRLRSPGLQSLHYKGRLPRKSLFKVANYPGVMALAIEICEDLSTKVQTDVAPVTRLISRCTNLTYLHLSLRPSMAYNSSWFTAAVSGLPLRQLGLWGCLLNDHDLESVAALLRDVQNLEVLSLFPRGPPKKRRCSYSDGESDTESEDGIIGEGDDHNSQVPDSLLGMHIRCLDHKLRRINIEKYRGLPLEKILAKFLLSRAAALEEFSSSAAPAQEPVEKRVVAGSSKGGSGTGRAACGRRVFEGRRPVDASRRRVAGGRNVGGDSVRIQLTEDPDDCPF* >Brasy9G084400.1.p pacid=40064754 transcript=Brasy9G084400.1 locus=Brasy9G084400 ID=Brasy9G084400.1.v1.1 annot-version=v1.1 METCIVVHPPLLEATVGTSVELPQDILMCIFGTLEIPDLIRAGSVCTSWHLAYTSLRSHGHYNRPQTPCLLYTSESSGERSACLYSLVEKPVYKITLPEPPICTRTLIGSSQGLLVTVDRRSEMQLVNPITGEQIDLPSVITIEQVKPIYDDSGAIHQYEYSWHSGTRVYCPPSIVALEELRHELHHKAFVFSDTCDGYIVVLIHNPYCQLSFARVGDDSWTWLPPYNFYHDCIYGDGMLYALTSKGEIHGFDLSSSVVTMKMIAGRKTDRCLTYDLEPKPGGLVFWNTGKIKVYEVNARGKELKRNNRRDMGILNLDNNTREEIVSPQLWSNCPAPMWITPNLRKMNLL* >Brasy9G345400.1.p pacid=40064755 transcript=Brasy9G345400.1 locus=Brasy9G345400 ID=Brasy9G345400.1.v1.1 annot-version=v1.1 MLAARVNLKGWQQAAVAFGSAFGALLDPKRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISTQVSRAWDMPENTFGAAYAQFMGSRNFSPDDRPPVRFMDTEELAFVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKSTDLMSVYYEKHFHEDLEEVRRNWGIVPCPDPKRSSI* >Brasy9G345400.2.p pacid=40064756 transcript=Brasy9G345400.2 locus=Brasy9G345400 ID=Brasy9G345400.2.v1.1 annot-version=v1.1 MLAARVNLKGWQQAAVAFGSAFGALLDPKRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISTQVSRAWDMPENTFGAAYAQFMGSRNFSPDDRPPVRFMDTEELAFVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKSTDLMSVYYEKHFHEDLEEVRRNWGIVPCPDPKRSSI* >Brasy9G178000.1.p pacid=40064757 transcript=Brasy9G178000.1 locus=Brasy9G178000 ID=Brasy9G178000.1.v1.1 annot-version=v1.1 MLDTALRVPRSSRLEMGADADALSLSSDQLVFLRTGGSKSQLAASTGDLTASASEQTIHRSCSCHQARTGTDPLRIWRSRRRGRGGHWGGTARRFGLRGGRGLCRPYPSAAGQRRTSVTPRSGEISMVAAPRSRPVAAATPRSSWPAEWARLPRDLFYWLPPHRAQPLPFFNFLCMVLAGGGTPRASATERERDLPVASVVQAALHRPPAGRLPEPKMIRERDCEQLGMDKAS* >Brasy9G173600.1.p pacid=40064758 transcript=Brasy9G173600.1 locus=Brasy9G173600 ID=Brasy9G173600.1.v1.1 annot-version=v1.1 MDVERAASKGHGIFGLFDWGKNKKSKKRLFTGNGGDSPTPGNTVNGKEVDGSAPSTPSNSMLEDALSLKESSEHSSSSSVIGDEAHTRRGPTVVARLMGLDSMPEASSSESYLMPLTVQQAFQNNVHGEFVGRSYFGSPSSHKMPSSPIDRFRMEALPPRFAKRAGSVAQHKLFSPVKNPNHISSRNAADIMEAASRIIGPGVENSSSYRVRDVGYSTDVRAFNPSGIVRAQQMSQAAKKRDCSASSKQSSGKPVSRSLVTSETSSSSRVSQSNVCAPIGPKVKASSRPSPDSRGTNAQGREGISKNSRKLTTRMHPEHNIFEGNGCNQQKSNNQKDMASSSNVLVQNNRKRNAIGAKQMVNSKSARLSQQQSNMHSTNASPRKAGITSTRAVNSMTGNRNGELQQTNYANRRHNSTAKTIPKPRRLPDGRMHSKKSQSIDKILAERIQKRVQHNIGVDEQSSFSTNKKKISTDIVSFTFTSPVHKSLPSSQFRNHSVESRSIENVNSLSTSSDTSNTKPDDIDGDYLGLLLEQKLRELTSRVRPPYAKPANGVRIYAPSPVSEDTASPSETSSITSTAYDRESLRPFKDGKNKLLHADLASKSGQSSQGLKYDKVFIDQVDLEHLHLSHHSTWESSLSAETGSSAESWRNADESRFFGSTEGAATSGSAQEDGRSLEVDDALSEYSDTASSITGGTTTAETLPSESSSSSCRVDRSTPEIDFLREILNASSLSGQASSCFERSGTSDILGPRLLEELNGNLRPATGEEEQEDKTAYRMTRRLLFDCANELLSAKCAYYLDAGYGSWFTGTAVLRKLSPEELYQEMTGCCLMEAAEESMVDELVYREMGGPRGGSWVGSFKTESFEAGRDVAAELLESLVNEMVADLVCFPASCGWY* >Brasy9G059200.1.p pacid=40064759 transcript=Brasy9G059200.1 locus=Brasy9G059200 ID=Brasy9G059200.1.v1.1 annot-version=v1.1 MKSMGSRDKLQAAAAASGHRRVLLLVFASCFAFATLFTFLYTSDHFTSGSSPSGVSRYSSASSSTSGAGAGGALPLPVFDALVHYASFSNATHRMTDTDIRAISAILRARGPCNLLVFGLGAESPLWLALNHGGRTVYLDENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLAAAQKSRAAECRPVQNLLFSECRLAINDLPNELYDVAWDVVLVDGPSGWNPSSPGRMPSIFTTAVLARSGAKGRPTDVLVHDFNFEVEQVLSKEFLCDENRVQGSGTPSLGHFLIRAGGPKDSFCSGQDSAAAAASGEKTRK* >Brasy9G160300.1.p pacid=40064760 transcript=Brasy9G160300.1 locus=Brasy9G160300 ID=Brasy9G160300.1.v1.1 annot-version=v1.1 MGSVQQADADKPHAVCVPFPAQGHVTPMLKLAKILHCRGFHVTFVNSEFNHRRLLRSQGAGALDGLEGFRFAAIPDGLPPSDVDATQDVPSLCRSTKETCLPHFRTLLADLNASADSPPVTCVVADNVMSFALDAARDIGVPCALFWTASACGYMGYRHYRTFIDKGFFPLKDAEQLRNGYLETPVDWATGMSSHMRLKDFPSFIFSTDPEEYMAHFALHVTERAAEADAVIVNTMDELEPAALDAMRAMLPPAAPIHAIGPLAFLAEEIVPRGSPLDALGSSLWKEDASFFDWLDGKKPRSVVYVNYGSITVMSNEELLEFAWGLSNSGQDFLWVIRPDLVNGDAAVLPQEFLDSVEGRGVMAAWCPQEAVLRHEAVGVFLTHSGWNSTTESLCGGVPMLCWPFFAEQQTNARYGCAEWGVAMEVGQDVRREAVEAKIREAMGGDKGEEMRRRAVEWRETGVRATWPGGGRAVASLDKLVADVLLSGAKPRR* >Brasy9G160100.1.p pacid=40064761 transcript=Brasy9G160100.1 locus=Brasy9G160100 ID=Brasy9G160100.1.v1.1 annot-version=v1.1 MLSSMPRSGSFEAGLRATSVSSGSRESKPSPRFQRSRSTAGSSKASPSPEKRRSVTGGVSGGAMQQRLAQLEEELRKEREEKARALQELDELRRDDGEKKKKKDAGDAEKKVQALEREVDKAKESERKMLESLVYQTKQLEQTKISLEEAKLEMAALQQSNRSLEAAYTRGARGGVLDQQRSVKDLVFGGADEEIRALRGELRAAMQGEERSRKALDDLSVALSDVTMEAKQVKLWLSGAQAELEAANAEADRLRGALAAAETRLREQQRCVLEAEESAAAWGDKERVFLECVRASEEEVNLARQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARAENARLNGAVAEKDAALQGLRQEHECVKVSEAAAQSSLKELNSLLAATATTACSTPMSAKTAPAVVPEYGLVDPQHVPGGRLVASAKGTPDRWATAEKPRTPSSRSYSIGEPGKFRGVGFSQSARMGNLNQKDRMFASLSNIADLKSAADAAMDDDYDDEFDHIDESHYVEHSMNGKKKRPILRKFGDLFRRKSFYKANLAPVHT* >Brasy9G262700.1.p pacid=40064762 transcript=Brasy9G262700.1 locus=Brasy9G262700 ID=Brasy9G262700.1.v1.1 annot-version=v1.1 MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFTGGLFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG* >Brasy9G096700.1.p pacid=40064763 transcript=Brasy9G096700.1 locus=Brasy9G096700 ID=Brasy9G096700.1.v1.1 annot-version=v1.1 MADDISAIPSVEEEAIRGLEEEEEDETMDELGGDPMDGLDGDEGEEDFPTAMKVGEEKEIGKHGLKKKLVKEGEGWDRPETGDEVEVHYTGTLLSGEKFDSSRDRGTPFKFKLGQGEVIKGWDQGIKTMKKGEQAVLTIPPELAYGEAGSPPKIPPNATLQFDVELLSWASVKDICKDGGIFKKVLVEGQKWENPKDLDLVLVKYEARLEDGNVVSKSDGAEFAVKDGHFCPALSKAVKTMKKGEKVLLTVKPQYGFGEQGKPASGVEGAVPPNATLHIDLELVSWKTVTLIGDDKRILKTLLKEGEGYERPNDGAVVRVRLVGKLEDGTVFTKKGDDGDEPFEFKTDEEQVIEGLDITVVTMKKEEVALARIPPEHAFGSTETKLDLAVVPANSTVYYEVELVSFEKEKESWDLKSNREKFEAAAKKKDEGNVWFKMGKYAKASKRYDKAAKYIEYDSSFGEDEKKQSKALKMSIKLNNAACKLRLKEYKEAEKLCTKVLELESTNVKALYRRAQAYTELVDLELAEMDIKKALEIDPDNRDVKMAYKALKDKVREYNKRDAKLYGNMFAKWRKVESAEKVPGKQEAQPMAIDSAA* >Brasy9G032800.1.p pacid=40064764 transcript=Brasy9G032800.1 locus=Brasy9G032800 ID=Brasy9G032800.1.v1.1 annot-version=v1.1 MDILISAIVGDLVSRSASFVIRKCFRQQPDIDKILQRLHTVVLRIDTVVEEAERRHITSKGMLRQLKILRQGMYRGHYVLDALRFQDFGEEEKVSYSSSALSRFSQSKRLRLSRNGGGSSNREAAPLLVANNSIREELQHMVDTLEDTMTGMNEFLFFLDSYPRIIRQPYGTYLLLDNCMFGRQTEQEQVLNFLLCPSVTADLAVLPIVGPLGAGKSTLVEYVCRDESVRERFSMIIFLPEGCLKNEGVINLTGNNTKIRHQNCTSQNRLLIIVEIAEDINEGTWRRLKSSTNSMAPRGGSKIIITSRSERIVNLGTTEALRLNHVRPEPYWHFFKSLAFGSTNPDEQPNMAAMAMEIALEQRQCFMGAHIVAGLLRDNFNARFWRRILECVRANKQTHLLLFRKHPNLRLLEEGPLYNWRLEGSCRYFLVCNYQSDSGDEVPKISARDIILGSGGTLPRGEFEALAWRSRIPPYYNYTIRCIIQAPQPMVGRKKRVPQEEGHLI* >Brasy9G109500.1.p pacid=40064765 transcript=Brasy9G109500.1 locus=Brasy9G109500 ID=Brasy9G109500.1.v1.1 annot-version=v1.1 MLARMLMGKLAGAALQVALAALLVLFLPAYYVYKLTTSFLGTLFPEDVAGKVVLITGASSGIGEHLAYEYAKRGANLALVARREASLRMVADNALALGSPVVLVLPADVSKPDECRKFIDDTVTYFGRLDHLVNNASIWQVCKFEEVEDVNYFRELMDINFWGHVPAKKKKNFWGHVYPTRHAIPHLKRTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELAGEIRITEVVPGVIESEITKGKMLTKEGEMKVNQDERDAILGPTPAESVGDFAKTVVRDVCRGTRYVFEPRWYKAVYLFRVCFPEILAWNSRLLTVKTLGLASTDTLGKQILDVPAGVRWFTQQGSLRSPEIRAR* >Brasy9G011900.1.p pacid=40064766 transcript=Brasy9G011900.1 locus=Brasy9G011900 ID=Brasy9G011900.1.v1.1 annot-version=v1.1 MDMDANSSSPVSVGDSSNFVSIISPAQSSKDEILVITGKIIVFIGDVGLIRTILSSLELFLLGLLPINLRRQRDKLLPVEVVLVVGRQHLVNRRCPPV* >Brasy9G031600.1.p pacid=40064767 transcript=Brasy9G031600.1 locus=Brasy9G031600 ID=Brasy9G031600.1.v1.1 annot-version=v1.1 MLFGLGFGTYRWMSRPRTNLFRGVGALLVGFPCPSRRPEIVWRSSLLIGLRGNRKMGFGPQVVGPSWPGENFSVESPCHVVCGFACGLPRWEKGP* >Brasy9G339300.1.p pacid=40064768 transcript=Brasy9G339300.1 locus=Brasy9G339300 ID=Brasy9G339300.1.v1.1 annot-version=v1.1 MSAINSVNMSATNSEIERQQREYARELAKYKEDCKEFKRMVYLIVVSLLVAFAFLISGFLVPGPHRMSCRFSAGAFFLVSAYGIAVHQQTFGWSVYPKRPECEVQLVGGDRV* >Brasy9G304200.1.p pacid=40064769 transcript=Brasy9G304200.1 locus=Brasy9G304200 ID=Brasy9G304200.1.v1.1 annot-version=v1.1 MRWLPRLLSQARAAARASSSHARGSNGFATGGGDGPGVPREWMRKLWVEDLRKQKDAGRSLGRRAVAKADGGGGEDSLGFLARAARAFVNSEPAAAGAERIAGSEVAPSEGVQYDFRNLPYIEAKLKPLLSRSNLVIARDVEWANIMFAFEQESRYILMDPLHSQSPVGFIREKSNVIFRQLLRSRRPFVAEFTDAMGNEIFTVRRPFWFINSSIYAEVDGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLVDEDDNLLAQIDRNWRGFGFELFTDAGQYAIRFGDAGQSRKVGLASSIEELDVARQLTLPERAVALALAVSLDCDYFSRRGGWGIPFLIVTE* >Brasy9G146900.1.p pacid=40064770 transcript=Brasy9G146900.1 locus=Brasy9G146900 ID=Brasy9G146900.1.v1.1 annot-version=v1.1 MSSVSPDQAAPARSRASYSYRAFVLLVALSSVVSFSSHVVLAAGHPDYADALAKSLLFFQGQRSGRLPPDQAVTWRSDSGMSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWSIIEYGGRMDAALHEARAAVRWGTDYLLKAATATPGKLYVGVGDADADHRCWERPEDMDTPRTVYEVSASAPGSDVAGETAAALAAASVVFKAADPAYSRRLVAAARAVMAFAWQHQGKYSDHVGGGVGNYYPSYSGYKDELLWGSAWLLWATKNTSYLNDLISLGANDGVDMFSWDNKLAGARVLLSRRALVDKDKRLDPFRQQAEEFICRVLPSSTTPYTPGGLMHRADNANLQYAASASFLLVTYAKYMSPRLLLLPEPERRPEPDQRQDTASAGQGAARRVHHRASSSVPSVAARPARIGCQEGFEGYFKAAGDNPNVLVGAVVGGPDRNDAFPDDSADYARSEPTTYTNAPLVGCLAYFAGSYKN* >Brasy9G035800.1.p pacid=40064771 transcript=Brasy9G035800.1 locus=Brasy9G035800 ID=Brasy9G035800.1.v1.1 annot-version=v1.1 MIQAASATEYNRLIASVAITFLVVLSKWGLNICGTITRKLVSTITLLFLTIITNKTIVMSLLMENKDPNARAEGQYGWQQPWFCGLLRINVGGRFSAIEICGGSADGLRCLRFHLDLFSWNLNCSFHLFFFERENCRRGSGSDNIKRKMCINIRNKGKSTPGTQEDTEHNKQSRTNQTGRVKLQHQSTPAIQQWQA* >Brasy9G086800.1.p pacid=40064772 transcript=Brasy9G086800.1 locus=Brasy9G086800 ID=Brasy9G086800.1.v1.1 annot-version=v1.1 MADLAVGLAKSVVEGTLSKAQAAIAEEAKLRESAQRDLVFITGEFQMMQSFLKVANTERVENPVVMTWVRQIRELAYDVEDCIELVVHLDKKPSWWWRMVPGWCVAPSQQPLDEAVDEIEQLKARVKDVSTRNSRYNLISDTGSKPALLQQQPAPATAAVSSMLFGNNTGTSRQQQGDLTQLLVLAKAEETRVVTVWGTGGDDLGVTSIIWKAYNDPEICQKFTCRAWVKLVHPFSPHEFVRSLADQFYANESKSKQRKEEESIVGLDVLKRSTSSEPTALVDHQAEFARRVNKQRYLVVLEDLSTMTDWDAISNFFPARNKGSCIVVSTQKSEVASLSVGNPYKVLELNKFSADHSVYALYKERSESDGISKGAQTTNDKKKAAHDWMKENRPIGRESEMNDLRQCTYKVRYYGFQVVSVWGIAGVGKSALLEYLFCDRILNDCTLLEENKPTQFQKYAWVDVCYPFNLRDFTRSLLLNFHSRYVQAHKDRDIDTVGSSNPIVECRGILERCNCLVIIDGLQSVKEWDMIQAELVSGFSRNCIIIITTEASIAKCCRGSKGELVFNVKGLQADDSYDLFKKKDVEDLKELISKCGGLPKVIVDIAGSLATKQGRRMEGARALNDNFMNDLENNREFDSLHGLFTWMHNYFRNCPDSLKPCIFYLSIFPREKIIRRRRLVRRWIAEGYSRDNNEESAEHTGEKQFSDLLDLSIVQQSQEKATIGDMRMVFCQVEWFHTGAHQHWDRDSIVFESIDFSRLRSLTVFGKWESFFISESMKLLRVLDLEDASGSVEYEDLEKMVKVLRRLKFLSLRGRREIHHLPSSLDHLRQLQSLDVRHTSIVILPDNITKLEKLQYIRAGTTDDISVSSSSSSSSSAAAAAAAAAAAAHISSSWFCKHPSLVGVEVPRGIGKLTALHTLGVVNVAASGSNTFVKDLKKLTQLRKLGVCGINNQNSKDFFSAIKDHVHLESLSVRINKNNNQGCCFKTEDNNQVYLDDMISLPCTNLKSLKLHGLADTLPKWESKLLSKLAKFDVEMATLMGDDMKFLGELSQLCILRVKLLQNGELNFRILVNNKDEESYGNVKVLQITCGCSSGSLQVTFGPKTMKKLEMLKVDCRGGSSYQFTGMENLKELKEVLLVNGSNAEALSRNRIAVHWIILSLIV* >Brasy9G129900.1.p pacid=40064773 transcript=Brasy9G129900.1 locus=Brasy9G129900 ID=Brasy9G129900.1.v1.1 annot-version=v1.1 MAVEKSGGGGASSSSSASVMERFFKIVLSWDYLRLVADSKGADKTKGLQRVKNTYNSVAEYLGVFEPLLFEEVKAQIVQGRSGEEEEIGLDWQKGMVGIYAESEGFHKVQMAVLDGFREIVSENDLLLLSKEKFEEGVTPTAYAFAVVEQRGGKGPISLRTFVEGEIKNLDIAKPVKSSRLQRIASIFATNGHILWILKMCSLSTILREYSAMQSVASLPFKDLILSASEKNKDGDDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARVQTKGGFDVEKHGPELDIEGKHTHWMKASPWLIGANPRDLIMPVDGDDGFYPTGNELKPEVISSNRKYRAHVLVCAPSNSALDEIVSRVLQTGIRDENNNTYSPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRASVLDEAAIVFSTLSFSGSTVFSRMTRSFDVVIIDEAAQAVEPATLVPLVHGCRQVFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGILQDGEGLNKKRPWHSYSCFGPFCFFDIDGIESQPSGSGSWVNEDEVEFITLIYHQLATHYPELKSSSQVAVISPYSLQVKLLKDRFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQNIGFVSDFRRMNVAITRARSAVLVIGSASTLKQDKHWNNLVESAKERDRFFTVSKPFTKFFAEDKFKTMKVERLPPDARISQALEAINEVVARQEVMDVDDAVDGADAGDYDAMEADDGGGDD* >Brasy9G206300.1.p pacid=40064774 transcript=Brasy9G206300.1 locus=Brasy9G206300 ID=Brasy9G206300.1.v1.1 annot-version=v1.1 MAAPVFLVVVALIHLLFFHDVARGEIKTTPIVSDSRAVVLFEEFGFKPGGVAEVSVSGVSWSVPEGSQLRAVDPRLMGFILISNTLFFKITNESDYAEETGGAFCPLTSEYVLPLFRLNDIAPDGKGKGAVTIAAADQYTVLFSSCQDGVEVTMDVRTEMYNVRRPGSGDREYLPVGLLPLPGIFAAASAVYFAFMAAWVFVCVKQRATVERIHVVMGALLLFKGLKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYKYQWVVNVSVEAASLAFYVFVFYNFQPVERNPYLYVAEEEEEAAGGQLELEGTFEI* >Brasy9G202400.1.p pacid=40064775 transcript=Brasy9G202400.1 locus=Brasy9G202400 ID=Brasy9G202400.1.v1.1 annot-version=v1.1 MTTATPRLSAAGDPVHVRALRRLRARAALRRLSRRAGRAPRRPPLPQPAPLRQRRPSTPSTRLPRLRPSPAGARGNARPRARKIDARPTQWLDCAGKDDEAAALLEALITIGAQRLNYPF* >Brasy9G105600.1.p pacid=40064776 transcript=Brasy9G105600.1 locus=Brasy9G105600 ID=Brasy9G105600.1.v1.1 annot-version=v1.1 MQAELLPRPSTSSSQMLLQICLLLTNSSMFHGGELGWCCARGAWPDLAAAGVDAARGKEAAPSTPARTSLMDSPKKPSWLSRGTSIARSSRRWGMIGSSR* >Brasy9G252100.1.p pacid=40064777 transcript=Brasy9G252100.1 locus=Brasy9G252100 ID=Brasy9G252100.1.v1.1 annot-version=v1.1 MDAAHWHQGLGLLKPMEEMLMGTTNQQQVQGSNPNLIPPPPSSSSAPGAAGGGAMGLVAGAVVAGVGSSERKARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSANTAAAASASTSIMASSTAMAASKNPKLGHHEGGGAQHDLNLAFPHHVVHGGMPQQQQADQYMAFPSLESSSIGGAMAGGNNGRHGPGTLSAMELLRSTGCYMPSLHVPMQMPAPGDQYGAAAVGFSLGEFRAAPGPAQSQSLLGFSLDAHHGGAVASAASAGYGSSSAGMQGMPPQQDRAGRLLFPFEDLKPTDASGSSGGDESGRAGSGAGVENGGHRYEQAGKEQGNGGTGAHGGHDTAPGYWNGMIGGGTSW* >Brasy9G136400.1.p pacid=40064778 transcript=Brasy9G136400.1 locus=Brasy9G136400 ID=Brasy9G136400.1.v1.1 annot-version=v1.1 MASSLNRGLRSGIRLLATGVEASKPASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKLTAWSSVLGAVSIGVGVPVYAVIFQQKKTSSG* >Brasy9G206000.1.p pacid=40064779 transcript=Brasy9G206000.1 locus=Brasy9G206000 ID=Brasy9G206000.1.v1.1 annot-version=v1.1 MGIASSSSSNPESRTMALAKAKEIVASAPVVVFSKSYCPFCVKVKQLFTQLGASFKAIELDKESDGAEMQSALAEWTGQRTVPNVFINGKHIGGCDDTVALNNGGKLVALLTEAGAIAGSASKATMTA* >Brasy9G066100.1.p pacid=40064780 transcript=Brasy9G066100.1 locus=Brasy9G066100 ID=Brasy9G066100.1.v1.1 annot-version=v1.1 MNSLALAGIIVGGIAFITAIKQITRCIELKREWHAERARLRAMQLQAPASSVAQPASANIELESVNRFLDGILREKPARFTPENLREFTRGYAERVGSGGFGVVYRGRFPNGVAVAVKVLNGTLDRRAEEQFMAEVGTAGRTYVPHQPRPPLRLLLRRLRQGARLRVPPQRLPRPRPLPRPQPQDDADAGRPGVRDAAGDRGGHGEGDPVPARGVPARIIHYDIKPGNVLLAADYSPRVADFGLARLCNRDKTHLTMTGV* >Brasy9G341200.1.p pacid=40064781 transcript=Brasy9G341200.1 locus=Brasy9G341200 ID=Brasy9G341200.1.v1.1 annot-version=v1.1 MAAATAGKHGSGGDRLSALPDKALVAVLSHLYSDEAARTSALSRRWRRVHEAVPVIDLADTKCGDRYGRASMGDKRLCFDLQVTSAIFSKAADTPVRDFRLSALHPPYDLLDQWVVTAVTSGAEELDLTLRYRNSAMRRLCPFAGSKSASADFSRDDRKRYTATQRHIFRCRTLRRLHLANWSLDLPPPAAMPMPALDTLCLSRIMDPSKLLPRLLASCPSLADLTLEQCPTIVDLEVPGLCLRNFSMLCCHNAKAVSLLTTRLQSLRFKGGLATVFQIANHRGIRAITIDICEELSSKSPREIAPVTALIGRCSNLDYLHLSLRPSIAYYSSMFTGVLRELRWLRRLSLEGCLLTAHGVKSIAALLANAHNLEELSLFPLAPPPPKNEQRHDGYDTDYGRSDSDTEEEDGGPGAGNNGGVRMPDCLWRMHVGCLNRKVRRIGLWNYQGQPLDRMLARFLLSRAAVLEEFSVRLADERDPLKDEIAKELGSWPWNRHTTVTCK* >Brasy9G247500.1.p pacid=40064782 transcript=Brasy9G247500.1 locus=Brasy9G247500 ID=Brasy9G247500.1.v1.1 annot-version=v1.1 MSILLVLLLVHLHLHLLLCAHGAAADAATPPPLPVLPVPSYAQLQWQLAEMALFLHFGPNTFTDSEWGSGHADPSVFAPSALDAGQWARVASEGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWREGAGDVVGELAAAVRAEGIGLGLYLSPWDRHEPVYGDAVAYNEHYMGQMTELLTRYGDVEEVWLDGAKGDDKHMDYMFDAWFALIHQLQRRVVIFSDAGPDTRWVGDEAGVAGRTCWSPFNKSVVTIGHIIPEYSRSGDPFGQDWVPAECDVSMRPGWFWHASEKPKRAKVLLDIYYKSVGRNCLLILNVPPNSSGLISDEDMQVLKEFTEIRQTIFSQNFAANATVMASSVRGGPNNLQFAPSSALQDSIYSYWAPQEGQTSWEMLFDLGRSTSFNLLQLQEPIQLGQRVIRFHVDIVVGELWETILEGTTIGYKRLLQFPAVEARYLKLSVDSARADPLIAFFGVFMDPFSVIYSLDSWQAAPY* >Brasy9G137400.1.p pacid=40064783 transcript=Brasy9G137400.1 locus=Brasy9G137400 ID=Brasy9G137400.1.v1.1 annot-version=v1.1 MQGSNKLNAVSSCNDKLPRVNWPHHASAIQSSSSKDGFLSSSFLFSLPTQRPNPEANCNSMFSLRSAACKIQGPERLQVPWIEKAWRSMCNTQVACKSYLRPGLSAKVEDRARDYTHTYATNSSYNTNRQDDVPRNMIPSQEGIHQRTESGILENNSGHGPTGINSCTRTYKSNHVVRADNIGTTNHCGFARTDAKSCQNVPVSDNMCADDKLDAMDDDEIMASIDVDRIVMEHYEATNTHRGLASWQMSTPSGNKCNLTGLDENSLPQELSEICIHGCKLAFCPEAKYHLQEMKDQMLAICNELIDGSGELGPQNSEALRKQRAHLKKQTKLLEDYMAMSTQDDERQRSHSMATASQGHHPPMTPSTSVMDNDRFQSQFYSRNEPVNSGSCYPPAPHPYMDSLNTPLTSVQRDYTRTNIDINYTEGSGDKKWSSKDFPWTKELEAHNKRVFGNHSFRPNQREIINATMYGSDVFVLMPTGGGKSLTYQLPALIHEGITLVVCPLVSLIQDQIMHLSQANIPAICLSANVEWTEQQRILRDLMSPTSTCTYKLLYVTPEKIAKSDALLRQLEILYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGLLKQKFPETPVLALTATATASVKEDVVQALGLANCVVFRQSFNRPNLRYIVMPKTKKCLEDIDSFIRASHHKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPIDRTEIQRQWSRDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRVKHMITQGVVEQETLMPRGGFLSSHRQALETHKENLLCMVSYCENDVDCRRLLQLIHFGETFDPSCCAKTCDNCMKEMRWIEKNVTSIARQLVELVMMTRPACSTSHILEVFRGSVNQNVKKNRHDTLSLHGAGKNLAKGEASRVLRHLVTEGILIEDVKKSDTYGSVSSVLKVNQMKVGGLRSGNHTIVLKFPTLEAPLMGKLNESSTPQINKAVQRQSEVDENVSSLLFETLKCLRSQIAESTAGCGVHHIFKNETLKEISSRIPRTKEELLEINGIGKVKLNKYGDSVLATIEDFLSHFPNASKRSSSSGSNEQNEAPKKRRGLSATNASGKCDGFEERTVQSKKCAAKTKNTKQGISDAASMVQDVRYIDLDLDGCEEVDDELCSSAQQPVASG* >Brasy9G098600.1.p pacid=40064784 transcript=Brasy9G098600.1 locus=Brasy9G098600 ID=Brasy9G098600.1.v1.1 annot-version=v1.1 MAAAAAAAVASQAVGAPSSTAASAVVRRGFVTFGAAARLPALRSERRGAGYSSGVRTHVAAVEHAVVQDATKLEAPVVVVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSQEIEAAGGQAITFGGDVSKEADVESMMKAAVDKWGTIDILVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKRKGRVINIASVVGLTGNAGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEEIEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM* >Brasy9G280200.1.p pacid=40064785 transcript=Brasy9G280200.1 locus=Brasy9G280200 ID=Brasy9G280200.1.v1.1 annot-version=v1.1 MTLDAVCGAATDVLVYDTFNAAAAAHDAWTAGASAAAMRPGEEGDGEKVQGGRRKRLRRARICRNREEAESQRMTHIAVERNRRRQMNEYLAVLRSLMPDSYAHRGDQASIVGGAIDFVKELEQQLQSLEAQKRTLLSQQPTRDAIPTPGTAVTATAAATTSSGTGAGEEASSPPAHEEAAGHPFARFFTYPQYVWRHRRPREDYRPTEAEPEENRGGGTAAADIEVSLVETHASVRVMAPRRPGQLLRMVAGMEALRLAVLHLNVTALDSLVLYSLSLKVEEGCGLATADDVAAAVHHVICIIDGEAMSQQRLLTGGQPELSS* >Brasy9G182600.1.p pacid=40064786 transcript=Brasy9G182600.1 locus=Brasy9G182600 ID=Brasy9G182600.1.v1.1 annot-version=v1.1 MVSADEVRNIVGVIGNVISFGLFLSPLPTFIQIVQKKDVEKYAPDPYLATLLNCMLWVLYGLPFVHPHSFLVITINGTGVVIETVYLAVFFAYSPGPKRVKLLAMLGVEVLFVAAVAAGVLLGAHTYEDRSLVVGSICVFFGTLMYAAPLTVIKRVIATKSVEYMPLTLSLVSLLNSICWTTYALIRFDIFITIPNGTGTLLCLGQLFLYFWYAGSTPVAASDSSKVDDDGGSSVRSGGGGAA* >Brasy9G003100.1.p pacid=40064787 transcript=Brasy9G003100.1 locus=Brasy9G003100 ID=Brasy9G003100.1.v1.1 annot-version=v1.1 MAAEPWWLNMVASRRFPEEFRRGEPDLRPEEVHIVADRTPVMEVNGRHLSEHALLAFVDSGPAITATLTMAIQLQDAVVKQCRITGEFNVLVIC* >Brasy9G240500.1.p pacid=40064788 transcript=Brasy9G240500.1 locus=Brasy9G240500 ID=Brasy9G240500.1.v1.1 annot-version=v1.1 MTSSSLTNQPNLLATSSILPASLVYKQEIFSSSSAPKTTNKLNPAMFLNMLLHKLTQETAPLQRAWFFPLLFFFFFFFFFLLSLLLVHCSSFSFTAKAAAAAGNKNQPRPPPSPPALPVIGHLHLVGSLPHVSLRGLAREHGPDVMLLRLGAVPTLVVSSPRAAEAVLRTHDHVFASRPRSVVADIIMYGSSDVAFAPYGEYWRQARKLVTTHLLSVKKVQSFRAAAADEVSMVMAEIREAAAKGSTLDMSELLNTFANDIACRIVSGKFFRKEGRSKIFQDLINENSRLLGGFNVEEYFPALGRVGLLRRAVCAKAERARDRWADLLDKVIDDHMSKEKSTSAPKDGDFVDILLSIQQEYDLTREHMKALLTDVFFGAIDTSSQVLEYTLVELMRRPQVIRKLQSEVRKIVPKGREIVNEIDMNNMPYLRAIIKESLRLHPVAPLLAPHLAMDDCNIDGYMVSAGTRVIVNAWAIGRDYSSWEDAEEFIPERFIDDGSAVHVNFKGNDFQFLPFGSGRRICPGINLGIANIELMLANLMYHFDWELPYGVESKDIDMTEVFGVTVRRKEKLLLVPKSCV* >Brasy9G308100.1.p pacid=40064789 transcript=Brasy9G308100.1 locus=Brasy9G308100 ID=Brasy9G308100.1.v1.1 annot-version=v1.1 MAEFLRGSVQGLLLLCFTLLVCSTVLRCTYGRSTNEVGSETTIGVNNTIIAIERPPCSEDPSQNYCCQLDKLCWPSLDQCFHNCPCKIRCHGA* >Brasy9G221300.1.p pacid=40064790 transcript=Brasy9G221300.1 locus=Brasy9G221300 ID=Brasy9G221300.1.v1.1 annot-version=v1.1 MKSSSGHVMGVPVTCKAYGIEEVSTRDQSFRKQVDGDHLAVSLTHPSPYTSFGYKHSSKGQVVHWVSKLSRRAQGFREHVTLGPKISETVKGKLSLGAKILQAGGIERVFRKAFSTEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVAVTSPKGGDVARVSYKVVVPLKRIGKVRPSENVDRPEEKYIHVATVDGFEFWFMGFVSYQRSCKCMQQAIVSVSQQK* >Brasy9G079500.1.p pacid=40064791 transcript=Brasy9G079500.1 locus=Brasy9G079500 ID=Brasy9G079500.1.v1.1 annot-version=v1.1 MDLNKKATAANLTDDLVVDILSWLTYKSFSRCKCACKAWSALSSDPDYRTKLPKKVTAGLLYQSHGKSAIPLVSLSQNDGEIDGILADVPHYEHVELVDCCNGLVLCKYRSSFTSPDICRFVVCNPATRQWRTLPDTHSATDDPLYVTILAFDPSWSPQFYVFNFHQKCHHHMILGTRKLEIFFSGCSSWLVDDSWNSEIILPGRKPRLFLNGMLYLETTGHQVLAFEGLEAIRSLHYALPDNNGRSIVVWSLDHAWHLWAWNVKCHLSMKDTFGRNDFVYYNDGGLDGESDWFWNCDYRIVALDLDRELVLLFDQKTNNLLSYDISTGKLHEIRDNFQWPHNCYSYYVPCNSELPAQEQPWYQSGVQDLKTRLPRGGDVLAPRGGQRWQNDVRRSERAKAAE* >Brasy9G071700.1.p pacid=40064792 transcript=Brasy9G071700.1 locus=Brasy9G071700 ID=Brasy9G071700.1.v1.1 annot-version=v1.1 MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTELTDAIWKSFFQGKLTFAHWTKGGEAMAPVVAATGGTVLVRKLAALTPKQVFVGDIVLLKDPEKSDDLIVRRLAALEGYEIVSTDEKDEPFVLDKDQCWVLAENQVLKAKEARDSRLFGPVPMTDIVGRVIYSLRTAVDHGPVDNSHIAMSQDSPVLAVELDVEELAKNNKM* >Brasy9G353600.1.p pacid=40064793 transcript=Brasy9G353600.1 locus=Brasy9G353600 ID=Brasy9G353600.1.v1.1 annot-version=v1.1 MDLKPANILLDKDMTAKIGDFGLSRLFPSAHTYTTIKIIGTPGYMPPEYIEKYEITSKFDVFSLGVIIIKIIAGDEGYSKRANMSSQEFVEHVHENWRKRMQEIMTSHTSLEVMTCIEIALRCVEADRVRRPTISEIVIELNEIYTVDSLPITISQAERELQKGPHENLQGFLDAVDQLRSIERSSFSSNRSYSTSDQMLSNVNALLSKALVKVEGEFQNQLSQHRPMEPDRLFDCLPSTLRPSSESRSDGGSAGAQSENMEAVAYSPPVLIEPKFVPLLAKLAQQLVQAGCQQQCAEIYSVQRSSFFSFSIEFEELRR* >Brasy9G261100.1.p pacid=40064794 transcript=Brasy9G261100.1 locus=Brasy9G261100 ID=Brasy9G261100.1.v1.1 annot-version=v1.1 MVKLDDKKSIFGFEVSKPSKDERSRETYDPKEGDIIVTSLRKPKDVSDLTQNKTSYVLGSVLKCGDIEDGDFPPNCCIVRFSSAIPFEADPETKVPMESLFAVFLVNMTTFNRIWKCLRMKANYTERCSAGIVDLVWQYKPKLNAVADSVSAMENHSTSLKLIWGPPGTGKTKTISTILWAMLMKGLKTLTCAPTNTAVLEVASRIVRLVGESSDGSACFLNDIVLFGNKEKMKIDDCHDLSRVFLESRAERLLTCFMPTTGWRHRLCSLIDLLENPVARYTSHIEGILQEMKNRETNSPKKDSDRPCVLVSKPHATDRKTPLRDQEGGSLPSRYPLRSNPKSRDHLLAPLSVFRKPIRDRSETGEEEDHKGGCSGSEAMEEQFQVLSFKDFLKYYYNKLSGDLCNCIEILYDDHPRNSDTGQIFQCMLQVLELIKILHALINCEKDGGDIWSEELLERKIEEDGNPNLWLEELARVQTNTCNKSKLRLARSLCVQELRYLRSELKLPICYSTRAIQLYLLQRTKCILCTVSSSFRLYNVPVDNPPSDTCRLLKKPEKPNLLDLLIIDEAAQLKECETLIPLQLPGIRQAVFIGDEYQLPAMVKSKISGNANFGRSIFERLSLLGYNKHLLNVQYRMHPSISKFPVSTFYDGKISNGPNVTSKSYGRRYLASKIFGSYSFINVDGGHEMNKKHSRSLKNTIEVAAVLRIVQRLFKESVSTRRKLSVGVISPYNAQVRAIDEQVRKSYNTYDYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNIQRTNVALTRAKHCLWIVGNGTTLSNSKSVWQKIVKDAHDRGCYFDATDDKDLSNAVINAVIELDAADNLVKMDSLHISRPRFQKSRPNYRS* >Brasy9G013000.1.p pacid=40064795 transcript=Brasy9G013000.1 locus=Brasy9G013000 ID=Brasy9G013000.1.v1.1 annot-version=v1.1 MAIFKKNGSALFLTALIVMATVLSFCDANNEAEIDALPLPDKCYGFDFPNCTNEACDKFCKSRGGPGGSCRSIDDSCCCLVA* >Brasy9G181900.1.p pacid=40064796 transcript=Brasy9G181900.1 locus=Brasy9G181900 ID=Brasy9G181900.1.v1.1 annot-version=v1.1 MSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSVESSDLNFSRYGDTFFEVVFIGVRTQPGTIKPEEEGERHPYSLIDCAAQREAIVPYVLFIQKTLRRRPFLIKSLENVMRKFLQSLEFFEENERQKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKDNSLDDLIGLLKKGKMEDNLLEFFPSTKRTSEALSEHFTKEGLTSLVEYNDKKMFEVKLKEIKSTLTTMINEEAEISEVTEVVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVNAWAGLMNAFCTSGKLELELIYKVQTQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILLWFRKGSNQKGRQSFVKALEPFVKWLEEAEEEE* >Brasy9G139400.1.p pacid=40064797 transcript=Brasy9G139400.1 locus=Brasy9G139400 ID=Brasy9G139400.1.v1.1 annot-version=v1.1 MLSRLVISVWDLLGASECNDDQIARGNTAVVEVTKSIMACRDSSRNTIQFLCMTFFLRGDDPMSIGHAVAQTMATQKVELAEFTIYTEKEDTACSADDLIHYGRQFMSFFDACPIAFGGLTRFDLENLRFGESDIRNVLDTCKRSQTVLQVEHSQLCELAIVDCSIERVELTSLPKLTRFIFECGSLSKIRYLLGYVPLLEAVSLANICLSWHKMVKLSKFLWGTSVRDLKLGFDFERAKCLTVRLASVFNQIRFVNLADIPEGYDLTWTLFILKAAPNLKELYMTHQSLATVTIFGFQAQDYMISYVRQVMEAAVNLQDVFLYNKLVCHNCCKKPSGFPSKQKQYSVKELITKGINSSAIIHFHTPGGVTRADHLKKMWFV* >Brasy9G011000.1.p pacid=40064798 transcript=Brasy9G011000.1 locus=Brasy9G011000 ID=Brasy9G011000.1.v1.1 annot-version=v1.1 MAFWGVEVRPGKPYTHRHNPSHGRLRICQATLGSCDAATRTVVQCNVGNKTPIKLCSLNPRLAEMCHLEIELEEDEDVLFSVLGQSSVHLSGYHLHPSTRCNAGGEESESYGEDVGESDTAEEDVVSDDSYESDFIDDGDVCSSPDHHKKEAFEKHAGKGERRKRLKKKCQVDSTDDNNDDSPYKPAVRRKARSIFDSGSEDEDYMLGSYSLANKDNGKVSVEIKTENVQPNGESVRKSKEAMKRKHDAISQNPAPPKDVVVEAEVKKKSKKNKKTSLETEDGKQSDNRRTLENGLIVEDLSGGNIDAPMALDTSKVYISYVAMLHGGKIVESNVGEKPYKFKLGAGKGKPGWDDGIRGMRVGDKRRLTVPPSMLNRRKAAEKIPKDQSAIYEVELVKVR* >Brasy9G011000.2.p pacid=40064799 transcript=Brasy9G011000.2 locus=Brasy9G011000 ID=Brasy9G011000.2.v1.1 annot-version=v1.1 MAFWGVEVRPGKPYTHRHNPSHGRLRICQATLGSCDAATRTVVQCNVGNKTPIKLCSLNPRLAEMCHLEIELEEDEDVLFSVLGQSSVHLSGESYGEDVGESDTAEEDVVSDDSYESDFIDDGDVCSSPDHHKKEAFEKHAGKGERRKRLKKKCQVDSTDDNNDDSPYKPAVRRKARSIFDSGSEDEDYMLGSYSLANKDNGKVSVEIKTENVQPNGESVRKSKEAMKRKHDAISQNPAPPKDVVVEAEVKKKSKKNKKTSLETEDGKQSDNRRTLENGLIVEDLSGGNIDAPMALDTSKVYISYVAMLHGGKIVESNVGEKPYKFKLGAGKGKPGWDDGIRGMRVGDKRRLTVPPSMLNRRKAAEKIPKDQSAIYEVELVKVR* >Brasy9G270800.1.p pacid=40064800 transcript=Brasy9G270800.1 locus=Brasy9G270800 ID=Brasy9G270800.1.v1.1 annot-version=v1.1 MADEWSDEDTRAAQVIREQITRIGDIAERSQASFESFIKSDDAASVPTVMNAVLACGAKEGSDEHFIATELFVKRAQQEMFLHMSEASRFGWLRRKYHSKYGHHQ* >Brasy9G022600.1.p pacid=40064801 transcript=Brasy9G022600.1 locus=Brasy9G022600 ID=Brasy9G022600.1.v1.1 annot-version=v1.1 MMVAHDMFSFCKRAMADSSVEDSPAEDEDLNTSRKMFTLGWQNMCKVVEIELSLMYDILFTKAAVVHTWVGYGIRVASPLAIATATVLFWSFSKEGQTILDVVITYALLAATLLLDMRWLLRALGSTWMHAFLQARPHRWIHHAVLCTGRWRRLRRLIVSLDLGRLTAPTTSSPSSYRSWSGTIGQYSLLHECTRNQKISTCARAAKAMGLEDVWNEYQHSKGNKLLDDDVQQVVFTRVRELLMATYEEDNDGRYTMKHITTYWGKLTAKRRQDKLKRFRLAFGGEFQEDILVWHIATQVFLIRRGSDQSLIRHDKNAATHVKAIKEVWSKHDKASSSSSSTTTKEEKLAEILHYNKNADSDWALDDDKARPVSDATNLAIELLKSDESEMPQLLELVFNVWVDKLLYAATRCSPESHAKQLSRGGDLTTIIWIMAQHAGPFQIGQHSPDDEISDDEISDDEMQQDEKRPEKRKAGDKKEKEAEEKKKKEDYRPKLEQDYPPHMYPLWPEFWVGPPESGGHKPWMDQPVDLPIPPALDEPQETKPPKRRRERRRRYATLYPVD* >Brasy9G020600.1.p pacid=40064802 transcript=Brasy9G020600.1 locus=Brasy9G020600 ID=Brasy9G020600.1.v1.1 annot-version=v1.1 MAPQLSSLAASAGMCLVSVFTIALLTITLYILGVVTSFAVFCIREFVQRAPDRPPLVGTVLRQLKNFDRLFDEHVSYALLHRTGRLVYPGHSEVFTSDPVVIEHFLKTNFSKYSKGAFNTQVMRDLFGDGIFATDGEKWRHQRKLASHEFSTKVLRDFSSEVFRTNAAKLADKISYATADRITINLQDLLMRTTMDSMFKVGLGFELNTLSGSDESSIQFSNAFDEASSLVYYRYVDLFWQVKRHLNIGSEAKLKKNIQVIDDFVMQLIHQKREQMKNGHDHKAREDILSRFILASEEDPETMNDRYLRDIVLSFLIAGKDTTANTLSWFFYMLCKNPVVQDKVAYEIEESVECAQEDNMETFTARLKQGAIDKMHYLHATLTETLRLYPAVPVDGKMADEDDVLPNGYRVIKGDGMNYMIYAMGRMKYLWGEDAEEFRPERWLANGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKILAATLIHFFRFKLEDESKGPIYKTMFTLHMDQGLYLFAQHRKISA* >Brasy9G020600.2.p pacid=40064803 transcript=Brasy9G020600.2 locus=Brasy9G020600 ID=Brasy9G020600.2.v1.1 annot-version=v1.1 MRDLFGDGIFATDGEKWRHQRKLASHEFSTKVLRDFSSEVFRTNAAKLADKISYATADRITINLQDLLMRTTMDSMFKVGLGFELNTLSGSDESSIQFSNAFDEASSLVYYRYVDLFWQVKRHLNIGSEAKLKKNIQVIDDFVMQLIHQKREQMKNGHDHKAREDILSRFILASEEDPETMNDRYLRDIVLSFLIAGKDTTANTLSWFFYMLCKNPVVQDKVAYEIEESVECAQEDNMETFTARLKQGAIDKMHYLHATLTETLRLYPAVPVDGKMADEDDVLPNGYRVIKGDGMNYMIYAMGRMKYLWGEDAEEFRPERWLANGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKILAATLIHFFRFKLEDESKGPIYKTMFTLHMDQGLYLFAQHRKISA* >Brasy9G309900.1.p pacid=40064804 transcript=Brasy9G309900.1 locus=Brasy9G309900 ID=Brasy9G309900.1.v1.1 annot-version=v1.1 MARRSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYESEISRLENEINQLHGQLRKAGVHLDENPTSDEMSRKDLVELDPINIERREKVKDAMLHAWNSYVKYAWGMDELQPRSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLRFDKDYDASVFETTIRVVGGLLSAYDMSGDKVFLDKAKDIADRLLPAWDSTSGIPYNSINLVHGRAHNFGWTNGDSILADSGTEQLEFIALSQRTGDPKYQLKAENVIRQLQKIYPSDGLLPIYINPQSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTESVKHYREMWETSMEGLISLTRRTTPSNYSYICEKNGGSLSDKMDELACFAPGMLALGASGYGPEKAKQIMNLAEELARTCYNFYQTTPTKLAGENYYFHEGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNSGEKDDKMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPVHGSKGDSTDTKTPVVSLGRKQGKQG* >Brasy9G251300.1.p pacid=40064805 transcript=Brasy9G251300.1 locus=Brasy9G251300 ID=Brasy9G251300.1.v1.1 annot-version=v1.1 MGGGKKEAVRQYVRSKVPRMKWTAELHGRFLKAIEWLGGQDCAFLLLPCFPKCLLFMHARCSIYATPKLVLQLMGVNGLTISHVKSHLQMLRLTCARTGTGMKEIQPQLQRKHSCGADEQGPKAFMCPPLKRTRTGTEATSKGMQGSQGISEMRTPGTQYCIDDYMHALAMERRIKEEGLRWQRDTATAASSLQTVGCLEQGSGDFKIIKPEARHPGPPVVKKQGPKANNVNGCFLFSSAASDGQDGTPEQCSLSLSLGQYPKCFRTVSSSPSEGSCIISSSPRSSSDCSGHSACSNAPGVNLELSLSICGS* >Brasy9G251300.2.p pacid=40064806 transcript=Brasy9G251300.2 locus=Brasy9G251300 ID=Brasy9G251300.2.v1.1 annot-version=v1.1 MGGGKKEAVRQYVRSKVPRMKWTAELHGRFLKAIEWLGGQDYATPKLVLQLMGVNGLTISHVKSHLQMLRLTCARTGTGMKEIQPQLQRKHSCGADEQGPKAFMCPPLKRTRTGTEATSKGMQGSQGISEMRTPGTQYCIDDYMHALAMERRIKEEGLRWQRDTATAASSLQTVGCLEQGSGDFKIIKPEARHPGPPVVKKQGPKANNVNGCFLFSSAASDGQDGTPEQCSLSLSLGQYPKCFRTVSSSPSEGSCIISSSPRSSSDCSGHSACSNAPGVNLELSLSICGS* >Brasy9G251300.3.p pacid=40064807 transcript=Brasy9G251300.3 locus=Brasy9G251300 ID=Brasy9G251300.3.v1.1 annot-version=v1.1 MMPFLLFLPADATPKLVLQLMGVNGLTISHVKSHLQMLRLTCARTGTGMKEIQPQLQRKHSCGADEQGPKAFMCPPLKRTRTGTEATSKGMQGSQGISEMRTPGTQYCIDDYMHALAMERRIKEEGLRWQRDTATAASSLQTVGCLEQGSGDFKIIKPEARHPGPPVVKKQGPKANNVNGCFLFSSAASDGQDGTPEQCSLSLSLGQYPKCFRTVSSSPSEGSCIISSSPRSSSDCSGHSACSNAPGVNLELSLSICGS* >Brasy9G287600.1.p pacid=40064808 transcript=Brasy9G287600.1 locus=Brasy9G287600 ID=Brasy9G287600.1.v1.1 annot-version=v1.1 MSSSAAMEAIDELVQLSESMRQAASLLADDDPSDDAAPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSTKSIVIQIDSKSQQVSASALRHSLQDRLGKAVSSGRSRTDEIYLKLRTSTAPPLKLIDLPGIDQRVIDDSTINEFAGHNDAILIVVIPAMQAAEVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTVACVQAILSNKGPRAATEIEWVALIGQSVALASAQSGSVGSENSLETAWHAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKVRLPSLLSGLQGKSQIVKDELARLGEQKVESTEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLSNVKRVVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNATANGTPGLGRYPPFKREVITIASNALDTFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKTRSSKKAQDAEQSMMNKGSSAQTGSEAGGSLKSSKDKSSQQDKDSKEGSNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSSKDSKKANGSEKGPSLVFKITNRVAYKTVLKAHSAVILKAENMADKIEWMKKIRGIIQSKGGSVKGPNAPEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISSQSNAKIEELLQEDHNAKRRREKAQKQSSLLSKLTRQLSIHDNRAAVASYSSDNSGTESSPQSPSHAGEDWRSAFDSAANGSADRSSSHNESRSRSADSRGRRYENGDANGANSGSRRTPNRLPPAPPGQR* >Brasy9G106300.1.p pacid=40064809 transcript=Brasy9G106300.1 locus=Brasy9G106300 ID=Brasy9G106300.1.v1.1 annot-version=v1.1 MVNGGCAAAPGDGARGGRGGFLRGSGGGMLLSRSTCLVTCSGAEFFRHGQAPTAAAASGEPSACPEEAGRRNARNRKSSVRPPRTRAPVRYPIAAKKVATGHRLGGWMHPVVVP* >Brasy9G328600.1.p pacid=40064810 transcript=Brasy9G328600.1 locus=Brasy9G328600 ID=Brasy9G328600.1.v1.1 annot-version=v1.1 MEDIPRGSGHSTNGTEPELEPMILDDDGESRSRTIDDSNEQSSMGIDSDRSSMDVDMKGKSSLDGDGKGKYSSESREEFPIDMSLTSLEKFCKEASRSFFDEIGLISHQINSYNDFISHGLQELLDSLGEVTVDPSYDPSKKGPGGWRHAIVKFGKVKLQEPVFWSDKCEDKFEETLKLKPRHARLQNMTYSSKMEVEMNIQVYSMEKSDKAKTENDHFGHKRDIINETHWVSLGRLPVMVNSNLCWLHKLGESDCLFDSGGYFLIKGMEKIFIAQEQRCLTRIWVDDRPCWTVSYMSEIKRKRIYVKLIDSTKSSDFSESKIISISFLYANMPVWLMFFALGISSDKEVFDIIDFKDSDASVINMISATISESDELCEGFRKSDKARQYVDDLVKSSKFPPAESFDDYVARFLFPGISGNRNKAFFLGYMVKCLLMAFTGKRKCDNRDDFRNKRLELPGELLGRELRAHLRHAERLMVKAMQRDLNSDRDLQFPLGYLDSSIITNGINRAFATGSWCHPYKRNERCSGVVATLRRTNPLQMMSDLRKSRQQVAYAGKAGDARYPNPSYWGKMCFMSTPDGENCGLVKNLAVTAIVSSRVVQPLIDRFVSCGMKKLDEIPAEQIPKMDKILLNGNWVGSCTDPASFVMRLRCMRRGNLIDPQVEIKRDKHQIPGEVRVFSDAGRILRPLLVVENLNKIRKPKDGSYSFQALMQQEIIEYIGVEEEEDILCAWGIRHLFSGSGEDFSGYTHCELDLSFLLGLSCGLIPFANHNFARRVLYQSEKHSHQAIGYSTTNQLTRVDTLSHQLYYPQRPLFKTVTADCIGRSDYTIGRTDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTELIRSYKADVETKEPAKRLKLKEKVDFGKMQSKRGRVDSLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEEKKFAVVTLRQVRSPCVGDKFSSMHGQKGVIGFLESQENFPFTCQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGSAMRYATPFTTASLEVISEQLHKAGFSGGGTESVINGQTGERMHSLIFMGPNFYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFAQMHICQTCERAANVVMRAIPGGKKIRGPYCGFCRSSENKVRIAVPYGAKLLYQELFSMGICLKFKTELC* >Brasy9G083200.1.p pacid=40064811 transcript=Brasy9G083200.1 locus=Brasy9G083200 ID=Brasy9G083200.1.v1.1 annot-version=v1.1 MCLQASRSGSLSSSMKEARTWFCPTIKCEEALRRELEYRKRLEVTHPHLLIALNGGPAVPNDMCTPPTSGMLKRKSAPEINIPLPQSSFSFATARSQPANWQSSKKKVIVPPSPSQALQIPRANSVPSFWCKICKVDCVTEFNFNSHIGGKKHKAKKIEILGNRTTGRPGIQFAGNMNPGPNDRAVSGSRNYQPNVGSSSIAGPSSNMSSGV* >Brasy9G262800.1.p pacid=40064812 transcript=Brasy9G262800.1 locus=Brasy9G262800 ID=Brasy9G262800.1.v1.1 annot-version=v1.1 MAQLLLRKVWGSVLARAGAPPRGDPESSGSNSSSSRRRAPRAAEHHDHYASLGALDAVPIDVLAQILRLLGPADAARSSAVCRTWRLVASENGLWAFFLSLGPDPWDLVVFAETHLAAGPASSPPSVHCRSVRVSPQLSFKRIYGQRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMHVKSSARPIIVVLPLCHSDDTESARASRKQYKETLYTVLFDMNVPAVCAVDQALVALYAAKRTSGIVVNIGFNTTSVVPIFQGRVMHEIGIETVGQGALKLTGFLKELMQQRNIPFESLYTVRTIKEKICYAASDYEAELSKDTQASCEVDGEGWFTLSEERFKMAEILFQPQIGGTQAMGLHKAVALCMDHCYNAEVLGDHSWFKTVVLAGGSSCLPGLPERLEKELRKLLPAYISEGIRVLPPPFGTDSAWFGAKMIGNVSTFADAWCVNKKQFRQKARRTGGPSLANAWV* >Brasy9G133800.1.p pacid=40064813 transcript=Brasy9G133800.1 locus=Brasy9G133800 ID=Brasy9G133800.1.v1.1 annot-version=v1.1 MDRGQQGVSLQRFGQPRMQYQHGGGGGGNRSRMPPFARGGGGGAYSRGQKQFYPPPPPPPPPPIPAGPPRQNRYEVLMEAGRLAAEYLVAKGVLPAASLQRGGGGVGTVGWGQMPPPPPLPQAQEAPGFYDSRRNGRQRLNDEQSSPNPRSRRNHGGDYSNSNNNDYNGRGKRKFGAYNRNSDWGRDRERNRGYSDSRNYDDGEEEVGAPGYRRDRRGGGVIDEVGSSVSGVAGEGPASKVEAVGESELEDTGSKVSSSSNVRKDADAVQEVQDDNETNKMQEDCKVSNSEVVVQGRNDEIISNNASFGIVEETEIRHPPVPSDDNVSDEKHDDTTVMDEKAEDDTTLDEKDDKASDAKMSSVENNLGDDCKNLLNYCSFARAPTRPRSILGHRNGAPAHRQTSLAKQADLVPETATNGLVCLEHTGPSVACDQMVEQVRLQENVTQDGLEHSTAQDCAVQEIKEHNGLSPTLASHHIREGLIDSADKGKTVSVELLPNRGVEAVGTMEDEKLDQSSSFKIRDLNLIGSPELAEIRNDPGLGQCSNIVCSSSLDAQNQQHLDFQAVVGNTASHADRYAQIPLDNKVVQVIDIEDDSPIEPSACDTSRTKSEMVYSNMDNMIDPTVNTSILPGMQDGYNLAIPDFLGADMPCYPPIQTDLHAEMGLNGSEVITVMDDPIYGSLSDIGFMEVWDQQPPDYEKFF* >Brasy9G021100.1.p pacid=40064814 transcript=Brasy9G021100.1 locus=Brasy9G021100 ID=Brasy9G021100.1.v1.1 annot-version=v1.1 MDPSPAGGDNRADGKKRKAPLNSDAGDSLQTWRDIATVVPIDFPADAVRMMTELAEEKEIRAILRADEQHDKHVQITPIKRPREGTPDADDDAPVAYKTCAPTEEPTQVDDDSQESNESKDSSTTPPQQWPSHARHNKDKAKGTLKGLAASRKRLKSRTQKLSIEFSPNLGGPCGDNARTFVDEVVTYTRLAAPLIGVKRWKDIHQIVKNKIVNDVMRGWDLANKADAKEIILQIAKERYKGWRSSFSATYRAYKNYDDRMRHTPEDLHILEWHYLILYFGSSKFKVINFFLKLRT* >Brasy9G300500.1.p pacid=40064815 transcript=Brasy9G300500.1 locus=Brasy9G300500 ID=Brasy9G300500.1.v1.1 annot-version=v1.1 MPPKSRKVKGGRGRPRKAHGKAAAVPEPSPDHEYPYDAEAPNAAAAAHALEHLDVSADAAEDAPVETPPPQPEVPAPPPPAQPHMEASSSGRAAADGGREEEAMRRLQELVGIGREEVELTEEEARANDQRQEDEICALEAIFGDTVVIFNRNEGQRSFQVHVHIEIPDGTDVSARLSYGAGTLNYKGIRDRDASDDLVYKFRVEHLPPILLTCLLPSSYPSHQPPFFTMSTEWLDKVMISSLCHMLDMIWEEQQGMEVIYQWVQWLQSSSLSYLGFDNEIVLSKGGLTSVEDGGDKRACPDNAAPDVTIPRIIRYNDDKRHEAFLYAIHDCMICFSEFPGVDFIKLPCHHFFCQKCMQTYCKMHVKEGTVVKLLCPDAKCQGIVPPNILKRLLGKDEFERWEGLLLQRTLDAMADVVYCPRCQTACLEDAGDEAVCSGCLFSFCTLCRERRHVGVECLSPEEKLLILEKRQKSGLVNGDIQKIMDEVRSVKEILKDAKQCPRCKIAISKTEGCNKMTCTNCGRFFCYQCNAAISGYDHFKGDCVVFDQEELDRWELQNRHQRQAVAQAHADMFEGEYAYPCPTCRQPTPKIGNNNHLFCWACQRHFCALCRKHVHKTSEHFGPKGCKQHTADP* >Brasy9G232500.1.p pacid=40064816 transcript=Brasy9G232500.1 locus=Brasy9G232500 ID=Brasy9G232500.1.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSSLIGVSPEFELALYTLCFFMGGEDNRVDIGPYTVNVKCYRMGNNKIGSAFPIAEN* >Brasy9G232500.5.p pacid=40064817 transcript=Brasy9G232500.5 locus=Brasy9G232500 ID=Brasy9G232500.5.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSSLIGVSPEFELALYTLCFFMGGEDNRVDIGPYTVNVKCYRMGNNKIGSAFPIAEN* >Brasy9G232500.2.p pacid=40064818 transcript=Brasy9G232500.2 locus=Brasy9G232500 ID=Brasy9G232500.2.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSSLIGVSPEFELALYTLCFFMGGEDNRVDIGPYTVNVKCYRMGNNKIGSAFPIAEN* >Brasy9G232500.4.p pacid=40064819 transcript=Brasy9G232500.4 locus=Brasy9G232500 ID=Brasy9G232500.4.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESGAFRCL* >Brasy9G232500.6.p pacid=40064820 transcript=Brasy9G232500.6 locus=Brasy9G232500 ID=Brasy9G232500.6.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESVSIWV* >Brasy9G232500.3.p pacid=40064821 transcript=Brasy9G232500.3 locus=Brasy9G232500 ID=Brasy9G232500.3.v1.1 annot-version=v1.1 MEGLIKGLVKVAIDAVEGAPRERDDGGDEARRSHRPARDDQEEEGREQRDRSTWAEVVSDQKGGEPKEERRGPRPTRREERRNDEGWEKVGGRQQQQHPSADGDFRSQGEDRRGGSSRPPQQQAAGYRRQQQEGEERNDGGWETVGEKKHHGRPCQSEAWNTYKRPPSEQQYSQDVGQIHHGLNVEPTREELNSLSEACNRLWELDLNRLVPGKDYKIECGEGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVSSQDYDDFKTMLKSLWFNLYGRCGNSSSSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESVSIWV* >BrasyJ002200.1.p pacid=40064822 transcript=BrasyJ002200.1 locus=BrasyJ002200 ID=BrasyJ002200.1.v1.1 annot-version=v1.1 MLLSQLWKILSERADFSRNFAIPQSCPMNEPPTCSLALKSLEVTNGFSTPQAPKHKLILPGPSVTTSALKMKRGRKPPLVITEVRRSPRISEKNQGFRRQSCVDKNCLACSAKAPEIPKKIVRNLSERFGLSADREESSTEASNKKAKKSLANEDTPKKKAKK* >BrasyJ045100.1.p pacid=40064823 transcript=BrasyJ045100.1 locus=BrasyJ045100 ID=BrasyJ045100.1.v1.1 annot-version=v1.1 MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYVKTTGGVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRNKGAAAGN* >BrasyJ045000.1.p pacid=40064824 transcript=BrasyJ045000.1 locus=BrasyJ045000 ID=BrasyJ045000.1.v1.1 annot-version=v1.1 MSAGGLDGCSPPPPPHLLEVTVISAQDLHRGRLGRRRVRAYAMAWTDGARKLRTGVDLAGGADPTWNDRFLFRVDPGFIRSETASVDVEVRGARSLLGGDAVLGHTRIVVSTFVSSRGDGRPVGGRQVAALQLRRPRSLRPQGIVNVAVALLDGATHAVPPVCNAPGSPDAFAVKDLMAAATPAAGREGRRGGAGKLEMKLEKWKADLSPDHGEEGGRGGKSARRRRRRSSCFFGSKEDWER* >BrasyJ097500.1.p pacid=40064825 transcript=BrasyJ097500.1 locus=BrasyJ097500 ID=BrasyJ097500.1.v1.1 annot-version=v1.1 MEPAPNAPRGGPASTPEEAAGTSSSSASVEKAEKHEQEVQPRERGGQQQQLAVQAGGHLQPQPLSQQPPPLSAPVPAGLSRYESQKRRDWNTFLQYLSNHKPPLTLARCSGAHAIEFLKYLDQFGKTKVHADGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGSRSGSAGPVAASPPVVPAEATSGGGKDDEDQPSRSAEQRQQTTPVVFATTQTTSSSAGATTATAATKATPTPRGKEPAEGSA* >BrasyJ090500.1.p pacid=40064826 transcript=BrasyJ090500.1 locus=BrasyJ090500 ID=BrasyJ090500.1.v1.1 annot-version=v1.1 MAGLSLQHPWAFAFGLLGNVISFMTYLAPLSTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINTAGCVIETIYIVVYLAYAPKQARLFTAKILLLLNVGVFGMILLLTLLLSEGEKRVVMLGWLCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFNLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYCNSTPRPAAKEVDAESDDGAAPKVPEHVVNIGKLGAVELKTTEVFIQPAIESPPTKENGADEQSKEGVVIGKVDKASQVEQVV* >BrasyJ090400.1.p pacid=40064827 transcript=BrasyJ090400.1 locus=BrasyJ090400 ID=BrasyJ090400.1.v1.1 annot-version=v1.1 MPRWRRGRSLLLLLRRAFILAALSAATLFLLLHHQGPKPPKSSSSPPAALAFSDEFSVEPPPTSAFSDELYVESRPAAVGLEEAAVGGGGAATCATVERMGEEAVSSGSTEAASLRVRELIQRHFLLHGAARVRSLPAFEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNTRVDNFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPDMKNAASALFGSPGSLNARPNTFGELMRVIISPSQTVQKAVQWASKGSSPHIVLHMRMMANRPVRARTAAISCIQRAIQISHLKGTPRVALISDTPSFVKEIKQEISEFAEVIYFDYKLFAKSFGLEMNGSGKPLDFRSRDWGSAPRWAAFVDFFLASSARYAVVTGAHRRVGTTYAQLTAALAAANGYGLEPSSANFTFLSSIHSNLLVDGLSTQVGWGHIWNRFAGPLSCQRQPHQCALTPLVPHAWWDGQWQSPIPRDVRRLLEYGVRISNTGEVDEKHLASHCESRKDHVKRYHVLAPGKRSTRL* >BrasyJ090400.4.p pacid=40064828 transcript=BrasyJ090400.4 locus=BrasyJ090400 ID=BrasyJ090400.4.v1.1 annot-version=v1.1 MPRWRRGRSLLLLLRRAFILAALSAATLFLLLHHQGPKPPKSSSSPPAALAFSDEFSVEPPPTSAFSDELYVESRPAAVGLEEAAVGGGGAATCATVERMGEEAVSSGSTEAASLRVRELIQRHFLLHGAARVRSLPAFEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNTRVDNFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPDMKNAASALFGSPGSLNARPNTFGELMRVIISPSQTVQKAVQWASKGSSPHIVLHMRMMANRPVRARTAAISCIQRAIQISHLKGTPRVALISDTPSFVKEIKQEISEFAEVIYFDYKLFAKSFGLEMNGSGKPLDFRSRDWGSAPRWAAFVDFFLASSARYAVVTGAHRRVGTTYAQLTAALAAANGYGLEPSSANFTFLSSIHSNLLVDGLSTQVGWGHIWNRFAGPLSCQRQPHQCALTPLVPHAWWDGQWQSPIPRDVRRLLEYGVRISNTGEVDEKHLASHCESRKDHVKRYHVLAPGKRSTRL* >BrasyJ090400.5.p pacid=40064829 transcript=BrasyJ090400.5 locus=BrasyJ090400 ID=BrasyJ090400.5.v1.1 annot-version=v1.1 MPRWRRGRSLLLLLRRAFILAALSAATLFLLLHHQGPKPPKSSSSPPAALAFSDEFSVEPPPTSAFSDELYVESRPAAVGLEEAAVGGGGAATCATVERMGEEAVSSGSTEAASLRVRELIQRHFLLHGAARVRSLPAFEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNTRVDNFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPDMKNAASALFGSPGSLNARPNTFGELMRVIISPSQTVQKAVQWASKGSSPHIVLHMRMMANRPVRARTAAISCIQRAIQISHLKGTPRVALISDTPSFVKEIKQEISEFAEVIYFDYKLFAKSFGLEMNGSGKPLDFRSRDWGSAPRWAAFVDFFLASSARYAVVTGAHRRVGTTYAQLTAALAAANGYGLEPSSANFTFLSSIHSNLLVDGLSTQVGWGHIWNRFAGPLSCQRQPHQCALTPLVPHAWWDGQWQSPIPRDVRRLLEYGVRISNTGEVDEKHLASHCESRKDHVKRYHVLAPGKRSTRL* >BrasyJ090400.2.p pacid=40064830 transcript=BrasyJ090400.2 locus=BrasyJ090400 ID=BrasyJ090400.2.v1.1 annot-version=v1.1 MPRWRRGRSLLLLLRRAFILAALSAATLFLLLHHQGPKPPKSSSSPPAALAFSDEFSVEPPPTSAFSDELYVESRPAAVGLEEAAVGGGGAATCATVERMGEEAVSSGSTEAASLRVRELIQRHFLLHGAARVRSLPAFEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNTRVDNFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPDMKNAASALFGSPGSLNARPNTFGELMRVIISPSQTVQKAVQWASKGSSPHIVLHMRMMANRPVRARTAAISCIQRAIQISHLKGTPRVALISDTPSFVKEIKQEISEFAEVIYFDYKLFAKSFGLEMNGSGKCQVCSCHWSTSTRGDNLRAADCCIGCS* >BrasyJ090400.3.p pacid=40064831 transcript=BrasyJ090400.3 locus=BrasyJ090400 ID=BrasyJ090400.3.v1.1 annot-version=v1.1 MPRWRRGRSLLLLLRRAFILAALSAATLFLLLHHQGPKPPKSSSSPPAALAFSDEFSVEPPPTSAFSDELYVESRPAAVGLEEAAVGGGGAATCATVERMGEEAVSSGSTEAASLRVRELIQRHFLLHGAARVRSLPAFEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNTRVDNFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPDMKNAASALFGSPGSLNARPNTFGELMRVIISPSQTVQKAVQWASKGSSPHIVLHMRMMANSLRMSPTRIMQIIFICTSSSSYDLRS* >BrasyJ090300.1.p pacid=40064832 transcript=BrasyJ090300.1 locus=BrasyJ090300 ID=BrasyJ090300.1.v1.1 annot-version=v1.1 MCSRMGTLNCSDTTSSVKLQQQQGPTSPTASFSESNIVASSTDPDAIDALAGLQALRFDGDIDGEIQSPDLAMWESLFADQIGASGADFLMSSPRREFSPLRDFMVSSPKRDYMVSSPKRDYMMSSPKRDYMMSSPKRDYMVSSPKREMGVSSPRRSTFSNLYSSTINQANHQSYMHGMEGSPQTQYSNLASHGSKGKSSPSPLHKVYINNVNAHSNSSKSNGPSSLSCSSSYAHGENLPLPSMDPFLEEYKEAGYLAYQLPEKAGSSESAGTTAPTSSQLPTLSECLAMPEPGYGGGDDEAAAAQGIQVGGLQKPDHLYYASPFGAAEGSLSSLQHQMAKPEQWADSSSLHSMLGSVIQSEADQQQEQDSGLQLVHLLLACADLVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLACPSAVVSPGGAPFPFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFQGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADKLERLRPAALQRRVGEALAVNAVNRLHRVPGAHLAPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRIMEGRGFEAVPLSPAAVGQSQVLLGLYGAGDGYRLNEDKGCLLLGWQDRAIIGASAWRC* >BrasyJ099100.1.p pacid=40064833 transcript=BrasyJ099100.1 locus=BrasyJ099100 ID=BrasyJ099100.1.v1.1 annot-version=v1.1 MYRMLIRESELLGLEPGGLLREKILSFSSTPGGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGGDNHSGPGRGGDTSLS* >BrasyJ098800.1.p pacid=40064834 transcript=BrasyJ098800.1 locus=BrasyJ098800 ID=BrasyJ098800.1.v1.1 annot-version=v1.1 MGDHWSDASGETNSQGVTGVPLFSNRAPSLSEHSAFLVCSTHSTPSTQSRDQNHTLDHTFHERKGQAAAAKPRSPSLDSVNQSYLIGHKGIFTIRDVCTY* >BrasyJ099300.1.p pacid=40064835 transcript=BrasyJ099300.1 locus=BrasyJ099300 ID=BrasyJ099300.1.v1.1 annot-version=v1.1 MRRLFLEQFHKQIFPSTPITSFFLFLSYIVVTPLIIGFEKDFSCHSHLGSIRIPLLFPFPPEPFPRNDKESGTLELYYLSAYCLPKILLLQLVGHRVIQISRVFCAFPMLQLPYQFDRSGMDRLNILLGSPVLTLLCGIHSRSALGITSSSGWNSSQNPTTSPTLLPPTVSRTSIETEGFHVLSSIGYSSPFVSLYPISVSISSQD* >BrasyJ097700.1.p pacid=40064836 transcript=BrasyJ097700.1 locus=BrasyJ097700 ID=BrasyJ097700.1.v1.1 annot-version=v1.1 RAGRLSYRAIEAARRATIGQFHRAMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS* >BrasyJ099200.1.p pacid=40064837 transcript=BrasyJ099200.1 locus=BrasyJ099200 ID=BrasyJ099200.1.v1.1 annot-version=v1.1 MSGPAKEDHYGSERGGQERFEDRKTYSHKRITRERKQLHILLACLSDLLSNCHGSTTEDWLLRPSTRSWAIPDRQADGVDSLYMDGRNASTAEP* >BrasyJ098600.1.p pacid=40064838 transcript=BrasyJ098600.1 locus=BrasyJ098600 ID=BrasyJ098600.1.v1.1 annot-version=v1.1 MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT* >BrasyJ098500.1.p pacid=40064839 transcript=BrasyJ098500.1 locus=BrasyJ098500 ID=BrasyJ098500.1.v1.1 annot-version=v1.1 MFRSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCGRCSFARACEPPDHKERCLSGHLRMIRAV* >BrasyJ097900.1.p pacid=40064840 transcript=BrasyJ097900.1 locus=BrasyJ097900 ID=BrasyJ097900.1.v1.1 annot-version=v1.1 MSITHLRLVRTEGQCPPHWAHQRSNCYLIGRFAFVQGPRCWNRRLSFSSKRPAPEGRPRSIGKTLRKKNVSPRPRPAGPPPFFARRPPSSLFFEIWIESRFGAGEAWIR* >BrasyJ098700.1.p pacid=40064841 transcript=BrasyJ098700.1 locus=BrasyJ098700 ID=BrasyJ098700.1.v1.1 annot-version=v1.1 MQDASGMIDRTEARAAFFPPRPSLCVGDTKRVHRKRRGTESIYSMAKHPKHNCTLTRSLPRDRSIRWNR* >BrasyJ097800.1.p pacid=40064842 transcript=BrasyJ097800.1 locus=BrasyJ097800 ID=BrasyJ097800.1.v1.1 annot-version=v1.1 MGDYLARFREHVYVVCAGEWKPPPQKRSGSSHGSVTIDSIYYYGKSLYQDVNLRSYFSSIRPPTILTFGFRLGRCIILHFPKRTFIHFFLPRRPLRLKRRDKSRPGKDKGRWWAFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNLDKSLRVSGAFRHPKYAGVVNDIAFLIENDDSFRKTKLFKFFLPKKSRSDGPTSHLQKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVVVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFVESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDGVGVYNNPFFEYAREQLLGQLRIKCRNLMGKDKVMELIDKFIDLGRIGKLIKGIEMMIEIILRKRIIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSQIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI* >BrasyJ098000.1.p pacid=40064843 transcript=BrasyJ098000.1 locus=BrasyJ098000 ID=BrasyJ098000.1.v1.1 annot-version=v1.1 MARKGNPISVRLDLNRSSDPSRFSEGDRESHRMGSVRLFLIFVCPPQKRQDHRKKPGTRACRERRTRGGRASTVSPGHS* >BrasyJ098900.1.p pacid=40064844 transcript=BrasyJ098900.1 locus=BrasyJ098900 ID=BrasyJ098900.1.v1.1 annot-version=v1.1 MAFVQRRKGPDVVGSFGLLQPLADGFKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSKTGGK* >BrasyJ098200.1.p pacid=40064845 transcript=BrasyJ098200.1 locus=BrasyJ098200 ID=BrasyJ098200.1.v1.1 annot-version=v1.1 MDIVSLAWAALMVIFTFSLSLVVWGRSGL* >BrasyJ098100.1.p pacid=40064846 transcript=BrasyJ098100.1 locus=BrasyJ098100 ID=BrasyJ098100.1.v1.1 annot-version=v1.1 MRLLLPFERGRPLLQLSSDDSSPAVDSDLSQQKKRKEKSNESEYNQSIAFDDSLTASSTQASISCSRHRRLPAPVHERWMKVSLTTNSRMLGTISFRGITPVERPSSSLFLNLGERQKRSPERPRLFQGKEGDRMRDGVPAEWNGHPWGQLCKTEFTIKLDGKTTTKITRNPAQSEWRENPCALEGSEAVRTLIDK* >BrasyJ098300.1.p pacid=40064847 transcript=BrasyJ098300.1 locus=BrasyJ098300 ID=BrasyJ098300.1.v1.1 annot-version=v1.1 MEVNIIAFIATALFILVYTALLLLICVKTVGQNDEFESNLNY* >BrasyJ098400.1.p pacid=40064848 transcript=BrasyJ098400.1 locus=BrasyJ098400 ID=BrasyJ098400.1.v1.1 annot-version=v1.1 MTTGSSVYSTSIHHFELYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR* >BrasyJ099000.1.p pacid=40064849 transcript=BrasyJ099000.1 locus=BrasyJ099000 ID=BrasyJ099000.1.v1.1 annot-version=v1.1 MRLLAPAFKFHFKGGRRTMILSVLSSPALVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMISPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVLTMHRTTKVKRQDVFRRNALDSRRTIMRRTTDQITIY* >BrasyJ095000.1.p pacid=40064850 transcript=BrasyJ095000.1 locus=BrasyJ095000 ID=BrasyJ095000.1.v1.1 annot-version=v1.1 MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKQIRK* >BrasyJ094200.1.p pacid=40064851 transcript=BrasyJ094200.1 locus=BrasyJ094200 ID=BrasyJ094200.1.v1.1 annot-version=v1.1 MPQLDKLTYFSQFFWLCLLLFTFYILLFNNNNGILGISRILKLRNQLLSHRGNKIRSKDTPNLEEISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSII* >BrasyJ094400.1.p pacid=40064852 transcript=BrasyJ094400.1 locus=BrasyJ094400 ID=BrasyJ094400.1.v1.1 annot-version=v1.1 MQQGWLSNWLVKHEVVHRSWDSIAVILYVYGYNYLRSQSHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSADFKERESYDMVGISYDNHPRLKRILMPVDRLALT* >BrasyJ094500.1.p pacid=40064853 transcript=BrasyJ094500.1 locus=BrasyJ094500 ID=BrasyJ094500.1.v1.1 annot-version=v1.1 MIFISCCWITSLTLRTLPITIVYTIKKEKMTMKKITNRASTYSLTTEMLLNNVYSRVERTKHLNPHPGLIIATHFFKEPYPLVNETNLLSLAIMDLLILFGYPYPYAVDSYCKFTIIYKIISPHHGEEITLTIGTAIPLTDEDNVLIPHNEIYHNVVNQVKKHSEIYDGSEIVRLTIRIFTECKKMSGPLVSLSIEDRAIMLSRIMDDPEVLIDGTDINQRPTRKIQNRKRMYPMYIKALKPPITKLRAFIVADIDTLLYKGENDEDETQYPYSAGFLVVRPGEPPNKRRIEIYYSEDYKMIMDHFPDRSTKLLSDMIRRISTAVKHDKVKTIYLHNFARFDGIFLFKHLVPHHKEYKYKGLMRDNMLYEIAVYSNTSKRRRMLFRFRDSLHLLPGNLASLANNLCQELGVKGSIDHDMVSVNNLESNKQENKEYLKQDILILGGILLKAQDIYSKMFNVDIESKITVSSLALSIFRMQYYDDNNKAIYIPNRNQDSFIRRGYYGGHTDTCIPYGKDLYYYDVNSLYPFVMKEFPMPGGKPVWHRDLTKMHLEDMFGFIEAFIICPSGMKRPFLPYRKADDTLIFPTGKFVDVYFTEEFKYAVSIGYLVYPISGYLF* >BrasyJ094600.1.p pacid=40064854 transcript=BrasyJ094600.1 locus=BrasyJ094600 ID=BrasyJ094600.1.v1.1 annot-version=v1.1 MAFPKKVSLSWLPPEHFCECYFDLSGPILCPVLGSITPLFIPNSSIRPIRLIGLCVSLITFLYPPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITAFLIREFLMIAVSCMLDPLLFYVLSESVPIPMFSKGPREAFGKRGSLVRKHSISGRTTEPHIFFWWEGTPSSGYLVGPRPAYSGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREVSIFLPFLVGGATVR* >BrasyJ094700.1.p pacid=40064855 transcript=BrasyJ094700.1 locus=BrasyJ094700 ID=BrasyJ094700.1.v1.1 annot-version=v1.1 MTPADLTLPTPGANPLAVLPPRRRTGARVEPWISGVTAVEGIKILRPHNIGAYAKEDRVGVGVYDVIL* >BrasyJ094900.1.p pacid=40064856 transcript=BrasyJ094900.1 locus=BrasyJ094900 ID=BrasyJ094900.1.v1.1 annot-version=v1.1 MGDHWSDASGETNSQGVTGVPLFSNRAPSLSEHSAFLVCSTHSTPSTQSRDQNHTLDHTFHERKGQAAAAKPRSPSLDSVNQSYLIGHKGIFTIRDVCTY* >BrasyJ094800.1.p pacid=40064857 transcript=BrasyJ094800.1 locus=BrasyJ094800 ID=BrasyJ094800.1.v1.1 annot-version=v1.1 MDMQEGVLLGVCRGCVCSREWIPRQVRGVWTHLREFG* >BrasyJ094300.1.p pacid=40064858 transcript=BrasyJ094300.1 locus=BrasyJ094300 ID=BrasyJ094300.1.v1.1 annot-version=v1.1 MPQIHFSFELLIFFLNFAPETFLGEVRIRSVRILIGLGFTWFTRYWFPEESISPLAKPFITLPLDSYFVCTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRILHLSGSRFSLFLLLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLITAALSTPPDIWCQTVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRGSIEKKEE* >BrasyJ095100.1.p pacid=40064859 transcript=BrasyJ095100.1 locus=BrasyJ095100 ID=BrasyJ095100.1.v1.1 annot-version=v1.1 MPQLDKLTYFSQFFWLCLLLFTFYILLFNNNNGILGISRILKLRNQLLSHRGNKIRSKDTPNLEEISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSII* >BrasyJ050600.1.p pacid=40064860 transcript=BrasyJ050600.1 locus=BrasyJ050600 ID=BrasyJ050600.1.v1.1 annot-version=v1.1 MASLWQRKKKTTAAARPARRRTSSLGALWRRVAGGKKSKAKKTKTKKSKSKAGTTLSRAFRVFSCVRAGRTANRAGRRW* >BrasyJ097600.1.p pacid=40064861 transcript=BrasyJ097600.1 locus=BrasyJ097600 ID=BrasyJ097600.1.v1.1 annot-version=v1.1 MRSVLGDAQINKAKLKAAVDREEFVFCELRDLSSRLQLNSPLNSRPVTDRSSFWSNRAKSNDFVLLRDRVDQNRKYFDSCRGALIHIHQAFWPLREVPESLGALMQKFESGAVFKELVHRQLIRGAKVALAYVHIKFPDLDLSRISELPFTPGVEINLRPYYNAVFLQALQIINRRDFRRVNLPGARPVLPVGAAPESGCHCFQW* >BrasyJ022700.1.p pacid=40064862 transcript=BrasyJ022700.1 locus=BrasyJ022700 ID=BrasyJ022700.1.v1.1 annot-version=v1.1 MSPAMINVCSAICSLATENAQVPMLSRTHGQPASPTTLGKEMANFAARLSDIGKSFAEVNILGKFAGAVGNYNADVVAYPEIDWPKMTEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNIVLTDFDRDVWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNFSVSNGTLHTLSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATMNGIKKLEVNKVRLDEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEEARSSLLKLTPHSYTGEAEKLARNIVNVVDLRSGFKIK* >BrasyJ086700.1.p pacid=40064863 transcript=BrasyJ086700.1 locus=BrasyJ086700 ID=BrasyJ086700.1.v1.1 annot-version=v1.1 MASPGKMEGPSAPALRRDPYEVLSVSRDSSDQEIKSAYRKLALKYHPDKNVSNPEASELFKEVAYSYSILSDPEKRRHYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPTVLEEAMNGTVTVRPLPVGTSATGKVEKQSAHFFGVTISEEQAHLGIVVRVTSVAQSKFKLLFFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSTLKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEETTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTTRYTQEKEAVDDMLRERDDIHSSFTTERTMVSSVGSGSSSSRYPTEQSRTESPENGNIDGRDKSSKKKWFNLNLNRSDKKA* >BrasyJ086900.1.p pacid=40064864 transcript=BrasyJ086900.1 locus=BrasyJ086900 ID=BrasyJ086900.1.v1.1 annot-version=v1.1 MGFDGLVVVSDPYLQRRFTQTDLRALQAQYAALRDAAPSGRLRLRDLPAALTSLGRATSTAGGKGGEVRGDAEKENSSPEAGLTDEEWASVLNAVARPDHKPPQHDVNFEFFLRVYAEMQLRLKGARKARGDGGIKRSSSSSAAFLTASTTTLLHTISESEKASYVGHINAYLAEDPFLKNALPVDPATDQLFHLTKDGVLLCKLINLAVPGTIDERAINTKRLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDNQEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLSSKDIVDGLQNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVMDKLAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKEINFSLVNIAGNDIVQGSKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILLWANKKVKDSGKHSRMESFKDRSLSSGIFFVNLLSAVEPRVVNWSLVTKGEKAEEKQMNASYIISVARKLGCSIFLLPEDVLEVNQKMMLTLTASIMYWHLKRPTSYSLDPENSSSTETSSISTSDDSDAESSIDDSGTR* >BrasyJ086800.1.p pacid=40064865 transcript=BrasyJ086800.1 locus=BrasyJ086800 ID=BrasyJ086800.1.v1.1 annot-version=v1.1 MAEMFSELRGCSKSCRKDCSASSPICSPSLAIPNLCIRIFCWRLMPAEGACLSARRRLRRGEQGDTTIRRSRRVVGRGRIWEEGCFTLDLKLAISRSASTRESTPGDSEAACATGDRLTTKALRAGCTSPTSAEPDLLGRKSQDESTSATAARSDVSMSI* >BrasyJ113100.1.p pacid=40064866 transcript=BrasyJ113100.1 locus=BrasyJ113100 ID=BrasyJ113100.1.v1.1 annot-version=v1.1 MAVAPRVRVDCIISTVDKTQPPNHAAVLSKSKNSRARCFTTVSSPSAPPPTTPAPPKSQNNEPTTYSAIATAHHDHPCPPIQAAMDKEARTQKNRGGMERGIPRAARRRRTGSPRGVHSRAPRGKKNGAACSPVERSRSMRRCRQRSRSTPPRRRRLRSRSPTAAPSSRGKMPRCPLEGKRRTEEEFARKEGRKKKKKKRR* >BrasyJ113000.1.p pacid=40064867 transcript=BrasyJ113000.1 locus=BrasyJ113000 ID=BrasyJ113000.1.v1.1 annot-version=v1.1 MAPTARLAADRRKDMATAETRIKKILRGRKAAPIKKHREGRGQILWPLGFLFSKFVRFFGLCKALSLRSSRTNKWQNRKVDFLNKKKMIERLKDKRKQVDPGRHDITFYWRDPDSKFCTICGEEKEHHLEPMCPYNYMSPDAYAPCKARLLLLGRGINSFPPRSGDEGDAPRQDDGVTLRRVRFLSCFVRVNNLPERCSPEQLVVLFNQFGPLWMWQFTLHTSGACRGFGYVTFRHREHAKEAIEALNCYILCDCKLRVDWAYPSA* >BrasyJ113000.2.p pacid=40064868 transcript=BrasyJ113000.2 locus=BrasyJ113000 ID=BrasyJ113000.2.v1.1 annot-version=v1.1 MAPTARLAADRRKDMATAETRIKKILRGQILWPLGFLFSKFVRFFGLCKALSLRSSRTNKWQNRKVDFLNKKKMIERLKDKRKQVDPGRHDITFYWRDPDSKFCTICGEEKEHHLEPMCPYNYMSPDAYAPCKARLLLLGRGINSFPPRSGDEGDAPRQDDGVTLRRVRFLSCFVRVNNLPERCSPEQLVVLFNQFGPLWMWQFTLHTSGACRGFGYVTFRHREHAKEAIEALNCYILCDCKLRVDWAYPSA* >BrasyJ113200.1.p pacid=40064869 transcript=BrasyJ113200.1 locus=BrasyJ113200 ID=BrasyJ113200.1.v1.1 annot-version=v1.1 MFVLTAEIMKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ113200.2.p pacid=40064870 transcript=BrasyJ113200.2 locus=BrasyJ113200 ID=BrasyJ113200.2.v1.1 annot-version=v1.1 MKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ113200.3.p pacid=40064871 transcript=BrasyJ113200.3 locus=BrasyJ113200 ID=BrasyJ113200.3.v1.1 annot-version=v1.1 MKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ113200.4.p pacid=40064872 transcript=BrasyJ113200.4 locus=BrasyJ113200 ID=BrasyJ113200.4.v1.1 annot-version=v1.1 MKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ113200.5.p pacid=40064873 transcript=BrasyJ113200.5 locus=BrasyJ113200 ID=BrasyJ113200.5.v1.1 annot-version=v1.1 MKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ113200.6.p pacid=40064874 transcript=BrasyJ113200.6 locus=BrasyJ113200 ID=BrasyJ113200.6.v1.1 annot-version=v1.1 MKLGINSFKMLAYWSDPSENYCYICGEADHFERFCPFNYIYGKYDPWCCRADCPSGQHKITSASYWKFLRCVVRVNNLPPSFKACELATLFKPFGKLLMWDAPSSGNVCQGYAAVVFKNREDGERAIDQLNGYDSDGHKLRIDWAYPCF* >BrasyJ010400.1.p pacid=40064875 transcript=BrasyJ010400.1 locus=BrasyJ010400 ID=BrasyJ010400.1.v1.1 annot-version=v1.1 MSHTTPRVRETDHVHPPFRTTERRGEISRTSLCSLQSRSFCSHPPGNKNISPFFYFPFPRLPLPPSRATQHGGRETLASPSPITSTHQPTNQIKKKKKSPRGRKLEAEKRSRGFWGSRELRGSRPLLAEMKNLFKSKIKWQHRSNDPAAAAPQQDQPSASTSPASSPSGASPAPTLSSAAPPPTASSSSASASPSPSAPTAVAGGDDYMYSEEEFQMQLAMALSASNSDCAGDRDGDQIRKAKLISLGGGHRFPAQRDDSHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLEDLQTGIGDLGFEVIVINRAIDTALQEMEQVAQCILLDFPVANIALLVQRIADLVTDNLGGPVKDANAMLARWLETSTELRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDDDDAVNIIKVDKDREFLVDLMAAPGTLIPADVLSWKGSSLNPNRKLGHNQIAESSSTIHPNLGSSASSLEHKGAQLPLFNGDDWLSSSQSGYEKEAAIASSQTSSGGTSSVTAANLFDDNWTLVSREQSDKPSTSAGTLSQQKVVLPGREHPQNGNIKLTPGLQENAESKNLFAELNPFGGIESKKASVPLNVPDNRNNELQRRRENVVPSTGRPQQRLVMKNWSPYNDVSNNKQYNYVQDSFARRNVGDNAVSSPQVPRSAANNVNLNVGMRNDTSYAASAHNYDSIMAGSSAMNMTSTAGIGKVPEKVLRGGLDRGPINSRLQDQPPFEIHKWGNSTERKIPTNAIQNQANERKDNYGGKQDNKKLAPDPKKSPLDRFMDTSMPSRNPESISPSSSRSHRLDSMFDDVSECEILWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPSCQIDEKRRIKMAIDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHPETQGPPVPQEIWVNSSTP* >BrasyJ010500.1.p pacid=40064876 transcript=BrasyJ010500.1 locus=BrasyJ010500 ID=BrasyJ010500.1.v1.1 annot-version=v1.1 METLVISQQRSHHHHSGRRRKPSSHFSSPQSMRGYNCRAFHSTVSIGILRSPPPPPPPPPPPARTHSSPEPKTPKQQQLGKKRSRVIPITPSGSPPSRPELWAGPAYSNSPPPSSLPIPKFSIHQKRSVSLELPPAGPSVHVEVLVHAKSAPSTPTAGSGSDFFGNDTAIATENLRRILNLEIADH* >BrasyJ010600.1.p pacid=40064877 transcript=BrasyJ010600.1 locus=BrasyJ010600 ID=BrasyJ010600.1.v1.1 annot-version=v1.1 MAMEVESAKCECCELREECTRGYIVGVKAAFGGRWLCGLCSEAVREEGRRKAGSTMEEAIQDHTNFCKQDHIAHGLRQMLLRRQRQRRSK* >BrasyJ061900.1.p pacid=40064878 transcript=BrasyJ061900.1 locus=BrasyJ061900 ID=BrasyJ061900.1.v1.1 annot-version=v1.1 MTCHSTTGLYLQHLVHSCFSGHSNPLSPPPPPPPPPRRYHLYHFTPLAELLLRAKLASRRLACGALLYSTLLCSHLGDMSKKIVVKLELHDNKDKQKALKAVSALVGIDALSMDMAARKMTVVGMVDPVDVVSKLRKAWAASIDSVGAEKEGEKKKDGEGAKKEGEGDKKDEDKKEGDGEKKPEPMTAEQQQQQLVYRSAYYSPYMNTHYVVQSMEENPNSCTIC* >BrasyJ062000.1.p pacid=40064879 transcript=BrasyJ062000.1 locus=BrasyJ062000 ID=BrasyJ062000.1.v1.1 annot-version=v1.1 MKATILRRRGGLRLKSKAAGGRGFMCGCGGSKAVSVISDALLPHGHPAHHQHLDRHHRVHDDGHEDQDGGVDGLHRLGGASSSFSPSSSTDDASASSTPSVAALLRQLGELEQTVSSLTLHQGPGAGGRPRHRRSASEGRVEAESVAVVKESADPLADFRRSMLQMIVEKEIVGGDELRDLLHRFLSLNSPCHHHLILRAFAEIWEELFSGAAHQLQRRRTTNFLLVDDRKKKNKAANHAPPTIYTGR* >BrasyJ056000.1.p pacid=40064880 transcript=BrasyJ056000.1 locus=BrasyJ056000 ID=BrasyJ056000.1.v1.1 annot-version=v1.1 MATETTNPRAAVALSGAAATREQQARRGAAAAAQQQTRRGAAAARAAAAVAEETGGGGANGSSSRGGEQRRSERQQQSRRSLAVAARAAAAGAARAAERRDLAVRSFN* >BrasyJ056200.1.p pacid=40064881 transcript=BrasyJ056200.1 locus=BrasyJ056200 ID=BrasyJ056200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ056100.1.p pacid=40064882 transcript=BrasyJ056100.1 locus=BrasyJ056100 ID=BrasyJ056100.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQQAAQLQAQQQAAQLQAQATAAAQAQAQALAAAQEVAKAAAATGVNIDAAGLVTDLNKQTQEKSTAPDHEKRVVQGSETNVGRVLHVVLGKIHLSACGLAPLPVGAD* >BrasyJ056400.1.p pacid=40064883 transcript=BrasyJ056400.1 locus=BrasyJ056400 ID=BrasyJ056400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ056500.1.p pacid=40064884 transcript=BrasyJ056500.1 locus=BrasyJ056500 ID=BrasyJ056500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLHDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ055900.1.p pacid=40064885 transcript=BrasyJ055900.1 locus=BrasyJ055900 ID=BrasyJ055900.1.v1.1 annot-version=v1.1 MHVAEPNPHLSSVPFIQKSFASISKCKIVKERSQGNTAGPTSPLLQGLRFGAVTTHHHHCGNGCGYCLCRPHSDPSLLPSFFIFLVICFLLVFRLFGFPRAANMELSIPSPSTRTETSMSRNCFIFLASSSWD* >BrasyJ055900.2.p pacid=40064886 transcript=BrasyJ055900.2 locus=BrasyJ055900 ID=BrasyJ055900.2.v1.1 annot-version=v1.1 MHVAEPNPHLSSVPFIQKSFASISKCKIVKERSQGNTAGPTSPLLQGLRFGAVTTHHHHCGNGCGYCLCRPHSDPSLLPSFFIFLVICFLLVFRLFGFPRAANMELSIPSPSTR* >BrasyJ056300.1.p pacid=40064887 transcript=BrasyJ056300.1 locus=BrasyJ056300 ID=BrasyJ056300.1.v1.1 annot-version=v1.1 MCVTLLRTKYERLNKGPFKLYSKGLHLVREQVHHTSRLAEEKVASDSYDFCNFVTSQEYMVGKHACRGRALPQAAVDSIGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQRQMRTCFRTLRSYCRGSDSFVMLGLLYP* >BrasyJ056600.1.p pacid=40064888 transcript=BrasyJ056600.1 locus=BrasyJ056600 ID=BrasyJ056600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ018600.1.p pacid=40064889 transcript=BrasyJ018600.1 locus=BrasyJ018600 ID=BrasyJ018600.1.v1.1 annot-version=v1.1 MTTTATEKKSSTEKSSSFRGKRAKSIVQQFPDRLPQKYASPIQPPISPTDREDGGLPNPRPRATARARFFLLPPAQVEREWRVAQGQDPVAAAVSRVGPLPLLLQLPDCQIRAAAASRGMEGGGQQAGGGSLSPSCSTRRPSPPAASRRAAAPSPPPAPLVGPLPRRPAGGRRRPLPLLLHLVGPLPALLHLVGPLPALLHLCSSALSPPCSTSSALSPPCSTSARRPYPRPAPPLLVGPLPLLLHPCSSALSPSGSICGLLGACI* >BrasyJ018700.1.p pacid=40064890 transcript=BrasyJ018700.1 locus=BrasyJ018700 ID=BrasyJ018700.1.v1.1 annot-version=v1.1 MLQRAASHAYSWWWASHIRTRQSKWLDSNLQDMEDRVKCILLLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTVATAFPEQVQYAMLEEDDESLPKAFTTVDPRKIHKSTVEGLMNKKKGGKSGLKGSGKNSAAPVSKENAQTEISRIQKEILVLQTEKEFIKGSYESGIAKYWDLEKQINDMQEEVCYFQQEFNESAVIEDDEARALMTVTALKSCEDTIVKLQEHQKSAFSQAVVELERVNISREKLKNIMRGHGKFLAGSDSLYENVGENGASVKMDDVYYSMKLEKFETEGLVHTIKQYFQKDSDVSVAEIAEQIDELVNKVVDLELMVSSQTAKIDRLCLENTELEKSLQELQEENIVVTSGSSELNDKLEKVEDELMRVQYLESSFHAEESIVYSNFAETASSFSCIKDMLQSPPFEHQAGSAPMLTDEATPSADTELSGESGNIQLEEDLHMDKATRKDDIDGLPDCSGKLELAIVSDNSQISNGCHDIKGGKQDCSDDSEDLWHCGLERKSSFEAALVDKETAENADNNAFGEQNNTEVEYVHEIVSDNGSSMQPYVVHSHQQALLDQLHHISSAVPGDHGVKLEDDKQYLSTTGQSKSEGHPEQEMNKTEDSEASCIMENSAPGNGKVGSIGDQEENMFKLHQLLMSGLQDKEKVLLTEYTSILRNYKNAKRRLTEVETKNKECLDEMKAMLSELRLANEMKDDEIRSLRELLNSSTDKDATHNGQKMNKYTSLSFKSGNGTFRGHRRTPSFLPVHQRKHSAASTSRITMKSSGLNNSETLESPSKDAGTNDAVLDSIDLGDLRLTNIIEMEMASPLEDKFRRDIDGLLEENLEFWIKFSTSFQKIQELQTKHAQLQSETAKLINGEKPMQSSGRAKDPAAKAESGAVEKQLRELKIELQVWLKQNAMFKGELQCRFASLCSIQEEIEGAMEVDGDTAEGVQFTSYQAAKFQGEILNMKQENNKVADELQAGLDHIRGLQAETDKVMAKILKSTSLSGGVEGSRTWKNAPSKSRVPLRSFLFPAKKKKLSLLACMNPALQKQYSDMAFVGKIG* >BrasyJ089900.1.p pacid=40064891 transcript=BrasyJ089900.1 locus=BrasyJ089900 ID=BrasyJ089900.1.v1.1 annot-version=v1.1 MGNARAICPAPGLVQLSPEMRPAHASDWDSAEDTTRAHDGTGAGPLLLYGRGFLAGIDRRQQKKAAANAAAIHKKPAASDHDDDLDGTRLEYRRHGTAKALSEMTERDWRILREDFDISYKGSRSGVPPRPMRTWAESALGDPLLRAVAMAGYATPTPIQMAAVPLGLQRRDVIGVAQTGSGKTAAFGPYAVVMAPTRELAQQIERETTKLAAAACHGSIKVVSVVGGQPIEEQAFRIRRGCEVIVATPGRLLDCLERRHLVLNRCSYVVLDEADRMVDMGFEPQVASALDAMPTSNLKPENEAEELDEQKKVYRTTHMFSATMPAAVERLARKYLRNPVVVTVGGSAGKATELVTQNVTMVKDSEKMPRLKRILTQLGDNNTTIVFCNTKSSVDSCAKDLDRAGFKVATLHGGKSQDQREASLDGFRNRRFNVLVATDIAGRGIDVPDVAHVINFEMPGAVDAYTHRIGRTGRAGKKGLATSFLTLRDSEIFFELRQMLVQSNSHVPPELARHEASRFKPGSVPDRHPRRNDTVHASR* >BrasyJ090100.1.p pacid=40064892 transcript=BrasyJ090100.1 locus=BrasyJ090100 ID=BrasyJ090100.1.v1.1 annot-version=v1.1 MRDITARSPQDTLSFSRRHFKWPSSEAEEEEEEEEEEGAMAFSSACPSFHSDGFLSPPPLPQKPPRAKADQAQPAPQKKQKQRKVKTAVARIRSALSAAVSGRRRQVGMGARLAGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTAALVREMASGLVRIALERLVEETVWRAYVNGRSCGFAARRECGAADWRVLRALEPVSMGAGVIPAASGGGIEGDVMYMRARFERVVGSRDSEAFYMMNPDSGGGGGNNGGPELSVYLLRV* >BrasyJ090000.1.p pacid=40064893 transcript=BrasyJ090000.1 locus=BrasyJ090000 ID=BrasyJ090000.1.v1.1 annot-version=v1.1 MDLPDELLDEVLRLVPPRHLAACRRVCKSSPGPPVRGIFVVLAHLRPPVSGSPAPMNFGRPYLVFDPAAGPTLTTRKKKPRRDRSHVVASNFSEEADYPEALPPSLIAKWAPWVAAALIRRPSVLVAHRQVGGERFVRHGHVVATVSDMWSMNPYAPGHARVLGRVLWGNAAVYWQGAFYLTCRGAFIRRFSLLDNKYQVIKTPQLVDTFLGGPRWYTNVYGKHVIPYVYLGKSKQGVYYTALHGYQLQIWVLHGASEPCQTPEWELKHQADLKPYFSRHYKEIGKRPYGWDSCDDCAIDAEGGICSDDNEGSAEEYRRGLDLLGYHPYKEIAFLGNRYDAFAYYLESSRLQCLGSVYPTGYTEPMVTSHIYTPCMDDLLPAHNDQ* >BrasyJ058000.1.p pacid=40064894 transcript=BrasyJ058000.1 locus=BrasyJ058000 ID=BrasyJ058000.1.v1.1 annot-version=v1.1 MALSGDPASPAPTPEPHGQAEEEVREAELFEDAVEGEGEAAAESSSPSASAADEAETFGLDTGSASLSEQRARGAGEGNSMAGAGSSAMNDSRSRSPSAASSPRLSGTSSSSPPASQIKHQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGAERSPREHLLRTTLNIEAMANQKRQWYQVHSRARDLKQFDEPTTLFEHFYVVGLHSYANVTVIEDAFAKKKASKSNVDQYHGSIPTMEPQILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLSGDFESSNALSHNDWTEYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISASETSDSSYAKELEKEGRHKNMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKLFVETQMFSVLSDSRLSTFENEHTQGFVFAGDQDK* >BrasyJ058200.1.p pacid=40064895 transcript=BrasyJ058200.1 locus=BrasyJ058200 ID=BrasyJ058200.1.v1.1 annot-version=v1.1 MSAKRENEPDGDELGAAEGAGSAEGGSPPSPLSAAPVVCFVRSAGDFARGAFVGSIVGYGHGLITQKGFKGSFSSAGSSAKTFAVLSGVQSLVVCLLRKLRGKDDIVNAGIAGFCTGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQQVAMAHTLDGNALAFAQGGVLPPFTLPPILDASDALASCCRALVKPKHKTTS* >BrasyJ058100.1.p pacid=40064896 transcript=BrasyJ058100.1 locus=BrasyJ058100 ID=BrasyJ058100.1.v1.1 annot-version=v1.1 MAAVQQRLPLPLASPHQPRHGNNTPVVCRYWKSGHCSRNPCRFLHADAPTAPYPSPLVKKRSNTWVNTSSRVTAKPNADAKTTPAPKRARQVEESSGAHSWCVGDGIIRGVARLQGHAKAVTGIAVPEASAGSGRQLLYSGSLDGTVRAWDCNTGECVHVAAAHEGAPPVGRLVAMGPWVLAAVALSGGALIKALHTGNGKAVHLQLGPAAQAVTALLAEDGEGERLFAGADDGGIYIWRLDRERQSFHEIAALTVPGLHAGVSSLAQGKGALYAGYEDGAIRAWDLDTRRCICSFAAHDSKVTALLCWDRFLLSSSHDGTVKAWRSSSSKLDHEGDDVGLELEVHYTHREEGGERVVAMDGTYYADKKPILLVSRGGGFVVVYELPSFEKRGEIGCNGEAGAISVRTPGVIFAGDQSGEVRVAKWTPAAAAEAQV* >BrasyJ057900.1.p pacid=40064897 transcript=BrasyJ057900.1 locus=BrasyJ057900 ID=BrasyJ057900.1.v1.1 annot-version=v1.1 MGNLDWVRIVLRSIIPSSIELPGRWIASSSWIQHTSRRLHLMEDVIPSLYGGLCASKETTRAAVHPVMQCHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDRSKVVICDGIAKFSADIRLILANDDRRTANWVKLEGIISDTLFEGRESPYSMKHLLLIMRTQPLTSVTYMKSHVGLLRQVNKTAAFMRLYDSLHALRLQDESQYWTLISFLPYRHSWYAIMSKNTLLASLIKGRFWFSSRPEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDVLDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >BrasyJ057900.3.p pacid=40064898 transcript=BrasyJ057900.3 locus=BrasyJ057900 ID=BrasyJ057900.3.v1.1 annot-version=v1.1 MLFLHCMGDYVLRKKLLALLSTLSCSAFDYLYSHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDRSKVVICDGIAKFSADIRLILANDDRRTANWVKLEGIISDTLFEGRESPYSMKHLLLIMRTQPLTSVTYMKSHVGLLRQVNKTAAFMRLYDSLHALRLQDESQYWTLISFLPYRHSWYAIMSKNTLLASLIKGRFWFSSRPEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDVLDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >BrasyJ057900.2.p pacid=40064899 transcript=BrasyJ057900.2 locus=BrasyJ057900 ID=BrasyJ057900.2.v1.1 annot-version=v1.1 MEDVIPSLYGGLCASKETTRAAVHPVMQCHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDRSKVVICDGIAKFSADIRLILANDDRRTANWVKLEGIISDTLFEGRESPYSMKHLLLIMRTQPLTSVTYMKSHVGLLRQVNKTAAFMRLYDSLHALRLQDESQYWTLISFLPYRHSWYAIMSKNTLLASLIKGRFWFSSRPEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDVLDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >BrasyJ058300.1.p pacid=40064900 transcript=BrasyJ058300.1 locus=BrasyJ058300 ID=BrasyJ058300.1.v1.1 annot-version=v1.1 MFPDDADFGTPCLEALRLDDAGELHDWCWDPLPPPPFFHEPYGSGSMIHCYAAGPADDNDNDKNNSSSSSMWISTLGKGTHVFDTPNGARGDWALPFDGRVQYIRELGQWFGFSKKQRKLCSAGRLAVDGANAGPLENVWEDVDGYTGTRWHLARSDLSYLGGGRFCVSRFYDTRRHWEDWCVPACDAVVMTAVEARPDASGGSLQMIKGASRCYRFSGAYTGYGWAL* >BrasyJ057800.1.p pacid=40064901 transcript=BrasyJ057800.1 locus=BrasyJ057800 ID=BrasyJ057800.1.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDVGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >BrasyJ057800.2.p pacid=40064902 transcript=BrasyJ057800.2 locus=BrasyJ057800 ID=BrasyJ057800.2.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDVGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >BrasyJ057800.3.p pacid=40064903 transcript=BrasyJ057800.3 locus=BrasyJ057800 ID=BrasyJ057800.3.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDVGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >BrasyJ111900.1.p pacid=40064904 transcript=BrasyJ111900.1 locus=BrasyJ111900 ID=BrasyJ111900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQAKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVNAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGDEATAPSLDRLTSTHSSEMKYQIQRSSKFITSSYPELIVLTNLHNPSG* >BrasyJ075700.1.p pacid=40064905 transcript=BrasyJ075700.1 locus=BrasyJ075700 ID=BrasyJ075700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ003800.1.p pacid=40064906 transcript=BrasyJ003800.1 locus=BrasyJ003800 ID=BrasyJ003800.1.v1.1 annot-version=v1.1 MASSSYSPAPAGLKRKALRRIPVEVYDADSSAAMAECAICLGEFAGGEKVRVLPRCRHGFHVRCIDAWLDAHSSCPNCRASLLVPDAGVGAGKEDTRGRR* >BrasyJ003700.1.p pacid=40064907 transcript=BrasyJ003700.1 locus=BrasyJ003700 ID=BrasyJ003700.1.v1.1 annot-version=v1.1 MVIVMGCAAVRPDLGSGGSTRSSAAASHCARRLSSPPSAPVSSSSPAPAGLKRKALRRIPVEVYGVSNGGTAECAICLGEFAGGEKVRVLPRCRHGFHVRCIDAWLAAHSSCPNCRASLLIPDAGAGEDGGGRVRR* >BrasyJ034000.1.p pacid=40064908 transcript=BrasyJ034000.1 locus=BrasyJ034000 ID=BrasyJ034000.1.v1.1 annot-version=v1.1 MADPRMFPAGSNGPDDSAPGRRKYNPYQDLNVPYSYKSLYDLPTSPEFLFQEESAVQRRSWGENLTYYTGIGYLSGSVGGAALGLRDAAAGAEPGETAKIRTNRVLNACGSSGRRYGNRLGVIGLMYAGLESGLVAVRDSDDWINSVAAGLGTGALFRAANGPRSAAVAGAVGGVLAAAAMAGKQVAKRYVPAI* >BrasyJ035000.1.p pacid=40064909 transcript=BrasyJ035000.1 locus=BrasyJ035000 ID=BrasyJ035000.1.v1.1 annot-version=v1.1 MAFAARRSLASRFSHHLTRRLHPSVPHLLSSCSNDDDPPSPSQPPQLPPFRSPLPPASRAAQTLNHLLPFSLHHSGLPRRSFSSSAPAPTGEVDAAACVLADAAEAAAASVPAPFPGEVAAAAADSFFPVAALQHLIDTIHTFTGLNWWVCIALTTVLIRSATIPLLVNQLKSTQKLNAIKPEMEAIKDEMNSMDQKSAMEGKKKMTALFKKHGVSPFSPLKGLLIQGPMFMSFFFAINNMVEKVPSLKGGGAFWFTDLTTPDPLYILPVLTGLTFLATVELNLQEGMESNPMAGKMKMFSRGMAVMTVPFTMNFAKGIFCYWITSNLFTLVYGIVIRRPTVRKLFNLHALVAPSAPAQKSAFSFFGGSKAIPSADSPLAITSAKQSLEKPDAAALGYRVKNLDKKVKSRGKSRKRR* >BrasyJ034100.1.p pacid=40064910 transcript=BrasyJ034100.1 locus=BrasyJ034100 ID=BrasyJ034100.1.v1.1 annot-version=v1.1 MTSTGSPGSRRRRHGCDSTATDGASRDWSSLPAELLLAAGAWRPRPWLVGSRTDCSGQGAATSSFWLSRAGRLLPFPAEIPPGLEYLSSSSHGYLVLSDSGRAGPGGGKAITLLNPSTGRRVPLPPIRFFKKWHDVHTVVLSADPATAGGGGWTAVASAFPANSLAHYCSAAGVWTALDFRVTGYAGVEHFRCRFYVAFKRNIYVVVRDLDHQRGGHPVLPLGAAGVEGDFPGAGGRRQDYDFPGGRASAVDTHLVECEGQLLLVWVRGGDGYSSDDDLRDYDNVISGDDDELQPVTRSNRPRRTVEVHRVELVGDGGVRLDPVDSLGAGRRALFLGRNRAFALSPAEFPACRANCVYLLDRQGHPNGVVTVVDVERRRKEIIYPEEGLQRGLTSAGWARRGWFFANY* >BrasyJ033600.1.p pacid=40064911 transcript=BrasyJ033600.1 locus=BrasyJ033600 ID=BrasyJ033600.1.v1.1 annot-version=v1.1 MAGRTPGRRTAAARTGDASAWTPKRKTPARAGRKTPARTPGRTAVARRDGKEGGGRTPGLGRDGSDSGGSVVGGLEMSGREPLPPPGQWPHAAPPSAPAFPVLPTQRLPPACGGRSPPAVSQKLVSPKRFI* >BrasyJ035200.1.p pacid=40064912 transcript=BrasyJ035200.1 locus=BrasyJ035200 ID=BrasyJ035200.1.v1.1 annot-version=v1.1 MDRSVKGAAAQYYSSAMGPLAGQLGEWLCRAVQPPAPTPCGSPGGPPVTARRVRLRDGRHLAYEETGVPLHRARFRVVFSHGFTGSRLDSLRASPEVAEELGVYMVGFDRAGYGESDPNPARTVESAALDVADLADALGLGDKFHLVGFSLGCHAVWGALRYIPHRLAGAAMLAPVVNYWWPGFPPELAAQEYGKQARGDQWALRVSHHAPGLLHWWMEQSWLPLPTSTVASNTTHLPNKRDAEVRRTLAADGTLRAKREMATQQGIMESYYRDMAVMFGKWEFDPMALQEPECKVHLWQGDEDGLVPVVLQRHVAGSLRWVKYHELPGTGHFLSAVPGLGDIVVRTLFGN* >BrasyJ033500.1.p pacid=40064913 transcript=BrasyJ033500.1 locus=BrasyJ033500 ID=BrasyJ033500.1.v1.1 annot-version=v1.1 MDIYRVIDSTLSKVSGVVHAVGEDKRLMRELKSELEQIMSHMHMMNASIRSHYEGGSGQVIALEEAWILQLQNLAYDIEDFIDLMGTKPKPVLIRRMVGMAVKLDSRDEQTDKIRTFKKRVEALHMWRQQITPSTAPLNGNSDNNNIGAGGDDISSVEPVGMEEPMGDIRELLVHAESQTEQLIRVISIVGPRGLGKTTLARQVYRDHKKDSQGREFDFRAWVPPPSTSGSSSNSSPSARDLLKGILMEVKDDKEVADRREEDATDLRPILKSYLENKRYMIVIDDIRKAGVWLDMKSAFPKGDTSSVIITTTDVQSVATACSSGGYVYKLRVLNREDSKKLFRRNVLETEHDWRCPDLERYSDEILTNCGDLPLAIISVARFLKLQGGDNLQRQCKQLACHGELGKHLAAAGNHEAFKKLQSVLVESYEGLPSYECDLKTCLLSMSMFPRDSDINRKSLARRWIAEGLVPNSEQNDRTALAVFHTLIDGSIIEPSPAKDQQVPSLKPWMKRCRVHGVMLEFLINKSISKNFASPRTKGNFLSAQTSRCSIRRLSLDSTCSKNVSSEDLRSVRSLNMVGCKQPLDLGKCKHLRFLDLEKCTGVNLQVLKSICKLVLIKYLNLRGTDVSVLPQEMEKLCHLEMLDVRDAKMDPVIKLPLEVILLPRLAYLFGKFELPDHIKDEAAEKIGRQMHTLAGFVISNSTINGGPAMAADSGGPASSSQRWATTIKRKVTGASSRKDKIPLLSGGTGSINNSNDSICITTGAGADNTSKSHGFQYILHHMKQLKKVKIWWRSRNEGEAPSEQLTEALVSFLQERFSFSDGIKSLSLHFENQCMDFLDKLKLKERSSITLESIKLHGKLSKLPGFITEAAALPSLQEVHLSLTGLCSKDLLVLKRLRYLEYLKIVEDSNKFGDDSLDVGIDDFRFLKGLFVQARKLPRVNITQEAMKFLTTLQLICDDVQGFCASNIANFKNLNQVVLKSSLQDAKKDEWKAETKKHSNRPRLEFIS* >BrasyJ030900.1.p pacid=40064914 transcript=BrasyJ030900.1 locus=BrasyJ030900 ID=BrasyJ030900.1.v1.1 annot-version=v1.1 MDRALACASSLVPCQEVVEGPLLNSLLSIKQGLKMFIIEDKGGAIAIMCASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKHNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFTGVGFFLVAVILGSLVHASNAADNAQKLSASTNSYKIGTTGDDTEQSKQVIDKDAPKDMENGASPGKYATKAEAGTAEYLIELEEQRSIKVFGSNTFIGLSIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFTAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYILLVFMLLMFIAAVATLMASAGHRSTK* >BrasyJ030900.2.p pacid=40064915 transcript=BrasyJ030900.2 locus=BrasyJ030900 ID=BrasyJ030900.2.v1.1 annot-version=v1.1 MFIIEDKGGAIAIMCASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKHNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFTGVGFFLVAVILGSLVHASNAADNAQKLSASTNSYKIGTTGDDTEQSKQVIDKDAPKDMENGASPGKYATKAEAGTAEYLIELEEQRSIKVFGSNTFIGLSIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFTAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYILLVFMLLMFIAAVATLMASAGHRSTK* >BrasyJ030900.3.p pacid=40064916 transcript=BrasyJ030900.3 locus=BrasyJ030900 ID=BrasyJ030900.3.v1.1 annot-version=v1.1 MFIIEDKGGAIAIMCASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKHNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINKAEILFTGVGFFLVAVILGSLVHASNAADNAQKLSASTNSYKIGTTGDDTEQSKQVIDKDAPKDMENGASPGKYATKAEAGTAEYLIELEEQRSIKVFGSNTFIGLSIVFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFTAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYILLVFMLLMFIAAVATLMASAGHRSTK* >BrasyJ033700.1.p pacid=40064917 transcript=BrasyJ033700.1 locus=BrasyJ033700 ID=BrasyJ033700.1.v1.1 annot-version=v1.1 MPPDNPPPTPNPARNQNSPNPAPNRNANRSWADIEEEEEERRTARQRAQIARRERETRLEEEQLQREVDIPDLALDLQAMKISAPGECNIQVQEVNPGYAVTSHYNLLLKVACSGGTPRNISQRTISIAMAKAWGKKFHLIAEVAPNMFMAQFLSAEAMHFAIAKQPWTMGSDNLLIEWINPKEDCKSNEDYRFETLYVPIRVYGVPLSLRSLNLLQGIREKIGEPSDLHPLTESMLFAKGPYITGTAKMNVHRPVKDRVKLTISESTSITAYIHYEKIGRICTFCGIMFHTVLHCRKRIELFMERIANKKSTADIQFERYGKWMTIVDEIPKETKLEYEVQDRNVMLRRFRRLFNPEIDQVSEKTEEEQASNYDRDEIPRGFMQIMARKQLRFDEGEVSSASRNRREFMGREAEVEDDTVHPPNCIEEGSSRLGGAVTSQLAHGGHLIQATGEDMQVESHLAEQQEKGDQVMTEASDRVQVQEKRILQEMIQKQQDIIQKQKGIYTFRDPGPPGTRGVLVKHSLGPQLAAGSKGSTTSLPEKSSPKGKGKMDMGSKPFVSPRQLCLAAPLTHTENITSAAAMASSSQRPLHPSQDVNFSQLPFNSRPSNLRKSPHTPKRHSSPTSILGLPPAKKPTSLPFGDAALAHSVLAGAQSSTHASPSASESHFHGGSGDSPLQIELASLEFTGDTRRTDLQLRSSSGRRGGGGASQRGGASGGSPSLSSRGNRRRHSGWDVPPLCGAGGSHPSPAKANASGDGDLAGDGGAQAGETGGGDQANQSQFKNSNEVQEGFGFSAQSGGPLTDSQVSSIGGNLAEFPVAVLDHNQADDGKALAPAFKVPRVPCG* >BrasyJ032800.1.p pacid=40064918 transcript=BrasyJ032800.1 locus=BrasyJ032800 ID=BrasyJ032800.1.v1.1 annot-version=v1.1 MSTFCDVSSLHRSYSPSKPAAMAWWCCLKLGKKCVT* >BrasyJ032000.1.p pacid=40064919 transcript=BrasyJ032000.1 locus=BrasyJ032000 ID=BrasyJ032000.1.v1.1 annot-version=v1.1 MGRGKVHLRRIENKVSRQVTFSKRRSGLLKKARELAVLCDADVAAFVFSANGNLYTYSNQSSQIHFSCIDSMDKILERYQRCSLSEGGVMEEYSELEGSMNSDHILLRSQVEALRKSERNLMGEQLGSLTQRGFQQLEQQIGDALRSIRLRRDFLLANSIGELRNKERLLMEQNKILEREKDELLQASLHYRKRTAAFCNAAAGASLPNLNISIEDGDADDEPAGSAMAPVDRPGGGGTLPWWMLRGAI* >BrasyJ032000.2.p pacid=40064920 transcript=BrasyJ032000.2 locus=BrasyJ032000 ID=BrasyJ032000.2.v1.1 annot-version=v1.1 MGRGKVHLRRIENKVSRQVTFSKRRSGLLKKARELAVLCDADVAAFVFSANGNLYTYSNQSSMDKILERYQRCSLSEGGVMEEYSELEGSMNSDHILLRSQVEALRKSERNLMGEQLGSLTQRGFQQLEQQIGDALRSIRLRRDFLLANSIGELRNKERLLMEQNKILEREKDELLQASLHYRKRTAAFCNAAAGASLPNLNISIEDGDADDEPAGSAMAPVDRPGGGGTLPWWMLRGAI* >BrasyJ032000.3.p pacid=40064921 transcript=BrasyJ032000.3 locus=BrasyJ032000 ID=BrasyJ032000.3.v1.1 annot-version=v1.1 MGRGKVHLRRIENKVSRQVTFSKRRSGLLKKARELAVLCDADVAAFVFSANGNLYTYSNQSRNLMGEQLGSLTQRGFQQLEQQIGDALRSIRLRRDFLLANSIGELRNKERLLMEQNKILEREKDELLQASLHYRKRTAAFCNAAAGASLPNLNISIEDGDADDEPAGSAMAPVDRPGGGGTLPWWMLRGAI* >BrasyJ032000.4.p pacid=40064922 transcript=BrasyJ032000.4 locus=BrasyJ032000 ID=BrasyJ032000.4.v1.1 annot-version=v1.1 MDKILERYQRCSLSEGGVMEEYSELEGSMNSDHILLRSQVEALRKSERNLMGEQLGSLTQRGFQQLEQQIGDALRSIRLRRDFLLANSIGELRNKERLLMEQNKILEREKDELLQASLHYRKRTAAFCNAAAGASLPNLNISIEDGDADDEPAGSAMAPVDRPGGGGTLPWWMLRGAI* >BrasyJ032000.5.p pacid=40064923 transcript=BrasyJ032000.5 locus=BrasyJ032000 ID=BrasyJ032000.5.v1.1 annot-version=v1.1 MAVGFLPGANHGFKFNLDPVSRPVRALCVVDFLLANSIGELRNKERLLMEQNKILEREKDELLQASLHYRKRTAAFCNAAAGASLPNLNISIEDGDADDEPAGSAMAPVDRPGGGGTLPWWMLRGAI* >BrasyJ031500.1.p pacid=40064924 transcript=BrasyJ031500.1 locus=BrasyJ031500 ID=BrasyJ031500.1.v1.1 annot-version=v1.1 MWPRRTLPAAAGLLTSSPTGQLGMALASFAASLSLPVAAAAVRSRAVVLRRAMSSSSVSSAAAAPARVGVVQMTSVGDLDANYATCSRLTKEAAAAGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLASESSIWLSLGGFQEKGPDDSQQYNTHVLIDDSGKVRSSYRKIHLFDVDVPGNMVYKESRFTAAGDTVVVVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYIIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLADRLSTGFAVADIDLSKVEAVRTKMPIAEHRKFDSVWKSSSL* >BrasyJ031800.1.p pacid=40064925 transcript=BrasyJ031800.1 locus=BrasyJ031800 ID=BrasyJ031800.1.v1.1 annot-version=v1.1 MALANKLGNLLKKATSSNPTLYQAIRCMSSSKIFVGGLSYNTDETGLREAFTHYGDIIDAKIIVDHESRRSRGFGFITYAAEDQAKAAIMALDGKELHGRNIRVSEANERTSGFRGGGGYGGGAYGGGAGYGGGGGGYGGGGGGYGGGGGGYGGSGGGYGDGGGYGGSGGYGGNRGGGGGDSYGTPVGSGYNDTFPAGNFAPPAGGNFAPPAGGNFAPPAGDNFGASFGGDSSFGGNPAGNYGAPAVSADGDEFASGAPVSNFENAKNDDFPEDIFKDDEPDNYANKRA* >BrasyJ031000.1.p pacid=40064926 transcript=BrasyJ031000.1 locus=BrasyJ031000 ID=BrasyJ031000.1.v1.1 annot-version=v1.1 MSNIDVDCDDDGLSSDSNSEFSDFVPANWYGDANAGGPAAADALKSCFHKKRKRTDADCQYRKRQKSYQADQLLDHKKATNRGNLSWLSHIISSIPPDKKKIICDYGFPFVFHINSSGAPHSFAQWIADHIQPESCDIILDSSVIHLGADTFSEVIGLENTGLDVKVDFDCAKEQFLSLMGFSELPTIKQFGKMLLTNDIADDKYFICFMVVFLSTFLCPNSSTYPSIKYLGSLLVPSDVRNYNWASFGHKWFIESVRKYQKDKVKSKALSSRSNLTLGGCTYVPAVKYLDFADFGELKVDNCFPRTLVWKHDLIKDFARLDQKSAYEYGLRNVRDLSRTCYASVAKSNSECYYLPVFKSSLESLFRDSLHDKVVQDICNLFKNSETSFGPDIMNAAGQFSIAVLD* >BrasyJ034300.1.p pacid=40064927 transcript=BrasyJ034300.1 locus=BrasyJ034300 ID=BrasyJ034300.1.v1.1 annot-version=v1.1 MERDVVFSEDAAASAPPSSFAETRVICRVCQKQFAQYACPRCNSRYCSLTCYKGHSVQCTELFMRENVTEELKQIQPEDESKKQMLDILKRFHLEEEMESDAGDESMLSEELIQKAISGDSIKLEDLSDDEIKRFRQALASGELSKMIEPWPPWWKNPSAKSISLSPDGSQLIREISTDDTALSIPMTASESVINEIPEGPEIPLPSLKQLTRAEPSPLLTVHLVDILYSYCFTLRLYNGDWHSDPFGASTVALSMSKVMGEDAKPETVSEALTTCIEETCAPAYRHTGGFRFAIGLVDDIITIISLGGNAVVCAFCDFQRLIQAAERMLKEEKVGRTERAHSSQKLRSTIRKLFFMTCWAHEQPNDSWPSLARIVEVQKASLEELDSGNQPRKAGRKSNPQSKVLIEEV* >BrasyJ031100.1.p pacid=40064928 transcript=BrasyJ031100.1 locus=BrasyJ031100 ID=BrasyJ031100.1.v1.1 annot-version=v1.1 MVAVSPVRGRPPAREAGSCASFLSYVVFCWLPCSGSIRCCRRLCVLAAGCGAADRTALWLVPGAPLLLCAGDWTLDTF* >BrasyJ035100.1.p pacid=40064929 transcript=BrasyJ035100.1 locus=BrasyJ035100 ID=BrasyJ035100.1.v1.1 annot-version=v1.1 MLLHARPEEAYLHALFPPRSMPVFESAASIDLLFFLFLELDLRSLPFACGIGVAFVVRAGVVPGADDAGYAPFLVFMGGGRSPSQRSTCQHAHILTELKLLTTPIGGTALAAAAFNDGAAWMLLMLVLLDCGALPQQGL* >BrasyJ031200.1.p pacid=40064930 transcript=BrasyJ031200.1 locus=BrasyJ031200 ID=BrasyJ031200.1.v1.1 annot-version=v1.1 MVSLMEWCVDAPPHYLKMPWVTTEFPRYISINGSAVHKQLIGTDVLDFEMCDLLVRRLTQLDTRMEPTSRRLRWRHLLESDFSVCAIAENDLTSFLSIQQQFIGNEITYNMSCTRMFAVPSFIEESWSAYMFDMKEEVIHILDPLGLHLESATVKELHGHSANLIQDKLFDCFNKYYEIWNPQKKQWPHVYPVLTNDKFNKNQSGLCMLHCVRNYNGDELEQPLTLSGYSRLQHTFLHDLLTMENNKSRLPLPIMKIIDPPNWRQV* >BrasyJ033400.1.p pacid=40064931 transcript=BrasyJ033400.1 locus=BrasyJ033400 ID=BrasyJ033400.1.v1.1 annot-version=v1.1 MGDEQGPVVSASMGVMTSLLIKLTTLITDKNPNIKEALKDVNLLKTDLTEMRAILLKFTGRDDPDVQVKEWMRQFREVLYEAEDYIDDLCPPQAQPAAAGGEAAAENPTATEKKGGSSSKGLFSWKPLGSKKSDVTTRIKELRDRVKDAKQRRLDYKLDFVDAAPVPAAAIAGGSSGASDPRQLKLEAGDDRPVGMDGPRDDLVDMLKDVEQEKQLKVVSIVGVGGVGKTTLAKEVCRTVPAQFDCRAFVKVGRNTPMKATLLSILRQVTRGRQEHVDVGTSLDEQQAIDSLREFLGNKRYLVVVDDIWSISAWKIIKCALPESNCGSRVITTTRASEVADACSSRPFVHVMVPLSESDAEKLFLSRISCPEEIYESELKEVFSNILKACGGVPLAMVTIAGLLTSKFSELLSWEKVKRYAISAWEHYSALQGMRRMLHVSYSDLSLPLKTCFLYLSCFPENHTIKKDRLIWRWIGEGFIPGKAGKWTTGEAYFDELVNRRLIQQEITDDDDEPLGCTVHGVVLDFIVSTSMEENFVTSEAGVWSMPRDVIRRFSLSCCDQEDDGHLVPESTKNVYLSKVRSLTVFGGAGWVMDLVKTLQFLRMLDLQDASKLADDHLSGIERLVHLKYMGLGGEGVKSLPEEIGFLQELETLDVRRTSIQELPASIVRLPNLARLLASDLPVPDGMENMTSLEEVHMVKLSEMSSPGNVAKLMRMKRLRTLGLNWCFDSPSGGGDEGEVFAKKLVSSLGELGNSMVESLLLHVDGDTHRSLDVMAESWDPPYRLRRFLMTTSSNYHLPKVPPKMKKLEQLAHLKISINQLGDPDIQLLGSLPSMVILKLQTRVSVPTTITDKMFQRLKTFCFMCKDGGLGFVLGKGAMAKLQDLQLSFKARVHTKPVGINYLTSLRQVQATIDCEGSIDSDVKVAEEAIRKQVSDLHCKPTLDLIRDHEEKMLQGQYIQESLGKLKASG* >BrasyJ032700.1.p pacid=40064932 transcript=BrasyJ032700.1 locus=BrasyJ032700 ID=BrasyJ032700.1.v1.1 annot-version=v1.1 MAVGIGLLLNQASRLPRAPVHSHAAFSAAAAAAAAAAAVSSSGVPLSARHFFGFPGFTVAHCDASATYGLNDTPDLINDLNNKIYDSIHSPIKEYPLELKPLYYAFQFTNFGVTTLRAFLLYYLPLLEPRPPSDDDEDDDLLQDDSERPPVDLVTPFHKSLKQIARETSVVTTRRVLERITVRHVSQRTAWKYLKDAAKSSKRKATRGMPIPEYTYCVARTTFRTHTLGVAAAWVVQSIVQVYKCFIRKPNSDDDQDLFDEMKKFRLFGRKIYSVTIKCGFSLVFASLGAGLGALLHSHHGQWIGCILGDFAGPVIAILVFEKLGCPLED* >BrasyJ034200.1.p pacid=40064933 transcript=BrasyJ034200.1 locus=BrasyJ034200 ID=BrasyJ034200.1.v1.1 annot-version=v1.1 MAETVDDKVGYFQAVTGISDPDLCTEILAAHNWDLQLAVSSMTTNPSSPEPSAYAPPPPPPQLQPEFVASPSALAAPAPQQQPGIAWRLVTLPFYVVSGGVGLITGSIRLGVWVAGGVLSRSLSLLGLAQGGGGNRLLEMAPSAAEAVDFLAEFEREFGAGRGPHFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCALVMASTNQRIVLLQQVEGPKSPEQMITILQRVVEECTASLVAARIEAEERLNNQRLREEQDVAYRAALEADQARERQRREEQEILEREATEAERKRKEDEEAQVRAVQEAAEKEAALAKRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFRSSATITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSQTLVEAGLHPQASLFIEIEQ* >BrasyJ034600.1.p pacid=40064934 transcript=BrasyJ034600.1 locus=BrasyJ034600 ID=BrasyJ034600.1.v1.1 annot-version=v1.1 MAPPTHHRLLLPTVLVFILLFVARMEPAAGEADEEGGRSSSRALLGLLQRVQSGALRALGPANFDPKLYVDLPLAADRAAAEAALASASASREAMEAYLARYFAAAGSDLVAVDPADFEPEPRGFLPRVVGPARAWALEVHALWKDLAREVAPDVAVRPGRHTLLPLPARVVVPGSRFREVYYWDSYWVIRGLLVSKMYDTAKDIVLNLVFLVEKYGFVLNGARSYYTNRSQPPLLSSMVLEIYTATGDLGFVRRVFPSLLKEHSFWTSELHNVAIMDSHGLVHNLSRYQAMWNKPRPESATIDEELASKLTSTSAKEKLYHEIASAAESGWDFSSRWMSNSTDMTTLVTTFIIPVDLNTFICKMERDIVVFAKLIGENATAEIFSEASKARHKAIESILWNSEMEQWLDYWLPTDGNCQGIYQWESKSQNRNIFASNFIPLWLNAHSSGFARFFDEAKSMRVMRSLWTSGLVHPAGIATSVSNTGQQWDFPNGWAPLQHLIVEGLLNSGSAEANKFAEDIATRWVRTNYAAYKSTGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVILSFLEEFGWPEDKEIGCSS* >BrasyJ034500.1.p pacid=40064935 transcript=BrasyJ034500.1 locus=BrasyJ034500 ID=BrasyJ034500.1.v1.1 annot-version=v1.1 MAAALLPETAPRLLTPEVLRTAAKQSQGIHLVPISLRRAIKRYLRDQDKTHMHRKVLMLSSSFERAKGTGAELAAAATRGALLDDPHAPAGAEQRTARWKVQSSYGDTGLQYREDETVAYVASRMPAIYAACHRVLREVRRRSPDFAPKKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGQTLLDNLKGLPLIHSYDSIQELNRTIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTKDVLVLLEPGTPQGSKIISQMRSYILWMEKRKCRKSEKSTGGAPSKAKSIVHKEALLKNGAFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRIYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFDTLKERHAMRNPEDLIIDYEEQFPSEEDEETLAGHEDSLVPYTSDTQELSLFHESGEEEDEPIRADLGGGWGRIIYSPIRRGRQVQMDVCRATKRDASEGAFERVVVTQSKNPALHLQARRSLWGDLWPF* >BrasyJ032400.1.p pacid=40064936 transcript=BrasyJ032400.1 locus=BrasyJ032400 ID=BrasyJ032400.1.v1.1 annot-version=v1.1 MERCQKRRSSKHGAHSRASRRDELLIAKSNEAQSHRSILFFMTSSSSHPRRPPSSANQTFSAMAAPRIRKLATQQGALSSTLLQQLQGNDDEPIVIPWDEIRKELGELLRLLASALAGIREWAAAAALPAAGAVALVALVLFCCCCCCCCGYYAAVGRHEPRGPDGEEEAHGPDGPVVRCGGRGRGGYRGGIFSLHPNRPFVS* >BrasyJ031900.1.p pacid=40064937 transcript=BrasyJ031900.1 locus=BrasyJ031900 ID=BrasyJ031900.1.v1.1 annot-version=v1.1 MAQREKSAVAVASTATASPSSSSSDPPAAPSGERWGAAIGNLGELGTNVESLQKLLARKAVFVDEDVFSKASLAAEQTRSIKILEQRVQSLERELDAAISAASRARTEKRQVEAAQRAAELRAQEVTKELENTARVFQLHMEELRAKQDEIAKRDSDIKVLEAIIRTLSSKDDGGSTLPQMIVYYFHKIEHSESLKMNICNASSQSAI* >BrasyJ031900.2.p pacid=40064938 transcript=BrasyJ031900.2 locus=BrasyJ031900 ID=BrasyJ031900.2.v1.1 annot-version=v1.1 MAQREKSAVAVASTATASPSSSSSDPPAAPSGERWGAAIGNLGELGTNVESLQKLLARKAVFVDEDVFSKASLAAEQTRSIKILEQRVQSLERELDAAISAASRARTEKRQVEAAQRAAELRAQEVTKELENTARVFQLHMEELRAKQDEIAKRDSDIKVLEAIIRTLSSKDDGGSSE* >BrasyJ031900.3.p pacid=40064939 transcript=BrasyJ031900.3 locus=BrasyJ031900 ID=BrasyJ031900.3.v1.1 annot-version=v1.1 MAQREKSAVAVASTATASPSSSSSDPPAAPSGERWGAAIGNLGELGTNVESLQKLLARKAVFVDEDVFSKASLAAEQTRSIKILEQRVQSLERELDAAISAASRARTEKRQVEAAQRAAELRAQEVTKELENTARVFQLHMEELRAKQDEIAKRDSDIKVLEAIIRTLSSKDDGGSSE* >BrasyJ032100.1.p pacid=40064940 transcript=BrasyJ032100.1 locus=BrasyJ032100 ID=BrasyJ032100.1.v1.1 annot-version=v1.1 MQRLISPAADSDEEKAEEEAAEVARGATRPVGPTGQCQDGSRACQRRSGRVCRCHGQRHQSVETANSVAISDTRNIFFTSSGRKMASSPASTPKTLSSAARAFSLVPLSASTRTFPSAPPSKRSPPVVASRPNVVDSFRVVPTYTRRAAAVSVALLAECPGSGRELRARGSVPTIRHSGQILEHMGGVGFGDGDLGRGHLLLVAGAVGGAPVLDPPAGGVVGHEVGEPQPVTGQVPWPRASRPSGESLEVAGCSGEKRHGRRNEPIHADKPGCHVGTDG* >BrasyJ031300.1.p pacid=40064941 transcript=BrasyJ031300.1 locus=BrasyJ031300 ID=BrasyJ031300.1.v1.1 annot-version=v1.1 MFMVQDKGGAIALMVVSLLFLGTWPALLTLLERRGRLPQHTYLDYSISNLLAAVIIALTFGQLGDAQPNFFTQLRGAALSIGNVCTQYGWAYAGLSLTEVIVSSMIVVVGTTLNYFLDNKINWGNDSFPSVASFFVAAVLGAALHSSNKADIKRKLHASPNRPFCASLFTVLIIINVILQHKWRYGTRRTSQRQRYHPCHMLVNITVHSPISDPLSAAENGESRATGTTRPKAGSKEYLIQLEQRRSIKVLESDKFTRIAIVFFAGFLMSLFSPAFNLVSHTCFVIGVGFNIWFLYHPIASVEVSSFTAYLKDWKGRHWALLAGLLCGFGNGLEFMGGQAAGYAAADAVEALPLVSTFWAILLLKEYWRSLKKTYILLVSMMLTFGAAVALLMASAGQRSTK* >BrasyJ031300.2.p pacid=40064942 transcript=BrasyJ031300.2 locus=BrasyJ031300 ID=BrasyJ031300.2.v1.1 annot-version=v1.1 MFMVQDKGGAIALMVVSLLFLGTWPALLTLLERRGRLPQHTYLDYSISNLLAAVIIALTFGQLGDAQPNFFTQLRQAQDNWRSVLFAIGGGAALSIGNVCTQYGWAYAGLSLTEVIVSSMIVVVGTTLNYFLDNKINWGNDSFPSVASFFVAAVLGAALHSSNKADIKRKLHASPNSTNGGMAPGGQVSDRDPLSAAENGESRATGTTRPKAGSKEYLIQLEQRRSIKVLESDKFTRIAIVFFAGFLMSLFSPAFNLVSHTWWSTPHSSTSPSQVSLSVSASTSGSSTTQLPAWKCHPSRPI* >BrasyJ031300.3.p pacid=40064943 transcript=BrasyJ031300.3 locus=BrasyJ031300 ID=BrasyJ031300.3.v1.1 annot-version=v1.1 MFMVQDKGGAIALMVVSLLFLGTWPALLTLLERRGRLPQHTYLDYSISNLLAAVIIALTFGQLGDAQPNFFTQLRQAQDNWRSVLFAIGGGAALSIGNVCTQYGWAYAGLSLTEVIVSSMIVVVGTTLNYFLDNKINWGNDSFPSVASFFVAAVLGAALHSSNKADIKRKLHASPNSTNGGMAPGGQVSDRDPLSAAENGESRATGTTRPKAGSKEYLIQLEQRRSIKVLESDKFTRIAIVFFAGFLMSLFSPAFNLVSHTWWSTPHSSTSPSQVSLSVSASTSGSSTTQLPAWKCHPSRPI* >BrasyJ031400.1.p pacid=40064944 transcript=BrasyJ031400.1 locus=BrasyJ031400 ID=BrasyJ031400.1.v1.1 annot-version=v1.1 MASAAPKRCYYEILGLSRDCSPTDIKLAFRRLALSLHPDKQAPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFADPASSGSGSASPVPDLFSFFSTSAFSGFSDSGRGFYKVYGDLFDKVFAQEVTYARRMGIPTDSIPTPPVIGNLDSPYTQVAAFYAYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKARRKARQEYNDAVRGLAAFCKKRDKRVVDMALQKKAEEEKKKKEEMERKRAEERRKKERVMSYQEPEWARVNEDELVFEEDDDEEMMAKRKEELYCVACNKKFKSEKQWKNHEQSKKHKDKVAELRMAFKEEEEALKEAEEAAGADWEEVDVGFDFKPANESDESDWSDAAEELAEELDAGLDVGNEEDGDKDFDNGEPVVGSYDETSVLEAMLSSRKNKKSGYVVPQEEVPLAVSEDDNADDTNSAVNIVKKKGRRRRASKKGQDDVSYAENGQGTKTNVPHEESGHDNDENDVDDKMEGPPSFNDDGPMASKGDEQKGINDNPKKNKKNKKNKKVAEPNVSADQKNASKGKKQKEVSKARGNDCETCGNTFDSRTKLFSHLEETGHAVIKTRQKKR* >BrasyJ031400.3.p pacid=40064945 transcript=BrasyJ031400.3 locus=BrasyJ031400 ID=BrasyJ031400.3.v1.1 annot-version=v1.1 MASAAPKRCYYEILGLSRDCSPTDIKLAFRRLALSLHPDKQAPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFADPASSGSGSASPVPDLFSFFSTSAFSGFSDSGRGFYKVYGDLFDKVFAQEVTYARRMGIPTDSIPTPPVIGNLDSPYTQVAAFYAYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKARRKARQEYNDAVRGLAAFCKKRDKRVVDMALQKKAEEEKKKKEEMERKRAEERRKKERVMSYQEPEWARVNEDELVFEEDDDEEMMAKRKEELYCVACNKKFKSEKQWKNHEQSKKHKDKVAELRMAFKEEEEALKEAEEAAGADWEEVDVGFDFKPANESDESDWSDAAEELAEELDAGLDVGNEEDGDKDFDNGEPVVGSYDETSVLEAMLSSRKNKKSGYVVPQEEVPLAVSEDDNADDTNSAVNIVKKKGRRRRASKKGQDDVSYAENGQGTKTNVPHEESGHDNDENDVDDKMEGPPSFNDDGPMASKGDEQKGINDNPKKNKKNKKNKKVAEPNVSADQKNASKGKKQKEVSKARGQSCFLTWKKQVTPSSRHDRKNVKAGNI* >BrasyJ031400.2.p pacid=40064946 transcript=BrasyJ031400.2 locus=BrasyJ031400 ID=BrasyJ031400.2.v1.1 annot-version=v1.1 MASAAPKRCYYEILGLSRDCSPTDIKLAFRRLALSLHPDKQAPGSDLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFADPASSGSGSASPVPDLFSFFSTSAFSGFSDSGRGFYKVYGDLFDKVFAQEVTYARRMGIPTDSIPTPPVIGNLDSPYTQVAAFYAYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKARRKARQEYNDAVRGLAAFCKKRDKRVVDMALQKKAEEEKKKKEEMERKRAEERRKKERVMSYQEPEWARVNEDELVFEEDDDEEMMAKRKEELYCVACNKKFKSEKQWKNHEQSKKHKDKVAELRMAFKEEEEALKEAEEAAGADWEEVDVGFDFKPANESDESDWSDAAEELAEELDAGLDVGNEEDGDKDFDNGEPVVGSYDETSVLEAMLSSRKNKKSGYVVPQEEVPLAVSEDDNADDTNSAVNIVKKKGRRRRASKKGQDDVSYAENGQGTKTNVPHEESGHDNDENDVDDKMEGPPSFNDDGPMASKGDEQKGINDNPKKNKKNKKNKKVAEPNVSADQKNASKGKKQKDKAVFSLGRNRSRRHQDTTEKTLRPAIYSFF* >BrasyJ034800.1.p pacid=40064947 transcript=BrasyJ034800.1 locus=BrasyJ034800 ID=BrasyJ034800.1.v1.1 annot-version=v1.1 MAFARTLASRCLSDRLARRLHPALPKLLSSSSTGDARKPSPLPPPPGPPAPRPSPFALAPRRVAQTLNLLAFDLHLLAGPPRRSFSSSSSSHDFDVADVLTDATHAAASFPSEVALAVEDSSIASAAVQHLIDAIHSFTGLNWWISIVLSTAVLRCVLITLCIPRRKRVYVMRQELLQIVKLFSKAKDDASIEEAELAGFSLFRKLGLPVFLLPMVTPYTFVTLYIAISNMAEKVPSLKGGGAFWYTDLTTPDALCIFPMITSLLIVLTFELKYSHLWNCRGCSRKSKMERVKGVVRVISLLCMLFTTTCPQEVWSTYAFFSFLFYLNCKNCAIAVRQYIDI* >BrasyJ034800.2.p pacid=40064948 transcript=BrasyJ034800.2 locus=BrasyJ034800 ID=BrasyJ034800.2.v1.1 annot-version=v1.1 MAFARTLASRCLSDRLARRLHPALPKLLSSSSTGDARKPSPLPPPPGPPAPRPSPFALAPRRVAQTLNLLAFDLHLLAGPPRRSFSSSSSSHDFDVADVLTDATHAAASFPSEVALAVEDSSIASAAVQHLIDAIHSFTGLNWWISIVLSTAVLRCVLITLCIPRRKRVYVMRQELLQIVKLFSKAKDDASIEEAELAGFSLFRKLGLPVFLLPMVTPYTFVTLYIAISNMAEKVPSLKGGGAFWYTDLTTPDALCIFPMITSLLIVLTFEGLHL* >BrasyJ032600.1.p pacid=40064949 transcript=BrasyJ032600.1 locus=BrasyJ032600 ID=BrasyJ032600.1.v1.1 annot-version=v1.1 MEFSSFPFKRLLNTRMLRPMLACCKLYVSESRSAAALRAVEQAARRHHPAVVLVNRFADDAYNRVGYTLASSSPAPLRRAVVGMVGAALDAIDLRSHAGAHPRLGAVDHVCFHPLDAAASSLRLVADLAAAAAVDIGDNLQVPTYLYGAAHREGRTLAAIRRQLGYFHSPRGDGQWRGPLSGELPVAPEAGPGTPSASKGVLVMGATGWVDNYNVPARTGDVEAVRRVARRVSERGGGLPSVQAMGLAHGNGAAEVACNLLDPGRVGAEEVQSMVERLAEEEGFAVGKGYFTDFSQNKIIEMYDSLHKAQDQD* >BrasyJ032600.2.p pacid=40064950 transcript=BrasyJ032600.2 locus=BrasyJ032600 ID=BrasyJ032600.2.v1.1 annot-version=v1.1 MLRPMLACCKLYVSESRSAAALRAVEQAARRHHPAVVLVNRFADDAYNRVGYTLASSSPAPLRRAVVGMVGAALDAIDLRSHAGAHPRLGAVDHVCFHPLDAAASSLRLVADLAAAAAVDIGDNLQVPTYLYGAAHREGRTLAAIRRQLGYFHSPRGDGQWRGPLSGELPVAPEAGPGTPSASKGVLVMGATGWVDNYNVPARTGDVEAVRRVARRVSERGGGLPSVQAMGLAHGNGAAEVACNLLDPGRVGAEEVQSMVERLAEEEGFAVGKGYFTDFSQNKIIEMYDSLHKAQDQD* >BrasyJ030800.1.p pacid=40064951 transcript=BrasyJ030800.1 locus=BrasyJ030800 ID=BrasyJ030800.1.v1.1 annot-version=v1.1 MAATDGLYRRPPHTDFGTHDADHLPISSVILDTMVYLDARTNATTAGGVTSTGVAVMVTLWAADPPRISYFTFRFPGSALPDGNPRVLRTDGDLVLFRFLVGPEGRSMPASTSSGSSPATPPAYSPTWVSASSAAAATPPRRRRRRRQTPEDCRFTTPNKAISLHGSSIGWVDLWNGILVFDFLRGDDNDTLQFIRLPMLLVPNRLMPGAIACTRDVSFSNGCLRFTEVWAHQVTRSDDGLFHVEWGAAVIRWLGPDEKKWHLHPKMF* >BrasyJ033800.1.p pacid=40064952 transcript=BrasyJ033800.1 locus=BrasyJ033800 ID=BrasyJ033800.1.v1.1 annot-version=v1.1 MDPELKTRIRADLETFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDVDLSRGGCDDDLRALLLDTAPRSLILVEDLDRYLRGGDGETSAARAARVLGFMDGLSSCCGEERVMVFTMSGGKEGVDPAVLRPGRLDVHIHFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHAAGGARLSPAELGEIMLANRASPSRALRTVINALQHVSPPAQTRTSSSSSAAARPPRLSSRSSGNLDASSPAVDSNAASQSPGTGGFGKDAPMREFKKLYGLIKIRSRKDGSGVVPVDDTASANGRGSDASSAEKDR* >BrasyJ032200.1.p pacid=40064953 transcript=BrasyJ032200.1 locus=BrasyJ032200 ID=BrasyJ032200.1.v1.1 annot-version=v1.1 MTARSLPSFCLHRIISRSEGAVVAPPPICAKEASAGDGREDKGDDGKTEEKEKDKANCGAAVGRKVMVVADGGGEEARTALQWALSHSVRPCDTVVLLDVVRSTGKNRDDLRGYQPLEAMRSICQAKRPEVRIELSLLEGKERGPTIVEAARKQGVSLLVMGHKKRSMTWRLLAMWMAGGKDTGGGTVEYCVQHAACMALAIRRKSRRGGGYLITTRRQRDFWLLA* >BrasyJ032200.2.p pacid=40064954 transcript=BrasyJ032200.2 locus=BrasyJ032200 ID=BrasyJ032200.2.v1.1 annot-version=v1.1 MTARSLPSFCLHRIISRSEGAVVAPPPICAKEASAGDGREDKGDDGKTEEKEKDKANCGAAVGRKVMVVADGGGEEARTALQWALSHSVRPCDTVVLLDVVRSTGKNRDDLRGYQPLEAMRSICQAKRPEVRIELSLLEGKERGPTIVEAARKQGVSLLVMGHKKRSMTWRLLAMWMAGGKDTGGGTVEYCVQHAACMALAIRRKSRRGGGYLITTRRQRDFWLLA* >BrasyJ032900.1.p pacid=40064955 transcript=BrasyJ032900.1 locus=BrasyJ032900 ID=BrasyJ032900.1.v1.1 annot-version=v1.1 MAPPLASIVRLAAASRVLVLALSLLARLLFRPYDTSASLHPPCLSSPSSSNSTPAAAAAISSLAVWDGVHFARPAECGYEYEQSFAFLPLLPACLVLLSRSLFAPLVPFLGYRAVLVISGLVLNNVAFVAAAAYFYRLSLLILKDRGAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYMFSGANTVAMIMLALSGSARSNGALNAGYFCFQALLQAYDAAVQKKRPILAMQALITGVLRSIFIFIPFFAFQAYGYFNICLHGNSDELRPWCKAKLPLLYSFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLCQLFQSTSLHEQIIATVERRSVEAYEHSDVATVLKSEFSTGPNYKEQEHTEVKKRKSVAAKSASTSLHDNCSTGRILKDDKDECPILVIPFILHLAFMTLTAFFVMHVQVSTRFLSASPPIYWAASHILVSPRGTSKRWGYFIVVYFIAYILLGSLLFPNFYPFT* >BrasyJ033300.1.p pacid=40064956 transcript=BrasyJ033300.1 locus=BrasyJ033300 ID=BrasyJ033300.1.v1.1 annot-version=v1.1 MDGPKRSQLRVRLRVTARRRGGGDGADRFGAGGSGAGGGRKRRLDAPLVNSAAKLQRREIGGRQLAARGGGAAAAVPERFRNMQLQEEFDTYDQDAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKLLKSFKHLLHRGKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVGINKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAATNDSTASPIGSINMSDIMTGKCIAKIAANDPTLSIAPRKNGSPSIWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSS* >BrasyJ033300.2.p pacid=40064957 transcript=BrasyJ033300.2 locus=BrasyJ033300 ID=BrasyJ033300.2.v1.1 annot-version=v1.1 MQEEFDTYDQDAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKLLKSFKHLLHRGKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVGINKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAATNDSTASPIGSINMSDIMTGKCIAKIAANDPTLSIAPRKNGSPSIWSTVPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSS* >BrasyJ034400.1.p pacid=40064958 transcript=BrasyJ034400.1 locus=BrasyJ034400 ID=BrasyJ034400.1.v1.1 annot-version=v1.1 MGSVEKFRFCIDRGGTFTDIYAEVPGRTEGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGEKIPRSSKIPTGMIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVLKPSNLYEEVVEVDERVELVLDGERDGSSVEGISGELVRVGKPLDVEALKPLLKGLLDKGIKCLAVVLMHSYTYPHHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSAFMSRFQGGDEQVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFELETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAISDANLILGTVIPEYFPSIFGPKENLPLDYEATRKAFEELAVEINSHRKSQDPLVRSMTIEEIALGFVDVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAIARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRRAALLVKQVKEKLTEQGFGEESIRTDSYLNLRYEGTDTTIMVKQPDKESGCDYADEFVKLFEQEYGFKLLHRKVLICDVRVQGVGATNILQPRELTPLSTKPAQESSCKIYFSYGWQETPLYKLENLGYGHVLEGPVVIMNGNSTVIVEKDCKAVITKYGNIKIKIGASLRTVEISEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGSDGGLVANAPHVPVHLGAMSSTVCWQLSYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNDGKLIFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKAFKLVERGVFQEEGIVRLLQSPCSDELTDHKIPGTRKIEDNLSDLRAQVAANQRGITLIKELINQYGLITVQSYMSHVQKNAEVAVREMLKVVASRVEKETGSCVIEDEDYMDDGSVLHLKLTLDSRQGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIIIPKGSFLSPSNKAAVVGGNVLTSQRVTDIVLMAFQACACSQGCMNNLTFGDDTFGYYTIGGGCGGGPSWDGTSGVQCHMTNTRMTDPEIFEQQYPVLLHRFSIRESSGGSGFHRGGDGLVREIEFRRSVVVSILSERRVHAPRGLKGGENGARGANYLVKKDGRKVYLGGKNTVTVNAGEILQVFTPGGGGFGSS* >BrasyJ034700.1.p pacid=40064959 transcript=BrasyJ034700.1 locus=BrasyJ034700 ID=BrasyJ034700.1.v1.1 annot-version=v1.1 MAVVAAAAAALAWPCLGGGSRAWVDVPERSKSAFMELKRRKVHRYVIFKIDDRREEVVVEKTGAPGESYDDFMASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPDDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT* >BrasyJ034700.2.p pacid=40064960 transcript=BrasyJ034700.2 locus=BrasyJ034700 ID=BrasyJ034700.2.v1.1 annot-version=v1.1 MEFLGLTLMGGGSRAWVDVPERSKSAFMELKRRKVHRYVIFKIDDRREEVVVEKTGAPGESYDDFMASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPDDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT* >BrasyJ033000.1.p pacid=40064961 transcript=BrasyJ033000.1 locus=BrasyJ033000 ID=BrasyJ033000.1.v1.1 annot-version=v1.1 MAAVGVISPSAPGTVSAAISSSSSTRSRVRLLPTRFHAAASFRARCAAAAAADGGATAADDPADAVAEVAAEGDPEAGTDVAGGAATSTLPPYSLISTANVQKAMRGLAITDADHYGRLGISRLASTDEVRAAYEKRCEQLNSQGLEEEEISKEHDLLKESFTILSTEEERRLYDWSLARNGQPERYVWPFEVDPMELAPDPPKEPEDELPTKLVGYFFLAWFIISVACSLILNRS* >BrasyJ031600.1.p pacid=40064962 transcript=BrasyJ031600.1 locus=BrasyJ031600 ID=BrasyJ031600.1.v1.1 annot-version=v1.1 MSRLVSARICPSQRFHVPLLPSVRPPMRSHSAAGLGVGGPSFHGVKLSNLPGFVAEAGALEVPKSAPSSPASGNHLPSEEVIRTWCNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGSIPFEEALAARLSLFKPSLYQVEECMEKRPPRISPGIAKLIKMLKAKNVDVYLVSGGFRQMFKPVVSELGIPPENIYANQLLFGNSGEYVGFDPTEPTSRSGGKAIAVQHIRQKCGYKTVVMIGDGATDLEARQPGGANLFICYGGVQMREAVAGKGDWVVSDFLELMAYLA* >BrasyJ034900.1.p pacid=40064963 transcript=BrasyJ034900.1 locus=BrasyJ034900 ID=BrasyJ034900.1.v1.1 annot-version=v1.1 MIALKQPAVQKVLFGEPLKPACYSCRGQKGPTAEGSPSSVEDHEQAVPPVRPGHLLILASTGPRASLIRNRTNVVKCDTILTTYRDSNLLVYCCLTPPVRPGHLLILASTGPRASLIRNRTNVVKCDTILTTYRDSNLLVYCCLTLYMIPVSSRQSSFWCFWQSQKHFSHVRRCFSASYVNRRSAFD* >BrasyJ030700.1.p pacid=40064964 transcript=BrasyJ030700.1 locus=BrasyJ030700 ID=BrasyJ030700.1.v1.1 annot-version=v1.1 MATPIVAATIGALINFSLPKLSMLISREMMVPVESMITQLKFIQAIIQDRSTSGRETSHVRKVSNEELRSLAFDLEDCIECFVRESKSQPLLIKKLSGSRMNIKFAGDIRKLEERSNGLLKLVKDWDVTTEKPTSSAQTPQVLGMAESLCKLRELLVRGSGSEGKLKVISLVGFSDGLGTSTVLAHKVYHDRQVRDQFALQAWVAAAGKNTQQIVEEILEQLLLENGTNTSTQGEDGGDGVQIQGQHESVQRLRSCLQNKRYLIVIDDVPTEDLSKIIHAFPCADVVGGRIIVTTTFQSESAPHCICGNDDDHVYKKRSLNDDDVKQQLFIKTHAPEGNDSSALLLKKCEGLSPVLLSIYTGAHCRAEDGELVKGRFQDDYDMVCAEMGAEMRQVITNNCESLPSLVLQDLLLYFAMFPRDHPVKTNPLKRRWLAEGLVSAELVPADVQYASLEDVAAHNLHTLIDRNIIQPIQVSNSFKVKRCQPPVMMLDYIYHKSMNNNFITMFCDADSKKKEPEYVRRLSLHPNSSAAADRLPEDLSRLRTLAIFLTADLASNYGTTLNFGDYNLLRVLDLEECNGLNDRNLKNICNLLLLKYLSLGGSIGEVPRDIAKLISLETLDLRRTKIDTLPAQVIQLPSLAHLLGKFQLDKRDCKEKVMKNLEDFLSKHSKLERLSGFVTGDSQGFPQLMCHMVSLKKVKIWCDSTASKANLAHLSSAIKGFVRGGNTSRPSRSLSIDFNECSQDEESFLDFLEAPGSLASLKLRGKLTKFPRFVTNLNTVQELCLSSTNLQGHIILNGTANLCALKHLKLIEDSMSLSGHEIEDGQFPRLLRICFLVQTRLELPRDIEDGAMELLVSLQLLCRDLVGSIEIRRLQRLKEVTLHAQVPDKTKCQWQEAAKRNPDNLPNVLFIDPPISRDKEHRFTGRNDTTSNGTSRISKFGKFLTRQNIM* >BrasyJ032500.1.p pacid=40064965 transcript=BrasyJ032500.1 locus=BrasyJ032500 ID=BrasyJ032500.1.v1.1 annot-version=v1.1 MLPSSQMAIQLTLLLISCSLYSMYSSPSFSTSSSLALLVLVISTFVSLLFSNLRQQIKTSSHKTKPLIPSMEVEAVHQEKITVPQDEVYVHAPEDLDGSLSGSSDCPVIEEWTDEASVSDDDEDDDSLIEISLVDGHYVGQEQHCAWKKEQGLLKDFLPDLMLDKRDFMDILSEISEEDNMIEIDIARGSIKCANFSIKA* >BrasyJ032300.1.p pacid=40064966 transcript=BrasyJ032300.1 locus=BrasyJ032300 ID=BrasyJ032300.1.v1.1 annot-version=v1.1 MNYIIGAFKPPCDISIIFGEARNRKQVSVKKDNGKTMMVPVFQSMETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELEIPGEIYEKKTYPFEFSSVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVRNYTPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLTDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDSPQAS* >BrasyJ033900.1.p pacid=40064967 transcript=BrasyJ033900.1 locus=BrasyJ033900 ID=BrasyJ033900.1.v1.1 annot-version=v1.1 MELFYYLVFGGLSAVVAALELGKSGKDRVATPTAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYRILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANLLADNLGLGPVAPFDAAACFLAIGMAIILSSWGENYGDASDGKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSEKGSSISFGGSLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFIAAILQRRLMVVSDLHRTTKAAEMTAEDVPLNP* >BrasyJ030600.1.p pacid=40064968 transcript=BrasyJ030600.1 locus=BrasyJ030600 ID=BrasyJ030600.1.v1.1 annot-version=v1.1 MDDSAWLRAAMKLPVTASLGSMGPLLHKLHPFMAYEESVSTNGEFRLLEQGLTGLCVSLKDLSEQVQEPSFTAKLWMKEVREICYDMEDNFGELVDSGYSKLMARVEDAIEICKTFNLHLKTIEPEMGQGAGISRFTPHSLSLELFQGLTNKLVELLALEDEGKRLRVVTIFGSACIGKTAVAGALYHQYGGKFQCRAFVRVSRNPNMRRLLTSILSQIKAPLPHPFSDVQDLIESIKEYLIGKRYIFCYYI* >BrasyJ033200.1.p pacid=40064969 transcript=BrasyJ033200.1 locus=BrasyJ033200 ID=BrasyJ033200.1.v1.1 annot-version=v1.1 MSTDCLFVPSRASPSPSSSPRLAAMSTSCSPPLFICSSAQAKTQLCAFPRGASSNLLLTPRRLCIERQSCSQRSSRTSALSKGDFSPVTQDVEGFLHNIVNMGFLDRLKLAWKIIFPAPAITENTNANIAKQRLKMILFSDRCEVSDEAKKKIVENVVAALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGEVSGNVDVTFDFFVPNENH* >BrasyJ031700.1.p pacid=40064970 transcript=BrasyJ031700.1 locus=BrasyJ031700 ID=BrasyJ031700.1.v1.1 annot-version=v1.1 MAARKDNPVLIACQAPSGRITRSQAANSGKFGMACPVPVSGKIERKPAVTRKAKRGALDENACASAATSAPQPKRRAVLKDVTNISLANSSKNCIAVTKLQSRPPQKVGRIPSKKKQSAKKVTKPSLPAISGTSFVNDSNIIEEAQKAKILAPKDEPITLVGTNGSPSLQNIERNRDSGLHEAFFQGRNIRDKSETADSKTGDSAVSNIVDIDKDNGNPQMCVSYAAEIYTNLMASELIRRPKSNYMEALQQDITKSMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDQFLSRKYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKTEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAGAANRASPVTLGYLANYLAELTLTEYGFLKFLPSVVAASAVFLARWTLDQSDLPWNCTLEHYTSYKSSDIEICVCALRELQHNTSGCPLNSIREKYRQEKFECVSDLLSPELAHSLFSRQANDINPLLINNS* >BrasyJ031700.3.p pacid=40064971 transcript=BrasyJ031700.3 locus=BrasyJ031700 ID=BrasyJ031700.3.v1.1 annot-version=v1.1 MAARKDNPVLIACQAPSGRITRSQAANSGKFGMACPVPVSGKIERKPAVTRKAKRGALDENACASAATSAPQPKRRAVLKDVTNISLANSSKNCIAVTKLQSRPPQKVGRIPSKKKQSAKKVTKPSLPAISGTSFVNDSNIIEEAQKAKILAPKDEPITLVGTNGSPSLQNIERNRDSGLHEAFFQGRNIRDKSETADSKTGDSAVSNIVDIDKDNGNPQMCVSYAAEIYTNLMASELIRRPKSNYMEALQQDITKSMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDQFLSRKYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKTEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAGAANRASPVTLGYLANYLAELTLTEYGFLKFLPSVVAASAVFLARWTLDQSDLPWNCTLEHYTSYKSSDIEICVCALRELQHNTSGCPLNSIREKYRQEKFECVSDLLSPELAHSLFSRQANDINPLLINNS* >BrasyJ031700.2.p pacid=40064972 transcript=BrasyJ031700.2 locus=BrasyJ031700 ID=BrasyJ031700.2.v1.1 annot-version=v1.1 MAARKDNPVLIACQAPSGRITRSQAANSGKFGMACPVPVSGKIERKPAVTRKAKRGALDENACASAATSAPQPKRRAVLKDVTNISLANSSKNCIAVTKLQSRPPQKVGRIPSKKKQSAKKVTKPSLPAISGTSFVNDSNIIEEAQKAKILAPKDEPITLVGTNGSPSLQNIERNRDSGLHEAFFQGRNIRDKSETADSKTGDSAVSNIVDIDKDNGNPQMCVSYAAEIYTNLMASELIRRPKSNYMEALQQDITKSMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDQFLSRKYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKTEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAGAANRASPVTLGYLANYLAELTLTEYGFLKFLPSVVAASAVFLARWTLDQSDLPWNCTLEHYTSYKSSDIEICVCALRELQHNTSGCPLNSIREKYRQEKFECVSDLLSPELAHSLFSRQANDINPLLINNS* >BrasyJ033100.1.p pacid=40064973 transcript=BrasyJ033100.1 locus=BrasyJ033100 ID=BrasyJ033100.1.v1.1 annot-version=v1.1 MPLLWLCVVYCSCAPLCLTSTAAVPFSCCCFCLFIARAPSVHARR* >BrasyJ063600.1.p pacid=40064974 transcript=BrasyJ063600.1 locus=BrasyJ063600 ID=BrasyJ063600.1.v1.1 annot-version=v1.1 MQLDTIAAVVCAATVLLISNGCLSMASAEDKIRGLPGQPPVSFAQYSGYVAVDAVRKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRIVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFSRVCNYSRYVSEYYHGSISPVCDRVMSQVTRETSRFVDKYDVTLDVCISSVLAQSNTLTPQQSTQQLSRELDVCVEDETMNYLNRKDVQQAMHARLNGLPKWTVCSSVLEYKQLDLQIPTINTVGMLVKSGIPVLVYSGDQDSVIPLTGSRTLVHRLAKRLRLNATVPYRVWFEGKQVGGWTQVFGDALSFATIRGASHEAPFSQPERSLVLFRAFLARRPLPESFE* >BrasyJ063600.2.p pacid=40064975 transcript=BrasyJ063600.2 locus=BrasyJ063600 ID=BrasyJ063600.2.v1.1 annot-version=v1.1 MQLDTIAAVVCAATVLLISNGCLSMASAEDKIRGLPGQPPVSFAQYSGYVAVDAVRKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRIVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFSRVCNYSRYVSEYYHGSISPVCDRVMSQVTRETSRFVDKYDVTLDVCISSVLAQSNTLTPQSTQQLSRELDVCVEDETMNYLNRKDVQQAMHARLNGLPKWTVCSSVLEYKQLDLQIPTINTVGMLVKSGIPVLVYSGDQDSVIPLTGSRTLVHRLAKRLRLNATVPYRVWFEGKQVGGWTQVFGDALSFATIRGASHEAPFSQPERSLVLFRAFLARRPLPESFE* >BrasyJ009500.1.p pacid=40064976 transcript=BrasyJ009500.1 locus=BrasyJ009500 ID=BrasyJ009500.1.v1.1 annot-version=v1.1 MSGEDVRKVRNLIEGCLQLYMNQKEVVDTLSLEAKIEPSFTEFVWQKLEEENREFFKAYYLRLMLKNQIRASTNFLRIMKQNSCFLPDSAPVSAMPNGVMGNGSSGGLVNGTASSDQLIYAAQNAASAVLFGADNGTTIKTESGYSSNADFAFCGNAFLESCQSIGDASGGSFSSSELNGQPLNDSIMDMESSSFGFFNQIPGILAFSDLSEDFNQNAEILENYGRSPFLSSDPNNFQP* >BrasyJ009600.1.p pacid=40064977 transcript=BrasyJ009600.1 locus=BrasyJ009600 ID=BrasyJ009600.1.v1.1 annot-version=v1.1 MVAIVTEAWTLAGCGSASSSKPSSAAAQERQGQKFRAVAMAAAAANARISSVVVVGRRRGIASCLLAALALTASGAGAARAAILEADDDLELLERVKEDKKKAAPEAGAHQLLGSRDSSDAPWVQNINAAFSKLSSSTEEKSAVDSFNSSLASLLHQVYMYLSNRDVQSSKSAFVSSATALEKWVTLAGLTGKLKGF* >BrasyJ075800.1.p pacid=40064978 transcript=BrasyJ075800.1 locus=BrasyJ075800 ID=BrasyJ075800.1.v1.1 annot-version=v1.1 MQGGLGSTGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIALCTPSGPPNSDPVNEGPVLSVRFSLDQKAIGIQRSNHEIEFRNRETGEACSNKCRADSETMLGFFWTDCPTCDVIIIKTSGLDLLVYEPQSNALRLVESKKFNMSWYLYTHESRLLLLASGMQSTLFTGYQFSAGGIVKLPKFEMIMSKCEANNKPVLAATDVHIVTVYGRIYCLQLDRVNMGLNLYRFYRDAVVQQGTLPMYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSFTPVSAPLPLLVRGLPSNNRQPGQPADHQSRAYGGTIYGEGWNFLIPDLICDSENGLLWKLHLDLEAVAASTSDAPSVLELLQRRKSDLSLVKTLSLAIVRTIILERRPITMVAKAMAVILDSYSCLMKMGGSVSGVRRASEQQQSSGQPFEDSSVVSLEPPSRTMIRPVVNTESASGVETRPPQSDSGVEHGIANLTAHVDRTSLNTSSNSDDIVNTSRGSQTSDATSERPQALGEDRRPLASGTSIQHGPHGAGVAISPIEMFQSVFVLVEDEMMGDPAYLIAVIMEFLRSMSKAGLKAPPKLYVMMTALLAHSNRYAEIALFVSNKILEPSRELAMQLIELGRHHLLTRKLGVEMLRERCLHNDYVAALLHEGYYLEALRYARKYKVITVQPALFLEKAVAMDSVQNLAAVLSFFSEFTPSFKTTLDYSRYRHILFEMI* >BrasyJ076100.1.p pacid=40064979 transcript=BrasyJ076100.1 locus=BrasyJ076100 ID=BrasyJ076100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPLDSFPVHKAVGVGHTIELAIQQVAYMCVTLLRRKYERLDKGPFKYIPRGFVTCKNKFFTLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPEFDKMFQVDGFTPARGPPRVFDSTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ076000.1.p pacid=40064980 transcript=BrasyJ076000.1 locus=BrasyJ076000 ID=BrasyJ076000.1.v1.1 annot-version=v1.1 MVESDQINSMSNSRHPSLPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFLEVPGGTNNNNYANIQLIVEIAERTRVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPEICHSIPEDIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDEEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKELEQAARRLAKCVQYEGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPASQVAVGMGIPLYNIPEIRRFYRMEHGGGYHAWKEISSAATKFDLDKAQSARPRGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNASEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSTVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRINESEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVMDGSHVVSDTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQASDLIARLDLDDPSSVRRAEPFQGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINQVVQDLLNCLDSPELPFLQWQEIMSVLATRLPKDLRNELDGKYKEYELNADFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTAYSGLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSIRMQWHRSGLIALWEFSEEHIAQRSGQSVTVLNQQVDHPIYKRWGVMVVIKSLQFLSTAIEAALKETSHYGAGVGNVSNCNPVTPNNSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDHTITSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNDVKYTPSRDRQWHIYTLVKNKKDQRSNDQRMFLRTIVRQPSVTNGFLPGSIDNEVSRAQASSSFTSKSILRSLMAALEEIELHAHNEAVRSGHSHMYLCIMREQQLFDLIPFSRMSGEVGQDEATTCTLLKHIVLNIYEHVGVRMHRLSVCQWEVKLWLACDGQANGAWRVVVTNVTGHTCTVDIYREVEDPDIHQLFYRSATPTAGPLDGVALHEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKKSWKSSISHVGEANEHNQRYVKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWSMKLSTPEFPGGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLSATAGARIGVAEEIKSCFHVGWFDDQSPERGFHYIYLTEEDYSRLNSSVIAHELKLETGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYRETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPILKPLDPPDRPVTYFPENSCDARAAICGIQDSQGKWLGGMFDRGSFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNHEELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPKAGELRGGAWVVVDSKINPEHIEMYAESTAKGNVLEAEGLIEIKFRPKELEESMLRLDPKLTNLNARLKEMKKENASLSETETIGMSMTVRMKQLMPIYTQVATRFAELHDTSSRMASKGVISKVVDWKESRTFFYKRLRRRVAEDSLAKEVREAAGEQLSHRSALECIKKWYLASKGSEGDSEQWNDNEGFFAWKDDRRNYENYLEELEAERVSKWFSHLAESSDVKALPNGLSLLLGKMNPTKREQVIDGLRELLG* >BrasyJ076000.3.p pacid=40064981 transcript=BrasyJ076000.3 locus=BrasyJ076000 ID=BrasyJ076000.3.v1.1 annot-version=v1.1 MVESDQINSMSNSRHPSLPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFLEVPGGTNNNNYANIQLIVEIAERTRVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPEICHSIPEDIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDEEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKELEQAARRLAKCVQYEGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPASQVAVGMGIPLYNIPEIRRFYRMEHGGGYHAWKEISSAATKFDLDKAQSARPRGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNASEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSTVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRINESEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVMDGSHVVSDTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQASDLIARLDLDDPSSVRRAEPFQGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINQVVQDLLNCLDSPELPFLQWQEIMSVLATRLPKDLRNELDGKYKEYELNADFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTAYSGLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSIRMQWHRSGLIALWEFSEEHIAQRSGQSVTVLNQQVDHPIYKRWGVMVVIKSLQFLSTAIEAALKETSHYGAGVGNVSNCNPVTPNNSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDHTITSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNDVKYTPSRDRQWHIYTLVKNKKDQRSNDQRMFLRTIVRQPSVTNGFLPGSIDNEVSRAQASSSFTSKSILRSLMAALEEIELHAHNEAVRSGHSHMYLCIMREQQLFDLIPFSRMSGEVGQDEATTCTLLKHIVLNIYEHVGVRMHRLSVCQWEVKLWLACDGQANGAWRVVVTNVTGHTCTVDIYREVEDPDIHQLFYRSATPTAGPLDGVALHEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKKSWKSSISHVGEANEHNQRYVKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWSMKLSTPEFPGGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLSATAGARIGVAEEIKSCFHVGWFDDQSPERGFHYIYLTEEDYSRLNSSVIAHELKLETGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYRETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPILKPLDPPDRPVTYFPENSCDARAAICGIQDSQGKWLGGMFDRGSFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNHEELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPKAGELRGGAWVVVDSKINPEHIEMYAESTAKGNVLEAEGLIEIKFRPKELEESMLRLDPKLTNLNARLKEMKKENASLSETETIGMSMTVRMKQLMPIYTQVATRFAELHDTSSRMASKGVISKVVDWKESRTFFYKRLRRRVAEDSLAKEVREAAGEQLSHRSALECIKKWYLASKGSEGDSEQWNDNEGFFAWKDDRRNYENYLEELEAERVSKWFSHLAESSDVKALPNGLSLLLGKMNPTKREQVIDGLRELLG* >BrasyJ076000.2.p pacid=40064982 transcript=BrasyJ076000.2 locus=BrasyJ076000 ID=BrasyJ076000.2.v1.1 annot-version=v1.1 MVESDQINSMSNSRHPSLPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFLEVPGGTNNNNYANIQLIVEIAERTRVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPEICHSIPEDIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDEEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKELEQAARRLAKCVQYEGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPASQVAVGMGIPLYNIPEIRRFYRMEHGGGYHAWKEISSAATKFDLDKAQSARPRGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNASEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSTVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRINESEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQASDLIARLDLDDPSSVRRAEPFQGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINQVVQDLLNCLDSPELPFLQWQEIMSVLATRLPKDLRNELDGKYKEYELNADFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTAYSGLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSIRMQWHRSGLIALWEFSEEHIAQRSGQSVTVLNQQVDHPIYKRWGVMVVIKSLQFLSTAIEAALKETSHYGAGVGNVSNCNPVTPNNSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDHTITSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNDVKYTPSRDRQWHIYTLVKNKKDQRSNDQRMFLRTIVRQPSVTNGFLPGSIDNEVSRAQASSSFTSKSILRSLMAALEEIELHAHNEAVRSGHSHMYLCIMREQQLFDLIPFSRMSGEVGQDEATTCTLLKHIVLNIYEHVGVRMHRLSVCQWEVKLWLACDGQANGAWRVVVTNVTGHTCTVDIYREVEDPDIHQLFYRSATPTAGPLDGVALHEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKKSWKSSISHVGEANEHNQRYVKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWSMKLSTPEFPGGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLSATAGARIGVAEEIKSCFHVGWFDDQSPERGFHYIYLTEEDYSRLNSSVIAHELKLETGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYRETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPILKPLDPPDRPVTYFPENSCDARAAICGIQDSQGKWLGGMFDRGSFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNHEELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPKAGELRGGAWVVVDSKINPEHIEMYAESTAKGNVLEAEGLIEIKFRPKELEESMLRLDPKLTNLNARLKEMKKENASLSETETIGMSMTVRMKQLMPIYTQVATRFAELHDTSSRMASKGVISKVVDWKESRTFFYKRLRRRVAEDSLAKEVREAAGEQLSHRSALECIKKWYLASKGSEGDSEQWNDNEGFFAWKDDRRNYENYLEELEAERVSKWFSHLAESSDVKALPNGLSLLLGKMNPTKREQVIDGLRELLG* >BrasyJ075900.1.p pacid=40064983 transcript=BrasyJ075900.1 locus=BrasyJ075900 ID=BrasyJ075900.1.v1.1 annot-version=v1.1 MRERKPAPASALTRILATCASQAKDYGSCITAKVPEIEHNMCSKEFLALRACMQTAVKNKT* >BrasyJ100700.1.p pacid=40064984 transcript=BrasyJ100700.1 locus=BrasyJ100700 ID=BrasyJ100700.1.v1.1 annot-version=v1.1 MPPGPFSFPTEPSDLPPQAISFSPPYIGCGRAVAEMDFMLLGGEHNKLLAVDQKGNSILYDPIGNNTRAMPPLASPKSMSLSLTVGGTDLYAMNRIPSWPPTANPFFHDHNFEGILFDQQQQGYDCCALPPTPCRFKDFSPSHGSILSYVVAGGGSSIWITKHEMGTYSFDTRTRLWSKVGDWSLPFLGRGEYVPEHKLWFGLSSSCHHHPSIHDIDTDLLCAVDLEMAQNQKPPVSCASWEHVVPPKEWDWSHVASYLMHLGSAKFCLARFFDSDRPPRQFAVFTGLEVERCGDQEKDQEGELRMLKHRSEVYLLPSDMVFWVL* >BrasyJ100700.2.p pacid=40064985 transcript=BrasyJ100700.2 locus=BrasyJ100700 ID=BrasyJ100700.2.v1.1 annot-version=v1.1 MPPGPFSFPTEPSDLPPQAISFSPPYIGCGRAVAEMDFMLLGGEHNKLLAVDQKGNSILYDPIGNNTRAMPPLASPKSMSLSLTVGGTDLYAMNRIPSWPPTANPFFHDHNFEGILFDQQQQGYDCCALPPTPCRFKDFSPSHGSILSYVVAGGGSSIWITKHEMGTYSFDTRTRLWSKVGDWSLPFLGRESEAAGQLCQLGACRSAQGVGLVTCGLLPHAPGLGQVLPRQVLRQ* >BrasyJ015800.1.p pacid=40064986 transcript=BrasyJ015800.1 locus=BrasyJ015800 ID=BrasyJ015800.1.v1.1 annot-version=v1.1 MCGRSCLNMHIILHLTSRVTAMGKRRLTHYTRVLACWTDTIFFCYYDGLLWKVLSSGTRYEHALILILHIVGSSMLETWSTLL* >BrasyJ015900.1.p pacid=40064987 transcript=BrasyJ015900.1 locus=BrasyJ015900 ID=BrasyJ015900.1.v1.1 annot-version=v1.1 MHVLQVVGGGGRDSSSDGSVNGQPNRRRRNGFNQGRRRMGPRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVMRFAFIEFADDVGARAALSLAGTILGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDVVKKFFEGICGEVLLD* >BrasyJ102900.1.p pacid=40064988 transcript=BrasyJ102900.1 locus=BrasyJ102900 ID=BrasyJ102900.1.v1.1 annot-version=v1.1 MALTCLLIVFLCVSLSLLFVNHAEAGEVGVSYGRIGNNLMDPASVVQLLNQNGITSIRVYDTDEAVLSSMANTGIKILVGLPNELVASAADDPSYALRWVQDNVKRHYPGAKINGVTVGNEVFNQASQLTSKLVPAMKNVQAALARLGLADAIKVTTPIAFNALKTSWPPSQGAFRDDIAQSVMSPMLNFLDQTGSYLMVNIYPYYTYKDQQGDFSHAYATSGPNDGVADPLTGVRYYSLFDAELAAVHYANRRRGHPRVHVVVGETGWCSYCNNAVASKENAASYVNNIIQSARSSSGSAGTMGSNGTLAVGAGAGTNGDFSVYIFALFNENQKPADEQNFGLFYPNGQAVYQVDFRGGGGGGTGSSWCVARSDVGDARLQAALDYACGHGADCSAIQPGKACYEPNTKAAHASYAFNDYYQSKGRASGTCDFAGAASIVYQQPSGTCDPKAASWCVANAAVGDARLQAALDYACGHGADCGAIQPGAQCFDPNTKVAHATYAMNDYYQRNGRTARSCDFGGAGSVVHQAPNTGNCVLPSRA* >BrasyJ103100.1.p pacid=40064989 transcript=BrasyJ103100.1 locus=BrasyJ103100 ID=BrasyJ103100.1.v1.1 annot-version=v1.1 MRMAHRLLLLVAIIVALLASPAAGVYPWPICGHSGNFAANSTYQANLARIAATLPKNASSSAALFATAQVGVVPEQVWALGLCRGDSNASYCFTCLDQAFTDLSNACAYTKDGTIYYDSCALHYSNIHFRASDSTEYSTTYRLRNDFNATLEPARFQRVVAALVNATVDYAVYNNSKRMCASGEADFDRELPKVYAWAQCTPDMAPARCRDCLGRIMEALPRQFTSAIGARVLGVRCSYRYETKPFFDGPVMVRLQGTSAASPGAPPAPAPATVVPNVTTPGVAAAEGRKYSVPGMVLIVLLPTIAAINLIACLILWMRRRPLAEAKQPYPGYSAEAEDIESVDSMLIDISTLRAATGDFAEINKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSTQGVGELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEFVPNRSLDIILFADTEKREQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDTNMNPKISDFGLARLFERDQTQGVTNRVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNNDCYNSKQSEDLLNTMWEHWTAGTVLEAVDPCMRSSFSETDVLRCIHVGLLCVQGNAADRPVMSSVVMMLGSETASALSAPSKPAFYARNPGANPGIAADVSTVSLQDGPGESM* >BrasyJ103000.1.p pacid=40064990 transcript=BrasyJ103000.1 locus=BrasyJ103000 ID=BrasyJ103000.1.v1.1 annot-version=v1.1 MRRIRLLSPLPQGSGSGQKKKKTILPPTPAVPRANSMLAGHLAVVLLLLLLPPARSFEDFSCDGARTYGANSTFPANLGLVAGALPGNASSAPTGFATAAAGTQPGRAYAMALCRGDVNGSACAACVSAAFRAAGAPDHCPNNTGVTVYEDSCVVRFSSGQQFLDFLRADQWQVRELNIRISQAFGKVPKVPSAWFSAAATAVLTAVVDHASAARGNSAGSKKYFATAEVEFEPKIYALAQCLPDMTPAQCQGCLGTNLQNQIPYLSIKRRWIIGLETWCNLRYSVQPFFDGPAMLQLPAPPAPAVMPPSPSATPESGGAGRKKTSAAGLSAGIACSVVLILVLWSIFAFFRFKRRIKTTEDDHPLKKIARAQCTIFDLLALQEATENFSQNNKLGEGGFGIVYKGILPDGQEIAVKKLLGRTGHGLQQLHNEVLLLAELQHKNLVRLQGFCSHRDDTLLVYEYIKNGSLDNFIFDTSEGNTLNWDQQYNIILGIAKGILYLHEDSSMRIIHRDLKANNILIDDDMDPKIADFGLARLLGEGHTNTKTARAVGTLGYMAPEYAIHGLVSPKIDIFSFGVLVLEIVTRRRNSSSDDSDAVNLLSDVWNCWTKGTISQMLDQSLHENARTQALRCIHIGLMCVQSDANDRPSISSVIFMLTRDNMEIQAPAQPAFFFGRESALASVSSERSDFLLGMDVSVNGVTITELYPR* >BrasyJ103000.4.p pacid=40064991 transcript=BrasyJ103000.4 locus=BrasyJ103000 ID=BrasyJ103000.4.v1.1 annot-version=v1.1 MRRIRLLSPLPQGSGSGQKKKKTILPPTPAVPRANSMLAGHLAVVLLLLLLPPARSFEDFSCDGARTYGANSTFPANLGLVAGALPGNASSAPTGFATAAAGTQPGRAYAMALCRGDVNGSACAACVSAAFRAAGAPDHCPNNTGVTVYEDSCVVRFSSGQQFLDFLRADQWQVRELNIRISQAFGKVPKVPSAWFSAAATAVLTAVVDHASAARGNSAGSKKYFATAEVEFEPKIYALAQCLPDMTPAQCQGCLGTNLQNQIPYLSIKRRWIIGLETWCNLRYSVQPFFDGPAMLQLPAPPAPAVMPPSPSATPESGGAGKKTSAAGLSAGIACSVVLILVLWSIFAFFRFKRRIKTTEDDHPLKKIARAQCTIFDLLALQEATENFSQNNKLGEGGFGIVYKGILPDGQEIAVKKLLGRTGHGLQQLHNEVLLLAELQHKNLVRLQGFCSHRDDTLLVYEYIKNGSLDNFIFDTSEGNTLNWDQQYNIILGIAKGILYLHEDSSMRIIHRDLKANNILIDDDMDPKIADFGLARLLGEGHTNTKTARAVGTLGYMAPEYAIHGLVSPKIDIFSFGVLVLEIVTRRRNSSSDDSDAVNLLSDVWNCWTKGTISQMLDQSLHENARTQALRCIHIGLMCVQSDANDRPSISSVIFMLTRDNMEIQAPAQPAFFFGRESALASVSSERSDFLLGMDVSVNGVTITELYPR* >BrasyJ103000.2.p pacid=40064992 transcript=BrasyJ103000.2 locus=BrasyJ103000 ID=BrasyJ103000.2.v1.1 annot-version=v1.1 MDLETWISIRISQAFGKVPKVPSAWFSAAATAVLTAVVDHASAARGNSAGSKKYFATAEVEFEPKIYALAQCLPDMTPAQCQGCLGTNLQNQIPYLSIKRRWIIGLETWCNLRYSVQPFFDGPAMLQLPAPPAPAVMPPSPSATPESGGAGKKTSAAGLSAGIACSVVLILVLWSIFAFFRFKRRIKTTEDDHPLKKIARAQCTIFDLLALQEATENFSQNNKLGEGGFGIVYKGILPDGQEIAVKKLLGRTGHGLQQLHNEVLLLAELQHKNLVRLQGFCSHRDDTLLVYEYIKNGSLDNFIFDTSEGNTLNWDQQYNIILGIAKGILYLHEDSSMRIIHRDLKANNILIDDDMDPKIADFGLARLLGEGHTNTKTARAVGTLGYMAPEYAIHGLVSPKIDIFSFGVLVLEIVTRRRNSSSDDSDAVNLLSDVWNCWTKGTISQMLDQSLHENARTQALRCIHIGLMCVQSDANDRPSISSVIFMLTRDNMEIQAPAQPAFFFGRESALASVSSERSDFLLGMDVSVNGVTITELYPR* >BrasyJ103000.3.p pacid=40064993 transcript=BrasyJ103000.3 locus=BrasyJ103000 ID=BrasyJ103000.3.v1.1 annot-version=v1.1 MTPAQCQGCLGTNLQNQIPYLSIKRRWIIGLETWCNLRYSVQPFFDGPAMLQLPAPPAPAVMPPSPSATPESGGAGKKTSAAGLSAGIACSVVLILVLWSIFAFFRFKRRIKTTEDDHPLKKIARAQCTIFDLLALQEATENFSQNNKLGEGGFGIVYKGILPDGQEIAVKKLLGRTGHGLQQLHNEVLLLAELQHKNLVRLQGFCSHRDDTLLVYEYIKNGSLDNFIFDTSEGNTLNWDQQYNIILGIAKGILYLHEDSSMRIIHRDLKANNILIDDDMDPKIADFGLARLLGEGHTNTKTARAVGTLGYMAPEYAIHGLVSPKIDIFSFGVLVLEIVTRRRNSSSDDSDAVNLLSDVWNCWTKGTISQMLDQSLHENARTQALRCIHIGLMCVQSDANDRPSISSVIFMLTRDNMEIQAPAQPAFFFGRESALASVSSERSDFLLGMDVSVNGVTITELYPR* >BrasyJ100800.1.p pacid=40064994 transcript=BrasyJ100800.1 locus=BrasyJ100800 ID=BrasyJ100800.1.v1.1 annot-version=v1.1 MMSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQEAYMCVTLLRTKYERLNKGPFKYIPRASSRLPEVKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSTVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ071300.1.p pacid=40064995 transcript=BrasyJ071300.1 locus=BrasyJ071300 ID=BrasyJ071300.1.v1.1 annot-version=v1.1 MGRVLVGLGAHAAHEELGRALERERHSVHARARRGAARAAFGARMRGLARQGTTDGGNLAGVTPERRFRPREGARLGRTLAGGGAELEHGSTGTNRRQRARKISPETSSRGGGDASAGEGEREIALLTGAWQGVDGRRRWVGAVQRIAATSGKASPDLGKKAAGSRFPFGSGSGFSSVSFAAALRVQWGGWRGGARSEEARRPRRIWGRRRSRAAPRERAGAAQQRGCGSGEGKRRGGSAPLIGRPRHGQAREAGPGGGAPAMPVQWPGRWGG* >BrasyJ096000.1.p pacid=40064996 transcript=BrasyJ096000.1 locus=BrasyJ096000 ID=BrasyJ096000.1.v1.1 annot-version=v1.1 MTRLSLFPIPSPRAPSAPSRRLPACRSLSRPPAAASQPHAPARPGRRISLPRGSAAPPPPQRVRAPTRARSPPLAVSRPNRSLPSATARTRAHSRRPKTPVGRRCSPAGAAGITAPTPTSPPAPRLEVCCRRPHAASPPRCLVPPPTSPPAPCLLEGCFRRPHAASPPRCLAPPPTSPPGPCLLPPRASRAVAAAQSYLHTPAEFLRGIRVWLTPSLLARHAVIALGRESCSHAAFLAVTEICNGGSLMQWP* >BrasyJ096300.1.p pacid=40064997 transcript=BrasyJ096300.1 locus=BrasyJ096300 ID=BrasyJ096300.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTVTLEVESSDTITNVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGGKGGSYPKIDLNLLQLALKYRQHKMICRKCYARNSSRAENCRKKKCGHTSEVINSFWFNYSFLLVISY* >BrasyJ096100.1.p pacid=40064998 transcript=BrasyJ096100.1 locus=BrasyJ096100 ID=BrasyJ096100.1.v1.1 annot-version=v1.1 MVTQDGLCIRPLRDEGRTRLIGPHVSASKKKTNGLRVLVGLLLAMLCRAPAQVEPTPTVVVRRGSPHSASLPRRRSSSTVSHAGVFDGGNETTGQSRSRRLHDWIAAGCGDVFALDGGCLEQGPTAPFSAGIPAVVLLRPRLPASPSPTGSSFVVHLSDRTATPSPDLPPIAPALPPPFNPSTVRTSNSSVEFLLKLASCPSCCWSSPPHILQIREG* >BrasyJ096500.1.p pacid=40064999 transcript=BrasyJ096500.1 locus=BrasyJ096500 ID=BrasyJ096500.1.v1.1 annot-version=v1.1 MEAVSDTIALPYSYALLPAGDAEVAAVGHKRWRPLWAVLLFISAAVVLVVWPAGQPTGVHLVDPVAEDATSSSALHSGSNPFPWSDSMLRWQRTGFHFQPKKNYMSDPDGPFYYKGWYHLFYQYNPHGAKWGDITWGHAVTRDLLHWHHLPIAIVPDQWYDINGVWSGSATLLPDGRLAMLYTGSTNASVQVQCLVVPTDPSDPLLTNWTKYEGNPVLYPPPSIGIKDFRDLTTAWYYSFDMTWRLFIGSKDADHAGIAMTYKTMDFINYELLPGLVHRVPATGMWECVDIYPVDVNGTHAIDMTDAVGSNKEVVHVMKASMDDERHDYYALGRYDAVANMWTTTDPDLDVGIGLRYDWGKFYASRTFYDPAKRRRVLWAWVGETDSERADIAKGWASLQSIPRTLILDTKTHTNLLHWPVEEVDMLRTNCTDLSGITIDQGSVLLLNIHRANQLDILAEFHLDPLDVAAASEGDAGYNCSSSDGAGGRGARGPFGLLVLADVRHRMEQTAVYFYVAKGLDGHLITHFCQDETQSSRANDVVKRVIGSVVPVLDGETFSVRVLVDHSIVESFAQGGRSAATSRVYPTEAIYANAGVYIFNNATSVRVTAKKLVIHEMDSSYNQAYFA* >BrasyJ096200.1.p pacid=40065000 transcript=BrasyJ096200.1 locus=BrasyJ096200 ID=BrasyJ096200.1.v1.1 annot-version=v1.1 MESFFELLTEWEIQLLVVLSFTLQMFLFFTGGLRRCTSNTFLRLSVWISYLGADMIAVYALGFLSRNEDATTMRNHALASTHQLAFLWAPFLLIHLGGQDTVTAFSIEDNKLWLRHLLNLIMQVSLSLYVFWKSIGRHNVQLLVPGIFVFVTGSIKYGERTLALMSGDLKSSNKNVSTGEESDKKLAQLSQDHGYSGVVHFALHLAPGVRDLFSGRAMYQMQGGHSVTLEANKSLSEDKLPKLLEVELGLVYDDLYTKAAVLRKRSVIILRCISQVSATVGLVLFAISNKQLYNRVDVAITYILFGGGLFLEGCARFMMFMVSPWTWVWLDARGYRRFAGMSWFILASNFGYPENRPLWSNSMGQYSILSYMGVEQSRLSKLGMTVVRKITSIVGARKENFLWISKLLDSKNIEVDKKVMESMVQGVDRLNRQIESGAPLKVHEWPNLGALFRRIEWPAASLGYAIVKVHVFTELHLSKYDQIHSPALDMDAEAAETGTGGESVDVTVDVCRKLSNYMLYLLVHLF* >BrasyJ096400.1.p pacid=40065001 transcript=BrasyJ096400.1 locus=BrasyJ096400 ID=BrasyJ096400.1.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNDPNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLCELHKKTITVSKKVK* >BrasyJ096400.2.p pacid=40065002 transcript=BrasyJ096400.2 locus=BrasyJ096400 ID=BrasyJ096400.2.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLCELHKKTITVSKKVK* >BrasyJ096600.1.p pacid=40065003 transcript=BrasyJ096600.1 locus=BrasyJ096600 ID=BrasyJ096600.1.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNDPNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQICDVQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASSDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVKVSKLDAWELRTAAVN* >BrasyJ096600.3.p pacid=40065004 transcript=BrasyJ096600.3 locus=BrasyJ096600 ID=BrasyJ096600.3.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQICDVQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASSDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVKVSKLDAWELRTAAVN* >BrasyJ096600.2.p pacid=40065005 transcript=BrasyJ096600.2 locus=BrasyJ096600 ID=BrasyJ096600.2.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNDPNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASSDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVKVSKLDAWELRTAAVN* >BrasyJ096600.4.p pacid=40065006 transcript=BrasyJ096600.4 locus=BrasyJ096600 ID=BrasyJ096600.4.v1.1 annot-version=v1.1 MAAGHTAYHFQPAKNWQNGPMYHNGMYHFFFQYNPYGPSWGNISWGHSVSGDLVNWTAVDTALVPDSPFDVNGCCSGSATVLPGGRPALLYTGLDANNKGQVQNVAFAKNPSDPLLVEWDKSSCCCNPVIPTPADVTGDNFRDPTEAWRGRDGLWRVGIAAEVHGVGCVLVYRSADFIRWERNAAPLHASRKGAVLECPDLFPVLERGSREGLDASASGPGVRHVLKLSDFASEDHYMVGRYEYDDGADVFVPDEAGRGVDCAKWRRLDHGHLYAAKSFFDARKKRRVLWAWVDEADGVANDVAKGWAGIQTFPRAIWLDSDGKQLVQWPVEEIETLRKKRVGLEGSDEVKAGEMREIAGIVASQADVEVVFEIPNLEDAETLDPDWLLDPQKLCREKGAFVGGGVGPFGLLVMASSDLQEHTAVFFRVFKHHVGEYKVFLCTDLTRSSRRADAQKPAYGVFVDVDDVDKDRRLSLRTLIDHTVVECFGVGGRTCITARVYPEHAATSSSSLWVFNNGSGAVKVSKLDAWELRTAAVN* >BrasyJ066400.1.p pacid=40065007 transcript=BrasyJ066400.1 locus=BrasyJ066400 ID=BrasyJ066400.1.v1.1 annot-version=v1.1 MDSSSCLADETNSGGASTDKLKALAAAATATATAPLERMGSGASAVLDAAEPGGAGVGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLSEAGPDPADAAELRFLASRSKAEVVDMLRKHTYFDELAQSRRAFAAAVPTVACSRHNGQLQHPPRLVHSLPPLPLPREHMFDKTVTPSDVGKLNRLVIPSSTPRSTSRSSSPRRPRPGAAAESCKGLLLNFEDAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDLRPNTANTAAAPPLRTSRGSVNTCGEAPGAGAGAAGCKRTTRDFVKPPGPQVPFKKQCIKMALV* >BrasyJ022800.1.p pacid=40065008 transcript=BrasyJ022800.1 locus=BrasyJ022800 ID=BrasyJ022800.1.v1.1 annot-version=v1.1 MSPAMINVCSAICSLATENAQVPMLSRTHGQPASPTTLGKEMANFAARLSDIGKSFAEVNILGKFAGAVGNYNADVVAYPEIDWPKMTEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNIVLTDFDRDVWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNFSVSNGTLHTLSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATMNGIKKLEVNKVRLDEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEEARSSLLKLTPHSYTGEAEKLARNILNVVDLRSGFKIK* >BrasyJ046700.1.p pacid=40065009 transcript=BrasyJ046700.1 locus=BrasyJ046700 ID=BrasyJ046700.1.v1.1 annot-version=v1.1 MGTCIPDGALGRGSSGAGADGGLLGTGLGMAGFEASWGLGGDGGFCPMQSATPGEAPSGNGDVSCRPGGDGPRRGDGDGDGEGSVPRTTNLLGQMT* >BrasyJ047000.1.p pacid=40065010 transcript=BrasyJ047000.1 locus=BrasyJ047000 ID=BrasyJ047000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRKIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNNNKFVTFPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNEVRYPPRPPAPQLTKVLQVEGFAPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ114600.1.p pacid=40065011 transcript=BrasyJ114600.1 locus=BrasyJ114600 ID=BrasyJ114600.1.v1.1 annot-version=v1.1 MTPNDQSTPNPSPPPYKSSAGSGTEAPDDPVGPSDDIPVSTLLKNIKCRILMPTMGNPVGARSMLMPRMPVVHNTPMAAWHVRVQVDYVLPEFDKQQGTYIQWPRRLVTRATQPSPSPSPCPGQLPSPSPLGPSPNKLARPASPSSSGQGGFDFEPAEDCSDDDTAPAHMPPPNPSPPHRQESQPSQQPIPRPVPRKSVAGALECRIFDPLRRKKGYEQHDFRPIGTLFDVAWKKAVEDYELPSYGRKKLIHYKNFPCIQQPQGTVFCGYYCAYIILNWITNFRPKTKMSYKQVVDYFRTESEYRHNSAVLVFDIQREIGSILNKEVLKENGDLYAGGIVRMY* >BrasyJ114700.1.p pacid=40065012 transcript=BrasyJ114700.1 locus=BrasyJ114700 ID=BrasyJ114700.1.v1.1 annot-version=v1.1 MSNADWVADCTRRNVENAARRGRSGEEGQGKRRRPGPADGGAEGRGEEEAGGLVDSDMRHHRHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTAHLYSIGAITARNSNYGHFYTTGGLGSAINAGG* >BrasyJ114800.1.p pacid=40065013 transcript=BrasyJ114800.1 locus=BrasyJ114800 ID=BrasyJ114800.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPNGVLISCPPIDSGPGCNRPPC* >BrasyJ004800.1.p pacid=40065014 transcript=BrasyJ004800.1 locus=BrasyJ004800 ID=BrasyJ004800.1.v1.1 annot-version=v1.1 MGVVRFALRSAPVVRNFFAGCNLVQFMAANMDQMCTFAELGERQLLLKIEIDMMYDDTYSKAMVLRKRAGIILRCLSQVSFVVAFVLFLVLVEKKRYSRADIAVTYVLFVGAFLVELCATALVVMSPWTWGWLEARKYRKLAGMSWFLLSKNLSRLEKRMLWPNSMGQYSIVSYMGLELSWLSGRVTTLVTKMASMVGAAGKERLLWISNLMDSKCIEVDREIMESVALYVDGLHSELSDGSTRQWPNLRPVLAKMQSLSMADFGLVLVFTHTLTEVHLRTYYDEKKTPGPDETQDQDASSLVGVCRKLSNYMLYLLVSHPEMLPVTGSVDTTLEFFVRQVTGYQDGGGKVDLMRGTRKLLEDQISLHLVQPSKKTLEEIRDFWLRLLLYAAGKSREDTHAARLAGGGELLTFTWLLLAHLEIGDSWLRRIELGDTNGASGGDMRPELSYVFPGGHA* >BrasyJ087200.1.p pacid=40065015 transcript=BrasyJ087200.1 locus=BrasyJ087200 ID=BrasyJ087200.1.v1.1 annot-version=v1.1 MRLTKCARTGLTLFLWVSCGRFGRSPPLVAGYGDDFVLCPVVRDLAAVSSGFGRSWLSSLAFFFSWVGCPGYVGIPT* >BrasyJ087300.1.p pacid=40065016 transcript=BrasyJ087300.1 locus=BrasyJ087300 ID=BrasyJ087300.1.v1.1 annot-version=v1.1 MVDEHIDEGFVDPSPVRGRQVNYTIEEDEALVLAWEAITLDAVHGVEQSGSTYWQRIHEHYHHIKKTTGERTQKSLTNRWSDIQDICSKWASSMEQVELLNPSGANPNDRKFFKQLTSKNGKLGKPFGLQHCYALLIHDEKWRTRNDEMPTKKSKSSYSSSPDSDSEGAKRSPTPNSVERKRPLGRKKEKARLHDMMVATRKTLAVERKEEKAQMFMQIKEMEERRIAFEGRRVVLEERRIAMEERREKHKQEKQEQQLMFMNSSNLDDQGRAYLKLMRDQIMASKTMGCFMAGFFMGGGGGMGDGGGMGGGTSG* >BrasyJ087600.1.p pacid=40065017 transcript=BrasyJ087600.1 locus=BrasyJ087600 ID=BrasyJ087600.1.v1.1 annot-version=v1.1 MPFLLKTKDEILSDLPEKIIQDRYCNLSLLQLKLYDKFSSSNAKEEITTIVTANESEQSTSQPKATRHVFQAL* >BrasyJ087400.1.p pacid=40065018 transcript=BrasyJ087400.1 locus=BrasyJ087400 ID=BrasyJ087400.1.v1.1 annot-version=v1.1 MAALRNAARRLGGSVLQRTQAAVASPAVPEGQRGLFLPRLYSTEQGTEKTFKLSEIQQKKEELFDLLANTESLSFRNSRLMNHLSVQIARRPDDPEWQGIRIGRRLNAAVEMTGVTTLVMALYGYWLVRSESRSCKDGKEALQGRP* >BrasyJ087000.1.p pacid=40065019 transcript=BrasyJ087000.1 locus=BrasyJ087000 ID=BrasyJ087000.1.v1.1 annot-version=v1.1 MTRLVALAMMQGLAPDGKPMVIWRPSTAAEKIEEGIYKLRMIERLGQKTDRDIDALEEQVDAMEAAMQLEERLHRYSRDLDNICVVRGRSRAGPFSESERRPLRQIRDIAISAIADYESRVGPVPAYDHIASMFSVDIPSRAPRILSRGAGNSFLFPPQVCSPSTSIPDQKYTVFRMYVCNCRVVYVDGTKEMCLYISTFN* >BrasyJ087100.1.p pacid=40065020 transcript=BrasyJ087100.1 locus=BrasyJ087100 ID=BrasyJ087100.1.v1.1 annot-version=v1.1 MDTGANAPLPAVITGTGKEMVPAPEMEGEEKDKPLAPTNLAEPGEKPYMPPMTDEERQKIKDLKKLHTFKCRGAARGDGGAKGAKQAPRQPSVPSEVPGRALGQPDPLPAPRGRLPRAPASPRLEGGGRSLARGARGGSGVPRFVP* >BrasyJ087500.1.p pacid=40065021 transcript=BrasyJ087500.1 locus=BrasyJ087500 ID=BrasyJ087500.1.v1.1 annot-version=v1.1 MRSVTYLRLDCSVQTEKRFEIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQKKVVNLHRLIMRGTLEEKVMSLQRFKVSVASAVINAENASLKTMNTDQLLDLFASTSV* >BrasyJ048900.1.p pacid=40065022 transcript=BrasyJ048900.1 locus=BrasyJ048900 ID=BrasyJ048900.1.v1.1 annot-version=v1.1 MHTPFMDKLSRRRAGAGGRLKQRLTRLLVRSSCTTNTTKTNTTTSATAFVSLDKANANPYPEHSPRHSLTSASPALTTSSTRVPSSRDAAAAVPASAAARQSSHVSIECTGGASASSGAVLSAPTPPPAAVIVADERCRETEQEQRQVTALSVGVEAQYCSSCSSFRRARARVRVPPRCSFSSSAVTTDDELAPFSGEDESGEEEEAETRTLFSSLSFPPTPPPSSTHHQQQQHRLQEEPSRERASPPCAAACIGPRGLQEGGGECDREGGRRRARAWRSAVVKRSSNPYADFRSSMVEMVVERAIASGGRWRAPRVVPLAQLVEHHPSILDAFEDVWWPSSGALRSSSF* >BrasyJ086400.1.p pacid=40065023 transcript=BrasyJ086400.1 locus=BrasyJ086400 ID=BrasyJ086400.1.v1.1 annot-version=v1.1 MKVYTTDEQELIMEPSIKWAGNPNITIVVKAFGLKATAQVIDLQVFALPRITLKPLVPSFPCFAKIMVSLMEKPHVDFGLKLLGADLMAIPGLYAFVQEIIKTQVANMYLWPKVLEVPIMDAANAQKKPVGILHVNVVRAVKLTKKDLMGKSDPYVKVKLTEDKLPSMKTSVKRSNLNPEWNEEFKLVVKDPESQALELTVFDWEQVGKHDKIGMNVIPLKDIVADETKSVTLHLLKTMDSNDPVNEKFRGQLTVEVTYNPFKEGDTDLDASDESSTIEKAPDGTPDGGGLLVVIVHEARDVEGKHHTNPYARIVFRGEERKTKHIKKNRDPRWEQEFEFVCEEPPTNDKMQIEVISRPPSIGIHSKENLGYVVISLGDVISNKRINEKFHLIDSKNGCIQLELQWRTS* >BrasyJ086600.1.p pacid=40065024 transcript=BrasyJ086600.1 locus=BrasyJ086600 ID=BrasyJ086600.1.v1.1 annot-version=v1.1 MWACKSCGLRRGRGVGAAAVCRQLCLCKACEPRLDACPICLVVNNASVHIAAIS* >BrasyJ086500.1.p pacid=40065025 transcript=BrasyJ086500.1 locus=BrasyJ086500 ID=BrasyJ086500.1.v1.1 annot-version=v1.1 MGFFSSVLGFFGFGVGITMGLAIGYYLFIYFQPTDVKHPVIRPLVEFDTKSLESMLPEIPHWVKNPDFDRIDWLNKFVENMWPYLDKAICRTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQEHFCSQM* >BrasyJ112000.1.p pacid=40065026 transcript=BrasyJ112000.1 locus=BrasyJ112000 ID=BrasyJ112000.1.v1.1 annot-version=v1.1 MKPAVAPSVSSSAVRCNTSAADDPTRVCSSSVRRPTGSRRAATGSAGVEAGSRPASAGSACVGALEAVARGTGRKRRSAARGQGGRAVAGARRRARRSGGRIRWRRARGRPDPVETGSGKAGSGPAPAGSGLKLTGDVSMAPAEGGGRVREETRRGREDELGRRGRKRRGLGGGGGGGGGRKSVRRRGRRELGGQGGDEGVWRRGGWGREGRWGFL* >BrasyJ036300.1.p pacid=40065027 transcript=BrasyJ036300.1 locus=BrasyJ036300 ID=BrasyJ036300.1.v1.1 annot-version=v1.1 MEWWSAAAVQRPALHSKVHPADSWWIWKHRNGIIFNAVQPSTTQLVDMIKDDAGARAAAGSAGLQSLLLHSI* >BrasyJ036200.1.p pacid=40065028 transcript=BrasyJ036200.1 locus=BrasyJ036200 ID=BrasyJ036200.1.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAASGWEPGLVAAMR* >BrasyJ036200.2.p pacid=40065029 transcript=BrasyJ036200.2 locus=BrasyJ036200 ID=BrasyJ036200.2.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAASGWEPGLVAAMR* >BrasyJ036200.3.p pacid=40065030 transcript=BrasyJ036200.3 locus=BrasyJ036200 ID=BrasyJ036200.3.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAASGWEPGLVAAMR* >BrasyJ036200.4.p pacid=40065031 transcript=BrasyJ036200.4 locus=BrasyJ036200 ID=BrasyJ036200.4.v1.1 annot-version=v1.1 MDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAASGWEPGLVAAMR* >BrasyJ036200.5.p pacid=40065032 transcript=BrasyJ036200.5 locus=BrasyJ036200 ID=BrasyJ036200.5.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFREIPDQIPCVVLSNICP* >BrasyJ036200.6.p pacid=40065033 transcript=BrasyJ036200.6 locus=BrasyJ036200 ID=BrasyJ036200.6.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFREIPDQIPCVVLSNICP* >BrasyJ036100.1.p pacid=40065034 transcript=BrasyJ036100.1 locus=BrasyJ036100 ID=BrasyJ036100.1.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWTKTHQFLSLRSNRLSGSLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSKILSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSPHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGEFSGGIQTAEKNKLVFLEGCTYSFDLEDLLRASAEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVIYDYVTTGSFSAMLHLSLNYAAHIHPYHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYSRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPEMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPV* >BrasyJ008300.1.p pacid=40065035 transcript=BrasyJ008300.1 locus=BrasyJ008300 ID=BrasyJ008300.1.v1.1 annot-version=v1.1 MEKMSRRLVNLIVQNSIGCRPAYTLYRINPWSFFYPTTRQASNNKTASIENARLPRAAISFYLPCPPHDDGEINFLSLGGSSNDIISMDQDAASRALRLLPVPHEPKVSPVSVAIHDSLYLLNEIPGLQNEEHPFEAFHYKNSTGRCGDDRWYWCSLPPPPFVIDYDNLCHQRVEDDDTCSSYHRQNEMNNSDIVEAYTVVGDSQIWVSTQDGVTYSFDTTSGVWSNAGEWPLPFSGSAMYAPEHGLWFGFTSDSQGCQFAASDLGAASTMTPPVLHKAWNEPLPKQWVQVMDPYLLPLGSGKFCIGRVFDRAEEGRTKETFAVLTGVEVERRGSRGALRLIKHKSKRFSFGRRMVKTIL* >BrasyJ023200.1.p pacid=40065036 transcript=BrasyJ023200.1 locus=BrasyJ023200 ID=BrasyJ023200.1.v1.1 annot-version=v1.1 MPMLRRVRRRKTEEDRLSTLPDDLIRVIINNLDTRTALSTAVLARRWAHIPRELPALDLRVSDILPPQHNRTVALRQRHLPRDEAMATMLDALMSRCESLAMRAFVDGVTNLIILPMPLPAQEEEGGRRTRTLRLEFFQTSDAGCVNRLIAAAVGAWGVTHLEVVVLRSSSCCSNDNTAVYSFFFPDEDDGCLLKSRIRSLTLGSHCSALPPDLHSYGALTKLVLRDMPASTPLGVYQKVLAECARLQVLHLTSCRCTETKLDDSIVVLDAPASQLRELVLEECSFMVLDLRDLPMLARLACRLTNTWRIKFGSLPRLTHTNLTFSQEDFESEFNDRFDQFLDVYPTMANLIVRFDGIRRWIGPKPPDKPMIRLRRLLVGNLPLNWDISWPRGLLMTAPSLEVLHIHVPRSEEAEPEYRYGVLLVGFWESHAHLHLQHHRLKELVMFGFQQRHTVFLKYVVRVCTSLRRIVLRKDGHVRYNGLWDWEMVGRQQACLWSDDDEMAVRRIISSGHSPLVQLILG* >BrasyJ023800.1.p pacid=40065037 transcript=BrasyJ023800.1 locus=BrasyJ023800 ID=BrasyJ023800.1.v1.1 annot-version=v1.1 MLSENPGQTPPKERQAGETGRWRAAPRAGVGAVAAGRRRPADDGRRSSRASRGLRTQILPGARRIPADLGFEAGSGKGGAPRRPRGRGGQSWSGSLDLGRRREEERGYRRRRCGWTWGGCAGGGWTACPRKGLAATFLGGAPGLPAAASGSGEGGRAQVGWLRRGARFSPPRGLKST >BrasyJ023700.1.p pacid=40065038 transcript=BrasyJ023700.1 locus=BrasyJ023700 ID=BrasyJ023700.1.v1.1 annot-version=v1.1 MPNGQRIVVKCNEESQPIGDEGAILGKFLGTIARNGGYCPLDINDWRDIKKDGGEKTILQCIKTKFVYPRSCEKWILKTTGRDWSRFKASLKKTIFIPAIKKNPKIKRKALYKLCPDDVEKDQWRGIVKFWKSKKGKAQSEKNKISRSLVKNSHNAGTKSYARWGEDMRQADPEKKRPHRAKVYLATHKKTKNDSDKNERLARLEDLVVQHPDLAQNVNGRVAWEGDALQQVLGKEKAGQVHGMGLLPTPKQVYGRTPRYLKNINMTTADGSASEGETDVWEVMAKLEERIKRQDQIIADMKNKEGHRKNEMEVENQEATDHGKIQSEIVHIKRKRVQCNGRDEVRSLMEDDTYEDDHGVFSSEKDIEHDYVNGLPGQEKSSSAQHWVLDSPSEMRKTRDRMNENFGSLQQQVTRNKQPHSASAKRMRTPSIPSMEEGTKVILKTWKYPNKRQVAYATFLSSNPATRVQGVQLGGEFTLVRIDKPIQEDEELVRGVHDCKTIGAAFATGSFIAWPSVFIEKDSGVAV* >BrasyJ023300.1.p pacid=40065039 transcript=BrasyJ023300.1 locus=BrasyJ023300 ID=BrasyJ023300.1.v1.1 annot-version=v1.1 MVKYQQATGSRSYEVQVQEVDSMENIITREPKSSAEVVYEVLNGSTKNNRFLERIGLPPIPSSRTSQSSLFEKLEAERDGNAELRSTIEELKKAHEEAKVAQEEARKSKEEADAARKKLEADEALLRQVLRRLQTL* >BrasyJ023100.1.p pacid=40065040 transcript=BrasyJ023100.1 locus=BrasyJ023100 ID=BrasyJ023100.1.v1.1 annot-version=v1.1 MSDERESRKETHRPLKPDPVPGSSRAPNSPPMFDPDSLPPRLMMPLRPHIWCFSDTAAEEVAAAKQAAAAKQAAHVVAKQAAAELAAATEQTAAEQVAATEHGAVKKTAAELAAASSSAVAQGSALTTAAALTTAATETAATTEQPAASDPGVSSSTSPGSISALPAPEGLAVITAAALTTVHLLLRFFLLDSLDWGIFLEGSFDSAATTQQAAAETATAAAEQPAASDDGSEEPVIDDSTRVASEPEVVPDPPPLRPPPPRVGL* >BrasyJ023500.1.p pacid=40065041 transcript=BrasyJ023500.1 locus=BrasyJ023500 ID=BrasyJ023500.1.v1.1 annot-version=v1.1 MPMLRRVRRRKTEEDRLSALPDDLIRVIINKLDTRTALSTAVLGRQWAHISRDLPALDLRVSDILPPHYNRTVALRQRHLPRDEAMATMLDALMTRCESLAMRAFVDGVTNLMILPEHGRRRTKTLRIEFFQTSGADGCINRLIAAAVGAWGVTDLEVVVLRSCRNDIQTPPAVYSFIFPDDCGCLLKSRIRSLTLGNHCSALPPDLHSYGALTTLVLRDTPASTPLNVYQTVLNECTRLQVLHLKYCRCAETRSVLVFDAPSSQLRELVLEECSFLVIELRDLPTLVRLACRLTDTVKVGFGSLPSLMHANLTFSVEDYSIVEAKYKDKFDQFLSMSPTMTNLLIRFTGLRRWVVPRPPQKPLLHLKRLLVADLPLNWDVSWPRGLLMAAPSLEVLHIHVPHSEEAQPEYRYGVDMLTRIWKSRAQLGHHHLKELVMLGFQQRHTVFLKYLVGACTFLRRIVLCKVGHVRYNGLWDWEMVVGQQACPWSANDEMEVKRMINSGPNPLVQLILG* >BrasyJ023000.1.p pacid=40065042 transcript=BrasyJ023000.1 locus=BrasyJ023000 ID=BrasyJ023000.1.v1.1 annot-version=v1.1 MDFKTQGSSLKVLVIEDSEVQSMILLAMLRRFSCQTTQAKNGREVVDLYLEGKKFDIILCNKEMPIMKGPEVMIVGMSADSNATEEFMSAGADMFVPKPMDFEVLEAIIKEVINKKKNGVV* >BrasyJ023400.1.p pacid=40065043 transcript=BrasyJ023400.1 locus=BrasyJ023400 ID=BrasyJ023400.1.v1.1 annot-version=v1.1 MKRGRSTGAMAPPPAAAATLEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYGDYLSSIGVLIPCIDSSGEIEEEEEHKVEQKPLKNVEKKVEKVEKKPEMQQMRKDRRFDRFM* >BrasyJ023600.1.p pacid=40065044 transcript=BrasyJ023600.1 locus=BrasyJ023600 ID=BrasyJ023600.1.v1.1 annot-version=v1.1 MVIPRGYASNLNRWPRRPRAGSPQHCHGRCRLFPLNRSPAGYNLVPGAEPQRSRVTALTRSPAERPRGMDFIHLCQLRSSRSWHDFFPFESFPLTLVTRWWNRIRNPMGRI* >BrasyJ088900.1.p pacid=40065045 transcript=BrasyJ088900.1 locus=BrasyJ088900 ID=BrasyJ088900.1.v1.1 annot-version=v1.1 MAEPFFSPTQPPAPRVSSPLPPPRARLRCCLVTALLLVSPWSQSSLLAVVYIGNCCQVQELWVLGIDLRINRPSSFGIKVLRIMACMGGSSITKSGQHTSHVIN* >BrasyJ080700.1.p pacid=40065046 transcript=BrasyJ080700.1 locus=BrasyJ080700 ID=BrasyJ080700.1.v1.1 annot-version=v1.1 MCDSFYLLCLEKRAASSAQPPGPPCLRVHVSERSTAATMTSRRRGAAGREGGSRASIGGARHGSGVSDLGHGRPLRVTMM* >BrasyJ080800.1.p pacid=40065047 transcript=BrasyJ080800.1 locus=BrasyJ080800 ID=BrasyJ080800.1.v1.1 annot-version=v1.1 MSAAAWRWVWKQPACLPDLRRITRMAGTSSTSSSRCPSASAGWWLRPVAQKDRIFTMPEPAGFYYSGANRVRQFGTAIQWPAFLSDPGRVRQISGASSGPPSAQALTEAVAWGRSTSPTFSSSRAGGWRRQPAWKSRIYARLFLSGLGQARLLSTANQQQRQTTKPHLYLVLDDHPNGFTIHKLDIDLQIGSSSEEIPLNFPEPPALRIGPPTIGKFAQFAALGSHIIAICPCTKGLSKTEEGFRGATLIFDTKTYILSVSNILPRKLPFGYEAAIAVRNRLYVFESCMVINEGSNGLYFCGGLHCLAADPNGDEMHCAWQPLSDSSQFSWSWTDSPPKLPFDPKGITAYVVHPCTGNILLSVSALENSGTFSYGLGGKAQWTYLGNFVLPFKGPVHYDDELDAWVGLHFPSHQTEDTVGYICACPVFASSELPQWKLCMKKLFMEDPYLRHVDAKLVYMGEGSKYCLVERLTPIGAGEMNYLIRLTTFIVIYGEDRELRTIPHSHARFYKAPSYVFKFDLQAFWM* >BrasyJ080800.2.p pacid=40065048 transcript=BrasyJ080800.2 locus=BrasyJ080800 ID=BrasyJ080800.2.v1.1 annot-version=v1.1 MSAAAWRWVWKQPACLPDLRRITRMAGTSSTSSSRCPSASAGWWLRPVAQKDRIFTMPEPAGFYYSGANRVRQFGTAIQWPAFLSDPGRVRQISGASSGPPSAQALTEAVAWGRSTSPTFSSSRGGWRRQPAWKSRIYARLFLSGLGQARLLSTANQQQRQTTKPHLYLVLDDHPNGFTIHKLDIDLQIGSSSEEIPLNFPEPPALRIGPPTIGKFAQFAALGSHIIAICPCTKGLSKTEEGFRGATLIFDTKTYILSVSNILPRKLPFGYEAAIAVRNRLYVFESCMVINEGSNGLYFCGGLHCLAADPNGDEMHCAWQPLSDSSQFSWSWTDSPPKLPFDPKGITAYVVHPCTGNILLSVSALENSGTFSYGLGGKAQWTYLGNFVLPFKGPVHYDDELDAWVGLHFPSHQTEDTVGYICACPVFASSELPQWKLCMKKLFMEDPYLRHVDAKLVYMGEGSKYCLVERLTPIGAGEMNYLIRLTTFIVIYGEDRELRTIPHSHARFYKAPSYVFKFDLQAFWM* >BrasyJ060000.1.p pacid=40065049 transcript=BrasyJ060000.1 locus=BrasyJ060000 ID=BrasyJ060000.1.v1.1 annot-version=v1.1 MCVKPRGKLGTNLAEAAIEYIQRFECPEDKVVMFPLLQLWGESEKDKDGHWYAISVNTNQRKFEILDSLRGPKDDELRFHSGEMVMHIKRAWREHYAGAKMQIQDFTTEHIAVPRQQNIDDCGFYMIELLKKWDGKVVPAFGPDEIVEARKILTHQLITTQDFNEKKNAKEFIEQYSK* >BrasyJ060100.1.p pacid=40065050 transcript=BrasyJ060100.1 locus=BrasyJ060100 ID=BrasyJ060100.1.v1.1 annot-version=v1.1 MAEARSRIQCLAVVKALCALLPPIHRQFRSDGQQPIPSKYPTRQQRKKRERREGKTSRASSASPAVAAAAAGGGGEQARRPPLDPPPPPSPCPDLLPRPTSPRPDRPRLPAPPLPASLCRAAAADSSPVVRSDLTVDGRPQGTRFFYMKDQPRPTRRRGRVRAPAAPRRCLPPSDAQKATAGISRFLLPLESHSTGSPGPV* >BrasyJ059600.1.p pacid=40065051 transcript=BrasyJ059600.1 locus=BrasyJ059600 ID=BrasyJ059600.1.v1.1 annot-version=v1.1 MTTYTAKERKSESGREREGERGRERGGERGREREKREAGIRRQQGGRGGGSGDGRWWGSGAGRPEEAGVGDGRLREVGAGSGAPDPEASVHGSSREGNARGRKREAGSRGRGRRSGRGSGRGGREGLGGRAEERERRRREGEGREKGREGWRLGGEKIERDELRVGLVGFYSK* >BrasyJ059800.1.p pacid=40065052 transcript=BrasyJ059800.1 locus=BrasyJ059800 ID=BrasyJ059800.1.v1.1 annot-version=v1.1 MAGRDPLVVGRVVGDVLDPFVRTTNLRVSFGNRNVSNGCELKPSMVTHQPRVEIGGNEMRTFYTLVMVNPDAPSPSDPNLKEYLHWLVTDIPGTTGASFGQEVMCYDSPRPSMGIQRFVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYT* >BrasyJ060400.1.p pacid=40065053 transcript=BrasyJ060400.1 locus=BrasyJ060400 ID=BrasyJ060400.1.v1.1 annot-version=v1.1 MVTCIQIAPSATENVKISTTPIFPQIPKDHTIKDFQVLVRVEAPPSMEKRYVPLDLVVVVDVSSRLDLVKTAMKFVLGQLHEDDRLAILGPSSSQSTLLEISGQGRDSANQKIEELEARGNAAYTSGLDEAAKILHERGESANGRACSIILVTDDSTKAKKDVTPPSSSSVLSSYDSKRDEYPVHTLGLGAAHDPKMLMSVAQQSLGGTYSFVDGDGLLGAFALLLGGVKRAVAINTRISIKATEQSGVTIEAIDSGGYESTVSGDISVGMLYEGEVKNYIVHLYVPEAAPSAAGACDQQQLLAATILYHGAGEPPATRITRTSVVLTIQRPSHAIDAVHRVPFPTVVHHIVQFEALRIVSDMVHDYMMMDLSAMTMATTLRREWEEFLQVHRFWAGLDLGGLQSEMGLMLASLERSEVAHVLSWLSSYQTQRPAMMGSPSHVVATFVTLEMNIMLHRPPGGDCHVHDCRDLAEAPAAPPMFEPTGDADGNTYRLNAVYKDDVTLQGISTWDLSPFHYLHNGNTKSAQHPNEKDRGEGESRNYLETGNPLTSPAIGN* >BrasyJ060200.1.p pacid=40065054 transcript=BrasyJ060200.1 locus=BrasyJ060200 ID=BrasyJ060200.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLREMAAEEVHMLLGVSVEIDKMGDKLGDLKKFLADADRRNITDESVQGWVTELKRIYDATDILDLCQLKAMECGESTVDAGCWNPFLFCVRNPFHAHDIGRRIKKLNQRLDAIKKRSAVFGFINLGSYEDPGRNIHAFFHGNPSRETSGEVDRSGVVGEKIEEDTRVLVAKILQTGEGVYNNIMVVAIVGVGGIGKTTLAQNVFNNESIQSEFDEKIWLSINKNFDKAKLLRTAITLAGGKVSNGQEALAVLQPLLTTALKEKKVLLVMDDLWSHGAWEGVLKIPLVNAAASGSRVLITTRDEGVARGMKATWPHHHIDKLSPDDAWSLLKKQKEPKRRILEAGVHKIRRVVEDMDGLSLVHAWVVDEEY* >BrasyJ060200.2.p pacid=40065055 transcript=BrasyJ060200.2 locus=BrasyJ060200 ID=BrasyJ060200.2.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLREMAAEEVHMLLGVSVEIDKMGDKLGDLKKFLADADRRNITDESVQGWVTELKRIYDATDILDLCQLKAMECGESTVDAGCWNPFLFCVRNPFHAHDIGRRIKKLNQRLDAIKKRSAVFGFINLGSYEDPGRNIHAFFHGNPSRETSGEVDRSGVVGEKIEEDTRVLVAKILQTGEGVYNNIMVVAIVGVGGIGKTTLAQNVFNNESIQSEFDEKIWLSINKNFDKAKLLRTAITLAGGKVSNGQEALAVLQPLLTTALKEKKVLLVMDDLWSHGAWEGVLKIPLVNAAASGSRVLITTRDEGVARGMKATWPHHHIDKLSPDDAWSLLKKQKEPKRRILEAGVHKIRRVVEDMDGLSLVHAWVVDEEY* >BrasyJ060200.3.p pacid=40065056 transcript=BrasyJ060200.3 locus=BrasyJ060200 ID=BrasyJ060200.3.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLREMAAEEVHMLLGVSVEIDKMGDKLGDLKKFLADADRRNITDESVQGWVTELKRIYDATDILDLCQLKAMECGESTVDAGCWNPFLFCVRNPFHAHDIGRRIKKLNQRLDAIKKRSAVFGFINLGSYEDPGRNIHAFFHGNPSRETSGEVDRSGVVGEKIEEDTRVLVAKILQTGEGVYNNIMVVAIVGVGGIGKTTLAQNVFNNESIQSEFDEKIWLSINKNFDKAKLLRTAITLAGGKVSNGQEALAVLQPLLTTALKEKKVLLVMDDLWSHGAWEGVLKIPLVNAAASGSRVLITTRDEGVARGMKATWPHHHIDKLSPDDAWSLLKKQVFK* >BrasyJ059900.1.p pacid=40065057 transcript=BrasyJ059900.1 locus=BrasyJ059900 ID=BrasyJ059900.1.v1.1 annot-version=v1.1 MYVALSAVQWVVGKALAPVADGLLEAWGARKNLGLNIEALKTELLLVQITLETASQKQLPGPSMDVLLQKLRDSAHSAEDLLDELDYFRIHDQLHGTYDAADQHGKGCISDLVLNARHTAKDVGKLACLRKCLPAASHADAGQEDAREHASCCAWPRAHGNSTLAPNSNQANEEVRGCIPKLDAVDDETPNLGFNRVDVSKRMKRIVEELQPVRREITTILQSCGPNTVRAIAQSRPITTGQSIEPKLYGRDHIVRSIIHDITKGTYSGKDLSVLPIVGPGGIGKTTLVQHIYINQEVQNHFPVRIWVCVSLTFDLNKLLEEIKRCIPRVQGEKEGTTEELIEQRLKSRRFLLVLDDIWECSNQDDWKRLLLPLKKSQGNGSLIVVTTRFPAIAQMVKSKTSDLSIELEGLELEEFQKLFFSFVFDDEKSRTDNNFLLEIGYKIMDKLKGSPLAAKLLQQCFSYSALFPEDHKYDSTKLINFWMGLNILQPGDRNQTLEDIGLSNLNNLVSHGFFKEEKTDGHLHYVMHDLLHDLALKVASHECLSLHHSDMGLVEIQPSICYLSIIIDDADGDNADEKFKGEMRNLKARLKVGHLQTLMLFGKMDENLACIFGDLFSEGNSLRVLHLPNMHCPLESFLRKFSALVHLRYLYLGTKYGREMHLPVTISILYHLKVLDVESCYRCLDLPRDLSNLAKLFHFYTPTGELHSDISNVGKLELLQELKQLEHLTELRELGIYNLEKVHTKEEASKANLREKNYLERLTLEWDSKGSNIDPDVEAVILESLQPHRDLQKLSIRGHRGRSCPKWLGDELEVKDLQSFHLSDVSWEDFPSFRKMCDLHEVTLECIATMKEFVVEQSFCRLTRLKLVGLENFGKWVPSQDAQHLFPVLQVLIITDCPKLSVLPFSSHIVCPPDQKRNMDWFPKLKELEIKNCPEFLLEARIPWTDTLHQVNMSGVKLLEEFRYSKSSSGVYLSINGNDDLRSPAPICSLLSSSLTKLELWGTKDAHLERFTNDGLHLLSSLQDLRFAGFDKLRHLPAGLHKLPNLEKLYVLHCLALLSLPKDGFPKSLQFLSVSLCRNEELKQQCRGLVGTIPEIYLGD* >BrasyJ060500.1.p pacid=40065058 transcript=BrasyJ060500.1 locus=BrasyJ060500 ID=BrasyJ060500.1.v1.1 annot-version=v1.1 MMYCSKCSCCLCFLRAIRCCIKLHEFATSKEFIGYIVVANELLLLYFSPCGDMWLCLCILLCRGWGLVLCRCIHLI* >BrasyJ060300.1.p pacid=40065059 transcript=BrasyJ060300.1 locus=BrasyJ060300 ID=BrasyJ060300.1.v1.1 annot-version=v1.1 MGFRVTVIALLVCFFSTLLLAKVTNTAAEVVKVNTIPIFPKIPKAKMNKDFQALVRVEAPLTTEKSFAPIDLVVVFDVSSRVNDKAEPSRMDLLKKAIMFIIRELSDTDRLAIVMSNSRNLEAHNTGFLEISGDVGRIAREWLDRLQGNIGGGAFLPGLERALKILDERAGDAQDRAAGFVILLTDDGEDSSVIKGSHSIHGALRRYPVHTMGLSAAHDPRALVSVAQASHGTYSFVDKTNLDDITGALAVCLAGLKTVVAVDMHVTLCAAAAGGVRIKGIESGGHESYISGDETSGHITVGALYANEVKSFVVRLHVPSVDAVGGHVCDDHIQHLLNVGYSYSHAPGLPAVISADAHRLLVQRPEFEFDDAHQHTDPSPLVLQHIVQFELLDMVASLVRSDTHMSSGSVLQTKWDKFRRVHQFWAGVDLSGMEKDADAMVSSLQTRSSSSSSGVLAFVYSWVSSHQMQRATAMGSPEKVTLEFLTPAMRLMLEGALRLPATFGDQYLRPSDDTTTNSNTGRCLDFHKIDQRLRLWSKVKHELPLLLEEEDADDGSHLASVFQEASLEAIDRAMHHDIYLAVVHASNQRRCH* >BrasyJ060300.2.p pacid=40065060 transcript=BrasyJ060300.2 locus=BrasyJ060300 ID=BrasyJ060300.2.v1.1 annot-version=v1.1 MGFRVTVIALLVCFFSTLLLAKVTNTAAEVVKVNTIPIFPKIPKAKMNKDFQALVRVEAPLTTEKSFAPIDLVVVFDVSSRVNDKAEPSRMDLLKKAIMFIIRELSDTDRLAIVMSNSRNLEAHNTGFLEISGDVGRIAREWLDRLQGNIGGGAFLPGLERALKILDERAGDAQDRAAGFVILLTDDGEDSSVIKGSHSIHGALRRYPVHTMGLSAAHDPRALVSVAQASHGTYSFVDKTNLDDITGALAVCLAGLKTVVAVDMHVTLCAAAAGGVRIKGIESGGHESYISGDETSGHITVGALYANEVKSFVVRLHVPSVDAVGGHVCDDHIQHLLNVGYSYSHAPGLPAVISADAHRLLVQRPEFEFDDAHQHTDPSPLVLQHIVQFELLDMVASLVRSDTHMSSGSVLQTKWDKFRRVHQFWAGVDLSGMEKDADAMVSSLQTRSSSSSSGVLAFVYSWVSSHQMQRATAMGSPEKVTLEFLTPAMRLMLEGALRLPATFGDQYLRPSDDTTTNSNTGRCLDFHKIDQRLRLWSKVKHELPLLLEEEDADDGSHLASVFQEASLEAIDRAMHHDIYLA* >BrasyJ059700.1.p pacid=40065061 transcript=BrasyJ059700.1 locus=BrasyJ059700 ID=BrasyJ059700.1.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGGDRQGILGFHGCTCTAVSHGCVPPRAGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ059700.6.p pacid=40065062 transcript=BrasyJ059700.6 locus=BrasyJ059700 ID=BrasyJ059700.6.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGGDRQGILGFHGCTCTAVSHGCVPPRAGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ059700.4.p pacid=40065063 transcript=BrasyJ059700.4 locus=BrasyJ059700 ID=BrasyJ059700.4.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ059700.3.p pacid=40065064 transcript=BrasyJ059700.3 locus=BrasyJ059700 ID=BrasyJ059700.3.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ059700.2.p pacid=40065065 transcript=BrasyJ059700.2 locus=BrasyJ059700 ID=BrasyJ059700.2.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ059700.5.p pacid=40065066 transcript=BrasyJ059700.5 locus=BrasyJ059700 ID=BrasyJ059700.5.v1.1 annot-version=v1.1 MRPQSISPRFPLLNRQVPPAALPITSIAIPASDAAQSSCSDDPSPQAPPHPPAPTPPALQIQRRPLSCFVGSHGFPPFLPRAADCSRDGSLPQPPEATFVSTITGSPLPRPPIFYGLRRRRIPAIHLRRIPRLQPTVARELLRNPLPAATKVAAIYNLRPSAIFLDKLHDLVHGSSPNSSR* >BrasyJ114500.1.p pacid=40065067 transcript=BrasyJ114500.1 locus=BrasyJ114500 ID=BrasyJ114500.1.v1.1 annot-version=v1.1 MSRRSSALDIPSWVLLRVVVPVFPCDVKVQELEILGRAKKQPIAGDKDDMATWILRAIKLDAHLVRAPEISTFSLRADLNELKCIIAGGVLSVEDNLTVISLDAIDDGDQVRYFLVHDSSKNSLSLIPEIAEKFAEQSLKFMYLPVALRLDHCSYALANLGFENRFAQQGLLPMSGILFQWSSTSPGKWTSTNLRFHPHMRPPSKQWRGHSFTADVSFTFQGKAFWVDLLFGAVFCDLRSDTELRFVRLPPECEYADNRRGVPANHLRGVPKNYRSMGRVGDSIRFIDISDLNGAHPDEDDLQPSKIVVNTWTLSLDQGLWDKDCDMTLPLPKLWKSESFKQRRLPRCVPKSPVLTPSRDGFVFFLLGDYYLDRHGEMLSRGEFLINVDMRHKTLISSSHLPLSSGLHMPYEEPEELSPGVGFYPVVPRICGGMFSWDGKTLAKAAEKKRKHF* >BrasyJ026100.1.p pacid=40065068 transcript=BrasyJ026100.1 locus=BrasyJ026100 ID=BrasyJ026100.1.v1.1 annot-version=v1.1 MAVLALMLLAATTPGFSAPVSRTRQHRVAGCSGRFSFSSSSCHAAGGPIIVAGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALVMAGVLSPESDCHLWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECMRYLEYLDGFDGNWDDAFLNWVNVSERWKEEYRVSPNGDWLPLNFVKKQKGFAARASLLKQGGPLACELARHPVVLVVNDWIFCHGGLLPHHVEYGLERINKDVSNWMQGSTEGRDDPDLPFIATRGYDSVVWTRFYSQDSVERTLRAWNLSSLVAEQTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLHSRPEVDNSENKSWKHTIHDNFWWTHEGENVSSVTHQQVPTGLSIRNF* >BrasyJ026100.2.p pacid=40065069 transcript=BrasyJ026100.2 locus=BrasyJ026100 ID=BrasyJ026100.2.v1.1 annot-version=v1.1 MAVLALMLLAATTPGFSAPVSRTRQHRVAGCSGRFSFSSSSCHAAGGPIIVAGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALVMAGVLSPESDCHLWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECMRYLEYLDGFDGNWDDAFLNWVNVSERWKEEYRVSPNGDWLPLNFVKKQKGFAARASLLKQGGPLACELARHPVVLVVNDWIFCHGGLLPHHVEYGLERINKDVSNWMQGSTEGRDDPDLPFIATRGYDSVVWTRFYSQDSVERTLRAWNLSSLVAEQTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLHSRPEVLEIVNDRARVIKDRRVPYDEMEVLDYL* >BrasyJ026100.3.p pacid=40065070 transcript=BrasyJ026100.3 locus=BrasyJ026100 ID=BrasyJ026100.3.v1.1 annot-version=v1.1 MAVLALMLLAATTPGFSAPVSRTRQHRVAGCSGRFSFSSSSCHAAGGPIIVAGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALVMAGVLSPESDCHLWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECMRYLEYLDGFDGNWDDAFLNWVNVSERWKEEYRVSPNGDWLPLNFVKKQKGFAARASLLKQGGPLACELARHPVVLVVNDWIFCHGGLLPHHVEYGLERINKDVSNWMQGSTEGRDDPDLPFIATRGYDSVVWTRFYSQDSVERTLRAWNLSSLVAEQTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLHSRPEVLEIVNDRARVIKDRRVPYDEMEVLDYL* >BrasyJ026100.4.p pacid=40065071 transcript=BrasyJ026100.4 locus=BrasyJ026100 ID=BrasyJ026100.4.v1.1 annot-version=v1.1 MAVLALMLLAATTPGFSAPVSRTRQHRVAGCSGRFSFSSSSCHAAGGPIIVAGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALVMAGVLSPESDCHLWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECMRYLEYLDGFDGNWDDAFLNWVNVSERWKEEYRVSPNGDWLPLNFVKKQKGFAARASLLKQGGPLACELARHPVVLVVNDWIFCHGGLLPHHVEYGLERINKDVSNWMQGSTEGRDDPDLPFIATRGYDSVVWTRFYSQDSVERTLRAWNLSSLVAEQTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLHSRPEVLEIVNDRARVIKDRRVPYDEMEVLDYL* >BrasyJ025900.1.p pacid=40065072 transcript=BrasyJ025900.1 locus=BrasyJ025900 ID=BrasyJ025900.1.v1.1 annot-version=v1.1 MVGGRKFQGFSFTSIPEDIQQEILARLPSKSVIRCHVVCRAWHRLTSGSRFFHEHHLHQPELPLVATSSNDADLGISIGVVDLRVSGIHPLAAPPIGYNCRCSIQASCEGLVIVGGYISNPSTRQWAPLGAHVDAIVGLFRHQLSSEYHVLFWRYKGVSRPPSPVEVHCPIEYCVLTVGCKNPRVVNCSLPPKMREIERELIGGAGAPIFDAPVFIQNRLFLHWRNRAESIRYHRILVFDTLVDTFRQMKTPGVKPCDQMQLFGMEGVLAVFSSMYRMREMRIFVMQGHHEQHDESWVFHHLIKLREFDIRRFQEEGDWWAKIVSTDCDLLVSCFGMLLHFDKSGNLVGEFKYDDDIPVVLGHKLKDSVIEHRFLLGH* >BrasyJ026000.1.p pacid=40065073 transcript=BrasyJ026000.1 locus=BrasyJ026000 ID=BrasyJ026000.1.v1.1 annot-version=v1.1 MAASSGPGHVHADVRIRTYLFVDIFLPPRVPFSSSSRPHAASPAAAALTPPRPPPPPSRRLARPPPPSRPLAPADAAPVCQPYLLRLLPTAPQTLSPTATAQPPRAVRLLQRRRVSGHRLPPPASAAAARVTQRDRDRCSASLTDVSSRPAPRGLLSPPTPTSSSDWTVAGRFFLLLQSGDGLYH* >BrasyJ025800.1.p pacid=40065074 transcript=BrasyJ025800.1 locus=BrasyJ025800 ID=BrasyJ025800.1.v1.1 annot-version=v1.1 MADMDQCKNCLQFIVVVPENFKERLNDFAGNFIVIRGLDGFKYDVYIDRKANKSVMCGAYWRLFARRNKLEAGDNVLFLAIKDGRKNKNVLTSVRKKIGLKEVKKVVRKLFHSIIFTDMPSLQNEQVRRILHNLKKNTTDDAIHQEFFVHRVDEHDVAAETLVIPEKICYALKVPYSGYATLESAVMKHPMGATFSRNTTGDTVITRGWAYFCKLHGVDSRSVLFCTMDNVNRFTIKIEVIKM* >BrasyJ026500.1.p pacid=40065075 transcript=BrasyJ026500.1 locus=BrasyJ026500 ID=BrasyJ026500.1.v1.1 annot-version=v1.1 MWTLIFQCKIPGRTEDDFVTATIDKADVTLSTIISFKEQLGFTGRDYVYYKKRSGLDVASLQVIDLRKHALQMVEDLAAEKEVRLVLSKEEQQQKNVNITPVKRPLEKEPEEDDDDDLGSYDALDAYKDWLEENMEDESLSQAYENSISKYNDIPAEDDSQETIESKGSSTTPPNQWPSHARYNKDKKAFKKRGRGTLKGLVATRKRLIQRTHKLKVEFSTNLGGPCGENARTFVDEVVTCGDPDPLHTPLIGIQRWKDVPRLVRLTIVRALLDGWDIANNNETKDMILKIAKERYKGWRSSLSATGTI* >BrasyJ026400.1.p pacid=40065076 transcript=BrasyJ026400.1 locus=BrasyJ026400 ID=BrasyJ026400.1.v1.1 annot-version=v1.1 MEDEDENNNEALLFFVLNNLYLAVCMVYQLVCPFSWSIYSEKCVTISFI* >BrasyJ026200.1.p pacid=40065077 transcript=BrasyJ026200.1 locus=BrasyJ026200 ID=BrasyJ026200.1.v1.1 annot-version=v1.1 MVVCKCRKATRVYCFVHQVPVCGECICFPEHQLCVVKNYAEWVVNSDYDWPQHCSHCNLVLEGPSEETTRLGCLHVMHTKCLISHVQSFPTKTAPAGYVCPSCSVPLWPPSSIKDTGSSLHSKLKEVVIQTGLEKNVFGNHFVAMPKADARTPPAFASDPLKHLPGSGESIGANTINSPKDATIPSVLLSKEEKYPAGMYSSGTSSHVEPEIVEIDGPAPITTQFTEQESNFIRNPSPHGPGATTRKGANYVERQNSEMSYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPKKENDAPEGRSRHQRSSSMDPTKILLAMAIMACVATMGILYYRLSQRSLFSENFADDEPQ* >BrasyJ026200.2.p pacid=40065078 transcript=BrasyJ026200.2 locus=BrasyJ026200 ID=BrasyJ026200.2.v1.1 annot-version=v1.1 MVVCKCRKATRVYCFVHQVPVCGECICFPEHQLCVVKNYAEWVVNSDYDWPQHCSHCNLVLEGPSEETTRLGCLHVMHTKCLISHVQSFPTKTAPAGYVCPSCSVPTGLEKNVFGNHFVAMPKADARTPPAFASDPLKHLPGSGESIGANTINSPKDATIPSVLLSKEEKYPAGMYSSGTSSHVEPEIVEIDGPAPITTQFTEQESNFIRNPSPHGPGATTRKGANYVERQNSEMSYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPKKENDAPEGRSRHQRSSSMDPTKILLAMAIMACVATMGILYYRLSQRSLFSENFADDEPQ* >BrasyJ026300.1.p pacid=40065079 transcript=BrasyJ026300.1 locus=BrasyJ026300 ID=BrasyJ026300.1.v1.1 annot-version=v1.1 MPVGANDTLIVLTPKGEQPETLKDFRPISLCTVVYKMVAKCLVSRNRRAQYKHHHNPRATSPVPLISSASASAVPLPPSSRISLRTRIRPPRNSSPRPATALPLLPSTPDPTAPSTTRSVTHRRGDGPVDEASDSRSPRVHVLLAAASLRLELHPYSFQQRSRGVFSIAGAGISLPFAYGVGVAFVIRAGPLLGTKVAWYVVMPAVDNGISTQGPGDEQCLGLLQGWMCEYSTPCAHGFLLLMTSPDFSSDVVEVTR* >BrasyJ092000.1.p pacid=40065080 transcript=BrasyJ092000.1 locus=BrasyJ092000 ID=BrasyJ092000.1.v1.1 annot-version=v1.1 MSFRGGRGGRGGGGRGGRGGRGGRGGFGSSMLHQAKEHSPHENFPEITLPEMTCAKATNEEKALILSTLKLEEFLRNSCYRLELEAPKKKDEDKEIERFSDRKRKTQSKREALASYLKLTPSNFPVELLQGSRRVQPSSKKLRWDRDSDEQAFDVFEKLEEKYKDGEKKIEKMVTVRRRMRNRNQQRRKKVQMMIIIRILNLMMTTMTGTKRKKHMKTTMINDHWSRKILTPSLMFSFLGISSSFQLIGHFLLGVVPS* >BrasyJ091900.1.p pacid=40065081 transcript=BrasyJ091900.1 locus=BrasyJ091900 ID=BrasyJ091900.1.v1.1 annot-version=v1.1 MTREQLPSPDSSIPCPPEIYKSQRNRKYGRVDAGVEGGEIVPGPGTNSQKDQWPVCVVGFTTASMAVALAIYKAPSGVFGDHKLAYYVSVLVAGVLGLAEVFAAVTWMSGTHHAAQLHPHHGLARRRCVLYASLVPLALVAGLGGVRLVFK* >BrasyJ092100.1.p pacid=40065082 transcript=BrasyJ092100.1 locus=BrasyJ092100 ID=BrasyJ092100.1.v1.1 annot-version=v1.1 MSTASLGIAALLDAYYRRRFAAAGLVESTVALDDGGAPTTTMHCWRFPPPPSAGRDDPRPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGPGSRTSAQGPGVRSEARQAEAVAKLVAAVVPSSGESVSVVGTSYGGFVAYHVARLLGPGRVGRVVIASSDLLKGADDDRALLLRAAAGSRPAVERVEDLMLPRTPERMRRLMELAYHRPRRFTPGFVIRDLVQFLYSDNIEEKQELIEGITLGNKDKFQLTPLRQQVLVLWGQYDQIFPIEKAVRVARQLGANARLEILQNTGHMPHEEDPKRFNEALLNFLLPPPSSAL* >BrasyJ091800.1.p pacid=40065083 transcript=BrasyJ091800.1 locus=BrasyJ091800 ID=BrasyJ091800.1.v1.1 annot-version=v1.1 MTSGVVSLDGGAAVGGWEGNGEGVLTMDDASTWFPMEDLRSTEMFSSYVRAGLDSPGNILIGGSDEVAADLNDSGTPEGEEEAARWVSETGRPALIRKPQFLKVDEKVE* >BrasyJ075200.1.p pacid=40065084 transcript=BrasyJ075200.1 locus=BrasyJ075200 ID=BrasyJ075200.1.v1.1 annot-version=v1.1 MASKAILSSFAMASKALLLFARAARSAAAVTYRVASLAERRALSPGPGAVATASDAPGLSGGRSATASVPTHSTAEPPPAMDSSRTGSWVASAPSSKDLSKPGGGRVEFVPKEEDLESDEALWDLYKRWCKAFNQKREPDEMARRFDKFKDRVLCVRDMNNANASKSYKLGLTKFSDGKLAEMRANEKFPKSLCRRRRGDDKYLMEVYADFDVFNGKLFVFFPVEKGKGVGYCKKEISTEYEVLSGRLFVADLPEGPELLVPNPDVLMHRLIPGYLW* >BrasyJ075200.2.p pacid=40065085 transcript=BrasyJ075200.2 locus=BrasyJ075200 ID=BrasyJ075200.2.v1.1 annot-version=v1.1 MASKAILSSFAMASKALLLFARAARSAAAVTYRVASLAERRALSPGPGAVATASDAPGLSGGRSATASVPTHSTAEPPPAMDSSRTGSWVASAPSSKGGGRVEFVPKEEDLESDEALWDLYKRWCKAFNQKREPDEMARRFDKFKDRVLCVRDMNNANASKSYKLGLTKFSDGKLAEMRANEKFPKSLCRRRRGDDKYLMEVYADFDVFNGKLFVFFPVEKGKGVGYCKKEISTEYEVLSGRLFVADLPEGPELLVPNPDVLMHRLIPGYLW* >BrasyJ000800.1.p pacid=40065086 transcript=BrasyJ000800.1 locus=BrasyJ000800 ID=BrasyJ000800.1.v1.1 annot-version=v1.1 MCIEAANSFQCSNSFTAREQTIRLRDLGLAKLLTSDDLASSLVHTMLQVDVLVTFRGQASHPQRTKESVQ* >BrasyJ024000.1.p pacid=40065087 transcript=BrasyJ024000.1 locus=BrasyJ024000 ID=BrasyJ024000.1.v1.1 annot-version=v1.1 MGRVLVGSGGPCGPRELGRALERERHSVHARARRGATRAAFGAPARGLARHGTADGGDSPETRRTACSGHGGHAARANARGWRRGAKHGSADLNGGGLRKISPEASSRSGGGASAEEEREDSAAHGGLAGSRRSEKMVGRAEDRGNVGRPSRGTGVSGGGGWGVEGRGYLAGLGEDRRQRAALMARAAAARQQLGRGREEEGGSAPLIGRPGHGQAREGGPGGEAPAMPVQCRAGGGDRLTGGVRVSEVRGKGWGLLLTGRATVTPVATCGSHATVAQERGRQR* >Brasy2G361100.1.p pacid=40065088 transcript=Brasy2G361100.1 locus=Brasy2G361100 ID=Brasy2G361100.1.v1.1 annot-version=v1.1 MVAAAARRRPAAQALALALALLVAAAGAAVADDFFSPLSPLLAPVIGSLCKAVACGKGNCTVTSGLPGYRCDCDPGWKQMHVGDSLRFLPCVIPNCTIDRACSNETAAPAPAPSPRNFSLSPDPCQVAYCGSGGTCKNGTGLSYHCECKEGFSNLLNMTTMPCFQECSFGADCAGIGILPSTNSQTPPPPPGSASVSNDCDAPAPRWVSQQILLPLLILASLAMGQAI* >Brasy2G422700.1.p pacid=40065089 transcript=Brasy2G422700.1 locus=Brasy2G422700 ID=Brasy2G422700.1.v1.1 annot-version=v1.1 MGARCSKLSVCWWPPHFKSPRLENGAAGEDGSGVPVFTEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGHTVAIKRFGRSAWPDSRQFVEEARAVGLLRSGRLSNLIGCCCESGERLLVAEFMPHDTLAKHLFHWETKPLSWPMRVRAALYVAQALEYCTNKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIKGKNYMVLMDSCLEGHVSSSDGNEMMRLVSRCLSYEARDRPNLKAVVSALANLQRDASAPSRTLLGIPQDTEENSEQVSFSATGKAYATADLEGVHEILANDGYKEDDIATYKVSLDSWPGQPAESLRVKKNGDDAFQSKDFATVLECYSMFIDTGAMESPTMLVRRSFANMVLNRLEDSLEDARKAEGISPEWPTAHYLQGMALIGLGMELDGHEKLKIGAALEAQRKGRTRTV* >Brasy2G452200.1.p pacid=40065090 transcript=Brasy2G452200.1 locus=Brasy2G452200 ID=Brasy2G452200.1.v1.1 annot-version=v1.1 MVAPGCAFEPAGKEMTDVYLHGKIVDGHDYAGFIHVADVYSADPETLVKGFAPAPGTGRGDKEPVWYFFSPVHYASKKKKSGRRARTIGGDSNKKWHSELGAVPVDGGSAFGGHKLNLTYMVRASGKKKKHERAGWILEEFGIAPEHGGGQIELCKLHRSPRFKDETAAGAGSKKRKAAADGELMNAADRRKNLCLRQEMPQELPEIETMDGGAAAVDLQDLWQRQEAPVLDDTARWMMFLETQGGIYFSDDDIQGGVGTQQYQQQQVPILDDTWRLALQEIERQLLSDDETSGGAGQVMDPAEEEEGTEQQQGGGGGCDDEDDGRLECTYEELFGIDGNVDDDMAMACSSLVA* >Brasy2G385600.1.p pacid=40065091 transcript=Brasy2G385600.1 locus=Brasy2G385600 ID=Brasy2G385600.1.v1.1 annot-version=v1.1 METAAGGIDLELRLGLSRSSNQPTKGGSSTAVSVLGSDLALFTAPSEIVDGETEPDHAVKLESVGSADTPPPTMADIGVPSDQDADMESNKDSVVEQPIIGNANADDNPVANAPRFVQVQPLGIFPIPAPRGPRSPGRMTYPVSAAMAVNFVLAMVVPAWLSYVGEKMLCASSDLGSQARLLIPADASFRLRMFLTNEEMVACGFNINDRDAGLADGGVRARPDTGIPVIAYVSGENVASAELKLNKFNGKGATPSRYGRSGGRLCILIVKNDL* >Brasy2G210900.1.p pacid=40065092 transcript=Brasy2G210900.1 locus=Brasy2G210900 ID=Brasy2G210900.1.v1.1 annot-version=v1.1 PPPHGRRSRSKRRCSGDHISALPDDLLLEILVRLGCARAAARSSLVSRRWRGLWTRLPKLAFNDILLGPLESALPRVPNTCVLDIFVRRQMGAVHAARITSLLRAAARLSPKELVLGLPFYLWDGHKAAVELPCFDRATSIKLAGRDDFSIQLPPARLSLSGCRTDIGELLSRCPRLRVLTATTRGISSVHNEITAHSASLQELAVDGQWWEPLIDLVAPALTRLALTVRTRRRLRVSISAPALEKVSWRRLYGGMVIGHGALWRLLHLGLQMEDRQGDQGDDVDTEETFAQEIKKALFTNFTVLELHLGTKEHVFGAIVLHLLGITEIRGATERLRVILEGPRPKNWRSQTISLTNLQELEIIGFHGADDEFDFLKLILRCAPMLKRITLKLPVKVRTSKKRRKKMWDIVKPYPRVECYVYLSSGKQVLHA* >Brasy2G015700.1.p pacid=40065093 transcript=Brasy2G015700.1 locus=Brasy2G015700 ID=Brasy2G015700.1.v1.1 annot-version=v1.1 MAKTLAFPPGLFDFFLSLFVFFGLCPDLLDTVRSALRPAVARERRVAASILRLFFHDCFVQGCDGSLLLDDASGLKGEKNAAPNKDSARGFEVVDAVKAAVEKACPAVVSCADVLAATAMEGVALLGGPRWEVKMGRRDSTTASFNGAENDIPPPTSGLANLTRLFAAKGLSQKDMIALSGAHTIGLARCTNFRDHIYNDTNIDTGFAGTLQQRCPRATGSGDNNLAPLDLQTPNVHCYKIVVFENAYYKNLVTKKSLLHSDQELFNGGAADAQVREYVSSQSTFFADFVEGMVKMGDVTPLTGSNGQIRKNCRRVN* >Brasy2G451800.1.p pacid=40065094 transcript=Brasy2G451800.1 locus=Brasy2G451800 ID=Brasy2G451800.1.v1.1 annot-version=v1.1 MVAPGCAFEPAGKEMTDVYLHGKIADGHDYAGFIHVADVYSADPETLTKSFAPAPGTGRGDKEPVWYFFSPVHYASKKKNSGRRARTIGGDSNKKWHSELGAVPVDGGSAFGGHKLNLTYMVRDMASGKKKHERAGWILEEFGISPDHGGGQIELCKLHRSPRFKDETAAGADSKKRKAACVGELMNAADRRKNLFLRQEMPQELPEMETMDGGAAVVDPQELYCQRQEAPTTDDTTRWMMFLETQQRIYFSDDDIQGGVGTQQYEQPILDDTWRLALQEIERQLLSDDETLGGAGQVMDPAEQEEGTEQQQGGGGCDDEDDGRLECTYEELFGIDGNVDDDMAMACSSLVA* >Brasy2G270700.1.p pacid=40065095 transcript=Brasy2G270700.1 locus=Brasy2G270700 ID=Brasy2G270700.1.v1.1 annot-version=v1.1 MKKPIYCAAPPPARGAPSPLLPLRHHRAGIHRRCSATGRALQQVHRPRHATPLHGRGAGATTGSWGYPLPLLLPSLRATEIVCCAGIWYGRLGRDAQLIWFPLVVSNLKPPSPILHKQGSIAAAPAALTPARGAQPTLLELLRHRHAGFCPRPAGPPPRGDPRRCSAPGPQGSIPAARAAPPSGPCSSSVVVSNRDMGWGGRERDRERGRGPACAAVDGSARPNLKEAPYGKTRVGTSPH* >Brasy2G215300.1.p pacid=40065096 transcript=Brasy2G215300.1 locus=Brasy2G215300 ID=Brasy2G215300.1.v1.1 annot-version=v1.1 MENPRPPPPAITPPMAPLPIHPPIAPIPAPPRAPVTAAAASTSGDDDDVEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEAEGQFDRLLRAQEDEQGAAEEEAEQIQYPFYTEGTKELLQARVDIAMYSLPLAKARVERAKRRLGDPDEDPEAEADLVVKQAGEFVLDCSEIGDDRPLTGCSFSRDASMLATSSWSGIIKVWSMPQITKIATLKGHTERATDVAFSPVDNCLATASADKTAKLWNSDGSLLMSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAFARIWDLRSGRSYCHLEGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRARKMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSTRDYKPIKSLAGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSSTQDNEMELD* >Brasy2G484500.1.p pacid=40065097 transcript=Brasy2G484500.1 locus=Brasy2G484500 ID=Brasy2G484500.1.v1.1 annot-version=v1.1 MAPTAAAASAFLFHAPPPRKPMPSSRRTTTILCSSSTPSLSDQLQPLSRTLLADKPTPAGADQQQGRPTPEPTWVNPSKPRPTVLSLSRHRRRPASSYPSSAPLQPLIRDLRALPEDADLPPPSSSDALLLLNYLHPSWRKSLSLLSYLRSLPAEAFAVDTIFFNVALKSLRAARRWGECERLALDMLASGVPLDNITYSTLITAARRCRQFAKAVEWFERMYSADGVLPDEVTYSAVLDVYAQLRMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFREMREVGIKPNIFVYNALLEALGKTGKPGLARSLFEEMTAEGVEPNARTLTAVAKIYGRARWGRDALQLWEQMREKKIPADSILCNTLLSMCADVGLVAEAEQLFEEMKDPELSDVPKPDKWSYTAMINIYGSIKDADRALQLFAEMLASGIEPNIMSYTIVIQCLGKTNRIQDAVEVLEAGLERGLKPDDRLCGCLLSVVALSTGDETELMLACLEKVNRNLVKLIRLLGDAQVGVDELKEELKAVLNAADSEVRRPYCNCLIDICQNHGFPAQRSGELFHLAQHYGLYSKLHIRKDEEWLLDLRSLSVGAAKTAFDDWMKTISERSAQGKPLPESFNVFTGSSTHKFAQGLASAFATHLEETAVPFRPSESQVGSFVSSRDDLVSWLQASSSSSVVAA* >Brasy2G293000.1.p pacid=40065098 transcript=Brasy2G293000.1 locus=Brasy2G293000 ID=Brasy2G293000.1.v1.1 annot-version=v1.1 MAHVLVVPYPCQGHINPMVHFAKKLASKGVATTLVVTHFIAKTARIDASPAQVAAISDGHDEGGLPSAASVEEYLEKLETVGSASLARLIEARALSNSDPFTCVVYDSFVHWATGLPLAVPFSTQSCTASAVYHYVNEGKLRVPLPDDVVDARSEALAGVPELERWEFPSFLFEDGPYPALTEPALTQFANRGKDDWVLFNSFQELECEMGLGADRKAFTPANIRPIPGSPFVFAHRSWLGWPATSRPEPSADHFTYGANLLDPEKDTCIKWLDTKPPGSVAYVSFGSFASLGAAQTEELARGLLASGKPFLWVVRASEEPYLPRRLLVDLDEAASSGAALVVRWSPQLDVLAHRAVGCFVTHCGWNSTLEALCFGVPMVALPLWTDQPINARLIGGAWAAGARARRDAASGMFLRGEIERCVRAVMDGGDHGAAARRWSEAARAAVAAGGSSERNLEEFVEFVRASAGKEKWGGSRSEDCAASLSTVSGHLQQLMELIVDEAGRRTGLPPDLLSEILSRLPSLADRLRLRAVSPSWPLSWNLSNGCLDKPTPVPGVEPEVNVPPYTTWIWPPDLPDMYLRDLFDNIQARHEEVQRYPVESNVKLIQHFASRCSRRTSESVEKLLSRQHQRLIQLLRKFKTCEL* >Brasy2G191200.1.p pacid=40065099 transcript=Brasy2G191200.1 locus=Brasy2G191200 ID=Brasy2G191200.1.v1.1 annot-version=v1.1 MSLISWNCRGAGKSLDSNKMQYLAQLLSSSHARICFLSETKNKKFNSYQIATRFSMANSFVVPSVGRSGGLWVLWDDDTQLQIIDSSPNMILATVIHVTSSESYVLVCIYGDLNHCKNASIWNVVASFVSQNPDMPMLCMGDLNEILYPHEKSSPNVNYGRISTFHAFLKDCGLFDLGYNGPAYTWTNKRYTSKPVYERIDRYLANSFWCDMFPNANIYNLPIILSDHAPVLTMLHSKFKKPKSYFKFENWWLLEEDFQEQAQKAWEETRQQIFTVRTKKLTDALKVWSKKKQYLPRKLHQLEKQIHEIQCQNIADQDHIKEQHLVSDYKNTMSKITTFYKQRAKKHWAIHGDRNTKFFHISVLKRRRRNRITSIKDRRGSIVWL* >Brasy2G138800.1.p pacid=40065100 transcript=Brasy2G138800.1 locus=Brasy2G138800 ID=Brasy2G138800.1.v1.1 annot-version=v1.1 MHNDIGMVSSRRNSTGAFHRDGQAKDWSQFADPSPSPKLLYSQSYVVMRGLLASLASLDFVLWSSRLRSAWRSPDRSKSKCLICKRVAFRLFVCFLVGIFIGFTPFFSVDVSQKIVSELPFDDGVVEREMVDGKVKELDAIVVQKEVEVIDEPEVEESPPVPPMLDDEVDFVEASRAIPAINDLVIPVRKLLIVVTVTSVRPQQAYYLNRLAHVLKGVPPPLLWLVVEWPGTTFETEEILRSSGVMYRHLVCRKNITSVRKIAVCQRNNAIYHIKKHHLDGIVHFADEERSYMGDVFEEMRRIRRFGTWPVAIHDGSKYRVVLEGPVCKGNRITGWNTIQKKGAPRRFPIGFSGFAFNSTMLWDPQRWNRPALDSVIVHSGGRGGLQESRFIEKLVKSERQIEGLPDNCNRVMVWNFNLEPPRLNYPAGWSLWNNLEVDIPVT* >Brasy2G347100.1.p pacid=40065101 transcript=Brasy2G347100.1 locus=Brasy2G347100 ID=Brasy2G347100.1.v1.1 annot-version=v1.1 MSMAAATTQPRVMVLPFPAQGHVIPLMELSLKLIEHGLEVDFVNTEFNHGRVLEALAEEEGAAGAIIPDGIRMLSVPDGLGPADDRADIGKFVKDLPAAMSGPLEELIRSRETKWVIADVSMSWALELATAAGARVASFSTYSAAVFALRLSVPKLIADGVIDGNGIVKRHERIQQVPPLDAAEIPWVSLGSTPERRRINVQNVLRTNQSIPIAETIICNTSTEMEPDALAALPNALPLGPLVARKSRLAGSFLPEDETCLAWLDAQLPGSVVYVAFGSTGVLGAAQLQELADGLALAGRPFLWVVRPAGAGEEEEWLDAFRRRVDGGALGLVVGWAPQQRVLAHPAVACFVSHCGWNSTVEAVLHGVPLLCWPYFADQFCNQSYVCNVWGTGVKLCRDEARGVVAKEEIRDKVAALLGDGAVKARAAMWKKAACDSIREGGSSHGNLLKLVELLTACAMG* >Brasy2G193100.1.p pacid=40065102 transcript=Brasy2G193100.1 locus=Brasy2G193100 ID=Brasy2G193100.1.v1.1 annot-version=v1.1 MYRAKRAALSPKVKRRVGKYELGRTIGEGSFAKVRFAKNTETMEPVAIKILDKEKVQKLRLVEQIRREICTMKLIKHPNVVRLHEVMGSKARIFIVLEYITGGELFETIYTNGRLKEEDARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSATEQVKSDGLLHTTCGTPNYVAPEVIEDRGYDGATADIWSCGVILFIMLAGFLPFEDENIIALYNKISKAQFTCPSWFSAGAKKLITRILDPNPTTRITIPQILEDPWFKKGYKQPVFDDKYQTSFDDVYAAFGDSEDQHVKEETEHQPTSMNAFELISLNQGLNLDNLFEAKEEHKRETRFTSQCPPKEIITKIAEAARPLGFDIQKKNYKMRMENPKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQMFYRTLSTQLKDVVWKCEGEAEDNSAAA* >Brasy2G123200.1.p pacid=40065103 transcript=Brasy2G123200.1 locus=Brasy2G123200 ID=Brasy2G123200.1.v1.1 annot-version=v1.1 MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMLECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFIIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAGGRGGGPVGRGGAPPVRR* >Brasy2G352000.1.p pacid=40065104 transcript=Brasy2G352000.1 locus=Brasy2G352000 ID=Brasy2G352000.1.v1.1 annot-version=v1.1 MFEPPGYGKVAETTATGGGGGTEIIGLVRGCVKSVVSGACSTQPCNQDPIYTKVAYILGLRVSPNHRRKGVGKKLVERMEEWFREKGAEYSYMATEQDNEASVRLFTGRCGYAKFRTPSVLVHPVFPGHALRPSRGASISRLDTRDAELLYRRHFASVEFFPADIDAILSNALSLGTFLAVPAGTRFDIETFLASPPASSSSWAVVSVWNCADAFRLEVRGATRLMRAAAGVTRLADRTAPWLGIPSVPDLFRPFGVCFLYGVGGAGAGAPGLVRALCRHAHNVARRHGGFGVVATEIGAGDPVRAGVPRWARLGAEDLWCIKRLADGYAHGGGTLGDWTKAPPGRSIFVDPREF* >Brasy2G060000.1.p pacid=40065105 transcript=Brasy2G060000.1 locus=Brasy2G060000 ID=Brasy2G060000.1.v1.1 annot-version=v1.1 MAVPGGRNGLVDDDDGDHAEAFAALSEDEEAPLPPHLRALADAAQTGNADALLAALGNYGGSIDAPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTDIVQYILNFAANTNGCAKRMLDTVDAEGDTPLHHAARGEHLDVVKLLLEAGACPKKENSYGQTPAEMADQETDVRTLLTAKQVEASVQTSN* >Brasy2G127000.1.p pacid=40065106 transcript=Brasy2G127000.1 locus=Brasy2G127000 ID=Brasy2G127000.1.v1.1 annot-version=v1.1 MDRRSWPWKKKSSDKSSKADASQNSNQPEQDEKVPKFVQISPETYAHLTESDEQVKLLVEKVNVLNEKLSASQTEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKIQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWENIKAEFEAKLLEFEHELIRAGAENDALSRSLQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRRSPGKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLSARLLTTEETKMLQEALAKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGTKGSVTEGSNRLELMDDFLEMERLACLPSEANGHGNTVDKIKTDGAEATLSGITERDGVKDLQSALPLPSTPSSKQQQSEGSPLLKLQSRISSLLDSESPQNNVGKVLNSIRNILKDIEEEADSMNGNKTCHADMVEVADSVSLMKQDGSLNTGSKHTMDQEFIEAVLKIQDFVKSLDQEMSKYQG* >Brasy2G477100.1.p pacid=40065107 transcript=Brasy2G477100.1 locus=Brasy2G477100 ID=Brasy2G477100.1.v1.1 annot-version=v1.1 MLTEDDDAPLLPAFDDDSVKSQVKDCVAGLLNRFSDFISSALTKQREAPCSGGIDGEPQQELHSFISDISWVCQVLTKLEMMKCLVIYWLGASSHVVEVVEAACPGFDCLKTRLKVVEISAKVMEAAAFSNIVLPAEKRCHAVNVWIRFAGRTKALVDQADVVDGDAETAKIGLDGEVWQGLESAIVSIVLTLPSNTQAEILSEWLQSKHACFPDLTEAFDAWCYRSKVARTRLSFLNNISQAP* >Brasy2G165300.1.p pacid=40065108 transcript=Brasy2G165300.1 locus=Brasy2G165300 ID=Brasy2G165300.1.v1.1 annot-version=v1.1 MGKAAGGGFVFFLPRKGTAGPAARTPSPWQPRRPPVEPFRGSRPVAARSSLARGVCRRLRRGGAAGSAATARRRSEPGGRDATEQQARRPRRDGAASPAATTEQQARQPRCPPVEPFSGSRLVVARWREGERAVEQQARGHVADEHGLDSIVRRREDERAVEQQGRGRARPRRHSAPARVRAFVRAAGSVDYGPNGMARSGRREGELASMVWTSSGPTTLCAASPILGIMIVFRQCFWSRSTAGAINEPLDSSPLSR* >Brasy2G393600.1.p pacid=40065109 transcript=Brasy2G393600.1 locus=Brasy2G393600 ID=Brasy2G393600.1.v1.1 annot-version=v1.1 MLPPSPASPPHCCRGLFSLAILSVILPPLPVPLSIQPGSLQIGSHLIAGGAEPKHAASRSTWRDFFRWVWDETSAGQQK* >Brasy2G393600.2.p pacid=40065110 transcript=Brasy2G393600.2 locus=Brasy2G393600 ID=Brasy2G393600.2.v1.1 annot-version=v1.1 MLPPSPASPPHCCRGLFSLAILSVILPPLPVPLSIQPGSLQIGSHLIAGGAEPKHAASRSTWRDFFRCSPEVHTMEDN* >Brasy2G073300.1.p pacid=40065111 transcript=Brasy2G073300.1 locus=Brasy2G073300 ID=Brasy2G073300.1.v1.1 annot-version=v1.1 MRSRVALEPLAEEPGGGEEDAARRRRSGLHAALHRWARLLSGAGAGDDGRPAADLRVLLSVLACPLSPVPILPRLPKHVASSAQYIIEQFRATTGCGKTAAKSMYAAGKVRLAMLQEPGSGAGGSSGGHGRNHEGSFVVWQLAQAMWLVEMAVAGHSIAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVMIASIFSTAEHAGEKVVDGEDCFVLRLDVGPSTLSSWSDGTAEVIRHALTGFFSQRSGLLARIEDSQLTRIQSSPGAPAMYWETTIASTLADYRHVEWHQHGGVRGARVCVAHAGRSTAHLARFGVGVRAARVVTRMEEAWTIDDVAFDVPGLGPDAFIPPEEVRRSRCYDDAART* >Brasy2G465500.1.p pacid=40065112 transcript=Brasy2G465500.1 locus=Brasy2G465500 ID=Brasy2G465500.1.v1.1 annot-version=v1.1 MRLHHGAVALLVLLCVHGAEAAGGGFVRAQGTRFVINGSPYYANGFNAYWLMTMAADPGQRGKVTSALSQAAARGLSVARTWAFSDGGGNNALQYSPGNYNENTFKGLDFVLSEARKHGIKVILSLVNNYDSFGGKKQYVNWARAQGQAIGSDDEFFTNAVVKGFYKNHVKTVLTRVNTLTGVAYKDDPTILAWELMNEPRCQSDLSGRSIQSWITEMAAHVKSLDRNHMLEAGLEGFYGAASSVNPSGYLVGTDFIANNLAPGIDFATVHSYPDQWMPGSDEGAQLGFLGRWLDAHVEDARAKLRKPLLIAEFGKSWKDPGYSSAVRDAQFGAVYARIYESARKGGPMVGGLFWQLMADGMDSYGDGYEVIFAEAPASTTGVITTQSRKLKMLGKAFARAERERSARGKGAGGGGN* >Brasy2G131400.1.p pacid=40065113 transcript=Brasy2G131400.1 locus=Brasy2G131400 ID=Brasy2G131400.1.v1.1 annot-version=v1.1 MPPNGQGHHHHQAAHLVAMPPPPASKMDCFLSSVCKPLDLQFIDVTYRVKVERTSSSSTNKDAPGRISSHAGGFSTATEERTILNGITGSARPGEILAILGPSGSGKSTLLSILANRSLSSGHHTGAVLAGGRPFTRATQRRTGFVAQDDVLHPHLTVRETLLFCAMLRLPSSTPAQSKIAAADAVISELGLAACADTIVGNAFVRGVSGGERKRVSIGHELLVDPSLLVLDEPTSGLDSTAAARLVDTLSRLAAGRVPGGGGRRTVVMSVHQPSSRVYGAFHSVLLLAQGSCLYHGPGRDAMEYFASVGFRPGFHVNPADFMLDLANGFAQTEYSDSVSDAGGGSVSVKQSLIASYNRVLAPKIKAAMINTQSSSTNEPQPQPSPTTTSSSSSSCLGSVSSGYSTSWTNQFTVLLRRSLKERRHESFTALRIFQILSPALIAGAMWWRSSPTQINDRMGLLFFVSIFWGVFASFNAVFAFPQERAVLTRERASGMYSLSAYFMSRMAGSLPMELALPLLFTVVVYLMAGLNPAPAAFALTVAVVLGYVLVAEGMGLAVGAVVMDAKRASTLATVVMLAYLLTGGFYVRNVPVFMAWAKYTSFTYYGYRLLIAVQYGGEMRRLLPAEAVEGEAGAGVCVAALVAMFFGYRILAYLALRRMIRT* >Brasy2G013600.1.p pacid=40065114 transcript=Brasy2G013600.1 locus=Brasy2G013600 ID=Brasy2G013600.1.v1.1 annot-version=v1.1 MPAKQQLPLLLVAPPPLLMLLLLSSVYGSSAGGGPNLNASAVSFGQSGVARATWYGAPNGAGPYDNGGACGFKNVNKYPFMAMTSCGNQPLFKDGKGCGACYKIKCTKHKACSGRTETVVITDMNYYPVAPYHFDLSGTAFGKLAKPGRNDELRHAGIIDIQFTRVPCEFPGLKVGFHVEEGSNAVYMAILVEYENGDGDVVQVDLMESGRGGRWSRMKESWGSIWRLDSNHRLQAPFSLRIRNESGKTLVARNVIPSNWRPNTFYRSIVQYS* >Brasy2G428400.1.p pacid=40065115 transcript=Brasy2G428400.1 locus=Brasy2G428400 ID=Brasy2G428400.1.v1.1 annot-version=v1.1 MAACRRSTTRPATLLLLSLLLSSPIVLVSGIIPAKEACAKSPDPAFCAKFLASIPDSKSATDARGLAELAIRAAAKAGAEMGTSARAKLDAVTVKGPEWLCMDACVADVEEAASRLDVDKGKKSKAKAMGDAKFDDARDYVETAESDGLTFNCDLCRGGLPAPVQTGLLPKDNEFQKIMGALGALLKLVPGGGDGPSPAPAPGPEPGGPSSGPAPAPSPESD* >Brasy2G421200.1.p pacid=40065116 transcript=Brasy2G421200.1 locus=Brasy2G421200 ID=Brasy2G421200.1.v1.1 annot-version=v1.1 MTSVDCWLKLGINWSTLSLSLSFVDRLHHVKTAVPANIHREVFLTTAWELSKCRNDKIFNNVTPTVASWFAKFQASLNSQSCRFAVGAKEALRAWLVSLASMS* >Brasy2G435800.1.p pacid=40065117 transcript=Brasy2G435800.1 locus=Brasy2G435800 ID=Brasy2G435800.1.v1.1 annot-version=v1.1 MGRDKARRLTGSRHFRQRMVLATLTSTPIAIEDIRAGDAGLRAHEVSLLRLIDKISDHHTFELNETGTKLRYKPGVIVGGRDLEHDCGLHRGIGYFLEPLILLGLFSRSPISIRLKGITNDTKDPSVDTFRMVTLHMLKHFGVPLEGLELKIENRGAPPLGGGEVHLRVPNINSTLTAANWIDEGMVKRIRGVTFSTRVSPQIENRILYAARGIFNKFIPDVHIFTDHRSGSSGGRSAGYGVSVVAETTTGCLISADATVSYPNVDEMSKESEKPELMSPEDLGEQVAKMLLDEVAQGGVVDSTHQGLLFILCALCPPDVSRVRVGQLTPHAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS* >Brasy2G201400.1.p pacid=40065118 transcript=Brasy2G201400.1 locus=Brasy2G201400 ID=Brasy2G201400.1.v1.1 annot-version=v1.1 MGAAPRGLIYVACAILIAIAAAVDAADVASVVVGLAKCADCGSKNLKAEDAFKGLQVNIKCKNADNGEYESKAVGELDSTGAFSIPLDMTDLHSSDCFAQLHSEENTPCPGQAPSKIMPLSKGDHGDGVHGKNTFVAVAGKTHYSSSTACTSAFLCDPIKDFFDFFHKKKLDAPEPKPKPEPKAEPSPEYGSAPPSPSTPIYH* >Brasy2G398100.1.p pacid=40065119 transcript=Brasy2G398100.1 locus=Brasy2G398100 ID=Brasy2G398100.1.v1.1 annot-version=v1.1 MRPPPLPLSSRCRSSVCFLFLITLFLLSTARSSLEEEEKVARALRVGDELVGETMPLRHGRRLYRLAGLRPPAWYEVKISYPASVPSSFSIRLVNDPGAAEDWGSKNRRLLNTEKIIFKAESTKPVYVLVTVEPEGVVAKPNVPERELALFNIVCDELLLGIPHFAWWVGIAALFCIALASLAPYFLPLHRLLNYEAAELGDVDAAKLS* >Brasy2G398100.2.p pacid=40065120 transcript=Brasy2G398100.2 locus=Brasy2G398100 ID=Brasy2G398100.2.v1.1 annot-version=v1.1 MRPPPLPLSSRCRSSVCFLFLITLFLLSTARSLEEEEKVARALRVGDELVGETMPLRHGRRLYRLAGLRPPAWYEVKISYPASVPSSFSIRLVNDPGAAEDWGSKNRRLLNTEKIIFKAESTKPVYVLVTVEPEGVVAKPNVPERELALFNIVCDELLLGIPHFAWWVGIAALFCIALASLAPYFLPLHRLLNYEAAELGDVDAAKLS* >Brasy2G398100.3.p pacid=40065121 transcript=Brasy2G398100.3 locus=Brasy2G398100 ID=Brasy2G398100.3.v1.1 annot-version=v1.1 MRPPPLPLSSRCRSSVCFLFLITLFLLSTARSLEEEEKVARALRVGDELVGETMPLRHGRRLYRLAGLRPPAWYEVKISYPASVPSSFSIRLVNDPGAAEDWGSKNRRLLNTEKIIFKAESTKPVYVLVTVEPEGVVAKPNVPERELALFNIEMP* >Brasy2G252900.1.p pacid=40065122 transcript=Brasy2G252900.1 locus=Brasy2G252900 ID=Brasy2G252900.1.v1.1 annot-version=v1.1 MGEFDDYWARAYRGDPAVPHSDPQRLITTWTGAFALGAAACVHHHASALASNLKSLPHSWQDMTMMLDQKRWKKILDKKQQQA* >Brasy2G464400.1.p pacid=40065123 transcript=Brasy2G464400.1 locus=Brasy2G464400 ID=Brasy2G464400.1.v1.1 annot-version=v1.1 MALESRLRLPLARPTPATAFLSGSASKANHLPFSLKPASTSLSAANAPPPIVVVGSANADIYVEVDRLPLVGETVAARAGHSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPDGQNSIIIVGGANMEGWATAVDPEDLDLIRQAGVLLLQREIPDWVNIQVAQAAKGAGVPVILDAGGMDAPVPRELLGLVDIFSPNETELARLTGMPTETFEQINQAAGACHKMGVKEVLVKLGSQGSALFVEGEDPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRIKGAIPSMPDRNSVMSLLESAQVE* >Brasy2G127900.1.p pacid=40065124 transcript=Brasy2G127900.1 locus=Brasy2G127900 ID=Brasy2G127900.1.v1.1 annot-version=v1.1 MATITLTPRVIAVAFLLLLVMGSASAAAGDNDELPASSNFLLARESCWCWLRLLHPVHRGLLCHGVTWRLLQSGL* >Brasy2G392800.1.p pacid=40065125 transcript=Brasy2G392800.1 locus=Brasy2G392800 ID=Brasy2G392800.1.v1.1 annot-version=v1.1 MVFVVLVVVLLGSGGGMIGTAAARPLNSKAAVAAELTGIDASAQPSNCTYGNNTGGECPPSAGHN* >Brasy2G047300.1.p pacid=40065126 transcript=Brasy2G047300.1 locus=Brasy2G047300 ID=Brasy2G047300.1.v1.1 annot-version=v1.1 MLPQSSSSDCTGLRSCHQEKPTSPARTPRRRRAVPALRPPSPVVAMPRLGPARRRRAPATGQPSQNRPTPPPSQPHLSPARVAAGVGGQS* >Brasy2G153100.1.p pacid=40065127 transcript=Brasy2G153100.1 locus=Brasy2G153100 ID=Brasy2G153100.1.v1.1 annot-version=v1.1 MEEFWGFYLGQHSKPATRRWHFAGTLASLGCAVLAAATGRGAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSLLCDYRMFALILAGRIDAELARLRIHPPSQRQD* >Brasy2G005500.1.p pacid=40065128 transcript=Brasy2G005500.1 locus=Brasy2G005500 ID=Brasy2G005500.1.v1.1 annot-version=v1.1 MAAAAMSAEQVREFRSAFAFFDKDGDGRITADELSTVIRTSLGQSPTPSELRDMVSEVDADGNGTIEFAEFLALMARNRCKDGGEEELREAFGVFDRNQDGLISREELRHVMVSLGEKMSDEEVDGMIFEADLDGDGFVDFREFVRMMMLADDGQK* >Brasy2G468400.1.p pacid=40065129 transcript=Brasy2G468400.1 locus=Brasy2G468400 ID=Brasy2G468400.1.v1.1 annot-version=v1.1 MGVAFLRSGTDLCLRSPTLPAISTMRSTDATESPSARSRSPSQADRTPRGPRPRPSEAYGFAGTIAAAQWALAVPAFVAVMVAQGVVLYMASNFLLAAPPACLHTISDEHAREPSSSPGTGAEGEPIEPISDVGVDRMNHLMFGDRSNNRFIHCTEDALD* >Brasy2G072700.1.p pacid=40065130 transcript=Brasy2G072700.1 locus=Brasy2G072700 ID=Brasy2G072700.1.v1.1 annot-version=v1.1 MVFSSDEAAPTPFPSVHRCDASSASRRGSHTVVSSLDGTLLRSRSAFPYYALVAFETGGVPRLALLLLLAPLAAALSRAVSEAAGLRVLVFAATAGARVSDIESAARAGLPRFYAADVHPAAWRVFSACRGGRRLVVTATPRVMAEPFLRDHLGADAVAGTELATWRGRATGLVDARWGVLVGERKAEALRGLVGDGNLPDVALGGRASDYEFMRLCKEAYLVPDTPVEAVRADQLPKPVLFHDGRLVQRPTPISALLAVAWFPFAFLLACARVATASLVPMPFLYHALCSLGVRVVVRGAPPPRAERAKGRTGSLFVCSHRTLLDAVFLSVALGRPVPVVTYSLSRLSELLSPIRTVRLTRDRATDAAAIRELLAEGDLAICPEGTTSREPFLLRFSSLFAELTDHIVPVATDCEMSMYHGTTARGWKGMDPFYFFMNLRPRYTVTFLDKLPAELTCGGGGKSSHEVANHVQKLLASSLSYECTGFTRKDKYRALAGNDGIVTVSTAKN* >Brasy2G072700.2.p pacid=40065131 transcript=Brasy2G072700.2 locus=Brasy2G072700 ID=Brasy2G072700.2.v1.1 annot-version=v1.1 MVFSSDEAAPTPFPSVHRCDASSASRRGSHTVVSSLDGTLLRSRSAFPYYALVAFETGGVPRLALLLLLVVTATPRVMAEPFLRDHLGADAVAGTELATWRGRATGLVDARWGVLVGERKAEALRGLVGDGNLPDVALGGRASDYEFMRLCKEAYLVPDTPVEAVRADQLPKPVLFHDGRLVQRPTPISALLAVAWFPFAFLLACARVATASLVPMPFLYHALCSLGVRVVVRGAPPPRAERAKGRTGSLFVCSHRTLLDAVFLSVALGRPVPVVTYSLSRLSELLSPIRTVRLTRDRATDAAAIRELLAEGDLAICPEGTTSREPFLLRFSSLFAELTDHIVPVATDCEMSMYHGTTARGWKGMDPFYFFMNLRPRYTVTFLDKLPAELTCGGGGKSSHEVANHVQKLLASSLSYECTGFTRKDKYRALAGNDGIVTVSTAKN* >Brasy2G106300.1.p pacid=40065132 transcript=Brasy2G106300.1 locus=Brasy2G106300 ID=Brasy2G106300.1.v1.1 annot-version=v1.1 MCVHVPLTRGTGSVGPAVIKREASGVGCGHRTEERGSRPGWRGVIVRNRGCGARCHANSARGATASGDAGSIGRGIGSVGAWGGRRLVVRACPGTWGASWAAGAIQKLELEKLWSFGGPWGVSFCLPLVLV* >Brasy2G036900.1.p pacid=40065133 transcript=Brasy2G036900.1 locus=Brasy2G036900 ID=Brasy2G036900.1.v1.1 annot-version=v1.1 MERMQLQGPIITSLWGEQAAAAAAAQVPFLALLQGAMAEEQQDDDKRQYGAFACPRTEDAGLLESCVTQASGGVGGQQRRRKRPRARPRAALAPEAKRKKPAEEAECQRMTHIAVERNRRRLMNDHLASLRSLIPSDYIPRGDQATVVGGAIDYVKQLEQQLVALQAASAAARRGSVSGSGGGVVAVGTAATAAADGVFVAPQHTSYSEQGGGAGVDVEAMAAAGGHVRVRVAGRRWPGRLVHAVAAMEDLRLAVLHLAVTSVAQDAVVYCFNLKIEEGCEVATADEVAAVVHQIFTYAAGVGVC* >Brasy2G066600.1.p pacid=40065134 transcript=Brasy2G066600.1 locus=Brasy2G066600 ID=Brasy2G066600.1.v1.1 annot-version=v1.1 MNFLYRTAQSELPRIPEQDHRKEDASHKAAKTLEGLIADDPYRAPVSAEEDGAGNNGVGEIGGDAASAASSDSKSSAPTGKHSDVSDDEGWITIPNKELPENWNDVSDMAQLRPLDRSFLFPGEQVHILACLSASKQDVQVISPFRIAAVMSKIENSLQHSTNESSPVSENGDANGTAGDSSSHGVEDNMESVELSDKESPSKQDIVETESLLRMEDHKLQTENMLRRFKRSNFFVRIAESDEPLWSKKRVAATKTTDEQSYSDSQGNSKVSRSNVYNTISDKGFFDGSTSGGVARDTARCYALQNGDIVVILQVNVGVSNMEDPVLEVLQFEKCISSNYIRENLVDGVHNAIEDPCRELLSWLLPLDRTLPPRSLSPATLNPSISHKQSYSAPGSQIFSLSHFRSYSMPSPSSAQSPNIRPQPISETQEFVPEKPAKTPDVINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWSKKVEIIQPIEVHSFSAKCTAENLLCVLIKNIAPQHVEDIVVFIDAITVVFEEASKGGSPLSLPIASIEAGHGHSLPNLALRRGEEHSFILKPAIMSSRDRRSNSVVPLTLSLPKMTGAATNASVPRVSESSVPLTDQYAVLVSYHCNYTESKLFFKQATSWQPCAASDLMISVSSELSLRNPSPSARVPQLPVQVLTLEATNMTTENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDNLNEPVRRSGLGKHGMGFQRLNSVVAGSPKESDNGGNRMSTSAGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITVKEKGLTYIPEHSLEIHACSGISAGRP* >Brasy2G261400.1.p pacid=40065135 transcript=Brasy2G261400.1 locus=Brasy2G261400 ID=Brasy2G261400.1.v1.1 annot-version=v1.1 MPQPAPSLFLFQAFVRSEPNNGKQGLVLSSGARLASGFDEEDDDADSVVRSLQLEAHLAAAPDLSSLTLEGGSIATAIETIDRNLIVLESTFPQKLHRRILLIYDTIDRSSYALVVQAQEIVSVSVSDEGIHQRRDVLFVSQSSPSASPWNAVKAARFADNRWLADKSSFYADVVFSAGGRGYWGDLLRGVMYCDCDALLSDGIDPVDLYSIPLPPVGCCHDGFLDRDVVTTEPRAYRTLGCCVNGSVKLVSIDGFLERVDLEDRKLALWRLTEDLSCWTVEAQVTLGSLWKEAGFRLADGHGAHVPLPERAGRPGHLFCTGRPFQPLRTTCSVSICVLAPFLVTHLSQLVPITSAWPVLLVLPSSAGRLLLSPATVKGGIARLQFPAKRKVDTVSVSKYLDMT* >Brasy2G214000.1.p pacid=40065136 transcript=Brasy2G214000.1 locus=Brasy2G214000 ID=Brasy2G214000.1.v1.1 annot-version=v1.1 MTAQTAEELAAQIEQEQLEAKKTEAEEVVVEDDEDDDDEDDDDDDNDDVEGQEGDASGKSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPNSETYVIFGEAKIEDLSSQLQSQAAEQFKAPDLSQMITNPEASCLGHDDNEVVDEEGVEPKDIELVMTQAAVSRAKAVRALRAANGDIVTAIMEVTN* >Brasy2G016000.1.p pacid=40065137 transcript=Brasy2G016000.1 locus=Brasy2G016000 ID=Brasy2G016000.1.v1.1 annot-version=v1.1 MCPLRVILIFLSATIAGFFLLRGLKAEPDFFQDDDEDKGTDSPRAPAPPLHYKVASAAKTGFWTMVDMASGRYLWRTLVAPPAKSESEKAR* >Brasy2G166200.1.p pacid=40065138 transcript=Brasy2G166200.1 locus=Brasy2G166200 ID=Brasy2G166200.1.v1.1 annot-version=v1.1 MEPKEDQSDITTLQRINRSTGPSCPAQTAGPVPASTGAEPPGRSGSGRPTPPRTATNRRTRACAARAEPETPTSAAYELQRPQEHRTVAAAELGFLGGTAAKAGARAKQEEGGCRRASPGEGGREVGAGAGPGPAAAAAAARVPRFRPCSARPCPSVLGTTAIPSVSPKIMC* >Brasy2G439700.1.p pacid=40065139 transcript=Brasy2G439700.1 locus=Brasy2G439700 ID=Brasy2G439700.1.v1.1 annot-version=v1.1 MALPIRTNNRYKQKLLDNLRRNRGSPHLAAPRRRPEARGTENLLDGGYFLISVNSALKSSTFFLRGSSTFLALLKAKAQTVWGQPFGRSFNGQSNEKTFPALSYRTARLEICARANEQLQRASSGFQSSRGGWSRGRGDEGGRGGGEQKRAASSVQRWGSALRLEQWGQQGDRGGGAARGCRSRGRGCGEQGRDDAAATAGADGAAAAEQRTAVGVEDTATAAKQRAAAGAEGATATPQQRRTRMRPPVNDCRLPRGSRGGAPEGSANRRKAMEIGDGVQDLPGKEINTVAAFGLHRGRQSFGFVEHVLAWRRQPSASMATLKGMRSSETKTKPSSSSSCFPDVGFFCLASHGGGS* >Brasy2G272000.1.p pacid=40065140 transcript=Brasy2G272000.1 locus=Brasy2G272000 ID=Brasy2G272000.1.v1.1 annot-version=v1.1 MAAGGVWVFRNDGVMELEQEGGSRTKKKALVYVPANETMRSLEALERRLGTLGWERYYEDRAVVQLHRRDGSADLISLPRDFSRLRSTHMYDVVVTNRDRFKVVDV* >Brasy2G366900.1.p pacid=40065141 transcript=Brasy2G366900.1 locus=Brasy2G366900 ID=Brasy2G366900.1.v1.1 annot-version=v1.1 MEAARVAEPAVAPAMDVDKLTYEIFSILESKFLFGYDDPKLLFAGGSPRPASASPKGTPTRAPPTGKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDPDARLADFFDVAAGSGAGGVLAAMLVARGADDGRPLFSAEDALAFLTRNSIRGGRGWSKPGGLFRRQSSTGAAAFRKVFGEMTLRDTARPLLVPCYDLTTGAPFLFSRADAVETPAYDFRLRDVCAATCAGSSSSATAVEARSCDGSTRIVAVGGGVVALGNPTAAAITHVLNNKRDFPLAAGVEDLLVISIGSGEAAGGGGASTSEIVRIAAEGVSDMVDQAVAMAFGHNRTSNYIRIQAMGSPQAKKGGVAPEDMLSQKNVESVLFRGKKLAEQTNAEKLERFAHELVKERDRRLGAAAGQQLGRGGAPVVVKPAAECQGQQPTSYSNLVSQVFTSIL* >Brasy2G080300.1.p pacid=40065142 transcript=Brasy2G080300.1 locus=Brasy2G080300 ID=Brasy2G080300.1.v1.1 annot-version=v1.1 MSVCLARRNDTRSSPFISSLEVVSLEDSMYNATDFGKFVLSTVARNALGTKGDIFSYPDDQYSRYWAPFMDGNPTVESHTAITPGDFWNQPPPKALKGGLTTSRGKNLTVQWPPLELPATSYYVVLYFQDSRTASPYSWRVFNVAVNGKDFFRGLNATAAGVMVYANMMQLAGKTEILLTPNETSPVGPLINAAEIYQIVPVGGRTATKDVVAMEELARSLKNTPPDWAGDPCLPPQNSWTGVKCSVDAPVRVLSLDLKNHSLSGSLPDSFGNLTGLNTIFLSGNKLSGPIPDLSNMQSLAALHLDDNQFSGAINPSLGVLVNLKELFLNNNNLSGQIPPVLKTKPGLVMKYEEIEGNKLV* >Brasy2G080300.2.p pacid=40065143 transcript=Brasy2G080300.2 locus=Brasy2G080300 ID=Brasy2G080300.2.v1.1 annot-version=v1.1 MSVCLARRNDTRSSPFISSLEVVSLEDSMYNATDFGKFVLSTVARNALGTKGDIFSYPDDQYSRYWAPFMDGNPTVESHTAITPGDFWNQPPPKALKGGLTTSRGKNLTVQWPPLELPATSYYVVLYFQDSRTASPYSWRVFNVAVNGKDFFRGLNATAAGVMVYANMMQLAGKTEILLTPNETSPVGPLINAAEIYQIVPVGGRTATKDVVAMEELARSLKNTPPDWAGDPCLPPQNSWTGVKCSVDAPVRVLSLDLKNHSLSGSLPDSFGNLTGLNTIFLSGNKLSGPIPDLSNMQSLAALHLDDNQFSGAINPSLGVLVNLKELFLNNNNLSGQIPPVLKTKPGLVMKIEGNKLV* >Brasy2G475400.1.p pacid=40065144 transcript=Brasy2G475400.1 locus=Brasy2G475400 ID=Brasy2G475400.1.v1.1 annot-version=v1.1 MVNWVEVPRKHLLGRIAKNAGLRQHAVALDAAAAPGTVINLWLPSHKLKPPKPKQNQDDPAAAATKRPAVVLVHGFAGDGIMTWAFQVGALRRQGYDVYVPDLVHFGGSTSPSPDRSVGFQASCIAAALGRLGVERCAAVVGFSYGGLVAFQMAAACRGLVVRSVVVSGSCLVFTDAMSDALLGRLGGGGAGASSLTELMLPDSVGRLRFLFAAATHMKLWFPRRVLSDFLKVMYNNRKERAELLENMITCRDEKAPAPVFQQNILLLWGEDDNFFPMEDAKTLKEELGEKATLRSISRAGHLAHLERPCVYNRGLKEFLAGHAAL* >Brasy2G339400.1.p pacid=40065145 transcript=Brasy2G339400.1 locus=Brasy2G339400 ID=Brasy2G339400.1.v1.1 annot-version=v1.1 MLFTESVSSGEQRQAARAKKLKGPSSSGIRSNPPRQAAAPKLPTRKKKTASRTKASSSQAAPDVEVELEPVPNTDFKGLPRKKWIEERRINPYNKPKTASDPRFWTIAQEMYWNQIFESFKSKLVEQKAIDFDFIEDNAEFEGIIEVCEILDVYKLMDLQQDFCPDLVRQFYCTVHFHDDEERSMSWMCGHELIRNMPLSVLAQAIGYVYCSYRDYRGVRIFDQEPLDMNAIMGFCHPPRTKNIGFTSTMYPFYDVMNKLFRNSIDPKVGDLTAVRGRLINLMKYVEPGKEEKIDVIDYIYKGMQAIVYDKRSPSYAPVVQAFINKCASTNVMDNNYVVRHSRVKPQTPSQTFVEPLPHGPTATAEWEEARASGDRPHGKGIAPPEPSVPKPKKKRNATYRAIRAMFKYVRAIHKRVFKSSQRSKRALSLINAERRKNGEKIPIGSEDEDSEEEVVEYPFSSGESEDEATTSAPPAPHVVDSEEEDEEEEE* >Brasy2G248200.1.p pacid=40065146 transcript=Brasy2G248200.1 locus=Brasy2G248200 ID=Brasy2G248200.1.v1.1 annot-version=v1.1 MEAATASSLGRSGTGLRSVPGLASSSSLAPRDARRRSLTPPHLPWPASRLVRTSGAGSLSVVAAAANPHNQQQQQLGVEGMGVAMEPERGSPGEVREEIARCYELVRRLGRGAVYLGSSRVPPTHPHYHQTSELARETSKLLDCTTWTGAGPGLMDAAIQGALQADKPVGGFKIGKEAGEWTASNFHPYLPPESYLTCRFFSARKHGLVDAVVRNNSTDKTAIVALPGGIGTLDEVFEIMALIQLERIGSALPVPFLLMNYDSYYSKLLEFLNDSAEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPAGQRNYHTSPPSKEHITSYTVS* >Brasy2G269900.1.p pacid=40065147 transcript=Brasy2G269900.1 locus=Brasy2G269900 ID=Brasy2G269900.1.v1.1 annot-version=v1.1 MEKKAAVIICGTASLLGLVAVILGFVGEATKSQSFAGYDGVSCVYRSTPAVGCGLAGALFLLVAQVLLSSATACCGCCRPETRKIPQQTKRVFAVAMAVVSWILMFIAVWLFFSGAMWNTARHRKPAEAPSKANSGEGECYVMQGGVFATASALSFVVVAFGIGSYFLLGASGPPEMPTVQLGGIAMGQPQAPYFQPQGGYPAGANW* >Brasy2G023200.1.p pacid=40065148 transcript=Brasy2G023200.1 locus=Brasy2G023200 ID=Brasy2G023200.1.v1.1 annot-version=v1.1 MDSSSDQAVVAITHPHSSKANRKRAKARKGKEGPAAIKPSTPASIKPATPESIKPATPASIKPATPAAADTRTTAEILAAYRATLKEEIETDSDDESSTDWHAYEARVYRDFWNRVHAGDEFGTYDTVTSIPPMCFTDRGCNFAHAQPTLQFFSVKVKEITGGLRWPLDVYGIVAVRDVVDHNRNIIFYRGRDNCQTINSKDPYLALTGPTRAVVVSIDPTYFEVDLKVKGTIESEDKQLSFLAVCYTSNSPSISHVIDRAEFSKLSTMEFTFGHINNSVEATISVEVTGGRWPQGYQGAFTAKTSSIDHMDVLLVGFEDGKLPVDVDRMVKLSRRVVCAELIQGEEAKVPKLTVSAQASINGGNNILKKDLAFKPKEAGRSHGKIQIGSCEMQVTIAWSLLSTFKFSYEEP* >Brasy2G269300.1.p pacid=40065149 transcript=Brasy2G269300.1 locus=Brasy2G269300 ID=Brasy2G269300.1.v1.1 annot-version=v1.1 MTPNGCTQFRPFFMVYDAEAVLPSNVRFNSPPVSAYSGPEVAMPMQDALDVVDEDRDIALARAAVYQQSLRDYQGKNPGCMILIVATRPASGGMRNGENGNGRPLFGPENRGGHHYALFTVQREVISPLAHASSASGAAVSLAADAASGELFVVNSAAPGSGQLRREWAFMSGLSSPHVVRCLGFRASGSRDHLLLEYAPGGSLAADAARRRGGGGMDEAEVRAYTADVLRALDYLHGSALVVHGDVKGGNVLLGADGRAKLADFGCAHSITGRTTTMPLGGTPAFMAPEVARAEGQGAAADVWALGCTVVEMAAGGVLRAKDDNVLAAVRRIGFTDDVAPEVPRWLSPAAKDFLGLCFRRRADERPTAAQLLEHPFVAMATAPLPVKKRPTWVSPTSALDAALWESDSEADDDEEADDLPSSSPVERIREMALSSSGSLLPLPDWISSDDGWIEVPFASPFFPIEQPAAARLLLIACGPPPPSSSSSRAGRRSPLHRPRAGRRPPPPPHRVRAAALHSTARIWPPPPACGPPPPPLLLLIPCWPLLSTPLLVARVVAGGSERLLLGVDRGGLGLPDL* >Brasy2G292200.1.p pacid=40065150 transcript=Brasy2G292200.1 locus=Brasy2G292200 ID=Brasy2G292200.1.v1.1 annot-version=v1.1 MIMASLFTFVLSLTAPFNSQPAGQSTIMPSSSYPFINTRPRLLFPSPARSLARPPNNSQPMESTAPSPLRWVLSVAAGGIFALFLLLASSSIPFPSTSLFFSPSTPASPSAPPPAPPRFAYLISGSAGDAAMLRRVLLALYHPRNHYILHLDAQAPDSDRASLAAFVASHRVLAAARNVRVVEKANLVTYRGPTMVTTTLHAAAAFLWGEGRGKGADWDWFINLSASDYPLVTQDDLMDVFAGLPRDLNFIDHTSDIGWKAFARAMPMIVDPGLYMNKKDDLFWVPQKRSLPTAFKLFTGSAWMVLSKPFVEYLIWGWDNLPRTVLLYYANFISSPEGYFHTVACNADGFRNTTVNSDLHYIAWDNPPMQHPHQLTLADWDGMVGSEAPFARKFRRDDPVLDRIDADLLSRAPGSLAPGGWCASAAAAGEAGGAPGESNNRTGGGGDPCSAVGDAALLRPGPGAARLRRLVSSLLSEENFRPKQCKLVEPVAAADDRKSSDGGA* >Brasy2G259000.1.p pacid=40065151 transcript=Brasy2G259000.1 locus=Brasy2G259000 ID=Brasy2G259000.1.v1.1 annot-version=v1.1 MSKSCECCKRYWNHLHGKVKCFVRLMNGNTRDSMVIPESFVNHFSKKISGTVKLEAPNGNVYDVVITERRSRTVLRAGWEAFVHANHIVENDLLMFQYRGNSSFKVIVFDSSGCEKVVSCAHIESNISDKEPSTSSTDMSSSSSDRNTQSSERGNSDGSSGHCRKRARKDEMSGEDNPYEHESSASDDHALSEPLYVLSGQCYLTEEQEAEIFALVQDIQPEMPLLVAVMKQPNVKTYPDLVIPKDYAFAHFPHKNQTITVQLPGQSKKWHSQFCVRSDGGRCNLYGCDFVGDNHLQEGDLCLFQPTTECEGRTFRLTVYLLRKASIGSNCGLGNTKVASTVHGEENSSSDHEHGISEQSPEHDDTYKSSGPPYMMSIRAHLLPVQEKKVLEKVEAIQSELPIYVAVMTKTNVLDSLEFCSEYAAAHLPGVNQTVLLEFMGKVWETQMVVGDAKRWRLMRGWSKFVDDNLLQVGDITLFELKKNEMNLTMAVHIFFFKQ* >Brasy2G445200.1.p pacid=40065152 transcript=Brasy2G445200.1 locus=Brasy2G445200 ID=Brasy2G445200.1.v1.1 annot-version=v1.1 MSSSDNPDTVTDRAFGFGKKDEDKKKDDKNKEEDGEGNSGGGFIEKVKDFIHDIGEKIEEAVGFGKPTADVSGIHVPHIGLDGADFVVDVLIKNPNPVPIPLVDIDYLVDSDGRKLVSGLIPDAGTIRAHGQETVKIPISLVFADIKNTYRDIQPGSIIPYLVRVVLLVDVPVFGRIKIPLEKSGEVPIPYKPDVDVDKIKFHHFSFEETTATIHLSLENKNDFDLGLNLLQYEMWLGDDRVADAELTENTKIDKQGITKMQIPFTFRPKDLGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKVGGTTRLKKDSDDDDDEE* >Brasy2G445200.2.p pacid=40065153 transcript=Brasy2G445200.2 locus=Brasy2G445200 ID=Brasy2G445200.2.v1.1 annot-version=v1.1 MSSSDNPDTVTDRAFGFGKKDEDKKKDDKNKEEDGEGNSGGGFIEKVKDFIHDIGEKIEEAVGFGKPTADVSGIHVPHIGLDGADFVVDVLIKNPNPVPIPLVDIDYLVDSDGRKLVSGLIPDAGTIRAHGQETVKIPISLVFADIKNTYRDIQPGSIIPYLVRVVLLVDVPVFGRIKIPLEKSGEVPIPYKPDVDVDKIKFHHFSFEETTATIHLSLENKNDFDLGLNLLQYEMWLGDDRVADAELTENTKIDKQGITKMQIPFTFRPKDLGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKVGGTTRLKKDSDDDDDEE* >Brasy2G230200.1.p pacid=40065154 transcript=Brasy2G230200.1 locus=Brasy2G230200 ID=Brasy2G230200.1.v1.1 annot-version=v1.1 MKAMNYHEPDEQELITAVTAINDGRGGLDWLEDSISLLSADGDLSGSYGWWCDPPPAPPQDDIGIVVAQTLSPPAALTTTGSPPTSIASPAPPSSKKRKSSPASGHSGGSSQRRRAEQERERPAGGGKKKGGGSDCREARWAEQLLGPCAVAVEARNLSRVQHLFYVLGELASFSSGDANHRLAAYGLRALSLRLPAAVGAAAAAAVRIPALGAECPSAFAGAEPRVFRASLIRFHEVSPWFALPNALANAAIAQQVQAPRPLHLVDIGVSHGWPTLLESLTRLPAGRAPQSVRLTVVAAAAATRAAPFSASPPGYDFAPHLLRYAKSINLDLHIARAASLDDLSAQGEEEALVVVCLQFRLGHVAPEERTDVLRLVRDLKPDLVVLSELDVVVGSGGAAGEFSARLELLWRFLESTSAAFKGRDGEDRRLMEAEAGAPAAMITASASEEGRDAWRDRMRAAGFQEAAFGGEAVESARSLLRKYDSGWEMAGAASGAAAVALRWKGQPVSFCSLWRPAPPP* >Brasy2G088100.1.p pacid=40065155 transcript=Brasy2G088100.1 locus=Brasy2G088100 ID=Brasy2G088100.1.v1.1 annot-version=v1.1 MGNSSSCSSGHKPHRPSSSDSGLPHAAAAAEELSSYEAACRYDPEVRTFDSTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPDLFDLVEDYFESSLQTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEGGDAPEAASASSAAPSARYARTLHELRQFKAAGDPFTDEFFEAFQAVYRQQLAMLEKLQQRKHRLDKKVKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAAAVPVGSMGKWIDSLLKGYQDALHGQKEVVSAMQVGTFIAIKDLDSIRVLINRVEMEISSMVDCVEFAERDEEAIKFGVEEIKKKLEAFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPN* >Brasy2G467200.1.p pacid=40065156 transcript=Brasy2G467200.1 locus=Brasy2G467200 ID=Brasy2G467200.1.v1.1 annot-version=v1.1 MELPSTEDTQPKPRLIVRLGVFLASHHILSRTEGERDILLRDRHRLSRDSRDKSNPNGHRDVRNPVAFEGDVITATVTSSGLAVEHWIQEIYSSYRRLLHKLIVGLDVEWRPSYSRLQNPVALLQLCVGRRCLIFQLLHADYIPQALQEFLDDSDFRFVGVAVEDDANRLSKDHGFVVANTEDLRELAADGFHMPALRQAGMQAIARTVMGANLQKPQRVRMGPWDASWLSQEQIEYACIDAFVSFEIGRKLLTGEYPPMG* >Brasy2G051500.1.p pacid=40065157 transcript=Brasy2G051500.1 locus=Brasy2G051500 ID=Brasy2G051500.1.v1.1 annot-version=v1.1 MEAATMAWTAAVAGVGLVYWFVWVMGSAEVKGKRAVDLKMGSINRDNVKDKYTQYWSFFRRPKETATNEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLKAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARSHNRKAGLDSRCEVVCGNFMSMPFEDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGCLYVSYEWVTTALYRAEDPDHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVVQELDLALPPALPWWTRLKMGRLAYWRNSLVVRVLTILRVAPKGVVEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPSTAAAESK* >Brasy2G334000.1.p pacid=40065158 transcript=Brasy2G334000.1 locus=Brasy2G334000 ID=Brasy2G334000.1.v1.1 annot-version=v1.1 MDRRRIRVLLVEDEEIHRVQVVARAVLRGAGADVDEAENGAAALRLVRERIGGGGAYELILTDRQMPVMDGHEATRRIRAMGVATPIVAVSSDCLPSDVEAFAAAGADDFTPKPLTKEKLGHILAKFQLA* >Brasy2G375700.1.p pacid=40065159 transcript=Brasy2G375700.1 locus=Brasy2G375700 ID=Brasy2G375700.1.v1.1 annot-version=v1.1 MGRGRAPCCAKVGLNRGSWTPQEDMRLVAYIQKHGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDLRRGNFTAEEEETVIKLHGLLGNKWSKIAASLPGRTDNEIKNVWNTHLKKKASPKKKDHQQQEKPSAAKNNAANGDSGPSSSSASSSTTSNVIEQCDTSNDPEMIDVSDMLLEALKDKAAFPEAAPMSMSSCSSSSSLTTTSAGGGVEELLELPEIDMDENIWSIIDAADDAASRPQGDVTVPCAAIAAGQGEEEGKEWWLEDLEKELGLWGPTEEFQAFVGPIDQIAYAGPLCGTEGDPVCSYFQSRPTSPTSNSA* >Brasy2G065100.1.p pacid=40065160 transcript=Brasy2G065100.1 locus=Brasy2G065100 ID=Brasy2G065100.1.v1.1 annot-version=v1.1 MRLCPSSSAIRTRCFAAPPPPACPRFGARRLAAPPSPACPRFGARRLAAPPPPSRPRRETRQLAAPPPPARPRRSARQLVRGVQPASLLPPPPARPRRLAAPLAPSRFLCPLGLRIILTV* >Brasy2G118700.1.p pacid=40065161 transcript=Brasy2G118700.1 locus=Brasy2G118700 ID=Brasy2G118700.1.v1.1 annot-version=v1.1 MKQPEAVAVADARKAVAAEAAEAVMEVDDAGSAINDDDMCAEEPDAEEVEMLEQEEEAAAALEAEEEAKGGGEESAETAGARKRVARLTTERKVDASEDHFVGEPVPDDEARQRWPERYRTKDSDTLVGRSAGEEDISARCHYRSACVDDAIFHLDDDVYVKAGPDEENYIGRISEFFEGIDHGSYFTCRWFFRTADTVISPKLLMVHDHKHDHKRVFLSEEKNDNMIESIISKVNIIYVYPNMTPQGKAQVISTCDFYYDMSYSVEYSTFANMPSENDGASGSEATSNISCDDGNSSKENPVSDLAAASDAQMETATLLDLYSGCGAMSTGLCLGAALSGVKLNTRWAVDMNTYACNSLKHNHPSTQVRNEKAEDFLSLLRQWEALCEKYVTHKNSSLGSDLAQTSMDSEDDESEPLPEDTYEVEKLLDICYGDPNSTGEIGLFFKVRWKKYDSSHDTWEPIDGLSDSPECIKEFVESGYRESILPLPDSVDAICGGPPCQGISGFNRFRKHNDPLKDEKNKQLTVFMDIVNYLRPKYVLMENVVDIVKFADGFLGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVMRGVVPNAFSQCLVAYDETEDKHLKRALVLGDAISDLPKVGNYQPYEVMEHCINPKTEFQRYIRLNRKDMKDDSFGDAAPQEVQLFDHQPLELNEDDYERVQQIPVKKGANFRDLTGVQVREDNTVEFVPDMPRVLLSSGKPLVPDYAMTYIKGKSLKPFGRLWWDEIVPTVVTRAEPHNQIILHPTQNRVMTIRENARLQGFPDYYRLFGPIKQKYIQVGNAVAVPVARALGYSLGQAYRREFDGDQPLFKLPESFIPTDQATVTRLSVGILGGIVSIMDDGPLGSGPLNHGPLGLLGGLGSPASGDSDSSDGSSSGSTSSPPSPPTPSSPESSSTPSGPPPESSSGASPSPPSPPLSPPPAPPAGGGSPPLQVSPPGGSNAIPSPEAPKHGGGGSSSEGGSSKGGSGGRGKSGSSSRDGSAPPVAAVVVGVVIGILAFGLLLAIAACVCCARRKKKPRHPPHMNMPYYTDQNGNVYYANSMPKWQNSGAVGGEMGWQPQYSPGQGPPLSEEMMSGTQSHGTGGTPPPPSPAMALGFGAQSSFTYDELAAATGGFAQANLLGQGGFGFVYKGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLERHLHGKGVSAMNWPRRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMMLELITGRRPVDTTNHMEDSLVDWARPLLAQALSEGGSFDGLIDPRLENRYDRSEMERMAAAAAAAVRHSAKRRPKMKQIVRALEGDASLDDLHDGVKPGQSMMFSSGSEGGSGGNYASDINRLRQIAFESSEEYSNEYSESGDTARRHH* >Brasy2G327200.1.p pacid=40065162 transcript=Brasy2G327200.1 locus=Brasy2G327200 ID=Brasy2G327200.1.v1.1 annot-version=v1.1 MATITTQLRSALLSAAASSSSSSPRRSGRRAPSSVRCDASPPAASASASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEFTSDVIKTLKDNGNEYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLEDMGVKDIPINAGIKDFDVIEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMVEAMYVCDYILGGQLDGSSSTREEFLEKFKKAVSPGFDPDVDLEKVGIANQTTMLKGETEEIGKLVEKTMMRKFGVENANEHFVAFNTICDATQERQDAMYQLVKDKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNRISYKLNHGELIETENWLPEGPITIGVTSGASTPDKVVEDALHKVFEIKRQEILQVA* >Brasy2G341400.1.p pacid=40065163 transcript=Brasy2G341400.1 locus=Brasy2G341400 ID=Brasy2G341400.1.v1.1 annot-version=v1.1 MEINLKLLQKVRRRLLPLLRRHRMALLTAPAVFAALLLFWSAALGDYAAPLYKDPAQPVEARVADLLGKMTLAEKIGQMTQIERLVATPDVLRNYFIGSLLSGGGSVPRKGATAAEWVAMVNDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDPDLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSDDPKIVQRMTELIPGLQGDVPKDFTAGMPYVAGKNKVAACAKHFVGDGGTVNGINENNTVINRDGLMSIHMPAYHNAMQKGVSTVMISYSSWNGVKMHANQDLITGYLKNTLNFKGFVISDWEGIDRITTPAGSNYPYSVNASISAGLDMIMVPNNYQSFISILTNFVNTGVIPMSRIDDAVTRILRVKFTMGLFEYPYADASLADQLGKQEHRDLAREAVRKSLVLLKNDDVSSGKPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKAAVDPGTQVVFAENPSAEFVKGGGFSYAIVAVGEHPYTETKGDNLNLTIPEPGVSTVEAVCGAVPCATVLISGRPVVVQPLLAASKALVAAWLPGSEGLGITDALFGDYGFSGKLPRNWFRSVDQLPMNAGDAHYDPLFGLGYGLTTKGAKDY* >Brasy2G341400.2.p pacid=40065164 transcript=Brasy2G341400.2 locus=Brasy2G341400 ID=Brasy2G341400.2.v1.1 annot-version=v1.1 MALLTAPAVFAALLLFWSAALGDYAAPLYKDPAQPVEARVADLLGKMTLAEKIGQMTQIERLVATPDVLRNYFIGSLLSGGGSVPRKGATAAEWVAMVNDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDPDLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSDDPKIVQRMTELIPGLQGDVPKDFTAGMPYVAGKNKVAACAKHFVGDGGTVNGINENNTVINRDGLMSIHMPAYHNAMQKGVSTVMISYSSWNGVKMHANQDLITGYLKNTLNFKGFVISDWEGIDRITTPAGSNYPYSVNASISAGLDMIMVPNNYQSFISILTNFVNTGVIPMSRIDDAVTRILRVKFTMGLFEYPYADASLADQLGKQEHRDLAREAVRKSLVLLKNDDVSSGKPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKAAVDPGTQVVFAENPSAEFVKGGGFSYAIVAVGEHPYTETKGDNLNLTIPEPGVSTVEAVCGAVPCATVLISGRPVVVQPLLAASKALVAAWLPGSEGLGITDALFGDYGFSGKLPRNWFRSVDQLPMNAGDAHYDPLFGLGYGLTTKGAKDY* >Brasy2G341400.3.p pacid=40065165 transcript=Brasy2G341400.3 locus=Brasy2G341400 ID=Brasy2G341400.3.v1.1 annot-version=v1.1 MALLTAPAVFAALLLFWSAALGDYAAPLYKDPAQPVEARVADLLGKMTLAEKIGQMTQIERLVATPDVLRNYFIGSLLSGGGSVPRKGATAAEWVAMVNDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDPDLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSDDPKIVQRMTELIPGLQGDVPKDFTAGMPYVAGKNKVAACAKHFVGDGGTVNGINENNTVINRDGLMSIHMPAYHNAMQKGVSTVMISYSSWNGVKMHANQDLITGYLKNTLNFKGFVISDWEGIDRITTPAGSNYPYSVNASISAGLDMIMVPNNYQSFISILTNFVNTGVIPMSRIDDAVTRILRVKFTMGLFEYPYADASLADQLGKQEHRDLAREAVRKSLVLLKNDDVSSGKPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKAAVDPGTQVVFAENPSAEFVKGGGFSYAIVAVGEHPYTETKGDNLNLTIPEPGVSTVEAVCGAVPCATVLISGRPVVVQPLLAASKALVAAWLPGSEGLGITDALFGDYGFSGKLPRNWFRSVDQLPMNAGDAHYDPLFGLGYGLTTKGAKDY* >Brasy2G261200.1.p pacid=40065166 transcript=Brasy2G261200.1 locus=Brasy2G261200 ID=Brasy2G261200.1.v1.1 annot-version=v1.1 MAAPTAASSSPPKPPNAAMLQPAPYLPDSTPAPNSSSSSSVSSASSSSTASNSTVADGSRSSTFSVDDSAATATPASSPPRPHRAGEVAWLPIRAASASAPLGPRDFTLVRRVGAGDIGTVYLCRLESEGSNSKSSAYAMKVVDRRALAKKGKLGRADAEKRVLRRLDHPFLPTMFADFDAGAGTGTDYSCVVMEFCPGGDLHSLRHRMPGRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRGDGHIMLTDFDLSLESVSSPALDDDDDEEAAGMPIPACFPEVHLRRLMKWRRRAAPPRPRPRPRFVAEPVDARSSSFVGTHEYVAPEVASGGGHGASVDWWAYGVFLYELLYGRTPFVGDTNEATLRNIVRRPLQCPPLLVGSQPHSAEAAAARDLIARLLDKDPRTRLGSRRGAADVKAHPFFRGLNFALLRSSTPPVVPPPAALHQHCAAKVSSPDVQQLFDQF* >Brasy2G179700.1.p pacid=40065167 transcript=Brasy2G179700.1 locus=Brasy2G179700 ID=Brasy2G179700.1.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.19.p pacid=40065168 transcript=Brasy2G179700.19 locus=Brasy2G179700 ID=Brasy2G179700.19.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.13.p pacid=40065169 transcript=Brasy2G179700.13 locus=Brasy2G179700 ID=Brasy2G179700.13.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.2.p pacid=40065170 transcript=Brasy2G179700.2 locus=Brasy2G179700 ID=Brasy2G179700.2.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.3.p pacid=40065171 transcript=Brasy2G179700.3 locus=Brasy2G179700 ID=Brasy2G179700.3.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.6.p pacid=40065172 transcript=Brasy2G179700.6 locus=Brasy2G179700 ID=Brasy2G179700.6.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.14.p pacid=40065173 transcript=Brasy2G179700.14 locus=Brasy2G179700 ID=Brasy2G179700.14.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.4.p pacid=40065174 transcript=Brasy2G179700.4 locus=Brasy2G179700 ID=Brasy2G179700.4.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.7.p pacid=40065175 transcript=Brasy2G179700.7 locus=Brasy2G179700 ID=Brasy2G179700.7.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.8.p pacid=40065176 transcript=Brasy2G179700.8 locus=Brasy2G179700 ID=Brasy2G179700.8.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.16.p pacid=40065177 transcript=Brasy2G179700.16 locus=Brasy2G179700 ID=Brasy2G179700.16.v1.1 annot-version=v1.1 MIKLLFHYGASANLRTAGANVIEGLLPLHVAVKNTCLHKFLDDSMLPDKENRDYVYRLIHLLCLPEMKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.17.p pacid=40065178 transcript=Brasy2G179700.17 locus=Brasy2G179700 ID=Brasy2G179700.17.v1.1 annot-version=v1.1 MIKLLFHYGASANLRTAGANVIEGLLPLHVAVKNTCLHKFLDDSMLPDKENRDYVYRLIHLLCLPEMKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.15.p pacid=40065179 transcript=Brasy2G179700.15 locus=Brasy2G179700 ID=Brasy2G179700.15.v1.1 annot-version=v1.1 MIKLLFHYGASANLRTAGANVIEGLLPLHVAVKNTCLHKFLDDSMLPDKENRDYVYRLIHLLCLPEMKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.18.p pacid=40065180 transcript=Brasy2G179700.18 locus=Brasy2G179700 ID=Brasy2G179700.18.v1.1 annot-version=v1.1 MIKLLFHYGASANLRTAGANVIEGLLPLHVAVKNTCLHKFLDDSMLPDKENRDYVYRLIHLLCLPEMKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKGARKKQPRGWKLNYARRSYFLYRNSVLQSKFYENAYPMVELESIRETLEIRKKSAAEGSSAVPNNNLALLGRVPQLTSNHQSRRLFGTAASAVLKSLKHA* >Brasy2G179700.9.p pacid=40065181 transcript=Brasy2G179700.9 locus=Brasy2G179700 ID=Brasy2G179700.9.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKISHGLNILWYSVLHLDIVTHKCLLA* >Brasy2G179700.10.p pacid=40065182 transcript=Brasy2G179700.10 locus=Brasy2G179700 ID=Brasy2G179700.10.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKISHGLNILWYSVLHLDIVTHKCLLA* >Brasy2G179700.11.p pacid=40065183 transcript=Brasy2G179700.11 locus=Brasy2G179700 ID=Brasy2G179700.11.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKISHGLNILWYSVLHLDIVTHKCLLA* >Brasy2G179700.5.p pacid=40065184 transcript=Brasy2G179700.5 locus=Brasy2G179700 ID=Brasy2G179700.5.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKISHGLNILWYSVLHLDIVTHKCLLA* >Brasy2G179700.12.p pacid=40065185 transcript=Brasy2G179700.12 locus=Brasy2G179700 ID=Brasy2G179700.12.v1.1 annot-version=v1.1 MEIMHKKKTSETASDEDNVNAKDVSRTEENDPWDPPYPPCVPGPCENLPGHIKLIKEWMKKKQAFLAASRATHTIMPDRTPEKIFLDTVRLIAERTDDILAELWNYMKDGKLTETAVLLLAAQKKIRVGSSFKRNANSQPDGFSIILNRCTEQIVAIDLEMAQNRRRKKQLETKKKLCRLTLLLVFAVSEAGEALDAYVRAHPEVPHAMQISHVEVLEHVSSILKNYGFFSYRRTHQHWKPVLLVHFFLRVCPYERHRHLSMLSDEELPVKHDDDGHATDEKISHGLNILWYSVLHLDIVTHKCLLA* >Brasy2G256800.1.p pacid=40065186 transcript=Brasy2G256800.1 locus=Brasy2G256800 ID=Brasy2G256800.1.v1.1 annot-version=v1.1 MNPVICLPLRASGATPPLPSRCRAASPSCPLAPSLVFLLVRAPPPQEFQYTQQIVRQNYMQQMVVSAFAAISCSSYRKTLSGLNAHQSRRWHRRCISSQIIRSVQKPAKLQYRKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDQGINMLDTAEIYPIPPKKETQGRSDLYLGRWMQSKPRDQVILATKVSGYSDRTFLRDNAEIVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVALYGEFSYNSTQWRTSVPVEDQLKALQELIDEGKVRHVGIANETSYGVMQFVQAAKLHGLPKIVSIQNGYSLLVRCSFEVDLVEVCHPNNCNIGLLAYSPLASGVLSGKYLDANSGNADRFRLNLFPGYMQRYNAPLAKEATEEYVKLAKKHGLTPIQLALGFVRDRPFTASTIIGATSMDQLKENIAAFTGAPRPLPAQVLDDIENIFNRYRDPAVL* >Brasy2G040100.1.p pacid=40065187 transcript=Brasy2G040100.1 locus=Brasy2G040100 ID=Brasy2G040100.1.v1.1 annot-version=v1.1 MGSPLGGWPCYNPQNFSQLAPADPSAEPSNVTPVTYIAAHRTDPPPNQVITTETKNILLRHFYQQSESKVRQKRAAPENLAPHNGKQPRGPFAGGGSLTSTRS* >Brasy2G418900.1.p pacid=40065188 transcript=Brasy2G418900.1 locus=Brasy2G418900 ID=Brasy2G418900.1.v1.1 annot-version=v1.1 MKLVPREAEKLALHSAGFLSQKRLARGLRLNYTEAVALIASQILEFVRDGDKSVTDLMDLGKQMLGRRQVLPAVPHILDTVQVEGTFMDGTKLITVHDPISSDDGNLELALHGSYLPVPSHEIFSGSDADDSPGEVHFCSGRIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLIFDRKKAYGMRLNIPAGTAVRFEPGDSKRVTLVSIGGHKVIRGGNGIADGAVNSSQLNEVIKKVTENGFGHEDYPDASEGLIGDGTLDCSIDHEKYCSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECLFGGGKVLRDGMGQSAGYPASACLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHSNMIVGVNTEVIAAQGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDEIPINMGFTGKGNTAKPDGLPEIIKAGAMGLKLHEDWGSTPAAINNCLCVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVIIRTWQTANKMKVQRGRLPGSDDSDPSKDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVLWKPSFFGAKPELIIKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEAGVASEYKLAKRVEAVGGVRGLTKLDMKLNDALPKIEVDPETYTVSADGEVLTCQPATTVPLSRNYFLF* >Brasy2G418900.2.p pacid=40065189 transcript=Brasy2G418900.2 locus=Brasy2G418900 ID=Brasy2G418900.2.v1.1 annot-version=v1.1 MDLGKQMLGRRQVLPAVPHILDTVQVEGTFMDGTKLITVHDPISSDDGNLELALHGSYLPVPSHEIFSGSDADDSPGEVHFCSGRIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLIFDRKKAYGMRLNIPAGTAVRFEPGDSKRVTLVSIGGHKVIRGGNGIADGAVNSSQLNEVIKKVTENGFGHEDYPDASEGLIGDGTLDCSIDHEKYCSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECLFGGGKVLRDGMGQSAGYPASACLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHSNMIVGVNTEVIAAQGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDEIPINMGFTGKGNTAKPDGLPEIIKAGAMGLKLHEDWGSTPAAINNCLCVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVIIRTWQTANKMKVQRGRLPGSDDSDPSKDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVLWKPSFFGAKPELIIKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEAGVASEYKLAKRVEAVGGVRGLTKLDMKLNDALPKIEVDPETYTVSADGEVLTCQPATTVPLSRNYFLF* >Brasy2G418900.3.p pacid=40065190 transcript=Brasy2G418900.3 locus=Brasy2G418900 ID=Brasy2G418900.3.v1.1 annot-version=v1.1 MDLGKQMLGRRQVLPAVPHILDTVQVEGTFMDGTKLITVHDPISSDDGNLELALHGSYLPVPSHEIFSGSDADDSPGEVHFCSGRIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLIFDRKKAYGMRLNIPAGTAVRFEPGDSKRVTLVSIGGHKVIRGGNGIADGAVNSSQLNEVIKKVTENGFGHEDYPDASEGLIGDGTLDCSIDHEKYCSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECLFGGGKVLRDGMGQSAGYPASACLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHSNMIVGVNTEVIAAQGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDEIPINMGFTGKGNTAKPDGLPEIIKAGAMGLKLHEDWGSTPAAINNCLCVAEAFDIQVNIHTDTLNESGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVIIRTWQTANKMKVQRGRLPGSDDSDPSKDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVLWKPSFFGAKPELIIKGGAIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEAGVASEYKLAKRVEAVGGVRGLTKLDMKLNDALPKIEVDPETYTVSADGEVLTCQPATTVPLSRNYFLF* >Brasy2G248000.1.p pacid=40065191 transcript=Brasy2G248000.1 locus=Brasy2G248000 ID=Brasy2G248000.1.v1.1 annot-version=v1.1 MEGRGGGGGGRSSGKGAAGKMMSLQEFVSSMSPLIDLEKAAEISSESEANSKRLERRGCVMPNLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGENSPLCSKDAVKFSPFNKNLDDSQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGDKITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLENVNRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVLASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCIVCDAETVSNDKFLKRLVEYFEENGEYLSASEYESS* >Brasy2G248000.2.p pacid=40065192 transcript=Brasy2G248000.2 locus=Brasy2G248000 ID=Brasy2G248000.2.v1.1 annot-version=v1.1 MEGRGGGGGGRSSGKGAAGKMMSLQEFVSSMSPLIDLEKAAEISSESEANSKRLERRGCVMPNLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGENSPLCSKDAVKFSPFNKNLDDSQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGDKITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLENVNRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVLASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCIVCDAETVSNDKFLKRLVEYFEENGEYLSASEYESS* >Brasy2G248000.3.p pacid=40065193 transcript=Brasy2G248000.3 locus=Brasy2G248000 ID=Brasy2G248000.3.v1.1 annot-version=v1.1 MGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGENSPLCSKDAVKFSPFNKNLDDSQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGDKITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLENVNRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVLASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCIVCDAETVSNDKFLKRLVEYFEENGEYLSASEYESS* >Brasy2G248000.4.p pacid=40065194 transcript=Brasy2G248000.4 locus=Brasy2G248000 ID=Brasy2G248000.4.v1.1 annot-version=v1.1 MGKTLLEFQPNKGDVLPPHKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGENSPLCSKDAVKFSPFNKNLDDSQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGDKITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLENVNRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVLASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCIVCDAETVSNDKFLKRLVEYFEENGEYLSASEYESS* >Brasy2G206800.1.p pacid=40065195 transcript=Brasy2G206800.1 locus=Brasy2G206800 ID=Brasy2G206800.1.v1.1 annot-version=v1.1 MHIGFAVKQDPALAREVVAAVKADAVGALNGFAVAVLLSVARVRRFNDGAVGVLRDAATTSRRDYRMSRRCKWLPDCLKEECVKATHSVEKALLKAVDESIGGREHVVPSIVQVGFLLLEASDGDRGEEDGSDEGVMSTEEVGVNMLKSLFEIHQMARTEIIEQCKFRILSAKPQQSAPVLRLIGCLVQSHPFPMLEYVAHLKELLDFFAFMNDKVSTGLINCILPLTKFNRDLKDYIILVVRKAMFKREDAVRIAATNAIVELIVTESKYRKNDANPFQDSSSQASSSQQPEIHREVCGDLFQELSGLLRRCLSQQASVKEALYKGLIRIVTFDPVVADNVLDFLWPHFLNYYTEDAECPLKMNSCFKVESATVCIVEPLDCLLSCVSCILRVQQSSKCPRPRDAYWKCFGFAPSQDNEVGRSSSRDLFVKGLSNLQKYLRKCLTEDQREQTQEAGSVSSTLEMAHCYNIAMPGIIEVFVDFAASKLEEGADEPKEKLEKEILELVDAHSAFEKKTSKSREKIVRRRGDPIGSIDKQTNESKESSNASWLKLHEKKGKFVNSSLYELAVMCVKHCSADKYDKCSQRPSQSKLYQCSSLLSFVLKACLEMFKSHTAKGSEPTIGNLRTTLYEDVKRLGQPIVKLVWWLILDSEQENGGFKKNMTQGTRTVQNKRDQLYLALRCFKELFKLSVSEDRSGDIIDLLISSAPSNLEDTMNADQLPDNDATMTEDPEKRGARVFLNILKMLYARALSQSLLLESEALTELIFGISRKLHPEQRHLIGHWAAGLCRQKTVQSPSAAQAVVKLAVDLMTAPDDMILVHEMTTELKKLIASGDEDSRDSSEAFLIVNIKTKNSLAALFLHMVESSLIELDWGLGKLKAMLTSGYGSSNVDDDQPAEERMQKLYLEEALYTRSTSVVHVLSSFTHMSLKDSQAEQFLKLTAKFYKLLARMSKSQIAPKGYRQFIPGLKFQKLAEVTCRMLTAPLYDFVCSLQENQQTYKKVVLAKIKRESKCIPDLIFQVEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIKPKDKAGGEECEGDTTTASAASPESDQNEGVEDCADAPAETNADEDLQASAQCDAVQESESDEEEEILTRRKRSKTNQIVQDSESDQEAEGE* >Brasy2G206800.2.p pacid=40065196 transcript=Brasy2G206800.2 locus=Brasy2G206800 ID=Brasy2G206800.2.v1.1 annot-version=v1.1 MHIGFAVKQDPALAREVVAAVKADAVGALNGFAVAVLLSVARVRRFNDGAVGVLRDAATTSRRDYRMSRRCKWLPDCLKEECVKATHSVEKALLKAVDESIGGREHVVPSIVQVGFLLLEASDGDRGEEDGSDEGVMSTEEVGVNMLKSLFEIHQMARTEIIEQCKFRILSAKPQQSAPVLRLIGCLVQSHPFPMLEYVAHLKELLDFFAFMNDKVSTGLINCILPLTKFNRDLKAMFKREDAVRIAATNAIVELIVTESKYRKNDANPFQDSSSQASSSQQPEIHREVCGDLFQELSGLLRRCLSQQASVKEALYKGLIRIVTFDPVVADNVLDFLWPHFLNYYTEDAECPLKMNSCFKVESATVCIVEPLDCLLSCVSCILRVQQSSKCPRPRDAYWKCFGFAPSQDNEVGRSSSRDLFVKGLSNLQKYLRKCLTEDQREQTQEAGSVSSTLEMAHCYNIAMPGIIEVFVDFAASKLEEGADEPKEKLEKEILELVDAHSAFEKKTSKSREKIVRRRGDPIGSIDKQTNESKESSNASWLKLHEKKGKFVNSSLYELAVMCVKHCSADKYDKCSQRPSQSKLYQCSSLLSFVLKACLEMFKSHTAKGSEPTIGNLRTTLYEDVKRLGQPIVKLVWWLILDSEQENGGFKKNMTQGTRTVQNKRDQLYLALRCFKELFKLSVSEDRSGDIIDLLISSAPSNLEDTMNADQLPDNDATMTEDPEKRGARVFLNILKMLYARALSQSLLLESEALTELIFGISRKLHPEQRHLIGHWAAGLCRQKTVQSPSAAQAVVKLAVDLMTAPDDMILVHEMTTELKKLIASGDEDSRDSSEAFLIVNIKTKNSLAALFLHMVESSLIELDWGLGKLKAMLTSGYGSSNVDDDQPAEERMQKLYLEEALYTRSTSVVHVLSSFTHMSLKDSQAEQFLKLTAKFYKLLARMSKSQIAPKGYRQFIPGLKFQKLAEVTCRMLTAPLYDFVCSLQENQQTYKKVVLAKIKRESKCIPDLIFQVEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIKPKDKAGGEECEGDTTTASAASPESDQNEGVEDCADAPAETNADEDLQASAQCDAVQESESDEEEEILTRRKRSKTNQIVQDSESDQEAEGE* >Brasy2G206800.3.p pacid=40065197 transcript=Brasy2G206800.3 locus=Brasy2G206800 ID=Brasy2G206800.3.v1.1 annot-version=v1.1 MHIGFAVKQDPALAREVVAAVKADAVGALNGFAVAVLLSVARVRRFNDGAVGVLRDAATTSRRDYRMSRRCKWLPDCLKEECVKATHSVEKALLKAVDESIGGREHVVPSIVQVGFLLLEASDGDRGEEDGSDEGVMSTEEVGVNMLKSLFEIHQMARTEIIEQCKFRILSAKPQQSAPVLRLIGCLVQSHPFPMLEYVAHLKELLDFFAFMNDKVSTGLINCILPLTKFNRDLKDYIILVVRKAMFKREDAVRIAATNAIVELIVTESKYRKNDANPFQDSSSQASSSQQPEIHREVCGDLFQELSGLLRRCLSQQASVKEALYKGLIRIVTFDPVVADNVLDFLWPHFLNYYTEDAECPLKMNSCFKVESATVCIVEPLDCLLSCVSCILRVQQSSKCPRPRDAYWKCFGFAPSQDNEVGRSSSRDLFVKGLSNLQKYLRKCLTEDQREQTQEAGSVSSTLEMAHCYNIAMPGIIEVFVDFAASKLEEGADEPKEKLEKEILELVDAHSAFEKKTSKSREKIVRRRGDPIGSIDKQTNESKESSNASWLKLHEKKGKFVNSSLYELAVMCVKHCSADKYDKCSQRPSQSKLYQCSSLLSFVLKACLEMFKSHTAKGSEPTIGNLRTTLYEDVKRLGQPIVKLVWWLILDSEQENGGFKKNMTQGTRTVQNKRDQLYLALRCFKELFKLSVSEDRSGDIIDLLISSAPSNLEDTMNADQLPDNDATMTEDPEKRGARVFLNILKMLYARALSQSLLLESEALTELIFGISRKLHPEQRHLIGHWAAGLCRQKTVQSPSAAQAVVKLAVDLMTAPDDMILVHEMTTELKKLIASGDEDSRDSSEAFLIVNIKTKNSLAALFLHMVESSLIELDWGLGKLKAMLTSGYGSSNVDDDQPAEERMQKLYLEEALYTRSTSVVHVLSSFTHMSLKGRL* >Brasy2G206800.4.p pacid=40065198 transcript=Brasy2G206800.4 locus=Brasy2G206800 ID=Brasy2G206800.4.v1.1 annot-version=v1.1 MHIGFAVKQDPALAREVVAAVKADAVGALNGFAVAVLLSVARVRRFNDGAVGVLRDAATTSRRDYRMSRRCKWLPDCLKEECVKATHSVEKALLKAVDESIGGREHVVPSIVQVGFLLLEASDGDRGEEDGSDEGVMSTEEVGVNMLKSLFEIHQMARTEIIEQCKFRILSAKPQQSAPVLRLIGCLVQSHPFPMLEYVAHLKELLDFFAFMNDKVSTGLINCILPLTKFNRDLKDYIILVVRKAMFKREDAVRIAATNAIVELIVTESKYRKNDANPFQDSSSQASSSQQPEIHREVCGDLFQELSGLLRRCLSQQASVKEALYKGLIRIVTFDPVVADNVLDFLWPHFLNYYTEQSSKCPRPRDAYWKCFGFAPSQDNEVGRSSSRDLFVKGLSNLQKYLRKCLTEDQREQTQEAGSVSSTLEMAHCYNIAMPGIIEVFVDFAASKLEEGADEPKEKLEKEILELVDAHSAFEKKTSKSREKIVRRRGDPIGSIDKQTNESKESSNASWLKLHEKKGKFVNSSLYELAVMCVKHCSADKYDKCSQRPSQSKLYQCSSLLSFVLKACLEMFKSHTAKGSEPTIGNLRTTLYEDVKRLGQPIVKLVWWLILDSEQENGGFKKNMTQGTRTVQNKRDQLYLALRCFKELFKLSVSEDRSGDIIDLLISSAPSNLEDTMNADQLPDNDATMTEDPEKRGARVFLNILKMLYARALSQSLLLESEALTELIFGISRKLHPEQRHLIGHWAAGLCRQKTVQSPSAAQAVVKLAVDLMTAPDDMILVHEMTTELKKLIASGDEDSRDSSEAFLIVNIKTKNSLAALFLHMVESSLIELDWGLGKLKAMLTSGYGSSNVDDDQPAEERMQKLYLEEALYTRSTSVVHVLSSFTHMSLKGRL* >Brasy2G366800.1.p pacid=40065199 transcript=Brasy2G366800.1 locus=Brasy2G366800 ID=Brasy2G366800.1.v1.1 annot-version=v1.1 MSAAAANVAVIGAGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G366800.4.p pacid=40065200 transcript=Brasy2G366800.4 locus=Brasy2G366800 ID=Brasy2G366800.4.v1.1 annot-version=v1.1 MSAAAANVAVIGAGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G366800.5.p pacid=40065201 transcript=Brasy2G366800.5 locus=Brasy2G366800 ID=Brasy2G366800.5.v1.1 annot-version=v1.1 MSAAAANVAVIGAGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G366800.2.p pacid=40065202 transcript=Brasy2G366800.2 locus=Brasy2G366800 ID=Brasy2G366800.2.v1.1 annot-version=v1.1 MDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G366800.3.p pacid=40065203 transcript=Brasy2G366800.3 locus=Brasy2G366800 ID=Brasy2G366800.3.v1.1 annot-version=v1.1 MDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G366800.6.p pacid=40065204 transcript=Brasy2G366800.6 locus=Brasy2G366800 ID=Brasy2G366800.6.v1.1 annot-version=v1.1 MDDGTELRFDHGAPYFTVSDDEVARVVSGWEARGLVAEWKAMFACFDREAGKFRDFDKEGTTKKYVGVPGMNSICKSLCLEDGVVARFGVTVGKMDWLQDGSSWSLTSLDGKDLGNFDYVVATDKNVASHKFSGLTGRPPPLDLSVFPNLSTMFQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSGSLSWAFCDSSKPGRVCLPPNSQSWVLRSTAEYASKVINNMGPRKPSAEALAKVAEDLFNEFQATGLNIPQPIFIKAHRWGSAFPAISIGGDDKCVWDKSMKLAVCGDFCTSPSVEGAVISGIRGASKILGCLNLPSGL* >Brasy2G187000.1.p pacid=40065205 transcript=Brasy2G187000.1 locus=Brasy2G187000 ID=Brasy2G187000.1.v1.1 annot-version=v1.1 MSVPSSAMAEGHAGGQQAAAERRAKQSPLFKVPIIPVVLFIVAPCALFLFTSDLATLPRIRIEYASTRNDAAPAAATPPPAAPVAAPAVRAISKIEEQPREEEQEQRLPPLRQLTDRPYSLGPSVPGYDARRAAWLAAHPGFPASVAPGRPRVLVVTGSAPRACKDPAGDHLLLRAFKNKVDYCRVHGFDVFYSNAVLDGEMSGFWTKLPLLRSLMVAHPETELLWWVDSDAIFTDMLFEPPWDKYAGHNLVLHGWDEAVYGFKDWLGVNAGSFVIRNCQWSLDLLDAWARMGPRGPVRDKYGKIFGGALSNRGAYEADDQSAIVYLLVTEREKWGGKVFLESSYLLHGFWVSIVDKYEELRSKGRPGLGDERWPLVTHFVGCKPCSGEGATYEAARCRRGMERALNFADDQILKLYGFQHESLNTTAVRRVRNEAGRPLDADDEEIARLLHPPFRAAKHL* >Brasy2G262600.1.p pacid=40065206 transcript=Brasy2G262600.1 locus=Brasy2G262600 ID=Brasy2G262600.1.v1.1 annot-version=v1.1 MQTLTTPSFVSIQRHRPRPTGTRASNSARFSARAISSGAAARAPAHLATTPFDAPPAKPAIAFPKAPAADSARRDEKKLNFFQRAAAVALDAFEEGFVANVLEKPRGLSRTVDPAVQIAGNFAPVGETPPVRSLPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHALRIRNGAAETYASRFTDTERLRQERALGRPMFPKAIGELHGHSGIARLALFYARSACGLVDPSRGTGVANAGLVYFNGHLLAMSEDDIPYHVRVTDDGDLQTVGRYDFDGQLGCPMIAHPKLDPATGQLHALSYDVIKKPYLKYFYFAADGTKSADVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKCAADASEMVWVDVPDCFCFHLWNAWEEADTDEVVVIGSCMTPADSIFNDSDECLESVLTEIRLNTRTGESTRRPILAPSQQVNLEVGMVNSTLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFDYGPGRFGGEPCFVPMDPAAASPRGEDDGYILTFVHDERAGTSELLVVNAADMRLEATIQLPSRVPYGFHGTFVTASELEFQA* >Brasy2G316900.1.p pacid=40065207 transcript=Brasy2G316900.1 locus=Brasy2G316900 ID=Brasy2G316900.1.v1.1 annot-version=v1.1 MPEQTVASSMSKMLQTAAGVFFFFFAVVLSSAAAGGDAAVVEQTFVVTQVRMRHLCNDTLATVVNGQFPGPALEATEGDTVVVHVVNKSPHGITIHWHGVKQRLTCWADGAGMVTQCPIQPNTSFTYRFDVGDQKDLIKVDKNFSVGGSFDDNPAAAAINGKLGDLYNCSGITEDNFVLDVEPGKTYLLRLVNAALFSEYYFKVAGHKLTVVGSDANYVRPYTTDVVAVAAGETIDVLMVADAPPCQYYMAALANQPPPPDPQIPVFASRAVVRYTSISSRAQHMCGKEPLMPEMPDQHDTITTVYFHGNLTGLPGHPLLPQIRGHVHERLYLTLGKGSICRDRNKTSCKRGGNPESFEVAYINNVSFHLPEKIALLEARYHGKITNGNSSWNGGMPVEDLPSKPPRAFDFTDKALIPSVPGGKLEELEPTRKATMTRRFGYNTTVEVVFQSTATMQSDSNPMHLHGHDFFVLAHGLGNYDAKRDVKSYNLVDPPKKNTVQVPRLGWAAIRFVADNPGAWFMHCHFEFHIAMGMAAVFEVENGPMPETSLPPPPLDLPKCTKQRADVSFI* >Brasy2G267400.1.p pacid=40065208 transcript=Brasy2G267400.1 locus=Brasy2G267400 ID=Brasy2G267400.1.v1.1 annot-version=v1.1 MLAQLKMLADAMSQGYWARDAFQYRSLEETPMEVEVSSSARFKRSRSVHDGSPRQSKSRYLLELQGALERLENVVAHMTEFVVILGGCDRMVRRPYDAYLYIENLMFGRHTEKQELSNFLLQHNHLAAAPAVLPIIVAFGVGKKTLVAHVCNDERVRSRFSVILYLGEADFLRIAEDTSLVSENTLVVVDFVSDVDEKDWTKFYSAVARMDRGNKVVILSRHKKSERFGTVKPIFLNVLSYEEFSYLFKTLAFGSANQLEYPRLVRIADEFARELQSGWSLVTANLLADVIRRDLDDYFWLCILSKLRRVVERNFSMYGEHPKLLLERGHDIAVMDFVLHPVRPLPVICSSNNDVPMKKELSKVTFKELLVDSGVRPKVEFGQLTWESRLPPYTSFDHIVSSCVQDMPGYTPLSRRKRGEVSL* >Brasy2G267400.2.p pacid=40065209 transcript=Brasy2G267400.2 locus=Brasy2G267400 ID=Brasy2G267400.2.v1.1 annot-version=v1.1 MLAQLKMLADAMSQGYWARDAFQYRSLEETPMEVEVSSSARFKRSRSVHDGSPRQSKSRYLLELQGALERLENVVAHMTEFVVILGGCDRMVRRPYDAYLYIENLMFGRHTEKQELSNFLLQHNHLAAAPAVLPIIVAFGVGKKTLVAHVCNDERVRSRFSVILYLGEADFLRIAEDTSLVSENTLVVVDFVSDVDEKDWTKFYSAVARMDRGNKVVILSRHKKSERFGTVKPIFLNVLSYEEFSYLFKTLAFGSANQLEYPRLVRIADEFARELQSGWSLVTANLLADVIRRDLDDYFWLCILSKLRRVVERNFSMYGEHPKLLLERGHDIAVMDFVLHPVRPLPVICSSNNDVPMKKELSKVTFKELLVDSGVRPKVEFGQLTWESRLPPYTSFDHIVSSCVQDMPGYTPLSRRKRGEVSL* >Brasy2G267400.3.p pacid=40065210 transcript=Brasy2G267400.3 locus=Brasy2G267400 ID=Brasy2G267400.3.v1.1 annot-version=v1.1 MLAQLKMLADAMSQGYWARDAFQYRSLEETPMEVEVSSSARFKRSRSVHDGSPRQSKSRPYDAYLYIENLMFGRHTEKQELSNFLLQHNHLAAAPAVLPIIVAFGVGKKTLVAHVCNDERVRSRFSVILYLGEADFLRIAEDTSLVSENTLVVVDFVSDVDEKDWTKFYSAVARMDRGNKVVILSRHKKSERFGTVKPIFLNVLSYEEFSYLFKTLAFGSANQLEYPRLVRIADEFARELQSGWSLVTANLLADVIRRDLDDYFWLCILSKLRRVVERNFSMYGEHPKLLLERGHDIAVMDFVLHPVRPLPVICSSNNDVPMKKELSKVTFKELLVDSGVRPKVEFGQLTWESRLPPYTSFDHIVSSCVQDMPGYTPLSRRKRGEVSL* >Brasy2G311400.1.p pacid=40065211 transcript=Brasy2G311400.1 locus=Brasy2G311400 ID=Brasy2G311400.1.v1.1 annot-version=v1.1 MEPAVALPDDLLANVLWRLPPKTLAVARCVSRAWCAAVDSRGLLLPSLTCSCSWWPGGIFVNYVGYCRQHLLTRPGAPRVSAGAWTSCPTTAPGRGGAPAVTTSARPSKPSPSTTAAGGAPSETTATSSCSWTTAPTSPSSIRPGHYEVVSIPSVPEKPKPCAGEAEPEDPTGPWEERTFVRQGDAAGTVADLRLDHATCWQGALYVHCRGST* >Brasy2G371200.1.p pacid=40065212 transcript=Brasy2G371200.1 locus=Brasy2G371200 ID=Brasy2G371200.1.v1.1 annot-version=v1.1 MGNTCGVTLRSKYFSSFRGASQRHDPEYAPIAAAAADDPPGKRPSRPAAAGPDGSVAADDAPPPPAAAMRRGVLAPAELTANVLGHPTPSLHDQYLLGRKLGQGQFGTTYLCTHRATGVDYACKSIGKRKLITKEDVEDVRREIQIMHHLAGHRNIVAIKGAYEDQAYVHIVMELCAGGELFDRIIKRGHYSERKAAELTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKSYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGTIDFDCDPWPVISESAKDLIIRMLNPHPAERLTAHEVLCHPWICDQGVAPDRPLDPSVLSRIKQFSAMNKLKRMALRVIAESLSEEEIAGLKEMFEAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIIEADQDNDGRIDYGEFVAMMTKDNMGVGRRTMRNSLNISMTA* >Brasy2G074100.1.p pacid=40065213 transcript=Brasy2G074100.1 locus=Brasy2G074100 ID=Brasy2G074100.1.v1.1 annot-version=v1.1 MVESVSCYCRVDGGLRSVVNARKFVSGAKLCMQPDVKPNKRKSRSSRKERCRTQAPLLPGLPDDLAISCLMRVPRVEHPNLRLVCKRWSRLLSGNYYYSLRKKFGMAEEWVYVFKRDRDQKMSWHAFDPVHQLWKSLPPVPPEYSEATGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARTNKWHRAPDMLRKRHCFGSCVINNCLYVAGGECEGIHRTLRSAEVYNPNRNRWTCITEMSTGMVPLVSVVYDGKWFLKGVDSHQQVVSEVYLPTFNMWSSTGTEMVAGWRNPSISFNGRLYSVDCRDGCKLRVYDGDTGLWTRVIDSRRHLSSSRASEAAALVSLNGKLCIIRNNMSITLVDVSDPTTVIEIDSARMFESFVRKGQHRSFIANLWSTIAGRQWKAHIIQAQVLQV* >Brasy2G224600.1.p pacid=40065214 transcript=Brasy2G224600.1 locus=Brasy2G224600 ID=Brasy2G224600.1.v1.1 annot-version=v1.1 MTTQLVDKIMFIEYYYRNFFEVNPVIACCRLPVYHFVDVLPLSPSLCSEIQEMTQGNLLPAVGLGSRMTLLLGEEDVIQKTCLHLLCCCNECIFWCNGAIFLV* >Brasy2G478300.1.p pacid=40065215 transcript=Brasy2G478300.1 locus=Brasy2G478300 ID=Brasy2G478300.1.v1.1 annot-version=v1.1 MPQPPDPTMPPPRRPLPVDPNMPLPPPDLSEADRPQPVDFNVPPPPDLSEVAPEAQPREEESTDYIDTQQQQPVIIRKKKRRQQKEMKLGATKKRSGSNQETERRNKSHTNKDAGGSSVMQSSRRVLSPAAAARFFPVVRISPAARFSHLVRSSPAARFSPAVRSSSAARFSPAIRSSPAVVVKSGGVNVVSSTIQRPTRSTKRSQKRKRIDNTTGSQDRSPSPISPSQLIPSPTACPDDEPTRSSKRYQKRKRIDNTTGSQDRFPSPMSPSQLTPSPTACPVDEPAKKPRKLKSVVWKDFVTC* >Brasy2G136500.1.p pacid=40065216 transcript=Brasy2G136500.1 locus=Brasy2G136500 ID=Brasy2G136500.1.v1.1 annot-version=v1.1 MSTSSSFCEWTKSEDGVFHLKLTGEDDDGHHYLSTEGLEELRAALSEIRALAAAEPSRCRGLITSAYSGAAGSFCDGIDHARLRASMSRPVAAQARAISDALAAVAKELLAMPMPTACAATGRASSLGLALAFAHDDLAVLGSASYALAMVEDVGIAVQPHVAALVREKTDRWYTLTTLKTRWRDGEWMRKWHFADAAAATPDAVLREAQRLVDGWGGADGAVHADMRRQLYCESWKAVCAVVPDE* >Brasy2G171000.1.p pacid=40065217 transcript=Brasy2G171000.1 locus=Brasy2G171000 ID=Brasy2G171000.1.v1.1 annot-version=v1.1 MASATFLEVILAIILPPVGVFLRYGLGVEFWICLLLTILGYIPGIIYAVYVLVA* >Brasy2G139100.1.p pacid=40065218 transcript=Brasy2G139100.1 locus=Brasy2G139100 ID=Brasy2G139100.1.v1.1 annot-version=v1.1 LTPSARANKRTRNFTDKEDEVLVAAWLHASLDPIVGTEQKNATYWKRIHEEYEVHKPEGSDRNVSSLSHRWSVVKEQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIEIEERKMEMEIMNKDLSSLDDDQKKYYRMLRRDIIDRRSKRSI* >Brasy2G458000.1.p pacid=40065219 transcript=Brasy2G458000.1 locus=Brasy2G458000 ID=Brasy2G458000.1.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLAGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPGGQVKAWISLVRELAYDTEDVFDKFIHHLGKGGVRRGGFKEFLGKIALPLKKLGARRAIADQIDDLKDRIKQVKELKDSYKLDNIPCNAPRHTAVDPRLCTLFAEEAHLVGIDGPTDDLSKWIVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTAITKLRELLQEKRYLVIIDDIWSTSVWDAIKYAFPENNCSSRIIFTTRIVDVAKSCCLGRDNRMYEMEALSDLHSRRLFFNRIFGSENCCSDMLKEVSTEILKKCGGLPLAIISISSLLANKPAVKEEWEKVKRSIGSALENNRSLEGMSSILSLSYNNLPPNLKTCLLYLSAFPEDYEIDRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGKVRACRVHDMMLEIIVSKSAESNFMTVVGGGQTSLANRHGFIRRLSIQHFDRELASVLASEDLSHVRSLTVTSSGCINHLPSLAEFEALRVLDFEGCVILEYDMNGMDKLFQLKYLSHRRTYISKIPQGIVMLDDLETLDLRDTGVQELPSGIVRLIKLQHLLVEGRIKIPDRIGDMRNLRVMSSFNASSSSADALEELGSLTSLEELKVYFECEESKEYKRHEEMLLSSLCKLGSYKLRSLEISLHTYCSLEFLDSWSPLPLSLQIFRMCNHCYFKIVPKWIAPALASLIYLDIGLIALTEEGLLTLGELPALLNLNLWSKTGGEDRITVQGFPSLKQFGIFGIVASQITFVKGAMPKLGKLHVTFNVLVAKTYGCYLGIEHLTCLKEVTVWLYKEGATPSESKAAAAAIRNQAGAHPNHPTVCFYGGEPGEEDIKGAGDDEKKSREDGETDES* >Brasy2G458000.2.p pacid=40065220 transcript=Brasy2G458000.2 locus=Brasy2G458000 ID=Brasy2G458000.2.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLAGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPGGQVKAWISLVRELAYDTEDVFDKFIHHLGKGGVRRGGFKEFLGKIALPLKKLGARRAIADQIDDLKDRIKQVKELKDSYKLDNIPCNAPRHTAVDPRLCTLFAEEAHLVGIDGPTDDLSKWIVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTAITKLRELLQEKRYLVIIDDIWSTSVWDAIKYAFPENNCSSRIIFTTRIVDVAKSCCLGRDNRMYEMEALSDLHSRRLFFNRIFGSENCCSDMLKEVSTEILKKCGGLPLAIISISSLLANKPAVKEEWEKVKRSIGSALENNRSLEGMSSILSLSYNNLPPNLKTCLLYLSAFPEDYEIDRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGGGQTSLANRHGFIRRLSIQHFDRELASVLASEDLSHVRSLTVTSSGCINHLPSLAEFEALRVLDFEGCVILEYDMNGMDKLFQLKYLSHRRTYISKIPQGIVMLDDLETLDLRDTGVQELPSGIVRLIKLQHLLVEGRIKIPDRIGDMRNLRVMSSFNASSSSADALEELGSLTSLEELKVYFECEESKEYKRHEEMLLSSLCKLGSYKLRSLEISLHTYCSLEFLDSWSPLPLSLQIFRMCNHCYFKIVPKWIAPALASLIYLDIGLIALTEEGLLTLGELPALLNLNLWSKTGGEDRITVQGFPSLKQFGIFGIVASQITFVKGAMPKLGKLHVTFNVLVAKTYGCYLGIEHLTCLKEVTVWLYKEGATPSESKAAAAAIRNQAGAHPNHPTVCFYGGEPGEEDIKGAGDDEKKSREDGETDES* >Brasy2G458000.3.p pacid=40065221 transcript=Brasy2G458000.3 locus=Brasy2G458000 ID=Brasy2G458000.3.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLAGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPGGQVKAWISLVRELAYDTEDVFDKFIHHLGKGGVRRGGFKEFLGKIALPLKKLGARRAIADQIDDLKDRIKQVKELKDSYKLDNIPCNAPRHTAVDPRLCTLFAEEAHLVGIDGPTDDLSKWIVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTAITKLRELLQEKRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGKVRACRVHDMMLEIIVSKSAESNFMTVVGGGQTSLANRHGFIRRLSIQHFDRELASVLASEDLSHVRSLTVTSSGCINHLPSLAEFEALRVLDFEGCVILEYDMNGMDKLFQLKYLSHRRTYISKIPQGIVMLDDLETLDLRDTGVQELPSGIVRLIKLQHLLVEGRIKIPDRIGDMRNLRVMSSFNASSSSADALEELGSLTSLEELKVYFECEESKEYKRHEEMLLSSLCKLGSYKLRSLEISLHTYCSLEFLDSWSPLPLSLQIFRMCNHCYFKIVPKWIAPALASLIYLDIGLIALTEEGLLTLGELPALLNLNLWSKTGGEDRITVQGFPSLKQFGIFGIVASQITFVKGAMPKLGKLHVTFNVLVAKTYGCYLGIEHLTCLKEVTVWLYKEGATPSESKAAAAAIRNQAGAHPNHPTVCFYGGEPGEEDIKGAGDDEKKSREDGETDES* >Brasy2G458000.4.p pacid=40065222 transcript=Brasy2G458000.4 locus=Brasy2G458000 ID=Brasy2G458000.4.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLAGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPGGQVKAWISLVRELAYDTEDVFDKFIHHLGKGGVRRGGFKEFLGKIALPLKKLGARRAIADQIDDLKDRIKQVKELKDSYKLDNIPCNAPRHTAVDPRLCTLFAEEAHLVGIDGPTDDLSKWIVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTAITKLRELLQEKRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGGGQTSLANRHGFIRRLSIQHFDRELASVLASEDLSHVRSLTVTSSGCINHLPSLAEFEALRVLDFEGCVILEYDMNGMDKLFQLKYLSHRRTYISKIPQGIVMLDDLETLDLRDTGVQELPSGIVRLIKLQHLLVEGRIKIPDRIGDMRNLRVMSSFNASSSSADALEELGSLTSLEELKVYFECEESKEYKRHEEMLLSSLCKLGSYKLRSLEISLHTYCSLEFLDSWSPLPLSLQIFRMCNHCYFKIVPKWIAPALASLIYLDIGLIALTEEGLLTLGELPALLNLNLWSKTGGEDRITVQGFPSLKQFGIFGIVASQITFVKGAMPKLGKLHVTFNVLVAKTYGCYLGIEHLTCLKEVTVWLYKEGATPSESKAAAAAIRNQAGAHPNHPTVCFYGGEPGEEDIKGAGDDEKKSREDGETDES* >Brasy2G458000.5.p pacid=40065223 transcript=Brasy2G458000.5 locus=Brasy2G458000 ID=Brasy2G458000.5.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLAGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPGGQVKAWISLVRELAYDTEDVFDKFIHHLGKGGVRRGGFKEFLGKIALPLKKLGARRAIADQIDDLKDRIKQVKELKDSYKLDNIPCNAPRHTAVDPRLCTLFAEEAHLVGIDGPTDDLSKWIVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTAITKLRELLQEKRYLVIIDDIWSTSVWDAIKYAFPENNCSSRIIFTTRIVDVAKSCCLGRDNRMYEMEALSDLHSRRLFFNRIFGSENCCSDMLKEVSTEILKKCGGLPLAIISISSLLANKPAVKEEWEKVKRSIGSALENNRSLEGMSSILSLSYNNLPPNLKTCLLYLSAFPEDYEIDRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGKVVAKQVWQTVMVLFGDYQSSTLTESWHLCWQVKI* >Brasy2G156700.1.p pacid=40065224 transcript=Brasy2G156700.1 locus=Brasy2G156700 ID=Brasy2G156700.1.v1.1 annot-version=v1.1 MATPGSSTGGGGSSTRAANGAVAIRAAATAAGSADARFHSQPPHQDRQSRWTGCFSGLSCFGSQKGGKRIVPAARMPDGNASTNRGSALQSGGNSNQNGALNLSLLAPPSSPASFSNSALPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPTAFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMGLKTAGKEHNMHYLSTAYSGGSGLQGSYPLYPGSPSSSLISPASATPRTGLSSPIPEQDVPTAHWKTSRSACDTPYSIASPIPEQEVPTSQWKTSRSACDTPYSRTSPSNIFGLDSAAPRNCLLDSNFFRPAASAQFYLDQAQQTFPYNGGRLSVSRDKQDADEVEAYRASFGFSADEIVATQHYAEIPDAHDDGFSISPFGNSAPTTEVSPFNDLPNDAQKVDKSLFNAKAITSPKKSADQLSSGTPHKVLHLDIFKGTKGGHLSDDDVIAKDCHPFRKSRDEISLKPIEVRKKSPPGQACSDAEIEYRRARSLREANSVLSWRSTLARQLQ* >Brasy2G482400.1.p pacid=40065225 transcript=Brasy2G482400.1 locus=Brasy2G482400 ID=Brasy2G482400.1.v1.1 annot-version=v1.1 MILIYFRKIHDQHVLDKMNFVDNLNLLTPSSFLCSENKFLFSLADISVHALVWWYSCWCFVLVGKIDISRGAVILAVILCFIVCRYGMQENQTNGKSSHASGCSCMELFIAFFWQSRQETFFTIISVLRTPTLANLLGINQRSVDSSGLMITVSLHLVVATADFLYGINIQTFSTASTEVYGAHTSCETYCLVTSSPWASCLHLVEELQIDAYMFGIRPQIHT* >Brasy2G032500.1.p pacid=40065226 transcript=Brasy2G032500.1 locus=Brasy2G032500 ID=Brasy2G032500.1.v1.1 annot-version=v1.1 MAPECDSAAHHPDDGTEPLVRIAVDYTPEALHHARAAGEIHVTYDHRGGARWRSRRRYLPGGAVTAAIRAPAGDTAGLNYNLYLSSLEGSADMDEIDFEFLGHDKRALQTNFHVSGAGGREVLHRLPFDASDGFHRYAIAWAAEAIEWRVDGEVIRREERGPGPWPEKPMFLYASVWDASAIHDGRWTGRYHGRDAPYVCSYRDVRVPAALSVEEEEEEDEEEEGQDHANAGDASDAVATTCSAAVAAAAGADEE* >Brasy2G255600.1.p pacid=40065227 transcript=Brasy2G255600.1 locus=Brasy2G255600 ID=Brasy2G255600.1.v1.1 annot-version=v1.1 MSTSSPTSSSPPTVSGGGGAARCLSPISSRRKSPNRSGGSSRKSSGSRDGCSILNSVNKSTSQFKKFVNHRSGSPIDWFPRKKTESYLKRKIKRLQETNGMTASLHDTLGNANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILQAARIQSKEAEELMEKAKLNATEAFEKARVIGVMMYDRPDCPNQQYEVESSPHTDERSTHKVTASFQTGFEVDMEVAAAVKKAFVRLANSPDSSNQEEFKELLWKISQNPDASDGDVNSEAEQHLGDCSNEETNNFEFNNKTSRSSIFPSDFRTTIQQPNDLVNIMLERIKALHEDDHASLAVIVATSGLNAALQCDRGNYYEAKPANYIAAASHRSQSRRYSTAASFVDIQGPKKEVSSELPSLDKFLVKHLSKLEREVQEAREAGRKTTAVKPVAQNFQNQLRSSDTKEQESASDLGIILVQHVPMLEKEMLKAKKSNQCVNPSGESKDVGVHNVQSDALCNSDLKGSCDSMGSGEESNCIQVCSNSSQEDKENKTVILHQLPPSGAKRSQGGKRLTRIEAAKLEALQTFCTKDSSALDAGLDKVFAKPIHRLEMEKRKSLQQGQTNTQKDKQKNCHNATVSLSLDEILVKRISRLEREKMEYEKRNALGEVKSIVSHDERKQGNNATASESLDQVLVKHVSRLEREKMEYAKRNPLGQARTTMHDQEKRGDNDTTSDSLDQVLVKHVSRLEKVKTEHEKEGDMLFVKKRDTQCADGAAGGLADIFVKRPTKLERAKQAAAAAEKEILTSDFNPAEQRRKAREKELLDAWGGEGLGSSSKPHISKIESDKAAWRKLEEEQKQERRRAREKELLDAWGGVGLGNSMKPHLSKIERDKAAWRKLEEEQKQICATSEL* >Brasy2G046600.1.p pacid=40065228 transcript=Brasy2G046600.1 locus=Brasy2G046600 ID=Brasy2G046600.1.v1.1 annot-version=v1.1 MTPSSRCGQWMTRRQDVNCRGLEPALLPDRHPERHLNVPYKAFEEAELAKLKEEKPEL* >Brasy2G068400.1.p pacid=40065229 transcript=Brasy2G068400.1 locus=Brasy2G068400 ID=Brasy2G068400.1.v1.1 annot-version=v1.1 MSGGPAQGARAAPSSPPHADGGGFTIPGSSSSGLGLGAGGQMTAAQRMMAKMGWKAGQGLGKQEQGITAPLVARKTDRRAGVIVDESSSRTEKKPKSVTFDTEPTRVLLLRNMIGPGEVDDELEDEVAMECSKFGTVVRVLIFEITQANFPADEAVRIFVQFERVEESIKAMIDLEGRFFGGRVVHATFFNEERFGRNELAPMPGEVAGFD* >Brasy2G300100.1.p pacid=40065230 transcript=Brasy2G300100.1 locus=Brasy2G300100 ID=Brasy2G300100.1.v1.1 annot-version=v1.1 MAAALLRHARWLLVERPAVASFHWRRGVTPAASPSFAAAAVCAYLAAVLLLHRRAPALPPRLLRAVSALHNTVLLALSAAMAAGCVLSAAATAPSPRWVFCFPPGADATPPSGPVFYWAHVFYLSKIYELGDTLLILLARRPLTFLHVYHHAVVIAMCYLWLATRQSLMPVALVTNATVHVVMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASVVMLWFHFAGGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAATGKKKKRRSNNGEKAE* >Brasy2G209300.1.p pacid=40065231 transcript=Brasy2G209300.1 locus=Brasy2G209300 ID=Brasy2G209300.1.v1.1 annot-version=v1.1 MASVAAAVQTFVLRVSIHCHGCKKKVRKVLRNIEGVQDVKVDAAAHKVIVTGTVDAETLVKRLQKSGKQALPWQYPPAAPAKSPEEAPPAGDGGEKNNDAAAAASAEDDGKKKPEEPAKEAQNESSEKKPEQEAAAEEKKADAAAEKETEPEKKAETEEAKRSDEEAKKEAGKSEAAEPVKEAGNDEATEKKSKPKETAAVAATVDRSLQSSPPMAPKQGGQYYEGYNSAPPQYYAPQPVMSYHMAQPRASQSHYAPLPEQGYSTHQQQPPPPAYSSSMQQQHAYSQQPQPMQQWSPSYLYMPYPHASPESYYHDYYSPPGTHAPPPMQDSYRIFDDENPNSCSVM* >Brasy2G397400.1.p pacid=40065232 transcript=Brasy2G397400.1 locus=Brasy2G397400 ID=Brasy2G397400.1.v1.1 annot-version=v1.1 MLGCSFLIYIVKRQLRGIIRFLLFPDEMGLGKTVQVVCFLSHIIKGSFTTSPALILAPKSILLQWKKEFGGWASDLNILVYQGDKDSGKCIQAHEMYSCDRNTLFDAVVTSYEFVQIDKSVLQKLRWSTIVIDEAHRLKRFDCNLTSFVKRYSSDFRLLLTAAIRGRLSKMLFNSFVMLSKPHYSWLCRRPSTGVSPRCCLTLSLC* >Brasy2G378300.1.p pacid=40065233 transcript=Brasy2G378300.1 locus=Brasy2G378300 ID=Brasy2G378300.1.v1.1 annot-version=v1.1 MGGGSEGGGDGEEGCCSVGDTSPGTIVWVRRRNGSWWPGRILGQDELPPSQIMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIERAEATQGTLAKKREKYARREDAILHALELERKLLASKHQTQGFRPAYFSACTKHRKDLGSTRYKSKKRKRKDVCALPDTKNEADQYFLHAGSKKNFSGSLAQGTSENLTSNHLGDLSNAKHIQGGASSESKEKCTVVKKNRSDGSDFDESIEKGVRRRPLVQVLQRSAKLPQHSRHSDDYGAILIGADKDPSPATYRAKRSRYAYLPSDSGETHSLSDLPSAQIASTGTDFETESYLQHPNCSSEEHTSSDFVEKHISESSERECSESETEDDAELLQSANMILPPELHPRDPYFLRASDKFGHVDNNFDEVNYSTYSDQLNESEEEDGYSEHGVSQWHMKGKRNNRGAVKRSVPMTDRNSCLDKPSGLTKGSVYKTNGINHRKQSVQTSNQQLLRHQIKEESNYDSDETDLFQDTSRTEVNLYHSRTYPSSLKATRDLSRSYIYYDDYENDSSKISPLNCDADQIFRVDRNAYWDRPSFYQRSYSSCLGGLGPMLFDVDLKVQASYHGEHVPLVSLMSRLDGKAIVGHPIQIEILEDGATVHLVSGGDISMEESTGTPPAWRTGRRTAMQRVPRSNPSGASLDGDNEGGLAYPDWEMKPVVRKYSTSNHQVKVNKKIVSNPGRASVSKSQKKPSKKASLSSQKVRTLSSISTGRRQNRGGGQHSRSSIFGGLIKAEGTIPLVTCVPAKVVFTRILEAVGRPLLTVTHRVRMGSHAVRDPS* >Brasy2G073500.1.p pacid=40065234 transcript=Brasy2G073500.1 locus=Brasy2G073500 ID=Brasy2G073500.1.v1.1 annot-version=v1.1 MACPPHWPRASSGSVEAAADGAGRLRMRLPKGLPPAALPPCPRRRPAPPCAPAALPRALLPPARTAVRPAASRPPRPRHAPLLPCCPRRAPLLPRGRPRRAATASPCAVPPTPRARLHRRAPLLPRRPRHAATSSLCVATARAVRPTASPCPACTATALLPRPRRLPAPPPAPPTAAAHRTRRRAEEKKRKKRGVK* >Brasy2G465300.1.p pacid=40065235 transcript=Brasy2G465300.1 locus=Brasy2G465300 ID=Brasy2G465300.1.v1.1 annot-version=v1.1 MIRRATMHARLLLPRPYAYAAAASSRSLAPKPLGRGRPHYQMYMRGQDEIKMTSRRWCHDSPENQELAKKSCVPCNSKDVHAMSEDSAKKWLDQVNGWELKTEGGILKLHRAWKVKNFVKGLEFFQLVAAIAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINDLKLEGLLSKKKATSQE* >Brasy2G494000.1.p pacid=40065236 transcript=Brasy2G494000.1 locus=Brasy2G494000 ID=Brasy2G494000.1.v1.1 annot-version=v1.1 MEASDDERPLLIHYQSPQDAGSQYTSDGTVDIDDQPAAKKSTGNWRACFFILGAEVSEGICFFGVQKNLVTFLTSVLHESNVDAARSVSTWTGTCFFTPLIGAFLADTYLGRYLTVVTFLSVYVVGLLVMTLSASLPLLMPSSYTIGIQHVVVYLGLYLVALGTGGIKPCASSFGADQFDSADPVEQVAKGSFFNWYYFLINIGSVLSATLLVWVQDNIGWGVGFGILMVLMVFGLTVFVTGKKIYRYKKLGASPLKRVSQVVVAALRNHRLKLPDDISALHGVPSPAGANCKTEHTNQFRFFDKAAIVLPSLDDGKGSSPWRLCTVSQVEELKTLLRLCPVWASLLFFFAVTGQMTSTLIEQGMAMDNRVGGFTVPPASLSTFDIITVVALIPLYDVFLVPLARRATGRNRGISQLQRIGTGLALSAAAMAYSALVETRRLAAAAGADKSAAAVAAPLSIMWQAASYIVLGAAEVFASIGALEFFYDESPESMKSLGAALAQLAIAGGSYLNSALLGVVASATARGGAPGWIPDDLNQGHLDYYFWMMAGLSVLNLLHFVYCSMRYKG* >Brasy2G037200.1.p pacid=40065237 transcript=Brasy2G037200.1 locus=Brasy2G037200 ID=Brasy2G037200.1.v1.1 annot-version=v1.1 MYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy2G037200.2.p pacid=40065238 transcript=Brasy2G037200.2 locus=Brasy2G037200 ID=Brasy2G037200.2.v1.1 annot-version=v1.1 MYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy2G037200.4.p pacid=40065239 transcript=Brasy2G037200.4 locus=Brasy2G037200 ID=Brasy2G037200.4.v1.1 annot-version=v1.1 MYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy2G037200.3.p pacid=40065240 transcript=Brasy2G037200.3 locus=Brasy2G037200 ID=Brasy2G037200.3.v1.1 annot-version=v1.1 MYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKITSTQIEGKGH* >Brasy2G204400.1.p pacid=40065241 transcript=Brasy2G204400.1 locus=Brasy2G204400 ID=Brasy2G204400.1.v1.1 annot-version=v1.1 MESGASGAEARIDGSADPGSSAGSGYRPSVHQIVGSGKAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKQPRPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G204400.2.p pacid=40065242 transcript=Brasy2G204400.2 locus=Brasy2G204400 ID=Brasy2G204400.2.v1.1 annot-version=v1.1 MDPPTPAAPPAAATGPLCTRSSAAGKGTKRSVHECAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKQPRPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G204400.3.p pacid=40065243 transcript=Brasy2G204400.3 locus=Brasy2G204400 ID=Brasy2G204400.3.v1.1 annot-version=v1.1 MESGASGAEARIDGSADPGSSAGSGYRPSVHQIVGSGKAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G204400.4.p pacid=40065244 transcript=Brasy2G204400.4 locus=Brasy2G204400 ID=Brasy2G204400.4.v1.1 annot-version=v1.1 MIRSVHECAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKQPRPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G204400.5.p pacid=40065245 transcript=Brasy2G204400.5 locus=Brasy2G204400 ID=Brasy2G204400.5.v1.1 annot-version=v1.1 MIRSVHECAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKQPRPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G204400.6.p pacid=40065246 transcript=Brasy2G204400.6 locus=Brasy2G204400 ID=Brasy2G204400.6.v1.1 annot-version=v1.1 MIRSVHECAADIILWKCRRATVGLIFGATMAWWLFEKSELSFLTICCDVLLILIVVQFIWVKISGLLNKPLPELVLSEEMVNNAAASFRVKVNSMLMVAHDITLGKDFRLFFQVVSILWLLSVIGNFYSSITLAYIGTIALVTVPVLYHRHQENVDMYAGMLHRNISRHYKIVDENVISRLPRSFIRDKDE* >Brasy2G281400.1.p pacid=40065247 transcript=Brasy2G281400.1 locus=Brasy2G281400 ID=Brasy2G281400.1.v1.1 annot-version=v1.1 MERSHHLLVLGLLAALLPAAAATFGTTQPEPGAPCEPTLLATQVSLFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLVMAGLNATHLLTLYTSCGGLRPGGAHLAAACEGPAPPAAVVSAPPPAAAPRRKQPAHEAPPPPASTEKPSPPPQQDNVTAHGKAIPNHAATSPLAPAASMSNIAPPPACNPCSGAAASSAEGPLLIAALLLVITAIIVGTLDDN* >Brasy2G220700.1.p pacid=40065248 transcript=Brasy2G220700.1 locus=Brasy2G220700 ID=Brasy2G220700.1.v1.1 annot-version=v1.1 MGILFSCPADDYDPMEEAILAPAGGGEPTILRALGSGKLLIQGSLSFKREQLDDVSGSLQVETEISIKAGGDIAAAAPAPALMPRELARVRHGAESPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAVSKWSRARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDGWLHSESKQPFFYWLDVGEGKEINLEGKCSRSKLLNQCIKYLGPKEREDYEVVIEDGKFLYKKSRQILDSRLGPRDAKWIFVLSTSKSLYVGQKKKGTFQHSSFLAGGATSAAGRLVLENGTLKAIWPHSGHYRPTEENFQEFKSFLKDNLVDLTDVKMSPAEEDEEFWGSLRRVSSENEKSEDTSGAPEETIPSQIPQAGETASTESKRREEAAVAMLDSSEDADNTAASTSSQTAEADDQAEDSQAPVPREKILQRINSKKDMKSYQLGKQLSFRWTTGAGPRIGCVRDYPSELQAHALEQMNLSPRCGATGVASSRFASPQRRSFNSILARGCEAEISTPRGAFGSPLQHGIVAVAGEAN* >Brasy2G173100.1.p pacid=40065249 transcript=Brasy2G173100.1 locus=Brasy2G173100 ID=Brasy2G173100.1.v1.1 annot-version=v1.1 MARLVLSESCGGLARARRGAIALPPPAHLAAGPRRPFSAAAALHRDWALRVSAPTRLASVVRDEDDKGSSPSAAAGASSAEFNPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVLVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNPKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVEKDESWHPLPQRLYNSLDTMTKKLRFSMPFPMLAFPLYLFARSPGKAGSHFDPNSDLFQPNEKKDVLTSTASWLAMAGILAGLTFVMGPLKMLKLYAIPYVVFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSGPLPFHLLEALSRSLKSDHYVSDTGDVVYYQSESETSTSAQSSD* >Brasy2G418000.1.p pacid=40065250 transcript=Brasy2G418000.1 locus=Brasy2G418000 ID=Brasy2G418000.1.v1.1 annot-version=v1.1 MKIVSWNCRGMASRVATRALVDVQKQWNPDVFFLSETHLNEAKAKKLMRKIGMEQMVVHESDGARGGLIMLTGIYGEPNWDSRHLGDFNEILYSTAKEGGNPRPNNMMENFRNCLSECGLEDLGYQGDVFTWRRGRIRERLDRAVCNAAWAEIHVGATVINSDHIKSDHRPIVLSTEDDEGPRLTRVPGSKVFEARWLKEDTVDEIVQTAWERASLAPNFAARTAAVHADMHVWDRSTLKAPQKRIKELKRELEMVRSGPLTDESVFWQKEIQVLIENMMEKEEIYWFQRGRTDWLKHGDRNTNFFHHAATARKKRNQIKRLLDDDGNWQEGSEHLGSLISNYFQKLFTGEVLNLILRFYRKCLLR* >Brasy2G454900.1.p pacid=40065251 transcript=Brasy2G454900.1 locus=Brasy2G454900 ID=Brasy2G454900.1.v1.1 annot-version=v1.1 MSSEELKAAGYGGCVYPENKDTLAYNNNNNNKSRPAMAEDAWLEPPTYVDWRWKRVLPLVKNQSPCGSCWAFAAAAAVESLVAIKTGSLVPLSEQQLVDCDGPPNDGGYISKAFDYIAANGLTSIVGTLRAREQPGTMRGGPRDAHLRLRQRAGRRVAASINVAQMVLHHYYGGIFNGPCGNSTDWHAVTIVGYGPSDRSGGYWLVRNSWGEQWGMQGYMEISRDGGKAGVCGILSRPSYPLWGKSL* >Brasy2G225200.1.p pacid=40065252 transcript=Brasy2G225200.1 locus=Brasy2G225200 ID=Brasy2G225200.1.v1.1 annot-version=v1.1 MAVLAEADGSGVPLAVLLKRELCNQKVEKPDILFGEANKSKKGEDFTLLVAKCHRAPAEGPCDNAGTDDTISVFAIFDGHNGSAAAIYTRENLSNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYYLSADHRLDANEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDTDVGEYIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNCTRGLPAESAANRIVKEAVSSKGLRDDTTCIVVDILPPEKLSPPLKRHGKGGIIALFRRRPSDELSEEQTDNGCFEPDVVEELYEEGSAMLSQRLNVNYPAGNMFKLHDCAVCQLEMKPGEGVSVHGNMPKLSRVDPWGGPFLCSSCQVKKVAMEGKLHSRNSQSAVQPVSK* >Brasy2G175500.1.p pacid=40065253 transcript=Brasy2G175500.1 locus=Brasy2G175500 ID=Brasy2G175500.1.v1.1 annot-version=v1.1 MPSREPGTEIRGHWSDGETWALVDAWGPLYLRRGGGSLSADDWRVVSSAVNAAAGCVDNRSAIQCQKRVYTLKHQYKKELSKQGPPLWRHFSKLSAFLASSPPPGFSAKVTPATTTVKKEKEEEEKEEVGPPPGFLDKMPAATVKKGEVGGCGFELVGRKRPRNSAAGAGSCQCPAAVVTKLAEVYERVAMAALGVEKEMEMQRKKTPCCKGAVKVEIVEETDDKLAAE* >Brasy2G109600.1.p pacid=40065254 transcript=Brasy2G109600.1 locus=Brasy2G109600 ID=Brasy2G109600.1.v1.1 annot-version=v1.1 MEIQLKSLTRRCLHPHSPRAHSPATRRLPFRPTLPAPYIRTPLSHSIISPALPPPFTSPIHRRDRSMALVRQRRQLPHLTLPLDHFALRPPPVPAPAVASSTSSEAAGLRLSDFERISLLGQGNGGTVYKARHRWAAAQPPVALKLFVAGDPSAAREAEILSLAADAPHVVRLHAVVPSSAGEQPPAALALELLPGGSLAGLLRRLGRSMGERPIAAVARQALLGLDALHALRVVHRDLKPSNLLLGSHGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGLAILELYLGHFPLLPAGQRPDWAALMCAICFGDAPEAPAAASEEFRDFVARCLEKKAGQRASVAELLEHPFIAERDAEEAQRALAALVAEAELGDL* >Brasy2G093100.1.p pacid=40065255 transcript=Brasy2G093100.1 locus=Brasy2G093100 ID=Brasy2G093100.1.v1.1 annot-version=v1.1 MKFMKLGSNPDTFQDDGSEVSIVESELVSDITVRIGSTKFYLHKFPLLSKCARFQKLIPTTGDENIEVPIHDIPGGPKAFEICAKFCYGMVVTLNAYNVIAARCAAEYLEMNENVDKGNLIYKIEVFFSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLISHCIDSIASKASADTSKVEWSYTYNRKKLPTENDLDLQWNGVKKQQSVPKDWWVEDLTDLDIDSYKQIITAIKTKGMVPKDVVGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLRAANLLKCGEMCRKELVKRIGRQLDEATVSDLLIPTVDGETTVYDIDMILSIVEEYVRQDSKNAQKHNAAEVSGHIQAPSASMNTVTKVIDGYLTEVAKDPNTPVLKFINLAEAVSGNSRLIHDGLYRAIDMYLKEHPSVSKSDKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAATIRAESICIGSYESSRSGATTNTEDEWDGVMAVEDLSLTKTTKVHTAGTNAEKSHGSSRSTSSKVKGSATPKKALGKMLSSKGLTGERSSSDSSDSAILQRQEHPKRTPSRSTKPAAA* >Brasy2G040600.1.p pacid=40065256 transcript=Brasy2G040600.1 locus=Brasy2G040600 ID=Brasy2G040600.1.v1.1 annot-version=v1.1 MRRCRGLVLGIVGRSAAARCLATRSLAFRMSVLYFDPRYEAKGKTRRPSIVFPAAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNSDCLQHIKPGAFIVNTGSCQLIDDCALKQLLLDGTIAGCALDGVEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGVVPNSSISDEDEEISEAGNEDDQLDTGTKDSYSQVFDVEQQTDESHLTPEYEKKRAVSQYNEPQASGQSQNSGSRTEGRRSRSGKKGKKRPAHRRSQQKMDDLSAVESDSNYSLHRDDDTAMSGRDQVLSSSSRFASPEDSRYKQKSAAESPMEITSAKKLPVVLGRKCPDKLKDGFVVALRAKDNSGFHVARQRLAGGGGWILDIVSNATHRDPAAQFLVTFRNKDTMGLRSFVAGGKLLQINRKTEFVFASHSFDVWEGWMLEGSLMEGCRLINCRNSSAVLDVYIEILAAASEEDGVTRWLD* >Brasy2G112100.1.p pacid=40065257 transcript=Brasy2G112100.1 locus=Brasy2G112100 ID=Brasy2G112100.1.v1.1 annot-version=v1.1 MLLRPRPFLLQALAPLPFSRFPLAVRRTLSAHAAEAGAASRGDAVPPARTRHKNSRKAVPPGVSVNTALFFPPGVERDAAVAAEMLIPGSNIVVGPYAGDARVKEAEFIKCSAHARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLINKSWYLVDLPGYGFAAASKSARMDWSSFTKGYFLNRDTLVGVLLLVDASIPPQQIDLDCANWLGRNNVGLTFVFTKCDKVKKGKGGRPDENIKEFQENISSLYPEPPPWIMTSSTTGLGRDGLLLHMSQLRNYWDNEAT* >Brasy2G285600.1.p pacid=40065258 transcript=Brasy2G285600.1 locus=Brasy2G285600 ID=Brasy2G285600.1.v1.1 annot-version=v1.1 MFSRWKVLFPSYHDKSNRTNAPIAPQCLGSEDIAMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTREPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAADSRKRPEYMRNRARVRGYSGEHEGRRFSRYGRSRSRSRSYSPRYRGRPRSRSSSPRRRDDYSASPPLRNGSRHTQSPRRQPKEYEEDRRRSYSPAGRGGGLRGADNNAHGKRSPPADSDGSPPRQSQRSPGQSPGSPMGSRSRFPDASPVRSD* >Brasy2G285600.2.p pacid=40065259 transcript=Brasy2G285600.2 locus=Brasy2G285600 ID=Brasy2G285600.2.v1.1 annot-version=v1.1 MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRAEDLRVPFERFGPVRDVYLPKDYYTREPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAADSRKRPEYMRNRARVRGYSGEHEGRRFSRYGRSRSRSRSYSPRYRGRPRSRSSSPRRRDDYSASPPLRNGSRHTQSPRRQPKEYEEDRRRSYSPAGRGGGLRGADNNAHGKRSPPADSDGSPPRQSQRSPGQSPGSPMGSRSRFPDASPVRSD* >Brasy2G361300.1.p pacid=40065260 transcript=Brasy2G361300.1 locus=Brasy2G361300 ID=Brasy2G361300.1.v1.1 annot-version=v1.1 MENEFQDGKEEVIQGWYMDDSEEDQRLPHHREPKEFIPLDKLSELGVISWRLNADDWEKDENLKKIREARGYSYVDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNEQWIRIAVKKGA* >Brasy2G291000.1.p pacid=40065261 transcript=Brasy2G291000.1 locus=Brasy2G291000 ID=Brasy2G291000.1.v1.1 annot-version=v1.1 MSLKRAQLICFYVGRPDTSLKFVQQVLQENQDQNYIYGMLAFPLLELGRMDEAERAARKGLAINNNDFWSQHNMCHVFQQECRFREATEFMESCSPSWKACSSFMFTHNWWHVAVCYLEGESPIRKVLEVYDQNVMKELERSDCEAAEVYLNALGLLLRLYVRGEIGPAKERLTKLLDALKNKSIWHVEWLLDLLVLWALSSMNEIRTAENLLGSLRSRVSSMETKRQQAMQKAFQLAEAVFEYGKGEHKTVFDILGPDFDGLSYKMIGASDEQVDVFNEVWYTVLINARETSTAIEVLVKQISKREGAPFLWRLLEKAYSLEGRGADASVASKKANALQAAYFH* >Brasy2G291000.2.p pacid=40065262 transcript=Brasy2G291000.2 locus=Brasy2G291000 ID=Brasy2G291000.2.v1.1 annot-version=v1.1 MLAFPLLELGRMDEAERAARKGLAINNNDFWSQHNMCHVFQQECRFREATEFMESCSPSWKACSSFMFTHNWWHVAVCYLEGESPIRKVLEVYDQNVMKELERSDCEAAEVYLNALGLLLRLYVRGEIGPAKERLTKLLDALKNKSIWHVEWLLDLLVLWALSSMNEIRTAENLLGSLRSRVSSMETKRQQAMQKAFQLAEAVFEYGKGEHKTVFDILGPDFDGLSYKMIGASDEQVDVFNEVWYTVLINARETSTAIEVLVKQISKREGAPFLWRLLEKAYSLEGRGADASVASKKANALQAAYFH* >Brasy2G202000.1.p pacid=40065263 transcript=Brasy2G202000.1 locus=Brasy2G202000 ID=Brasy2G202000.1.v1.1 annot-version=v1.1 MGRSPRCVLLLLLTCAAALVPAAWAQGGGNSTAPAPAAAGSAPFVPRDDILLDCGATGKGNDTDGRAWGGDAGSKYAPANLGSASTGAQDPSVPQVPYLTARVSAAPFTYSFPLGPGRKFLRLHFYPANYSNRNAADALFSVTVPAAKVTLLSNFSAYQTSTALNFAYLIREFSVNVTGQTLDLTFTPEKGHPNAYAFINGIEVVSSPDLFDLSTPELVMGDGNNQPYTMEAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDTPYIWGAGAGVSYQNDANVTITYPDNVPGYVAPTDVYATARSMGPDKDVNLAYNLTWIMQVDAGFFYLVRLHFCEIQFPITKPNQRVFDIYINNQTAMAGADVIAWASPNGIGSPVYKDYVVNTMGSGTMDFWVALHPDVTQKPQYFDAILNGMEVFKLQQSNGSLVGLNPVPSAEPLVDSGSGKKKSTVGPIVGGVVGGLVVLALGCCFILICKRRRRAGKDAGMSDGHSGWLPLSLYGNSHTSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATKNFDESLILGVGGFGKVYRGEVDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIVPQCFKKFAETAEKCVADNGIERPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR* >Brasy2G127300.1.p pacid=40065264 transcript=Brasy2G127300.1 locus=Brasy2G127300 ID=Brasy2G127300.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy2G326800.1.p pacid=40065265 transcript=Brasy2G326800.1 locus=Brasy2G326800 ID=Brasy2G326800.1.v1.1 annot-version=v1.1 MEARASPGGGGGRVLWLVSLKVSCLDPVGVCPLPGASSRDSWIWDEMVGKFWVQEFALDRFDRNLCAFQIVLILVWSGGASANSDDGKALSLEGADAGEKDAYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHMPREKVERLRGRHLLGLSSWRAPQSNIKKPIRIYLNYDAVGHSPDRDCKNVGDIVKLGEPPVPSAPGTPICSPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQALDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPESGGPVQFPGFNGDLSCPAYHELCNTVPVQVSGQCPKSCSFNGDCIDGTCHCFPGFHDHDCSRRSCPDNCSGHGLCKASGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSTILPSLSMCHDVLVRDSDGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL* >Brasy2G326800.2.p pacid=40065266 transcript=Brasy2G326800.2 locus=Brasy2G326800 ID=Brasy2G326800.2.v1.1 annot-version=v1.1 MEARASPGGGGGRVLWLVSLKIVLILVWSGGASANSDDGKALSLEGADAGEKDAYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHMPREKVERLRGRHLLGLSSWRAPQSNIKKPIRIYLNYDAVGHSPDRDCKNVGDIVKLGEPPVPSAPGTPICSPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQALDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPESGGPVQFPGFNGDLSCPAYHELCNTVPVQVSGQCPKSCSFNGDCIDGTCHCFPGFHDHDCSRRSCPDNCSGHGLCKASGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSTILPSLSMCHDVLVRDSDGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL* >Brasy2G421000.1.p pacid=40065267 transcript=Brasy2G421000.1 locus=Brasy2G421000 ID=Brasy2G421000.1.v1.1 annot-version=v1.1 MAASLGWCAKEEIGDWWPRLEAPSKKDRRDLSTAVTLICRSVWRHRNSVVFDGATPSVGAILHSIEREESIL* >Brasy2G380500.1.p pacid=40065268 transcript=Brasy2G380500.1 locus=Brasy2G380500 ID=Brasy2G380500.1.v1.1 annot-version=v1.1 MRWLKSLVGLRKAERQQQQQRREEDGDVEQKKGDVDQFHWQDQHFQDHGSLVTPEEFPDGNGLSEGDCDAPSCSGPGFSSLSMPLPQTEEELKEMWAATIIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQSDQQDNVEEQTDDAHVREIEDGWCDTIGSVKDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQHAAITSYELDKNSWGWNWLERWMAVRPWESRFLGTYAADGIAIDTGAQHCKGIAAKAPYKKPVKKLASTLHPNVLNQKARQSNSEGGSSCNQSGGSASVKSKLKLPPRDVSDEVPSGPSGLGVRCSSNPKERTGHLDFKGNKRFSLPVTGVEAGKRLTNKPAVNRSLKATKDPASSVHRLPKTVELQT* >Brasy2G380500.3.p pacid=40065269 transcript=Brasy2G380500.3 locus=Brasy2G380500 ID=Brasy2G380500.3.v1.1 annot-version=v1.1 MRWLKSLVGLRKAERQQQQQRREEDGDVEQKKGDVDQFHWQDQHFQDHGSLVTPEEFPDGNGLSEGDCDAPSCSGPGFSSLSMPLPQTEEELKEMWAATIIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQSDQQDNVEEQTDDAHVREIEDGWCDTIGSVKDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQHAAITSYELDKNSWGWNWLERWMAVRPWESRFLGTYAADGIAIDTGAQHCKGIAAKAPYKKPVKKLASTLHPNVLNQKARQSNSEGGSSCNQSGGSASVKSKLKLPPRDVSDEVPSGPSGLGVRCSSNPKERTGHLDFKGNKRFSLPVTGVEAGKRLTNKPAVNRSLKATKDPASSVHRLPKTVELQT* >Brasy2G380500.2.p pacid=40065270 transcript=Brasy2G380500.2 locus=Brasy2G380500 ID=Brasy2G380500.2.v1.1 annot-version=v1.1 MRWLKSLVGLRKAERQQQQQRREEDGDVEQKKGDVDQFHWQDQHFQDHGSLVTPEEFPDGNGLSEGDCDAPSCSGPGFSSLSMPLPQTEEELKEMWAATIIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQSDQQDNVEEQTDDAHVREIEDGWCDTIGSVKDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQHAAITSYELDKNSWGWNWLERWMAVRPWESRFLGTYAADGIAIDTGAQHCKGIAAKAPYKKPVKKLASTLHPNVLNQKARQSNSEGGSSCNQSGGSASVKSKLKLPPRDVSDEVPSGPSGLGVRCSSNPKERTGHLDFKGNKRFSLPVTGVEAGKRLTNKPAVNRSLKATKDPASSVHRLPKTVELQT* >Brasy2G380500.4.p pacid=40065271 transcript=Brasy2G380500.4 locus=Brasy2G380500 ID=Brasy2G380500.4.v1.1 annot-version=v1.1 MRWLKSLVGLRKAERQQQQQRREEDGDVEQKKGDVDQFHWQDQHFQDHGSLVTPEEFPDGNGLSEGDCDAPSCSGPGFSSLSMPLPQTEEELKEMWAATIIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQSDQQDNVEEQTDDAHVREIEDGWCDTIGSVKDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQHAAITSYELDKNSWGWNWLERWMAVRPWESRFLGTYAADGIAIDTGAQHCKGIAAKAPYKKPVKKLASTLHPNVLNQKARQSNSEGGSSCNQSGLGVRCSSNPKERTGHLDFKGNKRFSLPVTGVEAGKRLTNKPAVNRSLKATKDPASSVHRLPKTVELQT* >Brasy2G380500.5.p pacid=40065272 transcript=Brasy2G380500.5 locus=Brasy2G380500 ID=Brasy2G380500.5.v1.1 annot-version=v1.1 MRWLKSLVGLRKAERQQQQQRREEDGDVEQKKGDVDQFHWQDQHFQDHGSLVTPEEFPDGNGLSEGDCDAPSCSGPGFSSLSMPLPQTEEELKEMWAATIIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQSDQQDNVEEQTDDAHVREIEDGWCDTIGSVKDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQHAAITSYELDKNSWGWNWLERWMAVRPWESRFLGTYAADGIAIDTGAQHCKGIAAKAPYKKPVKKLASTLHPNVLNQKARQSNSEGGSSCNQSGLGVRCSSNPKERTGHLDFKGNKRFSLPVTGVEAGKRLTNKPAVNRSLKATKDPASSVHRLPKTVELQT* >Brasy2G364300.1.p pacid=40065273 transcript=Brasy2G364300.1 locus=Brasy2G364300 ID=Brasy2G364300.1.v1.1 annot-version=v1.1 MASKQLFGRFQSIFQLAGHSRSQLLSRGRRDSPARHRSFCSDVYGQAGTGTGNSIKDQDNLDRFSDPHVAHEDRQFVQFLDRMLDATRNPQSLAQIQRAKLPNDLKILDDDI* >Brasy2G383500.1.p pacid=40065274 transcript=Brasy2G383500.1 locus=Brasy2G383500 ID=Brasy2G383500.1.v1.1 annot-version=v1.1 MSIQLQEVRGYCLPNPRASLAAPTRPRPRRLATPSAPAAASRSLAPAPPPASRPPSASATASRPLAPTLERTPSLQPRRTSSYSKSNSPSFFCKAGAAT* >Brasy2G018500.1.p pacid=40065275 transcript=Brasy2G018500.1 locus=Brasy2G018500 ID=Brasy2G018500.1.v1.1 annot-version=v1.1 RCSQVTQQVLWAIQSPCSRSDSRPPRAPTSARFFATLVLAAVVPDLGEVRRASEPLNLTILLSSTLAYQCLVAKVYPYDNLTVELGGRS* >Brasy2G317500.1.p pacid=40065276 transcript=Brasy2G317500.1 locus=Brasy2G317500 ID=Brasy2G317500.1.v1.1 annot-version=v1.1 MASLQIDLKTATLRGSNVRHQRSVAASVCPRRGEARTPPARAAAPIAARARCLRARGASTRSPDTRLAPRRLKKMRLPSHATRPVRSTLPRVPDALLHVDLLHLVAEELDKDRPREDETGTQRELGWVAGNAAARGRGETETEGEVGQRRGRRTRDCPWGRGSWGENGRWWISPAVGGAASLSARRNAALEERRGMEEGGRRQQTAANALLHLFYAEIGARSPPDWLAN* >Brasy2G120600.1.p pacid=40065277 transcript=Brasy2G120600.1 locus=Brasy2G120600 ID=Brasy2G120600.1.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIGIGIFFLGSIVYRFQKPGGSPLVRICQVVVAATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.8.p pacid=40065278 transcript=Brasy2G120600.8 locus=Brasy2G120600 ID=Brasy2G120600.8.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIGIGIFFLGSIVYRFQKPGGSPLVRICQVVVAATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.9.p pacid=40065279 transcript=Brasy2G120600.9 locus=Brasy2G120600 ID=Brasy2G120600.9.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIGIGIFFLGSIVYRFQKPGGSPLVRICQVVVAATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.5.p pacid=40065280 transcript=Brasy2G120600.5 locus=Brasy2G120600 ID=Brasy2G120600.5.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQEVSVSLSLNTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.10.p pacid=40065281 transcript=Brasy2G120600.10 locus=Brasy2G120600 ID=Brasy2G120600.10.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQEVSVSLSLNTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.6.p pacid=40065282 transcript=Brasy2G120600.6 locus=Brasy2G120600 ID=Brasy2G120600.6.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.3.p pacid=40065283 transcript=Brasy2G120600.3 locus=Brasy2G120600 ID=Brasy2G120600.3.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.11.p pacid=40065284 transcript=Brasy2G120600.11 locus=Brasy2G120600 ID=Brasy2G120600.11.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.4.p pacid=40065285 transcript=Brasy2G120600.4 locus=Brasy2G120600 ID=Brasy2G120600.4.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.12.p pacid=40065286 transcript=Brasy2G120600.12 locus=Brasy2G120600 ID=Brasy2G120600.12.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.7.p pacid=40065287 transcript=Brasy2G120600.7 locus=Brasy2G120600 ID=Brasy2G120600.7.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.13.p pacid=40065288 transcript=Brasy2G120600.13 locus=Brasy2G120600 ID=Brasy2G120600.13.v1.1 annot-version=v1.1 MNSMDHFDKSPLLEGNNSSQENTAEYTGDGSVCVSGHPASRKHTGSWKASSLTIVCSFCCYLAYSSIGKNLVSYLTKILHETNLAAARDVATWQGTSYLAPLIGAFIADSYLGKYRTALISCTILIIGMMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G120600.2.p pacid=40065289 transcript=Brasy2G120600.2 locus=Brasy2G120600 ID=Brasy2G120600.2.v1.1 annot-version=v1.1 MMTLLLSAALPLISIGPQAWIVWADPISSQYIIFFAGLYMVGLGYGAQSPCVTSFGADQFDDTDEVEKIKKSSFFNWHYFAINTGSLIAGTVIVWVQEHEGWLWGFTISTLFVTIGIGIFFLGSIVYRFQKPGGSPLVRICQVVVAATRNFDKVLPCDSSALYEFLGQGSAIEGSRKLEHTTGLEFFDKAAIVTLSDCESPGLSNTWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEHMFSTFVEQGMVMDKHIGSFEIPAASFQSIDTITVIMLVPVYERVLVPVLRKFTGRPNGITSLQRIGIGLFFSMSSMVSAALVENNRLQIAQAEGLVHRKESVPMSIMWQGPQYFLLGVAEVFSNIGLTEFFYDESPDAMRSLCMAFSLANISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMLAGLSLLNLFIFVFNAVRYKCKKAS* >Brasy2G499900.1.p pacid=40065290 transcript=Brasy2G499900.1 locus=Brasy2G499900 ID=Brasy2G499900.1.v1.1 annot-version=v1.1 MARHRNLIRIINTCSNMDFRALVLQYMPNGNLETLLHCSQAGERQFGFHERLEVMLDVSMAMEYLHHDYHEVVLHCDLKPSNVLFDENMIAHVADFGIARLLLQGDDSSMISASMHGTIGYMSPEYGSDGKASRKSDVFSYGIMLLEVFTGRRPIDAMFVGELSLRQWVHRLFPAELVNVVDGRLLQGSSSSCCLDGGFLVPILEIGLLCSSDSPNERMRMSDVVVRLKKIKTEYTRWTTATLGKAGSCHMSM* >Brasy2G426000.1.p pacid=40065291 transcript=Brasy2G426000.1 locus=Brasy2G426000 ID=Brasy2G426000.1.v1.1 annot-version=v1.1 MAGRREEADEEAGAYVPIRSTSEGVWQGDDPLRHSLPLLLLQICLVVVVTRSLAFALRPLRQPRVIAEIIGGMLLGPSALGRSKMFLDNVFPRESLTVLDTLANIGLLFFLFLVGLELDPASLKRTGRSALAIAVAGISLPFSLGVGSSLVLRDAVAPDAPRGPFIVFMGVALSITAFPVLARILAELKLLTTELGRMAMSAAAVNDVTAWIMLALAIALSGSGSPLASVYVLLSGAAFVAAAVLLVRPLLVHMARRSPDGEPVKESFVCAAMTIVLAAGLTTDTIGIHALFGAFVIGVLVPKEGAFAGALTEKIEDLVSSLLLPLYFVSSGLKTNVGTISGAKSWGLLLLVITTACVGKIGGTVLTSLLMRVPVREAVALGLMMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFLTTPAVTAVYKPARRQACHTHRTVERDDADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFSRGKLQGGGEVVEVAFEAFQRLSAVKVKPMTAISDLATIHEDIVASAVHKRAALIVLPFHKMLSHDGTALEPVDRAYHHVNVRVLRKAPCSVAVLVDRALGGMAQVSAPEVSYAVLLLFFGGPDDREALAYASRMAEHPGIALTVARFTGSSAATAPAEHDKPAAAAAAEVVAMDEEAIRKYVVVSGSVRYEEVAAEGRQEVAAAIKAMGRGKNLVVTGRSARAAPVLVEKSDCPELGPVGSYLATAEFSATASVLVVQRHDRRSDPTCDSPGEEVLEDAVVRAPMPSPAYTPTRPVAAPMPSPASPAC* >Brasy2G499300.1.p pacid=40065292 transcript=Brasy2G499300.1 locus=Brasy2G499300 ID=Brasy2G499300.1.v1.1 annot-version=v1.1 MPPMATTTMSAESAARRFAVACGVLSQYVKAATPMPMPASGARVQQELGPAAADGAQQLTIFYGGRVVVLDGCTPARAAELIRYASAVAAPAPASAPAPALVDMPIARKASLQRFLSKRKDRGASVAVAAPVVEEEEAAAPPPAKKGKAGAASSWLALGIA* >Brasy2G371900.1.p pacid=40065293 transcript=Brasy2G371900.1 locus=Brasy2G371900 ID=Brasy2G371900.1.v1.1 annot-version=v1.1 MPSSSASSCSFFAIVPLDGGETCRHSMDACYLCGKLLSRNCDIFMYRGDTPFCSEECRGVQMEEDEVRQRINAKILKEHAARNEQRHGTSTSESNITCAANVPVAS* >Brasy2G066000.1.p pacid=40065294 transcript=Brasy2G066000.1 locus=Brasy2G066000 ID=Brasy2G066000.1.v1.1 annot-version=v1.1 MVSGIAHRPDEDGGRAASSFPRPPQPSAARTPVGTPPPSSGAHSASTSGGSAGSPSCRSEQHGPVVTGTGPPPEAAAAAASTPASEGTFLRLNNLDINGDDAPSSQAPVSSKKKKRRASAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNMESPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSINDIEELQTELVGLKSRIEKKNAYLQELQDQYLGMQNLIHRNEGMYGSGNIPSGAVALPFILIQTRPHATVEVEISEDMQLVHFDFNTTPFELHDDSYVLKAMNSCGKEQNDGTPEPRLNGCEDSSMANIYWDQLQQSALANNGTARFPSSPPVPGILKGRVKHEH* >Brasy2G066000.2.p pacid=40065295 transcript=Brasy2G066000.2 locus=Brasy2G066000 ID=Brasy2G066000.2.v1.1 annot-version=v1.1 MVSGIAHRPDEDGGRAASSFPRPPQPSAARTPVGTPPPSSGAHSASTSGGSAGSPSCRSEQHGPVVTGTGPPPEAAAAAASTPASEGTFLRLNNLDINGDDAPSSQAPVSKKKKRRASAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNMESPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSINDIEELQTELVGLKSRIEKKNAYLQELQDQYLGMQNLIHRNEGMYGSGNIPSGAVALPFILIQTRPHATVEVEISEDMQLVHFDFNTTPFELHDDSYVLKAMNSCGKEQNDGTPEPRLNGCEDSSMANIYWDQLQQSALANNGTARFPSSPPVPGILKGRVKHEH* >Brasy2G136700.1.p pacid=40065296 transcript=Brasy2G136700.1 locus=Brasy2G136700 ID=Brasy2G136700.1.v1.1 annot-version=v1.1 MSPLRFFGSLLVGKISSRRICGQVAGSTRLIDQTGHFAGGVRGFSFSSGLPMGEKSHGTVAAGALSVTLGGVVWYLKEDAQEPVAMTEEEAQKEDAMKKRFAEWMNEYNRTYKDKEEAARRYEIFKRNAQRSDENFASTGGDFPNGLNCFGDRTRDELPKTGYGRPCNKLFFIFPGRLFMCNDLE* >Brasy2G454400.1.p pacid=40065297 transcript=Brasy2G454400.1 locus=Brasy2G454400 ID=Brasy2G454400.1.v1.1 annot-version=v1.1 MASGAAAIPEKLISSFEPSLWRDFFIGYEPQPMQRSEEWMRETTHKLKQDVHMLLMTCSSTTGRMFLVDVLQHLGIDHHFKEQIDTMLREIHESEFSSSSLHEVALRFRLLREHGHWVSPDVFMQFKGEDGSFSKNTTNEPRGLLSLYNAAHLFVPGEPALEEAIIFARHHLESMIGSLKYPLAEQVRRSLHLPLPRTCKRIENVHYISEYEEEDEHNPTLLQLAKLDFNLLQHIYLKELKAITEWWNDLSRYVGLNYVRDRVVECYLWAYVVFYEKDFEVPRSILTKIIVLITMIDDTYDSHANIEECRKLHEAIQRWDESAISLLPEYLKKFYTELMRNLKDIGSEMSVLSVNYDIAYLKKQFQNQFTYYLKEAEWSHQSHKPSFEDHVHLTSLTVGAPTLCVGLMAGMDDAITNEALEWALGLPYVVVATGKIARFMNDIASYKRGRCKGDVVNSVECYINDHGVTKEVAFARINSLVEDEWKILNQARFENGALLPAVQGVINLAHSAPLMYHDRNDAFTFSTHLQKTIESLFVKPIPI* >Brasy2G454400.2.p pacid=40065298 transcript=Brasy2G454400.2 locus=Brasy2G454400 ID=Brasy2G454400.2.v1.1 annot-version=v1.1 MASGAAAIPEKLISSFEPSLWRDFFIGYEPQPMQRSEEWMRETTHKLKQDVHMLLMTCSSTTGRMFLVDVLQHLGIDHHFKEQIDTMLREIHESEFSSSSLHEVALRFRLLREHGHWVSPDVFMQFKGEDGSFSKNTTNEPRGLLSLYNAAHLFVPGEPALEEAIIFARHHLESMIGSLKYPLAEQVRRSLHLPLPRTCKRIENVHYISEWWNDLSRYVGLNYVRDRVVECYLWAYVVFYEKDFEVPRSILTKIIVLITMIDDTYDSHANIEECRKLHEAIQRWDESAISLLPEYLKKFYTELMRNLKDIGSEMSVLSVNYDIAYLKKQFQNQFTYYLKEAEWSHQSHKPSFEDHVHLTSLTVGAPTLCVGLMAGMDDAITNEALEWALGLPYVVVATGKIARFMNDIASYKRGRCKGDVVNSVECYINDHGVTKEVAFARINSLVEDEWKILNQARFENGALLPAVQGVINLAHSAPLMYHDRNDAFTFSTHLQKTIESLFVKPIPI* >Brasy2G498000.1.p pacid=40065299 transcript=Brasy2G498000.1 locus=Brasy2G498000 ID=Brasy2G498000.1.v1.1 annot-version=v1.1 MLIDISHGLEELFKQKLYPQKISLSDLYVCKATRTVKLLVLEVDQMQPQDSLNRRNMLWANVKDIIFQTYSLTADDVTGYTYANDVITFDTSGDQKKYLSDITNRFIRYIGRDATLLSNYPDSWSYDRKERYLLLLVSADRTKISSGLVGSDLKWPVENGVTPTLLSDLIHDESQRQDPYLYSKTNPYDYLKLCRTVLKHFNAICLRVARLKNGCSNTEDFIKKMERWEPLIWFILYDAVGWPKL* >Brasy2G492800.1.p pacid=40065300 transcript=Brasy2G492800.1 locus=Brasy2G492800 ID=Brasy2G492800.1.v1.1 annot-version=v1.1 MYDNFRKKHHKFVQYSLFARCPLVDRAGGQSFSPAVPAPVSVRLSRRRLPAPASASAVAVEADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLQSNGVSCDGRILVSDRAHLLFDLHQTVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDILFKDAALRFEGFKYSKSMLKEEVEKYKRFAERLEPFIADTVHVLNESIRQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVAYNRNDGQKLKSFPADLDTLRKHRCNLLVANVQSYMVLQINYATSLAVKYEVLPGWHSDISSVRSYSELPQAARRYVERIEELVGVPVHYIGVGPGRDALI* >Brasy2G032800.1.p pacid=40065301 transcript=Brasy2G032800.1 locus=Brasy2G032800 ID=Brasy2G032800.1.v1.1 annot-version=v1.1 MASAAAPVLTLRDLLELACESSRSDGFRSYPRHLPSSSSPSSSSADDVAREPGPDRQLRRSPSRSLASIFFFSSPRSPSRLSIRSLSCRFRGGFFWRRREEFDDYEDDDERDSLGLPSPLVSSCCSDSESEPPGDLQLHPEPEKEKLSASESEPSPSSSSGSTGRVDADATGDGGHKALDGDTVEMEEKQQLSPVSVMDFPFHDDEGSDAGTCSPSNSFQHLKRSSEKGTLLHKTRRLEGLAEATAIDPVDLEPRFMASDSGESLQDTRTQGDSSSSTTDDHSTSSTATTATMARPDEHQSACEDQEESKFPDEPFRLLGRLLDDEEGSVVVAIDEASERLLLEFFAEEIGRRLRCCSAGPVVGTVKPSSLSDHDEAALVRAAREWGRDEGLRWGIDGVFFAGEAALVDMERERRWMRVVEEKQDVGAAVAGTVVEELLAELVDDLADGGTSFGSVHGSCGC* >Brasy2G059700.1.p pacid=40065302 transcript=Brasy2G059700.1 locus=Brasy2G059700 ID=Brasy2G059700.1.v1.1 annot-version=v1.1 MCSMIRRFVHLIVHELKSGPGGYSLRNIDMKPLFAGIGDGNTSMQVTPLPRAAAFFESPNMHHHNHTEFFLLGSKIVGVNMNRHTILYDTSTSAMSAGPDLRHPKLLKPAWAAVRGKLYLTNMFPDNYGTPCFEALRFDDKLEDWLWDLLPSPSFFDMPFRIGSTIRCYAAGDDENIWISTLGNGTHIFDTTTSTWRKVGDWTLPFVGQVQYIPEYGQCFGFSKRSINLCSADLIVDSGALEPPVHRNVWDDVDGYKGSQWHLAHSYLTHLGCGKFCVTRFYDTRHDWDNLSIPLCDVAVMTALEARLDSSTGKLQMIKGASRCYNFYSDTVYGWAL* >Brasy2G376200.1.p pacid=40065303 transcript=Brasy2G376200.1 locus=Brasy2G376200 ID=Brasy2G376200.1.v1.1 annot-version=v1.1 MAMKARCFLAAMLAAAACACLSPAVAAAFDVPSVAFGEGFSPLFGDGNLARTPDDRTARISLDRRSGSGFISSDYYLHGFFSASIKLPKDYTAGVVVAFYLSNGDMYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSRGREERYLLPFDPTVEAHRYSILWAPTHIMFYVDDTPIREVIRHSGMGGDFPAKPMAVYATIWDGSAWATDGGKYKVNYKYAPFASDFSDLSLHGCRVAATHDFAGGGASSGCGELLGLMTADYAVMTPRKRAAMRAFRARQMTYTVCYDAARYAAGPFPECDNSDREREAFWAWGESKTVVMKTSRGRGRRGRGSKAGAARARAGVVASS* >Brasy2G235900.1.p pacid=40065304 transcript=Brasy2G235900.1 locus=Brasy2G235900 ID=Brasy2G235900.1.v1.1 annot-version=v1.1 MVRPRAVGEKKTAGKRSIRIQRIENKESRLVTFSKRKSGLWKKGSEIAVRCHVRVALLAFSEAGKVFAFGSPSVDAVLGDATGVAPADDGAEWEAVEALYRETEGKIKEVAAESARMDAVGEKVRQAQAQAGKRFWFEVDVEALRAEELPVFAMALQRLRDNVGRRIESCLNSDAAKVGQNRSPFS* >Brasy2G247300.1.p pacid=40065305 transcript=Brasy2G247300.1 locus=Brasy2G247300 ID=Brasy2G247300.1.v1.1 annot-version=v1.1 MEVIHGSVLPRCAAPALTSDARIGSQLLRRVRMRRMACGGFQGDYYGVAPRFFGVPAQRHSRSGWPVCCSYGSSSDGDAAAADFDASGEEFVDSSVMEAVELRSVSDGFLIKMRDGRNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTEMMGYTVRLVRITEMVHDAYYSRLYLAKNGNEEETISFDLKPSDAINIAFRCKVPIQVNKRIAYNNGLKVVQPKPSGSYVNSGQIQIMRLDKPDDQPCFEAQEFDLVRSMLIAAVEERYKDAAQYRDQLFMFRAKKKNKI* >Brasy2G247300.2.p pacid=40065306 transcript=Brasy2G247300.2 locus=Brasy2G247300 ID=Brasy2G247300.2.v1.1 annot-version=v1.1 MEVIHGSVLPRCAAPALTSDARIGSQLLRRVRMRRMACGGFQGDYYGVAPRFFGVPAQRHSRSGWPVCCSYGSSSDGDAAAADFDASGEEFVDSSVMEAVELRSVSDGFLIKMRDGRNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTEMMGYTVRLVRITEMVHDAYYSRLYLAKNGNEEETISFDLKPSDAINIAFRCKVPIQVNKRIAYNNGLKVVQPKPSGSYVNSGQIQIMRLDKPDDQPCFEAQEFDLVRSMLIAAVEERYKDAAQYRDQLFMFRAKKKNKI* >Brasy2G096400.1.p pacid=40065307 transcript=Brasy2G096400.1 locus=Brasy2G096400 ID=Brasy2G096400.1.v1.1 annot-version=v1.1 MELVDPKAGEASASSSTVVAAHYMFSVVIDGVETDIHEGTLRGNPAGKVTVTSPGNLSADGLRSVVVRGGGGGAVAFTLCGEAAAEGVDSASFAQCGSVRVERARAASATRCRAVEVERAGRVSLERCREARLRGGGFLRAARCRRADVESFGEVRLARCKWAGAHWCGSVEVELCRAVHVSRCGAVSGDRCRVVNVAAGCGSVAVTHAVVNTLDEGEEEEAEQLRLQQPVSPQSSDSE* >Brasy2G171200.1.p pacid=40065308 transcript=Brasy2G171200.1 locus=Brasy2G171200 ID=Brasy2G171200.1.v1.1 annot-version=v1.1 MVWAVSRVWRCLHRRRQPLSRPPCATAALVSASLLPLQFTAPPTTSPLPPCAATADPQLRRPPSRPAGPVLAALRRCRCRRSSNLHRGLLAAEIIDQLCGWGRLMKVSSAGVRAAQPRRPRAGDCRILLEPRRPQCIQCLVVNLSPSSLQLPPYYVPQEKLFFLFAPCFWA* >Brasy2G347000.1.p pacid=40065309 transcript=Brasy2G347000.1 locus=Brasy2G347000 ID=Brasy2G347000.1.v1.1 annot-version=v1.1 MDPASGGSASDGGQSASGGGQAASGGGLAAGGATSGVSPAAAAVPAASAGVVIGQSAALGAPLGGVGTSAGTTTGGAAAAGIRAPGGSAAFGGGAAGYHGGNGGGFRGGYGGGYGNNYGGFGPGYGCGYGNGYGGGYGDGGRGRSYRFAPSRGRFPYQGRGGGRHWGRGGGETVQGAEMSSSAPTQIATMQASEVATVKVGGPVLLAGQGVAAAGVAAAAGQVVVVAGQVAPVGAAAAGQAVVGGVAAQESVVGAAAAGRPSTAAGMDLATAGQGTVAVGSSVAAAGNEVISVTNPLAKVRCFRCEQKGHYSSMCAAVLCDFCEKADHVSAECELHRLPKLSVRSCGTVADDFFYFELPEEAVVVPKVESNRKRLIQVEGGSLSQERVVAEMQRLIPGMSDFVVLFPTRGEIQRLVRVGVIQVPNSKLRFKVHEWNSSSTAAFEFQDTWVHIGNIPRDLFNYRAIWGLGTLLGTTLDVDMPFSRRHALACVVVSVTNKTAIPPGTDLRHEGRGYRLTFQVEPLEDEIMKDDDDDGNDDNMDKSNPDNNNNMDDEILDDMKDLDNKKWEEDGRTGKRSKPSREMPDGSIGGSSSAPPVMRGCPSSPATGLIFRTGSATGVGFLSPFKMFPEPAAELSSPDEQAACGAGGTTIGCCCSLSAGSDGGAGGIIVCCAVEGGGLGGAGRCDVSAVHFACSSLAFSVFRVAAFFAIGVFEFEADLAVRVLLPKPALMTR* >Brasy2G303100.1.p pacid=40065310 transcript=Brasy2G303100.1 locus=Brasy2G303100 ID=Brasy2G303100.1.v1.1 annot-version=v1.1 MSQARDRSSLQPPYRHPPVLSEAQDLGEKHSTPRRESGKMATLSMVSVPIATSSLPLSTRSRSSSLSFPASKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRARSRQILLDLSFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERMKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSSSSSEE* >Brasy2G427800.1.p pacid=40065311 transcript=Brasy2G427800.1 locus=Brasy2G427800 ID=Brasy2G427800.1.v1.1 annot-version=v1.1 MAGGGVVQSSNKRKRDAAAGKPNPGAKGAGEATKWKKPHDASVARGGGRAEEKPQPVTAKDKRVAAKEMSESRKMKRKPNYDLEKELTVRWEKMRCHDVSKEDRSKLVTEALGKMNGKYLEIAGSHVTARVLQTCVKWCSQSERDAIFVALRPHLLTLCRQKYAVFLVKKLIKLATKKQFHWFISSLHGHVASLLRHTIGAAVVDCAFQRATPSQKRSLLLELYSTELQLFKGLTEQKSHSLLETISKLGLQKSSVLQYMTIVIQPLLEKGIVEYSIVHTVILEYLTIADKTSAMDVIRQLIPHLTQGSSVIDGDELSGVPELPTKTKAKKKRSSEPRLIRIMYSREGLKIALACLKHGSAKDRKKIIKSLKGQIMKLALNEYGCLFLVCLLSIVDDTKLVTKIVIQDLTKHLKQLIFDKNGRHPLLQLLRPLCSRYLPPAYLAYLNYSVPSLISNVEASENATEVNLENKVDAVADKDHGASEDTLVASDSKKDPLQRRIELLMKSELAEALVQTCVENVGELLRSNIGNGFLYEVSVGGKDNVLEGISDRILILHDAIASDAARPRTEDIEHAFDNYHSSRMIKKLVVDCPAFAATLWEIALEGKCELYAEGHSSKVLTAYLESNDSMVRDVAKSKLQPLIDRGILKIPDHKASEKK* >Brasy2G431800.1.p pacid=40065312 transcript=Brasy2G431800.1 locus=Brasy2G431800 ID=Brasy2G431800.1.v1.1 annot-version=v1.1 MTVFRGPPGRPSALVAWWRRRPPLGFAAKVSIAIALGLSFVIVWTTLSPTSASQQISTERSYFAAEIAEPPPASRNRTTGSHGKPRATRSSRGHKKRHSPTRSHSHRPNATLSPDATASKANRTEPVLRPDQEPNEKEPEPVTETETETEPEEEQEQEVELSVPEDNGENTGKAPKEEDEKAPELDLVDESSELDGDEEDPEAAKRNSSKEKKKLPPLFSPAAHYHWKQCSAKSGHQYIPCVDFDSDGSQRHHERSCPRSPVTCLVSLPKEYKPPVPWPERKEKVWYENIGHPRLASYAKGHSWLNRTGEHLVFPPEESEFKGGASNYIESIDEMAPDIDWGKNIRVALDIGCKSAGFGVALLEKDVITLSLGLANDQTDLAQVALERGIPATVGSLGSRRLPFPSGAFDVIHCSECNIAWHSNGGKLLLEMNRILRPGGYFIISSRHGDLESEKGISASMTALCWNAVAYNSDDVSELGVKIFQRPASNEEYDLRARKDPPFCKEDQNKATAWYIPIKHCLHKAPADIEERGSEWPEEWPKRLETFPDWLGDLQTRVAADHNHWKAVVEKSYLDGLGIDWSNIRNVLDMKAIYGGFAAALSSKKVWVMNVVPVHAPDTLPVIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKNRCKQPVAILVEMDRILRPGGWAIIREKLDILDPLEAILRSLHWEIVMTFRKDKEGIMSVKKTTWRP* >Brasy2G366400.1.p pacid=40065313 transcript=Brasy2G366400.1 locus=Brasy2G366400 ID=Brasy2G366400.1.v1.1 annot-version=v1.1 MEAVVVDAGSKLLKAGIALPDQAPALVMPSKMKTEVEDSQLADGAVVEEVVQPVVRGFVKDWDAMEDLLHYVLYRNIGWEMGDEGQILFTEPLFTPKALREQLVQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRFEIGGTDLTNLFAQELKKSNPSVNIDISDVERLKEQYACCTEDQLAFEAIESSCEPEKHTLPDGQVITIEKERFIVGEALFQPRILGLEDYGIVHQLVSSVSNVASEYHKQLLENTMLCGGTASMTGFEDRFQREANLSASAIRPSLVKPPEYMPENLGRHSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF* >Brasy2G366400.2.p pacid=40065314 transcript=Brasy2G366400.2 locus=Brasy2G366400 ID=Brasy2G366400.2.v1.1 annot-version=v1.1 MEAVVVDAGSKLLKAGIALPDQAPALVMPSKMKTEVEDSQLADGAVVEEVVQPVVRGFVKDWDAMEDLLHYVLYRNIGWEMGDEGQILFTEPLFTPKALREQLVQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRFEIGGTDLTNLFAQELKKSNPSVNIDISDVERLKEQYACCTEDQLAFEAIESSCEPEKHTLPDGQVITIEKERFIVGEALFQPRILGLEDYGIVHQLVSSVSNVASEYHKQLLENTMLCGGTASMTGFEDRFQREANLSASAIRPSLVKPPEYMPENLGRHSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF* >Brasy2G382600.1.p pacid=40065315 transcript=Brasy2G382600.1 locus=Brasy2G382600 ID=Brasy2G382600.1.v1.1 annot-version=v1.1 MIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVRFYTQEIVFFRQDLLYRMRLSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNFDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES* >Brasy2G100200.1.p pacid=40065316 transcript=Brasy2G100200.1 locus=Brasy2G100200 ID=Brasy2G100200.1.v1.1 annot-version=v1.1 MPVSMDKKQNSLGTPILRTNPFDSDSDTDSEVLSRPSRAQSAPTHCGKSVQELEDNAVRRAEETSCKVNDCVRAAEAIREDATQILMTLHQQGEQIIQTHRVAVDIEQDLSVSERLLGSLGGLFSKTWRPKKNQQIKGPISQSNSFKSTSYHMEERQKLGISSTPPQSHNPLRHSPATALEKVQAEKVKQDDAFSDLSNSLGQLKLMAMDMGTEIERQNKSLDTLSDDVDELNFRLKGANQRGRRLLGK* >Brasy2G037900.1.p pacid=40065317 transcript=Brasy2G037900.1 locus=Brasy2G037900 ID=Brasy2G037900.1.v1.1 annot-version=v1.1 MRRHSWRSGGASGDSGRWTISATLGRRGRERGGRGGRRRGRGTPAAAGYEQRTKRRGSGSRRRTRTPAGPVGGISGGLTHPGGGSRREKGADGGLQAREGEGARDPAGEDGAGSRGRRRRSGAPDPAEEGGGEA* >Brasy2G081900.1.p pacid=40065318 transcript=Brasy2G081900.1 locus=Brasy2G081900 ID=Brasy2G081900.1.v1.1 annot-version=v1.1 MATLAPIAPPSGAFLRARPAGTSRAGAPGKRLMAHSSPAPDVVVTREHGKNAKLVAALEKHNVQSLELPLIQHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGCPKVRIAVVGAGTARTFDEALQSDDRSLEVAFSPSKAMGKVLASELPRTSQATCKVLYPASMKAGHEIQNGLSARGFEVTRLNTYTTVPVQDVDSHILKVALSAPVVAVASPSALRAWLNLMSQVDKWSNSVACIGETTASAAKKLGLNNIYYPTTPGLEGWVESILEALRAHKQSSS* >Brasy2G073200.1.p pacid=40065319 transcript=Brasy2G073200.1 locus=Brasy2G073200 ID=Brasy2G073200.1.v1.1 annot-version=v1.1 MGLSISYPPDDYLPADDENSDRLFVRSFSFDNLSTLETLESPPSSRKLAVKGSLNSRRREGNPLHVETMISMVSPKPDKECCNHKHSHGLQKYGPTDLPPNSPVVGMVSPQHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEEEKPESALSRWSRARIKAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQSWLHCDSQQPFFYWLDVGEGKEVNLEDHCPRWKLLQQCIRYLGPKEREFYEVTIENRKMMYKVSRKIVDTSEGPKDAKWIFVLSTMRVLYIGTKSKGKFQHSSFLAGGATSAAGRLVVESGILKAVWPHSGHYQPTEANFREFMKYLRKRNVDLTNVKLSPSEGEEDEWIRQRSSLSQMELTPDSSNKQEKQEEPKLQSPHADSDKSKGTATPATPPSTRAETGAAGSPTMKRSSSGTRLQRKRPPRLTLSKDRLGGGSKAEQGAGAFGDCLDFCKENLFSGDGEAEGEEVVPQETIMHRINSKMAHRSYQLGKQLSSRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRGGGLPRLGGGTPGRQSPRAPPLSRTASPLGAGAGAAGTPATAPRMQHGAA* >Brasy2G172300.1.p pacid=40065320 transcript=Brasy2G172300.1 locus=Brasy2G172300 ID=Brasy2G172300.1.v1.1 annot-version=v1.1 MATPAAMEFRHGGSPGAGGGGKARDKFSVYQNPSLTRALASRSVRPSLPVLLLLALSPVVSASSLMALSSREGQLVKVAGRAGVSIAAAVFVFRLVEAALGLVALLTLSAFFRALMLYNGRKALAKEDKVALSERQLGLLGLKTAGSEGAGMNEQTKKPPKAKPSTPSEPIVPIRRSSFSYTPSRVQPRIGSSHLSPGGERLTASLQMSPSTPLQKPVSSPSTPWSRKSSGSAKGIQTEAMLDQFLAGLDENIDNLTDSASKTATPPATITGFGIASPVSVTTSTTNSGAARSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVDAFENLGVYPEIEQWRNNLRQWFSSVLVNPLVQKIKSSHIQVKKTTASIGASVSVSQVGSDLPSTTTPISLSPLGGTKDWQPTVTVDEDGVLNQLRGALLHSRNAPAAQPFGSPQQPQSNPLLPAIQACIDAITEHQRLNALMKGELIKGLLPQSSVRADYTVHRVQELAEGTCLKNYDYMGYRDGYGKSEKKWTSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPSKERFPEKYVALISGVPAVIHPGALVLAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGIVRGTHIGSSALNILSVLDSDMES* >Brasy2G177700.1.p pacid=40065321 transcript=Brasy2G177700.1 locus=Brasy2G177700 ID=Brasy2G177700.1.v1.1 annot-version=v1.1 MASPRAFLAVALLVVLFAVSTASAAGAHPPTTTMKMAARAAAEGPGLAERLIGEGPQQCWESLMEIKSCTGEIILFFLNGEAYLGPGCCRAIRVIEQLCWAADAMLSVIGFTPEEGDMLKGYCDDGEAHHQHAAPPPHPALDGIRAGAVAASVAGRKGLGAPLDG* >Brasy2G487000.1.p pacid=40065322 transcript=Brasy2G487000.1 locus=Brasy2G487000 ID=Brasy2G487000.1.v1.1 annot-version=v1.1 MNLRSSVVACCLPPPTSAAAPPRLLNNSNNKDRRPRIVGRRDLVLRSSELATLAAIFHFSGTKPGYLGVQKSPPSLALCPATNNCVSTSEKISDSNHYAPPWNYNPDDGRRGKPISKDEAMKELIEVVTKTKPDNFSPRVVEKGDDYVRAEYESPIFGFVDDVEFWFPPGKKSIVQYRSASRTGFIDFDANKKRVKALRLALEKKGWASESSF* >Brasy2G487000.2.p pacid=40065323 transcript=Brasy2G487000.2 locus=Brasy2G487000 ID=Brasy2G487000.2.v1.1 annot-version=v1.1 MNLRSSVVACCLPPPTSAAAPPRLLNNSNNKDRRPRIVGRSGTKPGYLGVQKSPPSLALCPATNNCVSTSEKISDSNHYAPPWNYNPDDGRRGKPISKDEAMKELIEVVTKTKPDNFSPRVVEKGDDYVRAEYESPIFGFVDDVEFWFPPGKKSIVQYRSASRTGFIDFDANKKRVKALRLALEKKGWASESSF* >Brasy2G020600.1.p pacid=40065324 transcript=Brasy2G020600.1 locus=Brasy2G020600 ID=Brasy2G020600.1.v1.1 annot-version=v1.1 MTKPHHQPNNATPSHRRRRRRRQPPPCFPPPPPPPPRTVSSLSASLAESPRSLRPEVRSHQRLPSSPSSCPRARVLSGLGFRMRLLLRRRAGLWDRQAGLVIRLGLASSAADLIPLGFNPHNPPFPLPSLVARLRLHFVLRSCRLGLVRRFLCFSGGSVVLGYWTKWAPP* >Brasy2G338200.1.p pacid=40065325 transcript=Brasy2G338200.1 locus=Brasy2G338200 ID=Brasy2G338200.1.v1.1 annot-version=v1.1 MSQGQPRRISEAQGEEARQPPQDRPIKYGDVFDVSGSLAAQPVAPRDAALMQSAEDSVLGLGQTQKGGPAAAMQSAATVNARAGHVGRGQLSGLAADEGVNVTKTQLPGRRVVTESVAGQTVGQFVAPEPVAATQPGGALGKDAVTIGRALEAAAATAAGGKAVDQSDAAAIMAAETRATGSDGTVPGGVAAAAQSAADMNERTMCDANKVKLRDVLSDARSKLPADKGATREDAERVVSAEIRNKPNMSTTPGGVADAVTTAARLNQERP* >Brasy2G335300.1.p pacid=40065326 transcript=Brasy2G335300.1 locus=Brasy2G335300 ID=Brasy2G335300.1.v1.1 annot-version=v1.1 MINFFSPASTNPAYASMAVPWSQMEASLICPMAQLFSAVMPSTQLLCISASHPVNFSDARAAKYFGLLNEKNNGDANKHIFMIELDTYKNAELQDIDDNHIGININSVVSLKSSTSGFYEDEGGAFKNMTLNGNKAMQLWVDYDEGDTEINVTLAPINMGKPSRPLLSATYDLSTVLSDSASYIGFSSIATLINTREYVLGWSFGMNRPAPSIDISKLPKLPLVGPKAQSKLLAIVLPIATATLIICIGTLVTLVVRRRRKYAEVREDWEGEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKVYKGVLPLSNVEIAVKRMSHESRQGMKEFVTEVVSIGRLRHRNLVQLLGYCRRKGELFLVYNYMPNGSLDKYLHLEEDKVILNWVQRFRVIKGIATGLLYLHEKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPQTDVFAFGMFLLEVACGQKPVKKNAEGNEVFLVDWVLEHWKNGLVTKTVDTRLQGDYNVDEACLVLKLGLLCLHPLPSSRPRMREVMQCLDGDMPLPELKQTELSLNMVALMKNNGLNSSSVSYPQLTLSFGTVSGLSGGR* >Brasy2G183800.1.p pacid=40065327 transcript=Brasy2G183800.1 locus=Brasy2G183800 ID=Brasy2G183800.1.v1.1 annot-version=v1.1 MASSTCPRAHLANAALVTALLFIAAVDAYPRNGGGGGDLRPQFLYPQNAARAAMGLPPLRWDEGVASYARSYAESRRGDCALVHSSGPYGENLFWGSGGDGGWTPAQAVGAWLAERPRYDYWSNRCSGGMCGHYTQIVWRGSTRVGCAMVNCYNGRGTFITCNYDPPGNYVGMRPY* >Brasy2G109100.1.p pacid=40065328 transcript=Brasy2G109100.1 locus=Brasy2G109100 ID=Brasy2G109100.1.v1.1 annot-version=v1.1 MAPPEEAGGGEFPVGMKVLVVDDDPTCLAVLKRMLVQCRYDATTCSQSTRALSMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFSGNKEHEHSGSLDDTDRNRPANNDNEYASSINDGADDSWKSQKKKRDKEEDDSELESGDPSNSSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGITNPYCTPASSAQVASLGGLDFQALAASGQIPPQALAALQDELLGRTTTSMVLPGRDQSSLRLAAVKGNKPQGEREIAFGQPIFKCQNNSYGAFPQSSPTVGRLPSFSAWPNNKLGMADSTSTLGNVNNSQNSNIVLHELQQQPDTMLSGTLHALDVKPSGIAMPSQSLNTFPASEGLSPNQSGFLTTIPPSMKPEPALPNSQQSNNMLGGIDLINQASTSQPFSNSHGGNLPGLMNHNSNVMPSQGISNFQTGNIPYLVNNSSIGVGSKPPGVLKTESTDSLNQSFGYICGSSPMDSGLLSSQPRNAQFGFLQSPNDVTGGWSLQNVDNYRNTIGPSHPVSSSSSFQSSNVALGKLPDQGRGKNLGFVGKGTCIPNRFAVDEVESPTNSLSHSIGSSGDIPDIFGFSGQM* >Brasy2G089600.1.p pacid=40065329 transcript=Brasy2G089600.1 locus=Brasy2G089600 ID=Brasy2G089600.1.v1.1 annot-version=v1.1 MTTTREPREPSRREDRDSHGRRPHSSSRSRRDDPSPRRRRDDRRHESDRSQYRRRAEENANAGDRDERRNRALQDAAQRNDPLPAEVKPLSDTKEDPPVRHERSPRGTKRFSETRESWRPRSSFFQHDERDSAGQRGRRADRQGSDYGRQRDQKEHLGDRDKHKSEGHGLQGKAEQVHQQSDVESTWKHDGFFQLEEEAPAVKRRPAFREMGMPLEEQGSAAAVTEPDSRSRKPDQPGLSGMGEERRSHHSWEFVRADDRGTRWGFSDYRSSGQRNGYDSRGRFAGRWGRGRDRFNNSYDGRNNMYQAAGDQEEKWKHDLYDQTNSTPALLTEEEQIAKVEALLSL* >Brasy2G089600.2.p pacid=40065330 transcript=Brasy2G089600.2 locus=Brasy2G089600 ID=Brasy2G089600.2.v1.1 annot-version=v1.1 MTTTREPREPSRREDRDSHGRRPHSSSRSRRDDPSPRRRRDDRRHESDRSQYRRRAEENANAGDRDERRNRALQDAAQRNDPLPAEVKPLSDTKEDPPVRHERSPRGTKRFSETRESWRPRSSFFQHDERDSAGQRGRRADRQDYGRQRDQKEHLGDRDKHKSEGHGLQGKAEQVHQQSDVESTWKHDGFFQLEEEAPAVKRRPAFREMGMPLEEQGSAAAVTEPDSRSRKPDQPGLSGMGEERRSHHSWEFVRADDRGTRWGFSDYRSSGQRNGYDSRGRFAGRWGRGRDRFNNSYDGRNNMYQAAGDQEEKWKHDLYDQTNSTPALLTEEEQIAKVEALLSL* >Brasy2G363700.1.p pacid=40065331 transcript=Brasy2G363700.1 locus=Brasy2G363700 ID=Brasy2G363700.1.v1.1 annot-version=v1.1 MEENSGEPAAAGGIGAPRGQGLGSQGSTARGGTAADERGGPPGDRRWQPRGGRTAGGGRGWRRSPSRFRPYRGRIRRLDRRIRPGAPRSSRERLGEGGEELSMGWRSLGRRTGGGRPAVRLVGRIKFHKKRPHCRLSSHPVTPNPAAATNLPGGTNAHNTSRQLHLTQPVAANWREGPTSNRPHASVVDPIRSTHCSPMASVMPAWHRGPTASTPSDRAHSRLRTPAWTHCQHTATAPFTLCSWAPP* >Brasy2G353700.1.p pacid=40065332 transcript=Brasy2G353700.1 locus=Brasy2G353700 ID=Brasy2G353700.1.v1.1 annot-version=v1.1 MVQAFSMDMDGYTTMDMWDPMFFLWQLCCMQVQDMMPYYYSGASSQMLLNMYQDVSAYYDGLEEQQMIVTEEMMDMTMWLTDMDSMYQPTAIFSHVVVDETMDYINHTYYDV* >Brasy2G176200.1.p pacid=40065333 transcript=Brasy2G176200.1 locus=Brasy2G176200 ID=Brasy2G176200.1.v1.1 annot-version=v1.1 MLPTKRAEGAEDSGDAAVKKARIRDPAAESGAMVAGEPDGGGGGSGSSNGNGVADIDEDLHSRQLAVYGRETMRLLFASNVLVSGLNGLGAETAKNLALAGVKSVTLHDVKNVEMWDLSGNFFLSEDDIGKNRAVACVGKLQELNNAVLISALTEELTEKHLSKFQAVVFTDISLEKAVEFNDYCRSHQPPISFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNGYPAVVSCVDDERLEFQDGDLVVFTEVHGMTELNDGKPRKIIDVGPFSFCIEEDTRKFGTYAKGGIVTQVKEPIILEFKSLRESIKEPGNFLLSDFAKFRRPPLLHFAFLALDKFRKEFGRFPVAGCDQDARRFVDFTASINEATIDYKEDELDEKVLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFRPQYQFFYFDSLESLPTYPLDPKDLKPLNSCYDAQISVFGSKLQKKLRDANVFLVGSGALGCEFLKNLALMGVSCGLKGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAASAINSSLHIDALQNRACPDTEHVFNDAFWEGLDVVINALDNVDARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWACSEFEGLLEKTPNEVNSFMSNPAEYAAAMRKAGDAQARELLERVRECLDKEQCDRFKDCITWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSAVDSSHTQFILAASILRAVSFGIPVPDWAKNTGNLADAASKVAVPEFEPKSGVKIETDEKAANLSSASVDDAAVIEDLLTKLEACAKKLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHTVEDYRNTFANLAIPMFSMAEPVPPKVIKHQDMRWTVWDRWTIQGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFTRHKDRLNRKMVDVAQEVAKVDVPAYRRHFDVVVACEDDDGNDIDIPLISIYFR* >Brasy2G428900.1.p pacid=40065334 transcript=Brasy2G428900.1 locus=Brasy2G428900 ID=Brasy2G428900.1.v1.1 annot-version=v1.1 MHPYSFRPPPMHHHEQGHQNLENFHFVGASPHDSFSTPPPPPQPEVASRSAPAKVASTSSKRKRRAIDVDGNGSGERTAYHLAYTPEEHVRLASAWLECSLDPIDGNGKKGEKFWDDIAALYNSTTPSNRKRDRNQLKMEWQRTKKRLAAFHGEWMAVIGVYHSGHSVHDLEKMALEKYEGNYGHPFQHLTMWEKLKDDGKWLGSYKNMIAKAGKSSAHMETNLTSNETNLEAEKRPLAGRDKAKANRAGKGKSGGISQELGERLDKFIEVNNQSMEDRQKVIDNQVFLSNQQLETAKINNNTKMLDAYTKMLLADTSKMDDGEKARRSKALSRMEAMLFPEGDSGDQGEVQ* >Brasy2G121300.1.p pacid=40065335 transcript=Brasy2G121300.1 locus=Brasy2G121300 ID=Brasy2G121300.1.v1.1 annot-version=v1.1 MSPVTAKTAAGMPRIGLGTAVQGPKPEPVRRAVLRAIELGYRHFDTAAHYETEAPIGEAAADAVRSGAVASRADLFITSKLWCSDAHRDRVLPALKQTLRNLKMEYVDLYLVHWPVSMKPGRFKAPFTAEDFVPFDMQAVWEAMEECHRLGLSKAIGVCNFSCKKLDTLLSFATIPPVVNQVEVNPVWQQRKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMGAGALHEIAASRGKTVAQVCLRWVYEQGDCLIVKSFDEARMRENLGVEGWELTEEEHRLIADIPQRKINQGLRYVSEHGPYKSLEELWDGEI* >Brasy2G121300.2.p pacid=40065336 transcript=Brasy2G121300.2 locus=Brasy2G121300 ID=Brasy2G121300.2.v1.1 annot-version=v1.1 MSPVTAKTAAGMPRIGLGTAVQGPKPEPVRRAVLRAIELGYRHFDTAAHYETEAPIGEAAADAVRSGAVASRADLFITSKLWCSDAHRDRVLPALKQTLRNLKMEYVDLYLVHWPVSMKPGRFKAPFTAEDFVPFDMQAVWEAMEECHRLGLSKAIGVCNFSCKKLDTLLSFATIPPVVNQVEVNPVWQQRKLREFCREKGIQLCAYSPLGAKGTHWGSDSVMGAGALHEIAASRGKTVAQVCLRWVYEQGDCLIVKSFDEARMRENLGVEGWELTEEEHRLIADIPQRKINQGLRYVSEHGPYKSLEELWDGEI* >Brasy2G205700.1.p pacid=40065337 transcript=Brasy2G205700.1 locus=Brasy2G205700 ID=Brasy2G205700.1.v1.1 annot-version=v1.1 MAMVLRRLAGASGSPSAAALLLRPALTRPISTGFREERDTFGPIRVPSDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSRFIPSLEQLYKSLHSKSDEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIACTLPRMYQLAQGGTAVGTGLNTKKGFDGKIAAAVAEETDLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTIGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIEANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKEAALSLGVLTEKEFHELVVPEKMIGPSD* >Brasy2G099400.1.p pacid=40065338 transcript=Brasy2G099400.1 locus=Brasy2G099400 ID=Brasy2G099400.1.v1.1 annot-version=v1.1 MAAASFARSLPLLFLVLFLAGAARGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKKLDLHNNKLTGPIPPQIGQLRHLKILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHQNRFTGRIPPELGTLKNLRHLDVGSNHLIGTLRDVIGIGNGFPSLRNLYVNNNQLIGVLPDQIANLTNLEILHLSNNRLIGSISPKLVHIPRLTYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGTRSKGMHKVLELPEADILV* >Brasy2G456200.1.p pacid=40065339 transcript=Brasy2G456200.1 locus=Brasy2G456200 ID=Brasy2G456200.1.v1.1 annot-version=v1.1 MEATASASAFLAAPLPRARHGRVRVLVAPEQRTRTRRLALAVCAASTSNYVVPLDAAPSGITRPLVEILRDLNKRVPDTIVLPASSRRASDPVVPWYHANRMLSFYAPGWCGEVRDVIYTDSGKVTVVYRVTIRGTDGEVHRDAAGTASLSDARYQDPVAAAEEAAFCKACARFGFGLYLYHEDEVL* >Brasy2G196400.1.p pacid=40065340 transcript=Brasy2G196400.1 locus=Brasy2G196400 ID=Brasy2G196400.1.v1.1 annot-version=v1.1 MASPDTGRTAAQAAEAASASPWPLRKLQSFSPGLWSQYKAYEDVFVERAKVTISDALVLASEHQAEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMIEYKTSIENLRKESKYTLDKVVIGESDLQRGRTDLRSTGKQIQSVISSIYKAESTAAGLMDRLRTIPTRQSLELRAEVASMASDLKSQRYVLEERVNRISEYGVRV* >Brasy2G196400.2.p pacid=40065341 transcript=Brasy2G196400.2 locus=Brasy2G196400 ID=Brasy2G196400.2.v1.1 annot-version=v1.1 MASPDTGRTAAQAAEAASASPWPLRKLQSFSPGLWSQYKAYEDVFVERAKVTISDALVLASEHQAEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMIEYKTSIENLRKESKYTLDKVVIGESDLQRGRTDLRFDGSTTNYSY* >Brasy2G106800.1.p pacid=40065342 transcript=Brasy2G106800.1 locus=Brasy2G106800 ID=Brasy2G106800.1.v1.1 annot-version=v1.1 MAGKPGSLKGVALISGGGVNSTVAGAIHFVQDPSTGHTEVRGKIAGLAPGLHGFHIHAFGDTTNGCNSTGPHFNPHNKSHGAPIDDERHVGDLGNIHANNDGIAEVFLKDIQISLSGPQSILGRAVVVHADSDDLGRGGHELSKSTGNAGARIGCGIIGIQPAV* >Brasy2G400900.1.p pacid=40065343 transcript=Brasy2G400900.1 locus=Brasy2G400900 ID=Brasy2G400900.1.v1.1 annot-version=v1.1 MQGSRRGMAMVVLALALAGMAATSSAAVYKVGDSAGWTILGNINYADWASKQTFHVGDIIEFKYPQGIHNVLEVKKADYESCSNSTPIATHTSGDDRVAIRGPGHRFFICGVPGHCAAGQKLNVRVLKTTRSGSAPGPSKAPAAAPSPASAASPPRGSETGGAASTPPAAATDGGSSSSTTTAAPAPNGAAGVGVGWYLALAAAASMAMLQ* >Brasy2G400900.2.p pacid=40065344 transcript=Brasy2G400900.2 locus=Brasy2G400900 ID=Brasy2G400900.2.v1.1 annot-version=v1.1 MQGSRRGMAMVVLALALAGMAATSSAAVYKVGDSAGWTILGNINYADWASKQTFHVGDIIEFKYPQGIHNVLEVKKADYESCSNSTPIATHTSGDDRVAIRGPGHRFFICGVPGHCAAGQKLNVRVLKTTRSGSAPGPSKAPAAAPSPASAASPPRGSETGGAASTPPAAATDGGSSSSTTTAAPAPNGAAGVGVGWYLALAAAASMAMLQ* >Brasy2G241800.1.p pacid=40065345 transcript=Brasy2G241800.1 locus=Brasy2G241800 ID=Brasy2G241800.1.v1.1 annot-version=v1.1 MAAAAATHEPEQQHGHRAERNNGTATTPPASTIASNRWGPYSGAGDFASNMAVILGALLAALALALALHAAARYLLRRCRPRPRGGGAEDPEKQTPPVAAETPPAPLVYSAAGTKLVGAAAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLAGGRRSSCPTCRAPAASTLQPTPQGAGTRETVAS* >Brasy2G376900.1.p pacid=40065346 transcript=Brasy2G376900.1 locus=Brasy2G376900 ID=Brasy2G376900.1.v1.1 annot-version=v1.1 MAAAAAKAAAAALSAAAAVAVSSERVHAEGGSGFRFPFSSSPTPTPTPPPGAPPPSQPSPAPAAEEAPRVRNDNPRTTAAGFDPNVLERGAELLREYRKYSDSDVKKMFAYLNKVEETRQAELTAQKAEHLKEAATIELDKTRVEYEEKKKLAQQQAEIKAQMARYGDELARKRSHLENETQRARNQELVKMQEESAIRVEQLRRQIEEQIEETRRKTENERAIVERETARLKSTAEAEGRALEKKLSLEVDRRMIWEKANAEREKWVQAINTTFEHIGGGLKTILTDQNKLVVVVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVPSRAMSTMTSKLKNGSNLGKNGNGFGDVILNPSLQKRVNQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARESGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVTDRIDEVLEFPLPGEEERCKLLKLYLDKYIVKAGDKQGKGWFRLFRRQPQKIVVKGVTDDLIQEAAAKTDGFSGREIAKLMASVQAAVYGSTECELTPSLFREVVDYKVAEHQQRRKIAGHA* >Brasy2G225800.1.p pacid=40065347 transcript=Brasy2G225800.1 locus=Brasy2G225800 ID=Brasy2G225800.1.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDQAGVGRHLNHQGHDLYSDAPNLSDVPDEESMCLSAITTIDEAQHDENEQNGSSVTEVLEMSQEVTTTKNKKRVRRSQSYRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKGDTAFMSPPWGGPDYAKVDVYDIKTMLKPCDGYHLFKLATAIASRVVMFLPRNIDLNQLADVCLSVDPPWSVEVERNFLNGKLKAITAYFEEQDPADASN* >Brasy2G225800.2.p pacid=40065348 transcript=Brasy2G225800.2 locus=Brasy2G225800 ID=Brasy2G225800.2.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDQAGVGRHLNHQGHDLYSDAPNLSDVPDEESMCLSAITTIDEAQHDENEQNGSSVTEVLEMSQEVTTTKNKKRVRRSQSYRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKGDTAFMSPPWGGPDYAKVDVYDIKTMLKPCDGYHLFKLATAIASRVVMFLPRNIDLNQLADVCLSVDPPWSVEVERNFLNGKLKAITAYFEEQDPADASN* >Brasy2G225800.5.p pacid=40065349 transcript=Brasy2G225800.5 locus=Brasy2G225800 ID=Brasy2G225800.5.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDQAGVGRHLNHQGHDLYSDAPNLSDVPDEESMCLSAITTIDEAQHDENEQNGSSVTEVLEMSQEVTTTKNKKRVRRSQSYRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKSRRAQLLFHSTRILIRQCPQLCLTKRHVHNCSV* >Brasy2G225800.3.p pacid=40065350 transcript=Brasy2G225800.3 locus=Brasy2G225800 ID=Brasy2G225800.3.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKGDTAFMSPPWGGPDYAKVDVYDIKTMLKPCDGYHLFKLATAIASRVVMFLPRNIDLNQLADVCLSVDPPWSVEVERNFLNGKLKAITAYFEEQDPADASN* >Brasy2G225800.4.p pacid=40065351 transcript=Brasy2G225800.4 locus=Brasy2G225800 ID=Brasy2G225800.4.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKGDTAFMSPPWGGPDYAKVDVYDIKTMLKPCDGYHLFKLATAIASRVVMFLPRNIDLNQLADVCLSVDPPWSVEVERNFLNGKLKAITAYFEEQDPADASN* >Brasy2G225800.6.p pacid=40065352 transcript=Brasy2G225800.6 locus=Brasy2G225800 ID=Brasy2G225800.6.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDRSCQDLADNISTDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVSAGVVIDCFTGVGGNTIQFATKCKHVVAVDIDPQKICCAQHNATVYGVNDQIDFVVGDFIHISPHLKSRRAQLLFHSTRILIRQCPQLCLTKRHVHNCSV* >Brasy2G225800.7.p pacid=40065353 transcript=Brasy2G225800.7 locus=Brasy2G225800 ID=Brasy2G225800.7.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFDQAGVGRHLNHQGHDLYSDAPNLSDVPDEESMCLSAITTIDEAQHDENEQNGSSVTEVLEMSQEVTTTKNKKRIVHVKTWQTTSPLISLSIGINDTPFSPFLIVV* >Brasy2G225800.8.p pacid=40065354 transcript=Brasy2G225800.8 locus=Brasy2G225800 ID=Brasy2G225800.8.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFGKIVHVKTWQTTSPLISLSIGINDTPFSPFLIVV* >Brasy2G225800.9.p pacid=40065355 transcript=Brasy2G225800.9 locus=Brasy2G225800 ID=Brasy2G225800.9.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFGKIRLVLADI* >Brasy2G225800.10.p pacid=40065356 transcript=Brasy2G225800.10 locus=Brasy2G225800 ID=Brasy2G225800.10.v1.1 annot-version=v1.1 MPAAAESSAIRKLGQLFKLSEVHLWDDSYVTGASETQDWYAAETGCSGSQTAKTWNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSTRKEKKNIAIKGKHQGRQAPCEEVNTSTVDDARTCENTEELEGAQELMVCMEHTNSGISSMTAVGYNEVYHADADKMLGEDMVYVEKSGFVTACSVEIIPRDEAHNECEPNDNMSNPVESGSPVRENQAAGSVVQLNKVMLGQNSVNNESIMSCTVDCQRGKSSVREDQMSWETPSVSHDNDVDCEVCPCPAEPSPVNNHVEKSGSDFNYEHGDWKVLWDQFYSRYYFYNVMTQVSTWYPPQGLEDFASYCSTYPSQGLDEPSLQYTRTSVQEHNKSSTKCDKSGLVSCVDNTMDNYISEADQHVVQYEPHMSSCDNGETTFGKIRLVLADI* >Brasy2G168400.1.p pacid=40065357 transcript=Brasy2G168400.1 locus=Brasy2G168400 ID=Brasy2G168400.1.v1.1 annot-version=v1.1 MDNIYRDFSINSTSCNREYNSDGETVFICCSPVLAEDSAQHAETNANRNEIKTPMKPWCSQFSVFETLGVSSAENTVIQSNSQPGVESTKQEAVHLNTQPGVEAMEHEVVQVPISTSLEDTSVGSLDQQDTRLTTKHLDDLMKESVQLPEISSKELLLLGISLGKEKC* >Brasy2G282600.1.p pacid=40065358 transcript=Brasy2G282600.1 locus=Brasy2G282600 ID=Brasy2G282600.1.v1.1 annot-version=v1.1 MGRPRLLNAGGIDPIAEEPHPPRADADPAGLACAISAEASAVLAVMRRSLRHPRAAADDAAADHPLVSSLKALRRLVFSPAAAASPSLPAATLRPFLDAVRSEDAGAAVTSASLAALHEVMALTGPSLPGSALREVVDAVASCRFEAGAEAAAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAAAKGELLQRFSRHAMHELVRYIFARLPQIGSDDGADGTAIPEMGGMDKNHPFGIREMENGNGNYAPEAGTSDENSVDGNGLVVEPYGIPCMVEIFHFLCSLLNVVEQIGFDEDLPLFALKLINSAIELGGSAIGKHPKLLSLVQDELFRNLMQFGLSISPLILSMVCSIVLNLYHHLRTELKMQLEAFFCCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNASSRPELRPVELDEYAPFWTVKCENFLDPQHWVRFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGNHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQAPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHAICRNEIKTTPEQGMGYLEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLTCVDGFLGIAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVYTETVQGKPAPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASGVGFEAIMFIMSEGHLSKSNYAICIEASRQFAESRVGLTDRSIRALDLMADSAINLARWSQDTKGPGEEADKGLEAIREMWLKLLQALKKLSLDQREEVRNHALISLQRCLTATEGICLQSTTWSHAFDLVIFALLDDLLEIGQNHSQKDYRNMEGSLVLAMKLVVKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPDLLRSILAAMKSRGILAKRSTIGGDSLWELTWLHVNNISTSLQSEVFPSQEYEQPNNVGSPRGLNDAETKN* >Brasy2G407300.1.p pacid=40065359 transcript=Brasy2G407300.1 locus=Brasy2G407300 ID=Brasy2G407300.1.v1.1 annot-version=v1.1 MGISTEEDPKERKNNKDSLDEMEMKVAKFSRGKAANLGALRDKKLKGQLAGKERLIGQSAKAAAQAEKWFLPIEGGYLEPEGLEKTYRYQQQSIVQEVDLLSSRKPFDMILPVLGPYTLEYTSNGRYMIVGGRKGHIAMMDMLNMDLIKEFQVRETVRDVAFLHNEQLFAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLDKHFLLVSINSFGQLHYQDMSTGEMIANYRTGLGRTDVMRANPYNAVIGVGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVHSYTARAQSLDFSQKGLLAGSNGSLVEIYKDSGAQDYKVYMEHRMIKGYQVDKVLFRPYEDICGIGHSMGLSSILVPGSGEANFDTFVENPVETGKQRREKEVQALLNKLPPETIMLDPNMIATVRQPKKKEKKTKKEIEEEIEDVVEAAKNTKVKKKTKGRSKPSKRAKKKEEEVLKAKRPLLDQYKETNGQPEKKQRIGEQSELPKALQRFAKNRQP* >Brasy2G152300.1.p pacid=40065360 transcript=Brasy2G152300.1 locus=Brasy2G152300 ID=Brasy2G152300.1.v1.1 annot-version=v1.1 MSDALINGLAGAGGGIVAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVRNEGWERLYSGLPPSLVGTAASQGVYYYFYQIFRSRAEAAALRRSIGGFGDGSVGMLQSLTVAALSGCVNVLLTNPIWVVVTRMQTHRKSNKQQSPALDKAIQTAPVENVPHKTINVIQDLYKEAGVLGFWKGVVPALIMVSNPAIQFMLYESLLKKLKKRRASNLKGADGLTAIEIFLLGAVAKLGATLVTYPLLVVKARLQAKQMITDDKRHRYKGTFDALTKMMHHEGLSGLYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKR* >Brasy2G231800.1.p pacid=40065361 transcript=Brasy2G231800.1 locus=Brasy2G231800 ID=Brasy2G231800.1.v1.1 annot-version=v1.1 MRGSEMRRRAPEFRRQSRRRLPGWIWWLVGIFLVVGLMLFVIHHNQKEQFRPPIVNKGSETEEVFHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEVAVSGRSITQEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQLAAESLPKNLHCLTVKLTEEWLQNTKLRSRSEEHRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHYGAMSTWFLINDFKGCTVEVRCIDEFSWLNAASSPLVRRLSEMETKGYYYGGLKTPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVIFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSQPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWEEQNTDQLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKSIWERYVNFLHPYVRDCMFH* >Brasy2G231800.2.p pacid=40065362 transcript=Brasy2G231800.2 locus=Brasy2G231800 ID=Brasy2G231800.2.v1.1 annot-version=v1.1 MTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEVAVSGRSITQEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQLAAESLPKNLHCLTVKLTEEWLQNTKLRSRSEEHRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHYGAMSTWFLINDFKGCTVEVRCIDEFSWLNAASSPLVRRLSEMETKGYYYGGLKTPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVIFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSQPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWEEQNTDQLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKSIWERYVNFLHPYVRDCMFH* >Brasy2G231800.3.p pacid=40065363 transcript=Brasy2G231800.3 locus=Brasy2G231800 ID=Brasy2G231800.3.v1.1 annot-version=v1.1 MTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEVAVSGRSITQEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQLAAESLPKNLHCLTVKLTEEWLQNTKLRSRSEEHRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHYGAMSTWFLINDFKGCTVEVRCIDEFSWLNAASSPLVRRLSEMETKGYYYGGLKTPEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVIFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSQPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWEEQNTDQLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKSIWERYVNFLHPYVRDCMFH* >Brasy2G441400.1.p pacid=40065364 transcript=Brasy2G441400.1 locus=Brasy2G441400 ID=Brasy2G441400.1.v1.1 annot-version=v1.1 MPPPARASALHSLLRRTSRAHSFRVPSLQTRCFAAANRTALARTFSGQPAGASEPQVRAENTGGVKAGNDELDVAIVGGGMVGLAVACALSNMPLTKHLRVAVIDSNPALKSRNYLTKDGLPDSRVSTVTPATISFFRDIGAWEHILQQRHAFFGKMQVWDYTGLGYTRYNARDVGKQYLGCVVENKVLCNSLLLRLQEQKEDIENMIYPARLVSLAFPSKSRQVGVAGLKPPSTEADSIGHTSGELHRSSLVKLDLSDGQILYSKLVVGADGSKSNVRQIAGIKTSGWSYPQSAIICTVEHIAENDCAWQSFLPSGPIALLPVGDNFSNIVWTMSPEEASRHKSMSPEDFVKSVNHALDFGYGPHPNSSSLDYYMEKLFSGIGDTAASTKESFQVPPKAIGLISERMAFPLSLMHSHDYVSKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVISEGVSVGADIGDLSLLNRYENDRKAANIAMAAVLDGFQKMYSVDFGPLNVLRAAAFHSAQYISPLKKNIISYAMGDKKSPLFS* >Brasy2G441400.2.p pacid=40065365 transcript=Brasy2G441400.2 locus=Brasy2G441400 ID=Brasy2G441400.2.v1.1 annot-version=v1.1 MPPPARASALHSLLRRTRCFAAANRTALARTFSGQPAGASEPQVRAENTGGVKAGNDELDVAIVGGGMVGLAVACALSNMPLTKHLRVAVIDSNPALKSRNYLTKDGLPDSRVSTVTPATISFFRDIGAWEHILQQRHAFFGKMQVWDYTGLGYTRYNARDVGKQYLGCVVENKVLCNSLLLRLQEQKEDIENMIYPARLVSLAFPSKSRQVGVAGLKPPSTEADSIGHTSGELHRSSLVKLDLSDGQILYSKLVVGADGSKSNVRQIAGIKTSGWSYPQSAIICTVEHIAENDCAWQSFLPSGPIALLPVGDNFSNIVWTMSPEEASRHKSMSPEDFVKSVNHALDFGYGPHPNSSSLDYYMEKLFSGIGDTAASTKESFQVPPKAIGLISERMAFPLSLMHSHDYVSKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVISEGVSVGADIGDLSLLNRYENDRKAANIAMAAVLDGFQKMYSVDFGPLNVLRAAAFHSAQYISPLKKNIISYAMGDKKSPLFS* >Brasy2G441400.3.p pacid=40065366 transcript=Brasy2G441400.3 locus=Brasy2G441400 ID=Brasy2G441400.3.v1.1 annot-version=v1.1 MMSSTLQLSVEAWWAWLSLVHCVANMPLTKHLRVAVIDSNPALKSRNYLTKDGLPDSRVSTVTPATISFFRDIGAWEHILQQRHAFFGKMQVWDYTGLGYTRYNARDVGKQYLGCVVENKVLCNSLLLRLQEQKEDIENMIYPARLVSLAFPSKSRQVGVAGLKPPSTEADSIGHTSGELHRSSLVKLDLSDGQILYSKLVVGADGSKSNVRQIAGIKTSGWSYPQSAIICTVEHIAENDCAWQSFLPSGPIALLPVGDNFSNIVWTMSPEEASRHKSMSPEDFVKSVNHALDFGYGPHPNSSSLDYYMEKLFSGIGDTAASTKESFQVPPKAIGLISERMAFPLSLMHSHDYVSKGLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVISEGVSVGADIGDLSLLNRYENDRKAANIAMAAVLDGFQKMYSVDFGPLNVLRAAAFHSAQYISPLKKNIISYAMGDKKSPLFS* >Brasy2G082100.1.p pacid=40065367 transcript=Brasy2G082100.1 locus=Brasy2G082100 ID=Brasy2G082100.1.v1.1 annot-version=v1.1 MAGKRERIAIRRIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFQFASSSMNQIIDRYNSHSKILQRADEPSQLDLHEDSSCARLREELAEASLWLRQMRGEELQILNIQQLQALEKRLESGLGSVLKTKSQKILDEISGLEKKRTQLIEENSRLKEQLQVSKMEMQVAADSPVVYEEGQSSESVTNTSYPRPPPDTEDSSDTSLRLGLPLFNSK* >Brasy2G082100.2.p pacid=40065368 transcript=Brasy2G082100.2 locus=Brasy2G082100 ID=Brasy2G082100.2.v1.1 annot-version=v1.1 MAGKRERIAIRRIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFQFASSSMNQIIDRYNSHSKILQRADEPSQLDLHEDSSCARLREELAEASLWLRQMRGEELQILNIQQLQALEKRLESGLGSVLKTKSQKILDEISGLEKKRTQLIEENSRLKEQVSKMEMQVAADSPVVYEEGQSSESVTNTSYPRPPPDTEDSSDTSLRLGLPLFNSK* >Brasy2G082100.3.p pacid=40065369 transcript=Brasy2G082100.3 locus=Brasy2G082100 ID=Brasy2G082100.3.v1.1 annot-version=v1.1 MAGKRERIAIRRIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFQFASSSMNQIIDRYNSHSKILQRADEPSQLDLHEDSSCARLREELAEASLWLRFADERRGAADSEHPAASGSGEEARVGSRLRAQNQEPENPGRDQRAREEEDATDRGKLKAEGATAGVQDGDAGCR* >Brasy2G082100.4.p pacid=40065370 transcript=Brasy2G082100.4 locus=Brasy2G082100 ID=Brasy2G082100.4.v1.1 annot-version=v1.1 MAGKRERIAIRRIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFQFASSSMNQIIDRYNSHSKILQRADEPSQLDLHEDSSCARLREELAEASLWLRFADERRGAADSEHPAASGSGEEARVGSRLRAQNQEPENPGRDQRAREEEDATDRGKLKAEGASVQDGDAGCR* >Brasy2G102000.1.p pacid=40065371 transcript=Brasy2G102000.1 locus=Brasy2G102000 ID=Brasy2G102000.1.v1.1 annot-version=v1.1 MEVSIDCPELWVDWHMNLLSVLQGKFLQSNERTDRVFARQTDLQVSNSIEGLLLGILAGGLSAYLTTALDVMKTRLQVQRWINKLGTGVAYETLAQNLSMHSMRFHSFSGTVHSSRVVQFWMSLTPRDQPERPEALVWSSRERLVPPKSNVPRHDPNLPLIARQAPEPDTAAGRGIRVNSTTSARLKSAPSLQCCFAAGTSREAPPSQPPSA* >Brasy2G370100.1.p pacid=40065372 transcript=Brasy2G370100.1 locus=Brasy2G370100 ID=Brasy2G370100.1.v1.1 annot-version=v1.1 MAPTLAMRDTFVARPTDVVLATMPKAGTTWLKALVYAIVHRDRHAPPAPGDDDGARRHPLLVSSQHDLVPFLHSLYQNSGSGHPSRLIDAMPLPRILAVHAPLSLVNASGCRVVYLCRDPKDALVSFWHYIEKAKHPGSGSLAPFPEAFELYCDGVSGFGPVWDHMAEYWKESVARPEEVMFLRYEQLKEDTVGSVKRMARFLGVPSTDDEAARRVPEAVVALCGMDRMKGVEANRDGEHGGSGWTFKNSAFFRKGEVGDWKELLTPEMASRLDAVVEEKLRGSGLSLIRD* >Brasy2G435900.1.p pacid=40065373 transcript=Brasy2G435900.1 locus=Brasy2G435900 ID=Brasy2G435900.1.v1.1 annot-version=v1.1 MAKHLKNNVQGLLLLSFALLLCSASLGRTYGARTISDMKRSNLLIGVDSTISDNGDPPYCQEKPDKGDARFCCLLDGLCWNSADECNRKCPCLPTHC* >Brasy2G295400.1.p pacid=40065374 transcript=Brasy2G295400.1 locus=Brasy2G295400 ID=Brasy2G295400.1.v1.1 annot-version=v1.1 MRMLPADRSSSLSAKVKEVAVPFTMKHCSNSNDMLTINKELDLHTKLSKVKERQSDLTIVMAHFCRRDLGNLKNNVFLYYFWRRQEYINIVPFGLYLQLVSI* >Brasy2G289300.1.p pacid=40065375 transcript=Brasy2G289300.1 locus=Brasy2G289300 ID=Brasy2G289300.1.v1.1 annot-version=v1.1 MDLVVLYFTGSATPRSAPGPRPARFTCNATAPRASTCQPSSPTPRQTPPPPPAPSRPPPPPPAHRRPRPQPRRPLLHLYFASSPRPCVPRRALPLLRRCCTAALLPRECRTEEAGARWEAESLEGGGAGGAREAAGHVQGHRRPGTFYPIAGRGFGIVSVCASPSWAVDRRFCSLDFDFGLQFLFELRGTCILIDAIL* >Brasy2G289300.2.p pacid=40065376 transcript=Brasy2G289300.2 locus=Brasy2G289300 ID=Brasy2G289300.2.v1.1 annot-version=v1.1 MDLVVLYFTGSATPRSAPGPRPARFTCNATAPRASTCQPSSPTPRQTPPPPPAPSRPPPPPPAHRRPRPQPRRPLLHLYFASSPRPCVPRRALPLLRRCCTAALLPRECRTEEAGARWEAESLEGGGAGGAREAAGHVQGHRRPGTFYPIAGRGFGIVSVCASPSWAVDRRFCSLDFDFGLQFLFELRGTCILIDAIL* >Brasy2G339800.1.p pacid=40065377 transcript=Brasy2G339800.1 locus=Brasy2G339800 ID=Brasy2G339800.1.v1.1 annot-version=v1.1 MSSSRFSPALQASDLNDFIAPSQDCVISLNKSSSGARRLPIKQKEIVVSNKPPEDSVKISLKDCLACSGCITSAETVMLEKQSLDDFVSRINSGKAVIVSVSPQSRASFAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLALIEACNEFISRYQLNQLSSGKEAGTSLPLLSSACPGWICYAEKTLGSYILPYISSVKSPQQVIGAAIKHHMVEKLGLKPYDVYHVTVMPCYDKKLEAVRDDFVFSVEEKEITEVDSVLTTGEVLDLIQSKSFDFKTMEESPLDRLLTNVDEDGHLYGVSGGSGGYAETIFRYAARALFNREIEGPLDFKILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYQFIEVMACPSGCLNGGGQIKPVKGQSAKDLIQLLEGVYMQDVSMSNPFDNPVVTRLYDDWLVQPGSENAKKYLHTKYHPVVKSVASQLQNW* >Brasy2G205600.1.p pacid=40065378 transcript=Brasy2G205600.1 locus=Brasy2G205600 ID=Brasy2G205600.1.v1.1 annot-version=v1.1 MQGASMVRRFSRLAAAVRTADVPRMPAFDHVPLPYDGPTAAEIARKRAEFLSPSLFHFYSKPLNIVEGKKQYLYDEHGRRYLDAFAGIATVCCGHSHPDIVDAITAQAKRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARMYTGSHDIISLRNSYHGNAAATMGATAQKNWKFNVIQSGVHHAVNPDPYRGAFGSDAEKYARDVKEIIEFGTTGHVAGFISEAIQGVGGIVEVSPGYLPLAYDTVRKAGGLCIADEVQAGFARVGSHFWGFETHGVIPDVVTMAKGIGNGIPLGAVVTTPEIARVLTRRSYFNTFGGNPFCTAGGLAVLKVLEKEKLQENAFIVGSYLKDRLRGLQEKHGIIGDVRGTGFMLGVELVTDPQLKTPAKDEICRAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVDVMDIALSKL* >Brasy2G005100.1.p pacid=40065379 transcript=Brasy2G005100.1 locus=Brasy2G005100 ID=Brasy2G005100.1.v1.1 annot-version=v1.1 MAAEEDAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTKFREMDAFRGRSQTTKGIWIARCIGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVPKPEAHKDTPLSEFFNVEVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKIIRENKDLDLPAHKVMVATVRCDEIANEKFGCLTSDAAWLDLENAVQTGPVQGFGKKLGCIVDVHMQEYDKEAVYFDEAVRKAKRQLLESRILNLVQPVFQKMLSHLRTKALEKFKTGLTLSLESGKGFAAAARETTESSLHEFDQGCADATIKQADWDYSKMLEKVRRDIEDHALSIRESKLSALTSHAKEKLRKGLVEPVESLFDAAGQTTWASIRNLYKRETEAILPEFLKTLSGFEMENTLSEEMVSKLRDYAQSTVENKAKEEAGKVLMHMKERFTTVFSHDKDSIPRVWTGNEDVRAIAKDARSAALKLLSVLAIIRWDDNPDRIENILTSTLLDGSAEAKSSSASHSDPLASTTWEEVLAKHTLITPAQCKSLWKQFKAETEFTITQAVSTQQAHRRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLTKALAVQLDIGREFQNGMVPGILSVSAKLLPTMQNLINKVATDQQQQGQQQRHHPQAAEAPEPQPPPPPLLLSPKSSMNELRRLHMPLSPVRRAASSPSPSSSPMASPRKSTEDQKPRRAVEPDNESHSEYSIV* >Brasy2G005100.2.p pacid=40065380 transcript=Brasy2G005100.2 locus=Brasy2G005100 ID=Brasy2G005100.2.v1.1 annot-version=v1.1 MEEKEERWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVPKPEAHKDTPLSEFFNVEVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKIIRENKDLDLPAHKVMVATVRCDEIANEKFGCLTSDAAWLDLENAVQTGPVQGFGKKLGCIVDVHMQEYDKEAVYFDEAVRKAKRQLLESRILNLVQPVFQKMLSHLRTKALEKFKTGLTLSLESGKGFAAAARETTESSLHEFDQGCADATIKQADWDYSKMLEKVRRDIEDHALSIRESKLSALTSHAKEKLRKGLVEPVESLFDAAGQTTWASIRNLYKRETEAILPEFLKTLSGFEMENTLSEEMVSKLRDYAQSTVENKAKEEAGKVLMHMKERFTTVFSHDKDSIPRVWTGNEDVRAIAKDARSAALKLLSVLAIIRWDDNPDRIENILTSTLLDGSAEAKSSSASHSDPLASTTWEEVLAKHTLITPAQCKSLWKQFKAETEFTITQAVSTQQAHRRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLTKALAVQLDIGREFQNGMVPGILSVSAKLLPTMQNLINKVATDQQQQGQQQRHHPQAAEAPEPQPPPPPLLLSPKSSMNELRRLHMPLSPVRRAASSPSPSSSPMASPRKSTEDQKPRRAVEPDNESHSEYSIV* >Brasy2G332000.1.p pacid=40065381 transcript=Brasy2G332000.1 locus=Brasy2G332000 ID=Brasy2G332000.1.v1.1 annot-version=v1.1 MLRFTPWTVAEQKADVSNDFPKHVYHLTPFSDLSTRVGSQDCFIDVLAQVIGVSKVAYIRLSSNSSDTAKRVIALKDDRNVEMKLVLWGERAEEFDAQLVYDAGQESPVVGVFVGMLMKSYNSEETLSGGSPCRWYLDEDLPEIDSFFERLGDNLVKTQWISAGSEIFAANRSRANLPVKTVSELRNMDPWEMEGMDFLCTVTIARLVPDQAWWFWSCPKCHRSASAYGSEYRCSGGCISPKAYPKYRLCLVGTDGTGAAEFVFFNRVAQQLVGKSVVPLLKSSGVPREIAAIVLPKYTLAISVTEKNLTQRNISFQVNGIEAFLGKQTCMPNETRATPTMPLVSENSQAVGHLSVASASEAHDIPELPIVPIDAPRQPPMTRVRKHSDAIASAEGKEIGASAGNTNIKKIGQNAESVLAGSVEPSNETIMGADSSETQATVPEIPPGYSMAPSAASGDDGPVLPAVPNMKKNSGAKKPKPRT* >Brasy2G094500.1.p pacid=40065382 transcript=Brasy2G094500.1 locus=Brasy2G094500 ID=Brasy2G094500.1.v1.1 annot-version=v1.1 MALRGVWQLQKLVVNYCDWGGSSKGIRAFMASHLPAFKEKNPQLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDIMLQASRLRCSLGRKVVKLRTRHVTKRPSVQGTWTTELKM* >Brasy2G336000.1.p pacid=40065383 transcript=Brasy2G336000.1 locus=Brasy2G336000 ID=Brasy2G336000.1.v1.1 annot-version=v1.1 MATWRPAMLLVAVVALAAAAGWRADALSVTVTDTECIHEFVPYEGDSVTGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYSLKGKSGDKFDFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTSEQKYLKAREARHRHTNESTRRRVMFYTMAEYLAFMAASALQVVYIRRLFSKNVAYNRV* >Brasy2G474200.1.p pacid=40065384 transcript=Brasy2G474200.1 locus=Brasy2G474200 ID=Brasy2G474200.1.v1.1 annot-version=v1.1 MAKPAAAPAPSCLNVQQRHGDAVEDDLLPLDCHPPPITSELLEDLYAGGSFGGPNADLIGQLNALDREHALLERNEEAARVELAELRSLARSFEELYSHATTGRADIVAQLVEVLDDGRRAVVSLGDSCAGRALCVPVLGAVDRALLTPGANVALRDSARAPTLVDVLPADAAWASPLVSESPSVTYADVAGCEQQKRELIEAIELPLTHPELFAAAGIDPPRGVLLHGPPGTGKTMLARAVAHHVSSSSSSGAATFIAVSGSELVHCHGGEGPRMVRDLFRTARARAPAVVFFDEVDAIALSRADSDSAADREVHRILIELLAQMDGFDQSASVSVIMATNRDPDDLDAALLRPGRVDRKVEFTLPGRKDKRLMYAKCTSGMSLGDGVDYLDHLAARDDGMSAAEVDAVCREAGMCAVRARRSVVTREDFQEGYRKVAANVVRGADQFYFYS* >Brasy2G171600.1.p pacid=40065385 transcript=Brasy2G171600.1 locus=Brasy2G171600 ID=Brasy2G171600.1.v1.1 annot-version=v1.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGIVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK* >Brasy2G166400.1.p pacid=40065386 transcript=Brasy2G166400.1 locus=Brasy2G166400 ID=Brasy2G166400.1.v1.1 annot-version=v1.1 MPPLLDMLLLWLLVVSLPVLASAATASTAFVPEDNHLVICGTSASTTDTAGRTFVGDGRLPANVLAAPQSVEANASLSSSNGTGSGDEQALYQSARIFTAPASYTFAIKKPGRHFVRLHFFPFRYQSYDLAAAAAFKVFVQGSVFVDGSYTPKNGTAVVKEYSVNVTGGSLVIAFTPTGKLAFVNAIEVVSLPDDLIADTAETVGSARGLYTGLSARALETVHRINMGAPKITPANDTLWRTWLPDQSFQLDSSLALAEHKEVLPSAIKYGPGLATQWTAPVGVYATATKQSSSGGASTINVQFNVTWRFDAVAAGSDYLLRFHFCDIVSKAATGLAFNVYAGSWLVLDNYEYSRDTINTLGVPVYKDFVLGAEDVKGGNITVSIGSSTVGVGNVLPDGFLNGLEIMRVLGSAGAGAEPSKRSSKVKTWIIAGSAVGGAAVAMALAFIAFRMLCRKRGKPEKKAASNSTLSPFSASALGSRSRSSGKKSNGNTIVLGQNGLGAGYRIPLAVLQEATSGFGEAMVIGEGGFGKVYKGTLPDGTPVAVKRGNRKTLQAMHEFRTEIEMLSRMRHRHLVSLIGYCDARDEMILVYEYMAMGTLRSHLYGADDLPPLTWEQRLEACIGAARGLHYLHTSSATAVIHRDVKSSNILLDETLMAKVADFGLSKAGPELDKTHVSTKVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWAMRWLKKGEVDRIVDQRIAGTIRPQSLKKLADTAEKCLAEYGVERPTMGDVLWCLEFALQLQVASPDDSAIDGMPLAPVATPQVQRIQSIASVATDTAMTANLGDLDGMSMSGVFSKMVKSEEVR* >Brasy2G239300.1.p pacid=40065387 transcript=Brasy2G239300.1 locus=Brasy2G239300 ID=Brasy2G239300.1.v1.1 annot-version=v1.1 MDLTAPVLVIICVPRRAMLDEFVKSLGATAATCHPGCIHSVNATGRAAATAVVAEEQAADCMFDDVNLYRFNHCNCKYKITRAALKTTVEEHDRAAEKARLMERGWQEGLAALKAIQDMCTKLSLNDGKTLDELKMPTTYTEILARVCSLGAWAHKNFEQGTASLASAQVLYKGCIHRFKRQLPGLSDSIQRAVIT* >Brasy2G007800.1.p pacid=40065388 transcript=Brasy2G007800.1 locus=Brasy2G007800 ID=Brasy2G007800.1.v1.1 annot-version=v1.1 MEKVLVSAATGALQPVLGKLATLAGDEYRRLKGIRGEIESLSRELAAMDAFLQKMSEEEPEDPLDKVWMNEVRELSYEAEDSIDDFMARVAAGDGPDANAKPDGFMGKINGMLDRTKARHRIAKAIEDLKRQAVEVSQRNSRYRAGEPAASVPNKSKVDRRALAIFEDASKLVGVDRPKEEVIQLLADDGEPTRQQKPIKLVAIVGPGGLGKTTLAHRVYQELKGGFGCHAFLSVSQNPDIVRVMSNIFSQLNKEYYSAAAQDDLPTLITKIRDFLIRKNKRYFIVVDDIWKVETWNDIKYAFPITGSGSIIIATTRINVVAQSCCSSFSGHLYNMRPLDMVHSRQLFYGRLFNSEEKCPSYLKGISSQILKKCAGLPLAIIAISGLLSDKASKRDKWEQVKDSIGRALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDHIIEKANLIRRWIGEGFIHEQVGYTLHESGEMCFNELINRSLIQPASIDNTFGHEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDSRNKVRRLSLQNDGEIPAGLVISSARSLHFFGPNAKIPFLSDFRLLRVLDYENCSQLEDNHLAGIGNLLHLKYLRFKHAGGLTKLPEEVTRLPRLEIDVLEQGKIMEIPATIRQLRWLTIRDYPTPRNKAYTKVPDEVAAMQGLQVLEVSNVCAQSTEFLVGLGQLKSLRMLGITFVMYRVGIYSNDEDVQTAKIVSSIAELSKAGLESLHIKIDKPSDRILEEDWFPQSDPPSPYGLRVLVIESVGLPWIPTWMASLVNLEKLYISMLRVGEEDMELLGGLPSLQHLCIHCWWGEDESAEMEAAIKRAMEAHPNRPSYSLSHIKYGGRERHGAKWDNFIIFD* >Brasy2G271900.1.p pacid=40065389 transcript=Brasy2G271900.1 locus=Brasy2G271900 ID=Brasy2G271900.1.v1.1 annot-version=v1.1 MPMDKLFLLLPIVLLLLFSFVSSETDDAGALLRFKASVHKDPRNLLSSWQQATPGSGGNGNGTSYCSWYGVSCDGGGRVSRLDLSGSGLAGRASFAALSFLDALRHLNLSGNPALTANATGDLPKLPTALEALDFSDGGLAGALPDGDMQHRFPNLTDLRLARNNITGELSSSFASESTTLVTLDLSGNRLTGAIPPSLLLSGACKTLNLSYNALSGAMPEPMVSSGALEALDVSSNRLTGAIPRGIGNLASLRVLRASSNNISGSIPESMSSCGSLRVLELANNNVSGAIPAAVLGNLTSLESLLLSNNFISGSLPATIASCKSLIFVDLSSNKISGSLPDELCAPGAAAALEELRMPDNLLTGALPPGLANCTRLKVIDFSINYLRGPIPKELGRLGDLEQLVAWFNGLDGRIPAELGQCRSLRTLILNNNYIGGDIPVELFNCTGLEWVSLTSNRISGGIRPEFGRLSRLAVLQLANNTLSGSIPRELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILAGNTLAFVRNAGNACKGVGGLVEFAGIRPERLLEVPTLKSCDFTRLYSGAAVSGWTRYQMTLEYLDLSYNSLNGTIPVELGDMVVLQVLDLARNKLTGEIPASLGRLHDLGVFDVSHNRLQGGIPESFSNLSFLVQIDVSDNDLTGEIPQRGQLSTLPASQYADNPGLCGMPLLPCSDLPPRATMSGLGGDGTAPDSSSNGNKKRSLRANVLILAALATAGLACAAAIWAVAVRARRRDVREARMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSGASLIGSGGFGEVFKATLKDGSCVAIKKLIPLSHQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEYMTHGSLEDTLHLRRHDGDGGSGTPLSLSWEQRKKVARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDAAMEAHVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGTGKEVVDPELLKAAAAAVDETEKEMMRFMEIALQCVEDFPSKRPNMLQVVAVLRELDAPPQEPLPAVA* >Brasy2G124900.1.p pacid=40065390 transcript=Brasy2G124900.1 locus=Brasy2G124900 ID=Brasy2G124900.1.v1.1 annot-version=v1.1 MGSSTPSPSPAPPQSTAPARGASNASLRWGILRRALLARSSSSRASEGTSNEQQKKGDTSEISRKASRGFNLIECHALPISLLSKSQENSLNGNENGVGCQKDVNICYRLPCGGSPELNVVYRREDSLELNDIEASNRYNIDTTGLVCCWPSEEVLAFYCINHSDMFRCKRVLELGSGYGLAGLVIASSTNADEVVISDGNPQVVGYIQQNISMNAETFGRTKVKSMILHWDQEQASDMFNSFDIIVASDCTFFKQFHQSLTRVVKSLLKHSETSQAIFLSPKRGDSLNKFLEIIKEYGLCCELIENYDPIVWNLHKKYLAGDDRSWPNYNKEHCYPLLVRINRQF* >Brasy2G124900.2.p pacid=40065391 transcript=Brasy2G124900.2 locus=Brasy2G124900 ID=Brasy2G124900.2.v1.1 annot-version=v1.1 MGSSTPSPSPAPPQSTAPARGASNASLRWGILRRALLARSSSSRASEGTSNEQQKKGDTSEISRKASRGFNLIECHALPISLLSKSQENSLNGNENGVGCQKDVNICYRLPCGGSPELNVVYRREDSLELNDIEASNRYNIDTTGLVCCWPSEEVLAFYCINHSDMFRCKRVLELGSGYGLAGLVIASSTNADEVVISDGNPQVVGYIQQNISMNAETFGRTKVKSMILHWDQEQASDMFNSFDIIVASDCTFFKQFHQSLTRVVKSLLKHSETSQAIFLSPKRGDDRSWPNYNKEHCYPLLVRINRQF* >Brasy2G124900.3.p pacid=40065392 transcript=Brasy2G124900.3 locus=Brasy2G124900 ID=Brasy2G124900.3.v1.1 annot-version=v1.1 MGSSTPSPSPAPPQSTAPARGASNASLRWGILRRALLARSSSSRASEGTSNEQQKKGDTSEISRKASRGFNLIECHALPISLLSKSQENSLNGNENGVGCQKDVNICYRLPCGGSPELNVVYRREDSLELNDIEASNRYNIDTTGLVCCWPSEEVLAFYCINHSDMFRCKRVLELGSGYGLAGLVIASSTNADEVVISDGNPQVVGSEHIHECRNFWQNEG* >Brasy2G124900.4.p pacid=40065393 transcript=Brasy2G124900.4 locus=Brasy2G124900 ID=Brasy2G124900.4.v1.1 annot-version=v1.1 MGSSTPSPSPAPPQSTAPARGASNASLRWGILRRALLARSSSSRASEGTSNEQQKKGDTSEISRKASRGFNLIECHALPISLLSKSQENSLNGNENGVGCQKDVNICYRLPCGGSPELNVVYRREDSLELNDIEASNRYNIDTTGLVCCWPSEEVLAFYCINHSDMFRCKRVLELGSGYGLAGLVIASSTNADEVVISDGNPQVVGSEHIHECRNFWQNEG* >Brasy2G203000.1.p pacid=40065394 transcript=Brasy2G203000.1 locus=Brasy2G203000 ID=Brasy2G203000.1.v1.1 annot-version=v1.1 MRQPRRDARPGLAVLALIFAFSLGGRGVNASIHEYSGGGFAPRANSFFFHGGSEGLYASEPSSNSSASFIRFDTVTFRRSQESAARHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCKVGEVIIRPNPDNPDWPKRIQTFFDGKNEETTMVPQSVSINKTGMYYLYFMFCDPQLRGLKITGRTVWRNPHGYIPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGITYKVAALGVIYFIASEALELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAMSVLISIAWIGYELYFNATDPLSELWRRAWVIPAFWNVLSYVLLAIICALWSPSHNPTGFAYSEDAGDEADEEGLSLVGSAVKGTGDMVNMHVFPEDKRA* >Brasy2G432300.1.p pacid=40065395 transcript=Brasy2G432300.1 locus=Brasy2G432300 ID=Brasy2G432300.1.v1.1 annot-version=v1.1 MADGSDIVEYDLDNHALDLLDSPDPNTDTFSLMLAEDGGLGVCKVLDPQQLKLWSWEESDDDDTDGEWVLSRVIYLGNLLPNGALPLRRLSERVLFFAEVANVIFVTTNDGVFTIELQSERVKKVCDHHFWSLVPVVSFYTPPRLLNLSEEAGGEEGGVEEEKTVEQAHQLFGKGTNAVKEGDCAHSFISHDLKTRLGF* >Brasy2G116000.1.p pacid=40065396 transcript=Brasy2G116000.1 locus=Brasy2G116000 ID=Brasy2G116000.1.v1.1 annot-version=v1.1 MMGRDELLRRSLVALAAAVVVTGVATASVRKAVATYVYGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKFKPYPLRMTMLATIYGFGLYKWWKYVSS* >Brasy2G392100.1.p pacid=40065397 transcript=Brasy2G392100.1 locus=Brasy2G392100 ID=Brasy2G392100.1.v1.1 annot-version=v1.1 MADVDVEPEVAAGQPKKRTFRKFSYRGVDLDALLDMSKEDLVQLFPARIRRRFNRGLKRKPMALIKKLRKAKSDAPAGEKPEPVRTHLRNMVIMPEMIGSVIGIYNGKMFNQVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK* >Brasy2G108600.1.p pacid=40065398 transcript=Brasy2G108600.1 locus=Brasy2G108600 ID=Brasy2G108600.1.v1.1 annot-version=v1.1 MASLADLVNLDLSDCTDKIIVEYLWVGGSGIDIRSKARTVNGPITDASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGVPIPTNKRHNAAKIFNNPKVAAEVTWYGIEQEYTLLQKDVNWPLGWPVGGYPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGIAASDQLWVARYILERITEVAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREAGGFEVIKKAIEKLGKRHTEHIAAYGEGNERRLTGHHETADINTFRWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLLL* >Brasy2G121400.1.p pacid=40065399 transcript=Brasy2G121400.1 locus=Brasy2G121400 ID=Brasy2G121400.1.v1.1 annot-version=v1.1 MALAVKSHHQMLASSSTSSSSPSSQPLPPPPAASAPPAATSSSCLPADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAATTAGPDQDGGGGTAGAGAGGAAAAASTVPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRMRAEAVPSAVALPVIRPAVLRPQPPPPPSELQLLPAAATKPPLASMPVFSVTTHAATATTTTKLELSIGRDDHDHEEEEEEEAMRRAMEEKAAADGERERAREEAAAAERALEEARRARHRARGELEAACALRDHAARLLAQVTCHACRQQQRSFADAAARGVVVSMGGAEGHGGSAACEALMVRARGGLGL* >Brasy2G339200.1.p pacid=40065400 transcript=Brasy2G339200.1 locus=Brasy2G339200 ID=Brasy2G339200.1.v1.1 annot-version=v1.1 MLKRGGNAIKECIIIYQPIRKIFPAENWRERFPGADKATAVQCPSPQLPMAFRAPTAATPNGHATPSPLPIPRKHAAFSKLSGVANGSRRARLAGAAPSPVHALSPATAAAAPFPPPNAEYLAAEFGGHGVTFEAVGDSCAVKIAVRNGSAAHLLLPSGLVTSYKPAMWHGASTEVLHTTVGEGPGGRAVIRGGVSMDFRCTRVGTGAENDDARPGSSSWWSPGGAWSLRDVRGGPTGSIEVELVSVEPTGSQGGAAEARCVVTLRPEALASEFTVTNPSASPNPMALTGAVANHLRVSTPDATYAVGLQGSDYRSREPMLSEFSILPPDYYSTRPGAASQKMNWLDNLISSGSGGGGRRAPPEQDPDGEEDDDYKHLTAELCRVYSNAPRDFTVIDRGRRNSVCLSRRGFEELYVFSPGSNYEWYGKFAYVCVGPAMLDPVVLAPGSTWHGAQHLRNPNL* >Brasy2G380200.1.p pacid=40065401 transcript=Brasy2G380200.1 locus=Brasy2G380200 ID=Brasy2G380200.1.v1.1 annot-version=v1.1 MNNLFSSSWKRAGDGDLESGGGGVEMSAPPGAAAGASLDKFFEDVESIKDDLRDLDRIQRSLHDGNESGKSLHDASAVRALRARMDADAAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGTSTDRTRTSVVAGLRKKLRDSMESFSALRTRVSSEYRDTVARRYFTVTGAQPDEATLDTLAETGEGERFLQRAIAEQGQGRGEVMGVVAEIQERHGAVADLERSLLELHQVFNDMAVLVAAQGEQLDDIEGHVGRARSFVDRGREQLQVARKHQKSSRKWKFIAIGILLVIILVIVIPIVLKNTKNSSSSSSNNNQPQQ* >Brasy2G469100.1.p pacid=40065402 transcript=Brasy2G469100.1 locus=Brasy2G469100 ID=Brasy2G469100.1.v1.1 annot-version=v1.1 MRCKLHPYANAVGVCAPCLRDRLLALAADRARARAGDADCSSTSGAEDCSSSRGASPPPPRGASPYAAARRSDACLYASSRHRHHQHQQHQPELLFFRTPQVGPAASWTAPALAGDHGSERKKNGAERKRSSSSFLALLFGGRRRRGREEELNEQRSNKFDHQHQPPRRSTSWISAIVRRKRRPDPGASSLPRPADEEPDSPGASSATTTTSSSWWFPSPSPARNHHHHRRGRPRDNTNGGGDGNGMSGFAVCLSPLVRPGSGGGPRRRCQAAPDLGESHRRHLSAGSGAASFGRNTSRKLADVGRFR* >Brasy2G034500.1.p pacid=40065403 transcript=Brasy2G034500.1 locus=Brasy2G034500 ID=Brasy2G034500.1.v1.1 annot-version=v1.1 MGSSHGRRRHAPAGSMTATPAASAAGAGRVSPAVGGNAYRTERVRSSVGFRLLKQKRSLNKCAFIGQYCPANLKMQV* >Brasy2G279800.1.p pacid=40065404 transcript=Brasy2G279800.1 locus=Brasy2G279800 ID=Brasy2G279800.1.v1.1 annot-version=v1.1 MTASSSEVQDSRLLGEGPADGSLGIRCRHGLLPMSRVSLEGKSTGRRFFGCPFEEMDDCGYVYWIDPKWPAYMENALSELWCRVESTPYFSSQDVMFMVQDLKEDRAVKSKAIEEKMKLELKIADMVYEMEHVAKHKKSLQ* >Brasy2G137900.1.p pacid=40065405 transcript=Brasy2G137900.1 locus=Brasy2G137900 ID=Brasy2G137900.1.v1.1 annot-version=v1.1 MVAIKAPIDHIIPPAKPPKAAAAPAPAIPTVDLSSPGAASAVAEACRGVGFFRATNHGIPSSLAATLEARAMAFFALPHEDKVGATIAAAARRPFGYGSRSIGSNGDVGWLEYLLLSLGSNSSSSSSIPGAASLPPPLRAALEEYTGAVRDVSGRVLELMAEGLGLQERGALRRMVDGSEEELVRVNLYPPTKEDCVGMTGFGEHTDPQIISLLRSNRTAGLQILLQGPDPRWVPVAPDPDSLFVNVGDTLQVLTNGRFRSVKHRVVAPEGDQASRLSVIYFGGPAPAQRIAPLPELMREGEQSLYRDFTWGEYKAAAFKTRLGDNRLGPYELLPVAGTNPTALVTASKEPAADHCCSNSSSSARVVVQPPHVARAH* >Brasy2G356500.1.p pacid=40065406 transcript=Brasy2G356500.1 locus=Brasy2G356500 ID=Brasy2G356500.1.v1.1 annot-version=v1.1 MGVRRFVNLLVANRTRFIFSLRRFDMSRDDFFYATPEELASHGRALPIQRKAPEPSSYFLPRKKKPKKNQLAASEIGTIRLPPPLFNMRPTPCRVAKPDEARLDAFALSESNVVLADRHCRVVSYDADSLCAVTMPGLHGPKSDPLAVSVPGGDGGEGSLYIIKRILWPEKDRSFQFEALVGGGGYQGGRYQPLGTWQCQALPLPPMSLSKRTLVCSAAAVGDAICVSVSGAGTYCFDISHAGDWMMPFFGAAEYVPELNLWFGISGRYFKFICAADLSPVARGQPPEPGLFWGHDRHLPDEWHYRLGTPSQMVSLGSGRFCILRYLDTRIPCPDEVIVDKSYAVFTGLEVLPGKGKHGIRMVSHKYRCCRNPETNFIQCLL* >Brasy2G020500.1.p pacid=40065407 transcript=Brasy2G020500.1 locus=Brasy2G020500 ID=Brasy2G020500.1.v1.1 annot-version=v1.1 MSESELSVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQEQKEQKDERSLDEILCFINGDGGSGGGKAAKNKKKNKRRKDHAKNPQKADPEPGNMEGAACAVVGAGNISRAPCQSSDVQDDAEYPFEDGDLDDGLDPALQEELDREVEDFARRLNSVWPERRRIESQLNGGNGSLQRFSGINHR* >Brasy2G020500.2.p pacid=40065408 transcript=Brasy2G020500.2 locus=Brasy2G020500 ID=Brasy2G020500.2.v1.1 annot-version=v1.1 MSESELSVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQEQKEQKDERSLDEILCFINGDGGSGGGKAAKNKKKNKRRKDHAKNPQKADPEPGNMEGAACAVVGAGNISRAPCQSSDVQDDAEYPFEDGDLDDGLDPALQEELDREVEDFARRLNSVWPERRRIESQLNGGINHR* >Brasy2G020500.4.p pacid=40065409 transcript=Brasy2G020500.4 locus=Brasy2G020500 ID=Brasy2G020500.4.v1.1 annot-version=v1.1 MSESELSVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQEQKEQKDERSLDEILCFINGDGGSGGGKAAKNKKKNKRRKDHAKNPQKADPEPGNMEGAACAVVGAGNISRAPCQSSDVQDDAEYPFEDGDLDDGLDPALQEELDREVEDFARRLNSVWPERRRIESQLNGGINHR* >Brasy2G020500.3.p pacid=40065410 transcript=Brasy2G020500.3 locus=Brasy2G020500 ID=Brasy2G020500.3.v1.1 annot-version=v1.1 MSESELSVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQEQKEQKDERSLDEILCFINGDGGSGGGKAAKNKKKNKRRKDHAKNPQKADPEPGNMEGAACAVVGAGNISRAPCQSSDVQDDAEYPFEDGDLDDGLDPALQEELDREVEDFARRLNSVWPERRRIESQLNGGINHR* >Brasy2G254900.1.p pacid=40065411 transcript=Brasy2G254900.1 locus=Brasy2G254900 ID=Brasy2G254900.1.v1.1 annot-version=v1.1 MVKYQQATGSRSYEVQVQEVFLVEKYKDKPPDAVDLFKETHFSTKKGFSDNAQAAIDSMGNIITREPESAAEIVSEVLNESTKNNRFLERIGLPPIFSSRTSNSSLLEKLQAERDGNAELRGAIEELKKAHEEAKVAQEEARKAKEEADAARVKNEEEMQAMKKKLEADEALLRQVLRRLQTQ* >Brasy2G448800.1.p pacid=40065412 transcript=Brasy2G448800.1 locus=Brasy2G448800 ID=Brasy2G448800.1.v1.1 annot-version=v1.1 MSAPPSPVASADQSPPEFGGIANPANMEDHDHIANEQEVITNDSQNMQEKEDLDHIANEQEEVITTDSENMQEKLHTQEESSAITIEELEYFEPTNKLADNQTSQEIPECTEDETMEDILTMPMQMEKPMRRHNKPKKAKDYVVTPEDYRCAIDDFSVIERIKSEPSVKKKLVSIGDTSLTKEDLIRLLNPREYAGDEVVNAYIYCISGEEALQVRSGGSVFFETSLVSKLIQDCANKPKDEIPEWIVERVKKYLEHDMLVAVKKLFRIASQQTELNSDKWKDLNVTTWSREECVKSTMQTDGSSCGLWMLNFMEYWTGDILSDIPNQAMSKFARDAGCREMLDVDQLAQLFRSWPGGIDEYHISDCDTIYLPYEIYGLYMLFVFNLQKKIVYILNPLPIKSWGEHLFKTMEMGKNLNLALEVANPGWNDDICKWECKVSDVIPRNYHGGLSGYLVFNFMHSYHNERLHYSIPTGNFLLKRRFLSHILKHELNEVVDNISPEERDVLDRIEKWTFTDLIE* >Brasy2G395000.1.p pacid=40065413 transcript=Brasy2G395000.1 locus=Brasy2G395000 ID=Brasy2G395000.1.v1.1 annot-version=v1.1 MPRKESSSRRRRRRSPSPSDSDDASDSSGSPRRSRSRHRRRSRRKATPPSSSDASDSQASGSGSDSGDRRRRSSGSRKRGGVTEEQIVEYMAKKAQKKAEKVAKKMKTNAVSGYSNDSNPFGDPNLTENFVWRKKIERAVTEGEKVDISVKSEKKRQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKFRSEIRLREGRTKPIDVLLKNLNFADEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQVNVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPEAAVEERGLHASIEGDVKDLLEGKTSTELEEMQNQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASLLRKHLHRLENTGTAEQVVEADEELDTKEDDVMHDEEEDDKQYSPEPIAAQTENYLDEEAGSFSPELMHGNEDEDAIDPDEDKAELDRKREAVVIEHQRKVKEVMTAKATKPDELEVKAMKAMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSAGETCHIRFHAGPPYEDISFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR* >Brasy2G353300.1.p pacid=40065414 transcript=Brasy2G353300.1 locus=Brasy2G353300 ID=Brasy2G353300.1.v1.1 annot-version=v1.1 MRVQAQVTRQEKTNKRVARGARTAHLQAPVLHHTVPTKPTPALKPNVEHLPLAMSSAMPEEPRPVHFGIMGCATIARKVACAMLLAPAASVAAVGSRSEEKARAFAADNGLPAGVRLHGSYEGLLDDPDLDAIYIPLPTSLHVKWAAAAAARGKHVLLEKPTALCAADLDAILAACESNGVQFMDSTMWMHHPRTAKMRELVANKGTIGDVRVINTVFSFQADEDFLHNDIRVKPDLDALGALGDVGWYCIRAILWAVDYELPKSVAAFRNPVKNQAGVLLACGATLYWGNGKIATFHCSFLTNLTMDITVVGTNGNLHVTDFVIPYEEKSAPFSVASKSSFAELHTGWDPHPSKHVVTTDLPQEALMVQEFSRLVQSIRDAGSKPEREWPAITRKTQIVMDAVKTSIDSGCEPVNVAS* >Brasy2G218100.1.p pacid=40065415 transcript=Brasy2G218100.1 locus=Brasy2G218100 ID=Brasy2G218100.1.v1.1 annot-version=v1.1 MPAASAAFLFPDDGAAAASSSQSRARAAIHAGGVGSLLSSPQRRPAFPPAGILTPRTRMTLPIVTSDCPDDPSPMRWPSRHPVQPSVALCRPMPTPLMALPRRSRRLLFPSARRFPPAPPGRWAAAAFHVLDGMLGLHLRVAW* >Brasy2G383700.1.p pacid=40065416 transcript=Brasy2G383700.1 locus=Brasy2G383700 ID=Brasy2G383700.1.v1.1 annot-version=v1.1 MAQRAAAAMGQKRKRPDEESAAAGLCANGCGFFGAAANAYMCSKCYRDHVVAAADGTAPSVFAPAAAASSSTASPEKKAKISVAVDPAVAAANRCATCRKKVGLMGFRCRCEGTFCSVHRYADKHACGFDYKTAAQEQIATKNPVVVADKMVTRI* >Brasy2G407000.1.p pacid=40065417 transcript=Brasy2G407000.1 locus=Brasy2G407000 ID=Brasy2G407000.1.v1.1 annot-version=v1.1 MAAASLRKGNARLPPEVNRALFVRNLPFNISSEEMYDIFGKYGAIRQIRLGNGKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPTKMGKKTNIKQKEDEITRLQEKYGIGSKTPSSSDM* >Brasy2G326400.1.p pacid=40065418 transcript=Brasy2G326400.1 locus=Brasy2G326400 ID=Brasy2G326400.1.v1.1 annot-version=v1.1 MGLFPRSCRLPAPRFQIRATVAARLMLQACGGSCRQGSSAIAQRPMPFAICSYQGFNRRHSFRRSQVSMIVM* >Brasy2G119500.1.p pacid=40065419 transcript=Brasy2G119500.1 locus=Brasy2G119500 ID=Brasy2G119500.1.v1.1 annot-version=v1.1 MASPPQHPPATAPVPAVTPAHPATASQPLPRAFLATSAPPRAAAATTPPVAPFVFTARALNTNPSPPQQPPATAALPTETPAHPATTSQPLPRAFLATSAPQGAAAATPPPPPPFVFTARALNHNPSPPQQPPANAALPTETPAHPATASQPLPRAFLDTSAPPRAAAATPPPPPPFVFTSRALNPNPRPASPAHGITYPVATSSAAANQRRAPHVAVGYPRANAVALPIAPSQQPQVPTQPRLPAAAPRAVVAGVTPSPRPELPPRGVPIAPQPQPKVNPVPAVALTPFPQPQEQSNAKERESTKEDSATVVIHGRKVNLSDSESGSLYALCRSWVRNGVPHEIQPSFVGNVAPVLPRPLPASVVDSRMSGKDKEAENKEPKENKNDTGEYTTAGLLKELVDRAKKIRAELRKERQSRIGRYNQRLALLLPSQPLPPSEPGRHDRGP* >Brasy2G401800.1.p pacid=40065420 transcript=Brasy2G401800.1 locus=Brasy2G401800 ID=Brasy2G401800.1.v1.1 annot-version=v1.1 MTKLVWSPLLVLLSLSMMSNASSANGIGSSVVFSVDSYGARGDGKHDDTQALAKAWTAACSSSRPAVLLVPKGKSYLLKLITLSGPCKSNVVFMIKGTLVAPESRSDWSEDNRRHWILIHGVSGLTVTGGGTINGNGDIWWKNSCKIDKALPCKEAPTALTFHMCDDLTVENIKIVDSQQINLSVEDCNDVRLARLSITAPGTSPNTDGIHITRSKDVQVRDCLIKTGDDCMSIEDGTHNLRVSKVVCGPGHGISIGSLGDDNSRAEVSSIYIDSVQLYGTTNGARIKTYQGGSGYAKDIVFQNIIMDNVQNPIIIDQNYCDSAKPCKSQESAVEISNVVFKNIRGTTMSKDAIKLNCSNSVSCSDIVLENINLKMEGGEGETESTCQNARWRKSGNVSPLPCKNKN* >Brasy2G496300.1.p pacid=40065421 transcript=Brasy2G496300.1 locus=Brasy2G496300 ID=Brasy2G496300.1.v1.1 annot-version=v1.1 MREIWSNSPLTIHERFTPSRFVNSRLTRASPVDFVNSRLTRAVELQPISRRFRYRSRTGVELSGPGNSTFQVPTLLPSPPSSAKPVLFLLSRRWARAAAASKHQPAATAVAAAPQYSPEEVLWIRSPPPPPSLYFRGRHDPHPLPRCPDPMVDQLAAPAKLIVEPYPNQPLDLEQF* >Brasy2G450300.1.p pacid=40065422 transcript=Brasy2G450300.1 locus=Brasy2G450300 ID=Brasy2G450300.1.v1.1 annot-version=v1.1 MAAGVVFTPSDHDLVVHFLRPRLAGADVFGRGRFFHDADACSAAPADLVRGRDPAPGTNKLDSKGREQSTWYFFSPAQRRQTNGGRGGGRRQQAVGGGSEGWHSEGGGEKPVLDSDGRQVGYLRKLAGIKKTPGQPKTRTGWCMTEYAIDGNDDRLVLCKVYRLRSRNKNTETASMAVGSKKRKAADDDELYALGVYAANQQHKNLCRRQEMPPQLQEIDTMAGAEQVMEDEGTEQQQGGGGRDDEEEGRFECALEELLGGGGV* >Brasy2G098900.1.p pacid=40065423 transcript=Brasy2G098900.1 locus=Brasy2G098900 ID=Brasy2G098900.1.v1.1 annot-version=v1.1 MALCKRPAADLDAGQAVQEACCCKRRRLRIGSTADYDEMCCLGEGSFGFVVKARHRATGKIVAIKALRSPDEINGEPPAAANELLHEARFLEAASSGNTYVVASHGLVRDLRTKNLCLAMEYVGPSLHAFLHQSPRLPESTVRSFMLQLLTGAEKMHERNIVHRDIKPANILVGEDGKIVKMCDLGLAMSLKTEPPLYTEAGTLPYMAPEMLLGKPDYDARVDTWSLGCVMAELITGETLFKEVRDETGQLLDIFHVLGSLDEKTWPEFASQPRAAMVMLLLELEEREGRRHKRTLGDFFSEEMLSDEGFQVLEGLLTCNPDKRLTAAAALKLPWFAPPTVKPIKIFPPATPAKTKEPPKKKNVVLIKRNIPLRAISKEKTKKNVLRVSLAMWKKAQINNIPPAAALKNKKNALRIPLVMWNKAQLV* >Brasy2G438200.1.p pacid=40065424 transcript=Brasy2G438200.1 locus=Brasy2G438200 ID=Brasy2G438200.1.v1.1 annot-version=v1.1 MENISRRRQDFRVLRFHSWNPLPTYKTADASPRTSLLSSPDLPFPRSSSSSSSERKVVLDKFSGRSRGFAFVTFDEKKDMEAAIEDMNGLDLDGRAITVDKAQPQGAGRDRNGDRDYDRDRGSRNDRGRDSGGGRAPRGSGGGGDCFKCGKPGHFARECPDGDGGRGDRYGGRDDRYGGGRSDRGGDRSDRGGDRSDRGGDRYSGRSRDGGSGGDRYSRDRSGPY* >Brasy2G438200.2.p pacid=40065425 transcript=Brasy2G438200.2 locus=Brasy2G438200 ID=Brasy2G438200.2.v1.1 annot-version=v1.1 MENISRRRQDFRVLRFHSWNPLPTYKTADASPRTSLLSSPDLPFPRSSSSSSSERKVVLDKFSGRSRGFAFVTFDEKKDMEAAIEDMNGLDLDGRAITVDKAQPQGAGRDRNGDRDYDRDRGSRNDRGRDSGGGRAPRGSGGGGDCFKCGKPGHFARECPDGDGGRGDRYGGRDDRYGGGRSDRGGDRSDRGGDRSDRGGDRYSGRSRDGGSGGDRYSRDRSGPY* >Brasy2G438200.3.p pacid=40065426 transcript=Brasy2G438200.3 locus=Brasy2G438200 ID=Brasy2G438200.3.v1.1 annot-version=v1.1 MADVDEYRCFIGNLSWSTTDESLKDAFRKFGNVTEAKVVLDKFSGRSRGFAFVTFDEKKDMEAAIEDMNGLDLDGRAITVDKAQPQGAGRDRNGDRDYDRDRGSRNDRGRDSGGGRAPRGSGGGGDCFKCGKPGHFARECPDGDGGRGDRYGGRDDRYGGGRSDRGGDRSDRGGDRSDRGGDRYSGRSRDGGSGGDRYSRDRSGPY* >Brasy2G438200.4.p pacid=40065427 transcript=Brasy2G438200.4 locus=Brasy2G438200 ID=Brasy2G438200.4.v1.1 annot-version=v1.1 MADVDEYRCFIGNLSWSTTDESLKDAFRKFGNVTEAKVVLDKFSGRSRGFAFVTFDEKKDMEAAIEDMNGLDLDGRAITVDKAQPQGAGRDRNGDRDYDRDRGSRNDRGRDSGGGRAPRGSGGGGDCFKCGKPGHFARECPDGDGGRGDRYGGRDDRYGGGRSDRGGDRSDRGGDRSDRGGDRYSGRSRDGGSGGDRYSRDRSGPY* >Brasy2G299600.1.p pacid=40065428 transcript=Brasy2G299600.1 locus=Brasy2G299600 ID=Brasy2G299600.1.v1.1 annot-version=v1.1 MDVLKRELQRKRQQLDADFGGRKVLRRAEIEAREIQRLRVAERQLLLHKRQLRSPSTAVSPAASSSRLPASTVGEEPNADRPGDPKESLPREEVIRRLRLLRQPATLFGEDDAARLLRLHAVLEDPDAIADVDAVEIGEGQTNDFLRDIQALRAKAAAADPKPKAGAESSDGGNEERELPFEELCDEDKIAVFFKKLLREWSQEVDEMPEAERRTAKGKAVVATCKQCARYLEPLFRQCKKKALPDDVQRALLDMVNCCMRRDYLAATDNYIKLAIGNSPWPIGVTMVGIHERSAREKIHTNSVAHIMNDETTRKYLQSVKRLMTFCQRMYPTDPSKSVEFNSLANGSDLQALLAEKNAKNSEETLRLVAAS* >Brasy2G471400.1.p pacid=40065429 transcript=Brasy2G471400.1 locus=Brasy2G471400 ID=Brasy2G471400.1.v1.1 annot-version=v1.1 MDAADVLGLGLSPGFKFNPDDEELVEYFLLRRLLGQKLPLEGMIYEDDPLRAPPWKLLSDHGRKGEAFFFAAGHAIHGGSSRRQKRTCEGGGCWEGQGQKGRKDGNGDNKKLRVVNSDSGGVMEVEWKKYMLNFHIDGEDGSTGWVMHEYVVTAPAALVSPTSVRAYRIRLSGHGKKRKWEDEDYCGGEDDGGLARAAARRARTEEPDLAIQDHISGASSFVFPDQDSSQVVTDDQPLQVDFSEGLFVPDNSSWFDPGADLGSLYSTPPSDQEARPGVVNGAAAPAAGTTLPLGQGSSSTGGFMDEPLWDFVLPENMDLSDLGEFLDFTQCGLQQADLDAAGDHR* >Brasy2G414700.1.p pacid=40065430 transcript=Brasy2G414700.1 locus=Brasy2G414700 ID=Brasy2G414700.1.v1.1 annot-version=v1.1 MGAEREANPSVLTAVASLQTYSIALAAFNSAWSSLYSDATAVDSALAARLEGFSQIELLSSAMDGPGLRAYLIQHREELRDPTRALDAALLVAPDPGLLVLAAAAGFCRSPLAEGETNGDSKVACRLLIDLLDRIRALGVKPSLEAREEARAVAADWKRSKRIEAQAVFKNETIAFLLLVGVFGLVEDVGGTDQVLDLVVSISSRERAVEIFLGLGLDLDKHMPVLTQTMINKGKQLDAVKFIQALDLAHKYPLLPVLRSYINDAKNAGNMIRIRGDGPASQDAGDAKERTLLGALQKFIKEHKLEELPVLEEAKKRMTQLDQQRAERKRAASAAAAAAAAHEVSKNILEASKRPLFPDNAVQGSLSRNVRPVGTMGQQVMSRQSILTTGVSNQYQVASSQNILSAIPHNPLLPPGNHHPVGIQNQALVSPTIQTQYGGVADFYGLASIRPSGLNVQSVNTSSRSKLYSADPLGSVSGASDSKGSSYSYSLTNMSKYNA* >Brasy2G339300.1.p pacid=40065431 transcript=Brasy2G339300.1 locus=Brasy2G339300 ID=Brasy2G339300.1.v1.1 annot-version=v1.1 MVATNSTGRILHTYDTVMHGFAFRLTDDEARRMSRIPGVFGVHRDRVYHTQTTRLPGFMGLHADFGAWPDSEFGDNVIIGVVDTGISPESSSFNDSGLGPVRPGWKGKCVDGEGFSASSCNRKLVGAKVFIDEVNGIFTPRDKFGHGTHVASTAAGSKVHGANMLGFSHGSASGVARMARIATYKACNTLTCTESAIVAAIDAAVSDGVDIISLSLGKLQDPAFYDDMVAMATFGATRRGVFVVFAGGNSGPTASTVTNVAPWMTTVGAATTDRVFPARLGLGNGVVLTGQSLYDMEAQGMNMTQLVYNSCEETDLTPDNVMGKVVVCTSLAGASNGFYVQRAGGAGMVAVRSDERFWDGVPARAFSLPTLTLSSTGGKKLDAYMASAVPYPVASFSFSSHTVTGEKRAPMVVGFSSRGPNPLAPELLKPDVVAPGVNILAAWPGDLSPTNNGIDPRRVEYNIVSGTSMACPHVAGVAALIRKRHGNWTPAMVRSAMMTTAATLDRNGRGIVDNGSGNDTTPLEAGAGLVLPRLAMDPGLVYDAGANDYVAFLCSLNYTVEQVRRFVPDLASCRRTPPGGAAGLNYPSMVVVFDGHTEARALTRTLTKVSLRPETYHVTVTAPAGIKVVVTPATLEFKEPKEKKTYTVEFRRQEGGSVKPAGSWDFGYISWENRKHRVRSPVAFLWNN* >Brasy2G346700.1.p pacid=40065432 transcript=Brasy2G346700.1 locus=Brasy2G346700 ID=Brasy2G346700.1.v1.1 annot-version=v1.1 MASAAPHVMVLPFPAQGHVTPLMELSHRLVDHGFQVTFVCTEPIHALVLDALRRDTDGNSDALAGIRLASIPDGLADGDDRRDLCKFLDGVSRRVPGYVEELIRETKVKWLVGDANMGLCFEVAKRLGVRVACVWPASAAGLGTLLRVPQLIQDGFFDDKGFPKRTGAFELFPNTPPMYTSHMPWSIDGATEGQEVSFRLVSRNTQATSLAEIVVCNSFLDAETAAFDLFPDIVPIGPLFADQELRKPVGQLLPEDTRCLAWLDAHPDSSVVYVAFGSFTVFDPRQFRELAEGLELTGRPFLWVVRPDFTSGGLSKAWFDEFRSRVAGNGRGMVVNWCPQQQVLAHRAVACFVSHCGWNSTMEGIRNGVPILCWPYFVDQFANRSYVCDIWRTGLAVAPGEDGVVTKEEVNTKLEQIIGDEGIAERARVLKDAACRSVSEGGSSYQNFKKFVSLLSG* >Brasy2G409800.1.p pacid=40065433 transcript=Brasy2G409800.1 locus=Brasy2G409800 ID=Brasy2G409800.1.v1.1 annot-version=v1.1 MSCGSDGCRDGGGSEEFRRPRPSKVAADDSVEPARYSSDATSPVSWIDRKLLVDPKMMFVGSKIGEGAHGKVYKGKYGDQIVAIKVLNSGSTPEERATLEARFIREVNMMCRVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDIHTAIGYALNIARALECLHANGIIHRDLKPDNLLLTANRKKVKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQVRPPFPEETPQELVFIVQSCWVEDPTLRPSFSQIIRMLDAFLMTIPPPPPSESDEEETESEDTTSSLSSKSSSVSSIVSRATSKLSVVRHLFASKKAGNGKT* >Brasy2G095000.1.p pacid=40065434 transcript=Brasy2G095000.1 locus=Brasy2G095000 ID=Brasy2G095000.1.v1.1 annot-version=v1.1 MSDSSRSVPPEQLPSEDLYSPLMPVINLGHYVLEPTTRSCMVEDIAKACHDLGYFQVINHGISQSVMDDAVQAASDFFKLPSGAKEEFASDDIRQPVRYDTSSKDGISISRAFLKHYAHPFSDWMQYWPENPAIYREHMGKFSVEVRRVALQLMEAILEGLGLGKDYQHEKFEEGLQLLNRDGTTFYYGFLTILLPSCQGLEVVDRNSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRALLNPEEERISIASIHGFALHETVSSAKELVNEKNPEKYNESSFNDFLDHLTRNKDNKHNNFLESLRTQGA* >Brasy2G064400.1.p pacid=40065435 transcript=Brasy2G064400.1 locus=Brasy2G064400 ID=Brasy2G064400.1.v1.1 annot-version=v1.1 MQNRSPAAASASASPTVSAAAVMVPSIGGVEPAVTLDQVPRWSDPDQRLYSSSPTAAGSETAASPFISFADPLTGDDAAAGAGGRGAARFPVDHEVNSKLYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHASAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAESALSHCYRSCLELLVENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKISALVFCTTSSSDTEIYKRLLPLYFPRDKQEEVIASVKLPADVGDENGEPIIDERKIRIKTLPAEATNSKYAVSPPADIPLAGSGLTRRRNSKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQASKGFNCAKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPIMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGFMKRLEQMLGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGDVWKKVVYVDRLMQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG* >Brasy2G003300.1.p pacid=40065436 transcript=Brasy2G003300.1 locus=Brasy2G003300 ID=Brasy2G003300.1.v1.1 annot-version=v1.1 MLVHRQRRRQQQQQQHTTPVATHCSTERNFTVGSAYQGNLDRLLQGLGESAIQNGGFRGGRFGGPGTGDEAFAVIMCYVDRSWPDCRDCVHRASSFVSAVCLYNAAAAVMYRSCVVQYAADESATRFPIAGDGKFHRYTSGSQFVGDDAVALNRTSTSNNTSNNNNSNRQVVYGLVQCRRDLAPSDCTRCLNDLVGEMTTKLRKHTGGTVMRFSCSIRYNLNQFNIGIPAPGW* >Brasy2G213600.1.p pacid=40065437 transcript=Brasy2G213600.1 locus=Brasy2G213600 ID=Brasy2G213600.1.v1.1 annot-version=v1.1 MDVRFRPVHFYYHGSRTSPGLNEWRRSYALFTRLSRAPALRTSFTTRHPYGPLVPPRTSSGHVSRKHRTSDTTRVHIYQSSRHPGHHLLSRPEVRRGTSRSLLSTIGTSSSPLFRLEVRRGSSSPPLFRLEVRRGSTSRVPG* >Brasy2G037400.1.p pacid=40065438 transcript=Brasy2G037400.1 locus=Brasy2G037400 ID=Brasy2G037400.1.v1.1 annot-version=v1.1 MGMAGLINTRTSLRHPLSVPRSFSNQSSQASQLGTRANPLFPCAKLSKAGAVVMAAMEVSKAPSSFDLANRQPSKDVLEIWRNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALTARMSLIKPSLSQVEDCLEKRPPRISPGIADLIKKLKANNTEVFLVSGGFRQMIKPVAFELGIPTENIIANQLLFGTSGEYVGFDPAEPTSRSGGKAHAVQQIKQDRGYKTLVMIGDGATDLEARQPGAADLFICYAGVQMREAVATKADWAVFEFQELIAELP* >Brasy2G230000.1.p pacid=40065439 transcript=Brasy2G230000.1 locus=Brasy2G230000 ID=Brasy2G230000.1.v1.1 annot-version=v1.1 MRSTIVEAYQTDREDRGGSLRFSAWNRFQQAVGRTASYRVHLEIEGVPPHAWSSSTAAALLGPACAMERLGTSTVNREDMGCLSVYAWTSDPCLIPRGKRLQIPEAPVVEDAEEDDLLVPPEMLIPSEVNLLEYDVLIHLLWVETTTASTDWPSSDEWLSDDGGSGHNGDPDRGYGDRRPAGGTRQNFFRCSRGRVDEDDFGDHPGGFRRHFAGRGVGAVRPSAPLSAEAPEFRPARRGFSLAPDPLLEWMPSLPWTADEWDPMRLEADSPPPSPSMGASLDLPPINYDEVSFSEVVSSPVSSPVAAEGSVMSTFMVRLSDVAPSPPAARSPAAGPSAESARQVEAFRSMVRRRSSPVLARPVSRRPRKKVVQPCSPRRSKRLAGQGAASVGAKRQQGVLMHRLGVAREGDRIGDEALQAYIRLFDQPLSQEHITAILSLFGWESMALPLEEGNGVEVLG* >Brasy2G198700.1.p pacid=40065440 transcript=Brasy2G198700.1 locus=Brasy2G198700 ID=Brasy2G198700.1.v1.1 annot-version=v1.1 MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEVHLPSFERRVVERGDVNQVPHKGGNDVTAEKQVAPGNISDGNIEVHRQIGSEPSRDSTKQKVLPPPKIEQPKPIEVPGHRRKDFSENSSMRHPKVPSADELEKAKACQLEFGSYCLWSIEHKEVMKDAIVKRLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQEHERVLSESIVDADLPSFIKRKIEKMDHAIARAKSCTVDCNNVDKKLRQILHMTDDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSTALDSDDSSIHQFNIPDHRHYVILSKNVLAASVVINSSVSSSEETRNVVFHVLTDAQNFYAMKHWFSRNAYRESAVNVINYEHIILENLPEYSMQQLYMPEEFRVFISSFERPTEKSRMEYLSLFSHSHFFIPEIFKDLKKVIVLDDDVVIQRDLSFLWNLDMGDKVNAAVKFCGLRLGQLRNLLGEAAYDPQSCAWMSGVNVINLDKWREYNVTENYLQLLEKFRNSDDEASVRAAALPISLLSFQNLIYPLHERLTLSGLGYHYGTEEEAIRTSASLHYNGNMKPWLELGIPNYRKYWKRFLARDERFMDECNVNP* >Brasy2G123300.1.p pacid=40065441 transcript=Brasy2G123300.1 locus=Brasy2G123300 ID=Brasy2G123300.1.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPGSFLPQKKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.6.p pacid=40065442 transcript=Brasy2G123300.6 locus=Brasy2G123300 ID=Brasy2G123300.6.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPGSFLPQKKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.2.p pacid=40065443 transcript=Brasy2G123300.2 locus=Brasy2G123300 ID=Brasy2G123300.2.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPGSFLPQKKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.3.p pacid=40065444 transcript=Brasy2G123300.3 locus=Brasy2G123300 ID=Brasy2G123300.3.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPGSFLPQKKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.4.p pacid=40065445 transcript=Brasy2G123300.4 locus=Brasy2G123300 ID=Brasy2G123300.4.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.7.p pacid=40065446 transcript=Brasy2G123300.7 locus=Brasy2G123300 ID=Brasy2G123300.7.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G123300.5.p pacid=40065447 transcript=Brasy2G123300.5 locus=Brasy2G123300 ID=Brasy2G123300.5.v1.1 annot-version=v1.1 MEKEASEEMSPPPQPVDFPLATLLRASDPDQKPAKKPTRQWAAWTHQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKILGPEFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLRPRRMKTFVETLGNQLLKDRKRTRRKCPQGDMYLASSPILSKTPGNQSSSVELLPMDAQDGSVVAYSKGAFSKRVVETNSNRSGAIKGDLSATRTVKQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEEIERSIVTQNLCNVDARPLASSPNNAATVEAIGTNHMKEADPQAPSKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCMIPEDVQVKSSSEACAQKDSTSLGGFTSEHPSCNSNDQSSLLLGIPSSTDKNAEEEQSTNVSPSQSGSQQQEQVPEVYQVKKCVAISEAEWADTLTDISVGYLLTEASKGAKLDCPGTSSVKNALLDNSCSYDSFDAAVALHTSRYQVAEQSAHTSHSNIWGAEETCDEFSFKSAAGRKQEGSDTLAGSPPDSDNEVHSPNSEGFRGFLEDLAGGEVADNPCIYDVKDIELCAVSPPQNDKDSGLKDQSLADIYWPDSLGPLDLEIPSTRYQPEDFFLGDSQNSWGRMMASSLDAFRNLSFFTSDKNDSIPPIM* >Brasy2G068600.1.p pacid=40065448 transcript=Brasy2G068600.1 locus=Brasy2G068600 ID=Brasy2G068600.1.v1.1 annot-version=v1.1 MASLGDVANVAQVTGLDAVRIIALIVKAASTARMHKRNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEEALRRGFLLVHSCQDRSYLYLLAMGWNIVYRFRTAQNEIDNYLRLVPLITLVDNARVRERIEYIERDQCEYSLDEEDKEVQDALLNPDPSTNHSIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMGQCEVIHHLLGVTKTVASSIPDESTNAKVTKKTDSNKTKVNEDSAQSYDYDSPKKQKDSCDAPRSSSPVPYGHDLVSSTSDEWHADLLGCCSEPSLCLKTFFFPCGTFSRIASIAKNRPMSSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLKIAGGCCDDFLSHVMCCCCALVQEWREVEIRGAYGEKTKVTPPPCQYMEH* >Brasy2G068600.2.p pacid=40065449 transcript=Brasy2G068600.2 locus=Brasy2G068600 ID=Brasy2G068600.2.v1.1 annot-version=v1.1 MASLGDVANVAQVTGLDAVRIIALIVKAASTARMHKRNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEEALRRGFLLVHSCQDRSYLYLLAMGWNIVYRFRTAQNEIDNYLRLVPLITLVDNARVRERIEYIERDQCEYSLDEEDKEVQDALLNPDPSTNHSIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMGQCEVIHHLLGVTKTVASSIPDESTNAKVTKKTDSNKTKVNEDSAQSYDYDSPKKQKDSCDAPRSSPVPYGHDLVSSTSDEWHADLLGCCSEPSLCLKTFFFPCGTFSRIASIAKNRPMSSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLKIAGGCCDDFLSHVMCCCCALVQEWREVEIRGAYGEKTKVTPPPCQYMEH* >Brasy2G133900.1.p pacid=40065450 transcript=Brasy2G133900.1 locus=Brasy2G133900 ID=Brasy2G133900.1.v1.1 annot-version=v1.1 MGEEEEQTKNGSGGGDDIGRKGGRKKNNKYAVSCSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDRIGRRRTISLAACIFLAGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEMSSAEIRGSLTSLPEICISFGILLGYVANFLLAKLPLVYGWRTMLGLGALPSAVLAVGVLAMPESPRWLVMQGRPDEEALAVLRRVYSDAAGEADVRLAEIKAAAGESAGKGKGVLKELFVHPTPTVRRIVVAALGVHFFQHLTGIEAVVLYSPRIFKAAGIATRNEILAATIGVGVTKTVFIMSAILLVDRVGRRPLYLSSLAGIIASLSCLGLGLTVIEHSASPPGWAVALAIGTVFTFVASFSVGLGPITWAYSSEVYPLRLRAQGASVGVAINRLMNAGVSMTFVTLYKAITIGGAFFLFAGLAVVAAAFFYLFCPETQGRPLEEIEEVFSQGWRTRRRRPLSSVELPVVQ* >Brasy2G366200.1.p pacid=40065451 transcript=Brasy2G366200.1 locus=Brasy2G366200 ID=Brasy2G366200.1.v1.1 annot-version=v1.1 MSRTLPPPPPRNSQRGDSHDDKKRKLRRGHTGYSSNRSLDEDLENMFSSLTIDNIAAEATSGDCRMDRKRKSCKDQAGVENMFSSLAIQEAAVTNSVYRGQRKKFSDLVLDDRKILAKGNSDCNDPGSRSLRSNEFIEGVNDLLLRYQGSGVRFFAVHFDLNSTHAAHLDKWVQFASKSDVKYVTLHLCENGISCSRHSVTASRYNFPLHCFGDGRGSLLRKLSLTNCIFSTPVHSSGFSSLVQLSLRRVTTADSDIQNIFSCFPVLRDLKLQCCEDLVNLRISHETLLEFHISCCQKLKSINIHSTSLDIFEYDGHQVQIKYESTPSMRRIAIKFVDRNCFLPDDLLSMERLKKVTLWFLSPSEEPSFILHAKTFTVLQLVSLSILPSWNNVLAVAYLVKATPSVKRLHLEACSGEHHYLDNVQVDWPEHISLKKLRTVLVGGFAAQAPLVELLTYLSRVATRLKLLEISPHHHRYKDSGRCVSEDVGDEAAAWDHARNAARATIGLKLRSTVKFVVK* >Brasy2G366200.2.p pacid=40065452 transcript=Brasy2G366200.2 locus=Brasy2G366200 ID=Brasy2G366200.2.v1.1 annot-version=v1.1 MSRTLPPPPPRNSQRGDSHDDKKRKLRRGHTGYSSNRSLDEDLENMFSSLTIDNIAAEATSDCRMDRKRKSCKDQAGVENMFSSLAIQEAAVTNSVYRGQRKKFSDLVLDDRKILAKGNSDCNDPGSRSLRSNEFIEGVNDLLLRYQGSGVRFFAVHFDLNSTHAAHLDKWVQFASKSDVKYVTLHLCENGISCSRHSVTASRYNFPLHCFGDGRGSLLRKLSLTNCIFSTPVHSSGFSSLVQLSLRRVTTADSDIQNIFSCFPVLRDLKLQCCEDLVNLRISHETLLEFHISCCQKLKSINIHSTSLDIFEYDGHQVQIKYESTPSMRRIAIKFVDRNCFLPDDLLSMERLKKVTLWFLSPSEEPSFILHAKTFTVLQLVSLSILPSWNNVLAVAYLVKATPSVKRLHLEACSGEHHYLDNVQVDWPEHISLKKLRTVLVGGFAAQAPLVELLTYLSRVATRLKLLEISPHHHRYKDSGRCVSEDVGDEAAAWDHARNAARATIGLKLRSTVKFVVK* >Brasy2G265300.1.p pacid=40065453 transcript=Brasy2G265300.1 locus=Brasy2G265300 ID=Brasy2G265300.1.v1.1 annot-version=v1.1 MVGARMMRWPRPPAARKFRVRLVVRRVEGLPPPAESAEQEPEGSASKTRVAAEVRWKGPKTSGLSSLRRAVRRNRTREEELAPVAAACEGRGAPTLVAWEEEFESVVTLAAASHREAAAFQPWELAFTVFTDVNKGPKTKPMILGIASLSLADYATAAEEDIEIILPLSVPCGATESTPSLYLTLSMVEQRALQETSDASQRSAVATPLSPSSCDSLPAGKDEVSVIKSGLRKVKILTDMVSARRSKKMCQGSEGSEDNCYVHSDGAEYPYGTESIDEDLDDRTHEDEVADPSVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSQRKSDVGYHVEEPQSSAAEETVLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTPSVVSEGSRSEDGSVNGLISEFGDDNFVVGYWESKEVTSRDGHMKLSSQVFFASIDQRSERAAGESACTSLVAVIADWLQANQDVMPIQSQFDNLIREGSLEWRNLCENQTYQERFPDKHFDLETVLHAKIRPFTVCPSKSFIGFFVPEGADDMRGFDFLDGAMSFDNIWDEISQAAEFSSSENPTLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCSQAYILKFDSNTTIHKLSGEKKPSSPNSSGQLKDSSGSSSTGHDSEDDIEENILVSEGKESCKEYIKSFLAAIPIRELQGDIKKGLMASTPLHHRLQIEFHYTKSTPKEADLPQQVLAIEAPFEFSWPEPPPMMQVALTPAVAVA* >Brasy2G149700.1.p pacid=40065454 transcript=Brasy2G149700.1 locus=Brasy2G149700 ID=Brasy2G149700.1.v1.1 annot-version=v1.1 MGGLVRGRRFWIFGANGAFATWRGLPFAFDAEEVVGEEEWGKFGDERELPRGVQLIRGRTLLQTKKNRGRTYSARCDPPRTDVFRESGLPTRNRYLIDQSAASVRGRRAQLRPPPLPTSLGAQLHPPQPPHARPPLRLSPPPPPPTPTPLPSRATPRHASITRCRRAVLRRRAASL* >Brasy2G117700.1.p pacid=40065455 transcript=Brasy2G117700.1 locus=Brasy2G117700 ID=Brasy2G117700.1.v1.1 annot-version=v1.1 MIQAVMVISTQGKPRLLKFYNFQPPEKHQELVRGVFQLLSARPENVSNFVEADAIFGPGTKLVYKHLATLYFVFIFDSSENELAMLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIVKSVEEIARLEKQSSTASIIPKSISERFSR* >Brasy2G212000.1.p pacid=40065456 transcript=Brasy2G212000.1 locus=Brasy2G212000 ID=Brasy2G212000.1.v1.1 annot-version=v1.1 MEVGSGNKQPDAAASASSAAAFLTEDLIIEILSRVPYRSLCRFMCVLKSWRTICSDPGRRRKSPQTLGRPMVDPSLPFLPSGTSIESFVDCCNGLLLCRCSSSKMSSQRQSYYVVCNPATEKWTMLPDTGGRNGWYVMRLGLDPAVSPHFRVFLLLRNPDDLQVSGVEIYSTETGTWTYRQSQGKTWGKILTPLQSNSSFLGLSQGHLYLAHINHCAEPLLSVWILKDYGSEQWIPMHIGRIWKLCIPRHLTFQWYDEVIAIHPAQNLIFCTAGWQKNIISYDMNSRVARAICALGDNTTDPYLPYTPCFLEWPSDELGSAPS* >Brasy2G154800.1.p pacid=40065457 transcript=Brasy2G154800.1 locus=Brasy2G154800 ID=Brasy2G154800.1.v1.1 annot-version=v1.1 MQTEAGVGMAAATMDGATAAAARRYSTQQQPPQPQVHRHQPQLGTTLHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHTDVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKDWLQMIPGLNNNGGFGADVGVRMVGGGLSGITAASLTYPLDLVRTRLAAQTNAVYYRGISHALFAICRDEGPRGLYKGLGATLLGVGPSIAISFSVYETLRSHWLLERPCDSPVLISLACGSLSGVASSTITFPLDLVRRRKQLEGAAGRANVYKTGLFGTFGHIIRTEGYRGLYRGILPEYCKVVPSVGLIFMTYETMKSIFTGEASAE* >Brasy2G470800.1.p pacid=40065458 transcript=Brasy2G470800.1 locus=Brasy2G470800 ID=Brasy2G470800.1.v1.1 annot-version=v1.1 MSGAAYRDRAEMDRKRIKEALEKQAERPTPSTSKGAVAKEKERLTAGKLITPTIGKPGKVSDGEEFETDSEDSDVSGSEGEDTSWIAWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKVFCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPRVFGFKLHKQS* >Brasy2G073900.1.p pacid=40065459 transcript=Brasy2G073900.1 locus=Brasy2G073900 ID=Brasy2G073900.1.v1.1 annot-version=v1.1 MEPMSVDSSSSGCGGLDAQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRCLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL* >Brasy2G222900.1.p pacid=40065460 transcript=Brasy2G222900.1 locus=Brasy2G222900 ID=Brasy2G222900.1.v1.1 annot-version=v1.1 MAPAPPTTTWTHLHRPFLRPLALVLATKAFRPASSQLIFTPPTAGATFAGALTPPPPPTDGGLGGGTFNVATSILFVGVIVALFLVGFLSAYLRRCADAATAAHRGGDANAAVAAAAAAAFSSPSANRGSRRRGAAAGLGTAAMEALPVLTYARARAVKAGRGALECAVCLAEFTDDGEKLRLLPGCCHVFHAACIDVWLAAHATCPVCRADLADPAIAAAGRVLSADLAADHVELETASADHTVVDVETTASTPRGQEEEEITAEERADRYTLRLPERLRREIEDAKRLRRAVSAVTAASVSSSSGRWGLPSALRTMSAARPSRRWSALFRALSGPHRSDLDGRVAPLQVQTHPASTRDNVEVVVVRRGDDVGTETEKHYAHSLTFAGFVIDGDVASGDWNPEVFQVSSAVLAPAPSQP* >Brasy2G421900.1.p pacid=40065461 transcript=Brasy2G421900.1 locus=Brasy2G421900 ID=Brasy2G421900.1.v1.1 annot-version=v1.1 MPDHASVELIFQRTLVIVNGSTTFLVSNFVYFHLVSSYKIRSLSFFFNSCKSVLEMDGHGKGINCLCILRSQSLALLYVSC* >Brasy2G272200.1.p pacid=40065462 transcript=Brasy2G272200.1 locus=Brasy2G272200 ID=Brasy2G272200.1.v1.1 annot-version=v1.1 MHACTILTCTVRSVRLFKIGGFSLVASTIGNDECIKSRWDVDVYEWEIRCYPSRYHSYYGNQIGFTLVLLSEPRSCNVRASLDCVLIHPRLLHNGKPQKENSASLSHAFKRPRDCSITVVREQPPDAATMSSLPAVPVSDMHRHFGELLRSGTYGSRRHIPRCRRVVCGAQEVLAARSPVFMAEFFGAMKETRSRRVEIEGMEAAAFRAMLQFIYTDTVPKLIDQEELAAVATMAQHLLAAADRAKCVEFIVSSPTILDAVLATEGFEHLEASCPSVVTGLLKSIHGRKN* >Brasy2G125600.1.p pacid=40065463 transcript=Brasy2G125600.1 locus=Brasy2G125600 ID=Brasy2G125600.1.v1.1 annot-version=v1.1 MVAGQVPVSGSIATMLSLRPAHISLSPPWRRRARFHGVPAPRCVSTAAPAANPETAAASPASLSFPILVNGCTGKMGVSVAEAAASRGLHLVPVSFSSRERLDKTVQIGDTSVEIYGPSAREDVLSSVVDEFPDVVVVDYTAPDSVNSNAELYCKLGVPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLATMEIMAEQFPGAFSGYRLEVLESHQAGKLDISGTAKAVIGCFKKLGVSYDMDRIVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAIFLYRKVQSEDPKRIYDMFDVLREGNMR* >Brasy2G125600.2.p pacid=40065464 transcript=Brasy2G125600.2 locus=Brasy2G125600 ID=Brasy2G125600.2.v1.1 annot-version=v1.1 MVAGQVPVSGSIATMLSLRPAHISLSPPWRRRARFHGVPAPRCVSTAAPAANPETAAASPASLSFPILVNGCTGKMGVSVAEAAASRGLHLVPVSFSSRERLDKTVQIGDTSVEIYGPSAREDVLSSVVDEFPDVVVVDYTAPDSVNSNAELYCKLGVPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLATMEIMAEQFPGAFSGYRLEVLESHQAGKLDISGTAKAVIGCFKKLGVSYDMDRIVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSTMFVAVQYMLKGRLMPQYFCIGRYNQRILREFTICLMS* >Brasy2G125600.3.p pacid=40065465 transcript=Brasy2G125600.3 locus=Brasy2G125600 ID=Brasy2G125600.3.v1.1 annot-version=v1.1 MVAGQVPVSGSIATMLSLRPAHISLSPPWRRRARFHGVPAPRCVSTAAPAANPETAAASPASLSFPILVNGCTGKMGVSVAEAAASRGLHLVPVSFSSRERLDKTVQIGDTSVEIYGPSAREDVLSSVVDEFPDVVVVDYTAPDSVNSNAELYCKLGVPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLATMEIMAEQFPGAFSGYRLEVLESHQAGKLDISGTAKAVIGCFKKLGVSYDMDRIVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDRYNQRILREFTICLMS* >Brasy2G047100.1.p pacid=40065466 transcript=Brasy2G047100.1 locus=Brasy2G047100 ID=Brasy2G047100.1.v1.1 annot-version=v1.1 MMAAVSVSFSSTALLALLFLVSAASACDRCLHQSRASFYTSSLTLSAGSCGYGNAAASFNGGMLAAAGPALYRGGVGCGACFQVRCKDKELCSAAGAGVIVTDSAKTNRTELVLSSPAFNAMARPGMADRLAKLGEVDVEYKRVPCEYKGKNLSVRVEEKSHAPSDLAIRFFYQGGQTDIVAVDIAQVGSSNWKFMAREHGPAWSTSQAPPGPLQLRVVVTAGYDGKWLWAEKEVLPSAWRAGELYDTGLQITDIAQEGCSPCDTQDWK* >Brasy2G168900.1.p pacid=40065467 transcript=Brasy2G168900.1 locus=Brasy2G168900 ID=Brasy2G168900.1.v1.1 annot-version=v1.1 MPSSIATHASLLLKAAAAAAAAASPAHHLHPKRFFSPRAARIPSPPAPVAGRRSPTAASAASCRWFRWPPAARGLCSSPHSGSAAGGAEGMGSDGAERRRRAAAVNGVSKEGAPQPVPVPPRLLTLPTVLTIGRVAAVPFLISTFYMEGPWAATATTGIFLAAAITDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLSDWPWLLTVPSIAIIGREITMSAVREWAASQNSQVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPVQGALVTPGVAVLYVSAGLAIWSLVVYIRKIWRMLLK* >Brasy2G266400.1.p pacid=40065468 transcript=Brasy2G266400.1 locus=Brasy2G266400 ID=Brasy2G266400.1.v1.1 annot-version=v1.1 MRLVLSPSHGPALLTCACFSPQNAPPISLSLSFFFSITGNPQITGLKRGHGGATSTSHPWRRAGASLPHPKQPLSPWALVLLTCHHGTGDAKYVVRPHPHQHLNCHLRRRRNHQPPHLLTKTTAPVSITSQHMAATCLERETYAQDMWVERETVRYMQNMCSKRERAPEICTNPDARAEGGDRGSDVLECNQTFPYCVS* >Brasy2G026600.1.p pacid=40065469 transcript=Brasy2G026600.1 locus=Brasy2G026600 ID=Brasy2G026600.1.v1.1 annot-version=v1.1 MMKMLFLCLKVELLNYFLLSTIILASEKEQSNCIIERTKHINFYLILSYISKSCRLVNKPIFRFLPGPGYGLIQCFIKRDKSKLTYHLYLSLTSAILDDNRKFLLSAKRIIQTAIS* >Brasy2G026600.2.p pacid=40065470 transcript=Brasy2G026600.2 locus=Brasy2G026600 ID=Brasy2G026600.2.v1.1 annot-version=v1.1 MMKMLFLCLKVELLNYFLLSTIILASEKEQSNCIIERTKHINFYLILSYISKSCRLVNKPIFRFLPGPGYGLIQCFIKRDKSKLTYHLYLSLTSAILDDNRKFLLSAKRIIQTAIS* >Brasy2G026600.3.p pacid=40065471 transcript=Brasy2G026600.3 locus=Brasy2G026600 ID=Brasy2G026600.3.v1.1 annot-version=v1.1 MMKMLFLCLKVELLNYFLLSTIILASEKEQSNCIIERTKHINFYLILSYISKSCRLVNKPIFRFLPGPGYGLIQCFIKRDKSKLTYHLYLSLTSAILDDNRKFLLSAKRIIQTAIS* >Brasy2G026600.4.p pacid=40065472 transcript=Brasy2G026600.4 locus=Brasy2G026600 ID=Brasy2G026600.4.v1.1 annot-version=v1.1 MLFYSPFEIQAPIAHLLFQPGPGYGLIQCFIKRDKSKLTYHLYLSLTSAILDDNRKFLLSAKRIIQTAIS* >Brasy2G146600.1.p pacid=40065473 transcript=Brasy2G146600.1 locus=Brasy2G146600 ID=Brasy2G146600.1.v1.1 annot-version=v1.1 MKLTPPHNLVLVLLLACSGAGIAPAAAASGGGGRWDILQHSIGVSAMHMQLLRNDRVIIFDRTDFGPSNLSLPDGRCRRNPHERVLPVDCTAHSAEYDVRTNAFRPLSVFTDTWCSSGTVSPDGTLVQTGGWNDGYRNARTMAPCVDGTCDWNETQDALAVNRWYATNQILPDGRAFIAGGRRQFSYEFYPKQADHPSDAIALPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAVLLDYRRNKIVRTYPELAGGDPRNYPSSGSSVLLPLKPSSTEAEVLVCGGAPAGSYNATRDKSFFPALATCGRIRITDAAPSWTIETMPSPRVMGDMILLPNGAEVAIINGATDGTAGWESANTPAYAPLIYRPDHAPGDRFEEQSASGIARLYHSSAVLLRDGRVLVGGSNPHVYYNFSNVRYPTELSLEAFSPEYLDRSNDVIRPAITDPSPSGAPVSVAYGGSVTLQFSVPAAPSPSSRRAHGGAGVGLGFVSVTMVAPSFTTHSFGMNQRLLFLDVLETAASSLHGAGAYEVSVVMPATAVLAPPGYYMVFVVNGHIPSEGIWVHIDS* >Brasy2G242500.1.p pacid=40065474 transcript=Brasy2G242500.1 locus=Brasy2G242500 ID=Brasy2G242500.1.v1.1 annot-version=v1.1 MNSSAIPKSNPTAPATMASALAAAMAAALFLIVALCTKAVYKGNKAGVHKAGRGRLPPGPAGLPVVGNMHQMLASKPVFRWLHALLKLTKEEIVCVRLGPVHVVVVACPEMGREVLRKKDAVFADRPTTFAAESFSVGYRSVSISPYGDQWKKMRRVLTSEVLSPPTEHSLRGARQQEADHLLRYVKLQCSNSDGGGGIVDVRHVARHFCGNVIRRLALGRRHFRDAAAAMVGPGPGPEEEEHVGALFAVLNYLDAFCVSDYFPALVGLDLDGHEKVVKGVMRTLNRLHDPVIEERVEEWRLLRKAGERRDVADFLDVLASLDAGDGSPLLTVEEIKSQTIDIMIATVDNPSNAVEWALAEMMNKPGIMQKAMDELDTVVGRDRLVQEADIRGLNYLKACIREAFRIHPYHPLSPPRVAMADTTIAGYSIPKGSQVMLSRIGLGRNPKVWDDPLEFRPERHLTTDADVALSEPELRFVSFNTGRRGCPGISLGTEITMMLFARLLQGFAWTKPPGVDRVELREADTSLVLAQPLRLQAQPRLPAHLYL* >Brasy2G459900.1.p pacid=40065475 transcript=Brasy2G459900.1 locus=Brasy2G459900 ID=Brasy2G459900.1.v1.1 annot-version=v1.1 MAAAAGGEPEVIRDKAAMRAWSRRRRAEGKTVVLVPTMGFLHDGHLSLVSAAAAVAGPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLASTGAVHAVFNPPDLYVRGSAGSGASGGAVSCLEAAAAAGGDGHETWVWVERLEKGLCGASRPVFFRGVATVVAKLFNVVEPDVAVFGKKDYQQWRLICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSHEEREKALSISRSLVNARTAVLNGNNAAKQIKNQIVHTLTEAGGRVEYVEVVEQESLAPVEMIDRPVVICVAAWFGNVRLLDNIEICTGC* >Brasy2G456600.1.p pacid=40065476 transcript=Brasy2G456600.1 locus=Brasy2G456600 ID=Brasy2G456600.1.v1.1 annot-version=v1.1 MLKLFAVLLPVVFLSLAILAWAQPGNVTGLSFQIVALSRAPDDRANNVSSYATEDLWLPISTSARFVYGMFVSIGTEQGFKLQVLGLDTSASMSWVMCEPCQPPLPQAGHLFSPAASPTFHGVHSNDPVCTVPYRPTANGCSFRFPFASGYLSRDTFHLRNGGSSGGAVMESVPGIVFGCAHSVAGFRNDGTLGGVLSLSHSRLSLLTQLGARAGGRFSYCLPKPTQLNPHGFLQLGADVLPTPPHSHTTALTVRSGSAPDYYLSLVGIMLADKRLRIDPRVFTAGGGGCSINPAATITAITEPAYLVVERALVAYMKELGSDRVKKGPPGGGALFFDRMYKSVQARLPSMAFHFEDGAELWFTPEQLFEVHGMVARFMMVGKGYPQTVIGTRQQVNTRFTFDVAAGRLSFASELCG* >Brasy2G394600.1.p pacid=40065477 transcript=Brasy2G394600.1 locus=Brasy2G394600 ID=Brasy2G394600.1.v1.1 annot-version=v1.1 MAEIVILLAIKKVGIALANEAADQATAQFAKYGQQLVDLQGSMGRLARELHVVHDVLCQMDIRNRNNQVYDGWLEGVRKVTHVMEDNVDDYLYLVGREHDVGCCFYLKKGLRKPRSLLCLNDIASNVNQIEKDLKHLSEVKKRWVPMINNGDPRSTNYIVKRSQDLANISRSLDEEDLVGVDKNREKLEQWLACDDLECSVITLLGMGGLGKTALAANVYRKEREKFQCHAWVSISQTYSIEAVLRTIIEQLFKDKVNVPSNIVDMDITCLQETLKRFLVQRKYLIILDDVWTPEAFRDLSRALIHNHKGSRLIITTRVGVVANLSSEEHVLTLEALLEADAWELFCKKAFPKCRSRNHECPAELTPLSKEIVSKCKGLPLAIVSVGSLLCVREKTVEEWRRINDQLSWELINNSGLSHVRNILHLSFIYLPTQLKSCFLYCSLFPEDYILHRKKLIRLWTAEGFIEERGASTIEEVAEGYLKELVDRNMLQLVKRNSFGRMKRFRMHDILHELAADLCKKNCFGVTYEEQKCRGSHEMDGRRLVVHKLKKDIQELFSRQLRTIMTLDSSMALLNLPLLCKKSRYMTVLELSGLPIEQIPDAIGDLFNLRYLGLRGSKVKLLPKSLEKLSNLLTLDLSESSIQELPRGIVKLKKLRHLFAEKENDPCWREIKCCSGVRIPNGLGNLTSLRTLQALEDKSFGQLGELRQLRSLGIWNVKGFYCERLCESLVQMNFLSYLSLGASDEDEVLLLSVLPPNLQKLLLRGRLAEGALDESPLFQAVEEQNLYSLSLSWSQLREDPLPSLSRLSNLTELFFTRAYNGEQLTFLTGWFPKLKTLHLVDLLNLKRLEIQQGALLNLEELTLMNLESMTEVPAGLELLMPLQYLVFREITSDFLMSLRQCSRLDAMQWQYSLQDSTTCWSGNV* >Brasy2G394600.2.p pacid=40065478 transcript=Brasy2G394600.2 locus=Brasy2G394600 ID=Brasy2G394600.2.v1.1 annot-version=v1.1 MAEIVILLAIKKVGIALANEAADQATAQFAKYGQQLVDLQGSMGRLARELHVVHDVLCQMDIRNRNNQVYDGWLEGVRKVTHVMEDNVDDYLYLVGREHDVGCCFYLKKGLRKPRSLLCLNDIASNVNQIEKDLKHLSEVKKRWVPMINNGDPRSTNYIVKRSQDLANISRSLDEEDLVGVDKNREKLEQWLACDDLECSVITLLGMGGLGKTALAANVYRKEREKFQCHAWVSISQTYSIEAVLRTIIEQLFKDKVNVPSNIVDMDITCLQETLKRFLVQRKYLIILDDVWTPEAFRDLSRALIHNHKGSRLIITTRVGVVANLSSEEHVLTLEALLEADAWELFCKKAFPKCRSRNHECPAELTPLSKEIVSKCKGLPLAIVSVGSLLCVREKTVEEWRRINDQLSWELINNSGLSHVRNILHLSFIYLPTQLKSCFLYCSLFPEDYILHRKKLIRLWTAEGFIEERGASTIEEVAEGYLKELVDRNMLQLVKRNSFGRMKRFRMHDILHELAADLCKKNCFGVTYEEQKCRGSHEMDGRRLVVHKLKKDIQELFSRQLRTIMTLDSSMALLNLPLLCKKSRYMTVLELSGLPIEQIPDAIGDLFNLRYLGLRGSKVKLLPKSLEKLSNLLTLDLSESSIQELPRGIVKLKKLRHLFAEKENDPCWREIKCCSGVRIPNGLGNLTSLRTLQALEA* >Brasy2G394600.3.p pacid=40065479 transcript=Brasy2G394600.3 locus=Brasy2G394600 ID=Brasy2G394600.3.v1.1 annot-version=v1.1 MAEIVILLAIKKVGIALANEAADQATAQFAKYGQQLVDLQGSMGRLARELHVVHDVLCQMDIRNRNNQVYDGWLEGVRKVTHVMEDNVDDYLYLVGREHDVGCCFYLKKGLRKPRSLLCLNDIASNVNQIEKDLKHLSEVKKRWVPMINNGDPRSTNYIVKRSQDLANISRSLDEEDLVGVDKNREKLEQWLACDDLECSVITLLGMGGLGKTALAANVYRKEREKFQCHAWVSISQTYSIEAVLRTIIEQLFKDKVNVPSNIVDMDITCLQETLKRFLVQRKYLIILDDVWTPEAFRDLSRALIHNHKGSRLIITTRVGVVANLSSEEHVLTLEALLEADAWELFCKKAFPKCRSRNHECPAELTPLSKEIVSKCKGLPLAIVSVGSLLCVREKTVEEWRRINDQLSWELINNSGLSHVRNILHLSFIYLPTQLKSCFLYCSLFPEDYILHRKKLIRLWTAEGFIEERGASTIEEVAEGYLKELVDRNMLQLVKRNSFGRMKRFRMHDILHELAADLCKKNCFGVTYEEQKCRGSHEMDGRRLVVHKLKKDIQELFSRQLRTIMTLDSSMALLNLPLLCKKSRYMTVLELSGLPIEQIPDAIGDLFNLRYLGLRGSKVKLLPKSLEKLSNLLTLDLSESSIQELPRGIVKLKKLRHLFAEKENDPCWREIKCCSGVRIPNGLGNLTSLRTLQALEA* >Brasy2G394600.4.p pacid=40065480 transcript=Brasy2G394600.4 locus=Brasy2G394600 ID=Brasy2G394600.4.v1.1 annot-version=v1.1 MAEIVILLAIKKVGIALANEAADQATAQFAKYGQQLVDLQGSMGRLARELHVVHDVLCQMDIRNRNNQVYDGWLEGVRKVTHVMEDNVDDYLYLVGREHDVGCCFYLKKGLRKPRSLLCLNDIASNVNQIEKDLKHLSEVKKRWVPMINNGDPRSTNYIVKRSQDLANISRSLDEEDLVGVDKNREKLEQWLACDDLECSVITLLGMGGLGKTALAANVYRKEREKFQCHAWVSISQTYSIEAVLRTIIEQLFKDKVNVPSNIVDMDITCLQETLKRFLVQRKYLIILDDVWTPEAFRDLSRALIHNHKGSRLIITTRVGVVANLSSEEHVLTLEALLEADAWELFCKKAFPKCRSRNHECPAELTPLSKEIVSKCKGLPLAIVSVGSLLCVREKTVEEWRRINDQLSWELINNSGLSHVRNILHLSFIYLPTQLKSCFLYCSLFPEDYILHRKKLIRLWTAEGFIEERGASTIEEVAEGYLKELVDRNMLQLVKRNSFGRMKRFRMHDILHELAADLCKKNCFGVTYEEQKCRGSHEMDGRRLVVHKLKKDIQELFSRQLRTIMTLDSSMALLNLPLLCKKSRYMTVLELSGLPIEQIPDAIGDLFNLRYLGLRGSKVKLLPKSLEKLSNLLTLDLSESSIQELPRGIVKLKKLRHLFAEKENDPCWREIKCCSGVRIPNGLGNLTSLRTLQALEA* >Brasy2G472900.1.p pacid=40065481 transcript=Brasy2G472900.1 locus=Brasy2G472900 ID=Brasy2G472900.1.v1.1 annot-version=v1.1 MAAGAAGGHDGDDSFDALPDDLLRKIISLLPVTDAARSTALAPRWRHLWHSIPIVLDDTQLPEPTRAAAVDCVLSGHPGPFRAVGLLNCSFGPQDQDYLGKWLRLLAAKGTQQLFLSNQDGQPSLCLPADFFPCPSLRVLVLNLCTFPDDYLPGIFPNLQKIAISRVRRMTGQDIDHLLAASPVLEILSLMGNGMPQRIHLRSQSLHCVLLRCCMVEEFAVVDAPLLQRLFLSDLHCAIGRHHVRVRIACAPNLRVLGYLDPRVHELQIGDTVIKPDTMESPSTVVRGVKTLALKVNFGVLGQVKMLVSFLRCFPDVEALHIESVLHGPSVTTDESTPEHHANFWQKVNPVKCLRSRAKKMVLHKFQGDPNEFEFLKFIAGDARELQSLLVLPHDEIIISSTDKANEMIDKFECPRFRAWASRVLLVLPGTENVLRGSKAFDITVDDPFL* >Brasy2G472900.3.p pacid=40065482 transcript=Brasy2G472900.3 locus=Brasy2G472900 ID=Brasy2G472900.3.v1.1 annot-version=v1.1 MAAGAAGGHDGDDSFDALPDDLLRKIISLLPVTDAARSTALAPRWRHLWHSIPIVLDDTQLPEPTRAAAVDCVLSGHPGPFRAVGLLNCSFGPQDQDYLGKWLRLLAAKGTQQLFLSNQDGQPSLCLPADFFPCPSLRVLVLNLCTFPDDYLPGIFPNLQKIAISRVRRMTGQDIDHLLAASPVLEILSLMGNGMPQRIHLRSQSLHCVLLRCCMVEEFAVVDAPLLQRLFLSDLHCAIGRHHVRVRIACAPNLRVLGYLDPRVHELQIGDTVIKPDTMESPSTVVRGVKTLALKVNFGVLGQVKMLVSFLRCFPDVEALHIESVLHGPSVTTDESTPEHHANFWQKVNPVKCLRSRAKKMVLHKFQGDPNEFEFLKFIAGDARELQSLLVLPHDEIIISSTDKANEMIDKFECPRFRAWASRVLLVLPGTENVLRGSKAFDITVDDPFL* >Brasy2G472900.2.p pacid=40065483 transcript=Brasy2G472900.2 locus=Brasy2G472900 ID=Brasy2G472900.2.v1.1 annot-version=v1.1 MAAGAAGGHDGDDSFDALPDDLLRKIISLLPVTDAARSTALAPRWRHLWHSIPIVLDDTQLPEPTRAAAVDCVLSGHPGPFRAVGLLNCSFGPQDQDYLGKWLRLLAAKGTQQLFLSNQDGQPSLCLPADFFPCPSLRVLVLNLCTFPDDYLPGIFPNLQKIAISRVRRMTGQDIDHLLAASPVLEILSLMGNGMPQRIHLRSQSLHCVLLRCCMVEEFAVVDAPLLQRLFLSDLHCAIGRHHVRVRIACAPNLRVLGYLDPRVHELQIGDTVIKPDTMESPSTVVRGVKTLALKVNFGVLGQVKMLVSFLRCFPDVEALHIESVLHGPSVTTDESTPEHHANFWQKVNPVKCLRSRAKKMVLHKFQGDPNEFEFLKFIAGDARELQSLLVLPHDEIIISSTDKANEMIDKFECPRFRAWASRVLLVLPGTENVLRGSKAFDITVDDPFL* >Brasy2G472900.4.p pacid=40065484 transcript=Brasy2G472900.4 locus=Brasy2G472900 ID=Brasy2G472900.4.v1.1 annot-version=v1.1 MAAGAAGGHDGDDSFDALPDDLLRKIISLLPVTDAARSTALAPRWRHLWHSIPIVLDDTQLPEPTRAAAVDCVLSGHPGPFRAVGLLNCSFGPQDQDYLGKWLRLLAAKGTQQLFLSNQDGQPSLCLPADFFPCPSLRVLVLNLCTFPDDYLPGIFPNLQKIAISRVRRMTGQDIDHLLAASPVLEILSLMGNGMPQRIHLRSQSLHCVLLRCCMVEEFAVVDAPLLQRLFLSDLHCAIGRHHVRVRIACAPNLRVLGYLDPRVHELQIGDTVIKPDTMESPSTVVRGVKTLALKVNFGVLGQVKMLVSFLRCFPDVEALHIESVLHGPSVTTDESTPEHHANFWQKVNPVKCLRSRAKKMVLHKFQGDPNEFEFLKFIAGDARELQSLLVLPHDEIIISSTDKANEMIDKFECPRFRAWASRVLLVLPGTENVLRGSKAFDITVDDPFL* >Brasy2G450500.1.p pacid=40065485 transcript=Brasy2G450500.1 locus=Brasy2G450500 ID=Brasy2G450500.1.v1.1 annot-version=v1.1 MADLHPPEPDTNGGGGGASAAAAVVPIGDPMAEAMAAAAAAAPPYSKRQRRPSVRLGDIGVQATAPAAASDLAALPRRHRKPSSSSHPRPPRRAHPDDVLDPAAAAHRRGPKPGQRRPRTAWTAAPSGADGYDGDEGHYYDDADHSDSAAAAGRARVSGSREASVDESDGVADWGIPNGRLPGASCYGGVKAWLDGLGLARYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKMFAAIQKLRSSDNAS* >Brasy2G446700.1.p pacid=40065486 transcript=Brasy2G446700.1 locus=Brasy2G446700 ID=Brasy2G446700.1.v1.1 annot-version=v1.1 MFIFVVVVVVVVEEEEVRAEAFKFHPIPHDELDSCGGSCRDGFKIGEIGVIVKGGLMLPWMRSQSSGMGASSVRTMWEGCRGQDWGEVDLRRCNQSTLVLPMGYIEELGGGRFGGESDVGGGSVGHGRGVEIKLEGEVDLRWRGHCTLVLLEDEITDLRGGRFGGESDVGGGSVGYGRDIEVKVEGDMDLWRRGHCTLVLPMDEIAELGGGRFGGESDMGGGSVGHERGVEVKLEGEVDLRWRRHCICSLETQSHTRTTGVAKSIIFS* >Brasy2G107000.1.p pacid=40065487 transcript=Brasy2G107000.1 locus=Brasy2G107000 ID=Brasy2G107000.1.v1.1 annot-version=v1.1 MAALPSLVVVLLLLHPASAPAARAPPPSKPPPPQPNQKVFVWPKPTSISWPSVVYAPLAPSFSIRAVPSHPSLRHAIAYYSRLIRSERHMPLVSPANYTLARVPVRLLALSVSDTEVSLGPAVDESYTLSVPLDSASADISAATTWGAIRGLETFSQLAWAGGGAAAGGQPIVPSGIEISDRPHFTHRGILLDTARNYYPVRDILHTIRAMAFNKLNVFHWHITDSQSFPIVLPTVPNLAHLGSYSPAMRYTDKDVHRIVNYAAAFGVRVIPEIDMPGHAGSWAGAYPDIVTCANKFWAPTAMPALAAEPCTGQLNPLNPKAYRVAQDVLRDLSALFPDPFLHGGADEVNTACWEDDPVVRRFLQEGGTHDHLLELFVNATRPFMVRELNRTVVYWEDVLLGPKVMVGPTVLPRETTVLQTWNNGAENTKRIVAAGYRAIVSSAAYYYLDCGHGGWVGNDSRYDKQEKESEGMPLFNDPGGNGGSWCAPFKTWQRLYDYDILHGLTEEEATLVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWSGNKGASGRKRYANATDRLNDWRHRMVARGIRAEPLQPLWCPLHPGMCNLSQ* >Brasy2G464300.1.p pacid=40065488 transcript=Brasy2G464300.1 locus=Brasy2G464300 ID=Brasy2G464300.1.v1.1 annot-version=v1.1 MSGEFQFHDELASLFPQRPGSGMQQQQEPSWFADYLQAGTPMAMDYDLLCRALELPVVEDVVKREQMVDAAGGGGGGCALTPSSGTGGGTPNTTSSMSSSSSEAGGGGGGGGGGGGAGDQGDSAGRCKKEEGDGEGGKGDDDEGDKSKKGAAAGKGGKAGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCVVKKRVERSFQDPAVVITTYEGKHTHPIPSALRGSTHLLAAQAAHLHHQHLHGAAGQLGFGGAPAGSPFVRNAGAGGIDVLGGLLQPRAHHHHQGAMAPPMSHGQQQLAGSVTSGVGSALATAASSSPPSLQMQHFMSQDFGLLQDMLPSFIHGANSNQTPSSPYGKLH* >Brasy2G374000.1.p pacid=40065489 transcript=Brasy2G374000.1 locus=Brasy2G374000 ID=Brasy2G374000.1.v1.1 annot-version=v1.1 MASPALKDAVGVLDRDPFVALLAKLIGETQHLQNDPPALVPREELVAQHVLDALRPVSTDTGGGPLIVRKVSYTEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPNEWDFDPFSLTFDSDDKEKLRGRGTTDCLGHVALVTQLMRRLGEMKPALKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMELNMDALKEIQTRFYKDFPPHEKEKLYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTLVVKKLQEYVDDLNGGLETKLPTRGPVSKYVLPDENLQGRLVITFDGDVMNGVACNLESRGFQALCKATEEIVGYVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEADV* >Brasy2G374000.2.p pacid=40065490 transcript=Brasy2G374000.2 locus=Brasy2G374000 ID=Brasy2G374000.2.v1.1 annot-version=v1.1 MASPALKDAVGVLDRDPFVALLAKLIGETQHLQNDPPALVPREELVAQHVLDALRPVSTDTGGGPLIVRKVSYTEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPNEWDFDPFSLTFDSDDKEKLRGRGTTDCLGHVALVTQLMRRLGEMKPALKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMELNMDALKEIQTRFYKDFPPHEKEKLYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTLVVKKLQEYVDDLNGGLETKLPTRGPVSKYVLPDENLQGRLVITFDGDVMNGVACNLESRGFQALCKATEEIVGYVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEADV* >Brasy2G074800.1.p pacid=40065491 transcript=Brasy2G074800.1 locus=Brasy2G074800 ID=Brasy2G074800.1.v1.1 annot-version=v1.1 MNSPMEESHDMPATAFLPLAGLHKYVAIIVVLLSWILVHRWSLRNQKGPKSWPVIGATLEQLRNYYRMHDWLVEYLSKYRTVTVDMPFTSYTYIADPVNVEHVLKTNFNNYPKGEVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSTIVFREYSLKLSSILSQACKTGKVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKLLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVQARASGKQDKIKHDILSRFIELGEAGVDNDNGGGISLFGDDKGLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPPVAEKLRRELAAFESDRAREEGISPIPFSDSDGPENPSSFAARVAQFAALLTYDGLGKLTYLHACVTETLRLYPAVPQDPKGVAEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAGSFRPERWIGEDGGFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYRFEILEGHPVKYRMMTILSMAHGLKVRVSRAPALV* >Brasy2G074800.3.p pacid=40065492 transcript=Brasy2G074800.3 locus=Brasy2G074800 ID=Brasy2G074800.3.v1.1 annot-version=v1.1 MSSRPTSTTTPRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSTIVFREYSLKLSSILSQACKTGKVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKLLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVQARASGKQDKIKHDILSRFIELGEAGVDNDNGGGISLFGDDKGLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPPVAEKLRRELAAFESDRAREEGISPIPFSDSDGPENPSSFAARVAQFAALLTYDGLGKLTYLHACVTETLRLYPAVPQDPKGVAEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAGSFRPERWIGEDGGFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYRFEILEGHPVKYRMMTILSMAHGLKVRVSRAPALV* >Brasy2G074800.2.p pacid=40065493 transcript=Brasy2G074800.2 locus=Brasy2G074800 ID=Brasy2G074800.2.v1.1 annot-version=v1.1 MDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSTIVFREYSLKLSSILSQACKTGKVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKLLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVQARASGKQDKIKHDILSRFIELGEAGVDNDNGGGISLFGDDKGLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPPVAEKLRRELAAFESDRAREEGISPIPFSDSDGPENPSSFAARVAQFAALLTYDGLGKLTYLHACVTETLRLYPAVPQDPKGVAEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAGSFRPERWIGEDGGFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYRFEILEGHPVKYRMMTILSMAHGLKVRVSRAPALV* >Brasy2G333700.1.p pacid=40065494 transcript=Brasy2G333700.1 locus=Brasy2G333700 ID=Brasy2G333700.1.v1.1 annot-version=v1.1 MGSRRGEICVRKGLLAGPLTCPLCRGLLRDAHAFTECVHTFCRECIMKKIDDEEIESCPVCNIYLGIAPEEKLRPDNNIQALRKRLFPLKRAEIDASNFPTVTSPVKGKQRSLSSLVVETPTVAAKSVLTGKRTKTTRTTSHATSLSNNGTVKLLITEGHDHETGKISASKSTKMTTSAIKKQINSDIVASSQPSPQDRKNSKTMDMEELCKPLSSFLEASGTKSLRSNLKSHAAAAKEDKIKSTNGKVTITETRVREASGKKSLKLGPKSHAAATKEDKIKSTKSEQVANTGTSVGAHSNKLTLRKEKNGNSDNLWKSPEGDYGQVLLDSKSTVSLHDGITTPVWFSLVTSPHQSEKLLPQIPNAYLRIKDGTLQVFSIQRYIMQKLELGSDDEVEILCHGMPVCPLMTLKDLLELWLSRQPKHEVQVPVGAPAKQFVMVLSYRRRLAA* >Brasy2G004600.1.p pacid=40065495 transcript=Brasy2G004600.1 locus=Brasy2G004600 ID=Brasy2G004600.1.v1.1 annot-version=v1.1 MASSGDAAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVRFHSRINMTCVNPETGLVDQRKFGLLSNWRREYTMENILIQLKKEMAASHNRKLVQPPEGTFYG* >Brasy2G194200.1.p pacid=40065496 transcript=Brasy2G194200.1 locus=Brasy2G194200 ID=Brasy2G194200.1.v1.1 annot-version=v1.1 MAGPEKKPCHVVLVPFPAHGHVAPHMQLARLLHARGIHVTLVHTELHYRRLVRANNGAVATTVNIPGFGVEVIPDGLSLESPPRTLEAHLEALERNCFEPFKELLRALEDDGSRLSCVIADAPMSFASLAARELGVPDVQFFSASACGLMGYLQFEELINRGLVPLKGSSYGTDGTFDASLDWVPGMNGAMRLKDMPTFCHTTDADDALLRIHVRQMRVVATSKAVILNTFHDFEKDVVDALAASLPPVYTVGPLSSIMASLPAAPTSNNGGGFSSGLTDTAASLLQEDTECIKWLDGKEARSVVYVSYGSHAAMSPEKIKEFASGLDSCGYPYLWVLRPDMAADVEAGEDGLVVPWCAQEAVLAHPALGLFVTHCGWNSILETVMAGVPVLGWPMISEQTTNCRQVSTSWKIGAELPQEARGREIAALVREMMAGKKGLEARETTLKWKRLAEDAAKEGGSSYGNLRSFVEDVLPPTSQVPEIHGPITRARPRQLNYQVLSFLGTSININENMLLPKMGSFMCFRNEGPSLDLKDQRWTANHGGGSKVAGTNSSDDFRTLKPP* >Brasy2G194200.2.p pacid=40065497 transcript=Brasy2G194200.2 locus=Brasy2G194200 ID=Brasy2G194200.2.v1.1 annot-version=v1.1 MAGPEKKPCHVVLVPFPAHGHVAPHMQLARLLHARGIHVTLVHTELHYRRLVRANNGAVATTVNIPGFGVEVIPDGLSLESPPRTLEAHLEALERNCFEPFKELLRALEDDGSRLSCVIADAPMSFASLAARELGVPDVQFFSASACGLMGYLQFEELINRGLVPLKGSSYGTDGTFDASLDWVPGMNGAMRLKDMPTFCHTTDADDALLRIHVRQMRVVATSKAVILNTFHDFEKDVVDALAASLPPVYTVGPLSSIMASLPAAPTSNNGGGFSSGLTDTAASLLQEDTECIKWLDGKEARSVVYVSYGSHAAMSPEKIKEFASGLDSCGYPYLWVLRPDMAADVEAGEDGLVVPWCAQEAVLAHPALGLFVTHCGWNSILETVMAGVPVLGWPMISEQTTNCRQVSTSWKIGAELPQEARGREIAALVREMMAGKKGLEARETTLKWKRLAEDAAKEGGSSYAPTSQVPEIHGPITRARPRQLNYQVLSFLGTSININENMLLPKMGSFMCFRNEGPSLDLKDQRWTANHGGGSKVAGTNSSDDFRTLKPP* >Brasy2G194200.3.p pacid=40065498 transcript=Brasy2G194200.3 locus=Brasy2G194200 ID=Brasy2G194200.3.v1.1 annot-version=v1.1 MAGPEKKPCHVVLVPFPAHGHVAPHMQLARLLHARGIHVTLVHTELHYRRLVRANNGAVATTVNIPGFGVEVIPDGLSLESPPRTLEAHLEALERNCFEPFKELLRALEDDGSRLSCVIADAPMSFASLAARELGVPDVQFFSASACGLMGYLQFEELINRGLVPLKGSSYGTDGTFDASLDWVPGMNGAMRLKDMPTFCHTTDADDALLRIHVRQMRVVATSKAVILNTFHDFEKDVVDALAASLPPVYTVGPLSSIMASLPAAPTSNNGGGFSSGLTDTAASLLQEDTECIKWLDGKEARSVVYVSYGSHAAMSPEKIKEFASGLDSCGYPYLWVLRPDMAADVEAGEDGLVVPWCAQEAVLAHPALGLFVTHCGWNSILETVMAGVPVLGWPMISEQTTNCRQVSTSWKIGAELPQEARGREIAALVREMMAGKKGLEARETTLKWKRLAEDAAKEGGSSYGNLRSFVEDVLPPTSQVPEIHGPITRARPRQLNYQE* >Brasy2G194200.4.p pacid=40065499 transcript=Brasy2G194200.4 locus=Brasy2G194200 ID=Brasy2G194200.4.v1.1 annot-version=v1.1 MAGPEKKPCHVVLVPFPAHGHVAPHMQLARLLHARGIHVTLVHTELHYRRLVRANNGAVATTVNIPGFGVEVIPDGLSLESPPRTLEAHLEALERNCFEPFKELLRALEDDGSRLSCVIADAPMSFASLAARELGVPDVQFFSASACGLMGYLQFEELINRGLVPLKGSSYGTDGTFDASLDWVPGMNGAMRLKDMPTFCHTTDADDALLRIHVRQMRVVATSKAVILNTFHDFEKDVVDALAASLPPVYTVGPLSSIMASLPAAPTSNNGGGFSSGLTDTAASLLQEDTECIKWLDGKEARSVVYVSYGSHAAMSPEKIKEFASGLDSCGYPYLWVLRPDMAADVEAGEDGLVVPWCAQEAVLAHPALGLFVTHCGWNSILETVMAGVPVLGWPMISEQTTNCRQVSTSWKIGAELPQEARGREIAALVREMMAGKKGLEARETTLKWKRLAEDAAKEGGSSYAPTSQVPEIHGPITRARPRQLNYQE* >Brasy2G194200.5.p pacid=40065500 transcript=Brasy2G194200.5 locus=Brasy2G194200 ID=Brasy2G194200.5.v1.1 annot-version=v1.1 MAGPEKKPCHVVLVPFPAHGHVAPHMQLARLLHARGIHVTLVHTELHYRRLVRANNGAVATTVNIPGFGVEVIPDGLSLESPPRTLEAHLEALERNCFEPFKELLRALEDDGSRLSCVIADAPMSFASLAARELGVPDVQFFSASACGLMGYLQFEELINRGLVPLKGSSYGTDGTFDASLDWVPGMNGAMRLKDMPTFCHTTDADDALLRIHVRQMRVVATSKAVILNTFHDFEKDVVDALAASLPPVYTVGPLSSIMASLPAAPTSNNGGGFSSGLTDTAASLLQEDTECIKWLDGKEARSVVYVSYGSHAAMSPEKIKEFASGLDSCGYPYLWVLRPDMAADVEAGEDGLVVPWCAQEAVLAHPALGLFVTHCGWNSILETVMAGVPVLGWPMISEQTTNCRQVSTSWKIGAELPQEARGREIAALVREMMAGKKGLEARETTLKWKRLAEDAAKEGGSSYGNLRSFVEDVLRKGF* >Brasy2G117300.1.p pacid=40065501 transcript=Brasy2G117300.1 locus=Brasy2G117300 ID=Brasy2G117300.1.v1.1 annot-version=v1.1 MRVFVVGEANPEKKDSNQCANRRQLFRTNWMESPKLKMAKEEDR* >Brasy2G326300.1.p pacid=40065502 transcript=Brasy2G326300.1 locus=Brasy2G326300 ID=Brasy2G326300.1.v1.1 annot-version=v1.1 MKMQGTKNSRSRIAGVRLGRSRRSGSSSSSAGWRLGLPVQTRRRLRTEGRILKRSASEPAFWYDARVHPVPDLPQGLSSPPPPLLERPHTCYDVFSPDSTFASSTSLSNCTPWEEAKVVVNVTVEGSAGPVKAMVRLGSTIREAIAAVLERYDREGRSPRLDPASADSFQLHHSHFCLQSLNKDDKIGDVGGRKFYLHKNVGSNGLSLQSDEPDVNLSGREITQSYGGGGQLAGFPYHHQFFSIVMKKLDKIGRRTKRIWRVLTCNCT* >Brasy2G223500.1.p pacid=40065503 transcript=Brasy2G223500.1 locus=Brasy2G223500 ID=Brasy2G223500.1.v1.1 annot-version=v1.1 MVLETFVEGTKQITDSLILLNHITKDCIGKPSPSGVLLSGAMQERWCI* >Brasy2G006700.1.p pacid=40065504 transcript=Brasy2G006700.1 locus=Brasy2G006700 ID=Brasy2G006700.1.v1.1 annot-version=v1.1 MEFGGDEVVEVNCARGGGGDPGAYAAVLKRKLDLYCAAVAKTMEAKPQESALGAMQLVSQASFDGDGTVVQGKPTNSCTSREQSDVDGDLEENTDPANAKRVKRMLSNRESARKSRKRKQAHQTDIESQVTQLRAENASLLKRLTDMTQKYKEATLGNRNLTVDMETMRRKVNIAEEAVRRVTGASLLFSITSELPGSSVPFSSCIFDAASADAAPTEESMSHLLQGFL* >Brasy2G484300.1.p pacid=40065505 transcript=Brasy2G484300.1 locus=Brasy2G484300 ID=Brasy2G484300.1.v1.1 annot-version=v1.1 MKATVKGRYEGDKATAAATMAVSAAGDLRLRASATDAAFASGPSLNGLTLTLEKPGAFLVDLKPHNRDVRFQFMNSALVLDKKVSLTYTHSTSLAPAAPAAGAPAAPAKSAPSRTALDCAVAFDAANKVSVSHTLGGAGCRVKYSYAHGAGRLTTMEPCFDTASNAWEFAVTRKFDGGDALKGTYHASTKQLGLEWTRSSQIGGSFKVATTFDLSDQSKTPKLIAESTWNYEI* >Brasy2G078300.1.p pacid=40065506 transcript=Brasy2G078300.1 locus=Brasy2G078300 ID=Brasy2G078300.1.v1.1 annot-version=v1.1 MAHHQQAAARSTRQWAPASSRVAIIGGGISGLAAARKLAAHDPVLFEATPSIGGVWKNCSYRTTRLQTPRPDYEFTDYSWSNRDDPSFPTHAEIVDYLEGYADAFGLWRYIMLGARVVGVRFLGAPDAAFADLWSGDGKARLDGKPMWEVGVETGTGDSATVQWYKFEFVVMCTGKYGDVPRMPVFPKGKGPEVFKGQVMHSLDYCKLSEPETVELMKGKKVVVVGFKKSAIDLANECAQANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGFFRSLVCRLMSPLQRAAVSKFISSYLSFKLPLGRYGLRPDHPFVEDYASCQMAILPEGFFDMADRGLVRFQRAPDGWCFSETGVVLDDGTRVDADLVFLATGFEGKDKLRSVLPDLFRALLVNKESSMMPLYRGTIHPRIPNMAFVGYVESVSNLHTSELRCRWLAGLLEGRFALPSVGEMMAHVEDEAEAMKRTTRFYRRHCISVYSIHDSDGMCADLGSATLRKRNCFAELFAPYNNQDYKEQ* >Brasy2G267900.1.p pacid=40065507 transcript=Brasy2G267900.1 locus=Brasy2G267900 ID=Brasy2G267900.1.v1.1 annot-version=v1.1 MSGVQTPSPRQDNRKNNGNGIELSHDPQGGLSKEEHEWRCVQAERIHQRVPEALRKLHAESEKVRHHFCDDPVALEIWNEYIDDIHLAASRNLTYSLSSYAQKSALYEKLMRSKAQSNTSVLMLSGGYDVGMLSRGYDKLTITTKKMVPIAGVVGAVGVAAFAAGLVGSGVWKDQETKEN* >Brasy2G460900.1.p pacid=40065508 transcript=Brasy2G460900.1 locus=Brasy2G460900 ID=Brasy2G460900.1.v1.1 annot-version=v1.1 MFNAIGENGLSFDGSIPLGVLQLEGVASITRGALLLTNSDPQKSGQAFSKRPFDSITSFSTTFVFLIIPPDGNGGVSAHGLAFALSSTMDFVSDAHPGPYLGLTNIKSNGNGSNQVFAVELDTIKNPQFADIDDNHVGIDVNSMVSVNSNTAGYYTSNAGKFSPLRLASGEPMQVWVDYNGISHNINVSLAPYLEREPRRPLLSSSVNLTSMLANNSFYAGFSSSTGLLISRHYIIGWSFNTTGKARSLNYTALSQVIEDVKRKARNRSIIPRAILVPVVTLAALIVLVIPAVIYVLRKKAREDGEWEIEAGPPSFTYKELATATRGFSDTMLLGEGGFGKVYRGVLQNASKQNVAIKRVSPESKQGMKEFIAEIMILGHLRHRNLVQLLGYSRHKNELLLVYDCMPNGSLDRVLYGQDGQAGLDWVYRFNIIKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDTEMNARIGDFGLARLHNHGTDAQPTHLAGTRGYIAPELARLGRATKATDVFAFGVLMLEVACGRHPIEVNDSGEPVLLPDWVLHAWESGAVLTAVDPRLEDYILEEVELVLKLGLLCSHSVASARPSMRLVLQYLEKDAPLRDLQPSFFNLTSRDEDFDQYILSCLSIGPTMSGLSGGR* >Brasy2G450700.1.p pacid=40065509 transcript=Brasy2G450700.1 locus=Brasy2G450700 ID=Brasy2G450700.1.v1.1 annot-version=v1.1 MARSCKAPPTHPVRAQVRSLHGSRRPSLIAKDDLPVRPLVVASRCRSRHSKGRWRQQVLWASQPGYPSTSYPYSMPDLCLVISIIYGFSGETKSTRPANEVRYQVLIEKLKTSQWLVTLTVGQRRRLMLHQPSAIHLLYQYQLSGMGMAHLLPPCHNNSTLLILGAFEVLFL* >Brasy2G379400.1.p pacid=40065510 transcript=Brasy2G379400.1 locus=Brasy2G379400 ID=Brasy2G379400.1.v1.1 annot-version=v1.1 MAQSAALQLHPVPSTTSTPRSRRHRASAPFCRTRRHSWPLLAARQMASSTVARASAAAPPGLKEGIAGLYDESSGVWESIWGEHMHHGFYDSGAAASMSDHQRAQIRMIEEALAFAAVPDDAANKPKTIVDVGCGIGGSSRYLANKYGAQCCGITLSPVQAERGNALAAAQGLSDKASFQVADALEQPFPDGQFDLVWSMESGEHMPNKQKFVSELARVAAPGATIIIVTWCHRNLEPSEDSLKPDELNLLKRICDAYYLPDWCSPSDYVKIAKSLSLEDIKTADWSENVAPFWPAVIQSALTWKGLTSLLRSGWKTIKGALVMPLMIQGYKKGLIKFTIITCRKPQAA* >Brasy2G070100.1.p pacid=40065511 transcript=Brasy2G070100.1 locus=Brasy2G070100 ID=Brasy2G070100.1.v1.1 annot-version=v1.1 MGIAECKCSGGLKDPIQSIVFDPRDPIGGGMMGFLGGLVALVQWSQGGIRGPVAVFQVQHPTSTFSFLLNPQSPSH* >Brasy2G055300.1.p pacid=40065512 transcript=Brasy2G055300.1 locus=Brasy2G055300 ID=Brasy2G055300.1.v1.1 annot-version=v1.1 MPQPILHTHDGGGSIRAIAASNNARRKLHRKPAGTCPSGESNPIQEHDTREEVAGRGEEGRSGGNLEKRRIRALQGAGTRDSGGGGGGGGGSLAGLWRRWWLRGLGFWMISLLSSPRLVSLSLSSPTGHAPARREVRREMPGRNWA* >Brasy2G276800.1.p pacid=40065513 transcript=Brasy2G276800.1 locus=Brasy2G276800 ID=Brasy2G276800.1.v1.1 annot-version=v1.1 MKSGEECGDGGLGEVPGGEPGGEVVEVGGLAGGDADGAEVGGEESEHGVGRGGLPRHLRGRVGGGRGSGGRLRRPRRSRAAGNGDDSAAVLGAGEKPYRGA* >Brasy2G179900.1.p pacid=40065514 transcript=Brasy2G179900.1 locus=Brasy2G179900 ID=Brasy2G179900.1.v1.1 annot-version=v1.1 MLVVVAMLHVTCLAQYFCCALYWSYSSADRPDLPLNIGNGVGTGVPVVAVLYVAYSPLGRRQANEPDTELSSSAEAAGGRRNGTDDQNGGDVEIRIYGRSVVVSSPEWSGGLCDCCDDGTVCALSAACTCCVFGWNMERLGFGNMYVHAFTFILLCVAPFLTFSVTALNIHDDDIRDAVVAAGVLLGFCGFLYGGYWRTQMRKRYKLPPAAEASGGGGDSGGRCWAATADCAKWLFCWSCALAQEVRTANFYDVEDDRFVGQHGARNGEGRAVLVPLPREATHSRSLSCPPKMGAMDVQMAGVAAMGRSASATYSGNGAFLDDRHHAMRPPLPPLIQMDREE* >Brasy2G397700.1.p pacid=40065515 transcript=Brasy2G397700.1 locus=Brasy2G397700 ID=Brasy2G397700.1.v1.1 annot-version=v1.1 MDEDDADKEELPNIYQAVGCSGESVKFVSITYSEDLADRKVTTWVLMPDTGLWKRDSQLCVRDIWEQQEFKRAGFPASATPMLPMLSPHEDDVIYLMMVDFCHMDTVAHMLRLNIHEKLLLSSTRLPSWKHLQVFQFLGSDFSKHLRLPLAPEKLKRKGKKSRRS* >Brasy2G440300.1.p pacid=40065516 transcript=Brasy2G440300.1 locus=Brasy2G440300 ID=Brasy2G440300.1.v1.1 annot-version=v1.1 MAAVAEAANREEAEKAYARAESLFLAGDVLGARRLAIRARRLSPSLPGVAHALAAYDIHAAASWHAILGLGGGQQSTRVDEEAVKRQFRRRSLLVHPDKNRSAAAEGAFKLLRQACDALLSSGPGPCYSRPAPYGAAAAAQDWFRTHHATKNRSPSPPPTPPPPPSWRSRRRERGRDRKPYCSAYCFYPAYAKPSRTETNPGRPKPPTFPCPAACPHCQARFTSEVSAGTWMLQCKACHKSVMVRVQGPDAATCGAK* >Brasy2G379600.1.p pacid=40065517 transcript=Brasy2G379600.1 locus=Brasy2G379600 ID=Brasy2G379600.1.v1.1 annot-version=v1.1 MASTSYCATPSASLRCSVALFLPFASPPSVVRFEAPPLLPRRLTISLPRTRIPALASALESLVQESDDEDEEEEGDDGPFKGEDWTAADERDAVRSPELEVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWLTQDDATYVAVHCLRIRNNDAAFRVFSWMEKQQWYRFNFALATRVADFLGREGKVEKCREMFEAMVKQGRVPAESTFHILTVAYLSMPKGRCLEHACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGAAKHNLRQAEFVYHNLVTTNLEVHKEVYAGLIWLHSYQNVIDRDRIIALRKEMKQAGFDESIDVLVSVMRAFSKEGRVEETEETWHKVIQRGSERPAQAYVCRMEAYARAGEPMKSVDIFTEMKRQSISPNVATYHKIIEIMANAKEIDLAEQLMNEFAESDMKHLMPAFLGLMYMYLDLDMHEKLELTFSKCLARCRPNRILYTIYLESLVKAGNVEKAEEVFGEMHKNGTIGTNAKSCNSMLRGYIYAEDYQKAEKVYDMMCKKKYDIQDDLLEKLQTGRRLGKKVVVKPKPVSMKLDLEQREILIGLLLGGTQIESHAQRGVHIVHFLFQEDSDAHSVLRVHIHERFFEWLTSASRSFDDESKIPYQFSTIPHLHFGFFADQFFLKGQPVLPKLVHRWLSARVLAYWFMFGGFKLPSGDIVLKLSGGPSEGVERIVNSLHAQSLPSKVKRKGKFFWIGFQGTNADSFWKVIEPHVLDGFLGFATQESGSTCSVYDQDTDTDGGDDDIQRQESEE* >Brasy2G390800.1.p pacid=40065518 transcript=Brasy2G390800.1 locus=Brasy2G390800 ID=Brasy2G390800.1.v1.1 annot-version=v1.1 MAFALKASPSSSSAAALISRRPTRRVVCSMLAAAATATATPRPARLVVSGPPPPGFPVAAPVAIADERTSRRRSQMSVAQTMARLKAQGKTAFIPRITAGDPDLATTAEALRLLDACGADVIEVGVPFSDPYADGPVIQASAARALAGGATIDAVMSMLRRVTPELSCPVVLVSYLGPVVRRGADSFTAAVRDAGVQGLMIHDLPYAESCGFRAAASQNDLEMVLLTTPATPTGRMTAITKASEGFVYLISVNGVTGPRTTVNLRVKGLLDEIKQVTDKAVVVGFGISTPDHVRQVADWGADGVIIGSAMVKQLGEAASAREGLKNLEQYAKSLKAALS* >Brasy2G155800.1.p pacid=40065519 transcript=Brasy2G155800.1 locus=Brasy2G155800 ID=Brasy2G155800.1.v1.1 annot-version=v1.1 MAISSQETTNEDASGRPTVQLADVVEEIDTTNTGGFTSAPKTGEIWTLHVQCKRAKCNQDRFIRARVDRAGLTYAALLTFKEQLGYCGRDYLYYKKRCGLDVATLEAIDYTKDAARMVEDLAEEMEVRMIVSNEAQDKHVQITPIKRPRPPDESDNDDDDAPGSCEPLDAYKDWLTELEDNSDLVAYDNSFSKYDTQIDDDSQETNESKDSNDTPPPQWPSHARKNKDNKGFKNRGRGTLKGLAATKKRLKLRTQKLKIEFSAKLGGPCGENARTFVDEVVTFTRLNTPLIGVHSWKDVHKNVRCSIVRSVLDGWDLDHSLPTKDKILKIAKERYKGWRSSLSATLLAARTPVIVNK* >Brasy2G398400.1.p pacid=40065520 transcript=Brasy2G398400.1 locus=Brasy2G398400 ID=Brasy2G398400.1.v1.1 annot-version=v1.1 MALSKATWRPSSPTPTMMLAAAIIAALVSACRADPEPVQDFCVAAAHKTGAADDQPMFPGFPCKPSSTVVSDDFFFAGLSAPAKPASDSPYGSAVTPGNVEAFPGLNTLGLSINRVDLAPGGVNPLHTHPRAAELVHVVAGEMLVGFVSTAGKFYSKVVGEGQSFVIPRGMTHFQYNVGAGPARALTVFNSQLPGLVQSAPALFGAEPEIPDAVLAKSFQVDGEIIKLLKSKFRK* >Brasy2G332700.1.p pacid=40065521 transcript=Brasy2G332700.1 locus=Brasy2G332700 ID=Brasy2G332700.1.v1.1 annot-version=v1.1 MGACNSCEATAVAAVNGSGAAVGEATAARVVLADGELQRFPGGTRASQAVKAAAAAAGVAGAGACFLCSADGLELGGAVAAVAGDEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHGGGPLVFPDSASAAAAGGGGAAARKAGRRRSRRTASRGRDFVPDLGAIAE* >Brasy2G236700.1.p pacid=40065522 transcript=Brasy2G236700.1 locus=Brasy2G236700 ID=Brasy2G236700.1.v1.1 annot-version=v1.1 MSSFLAPPPPRLPGARVRLQPPSADAERPSLRFGPRRAAPKGQRLVHISCFRQEQDGSTTSDDGTGLKYTELVEILGDGELKEEEGQSPNEEGKNYEKGDWFVRVQKIKANLHERIFRFWNERWMVPWTGQTIAQVMFLWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFRPLPPGWFEFKLKGGWHWDVAFGCLLFPLVNLLSHININLVHMSSGPVAGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEVVFRGFLLPSLTRYMPLPWSILASAAAFALAHFNAQRVLPLIFLGVVMGGVFAKSRNLLASMVLHSLWNGFVFLDLMK* >Brasy2G320200.1.p pacid=40065523 transcript=Brasy2G320200.1 locus=Brasy2G320200 ID=Brasy2G320200.1.v1.1 annot-version=v1.1 MMRMPRGGARGLWAALLLVVLLAAAAPGAVVGQGGNLTSLADLAALYALRGSLGLRARDWPRKADPCSAWAGVGCRGGRVVSLSLAGLKRTRLGRLAPRFDVGGLRNLSRLEAFSAAGFGLPGSIPAWLGAGLAPTFQVLDISACAVTGEIAASAISGLTNLTTLNLAGNLLSGQLPAAALAGLPRLRIVNLSGNAFSGALPDAVWSLPELSVLDVSKTNLTGALPGAGLSPPASLQVVDLSENLFYGDVPESFRQLFSRVLIANISGNYFEGKLGVSSVAGRNVSSAMNCFLDVGGQRSQSDCQEFYAGRGLPYGGPIAAPTPQPGPPTASGKKKRKNLKYILIGAIVGGVLLVAVVAAVVFCVVCSGRRRNDQRESGAPSTQSGVPGTARAAAAGDTQPSTSSANLAKVGDSFAYDQLANATSGFLEERIIKHGHSGDLYHGVLEDGTTVVVKRIGSRVARKDAYMTELDFFAKGLHERLVPFMGHCLDKEEEKVLVYRFVRNGDLSSSLHRRSGEQEEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRDVQASSILLDDKFEVRLGSLSEVCPQEGESHQNVITKLLRFSSTADQSSSGSPSATCSYDVYCFGKVLLELVTGRLGISASSDATTSELLDNTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAAFFGSWRHSSSEIGPSRDDNMFKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED* >Brasy2G214400.1.p pacid=40065524 transcript=Brasy2G214400.1 locus=Brasy2G214400 ID=Brasy2G214400.1.v1.1 annot-version=v1.1 MPPTTTPPPHHITTRPPHRLPPTSSAPAPLALPSSRSRLQTLTLISSTSSAAAAGRPRPPSSPLPRPTPTPPPGRPSRARARGR* >Brasy2G113900.1.p pacid=40065525 transcript=Brasy2G113900.1 locus=Brasy2G113900 ID=Brasy2G113900.1.v1.1 annot-version=v1.1 MAASFSNVNSEAGLKKLNDYLLSRSYISGYQASKDDMGVYSAFSAAPPSKYTNVVRWYNHIDALLKLSGVTAPGQGVKVESSVVPEVSTPDVSEAPAADDDDDDDVDLFGEETEEEKKAAEERAAQVKASGKKKESSTPCSSAGSSARCHGPSTSSRRGGWRRSWTRTGGWGTRRRSRRRCRWGGRRSRRTSGGRRGATRTAGGGAWRG* >Brasy2G009100.1.p pacid=40065526 transcript=Brasy2G009100.1 locus=Brasy2G009100 ID=Brasy2G009100.1.v1.1 annot-version=v1.1 MGLLLHAVSVMPVSRRNRRKKTPQSTTDTRRGGGPGKRRRRMPSGSSSSRRRVSKSRPRWSGPPPGLFPTGAHDFLRLLAVLAVAAAACSLLSRRPNPFCDYQYSPQYDDAYDSCEPCPHNGRCVDGKLECLQGFKRYGKTCIEDGLLTQAANKISDLLQLTICDEYARALCGQAGKILFEQLDISNMADDLLSKEHGHLSDDGIKVVKDRVLGSAHGFLETALTRNKVKAFKCPEPVAELHRPLNCQVRQWIFRNIVFVMASCILFAALLWILRSIYRRRAFSKRAEQIYEQVCEILEDNAIDAKIGNSECEPWVVTSWLRDHLLVTRERRNAVLWILQYPGIITLSMYGKLIFLFPGVLLSCHRWKD* >Brasy2G009100.2.p pacid=40065527 transcript=Brasy2G009100.2 locus=Brasy2G009100 ID=Brasy2G009100.2.v1.1 annot-version=v1.1 MGLLLHAVSVMPVSRRNRRKKTPQSTTDTRRGGGPGKRRRRMPSGSSSSRRRVSKSRPRWSGPPPGLFPTGAHDFLRLLAVLAVAAAACSLLSRRPNPFCDYQYSPQYDDAYDSCEPCPHNGRCVDGKLECLQGFKRYGKTCIEDGLLTQAANKISDLLQLTICDEYARALCGQAGKILFEQLDISNMADDLLSKEHGHLSDDGIKVVKDRVLGSAHGFLETALTRNKVKAFKCPEPVAELHRPLNCQVRQWIFRNIVFVMASCILFAALLWILRSIYRRRAFSKRAEQIYEQVEGLILEDSRIDQYQKVIKGESKVVLEWQASAWVAEWKD* >Brasy2G009100.3.p pacid=40065528 transcript=Brasy2G009100.3 locus=Brasy2G009100 ID=Brasy2G009100.3.v1.1 annot-version=v1.1 MGLLLHAVSVMPVSRRNRRKKTPQSTTDTRRGGGPGKRRRRMPSGSSSSRRRVSKSRPRWSGPPPGLFPTGAHDFLRLLAVLAVAAAACSLLSRRPNPFCDYQYSPQYDDAYDSCEPCPHNGRCVDGKLECLQGFKRYGKTCIEDGLLTQAANKISDLLQLTICDEYARALCGQAGKILFEQLDISNMADDLLSKEHGHLSDDGIKVVKDRVLGSAHGFLETALTRNKVKAFKCPEPVAELHRPLNCQVRQWIFRNIVFVMASCILHCSGFYGAFTAEERFQREPSKYTSRCVKSLKIMR* >Brasy2G175000.1.p pacid=40065529 transcript=Brasy2G175000.1 locus=Brasy2G175000 ID=Brasy2G175000.1.v1.1 annot-version=v1.1 MDPDAGGSTLAPSTSAVNPGAGAETKEGKEVIGNNVAVILVGPPGSGKSTFAEAVLSGATSGRPWARVCQDTIGKGKAGTKIQCLKATADALKEGKSVLIDRCNLEREQRADFLKLGSTVQADVHAVFLDLPTKVCISRSVSRTGHEGNLQGGMAAMVVNRMLKKLETPLLTEGFSRIMFCKDDDDIKQAVDMYCALGPSDSLASGVFGQKNKGPVQAGITNFFKKADTSSVEKSSGTKLTSSERKPGQKNPSSKQENVEAAGTCSMEVENKLNNMNGNEEQSKQIVPFDINSPTLAFPSISTADFQFDLERASDIIVDAATEFVQKHDNIRLILVDLSQKSRILSLVKDKAAKKSIDSSRFFTFVGDITQLHSKGGLQCNAIANAANWRLKPGGGGVNAAIFNAAGEGLQHATKECADTLRPGSSVAVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDCLKNDYTKGCKILHDAYNSLFENFASIFQSYTGKQNDETSSKKSASRVISPTDSKMKREDSHDSERTKKCKLPPPILTSRPNQERKGTSTLNYHDNSMVPSDAPSQAREEGSKRNDTVTKKSWGSWAQSLYEVAMHPEKYKNSDSILEVSDEFVVLKDLYPKAKRHVLVISRTGGLDSLADVNKEHLSLLRSMHSVGVKWAQKFVEEDASLIFRLGYHSVPSMRQLHLHVISQDFDSPSLKNKKHWNSFTSAFFLDSADVMEEIDQHGSAAISSDEKVLAMELRCHRCRSAHPNIPKLKSHILACKSSFPSHLLKKDKLLSASTSSLDCT* >Brasy2G175000.2.p pacid=40065530 transcript=Brasy2G175000.2 locus=Brasy2G175000 ID=Brasy2G175000.2.v1.1 annot-version=v1.1 MDPDAGGSTLAPSTSAVNPGAGAETKEGKEVIGNNVAVILVGPPGSGKSTFAEAVLSGATSGRPWARVCQDTIGKGKAGTKIQCLKATADALKEGKSVLIDRCNLEREQRADFLKLGSTVQADVHAVFLDLPTKVCISRSVSRTGHEGNLQGGMAAMVVNRMLKKLETPLLTEGFSRIMFCKDDDDIKQAVDMYCALGPSDSLASGVFGQKNKGPVQAGITNFFKKADTSSVEKSSGTKLTSSERKPGQKNPSSKQENVEAAGTCSMEVENKLNNMNGNEEQSKQIVPFDINSPTLAFPSISTADFQFDLERASDIIVDAATEFVQKHDNIRLILVDLSQKSRILSLVKDKAAKKSIDSSRFFTFVGDITQLHSKGGLQCNAIANAANWRLKPGGGGVNAAIFNAAGEGLQHATKECADTLRPGSSVAVPLPSTSPLRQREGVTHVIHVLGPNMNPMRPDCLKNDYTKGCKILHDAYNSLFENFASIFQSYTGKQNDETSSKKSASRVISPTDSKMKREDSHDSERTKKCKLPPPILTSRPNQERKGTSTLNYHDNSMVPSDAPSQAREEGSKRNDTVTKKSWGSWAQSLYEVAMHPEKYKNSDSILEVSDEFVVLKDLYPKAKRHVLVISRTGGLDSLADVNKEHLSLLRSMHSVGVKWAQKFVEEDASLIFRLGYHSVPSMRQLHLHVISQDFDSPSLKNKKHWNSFTSAFFLDSADVMEEIDQHGSAAISSDEKVLAMELRCHRCRSAHPNIPKLKSHILA* >Brasy2G498700.1.p pacid=40065531 transcript=Brasy2G498700.1 locus=Brasy2G498700 ID=Brasy2G498700.1.v1.1 annot-version=v1.1 MWARSFHHGTNKHATRHFSLVPHVIRTRFGGSKGTLDLYSAGNGRPDARSHTHRRARMSPWDPIASTNNIRLRVNTANKSDREREGGKKISRQKTLEKRGAEEGQGRGEGRRRRRCVLRRRSGRWWRSLRGGGKAFRRAIVRAGSPSCTAGSSISWKE* >Brasy2G422300.1.p pacid=40065532 transcript=Brasy2G422300.1 locus=Brasy2G422300 ID=Brasy2G422300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDRFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENAVRYPPRPPAPELTKVFQVNGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G135500.1.p pacid=40065533 transcript=Brasy2G135500.1 locus=Brasy2G135500 ID=Brasy2G135500.1.v1.1 annot-version=v1.1 MLLVIHEEVHAFATRALGVDYGYLHGTARSFPPNEHCRYVMVRDFGTQRLESILPAQKIRALCCYGCSKMVLGEDSFLFARCLRVLEMQGSSMTKLPDSVCKLEHLGYLNLSGCSKLVTIPESFENLINLLHLDLSSCSALVKLPGSFGKLVKLVYINLSGCSGLANLPEFLGRLTNLVHINLSGCSGLEKLPQSVGKLVSLVHINLSGCSGLVNLPEFLVRLTNLEHINLSDCSRLRKLPVYFGKLTKLKHTNLSGCSKLKKLPPSFGKLISLVHINLSGCSGLENLPESFGDLRSLLYINLSHCYGLSKLPESFANLKKLVHLDLSFWSCFEGIKIALVGLTNLQHLNLSHPCPYRSDYSHLEGLREILGQFTRLQYLNLSMFLNPILYNKPVFGNCEYLECIRGFCRLKHLDLSHNIFLCDLPKSLQGLDRLHTLDLSGCIRLKKLEKWIGQMTSLKSIVLRNCDGLESYQFVVGDKINKYVQPEDINSGELEISRLVKMKSLVEARKIRLVEKLKLRKLKLCWTDATSAGELQFLKPQLQESGELPVCSSVEGNDLLGELEPPNNLECLELHGYSGEICCPSWWTMNICSGLYNLTEVTMEDFPSCSSLPPLGLLPNLKHLVLRKMASITRIDTGELSGGNRAAFQKLSRFTIDDMGSLEKFNTMSDSGGEKFIFPAIDESLVVQKCPKLSFAPLPPRAKRLVISNCNPEMISCQNREVSGMEDLPCTSVPVTELVIERCQVPLGEWRLLQQLPGLRSLTMKRCHNLTSSEDIISALSSLETLRFSCCNRMTILPEHLGDLTSLRDLHIENCTELNSLPESMQRLSSLQSMHLDDCRKMKELPEWLGSLTSLQKLSIDKCWQITSLPESTCKLAKLKDFNILGNQQLKQWCDLEVNKKFLGDIQPKYKYVPYCSYMSLSVYFRYFTALFIFTVLL* >Brasy2G403900.1.p pacid=40065534 transcript=Brasy2G403900.1 locus=Brasy2G403900 ID=Brasy2G403900.1.v1.1 annot-version=v1.1 MVPRKHAKMVELEREDPMVDDEAMMDDEAMLGDDDSDNEDMESEDDSGEELQAEPSRKAIYNKERLLEKLEDIAWPENVDWMHKLTIDHDQGEKVDVNDDLNRELAFYTQALDGTRRAFEKLQSSKVRFLRPADYYAEMVKTDSHMHRIKGRLLSEKKKIEEAEERKKARESKKRAKEVQAEKMKERAKEKKESIESVKKWRKQRQQGGFAKGKDDGPDLNFEGDEGFKQSKKKRPGVAPGDRSGGLAKRSKQGKNHRSKDSKFGHGGRKGMKKQNTAETTNDFRGFNQRGESQNKKRKTF* >Brasy2G007500.1.p pacid=40065535 transcript=Brasy2G007500.1 locus=Brasy2G007500 ID=Brasy2G007500.1.v1.1 annot-version=v1.1 MATSSDATSSVPHPVVAAVPAETSDAAALRVEKKKARGSYNCGRCGMPKKGHVCPIPGPPSAAVPGAAAALPPRRALSFDEVAPPPPLAVPLASASPALAPPRPPEKKKARVDVAVVDLDDGASSDPEPAWERWVDVGLGPRRRVPGDVLVEVLRRLPPRAVAAAAGVSRGWRDSARRVWRAAEELRLRAAGVSPVGALLPRCPALTRLVLRMESDVDATMLACLAFSCPNLQSLEISMADSAVNRMTGGELARFVSEKRSLSVLKVDRCCSLDFLNISSPSLSTLWLSDLSSLTKSVINCPNLKELSLDFAQQNNDSTDLISLMDSLGHTCPDLRNLHISSVHLCNEVVFALETANLRGLCMLSLLLGKKITDAAVASIVRSYASLELLDLSGSNITDNGLGMISKTFPDTLTRLLLAMCLNITSCGVQMVAAQLPLLQLLDCGKSLCANTQPEAKRSSYFGDLSGGIRFCSKPQTVWKQQPTGQKLIIKHINLRKLSLWGCSAIDALYVNCPELNDLNLNSCTNLNPERLLLQCPNLKDVHVSGCRDMLIGAIRNQVLNEFAMAEPRLPCKRLADGSKRVQVPHFMLEQLLEDEKSGGGARRIQCAVHLN* >Brasy2G340100.1.p pacid=40065536 transcript=Brasy2G340100.1 locus=Brasy2G340100 ID=Brasy2G340100.1.v1.1 annot-version=v1.1 MPHRRKSGTRTKPVNRAAGDLAAPARGWTSLFNGGRRRPALPLPVHRSVEQSAAWKICEIPIGCVILEEIMQYTLSYLHIIQKSLRYWNSRARGSNSQHIYFMIFERGPRAFFEATCQSLTRLTGSGGPSQSLLDSAEDIISTNIDVLESMERCLAAFLAELYSKSDICKEGLTGSRDESLHALFIVLNHVFIKLEEEQALLFTHSGNPSELRFGRLPEVGTESPQWTETLSTYGLRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWFLRHSSLMGSSDLDSWIGHAKESLAGFWNEHAEKPIRSVGDEPSESFKRTARSLMEKKEARQTEESLQRILHSFCEQTSKEKSGEAPMDSQSHEKEWMHRIRNLFGAMRFKNQKKDPDILHSILELDQVVKANILDLQEAILGFSPVLEAIKLNFALLALGIPLLLLLSARARAVHVQHAERRKRIAWRIIVAEQRLLEFQQSNVRGKECEARWKFGLTLYSLDRLYQAVELHANGTDAWSTRLKEHIFYLAKPGIEKDDQRDVLLRLKDMYDCSPSLRGYPTLQ* >Brasy2G344400.1.p pacid=40065537 transcript=Brasy2G344400.1 locus=Brasy2G344400 ID=Brasy2G344400.1.v1.1 annot-version=v1.1 MDKILERYERYSYAEKVLISTESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLQSLNLKELQQLEQQLESSLKHIRSRKNQLMHESISELQKKERSLQEENKVLQKELAEKQKTHTQQAQWEQTQPQTSSSSSSFMMREAPPTTNISDRPAAASERTEEAAKARVGLPPWMVSHING* >Brasy2G360800.1.p pacid=40065538 transcript=Brasy2G360800.1 locus=Brasy2G360800 ID=Brasy2G360800.1.v1.1 annot-version=v1.1 MLGLEHFTTSTDLVSVMRSVFSQTHQWRWESVVLGSGFLFFLLLTRFFSKRRPKLFWISAAAPLTSVILGSVLVYLTHAENHGIQIIGYLKKGLNPLSVTSLNFTPPYMMLAVKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNVLGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMSVAVMVTLLLLTPLFHYTPLVVLSAIIMSAMLGLIDFPAAAHLWRVDKVDFCVCAGAYLGVVFGSVELGLVVAVAISVLRVLLFVARPRTTVLGNVPDTAMYRRMDQYATARAVPGVLVLRVDSPIYFANASYLRERISRWIDDDEERTAAKAEMAAQYVVLDMGAVGSIDTSGTSMLDELKKTLDRRGIQIVLANPGSEIMKKLDSSKVLELIGHEWIFPTVAEAVAECGFVLHSHKPGMAMGSAPSGHENIV* >Brasy2G354000.1.p pacid=40065539 transcript=Brasy2G354000.1 locus=Brasy2G354000 ID=Brasy2G354000.1.v1.1 annot-version=v1.1 MSSLIDIWTVELERIRAMRRPEEPFRPVASPGHAGAREGGHFARSSDGHGSTAQPCDDRTPDDIMAKKHAADGGSSNCSPSPAVVREDAFLSILVDCFGE* >Brasy2G321100.1.p pacid=40065540 transcript=Brasy2G321100.1 locus=Brasy2G321100 ID=Brasy2G321100.1.v1.1 annot-version=v1.1 MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIVCCTGIDKDRVHHFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK* >Brasy2G321100.2.p pacid=40065541 transcript=Brasy2G321100.2 locus=Brasy2G321100 ID=Brasy2G321100.2.v1.1 annot-version=v1.1 MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIVCCTGIDKDRVHHFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK* >Brasy2G405100.1.p pacid=40065542 transcript=Brasy2G405100.1 locus=Brasy2G405100 ID=Brasy2G405100.1.v1.1 annot-version=v1.1 MGASRHPSTPASKTERTPSVTPGGSSRSKEEKISSTPTSKIERTPCVTPGGSSRAKEEKIFVTVRVRPLSKKELAVNDHVAWECADSQTILYKGPPQDRAAPNSYTFDKVFGPGCQTDLVYEDGAKDVAMSAMTGINATIFAYGQTSSGKTFTIRGVTESAVSDIYRHIENTPEREFIIKISAMEIYNEVVKDLLQPDSGPLRLLDDPEKGTIVDKLDEKIAKDRQHLRHLIGICEEQRQVGETALNEASSRSHQIIRLTVESRLREVSDCVKSFVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALAHVEQSRNTLFFAACAKEVTNTAKVNMVISDKKLVKHLQTEVARLEAALRTPDRGSSSDTLVMEKDRKIRQMEIEMEELKKERDNARSQLEELRKKTGDNQQGWNPFDSPQKVRKCLTFSGSLQPSNKIKIRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQSGRSENRDVDMVTDEGNGSNLKDEISRLNLQDNDIAKLEAKLENVQKSIDTLVMSLPNLGTQCNGTPTKSNVSKKKRRMLLPLGVGNINRRNLIRAPCSPSESEVENRAPEGGTVSHQDSEKATPTKSGDTGDVSSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESDEGKQNGIEQDPEENVGDCPESWERLFKAQMQHIILLWGLCHVSIIHRTQFYLLFRGDTADQIYIEVEVRRLLWLQQHLDDVGDAPEDDLAVSQASSIKALRSEREFLARRMGSRMTEEERERLFIKWQVPLEAKQRKLQLVNKLWTDPSNEVHVEESADIVARLVGFCEGGNVSKEMFELNFTVPASRKPWLMGWQPISNMIREKAQLWT* >Brasy2G235100.1.p pacid=40065543 transcript=Brasy2G235100.1 locus=Brasy2G235100 ID=Brasy2G235100.1.v1.1 annot-version=v1.1 MHGFADEELLMFEMPLTDSFRSKLDSGRVGMVSVSGGSLSVDEMVSLLQFIAPSENFLWDVTLAEPNVFKVHFPSKAEVQRMVRFGTFKVPGSPCVLTFDEWAVKVKPAWTLPEVWVLVSGIPTKALRDYLALWGLGTLFGKTKEVDMVYTRLHGVCRIRIACADYRRIPGRRVILIKGEGYDLSFQVEEPLGVQQPADEHMHDASDPEDDGGGDATQPDSKGIKSVAITDPSTSTVGDVVLPSSAQLAGAPVPEIRFGSFPAGSFSSGPTRPRRLWADLADEEVSGVSSAPPAVRNATRRGACGEVGRAAAATSQPAVPAAPATATPAVSAGASREAGPAVPLPSAGGGTAAAAPRVAAGRSPGTQQQGCPMRCITEGEDVRADKVAGGHLCVSTRRRKLNRIVGRCTSEGPCGGSPCCCFVGSVAGGELRSFFGGGDCFWWDPGCRGCGGSV* >Brasy2G172900.1.p pacid=40065544 transcript=Brasy2G172900.1 locus=Brasy2G172900 ID=Brasy2G172900.1.v1.1 annot-version=v1.1 MSSSCCFNGGAGWPEPVVRVQAVSDTCGETIPERYVKPPSERPTSSSHSQPGPGGNTNNDIPVVDMSMPDAAETARAMDAACREWGFFQAVNHGVRPELLRRARASWRGFFHQPASVRERYANSPATYEGYGSRLGTAKGGHLDWGDYYFLHLLPPSLKSHHKWPSLPSTLREATEEYGEEVVKLCRRVTRMLSEGLGLDGGRLQAAFGGEGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGLTMLLVDDHVRGLQVRNKDGHWITVDPVPDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAAEERLSLAFFYNPRSDLPVAPMPELGPPLYPEMTFDEYRAHIRRRGLSGKAQLQALQTAARAAS* >Brasy2G224100.1.p pacid=40065545 transcript=Brasy2G224100.1 locus=Brasy2G224100 ID=Brasy2G224100.1.v1.1 annot-version=v1.1 MSSPAAAVKVIGAFDSPFSHRAEAALRLKGVPYELLLEDLRSKSELLLAHNPVHKKVPVLLHGGRAVCESLLIVEYVDEAFAGPPLLPADPHARAMARFWARFIDDKCSKPFWLALWTEGEAREGFVKETKENFALLEAQLEGKRFFGGATVGLVDIAACGFAHWLGVCEEVAGVRLVTHEEFPRFRRWAVDYAANEKVRQCLPDREQLLADFTAKKDMFVSMAKSMLPK* >Brasy2G110400.1.p pacid=40065546 transcript=Brasy2G110400.1 locus=Brasy2G110400 ID=Brasy2G110400.1.v1.1 annot-version=v1.1 MRGAALLLPPLLVAAVLLRAAVAGGGNNGGCERSCGGTTKLPYPFGFSSGCTIPLGCDHDTGVAWLGRARELGLLVRNVTRRALILELLPDCSRALNASVAELFSESYAPASRNALVVSSCSAATAHNNNNASSCGAPPDRYIDRNSSHCVANASFQCILPPAPGSGSGHRFLSKRRILGSQCAALVSSASYWDTLGPALLLGKLELDWWVQGQYCRCHSSANCTQLTAPTTGKQAFRCGCRDGFEGDGFLDGAGCKKVSKCDPSKYLSGVCGKPVQIGLLLAGVIFGAMVMGVTCVACHLLKRRSASIRSQQSTKRLLSEASCTVPFFSYREIERATGGFSEDHRLGTGAYGTVYAGRLSDNRLVAVKRIKQRGDDNAAGQLDCVMNEVKLVSSVSHRNLVRLLGCCVDQGQQILVYEFMPNGTLAQHLQRERGPGAVPWTVRLRVAAETARAVAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGTAADGDPEASHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLAEIITAMKAVDFSRAPGEAVNLAQLAVEKIGRGCVDDIVDPYLDPHGDAWTLTSIHKVAELAFRCLAFHSEIRPSMAEVADELEQIQVSGWAPSADDAAFMSTTSSICSSRCTDKSSLGGGKSRRDAQALAVAAPVNAAAVAQGTEKGHAADSPVSVQERWFSDRSSPSSNSLLGNTSSLH* >Brasy2G469000.1.p pacid=40065547 transcript=Brasy2G469000.1 locus=Brasy2G469000 ID=Brasy2G469000.1.v1.1 annot-version=v1.1 MATMGNVIGLVNRIQRACTVLGDHGGDGALPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTGEGEKDYAEFMHMPRRRFTDFALVRKEIEDETDRLTGRTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAIEGQPETIVEDIENMVRLHVDKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINRNVDMIIARKKEQEFFASSPEYAHLASRMGSEYLAKLLSQELEAVIRARIPNITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIESGLKYFRGPAEASVDAVHLVLKELVRKSIGETEELKRFPTLQKELAAACYQALERFREDGRKTALRLVDMESMYVTVDFFRKLPQEVDKAGTGSPPTPAVDRYTDAHFRRIASNVSSYIGMVSETLKNTIPKAVVHCQVREAKRSLLNYFYTQVGSKDAKQLALLLDEDPNLMDRRQQCFKRLELYKSARSEIDAVSWAR* >Brasy2G110300.1.p pacid=40065548 transcript=Brasy2G110300.1 locus=Brasy2G110300 ID=Brasy2G110300.1.v1.1 annot-version=v1.1 MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRSLAINRHFLDASLPAHKALDRVEGEVHALDESWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIAALLDPDSISDSGPTNRRHSVREADSSKGESDITFVLDRIFEGACRPFKVRVEQVLQSQPNLIVSYKLSNTLEFYGYTISELLGVDTALCNTIWSLRDATQRTFFNILKSRGEKLLRYPPLVAGDLSPPPAVREGISLLLELINTYNSMMVSASGKGLNFDPVISAILDPIIQMCEQAAEAQKSKGALARRGRTSSDASGNKRDSISVDAILSKNSSTSVLSGESSAKVYLINCLSAIEEPLMGQEVATSYVNNLRSMIETHVRALVDKEVDSILTKCGLLSKMSYIKDYSSTGSKDDTRPLADVVETSPQMLLECLKAFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYEFIYKAVMDPKNSYPDPRSLVKHSPEQIRTILEI* >Brasy2G075400.1.p pacid=40065549 transcript=Brasy2G075400.1 locus=Brasy2G075400 ID=Brasy2G075400.1.v1.1 annot-version=v1.1 MAKAPVTSWSSGLCGCFDDISGCCLTFWCPCVTVGRIAEIVDQGNTSCCASGLVYTLLASATGLGFLYTYLHLPFQAAGPVRARGGALRRLLRPLLLRGLRALPGVPRAQEPGLRHGRRVGRQRGAEEERRCDRRAPDEHGDDSLILHLVTELLLCHGSIWMQVNSR* >Brasy2G060300.1.p pacid=40065550 transcript=Brasy2G060300.1 locus=Brasy2G060300 ID=Brasy2G060300.1.v1.1 annot-version=v1.1 MTHGTEVFFLPPAIFFPFYFLDLLASRSLRGHRNIPGRRPRALPLERGSGHARWRQARRVHWQESRTEVMGLTEPRWRVQEQQRRRAGRRRSQTTSSRTPVRVIGNGAVTQLPGVEFFPDTQVHADPWR* >Brasy2G153300.1.p pacid=40065551 transcript=Brasy2G153300.1 locus=Brasy2G153300 ID=Brasy2G153300.1.v1.1 annot-version=v1.1 MPQPISRRRLRRCVRPGAPKQVTCSLAVVYLQPAPVCGFASGRRHFKIEPKIAIKSGENTEIGSPKGLLDAGPSSPRPYLQNRRASLLRCPFHASPALPLPDCSQWRQRCGHPMVATLLARLGEGAARFELVEGAAPAPAPPVCPRLHCFARVGSSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKSRGIPLSAMEEVQDADDLRLAVKEVLCDNQTERQKYEEAIIAITVDESLKRYCQRIRRPDFWGGESELLVLSRLCRQPIIIYIPEHEYHGRGNGFIPIAEYGLEFTKNSKERKKRAPVRLLYSGRNHYDLLI* >Brasy2G165000.1.p pacid=40065552 transcript=Brasy2G165000.1 locus=Brasy2G165000 ID=Brasy2G165000.1.v1.1 annot-version=v1.1 MASVGRSRSRRRGEGGGPFDRNAAHYAAPAVDHYGAGSLRKQASNSGIFSDTMLGIPASSVPMKKLIDEEFSKDVNARHTSPGAVGRLMGLDSLPSFGTHNQQRYSRSHAPSTSASISHHRYVPQRRSTDEIPEVKDVFEVMDVTRIKVHRSPRPRNGNVISRFDTTDNADLDSVRQKFMDAKRLSTNGSFQMSEELNETLDALASNKDLLLEFLQKLDPVVRRDLQDQDCPSNANCITVLKPSKRNQVIDTDNTYPQDQGTVKHSTRKPHAKLSSRSPKEDSGSTRQKLSRSSHQEISDKRACPTRIVVLKPSLEKAEDIEGSFALTHEFPHSVYRRQTTCQDAGTWSPYTEESMFQVSLGDPETLGHRMKGSREIAREITKQMRAARSSGNRKQVPEPDTSTNVSDERSQLVSSRTKVKTSDTFRRSSELCDGWASSSSNSSPAHATETSVSKEAKRHLSSRWKMAHQYQHQAPENSGFGVLEDMFALSDQEASKVATETMPYLKCPKGELQRDRIPGSCNNPLGIGSKDGWRGVTPSNLTKSKSLPSSSNLGAQKSSSRKRSSRRNEFSMLKDVLRVGPHDSEYACRSRQKKSTVRGSTIHVDDADQVSPDNKERIMVERLIDVSSQKPSNVIDMPDSSEQNELDEVYHLHTSSVVLEQKKEPFAPAKLKPLTALDCHLLVPSLDNLITQAEGMENHQGDDYSAPCNPATGSESSVGTDHHPVAGNQDQLSWVSPAGSESPMSSNNNDDQPSPVSVLESSLDTEEAYSGDFNKISADLQGLRMQLQLLKMDTTDNADDTNVFIVSDGENTLASQPLPEMEIPHAFKDEEERDFSYVLDMLILLGINATEQDVLLDTCYFSECPASPGLYDILEDKYINLILWPPSERKLLFDLTNAVIADIMTSLVHHGAKKLLQGFSSIWDQEGFVVDVWQRVVQLRQEMDRAQEDLSAHIEWLGSEDGIDLVGRDIGRMIQEDLLEETIAEFLRLT* >Brasy2G165000.2.p pacid=40065553 transcript=Brasy2G165000.2 locus=Brasy2G165000 ID=Brasy2G165000.2.v1.1 annot-version=v1.1 MLGIPASSVPMKKLIDEEFSKDVNARHTSPGAVGRLMGLDSLPSFGTHNQQRYSRSHAPSTSASISHHRYVPQRRSTDEIPEVKDVFEVMDVTRIKVHRSPRPRNGNVISRFDTTDNADLDSVRQKFMDAKRLSTNGSFQMSEELNETLDALASNKDLLLEFLQKLDPVVRRDLQDQDCPSNANCITVLKPSKRNQVIDTDNTYPQDQGTVKHSTRKPHAKLSSRSPKEDSGSTRQKLSRSSHQEISDKRACPTRIVVLKPSLEKAEDIEGSFALTHEFPHSVYRRQTTCQDAGTWSPYTEESMFQVSLGDPETLGHRMKGSREIAREITKQMRAARSSGNRKQVPEPDTSTNVSDERSQLVSSRTKVKTSDTFRRSSELCDGWASSSSNSSPAHATETSVSKEAKRHLSSRWKMAHQYQHQAPENSGFGVLEDMFALSDQEASKVATETMPYLKCPKGELQRDRIPGSCNNPLGIGSKDGWRGVTPSNLTKSKSLPSSSNLGAQKSSSRKRSSRRNEFSMLKDVLRVGPHDSEYACRSRQKKSTVRGSTIHVDDADQVSPDNKERIMVERLIDVSSQKPSNVIDMPDSSEQNELDEVYHLHTSSVVLEQKKEPFAPAKLKPLTALDCHLLVPSLDNLITQAEGMENHQGDDYSAPCNPATGSESSVGTDHHPVAGNQDQLSWVSPAGSESPMSSNNNDDQPSPVSVLESSLDTEEAYSGDFNKISADLQGLRMQLQLLKMDTTDNADDTNVFIVSDGENTLASQPLPEMEIPHAFKDEEERDFSYVLDMLILLGINATEQDVLLDTCYFSECPASPGLYDILEDKYINLILWPPSERKLLFDLTNAVIADIMTSLVHHGAKKLLQGFSSIWDQEGFVVDVWQRVVQLRQEMDRAQEDLSAHIEWLGSEDGIDLVGRDIGRMIQEDLLEETIAEFLRLT* >Brasy2G165000.3.p pacid=40065554 transcript=Brasy2G165000.3 locus=Brasy2G165000 ID=Brasy2G165000.3.v1.1 annot-version=v1.1 MLGIPASSVPMKKLIDEEFSKDVNARHTSPGAVGRLMGLDSLPSFGTHNQQRYSRSHAPSTSASISHHRYVPQRRSTDEIPEVKDVFEVMDVTRIKVHRSPRPRNGNVISRFDTTDNADLDSVRQKFMDAKRLSTNGSFQMSEELNETLDALASNKDLLLEFLQKLDPVVRRDLQDQDCPSNANCITVLKPSKRNQVIDTDNTYPQDQGTVKHSTRKPHAKLSSRSPKEDSGSTRQKLSRSSHQEISDKRACPTRIVVLKPSLEKAEDIEGSFALTHEFPHSVYRRQTTCQDAGTWSPYTEESMFQVSLGDPETLGHRMKGSREIAREITKQMRAARSSGNRKQVPEPDTSTNVSDERSQLVSSRTKVKTSDTFRRSSELCDGWASSSSNSSPAHATETSVSKEAKRHLSSRWKMAHQYQHQAPENSGFGVLEDMFALSDQEASKVATETMPYLKCPKGELQRDRIPGSCNNPLGIGSKDGWRGVTPSNLTKSKSLPSSSNLGAQKSSSRKRSSRRNEFSMLKDVLRVGPHDSEYACRSRQKKSTVRGSTIHVDDADQVSPDNKERIMVERLIDVSSQKPSNVIDMPDSSEQNELDEVYHLHTSSVVLEQKKEPFAPAKLKPLTALDCHLLVPSLDNLITQAEGMENHQGDDYSAPCNPATGSESSVGTDHHPVAGNQDQLSWVSPAGSESPMSSNNNDDQPSPVSVLESSLDTEEAYSGDFNKISADLQGLRMQLQLLKMDTTDNADDTNVFIVSDGENTLASQPLPEMEIPHAFKDEEERDFSYVLDMLILLGINATEQDVLLDTCYFSECPASPGLYDILEDKYINLILWPPSERKLLFDLTNAVIADIMTSLVHHGAKKLLQGFSSIWDQEGFVVDVWQRVVQLRQEMDRAQEDLSAHIEWLGSEDGIDLVGRDIGRMIQEDLLEETIAEFLRLT* >Brasy2G194800.1.p pacid=40065555 transcript=Brasy2G194800.1 locus=Brasy2G194800 ID=Brasy2G194800.1.v1.1 annot-version=v1.1 MDGDSPVMTGSERRAYRYAQAPKLQGPSGMRKSWSNDSLFSYAGPGGRPAAHSCVCAPTTHPGSFRCKHHRQNASHLGGAPHAQSTAEAHADGDAKHDEAQEEISSADQGKAS* >Brasy2G141900.1.p pacid=40065556 transcript=Brasy2G141900.1 locus=Brasy2G141900 ID=Brasy2G141900.1.v1.1 annot-version=v1.1 MDLFAIDSDSESYTGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDDFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETNSGDIIKDVNSKQLSRVRSFVSGDCVVMGPWIGRVVRAFDLVTIVFSDGAKCEMLLRDSELLKPIPPILFEDAPYFYYPGQRVRIAHPSVSKSGTWLRGSWRASRDEGVVYHVDVGLVHVNWITSVTNVWGNQSASPSNFQDPKNLSLLSCFPYANWQLGDWCTLSACLDGSLETMDTVKSCFSTEDHKCNSHMQIDLGTTRSEYSQTYVVSKTKSTFDVLWQNGNLSLGLEPQTLAPVSTPGDHDFWPGQFVLEKLTAEEAAECQRIGTVRNVDALERTVNVKWTVPVDSDIIRHGSSPTEETVSAYELVEHPDFSFCTGEVVIRSALNIDKSEADLTNGTMTVSRESLDTSSGFLSCIGNVLGYKDEGVEVQWASGAISKVQHFEIIGLERLLDNSLGSMNEVHTSVDDEAEQDETQHESTKNALEESAEDCTGSLRSAFLFPKTAFVFLTNVASSLFGAHGSTSYSSVTADLQYQIVKTAELHPSAEELSEEKQSVELVTQIQKPQLPSENDIKRFDVVVDCSDHHFVKECGHENVKRGWLKKIQQEWTILQNDLPDDIYVRVYEERMDLLRACIIGAVGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWSPEGSTVLQLLLSLQALVLNEKPYFNEAGYDKFVGKADGEKNSITYNENAFLLSCKSMMYILHKPPKHFDKFVKEHFTHRAPHILEACGAYLVGDLVGHARDTAYISDDGCKNCSTGFKIMLGKLLPRLVAAFSEAGITCCE* >Brasy2G438600.1.p pacid=40065557 transcript=Brasy2G438600.1 locus=Brasy2G438600 ID=Brasy2G438600.1.v1.1 annot-version=v1.1 MLLRKFSGKFALLVQSCADVRSLKKLHASVLAHGLGSDVILGSKILGCYARLGNLPDSRLVFRKIVTDDLALWNSAMVDYFRAGYLEEVIVLYKRLKVHQIGLDGKTITFGLKSCSQLSDLLLGEGLHVDSLKLGLSGDKFAGSSLIGLYSKHGKMIDAGKVFEEISDKDIVAYTSMISGYSDVVDSAAWNAFKIASDMVKNNLEVNRVTLVSLLQVAGNLGAFREGKSVHCYSIRRAIGVSDEVLETSLVDMYARCGAYQLAYALLKNSKGTTASWNAVLSRLSRTRESWDAIQYFSAMLHEHKVTPDSRTFANVLSACAELCYSGYAASIHAHLMRRAIPLDVVLSTSLIEVYSKCKRIVRSMHLFDQLTVKDAISYNAMIHGYLQNGLADEATTLLSRMMIERIAPNSTTVLCLLAAFADQRDLVRGRWIHGFAIRHGFSADLDIANQIIHMYSVFREIVAARIVFDSLETKNLVSWTVIMKGCLSSGHGDEVVRLHQLMQQHGEKPDSITLIYAVQAVSELGHLKSVKEIHCFVYHAFMEEDMITTNSLITAYAKCGRLDLSEALFYSLEHKDLDSWNTMISAYGNHGFYIKVLQMFKQMEEESIKPDELTFSSVLSACSHAGLVKEGWCIFQSMTLLYSVHPQEEHYGCIVDLLGRAGHLEEGYKFIKLSILTDKSCMFRALLSACRTYGNTLLAHIIGKELLELGPQNPGTCALISEVYAQEGQWNESANVRASAKQSGLKKLPGSSLMESV* >Brasy2G003800.1.p pacid=40065558 transcript=Brasy2G003800.1 locus=Brasy2G003800 ID=Brasy2G003800.1.v1.1 annot-version=v1.1 MQPQWLFCSATSNYTGGRPPKNYTDGSQFEENLNELLSTLSTAAAGNGWFNTTTVGAGVDQVYGLIMCYADYNATQCLECLTRAPNEITQVCPHSQNAIAIYGACQIRYSNMLFFGAAGLVSIDLEPEITIHFHPDSRYVPDAVNMIQVRSRLMDKLAFKAGDLPLQFYNYTESYTHEFAGYSISGLAQCRRDLAPDVCDDCISSYTGNVPHLFPNDSQEPATPPSTQPVLALPPSTPPALGGNPKRGLVIGLSVSFASFLIILLGSFMCLVLWRWRNQANIHEEGNLSEDELAMEDNFEKGAGPKRFLYRELSIATDNFSGERKLGEGGFGSVYRGFLKESKLDVAIKRVAKGSKQGKKEYISEVSIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYDTDTILPWAARYEVILGPGSALLYLHQDWEQCVLHRDIKPSNIMLDALFSAKLGDFGLARLVNHGQGPYTTGLAGTMGYMDPECGVTGRTSVESDVYSFGVVLLEIACGKHPAVAREEAEDVIHLVQWVWESWEGGRTLDVADTLLNMEFDYQEMECDGRRALVRTPRPNPEAVDQAGGQRVAVGGAAAKPSSEDAGCNIQACL* >Brasy2G485700.1.p pacid=40065559 transcript=Brasy2G485700.1 locus=Brasy2G485700 ID=Brasy2G485700.1.v1.1 annot-version=v1.1 MSVDIEQVAGGFSSAVIQRAFDKTIDFLESNYNLSHATEELLSKLRTSLTMVKAITEVADNQLIINSTLNKWLRNLHNAAYEAEDVLDRFDCHETVTGKRKVTELISSSVRALKGLIVPDEGMKMLECVVQKLDHLCATSSTFLELIKQSNSTSIREEEIRGETTSRVPVDVMVFGRDEVLELILKIILGSSGSEPESSSIRAKLGARYRIGGVDVLPIFGMSGVGKTTLAQVIYNHENVKGHFSQRAWVYVAKHFSVKRTLQEMLRSFKGNDSSFDYADSLETIVNNIQSVIQQDGRFLLVLDSVWDEMCGQWNGLLTAIACELPGSVVLVTTQSKRVADTVATMCQVPLAPLPWESFWPVFRYYAFGTTDVVAENNQTLLLIGEQIAKRLDGLPLAAKVMGNLLRSRLTVDQWRSILESDWWDLTEVFCEILPYMGISYQHLQPRQRQSFAFCSIFPQNYLFDKDRLVNMWISHDFIAQSEFGGTRLEDIGSKLFDELVERSFFQATFDNKRYTMHDLVRALAIAVSSHECFLHRETPQRPSPTVRHLALQVSNQLHIHELNKYKNLRTILLFGHCDSNEICGVIDTMLANSRSIRVLDLSHLEALTNMLPSIPSLKKLRFFDLSFTRVNNMRSFPCNLQALYLRGYTRNSIPQSINRLANLRDLYVDSTALSLIPGIGQLTQLQELENFNVGKRNGFMINELKNMQELSGKICISNIHVIKNIHEAKDANMIEKKHLEALALKGRNVSADILEGLQPHSNLQELMIEGYGAATLPSWMLQAHIFTKLQSLHVGNCRLLAVLPPFGNFPSLKHLTLDNLPSVKHTDGTSFGCLRSLEDFKVSSMTSWIDWSHVEEDHGPLLPHITKFGLHNCPLLEEVPYLPFMSSLSELDISVCGNFVKALSQHVQLLACLRKLSISHCDHPLLLSGHQLKSLEYIYLRKCGWISLIDGLRCFPNLRQVNVLGCPDILTESSDQANGQDEQGVLQLTNISTDVSLLNRNSFLPSVRFMTISFVEALYFTPEQEEWFEQLISVEKIEFCCCYFLERLPSTLGKLTSLKALHVNIFRTKPVSLGGVMPQNLQELVMEKFAVEAENNFKPGGSEWLNISHVPYIRINRKTVQNLSINATPSSSNQQI* >Brasy2G179600.1.p pacid=40065560 transcript=Brasy2G179600.1 locus=Brasy2G179600 ID=Brasy2G179600.1.v1.1 annot-version=v1.1 MHASFASYASQDLTTATTAMPALDMLPEKAHQPAMSPSHHGWDATGAPTPMHKRLDGKVAVVTGGARGIGEAIVRLFARHGAKVVIADIDEAAGEALAAVLGPHVGFVRCDVSVEEDVERAVEHAVSRHGRLDVFCNNAGVLGRQTRAAKSILTFDAGEFDRVLRVNALGAALGMKHAARAMVAARRAGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHDASAADDADADIDLDVAVPSDEEVGKMEEVVRGLATLKGSTLRPRDIAEAVLFLASDDSRYISGHNLVVDGGVTTSRNLIGL* >Brasy2G172200.1.p pacid=40065561 transcript=Brasy2G172200.1 locus=Brasy2G172200 ID=Brasy2G172200.1.v1.1 annot-version=v1.1 MSGEGDFQEMAASVPPALKAITLTHVRYHRGDKVGLFLAWVSLVPVFISLGGFISHFLFRRDLQGICFAAGLLVSQFLNELIKHSVAQSRPASCELLETCDSHGWPSSHAQYTFFFATYLSLLVLRRSPVSRVMASLSWPLAFLTMLSRVYLGYHTVPQVFAGAVVGLVFGAIWYWIANTILAEYFPMIEESTIGRWLYIKDTSHIANVLKFEYDNARAARKKVATD* >Brasy2G491600.1.p pacid=40065562 transcript=Brasy2G491600.1 locus=Brasy2G491600 ID=Brasy2G491600.1.v1.1 annot-version=v1.1 MPNSYVRPSSFRPPAVPDPAGASCARACVLLDPVGYLVRRENATTAQARTSTGQVVQVSFTLADPPAVSYMCVLCPGLEGDDFVVEPTVRCADGPFVLLTVSLTFDPSRPVCGSGFREYFMYTAGPGKPSLKLIPGPPCHGPLALLHRAEHGISSGPQHYFVIAALELNLTYSPRARGGVTMIFTKSNVWITKAVTQDPSCDWESAKAHGTSKVITLGAGKLGWVDIWRGILVCDVLHVDPEPVLHFIPLPDPMGSNKMDFFDTCQRSTRDVNCSDGSIKFVEVEFLSDDDDDDEASGDDGEASGDDDGEASDDDDEAWDSPERWTATMWKKESLFGDWQPLHSVDVDDISVCASHSVMLPELWDDMTRKPSLAKLITASPTLTLLDDSVVYMMCKASFEDPRAWMISVDMKTKEMVHVFPFCADRVCNVTVNYHPFAFSSYLNAAPGRHAI* >Brasy2G125500.1.p pacid=40065563 transcript=Brasy2G125500.1 locus=Brasy2G125500 ID=Brasy2G125500.1.v1.1 annot-version=v1.1 MISPRPTLSSGFFSRSASFSRPGSFSPSPPPSPLPQAPPLLSAPLSRAASFSRSASASGTAVAAPDHASCFYDVLGLQASASYEEIKAAYRRLARAVHPDVAPHASADDFIRVQAAYSTLSDPSKRADYDRRMVVPSAVGRRRATSLARSPSFPGCRRRTWETDQCW* >Brasy2G446500.1.p pacid=40065564 transcript=Brasy2G446500.1 locus=Brasy2G446500 ID=Brasy2G446500.1.v1.1 annot-version=v1.1 MEARSDLRSILPLLPVVLRGGALFWPPAAQEQLKALALGPDVSRVTSGDVLADTLHDLRQALSLPALPARAAEGFALFFDDLLSRVHARDWFSDVLPRLARLLLRLPALLEDHYASAAAGGGGDDRALGIRLLGSQDAGLVLLGQELAAALLACALFCLFPTAGRSQARLPPINFDTLFASLTYNSRQSQEHKVRCLAHYFERVTESTPTGFVSFERKVLPLAHVSGGITYPDSDAWIKSSTPLCPFRVISSGLIEDEEQEALEVDFANKYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDKKPLDALGRRKTRILAIDALDCPTKLQYETSGLLREVNKAFCGFLDQSKHQLYMKPFQDSNTKDNCPSVSSDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQACRPFVNYYTFEAASLRRLEEVIQWILLHGWTVGELWHMLVEYSTHRLRGETHEGFLTWLLPKDGANSNVDYMCE* >Brasy2G387200.1.p pacid=40065565 transcript=Brasy2G387200.1 locus=Brasy2G387200 ID=Brasy2G387200.1.v1.1 annot-version=v1.1 MSLLYIFLGLLLWHTPCAATNDTLVAGQLLVVGDKLVSRNGKFALGFFQFHPATANNISKSSRNNATSWYLGIWFNKIPVFTTVWVANREHPIITNPNLTQLKISRDGNLVIVNTPTPLSIVVVWSTHIVRNRTQTSRSINTTTSAILLNSGNLALTDSSSPPSSDQLLWQSFDYPTDVVLPGTKFGRNKITGLNWHGISKKSLIDPGLGSYSIELDSTSVIVLKRRDPSVVYWHWASSRTSSLNLIPILKAILQLDSRTKGLINPAYFDSNQEEYYMYTSPDDSSSTFVSLDISGQIKLNVWSQANQTWEIIYAQPADPCTPSATCGPFTVCNGIADPSCNCMKSFSQKSPQDWELDDRVGGCIRNTPLNCSTSSSNKNMTGSTDMFHPIAKVTLPYNPESADAATTQSKCQEACLSSCSCTAYSYNNNSRCSIWHGDLLSVNLNDGIDNDSQDVLYLRLSAKDLPSLRESKRRPSNVGLITAASIISFGLLMFIMLLLLVWRKKLKWCGLPLLYDGNQGNGGIIAFRYTDLVRATKNFSDKLGGGGFGSVYKGVLSDSTTSIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRLLVYEHMVNGSLDGHLFRSNAAAVMNWNTRYQIALGVARGLSYLHQSCHECIIHCDIKPENILVDASFVPKVADFGMAAFVGRDFSRLLTTFRGTAGYLAPEWLSGVAITPKIDVYAFGMVLLEIISGKRNSPEAYTSSSYQVEYFPVQAINKLHVGDLQSLVDPKIHGDFNLEQAERVCKVACWCIQDNELNRPTMGEVVRVLEGVQEIDMPPMPRLLAAMTGQSDSTSM* >Brasy2G214200.1.p pacid=40065566 transcript=Brasy2G214200.1 locus=Brasy2G214200 ID=Brasy2G214200.1.v1.1 annot-version=v1.1 MDRRDVASNRGRSSARGAGRRHGWRGRGEDRARPSSTQPASSTASSAATVRVSDAPPIVGTCPDMCPEAERTQRERLRDLAIFERVGSDPRRTSASLAVKKFCRTISSTSVQSSDIRPLPVLRETMDYLLHLLNSSEYPFETVHDFIFDRTRSVRQDLSMQNLVNDQAVQIYEDVIKFHILSHQKLARSCQDSDASSLCYLNTEQLMKCLLSLFEMYHTINKSNSHSNKEAEYYSFYVLLHLGCKIPKMADSLSLWYSHLATSIVRSKEMIFARTILRCYHLGNFKRFFCMIADEATDLQLCLVEPFLNEVRARALLYFNHSGYKLQHHPLTHLSEILMIEEIELEALCRICGLEICESGDTKVFAPKQTSFSLPTSISRTSGIHISRGD* >Brasy2G214200.2.p pacid=40065567 transcript=Brasy2G214200.2 locus=Brasy2G214200 ID=Brasy2G214200.2.v1.1 annot-version=v1.1 MDGVDGVKTGPAPPPHNLLPPRHRLQRPLELATPRRSWAPAPTCAQKRRERRGSGCGTWRYSSGWAATPDAPLLPLPSRRTISSTSVQSSDIRPLPVLRETMDYLLHLLNSSEYPFETVHDFIFDRTRSVRQDLSMQNLVNDQAVQIYEDVIKFHILSHQKLARSCQDSDASSLCYLNTEQLMKCLLSLFEMYHTINKSNSHSNKEAEYYSFYVLLHLGCKIPKMADSLSLWYSHLATSIVRSKEMIFARTILRCYHLGNFKRFFCMIADEATDLQLCLVEPFLNEVRARALLYFNHSGYKLQHHPLTHLSEILMIEEIELEALCRICGLEICESGDTKVFAPKQTSFSLPTSISRTSGIHISRGD* >Brasy2G329400.1.p pacid=40065568 transcript=Brasy2G329400.1 locus=Brasy2G329400 ID=Brasy2G329400.1.v1.1 annot-version=v1.1 MDKYQRVERPRPESAIAENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVTEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLIPLEMTRHVSMISITLSPVDLDVNSPGYQTPAYVEQPRQQQRLQQAPLALRQPRQPPTDYEDSYVRGRGRGRGRGRGRGWGRGGYGGYGNNQGGYNQGGYNQGGYNQDGYNQDGGYYDQGGYGGYDNQGGYGGGYGYNQGRHGNYQENGGYNRGRGVIRGRGNWSYRGGFERGRGGGAPGGRGYDGGRGYDQGPGGRGYEGGRGYDQGPAGRGYGGGRGYDQAPAGRGYEGGRGYGVRGRGRVGGRGRY* >Brasy2G236800.1.p pacid=40065569 transcript=Brasy2G236800.1 locus=Brasy2G236800 ID=Brasy2G236800.1.v1.1 annot-version=v1.1 WVSFVHNHTEFFSLHGIIIYMPRLLAKFLCSKGIIFFSFWQGCALDVLAAVGIIQFHDFWLGLEHIQEAIQNVLVILEMVIFSMIYYHRLGLIIWHLLQVTETMKVWLAVH* >Brasy2G074000.1.p pacid=40065570 transcript=Brasy2G074000.1 locus=Brasy2G074000 ID=Brasy2G074000.1.v1.1 annot-version=v1.1 MPCYLANPWKFRIIPSLLFSSYAPIQNPHGPQPDFLCPSLGRLSSGSRSSRVCAAAVLPGLRRCRPSRAPAPPRPFSPTSAAPFAHLRPTTSHHLPCQIPRSASDSRRPLRRCWPPPSCCSTGRSWRPYPRSPPWPDEVFVPNQIATTHLPVVAGGGPRPWLTLPVPSQPQASLLPCSWCSHRYQVQRTSPRSSSFPSSKVPPNFSSECGLCSLTTGCHPIVL* >Brasy2G116600.1.p pacid=40065571 transcript=Brasy2G116600.1 locus=Brasy2G116600 ID=Brasy2G116600.1.v1.1 annot-version=v1.1 MERYEVIKDLGSGNFGVAKLVRDVRTKELFAVKFIERGHKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSTGRFSENEARFFFQQLLSGVSYCHSMQICHRDLKLENTLLDGSEAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPVEPKNFRKTITRILSVQYAVPDYVRISMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQLRLQMVGINAPSQTLEDSMAIIQEARKPGDGSKFAGQLCVPGLGSMELDDMDGDDIDIEDSGDFVCAL* >Brasy2G104000.1.p pacid=40065572 transcript=Brasy2G104000.1 locus=Brasy2G104000 ID=Brasy2G104000.1.v1.1 annot-version=v1.1 MGRGKVEMKRIENKISRQVTFAKRRNGLLKKGYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNYNSQEATPPVETEINYQEYLKLKTRVEFLQSSQRNILGEDLGPLSMKELEQIENQIDISLKHIRSRKNQVLLDQLFDLKNKEQELQDQNKDLRKKLQDTSCGDNNALHMSSWQDGGQSSSGGHGIEPYPGLLQHPEHDSSIENGYQQAYMDQLNNEDMAAQHPNGHLGTSGWI* >Brasy2G150100.1.p pacid=40065573 transcript=Brasy2G150100.1 locus=Brasy2G150100 ID=Brasy2G150100.1.v1.1 annot-version=v1.1 MEGGGGGDAFGSSTAPLAWHDFLERMRQPSASEFVKSIKGFIVTFSNRAPDPERDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLMNASHMSNDNPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRSQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDLARERLLGLSVSSENQDNHTNLDVREHRSQILKASGNSDVNIYLKDHVQGPGHDIKRVSDVSSKPVERVQSISDLDKKGQSIYDLEKKGATELLKDDDLSKIFQEYPFLFARAGDLTVADVGSLLNSYKQLVLRYVALSQGMGVNPEVPLVQSMHTASDVLASEEPENVNNVVNNSEISEGSSKTCDDIKNVNLDPEVGNFSSQQAAVDPRDDQKILKDEASDKSEHE* >Brasy2G150100.3.p pacid=40065574 transcript=Brasy2G150100.3 locus=Brasy2G150100 ID=Brasy2G150100.3.v1.1 annot-version=v1.1 MEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLMNASHMSNDNPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRSQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDLARERLLGLSVSSENQDNHTNLDVREHRSQILKASGNSDVNIYLKDHVQGPGHDIKRVSDVSSKPVERVQSISDLDKKGQSIYDLEKKGATELLKDDDLSKIFQEYPFLFARAGDLTVADVGSLLNSYKQLVLRYVALSQGMGVNPEVPLVQSMHTASDVLASEEPENVNNVVNNSEISEGSSKTCDDIKNVNLDPEVGNFSSQQAAVDPRDDQKILKDEASDKSEHE* >Brasy2G150100.2.p pacid=40065575 transcript=Brasy2G150100.2 locus=Brasy2G150100 ID=Brasy2G150100.2.v1.1 annot-version=v1.1 MEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLMNASHMSNDNPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRSQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDLARERLLGLSVSSENQDNHTNLDVREHRSQILKASGNSDVNIYLKDHVQGPGHDIKRVSDVSSKPVERVQSISDLDKKGQSIYDLEKKGATELLKDDDLSKIFQEYPFLFARAGDLTVADVGSLLNSYKQLVLRYVALSQGMGVNPEVPLVQSMHTASDVLASEEPENVNNVVNNSEISEGSSKTCDDIKNVNLDPEVGNFSSQQAAVDPRDDQKILKDEASDKSEHE* >Brasy2G310000.1.p pacid=40065576 transcript=Brasy2G310000.1 locus=Brasy2G310000 ID=Brasy2G310000.1.v1.1 annot-version=v1.1 MTNAGAALGARTARACDGCMRRRARWHCAADDAYLCQACDASVHSANPLARRHHRVRLSSSASSSSSSPAAASQHADPDAPAWLHGLKRRPRTPRRKPGGINGSKKQQQHDALTKAHTVNASAPVPDLEADDESLSGIILGAGNEVDQVDEDDDLLYQVPVFDPMLAELYSHPMPADDDQALEQKPCCFAPLLATDPSSDQYGGVSGLADGADGFSGFDLVPDMELASFAADMESLLMGVDSGYDDLGFLDDEKPQMNHHLGFDDMQDDFDQSTVAPAAPAPQERQEEDRKRKRPDQMILKLDYEGVISSWTHDGASPWFYGERPHLDPSDSSWLDFPAGSGRGFGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAALPPLPPRAMPMMLAAPHGGSAHGRYRL* >Brasy2G048000.1.p pacid=40065577 transcript=Brasy2G048000.1 locus=Brasy2G048000 ID=Brasy2G048000.1.v1.1 annot-version=v1.1 MAMGFRRRLAALAVPKASSYIRRTRQKKLSYTRVASLPGRFHPAVAGLHDAASELLAWTAESPGCSTGWIGDGVGRLGRVLAGLRDLLHHPQAAREQDPLRRMKRRPSRKAAAAPWTERLLDDLLLLADAHGCAREALVALKPLLAEAHAAVRRRDAARLAAALRARRRFDRDLARLAATLRALSHRSCSSSSAAATADSDGEAALASAVAAATCAAAAASAAIFAGLASASTSSASASSFSSSSPASKAAGAPVWWVADILRWRRRTGSVVAACDSGSPPGAAAVPPEEKCCVDVEEEERKAAMERLRGLEDCVVAAEEGCEQVYRALVNARVSLLNVLTPCF* >Brasy2G006400.1.p pacid=40065578 transcript=Brasy2G006400.1 locus=Brasy2G006400 ID=Brasy2G006400.1.v1.1 annot-version=v1.1 MVPCLMLSDIDDDAHDKEALVTMGMEGLDSGRWKERSFVRQGDAGGTLEKLRAGWSRCAAAYLRGTLYMHCMADSIMRISLADNTYRVIKTPVDTTGEEGYIQLDMGRSEKGVYFVWIRKGWLRVWILKEWCGEVEWILKHENDLKSVLEPYLANRPVHGHGPWVLEDINYNLFRSPRLPKDGKKTITQENIDREQDNGYDGVENFYLEDNENEDTDRDGVKSEDMVEDFYLEDSENRDTEDMVEDIHSEDNEQAIVVENVNSNFGNDDALGNGDNCYLLDDIEIKAISQRNSECNSNYGNSLDNGGHKAKDYSYRDSDILGFHPYKEIIFLSESFQTGLAYHLNSSEIEVLGNIYPKEYVSFKEGVNDQENFRSSFVYTPCRIQESLDNR* >Brasy2G083900.1.p pacid=40065579 transcript=Brasy2G083900.1 locus=Brasy2G083900 ID=Brasy2G083900.1.v1.1 annot-version=v1.1 MSEEDEDQAAPPKRPRASPSPPPDQVLDNVLETVLQFLAAPRDRGAASLVCRSWHRAESATRVTVAVRNILAASPARAARRFPNAHHILLKGRPRFADFNLLPPGWAGSAFRPWAAAFASAAFPALRSLSLKRITVTDADLDLLARSLPASFRELSLLLCDGFSSRGLASIASHCRGLRVLDVVDCEMNEEEDNEVSDWVAAFPGGHTHLESLSFECFTPQVPFAALEALVARSPRLHRLGVNQHVSLGQLRRLMALTPRLTHLGTGSFRPGDGVEDQGLDFGQILTAFTSAGRPNTLVSLSGFRDLAPEYLPAIAVVSANLTSLDLSYAPVTPDQILLFIGQCCSLETLWVLDSVRDEGLEAVAMYCKKLQVLRVLPLDALEDAEELVSEVGLTAISEGCRGLRSILYFCQRMTNAAVITMSQNCPELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEHIGKYGKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYHMRFVWMSGCNLTLQGCKEVARRLPRMVVELINSQPENQRPDGVDILYMYRSLEGPREDVPPFVKIL* >Brasy2G083900.2.p pacid=40065580 transcript=Brasy2G083900.2 locus=Brasy2G083900 ID=Brasy2G083900.2.v1.1 annot-version=v1.1 MSEEDEDQAAPPKRPRASPSPPPDQVLDNVLETVLQFLAAPRDRGAASLVCRSWHRAESATRVTVAVRNILAASPARAARRFPNAHHILLKGRPRFADFNLLPPGWAGSAFRPWAAAFASAAFPALRSLSLKRITVTDADLDLLARSLPASFRELSLLLCDGFSSRGLASIASHCRGLRVLDVVDCEMNEEEDNEVSDWVAAFPGGHTHLESLSFECFTPQVPFAALEALVARSPRLHRLGVNQHVSLGQLRRLMALTPRLTHLGTGSFRPGDGVEDQGLDFGQILTAFTSAGRPNTLVSLSGFRDLAPEYLPAIAVVSANLTSLDLSYAPVTPDQILLFIGQCCSLETLWVLDSVRDEGLEAVAMYCKKLQVLRVLPLDALEDAEELVSEVGLTAISEGCRGLRSILYFCQRMTNAAVITMSQNCPELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEHIGKYGKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYHMRFVWMSGCNLTLQGCKEVARRLPRMVVELINSQPENQRPDGVDILYMYRSLEGPREDVPPFVKIL* >Brasy2G083900.3.p pacid=40065581 transcript=Brasy2G083900.3 locus=Brasy2G083900 ID=Brasy2G083900.3.v1.1 annot-version=v1.1 MSEEDEDQAAPPKRPRASPSPPPDQVLDNVLETVLQFLAAPRDRGAASLVCRSWHRAESATRVTVAVRNILAASPARAARRFPNAHHILLKGRPRFADFNLLPPGWAGSAFRPWAAAFASAAFPALRSLSLKRITVTDADLDLLARSLPASFRELSLLLCDGFSSRGLASIASHCRGLRVLDVVDCEMNEEEDNEVSDWVAAFPGGHTHLESLSFECFTPQVPFAALEALVARSPRLHRLGVNQHVSLGQLRRLMALTPRLTHLGTGSFRPGDGVEDQGLDFGQILTAFTSAGRPNTLVSLSGFRDLAPEYLPAIAVVSANLTSLDLSYAPVTPDQILLFIGQCCSLETLWVLDSVRDEGLEAVAMYCKKLQVLRVLPLDALEDAEELVSEVGLTAISEGCRGLRSILYFCQRMTNAAVITMSQNCPELKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEHIGKYGKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYHMRFVWMSGCNLTLQGCKEVARRLPRMVVELINSQPENQRPDGVDILYMYRSLEGPREDVPPFVKIL* >Brasy2G358100.1.p pacid=40065582 transcript=Brasy2G358100.1 locus=Brasy2G358100 ID=Brasy2G358100.1.v1.1 annot-version=v1.1 MGHSNVWNSHPKNYGPGSRVCRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYR* >Brasy2G053400.1.p pacid=40065583 transcript=Brasy2G053400.1 locus=Brasy2G053400 ID=Brasy2G053400.1.v1.1 annot-version=v1.1 MGQCFGLLFSGSDDDNTNENASLSPCSSPAWRLSRRCSCSDAIPPPPTVGFAATPTRPSAVNCHKEMDSQEVFSAASLPDDLVVEILSRLPLKTFCRFKCVCKAWLAFSSNPHYNQKLPKFPTGFFHGGKGGSAIQLVSLYPNDVEIDGALTFLPHYKHLEFVDCCNGLVLCKYRYTSSNICRFVVCNPATQEWRMLPDTYREPYPYDYQYTAFLAFDPSWSAQFYVLCFKKKSDVGGRFGNGINELWVFSSGLSRWLVDEGWNSTIDLPMDKQYFFIGGKFYLKTLSHDVLVFEGLEAISFGIPPCYFTIELPHDVWCFEDGCFGQSRGFLQCAFPGKGDCAIAVYSLDAYYPHGWSLKHRISMKDAFGRDDFLRSDDGNLPWPRDYKIVSLDLERGVIFLVNSGTNKLMSYNINTGKHSEIHDDFECHYFGARYANQYYVASYSKLPLLSRTMYVM* >Brasy2G085300.1.p pacid=40065584 transcript=Brasy2G085300.1 locus=Brasy2G085300 ID=Brasy2G085300.1.v1.1 annot-version=v1.1 MVSTTTFLRGRDCHRAPLLAPCHGGMPRIVFINKQPASTECRRWRHVPTPAQCEASSSSIANRCPPGRHRARRFYIVPNLGGALLSSTTNIIDNTSPNTARRQRRGCGLTVSAGGVRRLTGPPPVAAFDVVSAETPPYKLAVLALPSPTRTSPPVAAFYVVSVETLPYMRLLGRFFHQLPARSLAPPTAPTSSSTSSLLPHPHCRWHSGIQASSPTPTKHWRREATPPTCPCIDEVHHHITCSCWAPKLGEGKTYCPLTRRVLARHFIVHRQDGVARRSTPVRSIGRGLASTERGRTTPPFPFITTIFYTNHWEKTRLEDDTIAAP* >Brasy2G426500.1.p pacid=40065585 transcript=Brasy2G426500.1 locus=Brasy2G426500 ID=Brasy2G426500.1.v1.1 annot-version=v1.1 MDWAALAYTAAALLCAAAATVITLGHIYRHLLHYAEPIFQRFIVRIIFMVPVYAVMSFISLILPDKAIYFTSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRTLKPSWFLMTCCLPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNYVLCVEMLIAAIGHLFAFPYKEYAGANARPSGGFRGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSASTAPSGRDVELAGITVVTSNSPVTSNVSNQADQEETMTTPIRNKVDPPGGLYDLTDLLDVDLSNYPAKVPAISDVRKQ* >Brasy2G426500.2.p pacid=40065586 transcript=Brasy2G426500.2 locus=Brasy2G426500 ID=Brasy2G426500.2.v1.1 annot-version=v1.1 MDWAALAYTAAALLCAAAATVITLGHIYRHLLHYAEPIFQRFIVRIIFMVPVYAVMSFISLILPDKAIYFTSIREMRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNYVLCVEMLIAAIGHLFAFPYKEYAGANARPSGGFRGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSASTAPSGRDVELAGITVVTSNSPVTSNVSNQADQEETMTTPIRNKVDPPGGLYDLTDLLDVDLSNYPAKVPAISDVRKQ* >Brasy2G426500.3.p pacid=40065587 transcript=Brasy2G426500.3 locus=Brasy2G426500 ID=Brasy2G426500.3.v1.1 annot-version=v1.1 MSFISLILPDKAIYFTSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLSGRTLKPSWFLMTCCLPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNYVLCVEMLIAAIGHLFAFPYKEYAGANARPSGGFRGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSASTAPSGRDVELAGITVVTSNSPVTSNVSNQADQEETMTTPIRNKVDPPGGLYDLTDLLDVDLSNYPAKVPAISDVRKQ* >Brasy2G219000.1.p pacid=40065588 transcript=Brasy2G219000.1 locus=Brasy2G219000 ID=Brasy2G219000.1.v1.1 annot-version=v1.1 MADNTATFIDLILAIILPPLGVFLKYGCEIEFWICLVLSFFGYLPGIIYAVWVIVK* >Brasy2G472500.1.p pacid=40065589 transcript=Brasy2G472500.1 locus=Brasy2G472500 ID=Brasy2G472500.1.v1.1 annot-version=v1.1 MAAEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLKAMKLQNVEKSFAEQHYADLSSKQFFGGLVEYIVSGPVVAMVWEGKSVVATGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGLAEWTSSQHNWVYES* >Brasy2G185000.1.p pacid=40065590 transcript=Brasy2G185000.1 locus=Brasy2G185000 ID=Brasy2G185000.1.v1.1 annot-version=v1.1 MTTPPPTSSDPSGSIIPGSPLLPLLRSMADEFAEDEDDAHMSGRKNRREGTSSPPCPSRAPSPPFPSRASSPPSPSRAPPPPSPSRAPSPPSPSRAPPSPSRAPPPPSPSRAPPPPSPSRAPPPPSPSRAPPPPSPSRAPPPPSPSRAPPPPSPSRAPPPPSRALPPPNPVPSAAASQPRPERRRLPTPSRAPTPQSPIPSTDASVPNHRAAGHLPSRDTSLRWKRATLGG* >Brasy2G131600.1.p pacid=40065591 transcript=Brasy2G131600.1 locus=Brasy2G131600 ID=Brasy2G131600.1.v1.1 annot-version=v1.1 MATRSQQPPPPLPTHRRHRHSAASSSFSSISTTSSSSAASSSSPPSSPPSPPAAAASVVPFSWEHHPGIPKIHHHQIHHGAFPETSPLPLPLPPPQPSSARHRRRRTRSTNDFPADPFAAALAECTREERAAGIDMDALFPPTPAKAKAAARRRTTAGAAGGGLLGLYGCKSAMAVAEGAFVVVRRP* >Brasy2G279600.1.p pacid=40065592 transcript=Brasy2G279600.1 locus=Brasy2G279600 ID=Brasy2G279600.1.v1.1 annot-version=v1.1 MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIGFSEAQLVQIKIISGGLWASKGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRRVPEVKTTGEGSVHHPLSAKESFKAAVSYLVRKWYSRVVLFWRNIKQFSDNTLQLMVRSNWNDFLHIIKDLQLPSMEHLLSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCLGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAQIHQQTGVQITSSTIYTTSTLHFARVNVRDPNTINDGLGAAHEADTLLAQDEPNRNQQEELNENVETAASNPLQYQDQNPPQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSLFRDVRDHGQDYTDPPRNENEQVT* >Brasy2G279600.2.p pacid=40065593 transcript=Brasy2G279600.2 locus=Brasy2G279600 ID=Brasy2G279600.2.v1.1 annot-version=v1.1 MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIGFSEAQLVQIKIISGGLWASKGVPEVKTTGEGSVHHPLSAKESFKAAVSYLVRKWYSRVVLFWRNIKQFSDNTLQLMVRSNWNDFLHIIKDLQLPSMEHLLSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCLGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAQIHQQTGVQITSSTIYTTSTLHFARVNVRDPNTINDGLGAAHEADTLLAQDEPNRNQQEELNENVETAASNPLQYQDQNPPQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSLFRDVRDHGQDYTDPPRNENEQVT* >Brasy2G214500.1.p pacid=40065594 transcript=Brasy2G214500.1 locus=Brasy2G214500 ID=Brasy2G214500.1.v1.1 annot-version=v1.1 MDPRRPSPRGGANGSGLSYSNLFNLEPLLNFKVPLPEDLDRYGNSSPNGSMSSQGQGSLSDQHNGLHRKRKRHPHVGSDDEDAYAYSNQITEEHYRAMLSEHVQKYRRSKVQEGVFGSDPSQAVVPQIKHKNGHTRVMKHKSELKGVAAIGEAEASPEYNGTSSISTYGGFNKLVASLDSSYLDMGDSVSYLIPEGYDKLAPSLNLPVFSDIRVEEHFLNGMLDLRTLSAMLGTGRKFESTNRGGLAEPQPQHESLQERVKVQKFALQVTEDPFAIPEGAAGRIRRSIISESGSLQVHYVKVLEKGDTYEIIERSLPKKLIVKKEHSEIVKEELATFLKRWQNITRNIPKHHKIFTALLRKRQMDAKRFSENCQREVKLKVSRSLKLMRSAPIRTRKLARDMLIFWKRVDKEQYELRKKEERDAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGETALPDEGSVPEADEEEDPEEAELKREALRAAQNAVSQQKRMTNAFDSEIVRLSQSSVPGMPTDDSATAEPSKIDLLHPSTMPEQSSVQTPDLFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERMVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGTLNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSYYFYFAEIPNSLLPPPFGELQDIHYAGKRNPIMFEIPKLVYEGIICNREAPMHGCGFLSGYLSRLFNIFLPSYIHNSAFPESTSPNKSVLASGAFGFTRLTNLSPVEASFLATCSLFESLAFSAIIRKREYVDEIVDAFLDSEGPDLQLNQNDTTKVRAVIRLLLSPKKADSSLLRTKIEIGPSDNPCEALVLSHHDRLASNIRLLRSTYDFIPPARAPPINVWCSDRNFAYKLPDEMHNPWIKKLFLGFARTSEFNGPREPSGPNPLIQEVCTDLPISEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDKLLRQLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRNFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKQVQDDQLMRQEDVVSLLLDDTQIAHKLKEISLQAKDRLKKRRAKAIKVDKEGDLKLEDLDDPTAESAEQDIMTNKKKKSSHKKPPRSQDNDSADNNAKALTEGDIPESGQAEDEEHIASPRPKRSKRLVKSTVEDKEPVDASYNEKPADTAEIHDDDDMTELQDRTP* >Brasy2G336800.1.p pacid=40065595 transcript=Brasy2G336800.1 locus=Brasy2G336800 ID=Brasy2G336800.1.v1.1 annot-version=v1.1 MKKKGVFSFTNKTRRLASHLELHKNYFSSERGLRRLLGKCRHLLAYLAKKNRVCYKKLISQLNIRKK* >Brasy2G368200.1.p pacid=40065596 transcript=Brasy2G368200.1 locus=Brasy2G368200 ID=Brasy2G368200.1.v1.1 annot-version=v1.1 MDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQICVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDTEGKARKVVGCSCVVVKDYGEETEGLNIVREYVSSH* >Brasy2G316100.1.p pacid=40065597 transcript=Brasy2G316100.1 locus=Brasy2G316100 ID=Brasy2G316100.1.v1.1 annot-version=v1.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF* >Brasy2G317700.1.p pacid=40065598 transcript=Brasy2G317700.1 locus=Brasy2G317700 ID=Brasy2G317700.1.v1.1 annot-version=v1.1 MSSSRPTQSFGFSNSTRQSSQARTSTQTTLDAELNAAYEESGDSFNYSKLVEAQRTIPSERKGQSEKVIAYLQHIQKGKLIQSFGCFLALHEKSFNVIAFSENAPQMLTNFSHAVPSVNYGPSWLGIGTNIESIFTNQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRAPGYFVVDFEPVMPSEYHSTAAGALQSYKFAAKAISKIQSLPGGSMQVLCNTVVKEVFELTGYDRVMAYKFHEDDHGEVLAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRVRSIKIIQDEALPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAIVVNENEEDNEVKDKQQAQEQKTKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQLREKSMLRMQTTLSEMLFKEASPLTIISGTPNIMDLLKCDGAALLYGGKVWRLRNAPTESQILDIAFWLSEVHGDSTGLSTESLLDAGYPGASALGDMICGMSMVKINSEDIIFWFRSHIAADIRWSGAKHEPSDMDDGRRMLPRLSFKAFLEIVKMKSLPWNDHEMDAIHSLQLMLRGTLNDAMKPANLDKKIGDLNLDGLAELHAVTSEMVRLMETANVPIFSVDGAGLVNGWNHKTTELTGLRIDDALGRHILGLVEESSIPVVQRMLYLALQGKEEKEVRFEVKSHGPKRDDGPVILAVNACASRDLHNQVVRVCFVARDMTVHKLVMDKFTRIEGDYKAIIQNPNTLIPPIFGADEFGWCSEWNAAMTKLTGRHRDDVLGKMLLGEVFCSSNAPCLLKKKDAFVNLCVLINGALAGEEIEKVPFGFYNSGGKYIECLLSVNRKESASGVITGVFCFIHVASHDLQHALYVEQASEQTSLRKLRAFSYMRHAINNPLSGMLYSRNALMHTDLNQEQKNQIHVADNCHQQINKILGDLDHDNIIEKSSSLDIEMVEFVLRDVVAAAVSQVVTACEEKEIKVSCNLPEMSMTQAVYGDGIRLQQTLSDFLFVSVKFSLVGGSIEISSKMTKKSIGDKLHLELRIKHQGAVPAEIMAQMFEEDNKEQSEEGLS* >Brasy2G203200.1.p pacid=40065599 transcript=Brasy2G203200.1 locus=Brasy2G203200 ID=Brasy2G203200.1.v1.1 annot-version=v1.1 MASSGGAGRDVPAERAAAAVNDLIEAREGAARLKSLLQEQYSEWTELIDAMLGNLSSALSALDTGAAAAGASADGVIRQTAAESSAGRSRKRISSRRSQGSSGKRVTDTLVDGHIWRKYGQKEIQNSPHPRSYYRCTHKTDQGCNAKRQVQTCDTDPSKYAVTYHGEHTCRDYPSSTAPMIIAANEDDALLATNNLVSFAQTNSHQLPAAKGEGVAASRMSSSRCTTSADDVFSSSADPFVQLAADELAAVVVGSAGRTSSTVGSAAPDYGGPGHDVAGLAGAGLHGGGGGTAAGTDSFATSSPSSLGFRVGSLGSIGDDDDFFLFDP* >Brasy2G157200.1.p pacid=40065600 transcript=Brasy2G157200.1 locus=Brasy2G157200 ID=Brasy2G157200.1.v1.1 annot-version=v1.1 MGSGGEVGMAGDRLSWARLLKWSLSYIDGARPSRAISEEERMWLAEAVERHMAADVVSRMREIALLMSTPLSVLEAQGITPDDIEGLLSELQVHVESIDIANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFISDPDLTARIKALGALSSLIRNNKPGVAAFRLANGYAGLRDALSSESARFQRKALNLTHYLLSESHSDCSVFAQLGFPRVMMTLVSSNDSGVREAALGGLLELARDTTLGNRSLLADQDRLRRLLWRRIQSIRMMAPEDLDAAREERQLVDSLWIACYHEPSTLQQEGLLVLPGEESFEQPPDVAGRFFEPLRRQSVGRAPPDERSETVSGTVGGMMLLLGPAPGNSLSN* >Brasy2G200000.1.p pacid=40065601 transcript=Brasy2G200000.1 locus=Brasy2G200000 ID=Brasy2G200000.1.v1.1 annot-version=v1.1 MRSHSDVDDVEMEDFAEDDESEDYIQDEAIMPASLRPPAHGQTEDPWGGFVLLDLTAYMADRRNATTATCKMRDGKGVIHVTFCTAGPPLVSYFCVHCTGGLKLSEFALEPEIIATEGSLVLLRVALGEVMNSLEPDISEYFIYRAGAEGPSLTQLPHPGPIVLPQHPHVAIVRYCRKPRRRAALGRHGDSDHHDCSHCNYTIASLYRGDGPPESFYLCLYRSDTMTWSRETIPDKEVPNSCLFTSKTITIGGKRGTVGWVDLLQGIIFCDVLADTRQHTLHYVPIPSLLAEREDLSCPAADIAVVNGFISYIEMKVRVVPGSCSRYGAYTADGWVAVKWTMKITDSLSDPWHLDCQLDSSEISNALPKLLVDADTPQPTLQTLHIGHPTLSLLDNGVVYFLAKIDHRDQDRKVWVLAVDMETKKIQGVDEFGAERTLGLGPTFIASRVSEYL* >Brasy2G382900.1.p pacid=40065602 transcript=Brasy2G382900.1 locus=Brasy2G382900 ID=Brasy2G382900.1.v1.1 annot-version=v1.1 MSNGSLQDRLYGEASKRKVLDWPTRISVCIGAARGTLNGLVYLHNFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYATQLLSAKSDVFSFGVVLLEIVTGKEPLDLQRPRSEWSLVEWAKPYIRDFRIEELVDPGIKGQYCSEAMWRVLEVASACTESFSTFRPSMEDIVRELEDALIIENNASEYLRSMESTGTFGSNRYLSIDRKMFASGSARIDPAKLASGSARIDAVKGSLQAMPSLPS* >Brasy2G014200.1.p pacid=40065603 transcript=Brasy2G014200.1 locus=Brasy2G014200 ID=Brasy2G014200.1.v1.1 annot-version=v1.1 MEIGTRAVQDRKIRPMHRFTLSIYLRRTDEWNWRIEALVRLCIAE* >Brasy2G306300.1.p pacid=40065604 transcript=Brasy2G306300.1 locus=Brasy2G306300 ID=Brasy2G306300.1.v1.1 annot-version=v1.1 MSLTPLSFAAAPSSCSSSLPLLPRWPCRIPKPRRVCRRLAVRADVKVISSGDACRRGLAAGINKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGAMLLQEIASKTNSTVGDGTTTAIILAREIINLGLLAVGNGANPVALRKGIDKAVHELIRILKTKCIPVSTKEDIKAVASISAGNDEYVGNLIANALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYISPHFITNPDKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDLEETTSAYLKERFSARIAKLSRGVAVIKVGAATEAELEDRKLRVEDAKNATFAAISEGISPGGGVTYVQLSKHIPSIMDLVDDPEEKIGVNIVGKALLVPAMMIARNAGADGSAIVEKLLDSEWRVGYNAMTDKFEDLVDAGVVDPCRVARCVLQNSASISGLILMTQAMMFDKIKKKKSPIPEIPGLPPLQINQNA* >Brasy2G306300.2.p pacid=40065605 transcript=Brasy2G306300.2 locus=Brasy2G306300 ID=Brasy2G306300.2.v1.1 annot-version=v1.1 MLLQEIASKTNSTVGDGTTTAIILAREIINLGLLAVGNGANPVALRKGIDKAVHELIRILKTKCIPVSTKEDIKAVASISAGNDEYVGNLIANALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYISPHFITNPDKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDLEETTSAYLKERFSARIAKLSRGVAVIKVGAATEAELEDRKLRVEDAKNATFAAISEGISPGGGVTYVQLSKHIPSIMDLVDDPEEKIGVNIVGKALLVPAMMIARNAGADGSAIVEKLLDSEWRVGYNAMTDKFEDLVDAGVVDPCRVARCVLQNSASISGLILMTQAMMFDKIKKKKSPIPEIPGLPPLQINQNA* >Brasy2G306300.3.p pacid=40065606 transcript=Brasy2G306300.3 locus=Brasy2G306300 ID=Brasy2G306300.3.v1.1 annot-version=v1.1 MSLTPLSFAAAPSSCSSSLPLLPRWPCRIPKPRRVCRRLAVRADVKVISSGDACRRGLAAGINKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGAMLLQEIASKTNSTVGDGTTTAIILAREIINLGLLAVGNGANPVALRKGIDKAVHELIRILKTKCIPVSTKEDIKAVASISAGNDEYVGNLIANALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYISPHFITNPDKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDLEETTSAYLKERFSARIAKLSRGVAVIKLLKLNLRIGN* >Brasy2G306300.4.p pacid=40065607 transcript=Brasy2G306300.4 locus=Brasy2G306300 ID=Brasy2G306300.4.v1.1 annot-version=v1.1 MLLQEIASKTNSTVGDGTTTAIILAREIINLGLLAVGNGANPVALRKGIDKAVHELIRILKTKCIPVSTKEDIKAVASISAGNDEYVGNLIANALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYISPHFITNPDKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDLEETTSAYLKERFSARIAKLSRGVAVIKLLKLNLRIGN* >Brasy2G320400.1.p pacid=40065608 transcript=Brasy2G320400.1 locus=Brasy2G320400 ID=Brasy2G320400.1.v1.1 annot-version=v1.1 MARPAPDLLVPRAPPPDPLGLALPHLGLLVATLRALMDPPVRRRPRFAPSSPRPLR* >Brasy2G372400.1.p pacid=40065609 transcript=Brasy2G372400.1 locus=Brasy2G372400 ID=Brasy2G372400.1.v1.1 annot-version=v1.1 MITHPDLKLACTLAHPRAHGLGSSLRRTRAMDPHAQVTTTHAPLTRPGLNRKATTPNSYKPQGKKRKEREGQNTSLHDLLPRLCFAQFRSRGPETKQRGRHQTIRAASATEVLLRRSVRTTE* >Brasy2G292900.1.p pacid=40065610 transcript=Brasy2G292900.1 locus=Brasy2G292900 ID=Brasy2G292900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G058300.1.p pacid=40065611 transcript=Brasy2G058300.1 locus=Brasy2G058300 ID=Brasy2G058300.1.v1.1 annot-version=v1.1 MDRRASFAAPSIRVKIPDQLPTLFEPQKTMAHSTNSQAAHTPGSVIPADDDADASPERRLTALALQLALLEKAASRLGTLAFIWATVVLLGGFAITLGRTDFWCITGLLLIEGTRILGRSHELEWQHRQSSTSRRASSAAVRRAFYWTQLLSASACVSLSLVRLLHQRYGGAEEARTNRYAALNIFYALALAEALLFLVEKALWEYKVGHRRVLDAVAQDCRLASVAVRRFFYDSYSRCLNGSVFDGLHMDLVSYADDLLTAGSHDEQSMGAGILVALAESDRYADATLRKIGTSAPTIERLIEMLSWKSASEREVRRSAAVVVSMLTGRKLIALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDNCDRIGKTRGLLDKIISYSSIDHGPASTPRDMRLKAVKQSLRVVKRLAGTTGNTGKLLRRELTDIVFTVSNIREVLQRRDKKVRSELHQLGVEILTSLAMEEEAREIIGSTGGVVHVLVAMFLPSSEVSNGGGGNGCLQVDAVRVEAGEALAMLALESKRNCGAIIMAMGGGVGRLVEVLNDPVAIVGAARILRNLCSYAGDEWRFPLRGVTAGATKVLKTIMAEKGKILNIFLGLAAQMLRFMEPCELRASLATARVAEAVLARTLVQVLREYSRPSMDVPRVRRYAIELAVALMRSDPARYVALFVEFGLEGELRRVAGTTSQLECFNVFSGSVGLSRRASSVCSLVASALELMKKPGSNKSLN* >Brasy2G432500.1.p pacid=40065612 transcript=Brasy2G432500.1 locus=Brasy2G432500 ID=Brasy2G432500.1.v1.1 annot-version=v1.1 MGAASDEAAAAAAAATAADVGPSAAAGEADSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR* >Brasy2G297700.1.p pacid=40065613 transcript=Brasy2G297700.1 locus=Brasy2G297700 ID=Brasy2G297700.1.v1.1 annot-version=v1.1 MAPPARRPSARLPSGLSFRQPPRLAYSLLKPRSKVTGMAMCRRMMMRPRVQTNNQASRFSSVTVHRSPYVFEAAQKTSIDELPEEILSHIHSLLPLRDAARTACVSHRFLCSWRCFPNLTFGLEALGLFEHQVQHILQNHSGIGVKTLKLKLCGCDNGITAYHINSWLQLIVKPGILEFAVHLPQRKDLEYNFSSSLFSDETTSSLQSLSLLSCGFHPASRTCCWRSLASVCLHSVRITEEVLGCFLSSTFALEKLSLLSCNEIAILKIPSLLQQLSFLEVSQCRMNIKLNSSLYSGVVQFARTRLPSIASNLQALTLSSYGEAFNTPMIPDKFFHLKYLNICLCGRDNFRGYDFFSLVSFLEASPALECFGLCADEHKKLRRDSILGDSSGEMRRVPGFREDNLKAVCITGFCSAKSLVELTCQILENTPSLQDLILDTTLGFHREFVELGKCHIMCNEALTEANNAVEALRMYVEGKVPSNVRFKVWEPCKRCPAGKSRRP* >Brasy2G217900.1.p pacid=40065614 transcript=Brasy2G217900.1 locus=Brasy2G217900 ID=Brasy2G217900.1.v1.1 annot-version=v1.1 MAAKVAVLVACALLLLAVGCQASPYWPLEVGFYHDKCPQAEVVVKGVVANAISQNPGNGAALIRMLFHDCFVEGCDASILLDATPFSPTPEKTSPPNDPTLRGFELIDAVKDAVEAACPGVVSCADILAFAARDASCILSGGKADFAMPGGRRDGTYSNASEPLKFLVPPTSTLAELVDSFVVKGLNTEDLVILSGAHTVGRSHCSSFVPDRLLSPASDIGSGFAAFLRGQCPADATAGGGNDPVVMQDVVTPDALDRQYYKNVLSHTVLFSSDAALLTSEETVRMVLDNANIPGWWEDRFKTSMVKMASIEVKTGFQGQIRKNCRAINYY* >Brasy2G488000.1.p pacid=40065615 transcript=Brasy2G488000.1 locus=Brasy2G488000 ID=Brasy2G488000.1.v1.1 annot-version=v1.1 MESSGGVTEEKAKAAAAGSKKVCRRCKVTFSPAANTPLSCRFHPSFFVCRRHDDQKRYYELKDGDPPYAAKFYDCCGAEDPDAPGCATDLHRSYDDDLD* >Brasy2G358600.1.p pacid=40065616 transcript=Brasy2G358600.1 locus=Brasy2G358600 ID=Brasy2G358600.1.v1.1 annot-version=v1.1 MHLRLLPLLLVLLLVGAGGGAADGDADALLAAKAALSDPTGALASWAAPKNASAAAHCAWAGVTCGSRGTVVGLDVGGLNLSGALPPALSRLRGLLRLDVGANAFFGPVPAALGHLQFLTHLNLSNNAFNGSLPPALARLRGLRVLDLYNNNLTSPLPLEVAQMPLLRHLHLGGNFFSGQIPPEYGRWGRLQYLAVSGNELSGTIPPELGNLTSLRELYIGYYNSYTGGLPAELGNLTELVRLDAANCGLSGEIPPELGKLQKLDTLFLQVNGLSGAIPSELGYLKSLSSLDLSNNVLTGVIPASFSELKNMTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLVDLSSNKLTSTLPAELCAGGKLHTLIALGNSLFGSIPDSLGQCKSLSRIRLGENYLNGSIPKGLFELQKLTQVELQDNLLTGNFPAVVGVAAPNLGEINLSNNQLTGTLPTSIGNFSGVQKLLLDRNSFSGVMPAEIGRLQQLSKADLSSNSIEGGVPPEIGKCRLLTYLDLSRNNLSGNIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPVTGQFSYFNATSFVGNPSLCGPYLGPCRPGIADTGHNTHGHRGLSSGVKLIIVLGLLLCSIAFAAAAILKARSLKKASDARMWKLTAFQRLDFTCDDVLDSLKEENIIGKGGAGTVYKGSMPNGDHVAVKRLPAMVRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGEHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVKMMTDSNKEQVMKILDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKPASNQGEELPHFDEGSASSPPAPTSSSEAAPTADAKDQQLQQTGSESSAPPDLISI* >Brasy2G153400.1.p pacid=40065617 transcript=Brasy2G153400.1 locus=Brasy2G153400 ID=Brasy2G153400.1.v1.1 annot-version=v1.1 MVVKQGASFQALKHLVKNKISHKVKDVTVMYQNVNQSLIGPTHSTNYVHADLIKFDTAENKVQLYSGNLM >Brasy2G095100.1.p pacid=40065618 transcript=Brasy2G095100.1 locus=Brasy2G095100 ID=Brasy2G095100.1.v1.1 annot-version=v1.1 MELSSRSVQASSSKSKSKGMAKIVEEDEEDEAAETLGSSCGCFFCAMKQPDARLRRASVAAFFRELPYSSEGNVGAAAAVWRAAMDAPDNPELPSLGAIRCMSLLLARALADNGRHGQSASGAAVAYYAAHAIGSYTIRSSAHAELAVSAGAVRPLLALLGGAMTWVEQRAAARALGHLASHDATYPAVARHAAEAVPLAVRAASTCVADVYASYISLAPTRRPRYQRELLLTRGPGVGAEERKAEEWASQLQCWSLYFLSSLASRDASSHAAICHDQAHPSFLRELCRMWGGLANGDSPAGVGLLRILCRSAVGRRAVAACPEALSSICDLARSSDDWQYMAIDCLLLLLGDRDTWHAAADAAAPRLVDLAELPRLGPRPRLGDAITRALLYHDHDEHGELGTEAKEALAYLTEMKISRKEREGAMSTDELRKRKLLAKEKKRQGNVTFWQGDADGAVELYTEALELCPLRARRERVVLHSNRAQCRLLGRDPGAAASDATRALSLARPANAHGRSLWRRAQAYDAMGMARESLLDCLAFAGAWVSHDRKGKKKKKLPCCVARMIGKQMGVTGLFAGLAAKDVNKDGGGIVGREDDCMPRCSDDDSDDDDDSGDGEDSDDDDGFDRDGGSEVDEFVAETKLNFCRSAGNKGLPIMAEETWRSRLARGKMKMHV* >Brasy2G095100.2.p pacid=40065619 transcript=Brasy2G095100.2 locus=Brasy2G095100 ID=Brasy2G095100.2.v1.1 annot-version=v1.1 MELSSRSVQASSSKSKSKGMAKIVEEDEEDEAAETLGSSCGCFFCAMKQPDARLRRASVAAFFRELPYSSEGNVGAAAAVWRAAMDAPDNPELPSLGAIRCMSLLLARALADNGRHGQSASGAAVAYYAAHAIGSYTIRSSAHAELAVSAGAVRPLLALLGGAMTWVEQRAAARALGHLASHDATYPAVARHAAEAVPLAVRAASTCVADVYASYISLAPTRRPRYQRELLLTRGPGVGAEERKAEEWASQLQCWSLYFLSSLASRDASSHAAICHDQAHPSFLRELCRMWGGLANGDSPAGVGLLRILCRSAVGRRAVAACPEALSSICDLARSSDDWQYMAIDCLLLLLGDRDTWHAAADAAAPRLVDLAELPRLGPRPRLGDAITRALLYHDHDEHGELGTEAKEALAYLTEMKISRKEREGAMSTDELRKRKLLAKEKKRQGNVTFWQGDADGAVELYTEALELCPLRARRERVVLHSNRAQCRLLGRDPGAAASDATRALSLARPANAHGRSLWRRAQAYDAMGMARESLLDCLAFAGAWVSHDRKGKKKKKLPCCVARMIGKQMGVTGLFAGLAAKDVNKDGGGIVGREDDCMPRCSDDDSDDDDDSGDGEDSDDDDGFDRDGGSEVDEFVAETKLNFCRSGNKGLPIMAEETWRSRLARGKMKMHV* >Brasy2G334700.1.p pacid=40065620 transcript=Brasy2G334700.1 locus=Brasy2G334700 ID=Brasy2G334700.1.v1.1 annot-version=v1.1 MEGLTGEQMVAFKEAFSLFDKNGDGCISLEELAAVTRSLGLEPTEQELNDMMREVDTDGNGTIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPVELRTVMINLGEKMTDEEVEQMIREADTDGDGLVNYDEFVLMMKNAERKISG* >Brasy2G230300.1.p pacid=40065621 transcript=Brasy2G230300.1 locus=Brasy2G230300 ID=Brasy2G230300.1.v1.1 annot-version=v1.1 MITEKNTISKKIVRPRRRRLRKIQPQATEPKQNCIFFRRLVSTLKGELKYMAFKTAHVVPSSLPRPNSYSFSPSRSCYQPKPPTRNEARISLKNQHESSPVLRCRANVHGHLNEAVPFPQDHTAEIPIVLHPSVLFPGETLRLQTFEFRYRIMMQTLLQVQEGLSFGIIYSARRDDRIADVGCIANVLECERLVDDRFFLTCIGGDRFRVLEVVRTKPYVTARITVLNDRDSPNSGQHRDLGCLMQQVEGHLNNVTMLSDKLNWKLRGDNLQAGQVSGVHSPESFSFRVANLFIDDLSEKQWLLRLDDTAQRLVREGRYLEKRSKYLAAIAAIKGAFGLLSCNE* >Brasy2G230300.4.p pacid=40065622 transcript=Brasy2G230300.4 locus=Brasy2G230300 ID=Brasy2G230300.4.v1.1 annot-version=v1.1 MITEKNTISKKIVRPRRRRLRKIQPQATEPKQNCIFFRRLVSTLKGELKYMAFKTAHVVPSSLPRPNSYSFSPSRSCYQPKPPTRNEARISLKNQHESSPVLRCRANVHGHLNEAVPFPQDHTAEIPIVLHPSVLFPGETLRLQTFEFRYRIMMQTLLQVQEGLSFGIIYSARRDDRIADVGCIANVLECERLVDDRFFLTCIGGDRFRVLEVVRTKPYVTARITVLNDRDSPNSGQHRDLGCLMQQVEGHLNNVTMLSDKLNWKLRGDNLQAGQVSGVHSPESFSFRVANLFIDDLSEKQWLLRLDDTAQRLVREGRYLEKRSKYLAAIAAIKGAFGLLSCNE* >Brasy2G230300.3.p pacid=40065623 transcript=Brasy2G230300.3 locus=Brasy2G230300 ID=Brasy2G230300.3.v1.1 annot-version=v1.1 MITEKNTISKKIVRPRRRRLRKIQPQATEPKQNCIFFRRLVSTLKGELKYMAFKTAHVVPSSLPRPNSYSFSPSRSCYQPKPPTRNEARISLKNQHESSPVLRCRANVHGHLNEAVPFPQDHTAEIPIVLHPSVLFPGETLRLQTFEFRYRIMMQTLLQVQEGLSFGIIYSARRDDRIADVGCIANVLECERLVDDRFFLTCIGGDRFRVLEVVRTKPYVTARITVLNDRDSPNSGQHRDLGCLMQQVEGHLNNVTMLSDKLNWKLRGDNLQAGQVSGVHSPESFSFRVANLFIDDLSEKQWLLRLDDTAQRLVREGRYLEKRSKYLAAIAAIKGAFGLLSCNE* >Brasy2G230300.2.p pacid=40065624 transcript=Brasy2G230300.2 locus=Brasy2G230300 ID=Brasy2G230300.2.v1.1 annot-version=v1.1 MAFKTAHVVPSSLPRPNSYSFSPSRSCYQPKPPTRNEARISLKNQHESSPVLRCRANVHGHLNEAVPFPQDHTAEIPIVLHPSVLFPGETLRLQTFEFRYRIMMQTLLQVQEGLSFGIIYSARRDDRIADVGCIANVLECERLVDDRFFLTCIGGDRFRVLEVVRTKPYVTARITVLNDRDSPNSGQHRDLGCLMQQVEGHLNNVTMLSDKLNWKLRGDNLQAGQVSGVHSPESFSFRVANLFIDDLSEKQWLLRLDDTAQRLVREGRYLEKRSKYLAAIAAIKGAFGLLSCNE* >Brasy2G057800.1.p pacid=40065625 transcript=Brasy2G057800.1 locus=Brasy2G057800 ID=Brasy2G057800.1.v1.1 annot-version=v1.1 MASEDETTAAATQAQGKPWDDDPDIGRWKMEKFDPSWNKGGMLEVSSFFTRFPRYKEKYLQEAWPIVQGALRDFGITCELNLVEECLTVSTTRKTRDPYIIVKARDLMELLARGVPAPQAIKILDDEMTCDIIKISNIIRNKETRILGPNLSTRRAIEILTGCYILVQGGTVAAIGSSNGRGLKQVRRIVEDCMTNIKHPMYHIKDLLIKRDQAKNAAVANESSDRFLPNCMKADVKQKNPGTKEKKPHAPSPPPQQPSKIDLELESDENKSAKKRQVKLDKQSEKVHGNKRKREAAFIPPKENTAGPSDSAIPTNNGNVENPKMGMALKKKTKGFSKSEAQESRPRKYCCDF* >Brasy2G028800.1.p pacid=40065626 transcript=Brasy2G028800.1 locus=Brasy2G028800 ID=Brasy2G028800.1.v1.1 annot-version=v1.1 MGNEFQAPLIHGLPDEIALLCLSRVPRQCHNVLSCVSRGWKALLSSEEWHSCRKRINFDESWIYVICRGTGTKCYLLAPDPATRSLKVLQVMEPPCSGREGISIETLDKRTCTAAPMPTSRCYFLTSALNDKLYVTLLVGLV* >Brasy2G154300.1.p pacid=40065627 transcript=Brasy2G154300.1 locus=Brasy2G154300 ID=Brasy2G154300.1.v1.1 annot-version=v1.1 MGSFLRKQPSLLFILIILHFGAREARSLSSDGEALIAFKKAITNSDGVFLNWREQDADPCNWKGVRCNNHSKRVIYLILAYHKLVGPIPPEIGRLNQLENLSLQGNSLYGVLPPELGNCTKLQQLYLQGNYISGYIPSEFGDLVELQALDLSSNSLRGSIPHSLDKLTKLASFNVSMNFLTGAIPSDGSLVNFNETSFIGNLGLCGRQINSVCKDALPSPSSQQSNPDDIINSKGGRNSTRLIISAVATVGALLLVALMCFWGCFLYKSFGKKDIHGFRVELCGGSSVVMFHGDLPYSTKDILKKLETMDDENIIGAGGFGTVYKLAMDDGNVFALKRIVKTNEGRDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGSLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLAGESREREIADPNCEGMQAETLAALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE* >Brasy2G367700.1.p pacid=40065628 transcript=Brasy2G367700.1 locus=Brasy2G367700 ID=Brasy2G367700.1.v1.1 annot-version=v1.1 MKIIAWNCRGLGNRPAVRGLLELQKKEDPDILFLSKTKLDGKRMEKFRNLLGLQGMLVRDSVGRSGGVALFWHRGVNVSLRWMGRRHIDVEVMEQDGFKWRLTGIYGNPRAEEKKNTWRLLRTLHQQIDLPWVCVGDFNEILYAHEKQGGAARPQSCMDQFRDVLVSCGLKDLGFEGDVFTWRNNNYRLEGYIRERLDRVVANMSWCSRFADYRVRNIEPEHSDHRPVVLTVQEGRRARGRSSGQQIKRFEARWILEDDCEAVVKNAWEVAGLRGHTSAAAKLRSVSDELHHWSRHVLGDLEKRIKAIKVDLEVCRKQDITSRSVQREHVLRFKLERLEDQHDLVWRQRAHIHWLEKGDRNTNFFHRAASEGKKYNTIKSLKNDSGVVVEGEEGLKALVTNYFFSLFTPVVGLEIDQALAHVVPKVTDDMNTMLTAPFSAEEVRKALDGRRVAGRME* >Brasy2G359600.1.p pacid=40065629 transcript=Brasy2G359600.1 locus=Brasy2G359600 ID=Brasy2G359600.1.v1.1 annot-version=v1.1 MACKTTFVVASIFILALLMSCDMVHCECKDDRTNVSCHDSATCDQHCHLEGNNRGYCDDGGFCHCVDCGW* >Brasy2G257900.1.p pacid=40065630 transcript=Brasy2G257900.1 locus=Brasy2G257900 ID=Brasy2G257900.1.v1.1 annot-version=v1.1 MTSSEANARPPCADQGWRPHRYKNVALKDGMVKGMVPPTCWCGDPCKAKESMSPPPLCRYYTWIDLEQSESVLAIMKIERNILRERWQEMMRLDAQEEARKVKVQEERKKKEEEERIKKEARQAERERKRERARIAQEEEEERNRNGKWPRVTQ* >Brasy2G320800.1.p pacid=40065631 transcript=Brasy2G320800.1 locus=Brasy2G320800 ID=Brasy2G320800.1.v1.1 annot-version=v1.1 MEKDNAVKVAVEQDGVKKPVAGPEEESVTAPEVCYKKTVGEDATVLDNVKDRLKQLKDTTAETHLNCITNRLRAARDYVKKKAGLGSESDKLKIEPEEAKDTPEAAKPAPGEVESH* >Brasy2G451600.1.p pacid=40065632 transcript=Brasy2G451600.1 locus=Brasy2G451600 ID=Brasy2G451600.1.v1.1 annot-version=v1.1 MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLVETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI* >Brasy2G377900.1.p pacid=40065633 transcript=Brasy2G377900.1 locus=Brasy2G377900 ID=Brasy2G377900.1.v1.1 annot-version=v1.1 MRRSAASSPPNGQVPRTRPLLYKPSPPNCSAASQHFAIHESTASHREKVGKRREGDDREMEAVAAMDFSTLSRRELQALSKLNGIRANMSNVAMAEALQSLPSVAGIDEIGTTLCLPTPRKSAMKSVLRASAAVGEDQQQGSPLPRGRRVSVKSPEAIRMDVEGGDDEVKRDLIKEIVRTPGVALRSTSRRARATPAPIPTPEAGTLRRSQRSAARKAAAPVEVEVSTTKKSTRKTARSKVTIDLDQEEEIVAAQEEEKVQEVEPKEVASDEKCVVSEYEEVTKPLEEGNTKGVEPEQGEEVNSSVVPMGSTLPGEGVEEDPTKPQEDAAVEVEQELFSSEKSTALSAMGDSPILGVLSKAAPEPAIRNIEDASTEDAEGSGNWSPVMEITDEISRASEEKEVAALEVPKEAVKEDDFISSGDAAEAPTKAIPAAVADHETREGDYINEEKKITAEKLPKADLAEDELDGESSEESDVDDDSSEEDELDEEDIQKDLMVDADSDETIEESDSTGVSYDSDEEEEEVIKLSPKILEAEAKEDDFSSYLSAEFDNVIVEDFRDAETESDDSHVAMLPSSAVNNVVKTLDDSAITEELEGSSEGDNVSDHVETIVKSLDKVTITEDNKEECEKEEKQLIFGNEMSLRKLKTAYKKRLIAAKEGEKLITSAEGTRIALAELDDNAGVDC* >Brasy2G377900.2.p pacid=40065634 transcript=Brasy2G377900.2 locus=Brasy2G377900 ID=Brasy2G377900.2.v1.1 annot-version=v1.1 MRRSAASSPPNGQVPRTRPLLYKPSPPNCSAASQHFAIHESTASHREKVGKRREGDDREMEAVAAMDFSTLSRRELQALSKLNGIRANMSNVAMAEALQSLPSVAGIDEIGTTLCLPTPRKSAMKSVLRASAAVGEDQQQGSPLPRGRRVSVKSPEAIRMDVEGGDDEVKRDLIKEIVRTPGVALRSTSRRARATPAPIPTPEAGTLRRSQRSAARKAAAPVEVEVSTTKKSTRKTARSKVTIDLDQEEEIVAAQEEEKVQEVEPKEVASDEKCVVSEYEEVTKPLEEGNTKGVEPEQVNSSVVPMGSTLPGEGVEEDPTKPQEDAAVEVEQELFSSEKSTALSAMGDSPILGVLSKAAPEPAIRNIEDASTEDAEGSGNWSPVMEITDEISRASEEKEVAALEVPKEAVKEDDFISSGDAAEAPTKAIPAAVADHETREGDYINEEKKITAEKLPKADLAEDELDGESSEESDVDDDSSEEDELDEEDIQKDLMVDADSDETIEESDSTGVSYDSDEEEEEVIKLSPKILEAEAKEDDFSSYLSAEFDNVIVEDFRDAETESDDSHVAMLPSSAVNNVVKTLDDSAITEELEGSSEGDNVSDHVETIVKSLDKVTITEDNKEECEKEEKQLIFGNEMSLRKLKTAYKKRLIAAKEGEKLITSAEGTRIALAELDDNAGVDC* >Brasy2G085400.1.p pacid=40065635 transcript=Brasy2G085400.1 locus=Brasy2G085400 ID=Brasy2G085400.1.v1.1 annot-version=v1.1 MASNLQPWVLLHLLPAVISLAAAAVFDENFSAVAGTDSRHLVNEGTQVRLVMDKSAGAGLMSKVTYGSGMFHMRMKIPGGYTAGVVTSFYLTSEPEYGVHDEVDFEFLGNVEGKHVVFQTNVFLSGVGLREQQFDLWFDPAADFHDYKILWNQHQLVMFIDETPVRVMKNLAGRVPGYQFLTRPMKIRESIWDGSAWATAGGSIKVDWNRAPFTAVLQGFNVDACPSAGGPQCNSPGMPWNAIQSLTPAQQAAYNNVKGKYMTYDYCRDKAKFHGRLPVECGYN* >Brasy2G182700.1.p pacid=40065636 transcript=Brasy2G182700.1 locus=Brasy2G182700 ID=Brasy2G182700.1.v1.1 annot-version=v1.1 MSSAVEAMSCSKVDVAAVPEDAMERAAVAGEHGINGDAAASNGKRCEAQCGRKDDGGEEEDDEDGEKVPEAIELGPILSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSIISPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNRVWKTGIKVDSTKEMLGTFSPQQEPYTYVTPQETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRRDWPSSS* >Brasy2G116100.1.p pacid=40065637 transcript=Brasy2G116100.1 locus=Brasy2G116100 ID=Brasy2G116100.1.v1.1 annot-version=v1.1 MPGFECAFAGAVGGTARWQSCNFLDSDDSPAAYRFFFSVHLPPLSLAVSPPPSSALIFLPDLPFLLDLPFPPLESPGRSKPQHLRPPDLPPRPCRSPDRARGREGRHGCGAVGAEWQFRHRTGARTSVARGEIRQRRERKEAR* >Brasy2G334400.1.p pacid=40065638 transcript=Brasy2G334400.1 locus=Brasy2G334400 ID=Brasy2G334400.1.v1.1 annot-version=v1.1 MAGADVDVGTELRLGLPGGGAEAAKVAKRGYEDTIDLKLTLPTGGVQKDAGKPEPATEKAAADPEKPPAPKAQAVGWPPVRSYRRNAMTVQSVKIKKEEEAEKQQPAATAGGNGSAFVKVSMDGAPYLRKVDLKMYNTYKDLSIALQKMFSTFSATGNEGKMVEAVNGSDVVTTYEDKDGDWMLVGDVPWEMFVASCKRLRIMKSSEAIGLAPRAKDKYKNKS* >Brasy2G216800.1.p pacid=40065639 transcript=Brasy2G216800.1 locus=Brasy2G216800 ID=Brasy2G216800.1.v1.1 annot-version=v1.1 MAIPSRIVGGGGNVRKPRVPPLPPARTLLTAFAAAAALAVLCLLCSSSPTSSLSGSWRSGAKNEDRYLYWGGRVDCPGKHCGTCAGLGHQESSLRCALEEALFLGRVFVMPSRMCLSSVHNTKGTVHSSNATSEQRWEENSCALESLYDIDLISRRVPVILDNSKTWHEIASRIMKLEEGGVVHVQGIGRGELKENHVYSKAILINRTASPLAWFMECKDRKKRSSVMLPYTFLPSMPAKKLNDAANKMKERLGDYDAIHVRRGDLLKNRKDRSGVERSLHPHLDRDTRPEFIIKRISKWIPPGRTLYIASNERTPGFFSPLSDRYKLAYASNFSSILEPIIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKFWQKPIST* >Brasy2G065000.1.p pacid=40065640 transcript=Brasy2G065000.1 locus=Brasy2G065000 ID=Brasy2G065000.1.v1.1 annot-version=v1.1 MEIQKPSLCSWQQPQRHRRRRRPHANKIDGTNGLPCSNQHHQATDISEGACSMIPHIELPKDVLGHIYSMLTIRDAARAACVSRQFLRFWRCFPNLVFNRETLAARRQRSYHRGSYIFNKARQVLENHSVIGAKMLKLNFSNCLTTMSDIDTNLMDGWLQAFVKPGIIVDLAVLLPDCYAASKYNFPYTLLLNDDVSDSRSSSAASIQSLHLGSCGFHPINDDTRTLACSWSLSKVHLSKVSVTGDELWLFLSSCFALEELVLSNCDMIKSIKLPRVLQKLKIVHVRECRVLRVIESEALRLTTFTYQGWPLSRFTLGDSLETKKLDMRATRMQDMIQYAGSNFPSIAPNLETLMLSTDHEKLKAPAMAEKFKHLKHLVICLGEWGGFCTGYDFLSLACFLDACVALETFVLRIADGFKWYKKYLIVGKPDESSSQSKQEIPEFRHGGLGNLRRATITGFCSAKSLVELTCHILERASTSLECFILDASPGYDRKCSSSDKCLPMSVEALRDAEKALANVRKYVEPKVPVGIEFKVLEPCSRCHTVDAKAMQEDELKTPIKFWQRQEDGRIALVYLLPRC* >Brasy2G169600.1.p pacid=40065641 transcript=Brasy2G169600.1 locus=Brasy2G169600 ID=Brasy2G169600.1.v1.1 annot-version=v1.1 METSFLPTARPLPAFQTLAAAPRCPRPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQFQGIRDALPESCHLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERVEETNDFIGAVFEGKYYEPGDFKSLETMPSRAEVYSKLLGALNWPATSLVTTLQAPARDVVAVLSAYVRKLEEEAGAA* >Brasy2G214700.1.p pacid=40065642 transcript=Brasy2G214700.1 locus=Brasy2G214700 ID=Brasy2G214700.1.v1.1 annot-version=v1.1 MALAAARNLVLRHIRLAASPASSASLRPAAALQGALSGRRWMSSEEAKGSFLDKDEVTERTIKVVKKFQKIDDPSKVKPDAHFKDDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPKAK* >Brasy2G215500.1.p pacid=40065643 transcript=Brasy2G215500.1 locus=Brasy2G215500 ID=Brasy2G215500.1.v1.1 annot-version=v1.1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQESSVRPIFEITSHTLTKLLTALNECTEWGQVFILDSLSRYKATDARDAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDNLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANISTLSSVYHKPPEAFVSRVKAAPRADDEEFADAGETGYSESPSQGVDGSSPSSSTGTSSHVPAKQPAAAAPAAPAPIPDLLGDLMGLDNALVPVDEPTATSGPPLPVVLPSTTGQGLQISAQLVRRDGQIFYDISFENGTQGVLDGFMIQFNKNTFGLAAGGPLQVPPLQPGDSSRTLLPMVFSQNVSTGAPNSLLQVAVKNNQQPVWYFNDKGSLHVFFGEDGKMERTSFLEAWKSLPDDNEFSKEYPNSVINSIDAAIEHLSASNVFFIAKRRNANMDVLYLSAKIPRGIPFLIELTAAVGVPGAKCAVKTPNREYVPLFFEAMEPLIK* >Brasy2G114000.1.p pacid=40065644 transcript=Brasy2G114000.1 locus=Brasy2G114000 ID=Brasy2G114000.1.v1.1 annot-version=v1.1 MAPMSSSLRRRVVSALCLLCGLLCADASVHGYAGERFAAVGNAFVLNGGSEGIYASSPSADSFIRFEKVAFRRTPESAAAAEEDGNRTATVTAVLFEAADRDAVGAAAVSGSGSPRRELCCTPAMSRRGACTEGSLVVLPKRNANSSGGWPKVISASFLPGALDASFPDETIPIPCTGMYNLRFVHCHASLGLSSVSFSGKTIWKNGLGGYLPGRMAPLETFYGAMSLAFAALAAFWFLRYARHWRDVAPVQNLLTLAVALGMLEVTAWYLDLAEFAESGVRPPATTFWAATASASRRAVSRVLALLVAMGYGAARPTLRRGVGVRVAALGATFFAASEALEVVQHVGAVSDDHDYSYSPAPGSGKKTLLLLALPVAALDAVFVCWIFRSLSRTINKLKARRMAAKLDAYRRLGNAATIAAAVSLGWAAFEAHFRWTEGGDEDSGRWRVAWVIPAVWQLISFALLCAVCLAWAPSHDSASRLAYCCSDAEDHDDEDDDHGEGGGGGGKMIGAAGPLSYVGSWACYVTQDDTKIILRTDSGGVYAKAGQEDKRV* >Brasy2G274000.1.p pacid=40065645 transcript=Brasy2G274000.1 locus=Brasy2G274000 ID=Brasy2G274000.1.v1.1 annot-version=v1.1 MVVGEVCASSGDGLLFLLYSDLRVVKEAAAARLPFTRPRRRIGQFDLTRYPDITRFVLNPLTRQLSRLPDISYDRKLVYGRYMGLLTQADRGHGPPDRFAIAVPEEGNLNVMLRFLSERDEWEHVAVSPCQLPSAREMVINQETLAFGGRLWFVDVTWGVVSADPFSDRPELSFTELPRGSVLPDGARHFEGVPSSQRPDNFRQVGVSQGRLRYVEVSRKEPFVLSSFVLDNEGSGWTLEHRVALSKLWADGGFPLLPLKESPQIGLIDPHNANVVYLTVDQLVLVLDMNMKEVTGPYPHTGNPMFKCIPCVLPPWLGSSRIPSAGDKESCLKRVHGGVGDLAFEQKFCKAKRTLRKARVWQMF* >Brasy2G274000.2.p pacid=40065646 transcript=Brasy2G274000.2 locus=Brasy2G274000 ID=Brasy2G274000.2.v1.1 annot-version=v1.1 MVVGEVCASSGDGLLFLLYSDLRVVKEAAAARLPFTRPRRRIGQFDLTRYPDITRFVLNPLTRQLSRLPDISYDRKLVYGRYMGLLTQADRGHGPPDRFAIAVPEEGNLNVMLRFLSERDEWEHVAVSPCQLPSAREMVINQETLAFGGRLWFVDVTWGVVSADPFSDRPELSFTELPRGSVLPDGARHFEGVPSSQRPDNFRQVGVSQGRLRYVEVSRKEPFVLSSFVLDNEGSGWTLEHRVALSKLWADGGFPLLPLKESPQIGLIDPHNANVVYLTVDQLVLVLDMNMKEVTGPYPHTGNPMFKCIPCVLPPWLGSSRIPSAGKKDAEKSKGLADVLVRSDIP* >Brasy2G159400.1.p pacid=40065647 transcript=Brasy2G159400.1 locus=Brasy2G159400 ID=Brasy2G159400.1.v1.1 annot-version=v1.1 MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRTILSAARTAPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHAACAALLNPASAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRILKGTKSLLPSPSHSDDGATISEGAVQGAAEVCSICFEQACSIEVRDCGHQMCAACTLALCCHTKPNPATQSQQLPTCPFCRGSISRLAVATKAKAGDDEDDEEEGEDRMESSLRHRRSRRSMNLSGDGGSSSGSLMGSIASSIGKMGRRKTDSSEQVVDDKP* >Brasy2G159400.2.p pacid=40065648 transcript=Brasy2G159400.2 locus=Brasy2G159400 ID=Brasy2G159400.2.v1.1 annot-version=v1.1 MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRTILSAARTAPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHAACAALLNPASAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRILKGTKSLLPSPSHSDDGATISEGAAEVCSICFEQACSIEVRDCGHQMCAACTLALCCHTKPNPATQSQQLPTCPFCRGSISRLAVATKAKAGDDEDDEEEGEDRMESSLRHRRSRRSMNLSGDGGSSSGSLMGSIASSIGKMGRRKTDSSEQVVDDKP* >Brasy2G159400.3.p pacid=40065649 transcript=Brasy2G159400.3 locus=Brasy2G159400 ID=Brasy2G159400.3.v1.1 annot-version=v1.1 MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRTILSAARTAPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGELEPDAKALLEAALMEANREREKRILKGTKSLLPSPSHSDDGATISEGAAEVCSICFEQACSIEVRDCGHQMCAACTLALCCHTKPNPATQSQQLPTCPFCRGSISRLAVATKAKAGDDEDDEEEGEDRMESSLRHRRSRRSMNLSGDGGSSSGSLMGSIASSIGKMGRRKTDSSEQVVDDKP* >Brasy2G324000.1.p pacid=40065650 transcript=Brasy2G324000.1 locus=Brasy2G324000 ID=Brasy2G324000.1.v1.1 annot-version=v1.1 MYMKTRLVRVRGPGGRLTFGTSGEAEVVSARGRGSGGRGFMSGAGETELQLQRRRIQDRRISLLTQIEDVRRTRAIQRSSRKRHGGSLGQELVTIAVVGYTNAGKSTLVSALSEADLYSDDRLFATVDPRLRSVILPSGRKTLLSDTVGFISDLPVQLVQAFHATLEEVAEADMLVHVLDSSAPNLDEHRSTVLQVLQQIGVSQDKINNMIEVWNKIDLVDNNALTDGIEDEIFLTEGEEEEDLFSEDDVLSKQSSFDSLDDTVDSESLSEENSENGDDKMASDKSFDEPIDMKAMNSELLTTECLREPNGPKAVTTSGCTLTQSVSTCHVKTSAVTGTGLQELLQLIDKKLNEQQMVVQRSYGPFDRKWRPCSMDGEKAAEQ* >Brasy2G110800.1.p pacid=40065651 transcript=Brasy2G110800.1 locus=Brasy2G110800 ID=Brasy2G110800.1.v1.1 annot-version=v1.1 MPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCAHMTSTQRSESMNHVLKKGFFLVHHYNKSKEFAWSRHEFRVLADEAEGIFECECKLWEHTGLFCLHVIAVFEHLRLDEIPRRYILKRYTKNAVTDPVFNRRDYKMTAQDGTSLEYRRTMLFNEAMKTVNKGMSSDHMFNVGMRAFKEVNSRMDEEGIETNAGADHHTEECYPEGPAVSDEIPTTNHTEDDTVKEATKMYAHAQPPKVAKTKGSRNKNKDEAPAPAPATAAARPEPELDANGNPKGQRLCSNCNKIAGHNARTCKKRQMAEQLLEAHQKVYGASTATDRVKICIRNVLAKQGVGIADNEQLLDTDEDEDYEDQTDDDENEDDVEDYGENEDYQGGEGEEEEQCHTEVTNEQTLEATENSKPTPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEEQQNSGDKDMVPQGKRTCSNRGKIRGHNARTCKKLQLEEQLRAQMEERSPEEQVQPMRATRRSARLQ* >Brasy2G110600.1.p pacid=40065652 transcript=Brasy2G110600.1 locus=Brasy2G110600 ID=Brasy2G110600.1.v1.1 annot-version=v1.1 RRWASGRGERLQVHSRQCSLGRRLPAPRAQPPCSFEQRSRTVFNIASVGISLPFAGSGCSGVRHLCRSPAGHKSGGQRSLQARS* >Brasy2G265500.1.p pacid=40065653 transcript=Brasy2G265500.1 locus=Brasy2G265500 ID=Brasy2G265500.1.v1.1 annot-version=v1.1 MMNPYGFLVHIVNTIVNIMSSLVLRVGTILLMTTEMLSYILGFSFFLKAKPHCLLLPTSPSLLPDDLLIEIFLCLPAHPTCLIRTSLVCKRWHCLIKHRQFVCRFHTLHQKLPVLGFFSNSTCIPRFLPTGDPPDCVTTAAFSLPDDPFWQVLCCRHSLVLLVSSSWDRLQVWNPMTGNRQYVPVTPDVDSHLHHGIVPESHAAVLCAAGHNEHGDCRSCPFVIVWIFTCIRYAYASRYSSEIGSWHAVVSSPSPSEVDSRPSVLVGNVLYWPLKLKYIVAFQLDTCRLYHIECPPDTHGIYRRNVHVMKAENGGLGLAALTEFNLCLWARESDAEGVTGWVLRRTIQLDAFPPLKVPSLALTANHLTGRPPVRILGLVEDDDSVFIWTKNGVFAVQLSSMQCNKIFEADVSASIFPYIAFYTTGGVDGPVKTLEHQKSKSAESL* >Brasy2G219800.1.p pacid=40065654 transcript=Brasy2G219800.1 locus=Brasy2G219800 ID=Brasy2G219800.1.v1.1 annot-version=v1.1 MLKDCTATNKGGKQERISLAMDEIIDECKTFFFAGYETTSLLLTWTVFLLSVYPEWQEKLRKEVLKEFRKEIPSGNNLSKLKEMTMVLLETLRLYSPALFIQRKPITDMVLGEIKIPKGHAIIIPSPIMHREKKIWGEDADQFNPSRFENGVTRAAKVPHALLAFSIGPRACIGQNFAMLEAKSVLAMILQKFSFTLSPDYKHAPMNCVTLQPKFGLPVVLKLLEV* >Brasy2G134500.1.p pacid=40065655 transcript=Brasy2G134500.1 locus=Brasy2G134500 ID=Brasy2G134500.1.v1.1 annot-version=v1.1 METNPTWQSQSPTVESREGIIDQVLYPKKSLVTPKSSAGLLFLHRILHSSSPLRPPPPTALFPSSTADFSLSPSPALPEGAAPPAEASPPGVREPDLLCPWRGSRYARGEGTGSAPPAVRKPDPRRPW* >Brasy2G103000.1.p pacid=40065656 transcript=Brasy2G103000.1 locus=Brasy2G103000 ID=Brasy2G103000.1.v1.1 annot-version=v1.1 MPTDLPLGSTTNWSFITPYWICFGYIYTNISVTLEVVSLVALSSMRDLMVIMQWWPGIAPSLHRQAATRLMKQTTNRSQSLIWADLVHVCKRVPVVSCYGVLWMTP* >Brasy2G025600.1.p pacid=40065657 transcript=Brasy2G025600.1 locus=Brasy2G025600 ID=Brasy2G025600.1.v1.1 annot-version=v1.1 MARFLGYDLYDLDLSHAGPGEVRALLMRTAPRSLILVEHLDLCLRRGSNGENNNTMMGLMDGVFASCCGEERVMVFTTPDEEAPQNGMAMRVDVRVGFKLCDFEAFKAMASSFLGLREHKLYPEVEEGFVRGGARLSPAELGGILLANRGSPTRALRTVITKLGKLQPRVSPGTSSVGTRVTHRRVTSWSGPEAVVTAPAAAVFGKDAPIRGELKKLYGLMKIRSRREGAGVVPLEEGETVDGRRGGEQGSGDRGKEG* >Brasy2G069600.1.p pacid=40065658 transcript=Brasy2G069600.1 locus=Brasy2G069600 ID=Brasy2G069600.1.v1.1 annot-version=v1.1 MLTATMVWCKPRTKKTKRAALKFQIARLANMICIRWVIPSLGFNSYSWLLKTGGCKNLAVVLRFI* >Brasy2G245200.1.p pacid=40065659 transcript=Brasy2G245200.1 locus=Brasy2G245200 ID=Brasy2G245200.1.v1.1 annot-version=v1.1 MVSSNGMAICRALPSCILFLACCWLCVREAAAKGLVPALYVLGDSQADNGNNNHLVTLLRADFPHNGVDYGRGNKATGRFSNGKNFVDFLAEHLKLASTPPPYMSIRNNPSNKFIYPSGVNFASGGAGVSSETNKGQCISFDQQIDQHYSGVYKTLVNQLGQNMTLTRLAKSIFTVAIGGNDIISYVRGASRLVRFLRFIRYRPSPEQFIASLAQSLEGQLERMYALGMRKLFVVGAAPLGCCGRGPPRRNATRKQTICPLGTTSRWPPASVI* >Brasy2G245200.2.p pacid=40065660 transcript=Brasy2G245200.2 locus=Brasy2G245200 ID=Brasy2G245200.2.v1.1 annot-version=v1.1 MVSSNGMAICRALPSCILFLACCWLCVREAAAKGLVPALYVLGDSQADNGNNNHLVTLLRADFPHNGVDYGRGNKATGRFSNGKNFVDFLAEHLKLASTPPPYMSIRNNPSNKFIYPSGVNFASGGAGVSSETNKGQCISFDQQIDQHYSGVYKTLVNQLGQNMTLTRLAKSIFTVAIGGNDIISYVRGASRLVRFLRFIRGCTPLGCASCSLLERRRLGAAEGDPHEGMPRGSKRFVRSVQRRGGRPPP* >Brasy2G149500.1.p pacid=40065661 transcript=Brasy2G149500.1 locus=Brasy2G149500 ID=Brasy2G149500.1.v1.1 annot-version=v1.1 MERSKVIMLAMVILSCIMIFSQARTIDQMDNNKIAACLCHRSPPPCPNNLCWCCVIGEDKCASTADECQKGCDPICHSSDSTSPRAMLNRPRPYRV* >Brasy2G223800.1.p pacid=40065662 transcript=Brasy2G223800.1 locus=Brasy2G223800 ID=Brasy2G223800.1.v1.1 annot-version=v1.1 MDYSAGAGGSGGGGGPGGRAQAERWLEIAARLLAARDLVGCKRFAERAVEADPLLPGADELLAIADVLLASQATHPSGQPDPFAVLQVPSNTSDHGAVSRAFRRLALLLQPRNPHPGADVALRIVHDAYGLLSDPSRRTPLSAAPSQPATAAPASAVDFWTACPFCCYVHQYPREVVGRALKCPNESCRRGFVAAEIPTPPTIVPGTEMYHCAWGFFPLGFPDAADLGGNWKPFYKVFPWNNAPSGGSATGRSYVNRGGGSNDRQPQNGSARGGSSRGRGKKTTARKKVAAGLRRRSFGGGVESGIDASMLGQEDGWAEEGGGGRPEEVRGININEEAQATEGNRRQNVTGGVEDLGSFHLDVDPTEDILGNLGNLHNLPFLRVDNLGRML* >Brasy2G436300.1.p pacid=40065663 transcript=Brasy2G436300.1 locus=Brasy2G436300 ID=Brasy2G436300.1.v1.1 annot-version=v1.1 MRRSASVFRALLVFTAIVETQWPGVSGIYCKDMASSVYRPHSVTITEFGAVGDGVALNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSNWPVIDPLPSYGRGRELPGERHQCLIFGYNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPHLVELLYSTNVVISNLTFKNSPFWNIHPVYCSQVLVEHLTILAPLDSPNTDGINPDSSTNICINHCYVRNGDDVIVIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAIGSEMSGGISEIRAEGLRIVNSLHGIRIKTAPGRGGYVRNVYITDVSMHNVSMAIRITGNYGEHPDNNYDRNALPMISNITIENVVGVNVGIAGILEGIQGDNFSSICISNVSLSVQSMHPWNCSLIQGYSNSVIPESCDQLRTDCGQTPVCYDGVSSSAVCAHSPTHKTSASSLLNSLLKLSSL* >Brasy2G436300.2.p pacid=40065664 transcript=Brasy2G436300.2 locus=Brasy2G436300 ID=Brasy2G436300.2.v1.1 annot-version=v1.1 MASSVYRPHSVTITEFGAVGDGVALNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSNWPVIDPLPSYGRGRELPGERHQCLIFGYNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPHLVELLYSTNVVISNLTFKNSPFWNIHPVYCSQVLVEHLTILAPLDSPNTDGINPDSSTNICINHCYVRNGDDVIVIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAIGSEMSGGISEIRAEGLRIVNSLHGIRIKTAPGRGGYVRNVYITDVSMHNVSMAIRITGNYGEHPDNNYDRNALPMISNITIENVVGVNVGIAGILEGIQGDNFSSICISNVSLSVQSMHPWNCSLIQGYSNSVIPESCDQLRTDCGQTPVCYDGVSSSAVCAHSPTHKTSASSLLNSLLKLSSL* >Brasy2G436300.3.p pacid=40065665 transcript=Brasy2G436300.3 locus=Brasy2G436300 ID=Brasy2G436300.3.v1.1 annot-version=v1.1 MRRSASVFRALLVFTAIVETQWPGVSGIYCKDMASSVYRPHSVTITEFGAVGDGVALNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSNWPVIDPLPSYGRGRELPGERHQCLIFGYNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPHLVELLYSTNVVISNLTFKNSPFWNIHPVYCRNGDDVIVIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAIGSEMSGGISEIRAEGLRIVNSLHGIRIKTAPGRGGYVRNVYITDVSMHNVSMAIRITGNYGEHPDNNYDRNALPMISNITIENVVGVNVGIAGILEGIQGDNFSSICISNVSLSVQSMHPWNCSLIQGYSNSVIPESCDQLRTDCGQTPVCYDGVSSSAVCAHSPTHKTSASSLLNSLLKLSSL* >Brasy2G436300.4.p pacid=40065666 transcript=Brasy2G436300.4 locus=Brasy2G436300 ID=Brasy2G436300.4.v1.1 annot-version=v1.1 MASSVYRPHSVTITEFGAVGDGVALNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSNWPVIDPLPSYGRGRELPGERHQCLIFGYNLTDVIITGANGTIDGQGAVWWDWFHNHTLNYTRPHLVELLYSTNVVISNLTFKNSPFWNIHPVYCRNGDDVIVIKSGWDEYGISFAHPSSNISISNITGETRGGAGIAIGSEMSGGISEIRAEGLRIVNSLHGIRIKTAPGRGGYVRNVYITDVSMHNVSMAIRITGNYGEHPDNNYDRNALPMISNITIENVVGVNVGIAGILEGIQGDNFSSICISNVSLSVQSMHPWNCSLIQGYSNSVIPESCDQLRTDCGQTPVCYDGVSSSAVCAHSPTHKTSASSLLNSLLKLSSL* >Brasy2G181400.1.p pacid=40065667 transcript=Brasy2G181400.1 locus=Brasy2G181400 ID=Brasy2G181400.1.v1.1 annot-version=v1.1 MDASAGAGSGNAPGTGGSGAGASESSCCYYALLGIRKNASATDIRAAYRKLAMKWHPDRWASDPAATGEAKRQFQRIQEAYSVLSDKGKKAMYDAGLFDPLDDDDQDFSDFMQEMLAMMDSVKNEKPDTLEDLQKMLEDIANGDGGSRASSGAGAGTGVGAGGGCGGRMPPDANRRTRVAPYPQPSRR* >Brasy2G122600.1.p pacid=40065668 transcript=Brasy2G122600.1 locus=Brasy2G122600 ID=Brasy2G122600.1.v1.1 annot-version=v1.1 MHGKVAAAPTSAPSSNSRDIILSDPAIKDEREVKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKRLQEKCDSLTSENTSLHEKLKELDGEKSNGNSFLQWSEQDVRCRVQRLGVGGDLEIGKQGLRFERDCSGMKSSNSFLRLQEPCEDQLSLSDISSQAASNNEAASNSSSAGPPVTLNLSLTVAAAESSTTDSSGGGEKAAAGAREPTRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVHHRLDAFPYGYADVASLPLYGSPGLYHPIGIQAHASAHRQGLVAVAAAAPAERHQTQHQHQEDVLVSAAAEARPGRSLLGPMPFLVGGGEEEASCYGTWPGSFRAPAGGVLFTPDARAFHSGSSNLGGGGGSSSGSALVQADEEPDLTLRL* >Brasy2G122600.2.p pacid=40065669 transcript=Brasy2G122600.2 locus=Brasy2G122600 ID=Brasy2G122600.2.v1.1 annot-version=v1.1 MKSSNSFLRLQEPCEDQLSLSDISSQAASNNEAASNSSSAGPPVTLNLSLTVAAAESSTTDSSGGGEKAAAGAREPTRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVHHRLDAFPYGYADVASLPLYGSPGLYHPIGIQAHASAHRQGLVAVAAAAPAERHQTQHQHQEDVLVSAAAEARPGRSLLGPMPFLVGGGEEEASCYGTWPGSFRAPAGGVLFTPDARAFHSGSSNLGGGGGSSSGSALVQADEEPDLTLRL* >Brasy2G275100.1.p pacid=40065670 transcript=Brasy2G275100.1 locus=Brasy2G275100 ID=Brasy2G275100.1.v1.1 annot-version=v1.1 MLSAAASGIPRLRWAAPPRHTLVRTQWLLLSSSPTRTPAAAAAAGPGGLEPPDLPRLAKSARISLSPQEAEEFAPKIQQVVDWFGQLQAVDLECVEPSLRAGTAAGSSLREDRAEPFANRDAIIEALPSYDDPYIKVPRVLNKE* >Brasy2G196600.1.p pacid=40065671 transcript=Brasy2G196600.1 locus=Brasy2G196600 ID=Brasy2G196600.1.v1.1 annot-version=v1.1 MMRLRSYILAHIHPFPSPSPIFCVHRILSASAAAPAVSRSPGFAVEDYLVDTCGLTRPRALKAATKLSHLKSPSKPDAVVAFLVGLGFSSANVAAAVGKNPKLLCISVERTLAPIVVELTGLGLSRSEIARLFMLASVNLRLRSIVSRLQYYLQLFGNFKNLLRALERDYYLLSVDLDKAVKPNVAFLGECGLGACDIAKLCISKPRMLTTKPERVRAMVVCAESIGVPRSSGMFKYALQAVAFLNEEKIVAKLENLKNTFRWSDAEVGIAACKAPTLLTCSKDMLQRKSKFLISEVGLEPVYIAHRPIAISLSLERRLRPRYYVIKFLKEHGLLERDPGYYGTILISDKGFLEKFICPHEVAAPHLAEDYAVACRGELPTRFIFA* >Brasy2G300800.1.p pacid=40065672 transcript=Brasy2G300800.1 locus=Brasy2G300800 ID=Brasy2G300800.1.v1.1 annot-version=v1.1 MALLREKRLQLSLHVPTRAADAQEAGLHRRPNPVAAALPLAATTPAARSSQFRVADFEKLAVLGRGNGGTVYKVRHRETCALYALKVQHCNGDATAEAEVLSRTASPFVVRCHSVLPGAASGDVAMLLELVDGGSLDSIVRNRSAHAPPFPEEALAEVAAQALAGLAYLHARRIVHLDIKPGNLLVSTGGEVKVADFGIAKVLPRAGGDDARCTAYVGTAAYMSPERFDPEAHGRHYDPYAADVWGLGVTVLELLMGRYPLLPAGQRPSWPALMCAICFGETPALPDGAASAELRGFVAACLNKDYRKRASVAELLAHPFVAGRDVAASKCALRKLVTEASMSP* >Brasy2G256600.1.p pacid=40065673 transcript=Brasy2G256600.1 locus=Brasy2G256600 ID=Brasy2G256600.1.v1.1 annot-version=v1.1 MDRAALTVGPGMDMPIMHDGDRYELVKDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLLSGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILSVQYSIPDYVHISTECRDLISKIFVGNPATRITIPEIRNHPWFLKNLPADLIDESTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSRINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM* >Brasy2G139800.1.p pacid=40065674 transcript=Brasy2G139800.1 locus=Brasy2G139800 ID=Brasy2G139800.1.v1.1 annot-version=v1.1 MMCLGSSGSEARVCRRARRLVAREFGLTGPASVRPAEGRTRRRVTEMFGAENFGSRRHARAEDEAESAASASRHRARAEDEAAPAPPPPPHSGRCRGRRNRHQRGPRPVRAAEEEAERREAELEEDLAEAIRRSRTDR* >Brasy2G340800.1.p pacid=40065675 transcript=Brasy2G340800.1 locus=Brasy2G340800 ID=Brasy2G340800.1.v1.1 annot-version=v1.1 MEEGGREVRTVRVRNISDLAGEREVCEFFSFSGEIEHVDIRFDGVATGRTAYVTFKEPKALEIALLLSGATIVDRVVNITSAEDYIYLPVNEQQLVVNEVTSTAPTVDLEQPNEANASPTSGRVYASKAHDVMTTVIARSSAIRQDAVNKAKSFDEKHQLRANASARISSFDRRVGLSEKLNTGISVVNEKVKTVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNISAKGPAVVA* >Brasy2G340800.2.p pacid=40065676 transcript=Brasy2G340800.2 locus=Brasy2G340800 ID=Brasy2G340800.2.v1.1 annot-version=v1.1 MEGATIVDRVVNITSAEDYIYLPVNEQQLVVNEVTSTAPTVDLEQPNEANASPTSGRVYASKAHDVMTTVIARSSAIRQDAVNKAKSFDEKHQLRANASARISSFDRRVGLSEKLNTGISVVNEKVKTVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNISAKGPAVVA* >Brasy2G144100.1.p pacid=40065677 transcript=Brasy2G144100.1 locus=Brasy2G144100 ID=Brasy2G144100.1.v1.1 annot-version=v1.1 MEEDAEEMQVERLHEDAEIGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKPPAQASAAMAVTGGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAELQRASGDPNARVADYFDLAAGTGAGGVFAAMLFSTHSRGTPLFRAEDTWRLVADHAPRLFRRPASSSSFFCRARKRPLAAPTAALNAAMKSAFGEELTLRDTIKPVLISCYDLKSSAPLLFSRADALESESYDFRLCEVGRAAWSEPGRFEPAEVASVDGATSCAAVDGGPTTGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGGSGAAADADILRMRRWGAKEWARPIARIAADGAADLVDHAVARAFGQCHSSNYLRIQAKRESMPPCGPDGEYEPTPANVQALLAAADETLKQRNVESVLFEGRRIGEQTNAEKLDWFAAELVAEHRSRGSRIAPTVAFKQSPRKPPTLG* >Brasy2G083500.1.p pacid=40065678 transcript=Brasy2G083500.1 locus=Brasy2G083500 ID=Brasy2G083500.1.v1.1 annot-version=v1.1 MPQVKEGEEYQPTAASPLGTTRSPRVHDSNLGWGEHAPPPDPVPRPTATNPREHAPSPDPLPPGCRLGRTTRLSPTIRSRRTMGTRGLRRRRRKATPRSTPLMTWRSMKICSATSIARLRSRQTSFLMRLQLTLPPMIRVMGGTLGALNREVFAICEDSRRLVNQIYRTDAVLQNESYLDTVWRLKHECYTRLLKLNHGNSGLEDLPAWWAVLQTPW* >Brasy2G372200.1.p pacid=40065679 transcript=Brasy2G372200.1 locus=Brasy2G372200 ID=Brasy2G372200.1.v1.1 annot-version=v1.1 MAATTSPSTAAVWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDASSEWTLDYPPFFAYFSRLLSLPAPLVDASLVSLPVPAAPHSSPYLLYLRLTVAFSDLLLLASVLLLAVDARRRQRPFLALVLVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGNDLAGGVAFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVVAIFAMAFAPFMYYGQMQQLFSRLFPFGRGLFHAYWAPNFWVFYIILDKILAFVLRRLGFNIEIPEASFTRGLVGDSSPFAVLPKVTPITTFLLVILAMTPCLVKAFSNPQPKHIIRWVSYACSCGFMFGWHVHEKASLHFTIPLALIAMDSLDDVRHYFLLSIVSCYSLFPLLFENQEYPIKVLLLLTYSTLMWVGFSSHLSANSAREEKKANASGSIIKQKFTGWISLGYLSGVFAIELWSQVFHNHVFGDRLPFLPLMMVSVYCGVGMMYSWLWQLLWIVRHT* >Brasy2G372200.2.p pacid=40065680 transcript=Brasy2G372200.2 locus=Brasy2G372200 ID=Brasy2G372200.2.v1.1 annot-version=v1.1 MAATTSPSTAAVWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDASSEWTLDYPPFFAYFSRLLSLPAPLVDASLVSLPVPAAPHSSPYLLYLRLTVAFSDLLLLASVLLLAVDARRRQRPFLALVLVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGNDLAGGVAFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVVAIFAMAFAPFMYYGQVTPITTFLLVILAMTPCLVKAFSNPQPKHIIRWVSYACSCGFMFGWHVHEKASLHFTIPLALIAMDSLDDVRHYFLLSIVSCYSLFPLLFENQEYPIKVLLLLTYSTLMWVGFSSHLSANSAREEKKANASGSIIKQKFTGWISLGYLSGVFAIELWSQVFHNHVFGDRLPFLPLMMVSVYCGVGMMYSWLWQLLWIVRHT* >Brasy2G043400.1.p pacid=40065681 transcript=Brasy2G043400.1 locus=Brasy2G043400 ID=Brasy2G043400.1.v1.1 annot-version=v1.1 MGDLTTPLAGNGDGTPPSLVGDSAAATGDKSGGGFFQRARERVRDLASMDGAVLLAFVASIGNMLQGWDNASIAGAMFYIKEEFNLNSTPMIEGCIMAMALFGATIITTLSGLLADKFGRWMMLLTSGILSFVSALLVIFWSYHVYMLLFARLIQGFSIGLAVILVPLYICETAPADIRGKLNTFPQLSGSGGMFLSYCMVFWMSMMPNVNWRVMLGIQLIPSLIYSILIIFYLPETPSWLVSQGRVEEAKKVLQRLRKREDVSSEMANLLEGTRVGHSPSMEEYLISTDEKVVFDTILSNKETKEIIQLYGLPEDLPCVAYPVKGHDQEITVGNSVSRGATYFDPIVSIVGSLHGSLLEEAHDIFNEVEQQDPIERDEENQQESDNELGYIRDDVDDSVHEPLVRKKSSAKSELLPSHKSGYIGGGWQLAWKLPEGYSSDEQSEASMDRVYLYEGGLPTLHKDSEFDVPLDGKFVQATALVNKSIFHKDRFGDHKINLHPREKFVKSTKWKDLLEPGVRRALIVGIGIQVLQQFAGINGILYYTPQILDQAGVGVLLSKIGISSSSVSILMSALTTLLMLPFICIAMWLMDRTGRRRLLICTIPILLLSLVVLVTVNIVNLSAELHALLSTTSVGIYFCIFVMGFGPIPNIFCSEIFPNKVRATCLALCSLTFWICDIIVTYTLPILLKSIGLAGVFGVYAVVCVLALVFVCLKVPETKGIPIEVMAEFYALGASGSPSLPEEDSKEKKEVITLQ* >Brasy2G051400.1.p pacid=40065682 transcript=Brasy2G051400.1 locus=Brasy2G051400 ID=Brasy2G051400.1.v1.1 annot-version=v1.1 MDQLDEESLDELMSSSRQREAPWHQACPGPGPQGGMMMMSDLLFYGMDGDMDVAPFEDLRAPMPMPMPLPLPAPAPAPGTREEFSFDCLSEVCNPYRSCVGVVPAGAEAAVAGWALTDPLHDAMEHAMEEDDMSRDKQAHLGSACGGSSPLSPAFVFGSGGGSAGGASTDGSGVVRHRSKLHGAVPSKNLMAERRRRKRLNDRLSMLRSIVPRISKMDRTSILGDTVDYVNELTERIKVLEEEIGAAPDHDLNLLNTMKDFSSGRSEMPARNSTKFGVEKQGDGGGTRIEMCCPANPGVLLSTLSALETLGMEIEQCVTSCFSDFGMQASCLPAVEGKRQGISTDEIKQALFGSAGYGGRCL* >Brasy2G082400.1.p pacid=40065683 transcript=Brasy2G082400.1 locus=Brasy2G082400 ID=Brasy2G082400.1.v1.1 annot-version=v1.1 MSWRIPLFGSSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082400.2.p pacid=40065684 transcript=Brasy2G082400.2 locus=Brasy2G082400 ID=Brasy2G082400.2.v1.1 annot-version=v1.1 MSWRIPLFGSSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082400.3.p pacid=40065685 transcript=Brasy2G082400.3 locus=Brasy2G082400 ID=Brasy2G082400.3.v1.1 annot-version=v1.1 MSWRIPLFGSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082400.4.p pacid=40065686 transcript=Brasy2G082400.4 locus=Brasy2G082400 ID=Brasy2G082400.4.v1.1 annot-version=v1.1 MSWRIPLFGSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G011100.1.p pacid=40065687 transcript=Brasy2G011100.1 locus=Brasy2G011100 ID=Brasy2G011100.1.v1.1 annot-version=v1.1 MLSLARRPLSAAVPVGNLLGIHLFQCPDAVGIVAKLSECIATRGGNIHSVDVFVPDDKPVFYSRSEFTYNPRLWPRHELGTDFLHLSNHFSAQKSTVRVPDLDPKYKISVLASKQDHCLFDLLHRWQEGRLPVDINCVISNHDRPTDNHVRRFLERHGIPYHYLPTTPGNKREQEILELIEGTDFVVLARYMQVMSESFLKAYGKDIINIHHGLLPSFKGGSPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELMKTVVF* >Brasy2G050300.1.p pacid=40065688 transcript=Brasy2G050300.1 locus=Brasy2G050300 ID=Brasy2G050300.1.v1.1 annot-version=v1.1 MAAVVSSPAPCPKPPLQDSGAGPVDTTSSAPWVTKLLTDYLIPRVRSDQFVPVSFIHECDVYGADPAALTSRYEACCSIDGRPKSWYFFSPLPPMNGKKRKRTVQNSDGWWQSDHARHKVVLRGGETESVIGYRQRLAFSTKDARGGIDRSGWLLHELTVDRDDAAVSLCWVYRRPRRKGDAPAREGEEDAKRLKVLQQQQPIPGMYVQGQAKQIQGQQQMVHGQLEQLQIPGIFQQGTAPVQGQPQGQKLQQQQQNQQGQLGQLQQQQQQGQFIQQAQLGQFQLNQQGYFQLNQQGHQFDIAPFQQQQQLQPHGQQQNQFNQGEGQHVQGIPIQQQPAKRQGGQAQRQAGQQRHHEGQAIDPRYKDLVCYNCGEPGHHVGVCSKQKICFMCNIPGHHMDNCALWGADMPTPEYVGSASHGLGFFHIKVSDQPATQWLNLGNCGVILVTHGNISLEELESRMAETWDQNWPWQIRLLDEKKFLVRFPPHKKVSGLVDLPSINLKEGLDSERITVKIMAWDGDLPDVGDLIEVWVQIRGIPPKCLSWEVITQIGKFFGLLMDVDWPCIFKSLYEVVRIKVAVKNPLKIPTERRIVIKKKFYRLQFKVELQGVDLSDLVEEECEGTGKDIDKGEDDLLDDKIADLEVGREKEKVPKKGGIGSLVEEEGG* >Brasy2G435200.1.p pacid=40065689 transcript=Brasy2G435200.1 locus=Brasy2G435200 ID=Brasy2G435200.1.v1.1 annot-version=v1.1 MSKPKALQQLAGKVAIVTGGASGIGEAAARLFASRGATVVIADVQDALGEAVAASIGSSARYSRCDVSDEAQVAATVSSTVSAHGHLDVMLSNAGVLLHPAQPVTDMDLGLLDRVLAVNLRGAAACLKHAARAMISNSPRPGGSIVCTASVASVQGGYGPATYTASKHAVLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGVTGMGPKEMEAMAEAHNVLKGKVLRVQDVAEAALFLASDEAGFVSGHNLVVDGAATAVNPAVLRSVGL* >Brasy2G455600.1.p pacid=40065690 transcript=Brasy2G455600.1 locus=Brasy2G455600 ID=Brasy2G455600.1.v1.1 annot-version=v1.1 MKRGRKYEGDAELGLYLGSTLCAGRAKPNFAGRLTRITWASWVFKLDFAREKSPRDHSHSFPHARSLSLFPRRLLPCAPRPQASFLLPAATGRPRPRPPPLPPAGPPAPPTLASRASPAASPADAGASPPSASRAAAGAPHRRPKPGLARLTRGLSCCRRCAPSPATRAPTPCLPSPAAAHAPHRRLNPGPRALFPRPPLRSSVCPTPDSARTYLRPHLSPPAHRVAGGLGSGAPSLLLRLQALATSWVSRANVVHRGLPSAFWLFSMNLITRIGDLGRFRICLSRRQRRGLGSAGRGGSREPAPDQAKRARTWRNGVAATPEAGKAEELSVRTRV* >Brasy2G399700.1.p pacid=40065691 transcript=Brasy2G399700.1 locus=Brasy2G399700 ID=Brasy2G399700.1.v1.1 annot-version=v1.1 MDPLSLLLLPPRARPCIPLPLRPPTAPAAFARASASAAASRLAPARRLFSPASAVTAEAPWTGENPWASPSEAGEEGRFDWLDQWYPVAPVCDLDPRAPHGKTILGLRVVAWYDRTAGAGEGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGRGSCQFIPQAPALGPPVHKNSKACVAAYPSVVQNNILWFYPRAGAEHEDVLQRKRPPFIPEIDDPSFVTVYGIRDLSYGYDVLVENLMDPAHVPYAHKGLMGRLRKKEDPGRVEFDREGGGPMKMKIEEAGVDGFLSPQENGGYFRYAAPCTFYGSPLPREEEGEKKKPRIMLVFMCVPVAPGRSRVIWAFPRNVGLWLDKIIPRWYYHIGQNAILDSDIYLLHVEERNFAAAGVENWQKAVYVPTSSDSMVIAFRNWFRKHCKSQVGWAAPTVDQLPATPTKDKLMERYWSHVEQCTSCSAALKAMKALEVALQVAAVAVVGFLAVAKETLVKSVAQRVAVVSAAVLCFAASRWLASFIQKNFYFQDYVHAYK* >Brasy2G239800.1.p pacid=40065692 transcript=Brasy2G239800.1 locus=Brasy2G239800 ID=Brasy2G239800.1.v1.1 annot-version=v1.1 MKKKKALPSLLYLVFIVLLPWGASFSVNKCLELWIKNWWNTRQSETFLTYIQEKRILERFIELEELSLLDEMIKEKPKTHVQKPPIGINKEIIQLVKIDNEDHLHIILHFSTNIICLAKYYSTWKQDSN >Brasy2G490800.1.p pacid=40065693 transcript=Brasy2G490800.1 locus=Brasy2G490800 ID=Brasy2G490800.1.v1.1 annot-version=v1.1 MADIALLVAEEFEKRLKQGTPSGAEAKEESKGKGMGNFGAVTKLWESAASGVKVRVALLVKADVPEPKSRLAMAAFDGIFSA* >Brasy2G265900.1.p pacid=40065694 transcript=Brasy2G265900.1 locus=Brasy2G265900 ID=Brasy2G265900.1.v1.1 annot-version=v1.1 MAFTVMPNMISALLLILAAGVAITAVHGAAPPAPPTPAGPPKGATPPTPAGPPKAGTPPPASKAPSGPPPAAAPAKFPAVIAFGDSVVDTGNNNYVRTIIRANFPPYGKDFPGHKATGRFSDGKISVDFLASALGVKELLPPYLKKDLSLEELKTGVSFASAGSGYDNSTCRTMSALTMERQMQLFVEYKAKVGGTIPDKALYLLCWGSNDVVEHFTFNDGITEPRYSDFLAERAITYIQQLVSHGAKRIGVTGIPPVGCLPSQRMIAGGIRKQCATDRNQLALMANRKISQEMAKLSAKLGPAVQIVFLDLYGILGDITTRHAEFGFKNGKDACCGYIGLAASVLCNFASPLCHDPSQYVFWDSYHPTEKAYKVMIDIIVDKYFKYMR* >Brasy2G281200.1.p pacid=40065695 transcript=Brasy2G281200.1 locus=Brasy2G281200 ID=Brasy2G281200.1.v1.1 annot-version=v1.1 MRDETPTKNRLSWSKTIVRKLFNIKTKAKDFHSDYGVEEVGMQWRTSFSERDLSKTKKSRTERLPRKNADRDCRVGNGFDRAYITNTQDYRVFAGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSIVRKTLNNQDFHGSAVYNYHTPSPVPDPIAELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMKCGGSSDDENMDEESPSGILFSPMPSGYGAPLCTDDNNRRLLNSSRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGARDEKSPETILDHEYVINHMLYRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVKLPSQAQFGSFTRSSSLMGVDELPYPTYPRSYTDINFY* >Brasy2G140600.1.p pacid=40065696 transcript=Brasy2G140600.1 locus=Brasy2G140600 ID=Brasy2G140600.1.v1.1 annot-version=v1.1 LGSGRCRLAAGRGRHEGSKAREGASSFSCRGLPRTASGEGCSAAAGGQWRRAGGRRRRKERGREMRRGRRWPIGRRRQGGFAELGSDRGEGRDGTTYEPTTEATAN* >Brasy2G058400.1.p pacid=40065697 transcript=Brasy2G058400.1 locus=Brasy2G058400 ID=Brasy2G058400.1.v1.1 annot-version=v1.1 MALSGDPASPAPTPEPHGQAEEEVREAELFEDAVEGEGEAAAEVSPSSSPSVSAADEVETFGSPRASGHAAIDGEESRLVPEWREEPGRLDTGSALLSEQRARGAGEGNSMAGAGLSAMNDSWSRSPSAASSPRLSGTSSSSPPASQIKHQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGAERSPREHLLRTTLNIEAMANQKRQWYQVHSQARDLKQFDEPTTLFEHFYVVGLHSYANVTVIEDAFAKKKASKSNVDQYHGSIPTMEPQILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLSGDFESSNALSHNDWTEYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISASETSDSSYAKELEKEGRHSFQQYEDCMSENMESRCDSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKMKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKLFVETQMFSVLSDSRLSTFENEHTQGFVFAGDQDK* >Brasy2G058400.2.p pacid=40065698 transcript=Brasy2G058400.2 locus=Brasy2G058400 ID=Brasy2G058400.2.v1.1 annot-version=v1.1 MALSGDPASPAPTPEPHGQAEEEVREAELFEDAVEGEGEAAAEVSPSSSPSVSAADEVETFGSPRASGHAAIDGEESRLVPEWREEPGRLDTGSALLSEQRARGAGEGNSMAGAGLSAMNDSWSRSPSAASSPRLSGTSSSSPPASQIKHQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGAERSPREHLLRTTLNIEAMANQKRQWYQVHSQARDLKQFDEPTTLFEHFYVVGLHSYANVTVIEDAFAKKKASKSNVDQYHGSIPTMEPQILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLSGDFESSNALSHNDWTEYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISASETSDSSYAKELEKEGRHSFQQYEDCMSENMESRCDSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKMKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKLFVETQMFSVLSDSRLSTFENEHTQGFVFAGDQDK* >Brasy2G407100.1.p pacid=40065699 transcript=Brasy2G407100.1 locus=Brasy2G407100 ID=Brasy2G407100.1.v1.1 annot-version=v1.1 MKVRASVKRLCGFCKVVKRRGIVFIQCTSNQKHKQRQGFSTLAACLPPPPPPPPTGTSAAAVAFAAAAEASKVAKPDLSMKFNWPLGLAALLKSGDK* >Brasy2G067200.1.p pacid=40065700 transcript=Brasy2G067200.1 locus=Brasy2G067200 ID=Brasy2G067200.1.v1.1 annot-version=v1.1 MQQEADTCSEHVIVVGAGLAGLAVALGLHRKGVKSVVVLESSPALRASGYAITTWANAFRALDALGVGDKIRKRHQQIQGLQVISSSTGEVTHELCFAPPQGSKGVPHHEARRVRRDLLVQALEEELPEGTIRYSSKVVSIQEDVGSAAKNIHLADGSVLRAKVLIGCDGVNSVVAKWLGLAKPSDSGRLATRGIALYPDGHCFQPKFLQFIGQGFRFGFVPCNEADIYWFYTWCPSKNEADDGAGESGAKIKQQVLDKLRSSKVPAEALEVVERSEVSDDAPLRFRPPLSLLFASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLGEAIIHGGGGVRGVTETERIESGLREYARMRRWRSVVLVGTAYVVGFVQQSDNAVVSFLREKVLAGVLARTLLKTANYDCGTL* >Brasy2G067200.2.p pacid=40065701 transcript=Brasy2G067200.2 locus=Brasy2G067200 ID=Brasy2G067200.2.v1.1 annot-version=v1.1 MQQEADTCSEHVIVVGAGLAGLAVALGLHRKGVKSVVVLESSPALRASGYAITTWANAFRALDALGVGDKIRKRHQQIQGVPHHEARRVRRDLLVQALEEELPEGTIRYSSKVVSIQEDVGSAAKNIHLADGSVLRAKVLIGCDGVNSVVAKWLGLAKPSDSGRLATRGIALYPDGHCFQPKFLQFIGQGFRFGFVPCNEADIYWFYTWCPSKNEADDGAGESGAKIKQQVLDKLRSSKVPAEALEVVERSEVSDDAPLRFRPPLSLLFASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLGEAIIHGGGGVRGVTETERIESGLREYARMRRWRSVVLVGTAYVVGFVQQSDNAVVSFLREKVLAGVLARTLLKTANYDCGTL* >Brasy2G067200.3.p pacid=40065702 transcript=Brasy2G067200.3 locus=Brasy2G067200 ID=Brasy2G067200.3.v1.1 annot-version=v1.1 MQQEADTCSEHVIVVGAGLAGLAVALGLHRVPHHEARRVRRDLLVQALEEELPEGTIRYSSKVVSIQEDVGSAAKNIHLADGSVLRAKVLIGCDGVNSVVAKWLGLAKPSDSGRLATRGIALYPDGHCFQPKFLQFIGQGFRFGFVPCNEADIYWFYTWCPSKNEADDGAGESGAKIKQQVLDKLRSSKVPAEALEVVERSEVSDDAPLRFRPPLSLLFASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLGEAIIHGGGGVRGVTETERIESGLREYARMRRWRSVVLVGTAYVVGFVQQSDNAVVSFLREKVLAGVLARTLLKTANYDCGTL* >Brasy2G382000.1.p pacid=40065703 transcript=Brasy2G382000.1 locus=Brasy2G382000 ID=Brasy2G382000.1.v1.1 annot-version=v1.1 MAQRATATAQKRKRPDEESTAAGLCANGCGFFCAAATGNMCSKCYRDHVAADGTTPSVPCCCCCFDDGVSGEAKISVAIDASSDAAVDPAVTAVKPAANRCATCRKKVGILGFRCRCEGTFCSVHRYADKHACGFDYKTAAQEQIAAKNPVVVAHKMVARI* >Brasy2G473200.1.p pacid=40065704 transcript=Brasy2G473200.1 locus=Brasy2G473200 ID=Brasy2G473200.1.v1.1 annot-version=v1.1 MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERDSTEIEIFGMQGIPADVLAAHYGEEEDPSLKVAKVEVPSIRPPIMPNHPLGMVFPPRPAYGVPRPMYNPAMMARPPLWPPQPPQAWFAQQPAVSVPPMVTGMAPQQPLFPIQNMPNPMASAPANLLQTSFAMAPPGVPSPVAPQVSQPLFPVSTTGNGASSAPFAASVAPGTIPASSPASGTTAGFGYVSNNQGTGGSAVGNTPASNVATSATQAATSEVYLVWDDEAMSMEERRLSLPMYQVHDETSQMSSVDAEFDRRVSESWLAGHMAL* >Brasy2G427400.1.p pacid=40065705 transcript=Brasy2G427400.1 locus=Brasy2G427400 ID=Brasy2G427400.1.v1.1 annot-version=v1.1 MGADRLLHVVRAPATSPAAAPSSRLRGLPQPQRVHFPPSAAGMRRAAVSCSSSADEGGMTYKGAGVDIDAGTELVRRIRKLAPEIGGFGGLFPFGDKYLVAGTDGVGTKLKLAFDSGIHDTIGIDLVAMSVNDIVTSGAEPLFFLDYFATSKLDVDLAEKVIKGILDGCQQSDCVLLGGETAEMPDFYKEGEYDLSGFAVGAVKKDELIDGKNIVEGDVLIGLPSSGVHSNGFSLARRVLDRSGLSLTDPLPRNDGVTTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIVTGSWEVLPVFQWIQQVGKIEDAEMLRTFNMGIGMVLVVSKDAAGRILEESSPAYRIGEVIQGEGVHYV* >Brasy2G407600.1.p pacid=40065706 transcript=Brasy2G407600.1 locus=Brasy2G407600 ID=Brasy2G407600.1.v1.1 annot-version=v1.1 MEHASSGLKQPAKQASTHTHSHREREMGYGIKDQMKYLAMTPQQRKKHRMAMGVVITLCAGIWILIGGIWMAARGNSTDCIRFLQWSLIPIGLTLLAISFAGC* >Brasy2G446000.1.p pacid=40065707 transcript=Brasy2G446000.1 locus=Brasy2G446000 ID=Brasy2G446000.1.v1.1 annot-version=v1.1 MPPPMALSVSTSTATPPRFPGRPHLAAPRVRCSSSPARAVAAGYAASFYGGAAASRTRDEEEVGDEEGFGGGGGLGMSASEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVSGGAMYVEVFNRGVVPLAYSIRKRNSRTGLPFTYYDGIYLLWTYFTKPESVDALQMKLNADDDVIRSTSFKVRKRRVY* >Brasy2G447600.1.p pacid=40065708 transcript=Brasy2G447600.1 locus=Brasy2G447600 ID=Brasy2G447600.1.v1.1 annot-version=v1.1 MRVIGEIMKLDGVVHSYYRRPTAQHSSVGRIQQLWNEWEMQCLVLTSFALQAFLLFSAGVRKRNSSGAIRLLLWLAYLLADSLAIFVLGHLTLHVTTGTVHQLVLFWAPFLLLHLGGQETITAFSVEDNALWKRHLLSLVSQEALAFYVAGKSWRNDDELFIASTALMFVSGTVKYAERTYALKSANAMALGSKFTTPLLLDDPILSTDGVSMLSGYFNWMEIDKNYAELVHKAYNFFGLYMNLLMDMPRSWLSDDYGSMPDLCRKLQSNPDRACKSYKLVELQLSLIYDYLYTKIGMRHCHLNPLVGAALQVVTFISTSAALVLFMVAERRHDDYSRADVVVSYVLLVGAVVLEVSSAIILVTSYWTYCSVDEFCVTKRINRSCADVIFGLVKCVRPVSRRLWSGKLARYDLICGCIQEKQASVVGRAIRWIGVDCDTTHVGVSGELKELVLDKLIGIGAKWMAQKDFIRFRGERALADRVRSGMTTTVLHDSISSVDFPTSVLVWHVATDICFFSGVDDNMVPHRGPSKELSDYLMYLVAKCDVLRSSSSRFSLDKALNGVKRNLAGCKGKGACKDYQRKHAWEMLESEKNDGDQSTLLASRAVARCLVSNIEDAAERWELIAAVWVEMLCYLAPRCTAKFHATHLSTGGEFVTHVRILMINLGLAWEIRPNW* >Brasy2G367100.1.p pacid=40065709 transcript=Brasy2G367100.1 locus=Brasy2G367100 ID=Brasy2G367100.1.v1.1 annot-version=v1.1 MGRLGYAGEAGLSRASGGSSRGRRRADALDAPVGALTVPVSSGMPSFEPLVLDQGLPTPAAPVTPVAALAPSAAMVPPVVRAAAVCVANVAAATEVAPAAVVTPAAPPAAPPAMEAPASADAVPPLAAVPASLADFIQRVAEAVTPGLLQLARPDGKSSAAPRRTAKSSLCPTRRSQRLASKQQSPDHVMSKAKRLICKKLGVVFEEAASDDAAILARFAASFDNPLSQAQIAALTALAQCGAEKKKMKAVA* >Brasy2G012600.1.p pacid=40065710 transcript=Brasy2G012600.1 locus=Brasy2G012600 ID=Brasy2G012600.1.v1.1 annot-version=v1.1 MATQEEGEAEGVGSADAPLTVGLALGGSKSSTYVLQWALAKFASGKDKDENKPAPTFKLIHVLTPLLTVPTPRNCPVDKVRPDIADTHAKEVQVQAQEMLLQCRNMCDENKVEVEVLLINGNDVAGTVSNLVAQYQIQVLVVGNPTSRCAFTRKSSRNKTSSKICKSVPSSCTTYIVSKDGLSSVYSPGLGSDTSDSQVHSGEMSLRSDLNDSSGRTLLGLPSLPRSNLASENLKSSSSAKHDGSFTLYDYLSGSASVYADQDRTITSCTDGESSISSKLQASDKVPTQGSSLQGLMLSDKVPPQKNSLQGLMLSDSKDDVNIELEKLRLELRHIQGAYKLVQDESVNASHQVVELAAKHVEGKAQLRDMQSRVDKANDEVQEEKARRCATEEVVTHVKDLVRAEVMQQNRLLIKASKDADQKSRLEELFVLRGNLYSTYTWEEIDNATSSFSESHKIGTGSNGTVYKGHLKHLDVAIKILHSDDSSSTKHFNQELDILRRIRHPHLLMLLGALPDKGCLVYEYMENGSLADRLQCINGTQPIPWFHRFRIAWEIVSALVFLHSTKPNPIIHRDLKPENVLLDRNLVSKIGDVGLSTLVPLKDSSSSGTMYKKTGLAGTLFYIDPEYHRTGQVSVKSDTYALGMVILQLLTARSPIGLPELVERAVEDGQLMDVLDGSAGNWPAKEAYDLAHLGLSCLEMRSKDRPDLKNMVAVELERLKNIAGAASGPVPGPPSHFVCPILKEVMQDPCIAADGHSYERNAILMWLHEHELSPVTKAPLPNKTLVSNQSLLSAISRWRSNGGGL* >Brasy2G346800.1.p pacid=40065711 transcript=Brasy2G346800.1 locus=Brasy2G346800 ID=Brasy2G346800.1.v1.1 annot-version=v1.1 MAAPHVLVLPFPAQGHVIPLMELSHHLVEHGVKVTFVNTVLNHGLILGALASKDGSSNIGGNGIDMVSIPDGLGHGEDRKDLSLLTQSFSEVMPGELEKLIGGISESNDSAGGEEKLTWLIADANMAWAFPVARRFGLRVAAFNPSAAAMFATRTSIPEMIRDGVVDERGLPKRPGPFQLAPLMPAIDTAEISWNRARDPEGQPAIFQFILRNNAAIHHAEAVVCNSVQELEPGAFALFPKVIPVGPLISGSAGAAAGGDNKPVGSFWAEDDSCAAWLDAQAAGSVVYVAFGSFAVFGAAQLVELAEALALTGRPFLWVVRPDSVDSGPWVEDLRRRAGPRGRVVGWCPQQRVLAHQATACFVSHCGWNSTMEAVTNGVPVLGWPYFTDQFLNRSYVCDVWRTGLQAMSPAGESETGRVVEREAIRGKVEELLGDAETKARALALRDVARRAVGDGGSSRRNLKRFVDLVRGSAS* >Brasy2G385200.1.p pacid=40065712 transcript=Brasy2G385200.1 locus=Brasy2G385200 ID=Brasy2G385200.1.v1.1 annot-version=v1.1 MCVKGCGFFGAAAADNMCSKCYRDDFDAFSSPAAPPEKKPRFSFNFESCEAAVDPAVTAVKPPAAVNRCVVCGTKVASGGFFRFLATATKCSKCNREAKINGAVESSDAAAAVDPAVTAVKPAAAASNRCATCCKKVGLLGFRCRCEGTFCSVHRYSDKHACEFDYKAAAREQIAMQNPVVVADKMIDRI* >Brasy2G110000.1.p pacid=40065713 transcript=Brasy2G110000.1 locus=Brasy2G110000 ID=Brasy2G110000.1.v1.1 annot-version=v1.1 MPPTMLAPVPTRPRSNPFRRRRGAAALLPAQIAAAAAPKRPAESSTSASSSFRSEVISTTSSTALAAAQRPEKRPRLQDADEARPAASECSEVIGGARACAAEVEVSESSCLGSVLESDLAFPQQLADDAEATEYSSARDDLTQSDAEEEVLSAPSPCSEYSLTPLIDSSSSSDDDDDAAPSPTFSLFLAFAEQFVHCAHTKAHAVADVAIPEGKRFEDLDDEETYERFRRRERQGVVACDYTEVYICMPGSYGRAVVEQRAVMVNWIIEHGHVTDLQPETVFLGIGLMDRFLTRGYVKGTRNMQLLGIACITLATRIEENQPYNCILQKSFKVGINTYSQSEVVAMEWLVQEVLDFQCFLTTVHHFLWFYLKAAKADDKVQDMAKHLALISLLDHKHLSYWPSTVAAAVVALACLATDNDSSCQLVMETHMRTKNDDLPECLTSLEWLINYAS* >Brasy2G252000.1.p pacid=40065714 transcript=Brasy2G252000.1 locus=Brasy2G252000 ID=Brasy2G252000.1.v1.1 annot-version=v1.1 MNHDADSSSGPCRQRSSSTPSGTPFRITLTRVWAAWQRRRSTGPPARSCRAPTCTSRMGGMGTLVSVPTSNRARQAEIWQRRETRIPKSPRGRTSAPSSALPWRTADLALPSHRRPPRAQPSSSPDAPPLPRSSLPSPAPAFSPRYPPLASPLPVLFSPAGGSRPPRRPPQAQSKSTPVSPLPIPPFPSSILRLSLNPLLPRPRKEKRVIFRPFAKHDCYLEV* >Brasy2G047200.1.p pacid=40065715 transcript=Brasy2G047200.1 locus=Brasy2G047200 ID=Brasy2G047200.1.v1.1 annot-version=v1.1 MAVSISSSSTLLLVFLFSFLVSLCAACDRCVRSSRAAYYTSSLTLAAGSCGYGTAAASFNGGLLAAASPALYRDGVGCGACFQVRCKDKKLCGAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAKLGAVKVEYKRVPCEYKGKNLSVRVEERSRAPSELAIRFLFQGGQTDIVAVDVAQVGSSNWKFMARDNGPAWSTSQAPPGPLQFRVVVTGGYDGKWVWAEKEVLPRRWRAGEVYDTGVQITDVAQEGCFPCDTQEWR* >Brasy2G047200.2.p pacid=40065716 transcript=Brasy2G047200.2 locus=Brasy2G047200 ID=Brasy2G047200.2.v1.1 annot-version=v1.1 MQVRCKDKKLCGAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAKLGAVKVEYKRVPCEYKGKNLSVRVEERSRAPSELAIRFLFQGGQTDIVAVDVAQVGSSNWKFMARDNGPAWSTSQAPPGPLQFRVVVTGGYDGKWVWAEKEVLPRRWRAGEVYDTGVQITDVAQEGCFPCDTQEWR* >Brasy2G470300.1.p pacid=40065717 transcript=Brasy2G470300.1 locus=Brasy2G470300 ID=Brasy2G470300.1.v1.1 annot-version=v1.1 MARPGDVDKSGATYQRESWDALRRSITGLVNKATAANIRHVLPELLAENLVRGRGLLCRALIKSQAACPAFTDVFAALAAVVNSKLPSVGRLLLVRLVIRIRHSLHSNDKPQLVAAARFVAHLVNQGVAHELLALEILETLLARPTDGSVELAVGVVRECGATLRDACPRLLDAVFDALMSILRDGNMVDRRRTEFMIEGLFAVRKAQFRAHPPVRPELDLVETEDQLTHQVEISSRGLDPESHLDVFSFSDTFLQDEAAYEDIKRSMLGLGDDLSSSASSSEDEDDAQVCSSDERDMEEEVVIKDETATDLVGLRRTIYLTIMSAVGFEEAGHKLMSVVRPGQESELCAMLIECCRQERTYTRYYGLLAQRLCGVHHRAYQAGFEACFSRLYANTHRMGTDELRGTARLYAHLLATDAVSWPRVLAGCVRLTEEDTTSSSRIFIKLLFQELSEQLGVPLLSRRLNDQDPAVRDAIFPADSVRNTRFAVNFFTAIGLAGVTQSARDCLVNN* >Brasy2G307300.1.p pacid=40065718 transcript=Brasy2G307300.1 locus=Brasy2G307300 ID=Brasy2G307300.1.v1.1 annot-version=v1.1 MRKVAAYFGEALARRVFRFRPQPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEENPDEEPEVIAVNSVFEMHRLLSQPGALEKVLGTVRAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGAGSGQSEISPGAAAGATDQVMSEVYLGRQICNVVACEGPERTERHETLGQWRGRLGQAGFETVHLGSNAYKQASTLLALFAGGDGYKVEEKDGCLTLGWHTRPLIATSAWRMAAP* >Brasy2G186600.1.p pacid=40065719 transcript=Brasy2G186600.1 locus=Brasy2G186600 ID=Brasy2G186600.1.v1.1 annot-version=v1.1 METDGRRILVAVDEGDESVHALRWCLANFAAPGDTVVLLYVRPPPPTYSLLDASGYLFAEEATAAIDGYSREVAEAVVQKARKLCALYGRANGEMKVEVKVSVGDARSVICEMADELGAGVLVMGSHGYGLFKRALLGSVSDYCVRNANCPVLIVKS* >Brasy2G215200.1.p pacid=40065720 transcript=Brasy2G215200.1 locus=Brasy2G215200 ID=Brasy2G215200.1.v1.1 annot-version=v1.1 MRGPRDGPHTICQLVAVDGELGASLFAEYERTIGVWVLENYGEDGASWACRHRIDVSFLQDPLPARYLAVAHVSREGDALLLTTEKNRYGLYNLGSGEVVSGRQEIRRDLDASWFLYQESFGFTGPQKAILILLHLF* >Brasy2G019000.1.p pacid=40065721 transcript=Brasy2G019000.1 locus=Brasy2G019000 ID=Brasy2G019000.1.v1.1 annot-version=v1.1 MEGPTAYHNLRGPSPIVWAMRTRVAPPPPLTEPIPKPVYPPPPPPQAPLPPPRRRVRPRLPPPPAAHGQGDLGGLQPHVLTIATGEDIISRVVAISQINAKAICVLSAFGAVKEAILLQPSGAILNHKGPLEIIRLVGSILTPNDLGCLRVTLASVDSSVISGIIAGPLIAATTIQAILGSFQNDAYCPNNAPRAAAACYPNSQVTISNGSPLSSEHSSSGYARCTSVQQNESYEIDVKPSLGVGFT* >Brasy2G371000.1.p pacid=40065722 transcript=Brasy2G371000.1 locus=Brasy2G371000 ID=Brasy2G371000.1.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQMSSFLQAIVALKKGAHLLKCGKRGKPKFCPFKLSSDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVKQLQAHVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G371000.2.p pacid=40065723 transcript=Brasy2G371000.2 locus=Brasy2G371000 ID=Brasy2G371000.2.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQAIVALKKGAHLLKCGKRGKPKFCPFKLSSILCLQDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVKQLQAHVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G371000.3.p pacid=40065724 transcript=Brasy2G371000.3 locus=Brasy2G371000 ID=Brasy2G371000.3.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQAIVALKKGAHLLKCGKRGKPKFCPFKLSSDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVKQLQAHVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G371000.4.p pacid=40065725 transcript=Brasy2G371000.4 locus=Brasy2G371000 ID=Brasy2G371000.4.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQMSSFLQAIVALKKGAHLLKCGKRGKPKFCPFKLSSDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G371000.5.p pacid=40065726 transcript=Brasy2G371000.5 locus=Brasy2G371000 ID=Brasy2G371000.5.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQAIVALKKGAHLLKCGKRGKPKFCPFKLSSILCLQDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G371000.6.p pacid=40065727 transcript=Brasy2G371000.6 locus=Brasy2G371000 ID=Brasy2G371000.6.v1.1 annot-version=v1.1 MAGGLEGRSSATRGVDQAIVALKKGAHLLKCGKRGKPKFCPFKLSSDEKMLIWYSKEREKHLSLSYVSSVALGQKTTKLLRLHWPEKESHSLSVIYKNGQCSLDLICKDRDQAECWYLGLTALLSALYSPLLLVDSTSSRRINSCTNSPPSYIQQKSRLFSVHDTRKFTQVHSLYGSPRLIQNKLSKSNLDCSEPFVSPRQRTWSDLDFYLEKFTPEMINRVKNSFQDLTVADMIKEQGITQMPKLKPSEGSHVAYGTESLKDIFVWGDVLGSVLDDRDTSKVNVSLPRLLNSTQIIDVQNVTCGEKHAAIVTKQGEVFSWGKEDGGRLGHKVSVGVLHPKIIESLAFTHVKAIAFGAKHTCALAISGELFEWGEGTHSLGVWDDQCQRSQWFPHKLFGPLEGVSVVKMACGQWHTAIISSSGQLFTYGDGTFGVLGHGDTRSVARPKEVESLKGLRAKAVACGPWHTAAIVEILGTVKSNAPSGKLFTWGDADRGKLGHSDKESKLVPTCVKALTDFDFAQVSCAKALTVALTITGVVFTIGSKEHGQLGCHRFDDSSICSVEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSSPTLVEALEDKQVDSIACGSNFTMAVCLHRSISGKDQYVCSSCRLSFSFARKKHNCYNCGSMFCNSCSNNKVSRAALGPDRNKRYRVCDACFTQLQKNEECGTVSSRLTIEKEEAFPTEIRAYTPKLSRIFKEANSIMEKMALAQGHNQRNQDLAAPDQLRIQRWGQVECPSQFRCARNSIPCCSTSKEQIVDESVPSKSTQSASNLKAELDSTEKILLEEVTTLTEQCRYRSLQVQLCKQKVEETWLIVRDEAAKCKAAKEIIKVLTNQRNILSRKILVGKQSYSSETVPSHMTIGQPVKAELPDPPDKYPVIGKFRRLSSIRDHHRNGRGTYNGSNIYAEEADATIPPIDSNGVVEQIERGVYVTIVTSPSGNKGIKRIRFSRKHFGEKEAQKWWEANESRVFKKYSTMEKMTE* >Brasy2G440600.1.p pacid=40065728 transcript=Brasy2G440600.1 locus=Brasy2G440600 ID=Brasy2G440600.1.v1.1 annot-version=v1.1 MRTLWGAGDLAFLLRCCLLLLLLLASFSHGADMPTGSSLSPGNQAAPWQSPSSTFSLAFAPSASSPSLFVAAVTYAGGVPVWSAGAGAAVDSGGSLRLSSTGDLQLVNGSGAVLWSSGTGGRGVAAAALQESGNLVLKNSTGGALWQSFEHPTDTVVMSQSFTSSMNLTSDKYTFAVDRATGNLTLRWSSSSSSGGGNAVTYFNKGYNSTFTGNRTLTAPALVMQSNGIVSLTDSSLASPAVVAYSSNYGESGDMLRFVRLDADGNFRAYSAARGSSSATEQWSAVADQCEVFGYCGNMGVCGYNGTSPFCSCPSQNFRLKDAADPRSGCERNVELVNCPGNSTMLELANTQFLTYPPEITTEQFFVGITACRLNCLSGGSCVASTALADGSGLCFLKVSTFVSAYQFASLPSTSFVKVCSPSLPNPPLVAGGGSSGGSSGLRAWVVALVVLGAVSGLVLCEWVLWWVFCRNSPKYGPASAQYALLEYASGAPVQFSYKELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFAGADAPKMPWSTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEQHNAKVSDFGLAKLINPKDHRHRTLTSVRGTRGYLAPEWLANLPITVKSDVYSYGMVLLEIVSGHRNFDISEETERKKFSVWAYEVYEKGNIACIVDKRLAEEDIDMAQVERALQVSFWCIQEQPAQRPTMGKVVQMLEGIMELERPPPPKSSDSFLTVTTTTGSVSGASSSMASTFASSAPVAPMSTPNLEQQMAVGRSASVRDREIASHPLRSSEPYMTM* >Brasy2G242000.1.p pacid=40065729 transcript=Brasy2G242000.1 locus=Brasy2G242000 ID=Brasy2G242000.1.v1.1 annot-version=v1.1 MGSKKNDAPTWAEQWGSGSDEKEAGGSGGNLNGEKKTVTGNVKAAASETYVKAKAASLVGAQKVKSGTSSGIKWVKDQYQKRVSK* >Brasy2G027900.1.p pacid=40065730 transcript=Brasy2G027900.1 locus=Brasy2G027900 ID=Brasy2G027900.1.v1.1 annot-version=v1.1 MGKLAQMIVTLTVLLLYTGLQVAETTGTNVSEYIPIQSLKLVDLNDYAVEFKRCRQCYCSSGGNISLCCYQFRCNQRDDPIGSCHIERLLCTCDYNTCR* >Brasy2G345300.1.p pacid=40065731 transcript=Brasy2G345300.1 locus=Brasy2G345300 ID=Brasy2G345300.1.v1.1 annot-version=v1.1 MPGLDLPPAFHLLRCPCPRCLERVLGRIKGRALHHLLLHAAGDGHPCCAAVHHLTTAPCVLAAWCRPRASCESPKPLASHRCTTQCHRCCSLHARPHLVLCLPAPPLQPARAAKTHTGRTRLTHRKSWGSPHEPPPPDPLPPVALIPCGTRAHLGLSAHGIWWSVVWRLLSMGSTGERGGRKPFIQST* >Brasy2G089000.1.p pacid=40065732 transcript=Brasy2G089000.1 locus=Brasy2G089000 ID=Brasy2G089000.1.v1.1 annot-version=v1.1 MAVGKNKRISKGRKGSKKKIVDPFTKKEWYDIKAPLLFSTRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQADEDQAFRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATSCDLKELVQKFIPEVIGKEIEKATSSIFPLQNVYVRKVKILKAPKFDLGKLMEVHGDYKEDVGMKVDRPADADEAVPGAEEAAAAAE* >Brasy2G292700.1.p pacid=40065733 transcript=Brasy2G292700.1 locus=Brasy2G292700 ID=Brasy2G292700.1.v1.1 annot-version=v1.1 MSPLLLLLVAVAAAATAPLASAASPLHGVANDVLSEYGLPKGLIPDSVTSYTFDNATGDFQIQLAGTCYVWFGDHYVYYERTISGTISYGAISNLSGIQAKKFFIWVYVSGMVAHPEKGTIEFRAGPISEDVPMSLFAAVPVCGNGASAQLRGAAGVIRDLGLLPVAEV* >Brasy2G379500.1.p pacid=40065734 transcript=Brasy2G379500.1 locus=Brasy2G379500 ID=Brasy2G379500.1.v1.1 annot-version=v1.1 MVSALFLLLLLVPPVGLLAALAFLVRPRAARIPIKGRHVFITGGSSGIGLAMATAAAREGAKVSILARNPARLEDARAAIRAATGHDVGVHQADVRDAGAVARALQEAGPVDVLVCNHGVFVAQELEKQDMEEVKWMVDINLMGTFHLIKAALPAMKARTRETRLPASIAIMSSQAGQVGVYGYTAYSASKFALTGLAESLQHEVISDNIHVSLIFPPDTETPGFAEELKKRPEITTIIAGSSGGMKADAVSKKALNGIKSGRFIVPCNFEGAMLAVATSGLTPQSSALMAFVEVIGAGLMRFAALCFQWNWFSTIESWYANKHA* >Brasy2G005700.1.p pacid=40065735 transcript=Brasy2G005700.1 locus=Brasy2G005700 ID=Brasy2G005700.1.v1.1 annot-version=v1.1 MEEVLVSAATGALGPVLGKLATLAGDEYKRLRGIRGEIESLSRELAAMDAFLKRMSEAEEELHPQDKAWMKEVRELSYDAEDSIDDFMARVDARARAGGCMGKIMGLVRRFKDRHRIAKAIEDLKRQAVEVSQRNARYRSGEPVVAASVAAGPKASKIDRRALAIFDDASELVGVDGPKKEVIRLLADDGEPTQQQQQRPLKLVAIFGSGGLGKTTLANRVYQDHLKEGFDCHAFLSVSQNPDIVRVMSKIFSQLTNKEYSASANEDLPQLITNIRDFLTNKRYFIVIDDIWKVQTWNDIKRAFPITNSGSIIITTTRINLVAQSCRSSFSGHIYNMRPLDEVHSRELFYGRLFNSEENCPSHLKGISSQILEKCAGLPLAIIAISGLLADKATRKDKWEQVKDSIGRALRNASDDVMVNIISLSYWDLPRHLKTCLLYLSIFPEDHIIDKENLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAKLDEIFGYEVQSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNNGEVPVGLVISSARSFYVFGRNAKIPSLSESRLLRVLDYEDCEQLEVDHLAGIGNLLHLKYLRFGNTSALSKLPEQLARLPHLEIDIFGPGKLMEIPATIRQLKWLATMYHYSNTTIPVEFTAMQGLEVLYGLSVHAQSTEFLVGLGQLKKLRKLSTVFFKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLVINVLGVADEFFECDYWFPESDPPFPYSLRELAIGYTGIQRVPTWMARLVNLEKLRFQMMESIGEEDLEILGGLPSLRHLIIEQTMWLGKDHAREFEAAIKRAMEAHPNRPTFIINSGWKQYDV* >Brasy2G260300.1.p pacid=40065736 transcript=Brasy2G260300.1 locus=Brasy2G260300 ID=Brasy2G260300.1.v1.1 annot-version=v1.1 MAEAEADGGVVRRRQRGCSGEFFPEASFSSWTAYGRALRSTGPRLADRLTSRSLEATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEAKEVVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGSAAVARAWTSYFATLLNHEPAQFRIHASALSADYSELDPIAVVVITLICAFAVVSTKGSSRFNYALSIVHIAVILFIIVAGLTKADTANMRDFMPHGARGIFAASAVLFFAYIGFDAVSTMAEETKDPARDIPVGLVGSMAVTTALYCVLAVVLCLMQPYGDIDKDAPFSVAFAARGMGWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAEVHPRTGTPVNATVVMLFATAVIAFFTDLAILSNLLSISTLFIFMLVAVALLVRRYYVAGETTDANRNKLVACVAAILASSVATATYWGLNAKGWVPYAVTVPAWIASTVCLWALVPQARTPKVWGAPLVPWLPSASIAINVFLLGSIDAKSFERFGIWTAALLVYYLFVGLHASYDTAKALDAETAARKVEDGDASQMQMAPSFSNGK* >Brasy2G350100.1.p pacid=40065737 transcript=Brasy2G350100.1 locus=Brasy2G350100 ID=Brasy2G350100.1.v1.1 annot-version=v1.1 MGIEFRRCVWNSGELFFKVWTEFGELLLKFLVVQYWLLKFLVVRYWLAWPFYFRYYGVLLLWLGLWIGFHPELVSIHSTRIGLDTYQDSFDSIVYTEIDLICFYSSWIGFPYGEEVK* >Brasy2G209600.1.p pacid=40065738 transcript=Brasy2G209600.1 locus=Brasy2G209600 ID=Brasy2G209600.1.v1.1 annot-version=v1.1 MSGSTGSSAGGSGVWVFKNGVMQLQAEQPAAAPRKALVYVPTSETMSSLELLERRLGAHGWERYYENRDIVQLHRRDGGIDLISLPRDFTLFRSTHMFDVVLKNRHNFKVVDVHNSS* >Brasy2G307000.1.p pacid=40065739 transcript=Brasy2G307000.1 locus=Brasy2G307000 ID=Brasy2G307000.1.v1.1 annot-version=v1.1 MGSYSAGKVLDAATSEVHYPALRLDKLNINGSMSGEEQPTTSGLENGHQEPLIIGVAGGSASGKSTVCKMIIDQLCDQRVVVVTQESFYYGLSDEELVHVNDYNFDHPDAFDTDMLLSCMENLKHGKAVDIPSYNFKTHKSVSCARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIDKGRDILDVLEQYSKFVKTAFEDFILPTKKYADIIIPRGADNNVAIDLIVQHIRTKLGQNDLCKLHPNLYVIPTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVDFSRSLCGISVIRSGESMENALRACCKGIKIGKILIHREGDDGKQLIYHNLPKDIAKRHVLLLDPILGTGNSAVQAISLLLDKGVQEANIIFLNLISAPQGVHVVCKRFPRVKIVTSEIELGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFADDKNRPRLL* >Brasy2G346600.1.p pacid=40065740 transcript=Brasy2G346600.1 locus=Brasy2G346600 ID=Brasy2G346600.1.v1.1 annot-version=v1.1 MAAAAPHVMVLPFPAQGHVTPLMELSHRLVDRGFQVTFVCTGLTHGLLLNALRQTGDGGSGDTVEGIRLVPVPDGMADGEDRRDLCKFLDAVWRRVPGFLEDLIRETEASGAAKVKWLVADVNMWFCFQVAKNLGVRVAGVWPAAAVCLGMSFRIPKMIEDGFIDDKGFPKRQGTYEVAPKMPPIYASHMPWSIDGPPDEQQAVFKLATGYVQSFSLAEITVCNSFLDAETTAFELFPDIVPIGPLFADQELRKPVGQFWPEDASSLEWLDTHPDSSVVYVAFGSLAIFDPRQFRELAEGLELAGRPFLWVVRPDFTSGGLSKAWFDEFQSRVAGNGMIVSWCPQQQVLAHSSVACFVSHCGWNSTTEGVRNGVPILCWPYFADQFSNRSYICDIWMTGLAVTPGEDGVVTKEEVRSKLEQVIGHEGIAERARVLRDAARRSIIEGGSSYENFKKFIDLLME* >Brasy2G107900.1.p pacid=40065741 transcript=Brasy2G107900.1 locus=Brasy2G107900 ID=Brasy2G107900.1.v1.1 annot-version=v1.1 MSSSSDPSLATEAPHAAVTAERKLNPDLQEQLPKPYLARAMAAVDPSHPQGTRGRDTRGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGLGYASSVGTAMLLHLVLTYPTQPGWIPSPVLSIHIKNMHKGKHGSDSETYDTEGRFDPSKFDAIFSKFGQTRPNALTEDEINTMLKHNRNMYDFLGWSAAILEWKTLYKVAKDKEGLLQREVVRGAFDGSLFERLQDSKKSS* >Brasy2G107900.3.p pacid=40065742 transcript=Brasy2G107900.3 locus=Brasy2G107900 ID=Brasy2G107900.3.v1.1 annot-version=v1.1 MSSSSDPSLATEAPHAAVTAERKLNPDLQEQLPKPYLARAMAAVDPSHPQGTRGRDTRGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGLGYASSVGTAMLLHLVLTYPTQPGWIPSPVLSIHIKNMHKGKHGSDSETYDTEGRFDPSKFDAIFSKFGQTRPNALTEDEINTMLKHNRNMYDFLGWSAAILEWKTLYKVAKDKEGLLQREVVRGAFDGSLFERLQDSKKSS* >Brasy2G107900.2.p pacid=40065743 transcript=Brasy2G107900.2 locus=Brasy2G107900 ID=Brasy2G107900.2.v1.1 annot-version=v1.1 MQFDLASCNADLARAMAAVDPSHPQGTRGRDTRGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGLGYASSVGTAMLLHLVLTYPTQPGWIPSPVLSIHIKNMHKGKHGSDSETYDTEGRFDPSKFDAIFSKFGQTRPNALTEDEINTMLKHNRNMYDFLGWSAAILEWKTLYKVAKDKEGLLQREVVRGAFDGSLFERLQDSKKSS* >Brasy2G107900.4.p pacid=40065744 transcript=Brasy2G107900.4 locus=Brasy2G107900 ID=Brasy2G107900.4.v1.1 annot-version=v1.1 MQFDLASCNADLARAMAAVDPSHPQGTRGRDTRGMSVLQQHAAFFDRNGDGVIYPWETFQGLRAIGLGYASSVGTAMLLHLVLTYPTQPGWIPSPVLSIHIKNMHKGKHGSDSETYDTEGRFDPSKFDAIFSKFGQTRPNALTEDEINTMLKHNRNMYDFLGWSAAILEWKTLYKVAKDKEGLLQREVVRGAFDGSLFERLQDSKKSS* >Brasy2G186200.1.p pacid=40065745 transcript=Brasy2G186200.1 locus=Brasy2G186200 ID=Brasy2G186200.1.v1.1 annot-version=v1.1 MAGRGEAKSSVSPVEEAYVEKKFGGIVPKKPLISKDHERAYFDSADWVLGKQATNSSSSRPAVESLKPKLKRTPHHQLPPRKPTCASG* >Brasy2G419000.1.p pacid=40065746 transcript=Brasy2G419000.1 locus=Brasy2G419000 ID=Brasy2G419000.1.v1.1 annot-version=v1.1 MPPPSASNAHHSPHAKLLLLRRRRPIDDLSTTAAAIFLLLLLLLLLVAVQAAAAVEEFVYPGFLAAAQNVTTTGGAVVTASGLLQLTNFTKEEFGHGFHGTPIRFRDAATGLLVSFSTTFVFAISPQYPDAHGHGLAFAFAPSPAVPGAVTGKYLGLYNTSNSLGAVDSGVVAVELDTAMDHEFDDVDDNHVGIDVDVLRSVNASPAAFWRSNDGGGGGEFVNFSLADGAARQVWIEYDGATALLEVTVAPAGEPRPAVPLVSCNVNVSSSLVSNGGGTYVGFSASNGAASSSHYVLGWSFRLGGGPAPELDLSALPKLPKQPRPYKAPPPLAVALLVVLAVVVALVLAVALAVVVRRRRRRFADAEEEEWESEYGPHRISYRDLHAATDGFRHVIGAGGFGQVYRGVLPASSGSTEIAVKKVYPQGPGHGGSSRQGLREFVSEIASMSRLRHRNLVRLLGYCRRRGGDGELLLVYDYMPNGSLDRHLFVPSSGNSNRRHPLSWEQRAKIIHGVASGLLYLHEGWEQVVVHRDVKSSNVLLDGAMNAKLSDFGLARLYDRGGGGGEQRTTSLVGTLGYIAPEMSKTGRATAATDVFAFGAFLLEVACGRPPTAAGARDDDDDNYPPGLVEQVAGRWKAGEIKAARDPRMAVAGEREEEEVETVLKLGVLCSHPDPRRRPGMRRVVQVLEGAAPAPETMPEDLGAGVFGYGGEAFDEFVDTGFTASSEATTATITRPSSSRSTEEKRQLITAD* >Brasy2G299800.1.p pacid=40065747 transcript=Brasy2G299800.1 locus=Brasy2G299800 ID=Brasy2G299800.1.v1.1 annot-version=v1.1 MGALYLLPSTPPPSCSGCRGLAGFSLPRGLAATTPLPSPSATSRARLRLAGGRQRAGVARGGGKEGSKNGGAEFFREDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLSGAVAVACSWVLIHSALITGGVSFVICAWWYIFLYSYPKAYTEMISERRKKVSSGAEDTYGMEKM* >Brasy2G299800.2.p pacid=40065748 transcript=Brasy2G299800.2 locus=Brasy2G299800 ID=Brasy2G299800.2.v1.1 annot-version=v1.1 MGALYLLPSTPPPSCSGCRGLAGFSLPRGLAATTPLPSPSATSRARLRLAGGRQRAGVARGGGKEGSKNGGAEFFREDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLSGAVAVACSWVLIHSALITGGVSFVICAWRTPR* >Brasy2G002000.1.p pacid=40065749 transcript=Brasy2G002000.1 locus=Brasy2G002000 ID=Brasy2G002000.1.v1.1 annot-version=v1.1 MAASLLSRAASAAFALRGHVLLPKETLLPLLVILILTAPGTLPAGRVGWAARAAEGVGFGSSCRAALPTPLLFGIAARCNATSSAVSEAAAAGASSSGIHSVPRTEPVVSAEWLHANLRDPDVKVLEASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRASNLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRAYGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAASEAIEKVYQGHSVGPSTFEAKLQPHLLWNLDQVKDNIDAQTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCIPFPQVLDSSQKLLPPDELRKRFEQEGISLDQPLVTSCGTGVTACILALGLHRLGKTDVAVYDGSWTEWGAHPDTPVATAV* >Brasy2G264500.1.p pacid=40065750 transcript=Brasy2G264500.1 locus=Brasy2G264500 ID=Brasy2G264500.1.v1.1 annot-version=v1.1 MPRIAQSLLGILMSASRLCVHLSVFMGWRFSLIWNPALIIGGRILGFRSHSLLILGILFNVRVLRPLLQLCCGVVPACGADLCVAWNCIAYMMHIDVNFPHGV* >Brasy2G385000.1.p pacid=40065751 transcript=Brasy2G385000.1 locus=Brasy2G385000 ID=Brasy2G385000.1.v1.1 annot-version=v1.1 MASKHMLVAVVALVAAAFLPGLAVASEHVVGDDKGWTLQFNYTAWSESRQFVVGDTLLFKYGSSAHNVVEVGGADFMACTKPPTANTWSTGEDRVTLDKAGRRWFICDIGEHCEKGGMKFKITVNEAGAPSPNGPPSPSSPAGKVHARFGVAAAVTALAAAALVL* >Brasy2G165800.1.p pacid=40065752 transcript=Brasy2G165800.1 locus=Brasy2G165800 ID=Brasy2G165800.1.v1.1 annot-version=v1.1 MPPSSLSFAPTLRIASFSCCKFPNLSAQSLNFPHLKQLSMYEVTISEDALHNMLSGCPALESLLLQYMSIGHLHISSPGLRSIGFSAPYKRENEASVFQELVIEDAPCLERLLPLYPDSGPTTIRVIRAPKLKVLGLLSKGISKLHIGNTVFQEMTAISVMSTMRTMKVLVLDSIGPNLDAVIDFLKCFPCLERLYVILHLQKGMKNVRKYDSQDPIECLELHLQKVVLKNYIGNRPDVDFAKKFVLNAKVLEEMEFGAFTNCNDKWKSNQHRRLQLDTRASRDARFEFKRGSWSSFTHNKNTHDLSMADPFEKVLS* >Brasy2G213200.1.p pacid=40065753 transcript=Brasy2G213200.1 locus=Brasy2G213200 ID=Brasy2G213200.1.v1.1 annot-version=v1.1 MATVRPPLHIAPPRPAVPPVAAPPLPPYAWRRTAADVDRGRKESVAESWCCPTEAVVADTAAIDDSDDDDGCGSCVDGTSQGSAGRQELDEEASDVAWWRSQQETSSRCFLWPPNTTVPRSYGHAGCECSDDDDDGDSDPGVAAARRQEEDRKFWEACLASGYP* >Brasy2G347600.1.p pacid=40065754 transcript=Brasy2G347600.1 locus=Brasy2G347600 ID=Brasy2G347600.1.v1.1 annot-version=v1.1 MPSLSFLRSLSESRRLLVQVRDGVKRTLGSCSAGQDGDEESQAGRGAASSSLPAAAMAGPPGECYACTQPGVPAFHSTTCDQVHSPDWDADAGSSLVPVQSQQAHPAAAAGAGAVAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSIGRAGRPCMYMDAGLAAAVTALRTCADLGHLAHVLVQFRLAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQIIFWLVIPKLIREEEVKVIMTILLLIFVLQFLPKVYHSIHIMRKMQKVTGYIFGTVWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQAECKKNNNCNLMSLACSKEMCFHFPWSSDMSGLACDTNLTSFGQQNVPTCLSGNGAYAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDELILDNICDRLRPLVFCSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCVLGAGSFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRYITEHFRYKFANDKLKRTARYYSSNWRTWAAVNVQLAWRRYRARTMATAVLPPAGTGPEDGDRRLRHYAAMFMSLRPHDHLE* >Brasy2G393000.1.p pacid=40065755 transcript=Brasy2G393000.1 locus=Brasy2G393000 ID=Brasy2G393000.1.v1.1 annot-version=v1.1 MPMGKYAEMLDMAARVAVRCYTHCPQTARVYYKPPHTTTIAAGGNGGSPSGGAAATSSASCGARDKAGAEASSKTQQQPQQAAAIRAVFDATEIILNGGV* >Brasy2G363400.1.p pacid=40065756 transcript=Brasy2G363400.1 locus=Brasy2G363400 ID=Brasy2G363400.1.v1.1 annot-version=v1.1 MGFVLVISLPFIFFTVLLGFGCYFFGKHRGREEMRTGVGAQIYGTPLPPPGATPGHPFPMKNEGPDGV* >Brasy2G317900.1.p pacid=40065757 transcript=Brasy2G317900.1 locus=Brasy2G317900 ID=Brasy2G317900.1.v1.1 annot-version=v1.1 MAEVVRAEGEATLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGTECCERLAYYGISTNLVTYLTKKLHDGNASAARNVTTWQGTCYLTPLIGAILADAYWGRYWTISTFSAIYFIGMSVLTLSASVPALMPPSCEGSICPPANPLQYTAFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNLGWGLGFGIPTVFMGLAIISFFSGTSIYRFQKPGGSPITRVCQVVTATVRKWNVHVPEDSSLLYELSDGVSAIEGSRQLEHTDEFRCLDKAATISDFDVKTDSFTNPWRVCTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDTSLGSFKIPPASLSTFDVVSVIIWVPIYDSILVPIARRFTGNARGFTELQRMGIGLVISILAMSAAAVLEIKRLAIARDEHLVDQNVPVPLSIFWQIPQYFLVGAAEVFTFVGALEFFYDQSPDAMRSLCSALQLLTTALGNYLSAFILTMVAYFTTRGGNPGWIPDNLNEGHLDYFFWLLAGLSFLNFLVYVLCANKFRSKKAA* >Brasy2G125900.1.p pacid=40065758 transcript=Brasy2G125900.1 locus=Brasy2G125900 ID=Brasy2G125900.1.v1.1 annot-version=v1.1 MIERETKQQILASIPPHWQENPVLFLTSPSGKYAAYFMRSQTVPGAGGLGADFCYVEVLDTTEPGAEGRSVWESECLAVSTVNTCALVFSWKGLEVFDGSNSVWHTHDTESDDNNFLETLQLVDEGDMRVLDMGGELAWKASDEPRAAQHCGLPGSPGLAPAMPPFAEPIGQGSGNLPFGQEQGGGDGNGYNGAAQPELPLAPLPEAAGIGGAAAQGQVVGETIGFGSQPLVDNSPYDSGAPKHRSSLLGIGLAWGLSVAIASLGL* >Brasy2G155600.1.p pacid=40065759 transcript=Brasy2G155600.1 locus=Brasy2G155600 ID=Brasy2G155600.1.v1.1 annot-version=v1.1 MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVTEKETGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADDALFAMVLPGFWMDIGQPRDYITGLRLYLGSLRKKAPARLASGTHVLGNVLVHETATVGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKEHACISGSIVGWHSTVGKWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM* >Brasy2G116700.1.p pacid=40065760 transcript=Brasy2G116700.1 locus=Brasy2G116700 ID=Brasy2G116700.1.v1.1 annot-version=v1.1 MTLGRPDESWMRSNRDGPGKIKNRGVAVVDAIGGGVTRAASAGVAGDGGCGRRRDWGAGAVGGGGRARSGALKKSVADFRALGRRGKRMGITEFINPNDIGGKAVSEVVKEMTGGGADYCFECIGSTAVTAEAFRSSRMGWGKTVVLGVANGAAAPISIPSHEILRGRSVVGSLFGGLKPKTDIPVLAHKYLDKELELDEFVTHEMGFDDINAAFELLTQGKCLRCIIWMDDKGEKENGNGRVKAKPKQNGTIV* >Brasy2G421100.1.p pacid=40065761 transcript=Brasy2G421100.1 locus=Brasy2G421100 ID=Brasy2G421100.1.v1.1 annot-version=v1.1 MSAGDSAAVSAVEGKLAEVSTNSDLKSLPKRGKAASGRTLNTAQIQLVARHPEVYEPCDDSFALVDALLFDKAQLLALQPSLCLEVGCGSGYVVTSLAIMLRQLGSGTHYLATDINQHAVETTQATLEAHGIHADVIATDIVSGLEKRLAGMVDVVVVNPPYVPTPEEEIGIKGIASSWAGGLNGRQVIDRILPAVRELLSERGCMYMIALEDNDPLGICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDPTAGSSASPSVKSPGSESSWISQLPFRSFWQKNNSSS* >Brasy2G421100.2.p pacid=40065762 transcript=Brasy2G421100.2 locus=Brasy2G421100 ID=Brasy2G421100.2.v1.1 annot-version=v1.1 MSAGDSAAVSAVEGKLAEVSTNSDLKSLPKRGKAASGRTLNTAQIQLVARHPEVYEPCDDSFALVDALLFDKAQLLALQPSLCLEVGCGSGYVVTSLAIMLRQLGSGTHYLATDINQHAVETTQATLEAHGIHADVIATDIVSGLEKRLAGMVDVVVVNPPYVPTPEEEIGIKGIASSWAGGLNGRQVIDRILPAVRELLSERGCMYMIALEDNDPLGICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDPTAGSSASPSVKSPGSESSWISQLPFRSFWQKNNSSS* >Brasy2G309200.1.p pacid=40065763 transcript=Brasy2G309200.1 locus=Brasy2G309200 ID=Brasy2G309200.1.v1.1 annot-version=v1.1 MPPKSDSVEGIVLNFVNEQNRPLNSQNAADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANSKLQEELTDQKKLISEVESEIKGLQSNLTLAEIKSKEAKLQSEVQEMEEKLNKLQSGVILVKPEDKKMIEEAFSEKANQWRKRKRMFKELWDNIAENNPKDQKEFKEELGLEYDEDVDVNFQSYSEMLANLSKRRKVSR* >Brasy2G265400.1.p pacid=40065764 transcript=Brasy2G265400.1 locus=Brasy2G265400 ID=Brasy2G265400.1.v1.1 annot-version=v1.1 MGSPRCITVLVLLLILLREEGEAATFTFVNRCGDTVWPGILSNAGTARLGTTGFELPPGAARAVPAPSGWSGRLWARTGCSAQSGSGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGTGLGLDFYDVSLVDGYNLPVLVEPSSSGEQRGGGSGGTTSAPGSCAVAGCAADLNAMCPGELRSSGGGSCRSACEAFGRPEYCCSGAFASPSACRPTAYSQVFKMACPRSYSYAFDDPTSTFTCAGGPDYTITFCPGASPSQKSTTLPGTTPTVAPGATTPVATFTPTTTMPAPTTTMPGTATPTTTMPGTTFTDAIPDSTPMPMSGEVGGQGVFLSESGDGSSDSWLANMATGDVTAAAGSLAAASLYLVAAAPLVALLVLPR* >Brasy2G054400.1.p pacid=40065765 transcript=Brasy2G054400.1 locus=Brasy2G054400 ID=Brasy2G054400.1.v1.1 annot-version=v1.1 MLHHLIIYAPPTSIPNFCLECQAWLFSNSILTLSKGRHREPDWPLL* >Brasy2G152900.1.p pacid=40065766 transcript=Brasy2G152900.1 locus=Brasy2G152900 ID=Brasy2G152900.1.v1.1 annot-version=v1.1 MSYSRGSRQSSYSRYRSRSRSVDSSDVDNPENNLFVTGLSSRLTDRDLEKHFSAEGEVTFLQKQLESRGFGFVTMATLKEADRCIKYLDPSVLEGRVITVEKAKRRRGRNPTPGRYLGTKSSRGRRYSLSRSPVGRDRYRGSYSRHDRRRSYSPYERRVSYSPYGRRRSYSPSDRSVSPYDRRRSYSPHYRRRSYSPYDKHRIN* >Brasy2G191900.1.p pacid=40065767 transcript=Brasy2G191900.1 locus=Brasy2G191900 ID=Brasy2G191900.1.v1.1 annot-version=v1.1 MAARDQAAKAEKPAAKRGCAEVCGGNGSDPPPPVPAPLTHGCCVATTSGRELHGSTLVHNDEDNFGSR* >Brasy2G101400.1.p pacid=40065768 transcript=Brasy2G101400.1 locus=Brasy2G101400 ID=Brasy2G101400.1.v1.1 annot-version=v1.1 MSQFHHTQHGGDSDFQMWQQQQMYKQLQEFQRQQHVQQLDHGARMQTSFGQFQAPAKAVPADQLPAISNETPNNESAPYAWSHNFASGDPRLSSNPQMLNAGSNTNWEQYGGAPGTGNFINGSVFPNTQSQPMRLMGLGTHQMNHSFYPIPATSRGESGNQYPQFLGIPADLQNAMTRAGAHQSEKASRPFSSLMDESGSQEKGASSSMQNFRGKGGFLSNSPLQSQGASTKAGSPVPVNHLRHGFQLQDFHGRPNQVDFQMGMQEKSTMQVGPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNDHGNSLDNNNFGGALPSLHSGSWSALMQETLQSTTSNNNPKEEWSGLGVHKTEQAIANNSTLPARDQSKLVALSSTNLHNAQPSSASSYADGSMNNPDFASFQHATRTPYEQRDKAPHESSRATDHQSAAEVNNGYIQQSMKQKQSEEYGRQDQVHLSNGNWAHQKSEMPRNNSHSTGAPSSAHGFWMSQQNAVDHNINQESSNSQNDWKINSPLGQDISSNQNVFNSDGNFWKLSGGNANLAHRLQQMKPDVQIPKDSSDGKGVSMMGSSMPAINPNQHQMVIGRTGEHVGINHNIARRGSETSESLRRSAEPRPNDGSQEYQTAIHTERQGSILNHGQHVSSDLAARRHSFFAGKESQALGQSSQQTTGPYMLQNHAMDNTGVNIRHSPGNPVSNNQFPPQSLQAQNNMKPRFITNSQVAGNMASVNEKMLAGDEHFKSRHGVPNSSSASPYGGSDAGLSQNRAVQNSQHMLQLLHKVDNSTNSNAAADVPSSSLDNVGTSQQQFSQSSLQGFGLRLAPPSQRQSTSDNLWSSQGNVDGKQPEHSARGDHQLPSAASQYLSPALPSSQPTPFHSSEMGTAGQPAGHFPQLTPGQQYPVPDARSGCVPMPQQGSSATVFKNVWTNISAQRLAGIQSNKITPNILQSMMFSNNASDSNLWGSKKVDDQGQKASTPSDAATSSANSHSQETKQAVDSDAGLTSSEIANFDSTSATVSRGNQPLQKHSSDGNFAIPASSVAQLRQQGIMNPRQGENPAANFQVMNTHSAGTNGSGIGLHGSPIHSNIAQQNFSLLHQMQALGHVDIDPNNTTGKMLKQTEAGSDASHIDWKSAQRFAHGANNSVKSSIDNINGASVQGSFPSDMKMLSFAPRNNEERSTSIPSQIPSRELPSHGMVVRNDHQSQVQSLGTNAASNLIERSERPGINPQMAPSWFNAQNRSVFNAQKTLTPPHHVPKASWCMENNSPEHRADSGQSVRPSVPSSMKAAMVRRPKKRKYTEYALVSWHKISEGTQKLRKMSTNEMDWAWAANRLIKKAEDDLESLEDVPVHYLPRKRLIMTTRLIQEVFPAIPATVLRAQAVSAYESATFNIAMVTLRDSCIISSYNSRALADNENNPSEQRTSAKQMEDKFSKVVEVFAGRIRKMENDYLSLNMRASMLDMHLECQDLERISIVNRLGRFHGRNHAAGVEASSVSQMVPRRIFPDRHVMSFAVPGNLPEGVFCLSL* >Brasy2G101400.2.p pacid=40065769 transcript=Brasy2G101400.2 locus=Brasy2G101400 ID=Brasy2G101400.2.v1.1 annot-version=v1.1 MSQFHHTQHGGDSDFQMWQQQQMYKQLQEFQRQQHVQQLDHGARMQTSFGQFQAPAKAVPADQLPAISNETPNNESAPYAWSHNFASGDPRLSSNPQMLNAGSNTNWEQYGGAPGTGNFINGSVFPNTQSQPMRLMGLGTHQMNHSFYPIPATSRGESGNQYPQFLGIPADLQNAMTRAGAHQSEKASRPFSSLMDESGSQEKGASSSMQNFRGKGGFLSNSPLQSQGASTKAGSPVPVNHLRHGFQLQDFHGRPNQVDFQMGMQEKSTMQVGPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNDHGNSLDNNNFGGALPSLHSGSWSALMQETLQSTTSNNNPKEEWSGLGVHKTEQAIANNSTLPARDQSKLVALSSTNLHNAQPSSASSYADGSMNNPDFASFQHATRTPYEQRDKAPHESSRATDHQSAAEVNNGYIQQSMKQKQSEEYGRQDQVHLSNGNWAHQKSEMPRNNSHSTGAPSSAHGFWMSQQNAVDHNINQESSNSQNDWKINSPLGQDISSNQNVFNSDGNFWKLSGGNANLAHRLQQMKPDVQIPKDSSDGKGVSMMGSSMPAINPNQHQMVIGRTGEHVGINHNIARRGSETSESLRRSAEPRPNDGSQEYQTAIHTERQGSILNHGQHVSSDLAARRHSFFAGKESQALGQSSQQTTGPYMLQNHAMDNTGVNIRHSPGNPVSNNQFPPQSLQAQNNMKPRFITNSQVAGNMASVNEKMLAGDEHFKSRHGVPNSSSASPYGGSDAGLSQNRAVQNSQHMLQLLHKVDNSTNSNAAADVPSSSLDNVGTSQQQFSQSSLQGFGLRLAPPSQRQSTSDNLWSSQGNVDGKQPEHSARGDHQLPSAASQYLSPALPSSQPTPFHSSEMGTAGQPAGHFPQLTPGQQYPVPDARSGCVPMPQQGSSATVFKNVWTNISAQRLAGIQSNKITPNILQSMMFSNNASDSNLWGSKKVDDQGQKASTPSDAATSSANSHSQETKQAVDSDAGLTSSEIANFDSTSATVSRGNQPLQKHSSDGNFAIPASSVAQLRQQGIMNPRQGENPAANFQVMNTHSAGTNGSGIGLHGSPIHSNIAQQNFSLLHQMQALGHVDIDPNNTTGKMLKQTEAGSDASHIDWKSAQRFAHGANNSVKSSIDNINGASVQGSFPSDMKMLSFAPRNNEERSTSIPSQIPSRELPSHGMVVRNDHQSQVQSLGTNAASNLIERSERPGINPQMAPSWFNAQNRSVFNAQKTLTPPHHVPKASWCMENNSPEHRADSGQSVRPSVPSSMKAAMVRRPKKRKYTEYALVSWHKISEGTQKLRKMSTNEMDWAWAANRLIKKAEDDLESLEDVPVHYLPRKRLIMTTRLIQEVFPAIPATVLRAQAVSAYESATFNIAMVTLRDSCIISSYNSRALADNENNPSEQRTSAKQMEDKFSKVVEVFAGRIRKMENDYLSLNMRASMLDMHLECQDLERISIVNRLGRFHGRNHAAGVEASSVSQMVPRRIFPDRHVMSFAVPGNLPEGVFCLSL* >Brasy2G101400.3.p pacid=40065770 transcript=Brasy2G101400.3 locus=Brasy2G101400 ID=Brasy2G101400.3.v1.1 annot-version=v1.1 MSQFHHTQHGGDSDFQMWQQQQMYKQLQEFQRQQHVQQLDHGARMQTSFGQFQAPAKAVPADQLPAISNETPNNESAPYAWSHNFASGDPRLSSNPQMLNAGSNTNWEQYGGAPGTGNFINGSVFPNTQSQPMRLMGLGTHQMNHSFYPIPATSRGESGNQYPQFLGIPADLQNAMTRAGAHQSEKASRPFSSLMDESGSQEKGASSSMQNFRGKGGFLSNSPLQSQGASTKAGSPVPVNHLRHGFQLQDFHGRPNQVDFQMGMQEKSTMQVGPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNDHGNSLDNNNFGGALPSLHSGSWSALMQETLQSTTSNNNPKEEWSGLGVHKTEQAIANNSTLPARDQSKLVALSSTNLHNAQPSSASSYADGSMNNPDFASFQHATRTPYEQRDKAPHESSRATDHQSAAEVNNGYIQQSMKQKQSEEYGRQDQVHLSNGNWAHQKSEMPRNNSHSTGAPSSAHGFWMSQQNAVDHNINQESSNSQNDWKINSPLGQDISSNQNVFNSDGNFWKLSGGNANLAHRLQQMKPDVQIPKDSSDGKGVSMMGSSMPAINPNQHQMVIGRTGEHVGINHNIARRGSETSESLRRSAEPRPNDGSQEYQTAIHTERQGSILNHGQHVSSDLAARRHSFFAGKESQALGQSSQQTTGPYMLQNHAMDNTGVNIRHSPGNPVSNNQFPPQSLQAQNNMKPRFITNSQVAGNMASVNEKMLAGDEHFKSRHGVPNSSSASPYGGSDAGLSQNRAVQNSQHMLQLLHKVDNSTNSNAAADVPSSSLDNVGTSQQQFSQSSLQGFGLRLAPPSQRQSTSDNLWSSQGNVDGKQPEHSARGDHQLPSAASQYLSPALPSSQPTPFHSSEMGTAGQPAGHFPQLTPGQQYPVPDARSGCVPMPQQGSSATVFKNVWTNISAQRLAGIQSNKITPNILQSMMFSNNASDSNLWGSKKVDDQGQKASTPSDAATSSANSHSQETKQAVDSDAGLTSSEIANFDSTSATVSRGNQPLQKHSSDGNFAIPASSVAQLRQQGIMNPRQGENPAANFQVMNTHSAGTNGSGIGLHGSPIHSNIAQQNFSLLHQMQALGHVDIDPNNTTGKMLKQTEAGSDASHIDWKSAQRFAHGANNSVKSSIDNINGASVQGSFPSDMKMLSFAPRNNEERSTSIPSQIPSRELPSHGMVVRNDHQSQVQSLGTNAASNLIERSERPGINPQMAPSWFNAQNRSVFNAQKTLTPPHHVPKASWCMENNSPEHRADSGQSVRPSVPSSMKAAMVRRPKKRKYTEYALVSWHKISEGTQKLRKMSTNEMDWAWAANRLIKKAEDDLESLEDVPVHYLPRKRLIMTTRLIQEVFPAIPATVLRAQAVSAYESATFNIAMVTLRDSCIISSYNSRALADNENNPSEQRTSAKQMEDKFSKVVEVFAGRIRKMENDYLSLNMRASMLDMHLECQDLERISIVNRLGRFHGRNHAAGVEASSVSQMVPRRIFPDRHVMSFAVPGNLPEGVFCLSL* >Brasy2G101400.4.p pacid=40065771 transcript=Brasy2G101400.4 locus=Brasy2G101400 ID=Brasy2G101400.4.v1.1 annot-version=v1.1 MSQFHHTQHGGDSDFQMWQQQQMYKQLQEFQRQQHVQQLDHGARMQTSFGQFQAPAKAVPADQLPAISNETPNNESAPYAWSHNFASGDPRLSSNPQMLNAGSNTNWEQYGGAPGTGNFINGSVFPNTQSQPMRLMGLGTHQMNHSFYPIPATSRGESGNQYPQFLGIPADLQNAMTRAGAHQSEKASRPFSSLMDESGSQEKGASSSMQNFRGKGGFLSNSPLQSQGASTKAGSPVPVNHLRHGFQLQDFHGRPNQVDFQMGMQEKSTMQVGPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNDHGNSLDNNNFGGALPSLHSGSWSALMQETLQSTTSNNNPKEEWSGLGVHKTEQAIANNSTLPARDQSKLVALSSTNLHNAQPSSASSYADGSMNNPDFASFQHATRTPYEQRDKAPHESSRATDHQSAAEVNNGYIQQSMKQKQSEEYGRQDQVHLSNGNWAHQKSEMPRNNSHSTGAPSSAHGFWMSQQNAVDHNINQESSNSQNDWKINSPLGQDISSNQNVFNSDGNFWKLSGGNANLAHRLQQMKPDVQIPKDSSDGKGVSMMGSSMPAINPNQHQMVIGRTGEHVGINHNIARRGSETSESLRRSAEPRPNDGSQEYQTAIHTERQGSILNHGQHVSSDLAARRHSFFAGKESQALGQSSQQTTGPYMLQNHAMDNTGVNIRHSPGNPVSNNQFPPQSLQAQNNMKPRFITNSQVAGNMASVNEKMLAGDEHFKSRHGVPNSSSASPYGGSDAGLSQNRAVQNSQHMLQLLHKVDNSTNSNAAADVPSSSLDNVGTSQQQFSQSSLQGFGLRLAPPSQRQSTSDNLWSSQGNVDGKQPEHSARGDHQLPSAASQYLSPALPSSQPTPFHSSEMGTAGQPAGHFPQLTPGQQYPVPDARSGCVPMPQQGSSATVFKNVWTNISAQRLAGIQSNKITPNILQSMMFSNNASDSNLWGSKKVDDQGQKASTPSDAATSSANSHSQETKQAVDSDAGLTSSEIANFDSTSATVSRGNQPLQKHSSDGNFAIPASSVAQLRQQGIMNPRQGENPAANFQVMNTHSAGTNGSGIGLHGSPIHSNIAQQNFSLLHQMQALGHVDIDPNNTTGKMLKQTEAGSDASHIDWKSAQRFAHGANNSVKSSIDNINGASVQGSFPSDMKMLSFAPRNNEERSTSIPSQIPSRELPSHGMVVRNDHQSQVQSLGTNAASNLIERSERPGINPQMAPSWFNAQNRSVFNAQKTLTPPHHVPKASWCMENNSPEHRADSGQSVRPSVPSSMKAAMVRRPKKRKYTEYALVSWHKISEGTQKLRKMSTNEMDWAWAANRLIKKAEDDLESLEDVPVHYLPRKRLIMTTRLIQEVFPAIPATVLRAQAVSAYESATFNIAMVTLRDSCIISSYNSRALADNENNPSEQRTSAKQMEDKFSKVVEVFAGRIRKMENDYLSLNMRASMLDMHLECQDLERISIVNRLGRFHGRNHAAGVEASSVSQMVPRRIFPDRHVMSFAVPGNLPEGVFCLSL* >Brasy2G043700.1.p pacid=40065772 transcript=Brasy2G043700.1 locus=Brasy2G043700 ID=Brasy2G043700.1.v1.1 annot-version=v1.1 MGAEATQISAFAAAAAHALCFAGLAAAHSFAGRGALVSDAALALRLLVVCEAPIVIVVFSLLRRDPGRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPVGIKYWTATTYWSLLMSLFTFVPAACVFGASKVDWQNVLSYSTYCTSSNVVDCMISVPSHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGAVAGHLIGMLVSLILIVAHKRRVRVKAD* >Brasy2G256200.1.p pacid=40065773 transcript=Brasy2G256200.1 locus=Brasy2G256200 ID=Brasy2G256200.1.v1.1 annot-version=v1.1 MSEETGDPVTWLPDTPIECWWMDKIDEAKRSLDKFKARGAASLFLLSLWSIWRERNNRIFNAKHSPAEGILMVIKNESALWRLINSKGIGALLSGPDDVP* >Brasy2G365800.1.p pacid=40065774 transcript=Brasy2G365800.1 locus=Brasy2G365800 ID=Brasy2G365800.1.v1.1 annot-version=v1.1 MLHWHWQLVALCALSLLATVATAAFVIWRYEGPRDAGGDVRLPGTVFDDEALVCFVLFSVLAVVRTFLLVTIYFGLATAMSVYGCRKFDPKTVDGQEDREVAGFWGYLLQIIYQWMVMPHAFNAVFLLGDAALNSMCFPWFWVAYFFLWTASYVTFQWIVHAATAIHWYILAGLMQIPCYMLFMFAMNLKRRAWSKFFPAAFVTSGADGQMSV* >Brasy2G091700.1.p pacid=40065775 transcript=Brasy2G091700.1 locus=Brasy2G091700 ID=Brasy2G091700.1.v1.1 annot-version=v1.1 MGRGKTPMGLIGDRRKRAAALASRKKGLMKMASELSTLCNVPVAVVLGCPFDGGAHHHRPPPPEVWESEQGVVDRYSALPAEKREEHAHLRYLEGNLGKENAKLARVRLRGPAALAQPDAELNGMSLDELRALLESLDVALLATAERKKALGLPEHDDGYAVGVAGGAPCTGGGYYEMEEPDDGRLDHQAAIWEDANAMNMMQPGSYYGVPNMNPCNAVVPAGLYTAPGYLGMGNNGDAMNYVDCNDVMQYCSSNDFQWSGISTSQNSSNIDDLHYLRRRVPAILDVVDGRRNELMFATPPLLVPEQIFPADKPRGHGLKLLRCEWFAFARKRRPGDRVSSEKIRQCRQPSSPAWRRSSNFTSLKNIWQFSSYACLDVY* >Brasy2G186900.1.p pacid=40065776 transcript=Brasy2G186900.1 locus=Brasy2G186900 ID=Brasy2G186900.1.v1.1 annot-version=v1.1 MASRLLTRSTAAVLLSHLRSRAPNPTHHILPHGTAMSPLLGPTHGLPATARSCPLRAPARWFSSPAPVVEAPRTADGMTVDSIADKGWTILAEAESDWRSHAAAVAQSIKLIKKRLKWKWLLERSKQLAVVLERPDLWEDPVFAGKVSREQGELMGKIKSVNQFEQELMEHIDMLRLAREEGDNELETESMRALADMRKDAKEKELNALLSGDNDSYPCFIEVQAGAGGIESMDWAAMVMNMYRSWAQRRGYAVTVVEEMPGEVAGIKRATIKVDGEYAFGYAKCEIGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDASSRYQINDSDLRIERFRSGGPGGQHANTTESAVRIVHIPTGTTATCQNERSQHMNKASAMAVLQSRLDQLEITRQAQMNAEHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILNFLSSSLDKDDGNV* >Brasy2G201900.1.p pacid=40065777 transcript=Brasy2G201900.1 locus=Brasy2G201900 ID=Brasy2G201900.1.v1.1 annot-version=v1.1 MAPVEAGVEQPRKAHRVAKSGAKARKKKGKGAAGDDDGGERKNPKAFAFRSATKAKRLQSRSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDQFKDVKKLRKTKQRLKHRFWSEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPVPLSWRMAHPYLLADRFEDVTSPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFTLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSNTDENGASKKQGKGNDVGVALVKTLQNTKYSLDEKLEQSFINLFGRRPADQSEDSERISNVTSLKHNDQGDTNVLDQVGGSNIGNEDTLDSGLSYSECSSDSEVENDDGIQPSDHGVDLREEVEFCNGRLRRKAVSTNFQDDDDDDEGSDEDDSGNEDSGDDHVSEGSLSSDGSEEAPDSDDETENTSKWKKSLLARTLSRRNASLMQLVYGQPSTALDTDRVEEDSSDEEIFIPKGQKKQAKNELPSFDDVDAEDYSKFFKAELNDWSDEDLARSIRDRFVTGDWSKASLRGREIDENGEGDEEIDGDFEDLETGEVHKSQAAENGSGKLGVQDGLKVEELRLKKLALRAKFDSEYDGSELSGEEVDEDRKKSKRDQSDGGGYFDKLKEEIELRKQMNISELNDLDEDTRVEIEGFRTGTYVRLEVHGVPFELVEHFDPCHPILVGGIGLGEENTGYMQVSLKRHRWHRKVLKTKDPIVVSIGWRRFQTTPIYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQSLSSNKVPFRITATGWIQEFNNTARIMKKIKLTGAPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDALRRKGESTEGIARCTFEDKILMSDIVFMRAWVNVEVPTYCNLVTTSLQPRDQMWQGMRTTAELRRAYNIPIPHNKDSDYKSIERRVRKFNPLAIPKTLQSSLPFTTKPKDRPKSKKQPNSIPELMDLDDRKKHAAIQQLMLLKHEKTRKAKIKDAQKKKIFEANKAKTEQQTKKRHREERRERYREEDKKKKRARR* >Brasy2G185400.1.p pacid=40065778 transcript=Brasy2G185400.1 locus=Brasy2G185400 ID=Brasy2G185400.1.v1.1 annot-version=v1.1 MPTCQLTNALASRLSRRAPAPAPAHTTRRKRGKAQLTGSRLPIYAALLCCPTHLITSSPKPPNKPPRQRQKRKRKSRAALQQSTRRTAATQASQTFCPDFQRANTMPSMMMTPNKALQRKPSIRGRAWHLLRLAVLWARRGSAVQSLRLLKTLRRTRGLGRRDDRLRYGEREFSIDETPAFRFRTPSARVLRFIPCIAPAVPDTPIGGFYGDDDRYFFRGGARDTEDCCCYEDGEPSECGDVESLEDDGRADDDEQLLQRAMMDASSRSSFGAGVAEGGGDAGVDVKADEFIARFYAQMKLQRQISWLQYNEMMERSVC* >Brasy2G229300.1.p pacid=40065779 transcript=Brasy2G229300.1 locus=Brasy2G229300 ID=Brasy2G229300.1.v1.1 annot-version=v1.1 MRPRESEDNEMGNENGISVCWVELMASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEMAVAAIAHAFVFGVEPYHHIPALDHRDIISEKSKMEVKVDVNDGGNRTPSTVEQKETHVKTPGTSIKESVQDVVLGGGHHVVKDVALTISQAIEPMEKGVEKGVGKIQETFHHVSLKPGDNKKTGVEVEEHVTKNVLGGEPVAVDAAVEVERKMQDNSKADESLVADAEVEIERIEEDHRR* >Brasy2G229300.8.p pacid=40065780 transcript=Brasy2G229300.8 locus=Brasy2G229300 ID=Brasy2G229300.8.v1.1 annot-version=v1.1 MRPRESEDNEMGNENGISVCWVELMASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEMAVAAIAHAFVFGVEPYHHIPALDHRDIISEKSKMEVKVDVNDGGNRTPSTVEQKETHVKTPGTSIKESVQDVVLGGGHHVVKDVALTISQAIEPMEKGVEKGVGKIQETFHHVSLKPGDNKKTGVEVEEHVTKNVLGGEPVAVDAAVEVERKMQDNSKADESLVADAEVEIERIEEDHRR* >Brasy2G229300.6.p pacid=40065781 transcript=Brasy2G229300.6 locus=Brasy2G229300 ID=Brasy2G229300.6.v1.1 annot-version=v1.1 MRPRESEDNEMGNENGISVCWVELMASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEMAVAAIAHAFVFGVEPYHHIPALDHRDIISEKSKMEVKVDVNDGGNRTPSTVEQKETHVKTPGTSIKESVQDVVLGGGHHVVKDVALTISQAIEPMEKGVEKGVGKIQETFHHVSLKPGDNKKTGVEVEEHVTKNVLGGEPVAVDAAVEVERKMQDNSKADESLVADAEVEIERIEEDHRR* >Brasy2G229300.2.p pacid=40065782 transcript=Brasy2G229300.2 locus=Brasy2G229300 ID=Brasy2G229300.2.v1.1 annot-version=v1.1 MASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEMAVAAIAHAFVFGVEPYHHIPALDHRDIISEKSKMEVKVDVNDGGNRTPSTVEQKETHVKTPGTSIKESVQDVVLGGGHHVVKDVALTISQAIEPMEKGVEKGVGKIQETFHHVSLKPGDNKKTGVEVEEHVTKNVLGGEPVAVDAAVEVERKMQDNSKADESLVADAEVEIERIEEDHRR* >Brasy2G229300.7.p pacid=40065783 transcript=Brasy2G229300.7 locus=Brasy2G229300 ID=Brasy2G229300.7.v1.1 annot-version=v1.1 MASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEMAVAAIAHAFVFGVEPYHHIPALDHRDIISEKSKMEVKVDVNDGGNRTPSTVEQKETHVKTPGTSIKESVQDVVLGGGHHVVKDVALTISQAIEPMEKGVEKGVGKIQETFHHVSLKPGDNKKTGVEVEEHVTKNVLGGEPVAVDAAVEVERKMQDNSKADESLVADAEVEIERIEEDHRR* >Brasy2G229300.3.p pacid=40065784 transcript=Brasy2G229300.3 locus=Brasy2G229300 ID=Brasy2G229300.3.v1.1 annot-version=v1.1 MRPRESEDNEMGNENGISVCWVELMASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEVNRWLSQPLHMHLSSAWSHTITSQRWIIEISSVRKVKWR* >Brasy2G229300.4.p pacid=40065785 transcript=Brasy2G229300.4 locus=Brasy2G229300 ID=Brasy2G229300.4.v1.1 annot-version=v1.1 MASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDKVQNAIQDFLICIEVNRWLSQPLHMHLSSAWSHTITSQRWIIEISSVRKVKWR* >Brasy2G229300.5.p pacid=40065786 transcript=Brasy2G229300.5 locus=Brasy2G229300 ID=Brasy2G229300.5.v1.1 annot-version=v1.1 MASNEYSSFQGFYRNLHTPAVLIGAAFVLVALLISLWLILQHLRSYSNPSEQKWIIVVLFMVPVYASESIISLWHSEFSLACDILRNCYEAYALYAFGRYLVACLGGERQVVGLLENRRMEELREQLLESEEKAKYHNQSRARNFFWHPNALGERLYTIIKFGLVQYIILKTFCAFLAFIMELFGAYGDGEFKWHYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGFGIAIICHIGFLPKEDK* >Brasy2G159800.1.p pacid=40065787 transcript=Brasy2G159800.1 locus=Brasy2G159800 ID=Brasy2G159800.1.v1.1 annot-version=v1.1 MDQEETVERLSEKSDPNPSSPAPGPGASAIRWQLFLNPPYAPEPIAAPHPATRSTFLWSTPQVYTTTPAAQTPASGSGISVGRETDLWDPPWPTRGSDTTSRSGSHNPNLSLPFCPQIPLSAAALHIASSPPPPRDPGRRSTTRWRRPERLRPSTDAMDEEAKPNTSEEDSTKAAAVEAASSLPPAGSGSAEGEEDAGNLVEKLAELVDEVAVISDFRNAYRRQFCNMSRRIRLLAPMLEEAKEGPRPLPKASVAALRQLSDALTGARELLRLGSNGSKIFLVLERDKIMQTFQDITSRLEQALAGISFDELGISDEVREQVELVHAQFKRAKERPDTSDDILFNDLIAVYNSSTNANVDPDTLRRLSEKLQLVTISDLNQESLTLHEMASGGDPGAVVENMSMLLKKIEDFMQTEDPAIGIPAQGANLSPNDNSTSPVVPDDFRCPISLDLMKDPVIVSTGQTYERVCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGIEPPKRPAQLSNAQPLCTASEHSKVLELLQKLSSQNLVDQHGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLPTTDVSTQEHVVTALLNLSIYEENKARIVTSGAIPGIVHVLKRGSMEARENSAATLFSLSLVDENKVTIGASGAIPALVLLLGNGSQRGKKDAATSLFNLCIYQGNKGKAVRAGLVPILLELLTETECGMLDEALAILAILSSHPEGKAAISAAAAIPILVGVIRNGSSRNKENAAAVLVHLCNGEQQQQHLAEAQEQGVVTLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQGDVMALAHSQAQTPSLASQTLVQAQADTQLAESLLPASSHLPER* >Brasy2G159800.2.p pacid=40065788 transcript=Brasy2G159800.2 locus=Brasy2G159800 ID=Brasy2G159800.2.v1.1 annot-version=v1.1 MDQEETVERLSEKSDPNPSSPAPGPGASAIRWQLFLNPPYAPEPIAAPHPATRSTFLWSTPQVYTTTPAAQTPASGSGISVGRETDLWDPPWPTRGSDTTSRSGSHNPNLSLPFCPQIPLSAAALHIASSPPPPRDPGRRSTTRWRRPERLRPSTDAMDEEAKPNTSEEDSTKAAAVEAASSLPPAGSGSAEGEEDAGNLVEKLAELVDEVAVISDFRNAYRRQFCNMSRRIRLLAPMLEEAKEGPRPLPKASVAALRQLSDALTGARELLRLGSNGSKIFLVLERDKIMQTFQDITSRLEQALAGISFDELGISDEVREQVELVHAQFKRAKERPDTSDDILFNDLIAVYNSSTNANVDPDTLRRLSEKLQLVTISDLNQESLTLHEMASGGDPGAVVENMSMLLKKIEDFMQTEDPAIGIPAQGANLSPNDNSTSPVVPDDFRCPISLDLMKDPVIVSTGQTYERVCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGIEPPKRPAQLSNAQPLCTASEHSKVLELLQKLSSQNLVDQHGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLPTTDVSTQEHVVTALLNLSIYEENKARIVTSGAIPGIVHVLKRGSMEARENSAATLFSLSLVDENKGNKGKAVRAGLVPILLELLTETECGMLDEALAILAILSSHPEGKAAISAAAAIPILVGVIRNGSSRNKENAAAVLVHLCNGEQQQQHLAEAQEQGVVTLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQGDVMALAHSQAQTPSLASQTLVQAQADTQLAESLLPASSHLPER* >Brasy2G012200.1.p pacid=40065789 transcript=Brasy2G012200.1 locus=Brasy2G012200 ID=Brasy2G012200.1.v1.1 annot-version=v1.1 MADASALASTVLAAATPPAAAAAATSVLDYLARHAADNPRAFFADAFPSILYRLFVSSPPSAPSFLDLAAAAQPDQTLSNLLLALLSPSGPLLAAAASADRLALIRFAFPSERLPHWLRAALSDHDDSPDLLALSPLLAARVGHELHLSVFEYFLFWFAYYPVSSADASAPASASNPALKSRSRLESWVSTLAPTAIGTRKPGHKPEPSLYLKLLYAYLKEFVPTACSPLMRRRLGGTLLQRAASEEGHTPDASGPFARAEFFLHTLVQFWLVGDDFSPLPVQTCRAFGLRLPSRARAELSAHLPPPGLGDAVKLLVMYLNCCDGGPQTDARTVFEGSAVRNLISDSQVGFWNPLIQRPMYRFVLRAFLFCPIGAVIKNATQVFSVWLAYMEPWKVTQEDLDEYDVSLVQGKGTQQAGEGKESQKRDALCYTPSWKNYVLSNYLFYSSMVVHFLGFAHKFIHSDVSSVLLMILKVLEVLSSSAELVDLLYKVDIAYHSRLATSPSCSSDDVLKYVPSIREQLKDWEDGLTETDADGSLLHEHWNSDLRLFSCGEEGAYHLLQLLLIRAELEIQRLPGDTLQALQSLDLIKSCMKKVFQGRIEGIHHNTSPEELQHQHQGRGEVFTPKRPYSGKSKFSEVKYRGDWMKRPISETEVAWLARILIRVSDRLNDALRLGCDDTDDSAAHPTYIKFDRNELTTIGGPKDAAKMALVAVCSLLALVGQALLKFMRAHSVKINLRVFASKKLLAGLVVLYAVVAGTRNAFA* >Brasy2G254500.1.p pacid=40065790 transcript=Brasy2G254500.1 locus=Brasy2G254500 ID=Brasy2G254500.1.v1.1 annot-version=v1.1 MERSHHLLVLGLLAALLPAAAATFGTTQPEPGASCEPTLLATQVSLFCTPDMPTAQCCEPVVASVDLGGGVPCLCRVAGEPQVVMAGLNATRLLTLYTALPLSLSLSLSLSSSSRRRRQHLAAPRRKQPADAPPPPPSTEKPSPPSQQDNGATAHTKATSHYDTTRCESDASPPPPPPPALSPPPPPPLCRGKRTIRLVRWWTEKL* >Brasy2G160900.1.p pacid=40065791 transcript=Brasy2G160900.1 locus=Brasy2G160900 ID=Brasy2G160900.1.v1.1 annot-version=v1.1 MGRKAGGLYINPKKFGGIVKPCMVEMAAFLNCLALNKQSDDKCMRQKELLVTCSQAQKGRPKNAAKTINYHLQRLGRDKFH* >Brasy2G154900.1.p pacid=40065792 transcript=Brasy2G154900.1 locus=Brasy2G154900 ID=Brasy2G154900.1.v1.1 annot-version=v1.1 MLVTQAISCGVLSLCFLILFSAERRTSFMKWLCAFLKGTKPGEPNRRQPRVTAGEESTLWQQEPLRPKREDSPRHDNEELDRQIALSLAEDAKHPKERNHNKGENDEDLAKAIQDSLNMNPYMPHHPYAPSQALPRAHRVCGGCKHEVGHGHYLSCMGMYWHPQCFRCSSCGHPIRETEFTLLGAEPYHKLCYKELHHPKCDVCLHFIATNRTGLIEYRAHPFWGQKYCPSHELDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECRGPHHMPETRGLCLSEEQTVSSILRSRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKPEVEEGICQVMSYLWLEAEILPAATRHAHPSSSYASSSSSSSHYRPPSSKKGGISHTEKKLGEFFMHQIANDTSAAYGDGFRTAYKAVNQYGLRQTLNHIRLTGGFPL* >Brasy2G154900.2.p pacid=40065793 transcript=Brasy2G154900.2 locus=Brasy2G154900 ID=Brasy2G154900.2.v1.1 annot-version=v1.1 MAYPSRSSDRCSHERRTSFMKWLCAFLKGTKPGEPNRRQPRVTAGEESTLWQQEPLRPKREDSPRHDNEELDRQIALSLAEDAKHPKERNHNKGENDEDLAKAIQDSLNMNPYMPHHPYAPSQALPRAHRVCGGCKHEVGHGHYLSCMGMYWHPQCFRCSSCGHPIRETEFTLLGAEPYHKLCYKELHHPKCDVCLHFIATNRTGLIEYRAHPFWGQKYCPSHELDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECRGPHHMPETRGLCLSEEQTVSSILRSRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLKPEVEEGICQVMSYLWLEAEILPAATRHAHPSSSYASSSSSSSHYRPPSSKKGGISHTEKKLGEFFMHQIANDTSAAYGDGFRTAYKAVNQYGLRQTLNHIRLTGGFPL* >Brasy2G136800.1.p pacid=40065794 transcript=Brasy2G136800.1 locus=Brasy2G136800 ID=Brasy2G136800.1.v1.1 annot-version=v1.1 MAGNNVVWQPQVVEEMLRYYKEKIQAEGRQLVFKETHHEECAKQINAKFSTNFTHRQVYHKFHKLKDKHATGEFTVLQTPFENTCAEDNDFIGDKSATNGEADPDTHYDSDCLPEDSNNEGSSSKRATGGKRDKGKRVRRDDVVEDMTRSLRGMSETMRFTHATHPNENLFKIIDDMEEYPLLMRLALQTALATNGDAAAMLKGKPMPSIQEYVRQWMQQNSSSI* >Brasy2G302600.1.p pacid=40065795 transcript=Brasy2G302600.1 locus=Brasy2G302600 ID=Brasy2G302600.1.v1.1 annot-version=v1.1 MELIRILIFIVSLLPFAVSDRQGDALYDMKLKLNATGTQLTDWNQNQVNPCTWNSVICDSSNNVVQVTLASMGFTGVLSPRIGDLEHLNVLSLPGNKITGGIPEQLGNLSSLTSLDLEDNLLVGEIPASLGHLSKLQLLILSQNSLNGSIPDTLATISSLTDIRLAYNNLSGPIPAPLFEVARYNFSGNNLTCGANFANACVSSSSYQDASRGSKIGIVLGSVGGVIGLLIIGALFIICNGRKKNHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGESAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWTARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLEVVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLDAIVDRNLSSNFDRQEVEMMMQIALLCTQGSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVSRRQDYERMQQRFDWGEDSIYNQDAIELSSGR* >Brasy2G388600.1.p pacid=40065796 transcript=Brasy2G388600.1 locus=Brasy2G388600 ID=Brasy2G388600.1.v1.1 annot-version=v1.1 MGVPAFYRWLADRYPQTVSDAAEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPSPTTYDQVFKSIFDYIDHLFCLVRPRKLVYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRLDFEAEGRTLVQKQKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGPAQADNVVELPPIHKKKYQFLNIWVLREYLEKDLEIIDPPFKINFERIVDDFVFICFFVGNDFLPHMPTLEIREGALNLLMTIYRSEFKPMGGYLTDGGEVLLERVEHFIQSVAVHEEQIFRKRARIQQAYENNEERRKGQRENSEDVNPYVDMVKLGEPGYRERYYAEKFREEAESKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKGLTEFEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPNSPLKYFYPKDFEIDMNGKRFAWQGVAKLPFIDERRLLAETQKVEDSLTEEERFRNRTMFDIIYVRETHPLAAQLAFLYQMCTQPSTTDPSYLIPIDPAASGGMNGFLCLSQRNWYSISVGSPVKGFNGITHNRVLNSTYLNPQYHKHIPEPPEGVIIPPKILKPYDFKPFPVLWHEDNSRRQTRDRPQVHGALSGSVLGEAAHRLVKNSLQIKSGSSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVDNPYLAHMSRSVPNPRSQFFGEAQANRPNVRILERPNTRSHDGGINSGMSKLAIQDGPRMHQNNRTQNSGYFPNQPHPNNYAGFPPQRPMQNTNFTPQRPVQNAVFPQQRPVQNPGFPPQRPVQNAAFLHQQPVNGVPPPLPPSTWIGKQMSGGQMGKPVKQDPRATTHRQPKQDNPRSQHENRQQAAKAVYRVKSQAPNGLPE* >Brasy2G388600.2.p pacid=40065797 transcript=Brasy2G388600.2 locus=Brasy2G388600 ID=Brasy2G388600.2.v1.1 annot-version=v1.1 MGVPAFYRWLADRYPQTVSDAAEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPSPTTYDQVFKSIFDYIDHLFCLVRPRKLVYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRLDFEAEGRTLVQKQKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGPAQADNVVELPPIHKKKYQFLNIWVLREYLEKDLEIIDPPFKINFERIVDDFVFICFFVGNDFLPHMPTLEIREGALNLLMTIYRSEFKPMGGYLTDGGEVLLERVEHFIQSVAVHEEQIFRKRARIQQAYENNEERRKGQRENSEDVNPYVDMVKLGEPGYRERYYAEKFREEAESKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKGLTEFEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPNSPLKYFYPKDFEIDMNGKRFAWQGVAKLPFIDERRLLAETQKVEDSLTEEERFRNRTMFDIIYVRETHPLAAQLAFLYQMCTQPSTTDPSYLIPIDPAASGGMNGFLCLSQRNWYSISVGSPVKGFNGITHNRVLNSTYLNPQYHKHIPEPPEGVIIPPKASGAWSSVRFCLGRGCTSSSEKFPADQIGQLCWSARHAIQGCTLWPWKQTSACWTFGI* >Brasy2G333200.1.p pacid=40065798 transcript=Brasy2G333200.1 locus=Brasy2G333200 ID=Brasy2G333200.1.v1.1 annot-version=v1.1 MVEGHQSGGSGGRDSYSWHHLMRIPEPDAVPWKRSRCISNFSDDGMNANVSTAEDDDQNSHKDTSKTSPSVTNNNLNTNQLAAKIIRLRMKGKHDEADKLSGEIMESVLDDEDTSVDDPRHEKGSSIRHTKPIAADRRKVEKDADLHAADLIVRSKHYNMYRSVEDENDLGDAPRRNSKVAHEKKSATHRHILTQRSLFVLSREPIQAKASGCNREIRLPDAATV* >Brasy2G324200.1.p pacid=40065799 transcript=Brasy2G324200.1 locus=Brasy2G324200 ID=Brasy2G324200.1.v1.1 annot-version=v1.1 MRMQRLLSSPLPRRRLCTDAASDNSLASSAELAYRLLRRHSSDPQRLVSALSASGLDATSPRLLDAVLRRCGAASSLALDYFNWCSPSLPSPPLPSSLALLAKSFSRGSAAPCPSLLAPLPSSLLSSSILSPVLRRLPPPRILPFALSLLSSRPDHDHPSLFLSLLESLSKTGHVAAAERLVEELQPRLPLSIRHYTALLYGWCRLGKLDEAKHVLARMKAAGVAPDVVVFNTLLAGFVAADRFEDAFELAREMERRDCLPNAVSYTTLMQGLGSRGRVDEVMRVFVEMRRKGCAPDSVTYGTLVSAFCKAGRLSQGYEFLDAMSRDALRVDPGVYLGFFVAHEKKEQLEECLELMERMRECRCPPDLGIYNVVLRLSCKLGETKQAMALWNEMENSGLSPGVDTFAIMVNGLVGQGSLVDACSFFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDIWGCIVSRGCELNVGAWTIWIHALYAKKHVKEACSYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRMMAEERHVSFKMYKRRGVKDLEVKPKSKRKGGHKRSRGRQPVQGQSSGHADLSDIVDDDEFLG* >Brasy2G314600.1.p pacid=40065800 transcript=Brasy2G314600.1 locus=Brasy2G314600 ID=Brasy2G314600.1.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHVCSQNARIMHGLLSEKKTTLAKIATYDINCFSSNLFVFSDYRFWWMLMNCCAKCG* >Brasy2G314600.6.p pacid=40065801 transcript=Brasy2G314600.6 locus=Brasy2G314600 ID=Brasy2G314600.6.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHVCSQNARIMHGLLSEKKTTLAKIATYDINCFSSNLFVFSDYRFWWMLMNCCAKCG* >Brasy2G314600.4.p pacid=40065802 transcript=Brasy2G314600.4 locus=Brasy2G314600 ID=Brasy2G314600.4.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHVCSQNARIMHGLLSEKKTTLAKIATYDINCFSSNLFVFSDYRFWW* >Brasy2G314600.5.p pacid=40065803 transcript=Brasy2G314600.5 locus=Brasy2G314600 ID=Brasy2G314600.5.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHVCSQNARIMHGLLSEKKTTLAKIATYDINCFSSNLFVFSDYRFWW* >Brasy2G314600.3.p pacid=40065804 transcript=Brasy2G314600.3 locus=Brasy2G314600 ID=Brasy2G314600.3.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHDVDELLCKMWIGEYQSQVTQEL* >Brasy2G314600.2.p pacid=40065805 transcript=Brasy2G314600.2 locus=Brasy2G314600 ID=Brasy2G314600.2.v1.1 annot-version=v1.1 MLPIHCRFSYVVGRSPLFPPAVALPVLEAGGGFLLGRCRRAAVVGGRRVSSRAVPATGCIPFPSQCCCAAGEVSDWRLVLRHLLADGVSPLMNLESWRAAVRPLFLVLSAMGARIAKWKRKLEDESWSGSAINTCSYVHRRSFACFTHDVDELLCKMWIGEYQSQVTQEL* >Brasy2G493500.1.p pacid=40065806 transcript=Brasy2G493500.1 locus=Brasy2G493500 ID=Brasy2G493500.1.v1.1 annot-version=v1.1 MSFGVVGMEEERGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATVSAILRRDGIRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAALRFGVSEPAAFAVASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSTTTRYSGGADAFRKILLADGVRGLYRGFGLSIIAYAPSNAVWWASYAMAQRFVWRVVGTDRSESYPALMAVQGASAAVAGGAAALVTMPLDTVKTRLQVMETDAAVARPTLASTMRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSFD* >Brasy2G313500.1.p pacid=40065807 transcript=Brasy2G313500.1 locus=Brasy2G313500 ID=Brasy2G313500.1.v1.1 annot-version=v1.1 MASAKERRLPQLHLKLDVPTCAFRCAAPAPAPATAATPSTSASRPPHGEFRLNDFDRLSVLGRGNGGSVYKVSHRRTSALYALKIIHGAHAHPGAADEEADVSRRVVDSPNVVRCHSVLPTASGDAAALLLELVDGGSLDALIGDGGGSVPEVAVAEVAAQALSGLAHLRARRVAHRDIKPANLLVSGAGEVKIADFGIAKVVVSGAGGRPRALAYEGTAAYMSPERFDSERHADADPYAADVWGLGVTILELLMGRYPLLPVGQKPTWPALMCAICFGELPALPEGAASPELRGFVAACLRKDHRKRASVADLLAHPFVAGRDVAASRRALREAIERRGSC* >Brasy2G180900.1.p pacid=40065808 transcript=Brasy2G180900.1 locus=Brasy2G180900 ID=Brasy2G180900.1.v1.1 annot-version=v1.1 MAASMSISDGQAVGPAAVPTKKKKKIAATTRKEKKDGAKEKPKGKRKKAKILQPLDSAAMATRSKKLLFTSPSKSTRSIQMLSLVMSKCTVSCEHVILLLGLVSVNQCMLNHYVELQSLGTACQTWCIWAISMHYVFRLNFFF* >Brasy2G293100.1.p pacid=40065809 transcript=Brasy2G293100.1 locus=Brasy2G293100 ID=Brasy2G293100.1.v1.1 annot-version=v1.1 MGYSQTLVGGLFITVLLLAPAVLATDPDPLQDFCVADLDGKAVSVNGHTCKPMSAAGEDFLFSSKLAKAGNTTNPNGSAVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEIGLVTQGELLVGIIGSLDSGNKLYSRVLRAGETFVIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTAVLSKALRVDGGIVELLKSKFASGS* >Brasy2G429000.1.p pacid=40065810 transcript=Brasy2G429000.1 locus=Brasy2G429000 ID=Brasy2G429000.1.v1.1 annot-version=v1.1 MAAAAEIRHREVEANGITTHVAEAGPVSSAGPAVLFVHGFPELWYSWRHQMSHLAARGYRCVAPDLRGYGGTTAPPDPSSYTVFHIVGDLVALLDALRLPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRQRSVKPVEYFRRAYGDDYYVCKFQEPGIEAEFASLELKRFFKMAITVQTTGSSAMSLGKMQASNKQITLPSWLSEEDVSYLASVYAKTGFAGGINYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVKSYIHKGGLKRDVPVLEEVVVIKGAGHFIQQERAQEISDHIYEYIKKFNTDPSMPPRLSKL* >Brasy2G356400.1.p pacid=40065811 transcript=Brasy2G356400.1 locus=Brasy2G356400 ID=Brasy2G356400.1.v1.1 annot-version=v1.1 MAAEGGGGRGGGARGEGFEERVKRLLGRVSATSRPLPSRSLPGPSPPATSGHLLPRGSRRRERGLLAGRISARCAARRDGDCGWLPRRGNRRLVLDGSCSLRRPRSIGKADHRALCWRVV* >Brasy2G221000.1.p pacid=40065812 transcript=Brasy2G221000.1 locus=Brasy2G221000 ID=Brasy2G221000.1.v1.1 annot-version=v1.1 MNQEILMTSRQPSQNREQQRQEEDPQSHGTRAQEHAPHDHQPQHGQQTTVQQGGGQRKITLIPLVFLIYFEVAGGPYGSEKAVRAAGPLFTLLGFLIFPFAWGVPESLVTAELAAAFPGNGGFVLWADHAFGPLAGSLLGTWKYLSIVINIAAYPALVADYLGGSVAPAVADPGRARTGAVIGMTLFLSFLNYAGLSIVGWGAVTLGVVSLAPFVLMTAMAVPKVRPRRWALQVKGGKDWRMFFNTLFWNLNYWDSASTMAGEVDRPERTFPRAVAVAVVLIAVSYLLPLMAGTGATDAPPDAWVNGYLADAAGIIGGPWLKYWTGAGAVLSSVGMFEAQMSSGAFQLLGMADLGLLPAIFSRRAARTGTPWVAIAASTAVTIAVSFLGFDDVVATANFLYSLGTLLEFAAFLWLRARHPALKRPYRVPLPLPALAAMCAVPSAFLAYVCVVAGWRVFAVAGGLTALGVGWHGVMRVCRAKKLLRFNNSTVVAADLQEDAGDTV* >Brasy2G368900.1.p pacid=40065813 transcript=Brasy2G368900.1 locus=Brasy2G368900 ID=Brasy2G368900.1.v1.1 annot-version=v1.1 MEDGASAGGGEMVTGGQGPGAPGRRGGGAKRGRRERESGGAMVALGQQQGGGSASASRICRVRANGGKDRHSKVVTVKGVRDRRVRLAVPTAIQFYDLQDRLGFDQPSKAVDWLLDAASHAIRKLPPLDPAALSFPADAQQTTKGKQQQGSSSTTSGSDLSLSRSDAARGDKQHKGAVTVAAAAGSAQAASSFTELLNGNAIAAAAAEHIQSWHRQQQQQQLSAADCVGFAAHPGNNDNSRGAAQEAAYAGLRFGNAPPLGMAPARPLVPLRPVEFTTTTNSAGAITPFSFVQDVLAAASAPAPATAGDYSLDFSMSSGFMGGGGNSRGTLQSNSKSQYFSNQLQLQLHELDGPSPPFLYDPAAALAAHPSSENRHLTGTPPLQLWNGFRMEKKSQN* >Brasy2G190500.1.p pacid=40065814 transcript=Brasy2G190500.1 locus=Brasy2G190500 ID=Brasy2G190500.1.v1.1 annot-version=v1.1 MFLRRILSGGGGLAALRSARAVKETTGIVGLDVVPQARDVLIGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRVGCGQVEELIEEAEDELKLIAKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDSPPQVKA* >Brasy2G341000.1.p pacid=40065815 transcript=Brasy2G341000.1 locus=Brasy2G341000 ID=Brasy2G341000.1.v1.1 annot-version=v1.1 MKVSPTRMMSRRSPAFACLLAIVVTASTSLVARADQSYVKYKDPKQQIQERVADLVGRMTLEEKIGQMSQIERANASSSVIQKYFVGSVLSGGGSPPSEKASAATWQQMITKMQKAALKTRLGIPIIYGIDAVHGHNNAYNATIFPHNIGLGATRDPNLVKRIGRATALEARATGIPYTFAPCVAVCRDPRWGRCYESFSEDTRLVQLMTASVVPGLQGDVSSRHPKGIPYVAGSKNVAGCAKHFVGDGGTKHGINENNTVLSFHDLMRIHMPPYDDAVIKGISSVMISYSSWNGKKMHENKFLITDILKEKMHFRGFVITDWQAVDKITNPPHQHYYHSIQETLHAGIDMVMIPYDYPEFVADVTAQVKRGSIKMDRINDAVSRILRVKFTMGLFEDPFPDPRLAGQLGSKEHRQLAREAVRKSLVLLKNGKKGEKPFLPLSKKAKKILVAGSHAHDLGLQCGGWTKSWQGQSGNNITGQGTTILEAIKSAVDNSTVIDYSEHPDKGSITKSDGDYDYAVVVVGEPPYAETAGDNQNLTIPSPGPEVIKEVCSLVKCVVVLVSGRPLVVEPYIDSMHAFVAAWLPGTEGHGVADVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKHYDPLFPFGYGLTTKASGKS* >Brasy2G491400.1.p pacid=40065816 transcript=Brasy2G491400.1 locus=Brasy2G491400 ID=Brasy2G491400.1.v1.1 annot-version=v1.1 MDAGRRADDHVLRGGRSMDGEKPAADGRKNQPTDRSGSQIDQQTLLLCRQVAVWRRPIGRAKCSTGVLRGRGRPKGAAAEAARRPRDAAAEDRVGRQPGRGGGGAAHPVDVVEEVVRRIRWMVVEEAARQSRSRTGERMRLERTMRKKIQLFWWVPSEVTSRPPTIV* >Brasy2G048700.1.p pacid=40065817 transcript=Brasy2G048700.1 locus=Brasy2G048700 ID=Brasy2G048700.1.v1.1 annot-version=v1.1 MLSPLANLAAPAAVLLLLLFLAGTASAANFTCAAQGSTCQSAIGYVPPNATTYGELLARFNTSTLADLLGANNRPATTPSTARVPAKTTVRIPFRCLCAAAGNGTGASVVGRSDRVPIYTVQPNDGLYAIAHDVFDAFVTFQEIADANNIPDPDKIGVGQKVWIPLPCSCDQVLGSDVMHYAHIVAAGETTSGMAATFGVLEPTLLSLNKIADPNNLLQGQILDVPLPVCASSISSTSADHNLRLPNGTYALTAQDCIRCSCSSNTYQLTCTSTQGKGCPAVPPCNGTLKLGQTNGTGCGSTTCAYSGYSNSSSLSIQTTLVSNQTTACQNSGSQRSEFSGSMWRMVAISFHMVLILICFL* >Brasy2G263300.1.p pacid=40065818 transcript=Brasy2G263300.1 locus=Brasy2G263300 ID=Brasy2G263300.1.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.2.p pacid=40065819 transcript=Brasy2G263300.2 locus=Brasy2G263300 ID=Brasy2G263300.2.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.3.p pacid=40065820 transcript=Brasy2G263300.3 locus=Brasy2G263300 ID=Brasy2G263300.3.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.4.p pacid=40065821 transcript=Brasy2G263300.4 locus=Brasy2G263300 ID=Brasy2G263300.4.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.5.p pacid=40065822 transcript=Brasy2G263300.5 locus=Brasy2G263300 ID=Brasy2G263300.5.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.6.p pacid=40065823 transcript=Brasy2G263300.6 locus=Brasy2G263300 ID=Brasy2G263300.6.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.7.p pacid=40065824 transcript=Brasy2G263300.7 locus=Brasy2G263300 ID=Brasy2G263300.7.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.8.p pacid=40065825 transcript=Brasy2G263300.8 locus=Brasy2G263300 ID=Brasy2G263300.8.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.9.p pacid=40065826 transcript=Brasy2G263300.9 locus=Brasy2G263300 ID=Brasy2G263300.9.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.10.p pacid=40065827 transcript=Brasy2G263300.10 locus=Brasy2G263300 ID=Brasy2G263300.10.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.11.p pacid=40065828 transcript=Brasy2G263300.11 locus=Brasy2G263300 ID=Brasy2G263300.11.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.12.p pacid=40065829 transcript=Brasy2G263300.12 locus=Brasy2G263300 ID=Brasy2G263300.12.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.13.p pacid=40065830 transcript=Brasy2G263300.13 locus=Brasy2G263300 ID=Brasy2G263300.13.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.14.p pacid=40065831 transcript=Brasy2G263300.14 locus=Brasy2G263300 ID=Brasy2G263300.14.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.15.p pacid=40065832 transcript=Brasy2G263300.15 locus=Brasy2G263300 ID=Brasy2G263300.15.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.16.p pacid=40065833 transcript=Brasy2G263300.16 locus=Brasy2G263300 ID=Brasy2G263300.16.v1.1 annot-version=v1.1 MVVSSSPPRHLAVLGSPSPCHQEMGEHGEVGEQEALRLTENLVKMAIYSISYVRGLFPDRYFCDKSVPVLEMMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.17.p pacid=40065834 transcript=Brasy2G263300.17 locus=Brasy2G263300 ID=Brasy2G263300.17.v1.1 annot-version=v1.1 MMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.18.p pacid=40065835 transcript=Brasy2G263300.18 locus=Brasy2G263300 ID=Brasy2G263300.18.v1.1 annot-version=v1.1 MMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.19.p pacid=40065836 transcript=Brasy2G263300.19 locus=Brasy2G263300 ID=Brasy2G263300.19.v1.1 annot-version=v1.1 MMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.20.p pacid=40065837 transcript=Brasy2G263300.20 locus=Brasy2G263300 ID=Brasy2G263300.20.v1.1 annot-version=v1.1 MMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPTVLLKLQYRDDIIPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G263300.21.p pacid=40065838 transcript=Brasy2G263300.21 locus=Brasy2G263300 ID=Brasy2G263300.21.v1.1 annot-version=v1.1 MMIKALMPMNCQSRSLVSWMEEGVHAALQKKYLKTLLFSIWNEKEGIMIEEFSFSFSYPDRNNESVELKLACKGLNDSSIGFNSNAADTTEKMRTTACKMIRKLVSHMNTIDHIPEEPEDYEPPCLKYCADRGALNILDTNHLIGELNNRNLVLAMKVKSVLYTCDDNNVTRDSDKMTLGYGTDEVDYFTDFEGKLDREARYKAQEFDSMEPGGYANILMAESFIHSETMKRKPIDAITEGAIDEHEDMQHGDLFCVSARKVDFFLDSGAARHICRERFCLHNFKKLREEDHMELTGADQEKFMARHKGDIMYHNIKLHDVLFAPPNWVQCCVGW* >Brasy2G001400.1.p pacid=40065839 transcript=Brasy2G001400.1 locus=Brasy2G001400 ID=Brasy2G001400.1.v1.1 annot-version=v1.1 MPVFVWVWLARRSFARPKSEILATIFSSRRMFCGFMSQWIICVLHSSCK* >Brasy2G255800.1.p pacid=40065840 transcript=Brasy2G255800.1 locus=Brasy2G255800 ID=Brasy2G255800.1.v1.1 annot-version=v1.1 MPIAATLFYFQRRRPPPMDPAQALSRDSSAARRRLHPHRSAHHTQGYEVAQGSQRECGVSSGSIIEPMSNMLFSSRLSTNVSNDRLPDAVQQAKERLHQRLRSVEFFPGRRKTVSAMGTGAGPNLPSEADICTYKDERLDDLIIGFNYSAFLPTQRVKETIADSFSDMEAVAPHLRPVSKVGQETLDGTIEGNDVESYVDCSICLEGCRSAADGLMQLRCKHIFHKACLERWLQSRADCPYCRTSVVVTQKRAIRCRCRRLEQIELSLHCNS* >Brasy2G255800.2.p pacid=40065841 transcript=Brasy2G255800.2 locus=Brasy2G255800 ID=Brasy2G255800.2.v1.1 annot-version=v1.1 MPIAATLFYFQRRRPPPMDPAQALSRDSSAARRRLHPHRSAHHTQGYEVAQGSQRECGVSSGSIIEPMSNMLFSSRLSTNVSNDRLPDAVQQAKERLHQRLRSVEFFPGRRKTVSAMGTGAGPNLPSEADICTYKDERLDDLIIGFNYSAFLPTQRVKETIADSFSDMEAVAPHLRPVSKVGQETLDGTIEGNDVESYVDCSICLEGCRSAADGLMQLRCKHIFHKACLERWLQSRADCPYCRTSVVVTQKR* >Brasy2G136200.1.p pacid=40065842 transcript=Brasy2G136200.1 locus=Brasy2G136200 ID=Brasy2G136200.1.v1.1 annot-version=v1.1 MHSILPPDWSVFSVHTGGPWLVRARFVGPEGKQDPSSFLLLLQRMFSSISTPCEL* >Brasy2G087500.1.p pacid=40065843 transcript=Brasy2G087500.1 locus=Brasy2G087500 ID=Brasy2G087500.1.v1.1 annot-version=v1.1 MLDINLFRKEKGGDPELVRNSQRSRFASVELVDEVIVLDEEWRQRQFELDKIRQELNKTSKEIGKLKAKRQDATELIQSTEEIKSRLAAKETEVQDAKSTLDAKLVTIGNLVHESVPVSNDEANNAIVRTWGERRLEGNLKNHVDLCIMLDIVALEKGADVAGGRGYFLKGNGVLLNMALINFGLAFLGIRGYTQMQTPFFMRKETMARCAQLAQFDEELYKVTGDGEEKYLIATSEQPLCAYHLGDRIYPADLPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNNSWELHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQARRLGIGFGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALRPFMGGIDFLPFKKPLDSKQAADSKPNKSKPKGNAA* >Brasy2G283200.1.p pacid=40065844 transcript=Brasy2G283200.1 locus=Brasy2G283200 ID=Brasy2G283200.1.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMMLLNLENRVSFARIFFPSEAKLVMRIAQADSTEEFTGLTLANFAKLKDDLNETPTTQNLKLRERFDALTKTVELGRGYFPHCSEVLDKFLNEESTELFFLETGTPEDQRIKRMRFSELKEDVLKAFSKDMAVAAVASSTSSSSSPRYDGKVRHGNKRTKLLR* >Brasy2G283200.4.p pacid=40065845 transcript=Brasy2G283200.4 locus=Brasy2G283200 ID=Brasy2G283200.4.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMMLLNLENRVSFARIFFPSEAKLVMRIAQADSTEEFTGLTLANFAKLKDDLNETPTTQNLKLRERFDALTKTVELGRGYFPHCSEVLDKFLNEESTELFFLETGTPEDQRIKRMRFSELKEDVLKAFSKDMAVAAVASSTSSSSSPRYDGKVRHGNKRTKLLR* >Brasy2G283200.6.p pacid=40065846 transcript=Brasy2G283200.6 locus=Brasy2G283200 ID=Brasy2G283200.6.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMMLLNLENRVSFARIFFPSEAKLVMRIAQADSTEEFTGLTLANFAKLKDDLNETPTTQNLKLRERFDALTKTVELGRGYFPHCSEVLDKFLNEESTELFFLETGTPEDQRIKRMRFSELKEDVLKAFSKDMAVAAVASSTSSSSSPRYDGKVRHGNKRTKLLR* >Brasy2G283200.2.p pacid=40065847 transcript=Brasy2G283200.2 locus=Brasy2G283200 ID=Brasy2G283200.2.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMMLLNLENRVSFARIFFPSEAKLVMRIAQADSTEEFTGLTLANFAKLKDDLNETPTTQNLKLRERFDALTKTVELGRGYFPHCSEVLDKFLNEESTELFFLETGTPEDQRIKRMRFSELKEDVLKAFSKDMAVAAVASSTSSSSSPRYDGKVRHGNKRTKLLR* >Brasy2G283200.3.p pacid=40065848 transcript=Brasy2G283200.3 locus=Brasy2G283200 ID=Brasy2G283200.3.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMMLLNLENRVSFARIFFPSEAKLVMRIAQADSTEEFTGLTLANFAKLKDDLNETPTTQNLKLRERFDALTKTVELGRGYFPHCSEVLDKFLNEESTELFFLETGTPEDQRIKRMRFSELKEDVLKAFSKDMAVAAVASSTSSSSSPRYDGKVRHGNKRTKLLR* >Brasy2G283200.5.p pacid=40065849 transcript=Brasy2G283200.5 locus=Brasy2G283200 ID=Brasy2G283200.5.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEFPLQEYSSLPKPSLSCA* >Brasy2G486200.1.p pacid=40065850 transcript=Brasy2G486200.1 locus=Brasy2G486200 ID=Brasy2G486200.1.v1.1 annot-version=v1.1 MNSSRSLLSSPLFPTSSPNFRSAASSPTPSRTSVPMMHDSTGRASTACHYSPSLVAEEQVHGSVSLKGEKALLELLLDMALEQHTQGKKVTGEEGAESEFESYLRDLQRQVIYQQVLGEEYNSTSVSTSTLSIKSSATLDLGATSATVLKEVAFLPKESGSSAAQLDVPLLHRVDSNHSYEKLLSKGQVFIRSKRLLERRSKNRDAPRASSTDALCGVADTKKKDKSKKYGRVLDPDEPFKLFLRDPETTEFLTAKEEKQLFSEVQNLMKLEEAQRRLEAQCGREPAIEEWAKAVGMSCKDLQSSIHIGRRCREKMARSNFRLVIHVARKYQGYGLDIEDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQAIKKSIFKHSRLIRLPESVYALLKKVGKARLECILEGEQPTNENVARRAGITIEKLAKLKAKTRKPRSLQDRVWSDDGVTFQEITEDPNIEPPDLVVDRMMMRQQVRDFLGILSTREKEIIEHRFGIYDGEPKTLHVIGDMYGLSKERIRQLQNRALDKLKRSVSNQGFDVYLDLLTSRG* >Brasy2G121500.1.p pacid=40065851 transcript=Brasy2G121500.1 locus=Brasy2G121500 ID=Brasy2G121500.1.v1.1 annot-version=v1.1 MLHLAPTGTLYILLEPPFLPSIAERKRAFASSIPIKYPNRSPPDGKRSPSPPCLELSGRHQATARPSPCSASCSRITPSPSTPQPSGRSRPTPGSSTSPRSRSPPRPAPPSSSCCRPPRPRCPQARPSPSTSRRLPIAPSPSSARSAPRARPPPSRSRRPATSPSPRSAPRSSVSPWRTRPHCPRLPTSSARSASRSASARTCSISCSHSAPPTAASWWCPRIFWIGGSASSRRGLRRIPAT* >Brasy2G146000.1.p pacid=40065852 transcript=Brasy2G146000.1 locus=Brasy2G146000 ID=Brasy2G146000.1.v1.1 annot-version=v1.1 MIRFFSTHLLPLLPRLRAKDQRYSRPCRRRIVGLIKDAEQQHNPRDTAKKESDRPAMGLFIRRKFSKQTGKLKSLLELALSRVAIARRPRLARKSIASGDVCQLLALGRLDRAVHRAEQVIQEDNMLEALGIIELCCKCLVEKAAQLDKPQECSEEIKEAAAGIIFAARWCSDLPELQFARKILADKFGDDFAADAREGTAFVDPMLVWKLSGDTTNMELKKKVTKQIAAENDMSVDFSQPI* >Brasy2G283400.1.p pacid=40065853 transcript=Brasy2G283400.1 locus=Brasy2G283400 ID=Brasy2G283400.1.v1.1 annot-version=v1.1 MRRTWRGCCPPTCSRRSSGALPRAGSPRPAASASGKIHDYLPSAAAKTPVRDHCNGLVILHNDYVVNPATRWAVLLPPCPDPGTTTEADFYNRYLVYDPTVSAHFEVFRVPSGFHPKKEPGDVYYDSSVDELNHAFEESEWPPSLYTMHVYSSRSGRWEERPFVREGDAAGTVSDSRFCGRKRYAVCWQGALYLDCENHFLRMSLSNSKYQVIKSTIGLGAWIMYQQRYLGKSEKGVYYASVDDSLLSVWFLTESSGQMEWVLKLNDLDIRSLYYDSQAHGPWVLQDVNYESYFQDDEKKAQVEENFEWSSDNDDALNSANREEEYGLGEIEILGFHPHKEIIFFSDSVKTGYAYHFKSSKIQALGNIYPTRYEDIAFPIEHDIRGSFPYTPCWLRGFPERI* >Brasy2G028700.1.p pacid=40065854 transcript=Brasy2G028700.1 locus=Brasy2G028700 ID=Brasy2G028700.1.v1.1 annot-version=v1.1 MGWISTTGTTRRRWRCTPSAAPLPLPLMYPILLSSLQSSIAVRGMDIETNCLLCDCKHEDTGHLFFMCKQRNKVRDGETLRKMDDLIFSVNNHSSSFSAAAATTSTKSLIRLKWRKPRMGWTKINVDGSFMPDCSDGGCGVVLRNCGGDVSACGAGNLNNLMNAIQAEAMAAIQGVKLAIEMGLSRIILETDSLNLYSALLSRN* >Brasy2G028700.2.p pacid=40065855 transcript=Brasy2G028700.2 locus=Brasy2G028700 ID=Brasy2G028700.2.v1.1 annot-version=v1.1 MDFDHGDHSPALERNKVRDGETLRKMDDLIFSVNNHSSSFSAAAATTSTKSLIRLKWRKPRMGWTKINVDGSFMPDCSDGGCGVVLRNCGGDVSACGAGNLNNLMNAIQAEAMAAIQGVKLAIEMGLSRIILETDSLNLYSALLSRN* >Brasy2G395400.1.p pacid=40065856 transcript=Brasy2G395400.1 locus=Brasy2G395400 ID=Brasy2G395400.1.v1.1 annot-version=v1.1 MVIEFSASWCGPCRLIEPAFKEMASSSSFSQADIVKIDVDELPEVAKTFGVEAMPTFVLVKGKQEVSRVVGAKKEELERKIQMHISPPKFAA* >Brasy2G252200.1.p pacid=40065857 transcript=Brasy2G252200.1 locus=Brasy2G252200 ID=Brasy2G252200.1.v1.1 annot-version=v1.1 MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRTLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYLWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATLSKECDTVQKCYISLSEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSAAASEAVAENGKAAK* >Brasy2G448600.1.p pacid=40065858 transcript=Brasy2G448600.1 locus=Brasy2G448600 ID=Brasy2G448600.1.v1.1 annot-version=v1.1 MGSYFDLLDLAEGASGEEAVAKVVGKVVPPSEPKPPKKKPGPKGAQPQPQQQDGRDEHSGEDNVSGGGGRGQGQVGGHQGGGYQGRRGRGNGQGLYSGNAHGDYNGDHGYYNSSGDHGGNYDNNADHGGSYNGNGDRGGYYNGNGGRGGYRNGNGGRGGYRNGSRGYHNGNGGRGGNNIGYNENNSQYQQGAYGGEYYGYGDKQAEGGNYHHSGERQGNSRDGGERQGYSRDGGQARKNNLQYRPKNKAASVASSDPELKPEEQAEPAVETKQQDASADNAEGVPAPESEKSSGDVAKDDSKKEGEGGTKKKDGVKTKSMCGSARKRQVNKKKAMEANADGSKKTICNEQEVNEEEKKEMTLEEYEKMLKEKKSLEPAKPEGREINHVAFEGLKKLEKKKLEDDADGEHKEKEVVVKDVKPRKSMTIQEYLKPADGQEYVPPRPPRRDGPFNNSYRGGHGSGGFSDRSRDNSTESRVYNSGRGDNAIVFHNVDATNGNGAPRSGGRPDYNNGRGNGGYQDRQGGYNGGYQDRQGGYQHGGYNGGRGRGSGGYRQGGNSEGYGNGGYQQGGGGRGYGGRGRGRGYPQPRPVPRPDSAADFPALTGSSPKAQAAWPSAPSPSQA* >Brasy2G172000.1.p pacid=40065859 transcript=Brasy2G172000.1 locus=Brasy2G172000 ID=Brasy2G172000.1.v1.1 annot-version=v1.1 MAAAAGEAPLSQAIYSFGKPWPELNEGLSYTDTFRCAGPGADTSTTLIEFYSDNYKSSAPLPGWIHRIRNGQITVDGQVVTDPEMMLREGFKLVYHRLAWQEPLAPHMLQVLYEDDDIVALNKPSGLQVLPKGLFQQRTVLAQLQWKEWNMPLSSCSKRKNIQSHPVPVHRLGRGTSGLLLCAKTKLAKVRLASYFAEGTINAGNKRDETEDSKERKVSKFYRALVTGILENDEDVVTQPIGLVHYPGVSKGLYVACSSGKPAVSKVCVLERLAHQNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPKFADLEHSCPDVSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPSTEKMVKITAPLPQILQTREERCAKQVDS* >Brasy2G198600.1.p pacid=40065860 transcript=Brasy2G198600.1 locus=Brasy2G198600 ID=Brasy2G198600.1.v1.1 annot-version=v1.1 MRKCDLRHSHNNRVSGAMSSSLPILPNSLKENFPRSHNPQLIPMPLQHSAPQSATLHPRAGVMQSSYSASLGFSASPADSVPNHESQSMAASFISQPLDIELFQTLSDNIPGEHTEATWFAGSVDGLTDYGDNIGAPGNQIQNGSAAVTSDVVTKQNEWWEEIMDDDWRGILDATTTDSQSKAMIQTSNSAVSQPAVNQSASSHSGEMCNVASPPNGNNVSAAKQRMRWTPELHECFVDAVNKLGGSEKATPKGVLKLMKVDSLTIYHVKSHLQKYRTARYKPDLSEGTTEKRTSTEELTLDLKSSMDLTEALRLQMEVQKRLHEQLETQRKLQLRIEEQGKYLQMMFEKQSKSSTENVQDPSGNTTAPSSDPSHSVNRNRDSEAAEDPNRTGDNPGSVKSGENSTHTSGNQRTAERDSSDPLANTNDRSKAPQEKRRRVHDS* >Brasy2G198600.2.p pacid=40065861 transcript=Brasy2G198600.2 locus=Brasy2G198600 ID=Brasy2G198600.2.v1.1 annot-version=v1.1 MRKCDLRHSHNNRVSGAMSSSLPILPNSLKENFPRSHNPQLIPMPLQHSAPQSATLHPRAGVMQSSYSASLGFSASPADSVPNHESQSMAASFISQPLDIELFQTLSDNIPGEHTEATWFAGSVDGLTDYGDNIGAPGNQIQNGSAAVTSDVVTKQNEWWEEIMDDDWRGILDATTTDSQSKAMIQTSNSAVSQPAVNQSASSHSGEMCNVASPPNGNNVSAAKQRMRWTPELHECFVDAVNKLGGSEKATPKGVLKLMKVDSLTIYHVKSHLQKYRTARYKPDLSEGTTEKRTSTEELTLDLKSSMDLTEALRLQMEVQKRLHEQLETQRKLQLRIEEQGKYLQMMFEKQSKSSTENVQDPSGNTTAPSSDPSHSVNRNRDSEAAEDPNRTGDNPGSVKSGENSTHTSGNQRTAERDSSDPLANTNDRSKAPQEKRRRVHDS* >Brasy2G279400.1.p pacid=40065862 transcript=Brasy2G279400.1 locus=Brasy2G279400 ID=Brasy2G279400.1.v1.1 annot-version=v1.1 MPLCCVVRALKLIDRIGSRAQETGETEPAEGCCRAGLSEIGLESVEAARREQCITVQARHDLCLPASTAPPSPLLDLLKKAPSIPRRQTTCPRPVLSCPVLATDIREREVPRQERAPTCVRQVPNLAAGETRRRSSCACRCKGMKDAAVVAGGGRAHPPSSSTPPCAACKLLRRRCAAGCVFAPYFPSSEPHRFASVHKVFGASNLNKLLQEVPAEHRGDAVSSLVYEANARMRDPVYGCVGAISSLQRQVESLQTQLALAQAEMIRLKMGNACAAGRLGGGSSAGGSPSSSMSPEVARDCKPAADLARMVSEHQPNMMDMELEYSRFWSF* >Brasy2G246600.1.p pacid=40065863 transcript=Brasy2G246600.1 locus=Brasy2G246600 ID=Brasy2G246600.1.v1.1 annot-version=v1.1 MGSCVSKKAARGNATAGAKAKAPPPQLPPEKENAVPPVAVEEEEEEEVKEVLSETAVPRPRPPEPEHEKVKKKKAQQEDEEQQASDSVSAGSSIVEKPMLKSGRSEQEAAEKRALEDSPPEKARERRRRAPSEQQLGKNSKDGGNGSSVRARSPSPSLGQHSRRQQSSIVGQQPQPVPVPVQRPREQPAVVSGIGCRSGRFYSPSAARRAAESAVRRTYSAREADMALPSSRTSGSGSSKRSLNASINGNTNGGMNGVKRDPGERSGRRSDYPTARRPPPSPAANGGTISRQGSATRRAPPAPKEDASPEKIKQQCGRGRVPAEVVEDEFDGPALSGKQNEDAAAEGPLGQNPSVAMECFIFL* >Brasy2G289700.1.p pacid=40065864 transcript=Brasy2G289700.1 locus=Brasy2G289700 ID=Brasy2G289700.1.v1.1 annot-version=v1.1 MGFIMEFAENLILRLMEDPDKRDEAQREHVYRMKERCERTKAAWALPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDLLHRHSGPSPPPAASSSS* >Brasy2G262700.1.p pacid=40065865 transcript=Brasy2G262700.1 locus=Brasy2G262700 ID=Brasy2G262700.1.v1.1 annot-version=v1.1 MKDATDMCRTDEQELLFFNSRKEKAVHKTTTTNDKRLQSTLKRVGANTIPGYEEVNIFKNDVVIQFQNSKVQASIAASTWVVSGTPQQKISFQEGAGRATIPSLFRELGSVVIFFRGLPHFRFIRGVLMLMPYILGEVLLRLMCLQHELYVFMITSLQLMCLLHQLHIFMVPYFAVPYYGLQMHLGCFTNIM* >Brasy2G034900.1.p pacid=40065866 transcript=Brasy2G034900.1 locus=Brasy2G034900 ID=Brasy2G034900.1.v1.1 annot-version=v1.1 MAAMKVKLIVDRPRTRVLFAEAGSDFADVLLAFLTLPLSAVHLAAGASSPSPGTTTSCLSNLCASVDSLREAKLLKVEACHGTLLRPTHSDEFGCSASVCCTPFVGKQPSLRPHGAQCRCWEAMARLVHAYSQTTRVSGVFCKRKERFVISDDWATIKPASTSTVVSLLYRALGSTNVKDGGYEELEVDIGWAEVVSLLKTCNSSTTIFTDAFIFTDAFLTSKDRGAVRRRPALTKRSTLPLRTTHKRRRDDDDDDGHPGSDSMAAQIGVKLFFDRQEKKVLYAECEHQFVDLLLSFLTYPLGCVLKNLAGTSHLYGSFNNLYSSAAGLLTGQCFQDNNNKSSMLLDPSLAPFKNHGNVGSEKAVAAWHHLCRTPAGGCSCPMGERSCRWCDPGFVDDHTYLVDDELSIHQASAASVLRHWCDRDAGQVAEVDIIAISKQEAVALLRAVANSKTALTDAFRGRLLDQLQRKRRMQIFVKILGGETITIDVESSDTIAAVRRKIQTKKALIVSWGLVYAGKLLQDPWTLADCGIHREATIHAEYRTSVQS* >Brasy2G308100.1.p pacid=40065867 transcript=Brasy2G308100.1 locus=Brasy2G308100 ID=Brasy2G308100.1.v1.1 annot-version=v1.1 MAKQEYPIPSSQLCSSAVRPHPSRKERSTYPRFHHGHFLGQLPGLPGPPRRQAPRLVRALLAPAQRPAAAAAYAGASARAAAGEAARRGGLDGLGGVVADAAVRDRRRAGVGRVPGLRRRVGAAQDPLRGRPAAGQHQGRGGGAGGRAAQRNPVLRDAGGRRRRAAAGRLGGDRPAGPGGRRRRRRGGAAVRGHVRRREEAAGAGHGLQALHQGHVRGHRVRAALHEERREAARGRSSGARLRRRRRRFRGRPRRAGASRGGARVRRAGRQGLHRARLRRRLRMRVDRTSIACGCE* >Brasy2G082500.1.p pacid=40065868 transcript=Brasy2G082500.1 locus=Brasy2G082500 ID=Brasy2G082500.1.v1.1 annot-version=v1.1 MPHVHDRSHLSLSPIPEPPPLPSCPPAPALLLFRPRAAPDLLCSAVARPCLPSTPHIRLSPRELATGRRGPCRLLPELLPSSGHPPPRIRSAAAGCGLGGCGPVRAWQQQVIGFYLSLLQFPQFHRPLHLAGSRSNLVLLLLLPRPHDRGFHQHTAVLLRSDGFNGPIKSFSSPLRPMIIDSWKIKRNTILKGREVCLISN* >Brasy2G130400.1.p pacid=40065869 transcript=Brasy2G130400.1 locus=Brasy2G130400 ID=Brasy2G130400.1.v1.1 annot-version=v1.1 MVFENSCPVIVMLTKFDSVKCDEYLPLRKEQGGYGKFNIKITKTKHDGQLLLRMVKVHSNESDRVHTVLHIQHSTWPDHGVPNDSKTVRDILKRLHSVPKEHPIVAHCSAGIGRTGAYITIHNTIERILLGEQTAMDLAETVRKFRSQRPGMVQTEDQFKFCYHAVVDELKDLVTKL* >Brasy2G443100.1.p pacid=40065870 transcript=Brasy2G443100.1 locus=Brasy2G443100 ID=Brasy2G443100.1.v1.1 annot-version=v1.1 MYSMDANVDDNNSSQCQGPEDEDRLSMLTDDVLLSILLKVGLGMAARTSVLSKRWSHLPWLLPELSIDVKDFLSDPDSDPIEASDMEEAIVSLTKAARSFLSKSRKGFTISRLQVKLYLINTFLSDIGPLVGDAIDSGLLRDLDLAVLDETDPIDCSEEDMLQRAQEIEGVFSACPSVLHCLTKLSLSSM* >Brasy2G264100.1.p pacid=40065871 transcript=Brasy2G264100.1 locus=Brasy2G264100 ID=Brasy2G264100.1.v1.1 annot-version=v1.1 MMSFKSPEGFGQVAAASQAAHGGGAPVPWWAVSQLLYGEPAALSSPEAEAHRNGQCQGVPRAQGILDPAPAKAAQPPAKGGAPEVLKFSVFQGNLESGGKRDKTLEHSTTIALQSPLPEYNSRFEFGPGQSMVSSNYPGADQCYGLLTTYAMKSMPGGRVLLPLNAPADAPIYVNAKQYEGILRRRRARAKVERENQLVKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEGNGKVALGVGSKRTDCTPPACLATSPNSVIPQSQLGNPRSRSSISSLSGSEMSSMYDHEDVDHYNSIEHLRTPFFTPLPIIMDGEHGGAAPFKWATAADGCCELLKA* >Brasy2G387100.1.p pacid=40065872 transcript=Brasy2G387100.1 locus=Brasy2G387100 ID=Brasy2G387100.1.v1.1 annot-version=v1.1 MHPELLMAACHGEHTQLANLLNSDANALVQVQHPTTEAAGVVVVVFVDDVDNPASAASLLLQGLTPHGDSALHVVAAFGDGDEHLKSAKVIYGNGGRHLLGAHNNERNTPFYCAARAANTAMLTLLIDLARGEEATGAGGDDAAAGRMRVETLLRMQNKLGETALHGAIRAAHMPTVDALLTADPCLARVPDAGTSPLFLAVSLHHYGIARELYARDSRLSCSGPDGKNALHAAVLRSKVMYSIFVHGVRDQHGNTPLHFAVSLESGARGLLPQYAVPVENGTGITTFLNIKEPALDLTTKMLEADPYSAFQADNSGWFPIHVAASAGRLSAVAILVTMCPGCAGLRDIDGRTFLHVAVKKRRYDIVAYACQKVSSSVLNRQDNEGNTAVHLAVEVGDWWIFACLFANKQVDLNLPNNKQHTPRELSIITIPTGLYCLVNSGILIQQALIYTNATRDICRHDGIEKDYTPVAAEDDIIISNSTQFLGLGLVLITTMAFSATFTLPGGYRADDHPNGGTPTLAGLKQFQGFMMANTLALVCSSLAVISLVFSGTPTVELSMRQQHYNISIWLSWNAIISLGIAFAIAVYIMISPIASETAIAVIVVFFSVGILHLPSIAGRFCKFSIVLCARIGILPIVRSDISKVVFLTFWPLIVIYGWQEYAWRHR* >Brasy2G050600.1.p pacid=40065873 transcript=Brasy2G050600.1 locus=Brasy2G050600 ID=Brasy2G050600.1.v1.1 annot-version=v1.1 MARARGLCCLVAPKKPSSSGDAARAVRRSSAACICCIGPHHRPSGGSSCVPCLAPGSDDRGSSSARTPLTSCCGTGAGAGDAAVRVRSAPKTPRTPTARRLCCGVARSRTPRRAQARRLATPAPAPAPVAAAVAAPARTPPPPRTPSTPIGRTQRVCCVTGVVPSANKTGTRRRWLSAGRKAAAMAAGVRDSAAAPLRGGSDASVKVVAEAVEPAAAGKEEAESSACSKDEEYALLCRVGFAREDVAAVTIQAYFRAHLARRAFKALRSLVRLQAVARGAYVRRQAEVAVHCMQAMARLQARVRARQQTTMLAAKTKHEPEEKEKLLRRQQS* >Brasy2G479700.1.p pacid=40065874 transcript=Brasy2G479700.1 locus=Brasy2G479700 ID=Brasy2G479700.1.v1.1 annot-version=v1.1 MIFLSGQIPRVAPNLEEKWGVRLSCVRAWPTVFPPLRSFSLLSHLPALSLGPAQRSTSLPPPTSSSLDLLRASRRGVPRPWIGACLAGDRAPRLDRLGGILLSPGRHAQIDAAPGSAASAAEAEIAEKGRKRSRRAEGPGQPPRRPPLLDS* >Brasy2G302700.1.p pacid=40065875 transcript=Brasy2G302700.1 locus=Brasy2G302700 ID=Brasy2G302700.1.v1.1 annot-version=v1.1 MRRVQQQHQGQKASSLGVVRVDQASPASTFRQLDDAFLQKQTKIWLGEVLHARFDEDILVADLLGDGELLFQVSKVIWKRLLRKNKEQLKQSKVYIFERTSFGKSNGKYTPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRSLSKKAGMMHLNVPDFDVVTHTIAMPNYMVGVIRRSLEQPRCSSSCSSGHSPHANSESIFGQNDQQGDTHYDSDEAESSLFVLEPQENNGEDNLSERLQIANAPKEQREGYGDSGHDKPEEKSLAESVGSLDFGFHDNQLCCSTQSSADQCSRTRTTKCSMSSEESDSINSYLAYDSSKNNLDAPKAKVSERIHDEHDEPSHSSIQGHGKILVDHPKKGDDLLKDTSTMDQHRDALICDRESVSSSCEEPRFGLNGESYSGLTPRNSTGDQLPAVSEDDTASRGLKHEISTKNHKDASKSEDELAKPQDTAEHNNGNAQRTEEDPPKSGKGVLKSVAGGITLVGAVFFIAHLRRSKGRSFTAILPALSEKSIQSDSRTMNVDKRKAAELYPGGWLKV* >Brasy2G439000.1.p pacid=40065876 transcript=Brasy2G439000.1 locus=Brasy2G439000 ID=Brasy2G439000.1.v1.1 annot-version=v1.1 MVLFTRETWLFKNHFILLTVMTRLEPKMFSEPTDCQPDRERCIAHSPSRMMQIYSLKLSQIPIDNKSVELYGYIAVRDYHDSLLNYIVNRSRDDPIIVQQDSLIPMTGPKRGISMSSSVLLEFDMRIKKGEQEENDLQLIDGASEFNEVTAPPCLVTSRINGDCGAVDITRALVYEAVEATIEVVIPQVLSGFSLSISSFVLIYGSRKEIQLFHGTIYESCALRRSVIAVGMETWMHLKFKIGRKGSKNGLERYCSFKATNHGCACRQIMLDIASISAKVTWSTDPLFV* >Brasy2G439000.2.p pacid=40065877 transcript=Brasy2G439000.2 locus=Brasy2G439000 ID=Brasy2G439000.2.v1.1 annot-version=v1.1 MFSEPTDCQPDRERCIAHSPSRMMQIYSLKLSQIPIDNKSVELYGYIAVRDYHDSLLNYIVNRSRDDPIIVQQDSLIPMTGPKRGISMSSSVLLEFDMRIKKGEQEENDLQLIDGASEFNEVTAPPCLVTSRINGDCGAVDITRALVYEAVEATIEVVIPQVLSGFSLSISSFVLIYGSRKEIQLFHGTIYESCALRRSVIAVGMETWMHLKFKIGRKGSKNGLERYCSFKATNHGCACRQIMLDIASISAKVTWSTDPLFV* >Brasy2G494700.1.p pacid=40065878 transcript=Brasy2G494700.1 locus=Brasy2G494700 ID=Brasy2G494700.1.v1.1 annot-version=v1.1 MPSASLLPATSAEAQLCPSPGPPRRRQRRCSCRVTAGAATPPPSDGLCLGRRAVSLAGVAAWLATASGRAEASPFDSYIKRKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRVNIRAVAQYASSNGQGKAATDAVDECLRALEDLDALLLKASRKDSSASVEVMRSKIIVALGALDDLLQTVPSAVMDKGKAIADAYRTPSDGYEEGNGAELDPSLKQLQDIL* >Brasy2G069900.1.p pacid=40065879 transcript=Brasy2G069900.1 locus=Brasy2G069900 ID=Brasy2G069900.1.v1.1 annot-version=v1.1 MAAAAPPPAPAAAAPASSGAAAAAAAAAPAADQATDLLQKLKLDSQPKVADATEPAGAMKPGPVTSQPLSVAIPPERSITPVLDFMDPNMFYLPAYYYGGYDGSMSEWDEYPRYVNQDGVEVAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFAAQHYQYPAAYYQPPTPIPSTTQGDLQPSVNADKPAAKADTAKTTTNGVPNGTAHSNSGTAPLGSSYQNSSLTPDGTYRAPLLGGVPSTGYVDPTYGYDTTGAHYAWYDGSAYTNGQQRTTANNMSSSAYNSNGSSARYQNKSPTPQQTGMNNRRPATTAGSAASTYPNRVYPSTRSYSQYGNSIKTGYGSNGYGSIGYGSNGYGTIGYGSNGYGSNGYDSRTYGRWGVPMDNRYRPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKSFGHNVTIAVKGQTLPSSENKTATDVPDKAQFNQDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSSCPVFLFFSVNTSGQFVGVAEMTGPVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNEGKPVTNSRDTQDINLEQGIQMLKIFKEHVSKTSILEDFAFYENRQKLMQEKRVKQQQIQNQVWDSRAANPVAGEKQQDIVNGKPKLSVPNGVISEEKQQDIANGKPKLSAPNGVNGEPKVPAENGVAAPVATYAAKVAQTAATEKPALANGAVKTGLA* >Brasy2G069900.3.p pacid=40065880 transcript=Brasy2G069900.3 locus=Brasy2G069900 ID=Brasy2G069900.3.v1.1 annot-version=v1.1 MAAAAPPPAPAAAAPASSGAAAAAAAAAPAADPTDLLQKLKLDSQPKVADATEPAGAMKPGPVTSQPLSVAIPPERSITPVLDFMDPNMFYLPAYYYGGYDGSMSEWDEYPRYVNQDGVEVAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFAAQHYQYPAAYYQPPTPIPSTTQGDLQPSVNADKPAAKADTAKTTTNGVPNGTAHSNSGTAPLGSSYQNSSLTPDGTYRAPLLGGVPSTGYVDPTYGYDTTGAHYAWYDGSAYTNGQQRTTANNMSSSAYNSNGSSARYQNKSPTPQQTGMNNRRPATTAGSAASTYPNRVYPSTRSYSQYGNSIKTGYGSNGYGSIGYGSNGYGTIGYGSNGYGSNGYDSRTYGRWGVPMDNRYRPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKSFGHNVTIAVKGQTLPSSENKTATDVPDKAQFNQDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSSCPVFLFFSVNTSGQFVGVAEMTGPVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNEGKPVTNSRDTQDINLEQGIQMLKIFKEHVSKTSILEDFAFYENRQKLMQEKRVKQQQIQNQVWDSRAANPVAGEKQQDIVNGKPKLSVPNGVISEEKQQDIANGKPKLSAPNGVNGEPKVPAENGVAAPVATYAAKVAQTAATEKPALANGAVKTGLA* >Brasy2G069900.2.p pacid=40065881 transcript=Brasy2G069900.2 locus=Brasy2G069900 ID=Brasy2G069900.2.v1.1 annot-version=v1.1 MKPGPVTSQPLSVAIPPERSITPVLDFMDPNMFYLPAYYYGGYDGSMSEWDEYPRYVNQDGVEVAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFAAQHYQYPAAYYQPPTPIPSTTQGDLQPSVNADKPAAKADTAKTTTNGVPNGTAHSNSGTAPLGSSYQNSSLTPDGTYRAPLLGGVPSTGYVDPTYGYDTTGAHYAWYDGSAYTNGQQRTTANNMSSSAYNSNGSSARYQNKSPTPQQTGMNNRRPATTAGSAASTYPNRVYPSTRSYSQYGNSIKTGYGSNGYGSIGYGSNGYGTIGYGSNGYGSNGYDSRTYGRWGVPMDNRYRPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKSFGHNVTIAVKGQTLPSSENKTATDVPDKAQFNQDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSSCPVFLFFSVNTSGQFVGVAEMTGPVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNEGKPVTNSRDTQDINLEQGIQMLKIFKEHVSKTSILEDFAFYENRQKLMQEKRVKQQQIQNQVWDSRAANPVAGEKQQDIVNGKPKLSVPNGVISEEKQQDIANGKPKLSAPNGVNGEPKVPAENGVAAPVATYAAKVAQTAATEKPALANGAVKTGLA* >Brasy2G420800.1.p pacid=40065882 transcript=Brasy2G420800.1 locus=Brasy2G420800 ID=Brasy2G420800.1.v1.1 annot-version=v1.1 MVWIWVAVVGGRVDGFRASPGEPRNHSLSSNIGSLTKKTLQQSPYLPPETEGAPKSPSRRPKLRCRQGAPGSNNLQRPAPIRARFFLLPSLLSLDLVFWPMGRPASVLGGMGRKRRWRARWGGRRRCWAGCGGSGGGGRDGEAGVGAGRDGEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAVWGAASRAWWWGSGGGRGLLPAPAEAGSGSGVGGREGKAGGGGGREGRSRALGACSPRRPERRRGGEAGGVRAEQRGGEVRSGERMR* >Brasy2G093200.1.p pacid=40065883 transcript=Brasy2G093200.1 locus=Brasy2G093200 ID=Brasy2G093200.1.v1.1 annot-version=v1.1 MVVLIREYDPSTDSEGTEAVDRECEVGPTGGMSLHADLLGDPVARIRHSPAYLMLVAETSGGPGAGRRIVGVIRGTVKPVATGKHQSCAPAFASVGYILGLRVSPSHRRMGIALQLVRRLEQWFSQRGAEYAYMATEKSNEASLRLFTGPKLRYSKFRTPSLLVHPVHAHRLRPPRRVTALVPLDALDAEKLYRRRFARDVEFFPADIGAVLGNTLSLGTFLAVVGNSKKFEWRGVEQFLASPPASWAVASLWDCGGVFRLEMRGASRARRALAAASRALDRAAKWMRVPSVPDFFRPFAGWFAYGLAGEGDDAPLAAKALLASFVNMARGRAAAVAVEVAACDPLRRRLPHWRRLSCTEDLWCMKRLGVGGDDVDGWDWAKSAPGLSIFVDPREV* >Brasy2G093200.2.p pacid=40065884 transcript=Brasy2G093200.2 locus=Brasy2G093200 ID=Brasy2G093200.2.v1.1 annot-version=v1.1 MGIALQLVRRLEQWFSQRGAEYAYMATEKSNEASLRLFTGPKLRYSKFRTPSLLVHPVHAHRLRPPRRVTALVPLDALDAEKLYRRRFARDVEFFPADIGAVLGNTLSLGTFLAVVGNSKKFEWRGVEQFLASPPASWAVASLWDCGGVFRLEMRGASRARRALAAASRALDRAAKWMRVPSVPDFFRPFAGWFAYGLAGEGDDAPLAAKALLASFVNMARGRAAAVAVEVAACDPLRRRLPHWRRLSCTEDLWCMKRLGVGGDDVDGWDWAKSAPGLSIFVDPREV* >Brasy2G093200.3.p pacid=40065885 transcript=Brasy2G093200.3 locus=Brasy2G093200 ID=Brasy2G093200.3.v1.1 annot-version=v1.1 MGIALQLVRRLEQWFSQRGAEYAYMATEKSNEASLRLFTGPKLRYSKFRTPSLLVHPVHAHRLRPPRRVTALVPLDALDAEKLYRRRFARDVEFFPADIGAVLGNTLSLGTFLAVVGNSKKFEWRGVEQFLASPPASWAVASLWDCGGVFRLEMRGASRARRALAAASRALDRAAKWMRVPSVPDFFRPFAGWFAYGLAGEGDDAPLAAKALLASFVNMARGRAAAVAVEVAACDPLRRRLPHWRRLSCTEDLWCMKRLGVGGDDVDGWDWAKSAPGLSIFVDPREV* >Brasy2G218000.1.p pacid=40065886 transcript=Brasy2G218000.1 locus=Brasy2G218000 ID=Brasy2G218000.1.v1.1 annot-version=v1.1 MKSIFCTGGSLQRAEPICESEASTLIKKRGEDGMENPWRKHQASTYLDDRRCSHQVIKTASAAMKGPCVLCGKASGPCKINSRVKSFFCICLVDLFEDHVVALICNLLAVLGVFSWESECLFTEDNRFGFVLCTLGYP* >Brasy2G218000.2.p pacid=40065887 transcript=Brasy2G218000.2 locus=Brasy2G218000 ID=Brasy2G218000.2.v1.1 annot-version=v1.1 MKSIFCTGGSLQRAEPICESEASTLIKKRGEDGMENPWRKHQASTYLDDRRCSHQVIKTASAAMKGPCVLCGKASGPCKINSRVKSFFCICLVDLFEDHVVALICNLLAVLGVFSWESECLFTEDNRFGFVLCTLGYP* >Brasy2G218000.4.p pacid=40065888 transcript=Brasy2G218000.4 locus=Brasy2G218000 ID=Brasy2G218000.4.v1.1 annot-version=v1.1 MKSIFCTGGSLQRAEPICESEASTLIKKRGEDGMENPWRKHQASTYLDDRRCSHQVIKTASAAMKGPCVLCGKASGPCKINSRVKSFFCICLVDLFEDHVESTRLIVSIDKL* >Brasy2G218000.3.p pacid=40065889 transcript=Brasy2G218000.3 locus=Brasy2G218000 ID=Brasy2G218000.3.v1.1 annot-version=v1.1 MEKAPSKHIPGRSCSHQVIKTASAAMKGPCVLCGKASGPCKINSRVKSFFCICLVDLFEDHVVALICNLLAVLGVFSWESECLFTEDNRFGFVLCTLGYP* >Brasy2G320600.1.p pacid=40065890 transcript=Brasy2G320600.1 locus=Brasy2G320600 ID=Brasy2G320600.1.v1.1 annot-version=v1.1 MAAEQTDGLCWPLRGVDPMTLELDAFCTKALASPLSFPLGPGTSLEFGKAGGCFSPSPLGNASPPPTIIDSCNADVRPTVSRSLFTAPNASGPATGATRDVPTTATEGAGDVLSALFAAPPASVLGATPPPRPRPAKPPSTTPRRSARQASMHSSTPVAQRATVRLAKELAIVDDSE* >Brasy2G470100.1.p pacid=40065891 transcript=Brasy2G470100.1 locus=Brasy2G470100 ID=Brasy2G470100.1.v1.1 annot-version=v1.1 MRRRIRRSLGKNGVDPAAALAGEAAAPVAPPPPLRLLLTVLPAAPTPHAAGSLLFSRNHPGAAGDILQRRRMETWSGRSCYRPTDGDMDWSRGVNGSVEEECRGRPSERCGSDDAVGGDRPSRGGRSSGGRRSLLPHPLP* >Brasy2G065600.1.p pacid=40065892 transcript=Brasy2G065600.1 locus=Brasy2G065600 ID=Brasy2G065600.1.v1.1 annot-version=v1.1 MATPPTQGEPSSSDPKSKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKTVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALTTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMERRRKDNPEAMEEDEADEIAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAGAAAAAAAADPFASAAAAAEDDDLYS* >Brasy2G341600.1.p pacid=40065893 transcript=Brasy2G341600.1 locus=Brasy2G341600 ID=Brasy2G341600.1.v1.1 annot-version=v1.1 MSGSSAAAEDIVQHLSSNSNPSSDKLAKLEARMTGKAVSMPPPSPPHHPVASPASATTFMDQEELPESSSSSDDDNGEEFLIQKNTLKRPRSPDGDHGPALGNFEGSANAAAKTLDVVDARLSSENPNRKRQGRGRGRAGTGRGRGSKTVDQTRQTSTSSVFVANGQQDKLTNMESRSSVLPVNDGMTALHEELSLLRGKVAFLEEELNKLRQEATDYRQLSDRLTKELKDFKDLDQQKKSKQMKVLSDLLIAVSKAERQEARMRLKQESFRLGNIGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKEAIERHRKSLKKRQPDKSDGSDAETSMSEEDFILQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHTNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQVFQGLVYLNKKAQKIIHYDLKPGNVLFDDVGVTKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLYGKRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQSERPDVLSIAQDPYLSYAKR* >Brasy2G084200.1.p pacid=40065894 transcript=Brasy2G084200.1 locus=Brasy2G084200 ID=Brasy2G084200.1.v1.1 annot-version=v1.1 MANSSYGSREGLTARPAVSSSSSEISLQIDPINASNLDDHILGLRGRVSRLKGVANEIKSEAKLQSDFISQLQMTLTKAQAGVKNNMGRINKKIIQNGSNHLVHIVLFALGCFFTVYVVSKFSRT* >Brasy2G032400.1.p pacid=40065895 transcript=Brasy2G032400.1 locus=Brasy2G032400 ID=Brasy2G032400.1.v1.1 annot-version=v1.1 MGAGLHLGPVPSARRLSRTLVPRNGGVKFDRPRPEAACSSQTLLRQTPAGRRRPSLRSQGTAMVFSSLLSTPSGLAPRPAPAACYYSPPCRAARLGLAAVGGGGRLRCQAQAGAGDVDAHYIRRCVELARTAAGHTSPNPMVGCVIVRDGQVVGEGFHPKAGQPHAEVFALRAAGDFAENATAYVSLEPCNHYGRTPPCSEALIKAKVKEVVVGMTDPNPIVASKGIEKLRGAGIDVRVGVEEALCLRLNEAYIHRMLTGRAFATLRTTLSMNGIVINQIGSGADQPGGYYSKLAKEYDGIIISSNMAKTTALPISREAGAKQPLYIIIAQGEDSKLHIPFLDEDSVSNAIVLADSPVTVEPAGVEVSVLDQLSLESILQLLSQRGLCSVLVDFRDAGGSLASLLNNFQEDKLVQKVIVELFPVWVASPGPSNLAFGGSQSFPLKNVEHKEVNGTLLLEGYVQ* >Brasy2G032400.2.p pacid=40065896 transcript=Brasy2G032400.2 locus=Brasy2G032400 ID=Brasy2G032400.2.v1.1 annot-version=v1.1 MGAGLHLGPVPSARRLSRTLVPRNGGVKFDRPRPEAACSSQTLLRQTPAGRRRPSLRSQGTAMVFSSLLSTPSGLAPRPAPAACYYSPPCRAARLGLAAVGGGGRLRCQAQAGAGDVDAHYIRRCVELARTAAGHTSPNPMVGCVIVRDGQVVGEGFHPKAGQPHAEVFALRAAGDFAENATAYVSLEPCNHYGRTPPCSEALIKAKVKEVVVGMTDPNPIVASKGIEKLRGAGIDVRVGVEEALCLRLNEAYIHRMLTGRAFATLRTTLSMNGIVINQIGSGADQPGGYYSKLAKEYDGIIISSNMAKTTALPISREAGAKQPLYIIIAQGEDSKLHIPFLDEDSVSNAIVLADSPVTVEPAGVEVSVLDQLSLESILQLLSQRGLCSVLVDFRDAGGSLASLLNNFQEDKLVQKVIVELFPVWVASPGPSNLAFGGSQSFPLKNVEHKEVNGTLLLEGYVQ* >Brasy2G461900.1.p pacid=40065897 transcript=Brasy2G461900.1 locus=Brasy2G461900 ID=Brasy2G461900.1.v1.1 annot-version=v1.1 MRGLRRSRQPPPLPLFPAARRASPSATFLLRLRRLLPASRLLRLLLLLAALSLIPPAFFHLRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMEAFRMALDAHVDCVEMDLSRSSDGVLITLHDRDLQRMSGNSTAKVGHWSTHEIKALTMRFQLSKTIQNQEVPKAEDALALISQSVRQVILDIKVGPPSFEKGLVEDVLSIIGKTNCKNCLVWAKNDNIGRDVIKLSKNVLVGYIVMVDWSTGIRTELLRRIEGAKVAGVYHSLIHEKLMKVMHKHGRKVYAWTVDDGDSMKRMMHEQVDAIVTGNPSLLQQLMQETRTECMEDGFALP* >Brasy2G461900.2.p pacid=40065898 transcript=Brasy2G461900.2 locus=Brasy2G461900 ID=Brasy2G461900.2.v1.1 annot-version=v1.1 MRGLRRSRQPPPLPLFPAARRASPSATFLLRLRRLLPASRLLRLLLLLAALSLIPPAFFHLRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMEAFRMALDAHVDCVEMDLSRSSDGVLITLHDRDLQRMSGNSTAKVGHWSTHEIKALTMRFQLSKTIQNQEVPKAEDALALISQSVRQVILDIKVGPPSFEKGLVEDVLSIIGKTNCKNCLVWAKNDNIGRDVIKLSKNVLVGYIVMVDWSTGIRTELLRRIEGAKVAGVYHSLIHEKLMKAW* >Brasy2G118900.1.p pacid=40065899 transcript=Brasy2G118900.1 locus=Brasy2G118900 ID=Brasy2G118900.1.v1.1 annot-version=v1.1 MAAVASASGSGIWSRRRDEITFDRLQKFWNDLPLQARRELLKLDKQTLIEQARKNFYCSRCNGLLLENFKSLQQEVSDIDCLSAVSDSRIRHREQDYSQDPSIHPWGGLATTKDGVLTLIGCFIKAKSLRVLQNVFDNARSREREREMLYPDACGGGGRGWISQGMASYSRGYGTRETCALHTAHLSCNTLVNFWSALCEQTRSSLLRMKEEDFIERLIYRFDSKRFCRDCRKNVIREFKELKELKRMRREPRCTSWFCIADTAFQCEVFEDAIIVDWHQSLSETNGSYHHFEWAIGTDEGQSDVFGFEDVGMKTQVHRNGIDLDQFEDYFITLRAWKLDGHYTELCVKAHALKGQSCVHHRLVVGDGFVTVTKGESIRSLFEHAEEAEEEDEDEAMDRDEHDLDGDSSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGAAQQNAQSVFVSLALKLLEERVHVACKEIITLEKQNKLLEEEEKEKREEQERMMRRRTKEREKKQRRKERLKEKERDKEIKLVEFKSSDNISSSTLSNSSTCTTDEFGNTFGLRDSASEEENNSTVVDMFTADTCTDQSSCREIIGENIVDCCSMVTKRPSVNSSESFTSDHSKSSRRNLRLRKEVSQDNSSCRYDDDRNEFGSIDNLQAQSTERMRNDARICNSVFSTNSRTRDRQDYNSCSCNHKEGSRNEDDCFSPTVTSGREMKIARKAGADKLTVQYCRVDGTRERNAVQKQVWERTDTPKKTCLHGTNDTSGSVDKADSSKPVECDISGCQKLDTGCEALGQASERPSDVHKSETNQSYEHSEKTQSACHDGTPMMNKQTCYSRDNGSSRHDEELMTNSASSDGSSSCVTEADRESSSSSMTSLSAHNPESSSSDSEESSERVNNITEAPSTRTASRSLLETCAGNGFREYHPKVRCLPRNERFGHNVTPFQDQLLHHQNMHLPPYSLASVGPHSHSCAAPTNGYFQYGQPPNFFSSPLGFRVPGNRSPDFPVQYNNVHHYPAPAFSCIQPEPIHKTSTSFRVMPPPPSYRHGTGQIGSHTHGDLNPDRHNSVLKPMGLKDGAKGDSKMQDRSASFSLFQFNLPIAPPAPPLSKDGKSGEIVARTPFAPVQAQPCSREQTDVKEYNLFSTDQTGYFHLSR* >Brasy2G118900.2.p pacid=40065900 transcript=Brasy2G118900.2 locus=Brasy2G118900 ID=Brasy2G118900.2.v1.1 annot-version=v1.1 MAAVASASGSGIWSRRRDEITFDRLQKFWNDLPLQARRELLKLDKQTLIEQARKNFYCSRCNGLLLENFKSLQQEVSDIDCLSAVSDSRIRHREQDYSQDPSIHPWGGLATTKDGVLTLIGCFIKAKSLRVLQNVFDNARSREREREMLYPDACGGGGRGWISQGMASYSRGYGTRETCALHTAHLSCNTLVNFWSALCEQTRSSLLRMKEEDFIERLIYRFDSKRFCRDCRKNVIREFKELKELKRMRREPRCTSWFCIADTAFQCEVFEDAIIVDWHQSLSETNGSYHHFEWAIGTDEGQSDVFGFEDVGMKTQVHRNGIDLDQFEDYFITLRAWKLDGHYTELCVKAHALKGQSCVHHRLVVGDGFVTVTKGESIRSLFEHAEEAEEEDEDEAMDRDEHDLDGDSSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGAAQQNAQSVFVSLALKLLEERVHVACKEIITLEKQNKLLEEEEKEKREEQERMMRRRTKEREKKQRRKERLKEKERDKEIKLVEFKSSDNISSSTLSNSSTCTTDEFGNTFGLRDSASEEENNSTVVDMFTADTCTDQSSCREIIGENIVDCCSMVTKRPSVNSSESFTSDHSKSSRRNLRLRKEVSQDNSSCRYDDDRNEFGSIDNLQAQSTERMRNDARICNSVFSTNSRTRDRQDYNSCSCNHKEGSRNEDDCFSPTVTSGREMKIARKAGADKLTVQYCRVDGTRERNAVQKQVWERTDTPKKTCLHGTNDTSGSVDKADSSKPVECDISGCQKLDTGCEALGQASERPSDVHKSETNQSYEHSEKTQSACHDGTPMMNKQTCYSRDNGSSRHDEELMTNSASSDGSSSCVTEADRESSSSSMTSLSAHNPESSSSDSEESSERVNNITEAPSTRTASRSLLETCAGNGFREYHPKVRCLPRNERFGHNVTPFQDQLLHHQNMHLPPYSLASVGPHSHSCAAPTNGYFQYGQPPNFFSSPLGFRVPGNRSPDFPVQYNNVHHYPAPAFSCIQPEPIHKTSTSFRVMPPPPSYRHGTGQIGSHTHGDLNPDRHNSVLKPMGLKDGAKGDSKMQDRSASFSLFQFNLPIAPPAPPLSKDGKSGEIVARTPFAPVQAQPCSREQTDVKEYNLFSTDQTGYFHLSR* >Brasy2G265000.1.p pacid=40065901 transcript=Brasy2G265000.1 locus=Brasy2G265000 ID=Brasy2G265000.1.v1.1 annot-version=v1.1 MRGLRSRILRTLQSFPNAAAAAVQSNILLLPPPDAPTDKCQLQEQPSSPMAAGADQAVPELPTVSDGDGGGDDDKENVSPEANPRKAKKMKVSSDHCHHDGSAAAASAKCYRRPDLASATLFDPDLLAEFRGVVDAYARALEKTKRIHDDDAVLDDDGIDPLAGFESRCPPGGERAVVLYTTSLHGVRKTFEDCATVRRLLVGLRVAFLERDVSMHAPYRDELRALLPMPPDGAMPLPPRLFVDGRYIGGADEVVALHERSGLRPMLRGAPRRGAGEAACAVCGGDWFVVCGGGRHWLYDDGGGSASNRVPCPGCNENGLVPCPLCS* >Brasy2G387700.1.p pacid=40065902 transcript=Brasy2G387700.1 locus=Brasy2G387700 ID=Brasy2G387700.1.v1.1 annot-version=v1.1 MAPRAKISILLAMAAVAAALAASANAQSSGCTAALVGLYPCMDYISGNGTAPTDSCCSQLASVTKSQPQCLCAALGGDSSSVGGMTINKTRALELPKDCKVQTPPASKCSGSGGGGSTAAPAGGSETPGSVTPAGSGSKTTPGGYLQGNGGSSLHGPAVLVLALATAALYAVTAV* >Brasy2G344900.1.p pacid=40065903 transcript=Brasy2G344900.1 locus=Brasy2G344900 ID=Brasy2G344900.1.v1.1 annot-version=v1.1 MDTSTRAAKIPSLYHQTEINWENLDMTKFYVVGAGMFSCVTVALYPVSVIKTRMQVASGEAMRRNALATFKNILKVDGVPGLYRGFGTVITGAIPARIIFLTALEKTKATSLKLVEPLKLSESMEAALANGLGGLTASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQKIIKADGPRGLYRGFGLSVMTYAPSSAVWWASYGFSQRIIWSALGHWHDKEDTPGQLKIVGVQATGGMIAGAVTSCVSTPLDTIKTRLQVNQNKPKAGEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRVCAKVEEA* >Brasy2G211900.1.p pacid=40065904 transcript=Brasy2G211900.1 locus=Brasy2G211900 ID=Brasy2G211900.1.v1.1 annot-version=v1.1 MAGVGTSGSGGGGDGDTEMGGWSELLNTSTKLLEQAAPTPHFPTLQRNLDQLEVLSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLTRDLKSFELKTTFEDVFPSEATSVEEYLQQLHEMAIVSSIQGAQKDNLKSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLASGLSRPALMSASSPQAASGLPAMEVMPIPNKTIIENKSSVYAGVVRDLNDARGRSLPFSPATAFRAAYESLSVDVIGAKSVTMQKVWHLIQALVGEGSTHRSNSRKMSLVIGARQHLEWGHEKYIIETINSHPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRVAYNFAPLLAEWITTNGAVSSETALTASEECEKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALRESTSASSSNVLNESLVPYTLEDLQNYLNKFEPSYYTKSGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGFHVDAVHISITLADHGILPEGVGSGQKMGVMDACAEAASIVRQYGSICLRNGNLDLALEYYAQAAAAMGGGQVSWIGHGNADQQRQRSSMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICGMAHNMLDGESRAIALIQSGNEILETSRYSSEASVQDKDLISEQQIVLRQLEAILHIYKLARAGQTVDALRETIKLPFLHLDPQSPNVAIDVFRDLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI* >Brasy2G469800.1.p pacid=40065905 transcript=Brasy2G469800.1 locus=Brasy2G469800 ID=Brasy2G469800.1.v1.1 annot-version=v1.1 MESKPTGINCVTGKRRDNKSRSYPGLKDTAVALLPNVPHDVNDHPIEILSSFFVNRLGGLNPPGTSAPVVRRLNLLKDVEVVVVKKLFPHLVGKGASIENVCPGLMRISAKGASRSVRPAPDGEIVGGEDLVLHKKPGEEFALVFGLGFSDNISVLSMDETEGLSLVRRAGAWRYRNHASSNAK* >Brasy2G256500.1.p pacid=40065906 transcript=Brasy2G256500.1 locus=Brasy2G256500 ID=Brasy2G256500.1.v1.1 annot-version=v1.1 MATADIRLSIAHQTRFGLRLASAISSPSNPDGAKGNAAFSPLSLHVALSLIAAGAGGATRDQLAATLGAKESGGAEGLHVLAEQVVQVVLADASGVGGPRVAFADGIFVDASLSLKPSFKEVAVGKYKAETHSVDFQTKAAEVAGQVNSWVDKVTAGLIKEILPAGSVDNTTRLVLGNALYFKGAWTEKFDASKTKVDKFHLLDGSSVQAPFMSSTKKQYLSCSDGLKVLKLPYQQGGDKRQFSMYILLPEAQNGLWSLAKKLTSKPEFLEKHIPTQKVPVGQFKLPKFKISFGFEASNLLKGLGLQLPFSAEADLSEMVDSPMARMVDSPMARSLYVSSVFHKSFVEVNEEGTEAAAATAAVVTLRSIPVEPPKMDFVADHPFLFLIREDVTGVVLFVGHVANPLLSQ* >Brasy2G415600.1.p pacid=40065907 transcript=Brasy2G415600.1 locus=Brasy2G415600 ID=Brasy2G415600.1.v1.1 annot-version=v1.1 MFIESFRVESPNVRYGAGEIESEYRYDTTELVHESHDGASKWVVRPKSVNYHFKTNTHVPKLGVMLVGWGGNNGSTLMAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISSMNLADAMTRAKVLDIDLQKQLRPYMESIVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKNKVDKVVVLWTANTERYSNVSVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK* >Brasy2G238400.1.p pacid=40065908 transcript=Brasy2G238400.1 locus=Brasy2G238400 ID=Brasy2G238400.1.v1.1 annot-version=v1.1 MGEVKEKQEVVEILADDGNNAVPLAALDLNEDFSEAGNMYYNDADDDDDDGGGGGSTSEVAGGRSSSNNSSTNNDSDSSKGDMNGCEKVPTVRQYNRSKNPRLRWTPDLHMAFLRAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKIEHESSHERAAISSVFPPMNFRMRIADHRFHDMFFQRAAGSSLSSRLNENSGILASRNADLPDTRRIYGLLQRRKPPSAIHTFDFNNYSSLRNQEWAFTQRLAAERTAAVNDHVPAKGLVHEMTFRKDRKPTPHKFNVRDTNALASMSAAADRRPEDGAKVGSINWIGSSSRPISRTTSAAGLTATGFELSPSLWRRAAGSNGCDHPGRNISTTTSSADLVGATRESGSLLLLQKQEQREPTAPSKSIEMRFETDAWRKKTLASEANGWTPELQLSLSPNVEADNNGRGKKRSCAQLEVGSHNHNLPLSLSLSLRDGVVVDDARRLEVPTGSRSKKAALGLSTLDLTMSIKSLE* >Brasy2G459400.1.p pacid=40065909 transcript=Brasy2G459400.1 locus=Brasy2G459400 ID=Brasy2G459400.1.v1.1 annot-version=v1.1 MANVEVVYPGSKQFQPTDETFDSGVDDLQKPKHYIIWDANVHRHICAEYAVIIKAPSVTNEYLVREDAASNISEMRKSGSPGSIIKGS* >Brasy2G137200.1.p pacid=40065910 transcript=Brasy2G137200.1 locus=Brasy2G137200 ID=Brasy2G137200.1.v1.1 annot-version=v1.1 MGMDYYKILGVEKAAGDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPTTFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGHPGMRTGGVRFSSSMFGGDDVFSSAFGGGADGHPGMMGMHAGGGRSMKTAPIERKLPCTLEELYKGTTKKMKISREIADASGKTIPVEEILTITVKPGWKKGTKITFPEKGNEQPNMIPADLVFIIDEKPHPVYTRDGNDLVATQKIPLAEALTGHTVHLTTLDGRSLTVPISSVIHPGYEEVVRGEGMPLPKDPSKKGNLRVKFDIKFPARLTADQKTGVKRLLGQ* >Brasy2G260200.1.p pacid=40065911 transcript=Brasy2G260200.1 locus=Brasy2G260200 ID=Brasy2G260200.1.v1.1 annot-version=v1.1 MATDCLEETVQPPCDIATSMQSLVLQLGTLLEWSEVTLVRLAPVPFVQVETAIATTASQAPPTMPDPPKELAVDFTNEGADCLFGPCSPRFRTSAMTDEVVIPVAQPADLAPVLNPCSLESNVQCTLAVTLSCAELTPPVEMPCPLEEMPPQVGHPVSSPKVKKNGGFNVARMMKAFGAPDENPVDVAETRVVASPTTVADFINKFTCKPQCSVLGTPMPQQVKAVATPPVVRRSGRLVKKNKGCTIPVAKRAEIRLAEAFGELPNEKETEDDPEESAKQRMKAYLEMYKKPLTPKAIEAIRVLAGISGKAQVDLATLGLTLWGALGVRGKRRAVSAAAAAKPDARRSTRAGRHSGPRALAGRAIEVCAASIGGQLGQRNAKASSTS* >Brasy2G136100.1.p pacid=40065912 transcript=Brasy2G136100.1 locus=Brasy2G136100 ID=Brasy2G136100.1.v1.1 annot-version=v1.1 MERPHKVPPDQRACLEVIPERGDTEGHQLLPAFFEVLRVPAFLTAVDALGARRGVERSEAVPGEEAEEGEDGGGEELGLLGGEEGGVVTDDVEEAGVAMEGHAAEEGEEERHEPRRGGAGELVGGEEEARAGVGEEEREREREERGCEGRVEGGEAGAGGVEEHDEEAVRERGGRIGEEGEG* >Brasy2G013500.1.p pacid=40065913 transcript=Brasy2G013500.1 locus=Brasy2G013500 ID=Brasy2G013500.1.v1.1 annot-version=v1.1 MLEMRREGHRPVKMHVVPTPPGCYHTLKDGVVFVPEFAGLETWEDPIPRPSQVTFEELKDKFVAGCMARLSLGRGMPSRAERARRRQERDHHSLLIALNVYAEENNIQSSEFELVEVKERCRIDEYRKEYMHYNFLVKRSGGTPVLFFAEVHPDCKGKEDVYLCTPLQDTDNNSGECFGCNYGEKELLHPTSGCYLGGHVDARCATDSEDDCFID* >Brasy2G192800.1.p pacid=40065914 transcript=Brasy2G192800.1 locus=Brasy2G192800 ID=Brasy2G192800.1.v1.1 annot-version=v1.1 MQPTTESAQRQASSAAAPRRSVGCMAGLLRLLSPYHRHRKRLTAKNATPEASPPPPPLPEKKKPPAAAQPVAASLAPVVVVPAKPPASTGVRRRRSCEAPRSPTIAPEYRRASCDSPRPPPPAIVARLMGLEESAPPSPAAVTPRPRPVLPTRPPPPPPPSSPPEMAAEKRRKLLGALERCDEDLKTLRRIIAAVRAAEMRSVPDVVGSVPAGAGKEGVIAEWMDGEPTPAPKHENAARAEEECYPSPDSVLDAITSPRFPCRKRPPPPCCTPPDAAVGSRIVKPSRTLVFSGDYCKIKQYCNELHAVAMHQPHPPPAAVVEGIIPRWTPSAAEAESWRHRRRWGLQAAAGSGSALRSRGMVESVVEVWGQGAGGERWEADLVGVALERAILQDLVRDAVAELLAQSDAPAPCGHGQDGGGGTCRKRLCF* >Brasy2G044400.1.p pacid=40065915 transcript=Brasy2G044400.1 locus=Brasy2G044400 ID=Brasy2G044400.1.v1.1 annot-version=v1.1 MIDSDYTVRTCNPYQRIPSQKSDPLNIPCIFANTSFASVCSIKIFVIILLCSCDSVSICATVVKKSSPNARNHKITANIIRVIGDDAILIRRSGEDN* >Brasy2G198500.1.p pacid=40065916 transcript=Brasy2G198500.1 locus=Brasy2G198500 ID=Brasy2G198500.1.v1.1 annot-version=v1.1 MAKPRKSRSPASDLPAAGRLPWQPPAQPVATALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPTSDWYRNTSDNNLAYWGLDYPPLSAYQSLLHARLINASLPATVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWAYMKDVVGGGGEKREGWMWLLAMVLINPCLVLIDHGHFQYNCISLGLTVGAIAGVLSRNELAAAALFSLAINHKQMSMYFAPAFFGHLLGKCLKRKYPILEVTKLGFIVLGTFALVWWPYLRSYQASMQVFSRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIRPLKLMSLSATILAFLPSFVQQVKSPSNLGFLYSLLNSSFSFYLFSYQVHEKSILLPLLPASLLALHEPHLYGWFTYYALFSMYPLICRDQLLLQYIAVLGLFFLIYYSPGTSYVKGIKVSSGTKAVLSLPLLCSILFHIVYLQIEPPKRYPFLFDALIMFICFSQFVTLTLYTNYKQWMLDFHPRPVGRRKKDL* >Brasy2G063400.1.p pacid=40065917 transcript=Brasy2G063400.1 locus=Brasy2G063400 ID=Brasy2G063400.1.v1.1 annot-version=v1.1 MATVGGIQSAAFTVLLQHRKEDWLVGFGLNFWCIIYSGIACSGFAVFAQLWCTEKKGPVFVTMFNPVSTIMVAILAYFIFGENLYVGSIIGGVVVILGMYVLLWGKDRDQEYNNASSSKELQGSDLDCEKQANIAEVSSTHNDSQQETKTMT* >Brasy2G260800.1.p pacid=40065918 transcript=Brasy2G260800.1 locus=Brasy2G260800 ID=Brasy2G260800.1.v1.1 annot-version=v1.1 MGARKQRWTSEEEAALRAGIAKHGVGSWQVILRDPEFSSILCYRSNVDLKDKWRNINTFFTALGSLEKGRAATKKNRATPKNSDHSMAMSTVASAVDEQPISSVCSEVWNSFQPKKSRLRMNDIILKSVKNLNEPTGSHRTTIANYIEEQYRPSDDFDHIISAKLKDLTTSGKLIKVNRKYRIAPALYSEE* >Brasy2G180400.1.p pacid=40065919 transcript=Brasy2G180400.1 locus=Brasy2G180400 ID=Brasy2G180400.1.v1.1 annot-version=v1.1 MASTNSWTHEIECAVAAPRLFRAGVMDWHTLAPKLASHIVASAHPVEGEGNIGSVRQFNFTSAMPFSLMKERLDFVDADKCECKSTLIEGGGIGVAIETATSHIKIEPSANGGSVVKVDSTYKLLPGVEVKDEITKAKESVAAIFKAAEAYLVANPDAYN* >Brasy2G203400.1.p pacid=40065920 transcript=Brasy2G203400.1 locus=Brasy2G203400 ID=Brasy2G203400.1.v1.1 annot-version=v1.1 MNCLPTNQSHRFTRRPATKELLCCFLPMLQPESTREGGAVGVRKAAGSDRWMGEATSASCGKKQRPAGATGNRTEANWSIWGGRAAGRYADAPARQSAAAAIFWGGGGRQQRP* >Brasy2G361700.1.p pacid=40065921 transcript=Brasy2G361700.1 locus=Brasy2G361700 ID=Brasy2G361700.1.v1.1 annot-version=v1.1 MTVPRHLSQLLLVVMVNCVLLQALSVHAITRHYKFNVVMMKMSRLCSTKSILTVNGKFPGPTLYAREGDNVLVKVVNHAPHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVVVLGEWWKADTETVINRAMQLGVGPNISDSHTINGHPGPMSDCASSQDGFKLNVENCRHKLTVVEVDAVYTKPYKTDILLITPGQTTNVLLTADQSAGRYLLSISPFMDAPVQVDNTTGTAILHYTNTVSAAARLTLFKPPPQNATPIASKFAESLRSLNSKEHPANVPQTVDHSLFFTIGVGVNPCPNCINGTRVVGTINNLTFVMPSTPILHAYYYNIPGVFTEDFPATPPHKFNYTGSGPKNLRTMNGTRVYRLPYNASVQVLLQDTGIISTESHPIHLHGFNFFVVGRGIGNYNPKTSPSTFNLIDPIERNTIGVFMHCHFEVHTSWGLKMVFVVENGKRPSETLIPPPKDLLQC* >Brasy2G101500.1.p pacid=40065922 transcript=Brasy2G101500.1 locus=Brasy2G101500 ID=Brasy2G101500.1.v1.1 annot-version=v1.1 MEDENPFPVPDLSGFEFFTIILENTWEKQRMPHKFAAALDGREPQELKLREADGGRLWDVDVHFDGDGQMYLTRGWAQFARAHGLGLGSFLVFTFDGGSVLAVRVFDVTTCRKRFQRKEDSDREDDVVDSPPVSSPGCGYEEEGDGGGGSGSDTAEMDDDVSKSRFSVMLRPCHFGLRQKQYLNVPVEFQVAQGYAGRTKVELRMRGRAWSVTLKQGVCPNRQPRTSLRYGWHQFCVDNGLAVGDTCFFRVLHPDEDEDDDDERHVLKVEVRRRDGSFVK* >Brasy2G092800.1.p pacid=40065923 transcript=Brasy2G092800.1 locus=Brasy2G092800 ID=Brasy2G092800.1.v1.1 annot-version=v1.1 MESSEASWHCSFDPSVAAEDSEAMARLLGVHGCCFGGDEQIKQPAPAPPPSSCMYWPGHEADPYYGAAVPPYYVQEQHASAGYQQSHGGYYDHDGVATMGGAGEFFVAPEEQMADDPGLGFMVDLNIQFEDPLDEGNTSSGCKRKLGDTGAGSKKKARSTAAPPVQRKGKGRAQPKKKGSKGSSSRGGGVQEEESNVQSSSNNYLSDEDESLEMTSCSNVSSASKKTRGGSKARADRGAATDPQSLYARQKRREKINERLKVLQNLVPNGTKVDISTMLEEAVHYIKFMQLQIKLLSSDDMWMFAPIAYNGFNVGLDLKIAPPQQ* >Brasy2G092800.2.p pacid=40065924 transcript=Brasy2G092800.2 locus=Brasy2G092800 ID=Brasy2G092800.2.v1.1 annot-version=v1.1 MESSEASWHCSFDPSVAAEDSEAMARLLGVHGCCFGGDEQIKQPAPAPPPSSCMYWPGHEADPYYGAAVPPYYVQEQHASAGYQQSHGGYYDHDGVATMGGAGEFFVAPEEQMADDPGLGFMVDLNIQFEDPLDEGNTSSGCKRKLGDTGAGSKKKARSTAAPPVQRKGKGRAQPKKKGSKGSSSRGGGVQEEESNVQSSSNNYLSDEDESLEMTSCSNVSSASKKTRGGSKARADRGAATDPQSLYARKRREKINERLKVLQNLVPNGTKVDISTMLEEAVHYIKFMQLQIKLLSSDDMWMFAPIAYNGFNVGLDLKIAPPQQ* >Brasy2G092800.3.p pacid=40065925 transcript=Brasy2G092800.3 locus=Brasy2G092800 ID=Brasy2G092800.3.v1.1 annot-version=v1.1 MESSEASWHCSFDPSVAAEDSEAMARLLGVHGCCFGGDEQIKQPAPAPPPSSCMYWPGHEADPYYGAAVPPYYVQEQHASAGYQQSHGGYYDHDGVATMGGAGEFFVAPEEQMADDPGLGFMVDLNIQFEDPLDEGNTSSGCKRKLGDTGAGSKKKARSTAAPPVQRKGKGRAQPKKKGSKGSSSRGGGVQEEESNVQSSSNNYLSDEDESLEMTSCSNVSSASKKTRGGSKARADRGAATDPQSLYARKRREKINERLKVLQNLVPNGTKVDISTMLEEAVHYIKFMQLQIKVRQFLHQEHTVNSTTHPKKNMTN* >Brasy2G295800.1.p pacid=40065926 transcript=Brasy2G295800.1 locus=Brasy2G295800 ID=Brasy2G295800.1.v1.1 annot-version=v1.1 MPKRPLPHAEAGAFLTKRQVRGLLGDAGGRGNFGSQGRKLRVRGWRFTGTTRLVLLGLRQRTGKERGGGATEAVPARRRSRTLPRRQCRCFPAAGQASLTLAPPLLFLRASRFLGPLLPSGSRIPVPCPSTTPALPPRAPALRFHILSSWITCLGSSIENTMNFGLWCPKFFIVNCPYSVRTNVLLKRCLISLKL* >Brasy2G399500.1.p pacid=40065927 transcript=Brasy2G399500.1 locus=Brasy2G399500 ID=Brasy2G399500.1.v1.1 annot-version=v1.1 MGNMDPKSPTVGSVEKDRMAQGGLRGFCSSELLLFSENCKCCCRKSFSCRCCGPFGLFVASYR* >Brasy2G082300.1.p pacid=40065928 transcript=Brasy2G082300.1 locus=Brasy2G082300 ID=Brasy2G082300.1.v1.1 annot-version=v1.1 MVTSGWDGTLSIDDFNASAKALMTKWREIDVEDCLPDWTWKPCCTMGVPSQVEGFLALEGVYHTDAGSQTDDNNLGDEGTVEHDTWVQSSSDSVHVYDFHIAYSFSYKVPLLYFQGHQAGGQLLTIDEIKQDLPSHSLKVLSESKWTFITREEHPHLSRPWFTLHPCGTSDWMKLLLDKLGEKDISLQYLSTWLSVVGQAVGLKIPLKLHCNS* >Brasy2G082300.2.p pacid=40065929 transcript=Brasy2G082300.2 locus=Brasy2G082300 ID=Brasy2G082300.2.v1.1 annot-version=v1.1 MVTSGWDGTLSIDDFNASAKALMTKWREIDVEDCLPDWTWKPCCTMGVPSQVEGFLALEGVYHTDAGSQVQSSSDSVHVYDFHIAYSFSYKVPLLYFQGHQAGGQLLTIDEIKQDLPSHSLKVLSESKWTFITREEHPHLSRPWFTLHPCGTSDWMKLLLDKLGEKDISLQYLSTWLSVVGQAVGLKIPLKLHCNS* >Brasy2G067600.1.p pacid=40065930 transcript=Brasy2G067600.1 locus=Brasy2G067600 ID=Brasy2G067600.1.v1.1 annot-version=v1.1 MAGSRVLLHLAVVVAACLAAATPGGAADWVSGSATFYGGSDASGTMGGACGYGNLYSTGYGTNTAAMSTALFNDGAACGECYQVQCDSQNSQWCNKGATVTVTATNLCPPDYSKPSNNGGWCNPPRRHLDMAQPAWEKIGVYRAGIVPVMFRRVPCSRSGGVRFTINGNDYFELVLITNVGGAGSISSVQIKGSRTGWVTMSRNWGANWQCNNYLNGQSISFTVTATDGKKQVFQDVAPSNWRFSQTFSSAVQFY* >Brasy2G048200.1.p pacid=40065931 transcript=Brasy2G048200.1 locus=Brasy2G048200 ID=Brasy2G048200.1.v1.1 annot-version=v1.1 MSFSGLLAFAGAAWLLAAAAGMADGQEAQTDVPSIITPDKFHAMMSNGDCEGAAFYTRDAFLEAASKFDGFGTAGDEETRRRELAAFFGQTSHETNGYCWVKDPNPTIARYYGRGPMQLTRDYNYRQAGKALGLDLLDNPDLVSTDPVVAFKTAIWFWMTPQQAPSKPSCHAVMTGGWTPSAQEREASLLPGYGMTTNIINGAVECGKGYATPQAKDRVRYYKMYCDMLNVTNGDNKYCKYQKPAQPPRSPLGGMNQLPTRSTRPPSSLQPLGESWANLHPRRRTAILIGTSIGAVFFFIILGSLIWFLLRQGRRKQAKEGTPQRVAEGNFLDDDQAMEDDFEKRTGPKRFCYNDLAIATDNFSDEKKLGEGGFGSVYKGFMNELNLPVAIKRVSKGSKQGRKEYASEVRIISRLRHKNLVQLIGWCHGDNELLLVYELMPNRSLDNHLYGNNNVVLPWLVRHEIVLGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFSAKLGDFGLARLVDHGQGSLTTTLAGTMGYMDPECMTTGMTSTESDVYSFGVVLLEIACGKRPIVGTRQDEETMHLAQWVWDLYGRGRILDAVDARLGGEFDAREMECVMVVGLWCSQLDCSLRPSVRQAVNVLRFEAPLPVLPARMPVAAYMPPVDTQSFTSPASS* >Brasy2G251100.1.p pacid=40065932 transcript=Brasy2G251100.1 locus=Brasy2G251100 ID=Brasy2G251100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNTGPFKYIPRGFISCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYQNEVRYPPRPPAPELTKVFQVDGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G262300.1.p pacid=40065933 transcript=Brasy2G262300.1 locus=Brasy2G262300 ID=Brasy2G262300.1.v1.1 annot-version=v1.1 MAAASSLHIAAPAATPRVGSAGRKSSSPVVRSVRVARSGAEARPGGRLVSCAAVATKADAPASAGASKSDGHEVLLFEALREGLMEEMQSDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLRPVIEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLRLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ* >Brasy2G130200.1.p pacid=40065934 transcript=Brasy2G130200.1 locus=Brasy2G130200 ID=Brasy2G130200.1.v1.1 annot-version=v1.1 MEEEKLKKEATETARRPTDKDNHGDEIDAVSPRPESEKEDAETWGALADDLIVQIVKLIPASRADRFGLTYLNNHWQRVVHSRLPPLPSELPYLILPSSRETLFHTSTGSPDTIAWRRLYLHGEDMHNASFCGSFEGGWMAVAVLEPCKYQLYNIYTSQIIDLPITILRKNRICQVVRMEVIVMSASPSSAGYLLCAILHIDSYPWTSIALWNETCDHWVHAPCEEENGSLKLQDVLYQNGEFLVLSSQEDIRVIVPQVDQDGNLRSDYRMPRVAQRPYYESELRRGLNFDQCTL* >Brasy2G173700.1.p pacid=40065935 transcript=Brasy2G173700.1 locus=Brasy2G173700 ID=Brasy2G173700.1.v1.1 annot-version=v1.1 MGTTYASSARCSRGVVGRFEGARGGLSPGRVFARVSYFSYFFRLFPSARGLLCRFLAARGWCAAGCCCSSRRPSCGAAAASIVFLPSVRWSAPQVLETARHLVLDCPFSKEIWTSFLRERPRMCRIVEQSSSLSGWWNRLLKLNSRKKNPDIVWASLVVWHIWKERNQRIFKNCRSSPQSVASVIRSECALLLEECRE* >Brasy2G173700.2.p pacid=40065936 transcript=Brasy2G173700.2 locus=Brasy2G173700 ID=Brasy2G173700.2.v1.1 annot-version=v1.1 MGTTYASSARCSRGVVGRFEGARGGLSPGRVFARVSYFSYFFRLFPSARGLLCRFLAARGWCAAGCCCSSRRPSCGAAAASIVFLPSVRWSAPQVLETARHLVLDCPFSKEIWTSFLRERPRMCRIVEQSSSLSGWWNRLLKLNSRKKNPDIVWASLVVWHIWKERNQRIFKNCRSSPQSVASVIRSECALLLEECRE* >Brasy2G121600.1.p pacid=40065937 transcript=Brasy2G121600.1 locus=Brasy2G121600 ID=Brasy2G121600.1.v1.1 annot-version=v1.1 MGEMAMAGYGPDKAVRCSVSLDTPCGSMLRELEQIWTEIGEREQDKDRMFLELETECMRVYRRKVDSANADRAQLHQSLMAKQAELKALVASIGEHTPQLKVDEKHTSLKEQLAAVTPLLEDLRATKEERIKQFSNVQSEIEKINAQISDYSYQHERLNNDHDLSTGRLADLQMQLRNLQREKSDRLQKVFVYVDEVHCLCAVLGMDFANTVKDVHPSLHGTDSENSTNISDRTLEGLTQTILKLKAEKRNRVLKLQDIVEKLHKLWNLMESTEQERRHFAEVAAILGSSEEEITSPSILSLETIQETEEEVERLTKQKASRMKELVLKRRLELENICRNAHMEPDISTAPEKIIALIDSGLVDPGELLSSIEEQIAKANEQSLTRKDIMERVDRWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARVLVQKIPTMIDNLIDKTFAWEDESNVPFLYDGVRLVAILEEQKLRRVQKEEDKKRYRDQKKLQNLLLKEKELIFGSKPTPKKTSSFNRRMSSHHPNGNGSGFMTPMPRRVSAGSATPELLTPRSYSGRYNTYFKENRRMTPAPLNFSTASKDDSMSSFASISGSEPDSPLVLH* >Brasy2G122200.1.p pacid=40065938 transcript=Brasy2G122200.1 locus=Brasy2G122200 ID=Brasy2G122200.1.v1.1 annot-version=v1.1 MAASPPPTATVDHARLLLHGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIREQLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGKDYKGGILRFQDGEPSCVVPVAGDVVIYTADSRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLAFLSQTSLSYEPAVQNSYIPLPASDNMYWFSYDQSGFDIRCARLHILGFSFCASSDQDSTSRSVPASEDPIELLGKPLRVGRGYNVFEKIFANSLHALQVVQFYYWKAPELAARRKQTAGGSETVCNHTIQIQQSGGMELPLPCNHGLAQTIFGSYDNMEFAFEWSDFVLAVAMWESYSEELKRKLSTFLPFWLSNETIFVVNSSETQ* >Brasy2G122200.2.p pacid=40065939 transcript=Brasy2G122200.2 locus=Brasy2G122200 ID=Brasy2G122200.2.v1.1 annot-version=v1.1 MAASPPPTATVDHARLLLHGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIREQLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTDGEPSCVVPVAGDVVIYTADSRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLAFLSQTSLSYEPAVQNSYIPLPASDNMYWFSYDQSGFDIRCARLHILGFSFCASSDQDSTSRSVPASEDPIELLGKPLRVGRGYNVFEKIFANSLHALQVVQFYYWKAPELAARRKQTAGGSETVCNHTIQIQQSGGMELPLPCNHGLAQTIFGSYDNMEFAFEWSDFVLAVAMWESYSEELKRKLSTFLPFWLSNETIFVVNSSETQ* >Brasy2G122200.3.p pacid=40065940 transcript=Brasy2G122200.3 locus=Brasy2G122200 ID=Brasy2G122200.3.v1.1 annot-version=v1.1 MAASPPPTATVDHARLLLHGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIREQLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADSRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLAFLSQTSLSYEPAVQNSYIPLPASDNMYWFSYDQSGFDIRCARLHILGFSFCASSDQDSTSRSVPASEDPIELLGKPLRVGRGYNVFEKIFANSLHALQVVQFYYWKAPELAARRKQTAGGSETVCNHTIQIQQSGGMELPLPCNHGLAQTIFGSYDNMEFAFEWSDFVLAVAMWESYSEELKRKLSTFLPFWLSNETIFVVNSSETQ* >Brasy2G122200.4.p pacid=40065941 transcript=Brasy2G122200.4 locus=Brasy2G122200 ID=Brasy2G122200.4.v1.1 annot-version=v1.1 MAASPPPTATVDHARLLLHGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIREQLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGKDYKGGILRFQDGEPSCVVPVAGDVVIYTADSRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLAFLSQTSLSYEPAVQNSYIPLPASDNMYWFSYDQSGFDIRCARLHILGFSFCASSDQDSTSRSVPASEDPIELLGKPLRVGRGYNVFEKIFANSLHALQ* >Brasy2G122200.5.p pacid=40065942 transcript=Brasy2G122200.5 locus=Brasy2G122200 ID=Brasy2G122200.5.v1.1 annot-version=v1.1 MAASPPPTATVDHARLLLHGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIREQLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADSRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLAFLSQTSLSYEPAVQNSYIPLPASDNMYWFSYDQSGFDIRCARLHILGFSFCASSDQDSTSRSVPASEDPIELLGKPLRVGRGYNVFEKIFANSLHALQ* >Brasy2G046300.1.p pacid=40065943 transcript=Brasy2G046300.1 locus=Brasy2G046300 ID=Brasy2G046300.1.v1.1 annot-version=v1.1 MTAARRRTDFSSLSPLLPRLSSAASNLLEMRQYGSRREGDGDGGLRNGRSSSASRPGLIDTSSWRYFDARAVGIPTCSIPRNCWTVLQMLKRKGFEAYLVGGCVRDLLLKRAPKDFDVITTASLKQIKKLVFKRCMIIGRRFPICQVKMHGSTFEVSSFSTNGTEVKGSENLNCSEELDGYDEGDILRWKNSMKRDFTINSLFFNPFNYRVYDYVNGVNDVRKNKVCAVIPAHVSFKEDPARILRGLRIAARLGFQFSSETSTAIRDLSSSIIDIDKSRLAMEMKYMLSHGAAESSIRLLGKYGLLDILLPFQAAYLSHQIKGQSSDRNLMLMKLLANLDKLTSADRPCHCSLWLALLAFHSALVNSPQDAQVIKAFASLMYFGTWDSTIKFLKQDTGAPVTFVPEALQPSGTKLNNLMEKTSHLASLVNCSVDTLTCLDALQQSLARYPKASQFSGLIFVSNKERSRILGIFKGLDSDLSSYDERRGMHGINYKLLDDGHTGEVRFVLGKVIMDTMSDESLCASTNDDALARKPVVDLADGGKHPLSELFS* >Brasy2G072000.1.p pacid=40065944 transcript=Brasy2G072000.1 locus=Brasy2G072000 ID=Brasy2G072000.1.v1.1 annot-version=v1.1 MATFFSADQRSLAGGGGGDMSFHHHYPMAPSSNPYLQDSSAGGLIPLPATIAHIAQDEPAAFMGARADNGQPTDSGSAGADLQTQLLMGGDPGSQRRLHHHHQGGGGGLSLSLGTQVPGVSLYQQQQQYRPGAMASPMSQPAMAMAMAARQQQGSLYVQNSRFLKAARELLDEVVSVRDAIVERKKKTTAKEEEEECDAGSKTTKEQEENSSSGPELSPADRQEVQNKVTALMGMLDQVDRRYRQYQREMQAVAASLDAVAGPGAGRPYTALALQTISRHFRSLRDAIGAQVQSARRSLGEPQDGGGAGGGGLSRLRYIDQHLRQQRAMQQFGGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKVMLARQAGLSRGQVSNWFINARVRLWKPMVEEMYKEEFGAEMDSTNSSSDQKQQGGGKAAADDDDHEDRDEFQSPTSAAARQAQAQAQLLINNPYKSEPVAAMEGTYALLDHHHHGPGGGGLLDALAHHGGGEDARFMAYGGGGGGSVSLTLGLQHCNNNNSSGSNNNNNGAEHEQQAGLLYGNPGDFDFLSDDRQRFGSSSAAASQLLHDFVT* >Brasy2G240200.1.p pacid=40065945 transcript=Brasy2G240200.1 locus=Brasy2G240200 ID=Brasy2G240200.1.v1.1 annot-version=v1.1 MRLLRVATCNLNQWAMDFDTNLRHVKESIVQAKAAGAVVRIGPELELTGYGCEDHFLEQDTATHAWECLKDILSGDYTDNILCSIGMPIIFKSVRYNCQVFCLNRKIIMIRPKISLANDGNYREFRWFSAWTFKDELVDFQLPIDISEAISQGTVPFGYGYIQFLDVSLAAETCEELFTADAPRIGLAFSGVEVFMNASGSHHQLRKLNLRIDSIRDATRLCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDMVAQGSQFSLKDVEVLDALIDLDAVSSYRACVSSFREQASHVTKVPCVKVPYKLCQTFHNRMVPTDPIEIMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVLKDIEKGDEQVKADAMRIGQYKDGEFPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSCHFDVPIDSVVSAFLSLFERFTGKRPRYKVDGGSHTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLQYSSLAEVEAAPPTAELEPIRTDYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSAWPYQFRKIDELVQDIDKDGKWEDSSDAQLRGHKGVRSAQGSGMGVVAAGSANPSAGF* >Brasy2G448300.1.p pacid=40065946 transcript=Brasy2G448300.1 locus=Brasy2G448300 ID=Brasy2G448300.1.v1.1 annot-version=v1.1 MPASGGVQKGLQLWINLSSKDKMHALYALCRQRFINIPDEPFAVPNDSQSLTQHSAIPMLVGDRRALDERKRNGGGARFHGLRAAGNRVGRFQQHRVGRGELVGKENSGLAGVVLLMRRSLPFGTHSSEGRATYGARLQFMVVKYICSVP* >Brasy2G376300.1.p pacid=40065947 transcript=Brasy2G376300.1 locus=Brasy2G376300 ID=Brasy2G376300.1.v1.1 annot-version=v1.1 MAGNEEVALKPVSCGARLHRSRDASLREEVSMRDPFLKQRVKKFDLSSLEWIDQIPECPVFSPSVEEFEDPLVYLSKIAPVAAKYGICKIVSPICASVPVGTVLMKEQSGLKFTTRVQPLRLAEWSMDDKFAFFMSGRKYTFRDFEKMANKGFVRRYSSAACLPPRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPTDQLGRSKWNLKRLSRLPKSILRLLRTTIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFERVVREHVYDHEILSGEGETAAFDILLGKTTMFPPNILLQHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGAIASQRYALLKRIPLLPYEELLCKETSLLAHEFSTSSYKDMTTLTGDTHMQHCMKVPFVQLMRLQHCVRWSLMKMGARTHYKADIDAVVLCGICRRDCYVAHIMCNCRVDAICLCHEEEIRKCPCTCGRVVFVRKDIFELETLSKKFEDETGIMEAVRKQMSRGSSTHSYFNRIDCNAEYFPYCKIHIDAPPEVHSISETRVLGYDLNKPYPDASTITFSLGPHEYSTQSDECTSTSRRTFSSSFPENAFIPETTIISAYPLSAPDQTCSSEKLAAQDTDDSDCEIFRVKRRSGITPERRGTEDVTITNFTENQVLKRLKKIHADDRQQQKLVEISCSTNDPVHTHSTHCLDFISGNGDDLIAPTKLKMIHHLDANIVEDEVASSQKPNGCNYLSPSVELGPKRLRILGPSFPSGNCELEISCRFQEDSDLASQHAR* >Brasy2G376300.2.p pacid=40065948 transcript=Brasy2G376300.2 locus=Brasy2G376300 ID=Brasy2G376300.2.v1.1 annot-version=v1.1 MKEQSGLKFTTRVQPLRLAEWSMDDKFAFFMSGRKYTFRDFEKMANKGFVRRYSSAACLPPRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPTDQLGRSKWNLKRLSRLPKSILRLLRTTIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFERVVREHVYDHEILSGEGETAAFDILLGKTTMFPPNILLQHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGAIASQRYALLKRIPLLPYEELLCKETSLLAHEFSTSSYKDMTTLTGDTHMQHCMKVPFVQLMRLQHCVRWSLMKMGARTHYKADIDAVVLCGICRRDCYVAHIMCNCRVDAICLCHEEEIRKCPCTCGRVVFVRKDIFELETLSKKFEDETGIMEAVRKQMSRGSSTHSYFNRIDCNAEYFPYCKIHIDAPPEVHSISETRVLGYDLNKPYPDASTITFSLGPHEYSTQSDECTSTSRRTFSSSFPENAFIPETTIISAYPLSAPDQTCSSEKLAAQDTDDSDCEIFRVKRRSGITPERRGTEDVTITNFTENQVLKRLKKIHADDRQQQKLVEISCSTNDPVHTHSTHCLDFISGNGDDLIAPTKLKMIHHLDANIVEDEVASSQKPNGCNYLSPSVELGPKRLRILGPSFPSGNCELEISCRFQEDSDLASQHAR* >Brasy2G453200.1.p pacid=40065949 transcript=Brasy2G453200.1 locus=Brasy2G453200 ID=Brasy2G453200.1.v1.1 annot-version=v1.1 MSAPPPDNLQLLRHLQLRVAQGFFLPDTNRITIYEADVYSAAPPFLVGAFYDDGGTGDDSTCYYFFSPANFISSGKRKRKVDGGGADNCCWHPEGGKSIIVGEDGESAGGYARQLSFVMINTPGPGGVISRKSSGWCMTEIELQQQGDGVGEIVLCKVYKSNRTRTGAFISAAAAADHRAVPPVVEQRPAGRGISENAPAAAVDLPDGDISSPCVLACEAAPPDGGKGAAAMVHTIVMKFCGSSIASPEKMKGVAQLLLSLAAAGERPVVVLSAMGETTNNLILASEAAISCDIQQARLIYERSVVMELHLRTIKELGLDKSVVSGSLDELTKLLDSVAMTSELTPTTRHHLASLGERMPTEIFSAYLNKVERKARPIQIWKDEDGVLTDFPKAILAPCLTFDEATELAYAGAQSTLSSIVLKSNVLVLDITSKRIPARTDFQAQELDNVVKELEKIAHVYRPEPKSIVSLIGNVQKSSFILGKVSKVLEGIGVNVQFARRVPKNQVLSVALEFSDDCEARRCVQVLHSEFLEDGFLPKADGAENEWPPPVNNSSARRGRSQSDDGFPESAPGVRQARTDAVPIAPELEEIERSNLMSIDAWEWDPTGFFAHILGAYNNDPIHVDFGGGLAAGVYQLGDHPLPVDGVKNARDPTEFYAAAIDDGNVRARMITPIIGGDNLMPIGADDEGGSFSAVVLPDADKELTPVDGGFIPARASWLVDGGEAQQQELAETTEETGSGLLDAVLQLEGEDIDEFLGTSSGSHAMSAPPPAS* >Brasy2G410600.1.p pacid=40065950 transcript=Brasy2G410600.1 locus=Brasy2G410600 ID=Brasy2G410600.1.v1.1 annot-version=v1.1 MIRYQVRNEYGLADPELYGPAEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAAEKAVISQTDHSNYQHDDGVEWHANLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGRTSDMLETDYLREMKPRKIKKKAVRGRTGETLESLLVANSESQITPSKDRASRKVPPRTTKLKPRHPRDSYDKTTRICREQLLEVISSQQKILSNYSASHYHENYRSTYSSETTSPFGELDNYKAPAQSSAKLELTKVVPMNESDSFVTASTPIKGPIFHGSDDGQFLATQHEHTVMDPICKGSLVDQNDISSTPERLQESTDFNSEEGNFASQSMLEEKLQSAVVPVNHDNDHCRLDDIASDQDNFVDAVYTELEGESDCDMKTKRDLSAKMEAIDHLSSGLSNPCNGKEPTRPDSFLVSDSSPSVVSGIKDTDSDSDSCRQLSGDNWMDDKEPFNDVDLMDVSSSSSVTSDGNGNFETNNNLNGCQQNKDVSFLPSNDCHAVVAHSSDKQLSQTSSGLDGLVIRSSDYHEKAYHSREHGQENVLDCKPTILGQPNDVSHDGGELKVPGAHDLLLHSTTLNPEQMQLSKEQFEECKSLDTGMPSSKLASLPDIDRVVHMNNLELGNVAFPEENNTSTIPTSLDPDGVHKHLGELDSGVAPIHSDMLNNPLYESDEDEIVEESRCLPDEDLYKHVAKDQDIVALEKGTSSIRLNAHREDPMQPAVVPMHLSNVQVIPRLTEFVSTFQDSTEAHLNEMLELSPRALNDNAKTSLIEGPPASSTAPLLGTSDSFLEHCVSVEPGKNVEHSEVLVDAEVAEESTTMLENDIIPCVEEHTDGAKYTEKADVLLVTNYIEENSSNDVPSQSSSPLREDMETAKATCENLGSLEESRGHIFKGSMLHTANHPQPIEIENSGETYSDGDDIQYLPSLHLPEESICHEELPEENILNAEVPCQCDSAMVGEQPSEVNQDLVRELSAQDSFGTNPFVDPGYIVSSTDPSPSMSYRPCFSEEEQDFLSELLIDHGNTEAKENLYPLNDSLWETATPPDEAPLPSEFMTEQDFRSFCHEYHEMDFTAVTDGFGDKPSSECNDITNGCVVSVLDLPSSVSVLLAELGTEAVCSKPDSQLPDCPAGRKIPGDTSVPFSTREVPDGETPEADSDLRSHESFGKEKNPELGIPSVPLKSDQERHALPGVVSNSGTWLLDNEKTGGIYGSRSGNIVPVKEEQETCANLVPHAFINENLDELDGHLSNYLPVEPAVEGRSLDELDVIPLSKPVLTQESDVHVLDGLDSQIVPSSSIGKIVNDQDVSPLSMALEAEESEDHITGENDSQIGTSLVGKNIDELGAPPPSSAVLVEKEAEVCVSGELDSQIASCSLPNEKIDERDCPPLSSKNIDELGAPPPSSVVLVEKEAEVCVLGELDSQIASCSLPNEKIDEQDCPPLSGSVLVENKSDGHVSGDPDCQIAPYSSANYNIDVPGATASVETEWEACSSPELDNQISSYPLTDDKVGELDGTPSCNDQVEAENGSYCSPEFHFQTASYSSVNDKIDGPGAATSVSDIEAELGWEACSSPKLECQIGMSQLSDDKVGELDGRPSCNVQVEADNGSYCPPKFYSQIAPGSSNSGALADTSISAPTSINDIEVEPGWEACSSPELDFRIAPCPSSDDKVGELDQLPSCNVQAESDDGSCWSPEFDSRIATGSPNSGALTETSTTTSTSVMPSTEENYLVSPVLPWTEPFKNVSNEDPQKSPPLPPLQWRLGRARLGLLSTKGHMPDPARRTAPVLSASSQDIGTSVGSLDGMAESIASVSSQDIKERHQNSVEDDNNQRIESGRPSTCPTVTDVARTEHDRSFSEACGNIKHQGHITSSPTESEKHPNDSGATDGMALDLLPFPMYEHGINQEGPQQHLLCSDISDITEHLSRTDPAASDKMVDDHNAAGGMHLNTISSSTPGNDFENGCYQQPQHGQALSGTSDNEESSSASYEDKNLKDHSITSGSPSDTTKHNVSDSLSEGHSQESHNIKEQDTVNLKDSLSGGPSPSAESIVSEDYPHGDPNLERENIHLSNLWWPGDKNKYVGGLGEGTYVQAGQPPVMGWTVGPQMLHPNYGMPMEGSRFEPEVTDHPLIRKPISMRNIPRNPLVDAVAAHDRSTMRKVAELAPAADKTNPNGRNLWLQQIRNKTFDLKPVGSAKPTSMRAPARNLKVAAIIEKANAIRQAVGSDDEDEDDDNWSDT* >Brasy2G410600.2.p pacid=40065951 transcript=Brasy2G410600.2 locus=Brasy2G410600 ID=Brasy2G410600.2.v1.1 annot-version=v1.1 MIRYQVRNEYGLADPELYGPAEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAAEKAVISQTDHSNYQHDDGVEWHANLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGRTSDMLETDYLREMKPRKIKKKAVRGRTGETLESLLVANSESQITPSKDRASRKVPPRTTKLKPRHPRDSYDKTTRICREQLLEVISSQQKILSNYSASHYHENYRSTYSSETTSPFGELDNYKAPAQSSAKLELTKVVPMNESDSFVTASTPIKGPIFHGSDDGQFLATQHEHTVMDPICKGSLVDQNDISSTPERLQESTDFNSEEGNFASQSMLEEKLQSAVVPVNHDNDHCRLDDIASDQDNFVDAVYTELEGESDCDMKTKRDLSAKMEAIDHLSSGLSNPCNGKEPTRPDSFLVSDSSPSVVSGIKDTDSDSDSCRQLSGDNWMDDKEPFNDVDLMDVSSSSSVTSDGNGNFETNNNLNGCQQNKDVSFLPSNDCHAVVAHSSDKQLSQTSSGLDGLVIRSSDYHEKAYHSREHGQENVLDCKPTILGQPNDVSHDGGELKVPGAHDLLLHSTTLNPEQMQLSKEQFEECKSLDTGMPSSKLASLPDIDRVVHMNNLELGNVAFPEENNTSTIPTSLDPDGVHKHLGDEDEIVEESRCLPDEDLYKHVAKDQDIVALEKGTSSIRLNAHREDPMQPAVVPMHLSNVQVIPRLTEFVSTFQDSTEAHLNEMLELSPRALNDNAKTSLIEGPPASSTAPLLGTSDSFLEHCVSVEPGKNVEHSEVLVDAEVAEESTTMLENDIIPCVEEHTDGAKYTEKADVLLVTNYIEENSSNDVPSQSSSPLREDMETAKATCENLGSLEESRGHIFKGSMLHTANHPQPIEIENSGETYSDGDDIQYLPSLHLPEESICHEELPEENILNAEVPCQCDSAMVGEQPSEVNQDLVRELSAQDSFGTNPFVDPGYIVSSTDPSPSMSYRPCFSEEEQDFLSELLIDHGNTEAKENLYPLNDSLWETATPPDEAPLPSEFMTEQDFRSFCHEYHEMDFTAVTDGFGDKPSSECNDITNGCVVSVLDLPSSVSVLLAELGTEAVCSKPDSQLPDCPAGRKIPGDTSVPFSTREVPDGETPEADSDLRSHESFGKEKNPELGIPSVPLKSDQERHALPGVVSNSGTWLLDNEKTGGIYGSRSGNIVPVKEEQETCANLVPHAFINENLDELDGHLSNYLPVEPAVEGRSLDELDVIPLSKPVLTQESDVHVLDGLDSQIVPSSSIGKIVNDQDVSPLSMALEAEESEDHITGENDSQIGTSLVGKNIDELGAPPPSSAVLVEKEAEVCVSGELDSQIASCSLPNEKIDERDCPPLSSKNIDELGAPPPSSVVLVEKEAEVCVLGELDSQIASCSLPNEKIDEQDCPPLSGSVLVENKSDGHVSGDPDCQIAPYSSANYNIDVPGATASVETEWEACSSPELDNQISSYPLTDDKVGELDGTPSCNDQVEAENGSYCSPEFHFQTASYSSVNDKIDGPGAATSVSDIEAELGWEACSSPKLECQIGMSQLSDDKVGELDGRPSCNVQVEADNGSYCPPKFYSQIAPGSSNSGALADTSISAPTSINDIEVEPGWEACSSPELDFRIAPCPSSDDKVGELDQLPSCNVQAESDDGSCWSPEFDSRIATGSPNSGALTETSTTTSTSVMPSTEENYLVSPVLPWTEPFKNVSNEDPQKSPPLPPLQWRLGRARLGLLSTKGHMPDPARRTAPVLSASSQDIGTSVGSLDGMAESIASVSSQDIKERHQNSVEDDNNQRIESGRPSTCPTVTDVARTEHDRSFSEACGNIKHQGHITSSPTESEKHPNDSGATDGMALDLLPFPMYEHGINQEGPQQHLLCSDISDITEHLSRTDPAASDKMVDDHNAAGGMHLNTISSSTPGNDFENGCYQQPQHGQALSGTSDNEESSSASYEDKNLKDHSITSGSPSDTTKHNVSDSLSEGHSQESHNIKEQDTVNLKDSLSGGPSPSAESIVSEDYPHGDPNLERENIHLSNLWWPGDKNKYVGGLGEGTYVQAGQPPVMGWTVGPQMLHPNYGMPMEGSRFEPEVTDHPLIRKPISMRNIPRNPLVDAVAAHDRSTMRKVAELAPAADKTNPNGRNLWLQQIRNKTFDLKPVGSAKPTSMRAPARNLKVAAIIEKANAIRQAVGSDDEDEDDDNWSDT* >Brasy2G410600.3.p pacid=40065952 transcript=Brasy2G410600.3 locus=Brasy2G410600 ID=Brasy2G410600.3.v1.1 annot-version=v1.1 MGTRFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAAEKAVISQTDHSNYQHDDGVEWHANLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGRTSDMLETDYLREMKPRKIKKKAVRGRTGETLESLLVANSESQITPSKDRASRKVPPRTTKLKPRHPRDSYDKTTRICREQLLEVISSQQKILSNYSASHYHENYRSTYSSETTSPFGELDNYKAPAQSSAKLELTKVVPMNESDSFVTASTPIKGPIFHGSDDGQFLATQHEHTVMDPICKGSLVDQNDISSTPERLQESTDFNSEEGNFASQSMLEEKLQSAVVPVNHDNDHCRLDDIASDQDNFVDAVYTELEGESDCDMKTKRDLSAKMEAIDHLSSGLSNPCNGKEPTRPDSFLVSDSSPSVVSGIKDTDSDSDSCRQLSGDNWMDDKEPFNDVDLMDVSSSSSVTSDGNGNFETNNNLNGCQQNKDVSFLPSNDCHAVVAHSSDKQLSQTSSGLDGLVIRSSDYHEKAYHSREHGQENVLDCKPTILGQPNDVSHDGGELKVPGAHDLLLHSTTLNPEQMQLSKEQFEECKSLDTGMPSSKLASLPDIDRVVHMNNLELGNVAFPEENNTSTIPTSLDPDGVHKHLGELDSGVAPIHSDMLNNPLYESDEDEIVEESRCLPDEDLYKHVAKDQDIVALEKGTSSIRLNAHREDPMQPAVVPMHLSNVQVIPRLTEFVSTFQDSTEAHLNEMLELSPRALNDNAKTSLIEGPPASSTAPLLGTSDSFLEHCVSVEPGKNVEHSEVLVDAEVAEESTTMLENDIIPCVEEHTDGAKYTEKADVLLVTNYIEENSSNDVPSQSSSPLREDMETAKATCENLGSLEESRGHIFKGSMLHTANHPQPIEIENSGETYSDGDDIQYLPSLHLPEESICHEELPEENILNAEVPCQCDSAMVGEQPSEVNQDLVRELSAQDSFGTNPFVDPGYIVSSTDPSPSMSYRPCFSEEEQDFLSELLIDHGNTEAKENLYPLNDSLWETATPPDEAPLPSEFMTEQDFRSFCHEYHEMDFTAVTDGFGDKPSSECNDITNGCVVSVLDLPSSVSVLLAELGTEAVCSKPDSQLPDCPAGRKIPGDTSVPFSTREVPDGETPEADSDLRSHESFGKEKNPELGIPSVPLKSDQERHALPGVVSNSGTWLLDNEKTGGIYGSRSGNIVPVKEEQETCANLVPHAFINENLDELDGHLSNYLPVEPAVEGRSLDELDVIPLSKPVLTQESDVHVLDGLDSQIVPSSSIGKIVNDQDVSPLSMALEAEESEDHITGENDSQIGTSLVGKNIDELGAPPPSSAVLVEKEAEVCVSGELDSQIASCSLPNEKIDERDCPPLSSKNIDELGAPPPSSVVLVEKEAEVCVLGELDSQIASCSLPNEKIDEQDCPPLSGSVLVENKSDGHVSGDPDCQIAPYSSANYNIDVPGATASVETEWEACSSPELDNQISSYPLTDDKVGELDGTPSCNDQVEAENGSYCSPEFHFQTASYSSVNDKIDGPGAATSVSDIEAELGWEACSSPKLECQIGMSQLSDDKVGELDGRPSCNVQVEADNGSYCPPKFYSQIAPGSSNSGALADTSISAPTSINDIEVEPGWEACSSPELDFRIAPCPSSDDKVGELDQLPSCNVQAESDDGSCWSPEFDSRIATGSPNSGALTETSTTTSTSVMPSTEENYLVSPVLPWTEPFKNVSNEDPQKSPPLPPLQWRLGRARLGLLSTKGHMPDPARRTAPVLSASSQDIGTSVGSLDGMAESIASVSSQDIKERHQNSVEDDNNQRIESGRPSTCPTVTDVARTEHDRSFSEACGNIKHQGHITSSPTESEKHPNDSGATDGMALDLLPFPMYEHGINQEGPQQHLLCSDISDITEHLSRTDPAASDKMVDDHNAAGGMHLNTISSSTPGNDFENGCYQQPQHGQALSGTSDNEESSSASYEDKNLKDHSITSGSPSDTTKHNVSDSLSEGHSQESHNIKEQDTVNLKDSLSGGPSPSAESIVSEDYPHGDPNLERENIHLSNLWWPGDKNKYVGGLGEGTYVQAGQPPVMGWTVGPQMLHPNYGMPMEGSRFEPEVTDHPLIRKPISMRNIPRNPLVDAVAAHDRSTMRKVAELAPAADKTNPNGRNLWLQQIRNKTFDLKPVGSAKPTSMRAPARNLKVAAIIEKANAIRQAVGSDDEDEDDDNWSDT* >Brasy2G088400.1.p pacid=40065953 transcript=Brasy2G088400.1 locus=Brasy2G088400 ID=Brasy2G088400.1.v1.1 annot-version=v1.1 MLVVGMAAASSGCSSGCQSGWTTYLDDDGSSYSTSSAARFHGKLQQPCYGYECEYSEEDDLSMVSDASSGPRLQCSAGSGATHANARAERRSRGTETAAASRRQTKMAGAVASLLEDTASSPAFFKLSKQVKSSAEANGYGRGAAASITNAADFSCAFFSATGFESPLNDSALSGCLQAQCSPAPAKRMMPTRQMCRDGSDKIKRW* >Brasy2G088400.2.p pacid=40065954 transcript=Brasy2G088400.2 locus=Brasy2G088400 ID=Brasy2G088400.2.v1.1 annot-version=v1.1 MLVVGMAAASSGCSSGCQSGWTTYLDDDGSSYSTSSAARFHGKLQQPCYGYECEYSEEDDLSMVSDASSGPRLQCSAGSGATHANARAERRSRGTETAAASRRQTKMAGAVASLLEDTASSPAFFKLSKVKSSAEANGYGRGAAASITNAADFSCAFFSATGFESPLNDSALSGCLQAQCSPAPAKRMMPTRQMCRDGSDKIKRW* >Brasy2G141200.1.p pacid=40065955 transcript=Brasy2G141200.1 locus=Brasy2G141200 ID=Brasy2G141200.1.v1.1 annot-version=v1.1 MGSSRRAAVLAMLLLISSSTADASFFDPFNIFRAGDFFGDEPTPPTRSEREETGASADTMGLTKVPPTGDPSKVSQDTIVLPVDTDDDKPDGAWSIVSDNSGVSAMHMAVMRHGRAVMFDTSTTGRSLMRLRQDNCRVDPRAKKPGTADCWAHAVEFDYARGAIRPLKILTDTWCSSGAFDADGNLVQTGGYFDGDKAVRYLSPCSKCDWKEHPRSFADGRWYATQQVLPDGRFIVFGGRRSFSYEFVPKPGLTNHQSIPLPFLRETTDDVENNLYPFVNLLPDGSLFVFANDRGIILDHRAELVIREVPPLLGGARNYPGSAMSALLPLDLRNKLHGADPEPEVIICGGAPKTAFKVGENNTFLPALKDCGRINLASPDSRWAVEDMPVGRVMGDMLILPTGDLLILSGAARGCSGWGFARQPVLTPVLYTPHAPMGTRFRPLVASTIARLYHSTAALLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLDRALAANRPVIDALSMPGDGMRYGARFAFRFTTPVEPVVEADVKVTMYAPPFTTHGYSMNQRSLVLSVSLFVANGLGYAVTVDAPGKPELAPPGFYLLFVVAKDVPSAAAWVKIQ* >Brasy2G027300.1.p pacid=40065956 transcript=Brasy2G027300.1 locus=Brasy2G027300 ID=Brasy2G027300.1.v1.1 annot-version=v1.1 MSQGTSPLPKDRGPTEDSLKAVGTKRLHSDAPSSPVYQNVYVRRKVDTEHNKVNSSQELKGNGRDKTKEQEEQQNLETEHSKINSSQELKGNVRQQEEQQNVGIEHSKVNSSQELKGNGGDKIKVSSSQEFKGSETELEEHKNVEIEHSKVNSSQELRGDGGDKIKVGSSQEFKGNEREQEEQKNVEIEHSKVNSSQELKSNGLDKIEVKEEQQMVQHDQINKPEVASPIVESEGQVSSEMTSPIGESGELVPPESPSKTIAETVPENNELPIPSANELPIPSANELPVPSANELPIPSANEPAITPDTLVQGDTHRPSNQNAYWSERYNRLQTYLENCNQSSQEGYMQMLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKNSPNGSLKQPPLQR* >Brasy2G001500.1.p pacid=40065957 transcript=Brasy2G001500.1 locus=Brasy2G001500 ID=Brasy2G001500.1.v1.1 annot-version=v1.1 MLLHLLSSRFIALLFWLTCTCILLTDFLCIITPVRLISFGGFLVVLVMLEVPQVSHFLWLNLQIQWRECLTRGLLFQSLRPTVFSLFVGSVLIYLLFLMNFILSFMVAK* >Brasy2G313000.1.p pacid=40065958 transcript=Brasy2G313000.1 locus=Brasy2G313000 ID=Brasy2G313000.1.v1.1 annot-version=v1.1 MALLREKRVQLSLHVPTRAAEAQETGHRRPNPVAAAQVAALTPAAARSSQFRLADFDKLAVLGRGNGGTVYKVRHRETCALYALKVQHCNGDATAEAEVLSRTASPFVVRCHSVLPGAASNDVAMLLELVDGGSLDSIVKSRSRGQAEAFSQFPEEALAEVAAQALAGLAYLHARRIAHLDIKPGNLLVSTGGEVKIADFGIAKVLPRAGGDDVRCTSYAGTAAYMSPERFDPEAHGGHYDPYAADVWGLGVTVLELLMGRYPLLPAGQRPSWAALMCAICFGETPALSDGEASAELRGFVAACLHKDYRRRASVAELLAHPFVAGRDVAATKCALRKLVTEASSSP* >Brasy2G222600.1.p pacid=40065959 transcript=Brasy2G222600.1 locus=Brasy2G222600 ID=Brasy2G222600.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLQQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQPTQDTLPLGDE* >Brasy2G153800.1.p pacid=40065960 transcript=Brasy2G153800.1 locus=Brasy2G153800 ID=Brasy2G153800.1.v1.1 annot-version=v1.1 MRRSNEFHNLSKDYFYYEIDCICYLWRWRVNRSNQKGEGNWEELMRRGAVRFGVGRIRSSVEVESFIYRCLEGYRSICLITKTKTRRPNTSGGLAW* >Brasy2G170000.1.p pacid=40065961 transcript=Brasy2G170000.1 locus=Brasy2G170000 ID=Brasy2G170000.1.v1.1 annot-version=v1.1 MGMAMTSSPQPPPPSRRRRSRLQSATTISAPNANPNPKAKAKAIPVLSDVGRLQSATSTSTPNGNPYPIPKAKVLPLLSDVGSNPSAIEYYSRVASNLAAAGRLGDFLIAAEGLRAASGDAGFAARISWRLLSRGVVAALGEHGLPHVLEFLRDADRIGLHAAAMLDADASDAVAAACRQLLEERIMTEFVKAIEDLANCGFFVKGIVDPMDVLKIFVKKRDPDMAIRYARIFPHSQLLLCNTMEAFGKRKELKHALKVFGALKDQLGGINMFACRSIIDICSHCGSSVQARIIFEGLLAEKITPNTHVFNSLMNANAHSLSYNLSVYKHMQKLGVSPDLASYNILLKTCCNAREFDSAQEIYEEMKKKEQNGLLKLDVFTYSTMMKVFAEAKMWKMASNIKEDMRAVGARLNLVTWSSLINAYANSGLVDGAIEILEEMIRDGCQPTAPCFNIILTALVKSRQYDRAFRLFYSWKESGIRISLSLEEKGCLPDNFTFCKEHPSSNGGTILEVPFRPTVTTYNILMMACGTNDERARSIMNEMRRNGLCPDRISWSILMDIYGTSQNRNGAIQALRRMQRVGIKLNVSAYTVAIKACVESKDLNTALHLFEEMKAHQLKPNMVTYRTLLTARCKYGSLQEIQQCLAIYQEMRKAGYQAYDYYLKELIVEWSEGVLSNESVNRDFYRLDLKDKSDKSFNLFLEKVARFLQKDVDQNQTVDIRGLSKVEARIVVLSTLRKIKEKYHLGRAVQDDLVIITGHEKTSYTHVETTAVDVERAIIAVLTDELGLEVFIGLESRLPPISSKLKASPHARNDLEQASKHFARRPQGMIKVTTNSLNHWLKRKVTRDVQ* >Brasy2G450900.1.p pacid=40065962 transcript=Brasy2G450900.1 locus=Brasy2G450900 ID=Brasy2G450900.1.v1.1 annot-version=v1.1 MGGGGEEKPFNFLQVLCEGVIAGGTAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAVAHLTAGAVGGFAASLIRVPTEVVKQRMQTGQFKSAPGAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKAVLAERSSRKTLANKDE* >Brasy2G450900.4.p pacid=40065963 transcript=Brasy2G450900.4 locus=Brasy2G450900 ID=Brasy2G450900.4.v1.1 annot-version=v1.1 MGGGGEEKPFNFLQVLCEGVIAGGTAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAVAHLTAGAVGGFAASLIRVPTEVVKQRMQTGQFKSAPGAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKAVLAERSSRKTLANKDE* >Brasy2G450900.2.p pacid=40065964 transcript=Brasy2G450900.2 locus=Brasy2G450900 ID=Brasy2G450900.2.v1.1 annot-version=v1.1 MGGGGEEKPFNFLQVLCEGVIAGGTAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAVAHLTAGAVGGFAASLIRVPTEVVKQRMQTGQFKSAPGAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAKTILREEGPGAFLKCENVVII* >Brasy2G450900.3.p pacid=40065965 transcript=Brasy2G450900.3 locus=Brasy2G450900 ID=Brasy2G450900.3.v1.1 annot-version=v1.1 MGGGGEEKPFNFLQVLCEGVIAGGTAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAVAHLTAGAVGGFAASLIRVPTEVVKQRMQTGQFKSAPGAVRLIVGKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAKTILREEGPGAFLKCENVVII* >Brasy2G422800.1.p pacid=40065966 transcript=Brasy2G422800.1 locus=Brasy2G422800 ID=Brasy2G422800.1.v1.1 annot-version=v1.1 MASKGGPSNLEKEQMFGMAEKEMEYRVDLFNRLTHSCFEKCIEKRHKESELNMGENSCIDRCVSKYWQVTNLVGQMLGNKPQI* >Brasy2G228800.1.p pacid=40065967 transcript=Brasy2G228800.1 locus=Brasy2G228800 ID=Brasy2G228800.1.v1.1 annot-version=v1.1 MAVVLSNFNSEAGLKKLDEYLLTRSYISGYLASKDDMAVYTALSSAPKPSYVNVTRWYDHISALLRSSGVTAEGEGVKVELSAYSVALTSEVAVQKAPAADEDDDDDVDLFGEETEEEKKAAEERAAAVKASTKKKESGKSSVLLDVKPWDDETDMKKLEETVRGVKMEGLLWGASKLVSVGYGIKKLQIMMTIVDDLVSVDNLIEDHFDVEPANEYIQSCDIVAFNKI* >Brasy2G228800.2.p pacid=40065968 transcript=Brasy2G228800.2 locus=Brasy2G228800 ID=Brasy2G228800.2.v1.1 annot-version=v1.1 MAVVLSNFNSEAGLKKLDEYLLTRSYISGYLASKDDMAVYTALSSAPKPSYVNVTRWYDHISALLRSSGVTAEGEGVKVELSAYSVALTSEVAVQKAPAADEDDDDDVDLFGEETEEEKKAAEERAAAVKASTKKKESGKSSVLLDVKPWDDETDMKKLEETVRGVKMEGLLWGASKLVSVGYGIKKLQIMMTIVDDLVSVDNLIEDHFDVEPANEYIQSCDIVAFNKI* >Brasy2G436200.1.p pacid=40065969 transcript=Brasy2G436200.1 locus=Brasy2G436200 ID=Brasy2G436200.1.v1.1 annot-version=v1.1 MDEAKVVETKDGTISVASAFAGHQEAVRERDHKFLSKAVEEAYRGVDCGHGGPFGAVVVRNDEVVVSCHNMVLDKTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKRADGNGALIAEQVFENTKEKFRMY* >Brasy2G267300.1.p pacid=40065970 transcript=Brasy2G267300.1 locus=Brasy2G267300 ID=Brasy2G267300.1.v1.1 annot-version=v1.1 MRCHRRIDWAGPVRLAQLGTSSSPAAQGTLPCYLSNSRETERGPVKKKARAHGAPPPLPPDACHGAGDLGCWSRRPLPATSAVRLPSSPWPRPAACQVRPSSRQASASGRRRPTGDRVSPDRRPSAPK* >Brasy2G353200.1.p pacid=40065971 transcript=Brasy2G353200.1 locus=Brasy2G353200 ID=Brasy2G353200.1.v1.1 annot-version=v1.1 MDLNIDLDAVARRLSFSAASPSPSPRPTRHGRFLVTPALPPIAEDPREEQQQEEAAAATQTPAPPPPWASSSLAPHTVTPQRPVMSCPPVAPSQIVPASPSPPSVTSPRPQQSLAEALEELERTRRAGLEQALVAEYQAFVERGGQARQAALQQAWAALKPQPQQLGLYLYTVEGNPAGYKTKWEELHPVSQGLLLQIEEKIGENRHASEQLDQCSRLYDRSLSYRGFELDSSQIAQDIGSISTILEREKASIRSLNAVVEEMMRNTEFAISLFVKLRPRFVKRGAGIANAGFANHAGSSGAPADNNEPLTLAPTTHSYRGFVRRPSFFMQHMVDRFEEKVEECCKYIEEVEQLIEMKNDKSYPASLESLPKVMSNTHDYFIHVASKVESLHQYAETMRTRSLNNQRNRGSRNDPFLETNRKEAAKQESTARIVHPKVPNMVRTQNRRQMNQFQISHLSCMSFCLHPSSLLFLVRKLMINLFTEQL* >Brasy2G445000.1.p pacid=40065972 transcript=Brasy2G445000.1 locus=Brasy2G445000 ID=Brasy2G445000.1.v1.1 annot-version=v1.1 MAATRQRPFMLHGSGGRSRVHGDPIRGTPEGVSGRGRVERRRRVGRRRPPRVLLVGDEERRWQVGGKQLVPPAVGRVPCREAEPRVLLLLLPARRQRHFRRLLWFGLWLWTGRGRAAAVALAERLRREDDNLLVVFIVLVDGDGLRRRAGVLAQGADSPTRGGWSVILVAGGGLPLLAASREGGGRSSGSELLVTGVEGERRIRRRSHRSRPETNKD* >Brasy2G268500.1.p pacid=40065973 transcript=Brasy2G268500.1 locus=Brasy2G268500 ID=Brasy2G268500.1.v1.1 annot-version=v1.1 MCPRRVCPLLRPRLLPPNPSPHACLLHSLTDLAYALELALALAPHPKPAAALTPQMALLTCRRRTAPEFAHLRSIADGASADSPSLAAVRVLDAAFLVVPAWSRPDPELGNTTRPRSGDWSSGPGHHPIGDQALGRQVEARERSHLRIRLQYPQGSRCPNPLQLSRLVTVGSSRSMAPSLRLLGY* >Brasy2G360300.1.p pacid=40065974 transcript=Brasy2G360300.1 locus=Brasy2G360300 ID=Brasy2G360300.1.v1.1 annot-version=v1.1 MGRNDSDDEYSVAGDKPEVEFMDFQNDNTLQDYQSDDGPVVVTAPFPFVKGKPKSVLVGETSADTICIENTSSEPLNLWSVRIFSSNPEDSYVLSMMRPPLNDADEEAKKAFLGLTSVEDRTLQPGQTLTIWLSCKPKDIGLHTSIVHVDIGDEKIERVAFLLSDDNVSMALFSDKPYYRGRGSQRKKFECATFVPGSRPIRQHIQGFKYKLPQFAIPAEIRELIECKQRPDVLSEDLSMINYAKFFSTLLVMEELHLEEEMRSYDMEGVSMRRRGNDFLSLEVPGLAEKRPSLVHGDFIVARHAGSDARPYQGFIHKVEADEIFLRFDVQFHHSHHDRNKYDVSFTYNRLNMRRLYKSVHEAELTGPDILFPCQSRYRSVKKVAFKPLNPDINTEQADAVGMILGCRGVSPYVIYGPPGTGKTMTLVEAILQLYTSNRRANILICAASNSAADHVLEKLLCASYLIRASDIFRLNAPSRQYEDINPDFIRFCFFEDMVFKCPPMQALMRYKIVISTYMSSSTLQAEGLRRGHFTHIFLDEAGQSSEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEKDGLGKSYLQRLLCDFEQYNAGDPNYVTKLVRNYRCHPAILELPSQLFYRGELIACKDDKVSSTYDCIGLPNKSFPVLFIGIQGCDEREGNNPSWFNRIEASKVVNIIRTLTSIGDVSEADIGVIAPYRQQVVKIKKALETFEMPDLRVGSVEQFQGQEREIIIISTVRSTVKHNEFDKCFNLGFLSNYRRFNVAITRAKSLLIIVGNPHIVTKDRHWDKLLRYCADNGSYQGCPLPPPEEEISYSGYSDTRDQPAGGWEYNQEEPANYIHNQDPSDRGFRHDNGPFSTKNEVEWSDEKSDEDHHSRDSTLAEPHEEMPKQQHVEEEAGQDDVQPNQYSTNDDSMVQGAYPQKYSFPPGWCDVSNIPATGWD* >Brasy2G360300.2.p pacid=40065975 transcript=Brasy2G360300.2 locus=Brasy2G360300 ID=Brasy2G360300.2.v1.1 annot-version=v1.1 MINYAKFFSTLLVMEELHLEEEMRSYDMEGVSMRRRGNDFLSLEVPGLAEKRPSLVHGDFIVARHAGSDARPYQGFIHKVEADEIFLRFDVQFHHSHHDRNKYDVSFTYNRLNMRRLYKSVHEAELTGPDILFPCQSRYRSVKKVAFKPLNPDINTEQADAVGMILGCRGVSPYVIYGPPGTGKTMTLVEAILQLYTSNRRANILICAASNSAADHVLEKLLCASYLIRASDIFRLNAPSRQYEDINPDFIRFCFFEDMVFKCPPMQALMRYKIVISTYMSSSTLQAEGLRRGHFTHIFLDEAGQSSEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEKDGLGKSYLQRLLCDFEQYNAGDPNYVTKLVRNYRCHPAILELPSQLFYRGELIACKDDKVSSTYDCIGLPNKSFPVLFIGIQGCDEREGNNPSWFNRIEASKVVNIIRTLTSIGDVSEADIGVIAPYRQQVVKIKKALETFEMPDLRVGSVEQFQGQEREIIIISTVRSTVKHNEFDKCFNLGFLSNYRRFNVAITRAKSLLIIVGNPHIVTKDRHWDKLLRYCADNGSYQGCPLPPPEEEISYSGYSDTRDQPAGGWEYNQEEPANYIHNQDPSDRGFRHDNGPFSTKNEVEWSDEKSDEDHHSRDSTLAEPHEEMPKQQHVEEEAGQDDVQPNQYSTNDDSMVQGAYPQKYSFPPGWCDVSNIPATGWD* >Brasy2G360300.3.p pacid=40065976 transcript=Brasy2G360300.3 locus=Brasy2G360300 ID=Brasy2G360300.3.v1.1 annot-version=v1.1 MINYAKFFSTLLVMEELHLEEEMRSYDMEGVSMRRRGNDFLSLEVPGLAEKRPSLVHGDFIVARHAGSDARPYQVEADEIFLRFDVQFHHSHHDRNKYDVSFTYNRLNMRRLYKSVHEAELTGPDILFPCQSRYRSVKKVAFKPLNPDINTEQADAVGMILGCRGVSPYVIYGPPGTGKTMTLVEAILQLYTSNRRANILICAASNSAADHVLEKLLCASYLIRASDIFRLNAPSRQYEDINPDFIRFCFFEDMVFKCPPMQALMRYKIVISTYMSSSTLQAEGLRRGHFTHIFLDEAGQSSEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVFCKQAEKDGLGKSYLQRLLCDFEQYNAGDPNYVTKLVRNYRCHPAILELPSQLFYRGELIACKDDKVSSTYDCIGLPNKSFPVLFIGIQGCDEREGNNPSWFNRIEASKVVNIIRTLTSIGDVSEADIGVIAPYRQQVVKIKKALETFEMPDLRVGSVEQFQGQEREIIIISTVRSTVKHNEFDKCFNLGFLSNYRRFNVAITRAKSLLIIVGNPHIVTKDRHWDKLLRYCADNGSYQGCPLPPPEEEISYSGYSDTRDQPAGGWEYNQEEPANYIHNQDPSDRGFRHDNGPFSTKNEVEWSDEKSDEDHHSRDSTLAEPHEEMPKQQHVEEEAGQDDVQPNQYSTNDDSMVQGAYPQKYSFPPGWCDVSNIPATGWD* >Brasy2G480000.1.p pacid=40065977 transcript=Brasy2G480000.1 locus=Brasy2G480000 ID=Brasy2G480000.1.v1.1 annot-version=v1.1 MVAMDVVAVAGYLAGDGEERLGPAFQWVRGEIGGRNSGASGAEQGLQGMVLGGFAASGRCKGWSEWTHASDSAAAAKASGATSGWDA* >Brasy2G480000.2.p pacid=40065978 transcript=Brasy2G480000.2 locus=Brasy2G480000 ID=Brasy2G480000.2.v1.1 annot-version=v1.1 MVAMDVVAVAGYLAGDGEERLGPAFQWVRGEIGGRNSGASGAEQGLQVLGGFAASGRCKGWSEWTHASDSAAAAKASGATSGWDA* >Brasy2G279900.1.p pacid=40065979 transcript=Brasy2G279900.1 locus=Brasy2G279900 ID=Brasy2G279900.1.v1.1 annot-version=v1.1 MAWRKNSSGSSESSPGASPCYDDEGEKVPRGQVPMVTGCGERVVVPMRLLADPCIAELLETAAQLYGYGQPGVLRIPCDAGHFRRVVDCALQRTS* >Brasy2G031700.1.p pacid=40065980 transcript=Brasy2G031700.1 locus=Brasy2G031700 ID=Brasy2G031700.1.v1.1 annot-version=v1.1 MSDLGCGWDFLDWVGPDLTASVFGLLDHPADLVRAAAVSRSWRRCVVESGVCKSLCVKLYPEAAVFTSAVEVSRSPPPTAAESSSGGGSGRDDDARSLESQFRIFSYLCGAVLHSTTKPDADCIQNCFGASSTDNFPDESMDSTLEPQDRINLNPSYWSSGGQDDPDVPESLTYKLASDICVVREIKLQPFKAYFQIGFPIYSPKMVRFRFGHCKLPHGTESYLTDQDENLAMIADENYVLTYTSPEFPVLQENMLQSFRLPRPVLCIGGVVKIELLGRVQKQATDDKYYICVCHAQVVGRSLSPVFVVDIADAGGYAVLKYSPGVRNLGAEDVKHEDARDSTDWHSLVARYRQVRHLAIMNVLLGPPQSMGEDDAGVVSDDDPFE* >Brasy2G021100.1.p pacid=40065981 transcript=Brasy2G021100.1 locus=Brasy2G021100 ID=Brasy2G021100.1.v1.1 annot-version=v1.1 MAWWGGVFLSLTRPSPEQQKSFLASAGGFNYDADLHGATSSAAPDALPTSEALAGRGFSVNRSRVLVGSGADAFRRAKSGLLSWKHLALGWASVEAETPVKAGTRFCICYKEVIPWVMFPLQIAYVDNGGSSSGGAFAFGSGTLRGHLLAGEERFSVEVDEEGRVWYEVLSFSKPAHVLSALCHPYVQFRQRQFARESGKALRRHVAAGSSSSSLSFSPSSPPPQ* >Brasy2G451900.1.p pacid=40065982 transcript=Brasy2G451900.1 locus=Brasy2G451900 ID=Brasy2G451900.1.v1.1 annot-version=v1.1 MVAPGCAFEPAGKEMTDVYLHGKIADGHDYAGFIHVADVYSADPETLTKSFAPAPGTGRGDKEPVWYFFSPVHYASKKKNSGRRARTIGGDSNKKWHSELGAVPVDGGSAFGGHKLNLTYMVRDMASGKKKHERAGWILEEFGISPDHGGGQIELCKLHRSPRFKDETAAGSKKRKVACVGELTNAADRCKNLCLRQEMPQELPEMETMDGGAALDLQDLWQRQEAPVLDDAARWMPMFLETQQRIYFSDDDTQGGVGTQQCEQQQAPILDDTWRLALQEVERQLLSDDETLGGAGQVMDPAEEEEGGGGTEQQQEGGGCDEDDGRLECTMEELLGIDGDVDDDYMAMACSSLVAQ* >Brasy2G125200.1.p pacid=40065983 transcript=Brasy2G125200.1 locus=Brasy2G125200 ID=Brasy2G125200.1.v1.1 annot-version=v1.1 MARSPAHVALCLWSLALLLPGAAWSATFTMTNNCGYTVWPGLLSGAGTVPLSTTGFALAQGASATINAPASWSGRMWARTLCSQDAATGRFTCATGDCGSGVLQCNGGGAAPPASLVEFTLDGSGGMDFFDVSLVDGYNLPMLIVPQGAGTAAAANSTAGAGPKCMATGCLVDLNGACPADLKVMSTAGASASAVAAGSAVACRSACEAFGSPQYCCSGAYGSPNTCRPSTYSQFFKSACPRAYSYAYDDSTSTFTCAAGTNYAITFCPSTTSGKYIDENPQAAGVPPTNGTMTYGAGELLSTGGGASVAAHASQLLLAVGVAIVLL* >Brasy2G165100.1.p pacid=40065984 transcript=Brasy2G165100.1 locus=Brasy2G165100 ID=Brasy2G165100.1.v1.1 annot-version=v1.1 MKQLHRQSSLSKQHRPHHRTPLSRSLASYLLREQRLLFVLLGFLLASSFFLLYPSLTPHPNNPLTSSSSARASAVSAVVARKPRVSNVVAAARRLPVGVRKRTLRVVVTGGAGFVGSHLVDKLLARGDSVIIVDNFFTGRKENVARHLANPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESKYVGPFNLGNPGEFTMLELAELVKETIDPSASVEFKPNTADDPHMRKPDISKAKSLLNWEPKVSLKQGLPRMVSDFQKRILDEK* >Brasy2G165100.2.p pacid=40065985 transcript=Brasy2G165100.2 locus=Brasy2G165100 ID=Brasy2G165100.2.v1.1 annot-version=v1.1 MKQLHRQSSLSKQHRPHHRTPLSRSLASYLLREQRLLFVLLGFLLASSFFLLYPSLTPHPNNPLTSSSSARASAVSAVVARKPRVSNVVAAARRLPVGVRKRTLRVVVTGGAGFVGSHLVDKLLARGDSVIIVDNFFTGRKENVARHLANPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQVDGLVTLMESKYVGPFNLGNPGEFTMLELAELVKETIDPSASVEFKPNTADDPHMRKPDISKAKSLLNWEPKVSLKQGLPRMVSDFQKRILDEK* >Brasy2G144400.1.p pacid=40065986 transcript=Brasy2G144400.1 locus=Brasy2G144400 ID=Brasy2G144400.1.v1.1 annot-version=v1.1 MGNTGSNGGGGAPGHRRRGSGHGHGHHHQAPPPPQQPEVAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVQVPLPAPYDHHHRGAAPPPNAAAGEFPPSVHSHHYPGWAGRYPYGLQPPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEGCPGRFLVAFTFDATVAGSMIVYFFAKEELNCNLTAVKADLIKPVTVTFKEGLGQKFRQPSGTGIDFSAFEDSELLKQGGMEVYPLAVKAETILSVDPPSEGGDQKIKTPNSQITQAVFEKKESGDYQVRVVSQILWVNGTRYELQEIYGIGNSVEGDGDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKSEEQLQQPSQSPPLPPPPSLHQEEV* >Brasy2G438000.1.p pacid=40065987 transcript=Brasy2G438000.1 locus=Brasy2G438000 ID=Brasy2G438000.1.v1.1 annot-version=v1.1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF* >Brasy2G072500.1.p pacid=40065988 transcript=Brasy2G072500.1 locus=Brasy2G072500 ID=Brasy2G072500.1.v1.1 annot-version=v1.1 MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIALKAMGQAISKTVAIAEIIKKRIPGLHQDTTISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELDTNAPGYQAPLHAEPLKPRYQQVQQYQQQHPPRQSQGQTDSYGRGRGRGRGRGRGWGGRGGYGGSDNYQGGYGGYGNQGGYGHNQGGYDNQGGYGHNQGGYGNQGGYGHNQGGYGGGYGYNQGGYGGYENGGWNYNQNRGRGGGGGGGRGRGNWGYSGPGYERGGRGGGGPGGLAGRGYVRGRGRMGPGRGRGNQNY* >Brasy2G072500.2.p pacid=40065989 transcript=Brasy2G072500.2 locus=Brasy2G072500 ID=Brasy2G072500.2.v1.1 annot-version=v1.1 MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIALKAMGQAISKTVAIAEIIKKRIPGLHQDTTISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELDTNAPGYQAPLHAEPLKPRYQQVQQYQQQHPPRQSQDSYGRGRGRGRGRGRGWGGRGGYGGSDNYQGGYGGYGNQGGYGHNQGGYDNQGGYGHNQGGYGNQGGYGHNQGGYGGGYGYNQGGYGGYENGGWNYNQNRGRGGGGGGGRGRGNWGYSGPGYERGGRGGGGPGGLAGRGYVRGRGRMGPGRGRGNQNY* >Brasy2G192200.1.p pacid=40065990 transcript=Brasy2G192200.1 locus=Brasy2G192200 ID=Brasy2G192200.1.v1.1 annot-version=v1.1 MLHRPRVPLPPRRLPCRLVRCRTTPRRPFPPSWSSSMPSAQRGHKTTGIEHRGNLLMKCSSQEPLFMFICSTSQLKIISVPSGSLYNLYGLDTLSLSRKKLPGTRLGQQRLPGLLLTSEGLLKVNDMHRRQLCKDLADQFLVAQQAFNIGDSMLQMSIQDASHILALHATHADAGRGLTNHEQLHLMAARSQARAMRLLSGGAGSAGIDPGTYADDGIPDTEYPGHLAIGTSPQPVQLFLDTGSNLVWTQCRPCHACFDQALPYFAGFGFS* >Brasy2G209200.1.p pacid=40065991 transcript=Brasy2G209200.1 locus=Brasy2G209200 ID=Brasy2G209200.1.v1.1 annot-version=v1.1 MAMARARDSRAWAALQYLAVFVYVSLSQIPWDEQSRPMRPAPKRVRIRAAGMAKKIFGSDAVGLGFGWHDRGEEYTTETASSSSRY* >Brasy2G089400.1.p pacid=40065992 transcript=Brasy2G089400.1 locus=Brasy2G089400 ID=Brasy2G089400.1.v1.1 annot-version=v1.1 MAMGTRSRHPKSLALRCYAASHRSLTLLVWSLAALVILLNFHLLTTGTHREDMSSRAHEIHRSIVRELEEVEEERFQVTPARSRRNPRAVRRKGEHSKPHSVVDEFLDESSAVHDMFFPERKMAIDPVHGGNDSMYFYHPGRVWLDTDGKPIQAHGGGVLYDEKTETYYWYGENKDGKTYKAHTKGADRVDIVGVSCYSSKDLWAWKNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDRTSKYVMWMHIDDTNYTKASVGVAVSDSPTGPFSYLYSKRPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTNDMRSFLIAQHREAPALFKFVGVYYMITSGCTGWAPNTALAHAATSIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPLPGLRGSFIFMADRWNPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWPRVSIYWHRRWRLPEGWTDS* >Brasy2G273800.1.p pacid=40065993 transcript=Brasy2G273800.1 locus=Brasy2G273800 ID=Brasy2G273800.1.v1.1 annot-version=v1.1 MATRTSKARHRAEASAPATSVAVAAARAQDSPPPPAAVLLRRSAAFPPRRGPQQHHHSSQGLPHGAAHPHPHLRCDSERIGSRQPRCGEVAGGTAAGCAAVCCCFPCVMVEVVVLATARRRAGGRRTASAGQAADMYELLVDDGGLGGEGLAGGDAAVVWPAAVQPVLDEAGDMEKEVWARFYEAGFWRSPSQLGDEIR* >Brasy2G494900.1.p pacid=40065994 transcript=Brasy2G494900.1 locus=Brasy2G494900 ID=Brasy2G494900.1.v1.1 annot-version=v1.1 MATSRSAHTTQKLSLVLVVLLAATAAGGEHTGSNGAATTHLHFYFHEIYTSGPNGTTAAVVPPPPGSSFGFGSLFVVDDMLREGADPGSGLIGRAQGLTAAASLSEAAVTTMLNFVFTAGPYKGSTLAVFGRALLGKEVIERPVVGGTGAFRMARGYTLSKLVNSTDPANLLVLEYDAYVWH* >Brasy2G377700.1.p pacid=40065995 transcript=Brasy2G377700.1 locus=Brasy2G377700 ID=Brasy2G377700.1.v1.1 annot-version=v1.1 MRRPHTTERSMKLSADMYIPPAACINRPSRVHDDRLETDSTVAAAATSIYTRREDGQTTRSYMPQRRPPTSATTSQSALRLCVVYHDGCIDPMLRIISDLPFLEAIPCSN* >Brasy2G403100.1.p pacid=40065996 transcript=Brasy2G403100.1 locus=Brasy2G403100 ID=Brasy2G403100.1.v1.1 annot-version=v1.1 MLLAGGGGSNRGGSSHLTSHKELLLGRGGRSFLFGNTWFMLSTYPARLLHTADRRAPAAAFVAAIHRTPCVRSHGTGQGLLQRGIVMAACGYVFGRAELGAAKRQLEKDSSVGNHTSRIVAMGSVGSAARPEVSFKYRGVEYYKKVGANLKCREQWGSARTFWTSAAGPGSKLSFSVEPWTRDFSTSCVAPYSAGATERQLTLDEAVQDKQMDNSAVASDGKSPASKALKLLSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSKSIGAIKDEPEGAIDPSRVLEKAFISTKARGSSTACIVALTEQGIHAVNLGDSGFIVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAEVFRYPVATGDVIIAGTDGLFDNLYTNEITTIVVEAARAGLGAQATAQKIAALARERALDKNRQSPFAAAAQEAGYRFYGGKLDDITVVVSYVTSAAAL* >Brasy2G170400.1.p pacid=40065997 transcript=Brasy2G170400.1 locus=Brasy2G170400 ID=Brasy2G170400.1.v1.1 annot-version=v1.1 MDGKGDGGQTKKTDGNRHPKRGSIIKTIISDLTGGGDTKKTTTSSGGGNGSGRGGGNGYGGGCGGGNGDNDNGGGGC* >Brasy2G152000.1.p pacid=40065998 transcript=Brasy2G152000.1 locus=Brasy2G152000 ID=Brasy2G152000.1.v1.1 annot-version=v1.1 MEDMEMPDPEELEWMESHGLLPEEEEDTYFDDPDEGFLPAADDASKPRDPPQEAAVSPAKPAEEASVPNLKRPSPPPPVEHEEERSKRRNIDREDSVDEDWLRYSPPPAVEIVAEKIVSRFASEIQGDCMPVTAPNGERVYAKLAAEKLVSEVIEGSRRRTPISNPNHKGLLSESFHSLTMRAEQEALAKALLESTEKQDIGDVEGCPVTPVVTEQLWVEKYAPHSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATSDDTLSALRRHSCAIQKNSSNRSFLSKSRGGYAMGQDSMPQNAPGNNSENPRSTFNKRSSVDNAPEQKVLLLCGPAGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNNNSDRSTGGEETQVQKSSSRKGHRIAKLLRPVICICNDLYAPALRKLRQVAKVHIFVQPTISRVVNRLKYICKKEGFKTSSIALSALADYTECDIRSCLNTLQFLNKKREALNISGFESQVIGRKDMSKSILDVWKQVLQKKKLKRAEMADSHVSSDKDIGSLFSLISNRGDYDVTMDGIHENFLRLSYHDPMLHKTVKCLDVLGVSDSMMQYVFRTQHMSLQVYQPPIAITISRMVAQVEKPNIEWPKALQRCRTMLLEKKDSLKTWQNQMSPLISRHLSVESFVKDIASPFLHILSPLSLRPVALNLLSEREKDDLLQLVDTMVSYSVTYKNTKFEPQERTHGSIVSADVPLLSLDPPLNDIISFKEYQSEHIGLSLAMKQVLVHEVEKQKIIKDSAGKLLNQTNGVRSEIPTTSSQKALDSTNVSALDSSKKRNLATLPMQLNSGSSLSVKDPTPAKKHSSRPTDFFHSFRKERPEGAKLRNDAAQQRATTQRDLRPLIFKYNEGFTNAVKRPVRVRDLLL* >Brasy2G068500.1.p pacid=40065999 transcript=Brasy2G068500.1 locus=Brasy2G068500 ID=Brasy2G068500.1.v1.1 annot-version=v1.1 MSQPHAPNAGGDYIKSWIMCGHLQRGVGSLVREPCLNPDTSLKGGKMLRPEKWQTCFDTDGKVIGFRKALKFIVLGGMDPSIRAEVWEFLLGCYALSSTAEYRRKLRAARREKYQCLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKENDSGELRDVSASQRASKHKDGSLVENSILNYDSGGSPQSQTRKGCSKSAEHADFNVHNDSSVYNSSKFMVSSTVVNSCLSDSGDYNDMGEPRYDSETFIEYPSLPGTNLFSNDGGDSNGVEQSHCSLSVPEDKLRQRDERMHSFQINNNIDLIIESNSDLFRASNSDSAIFYSDAYKQDKWLDNTGYKRETVDSLRISDAPEADFVDEMKSNSPVANKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQIEGPTGVMKQLEALWKIMELTDTELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDTIRNLEENCLQPLLVDMKNDLSSEVKEEHQVNKYTSRKSKSRRSNRRNGEIRWSCNHGMKSSTRNPLCGLSGATIWARHQQMPHLSTNALAKNGDDELPIFCVAAILIINRHKIIKETHSIDDAIKMFNDNILKINVKRCVRLAVKLRKKCWYKTVKEGSE* >Brasy2G068500.2.p pacid=40066000 transcript=Brasy2G068500.2 locus=Brasy2G068500 ID=Brasy2G068500.2.v1.1 annot-version=v1.1 MSQPHAPNAGGDYIKSWIMCGHLQRGVGSLVREPCLNPDTSLKGGKMLRPEKWQTCFDTDGKVIGFRKALKFIVLGGMDPSIRAEVWEFLLGCYALSSTAEYRRKLRAARREKYQCLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKENDSGELRDVSASQRASKHKDGSLVENSILNYDSGGSPQSQTRKGCSKSAEHADFNVHNDSSVYNSSKFMVSSTVVNSCLSDSGDYNDMGEPRYDSETFIEYPSLPGTNLFSNDGGDSNGVEQSHCSLSVPEDKLRQRDERMHSFQINNNIDLIIESNSDLFRASNSDSAIFYSDAYKQDKWLDNTGYKRETVDSLRISDAPEADFVDEMKSNSPVANKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMIEGPTGVMKQLEALWKIMELTDTELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDTIRNLEENCLQPLLVDMKNDLSSEVKEEHQVNKYTSRKSKSRRSNRRNGEIRWSCNHGMKSSTRNPLCGLSGATIWARHQQMPHLSTNALAKNGDDELPIFCVAAILIINRHKIIKETHSIDDAIKMFNDNILKINVKRCVRLAVKLRKKCWYKTVKEGSE* >Brasy2G462500.1.p pacid=40066001 transcript=Brasy2G462500.1 locus=Brasy2G462500 ID=Brasy2G462500.1.v1.1 annot-version=v1.1 MDVESSRQQGPKGDSNNSMAASQEVFVDWRGRPCEPHKHGGMKAAVFVLGIQAFEIMAIAAVGNNLITYVFNEMHFPLPKAANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCAMTAAAGAGEQQCEEVGGAKAGIFFAALYLVALGSGCLKPNIIAHGADQFRHGGDEKRLSSYFNAAYFSFCVGEMVALTLLVWVQTRVGMDVGFGVSAAAMALGLVFLVSGASFYRNKPPQGSICTPIVKVFVAAVTKRKQVCPSAGAGAGANDIARSTATNVRRINKFRFLDKASAKAQDGGITSKEETSLAAGWGRQQQMQCTAAEVEQVKVLLCVVPIFACTIVFNTVLAQLQTFSVQQGSAMDTRLFSSSFRIPPASLQAIPYLTLVGLVPAYEAFFVPAARRLTGLGTGISPLQRIGVGLFAASFSMVAAALVEARRRRHAVFSGSGEISILWIAPQFLIFGVSEMFTAVGLIEFFYKQSMLQAGKGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTNSGINGNGGWLGNNDLDKDRLDLFYWLLAGLSLLNFFNFLFWSRWYSRSVETVQVAGVGSGDVNGHGQLEDEKGSADC* >Brasy2G462500.2.p pacid=40066002 transcript=Brasy2G462500.2 locus=Brasy2G462500 ID=Brasy2G462500.2.v1.1 annot-version=v1.1 MTAAAGAGEQQCEEVGGAKAGIFFAALYLVALGSGCLKPNIIAHGADQFRHGGDEKRLSSYFNAAYFSFCVGEMVALTLLVWVQTRVGMDVGFGVSAAAMALGLVFLVSGASFYRNKPPQGSICTPIVKVFVAAVTKRKQVCPSAGAGAGANDIARSTATNVRRINKFRFLDKASAKAQDGGITSKEETSLAAGWGRQQQMQCTAAEVEQVKVLLCVVPIFACTIVFNTVLAQLQTFSVQQGSAMDTRLFSSSFRIPPASLQAIPYLTLVGLVPAYEAFFVPAARRLTGLGTGISPLQRIGVGLFAASFSMVAAALVEARRRRHAVFSGSGEISILWIAPQFLIFGVSEMFTAVGLIEFFYKQSMLQAGKGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTNSGINGNGGWLGNNDLDKDRLDLFYWLLAGLSLLNFFNFLFWSRWYSRSVETVQVAGVGSGDVNGHGQLEDEKGSADC* >Brasy2G160800.1.p pacid=40066003 transcript=Brasy2G160800.1 locus=Brasy2G160800 ID=Brasy2G160800.1.v1.1 annot-version=v1.1 MGTMGKGDGPAIGIDLGTTYSCAAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDTCRLIGEAAMNQAAMNSVNTVFDAKRLIGRRFSNASVQGDIKQWPFKVISGPSDRPIIVVQYRGEEKKFAAEEISSMVLIKMQEAAEAYLGTSVKNVVITVPVYFNDSQRQATIDAGTIAGLNVMRIINEPSAAAIAYGLDKMTVSGSVKTVLIFDLGGGTLDVSIINIDMGIFTVKATSGDTHLGGQDINSRMVEHFVQDFLRRHKSDIRSNPRALMRLRTACERAKRMLSSTTQAKFEIDSLHDGIDFYGVLSRARFEELNMDLFRNRIPKLQQLLQDFFDGKMLCRSINPDEAVAYGAAVQAGECNQKVQDLLLLDVTPLSLGVDIVDDFYRPGVMSVIIPRNTTIPCKNAWNYTTIFDNQTSILFPVYEGEGAMTRDNNLLGQILLGGIIPAPAGVPYIDVTFEIEANGILKVSAEDMTTGNKNSITIRTDKGGLSKQEIERMVWDAKKYESADKGSKIKEESKIKEENEEGWLSKEEIERMVAKKRMIQDSGKYKSEDKKKKIKKENEEGWLSKEEFERMAPKKHMIQDVEKYKLEDKKQIIKIE* >Brasy2G064700.1.p pacid=40066004 transcript=Brasy2G064700.1 locus=Brasy2G064700 ID=Brasy2G064700.1.v1.1 annot-version=v1.1 MENKAADELAPKMEEKATFMDRTKERLRQFSAPPAVEQYWVSLKNKVWAAGEYATVRTRQGISLFGEPNIGPIKSIVDHC* >Brasy2G225400.1.p pacid=40066005 transcript=Brasy2G225400.1 locus=Brasy2G225400 ID=Brasy2G225400.1.v1.1 annot-version=v1.1 MSHAGKSVSVNLNRSYGQYAPSQHGGRPSRPASPSTGGGGMVVLSRGRGPSAPKPKLSVPPPLNLPSLRKEHERFDGTAATKGRGVSSAPARSAGAVAGWTKPAPASEKPPGSVPLTSDVARPPSYGFQEKSNVLRGEDFPSLKAAVAPSPPPPVQQRRKDSDEARAATPEARHMPLGMRPQVMPSRGNEPLASAGGTGAGGHASVEKAQKHDLGPLPLVRLRYDSNWADDERDTGLSLPERDSKERGFGRSEPMVPGRNLYGAMREPLKNESFRKDLVAANKEGEQDSLWRPLVPSHNIERTEDRPYSAGKGSSGQIYHERMSNGSSKDLWNTNREPALRSYGQNGAELYGSARLGETAGERYSDNSNHWYRGSSFHNNAVSKMQPVLGYKGLLVNEPVPKFGREKPLIGSPVKPLVEDGSFDSIAAVNLSSIKKKKEAIRPSDFHDPVRESFEAELDMILRVQEQERQRVAEEQARVREIAQKQEEERERLIKEEEERRRLVEEEAKQAAWQAEQETLEAARRAEEQRIAREEEKMRVAIEEERRREAARRKLLELEARIARRRAESELSDGNLTSGTNDKLALGSLKERDLSQSNNAGERHDINRTGERINTTSSSESSSLNRYSDTVPRVLHTLGDGNSCFVDREHAYQSARGAFEDQENPYYSPRHDLFGPRGTFPKKNSYDGFGALSVRPSSRGQTTDSPWALEDYRHGRVTRWDTPRENDCFDKQSDFDTEFFNSDKFGGAAWLPSSSHGSPGAQQGDRMFPNSEANDFSSFTRSRYSMRQPHVPPPLVVTSVHRSAVNASAPRANSSFIDGGTRENSSKDDEQTTQSQYVAAYEEASHQHGTLGEDIVVSEQQNGDRASSMLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSSDGDRTVVSDNGHAASTLDAANISRITTSSMACHMEDDKWPSEHNEAKQKQDEYDEEGNSYQVDEINGADDENLDLDGEFAEPVGMEPVILGFDEGVQVEIPLNNELELTSVKSTEREVGVHSGVMEQELGRGSVGQLVTVTEAEKALQDLALDQANTLTDESVGHPSSSTAVSSSKLPQASLTNPIMSSTSGVINQNEVLVNLQFGLFSGPSLIPTPVPAIQIGSIQMPIHLHNQINPFVAQMHPSSAPLFQFGQLRYVRPIAQNAPSHSQAMPSIQLPAAIQHTSKQNASSGLPNEMKHDAYQNVPRELASSSYIDKSVLPAAKLPCVMEHSNFHQLNAPANGPIADVNGFHSHLHLDRTSIGENATFGISKAEAQPNHDFSLKRNHKHTANNRESSHVGSDGKALSSRKAPGAVSGGRGRKYAYAVKESHMGSADSVVEPFHKDSRGFPRRSRRNIRRTEFRVRENLEKYQTQASESFIYGEQNETNGSAREASVRNLNRKEGDKSLMTNDTSAVIGAGSSSAPANYYSKTERSAQKAPSYERSHGGNKKSRAGAVPEGRANTSLQAGAVRVVKQQGIEVPVDADGFIQVRSKKQIMSVRREQREKENRSKMRMSKAPRKQHQVSLHSSSFNKGTVSLGVQPAKKVYSDSIVAVEGRVLDHVEPSAPFKGDTSLMTSTGPHSTNPGSHANYCVKKPIQSQATSDLIISSAAAKLVAGLSESNNKESSTSTSFNMCSWDSSQINQQVMPLTQTQLEEAMKPAKFEQAGPGFPLESNHALSPTATTEKACASSASPINSLLAGEKIQFGAVTSPTVLAPITRTISSGLGAPGSSRSDMKIDRILPGDNNGAAVLFDEKATTKEPCPNPEVIAAEAEAEAAASAVAVAAICTDEVVGSAADATAASAPDNKSFSSKDLTGLTAGGTGQPGQSSREEPLTVALPADLSVDTPSMSLWAPLPSPQAPGPMLSQYPGVQPSHFSCFEMNTMLGAHPFAFGPSDESAGTQGQQPQRSNALPSAPLGAWPQCQSMVDSFYCPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGAPYIPGDNQPDWKQNQGPSVVGVSQSDPSNQNMLPGQVISPNVPTQVQHLRPNSIMPIPSPLTMFDMAPFQSSADIQMQPCWPHMPVPPLHSIPLSLQLQQHSVEGTPAPQFVHNVPIDNKSSANNRFQEPSTSAVPSDSNKSFPSTAASQFTDELALSSSNAQTVQPSFVRTGMISNEVQNSAKVVVRANPPNVNPGSATGVTSSPNSGQVASMHSKPHHSSSSSDQQYKHPVNNPDRRAQATQKTGTVNEWPRRPGYAGRSQNSGSDKNLGTGRMKQIYVAKTSSTSRHGPSG* >Brasy2G225400.2.p pacid=40066006 transcript=Brasy2G225400.2 locus=Brasy2G225400 ID=Brasy2G225400.2.v1.1 annot-version=v1.1 MSHAGKSVSVNLNRSYGQYAPSQHGGRPSRPASPSTGGGGMVVLSRGRGPSAPKPKLSVPPPLNLPSLRKEHERFDGTAATKGRGVSSAPARSAGAVAGWTKPAPASEKPPGSVPLTSDVARPPSYGFQEKSNVLRGEDFPSLKAAVAPSPPPPVQQRRKDSDEARAATPEARHMPLGMRPQVMPSRGNEPLASAGGTGAGGHASVEKAQKHDLGPLPLVRLRYDSNWADDERDTGLSLPERDSKERGFGRSEPMVPGRNLYGAMREPLKNESFRKDLVAANKEGEQDSLWRPLVPSHNIERTEDRPYSAGKGSSGQIYHERMSNGSSKDLWNTNREPALRSYGQNGAELYGSARLGETAGERYSDNSNHWYRGSSFHNNAVSKMQPVLGYKGLLVNEPVPKFGREKPLIGSPVKPLVEDGSFDSIAAVNLSSIKKKKEAIRPSDFHDPVRESFEAELDMILRVQEQERQRVAEEQARVREIAQKQEEERERLIKEEEERRRLVEEEAKQAAWQAEQETLEAARRAEEQRIAREEEKMRVAIEEERRREAARRKLLELEARIARRRAESELSDGNLTSGTNDKLALGSLKERDLSQSNNAGERHDINRTGERINTTSSSESSSLNRYSDTVPRVLHTLGDGNSCFVDREHAYQSARGAFEDQENPYYSPRHDLFGPRGTFPKKNSYDGFGALSVRPSSRGQTTDSPWALEDYRHGRVTRWDTPRENDCFDKQSDFDTEFFNSDKFGGAAWLPSSSHGSPGAQQGDRMFPNSEANDFSSFTRSRYSMRQPHVPPPLVVTSVHRSAVNASAPRANSSFIDGGTRENSSKDDEQTTQSQYVAAYEEASHQHGTLGEDIVVSEQQNGDRASSMLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSSDGDRTVVSDNGHAASTLDAANISRITTSSMACHMEDDKWPSEHNEAKQKQDEYDEEGNSYQVDEINGADDENLDLDGEFAEPVGMEPVILGFDEGVQVEIPLNNELELTSVKSTEREVGVHSGVMEQELGRGSVGQLVTVTEAEKALQDLALDQANTLTDESVGHPSSSTAVSSSKLPQASLTNPIMSSTSGVINQNEVLVNLQFGLFSGPSLIPTPVPAIQIGSIQMPIHLHNQINPFVAQMHPSSAPLFQFGQLRYVRPIAQNAPSHSQAMPSIQLPAAIQHTSKQNASSGLPNEMKHDAYQNVPRELASSSYIDKSVLPAAKLPCVMEHSNFHQLNAPANGPIADVNGFHSHLHLDRTSIGENATFGISKAEAQPNHDFSLKRNHKHTANNRESSHVGSDGKALSSRKAPGAVSGGRGRKYAYAVKESHMGSADSVVEPFHKDSRGFPRRSRRNIRRTEFRVRENLEKYQTQASESFIYGEQNETNGSAREASVRNLNRKEGDKSLMTNDTSAVIGAGSSSAPANYYSKTERSAQKAPSYERSHGGNKKSRAGAVPEGRANTSLQAGAVRVVKQQGIEVPVDADGFIQVRSKKQIMSVRREQREKENRSKMRMSKAPRKQHQVSLHSSSFNKGTVSLGVQPAKKVYSDSIVAVEGRVLDHVEPSAPFKGDTSLMTSTGPHSTNPGSHANYCVKKPIQSQATSDLIISSAAAKLVAGLSESNNKESSTSTSFNMCSWDSSQINQQVMPLTQTQLEEAMKPAKFEQAGPGFPLESNHALSPTATTEKACASSASPINSLLAGEKIQFGAVTSPTVLAPITRTISSGLGAPGSSRSDMKIDRILPGDNNGAAVLFDEKATTKEPCPNPEVIAAEAEAEAAASAVAVAAICTDEVVGSAADATAASAPDNKSFSSKDLTGLTAGGQPGQSSREEPLTVALPADLSVDTPSMSLWAPLPSPQAPGPMLSQYPGVQPSHFSCFEMNTMLGAHPFAFGPSDESAGTQGQQPQRSNALPSAPLGAWPQCQSMVDSFYCPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGAPYIPGDNQPDWKQNQGPSVVGVSQSDPSNQNMLPGQVISPNVPTQVQHLRPNSIMPIPSPLTMFDMAPFQSSADIQMQPCWPHMPVPPLHSIPLSLQLQQHSVEGTPAPQFVHNVPIDNKSSANNRFQEPSTSAVPSDSNKSFPSTAASQFTDELALSSSNAQTVQPSFVRTGMISNEVQNSAKVVVRANPPNVNPGSATGVTSSPNSGQVASMHSKPHHSSSSSDQQYKHPVNNPDRRAQATQKTGTVNEWPRRPGYAGRSQNSGSDKNLGTGRMKQIYVAKTSSTSRHGPSG* >Brasy2G254400.1.p pacid=40066007 transcript=Brasy2G254400.1 locus=Brasy2G254400 ID=Brasy2G254400.1.v1.1 annot-version=v1.1 METISLCKKTKVRHLERLVGTKTPQFRYYVCAMNKTFVSPKQRMFLLAYLDPAMDCLRIKLARTSIAHRVRLMKGIDGRVTITTNWHNFVHDAGLQVGDI >Brasy2G438500.1.p pacid=40066008 transcript=Brasy2G438500.1 locus=Brasy2G438500 ID=Brasy2G438500.1.v1.1 annot-version=v1.1 MISRPIVLIFLLLVLIVTSQFEWKQQIGEAEASPAAATRRRQQALVVTEDAIKEKIILAQEKNIQQLTELIQSLQVQLLHCRGSNSTTAHSASSNQPLTKDSKVEGQDMIDD* >Brasy2G224300.1.p pacid=40066009 transcript=Brasy2G224300.1 locus=Brasy2G224300 ID=Brasy2G224300.1.v1.1 annot-version=v1.1 MASYACRRPCESCRTRGMAGGVLGEPTTPGQRVTVLTIDGGGIRGLIPGTILAFLEARLQELDGPDARLADYFDCVAGTSTGGLITAMLTAPGEDGRPLFAAQDINRFYLDNGPHIFPQKRSSLMSVLASLTRPRYNGKFLHGKIRSMLGETRVCDTLTDVVIPTFDVRLLQPIIFSTYDAKSMPLKNALLSDVCISTSAAPTFLPAHYFQTEDVNGKVREYNLIDGGVAANNPTMVAMTQITKKMMAKDKEELYPVKPSDCGKFLVLSIGTGSTADQGLYTAKQCSRWGIIRWLRNKGMAPIIDIFMAASSDLVDIHAAVLFQSLHSDGDCYLRIQDNSLRGAAATVDTATPDNMRDLVRIGEWMLAQRVSKVNVETGRYEEMQGAGTNADALAGFARQLSDERRARFGPRDGAPASIGAGCAKSRC* >Brasy2G014600.1.p pacid=40066010 transcript=Brasy2G014600.1 locus=Brasy2G014600 ID=Brasy2G014600.1.v1.1 annot-version=v1.1 MAECAICLGEFAGGEKVRVLPRCRHGFHVRCIDAWLDAHSSCPNCRASLLVPDAGVGAGKEDTRGRR* >Brasy2G481000.1.p pacid=40066011 transcript=Brasy2G481000.1 locus=Brasy2G481000 ID=Brasy2G481000.1.v1.1 annot-version=v1.1 MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPANAGVGAAGARFGAVGPGAQFQ* >Brasy2G316700.1.p pacid=40066012 transcript=Brasy2G316700.1 locus=Brasy2G316700 ID=Brasy2G316700.1.v1.1 annot-version=v1.1 MPRTTPTCAAEKSMAARSKKNLWLLLRLPCAFPCDGLASLPSPAPAPSPAIRALPAMDSHRCRPFPSQPRARCWLSAPSPAPPAINNQLAACPPERPFLAAAAANFYRA* >Brasy2G432600.1.p pacid=40066013 transcript=Brasy2G432600.1 locus=Brasy2G432600 ID=Brasy2G432600.1.v1.1 annot-version=v1.1 MLGPLLRFLSACGGASWQQTSPAAAGAAPSGEGDPSAASEGRDGLLWWRDLAGCGAGELSVALVQANQTLEDQCRLDSAPPLGTFVGVFDGHAGHHAARFACDHIVPNLREAASGPRGVTADAIRDAFAATEEGFIALVSRLWDTQPDVATAGSCCLVGVVHDRTLFVANLGDSRAVLGRKAGRTGQIVAEQLSNEHNANDEAVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKDTRYNTERIKQKFRVSEPFSRPIMSATPSIISRNLQPSDCFVIFASDGLWEHLSNQEAVEIVHSNQRAGSARRLIKAALQEAARKREMRYSDLIRIDKKVRRHFHDDITVIVLFIDHNLLVKGNAQGQPLSIRCALDH* >Brasy2G286800.1.p pacid=40066014 transcript=Brasy2G286800.1 locus=Brasy2G286800 ID=Brasy2G286800.1.v1.1 annot-version=v1.1 MGLSLNIDISATAFYKAQPVMAFAVEYLNMRDASRPLSDQDRLKLKRALRGVRVGATHRKDKTIRYKISGIPAAPLKELMFDQDGVRISVVQYFKQQYNYSLKYTNWPCLQAGSDSRPIYLPMEVCSIVEGQRYSRKLNERQVTGILKMACERPAQRESSVLEIVNRNNYGNDHYSKEFGMNVMNQLTLVDARVLPAPRLKYHDSGRDRVCNPSLGQWNMINKRMVNGGSIKYWACITFSSRLHPNDIGMFCHDLAEICNNIGMQMNMKPCVDITQPRRQESVESAIRNIHRHSLQVLAEQGLTGKQLELLIVILPDISGSYGRIKRLCETELGLMTQCCLPKNVQKGGKQYLENLSLKINVKAGGRNTVLEDALYKRIPLLTDVPTIVFGADVTHPSPGEDASPSIAAVVASMDWPQVTKYKCLVSSQGHREEIIADLFSEVKDPQKGLVAGGMIRELLVSFYKATNCKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENHRARDLTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLLDENGFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYMEDEFSDQGSSSAATSQRSALAKQLPKIKDNVKELMFYC* >Brasy2G449000.1.p pacid=40066015 transcript=Brasy2G449000.1 locus=Brasy2G449000 ID=Brasy2G449000.1.v1.1 annot-version=v1.1 MQTVGLGAPALGVFVAQHAKTKKPDGDSTLGLIMVTKGSLSPSLVQCDLEAQFPWQWRWNVVRSGQHFLAHFPSKETLDMLADFEDFKLKGTQAYIKVERATSEVKPKGRMLTIWARAEGVPTDMRNYKGICEIGSLIGAVEEVDMQMLKDLNVVRFKVHVKSIKKIPTVKEFSIPPFFFDVSFLVEKVETMGTINEGLITDNSTKRSPAVQRNVSADGRSPKKQKADGSDAAGGVGNSGQTGVTDSASKNDGAASKNPLQSGMTHETSAEVENNKKEETQDLELEGDEVVPEIEGSQERVHFSEEDLPDSQESFGTKVDGLVKSIVSKPSDNKQAEQEVKGKNRAEIADNNKKMPIRKSDRLKDQEDADRTDLAMKRVAQKNNIAGNTERAKAKTT* >Brasy2G308500.1.p pacid=40066016 transcript=Brasy2G308500.1 locus=Brasy2G308500 ID=Brasy2G308500.1.v1.1 annot-version=v1.1 MASDQTLPSLPPLLPAPSNPNQIPDPTAPLPPDPIPPASAARKLPIKRRSPPRPSSSPSSSGPTAADQDGGQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRSQLSEKLRRLKNKHRNVSARVARGLDPARLAPHDRDVLHLCSRLWDPANAATSPFAATAGSPGNKRRRAALLEVPPPSGDGNSQDYNGTSSATPGAFLDGNGEDVMYLEQESGHLYYDQGAALVANGSLDGFTMEQAETVAALTNTGANGVGMEIAPQNIENTGIGSQNGNCTVMIPHSSEHRMASAVLDVFEECLREAKADGTICGGSAEESELAKRWRAQRIDELDVLSRRLRLLIEDAGAARH* >Brasy2G132400.1.p pacid=40066017 transcript=Brasy2G132400.1 locus=Brasy2G132400 ID=Brasy2G132400.1.v1.1 annot-version=v1.1 MATSSSSGGGGGAGGAPGLKTYFKTPEGRHKLQYEKTHSPSVLHYNHGAGGKTVSEMTVAYLKEKPAGQGSTPSTPSSGSGMRSAAARLLGTGNGSRTLSFAGSNGVSRAVSGSSRVGGGVGMSTSVSGSQAVVNYDGKGTYIIFNTADTLFMSDLNSHDKDPVKSIHFSNSNPLCHAFDPEAKDGHDLIIGVWSGDVYSMSLRQQLQDPGKKPVASQHFINKDKDGTANSRCTCVAWVPEREGIFVVSNADGNLYVYDKSKDGNADWTFPTVKDQSQLTISHAKSTKSNPTARWHICQGAINAISFSPDGAYMATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWSADGKYLLSGGEDDLVQVWSMDDRKMVAWGEGHTSWVSAVAFDSYWSPPNSDEAEDNVMYRFGSVGQDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDSAIPPPSGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLEFTSESIVTICREGLIKIWARPHHNVENIQQTNSSELVGGNTISKDKTDKTITSSIKAGASSSSFKQPSSVIFS* >Brasy2G132400.2.p pacid=40066018 transcript=Brasy2G132400.2 locus=Brasy2G132400 ID=Brasy2G132400.2.v1.1 annot-version=v1.1 MATSSSSGGGGGAGGAPGLKTYFKTPEGRHKLQYEKTHSPSVLHYNHGAGGKTVSEMTVAYLKEKPAGQGSTPSTPSSGSGMRSAAARLLGTGNGSRTLSFAGSNGVSRAVSGSSRVGGGVGMSTSVSGSQAVVNYDGKGTYIIFNTADTLFMSDLNSHDKDPVKSIHFSNSNPLCHAFDPEAKDGHDLIIGVWSGDVYSMSLRQQLQDPGKKPVASQHFINKDKDGTANSRCTCVAWVPEREGIFVVSNADGNLYVYDKSKDGNADWTFPTVKDQSQLTISHAKSTKSNPTARWHICQGAINAISFSPDGAYMATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWSADGKYLLSGGEDDLVQVWSMDDRKMVAWGEGHTSWDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDSAIPPPSGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLEFTSESIVTICREGLIKIWARPHHNVENIQQTNSSELVGGNTISKDKTDKTITSSIKAGASSSSFKQPSSVIFS* >Brasy2G443400.1.p pacid=40066019 transcript=Brasy2G443400.1 locus=Brasy2G443400 ID=Brasy2G443400.1.v1.1 annot-version=v1.1 MAPRTGAAVVSPIITTGSDAQLPPLEEELQNKSMCPTPQGWILVLDRDAAAAACLLDPHSRRRIPLPPLAIDPQLLPYCTCLLHPGDPSDPAGCLVLLVDPIATFLWHCRAGGGSEWTKQEYDIGTQGDAHFVEKRMIAPIAPCGGKFYFNPKPTETAVLELSHGGPAPSPPAFSSYDAEVPDKVHRAKVFLLECEASSSLYMVKVLHSGGAYDETKVYRMDFPGRRWCPVDDLGGGGRAFFVGPMNFGASCAAAGGIQENCVYSLVAADDNSFRVFNLKDGTSELRTLDGHTLQTDESTISFWVLPTHQQLK* >Brasy2G366100.1.p pacid=40066020 transcript=Brasy2G366100.1 locus=Brasy2G366100 ID=Brasy2G366100.1.v1.1 annot-version=v1.1 MDGNARSAASQKKPIVMDTTDLVELLWHNGGVVAQSQRPAAPNPSAAADRGGQSTSGLTGEETAAWFPDAALEDDDMYAQLWHSVTTAPDSGGGGGGLPAGPSSRPPPADLARQTAAARPPMSSSWTGDLCSTFCGSNQGPVVPAGGGEGSAALPSEGTRGTSTRDGAGTFTGTSSSGGSGSNFGASGLPSESTHGGHKRKGRGRDDSDSRSEDAEFEATEETKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKADKASILDEAIEYLKSLQMQLQIMWMTTGMAPMMFPGAHQFMPPMAMGMNSACIPTAQSLNQMPRVPYINHSLPNHIPMNSSPAMNPMYVANQMQNIHLREASNHFQVAPQVTGPYAYASQVAQQNQIPEVPDSTIVPTSGTGQPPTSDGI* >Brasy2G366100.2.p pacid=40066021 transcript=Brasy2G366100.2 locus=Brasy2G366100 ID=Brasy2G366100.2.v1.1 annot-version=v1.1 MDGNARSAASQKKPIVMDTTDLVELLWHNGGVVAQSQRPAAPNPSAAADRGGQSTSGLTGEETAAWFPDAALEDDDMYAQLWHSVTTAPDSGGGGGGLPAGPSSRPPPADLARQTAAARPPMSSSWTGDLCSTFCGSNQGPVVPAGGGEGSAALPSEGTRGTSTRDGAGTFTGTSSSGGSGSNFGASGLPSESTHGGHKRKGRGRDDSDSRSEDAEFEATEETKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKADKASILDEAIEYLKSLQMQLQIMWMTTGMAPMMFPGAHQFMPPMAMGMNSACIPTAQSLNQMPRVPYINHSLPNHIPMNSSPAMNPMYVANQMQNIHLREASNHFQVAPQVTGPYAYASQVAQQNQIPEVPDSTIVPTSGTGQPPTSDGI* >Brasy2G366100.4.p pacid=40066022 transcript=Brasy2G366100.4 locus=Brasy2G366100 ID=Brasy2G366100.4.v1.1 annot-version=v1.1 MDTTDLVELLWHNGGVVAQSQRPAAPNPSAAADRGGQSTSGLTGEETAAWFPDAALEDDDMYAQLWHSVTTAPDSGGGGGGLPAGPSSRPPPADLARQTAAARPPMSSSWTGDLCSTFCGSNQGPVVPAGGGEGSAALPSEGTRGTSTRDGAGTFTGTSSSGGSGSNFGASGLPSESTHGGHKRKGRGRDDSDSRSEDAEFEATEETKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKADKASILDEAIEYLKSLQMQLQIMWMTTGMAPMMFPGAHQFMPPMAMGMNSACIPTAQSLNQMPRVPYINHSLPNHIPMNSSPAMNPMYVANQMQNIHLREASNHFQVAPQVTGPYAYASQVAQQNQIPEVPDSTIVPTSGTGQPPTSDGI* >Brasy2G366100.3.p pacid=40066023 transcript=Brasy2G366100.3 locus=Brasy2G366100 ID=Brasy2G366100.3.v1.1 annot-version=v1.1 MDGNARSAASQKKPIVMDTTDLVELLWHNGGVVAQSQRPAAPNPSAAADRGGQSTSGLTGEETAAWFPDAALEDDDMYAQLWHSVTTAPDSGGGGGGLPAGPSSRPPPADLARQTAAARPPMSSSWTGDLCSTFCGSNQGPVVPAGGGEGSAALPSEGTRGTSTRDGAGTFTGTSSSGGSGSNFGASGLPSESTHGGHKRKGRGRDDSDSRSEDAEFEATEETKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKIWPTGEELARPSRCLFHRVSVLHIIYMLVDKVFGIVALVAHSSRS* >Brasy2G340500.1.p pacid=40066024 transcript=Brasy2G340500.1 locus=Brasy2G340500 ID=Brasy2G340500.1.v1.1 annot-version=v1.1 MDSQSPMGLYTNLLSEGYSQETWGQNLDSPFGEQVMQSQVLTPPARANKRTRNFTDKEDEVLVAAWLHASLDPIVRTEQKNATYWKRIHEEYEVHKPEGSDRNVSSLSHRWSVVKEQVGRFCGCYDQIMHRHESGKTEQDKVVHLVV* >Brasy2G141800.1.p pacid=40066025 transcript=Brasy2G141800.1 locus=Brasy2G141800 ID=Brasy2G141800.1.v1.1 annot-version=v1.1 MATHPSKRSYLCAGSSTFDDPDVVEVSPTAAAAAGGWSSGHQKRKRSQVVPHEVIDLDGDDDPDGVTIIGQKAPAEKNKQALGYPLDWPKHVKTSVAGDIAGPSTYASKNTAIMGGLKKVIEYDYDDYTFDPFEEDGFFEDEYDYEDGYDYDAALFESEYNYNLSAKFDGLDIPPGVEAPLPWMQKTAAEMSNKTKPIVIVDDKVDEKYNAFKQFDTVDCHSDHYYSKPELRRVQVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNTPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYANSANTPSGEKRSLTYNEDTFLLSCRTMLYSLRNPPKHFENFVAGHFRKYGHNVLVACKAYLDGAQVGCLVGNGVQDVDEGDKSCSLKFKTSLKRLFEELLMEFTVKGADCDKFLSEKAKSAAAATRATADTTLRL* >Brasy2G103600.1.p pacid=40066026 transcript=Brasy2G103600.1 locus=Brasy2G103600 ID=Brasy2G103600.1.v1.1 annot-version=v1.1 MALSLLRACLSVQGRSYATRIAKAVVTGNNATKIIRTSGNNNNLRRHFSAGAESNSRRGYAGPALLSIACGFGLHYGPLLLIDKKLSEHLEGADHRRLSEQTKAEVQRCVDSRFSSIQTQVNYDVLQSIRRVDNAIARSRTEDPYYLHLKAEIREELLTIRRNYHEMEEKNERTLAQLRAADEAKMAGLQREIAEMRKEIGKQQRS* >Brasy2G276500.1.p pacid=40066027 transcript=Brasy2G276500.1 locus=Brasy2G276500 ID=Brasy2G276500.1.v1.1 annot-version=v1.1 MVGKNGAPAPVPMQLIPLGRRAREAADRQKAEAAAKERAEHGTKRKREALAQNKTQTRSNLRRLVDALRVVFPEGSKCDTLPSAHSVSLICRLLEEIRPEDVGLRPDGARYFQELDERGARGTPAITTKNLYECDTFTVAAFLLSPGKVMPLHDHPRMTVFSKILMGSAHVTSYDWVQPSLCLDRRWLLAQKVLDKDFTPESGAWALYPDTGGNVHRLAAGADGPCAFIDVLSPPYGSPLQQIGGSFYQDMPFKKIHPAVPNQISEEQKGKLAWLQQIGVPKDLNLITLPNRGPGSQ* >Brasy2G359100.1.p pacid=40066028 transcript=Brasy2G359100.1 locus=Brasy2G359100 ID=Brasy2G359100.1.v1.1 annot-version=v1.1 MLCRRPLAAAAALHLAPLSPPLLLFFASSSSSAASCSPAAAAAAAAATPASSHRGCSAVRMDTGAVEPASTGTIWSTPSVEPRSISIGKQIFCNRSLNMRNITAVGFDMDYTLAQYKPETFESLAYHGTIEKLVKDLNYPQELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDSNPGKVSSGIDYALMYKDVRSAVDLCHRDGTLKRMVAMEPGRYINEDLAIVPMLEMLRKSGRSTFLVTNSLWDYTDVVMNYLCGPYMSDVSSNHNHKWLEYFDVVITGSSKPSFFHDDNRTGLFEVEPDSGKLLNADLQIGSPRSSQHQPKPIHKVYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDTIHRLEWSLQFEDLTQNRKEKLLSEHDILLQKLKGIRCVLRDAQLQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYCSQVTNFGLYSPNKYYRPSEDYMPHEFDVLGL* >Brasy2G359100.2.p pacid=40066029 transcript=Brasy2G359100.2 locus=Brasy2G359100 ID=Brasy2G359100.2.v1.1 annot-version=v1.1 MLCRRPLAAAAALHLAPLSPPLLLFFASSSSSAASCSPAAAAAAAAATPASSHRGCSAVRMDTGAVEPASTGTIWSTPSVEPRSISIGKQIFCNRSLNMRNITAVGFDMDYTLAQYKPETFESLAYHGTIEKLVKDLNYPQELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDSNPGKVSSGIDYALMYKDVRSAVDLCHRDGTLKRMVAMEPGRYINEDLAIVPMLEMLRKSGRSTFLVTNSLWDYTDVVMNYLCGPYMSDVSSNHNHKWLEYFDVVITGSSKPSFFHDDNRTGLFEVEPDSGKLLNADLQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDTIHRLEWSLQFEDLTQNRKEKLLSEHDILLQKLKGIRCVLRDAQLQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYCSQVTNFGLYSPNKYYRPSEDYMPHEFDVLGL* >Brasy2G020200.1.p pacid=40066030 transcript=Brasy2G020200.1 locus=Brasy2G020200 ID=Brasy2G020200.1.v1.1 annot-version=v1.1 MASSSSSSSTSTLVLAASILATLAMSAQGIPKVPPGPNITATYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKDVDKAPFSGMTSCGNTPIFRDGRGCGSCFEVKCTKPDACSGDPVLVHITDDNEEPIAAYHFDLSGHAFGSMAKKGKEQDLRSAGEVEIQFRRVKCKYPDGTKVTFHVEKGSSPNYLAILVKYVGGDGDVVAVDVKEKGKDEWVPLKESWGAVWRLDTAKPLKGPLTVRYETDGGTKAEAEDVIPEDWKPDTMYESK* >Brasy2G256400.1.p pacid=40066031 transcript=Brasy2G256400.1 locus=Brasy2G256400 ID=Brasy2G256400.1.v1.1 annot-version=v1.1 MATTTDIRLSVAHQTRFALRLASSISSPSNADAAAGNAAFSPLSLHVALSLIAAGAGGVTRDQLAATIGAAGPGAAEGLHALAELVVQLLLADASGAGGPRVAFADGVFVDASLSLKPSFKEVAVGKYKAETHSVDFQTKAAEVAGQVNSWVDKVTSGLIKEILPEGSVDNTTKLVLGNALYFKGAWIEKFDASKTKDDKFNLLDGSSVQAPFMSSTKKQYLSSSDGFKVLKLPYQQGEDKRLFSMYILLPEAQDGLWSLAEKLSSQPGFLEKHLPTQKVPVGQFKLPKFKISRLNSTSLILDDIYMRGWRSFLTENWLELSMGSIPVSRTQDSPTGKFLDLTTLDLTTDYILSVADGSSRQENIPCRPTHRHIGISLCSYPCRLTHKNSIPVGKMHFLVGFRLTGIFTYLVVNGNTPFFSLKMKCKF* >Brasy2G256400.2.p pacid=40066032 transcript=Brasy2G256400.2 locus=Brasy2G256400 ID=Brasy2G256400.2.v1.1 annot-version=v1.1 MATTTDIRLSVAHQTRFALRLASSISSPSNADAAAGNAAFSPLSLHVALSLIAAGAGGVTRDQLAATIGAAGPGAAEGLHALAELVVQLLLADASGAGGPRVAFADGVFVDASLSLKPSFKEVAVGKYKAETHSVDFQTKAAEVAGQVNSWVDKVTSGLIKEILPEGSVDNTTKLVLGNALYFKGAWIEKFDASKTKDDKFNLLDGSSVQAPFMSSTKKQYLSSSDGFKVLKLPYQQGEDKRLFSMYILLPEAQDGLWSLAEKLSSQPGFLEKHLPTQKVPVGQFKLPKFKISRLNSTSLILDDIYMRGWRKLARTVDGFYPCQPHTGFPDRKIPGPDHPGPNDGLYPVGG* >Brasy2G256400.3.p pacid=40066033 transcript=Brasy2G256400.3 locus=Brasy2G256400 ID=Brasy2G256400.3.v1.1 annot-version=v1.1 MATTTDIRLSVAHQTRFALRLASSISSPSNADAAAGNAAFSPLSLHVALSLIAAGAGGVTRDQLAATIGAAGPGAAEGLHALAELVVQLLLADASGAGGPRVAFADGVFVDASLSLKPSFKEVAVGKYKAETHSVDFQTKAAEVAGQVNSWVDKVTSGLIKEILPEGSVDNTTKLVLGNALYFKGAWIEKFDASKTKDDKFNLLDGSSVQAPFMSSTKKQYLSSSDGFKVLKLPYQQGEDKRLFSMYILLPEAQDGLWSLAEKLSSQPGFLEKHLPTQKVPVGQFKLPKFKISRKLARTVDGFYPCQPHTGFPDRKIPGPDHPGPNDGLYPVGG* >Brasy2G256400.4.p pacid=40066034 transcript=Brasy2G256400.4 locus=Brasy2G256400 ID=Brasy2G256400.4.v1.1 annot-version=v1.1 MATTTDIRLSVAHQTRFALRLASSISSPSNADAAAGNAAFSPLSLHVALSLIAAGAGGVTRDQLAATIGAAGPGAAEGLHALAELVVQLLLADASGAGGPRVAFADGVFVDASLSLKPSFKEVAVGKYKAETHSVDFQTKAAEVAGQVNSWVDKVTSGLIKEILPEGSVDNTTKLVLGNALYFKGAWIEKFDASKTKDDKFNLLDGSSVQAPFMSSTKKQYLSSSDGFKVLKLPYQQGEDKRLFSMYILLPEAQDGLWSLAEKLSSQPGFLEKHLPTQKVPVGQFKLPKFKISRLNSTSLILDDIYMRGWR* >Brasy2G267800.1.p pacid=40066035 transcript=Brasy2G267800.1 locus=Brasy2G267800 ID=Brasy2G267800.1.v1.1 annot-version=v1.1 MFGYGISFKDESKHMLWGSCANLHAAEQIKLLFIASMSLMPTPPSFLGLQIFRKNSLALLPTASPLSSFPFFHRQNISPFPLLHQTPDQQARPPFPLTSNVRRGSRGLRLLSLCWRELSAWWFPRPSEILRAVRNPQTLPFLQCASGESTAAASIYEVARDVGMVVPRCRARFSQRRDLRNPPLPPACHRG* >Brasy2G413400.1.p pacid=40066036 transcript=Brasy2G413400.1 locus=Brasy2G413400 ID=Brasy2G413400.1.v1.1 annot-version=v1.1 MGYNRHRRGRGSSSSSRRTKQEASCDDGPGTSLPRQEDSTEEEPNVPKIQLAMWDFGQCDAKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTHCVSKEDHPIMKQKGLAVVDCSWARLDDVPFVKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEEDTGELLLAKFKWGHSFLSLNRDLLKAYSKCENGTEIINVQNSWLSSASSVPKSPANVAGKSRQSTDEGSDADSDDGLPPLEENMNHLNLSEDEESEEGSESE* >Brasy2G336500.1.p pacid=40066037 transcript=Brasy2G336500.1 locus=Brasy2G336500 ID=Brasy2G336500.1.v1.1 annot-version=v1.1 MQLVLPPARFGASGGFLCLGSRRRATPPDEAHDLPPGRPRCWLLACSGVWRLLCRCCRYRAWAPPCLFSGCRRPYSTSATPVGGSESAVAMVPAIIRRRRLHQRLVFDASCGTCLLLVSVGDARP* >Brasy2G240300.1.p pacid=40066038 transcript=Brasy2G240300.1 locus=Brasy2G240300 ID=Brasy2G240300.1.v1.1 annot-version=v1.1 MPLEVWLLSEGSACQSFLWLCWSWILSGGLAAKVDTFSCWAQVNFSNKSVCPDISDLVSFHLSRSRHCGCVAAAGKDTQFTGYTALPLSVTPRFFGTTLVLVLGSYRFVLMPCIFYLLSSLDLPLYYFVFRPASRFLGLAAHRSSPSHAFASRLGVLPVA* >Brasy2G447000.1.p pacid=40066039 transcript=Brasy2G447000.1 locus=Brasy2G447000 ID=Brasy2G447000.1.v1.1 annot-version=v1.1 MATAAATITFFLLLVSVAGAMAAAVSKTPKPQASSFRTVYAFGDSFTDTGNTHSTTGPYSFGYVSSAPYGATFFHRPTNRYSDGRLVVDFLADHLRLPSFLPPYLPSNSNNSSDKSSSSSKSGSGAVGVNFAVAGATAIEHDFFVRNNLTVDITPQSIMTELAWLDKHLAAAEAERKKVGTGGGAGRKKDLEEEEGIGEALFWVGEIGANDYAYSFMAADTVSPKNIQAMAVARVASFVEELLKRGAKYIVVQGLPLTGCLPLAMTLARQEDRDNVSCVASVNQQSYDHNRLLQADLNRLRQKHPGAAIAYADYYAAHLAVMRNPARHGFTEPFKTCCGTGGGAYNFEIFSTCGSPEVATACAQPAKYVNWDGVHMTEAMYKVVAGMFFQDNSGKYCRPAFSSLLAKKGHGK* >Brasy2G435300.1.p pacid=40066040 transcript=Brasy2G435300.1 locus=Brasy2G435300 ID=Brasy2G435300.1.v1.1 annot-version=v1.1 MGRPPANGGTAPPPPPPPGRCHFWLPGKRRHCANSPLPSSQYCGNHPPASSSDSRRRVPCPVDPSHTVFEENLEAHVGKCPFRKQADALASQPYYSKGINSGGGGGADVTSAAKRASVHGLSEEEFRGLVAKIRSAHSKAAVEMREAYAATDACDKWMRGQVDRKVPYQEKHVTQQVSIVGNMETFGLLTTGGTGDVAEEVAAEDDVPAVVEFGAGRGYLTQLLADCYGIRNIFLVERRSYKLKADRSLRQNDGVTLKRLRIDIEDFNLHGVEALSGVQYLAIGKHLCGPATDMTIMCCLHEQYNHTEEKVHDKHRFQGLALATCCHHLCQWKHYANKAFLSGLGIMEEEFHAMTWFSSWAVDGDHSSRDSSLEAEDSPSEVRETEKPGPEITGTERLIRGIPGGERSTLGFMCKDIIDAGRLLWLRHKGLEADLVSYVPSNVSPENRLLVAKRKY* >Brasy2G342900.1.p pacid=40066041 transcript=Brasy2G342900.1 locus=Brasy2G342900 ID=Brasy2G342900.1.v1.1 annot-version=v1.1 MNMITKWKNQLTTSNRRHGEQKLLQFFNSDHNTEPIMVFLRLVARIWICSHREEYEPFITKLGEGGYSAKDWCVEPVIPCHEWADHIQMMAMATALEVPLRIEHLNGGPAQDIYTGQGDCHVILLYLGNHCGIIYPHHPSDETSYLPHLASDSQCQSQKEANTALMPSAAGDQCELLPETAGAVTPLIHNVWHGNIEQELRELHSLVGQGKVTIAIDMEFASTAKDQERPTTATQWYDNLYELVDGGDVLQLGLAVSVDSADPPLAARVCQLNLKFDMKKRPYNANTIKFLTDQGYDLQAHNTHGILSSRIAEWFLNELDRFYDRGVTWVVFQGDADVGFLLNLLGNSMPGTRVGYLQRYTCSLPQLYDVRVLARIMFGQQTRGLNWVADAINVKRIGQNHCSGSDALLTLNCFFELRKRLGVGAIKISMGVLSGLFNIDDTVSQALPMGHVSLRPIQVWEHNFHQESAVIAQFVQNNFCIVGLDVQFFPDLCDEALKEKKLCHRRFHSRQDCYQLMVQALGAVSYYQISLAFVSYMGQIALGRWWNFHLSEYTNRDLVAEGGPQHIHCVRFTEMLHTCNLINNPEIIWATFEGAHSIGSLYHMVRRDTPQDFPVSIWDYMRQREDLFLFLYDLRLLVSREIGDILAEVARGMGLSVPAEQSQWNALLSAQILVRVRDSFEDSDSVLESYAEHRGHLLAKCCSRSNAYR* >Brasy2G366500.1.p pacid=40066042 transcript=Brasy2G366500.1 locus=Brasy2G366500 ID=Brasy2G366500.1.v1.1 annot-version=v1.1 MPCPVSSISNRKNLFLPKISIHINKHSEVAIKSSTKPEMTEWKIGVSGGEKEGDAGLATTAAGGPPAGFGDRAPAQAGDEARNPRPELAGGERLRPRGTKSGSDGISLDLLLTDRGLFRKRMLELVKAGATSVAPVRRPAARDADLLPEVRELQQRFEELLQAAGINKYKHAAKVPIPNSLDSGSTGSSIHGDVAGGGIRGQAPLCATPTAMEGNQVPMSEPEHTAVIRKFSDCGSTRYISMGDLAPVWPYQPSESVGKNHSDIWFVVTFEGNNLQSECRVRTDCRKKLVCLGTESDDHAEFSTMIQADRSGILNHTGLCISPSF* >Brasy2G038800.1.p pacid=40066043 transcript=Brasy2G038800.1 locus=Brasy2G038800 ID=Brasy2G038800.1.v1.1 annot-version=v1.1 METARHLVLECPFSKEIWASFLTERPRMCRASEQSTSLSGWWNRLLKINSRKKNHDIVWASMVVWHIWKERNQRIFKNCRSLPNSICSFIRSERGLLMEECSE* >Brasy2G076100.1.p pacid=40066044 transcript=Brasy2G076100.1 locus=Brasy2G076100 ID=Brasy2G076100.1.v1.1 annot-version=v1.1 MTIDCRYSRNRQVPIRRIAPPNLVSSCHGNGATATPHALPATLSMPHRLQAPLLRVLSSATARPPARRLPQDRGHGEARRPDGERQGQEPPPAEEVQRHGGEAQALRVLLQQAAVRHARGRPRQEDPLLRQHPAGGHLRRPALQHRRGGSEGRGGARQGVQGAGRLRDLVLRPQRVRSRGEDDGVRGSGRAARVPTEIAPSS* >Brasy2G024100.1.p pacid=40066045 transcript=Brasy2G024100.1 locus=Brasy2G024100 ID=Brasy2G024100.1.v1.1 annot-version=v1.1 MNLPRPDRLQRPLKRSLLTATTRTRVREKGSRGPRLHERRGPPGMASGGVSTTGSRRSPPAARAWRRPTGPTTSSGKPATTPSAPRLLQAEASRRRWKAAYMELPPGANPKLTELQENDLEDSRACEALIDVDSSQLKIQPKEARNCVELSQNNEDHEDIARDLRAELRKLMQAYETLSSNKDKEVSALLAVKDFLWNQLRTMDRDNTALFILSQCLIKMT* >Brasy2G080400.1.p pacid=40066046 transcript=Brasy2G080400.1 locus=Brasy2G080400 ID=Brasy2G080400.1.v1.1 annot-version=v1.1 MAIEKGMSPYEAARERMVQENKRKMEALNLHHLSAAVAHAPKTPSPMKQKRRRIIEAAVVSPSPPRRSRRLAHLPEVKYAEVAPDSERMKRWSPRKPTEIMCWGSGGSISMEARLEAARKAEELESELDPEFPSFVKRMLHSHVVRGFWLGLPSHFCDSYLPKHDSTITLVDEKDEEFETNYLAYKKGLSGGWAGFALSHGILDGDSTVFQLIKPTTFKVHIIRATVDDDNKESE* >Brasy2G195800.1.p pacid=40066047 transcript=Brasy2G195800.1 locus=Brasy2G195800 ID=Brasy2G195800.1.v1.1 annot-version=v1.1 MGSAASAAAPLPPPPAQPHGAASPYGPGLAGILPPKPDGDEKKEEEKVDYLNLPCPVPYEEIQREALMSLKPELFEGLRFDFTKMLTNKFALSHSVLMGSLEVPSQSADVIKVPTSQYEFGANFLDPKLMLIGRLMTDGRLNARVKCDLTENLALKINAQLTNEPHYSQGMFNFDYKGKDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGQQRKSGIGFNSRYSTDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSVGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGLTVGE* >Brasy2G104400.1.p pacid=40066048 transcript=Brasy2G104400.1 locus=Brasy2G104400 ID=Brasy2G104400.1.v1.1 annot-version=v1.1 MSGVMPKFAVASMMMWMAPAAILYGFHHQLFPGVIQLSSSAQTLASGFLAVISVNLVIGFYIYMALKETPAEESQPDATFLANAKASINRPISSQVGDDSQGKGKME* >Brasy2G104400.2.p pacid=40066049 transcript=Brasy2G104400.2 locus=Brasy2G104400 ID=Brasy2G104400.2.v1.1 annot-version=v1.1 MSGVMPKFAVASMMMWMAPAAILYGFHHQLFPGVIQLSSSAQTLASGFLAVISVNLVIGFYIYMALKETPAEESQPDATFLANAKASINRPISSQVGDDSQGKGKME* >Brasy2G104400.3.p pacid=40066050 transcript=Brasy2G104400.3 locus=Brasy2G104400 ID=Brasy2G104400.3.v1.1 annot-version=v1.1 MSGVMPKFAVASMMMWMAPAAILYGFHHQLFPGVIQLSSSAQTLASGFLAVISVNLVIGFYIYMALKETPAEESQPDATFLANAKASINRPISSQVGDDSQGKGKME* >Brasy2G104400.4.p pacid=40066051 transcript=Brasy2G104400.4 locus=Brasy2G104400 ID=Brasy2G104400.4.v1.1 annot-version=v1.1 MSGVMPKFAVASMMMWMAPAAILYGFHHQLFPGVIQLSSSAQTLASGFLAVISVNLVIGFYIYMALKETPAEESQPDATFLANAKASINRPISSQVGDDSQGKGKME* >Brasy2G104400.5.p pacid=40066052 transcript=Brasy2G104400.5 locus=Brasy2G104400 ID=Brasy2G104400.5.v1.1 annot-version=v1.1 MSGVMPKFAVASMMMWMAPAAILYGFHHQLFPGVIQLSSSAQTLASGFLAVISVNLVIGFYIYMALKETPAEESQPDATFLANAKASINRPISSQVGDDSQGKGKME* >Brasy2G469300.1.p pacid=40066053 transcript=Brasy2G469300.1 locus=Brasy2G469300 ID=Brasy2G469300.1.v1.1 annot-version=v1.1 MMDDSSTRRHVSEGNHLDYVRSESIDSTGHPSAARSCSGLSRRSSRHSSRGSISMSREMGDSILTSMRHSLQSADQLLGDTDGSVLAQVIDSGDRVLMLEDYANEDTANTLDQHKVGPLPDDTAKQICGNSSHGTGVSVPETLVEPKDESSSIKVEQYTLSRRLDYASYLIHLATFGFFGVFTRYGLQKLFGPGCLDLTSNQSPLYLDLPSNMLGSFLMAWFGIIFKTDIRHISDHLIVGITTGYMGCLTTFSGWNQAMISMSSKGHWAYAIAGILLGMFIVNESIRVGAETGERLRSCILKCIKENSSIGSKCVWENLRVDTRTKHYVIIVVMMILLSFLWVLSIVLATIKVGSLTDGAVLWLGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLAANVLAAGIMAALAVTAKAVNTKQSTAVLNGIQFGFLGCLSTVSTFVAEIYAMRSSGQIGRAFVYATATFMLSFMLGTLVYSVPVWVKHYQ* >Brasy2G469300.2.p pacid=40066054 transcript=Brasy2G469300.2 locus=Brasy2G469300 ID=Brasy2G469300.2.v1.1 annot-version=v1.1 MMDDSSTRRHVSEGNHLDYVRSESIDSTGHPSAARSCSGLSRRSSRHSSRGSISMSREMGDSILTSMRHSLQSADQLLGDTDGSVLAQVIDSGDRVLMLEDYANEDTANTLDQHKVGPLPDDTAKQICGNSSHGTGVSVPETLVEPKDESSSIKVEQYTLSRRLDYASYLIHLATFGFFGVFTRYGLQKLFGPGCLDLTSNQSPLYLDLPSNMLGSFLMAWFGIIFKTDIRHISDHLIVGITTGYMGCLTTFSGWNQAMISMSSKGHWAYAIAGILLGMFIVNESIRVGAETGERLRSCILKCIKENSSIGSKCVWENLRVDTRTKHYVIIVVMMILLSFLWVLSIVLATIKVGSLTDGAVLWLGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLAANVLAAGIMAALAVTAKAVNTKQSTAVLNGIQFGFLGCLSTVSTFVAEIYAMRSSGQIGRAFVYATATFMLSFMLGTLVYSVPVWVKHYQ* >Brasy2G469300.3.p pacid=40066055 transcript=Brasy2G469300.3 locus=Brasy2G469300 ID=Brasy2G469300.3.v1.1 annot-version=v1.1 MMDDSSTRRHVSEGNHLDYVRSESIDSTGHPSAARSCSGLSRRSSRHSSRGSISMSREMGDSILTSMRHSLQSADQLLGDTDGSVLAQVIDSGDRVLMLEDYANEDTANTLDQHKVGPLPDDTAKQICGNSSHGTGVSVPETLVEPKDESSSIKVEQYTLSRRLDYASYLIHLATFGFFGVFTRYGLQKLFGPGCLDLTSNQSPLYLDLPSNMLGSFLMAWFGIIFKTDIRHISDHLIVGITTGYMGCLTTFSGWNQAMISMSSKGHWAYAIAGILLGMFIVNESIRVGAETGERLRSCILKCIKENSSIGSKCVWENLRVDTRTKHYVIIVVMMILLSFLWVLSIVLATIKVGSLTDGAVLWLGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLAANVLAAGIMAALAVTAKAVNTKQSTAVLNGIQFGFLGCLSTVSTFVAEIYAMRSSGQIGRAFVYATATFMLSFMLGTLVYSVPVWVKHYQ* >Brasy2G245500.1.p pacid=40066056 transcript=Brasy2G245500.1 locus=Brasy2G245500 ID=Brasy2G245500.1.v1.1 annot-version=v1.1 MARASNSSNKLALFLALNLLVLLSGSAHGCSYCPTPAVPTPPIVVPPPPYVPVPVPSGGGGACPINTLKLSACASVLSLLKLGLNVPASEQCCPLLSGLADLDAAVCLCTAIKANVLGLVSVNVKVDLTLLLNQCGKICPADFTCSL* >Brasy2G378100.1.p pacid=40066057 transcript=Brasy2G378100.1 locus=Brasy2G378100 ID=Brasy2G378100.1.v1.1 annot-version=v1.1 MAAAAKKPPLKSSSSHNSVAGGGAGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYDDGAMVHRPVTYVPGLYKIFDEILVNAADNKQRDPKMDSLRVFIDVEGCCVSVYNNGDGIPVEIHQAEGVYVPEMIFGHLLTSSNYNDNEQKTTGGRNGYGAKLTNIFSTEFTIETADGHRQKKYKQVFSENMGKKSEPEISKCKQSEKWTRVTFKPDLAKFNMAHLEDDVVALMKKRVVDMAGTLGKTVKVELNGQKVPAKSFLEYVNLYIDSASKDGVKLPSIYQKVNDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVDYVANQIATHVMAVVNKKNKQANMKLHTVKGYLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKCDLSDDFLKKVADSGVVSNLLSWADFKLSKELKKTDGSKRSNVLGIPKLEDANDAGGRDSDKCTLILTEGDSAKALAMAGRGIVGNDHYGVFPLRGKLLNVREATHKQMMENAEIQNIKKIIGLQHGKEYNSTKDLRYGHLMIMTDQDHDGSHIKGLLINFIHSSWPSLLKVPSFLVEFITPIIKATNKRSKSVLSFYSMPDYEAWKESLGANASAWSIKYYKGLGTSTADEGREYFEKIAHHRKDFVWADDKDGDAIELAFSKKRIADRKDWLSNYQPGTCLDQREKCIKYSDFINKELILFSMADLERSIPSMVDGLKPGQRKILFSSFKRNLVKEIKVAQFIGYVSEHSAYHHGEQSLASTILGMAQDYVGSNNISLLEPRGQFGTRNSGGKDAASARYIYTRLPSITRLIFPKDDDVLLNYLNEDGQSIEPTWYMPIIPMVLVNGSEGIGTGWSTYTPNYNPRDIVANLKRLLNDEPIVPMDPWYKGFKGSFEKTSTKAAGVTYTISGIIEAANDTKLIISELPVRRWTLDYKEFLLSMCPQSVKEKEKEKDKNKNKSKDKDKDKDKKEKEPPFLEDLRSQCDDSSVYFELTLSEQNMAIAKQEGLEKKFKLTNTMGTTNMHLFDLNGKIRKYDTPEEILQEFFTLRLEFYGQRKITMLKNMRVELLKLENRVRFIHCVISGDIKVNNRKRAELFLELKEKNFDAFPKKKIKTEPAAVGAEEEDEENEESPAEDTDGVIGGDYEYLLSMAIGTLTLEKVKQLKAQRDNLEKEVERLSKTDPKSLWVKDLDALEKELDVLDAELKAAEEKRRLAREKSTKDGKVSKAAPKRQPKKTAAKSQKANLAGSDDEDYVAAIPKPAAQKKKPAKKASAQVKDAEDEVLQLKDRLAAYNLDSSPEHIDMETETTEGPEKGKKGVDEPSKTGAAKKAMSSLADWSDEEDLTAPIHESEDEGFAMEEVQVEKKTRGRKPAAEKPKPAVRKRAPAQSKGMRQKVMEEIFKPTDDSTTSAPSPVKKVRKMRASPFNKKSGSVLQRVAGASTGTEDTDAPQSGSSAEPVAPRRTARERKAKAIYIDSASDNDDSEDEDVHDLSDESDFDEDED* >Brasy2G378100.2.p pacid=40066058 transcript=Brasy2G378100.2 locus=Brasy2G378100 ID=Brasy2G378100.2.v1.1 annot-version=v1.1 MAAAAKKPPLKSSSSHNSVAGGGAGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYDDGAMVHRPVTYVPGLYKIFDEILVNAADNKQRDPKMDSLRVFIDVEGCCVSVYNNGDGIPVEIHQAEGVYVPEMIFGHLLTSSNYNDNEQKTTGGRNGYGAKLTNIFSTEFTIETADGHRQKKYKQVFSENMGKKSEPEISKCKQSEKWTRVTFKPDLAKFNMAHLEDDVVALMKKRVVDMAGTLGKTVKVELNGQKVPAKSFLEYVNLYIDSASKDGVKLPSIYQKVNDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVDYVANQIATHVMAVVNKKNKQANMKLHTVKGYLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKCDLSDDFLKKVADSGVVSNLLSWADFKLSKELKKTDGSKRSNVLGIPKLEDANDAGGRDSDKCTLILTEGDSAKALAMAGRGIVGNDHYGVFPLRGKLLNVREATHKQMMENAEIQNIKKIIGLQHGKEYNSTKDLRYGHLMIMTDQDHDGSHIKGLLINFIHSSWPSLLKVPSFLVEFITPIIKATNKRSKSVLSFYSMPDYEAWKESLGANASAWSIKYYKGLGTSTADEGREYFEKIAHHRKDFVWADDKDGDAIELAFSKKRIADRKDWLSNYQPGTCLDQREKCIKYSDFINKELILFSMADLERSIPSMVDGLKPGQRKILFSSFKRNLVKEIKVAQFIGYVSEHSAYHHGEQSLASTILGMAQDYVGSNNISLLEPRGQFGTRNSGGKDAASARYIYTRLPSITRLIFPKDDDVLLNYLNEDGQSIEPTWYMPIIPMVLVNGSEGIGTGWSTYTPNYNPRDIVANLKRLLNDEPIVPMDPWYKGFKGSFEKTSTKAAGVTYTISGIIEAANDTKLIISELPVRRWTLDYKEFLLSMCPQSVKEKEKEKDKNKNKSKDKDKDKDKKEKEPPFLEDLRSQCDDSSVYFELTLSEQNMAIAKQEGLEKKFKLTNTMGTTNMHLFDLNGKIRKYDTPEEILQEFFTLRLEFYGQRKITMLKNMRVELLKLENRVRFIHCVISGDIKVNNRKRAELFLELKEKNFDAFPKKKIKTEPAAVGAEEEDEENEESPAEDTDGVIGGDYEYLLSMAIGTLTLEKVKQLKAQRDNLEKEVERLSKTDPKSLWVKDLDALEKELDVLDAELKAAEEKRRLAREKSTKDGKVSKAAPKRQPKKTAAKSQKANLAGSDDEDYVAAIPKPAAQKKKPAKKVKDAEDEVLQLKDRLAAYNLDSSPEHIDMETETTEGPEKGKKGVDEPSKTGAAKKAMSSLADWSDEEDLTAPIHESEDEGFAMEEVQVEKKTRGRKPAAEKPKPAVRKRAPAQSKGMRQKVMEEIFKPTDDSTTSAPSPVKKVRKMRASPFNKKSGSVLQRVAGASTGTEDTDAPQSGSSAEPVAPRRTARERKAKAIYIDSASDNDDSEDEDVHDLSDESDFDEDED* >Brasy2G012800.1.p pacid=40066059 transcript=Brasy2G012800.1 locus=Brasy2G012800 ID=Brasy2G012800.1.v1.1 annot-version=v1.1 MPERRSAPSHDAGKMTQDQAGLASGSGDPETPPERASGEGASSAAAGRLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYISRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLIDEENADDNSRMEKKAESDNSAVSVENKIEHQQFENGLSENRISVTKEDITEGHEFTPEESRTNEGLSGADSHGISSSEARDSKEKNPKAEGMSSYTGNGHKDLDQQKNTTTKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRALRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSENPKQGGKSQLT* >Brasy2G012800.5.p pacid=40066060 transcript=Brasy2G012800.5 locus=Brasy2G012800 ID=Brasy2G012800.5.v1.1 annot-version=v1.1 MPERRSAPSHDAGKMTQDQAGLASGSGDPETPPERASGEGASSAAAGRLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYISRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLIDEENADDNSRMEKKAESDNSAVSVENKIEHQQFENGLSENRISVTKEDITEGHEFTPEESRTNEGLSGADSHGISSSEARDSKEKNPKAEGMSSYTGNGHKDLDQQKNTTTKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRALRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSENPKQGGKSQLT* >Brasy2G012800.2.p pacid=40066061 transcript=Brasy2G012800.2 locus=Brasy2G012800 ID=Brasy2G012800.2.v1.1 annot-version=v1.1 MPERRSAPSHDAGKMTQDQAGLASGSGDPETPPERASGEGASSAAAGRLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYISRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLIDEENADDNSRMEKKAESDNSAVSVENKIEHQQFENGLSENRISVTKEDITEGHEFTPEESRTNEGLSGADSHGISSSEARDSKEKNPKAEGMSSYTGNGHKDLDQQKNTTTKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRALRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSENPKQGGKSQLT* >Brasy2G012800.3.p pacid=40066062 transcript=Brasy2G012800.3 locus=Brasy2G012800 ID=Brasy2G012800.3.v1.1 annot-version=v1.1 MPERRSAPSHDAGKMTQDQAGLASGSGDPETPPERASGEGASSAAAGRLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYISRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLIDEENADDNSRMEKKAESDNSAVSVENKIEHQQFENGLSENRISVTKEDITEGHEFTPEESRTNEGLSGADSHGISSSEARDSKEKNPKAEGNGHKDLDQQKNTTTKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRALRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSENPKQGGKSQLT* >Brasy2G012800.4.p pacid=40066063 transcript=Brasy2G012800.4 locus=Brasy2G012800 ID=Brasy2G012800.4.v1.1 annot-version=v1.1 MPERRSAPSHDAGKMTQDQAGLASGSGDPETPPERASGEGASSAAAGRLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYISRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLIDEENADDNSRMEKKAESDNSAVSVENKIEHQQFENGLSENRISVTKEDITEGHEFTPEESRTNEGLSGADSHGISSSEARDSKEKNPKAEGNGHKDLDQQKNTTTKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRALRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSENPKQGGKSQLT* >Brasy2G330600.1.p pacid=40066064 transcript=Brasy2G330600.1 locus=Brasy2G330600 ID=Brasy2G330600.1.v1.1 annot-version=v1.1 MAATAAAASSVRGCGPASASSMGFSSRRASFFKPLPSRRASWARARAVVADVPSRGIELRREKGLLEAVIGGEEEEVREDEKIGEEKVEGWMRESIAEIVRHVGEAPFLVHLFSDDNGGSRVTMRREPASAETWPDVRRRWGPGGGGQRRPDGIILVEQVAAAAVEDGAEAARQVWGLVVQARGMECAACYVLDTCHVRSPAGLCTHFCLARAQCFGGEPLELQLRNAWLNRLSGHR* >Brasy2G178300.1.p pacid=40066065 transcript=Brasy2G178300.1 locus=Brasy2G178300 ID=Brasy2G178300.1.v1.1 annot-version=v1.1 MWRTKPGDQGENAHAPKEHTSIHPSTPPGKRTDRIASRNERNLSRHGPPSGGELLGGLGERLEVALDDGVDEDAGVLDGVPRGHVHDVGLDDDGGAGRRGRGRVDGGHGEVVLEPVLPADDAEAEHVALVVQDLEQLAAGRGGQARHDAHLPQRAHAAVPRHQRAAVHEPLVRLRLVEPPHHRPHIRRRRRHVLHHRRAAPPAPRIRRVLVVPRHLRRHLRVVRPAPRSPAGCALLHGRQLRPAPHGEDPVALVVVVVDAAGAGRRDARHVGWLIGSTLVFPSLDRFHPPLFFLCPDGGISFGCGGWRRRR* >Brasy2G363300.1.p pacid=40066066 transcript=Brasy2G363300.1 locus=Brasy2G363300 ID=Brasy2G363300.1.v1.1 annot-version=v1.1 MADAALVAVSQPHPQQRPHPLSQIAASGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSSVSGPSSPSSSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERVLAREQEDAALLARCVAELKRKGLEFDLLKEVDALRRAKALRVEAKGCGAEGPRRWPARDLPVFALFGAACGVLVLTRFLLCN* >Brasy2G227500.1.p pacid=40066067 transcript=Brasy2G227500.1 locus=Brasy2G227500 ID=Brasy2G227500.1.v1.1 annot-version=v1.1 MPLHVHAGITLAFMDRGLVRTGRHGGAAAGGGGGGDGAARTEVAPAVAAPAAAACFATRSAARRCARCRLAAVVGGREGGRMTRSLGAGWLDKRRVNGIWDVARGLEKKQARDKILEKYIPPDGGSPGRRQSQHWTKAASLPHSGKIRRQQP* >Brasy2G104900.1.p pacid=40066068 transcript=Brasy2G104900.1 locus=Brasy2G104900 ID=Brasy2G104900.1.v1.1 annot-version=v1.1 MPPNVFEISGQFLFACRREKTTLPEDALDLSPTIATGTAVLQQQRQKRYHWTLKASTQDTLI* >Brasy2G328400.1.p pacid=40066069 transcript=Brasy2G328400.1 locus=Brasy2G328400 ID=Brasy2G328400.1.v1.1 annot-version=v1.1 MPRDLAYKLAKLPCQSFLINTRPGHWGSNHGPPRLNATHLHTKHQTAFTMKLSLTFVLLLAGLVVLGDLADAAGSCDTVRCFQGGYITCGNYRRHGHRQRLDGCACVCAPSDGKGCVLHLNDGSSYRCRKGKPM* >Brasy2G480800.1.p pacid=40066070 transcript=Brasy2G480800.1 locus=Brasy2G480800 ID=Brasy2G480800.1.v1.1 annot-version=v1.1 MALPPLPRAASLILLVLVALVASAAAKKSPISLPPSPGAPTASPNVLRPDSTPDDSTAAPSQSPDAKGDEDNAAAASPPAPTVTSPLPSPSAAPSPAQPLSAAASPTPAPAPAPAADNVEDEDGHKKKKPKSAPAPAPAAMEVKAGTGTGEEQVAPGDLEERHDEMNGGKKAGIVVGAFSAAAVVGLAAVVYRKRQANIRRSRYADYSARLELV* >Brasy2G255300.1.p pacid=40066071 transcript=Brasy2G255300.1 locus=Brasy2G255300 ID=Brasy2G255300.1.v1.1 annot-version=v1.1 MDGRRSSAVMHVTRLILGVWTLQCPSSSPSPPPERCCMPPPRMYDDSRISAAAFNMPSTT* >Brasy2G406700.1.p pacid=40066072 transcript=Brasy2G406700.1 locus=Brasy2G406700 ID=Brasy2G406700.1.v1.1 annot-version=v1.1 MPRTTPSSPLSRATPPRSPTAASRLAVAPASPSTPQCAIPASPRTPVRAASTPPAPAPATPRTPRPEITLREPAQKRAPAAVRRPSSRALRKIRSLLRSLPIIAPAACRPASALPRRHNNSNNNKPQQQARVTGTFYGHRRARVALAVQERPGSLPTLVLELGIPTGKLMHEISSGGGHVRIALECEKKSEGGGVVRLMEEAMWTAYVNGRRVGYAVRREATGGDLAVMQLLSTVSAGAGVLPGDVVDDDEEPPQGEKSADAGGGGEVAYMRAGFERVTGSKDSESLYMVSPDGDAGGGGTELSIFFVRV* >Brasy2G114600.1.p pacid=40066073 transcript=Brasy2G114600.1 locus=Brasy2G114600 ID=Brasy2G114600.1.v1.1 annot-version=v1.1 MSDTISAGSLGAEQSSWSDMALPLASPLKRLSRASPSSGSCGCSAQAGFGIISAVTSGGATICVSSNNPVPMPVGSRLPRCSASALGTTARPPATRCHH* >Brasy2G114600.2.p pacid=40066074 transcript=Brasy2G114600.2 locus=Brasy2G114600 ID=Brasy2G114600.2.v1.1 annot-version=v1.1 MSDTISAGSLGAEQSSWSDMALPLASPLKRLSRASPSSGSCGCSAQAGFGIISAVTSGGATICVSSNNPVPMPVGSRLPRCSASALGTTARPPATRCHH* >Brasy2G114600.3.p pacid=40066075 transcript=Brasy2G114600.3 locus=Brasy2G114600 ID=Brasy2G114600.3.v1.1 annot-version=v1.1 MSDTISAGSLGAEQSSWSDMALPLASPLKRLSRASPSSGSCGCSAQAGFGIISAVTSGGATICVSSNNPVPMPVGSRLPRCSASALGTTARPPATRCHH* >Brasy2G270300.1.p pacid=40066076 transcript=Brasy2G270300.1 locus=Brasy2G270300 ID=Brasy2G270300.1.v1.1 annot-version=v1.1 MGSRGRILFDLNELPTEAEEEEAAVVVSQPQLPIPTVYPSTSFPPQEVPKSQGILNNHAFKHASSGSGFQPFVRTKDSENLKEPIKTEKKLDATAASTSTVTNHVSDSVAQHAEPSNQVSQAVEREEGEWSDADGASDTAGSSLSNKEESSGTASTQVKRDSPEREPAAVKSCDVIKDDTAAEPSDTEMADASKDPVLRAPTGLEPLKNLDCKGNQPGDDLDPCNRSKDVRGVEANYALKFTNNPAKRPKLDEHKVAMLGKKRARQTVFINVEDAKQAGTMKTITPRRQSSFPAPIVTRTVKEASRGVGERAAEKQSMPVIRDQRQTDMVGSEISNSADPSDQNGESNGDVELGTMGKSKKINGEEPPSDGFPQSVPRQASSKQPLDSKQFKGRPLSSQRAVLTGQNTADQKQANKRSLVLKKQASSNNTQYNDTSVERLIREVTSDKFWHNPEEEELQSVPGSFDSAEEYIRVFEPLLFEECRAQLYSSYEESLEAVSRDAHVMVRVKSVDRRERGWYDVVVLPTHEYKWTFKEGEVAVLSFPRPGPASQSSRSNRKAVASNEDAEAECGRLVGTVRRHMPIDTRDPIGAIIHFHVGDSFDSSSNETNVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNMQMQNAILQPTPEQFPKYQEQPPAMPDCFTPNFSDHLNRTFNGPQLSAIHWAAMHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVASSTSSSSEVFAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDTQSRAAQAVSVERRTEQLLMKGRDEVIGWLQQLKGREQQLSQEIALLQRELNMVAAAGRSQGSVGVDPDMLSNRDRNRDMLLQKLAASVESRDKVLVEMSRLLILESRFRGGSNFNLEDARSSLEASFANEAEIVFTTVSSSGRRLFSRLSHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMSPYIFYDISHGRESHRGGSSSYQNVHEAQFALRLYEHLQKLVKANGGKKVSVGIITPYKLQLKCLQREFEEVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWAALVTDAKARKCFMDLDSIPKDFLAMKISSNTPGRNSSNNTRNMRTGGPRPRHLDMLPDPRNGMRADEDERPNSVPRNASYRNLDDLGRPGDRSRENLQFGMPRRPNSSNGSRREV* >Brasy2G396100.1.p pacid=40066077 transcript=Brasy2G396100.1 locus=Brasy2G396100 ID=Brasy2G396100.1.v1.1 annot-version=v1.1 MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYGAAPPPRREPSFLEGCLAALCCCCLIDECCCDPSIIFIS* >Brasy2G490500.1.p pacid=40066078 transcript=Brasy2G490500.1 locus=Brasy2G490500 ID=Brasy2G490500.1.v1.1 annot-version=v1.1 MVASLLRRAAAGRGRYPAEVLRRLVSSEAAPAQAPPRPPPEMPPFEHRPRPYAGMGGDEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVLEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMIALRNGYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGAFGSDAAAYAKEVEEHINYGSSGRVAGFIAETFQGVGGAVELAPGYLKSVYDTVRKAGGVCIADEVQSGFGRTGSNYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLQVLDKEKRQAHCADVGAHLVERLKSLQQKHEIIGDVRGRGLMLGVELVTDRKDKTPAKAETAVLFEKLKDLGILVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYTMSGF* >Brasy2G288800.1.p pacid=40066079 transcript=Brasy2G288800.1 locus=Brasy2G288800 ID=Brasy2G288800.1.v1.1 annot-version=v1.1 MFFETKNPITSQGDIAAAVSKDAGVTWQQLGVVLDEEWHLSYPYVFRYNNKIYMMPESSKKGDLRLYCALDFPIKWKLEKVLMEKPLVDSVIINFRGSYWLLGSDLSSYGAKQNGELNIWYSNSPLAPWNPHRHNPIHNMDSRSSFRNGGRPFIYDGNLYRVGKQGISGHSIKVFKVEILTADEYREVEVPFVIDKPVKEQNAWNGARSHHFDVQQLQSGQLWIGVMDGDRVPSRDSVHRLTVGYMFYGVTLLLVLILVGLIGAVKCMLPLRWYLPQTEKRGDLFHSEQKFFLHYKLRSLISSLNKFGFLLGGRINYRTWKGQVYIAVVILILIFLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVDHYSKCASVREILVVWNKGQPPVQNDLKSAVPIRVRIETKNSLNNRFKIDEEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLADATPLKYRNERYARRQGGYNMILTGAAFMDHSLAFKRYWSKKAEVGRNIVDSFFNCEDVLLNFLFMNGSTTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEVYGNLTAKRFFSSRGDDWDV* >Brasy2G288800.2.p pacid=40066080 transcript=Brasy2G288800.2 locus=Brasy2G288800 ID=Brasy2G288800.2.v1.1 annot-version=v1.1 MFFETKNPITSQGDIAAAVSKDAGVTWQQLGVVLDEEWHLSYPYVFRYNNKIYMMPESSKKGDLRLYCALDFPIKWKLEKVLMEKPLVDSVIINFRGSYWLLGSDLSSYGAKQNGELNIWYSNSPLAPWNPHRHNPIHNMDSRSSFRNGGRPFIYDGNLYRVGKQGISGHSIKVFKVEILTADEYREVEVPFVIDKPVKEQNAWNGARSHHFDVQQLQSGQLWIGVMDGDRVPSRDSVHRLTVGYMFYGVTLLLVLILVGLIGAVKCMLPLRWYLPQTEKRGDLFHSEQKFFLHYKLRSLISSLNKFGFLLGGRINYRTWKGQVYIAVVILILIFLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVDHYSKCASVREILVVWNKGQPPVQNDLKSAVPIRVRIETKNSLNNRFKIDEEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLADATPLKYRNERYARRQGGYNMILTGAAFMDHSLAFKRYWSKKAEVGRNIVDSFFNCEDVLLNFLFMNGSTTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEVYGNLTAKRFFSSRGDDWDV* >Brasy2G454000.1.p pacid=40066081 transcript=Brasy2G454000.1 locus=Brasy2G454000 ID=Brasy2G454000.1.v1.1 annot-version=v1.1 MPAKESAPQPPESAKQAPLPPENAKRAPPPRRPATPPQEKAPHRIRPLPPRIRWPAKQPMPPAAEPASPAQSTLRKKNRGRGRGPRENKAPPPPSPRGLCPAATTGGGEGAEEGGRWLPRSSMGSPPESPDAGAF* >Brasy2G044200.1.p pacid=40066082 transcript=Brasy2G044200.1 locus=Brasy2G044200 ID=Brasy2G044200.1.v1.1 annot-version=v1.1 MAAPPTRAANEGAWPEEGGAGAGAGARRLATAALFLAAVALPCLVLYRAVAPEQPAALMPWATQQQQTAPPHDGLFVDDLQESDSEDVRLERVLRAAAMADDTVILTTLNSAWSEPGSVVDVFLESFRIGDNTRHLLDHLVIVSLDDAAHRRCKQIHAHCLAVATDGVDFSGQKNFMTDGYLKMMWRRIDFLRQVLEKGFSFIFTDTDIVWFRSPIPRLYAEGDFQIACDHFTGDPDDLQNSPNGGFAYVRANTETVEFYRFWYAARERHPGMHDQDVLNIIKGDPYVAQIGLQIRFLSTEFFGGLCEPSRNLSAVCTMHANCCVGLRRKVDDLSLMLQDWRRFMATRNQDRLFVSWSVPRNCSLNKVEE* >Brasy2G304700.1.p pacid=40066083 transcript=Brasy2G304700.1 locus=Brasy2G304700 ID=Brasy2G304700.1.v1.1 annot-version=v1.1 MASAAASTTFRRVMVASAALRIALVAYGEWQDAHLEVRYTDVDYLVFSDAAASVASGGSPFARATYRYSPLLAFLLLPNSLLHPAWGKLLFSAADLLVGLFVDTILTLRGIPEKTRIWSVAAWLFNPFTFTIGTRGNCEPIVCAAILWILLCLMKGRVLQAAFWYGLIVHIRIYPIIYAIPFVIVLGKNYAGPAGRPILTQLSSKKKLQSNKANENVEEPTSLLATLWTSLSSLITKDTILFGLLSGSMFFAWTGVFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQRGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLVPLILPWTSMKLKWKGLSCILVWMGSQLHWLMWAYLLEFKGQNVFVQLWVAGLVFLAANTFVMIMVIKHHKYTPLFLAPVKSRSKITTKKE* >Brasy2G082800.1.p pacid=40066084 transcript=Brasy2G082800.1 locus=Brasy2G082800 ID=Brasy2G082800.1.v1.1 annot-version=v1.1 MYKLGGRGSGRGGGGGAKRPPGPHGRGRGASSSTSIGGVAPPPRGRAAAAAAAAAAAAAAAQAAGRDESFSLESSGPPAFAAIIRLTPDLVDEIRRAEEAGSGARIKFNSNMFNSAENIIDVGGKNFNFTWASERGELCDIYEERQSGEDGNGLLTERGSAWRKVNVQRILDESAQNLVKMRSEEAERLSKSRKSIVLDPGNPSVKSQAKSMAAAAVEGNMRRMKWNQKKDFKKNQAAVIPTKSISKVKLSNSTPKGNLSTSPAPSPEQPFPARSAANNEVIIPFDLNKEENSKVEKATPNRISQGLNRRASAVSASIDDTTSDLRSLLISILSENPKGMNLKALEKAVADTIPNASKKIEIIIKNIANFQTTGRYFLKPELEVENSKRHASGSGRTIDENTEEAAPSLKIDDPDIFEKIEIGGSPVSAAGDEKVNKDSDDKAGTSSESGSDSDSDSDSSGSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDNKADTTHRKVADELKLSSSPRDLPTLDGDDEQIDIGTNLDYKCTSHIDLNSFNIDNDEALYTAAETDNFGAANIDMVSEIPGNKNITSTRLDSSIADGKYSANEMFYGDNLIDDLSAVNRESLPNEEAIQLTKQHGSRRKSTSKDGTKHAPTRIADKGPKPTLKRCSGNENATTKPESAKKAKVDIAYSGAAGSFSEQRQNLAPDKRINERLSKEIGNVGSETHTDLHLQGSSPVKGRHSASGNLQKQNQSPNVPMPTIHSEGTQESIEKASSKKKIDKMQKPLNSMDGNSGRGYVEDHRANFDDSDDSVVRKRGRHGGPFLDGKVHKRSKDTNADANNMNLTKGARGNVNHDMHTSLPECTEANGEPPIFQRNNADKSPQGKKVLQREHSDLELGEFREGSLENDIGRTKKQLERNSSSKSLDGKITNVDNSYPNMNNRKVALSGFHDQRKPSPHEFTTGGNINQEGLPRKTPAYDFDNNRSQQRVNVSQGRQLPRIDNPDSENIIYPDRLIEKTGKKETKVAQGGMLDHVDPKKKKITPKLPQNGTKNGIVSRTGKSISPAENEERSRNNSLIETETSRKRRDSSSDEDNLFFSKYNKDEPELKGPINDFSQYKGYLQEYNEKYEVYSYLNSQIKKTQSEFLKVQEDLNVAKERDKDQYYNIVERLKDMYHESGTRHKLMKKVFVLLHQELQNIKQRIKDFAEAYSNE* >Brasy2G316000.1.p pacid=40066085 transcript=Brasy2G316000.1 locus=Brasy2G316000 ID=Brasy2G316000.1.v1.1 annot-version=v1.1 MEAAAAALRSPTAAAAPSRRVGVTRGAGASASASSLPFERRRGFAFGSIKGLGTSRRRSSVVMASSSPSELPSSSPIAPLQMESAVGQFLSQILVTHPHLLPAAAEQQLEQLQTVHDAAKKEKGSDAPAPPPGGDIVLYRRIAEVKEKEKKRTLEEILYAMVVQKFVEAGVSLVPALSHSIDTSGRVDQWAEPTEGKLERLHSHEAYEMIENHLNLILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSQDDALNQVMTTDSRPSTEAYSSHPEMESWTSSDLSAGGLGQSIKPCRLRSYVMSFDSDTLQSYATIRSKVAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESYVDSRYHFVTN* >Brasy2G278300.1.p pacid=40066086 transcript=Brasy2G278300.1 locus=Brasy2G278300 ID=Brasy2G278300.1.v1.1 annot-version=v1.1 MEVSARLRLPPASTASLRGRGSLKRLLPSARPASLAVSIKIRASAISDLQRSKSNLESLFCYDKAIPEEDIGKPTGLDLQKKNVGKNPPCMCCEAKGAVLCATCAGSGLYIDSILESQGIIVKVRCLGCGGTGNIMCSKCGGRGHT* >Brasy2G251000.1.p pacid=40066087 transcript=Brasy2G251000.1 locus=Brasy2G251000 ID=Brasy2G251000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEMLREIMRHIGFRYQLEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSSVVDAGMYDNKVCYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G406300.1.p pacid=40066088 transcript=Brasy2G406300.1 locus=Brasy2G406300 ID=Brasy2G406300.1.v1.1 annot-version=v1.1 MEPRRGNLPTLPEEVIEDIFLRLPPDEPACLLRASLASKKWSEVVSSRGFRDRLLALHRTRTPPVLGVLHGNNWDINVPRFIHTTASPFSLAAPDYRSWRALDCRHGRALFLSDEGQVPLQLLIWEPITGTQHRVPVPVPAAYRSGHSNAAVFCAADGCDHRDCLGGPFRVAFVFALVLREWDLSTASTSARVYSSETGTWGERTVMHDEYGYYSSHISSVLVGRSLLYFSSDQGTILEYDVARHALASFDLPEPELEYNLMLKEDGGLGVICESYKSELKVWSWQEAVDGSDEESSEEASDGAGTGWVVSRVICLDKLLPNGALQEPVVVLGFAEGANVIFVLTDAGLFTIDLQSEVVRKVTDLFTINDGLCNLLPVVSFYTPVPRGLHHGPPSSNLGEDRAGEEQKVAEQVHQLFDKGSNAFKEGDFDSAGISHTIDLETRSAPNEESVKGTTSKDGAGNSKTSDSNVEDAAPLSEEGDSQEGLQVGADAEAMRAGAMRLQHEAMKHHPEQARMDVPRLKDGADPTDGS* >Brasy2G406300.3.p pacid=40066089 transcript=Brasy2G406300.3 locus=Brasy2G406300 ID=Brasy2G406300.3.v1.1 annot-version=v1.1 MEPRRGNLPTLPEEVIEDIFLRLPPDEPACLLRASLASKKWSEVVSSRGFRDRLLALHRTRTPPVLGVLHGNNWDINVPRFIHTTASPFSLAAPDYRSWRALDCRHGRALFLSDEGQVPLQLLIWEPITGTQHRVPVPVPAAYRSGHSNAAVFCAADGCDHRDCLGGPFRVAFVFALVLREWDLSTASTSARVYSSETGTWGERTVMHDEYGYYSSHISSVLVGRSLLYFSSDQGTILEYDVARHALASFDLPEPELEYNLMLKEDGGLGVICESYKSELKVWSWQEAVDGSDEESSEEASDGAGTGWVVSRVICLDKLLPNGALQEPVVVLGFAEGANVIFVLTDAGLFTIDLQSEVVRKVTDLFTINDGLCNLLPVVSFYTPVPRGLHHGPPSSNLGEDRAGEEQKVAEQVHQLFDKGSNAFKEGDFDSAGISHTIDLETRSAPNEESVKGTTSKDGAGNSKTSDSNVEDAAPLSEEGDSQEGIDQSVVICSSKRHLPR* >Brasy2G406300.2.p pacid=40066090 transcript=Brasy2G406300.2 locus=Brasy2G406300 ID=Brasy2G406300.2.v1.1 annot-version=v1.1 MEPRRGNLPTLPEEVIEDIFLRLPPDEPACLLRASLASKKWSEVVSSRGFRDRLLALHRTRTPPVLGVLHGNNWDINVPRFIHTTASPFSLAAPDYRSWRALDCRHGRALFLSDEGQVPLQLLIWEPITGTQHRVPVPVPAAYRSGHSNAAVFCAADGCDHRDCLGGPFRVAFVFALVLREWDLSTASTSARVYSSETGTWGERTVMHDEYGYYSSHISSVLVGRSLLYFSSDQGTILEYDVARHALASFDLPEPELEYNLMLKEDGGLGVICESYKSELKVWSWQEAVDGSDEESSEEASDGAGTGWVVSRVICLDKLLPNGALQEPVVVLGFAEGANVIFVLTDAGLFTIDLQSEVVRKVTDLFTINDGLCNLLPVVSFYTPVPRGLHHGPPSSNLGEDRAGEEQKVAEQVHQLFDKGSNAFKEGDFDSAGISHTIDLETRSAPNEESVKGTTSKDGAGNSKTSDSNVEDAAPLSEEGDSQEGIDQSVVICSSKRHLPR* >Brasy2G406300.4.p pacid=40066091 transcript=Brasy2G406300.4 locus=Brasy2G406300 ID=Brasy2G406300.4.v1.1 annot-version=v1.1 MEPRRGNLPTLPEEVIEDIFLRLPPDEPACLLRASLASKKWSEVVSSRGFRDRLLALHRTRTPPVLGVLHGNNWDINVPRFIHTTASPFSLAAPDYRSWRALDCRHGRALFLSDEGQVPLQLLIWEPITGTQHRVPVPVPAAYRSGHSNAAVFCAADGCDHRDCLGGPFRVAFVFALVLREWDLSTASTSARVYSSETGTWGERTVMHDEYGYYSSHISSVLVGRSLLYFSSDQGTILEYDVARHALASFDLPEPELEYNLMLKEDGGLGVICESYKSELKVWSWQEAVDGSDEESSEEASDGAGTGWVVSRVICLDKLLPNGALQEPVVVLGFAEGANVIFVLTDAGLFTIDLQSEVVRKVTDLFTINDGLCNLLPVVSFYTPVPRGLHHGPPSSNLGEDRAGEEQKVAEQVHQLFDKGSNAFKEGDFDSAGISHTIDLETRSAPNEESVKGTTSKDGAGNSKTSDSNVEDAAPLSEEGDSQEGIDQSVVICSSKRHLPR* >Brasy2G115200.1.p pacid=40066092 transcript=Brasy2G115200.1 locus=Brasy2G115200 ID=Brasy2G115200.1.v1.1 annot-version=v1.1 MCRGCAGSARRGQFWAFWCRGCSSPCRGCVCSGGRGMRCFVSFFDVRLLSRLPRSPWLSHGSSRICCHCCRNSAPPPKTSPPTLPGGDGEGSRCLRRGEENPLPHRGQRRVDEDGGGGAGIRSATKA* >Brasy2G351600.1.p pacid=40066093 transcript=Brasy2G351600.1 locus=Brasy2G351600 ID=Brasy2G351600.1.v1.1 annot-version=v1.1 MGSYGWDLGLPEPAAAPSPHLLSGRRHPLPPSLCRPPASPSTPPAAFPISPGSAALPHPACIRGRLPHYRTRARRRPSGPSRAALPTTAPDPPLMPPHSRNRSSFLEKLQLKRRERYTGSPARIELVSTKSFSPPCRLETPLPAPQPPVIEEAAAAGSHIYMGKKRGNSAPSSASRATNQALSLREESCGKTQADAASLLRVQHLQRLAAWASGEAGVSPVGALLGHRLATKAEAAGIPLGASTFLCQRCESVLQPGFNCTIRIKNNKRNAKQCKKSNSCQNSVAYACHFCGSQNLIRGSGKHIVKGLLSSRKPYNMDQTGITSKGNRLDHPFPAVAQVESSRLKKSTLEQYDHVGVSKSNLPEDSKMAGGIVSSLVCHSQLAASTVQVDITPKIKVEITNDKNMNDIEPVSSEKIGLCESDVTLQAEFLVASKFVTPQKNKLADVAAPKDSAEPLKKRSTLNNEGESCVSVTGKAPIKLTSNDSGKNAMSAPRDSSQMAGSSRKRTRKGWTTLKQIAEKDEFERKEKIANFVIPFFMQ* >Brasy2G351600.2.p pacid=40066094 transcript=Brasy2G351600.2 locus=Brasy2G351600 ID=Brasy2G351600.2.v1.1 annot-version=v1.1 MGSYGWDLGLPEPAAAPSPHLLSGRRHPLPPSLCRPPASPSTPPAAFPISPGSAALPHPACIRGRLPHYRTRARRRPSGPSRAALPTTAPDPPLMPPHSRNRSSFLEKLQLKRRERYTGSPARIELVSTKSFSPPCRLETPLPAPQPPVIEEAAAAGSHIYMGKKRGNSAPSSASRATNQALSLREESCGKTQADAASLLRVQHLQRLAAWASGEAGVSPVGALLGHRLATKAEAAGIPLGASTFLCQRCESVLQPGFNCTIRIKNNKRNAKQCKKSNSCQNSVAYACHFCGSQNLIRGSGKHIVKGLLSSRKPYNMDQTGITSKGNRLDHPFPAVAQVESSRLKKSTLEQYDHVGVSKSNLPEDSKMAGGIVSSLVCHSQLAASTVQNFLSRPNLLLHKRTNWRMWLPLKIQQNH* >Brasy2G351600.3.p pacid=40066095 transcript=Brasy2G351600.3 locus=Brasy2G351600 ID=Brasy2G351600.3.v1.1 annot-version=v1.1 MGSYGWDLGLPEPAAAPSPHLLSGRRHPLPPSLCRPPASPSTPPAAFPISPGSAALPHPACIRGRLPHYRTRARRRPSGPSRAALPTTAPDPPLMPPHSRNRSSFLEKLQLKRRERYTGSPARIELVSTKSFSPPCRLETPLPAPQPPVIEEAAAAGSHIYMGKKRGNSAPSSASRATNQALSLREESCGKTQADAASLLRVQHLQRLAAWASGEAGVSPVGALLGHRLATKAEAAGIPLGASTFLCQRCESVLQPGFNCTIRIKNNKRNAKQCKKSNSCQNSVAYACHFCGSQNLIRGSGKHIVKGLLSSRKPYNMDQTGITSKGNRLDHPFPAVAQVESSRISCRVQICYSTKEQTGGCGCP* >Brasy2G429100.1.p pacid=40066096 transcript=Brasy2G429100.1 locus=Brasy2G429100 ID=Brasy2G429100.1.v1.1 annot-version=v1.1 MAAAAQRLLAASTKIVGVGRNYAAHAKELGNAVPKEPVLFLKPTSSFLHAGVATAAVEVPEPLESLHHEVELAVVISRRARDVPEASAMDFVGGYALALDMTARDLQSVAKSAGLPWTLAKAQDTFTPISAVVPKSAVPNPHDLELWLKVDDELRQKGPTSDMIFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITGLIDAEFDVQRRNRSFSA* >Brasy2G259500.1.p pacid=40066097 transcript=Brasy2G259500.1 locus=Brasy2G259500 ID=Brasy2G259500.1.v1.1 annot-version=v1.1 MHACGGEVQGPSDGRSTAVRRERGSRWGGMRVIAAPLVQPVALAARVSVVPGGAKAIWGFPGAADSGCVKAIGGCIPARPQRPSYVASPPLPSPPRTRVRKDHRSPSQKPIAVKTEFAAASSDRFVGLQADSIDRLAIPSHCIFLPRLHGHSRKQPTEPYDGFLPHRPPAAAALSRESIRPRERLPLPSTARRRAPSPARRIARDHAVASTRRRPLCACRRAPLLSSRTSTSAPRLPAVARPPAPAVAPFACCWPEPPLVHL* >Brasy2G262000.1.p pacid=40066098 transcript=Brasy2G262000.1 locus=Brasy2G262000 ID=Brasy2G262000.1.v1.1 annot-version=v1.1 MEQQLLVRKKLQWRAVRRACIGSKFLIAEAAREPLIEKCFNTGHSKVSSEVCKNLDLEEKMSNKDQSSWAPVSASSWVLLK* >Brasy2G262000.2.p pacid=40066099 transcript=Brasy2G262000.2 locus=Brasy2G262000 ID=Brasy2G262000.2.v1.1 annot-version=v1.1 MEQQLLVRKKLQWRAVRRACIGSKFLIAEAAREPLIEKCFNTGHSKVSSEVCKNLDLEEKMSNKDQSSWAPVSASSWVLLK* >Brasy2G262000.3.p pacid=40066100 transcript=Brasy2G262000.3 locus=Brasy2G262000 ID=Brasy2G262000.3.v1.1 annot-version=v1.1 MEQQLLVRKKLQWRAVRRACIGSKFLIAEAAREPLIEKCFNTGHSKVSSEVCKNLDLEEKMSNKDQSSWAPVSASSWVLLK* >Brasy2G474700.1.p pacid=40066101 transcript=Brasy2G474700.1 locus=Brasy2G474700 ID=Brasy2G474700.1.v1.1 annot-version=v1.1 MYVLQVLSTTIRFEIDAYVSTGIYHGGYSVLPPLFFLAIFHVIGPTFLISLHSTLFGRFPLSTPSEAKRRLQQAECRRARRSMDRFNAAGRSGGGAGDCESCRMWGEHYYWNHMSDDKKQFLVVASGDFKDSMRIPREVGTHLRSMISESVQLDAPNGRIYHIGVCREMGELVLRSGWQDFVTTHHIEENYSILFVYRGNSSFKVHIFNSVGHEKPSSCSQPPPEIFGAGPSCIHCDHHVLNEEQGVPHPGHDQILTDFGYTMLPGCHLTKAQD* >Brasy2G126900.1.p pacid=40066102 transcript=Brasy2G126900.1 locus=Brasy2G126900 ID=Brasy2G126900.1.v1.1 annot-version=v1.1 MLVCELCNMQENNDLEFRYIPLPDNCATYDLFNPESQTLQVQEFRSIGCVGGQIKFVSVDDQVGHLPGHKLEMNIWTLSSDLTEWKDGKMYNLGKIWLNGTHLLHGMRKLAPSFPVLSKHEDNVVYVVFNDMSVVGSHLECKGQYLVRVDMKNDDVKFYRQTTNWILSQLFTCEIGADQQGLLEDQQEGDPPKVLVEFGGEPQENNPAKLTAMRLAISTPPASRFNEKRRPGDGDDQTSNAAALRKTKIQPEDELPSSVLAPKLKEEFESVPAQPLPSTARTSPLIAAE* >Brasy2G126900.3.p pacid=40066103 transcript=Brasy2G126900.3 locus=Brasy2G126900 ID=Brasy2G126900.3.v1.1 annot-version=v1.1 MLVCELCNMQENNDLEFRYIPLPDNCATYDLFNPESQTLQVQEFRSIGCVGGQIKFVSVDDQVGHLPGHKLEMNIWTLSSDLTEWKDGKMYNLGKIWLNGTHLLHGMRKLAPSFPVLSKHEDNVVYVVFNDMSVVGSHLECKGQYLVRVDMKNDDVKFYRQTTNWILSQLFTCEIGADQQGLLEDQQEGDPPKVLVEFGGEPQENNPAKLTAMRLAISTPPASRFNEKRRPGDGDDQTSNAAALRKTKIQPELVA* >Brasy2G126900.2.p pacid=40066104 transcript=Brasy2G126900.2 locus=Brasy2G126900 ID=Brasy2G126900.2.v1.1 annot-version=v1.1 MLVQEFRSIGCVGGQIKFVSVDDQVGHLPGHKLEMNIWTLSSDLTEWKDGKMYNLGKIWLNGTHLLHGMRKLAPSFPVLSKHEDNVVYVVFNDMSVVGSHLECKGQYLVRVDMKNDDVKFYRQTTNWILSQLFTCEIGADQQGLLEDQQEGDPPKVLVEFGGEPQENNPAKLTAMRLAISTPPASRFNEKRRPGDGDDQTSNAAALRKTKIQPEDELPSSVLAPKLKEEFESVPAQPLPSTARTSPLIAAE* >Brasy2G483200.1.p pacid=40066105 transcript=Brasy2G483200.1 locus=Brasy2G483200 ID=Brasy2G483200.1.v1.1 annot-version=v1.1 MAFGRAKSEIKGATSLFLLTLWTIWNERNGRIFKQRRRSAVATVELIQDEARLWSHADSLGIGALLSGDDDVP* >Brasy2G403700.1.p pacid=40066106 transcript=Brasy2G403700.1 locus=Brasy2G403700 ID=Brasy2G403700.1.v1.1 annot-version=v1.1 MQPAAAIALMPEGATALEIPSASDRPLFASRPSYDGPPPANSVYLDIPPSVYKAHKFTFYLDVPIDPELQYRARSLAYAYITPAKTPCRADLGPFVRRVFRTLALDLPQTFELHHPSSFGDIALFVLDGVTVKLVREGETPNVRKIKDDYVVHVALRGYPVEVRTEKKIKRHCCCFGPVREIHPNCFAAPDLDTVHVVVQLEHPTEIPNVLLIEYHDGSYSIVPVEIVRDADGQYVRIFQAPAPAA* >Brasy2G271200.1.p pacid=40066107 transcript=Brasy2G271200.1 locus=Brasy2G271200 ID=Brasy2G271200.1.v1.1 annot-version=v1.1 MDLASLLLLIASLLAYSSTTSSTVHGRIPVAEETVLAAAARLRRQARAAVPFGEGYTQLFGDSNLALHGGGKRVHIALDERTGAGFASQDAYLHGFFSARIKLPAAAYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKRREWRVQTNVYGNGSTSIGREERYSLWFDPTEDFHRYAILWSHHRIVFYIDETPIREVVRIKSMGVQFPSKPMSLYATIWDGSGWATSGGRYKVNYEYAPFTAEFDDLMLHGCAAIDTLTSAPTCLLDNAGLRNAAAMSDGQRSAMERFRRKHLTYGYCYDRLRYPTPLSECNVGDEAEMFLLTGEARSRDRHGRSRHPRRGSSDSALTIGNAVQNLARS* >Brasy2G143400.1.p pacid=40066108 transcript=Brasy2G143400.1 locus=Brasy2G143400 ID=Brasy2G143400.1.v1.1 annot-version=v1.1 MDKEKKLSFSIPTKARPPKPPTHPAAGAGSSATNGKSASAAAAPAQQFVTEFDPSQTLTAAGAPAVIAPLPNSGHFLNQRSRKPSSLPTPEEEAALAASTAGGPTFVLDTSTAPDNPSSHIGYGLTLRNGADTESEKTLPSTAVVESEKKQPSSARDVPSGDLMLRRYKEDMDSLPDHRGIDEFDEVPVEGFGAALLAGYGWSEGKGIGRNNKGDAKVVEYDRRAGTQGLGYNPSEADPKKTRSGEWVVGGKKGTENGNMKRDRDKKDRHEERDLSSRQKRSGDLRAERRPQEKARSARDSREGKSGGDVGNEVRWLQSNIRVRVVNERFSKRLYLMKGKIVDVVGPTTCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLCGKHKGVYGRLVEKNSAEETGVVEDADSKGMIRVKYDQIAEYVGDPELLGH* >Brasy2G160100.1.p pacid=40066109 transcript=Brasy2G160100.1 locus=Brasy2G160100 ID=Brasy2G160100.1.v1.1 annot-version=v1.1 MAMAKAKSTALSVADKCRNILGASWEAHLNTIKADATGSKGEIYTSRVHYMIQKGMPYLIVPENHMHNINIIIDERGSLSVSSPVPGRLTSLLKSLNKLPPRVAMTGDVLRMKDTKVPVIADSLKKAILKEHKAASEATYGVSAVLSSASSTCRSRSEGLLSLLNEESSYSIYKFEIGSCVYIDSSGSNHNIELDTFEPPKADLLLPFAAKLIDGINRSESRRRALMLFCFEYFDVTARDALLLSIDHHGFDVLAKLPEREITALDMTQQYHWKEFRFPFKEAAKDVEDFCRMLVELEQEALHTVKSYSGLG* >Brasy2G476500.1.p pacid=40066110 transcript=Brasy2G476500.1 locus=Brasy2G476500 ID=Brasy2G476500.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTIFEDYCDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYLPRGFITCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMVANMHAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFENAYVFPYSPQLLPRF* >Brasy2G237300.1.p pacid=40066111 transcript=Brasy2G237300.1 locus=Brasy2G237300 ID=Brasy2G237300.1.v1.1 annot-version=v1.1 DVQLQQRAERDAAIARVQQSRILLAARLAEHRGKRHGVIEEALGFVDDVLDKSEFVSPEDVYGVHSPGEDEKDPRVHGSNMVVRVVSCSFSLAKNILRLQKIGGILGNATVFAVSMLAFLQLHQFAFGKQTPVVQFTRTDNHFHSGESRKNSKEKHLEVLLARG* >Brasy2G056400.1.p pacid=40066112 transcript=Brasy2G056400.1 locus=Brasy2G056400 ID=Brasy2G056400.1.v1.1 annot-version=v1.1 MASTSSSKKAANRLVVEEATVDDNSVCSLHPATMERLSLFKGDVILLKGKRRRSTICLAIPDEDCEEHKLKINKAVRSNLRVRIADVLSIHECPDAKYGKRVHILPVDDTVEGITGSLFDSYLKPYFMDAHRPVRKGDLFLVRGGMRSVEFKVMEVDADAEYCIVSPDTEIFCDGEPVKREDEERLDDVGYDDVGGMRKQMTQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGDSESNLRKAFEEAEKNAPSIIFIDEIDSIAPNREKTHGEVERRIVSQLLTLMDGMESRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLDADVSLEVVAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDDTIDAEILNSMAVTNDHLKTALGGTNPSALRETVVEVPNVSWSDIGGLDGVKRELQETVQYPVEHPEMFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIAMQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPLAKNVDLAALARFTKGFSGADITEICQRACKYAIREDIEKDIERERMGKEAMEVDSGEVAEIKAAHFEESMKYARRSVSDRDITKYRAFAQTLQQSRGFGTEFRFPEQSKPAEAAASTANTSADADEEDDLYN* >Brasy2G486400.1.p pacid=40066113 transcript=Brasy2G486400.1 locus=Brasy2G486400 ID=Brasy2G486400.1.v1.1 annot-version=v1.1 MESAMGEGNDKDAKEKEKGAKEEGGGPVHGEHEQAAGVEEDDGKAGKQQQQKEKGDGVGAEKQKSAVVLRVELHCQGCARKVKKAIQAAKGVEGVVTDMAASTVTVSGGGKVDPWELKERIEARTHKPVAFVSPPNPNPPKKKDKLQGDVQDVNKKPAGDDDKAAKSDDKNINKKKKKKKKNKEAPAESTVVLRIGLHCNGCVDRIKRTAHKVKGVKQVTVDTGKEQVTVKGTMDANALPDVLRHKLKKEVAVVVVPASNNKDKDKKKKKQDLQDAEGENEMNNKAADIVDQQQGSGGKKKKNKKQGEEALATEQQKEDEFPATYGGGGYRVEMLRAPQLFSDENPNACALM* >Brasy2G476800.1.p pacid=40066114 transcript=Brasy2G476800.1 locus=Brasy2G476800 ID=Brasy2G476800.1.v1.1 annot-version=v1.1 MASTNDDVEEYYSSPEECEDVDDQSSSRTASDYGSGRDESDGDFTYSDDDDGGGGDSDGDSGYGEEDTEEEDEDAMAARRSREKRYGVLTMDALRALQEEHTARVADLTLLPPALAAAVLRHCKWSAAAVEDRWFSDEQRVRDAVGLPDSCLLATTNPAPLTCAICFDVHGAGEMRAAACAAHFYCLGCWRGYLRAAVGDGARCLSLRCPDPSCPAAVARDLVASAADPDDARRYDEFALRSFVEESKGSIRWCPAPGCAHAVRYLEGEACREQLDVTCACAHAFCLACGEEAHRPVPCGTVRAWIEKHASDSETANWVLANTKHCPECRRPIEKNMGCMHMTCSDPCRHQFCWLCLGPWKDQHDGGYYNCNRYNAERAQGKASDDELRRRQAKASVDRYLHYYERWAAQERSGKKAREDMAALAASSPGGQAEALAEAFGVLETELDFLTAAYRQVAECRRMLRWTYAYGYYLENPAKLEFFEALQSDAEASLERLHRCAEEERAGLVKGDDPAGSFAPYREKLASLTLVTRNHFENLARAFKNDLAEVTAVAYNTKPPAAVAARGARDPVAPPH* >Brasy2G365900.1.p pacid=40066115 transcript=Brasy2G365900.1 locus=Brasy2G365900 ID=Brasy2G365900.1.v1.1 annot-version=v1.1 MRIVCRMDQSDMSVQHHLLSLTQLKKTGLSLRSRHSVLSCLAGTIFEATSSESMISERNQIYLWITIYSSDVISLSDQGQLQVWYLLSRMVVRLL* >Brasy2G119800.1.p pacid=40066116 transcript=Brasy2G119800.1 locus=Brasy2G119800 ID=Brasy2G119800.1.v1.1 annot-version=v1.1 MQVIRAVGLLGIAVVLGLGVVRGGTAQLHEKFYDGSCPGVHRIVRRVLKEAHRSDVRIYASLTRLHFHDCFVQGCDGSILLDNSTSIVSEKFAKPNNNSVRGYQVVDAVKAALEEACPGVVSCADILAIAAKVSVELSGGPRWRVPLGRRDGTTANLTAANHVLPSPRDNITTLQRKFRAVGLDDTDLVALSGAHTFGRAQCQFVTDRLYNFSKTGKPDPTMDAGYRAQLGRSCPRRHGNRTALSDLDPATPDAFDKSYFTNLQASRGFLQSDQELLSAPGAPTAAIVNRFAGSEKAFFRSFAASMVNMGNIRPLTGGQGEVRKNCWKVNGS* >Brasy2G072100.1.p pacid=40066117 transcript=Brasy2G072100.1 locus=Brasy2G072100 ID=Brasy2G072100.1.v1.1 annot-version=v1.1 MELLLSPPPRAHAAAAAAAAFSCSSPPIFRLQIHPSKSHNSRLRPLLARRTKNDGAAESPEPKVITIGRPGKKSRRQRGGKKNTNQPPLPASQDAEDEDEEDEEEEEEERDVAIPEVVTNRMMRRVGASVGLPLALGLGFFPAFYYLKKVAKVDVPTFIPYGLSFVFFGAALAGISYGIVSASWDPTREGSWLGWNEARRNWPVFWESFRGNPSPPRRR* >Brasy2G259700.1.p pacid=40066118 transcript=Brasy2G259700.1 locus=Brasy2G259700 ID=Brasy2G259700.1.v1.1 annot-version=v1.1 MARLESEIQKSCGWCKRYVDHLEEKMKCFQRQMTANFRHGMIIPNKFMDHFGGKISRTIELESPDGNIYVVEVSKHMSKTSLCRGWEAFVDAHHIEENDSLMFRHIENSRFEVLIFDSDDCEKVFSCAGIKGTCNVQERSSDYVDISNSSHADTTKSSGSKEFASCERVHSSHHRKTAKTPAKYSSYEDSDAGEDTEDICSEYESSFQLDDLQTPLGCDYVVCQRSALSRVHEEKVTRLLQDKDIRAEAPVLVAIIRQSNLKSLSSGLVIPKGYAAEHFPHKSQTVILQRPGKNKKWFPRFHIRKDGCAFLRLLGFLQDNLVKEGDICVFEPMKGTGRKFSLKVHLLRESIRGGSGSGPKTVSSPHGKTRVSPAAPKRVSTTHGRARANVTPKTRVKKELDHGRNHPYSGSNHGHRARQQPLESDEYGGPVEPPYMLSDIACLNWEQEKKVKRIVDAFQSEVPIYVSIMNNSSVGANRLYHLTIPKKYAAKYLPAGGHTLRLLRSERSKTWDVEMHPRVGGAKMLRGGWREFANQNHLQVQDLCLFQLMKNERRLTMMVYIIRHK* >Brasy2G245300.1.p pacid=40066119 transcript=Brasy2G245300.1 locus=Brasy2G245300 ID=Brasy2G245300.1.v1.1 annot-version=v1.1 MSSSRRWASSSGSTDLLPSRSSALLPTAALSPARHSSRRSVSSRSELEEQHAPTGTARSLWPSSSSNSKKKAASPSPSPSPSVATLADHLTNDATMDASLQSLSRQRSCTELRRFADADAADADADERKIGRSSGKSHAFGRSMRFLPSAKPAGVTLTPGRVAPSDLRRLNGSALDARADVASSGSECSEASRGSITTTTRSAIPKPSSPMIGRTSSVRLLGSSSTQWALSPGRRSSSPLKTLATVPEPKSKKSLLRVGWGHLFNRRKTGTDSDAYVPTTTTAAGTVSSSSPVPARSGGVGEAGHQMRMMHCQILRWRLVNAKADTACKNKMANAEVQLMGTWASVSELRGKVARKRVQIEKEKLKIKLNAILSSQMRDLESWGQLEKKHAFALDSTVDSAKAAVCRLPLTNGAKAQDTAVLMSQLVRVASEERALLQECLELLGRVSALQIKEQSLLCHKVQSSSLNLGTLD* >Brasy2G142900.1.p pacid=40066120 transcript=Brasy2G142900.1 locus=Brasy2G142900 ID=Brasy2G142900.1.v1.1 annot-version=v1.1 MDMALPVVNATTAVLARLSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKEGTRIDTPMLESAAGPHTTIDGKDVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMGSLASTLEKLTRGNKRTEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSAGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRNNVALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSLTTDLDLLETIADQVLREDSVFIVTSKRSTLDRCRLPVGIRLFVSAGHTELDISKVSSSLKRVSALVLKD* >Brasy2G142900.2.p pacid=40066121 transcript=Brasy2G142900.2 locus=Brasy2G142900 ID=Brasy2G142900.2.v1.1 annot-version=v1.1 MDMALPVVNATTAVLARLSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKEGTRIDTPMLESAAGPHTTIDGKDVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMGSLASTLEKLTRGNKRTEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSAGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRNNVALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSLTTDLDLLETIADQVLREDSVFIVTSKRSTLDRCRLPVGIRLFVSAGHTELDISKVSSSLKRVSALVLKD* >Brasy2G142900.3.p pacid=40066122 transcript=Brasy2G142900.3 locus=Brasy2G142900 ID=Brasy2G142900.3.v1.1 annot-version=v1.1 MDMALPVVNATTAVLARLSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKEGTRIDTPMLESAAGPHTTIDGKDVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMGSLASTLEKLTRGNKRTEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSAGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRNNVALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSLTTDLDLLETIADQVLREDSVFIVTSKRSTLDRCRLPVGIRLFVSAGHTELDISKVSSSLKRVSALVLKD* >Brasy2G440200.1.p pacid=40066123 transcript=Brasy2G440200.1 locus=Brasy2G440200 ID=Brasy2G440200.1.v1.1 annot-version=v1.1 YGGSPLVLAAGELAHAASSLPPVSTLPVPSSAPAQAAAAASMEEASALATTRRHTSIAGDEGRRWGRRRRRRREIHFCPQPPARARASDPYPAYYFQVTKPSMLCKYTVRPS* >Brasy2G395800.1.p pacid=40066124 transcript=Brasy2G395800.1 locus=Brasy2G395800 ID=Brasy2G395800.1.v1.1 annot-version=v1.1 MGKGKQRVRGGGGGEAATEGDAATGGGSGGHTPSTVFVSNLPYSYKSSDLETVFSEVGPVRRCFMVASKGSETSRGFGFVQFATVQDAERAIQQKNGYTVAGRNIRVKLAIQRAPLKERLQKKENVQAEDSNPKDDEDDNSTPVKHKETSHNTGPPQPSAKDTKVVKQASIKATDKVKSSEKQRVAKTVIFGGLQDFSTASEVFRLAGEIGTVVSVNYPLPKEEMELHGLARDGCTPDAAAVLFASVKSAWDSVVLLHRKEVKGAIVWARQLGGEGSKIRKWRVIVRNLPFKITLKEIMDVFSSEGFVWDVSIPQKSDDGKSKGFAFVSFTRKQDAENAIKNVNGKVIAKRTVAVDWAVPKNVYAVAAKSDAKDDELADVSDKGSDDESSEDNLVGGDDSDDGCELDQETSSRLADDDFKSEADISRKVLENLIKSSEKSEPSDVEGSDIDTDTETENDTSEEKQLHSPEAVKLGESKHVTEAESTVLSSKPTAVKVAESKHVTELQSTVPALKPKKEDTGLDRTVFISNLPFDISKEEVTERFSVFGKVQSFFPVLHKLTKRPIGTGFLKFSTPEAADAAVSAANVAPGLGIFIKSRALNVKKALDKESAHKKEQEKGKNEIEDRRNLYLSKEGEILPGTPAAEGVSDVDMNKRNWLAKRKAEMLVSPKFHVSRTRLIIYNLPKTMSINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGAAQKHSRGVAFVDFQEHEHALVALRVLNNNPGTFGTERRPIVEFALEDVEKMRLQRIRMERNERAKEAAQDQQRTLGDQSATDGPRSNNRRPFKKGSKRESHDVPSKLSDSGKGPSDGVSVPGDRDVVESTVEHKRQPQRPAKRARQSNKGSVVSDANQTDAAPNAAESQRPSTKPDQADAPRKRRNRNDGHVEQKRGKATKRARKEPSGEGGVDKSLVEQYRSKFLQHGVNKTKG* >Brasy2G395800.2.p pacid=40066125 transcript=Brasy2G395800.2 locus=Brasy2G395800 ID=Brasy2G395800.2.v1.1 annot-version=v1.1 MGKGKQRVRGGGGGEAATEGDAATGGGSGGHTPSTVFVSNLPYSYKSSDLETVFSEVGPVRRCFMVASKGSETSRGFGFVQFATVQDAERAIQQKNGYTVAGRNIRVKLAIQRAPLKERLQKKENVQAEDSNPKDDEDDNSTPVKHKETSHNTGPPQPSAKDTKVVKQASIKATDKVKSSEKQRVAKTVIFGGLQDFSTASEVFRLAGEIGTVVSVNYPLPKEEMELHGLARDGCTPDAAAVLFASVKSAWDSVVLLHRKEVKGAIVWARQLGGEGSKIRKWRVIVRNLPFKITLKEIMDVFSSEGFVWDVSIPQKSDDGKSKGFAFVSFTRKQDAENAIKNVNGKVIAKRTVAVDWAVPKNVYAVAAKSDAKDDELADVSDKGSDDESSEDNLVGGDDSDDGCELDQETSSRLADDDFKSEADISRKVLENLIKSSEKSEPSDVEGSDIDTDTETENDTSEEKQLHSPEAVKLGESKHVTEAESTVLSSKPTAVKVAESKHVTELQSTVPALKPKKEDTGLDRTVFISNLPFDISKEEVTERFSVFGKVQSFFPVLHKLTKRPIGTGFLKFSTPEAADAAVSAANVAPGLGIFIKSRALNVKKALDKESAHKKEQEKGKNEIEDRRNLYLSKEGEILPGTPAAEGVSDVDMNKRNWLAKRKAEMLVSPKFHVSRTRLIIYNLPKTMSINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGAAQKHSRGVAFVDFQEHEHALVALRVLNNNPGTFGTERRPIVEFALEDVEKMRLQRIRMERNERAKEAAQDQQRTLGDQSATDGPRSNNRRPFKKGSKRESHDVPSKLSDSGKGPSDGVSVPGDRDVVESTVEHKRQPQRPAKRARQSNKGSVVSDANQTDAAPNAAESRPSTKPDQADAPRKRRNRNDGHVEQKRGKATKRARKEPSGEGGVDKSLVEQYRSKFLQHGVNKTKG* >Brasy2G058600.1.p pacid=40066126 transcript=Brasy2G058600.1 locus=Brasy2G058600 ID=Brasy2G058600.1.v1.1 annot-version=v1.1 MFPDDADFGTPCLEALRLDDAGELHDWCWDPLPSPPFFHEPYGSGSMIHCYAAGPADDNDNDKNNSSSSSMWISTLGKGTHVFDTATAKWRKAGDWALPFDGRVQYIRELGQWFGFSKKQRKLCSAGRLAVDGANAGPLENVWEDVDGYTGTRWHLARSDLSYLGGGRFCVSRFYDTRRHWEDWCVPACDAVVMTAVEARPDASGGSLQMIKGASRCYRFSGAYTGYGWAL* >Brasy2G307800.1.p pacid=40066127 transcript=Brasy2G307800.1 locus=Brasy2G307800 ID=Brasy2G307800.1.v1.1 annot-version=v1.1 MPPAGSLTADQLSFFDANGYLVLESFSSAEEVQVMRDRMAELVEGFDGADSSVFSTKDHRQLKDDYFFKSSENISFFFEEKAFGDDGCLRQPKELSINKVGHALHEHDPVFKKFAFSENVSSLFSSLGYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPLSCTGLWLALEDATITNGCLWAIPGSHKNGLKRRMIRDENGTHFDRPSPPYDQKEFVPLEVKSGDFVVIHGDLVHQSFENLSPVSRHALSLHVVDTEGCKWSKDNWIQRKIAPEPLYVS* >Brasy2G307800.2.p pacid=40066128 transcript=Brasy2G307800.2 locus=Brasy2G307800 ID=Brasy2G307800.2.v1.1 annot-version=v1.1 MPPAGSLTADQLSFFDANGYLVLESFSSAEEVQVMRDRMAELVEGFDGADSSVFSTKDHRQLKDDYFFKSSENISFFFEEKAFGDDGCLRQPKELSINKVGHALHEHDPVFKKFAFSENVSSLFSSLGYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPLSCTGLWLALEDATITNGCLWAIPGSHKNGLKRRMIRDENGTHFDRPSPPYDQKEFVPLEVKSVLRIFLQCQDMR* >Brasy2G137400.1.p pacid=40066129 transcript=Brasy2G137400.1 locus=Brasy2G137400 ID=Brasy2G137400.1.v1.1 annot-version=v1.1 MASPARPASVSGPFGLSPDLARCSFDQALRREDFQDSRILRSFVSFHEQENHSKKVITEAIEDCMKKQAENLVNSLDVISGRLSQLELYCYKLERSIGELRSDVMDYHSEANLNFNCLEKNVKEVQTSVQVLQDKQELAETQNKLARLQMVYEEPAQKSEGTAPSVFMANENDGSFPVAKHELALVPVHQVNAIQSPGMQFQSCNGLILQQLVPVSLGAQQDQQHLNQSTVYWMQNQSHPEHRQAQPFQPAPQSVQPQTQNPQPQTVVELPQISQAPEFYLQAQQQWPHQTGQDVHSQARQQQPQVAQQQQYTNIQQVPAQVLQLQTSSPQSQSAPHVTMFYPPYGTQQPACGNTETHPRSMVVQPSYSTISSSQRKHHEVAPIYVQSSAISAPMPEHNLQHQQPQQFHLPGNGSFAPQPNKVGLRGVSPYAVQGGAQVYNTVYGSPSSNPPTVVAVLPQQRQVSAPMMLHHLGPQVVQNHPVDMVDKAARMGYLNDQVESMAPRMVAAGQPVEFNTFHDGLSSCGNGVIPQAWSG* >Brasy2G360200.1.p pacid=40066130 transcript=Brasy2G360200.1 locus=Brasy2G360200 ID=Brasy2G360200.1.v1.1 annot-version=v1.1 MAMEVESAKCECCELREECTRGYIVGVKAAFGGRWLCGLCSEAVREEGRRKAGSTMEEAIQDHTNFCKQDHIAHGLRQMLLRRQRQRRSK* >Brasy2G175200.1.p pacid=40066131 transcript=Brasy2G175200.1 locus=Brasy2G175200 ID=Brasy2G175200.1.v1.1 annot-version=v1.1 MATSWQLAHQHQAFSGEYGRCLIRRLYWTNGGLLSRVQKIDRISSRKLTPALDGRPAYFNISVSYHVTRWFWILLAVPSQFLLCVFLPALLRF* >Brasy2G296800.1.p pacid=40066132 transcript=Brasy2G296800.1 locus=Brasy2G296800 ID=Brasy2G296800.1.v1.1 annot-version=v1.1 MRSLLHYSSLGTLALASLLVLASSPAPATSLSADGLALLAFKSAVTGDPSSALSSWSANDTDPCRWPGVSCLSTSSTETRVASLAVAGKNLSGYLPSELGSLSFLRRLNLHGNRLSGTVPPALSNATALRSVFLYDNNLTGAFPASLCDLPRLQNLDLSFNSLSGALPPGLARCKLLQRLLLSGNGFSGEIPARVLPEMVSLQLLDLSSNSFTGNIPPELGKLQSLAGTLNISRNRLSGGVPPELGRLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCHAAAPSSSSPPPPSQSSQGGAVGGSTRQPIKASLIVLISIADAAGVALIGVIVVYIYWKLRDSRRNGDGGDDDEGRRGLFACPCCMRADGDCSSDGSEAGGEKKCGGGGGGGGGGGGGEDGELVAIDKGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGSAAAERYREFAAEAGAVGRVRHANVVRLRAYYWSPDEKLVVTDFVNNGNLATALRGRSGQQSLSWSLRLRVAKGAARGLAHLHECSPRRYVHGEVKPSNILLDSDYNALLADFGLARLLTIAGCSAADHSSPNGIMGGALPYVKPVGPDRPNPYRAPEARVPGARPSQKSDVYSFGVLLLELLTGRSPDQAASASFSGDGGRQGQQEPEIVRWVRQGFEDARPLSELADEAVLRDAAGGGARKEVVAAFHVALGCVEADLERRPRMKAVSDSLDRIGS* >Brasy2G013800.1.p pacid=40066133 transcript=Brasy2G013800.1 locus=Brasy2G013800 ID=Brasy2G013800.1.v1.1 annot-version=v1.1 MPASSDPCSSFSQLVDSVVAYTPAAFASRTQQQLGPRPGGVEKPQRRAKGRPSKRAPTTYISKGAANFRFMVQHVTSIHDAGGQ* >Brasy2G258400.1.p pacid=40066134 transcript=Brasy2G258400.1 locus=Brasy2G258400 ID=Brasy2G258400.1.v1.1 annot-version=v1.1 MDAYGGQPLVSLALSLVLFSLGHDHASQAPAPSGQASCRRLLQPPPAPPAGAAATSPHRLHLPLPSTPRQPPPRPQQPAPPWLLSFRRRWRRGMRFMWKPWPQPPPPTPAVNANPESAATASLRSPPLALMRRRLAVGAGSGILVGYPRPDRGRVWENFRPSAGSGSGYGSRGGWPGPGLVCPDPAPNVPGAIHMDARTEDGHQRKKRQGVWKKRSRVRGGARVD* >Brasy2G281900.1.p pacid=40066135 transcript=Brasy2G281900.1 locus=Brasy2G281900 ID=Brasy2G281900.1.v1.1 annot-version=v1.1 MQRRSMAAWRGVEMAGLAVIAVTLCAAVCSAQTTAAAAQPVVTMPTCAPVPISLSPCIGYVFGVGSATLPSCCSQLQAFFKSQGPCLCAMSKLAPSPFGLVLGQAQAMIPNVCNLPSDPCGGDVAGASTSPDDSTLPKANATTLLDPAAPAAAPVANPAGARPATTASTSEAPPVPADKSPAAVTAPGKTAPVGAGSSTGTQVTSKLPELMHAAGARSSRDTAASTTVLITLFLTCVSAMYI* >Brasy2G470200.1.p pacid=40066136 transcript=Brasy2G470200.1 locus=Brasy2G470200 ID=Brasy2G470200.1.v1.1 annot-version=v1.1 MRAVCNLWRATIDAPSFKRDYARRRRLMPLYFFRRDPAPERNDWESRLVILNSVDLVAREPRRLLSFIDEPAGDQPEWENVVRVEGSCEGIVMLSFSDILYACNPSTRRWARLPPVHLDSAIVGFYPVVPCGQTAEADYRVLYRKPTTSSYWILSLSSGVSRPVDPQPALEPELDVLWSATGLRAQDDPPINILNCLYWRPQQEGATDGNIIFFDTLCESFGWITPPVDQKVLKGGHILEIDNKLGLAATDSPRGSEVDMWVLQDEMWVHVCEIILPQAEIDTCYSLLDSFGNTPVSVVHMEDEVHILVQCLHQLLHCRCNGDVLDRHADTQEGSLVLITSHMFQESISQHNFLPLTQEDVDNEGERAPFQIQFQPFLCAGFSNSMFGRRMLPQ* >Brasy2G470200.2.p pacid=40066137 transcript=Brasy2G470200.2 locus=Brasy2G470200 ID=Brasy2G470200.2.v1.1 annot-version=v1.1 MRAVCNLWRATIDAPSFKRDYARRRRLMPLYFFRRDPAPERNDWESRLVILNSVDLVAREPRRLLSFIDEPAGDQPEWENVVRVEGSCEGIVMLSFSDILYACNPSTRRWARLPPVHLDSAIVGFYPVVPCGQTAEADYRVLYRKPTTSSYWILSLSSGVSRPVDPQPALEPELDVLWSATGLRAQDDPPINILNCLYWRPQQEGATDGNIIFFDTLCESFGWITPPVDQKVLKGGHILEIDNKLGLAATDSPRGSEVDMWVLQDEMWVHVCEIILPQAEIDTCYSLLDSFGNTPVSVVHMEDEVHILVQCLHQLLHCRCNGDVLDRHADTQEGSLVLITSHMFQESISQHNFLPLTQEDVDNEGERAPFQIQFQVSATPCSAGECSRNSSFKF* >Brasy2G094400.1.p pacid=40066138 transcript=Brasy2G094400.1 locus=Brasy2G094400 ID=Brasy2G094400.1.v1.1 annot-version=v1.1 MATSFDRWEKDPFFPAAEEVQESADRMESVYKIWVQERSGGDLLATAVGGEIADVELRRELRTALGTAKWQLDELERAIRSNDEVVSAGKDTRSRHSDFVEAIGHRILEVENNLNGSNVAEGRGTLSWIHLDDNERDDLAAFLSASPLQQKDKVVSIPSAGDIQVGSNATRLRQNISAESSVDSSGSADLSLTRAKEDMHRGHRRSVSANADIGSSTISYPNEWEGAAEESSDGPHKAPLTNIVKTCALTSALKSKPGIKYKNGAVRWARADKQDVEEAVPLRSSQLSQGLDGYSEKSKGCLNTCDGLAYNKKLYGWLGALHRRLQRSQYQIRYGRPIQLIVFALAVLIIFMCVLWTIW* >Brasy2G094400.2.p pacid=40066139 transcript=Brasy2G094400.2 locus=Brasy2G094400 ID=Brasy2G094400.2.v1.1 annot-version=v1.1 MATSFDRWEKDPFFPAAEEVQESADRMESVYKIWVQERSGGDLLATAVGGEIADVELRRELRTALGTAKWQLDELERAIRSNDEVVSAGKDTRSRHSDFVEAIGHRILEVENNLNGSNVAEGRGTLSWIHLDDNERDDLAAFLSASPLQQKDKVVSIPSAGDIQVGSNATRLRQNISAESSVDSSGSADLSLTRAKEDMHRGHRRSVSANADIGSSTISYPNEWEGAAEESSDGPHKAPLTNIVKTCALTSALKSKPGIKYKNGAVRWARADKQDVEEAVPLRSSQLSQGLDGYSEKSKGCLNTCDGLAYNKKLYGWLGALHRRLQRSQYQIRYGRPIQLIVFALAVLIIFMCVLWTIW* >Brasy2G314900.1.p pacid=40066140 transcript=Brasy2G314900.1 locus=Brasy2G314900 ID=Brasy2G314900.1.v1.1 annot-version=v1.1 MSLRSSDRKLSFELLATDLDDDALDGLSPRSLPESTSDGQRRRRRRAKRKQGFQSPPIVEEEPGVDGDAAAAAFRVTDLRSVVEKVCQSSDAERSVGSRVTYVGVELRQRSVSGNGRVVAAPAEDATSSCGSSVRESVAAAAAVADVTDAACRPEVNGAVKKLQKEESLDWEKFMKENSNILGEVERLDNSPFRYFIGELYSGNSLRSTIAVGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVMTVWRVLKTRQFLRPNAADLSDYGCFVVLALGVTSLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFLLDEAIAVVAFVVHSFVLLAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLVNASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNEQSDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRTLWILLWSILTYFMLAIFKILVGLVLRCLATWYVNLRLTRKQHVD* >Brasy2G498800.1.p pacid=40066141 transcript=Brasy2G498800.1 locus=Brasy2G498800 ID=Brasy2G498800.1.v1.1 annot-version=v1.1 MSIEERKISLINKSMALNPNAVEFVPSCLRSVSDASNRSDTTKITVSGSSKDSSADQPESIPSNPDEEAHRYWQQQLPDDITPDFKVVEDETPGPDSLSLVGLSMNDGFGTSIFSPNQASRMQHHASPFVRDALNTRAKIEFPGPEQSQATIMSPTASTMSPTAAPWVKTIRNGGQYSTNRRDANHYNGDSSIGSPLQNTSDAYYRNRRSLRSTMDIMTQLEQNKVDGRLGQNLRSLSFGHSSPPSPVSYSQNGLGNYNKEAFGLPNSTYRSHSAILADDIISPSASREHVSLDSPRGRYKTTNLPVSGLGSSRGSQLLSGSYNGNHDMISNNALQNIAGVQTGPSWLETDATANMFLEKDEVHDFASLRHALLEQQDRQAFLTGGNPLAKDLNLKELYAIQSRLAQEKARETMYHQRFPMPELQGLIQEQNPPIDLCGLHASEAMHVLNYELNNRRKIARSTGRRLQVIIISSARTPVRLTAAVEQYLLEHGLQYTQAQPGLFRVLLP* >Brasy2G498800.2.p pacid=40066142 transcript=Brasy2G498800.2 locus=Brasy2G498800 ID=Brasy2G498800.2.v1.1 annot-version=v1.1 MSIEERKISLINKSMALNPNAVEFVPSCLRSVSDASNRSDTTKITVSGSSKDSSADQPESIPSNPDEEAHRYWQQQLPDDITPDFKVVEDETPGPDSLSLVGLSMNDGFGTSIFSPNQASRMQHHASPFVRDALNTRAKIEFPGPEQSQATIMSPTASTMSPTAAPWVKTIRNGGQYSTNRRDANHYNGDSSIGSPLQNTSDAYYRNRRSLRSTMDIMTQLENKVDGRLGQNLRSLSFGHSSPPSPVSYSQNGLGNYNKEAFGLPNSTYRSHSAILADDIISPSASREHVSLDSPRGRYKTTNLPVSGLGSSRGSQLLSGSYNGNHDMISNNALQNIAGVQTGPSWLETDATANMFLEKDEVHDFASLRHALLEQQDRQAFLTGGNPLAKDLNLKELYAIQSRLAQEKARETMYHQRFPMPELQGLIQEQNPPIDLCGLHASEAMHVLNYELNNRRKIARSTGRRLQVIIISSARTPVRLTAAVEQYLLEHGLQYTQAQPGLFRVLLP* >Brasy2G498800.3.p pacid=40066143 transcript=Brasy2G498800.3 locus=Brasy2G498800 ID=Brasy2G498800.3.v1.1 annot-version=v1.1 MSIEERKISLINKSMALNPNAVEFVPSCLRSVSDASNRSDTTKITVSGSSKDSSADQPESIPSNPDEEAHRYWQQQLPDDITPDFKVVEDETPGPDSLSLVGLSMNDGFGTSIFSPNQASRMQHHASPFVRDALNTRAKIEFPGPEQSQATIMSPTASTMSPTAAPWVKTIRNGGQYSTNRRDANHYNGDSSIGSPLQNTSDAYYRNRRSLRSTMDIMTQLEQNKVDGRLGQNLRSLSFGHSSPPSPVSYSQNGLGNYNKEAFGLPNSTYRSHSAILADDIISPSASREHVSLDSPRGRYKTTNLPVSGLGSSRGSQLLSGSYNGNHDMISNNALQNIAGVQTGPSWLETDATANMFLEKDEVHDFASLRHALLEQDRQAFLTGGNPLAKDLNLKELYAIQSRLAQEKARETMYHQRFPMPELQGLIQEQNPPIDLCGLHASEAMHVLNYELNNRRKIARSTGRRLQVIIISSARTPVRLTAAVEQYLLEHGLQYTQAQPGLFRVLLP* >Brasy2G498800.4.p pacid=40066144 transcript=Brasy2G498800.4 locus=Brasy2G498800 ID=Brasy2G498800.4.v1.1 annot-version=v1.1 MSIEERKISLINKSMALNPNAVEFVPSCLRSVSDASNRSDTTKITVSGSSKDSSADQPESIPSNPDEEAHRYWQQQLPDDITPDFKVVEDETPGPDSLSLVGLSMNDGFGTSIFSPNQASRMQHHASPFVRDALNTRAKIEFPGPEQSQATIMSPTASTMSPTAAPWVKTIRNGGQYSTNRRDANHYNGDSSIGSPLQNTSDAYYRNRRSLRSTMDIMTQLENKVDGRLGQNLRSLSFGHSSPPSPVSYSQNGLGNYNKEAFGLPNSTYRSHSAILADDIISPSASREHVSLDSPRGRYKTTNLPVSGLGSSRGSQLLSGSYNGNHDMISNNALQNIAGVQTGPSWLETDATANMFLEKDEVHDFASLRHALLEQDRQAFLTGGNPLAKDLNLKELYAIQSRLAQEKARETMYHQRFPMPELQGLIQEQNPPIDLCGLHASEAMHVLNYELNNRRKIARSTGRRLQVIIISSARTPVRLTAAVEQYLLEHGLQYTQAQPGLFRVLLP* >Brasy2G328800.1.p pacid=40066145 transcript=Brasy2G328800.1 locus=Brasy2G328800 ID=Brasy2G328800.1.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETARYEGARGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKK* >Brasy2G328800.2.p pacid=40066146 transcript=Brasy2G328800.2 locus=Brasy2G328800 ID=Brasy2G328800.2.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETARYEGARGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKK* >Brasy2G328800.9.p pacid=40066147 transcript=Brasy2G328800.9 locus=Brasy2G328800 ID=Brasy2G328800.9.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETARC* >Brasy2G328800.5.p pacid=40066148 transcript=Brasy2G328800.5 locus=Brasy2G328800 ID=Brasy2G328800.5.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETAR* >Brasy2G328800.10.p pacid=40066149 transcript=Brasy2G328800.10 locus=Brasy2G328800 ID=Brasy2G328800.10.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETARC* >Brasy2G328800.6.p pacid=40066150 transcript=Brasy2G328800.6 locus=Brasy2G328800 ID=Brasy2G328800.6.v1.1 annot-version=v1.1 MSPGTSTRSPEAWTWENAAAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDVPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKERYLQGKDDQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETAR* >Brasy2G328800.3.p pacid=40066151 transcript=Brasy2G328800.3 locus=Brasy2G328800 ID=Brasy2G328800.3.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETARYEGARGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKK* >Brasy2G328800.4.p pacid=40066152 transcript=Brasy2G328800.4 locus=Brasy2G328800 ID=Brasy2G328800.4.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETARYEGARGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKK* >Brasy2G328800.11.p pacid=40066153 transcript=Brasy2G328800.11 locus=Brasy2G328800 ID=Brasy2G328800.11.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETARC* >Brasy2G328800.7.p pacid=40066154 transcript=Brasy2G328800.7 locus=Brasy2G328800 ID=Brasy2G328800.7.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLNSVDYAVLGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETAR* >Brasy2G328800.12.p pacid=40066155 transcript=Brasy2G328800.12 locus=Brasy2G328800 ID=Brasy2G328800.12.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETARC* >Brasy2G328800.8.p pacid=40066156 transcript=Brasy2G328800.8 locus=Brasy2G328800 ID=Brasy2G328800.8.v1.1 annot-version=v1.1 MLAFILQFSDQPFHGGYISFFITGLKKGTCRGRMISSAHSTILSQLQKQVCLFTNPIWLVKTRMQLQTPGHTSPYSGFSDALRTILTEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKGMVFAKTKQARADNSGNEDLLVIRARLQQRPGSDGTPKYSDSWHVVKETAR* >Brasy2G103100.1.p pacid=40066157 transcript=Brasy2G103100.1 locus=Brasy2G103100 ID=Brasy2G103100.1.v1.1 annot-version=v1.1 MHVGLPTQNERENHRRRPRRRIRRRLLPPPAAARIPLLTSRRPPLLARPPPPLVEEKEQRGEIERAPPLRSPEAAAREPTVAARLPPRPRHRRERAAYPLHAAASRRHTKGIEGAPLAAARRAPPAAPCGWLLLPACRPHAPLQQLPACCPALLLLLAPPAAGHRSPLLPPRAPPAAAAAPPRG* >Brasy2G189600.1.p pacid=40066158 transcript=Brasy2G189600.1 locus=Brasy2G189600 ID=Brasy2G189600.1.v1.1 annot-version=v1.1 MLTHHSPMSPPHGWRSKMAYRFTLWACLAVLAGLNCVGGADPPATFIFGDSLVDAGNNNYIVTLSRANYLPNGIDFDGHQPTGRYTNGRTIVDILGQEMGLGGFVPPYMDPNTTGDVLFRGVNYASGGGGILNQTGSIFGGRINLDAQIDNYASNRRDMIARHGEVAAVSQLRGALFSVTMGSNDFINNYLVPILSVPERAVTPPEAFISGMINKYRQQLIRLYLLDARKIVVVNVGPIGCIPYLRDIMGTGVPSSAAGACAEFPNQLAQSFNRKLRALVNELSVSLAGSRFLYADAYRIVSDIIDNYRSHGFEVADSACCYVGGRFGGLVPCGPTSRYCADRSKYVFWDAYHPSDAANALIARRILDGDPADISPVNVRQLVFDD* >Brasy2G450100.1.p pacid=40066159 transcript=Brasy2G450100.1 locus=Brasy2G450100 ID=Brasy2G450100.1.v1.1 annot-version=v1.1 MVAPGCAFEPAEKEMTDVYLHGKIADGHDYAGFIHVADVYSADPETLVKSFAPAPGTGRGDKEPVWYFFSPVHYASKKKNSGRRARTIGGDSNKKWHSELGAVPVDGGSAFGGHKLNLTYMVRDMASGEKKKHGRAGWILEEFGISPEHGGGQIELCKLHRSPRFKDETAAGAGSKKRKAAADGELMNEADRRKNLCLRQEMPQELPEIETMDGGAAAVDLQDLWQRQEAPVLDDTARWMMFLETQGGMHFSDDIQATQQQQQQAPILDDTWRLALQEIERQLLSDGETSGGAGQVMDPAEEEEGTEQQQGGGGGCDDEDDGRLECTYEELFGIDGNVDDDMAMACSSLVA* >Brasy2G217000.1.p pacid=40066160 transcript=Brasy2G217000.1 locus=Brasy2G217000 ID=Brasy2G217000.1.v1.1 annot-version=v1.1 MDSLHLVHVKLLAADLLSLTLRHKSPPSFAHRGRIVARAEVVGVVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANSSSGTLDTDPTGEMALQMSEVVHLGTLLRVRGRIVMYRGAMQIAVRDVILEKDPNVELLHWLQCVHMAKECYDFPLPPA* >Brasy2G217000.4.p pacid=40066161 transcript=Brasy2G217000.4 locus=Brasy2G217000 ID=Brasy2G217000.4.v1.1 annot-version=v1.1 MDSLHLVHVKLLAADLLSLTLRHKSPPSFAHRGRIVARAEVVGVVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANSSSGTLDTDPTGEMALQMSEVVHLGTLLRVRGRIVMYRGAMQIAVRDVILEKDPNVELLHWLQCVHMAKECYDFPLPPA* >Brasy2G217000.2.p pacid=40066162 transcript=Brasy2G217000.2 locus=Brasy2G217000 ID=Brasy2G217000.2.v1.1 annot-version=v1.1 MDSLHLVHVKLLAADLLSLTLRHKSPPSFAHRGRIVARAEVVGVVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANSSSGTLDTDPTGEMALQMSEVVHLGTLLRVRGRIVMYRGAMQIAVRDVILEKDPNVELLHWLQCVHMAKECYDFPLPPA* >Brasy2G217000.3.p pacid=40066163 transcript=Brasy2G217000.3 locus=Brasy2G217000 ID=Brasy2G217000.3.v1.1 annot-version=v1.1 MDSLHLVHVKLLAADLLSLTLRHKSPPSFAHRGRIVARAEVVGVVVSRDRREKFLRFLIDDGTGCVPCVLWLNHQYLNANSSSGTLDTDPTGEMALQMSEVVHLGTLLRVRGRIVMYRGAMQIAVRDVILEKDPNVELLHWLQCVHMAKECYDFPLPPA* >Brasy2G426900.1.p pacid=40066164 transcript=Brasy2G426900.1 locus=Brasy2G426900 ID=Brasy2G426900.1.v1.1 annot-version=v1.1 PWRRRHSPQELPNELIEEIFLRFPLDEPSCLLRASLVSRRLNRAVSDPFFRQRLHELHRTPPVLGFLHNRDDEDIPRFVHSTASAFPLAAPDDDPRWEVVVDCRHGRALFLIHREVARELLIWEPITGAQHRVPVPAAFENLCPTAAVFCPVEGCSHYNCHGGPFRVVFVFAVDDNDEDKFFTSASVYSSETGTWGEVTRIHNRATCFSSPYRSSVLVWRSLFYFMADGSDIVEYDLDNHALDLLDSPDPNTDTFSLMLAEDGGLGVCKVLDPQQLKLWSWEESDDDDTDGEWVLSRVIYLGNLLPNGALPLRRLSERVLFFAEVANVIFVTTNDGVFTIELQSERVKKVCDHHFWSLVPVVSFYTPPRLLNLSEEAGGEEGGVEEEKTVEQAHQLFGKGTNAVKEGDFDHSFISHDLKTRVPGYGKVDPQCASTFDKYECALLDEAQEVNDPLGDVPKSVPNEESVKDTGSKDDAGNSKISGRNIMDAAPPSEKGDYEEGSKLNSDKN* >Brasy2G367300.1.p pacid=40066165 transcript=Brasy2G367300.1 locus=Brasy2G367300 ID=Brasy2G367300.1.v1.1 annot-version=v1.1 MDIGTGALVPLLGKLGDLLLDEYNLEKRVRKGVKSLLTELELMHAVLRKVGEMPPERVDEQVRIWAGKVRELSYNMEDTVDSFMVRVEEEDRGRGPTNLKNRVKKFLKRTSKLLSRGKALHQISNAIGEAKELARELGDLRQRYMFETHAHSTGNTIDPRLKAMYRDVTELVGIEDKRDELVKMLTEGRPDQQQHLKIVSIVGFGGLGKTTLARVVYDKIRGQFDCGAFVSVSQNPDIKTIFKKVLYELDKSKYAAINEAVRDEQQLIDELKTFLHDKRYLIIIDDLWDIKAWEVIKCAFSNSSLSCRVITTTRIVSISKACCLSRDDMIYNIKPLSTDDSKRLFYKRIFLHESGCPHELEQVSKDILKKCGGVPLAIITMASLLASDQQVKTKDQWHDLLKSIGHGLTEDASVEEMQRILSFSYYDLPSHLKTCLLYMSMFPEDKVVWRDRLIWMWIAEGFVHCGKQQTRSLYEVGESYYNDLINRNMIQPLYINDEGKADACIVHDMVLNLLCSLSREENFVTILDSTARSTSNSQRKVRRLSFQNSKSELTACQVDTTSMSQVRSITLFASTTDPIQPLSRFQVLRVLDLEGCYLARGSDQAHLVSFVTNLSNLRYLGLRDTHLGKLPLEIGKLLFLQTLDLRGTLDTRGSQFVVPQSIVSLGRLMCLYIDVRMEMPAGTGNLASLQELSELYVDGSNALEKELGELIELRGLSLRWQGRDESVCNSLVVSLGNLQKLIRLTIQSYGVTRFDVSWDGWVPPPHLRTLVFRGCTSTLPKWVNSSCLPLLSYLHIAVDKVREEDIQILGKLSALCHLRLESTQFQTQYRSLVLVGSAAAFPCVTECRFEYFTTSPSMFPQGAMPRLESLKFYARALEIGSGELDVSMGHLPWLQRVDVVLWLEKDSSSKLEEAKTALRLAVHAHPSRPRLRIRLYGKSFSLLALIGYGFRLTLLSVYLFSSFVGCSHGIE* >Brasy2G367300.5.p pacid=40066166 transcript=Brasy2G367300.5 locus=Brasy2G367300 ID=Brasy2G367300.5.v1.1 annot-version=v1.1 MDIGTGALVPLLGKLGDLLLDEYNLEKRVRKGVKSLLTELELMHAVLRKVGEMPPERVDEQVRIWAGKVRELSYNMEDTVDSFMVRVEEEDRGRGPTNLKNRVKKFLKRTSKLLSRGKALHQISNAIGEAKELARELGDLRQRYMFETHAHSTGNTIDPRLKAMYRDVTELVGIEDKRDELVKMLTEGRPDQQQHLKIVSIVGFGGLGKTTLARVVYDKIRGQFDCGAFVSVSQNPDIKTIFKKVLYELDKSKYAAINEAVRDEQQLIDELKTFLHDKRYLIIIDDLWDIKAWEVIKCAFSNSSLSCRVITTTRIVSISKACCLSRDDMIYNIKPLSTDDSKRLFYKRIFLHESGCPHELEQVSKDILKKCGGVPLAIITMASLLASDQQVKTKDQWHDLLKSIGHGLTEDASVEEMQRILSFSYYDLPSHLKTCLLYMSMFPEDKVVWRDRLIWMWIAEGFVHCGKQQTRSLYEVGESYYNDLINRNMIQPLYINDEGKADACIVHDMVLNLLCSLSREENFVTILDSTARSTSNSQRKVRRLSFQNSKSELTACQVDTTSMSQVRSITLFASTTDPIQPLSRFQVLRVLDLEGCYLARGSDQAHLVSFVTNLSNLRYLGLRDTHLGKLPLEIGKLLFLQTLDLRGTLDTRGSQFVVPQSIVSLGRLMCLYIDVRMEMPAGTGNLASLQELSELYVDGSNALEKELGELIELRGLSLRWQGRDESVCNSLVVSLGNLQKLIRLTIQSYGVTRFDVSWDGWVPPPHLRTLVFRGCTSTLPKWVNSSCLPLLSYLHIAVDKVREEDIQILGKLSALCHLRLESTQFQTQYRSLVLVGSAAAFPCVTECRFEYFTTSPSMFPQGAMPRLESLKFYARALEIGSGELDVSMGHLPWLQRVDVVLWLEKDSSSKLEEAKTALRLAVHAHPSRPRLRIRLYGKSFSLLALIGYGFRLTLLSVYLFSSFVGCSHGIE* >Brasy2G367300.2.p pacid=40066167 transcript=Brasy2G367300.2 locus=Brasy2G367300 ID=Brasy2G367300.2.v1.1 annot-version=v1.1 MDIGTGALVPLLGKLGDLLLDEYNLEKRVRKGVKSLLTELELMHAVLRKVGEMPPERVDEQVRIWAGKVRELSYNMEDTVDSFMVRVEEEDRGRGPTNLKNRVKKFLKRTSKLLSRGKALHQISNAIGEAKELARELGDLRQRYMFETHAHSTGNTIDPRLKAMYRDVTELVGIEDKRDELVKMLTEGRPDQQQHLKIVSIVGFGGLGKTTLARVVYDKIRGQFDCGAFVSVSQNPDIKTIFKKVLYELDKSKYAAINEAVRDEQQLIDELKTFLHDKRYLIIIDDLWDIKAWEVIKCAFSNSSLSCRVITTTRIVSISKACCLSRDDMIYNIKPLSTDDSKRLFYKRIFLHESGCPHELEQVSKDILKKCGGVPLAIITMASLLASDQQVKTKDQWHDLLKSIGHGLTEDASVEEMQRILSFSYYDLPSHLKTCLLYMSMFPEDKVVWRDRLIWMWIAEGFVHCGKQQTRSLYEVGESYYNDLINRNMIQPLYINDEGKADACIVHDMVLNLLCSLSREENFVTILDSTARSTSNSQRKVRRLSFQNSKSELTACQVDTTSMSQVRSITLFASTTDPIQPLSRFQVLRVLDLEGCYLARGSDQAHLVSFVTNLSNLRYLGLRDTHLGKLPLEIGKLLFLQTLDLRGTLDTRGSQFVVPQSIVSLGRLMCLYIDVRMEMPAGTGNLASLQELSELYVDGSNALEKELGELIELRGLSLRWQGRDESVCNSLVVSLGNLQKLIRLTIQSYGVTRFDVSWDGWVPPPHLRTLVFRGCTSTLPKWVNSSCLPLLSYLHIAVDKVREEDIQILGKLSALCHLRLESTQFQTQYRSLVLVGSAAAFPCVTECRFEYFTTSPSMFPQGAMPRLESLKFYARALEIGSGELDVSMGHLPWLQRVDVVLWLEKDSSSKLEEAKTALRLAVHAHPSRPRLRIRLYGSKVDEPEEQGQVRGH* >Brasy2G367300.3.p pacid=40066168 transcript=Brasy2G367300.3 locus=Brasy2G367300 ID=Brasy2G367300.3.v1.1 annot-version=v1.1 MDIGTGALVPLLGKLGDLLLDEYNLEKRVRKGVKSLLTELELMHAVLRKVGEMPPERVDEQVRIWAGKVRELSYNMEDTVDSFMVRVEEEDRGRGPTNLKNRVKKFLKRTSKLLSRGKALHQISNAIGEAKELARELGDLRQRYMFETHAHSTGNTIDPRLKAMYRDVTELVGIEDKRDELVKMLTEGRPDQQQHLKIVSIVGFGGLGKTTLARVVYDKIRGQFDCGAFVSVSQNPDIKTIFKKVLYELDKSKYAAINEAVRDEQQLIDELKTFLHDKRYLIIIDDLWDIKAWEVIKCAFSNSSLSCRVITTTRIVSISKACCLSRDDMIYNIKPLSTDDSKRLFYKRIFLHESGCPHELEQVSKDILKKCGGVPLAIITMASLLASDQQVKTKDQWHDLLKSIGHGLTEDASVEEMQRILSFSYYDLPSHLKTCLLYMSMFPEDKVVWRDRLIWMWIAEGFVHCGKQQTRSLYEVGESYYNDLINRNMIQPLYINDEGKADACIVHDMVLNLLCSLSREENFVTILDSTARSTSNSQRKVRRLSFQNSKSELTACQVDTTSMSQVRSITLFASTTDPIQPLSRFQVLRVLDLEGCYLARGSDQAHLVSFVTNLSNLRYLGLRDTHLGKLPLEIGKLLFLQTLDLRGTLDTRGSQFVVPQSIVSLGRLMCLYIDVRMEMPAGTGNLASLQELSELYVDGSNALEKELGELIELRGLSLRWQGRDESVCNSLVVSLGNLQKLIRLTIQSYGVTRFDVSWDGWVPPPHLRTLVFRGCTSTLPKWVNSSCLPLLSYLHIAVDKVREEDIQILGKLSALCHLRLESTQFQTQYRSLVLVGSAAAFPCVTECRFEYFTTSPSMFPQGAMPRLESLKFYARALEIGSGELDVSMGHLPWLQRVDVVLWLEKDSSSKLEEAKTALRLAVHAHPSRPRLRIRLYGSKVDEPEEQGQVRGH* >Brasy2G367300.4.p pacid=40066169 transcript=Brasy2G367300.4 locus=Brasy2G367300 ID=Brasy2G367300.4.v1.1 annot-version=v1.1 MDIGTGALVPLLGKLGDLLLDEYNLEKRVRKGVKSLLTELELMHAVLRKVGEMPPERVDEQVRIWAGKVRELSYNMEDTVDSFMVRVEEEDRGRGPTNLKNRVKKFLKRTSKLLSRGKALHQISNAIGEAKELARELGDLRQRYMFETHAHSTGNTIDPRLKAMYRDVTELVGIEDKRDELVKMLTEGRPDQQQHLKIVSIVGFGGLGKTTLARVVYDKIRGQFDCGAFVSVSQNPDIKTIFKKVLYELDKSKYAAINEAVRDEQQLIDELKTFLHDKRYLIIIDDLWDIKAWEVIKCAFSNSSLSCRVITTTRIVSISKACCLSRDDMIYNIKPLSTDDSKRLFYKRIFLHESGCPHELEQVSKDILKKCGGVPLAIITMASLLASDQQVKTKDQWHDLLKSIGHGLTEDASVEEMQRILSFSYYDLPSHLKTCLLYMSMFPEDKVVWRDRLIWMWIAEGFVHCGKQQTRSLYEVGESYYNDLINRNMIQPLYINDEGKADACIVHDMVLNLLCSLSREENFVTILDSTARSTSNSQRKVRRLSFQNSKSELTACQVDTTSMSQVRSITLFASTTDPIQPLSRFQVLRVLDLEGCYLARGSDQAHLVSFVTNLSNLRYLGLRDTHLGKLPLEIGKLLFLQTLDLRGTLDTRGSQFVVPQSIVSLGRLMCLYIDVRMEMPAGTGNLASLQELSELYVDGSNALEKELGELIELRGLSLRWQGRDESVCNSLVVSLGNLQKLIRLTIQSYGVTRFDVSWDGWVPPPHLRTLVFRGCTSTLPKWVNSSCLPLLSYLHIAVDKVREEDIQILGKLSALCHLRLESTQFQTQYRSLVLVGSAAAFPCVTECRFEYFTTSPSMFPQGAMPRLESLKFYARALEIGSGELDVSMGHLPWLQRVDVVLWLEKDSSSKLEEAKTALRLAVHAHPSRPRLRIRLYGSKVDEPEEQGQVRGH* >Brasy2G075100.1.p pacid=40066170 transcript=Brasy2G075100.1 locus=Brasy2G075100 ID=Brasy2G075100.1.v1.1 annot-version=v1.1 MMKPFTYYRIHRLLFPPSDDLTRPLHVDGLDRRLSPPLASPRHPDELRTPEPRRRSMAAAAGWLRRAASAVSLPRMPSGLPLMPTPPPAPLPEAQSLVLPGLGAAVGPAMELMAVPKKKISKYKRGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPGDSSS* >Brasy2G468000.1.p pacid=40066171 transcript=Brasy2G468000.1 locus=Brasy2G468000 ID=Brasy2G468000.1.v1.1 annot-version=v1.1 SPRRRPPPAAHLALRSTAARRGRGEQAPPAPLAPLPLPRRPPPPSAPVSSAPTPSLPRPWRRRRRNQCNGGFRRRRPLRNQRISVPLRPWLRCPISSAPCSDRSACAIPLSQSSETSGHMRCGTRVGVEFPS* >Brasy2G344200.1.p pacid=40066172 transcript=Brasy2G344200.1 locus=Brasy2G344200 ID=Brasy2G344200.1.v1.1 annot-version=v1.1 MSLSTLLLYSALVLNIAAAVAPRAALAARELGDAAVGAAAMASRHESWMAEHGRTYADESEKARRLEIFRANAERIDSFNSKADAAGESADSHRLATNRFADLTDEEFRAARTGLRRPAAVAAAGGGFRYENFSLQADAAGSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAMEGLTKIRTGRLVSLSEQQLVDCDIYGDDQGCEGGLMDNAFQYISRQGGLSSESAYPYSGDDGASCRSGRAQPAASIRGHEDVPANNEAALMAAVAHQPVSVAINGGDYVFRFYDRGVLGAGENGGCESTELDHAITAVGYGMAGDGTGYWLMKNSWGSGWGESGYVRIRRGSRGEGVCGLAKLASYPV* >Brasy2G483400.1.p pacid=40066173 transcript=Brasy2G483400.1 locus=Brasy2G483400 ID=Brasy2G483400.1.v1.1 annot-version=v1.1 MGRKDKEAAAASPEAAAAAVRSLFAADNPFRRKESPPEEQPPPVPPPIVRKSPKLPEPEAKAEPSARKKKREEQEESEVVPPRRPKRKRDELEARYERRTLGAPAEEPAPRPAVGAKRKAPDDVAAALAGAGADEEEAFDDEGKMLRTVFVGNLPLRTKKKALTKEFVAFGEVESVRIRSVPLGDTKIPRKGAVIKGKINDLVDNVHAYIVFKDEQCARAALSHNMALFNGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYKMFCGPSGPQGDVEAIRVVRDPDSSLGKGIAYVLFKTREAANSVVRKRDLKIRDRLLRLTHAKAADATPKKTDAGKKRGGQKLKTDSTPGSKSREGSDSTKRKASSLSYQGLKASKSGIVKKAKVIQRPSNQGKQPQGRTSETGQTDSARKAKRPAVAARKAKQLIKKRKLDGSRTPENTHRSKKARK* >Brasy2G135300.1.p pacid=40066174 transcript=Brasy2G135300.1 locus=Brasy2G135300 ID=Brasy2G135300.1.v1.1 annot-version=v1.1 MKVTSIMQFILGLMVCNGHVVICDSLYGNETDRLSLLEFKNAISLDPRQSLMSWNDTTHFCNWDGVHCRIKNPRRVISLDLANRGLVGQISPSLGNLTLLKHLFLSTNRFTGTIPPSLGHLHRLQNLYLSNNTLQGTIPSLANCSNLKALWLDRNKLVGQIPTDLPPFLEKLQLSVNNLTGTIPASLANITSLNQFNFAFNSIEGNIPNELAKLPALHILNAGSNQLTGTFPQVILNLSTLVFLNLGQNHLSGEVPSNLGNSLRNLQVFALANNFFHGEIPSSLINASELNKFEISSNNFTGLVLRSIGRLSKLTLLNLEFNKLQARSKEDWEFMSSLANCTKLNAFSVEGNHLEGEVPTSLSNLSIQLQNLYLGRNQLTGGFPSGIANLPNLIVLGMNSNRFTGAIPQWLGTLKSLQILGLASNTFTGFIPSSLSNLSQLTYLLLDSNQFVGSIPPSFRNLQGLSILNISNNNLSGRVPKETFSIPTLTQIYLSFNNIDGELPTDIGNAKQLTNLELSSNRLSGVIPSTLGDCASLQDIKLDWNIFSGSIPASISKISSLQILSVSHNNITGSIPVSLGNLQYLEQLDLSFNHLEGEVPTKGIFMNVTAVRIDGNQGLCGGTLELHLLACSAMPSNSTKQKLFFVLKVVIPVACMVSLVMIILVLLYWRRKHKRETMSLPSFGGSFGRQFPKVSFIDLERATEGFSTSNIIGRGIHGSVYQGKLFEDGNDVAIKVFNLETRGAQKSFIAECNALSNVRHRNLVPILTACSSIDSNGNDFKALVYELMPRGDLHRLLYSTQDYEGSADLIHITVAQRLSIVVDVADALEYLHHNNQGTIVHCDMKPSNIILDDNMTAHVGDFGLARFKADSAVSSSDDSYSTSSLAIKGTIGYVAPECATGGHVSTASDVYSFGIVLLEIFLRKRPTDDMFKDGLDIAKFVEMNFPESISQIVEPELLQDQPEFTKEIPVVKKENDLDSLVSVLSIGLCCTKLSPNERPNMQEVASKLHGIKEAYLRGY* >Brasy2G412500.1.p pacid=40066175 transcript=Brasy2G412500.1 locus=Brasy2G412500 ID=Brasy2G412500.1.v1.1 annot-version=v1.1 MASRGFPRAPSSSPTRSRVEATAPPPSPSSLASASVPMGSSSPSPSPPPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSDSSTPASRTAPAPAPAVSPQKRASPPPSPVKFSPPALPVKAFPSPVQVPPPQYEKASAGDKHNGSAFAFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYENTGKEVECGKAGKPKELLTTKVQKV* >Brasy2G054500.1.p pacid=40066176 transcript=Brasy2G054500.1 locus=Brasy2G054500 ID=Brasy2G054500.1.v1.1 annot-version=v1.1 MAPPASTSTSAPVSLSLPLHPSPYRRLPAPFPCLHPRRLALAPPRPGAALLSAPSHAQQQQYEDEEEEEDAYEEEEEYEEGELLEEDMVEVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKMQVREFELVRGKAHTGKKAWIVRFDGVDSLDEARQIVGSAILVKAEDRPEMEEDEIYSRDLVGMSVIVKDTGKLVGTVAQVFNFGGGDLLQVMVGSAENAVQPNSENQDSTPSREHVWIPFAEDIVPDIDMESREMWITPPKGLLEINRHPERSKKERRAMDWKEKKKLQRRITAAKKILHEMEQGHILEGLLSGDKLEKASLAEQIGSIDFQLFKHAMHSVSKQIDSTSKNVLVNSSSPRKNVMRIPYKTLMNHGEKGKQVFSNEFNIGREILRKSKAAIILVTSCSDSDVLDAEFRKLLSSFAELMKVDENHLSPPFIIVSPAGHVDSVRNYLVENDYFGFDTQKVWVLEETKLPVVGLSSELKSKKILLKSPWEILQRPAGTGAIFSSLSSNKILDALNTMGIEYVQICSLSDGLVLGHPLLFGAASSRGVDVGIKLHKTSNKTDDHFDLFLSIDHLNKMCRDVSKVRFSAHPEKHEHVEHADGQWVAVQPEMMNSQRLITDVTSVLDSCSAEKLCVMEIVE* >Brasy2G054500.2.p pacid=40066177 transcript=Brasy2G054500.2 locus=Brasy2G054500 ID=Brasy2G054500.2.v1.1 annot-version=v1.1 MASIPWTRQIVGSAILVKAEDRPEMEEDEIYSRDLVGMSVIVKDTGKLVGTVAQVFNFGGGDLLQVMVGSAENAVQPNSENQDSTPSREHVWIPFAEDIVPDIDMESREMWITPPKGLLEINRHPERSKKERRAMDWKEKKKLQRRITAAKKILHEMEQGHILEGLLSGDKLEKASLAEQIGSIDFQLFKHAMHSVSKQIDSTSKNVLVNSSSPRKNVMRIPYKTLMNHGEKGKQVFSNEFNIGREILRKSKAAIILVTSCSDSDVLDAEFRKLLSSFAELMKVDENHLSPPFIIVSPAGHVDSVRNYLVENDYFGFDTQKVWVLEETKLPVVGLSSELKSKKILLKSPWEILQRPAGTGAIFSSLSSNKILDALNTMGIEYVQICSLSDGLVLGHPLLFGAASSRGVDVGIKLHKTSNKTDDHFDLFLSIDHLNKMCRDVSKVRFSAHPEKHEHVEHADGQWVAVQPEMMNSQRLITDVTSVLDSCSAEKLCVMEIVE* >Brasy2G289900.1.p pacid=40066178 transcript=Brasy2G289900.1 locus=Brasy2G289900 ID=Brasy2G289900.1.v1.1 annot-version=v1.1 MRSGGGGGGREDDEAGQKLKSMDVDKMENGADDSPHPPRPLVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMQSVDAATLLNGLNGTTSLAPIVGAFLSDAYLGRYLALAIASVASLIGMFFLTLTAGADSLHPPECGAGEVCQKATSYQFAVLFISFAFLVIGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMFLACVLFFMGTRLYVRVIPEGSPFTTIVQVFAAAVSKRSLKQAKDPKQDLFDPPHTSNVVSKLAHTDQFRCLDKAAMVASPEEVRPGGAAPVNPWRLCTVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVFSALQSDRRLGSSFHVPAASFTVFAMLAQTLWIPIYDRILLPRLRKVTGKDEGFTLLQRQGIGIALSTVAMVISAIVEDRRRDIALNQPVIGTTQTGGGISAMSSFWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLTTIVHQTTGAGQNWLAQDLNKGRLDLFYWMIAGIGVFNFVYFMICARWYRFKGASN* >Brasy2G204200.1.p pacid=40066179 transcript=Brasy2G204200.1 locus=Brasy2G204200 ID=Brasy2G204200.1.v1.1 annot-version=v1.1 MSRAATRLFLTPSLVPIPLPKWLQRRRRASICTTRRSFAMASSGFGDGEAFRLSAAAGAGVLKLHKGDITVWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPQLVEACRKVPQVEPGVRCPTGEARITSAFKLPVSSVIHTVGPIYDMDRQPEVSLKNAYTNSLKLAKENGIQYIAFPAISCGVFRYPLEEASKIAVSTAQQFSGDIKEVHFVLFSDELYNVWREAAQEMLTQFEK* >Brasy2G357800.1.p pacid=40066180 transcript=Brasy2G357800.1 locus=Brasy2G357800 ID=Brasy2G357800.1.v1.1 annot-version=v1.1 MSKQSSNMNHSSTGKRLRPKNRLQVILLVAVSIWLMYQLGQAYSKRRPVALAVEVNGDNGVDGELIRRRWLGRKGIVEIAGNASQKDAPGISGRGTVSGSDDAGRWESMPAKGARGPRDEDDNGFSGFDDGDDSNFRGAQSNELDVRPAKASGLK* >Brasy2G302300.1.p pacid=40066181 transcript=Brasy2G302300.1 locus=Brasy2G302300 ID=Brasy2G302300.1.v1.1 annot-version=v1.1 MAAAARSTTARWLLLLALVAAYHGGGASVRAAAGAGAGAHWLGGLSRAQFPKGFVFGTATSAYQVEGMAASGGRGPSIWDAFSHIPGNVVGNTNADVTTDQYHRYKEDVNLMKGLNFDAYRFSISWSRIFPDGEGKVNEEGVAYYNNLINYLLQKGITPYINLYHADLPLALEKKYGGWLSAKTVELFADYADFCFKIFGNRVKHWFTLNEPRIACLLGYDVGSTPPQRCTKCAAGGNSATEPYIVAHNFLLAHGYAVARYRNKYQAAQQGKIGIVLDFNWYEALTNSAEDEAAGQRARDFHVGWFVDPLINGHYPQIMQDLVKERLPRFTSDEAKIVKGSADYIGINQYTASYIKGQKLVQQAPTSYSADWQVTYASLRNGKPIGPKANSDWLYIVPTGMYGCVNYLRVKYGNPAIVITENGMDQPGNLTRDEYLRDVTRVRFYRSYLTELKKAIDGGANVLGYFAWSLLDNFEWGSGYTSKFGIVYVDFNSTKLERHPKASAYWFRDMLKG* >Brasy2G129400.1.p pacid=40066182 transcript=Brasy2G129400.1 locus=Brasy2G129400 ID=Brasy2G129400.1.v1.1 annot-version=v1.1 MGPSLLPSAPSLSSYKTSSPHPLHPSPPCSQKARAHRPPPTTHRPTARASSNIFTYVGFEAAAGMGRSPCCEKAHTNKGAWTKEEDGRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHQLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPHTHRPLNAAAANAMPPQHQQLPPHFAAAGHNQQQDHHPPACSSASASDDDDDEPSGSATPPPSRHGLGIDLNLSISLAPYQPATSQEPDRPAAAVCLCLNRLGLFQDDAGGKGCICGAAASSIQQQQQQQGSAQRQHMFRFIAPLEGGQ* >Brasy2G406500.1.p pacid=40066183 transcript=Brasy2G406500.1 locus=Brasy2G406500 ID=Brasy2G406500.1.v1.1 annot-version=v1.1 MEGRGKTPLNAAEAVLKRPRSVASRKPRPKDQLASEYKDISCAASSRSISPDDDAGIEGSGHRRKELYLNGPEMRGSAEHRGDVSKKARREDRAGGDHDVGHNRSSKSKDSKHSSQGVLALACARNSGSPDNSQLPSGDTNVPAQNRVRKVKLKVSGLTRTIHTKPIQEAGEGGTPGTSDGSFHCDKQKDSGEQKHHSTNKDTQGIRIDGKHGDKHGISPPSDLVRKSKRVPKKRTLDSDDEDGELRYLEKLKAAKVAPEQTIATDYSVAYDYPEDGLRKKKLSKVSKNRSAPYEVDDDFTVSQSTRDGSKRLKLGDSDDFIEEEESGRDEPKEADSPSNVKIETPGLTTRQRALHGRGGHGESVIEFPDGLPTAPSRRQGQKEKRSEVEIQAKKAEVAQRRKMQVEKAEREQQTEAMRKILGIDTEKKKEERKQKEREDKEKQARFEEYKRSCIQCVMRPEGTVITFPEKMGLPSIFNSKPSSYPPPREKCAGPSCPNPYKYRDSKTKLPLCSLECYKAVQGSNRTLAC* >Brasy2G387300.1.p pacid=40066184 transcript=Brasy2G387300.1 locus=Brasy2G387300 ID=Brasy2G387300.1.v1.1 annot-version=v1.1 MDVPGEEKRRERRARWKQRQHKGAAGQHSAAVPAPVIALLADQPDLLTSMDAMRVVNDTTVNKHFPELTKTAKDLIQIHW* >Brasy2G179500.1.p pacid=40066185 transcript=Brasy2G179500.1 locus=Brasy2G179500 ID=Brasy2G179500.1.v1.1 annot-version=v1.1 MLSGDVPPNQTIYLRNLNEKIKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVAFSEITAATNAFRGLQDFDFYGKRMRVQYAKTMSDCFAKADGSYAPKEKRKKQEEKAAEKKRRTEDAQQPGPNAPVAPSNGTGYQASRFGKAPQEPPAPPNKILFIQNLPDQTTSMMLQLLFRQYPGFWEVRMIEAKPGIAFVEFEDESQSMVAMQALQGFKISPENPMAISYAKK* >Brasy2G121100.1.p pacid=40066186 transcript=Brasy2G121100.1 locus=Brasy2G121100 ID=Brasy2G121100.1.v1.1 annot-version=v1.1 MLGTKSGFPPSSPAAAAAEPNPKRRREGEAEADMREEAVERLRGVVRDSVGKHLYTSAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHVLNNSRLLRDLRFRYLAAKCLEELKEWHQCLIMLGDAKVDEHGNVVDQVDGSDIYFDKDAEDHEINIKSAICFLRGKAYEALHNRDLARQWYKAAVKVDPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYVVEAKFKELERESCSISSSSSGQMMKNNIDVLACKAEYYHQSGEYQKCFELTSVLLERDPFHLKCTLVHLAATMELGHSNDLYLLSCNLVKDYPQKALSWFAVGCYYYCIMKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFMQARSICPSDPLIFNELGVVAYNMKEYQNAVQWFELTLDHTSSSLNEMWEPTLVNLGHALRKLKKYEKAISYYEKALTFPIKSLSAFSGLAYSYQLMDNFEAAITYYHKALWLKPDDQFCTDMLTLALETSCQSTARRK* >Brasy2G377400.1.p pacid=40066187 transcript=Brasy2G377400.1 locus=Brasy2G377400 ID=Brasy2G377400.1.v1.1 annot-version=v1.1 MPPPAPELDAFRDWASLPELPLEEVLRGLLPCLRSLYAFAGTCRPWRRLLRVAAAEVVLPRVPPLLLLCPAFRLVSFSPLVVSRPLSYRLPVPAGATFLSASRGHLILLRRGSFGCLHLVDALTGAELRSLQLPSPHFPYHYAALSPSHLLLFHSKHAFFSLPFPEYPTPNGRPDWIKHTLPRAASFVTTVLEFRGRVLGLTDRAQLLEFNLGATPPNQTVRILSTTGLPEATTFDRLQLGPHLVAAGNRLLLVLFMVGPKLGSMSSAGRVNKVGVFGLDMPQMMWEEVDNIGAYSLFVDCAGRSATACVDVGNCGVEENRVYFAAPRCHTWRAVPPGCEAPLNGEGGGLLSDEPMAHAPWPSQLSVYPRLFF* >Brasy2G087300.1.p pacid=40066188 transcript=Brasy2G087300.1 locus=Brasy2G087300 ID=Brasy2G087300.1.v1.1 annot-version=v1.1 SEGSKLVQIVRWFTYQPVAAFAFALESNVFGTPLVLVSGTLQSHPRISDEIERNKMTWIYKQKFTTDLKLSFKLMETVRCGITLHLSQSWRITS* >Brasy2G200300.1.p pacid=40066189 transcript=Brasy2G200300.1 locus=Brasy2G200300 ID=Brasy2G200300.1.v1.1 annot-version=v1.1 MPPKRACRVALLAAAAAYLLFLLLFELPSVSVAPAGHRPHPRRRELEALRSSSAAPFRPDKRTFPSSHAPRRSRLAVSSIRFRRPDNASSIEDSASSAFAAAGSLLPRLLSSSSSSSASPSPSPSASASCPATVSVPRDRLVASRGVAVELPCGMVVGSRVTVVARPTRREGSAASQFMVELLGTKTVQGEEPPRILHFNPRISGDFSGRPVIELNTCYRMQWALPQRCEGWASRPDEDKVDGKLKCEKWIRRDDGTKSEEESGMKWWLNSLIGRPNKVSDDRAYPFAEGKPFVLTITAGLEGYHVNVDGRHVASFPYRTGYNLEDATGLSLNGDLDIESIFAAHLPKSHPSFDPHRYLEMSEQWKASPLPTEPVELFIGIISAANHFAERMAVRKSWMIAARKSSNTVARFFVALNGKKEVNEELRKEAEFFGDIVLVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVQNGGSMYVGNINYYHRPLRSGKWAVTYEEWEEEAYPPYANGPGYVISSDIAQYIVSEFDNQILRLFKMEDVSMGMWVEKFNRTRRPVQYSHDVRFYQSGCFDGYYTAHYQSPQHMICLWRKLQSGSAQCCNSR* >Brasy2G434200.1.p pacid=40066190 transcript=Brasy2G434200.1 locus=Brasy2G434200 ID=Brasy2G434200.1.v1.1 annot-version=v1.1 MDAGEQSATAAGATAEMDLGSSHGSGVPSFEFAFNSANFSDRSLRIEVVASDDAGRGSAADCARHGEEKGDKGQSIDSSSTDVCTPVLREKTIYINSAILAARSPFFLKLFSNGMKESDQTHPILRIVESEENALMEFLSFMYSGKVTATEPTLLLDILMAADKFQVVSCMRQCSQLLTSLPMTTESALLYLDYPCSISMAGEVQALRDAAKEFLANKYKDLAKFRKEVMNLPLAGIEAIFASSDLQVESENFVYDFLLKWACAQYPIFEDRHKIFCSRLLPLVRFKHMSLMKLREVLKCVDNNIDHEEVTKCITDALLYEAYPSSQQSILAADAAICCQFAERAYKYKPVKVVVFDQPCPQAIVYMDLKHNEYSRLFPSGEIYSCPFHLAGWTFHLKATCEVNEEDNQEYSFGFYLVVQKKPRNATCLMVDLEFAARISWSGKFGYGLESDYTLTEGTVGYNNFFDTPWPSFVADDSLFINGVLHLRADLRVAEEQPELET* >Brasy2G434200.2.p pacid=40066191 transcript=Brasy2G434200.2 locus=Brasy2G434200 ID=Brasy2G434200.2.v1.1 annot-version=v1.1 MQACSTAGAAGDKGQSIDSSSTDVCTPVLREKTIYINSAILAARSPFFLKLFSNGMKESDQTHPILRIVESEENALMEFLSFMYSGKVTATEPTLLLDILMAADKFQVVSCMRQCSQLLTSLPMTTESALLYLDYPCSISMAGEVQALRDAAKEFLANKYKDLAKFRKEVMNLPLAGIEAIFASSDLQVESENFVYDFLLKWACAQYPIFEDRHKIFCSRLLPLVRFKHMSLMKLREVLKCVDNNIDHEEVTKCITDALLYEAYPSSQQSILAADAAICCQFAERAYKYKPVKVVVFDQPCPQAIVYMDLKHNEYSRLFPSGEIYSCPFHLAGWTFHLKATCEVNEEDNQEYSFGFYLVVQKKPRNATCLMVDLEFAARISWSGKFGYGLESDYTLTEGTVGYNNFFDTPWPSFVADDSLFINGVLHLRADLRVAEEQPELET* >Brasy2G434200.3.p pacid=40066192 transcript=Brasy2G434200.3 locus=Brasy2G434200 ID=Brasy2G434200.3.v1.1 annot-version=v1.1 MKESDQTHPILRIVESEENALMEFLSFMYSGKVTATEPTLLLDILMAADKFQVVSCMRQCSQLLTSLPMTTESALLYLDYPCSISMAGEVQALRDAAKEFLANKYKDLAKFRKEVMNLPLAGIEAIFASSDLQVESENFVYDFLLKWACAQYPIFEDRHKIFCSRLLPLVRFKHMSLMKLREVLKCVDNNIDHEEVTKCITDALLYEAYPSSQQSILAADAAICCQFAERAYKYKPVKVVVFDQPCPQAIVYMDLKHNEYSRLFPSGEIYSCPFHLAGWTFHLKATCEVNEEDNQEYSFGFYLVVQKKPRNATCLMVDLEFAARISWSGKFGYGLESDYTLTEGTVGYNNFFDTPWPSFVADDSLFINGVLHLRADLRVAEEQPELET* >Brasy2G241300.1.p pacid=40066193 transcript=Brasy2G241300.1 locus=Brasy2G241300 ID=Brasy2G241300.1.v1.1 annot-version=v1.1 MDGSTTSNGDGGGGWMRPMDEEQLRECGHRMVDFIADYYKSIETYPVLSQVQPGYLKELLPDSAPNQPDTLDALFDDIREKIVPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWVAKMLKLPSEFLSAALGGGVIQGTASEAILVVLLSARDRTLRKHGKKSLEKLVVYASDQTHSALQKACQIAGIFPENIQIVKADRSMNYAVTPGAVSEAISIDLSAGLIPFFICATVGTTSSSAVDPLHELGQIAQAHDMWFHIDAAYAGSACICPEYRKYLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRNYLIQALSTNPEFLKNKASQENSVIDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIQLAQHFEQLVISDSRFEVVTPRKFSLVCFCLVPPTSEVDNGHKLNYDLMDSANSSGKIFISHTVLSGKFVLRFAVGAPLTEEQHVDAAWKLLQDEATKLLASVVV* >Brasy2G241300.3.p pacid=40066194 transcript=Brasy2G241300.3 locus=Brasy2G241300 ID=Brasy2G241300.3.v1.1 annot-version=v1.1 MLSAAFNIVGFSWITSPAATELEVIVLDWVAKMLKLPSEFLSAALGGGVIQGTASEAILVVLLSARDRTLRKHGKKSLEKLVVYASDQTHSALQKACQIAGIFPENIQIVKADRSMNYAVTPGAVSEAISIDLSAGLIPFFICATVGTTSSSAVDPLHELGQIAQAHDMWFHIDAAYAGSACICPEYRKYLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRNYLIQALSTNPEFLKNKASQENSVIDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIQLAQHFEQLVISDSRFEVVTPRKFSLVCFCLVPPTSEVDNGHKLNYDLMDSANSSGKIFISHTVLSGKFVLRFAVGAPLTEEQHVDAAWKLLQDEATKLLASVVV* >Brasy2G241300.2.p pacid=40066195 transcript=Brasy2G241300.2 locus=Brasy2G241300 ID=Brasy2G241300.2.v1.1 annot-version=v1.1 MDGSTTSNGDGGGGWMRPMDEEQLRECGHRMVDFIADYYKSIETYPVLSQVQPGYLKELLPDSAPNQPDTLDALFDDIREKIVPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWVAKMLKLPSEFLSAALGGGVIQGTASEAILVVLLSARDRTLRKHGKKSLEKLVVYASDQTHSALQKACQIAGIFPENIQIVKADRSMNYAVTPGAVSEAISIDLSAGLIPFFICATVGTTSSSAVDPLHELGQIAQAHDMWFHIDAAYAGSACICPEYRKYLNGVEEADSFNMNAHKWFLTNFDCSLLWVKTLTSHITNRIGIISYKHCLQIQSFLRIRLPKKILLLTSKIGRFHLAVVLDHLSYGWY* >Brasy2G241300.4.p pacid=40066196 transcript=Brasy2G241300.4 locus=Brasy2G241300 ID=Brasy2G241300.4.v1.1 annot-version=v1.1 MLSAAFNIVGFSWITSPAATELEVIVLDWVAKMLKLPSEFLSAALGGGVIQGTASEAILVVLLSARDRTLRKHGKKSLEKLVVYASDQTHSALQKACQIAGIFPENIQIVKADRSMNYAVTPGAVSEAISIDLSAGLIPFFICATVGTTSSSAVDPLHELGQIAQAHDMWFHIDAAYAGSACICPEYRKYLNGVEEADSFNMNAHKWFLTNFDCSLLWVKTLTSHITNRIGIISYKHCLQIQSFLRIRLPKKILLLTSKIGRFHLAVVLDHLSYGWY* >Brasy2G107800.1.p pacid=40066197 transcript=Brasy2G107800.1 locus=Brasy2G107800 ID=Brasy2G107800.1.v1.1 annot-version=v1.1 MESSPEGFVPRAHQAPIKCPSAAEDPDQQPTPTVSSAEFLEFKKKAAMIVEEYFSTDDVGATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFSKLTESCDDLSVDIPDAVDILAVFVARAVVDDILPPAFLAKQLPCLPDGSKGAEVIHRADKSYLSVPHHGEIILQRWGGIKSITVEEAKAKIADILEEYLAAGDTAEAFRCIRDLNVPFFHHDVVKRALVLAVERGGAAEGLILDLLKAASDEGVINESQMIKGFNRLIDSVDDLTLDVPNARCLLKSIILKASSEGWLCASSLKPLGPEPKKKAAVDDTVVRNFKAKALSVIQEYFLTGDIIESVSSLQAENKSCASSFNAVFVKKLVSAAMDRKNREKEMASVLLSALSMPPEDVVAGFHLLIDSAEDAALDNPAIVEDLAMFFARSVVDEVIAPSDLEALEEEAGRRKAASSPGMLALRNAHALLGAKLSAERILRCWGGGGSGKAGWELDEVKDKIGKLLQEYDCGGGVREACRCIKELGMPFFHHEVVKKALVAIIEKRGKDERLWGLLSECYGRGLITPNQMTKGFQRVADCVDDLELDVPDAGEQLGRCVERAKEGGWLDASFSVTKPGLQVPDGAIGVCS* >Brasy2G137800.1.p pacid=40066198 transcript=Brasy2G137800.1 locus=Brasy2G137800 ID=Brasy2G137800.1.v1.1 annot-version=v1.1 MEDEYEDEYYDEDEYEEGSGAVEEEDEPPEGQQEFLQVRERLKEQIRRRAQGAGASTAGRSSSSHDRRPPANFGSFFGPSKPVISKRVIEERKSMKELQNTVPRERRPPGKDIPSSSKVQAKTNGFHQKQKFINEAKKKAEALKDNRDYSFLLSDDADIPSPKETPAARSALTQKSDRQLMHSAVKGRTPTSHPARLPNRNGLNSTSSAPRHAESKRKEAFPNRERASSLDNGRMHSAVRNGSSLSTSKAVGQKFLSKGPTTSKPAMKDVNEQSLRKNHLASKHILSPNERPQPSQSQRVQSGSYGQRAQQSSQSQRPQQSSQSQRPQQLSQRPQQSSHSQRPLQSSRPDRPQQLPQSQRPHQSLQRQRPQQSSQLQSSQSQRPQSQSYRPELLQRQRPLSSQGHYPEQRRVQVNDRVKQVERQARPSSKSMPSRQASANGIRDDRAKKKQLAKRRFDDDIEDEEDPMAMIRSMFRYDPRKYAGRDDDDSDMEADFATIEMEEKRSAKIAKQEDEEQLRLIEEEERREQERKRRRVGNGR* >Brasy2G137800.2.p pacid=40066199 transcript=Brasy2G137800.2 locus=Brasy2G137800 ID=Brasy2G137800.2.v1.1 annot-version=v1.1 MEDEYEDEYYDEDEYEEGSGAVEEEDEPPEGQQEFLQVRERLKEQIRRRAQGAGASTAGRSSSSHDRRPPANFGSFFGPSKPVISKRVIEERKSMKELQNTVPRERRPPGKDIPSSSKVQAKTNGFHQKQKFINEAKKKAEALKDNRDYSFLLSDDADIPSPKETPAARSALTQKSDRQLMHSAVKGRTPTSHPARLPNRNGLNSTSSAPRHAESKRKEAFPNRERASSLDNGRMHSAVRNGSSLSTSKAVGQKFLSKGPTTSKPAMKDVNEQSLRKNHLASKHILSPNERPQPSQSQRVQSGSYGQRAQQSSQSQRPQQSSQSQRPQQLSQRPQQSSHSQRPLQSSRPDRPQQLPQSQRPHQSLQRQRPQQSSQLQSSQSQRPQSQSYRPELLQRQRPLSSQGHYPEQRRVQVNDRVKQVERQARPSSKSMPSRQASANGIRDDRAKKKQLAKRRFDDDIEDEEDPMAMIRSMFRYDPRKYAGRDDDDSDMEADFATIEMEEKRSAKIAKQEDEEQLRLIEEEERREQERKRRRVGNGR* >Brasy2G116300.1.p pacid=40066200 transcript=Brasy2G116300.1 locus=Brasy2G116300 ID=Brasy2G116300.1.v1.1 annot-version=v1.1 MAQPPHVLLIPYPAQGHVTPFTALASALLQARGGAIHVTFVHTDHNRRRLLRSHGPFALSPSSPNNDGRLRFETIPDGLPPSDDDATQDIWRLAEATRARPTSGTSSGDSTRKRAAAAGRGKEMRLPAYLFFTQSACGLLGYLHFGQLVQRGLVPFKDESCFSDGYLDTAVDWIPGMAGGLRLRDLPTFIRTTDPDDVMLAVNIKRCELDAPAAHGILLNTFALGPLASPSPPYPFASSSLWKEDARCGAWLDAQRAGSVVYVNFGSITVLTKAQVSELAWGLAGAGFPFLWVLRPDMVIRDADDQDKVLPLLPEGFEKEVAAGTGRGLVVGWCEQEAVLRHGATGLFVSHCGWNSTVESVRAGVPMLCWPYFSEQVTNCRYACGEDEWGVGVELREVRRGEVEDAVREMTGDGERAAEWKEKAARAVADGGSSRRDLERFLDEIAPPARAASV* >Brasy2G161700.1.p pacid=40066201 transcript=Brasy2G161700.1 locus=Brasy2G161700 ID=Brasy2G161700.1.v1.1 annot-version=v1.1 MAQKEANGNGATTRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEPLLLEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEALTVQKPGTQTRSFCYVADMVNGLMKLMNGDNTGPINIGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLDWEPKVVLRDGLVLMEDDFRERLAVPKKSKA* >Brasy2G429700.1.p pacid=40066202 transcript=Brasy2G429700.1 locus=Brasy2G429700 ID=Brasy2G429700.1.v1.1 annot-version=v1.1 MEGLQRRPAAAAANARAQQAPPAIHCDVEPAPRPWPGMQMLAIAAVLVLGGLQFLPATHFRHPADPSRSWVPFDPSRHPLDLSGRIEIFSWISCLDLRTLAVLTNSTLSSSSEPHDVYFNFLIPEGGNDKLPFYKIKSVLPDSNITVTSQKQIKDKLNVATPEGNFFWSFHNELSSIIIATTQLSQKRYVYISADSVIKGKIEDLARINLGIYAIGAAEDCSKRVGDYTNMDVLNAVHRTAPKGLASTEPYNKDACLLDIDVLVVEPHNLKRNKIDAIKVWVTGLSLANPRDGIQLAITLAFYDNYLKLPSSWKRGNANADILNYDGPKNVCSADGRQHQEQGSGETWRQYLSEKSDAMLST* >Brasy2G370300.1.p pacid=40066203 transcript=Brasy2G370300.1 locus=Brasy2G370300 ID=Brasy2G370300.1.v1.1 annot-version=v1.1 MRSDDLVLVRRIEKAAGSTNDSRYRTWAQSDLEKQMFSWSLQEVLNRNLFKKKVKKVPITFTSLKEYTGSFTVPLIEETRADLSLALEGIKHAPATEVVKIDELSSDSEQSIFSILVRKADPKTNPGEVYALKDADIFLLTDQKPRHISQLGSSNMPYMIASVLKAEDANGRAIVRLPVEERGKRGELVLPLVAVFLINMTTYSRTWNALAVYDASVRNTSIIGQIVNYAQRGGQEGSSELPLHLADGALGLENFKLNKSQRLAVLDCVSAMEQPDTCQVRLIWGPPGTGKTKTISTILWSMMIKNHRTLTCAPTNTAVVEVASRVLSLLEDPSGGSSGKTCFLSDVVLFGNEDRMNVDQSLQKIFLEKRVRRLQECLTPGAGWTNCLSSMVRILEEPLVQYASYVRQIELENEALARKEKAKAKEELAKKRIQIKSFRDYFLSNYKRVQNDLCNCINTFCNDLPRSATSEENFRYMTEALHLLEEFGRLVQPEPDKNLQTLFRDTPDGGISSLFQNMLTGISSLFQNMLTYTQDSVRAELKQARSLCIEKLNYLSDNFKLPEVYEKRSIEDFLLRSCKSVLCTASSSSRLHHLQKAEPFDLLVVDEAAQLKECDSLIPLQIPGIRLAVLIGDEYQLPALVKSKVCEDAYFGRSLFERLSSLGHPKHLLDVQYRMHPGISRFPVSSFYGSRISDGENVLKRDYERKHLTGPMYGSYSFINIEGGKESTGKFDKSLVNTIEVAAVTRIVQRLFKECVETRRKVSVGVVSPYKGQVRAIQQKLGKTYEMHGGFSVKVRSVDGFQGGEEDVIIFSTVRSNATGSVGFLNNVNRTNVALTRAKHCLWILGNATTLASSKTIWQKIVADAKDRGCFFNANDDNDLSGAIIKAVIELDEVENVLNMDALRIGGGSRSGKSREKYI* >Brasy2G370300.2.p pacid=40066204 transcript=Brasy2G370300.2 locus=Brasy2G370300 ID=Brasy2G370300.2.v1.1 annot-version=v1.1 MRSDDLVLVRRIEKAAGSTNDSRYRTWAQSDLEKQMFSWSLQEVLNRNLFKKKEYTGSFTVPLIEETRADLSLALEGIKHAPATEVVKIDELSSDSEQSIFSILVRKADPKTNPGEVYALKDADIFLLTDQKPRHISQLGSSNMPYMIASVLKAEDANGRAIVRLPVEERGKRGELVLPLVAVFLINMTTYSRTWNALAVYDASVRNTSIIGQIVNYAQRGGQEGSSELPLHLADGALGLENFKLNKSQRLAVLDCVSAMEQPDTCQVRLIWGPPGTGKTKTISTILWSMMIKNHRTLTCAPTNTAVVEVASRVLSLLEDPSGGSSGKTCFLSDVVLFGNEDRMNVDQSLQKIFLEKRVRRLQECLTPGAGWTNCLSSMVRILEEPLVQYASYVRQIELENEALARKEKAKAKEELAKKRIQIKSFRDYFLSNYKRVQNDLCNCINTFCNDLPRSATSEENFRYMTEALHLLEEFGRLVQPEPDKNLQTLFRDTPDGGISSLFQNMLTGISSLFQNMLTYTQDSVRAELKQARSLCIEKLNYLSDNFKLPEVYEKRSIEDFLLRSCKSVLCTASSSSRLHHLQKAEPFDLLVVDEAAQLKECDSLIPLQIPGIRLAVLIGDEYQLPALVKSKVCEDAYFGRSLFERLSSLGHPKHLLDVQYRMHPGISRFPVSSFYGSRISDGENVLKRDYERKHLTGPMYGSYSFINIEGGKESTGKFDKSLVNTIEVAAVTRIVQRLFKECVETRRKVSVGVVSPYKGQVRAIQQKLGKTYEMHGGFSVKVRSVDGFQGGEEDVIIFSTVRSNATGSVGFLNNVNRTNVALTRAKHCLWILGNATTLASSKTIWQKIVADAKDRGCFFNANDDNDLSGAIIKAVIELDEVENVLNMDALRIGGGSRSGKSREKYI* >Brasy2G430300.1.p pacid=40066205 transcript=Brasy2G430300.1 locus=Brasy2G430300 ID=Brasy2G430300.1.v1.1 annot-version=v1.1 MDQTAAAAMSGGDGASTSEDQLRASRRRLRERVSSTRNGAPLADVVREHALVHLTPAAAARLRLVHPAWARRLASPLFAVAHAATPRRMSGLFVPTSGFLPFDSNADAVPSRTLSFAPASPGSITVLSSSHGLACCFSPADDAYFLCNPATASWAPVPCPPCRPTWPRPAMIVLFDATPYNFRGDYALVCAAEVAPGAGAYCFQVFTSATGAWRVHDAIAPAEGLVAASGVATGAGGMKTAWWRTSVGTAVGYTPATGRVELVLCPGDSGMWEIGSAGGKLHCAVRDGTDVAVFRLTDEQGISGWEEVARVSVTELLPSRQVKLSESEGSGDDELVSTTSVAVVEKDVRRLDDGVRLLGFQGGAAWPEVVVLAGRRLVAFDTGTRRRREVTVPAPEEEEEEEQEEEPRLWDGLEYAVHTNTLALVAPSVLAGEPMLVDESLTAPPDEAEMVAFS* >Brasy2G033200.1.p pacid=40066206 transcript=Brasy2G033200.1 locus=Brasy2G033200 ID=Brasy2G033200.1.v1.1 annot-version=v1.1 MGLGWRGLLLILPLLGFVAAAAAASEGDADPVYRSCVEKCQRTGLLKEHSVKHCVVPTDDQPADKSWYAHEPLYLQWKEWNCNSECRYHCMMEREKEREELRLGPVKYHGKWPLKRASVFQEPLSAALSALTLLVQFNGWLSFFLLLSYKLPLRPETHETYYEYTGLWHIYGLLAMNSWFWSAIYHSCDTEWTEKLYFSSSAAFLGYSLILAILRTANLRDEASRVMVAAPILAFVTTHILYLNFYDLNKGLNTKVCTVISIAQLLLWALWSAITRHPSRLKIMFVAIGGVLSVFLEAYDVPPRWGYVDGHATCLAMAIPLSYIWWSFAKEDAEMRTSAIMKKKR* >Brasy2G112500.1.p pacid=40066207 transcript=Brasy2G112500.1 locus=Brasy2G112500 ID=Brasy2G112500.1.v1.1 annot-version=v1.1 MAPTPPTRPRLSPTPMPPHSSPDSLLAHRTGLSSPYLAGVAPTPGRRPPCSPPPPPLGAARTPPSPHRTFIPHTHQVN* >Brasy2G193900.1.p pacid=40066208 transcript=Brasy2G193900.1 locus=Brasy2G193900 ID=Brasy2G193900.1.v1.1 annot-version=v1.1 MGCPPGQAGPGPALRSQIPQSCAALLARRPPSRTQPPVPVCVLCFPPRNGGHRGTGPLERFTPICLFRVDRVFRRTVLGHRYFL* >Brasy2G055900.1.p pacid=40066209 transcript=Brasy2G055900.1 locus=Brasy2G055900 ID=Brasy2G055900.1.v1.1 annot-version=v1.1 MASVLLLGVAIFMLPLASISAQQAPGCQTNCGDVKIPYPFGIRTNCAIKSGFTINCNKKADGTEKPFIGNVEVVEISLPHAQTRALNYISTYCFNHTTRSMGEKRWSLDFSTWPYRFSEVHNKFIVIGCNTLAYIYNSNNRTGYTTACASVCATPGAVTNGSCSGIGCCQNDIPKGLSRYDVKFYTVYNDDSDSWRFNPCSYAALVETEKFSFSSDYITTKRFNDSYEGRQPLVLDWVIGNESCEVARNMTSYACLSSNSVCVDSNNGQDVNECEKYTSPCPKAAACHNRIGDYRCSCPPAESTCVAFVILVIIVFCVRVIFERRKLADVKKQYFQQHGGLLLFEKMKSDQGLAFTVFTEAEVEQATNKFDKGQILGHGGHGTVYKGIINGITPVAIKRCINHKNIVKLLGCCLEVEVPMLVYEFIPKGTLFDLLHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKSSKSFLMIITWLRYPTLGSILVPTDEAQFVTMVQGTCGYLDPEYMQSCRLTDKSDVYSFGVVLLEILTGKVPLKLEGSELERSLSLCFLLAMKENNLEAMLDMLGYCGDNRPSMKEVSMELSRLRKLSKHPWIQCGAETESFLAGPSTSSFEIEQSSEYTRKGEQMPINPSSSYLIR* >Brasy2G055900.2.p pacid=40066210 transcript=Brasy2G055900.2 locus=Brasy2G055900 ID=Brasy2G055900.2.v1.1 annot-version=v1.1 MASVLLLGVAIFMLPLASISAQQAPGCQTNCGDVKIPYPFGIRTNCAIKSGFTINCNKKADGTEKPFIGNVEVVEISLPHAQTRALNYISTYCFNHTTRSMGEKRWSLDFSTWPYRFSEVHNKFIVIGCNTLAYIYNSNNRTGYTTACASVCATPGAVTNGSCSGIGCCQNDIPKGLSRYDVKFYTVYNDDSDSWRFNPCSYAALVETEKFSFSSDYITTKRFNDSYEGRQPLVLDWVIGNESCEVARNMTSYACLSSNSVCVDSNNGQDVNECEKYTSPCPKAAACHNRIGDYRCSCPPAESTCVAFVILVIIVFCVRVIFERRKLADVKKQYFQQHGGLLLFEKMKSDQGLAFTVFTEAEVEQATNKFDKGQILGHGGHGTVYKGIINGITPVAIKRCINHKNIVKLLGCCLEVEVPMLVYEFIPKGTLFDLLHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKSSKSFLMIITWLRYPTLGSILVPTDEAQFVTMVQGTCGYLDPEYMQSCRLTDKSDVYSFGVVLLEILTGKVPLKLEGSELERSLSLCFLLAMKENNLEAMLDMLGYCGDNRPSMKEVSMELSRLRKLSKHPWIQCGAETESFLAGPSTSSFEIEQSSEYTRKGEQMPINPSSSYLIR* >Brasy2G005400.1.p pacid=40066211 transcript=Brasy2G005400.1 locus=Brasy2G005400 ID=Brasy2G005400.1.v1.1 annot-version=v1.1 MEIWNDRSMDLPSSCDSRVFDFGKLGGRRPGIIGEEEEDLTRVSRIYRWPFLQAVREKLRTLSLSLWLFAFSSPGFFVLG* >Brasy2G095500.1.p pacid=40066212 transcript=Brasy2G095500.1 locus=Brasy2G095500 ID=Brasy2G095500.1.v1.1 annot-version=v1.1 MADEVWDDTQNYIPIGTQPKQNELGTPPIRANNKRTKNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPVGSDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKKSSSNASPSMSTPGTESIHIDESDATSPAKADHRRRPIGKKAEKERQRRGKNVTSTDDSTVVMALDLVFSKRTAVEEAREMERQAREEAREMARQAREEAREAGKKERYVGVLAIEREKFELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy2G354800.1.p pacid=40066213 transcript=Brasy2G354800.1 locus=Brasy2G354800 ID=Brasy2G354800.1.v1.1 annot-version=v1.1 MLISPTLAAPAASHPSSFPTAGILSCRSLGSLVQSTATTRYYRGRSRQLLYSASVGAASIPAGITSNIPSGDTGRRLDRDELARLCQEPDPEGALNLLDEMLHRRGDVGGASGQLSPEEQVAVLQCCADARSLPSLRRAHRLLFGSRSRSTITAPLLHRIATLYCKLGAPGDARRVLKEAARPPSRRKADEARTKRQEAYEKVRKLHEEIRAAGYVPDTRFVLHDIDEGAKVQALMYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIIVRDNKRFHHFKDGACSCGDYW* >Brasy2G420200.1.p pacid=40066214 transcript=Brasy2G420200.1 locus=Brasy2G420200 ID=Brasy2G420200.1.v1.1 annot-version=v1.1 MSISSAGADVYGGSHLLQVHGYSIARDCAPDGMSIKSNPFTVGRRRWELSVFPNGDLTAESDGFISVSLTFLGDAAVTDPDAEAWPVKLRAEFSFADEANKQGPEHVWTRQTVGLPGAGFGVGYPRFIQQEAFEASRHLDKDGDCFTVRCDLLVIDEIEPEEMIDDENGTRYRRPNVLRCVACKSRPTGIVPKPEGLHICACRLCRQHAYELGSGPSLATQCAGCHDYYLPGADGLASYPPCPYPVLFRPPPTTG* >Brasy2G472600.1.p pacid=40066215 transcript=Brasy2G472600.1 locus=Brasy2G472600 ID=Brasy2G472600.1.v1.1 annot-version=v1.1 MPPLPLHLLPSPASLSSSSLRLGVSALSSSHRRFLAPTAPGTASASRGLAAMSWLGKLGLGGGGSPRASDAASAALAQGPDEDRPAAPGNEFAQFGAGCFWGVELVFQRVPGVTRTEVGYSQGAVHDPAYEDVCTGATGHNEVVRVQYDPAACKYDDLLDTFWAKHDPTTPNRQGNDVGSQYRSGIYYYTPEQEKTARESLERQQKVLNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSADKGCNDPIRCYG* >Brasy2G091100.1.p pacid=40066216 transcript=Brasy2G091100.1 locus=Brasy2G091100 ID=Brasy2G091100.1.v1.1 annot-version=v1.1 MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKDGIAPTRPTYNTLVSAYARLGWIKQATKVVEAMTANGFEPDLWTYNVLAAGLCQAGKVDEAFRLKDEMDRLGTLLPDVVTYNTLADACFKWRCSSDALRLLEEMHEKGVKATLVTHNIVIKGLCKDGELEEALGCLEKMADDGLAPDVITYNTLIHAHCKAGNIAKAYTLMDEMVRRGLKLDTFTLNTVLYNLCKEKRYEDAQGLLQSPPQRGFMPDEVSYGTVMAAYFKEYNSEPALRLWDEMIEKKLTPSISTYNTLIKGLSRMGRLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQTMCKDGDVDTALHFFADMEVRGLQPDAFTYNVVLSALSEAGRTEEAQNMLHKLAESGTLSQRFSSPLLKPSSVDEAESGKDAKTEEETAENPQDSASEAYTKLVNGLCTSGQFKEAKAILDEMMQKGMSVDSSTYITLMEGLIKRQKRLTHAVG* >Brasy2G091100.3.p pacid=40066217 transcript=Brasy2G091100.3 locus=Brasy2G091100 ID=Brasy2G091100.3.v1.1 annot-version=v1.1 MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKDGIAPTRPTYNTLVSAYARLGWIKQATKVVEAMTANGFEPDLWTYNVLAAGLCQAGKVDEAFRLKDEMDRLGTLLPDVVTYNTLADACFKWRCSSDALRLLEEMHEKGVKATLVTHNIVIKGLCKDGELEEALGCLEKMADDGLAPDVITYNTLIHAHCKAGNIAKAYTLMDEMVRRGLKLDTFTLNTVLYNLCKEKRYEDAQGLLQSPPQRGFMPDEVSYGTVMAAYFKEYNSEPALRLWDEMIEKKLTPSISTYNTLIKGLSRMGRLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQTMCKDGDVDTALHFFADMEVRGLQPDAFTYNVVLSALSEAGRTEEAQNMLHKLAESGTLSQRFSSPLLKPSSVDEAESGKDAKTEEETAENPQDSASEAYTKLVNGLCTSGQFKEAKAILDEMMQKGMSVDSSTYITLMEGLIKRQKRLTHAVG* >Brasy2G091100.2.p pacid=40066218 transcript=Brasy2G091100.2 locus=Brasy2G091100 ID=Brasy2G091100.2.v1.1 annot-version=v1.1 MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKDGIAPTRPTYNTLVSAYARLGWIKQATKVVEAMTANGFEPDLWTYNVLAAGLCQAGKVDEAFRLKDEMDRLGTLLPDVVTYNTLADACFKWRCSSDALRLLEEMHEKGVKATLVTHNIVIKGLCKDGELEEALGCLEKMADDGLAPDVITYNTLIHAHCKAGNIAKAYTLMDEMVRRGLKLDTFTLNTVLYNLCKEKRYEDAQGLLQSPPQRGFMPDEVSYGTVMAAYFKEYNSEPALRLWDEMIEKKLTPSISTYNTLIKGLSRMGRLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQTMCKDGDVDTALHFFADMEVRGLQPDAFTYNVVLSALSEAGRTEEAQNMLHKLAESGTLSQRFSSPLLKPSSVDEAESGKDAKTEEETAENPQDSASEAYTKLVNGLCTSGQFKEAKAILDEMMQKGMSVDSSTYITLMEGLIKRQKRLTHAVG* >Brasy2G233300.1.p pacid=40066219 transcript=Brasy2G233300.1 locus=Brasy2G233300 ID=Brasy2G233300.1.v1.1 annot-version=v1.1 MLTLLVHLLDCLSAAPVMVASCLPGQATALLRLRRSFTVTNGSACTLASWRAGTDCCQWEGIRCRDANGRVTSLHLGECGFESAALDPSLFDLTSLRHLNLACNHFNGSKLPAIGFERLTELTHLNLSSSGFAGRIPVGIGRLANLVSLDLSTTSYLQDSLYRLCGRWSNPSWWLVEPNIGSLVANLSNLRVLNLGRVDLSGNGAEWCDAFANSSTPQLQVLNLRHCNLFGPICASLSSIHSLTEVNLQYNGLFGPIPKFFADLPSLSVLRLTDNNLEGLFPARTFHNRNLTTVDIRYNFKVSGSLPDFSSDSSLTNLLVSSTNFSGPIPSSIGNLKSLSRLGVAATDFAHELPSSIGELTSLISLEVSGAGLVGEVPSWIANLTSLVCLEFSNCGLSGQVPSSISHLKNLTWLALHNCNFSGPVPPHLLNLTQLDTLYLHSNNFIGTLELSSFWKLPHLTSLSLSHNKLSVVDGEDISSWLPVKYMDNLRLASCNISKFPRVLRNMHQISYLDLSENQIHGAIPHWTWENWKVVAYLNLSHNKLNSIGYDFVLPNDIALLDISSNLLEGPMPIPGPDTELFDCSNNRFSSIPFNFGSRLSSISYLKAFRNNLSGEIPKSICEARGLLFLDLSYNNLSGSIPSCLMEDINSLSVLNLKANQLQGELPHNIKQGCGFDGVDLSDNRIEGQLPRSLAACGSLQVLDVGNNQISDTFPCWMSMLPELQVLVLKSNHFFGKLGPTAVGKKENHCEFMKLRILSLASNNFSGALPNMWFKSLGSMTAKSADDTLSMQNHYSLLGQTYEFTTAITYKGSAVTFSKILKTLVVIDVSDNAFNGVIPKSIRELVLLCGLNMSNNGLTGPIPSQLGALHQLESLDLSSNDLSGEIPQELAWLDFLSMLNLSYNQLAGRIPGSPHFQTFSNVSFLGNVGLCGLPLSKECENTMKNVESHPSEKKQVDIILFLFVGLGYGVGFALSIVMTWGIYTTRKPLNIVIQS* >Brasy2G162600.1.p pacid=40066220 transcript=Brasy2G162600.1 locus=Brasy2G162600 ID=Brasy2G162600.1.v1.1 annot-version=v1.1 MILRPERTMERDGGRSMAAVLGNDNLLYQILIQSSTWTTRPPSSAAPSSPSSGSATPPTRPDFLCLFPGRELLGDYALLVLRRLRQRCVVDEDTPHIACFTPPASSFGDCIALQDGGW* >Brasy2G321600.1.p pacid=40066221 transcript=Brasy2G321600.1 locus=Brasy2G321600 ID=Brasy2G321600.1.v1.1 annot-version=v1.1 MKIAVEGCMHGELDKVYDTLRKLEEAEGVKIDLLICCGDFQAVRNESDLQCVNVPDKFRTMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNVRIGGLSGIHKQQHYYLGHHERPPYDEGSIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQNLIRDKRFFEEEVNNRTLGSEPAAKLLNKLKPPYWFSAHLHCKFPAIIQHGEDGPTTKFLALDKCLPGRNFLQVIDIPSNPGPYEIQYDEEWLAITRRFNSVFPLTRMRFTIRNEQLDIEDDRQWVRSKLNASGAKPFDFVQTAPPFDPSKPVSNPSLAVHCRNPQTESFLQFLELPYLLDSSHSGGFDRNVSSSQAGHPLDDDSIELPDEVEDDE* >Brasy2G291100.1.p pacid=40066222 transcript=Brasy2G291100.1 locus=Brasy2G291100 ID=Brasy2G291100.1.v1.1 annot-version=v1.1 MWRRVGIRLPRGASASEESLSVIRYMGPNPLTHWANGDPGTTQLRFGRSERWQWRGMAGGHLRNYQSPRGLSILPTSKVPTAHATRAQQHPHRSQAARASHGTAEL* >Brasy2G458500.1.p pacid=40066223 transcript=Brasy2G458500.1 locus=Brasy2G458500 ID=Brasy2G458500.1.v1.1 annot-version=v1.1 MEAVVSAGHGVLGPLLGKLGDLLAGKYGRIRGVRGQIQALQSELTSMHAALKSYTMLEDPDVQVKAWISLLRELAYDIEDCIDKFIRRIGKKGRRNGGFKEVFRDAARSLKTLGSRSGIADQIDELKTRIKHVKELKDSYKLSDTPCSTIDHTKVDPRLCALFAEEAHLVGIDGPIDDLAGWMLEEGNDTTMHHRKVLSIVGFGGLGKTTLANAVYRKIQGKFDCRAIVSVSQKPVIKKIIKDVIDQCQSGSKEDSYDWDERKSIAKLRELLQDKRYLIIIDDIWSTSAWDAIKYAFPENNCSSRIIVTTRIADVAKSCCLGIANRLYEMEALSDLHSRRLFFTRIFGTDNHCSDMLKEVSNEILKKCGGLPLAIISISSLLANRPAVKEEWEKVKRSIGSALEKNRSLEGMSSILSLSYNNLPSNLKTCLLYLSAFPEDYVIDRERLVRRWIAEGFISEERGQSQYEIAESYFYELINKSMVQAMGFEYDGKVHACRVHDMMLEIIIKKSAEDNFTTVVAGGQTSLANRHRFIRRLSIQHIDQELAYALENEDLSHVRSLTVTSPGCIKHLPVLAKFEALRVLDFEGCDDLEEYDMNGMDKLFQLKYLSLRYTDISKLPQRIVMLGDLETLDLRDTRVQELPSGIVRLIKLQHLLVENRIKIPDGIGDMRNLRVMPCFNATSSPADALQELGSLTSLDELKVCFECEESKEYKQHEEMLLSSLCKLGSYKLRSLEIIITGRSLEFLDPWSPLPFSLQTFRMRNFGYFKIFPKWIAPALTSLTFLEIGCIALTDEDMVTLGELPALVRLFLRSKTSEPDRIRFQGFPSLKLFCIYGAVASIFTFVEGAIPKLEDLHVPFNVSVAETYGCYLRIGHFTCLKKVSVWLYKEGATPSEGKAAAAAIRNEAGAHPNHPTVRIYIDMRVYEEDNEETGSSDEDESKADGDTEGK* >Brasy2G192600.1.p pacid=40066224 transcript=Brasy2G192600.1 locus=Brasy2G192600 ID=Brasy2G192600.1.v1.1 annot-version=v1.1 MDKLGVQHNNGISKPPVHHGKGGAKGKGGGGNKGIKVVYISSPMKLTASAEDFRAVVQELTGRDSNVADHDIGGVVPSSSSSSYSTSSSGYGSSFGRGASPSTGAARALPPAMASTADQQLYAAGGADTVPPPFLQSMYDQTGGAGALLYGQDYYW* >Brasy2G017200.1.p pacid=40066225 transcript=Brasy2G017200.1 locus=Brasy2G017200 ID=Brasy2G017200.1.v1.1 annot-version=v1.1 MAAVMDLDLNCSPPSPEPAPQDHHLKHAMLRQEHTFRHQVKDLHRLYWAQKNLTDMPFWMQSDDVLYARHSMDGSHVMDLDDRDNHPGVFGGSYELGKQAVGCGDEVAENLGVRGSVRRKLDHGGVQGRSAYRPVIDLEKPATLEDDDDDVEIVSSAWFSDYANRKGSTGSSETPDSHSPVKGKTTASGSMLIDLNVAQEDDFNVCPDPSKMFCSLLASSRAIQSGECCSNSSKAFHKGGESSIGSSKGSSVTVVTSISAPASAREVMASGLCDPQSSSKPSFVAASKHDVCLGGNIQHQHKLHNVSGMSSQGSMQIRCQVSSVRSSGGNSSSSGVHKLVDREQQGETFPVISDDEMEGIDLNVSLGSIELPSTMVSSFREKHVNADCSEKLPSSHYLTENDGGQNISSVACPTIRDHHMAASIDGKSARSQDSGIATNRSILIPETPQGHDYACPRLRSSNNGASNLLDTTSRHQADTEEDERIAAKAAETLVSLFTNNAWIADSYCSNNQAAQDRSDEPQISLDSFEEGVMNLEEMRDDGESVPVTVPDKDGPSCGIKLKRGRGMRDFQREILPGLVSLARHEICDDLHAIGYELRKTRSRRNPGDRCTPSTRSRLPRRCSTAWNQ* >Brasy2G471500.1.p pacid=40066226 transcript=Brasy2G471500.1 locus=Brasy2G471500 ID=Brasy2G471500.1.v1.1 annot-version=v1.1 MVVTEEAAAGRRKKKARPAPGARTEFRGIKRSGTTLHLGIFDTAHEAARGYDAAAARLHGAKAITNFKRRQSPPPTPAAAAPNDGEAMDFSGFPEVPAELPYGILQLP* >Brasy2G357000.1.p pacid=40066227 transcript=Brasy2G357000.1 locus=Brasy2G357000 ID=Brasy2G357000.1.v1.1 annot-version=v1.1 MRAVILPNSLKSEPTPTETTLKPSLSPPQVRFSAVFLFSVSCCPSPAARLSGVAMALEMRKLYVGGLPPSAHEEELKEHFARYGQVLCARVVRHRDTGYPRGFAFVEFADDEGPRAALNDKEKVNHVFGGRTVDVKRARVKPRYQTEQSFYQYNQNQSPGWYNQSSSNTNAGYAGYGSRSSDPNKVFIGGLRGNITKEDLKSYFEKFGTINDVVVICDGLTHKSRGFGFITFDSEASMLKVLENSYHDLNGTKVETKVAIPKDHSYYHHRQQNSPMNWAGNSPSYSYGVYPPQNLHYIVTNQNHYMVPYMYPPFATGEYGYMPNTGAPMVARQGGSIHRGYGAPFAYDYVGTDRNGVYSVDTGSESKTNQVMTNQQEVDSPTTNTSKQEPSDSVSTTLL* >Brasy2G068700.1.p pacid=40066228 transcript=Brasy2G068700.1 locus=Brasy2G068700 ID=Brasy2G068700.1.v1.1 annot-version=v1.1 MAALLRPGEAAWTLVERSLECEYGELCAAYHGGEILVTGEGGHWRVLNLDGDVLIPMPWTPREQKDHIYEYSHVLESRGELLWASVQMWRHYCCRPRREDDSLVPALSVWVHALEEAPEKKETTTRRWRWVRKDGRSLADRVLFLGWPNSFAVPVERLRGHGGGYAYFVHWGGLSTNWRNAVFRHNLVDGKNELVECLPHDQTCAWLVPQP* >Brasy2G493700.1.p pacid=40066229 transcript=Brasy2G493700.1 locus=Brasy2G493700 ID=Brasy2G493700.1.v1.1 annot-version=v1.1 MNKPEECAFCSENESAHHLFFGCVVSQCIWGVVSDCLHRQLGADLESIARLWISNERNGAVNSICATHVIWLGTNQILWSILRTVQKWRIIFKQEMLGQVEDFCTLLLSVLQAPSRLGWH* >Brasy2G484800.1.p pacid=40066230 transcript=Brasy2G484800.1 locus=Brasy2G484800 ID=Brasy2G484800.1.v1.1 annot-version=v1.1 MAALAAVGSAVFPAQRLQVVSKRRSTASFFPSIQLRAVAATKRFQLLACSAKQDTIERVCEIVKNQLAVEEGKIVSGESKFVDLGADSLDTVEIVMGLEEAFGITVDESSAQEIKTVEDAANLIDDLVKQKGA* >Brasy2G337500.1.p pacid=40066231 transcript=Brasy2G337500.1 locus=Brasy2G337500 ID=Brasy2G337500.1.v1.1 annot-version=v1.1 MTDVEVGKAAIRDALRSLRRRHQVEEGAHRPAIEALQRPFAAHSLEWKEKAEKNELELQQCYKAQSRLSEQLVAEIDEGKTSKAQLKENETLIKTLQSKNEETSEENLQLKQAVEEKTNALDLLIQEHQAAKAELEKALTKLKAVEHDNKELIKRCMEEKMASAERLNEANAMYEEMVLMQKAAGIGGIQHNAKQEADGIIRRSEAGYPETSIPSTCRITIRAHDGGCGSIIFQSNTDRLISGGQDQAVKIWSANTGALTSTLQGCLGSVNDLAVTNDDKFVVAACSSNKLFVWEISGGRPRHTLTGHTKNVSSVDASWVKSLIIASSSNDRTIKIWDLQTGFCKSTIMSASNPNSLAFIDGDIICSGHRDGNLRLHDTRSGKLLTTVAAHLDVSSVCVSRSKNLVLSTGRDNVHKLFDLRMGMHTMEICGTFRAPGNRVVGSWGRPCISPDENYMAAGSSDGSVYIWPISKDGAPTILEGHSLPVVSSAWCGFGPLATADKNHIYIWA* >Brasy2G156600.1.p pacid=40066232 transcript=Brasy2G156600.1 locus=Brasy2G156600 ID=Brasy2G156600.1.v1.1 annot-version=v1.1 MERHVAATAKLYAEMDSLTELEASERRMEQWRQHSGPIIPAQSANSKRQQEPSEKLVRELRLQRHKVRRLMEGSLWSVAPRKAAKLMAKSVLAVLARISVAFGASVPGLPLPPLAAGRASWALGHSSGPLHRSMATPADAAIRHSAPIFRPKDAALPASESLKPAATTVGGSGMELLYANVIVSAETLLKALRPAIRNEEVAQDGVELSMRDELYKMLPVTIRAAVKAKLRERRRERRQMDEEAAAAAMDAVESVLRWLGPMARDTQRWHDERSMERGQRFSMRPRAPMVQTLHFADRRKAEAAIVEVLVGLSCACWYDDERRRPAGWDE* >Brasy2G192500.1.p pacid=40066233 transcript=Brasy2G192500.1 locus=Brasy2G192500 ID=Brasy2G192500.1.v1.1 annot-version=v1.1 MERPRCQEKPNANLPHAAHQTPLLHGPDPTMSATEFLNPLTRSARSPGLSPIGASLSLPSDQPDRPPPPFFLLFGPLSLCAAVPTPPSAPPLHAAPAAGRSPPNPYTSRALPPLPAPPHPAADTCYRGGGSGP* >Brasy2G224200.1.p pacid=40066234 transcript=Brasy2G224200.1 locus=Brasy2G224200 ID=Brasy2G224200.1.v1.1 annot-version=v1.1 MAEPVKLIGAFGSPFVHRAEMALRLKGVPYELIQEDLNNKSELLLRHNPIHKSVPVLLHGDRPAVCESLLIVEYVDEAFPGPPLLPTDPHDRAMARFWAQFIEHKCARPFWMAVWMDDGEAREGFVAETKGNLALLEARLQGKKFFAGDAVGYLDFAACGLAHLLGGVIEEVAGVRLYGDGEFPALRRWAEDYASDDAVRACLPERALLVAHFAGKKDKIRMSLRAMMQHK* >Brasy2G463700.1.p pacid=40066235 transcript=Brasy2G463700.1 locus=Brasy2G463700 ID=Brasy2G463700.1.v1.1 annot-version=v1.1 MASLWDYLGFRSLRGASAHAAGRGGKVVGTGEHRMLSAGRRVSFVPATQEPDATGRATLYGESLADVALKGYTGNHPPSFLHTTCLTDCQVGGPVLHEGVGGLRLGRQRLQE* >Brasy2G323200.1.p pacid=40066236 transcript=Brasy2G323200.1 locus=Brasy2G323200 ID=Brasy2G323200.1.v1.1 annot-version=v1.1 MVLPTTLSRDSEARSAPPHNFQEEAEPPRPRRPPAFYSSVFAQIEEVGWGRLVTATGEDGVSCLAFRVMDDQGRQHLLEVTLPMNYPACPPSISADVPYLPKLQWSKFSRLKDVICQFQEHLKNLQNYWCTMDDIDKALWVVDPTKPSYAMSHRRIALGDDCYILLHVDAHKPSSLPECRFLGTDGKLERLIKNWRKNRKRWSADKKFHENLSTVLDFELPQPPSVSIKDDQQADCGICYATHLPIDDELGTDSGCATDYTCENSSCDRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKIAEH* >Brasy2G323200.2.p pacid=40066237 transcript=Brasy2G323200.2 locus=Brasy2G323200 ID=Brasy2G323200.2.v1.1 annot-version=v1.1 MVLPTTLSRDSEARSAPPHNFQEEAEPPRPRRPPAFYSSVFAQIEEVGWGRLVTATGEDGVSCLAFRVMDDQGRQHLLEVTLPMNYPACPPSISAWSKFSRLKDVICQFQEHLKNLQNYWCTMDDIDKALWVVDPTKPSYAMSHRRIALGDDCYILLHVDAHKPSSLPECRFLGTDGKLERLIKNWRKNRKRWSADKKFHENLSTVLDFELPQPPSVSIKDDQQADCGICYATHLPIDDELGTDSGCATDYTCENSSCDRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKIAEH* >Brasy2G323200.3.p pacid=40066238 transcript=Brasy2G323200.3 locus=Brasy2G323200 ID=Brasy2G323200.3.v1.1 annot-version=v1.1 MNYPACPPSISADVPYLPKLQWSKFSRLKDVICQFQEHLKNLQNYWCTMDDIDKALWVVDPTKPSYAMSHRRIALGDDCYILLHVDAHKPSSLPECRFLGTDGKLERLIKNWRKNRKRWSADKKFHENLSTVLDFELPQPPSVSIKDDQQADCGICYATHLPIDDELGTDSGCATDYTCENSSCDRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKIAEH* >Brasy2G323200.5.p pacid=40066239 transcript=Brasy2G323200.5 locus=Brasy2G323200 ID=Brasy2G323200.5.v1.1 annot-version=v1.1 MNYPACPPSISADVPYLPKLQWSKFSRLKDVICQFQEHLKNLQNYWCTMDDIDKALWVVDPTKPSYAMSHRRIALGDDCYILLHVDAHKPSSLPECRFLGTDGKLERLIKNWRKNRKRWSADKKFHENLSTVLDFELPQPPSVSIKDDQQADCGICYATHLPIDDELGTDSGCATDYTCENSSCDRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKIAEH* >Brasy2G323200.4.p pacid=40066240 transcript=Brasy2G323200.4 locus=Brasy2G323200 ID=Brasy2G323200.4.v1.1 annot-version=v1.1 MNYPACPPSISAWSKFSRLKDVICQFQEHLKNLQNYWCTMDDIDKALWVVDPTKPSYAMSHRRIALGDDCYILLHVDAHKPSSLPECRFLGTDGKLERLIKNWRKNRKRWSADKKFHENLSTVLDFELPQPPSVSIKDDQQADCGICYATHLPIDDELGTDSGCATDYTCENSSCDRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKIAEH* >Brasy2G001200.1.p pacid=40066241 transcript=Brasy2G001200.1 locus=Brasy2G001200 ID=Brasy2G001200.1.v1.1 annot-version=v1.1 MLAAAARDSSRRRRRSPRWRRTLPKMRKMAMGRRNATTKATKGQKPIAATLFPRELDLEAPGPRRFSCHELAVATDKFSDNKVLGRGGFGSVYKGFLSDMNREVAVKRVSKTSRQGWKEFVSEVSIISRLRHRNLVQLVGWCHGGDDLLLVYELMHNGSLDTHLYTADSTLTWPVRYEIVLGVGSALLYLHQETEQRVVHRDIKPSNIMLDVSLTAKLGDFGLARLINDGRGSHTTGIAGTMGYIDPECVLAGRTSIESDIYSFGVVLLEIACCWRPTLIVGNEDAVHLVCWVWDLYGQGAILDAADTRLSGGGLDADVKEIERVMVVGLWCAHPDRRLRPSIRQAVNALRFESPLPSLPARMPVATYEPPTNSLGSGTVNLSSFSGR* >Brasy2G304600.1.p pacid=40066242 transcript=Brasy2G304600.1 locus=Brasy2G304600 ID=Brasy2G304600.1.v1.1 annot-version=v1.1 MASPPSVPAATLRHPGLQGCGLLLRSAGEPCLRSTLPFRLGGLSLRKSHTGAGAVSATRSPGLGNAENLREGSSLSRSWDLDQQIGDDHDVLIECRDVHKSFGDKRVLQGVSFKIRHGEAVGIIGPSGTGKSTILKVIAGLLAPDKGEVIICGKKRNGLVSDEDISGLRIGLVFQSAALFDSLNVRENVGFLLYENSNLPEERIGELVAETLAAVGLKGVEERMPSELSGGMKKRVALARSIIYDDTKETIEPEALLYDEPTAGLDPIASTVVEDLIRSMHVTGKDALGKPGKIDSYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVQQFASGSLDGPIRYI* >Brasy2G466200.1.p pacid=40066243 transcript=Brasy2G466200.1 locus=Brasy2G466200 ID=Brasy2G466200.1.v1.1 annot-version=v1.1 MLRAGGSRLVAPGLRRLLGPSAREGAAPGLSAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEGTGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKVGDSPAEKVAEA* >Brasy2G441100.1.p pacid=40066244 transcript=Brasy2G441100.1 locus=Brasy2G441100 ID=Brasy2G441100.1.v1.1 annot-version=v1.1 MGGGDRLSALPDSLLHNILSHLTALQVVRTCLLCSRWRHLWRSVPCLHVDSHDFRRPRAPPPHDPDDAADTADEDEDYYEYDDELSWIALEDFTDSLLTHRRSGSGGPSPPLDTFRLSLVNRSGDMACGACDNPIRPCRWVRRALDSCSTKVLDVSSASDNGINHHERHPLPPLLVVPGSGSSRRRRLTHLRLHNASLHFRDSTSTASFSAAFPALEAMELSGCHYGLRRIESPTLRSLAIHGDKCCNMLREPVVIAAPALASLRLTVAFMRDWESGCYGTAFFRTVRTLLGSLSNASGTLELSGFKATSGERPSVLLKDMIRLDCSRVPTDLRDDIALEFDKFASVPLLQAVLDEEQGSMPVFRNLRTLILNECHINNNLQTLWRLLRLTPLLEKLTLQCCKFPDGIITKREGTSMSKEFPNLKLEDMWCNDTDEVPKYLRLVEIKYKDRDEDGLDEALQEMSIKYKEQETLQLARTLTKVRSDRARALTEQAIHCLPFPFLLDLWRWWRTGTAEVAPALGCRGEMGCVEGGSSMKTTEMGESSAPADSSATQEHIGHTPSMTPG* >Brasy2G498200.1.p pacid=40066245 transcript=Brasy2G498200.1 locus=Brasy2G498200 ID=Brasy2G498200.1.v1.1 annot-version=v1.1 MGHIHTQITLSPVVSKIFCSSLHAVLLVRRRPPAVTGGGFVVTDREQRVVFSVDGCGIIGASGQLVVRDGDGTAILFIHKKGGVVQALSVNNRWKGYLMDYGEPSKPVFSLHDPKPVLCTTGGDVRVTVEPKGRKRQWDYEVTGSFAQRACAVKSRAGHVVAQIGAKGTMAGMDLYHVVVQPGYDQAFVIGVIAILDNMNGESTRYSYWTSLHEGP* >Brasy2G498200.2.p pacid=40066246 transcript=Brasy2G498200.2 locus=Brasy2G498200 ID=Brasy2G498200.2.v1.1 annot-version=v1.1 MGHIHTQITLSPVVSKIFCSSLHAVLLVRRRPPAVTGGGFVVTDREQRVVFSVDGCGIIGASGQLVVRDGDGTAILFIHKKGGVVQALSVNNRWKGYLMDYGEPSKPVFSLHDPKPVLCTTGGDVRVTVEPKGRKRQWDYEVTGSFAQRACAVKSRAGHVVAQIGAKGTMAGMDLYHVVVQPGYDQAFVIGVIAILDNMNGESTRC* >Brasy2G430100.1.p pacid=40066247 transcript=Brasy2G430100.1 locus=Brasy2G430100 ID=Brasy2G430100.1.v1.1 annot-version=v1.1 MVTYKEERDQNQSIAMEKAKNNGEVVLGEEDDDAALPGYRFHPTDEELVTFYLRRKVARKPLSIEVIREMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRLIYSAAAASSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPAAAAANASPSMQEAEVWTICRIFRRNITYRKQQTWRLPPPAAVSTAVAADSSSNTAGSFESSDGGGGNDEYMNLPMATAAPPCIPHQQQHHANLANGNGSFFFRDTTHSQQQFQGQWNSVPPPLPDQKPLNPASAPIAFHQNEHSLAAAIDFHKVEGYLEEIARMMEVTDPHPAAVYDYRYA* >Brasy2G286700.1.p pacid=40066248 transcript=Brasy2G286700.1 locus=Brasy2G286700 ID=Brasy2G286700.1.v1.1 annot-version=v1.1 MTGGTTAATTTPTRTLSMKLLVDTKARRVLFAEAGKDVVDFLFSLLALPIGTAVKLLGAGSMVGAAGNLYDSVEKLDDTYVQPGAAKGALLCPVVAPSPADASNSALLGLPAWTPPPSNRFFRCSYYQNSDCRKYVADSCGTKCPECSHAMTSEAKFAPAPAESARLPQDGFVRGVVTYTVMDSLAVSPMSAISSITLLNTFAVTDLSALQEKAVQIGYKEGSEILKAALQSKTVLTDVFLGKKKEVL* >Brasy2G081700.1.p pacid=40066249 transcript=Brasy2G081700.1 locus=Brasy2G081700 ID=Brasy2G081700.1.v1.1 annot-version=v1.1 MGRPAALGSGWGGAGGAAARDGEEPAALRVGMGRPAALGSGWGGRRCWGRDGEAGGAAGRDGEGRRRWGGLGWAGLGGAGGDRPRRWGGAASLGRAGLGGAGGDGLRRWGGLVGAGAGGGGGLVDVVKKRRSR* >Brasy2G303800.1.p pacid=40066250 transcript=Brasy2G303800.1 locus=Brasy2G303800 ID=Brasy2G303800.1.v1.1 annot-version=v1.1 MSVAVCRGPAVPAFVEAPSWMRPAELYKPEPLADDRPAQVDIWNAIQADVAVDNNNNNKASDPGAKKASSKPHVRRSSSSSRLMSQRSLEICTESLGCETGSGDFTDMSCLFAPLPPTAAVEAEESFWQQQPSAAAQENDYSDESFRAVTYHRPSGTTRSSRSFPPPLPSMSSRDGPCLKMCPRRQDGRLVVEAVAVRPRGYLEASRQGGRLRLSFIECASARAGQSGKISTAIEASYFPVVEEKQEEEEEVAVDVEAEEEEDEEEVEVVDRGTMVEVKVSSSQPQAAAAAKVHRSTLVINKFVGSTPFDQQPRFCHADNPELCARDDTTQQASPPSTLRRVPSSTTTLAAAVAVASTETGAPPAPGDEDDDEDGEDERAPSAVAAADSTKQQLLLFTSRRGDKHDLLQSVRRCRQLRQKPLFILEPYCIATS* >Brasy2G015500.1.p pacid=40066251 transcript=Brasy2G015500.1 locus=Brasy2G015500 ID=Brasy2G015500.1.v1.1 annot-version=v1.1 MQDAVRSALRPAVVRERRVGAFILRLFFHDCFVQGCDGSLLLDDAPGLRGEKNAAPNKDSVHGFKVVDAVKATVEKVCLGVVSCADVLAAAAMESVALLGGPRWEVKMGRRDSTTASFDGAENDNIPPPTSGLANLTRLFAAKGLSQKDMVALSGAHTIGLARCTNFRDHIYNDTDIDTGFAETLQKRCPRATGSGDNNLAPLDHQTPTVFENAYYKNLVAKKGLLHSDQELFNGGASDVHVREYIWMVKMGDVAPLTGSNGQIRKNCRRVN* >Brasy2G474400.1.p pacid=40066252 transcript=Brasy2G474400.1 locus=Brasy2G474400 ID=Brasy2G474400.1.v1.1 annot-version=v1.1 MARPRVDADSIHFGDPAALQGRDRVSWGFLYTEPAEIVPACAVRFADELRIVELTSTASLGLPPGTPLEPAFRDAILAAATARATASVRQFYHGFVDRDIVEDREIIQVNRGVIFGRPASQAACCSRPRRAIPVAPAFRTPDGQQVPIIFAELPHPEWRMHEFRAGRRFVLASYYNVEDLCYYRGGIQFQVHLYGREGQRSWDWRLDFVLRITPTGPVCITWSIHSPSRR* >Brasy2G416800.1.p pacid=40066253 transcript=Brasy2G416800.1 locus=Brasy2G416800 ID=Brasy2G416800.1.v1.1 annot-version=v1.1 MKGGKVAAVTEERPEEKEMDLLLSEIPQVTSPQGHHGGGGGGGHAQGVAVHGAARHHGFMAAAPRHHGFAARHHGDDACYAMFMNRRDDGGHQGGGGGGFHPPLRVYPAPLPPSSPFVGGRPSPLVQAVDDPEKLWLANQLRGLLIEDAPTAPQDMPTPPVNNIPADVSAAHGSYYGYHFGAAGSSVHGETLFADQARAAGHVTLPPHPFGVDVGLGGHALFPANLDASMGGFVYNRTGNGTGIGWGQGLVHPGHGQAEPFMLPAGQAGAEHNWGYVSTSPIALDHRGGPVRSPKLHCEYGVPVTGNRYMNMKDGFSQMEQMEAFRCEESQVFDAKKNMTFLNRGKERRFQQHVNNRALELESPRMLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEGKQHVDAIFEGIINHIAELMTNSFANYLVQKLLDVCDEEQRLRIIAVLTEDPVKLLRISLNTHGTRAVQKLIETVKIRKQIVLIISAIQPGFMHLVNDLNGNHVIQKCLTNFGAEENKFIFEAAATHCFEMAIHRHGCCVLQKCITSARGEYQAKLIVEVCAHAFQLAQDPFGNYVVQYVLDQKIPSANAHLAAQFEGNYVYLSKQKVSSNVVEKCLKVFSDEDKAAIVFDLISVTHFEQLLQDPFANYVIHTALVNSRGHLHNALVEAIRPHEEALRTSPCCKRISRALSRR* >Brasy2G089100.1.p pacid=40066254 transcript=Brasy2G089100.1 locus=Brasy2G089100 ID=Brasy2G089100.1.v1.1 annot-version=v1.1 MAAIMLCTCSGDQSKFEEMPRSPESLATRDFSANGSSRTGNREATPDDSQVNEVESDLRETLSLNYEEARALLGRLEYQRGNFEGALQVLQGIDIGSLKPRMTSAIAESVKPKVSPRSSRRKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLESLGRVTDAAEQCRIIIDIVESAWPCGVPEGASEECKLIDMFHSALEYLPNLWMRSGCFEEAIIAYRRALARPWNLDSQRSANLQKDLAVTLLYCGIEVKFPQEFNQQQNLVTPENNIEEAILLLFVLITKLASQEIKWDPDLVNHLLYALSLSGHCEILARHLEMFLPGTYSRSERWYILALCYSAAGMDDSALNIIRNGFRVLQRKGKPHIPSLLLGAKLCCKNPKHASEGIKFANEAMKSFRSHDMHLIGIVNHFLGVCYGPFARSSTSHSEKMRLQDDALRFLQDAAAMAKYNPDILYSLAWENAMQRKLNAAVESATECLEMVTGSSVITWKLLILVLSAQQNLQEAEAVANIALDEAEKEDQMDILRLKAQIQASRGQFKSAVESLRILLAIIEAKKEVWKLTPYEKVKSIHKLEMEAWLDLASIYTKLEAWHDSNLCLHKAKSINFFSTKCWHVKGLILEAQSMHQDALAAFSFSLSIDPDYVPSMVCMAGVLRNLGGKSLSIARTILRSAIRLEPTNHQAWLGLGLVLKSEGSLVEAVDCFQASYELLELSPIQDFSEQLPILLH* >Brasy2G496400.1.p pacid=40066255 transcript=Brasy2G496400.1 locus=Brasy2G496400 ID=Brasy2G496400.1.v1.1 annot-version=v1.1 MLQPGHDSGGSTSSESPKGKRETGPKNCTIRWNVYEYQEGGTKKVHMLVRPAEDVATASEISSAPIICLKEVEANNTFALDIMHHLRHKSILCMKGLCPSTTNNTHVTTFVEPTIGQLSSIPFGSCCDALYHFPSKTYQTAIRDIFDGIQFLWDNGLYHGNLNEENTLYIHPWKIKLSGFKCQDSMVTHEAQFGDRLSLANMLERLLVNVSQSNIYFCDHVADLISNLMSRDVPSSNILGTVFFWDRGMRTSFYTNNVSLKLKDAKFKLMIQHAEGFECKDRRCEKKCCKKA* >Brasy2G390100.1.p pacid=40066256 transcript=Brasy2G390100.1 locus=Brasy2G390100 ID=Brasy2G390100.1.v1.1 annot-version=v1.1 MRHPGLCSCAAMRPRVASCQHGCHVPCTVLPAPRLSGPHVSARERKAVSIVLPWFPHTCRHFCRISYHLRLRSAQTWLVLLEPRPAKMSSVSLVRASLPWRRGTGMGTAAARSLRSVLLLQRPACSVGGVRCNAAPTTPPGFPGPSPEEMPGTARPPEEMPSIDTPPEFEPLPGIDDVPMPGPGPGPEMPGPSVPSPPTPEIPSVPPRSPEAPSPPLPPELDPPRAPPEVVPPKPSDVPPPFV* >Brasy2G390100.2.p pacid=40066257 transcript=Brasy2G390100.2 locus=Brasy2G390100 ID=Brasy2G390100.2.v1.1 annot-version=v1.1 MRPRVASCQHGCHVPCTVLPAPRLSGPHVSARERKAVSIVLPWFPHTCRHFCRISYHLRLRSAQTWLVLLEPRPAKMSSVSLVRASLPWRRGTGMGTAAARSLRSVLLLQRPACSVGGVRCNAAPTTPPGFPGPSPEEMPGTARPPEEMPSIDTPPEFEPLPGIDDVPMPGPGPGPEMPGPSVPSPPTPEIPSVPPRSPEAPSPPLPPELDPPRAPPEVVPPKPSDVPPPFV* >Brasy2G317000.1.p pacid=40066258 transcript=Brasy2G317000.1 locus=Brasy2G317000 ID=Brasy2G317000.1.v1.1 annot-version=v1.1 MGEVAAELLYSMAPMVLCTAGGVDQAAGSSCGPLLSELRQLWGEIGKSREEKERMVHELEAECMRVYRRKVDEATGERALLHQTLAASEAEIAALTAALGADNTTQFKVNKWTVSLNERVSAATALLEELRGMRAERSKQFSDIRSEIEKIASEISGKSHGGYDSSPRAGDGHDLTIRRLSEYRARLSNLQKEKSDRLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHEADPGKPTSISDSTLTSLAQVVAMLGSERAKRAATLRAAVAPLVELWELMDSPEEERRGFRKAAAVMRKEDLRSLSSGVLSTAAIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIARAKEELLSRKDIMEKINKWLLACEEEKWLEEHNLDENRFSTGRTARLNLKRAEKARVVIMKIPAVVDNLMSRTLAWESERKKPFLYDGARLVAVLQEHKQARLRQEEERRRLREQKKLRTLFSEKETMPRLKRPGSSSSGAGFSRTPEPGYMSRKRVDGGRLTCSAPSMRSSSSGSSCNAGELVRPRSSASGARRISLGAAFNYAAAAVSSKTGSMSSSLASLS* >Brasy2G317000.2.p pacid=40066259 transcript=Brasy2G317000.2 locus=Brasy2G317000 ID=Brasy2G317000.2.v1.1 annot-version=v1.1 MGEVAAELLYSMAPMVLCTAGGVDQAAGSSCGPLLSELRQLWGEIGKSREEKERMVHELEAECMRVYRRKVDEATGERALLHQTLAASEAEIAALTAALGADNTTQFKVNKWTVSLNERVSAATALLEELRGMRAERSKQFSDIRSEIEKIASEISGKSHGGYDSSPRAGDGHDLTIRRLSEYRARLSNLQKEKSDRLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHEADPGKPTSISDSTLTSLAQVVAMLGSERAKRAATLRAAVAPLVELWELMDSPEEERRGFRKAAAVMRKEDLRSLSSGVLSTAAIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDEQIARAKEELLSRKDIMEKINKWLLACEEEKWLEEHNLDENRFSTGRTARLNLKRAEKARVVIMKIPAVVDNLMSRTLAWESERKKPFLYDGARLVAVLQEHKQARLRQEEERRRLRVSKTCFATNYCNNKLL* >Brasy2G452400.1.p pacid=40066260 transcript=Brasy2G452400.1 locus=Brasy2G452400 ID=Brasy2G452400.1.v1.1 annot-version=v1.1 MDDLLYSHALDVVFTGHLTLKEEHMPCTKTIRCTHALNYCPHLSPVRLLPRFPPRDRAAAPSLPPPTARSGEPQHGSAPGAARIRRRPPGSASWRTRRPWSQVAGCEEPDGSVPGCAAAAATAAATRAEWWQARPWSATAAAARGRRRATRARRRRARGGTRRRRPRGAEGGRRCRRERAGSARSGPRGGRRRWRPRGAEATGDGVVSGLKKRIQESGDASSPPAPASARRRARSRRGKTADPNRDLNSWDRSSSTRPPSLPRAAAGQEMGATKA* >Brasy2G156100.1.p pacid=40066261 transcript=Brasy2G156100.1 locus=Brasy2G156100 ID=Brasy2G156100.1.v1.1 annot-version=v1.1 MTFYRRSRRSDRPGRNLMRLRVGDEPEVAGEEPDEAEAWKDEAGQAGDEPPAGDGGGAGEEDLQPVQQIQMISLQVHAMTSFLMKSNMTGESSSMVTDDFVKKYCDLVAERKARDKLQLIPFRDPGEYMFEYYRHYPHQEEKEKEKEKEKEEEEEEKEKEKELTRSAKEIETEEMIYAKYRMSKKCLFASSVFDTITTLSPMYFTHYTPGIEIEDDLVATTGASLQIFSFKIAEIKGDLEWPLHVYGVVAARDEVDYNRNLLFNRTRNHAQLVTQHDPFLRLTGPSRAILPELVFEVELRVKGRTKSRDRALMNRVYRYIHHSNGLFTIPFRNCLCRCELSLEQLGKSVQATILGVRIVKGAFKYGGRVAFCSPSHEAALVDSNGAIQEVIDPLYTQVVLLDSRHRDGGESMPMGTDGYIDLTRRVVSVELRESHLFYPERLEETFKVVIQSYSKSSDVASQGHVKLTPKLCNISQTVCDLGDSKVEITVAWSVHVAEKLFL* >Brasy2G097200.1.p pacid=40066262 transcript=Brasy2G097200.1 locus=Brasy2G097200 ID=Brasy2G097200.1.v1.1 annot-version=v1.1 MRTSPLVVFLAVASVLYAAATPAAALGGEWKSIKNVTDPHIQELGAWAVAEHGKVANDRLRFRKVVSGKLLVLVGVTYLLDVDAVRLDGKDAVYKAKVYEQDTSRKLISFQPAAN* >Brasy2G009000.1.p pacid=40066263 transcript=Brasy2G009000.1 locus=Brasy2G009000 ID=Brasy2G009000.1.v1.1 annot-version=v1.1 MAFWKAVNQALATLAVSGIGTNLVTFMRVVMRQDTADAANHVSNWEWSIASYFFLHKYSCDSGSGEMKNNCGLPSKAETVIFYVSIYQIALGNGAYQPAITTFGADQFDEEDTGERESKNAFYGYFFLANNLGSLLSVTLLTYIEDEGKWTTAFGISTLAACLGLVLFAIGTLRFRHFRTSENPVVTVSQVVVAAIKNRRLKTPKQAEELYEVYGTDDSNGGRKILHTPDYRCLDKAAVMRERPIHPCTRRAAAIQATTSVFIEQARAMDNTISKLRIPPAGVSIFEIVGITAFVFIYRFCIAKPLSRRSREPTELERMGIGLVISTAAMITARLVEQQRLKHANSVLWQIPQYLLIGASEVFMYVTMTDDQLPDGMRSLGSALAVASMSAGSYASSLIVTLVMAIMASKGLTMPWPPAPVSSQSLFFLLDRLSR* >Brasy2G340700.1.p pacid=40066264 transcript=Brasy2G340700.1 locus=Brasy2G340700 ID=Brasy2G340700.1.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTIYNESAIRKAEFNGTEQMSLNAMFAILNKAFNVLDRDALDNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEHDDMILVQKMLVNEILGSCVLWSAMGFFEVCNKDSDQGSNEVQVSQREPRKEFGSLLCALDRLYDIVQFPGIHGYKTDLVRNILFMVADPACDDHTRMALLRGVKETYDLF* >Brasy2G340700.2.p pacid=40066265 transcript=Brasy2G340700.2 locus=Brasy2G340700 ID=Brasy2G340700.2.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEHDDMILVQKMLVNEILGSCVLWSAMGFFEVCNKDSDQGSNEVQVSQREPRKEFGSLLCALDRLYDIVQFPGIHGYKTDLVRNILFMVADPACDDHTRMALLRGVKETYDLF* >Brasy2G340700.8.p pacid=40066266 transcript=Brasy2G340700.8 locus=Brasy2G340700 ID=Brasy2G340700.8.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTIYNESAIRKAEFNGTEQMSLNAMFAILNKAFNVLDRDALDNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEDLVCCGVQWVSLKFATKIPIKGLMKFRFLRENPGRSLDHCYVLWTVCMILCSFLEFMVIRQIW* >Brasy2G340700.3.p pacid=40066267 transcript=Brasy2G340700.3 locus=Brasy2G340700 ID=Brasy2G340700.3.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTIYNESAIRKAEFNGTEQMSLNAMFAILNKAFNVLDRDALDNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEDLVCCGVQWVSLKFATKIPIKGLMKFRFLRVRNILFMVADPACDDHTRMALLRGVKETYDLF* >Brasy2G340700.6.p pacid=40066268 transcript=Brasy2G340700.6 locus=Brasy2G340700 ID=Brasy2G340700.6.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTIYNESAIRKAEFNGTEQMSLNAMFAILNKAFNVLDRDALDNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEHDDMILVQKMLVNEILGSCVLWSAMGFFEVCNKDSDQGSNEVQVSQGKEYSIHGG* >Brasy2G340700.9.p pacid=40066269 transcript=Brasy2G340700.9 locus=Brasy2G340700 ID=Brasy2G340700.9.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEDLVCCGVQWVSLKFATKIPIKGLMKFRFLRENPGRSLDHCYVLWTVCMILCSFLEFMVIRQIW* >Brasy2G340700.4.p pacid=40066270 transcript=Brasy2G340700.4 locus=Brasy2G340700 ID=Brasy2G340700.4.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEDLVCCGVQWVSLKFATKIPIKGLMKFRFLRVRNILFMVADPACDDHTRMALLRGVKETYDLF* >Brasy2G340700.7.p pacid=40066271 transcript=Brasy2G340700.7 locus=Brasy2G340700 ID=Brasy2G340700.7.v1.1 annot-version=v1.1 MAPPQGFALLNNPAFGFDTHVSDGPMLHRRPALPLPFYKHRDRNTKLPLGSRILEVVAHHLMSYAHDFQKSLSYWVSLKMAPRSHCYFMVFERGPKAFINEAWKSFTRLIALENSTLPGSADEFISNNISALSALHALMCTCLGTNQLFDTPLHFTPLPEMDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEHDDMILVQKMLVNEILGSCVLWSAMGFFEVCNKDSDQGSNEVQVSQGKEYSIHGG* >Brasy2G340700.5.p pacid=40066272 transcript=Brasy2G340700.5 locus=Brasy2G340700 ID=Brasy2G340700.5.v1.1 annot-version=v1.1 MDMKSSSWKECANNGISIVYQNVVLLDSVLASRANNHSIPTTMTRHWLPYTCGAIGLSACCVWLSRNMETADFCNFMSASQEILAQIWTERFKKPALSASDEIFHKSRRNRVSVGEKEFSDSKESLRRLLVAYCEQSSEVPFPGALSDEKMMEIVTSSFEESSRRSPLQLLWGKGSIGHALAIKIQKERVDVKEMLVAALSALDSNGLLIAFLSALPAMGVLYCILLLVRKFRRLEHDDMILVQKMLVNEILGSCVLWSAMGFFEVCNKDSDQGSNEVQVSQREPRKEFGSLLCALDRLYDIVQFPGIHGYKTDLVRNILFMVADPACDDHTRMALLRGVKETYDLF* >Brasy2G255000.1.p pacid=40066273 transcript=Brasy2G255000.1 locus=Brasy2G255000 ID=Brasy2G255000.1.v1.1 annot-version=v1.1 MEPYSRNWQKKADPPNTNVGRRLLLAAGGTPALATSSFPPPAPRRLPPHLPSSGGVGLQERERDSDRRREIERGREPAANGGGRRDPAAAKPRAAGSGGWSEQGHRSEGGRICGGRGRRGVVVAGSRAQRLPRRRPGAGGGGSRRRGAGGRGSRLPEALDVYLAAVPEQEAVDLAVPDQEGVDLAVPELEAVDLVDPEP* >Brasy2G419600.1.p pacid=40066274 transcript=Brasy2G419600.1 locus=Brasy2G419600 ID=Brasy2G419600.1.v1.1 annot-version=v1.1 MLWPEPHLRWRWHQVGARGRRRSGFRRPTGALSWGGWCWARSWSAPRLRRAPGGGGLDQGVRVRAEGGVLAAEARVARRRRRVHSRHAAGARAARGHPGWVLDAARPRPPHRPIRPWVCLCLPTSLVLLFHFATFSLCTRRVRWIAADAWTTFQMAWWNRSRYLHCSQTNR* >Brasy2G092600.1.p pacid=40066275 transcript=Brasy2G092600.1 locus=Brasy2G092600 ID=Brasy2G092600.1.v1.1 annot-version=v1.1 MEPKNSAEMSRHLDKQNKALMETYRAMSHELHKLQVEEEIIMRKLYELMSVEGLLPKRKKERQLEKAGESNQENEERES* >Brasy2G095800.1.p pacid=40066276 transcript=Brasy2G095800.1 locus=Brasy2G095800 ID=Brasy2G095800.1.v1.1 annot-version=v1.1 MVHHHISACRRIPNLAQIYLLGFYEEREFALYVSSISNELRIPVRYLREDKPLGSAGGLNSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPSIFNAIEDVLKQKKDRANIRRVSSFEALQSATKALPAGYVRLDQDILSPLAGKKQLYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKKSAAIIGDVYIHPSAKVHPTAKIGPNASISANARIGAGARLINCIILDDAEIMENAVVIHSIVGWKSTIGKWSRVQGDGDHNAKYGITILGEAVDVEDEIVVTKCIVLPNKTLNISVQEETIL* >Brasy2G221900.1.p pacid=40066277 transcript=Brasy2G221900.1 locus=Brasy2G221900 ID=Brasy2G221900.1.v1.1 annot-version=v1.1 MDNSPYPTHFLRADIVYPLPGPISQRGRLRSHCLCRRHRPCPWRAPSGDIVEDRRERPVVDALAAPLGEERRLGAAGVAVPLRQRLLGLRQAAVIMFGDGRPEPRALRHRRDVPSAEAEHPSGWQAAIRRRGVGYPRQCLSRRRVHGFPSAISAVGGGGRRAREWRENAARRMASLPGRRCLLWARKINRRGCECGVKRRGECAGTRRVESDETGRRFDPATAITRLRSRGEADEDDKLCLTVWARRSKTLRRRPRSSPRGLSSAWGRRLIYWTVPAQF* >Brasy2G174300.1.p pacid=40066278 transcript=Brasy2G174300.1 locus=Brasy2G174300 ID=Brasy2G174300.1.v1.1 annot-version=v1.1 MQQRRKTVYAMKQAALGAGVAAARRSGAPLSMAATVFALFVLATFLYNEDIKSIADFPFGAGALRAKSPDLHLLQEAEAAAHHAVTTLAMRGEEAIVRVLDAPRHADIGIGTNRTGEYHQAPTAKMINTNAKAGTGGGGNGEELAEEKDRDVTLPRVAGMLGGGSGAEEARRREDEEEAAEKASTEKAKAAALVTIRSVETCDLYRGEWVYDEVNAPVYKEAQCEFLTEQVTCMRNGRRDDSYQKWRWQPAGCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSAIPRGRKTLTKFVNNGSSNVFYAHDYNATVEFYWAPFLVESNSDNPKVHSVPDRIIQWHSIAKHAGNWLGVDYLVFNTYIWWLNTLDMKVLKGSFDEGATEYVEVDRPVAYNEVLKTWAKWVDRNIDPNRTTVFFMGMSPNHITPEAWGNDGGIKCAMETMPIKNRTASLDVGTDWRLYAGAQDVLQTFRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNEFLYARIVSSPSTTTEQ* >Brasy2G391900.1.p pacid=40066279 transcript=Brasy2G391900.1 locus=Brasy2G391900 ID=Brasy2G391900.1.v1.1 annot-version=v1.1 MGKEEAAGKSQPECINSSNPFHECSDYCLHQIAEAKRRLAEDLPDSRPPEERTVHPDCINANNPYHGCSEYCFRNIADAKAAIERGEQEHPAGGSGKSDVTLEQADGDDDSNRQEDTGADDGYPQMTEKQKKLFELQLKMNEARKANQQAMVAEKKRMEPRGDSRGVSKQKWLDDRKKKIGKLLDSNGLDMSKSYMLDTQDMAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEVDMESYNKAKETDPEFYRDASSLQYGKVSKVAEPNIDRMVNELKERDEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD* >Brasy2G391900.2.p pacid=40066280 transcript=Brasy2G391900.2 locus=Brasy2G391900 ID=Brasy2G391900.2.v1.1 annot-version=v1.1 MGKEEAAGKSQPECINSSNPFHECSDYCLHQIAEAKRRLAEDLPDSRPPEERTVHPDCINANNPYHGCSEYCFRNIADAKAAIERGEQEHPAGGSGKSDVTLEQADGDDDSNRQEDTGADDGYPQMTEKQKKLFELQLKMNEARKANQQAMVAEKKRMEPRGDSRGVSKQKWLDDRKKKIGKLLDSNGLDMSKSYMLDTQDMAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEVDMESYNKAKETDPEFYRDASSLQYGKVSKVAEPNIDRMVNELKERDEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD* >Brasy2G428600.1.p pacid=40066281 transcript=Brasy2G428600.1 locus=Brasy2G428600 ID=Brasy2G428600.1.v1.1 annot-version=v1.1 MAASTGTLPAALIVVRITSFLISGAAAARAPPGSSPLVTTCMEGPFPAHCVRDLGPRLIDIQTALASASPRGAQIAGLPGQVDFSSLVSVAMEAATEAGAVACTIFEGKLPGFNNTVPDFKKCLDNCTVTMKSAMKKLHGATAAMKLHAHKVADTLANRAIVDVSSCTLSCRTLTGDVRVILESTLVEFQKMLRIAVNFIGKLKPKPAPGAPPTPPLH* >Brasy2G410200.1.p pacid=40066282 transcript=Brasy2G410200.1 locus=Brasy2G410200 ID=Brasy2G410200.1.v1.1 annot-version=v1.1 MAERTPSSSPAASSTRRKPRGPRGSVHDKSCSSSPSASSNKSPVQMVTPVEPTKSVDSPLQMVASVQLSKSLDSQSTSCGYGSVGSDSGVTPFDICKGASKGCFQLNRPLHEIKREMRLANSVAPLQHLRPGMVLLKKFLKPDDQVAIIKLCRDLGVGKGGFYTPGYKDGAKLSLRMMCLGKNWDPDSSSYGDVRPSDGAQPPNIPEKLKELVDGAVETSHEFLKGKQGTSDPSVELPLMSPDICIVNFYTSSGRLGFHQDKDESQDSLAKELPVVSFSLGDTAEFLYGDSRDDGKASKVDLETGDVLIFGGQSRRIFHGVSQIKPKTAPKWLTDKSNLRPGRLNLTFRKY* >Brasy2G229800.1.p pacid=40066283 transcript=Brasy2G229800.1 locus=Brasy2G229800 ID=Brasy2G229800.1.v1.1 annot-version=v1.1 MARTSKKKQQQPQPAWVSLFGNCLGGSSGKKKAGSGKVRPGPRTNKHGEDDLERGSVGAAGQRMSFTDVMSAASDQELSVSLVGSNLHVFTVGELKAATQGFLDSNFLGEGGFGPVYKGSVADKAKPGLKAQSIAVKLWDPEGTQGHKEWLSEVIFLGQFRHTNLVKLVGYCSEEDHRLLVYEYMAKGSLENHLFKKFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPNRERHLVEHMRSWLKDPQKLGRIMDPALEGKYSTSAAHKAALVAYQCLSGSPKSRPDMSKVVEDLEPLLSLIDDGPNESAMSVASQEDGRKERTARRKNGERESGNGGHRHQARSPKKTDRRKGPGKSEEFWEWHMPGGGKV* >Brasy2G497500.1.p pacid=40066284 transcript=Brasy2G497500.1 locus=Brasy2G497500 ID=Brasy2G497500.1.v1.1 annot-version=v1.1 MSSCCWPDYPIPPYLSLREFLSARVLAAVIVHPPPGDRYTLTPWSSAPSQSRDGVPCLRRRRAARARISRRRQILSCIGRAPRPPPGLRSLDNIVGCHKMAEGRHGKAILGAVDHPVEWQLMDLKIDRKEEQVTGTLNTPSNLPVKYGESGIRPHVRLIVHMDDLNEDEIHALSVMRNSCGINILHARLVQESPPYLLAWVEPYTGKLMDYLKSKSFGVPQLSNSKQPIVLPTALLGSAVSQIVEGIEQLRLSGHYHGNFTFWNTYYKLDKVSGNLTVKLADFVKKEGKHTILLAKDWSAVARGLVEIGEFARQLNSAVSSSLPNIDCCQLDGLIDMMGCIGPINAEYAFQEIKRQPFFWGEKDRQEFYICVIPKAMKKDAFQSKLKKAKSFGLPWSSNVTSSFRGLLQRMNSYRSRKGMEDCDTNSPIEFVQFVSGSYTHESEFLNRENVDKIIQSNYPKLCYELYSFIPAAPLRAPTQ* >Brasy2G107500.1.p pacid=40066285 transcript=Brasy2G107500.1 locus=Brasy2G107500 ID=Brasy2G107500.1.v1.1 annot-version=v1.1 MKKLSFFLLLLAAVAVVHGEDGAYIGVNIGTAMSSVPAPTQITTLLRSQNIRHIRLYDADPAMLSALANTGIRVIVSVPNEQLLGIGNSNATAAKWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLIMPAVRFLQNALVAASLDRFIKVSTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGAPLMLNVYPYYDYMRSNGVIPLDYALFRTLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDASSEPDANADNADTYNSNLIRHVMNVTGTPKHPGVAVPTYIYELYDEDTRPGTTSEKYWGLFDMNGSPAYALHLTGSGQLLANDTTNNTFCVARDGADEKMLQAALDWACGPGKVDCSVLTQGHACYEPDTVQDHATYAFNAYYHGIGMGSGSCYFSGVAVVTTTDPSHGSCVYGGKNGSALLNGTSTAPSSNSTADSGSHRAVGDVSSFLRIVAAALLLSVVLL* >Brasy2G185600.1.p pacid=40066286 transcript=Brasy2G185600.1 locus=Brasy2G185600 ID=Brasy2G185600.1.v1.1 annot-version=v1.1 MKVPAPINNVMLEHLHSSPIRGPAFAHVGGAGDFLITGEHLHPVTAPIPKTKLPVILTNTSRVRTKAAASADEAETGGRRGHDDFARTRPAALSVAWARQCQYVFEGCSKSAEGSMPLCKAHGGGKRCMYEGGGVCPKSVHGGTSYCAAHGGGKRCSVPACGKSACGCTEFCKAHGGGKRCTFGGLTAGDCEKFACGRSGICAAPASSSCPARGKKRQSEWAGRR* >Brasy2G159500.1.p pacid=40066287 transcript=Brasy2G159500.1 locus=Brasy2G159500 ID=Brasy2G159500.1.v1.1 annot-version=v1.1 MSSLASGLHRLRRSPWEVVLSALVSCGLVLFSQLAVATVPRFFPSLSLLAMLPVAGLVFLAAIVVGRFWRRFIGVAASAPLFVLFNVLFMWGVYIFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCNDFVDAICSSEKHPSLSRVRHCNSCNANVRGYDHHCPAFGNCIGQKNHRLFIALLTGFVVAESTYTMCSTKYITRCINSGTIRTENPLSLNMVIGTMLFSVLQVLWQVVFLIWHVYGICFNIKTDEWINWKKYPEFQMKEQPQSDSEIKFVNPYDKGMLCNIREFLKPK* >Brasy2G159500.2.p pacid=40066288 transcript=Brasy2G159500.2 locus=Brasy2G159500 ID=Brasy2G159500.2.v1.1 annot-version=v1.1 MSSLASGLHRLRRSPWEVVLSALVSCGLVLFSQLAVATVPRFFPSLSLLAMLPVAGLVFLAAIVVGRFWRRFIGVAASAPLFVLFNVLFMWGVYIFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCNDFVDAICSSEKHPSLSRVRHCNSCNANVRGYDHHCPAFGNCIGQKNHRLFIALLTGFVVAESTYTMCSTKYITRCINSGTIRTENPLSLNMVIGTMLFSVLQVLWQVVFLIWHVYGICFNIKTDEWVMSNFPCFGLFLHQLQVVFSFAVSCS* >Brasy2G159500.3.p pacid=40066289 transcript=Brasy2G159500.3 locus=Brasy2G159500 ID=Brasy2G159500.3.v1.1 annot-version=v1.1 MSSLASGLHRLRRSPWEVVLSALVSCGLVLFSQLAVATVPRFFPSLSLLAMLPVAGLVFLAAIVVGRFWRRFIGVAASAPLFVLFNVLFMWGVYIFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCNDFVDAICSSEKHPSLSRVRHCNSCNANVRGYDHHCPAFGNCIGQKNHRLFIALLTGFVVAESTYTMCSTKYITRCINSGTIRTENPLSLNMVIGTMLFSVLQVLWQVVFLIWHVYGICFNIKTDEWVMSNFPCFGLFLHQLQVVFSFAVSCS* >Brasy2G194300.1.p pacid=40066290 transcript=Brasy2G194300.1 locus=Brasy2G194300 ID=Brasy2G194300.1.v1.1 annot-version=v1.1 MGSTRAKNEDDKALVLCQERKRYVREALDGRCALAAAHFAYIQSLRHTGFALRKFVEPDVPTDSSLYTSTSATPEPPAIRQRSMNLSPSVSHQASDSFSPAASPFSSRHFHVSHMKAGRNPVRTVEEKVPVPVTATLQTSSPVPIQPVHDLDDSSTFEAPPGTPPWDYFGLFHPVESQLSFHDEKESGHDFENADDIRRLREKEGIPELEEELEKSHAHVGGISRSQQEKTPDVKDGEKSSMSGREDDFAESEDDFDKPSSEPLVRVFKNRNDTPVENTVAKQSPEHIAPEKVALENIDSKTDKPKNDNRVLDISMYETDESPVTSPVKEVASSVAALAMNGNSKEPFHVVRNEVKDLYTSMKEVEILFIKACDSGKEVPRMLEADKVNFRPLLPKEKAHGLKASGFFATFFACCREEVPVPQPPPQAEVKYLTWHRSMSSHSSSSRNPLGDASKVDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGTICRQYDEKCRHLRHQESRGESQMSIDRTRAVVKDLYSRILVAVQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWATMLECHRQQHEIIKLVSHSGNMKVVIRSESQFQAALLLQVELNTLCSNFQRWVGSHRSYLNSLNSWLLKCVKSLRKKKKSRKRKDADIQITEYDVAPIFTICEQWLELLKDLPIKDLEDAIKVLVADVNHCVPRQEKRRGGSRSTFSLAHNGGLNDEMGGIHKNVPPTDLQSSLGTFLGKLEVFSDVSLHKYMELKEKINKAKYNYEKYNK* >Brasy2G207800.1.p pacid=40066291 transcript=Brasy2G207800.1 locus=Brasy2G207800 ID=Brasy2G207800.1.v1.1 annot-version=v1.1 MAAAAASDSDPAAHPRDDPSLPFASFSLSLRSPTIPATLASVASAIHLPTQISTLAVCLHPSAASSSPRRSTSLNAAAASLLSPFPASTPSLSRSFPSGAPGAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRTEDKVSKAIQKEGVEVKYFWGSTLYHMDDLPFRLEDMPSNYGGFREAVKGLEVRKVLDAPEEVKCVPMKNVLEPGDIPTLGELGLSAPPAMAQDSKSAVGSTLIGGEAEALERLKKFAAECCMQPNKSAKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKTIRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFVTKKYSSAQKTSEVATGCTPSPAFA* >Brasy2G120500.1.p pacid=40066292 transcript=Brasy2G120500.1 locus=Brasy2G120500 ID=Brasy2G120500.1.v1.1 annot-version=v1.1 MGSSLEEEEQILLVRATQPENFDEYTGDGSVDFRGHSILKHNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHQGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYQTIAVFSTIYFLGMAALTLSASVPSLQPPQCIGSFCPQPALPQYLIYFIGLYMIALGAGGIKPCVSSFGADQFDDTDPVERTRKGAFFNWFYFSINIGSLISGTVLIWVQENCGYSIGFGIPTFFIALAIGSFFLGSEIYRFQIPGGSPFTRACQVVVAAIRKRNVDLPVDSSLLYECHGKTSAIEGSRKLEHSREFSFLDKSAVILLSEHGGCHNPWRLCTVTQIEELKILIRMFPIWATGIVFFTVCAQNSSMFIEQGMVLNNKVGSFKIPPATLSSLDVISIVVWVPIYERFIVPIARKLTGKERGLSELQRMGIGLFVSTIAVAVAALVEITRLENARSEDLIHEKVPVPMSIIWQAPQYLLIGVGEVFTSIGQAEFFYNQSPDSMRSMCSAFALVTVSLGSYLSSFILTLVSYFTTRGEQMGWIPDNLNEGHLDRFFWLVAGLSSLNFLAFIYFAQRYKCKKAYVL* >Brasy2G333100.1.p pacid=40066293 transcript=Brasy2G333100.1 locus=Brasy2G333100 ID=Brasy2G333100.1.v1.1 annot-version=v1.1 MQMDSYYAFQDGSPFFAAAGAHPASPELPFADLMASLLEEPPVVAAKPSAFQKYRDAGGRGGGGSSSSSSGGRGNIHRRVMDTLGRMGSGGDQVRQQQRQEEPQQQQPAGAVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSRADKNSIVQSAAMYIRELEGAKDQLEMKNEELKAKILGHDTQQPCVKVQFEVDEPSSSIDSMIGALRRLKSMNVKARGIRSTLSGHRLTTEMNVETTVAASEVERAVEEALREVERNQPDSETTFPGSRRGSWSQTSHVQNVF* >Brasy2G443800.1.p pacid=40066294 transcript=Brasy2G443800.1 locus=Brasy2G443800 ID=Brasy2G443800.1.v1.1 annot-version=v1.1 METPPVRLPPSLLTVERETRAAAVSPISGGGGGGTLAAALAPLEEELSNKSMCPTPQGWILVLDRDAADGAATTYLLDPHSRRRVPLPPLGIDPWLIPYCSCLLLPQQSGRLVLLVEPKATILWYCRAGETTGGSEWTKHEYDIGTQGDEYFIEKTVIAPIAACGGKLYFNSTLAETDVMDLDLDLHAAPAFSSFETEEEEEEADEDSAKVLLVESGGELYRVMLLYRDKAYDEAKVHRMDFSSGGRRRPRLLRGAAQLWRVLRGGRRRVGDPGGLRLLSDWSA* >Brasy2G317400.1.p pacid=40066295 transcript=Brasy2G317400.1 locus=Brasy2G317400 ID=Brasy2G317400.1.v1.1 annot-version=v1.1 MSSSRPTQSSSSSSRTRQSSRARILAQTTLDAELNAEYEETGDSFDYSKLVEAQRTTPPEQQGRSEKVIAYLQHIQKGKMIQSFGCLLALDEKSFNVIAFSQNAPEMLTTVSHAVPSVDDPPRLGIGTNVRSLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIVEDESLPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEVEAEQPAQQQQKKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELENQLHEKSILRMQTILSDMLFREASPLTIISGTPNVMDLVKCDGAALLYGDKVWRLRDSPTESQIRDIALWLSEVHKDSTGLSTESLHDAGYPGASALGDMICGMAVAKINSRDILFWFRSHTAAEIKWGGAKHDPSDMDDGRRMHPRLSFKAFLQVVKMKSLSWSDYEMDAIHSLQLILRGALNDGIKATKGASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKAAELTGLRVDDAIGRHILTLVEESSVPVVQRMLYLALQGKEEKEVRFEVKTHGPKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRIEGDYKAIIHNPNPLIPPIFGTDEFGWCSEWNTAMTMLTGWHRDEVVDKMLLGEVFDSSSASCLLKSKDAFISLCVLINSALAGEETDKAPFGFFDRNGKYIECLLSANRKENAGGLITGVFCFIQVASHELQHALHVQQASEQTSLRKLKAFSYMRHAINNPLSGMLYSRNALKNTDLNEEQMKQIHVADNCHHQLNKILADLDQDNITEKSSCLDLEMVEFVLQDVVVAAVSQVLIACQGKGIRVSCNLPERLMKQSVYGDGIRLQQILSDFLFVSVKFSPVGGSVEISSKLTKKSIGDNLHLIDLELRIKHQGLGVPAELMAQMFEEDNTQQSEEGQSLLVSRNLLRLMNGDVHHLREAGVSTFILTIELASAPTAVGQ* >Brasy2G317400.2.p pacid=40066296 transcript=Brasy2G317400.2 locus=Brasy2G317400 ID=Brasy2G317400.2.v1.1 annot-version=v1.1 MSSSRPTQSSSSSSRTRQSSRARILAQTTLDAELNAEYEETGDSFDYSKLVEAQRTTPPEQQGRSEKVIAYLQHIQKGKMIQSFGCLLALDEKSFNVIAFSQNAPEMLTTVSHAVPSVDDPPRLGIGTNVRSLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIVEDESLPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEVEAEQPAQQQQKKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELENQLHEKSILRMQTILSDMLFREASPLTIISGTPNVMDLVKCDGAALLYGDKVWRLRDSPTESQIRDIALWLSEVHKDSTGLSTESLHDAGYPGASALGDMICGMAVAKINSRDILFWFRSHTAAEIKWGGAKHDPSDMDDGRRMHPRLSFKAFLQVVKMKSLSWSDYEMDAIHSLQLILRGALNDGIKATKGASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKAAELTGLRVDDAIGRHILTLVEESSVPVVQRMLYLALQGKEEKEVRFEVKTHGPKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRIEGDYKAIIHNPNPLIPPIFGTDEFGWCSEWNTAMTMLTGWHRDEVVDKMLLGEVFDSSSASCLLKSKDAFISLCVLINSALAGEETDKAPFGFFDRNGKYIECLLSANRKENAGGLITGVFCFIQVASHELQHALHVQQASEQTSLRKLKAFSYMRHAINNPLSGMLYSRNALKNTDLNEEQMKQIHVADNCHHQLNKILADLDQDNITEKSSCLDLEMVEFVLQDVVVAAVSQVLIACQGKGIRVSCNLPERLMKQSVYGDGIRLQQILSDFLFVSVKFSPVGGSVEISSKLTKKSIGDNLHLIDLELRIKHQGLGVPAELMAQMFEEDNTQQSEEGQSLLVSRNLLRLMNGDVHHLREAGVSTFILTIELASAPTAVGQ* >Brasy2G317400.3.p pacid=40066297 transcript=Brasy2G317400.3 locus=Brasy2G317400 ID=Brasy2G317400.3.v1.1 annot-version=v1.1 MSSSRPTQSSSSSSRTRQSSRARILAQTTLDAELNAEYEETGDSFDYSKLVEAQRTTPPEQQGRSEKVIAYLQHIQKGKMIQSFGCLLALDEKSFNVIAFSQNAPEMLTTVSHAVPSVDDPPRLGIGTNVRSLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIVEDESLPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEVEAEQPAQQQQKKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELENQLHEKSILRMQTILSDMLFREASPLTIISGTPNVMDLVKCDGAALLYGDKVWRLRDSPTESQIRDIALWLSEVHKDSTGLSTESLHDAGYPGASALGDMICGMAVAKINSRDILFWFRSHTAAEIKWGGAKHDPSDMDDGRRMHPRLSFKAFLQVVKMKSLSWSDYEMDAIHSLQLILRGALNDGIKATKGASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKAAELTGLRVDDAIGRHILTLVEESSVPVVQRMLYLALQGKEEKEVRFEVKTHGPKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRIEGDYKAIIHNPNPLIPPIFGTDEFGWCSEWNTAMTMLTGWHRDEVVDKMLLGEVFDSSSASCLLKSKDAFISLCVLINSALAGEETDKAPFGFFDRNGKYIECLLSANRKENAGGLITGVFCFIQVASHELQHALHVQQASEQTSLRKLKAFSYMRHAINNPLSGMLYSRNALKNTDLNEEQMKQIHVADNCHHQLNKILADLDQDNITEKSSCLDLEMVEFVLQDVVVAAVSQVLIACQGKGIRVSCNLPERLMKQSVYGDGIRLQQILSDFLFVSVKFSPVGGSVEISSKLTKKSIGDNLHLIDLELRIKHQGLGVPAELMAQMFEEDNTQQSEEGQSLLVSRNLLRLMNGDVHHLREAGVSTFILTIELASAPTAVGQ* >Brasy2G317400.4.p pacid=40066298 transcript=Brasy2G317400.4 locus=Brasy2G317400 ID=Brasy2G317400.4.v1.1 annot-version=v1.1 MSSSRPTQSSSSSSRTRQSSRARILAQTTLDAELNAEYEETGDSFDYSKLVEAQRTTPPEQQGRSEKVIAYLQHIQKGKMIQSFGCLLALDEKSFNVIAFSQNAPEMLTTVSHAVPSVDDPPRLGIGTNVRSLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIVEDESLPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEVEAEQPAQQQQKKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELENQLHEKSILRMQTILSDMLFREASPLTIISGTPNVMDLVKCDGAALLYGDKVWRLRDSPTESQIRDIALWLSEVHKDSTGLSTESLHDAGYPGASALGDMICGMAVAKINSRDILFWFRSHTAAEIKWGGAKHDPSDMDDGRRMHPRLSFKAFLQVVKMKSLSWSDYEMDAIHSLQLILRGALNDGIKATKGASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKAAELTGLRVDDAIGRHILTLVEESSVPVVQRMLYLALQGKEEKEVRFEVKTHGPKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRIEGDYKAIIHNPNPLIPPIFGTDEFGWCSEWNTAMTMLTGWHRDEVVDKMLLGEVFDSSSASCLLKSKDAFISLCVLINSALAGEETDKAPFGFFDRNGKYIECLLSANRKENAGGLITGVFCFIQVASHELQHALHVQQASEQTSLRKLKAFSYMRHAINNPLSGMLYSRNALKNTDLNEEQMKQIHVADNCHHQLNKILADLDQDNITEKSSCLDLEMVEFVLQDVVVAAVSQVLIACQGKGIRVSCNLPERLMKQSVYGDGIRLQQILSDFLFVSVKFSPVGGSVEISSKLTKKSIGDNLHLIDLELRIKHQGLGVPAELMAQMFEEDNTQQSEEGQSLLVSRNLLRLMNGDVHHLREAGVSTFILTIELASAPTAVGQ* >Brasy2G068200.1.p pacid=40066299 transcript=Brasy2G068200.1 locus=Brasy2G068200 ID=Brasy2G068200.1.v1.1 annot-version=v1.1 MASGVDRISALPDDVLHHVLHLLPAEDVVRTCVLARRWRGVWRSVPALCFTGVKGWGSADRFVQFVDHLLHLRCGAGGGPLDYCDFDFDSDGFMRLPVDERHASHWIWQAVPLARVLRVRVDEFGQEPSPLSELHLVSQHLTRIELVGVRVNNNVVDFSGCPALVELSMHRCDVFVTRLLSPSLKHLRLVLCYSSDYTRILISLPCLVSLELIKCQGKVPLLGSLPSVATTIVELDGDCSDRCSEHQFDGCDDCDGCRYYYEPGDDLNNCVFLKGLSEATDLELSAFPDVIVFNRDLQWCPTFTKLKTFVTQRLVFGC* >Brasy2G068200.2.p pacid=40066300 transcript=Brasy2G068200.2 locus=Brasy2G068200 ID=Brasy2G068200.2.v1.1 annot-version=v1.1 MASGVDRISALPDDVLHHVLHLLPAEDVVRTCVLARRWRGVWRSVPALCFTGVKGWGSADRFVQFVDHLLHLRCGAGGGPLDYCDFDFDSDGFMRLPVDERHASHWIWQAVPLARVLRVRVDEFGQEPSPLSELHLVSQHLTRIELVGVRVNNNVVDFSGCPALVELSMHRCDVFVTRLLSPSLKHLRLVLCYSSDYTRILISLPCLVSLELIKCQGKVPLLGSLPSVATTIVELDGDCSDRCSEHQFDGCDDCDGCRYYYEPGDDLNNCVFLKGLSEATDLELSAFPDVIVFNRDLQWCPTFTKLKTFVTQRLVFGC* >Brasy2G068200.3.p pacid=40066301 transcript=Brasy2G068200.3 locus=Brasy2G068200 ID=Brasy2G068200.3.v1.1 annot-version=v1.1 MASGVDRISALPDDVLHHVLHLLPAEDVVRTCVLARRWRGVWRSVPALCFTGVKGWGSADRFVQFVDHLLHLRCGAGGGPLDYCDFDFDSDGFMRLPVDERHASHWIWQAVPLARVLRVRVDEFGQEPSPLSELHLVSQHLTRIELVGVRVNNNVVDFSGCPALVELSMHRCDVFVTRLLSPSLKHLRLVLCYSSDYTRILISLPCLVSLELIKCQGKVPLLGSLPSVATTIVELDGDCSDRCSEHQFDGCDDCDGCRYYYEPGDDLNNCVFLKGLSEATDLELSAFPDVIVFNRDLQWCPTFTKLKTFVTQRLVFGC* >Brasy2G334500.1.p pacid=40066302 transcript=Brasy2G334500.1 locus=Brasy2G334500 ID=Brasy2G334500.1.v1.1 annot-version=v1.1 MATAAAAARPWDPTVSLHLGHPALVLLERCRGGARPFNTILAHMLRVRLAFETFPMSRLLYFATVAFPQYTREALLLFEHFTPRPNLYCYNLVLSALSSSQSRSVAFYKSMLASSASPDDKTFLSLLKSVGCASVGKQVHAHVLVNGLHSRVYLRNSLIKMYLDADDVETAEVMFQCAPVPDVVSCNIMLSGYVKGGCVVKALQLFRDMASREIGVDQYAAVALLSCCGRLKNTLLGRSVHGVVVRRMNIKDRGLILSNALLDMYAKCGEMNTAMRVFGEAKEKDDISWNTMIAGFANDGMLDLASKFFFDAPCRDLISWNTLLAGYGRCREFAAVMELFNDMLYSRVRPDKVTAVTLISAAVSKGALNLGKSVHGWVLKEHGTQDAFLASALVDMYCKCGNVKLAYAVFEKALDKDVTLWTAMISGLAVHGHGTEALDLFWNMQNEGVAPNGVTLVSVLSACSHAGLLDEGCKIFYTMQKRFNIEPRVEHFGCMVDLLARSGRLSDAVGLARRMPMKPSRSIWGSILSVSSSCQNTEVAEIASKELLRLEPTEEGGYVLLSNLYAAGGQWNYSNKVRENMEMRGVRKSAGASGLAVDDVPSYART* >Brasy2G199700.1.p pacid=40066303 transcript=Brasy2G199700.1 locus=Brasy2G199700 ID=Brasy2G199700.1.v1.1 annot-version=v1.1 MSGKGKRRSARLLKLEEQKNDDDDTADAGPAAVCLLDPWQIIRNSISGATRGKRKRNDEIQRLQQGEASCSQAADATTRTDKHLANKGSTGQIIEYILDMLELRDTHELFAMPDDIQVGDYAERVNRPGDFATLRQKNTDGMYKTLEQFENDVYMVFQKAMSINSQDTVPYKEATSLLEQAKQVFVSLKSNQMYSEPELLAWRQKHLDAAGPSKPAREQGGNDDVHAIAAAATPAQQRPSVTPTKKKAVVAEKRPQAIIKAGNVSADKSRAKPRAPREGKSTPGTGKRARKAPAPAEASGGARVVRKRLTYDEETDRGRGTTTAATPARIRERHATLLYHPQVQGHTYQDSLRRFVRHAGLKARVAAEFRSLECETRARQHSPSSPVSSSYRSGLSGGGAFSPGASAGGGGYPGPSSSPATAATPPPECKLETDGLLRLVMLVGTPAFLERAKQAFGDLTRREGSSSKGEEQQRAAAGAGDEAKAMVAVTAQRSTGGASDQGPVDSGPFAPPKLVPGRLGFGQFAGTSGRPFKLKPPPSKFPGRKKRE* >Brasy2G320300.1.p pacid=40066304 transcript=Brasy2G320300.1 locus=Brasy2G320300 ID=Brasy2G320300.1.v1.1 annot-version=v1.1 MAPCLLRGYGQPKQQSGRAHHDFPFLPLAARPKSRRGNIARPGNTFHLRTENFETI* >Brasy2G272700.1.p pacid=40066305 transcript=Brasy2G272700.1 locus=Brasy2G272700 ID=Brasy2G272700.1.v1.1 annot-version=v1.1 MEEFNLNGSGVPSRVLCLEPGADRWTDVPVLALPQLQHAVARGCPVTRVNLAGDHWHVYDFPGKRRYVEDQPTTTSVPLAWFDEGGTPFFPPGMAAAVPVAASAESCTVSEHQAVTIASRWTMDMAAVNRAEISVPGELAESIFREMESQMGINGVKLGWYGASPEDVRMASAGLFRSTNWHLLGPHRAYGRGLHFSPLRFPHLSMAIAEADHSGEAWVLLCRILQGVPMGIPLGSNQSHNMLRDLQSTGGLDNMLNPSWYVVWAEEMNKCVLPICMVSFMKRPAGPRRGSLVSWSPVDVNPEKLRKEIKRVLPSSQLQYLDSLFDSNMANAYVFFRSVTDLIGVDMYVGAVLKALER* >Brasy2G479600.1.p pacid=40066306 transcript=Brasy2G479600.1 locus=Brasy2G479600 ID=Brasy2G479600.1.v1.1 annot-version=v1.1 MEAVVSAGHGVLGPLLGKLGDLLAGKYGRIRGVRGQIQALQSELTSMHAALKGYTMLEDPDVQLKAWISLLRELAYDIEDCIDKFIHRLGKKGRRNGGFKEVFRKAARSLKTLGSRSGIADQIDELKTRIKHVKELKDSYKLSDTPCSTTDHTKVDPRLCALFAEDAHLVGVDGPRDDLAKWMLEEGNDTTKCHRKVLSIVGFGGLGKTTLANAVYRKIQEKFDCQAIVSVSQKPIIKEIIKDVFDQCQKKPKEDTYDWDERKSIQKLKELLQHKRYLIIIDDIWSTSAWDAIKYAFPENNCSSRIIVTTRIADVAKSCCLGIANRLYEMEALSDLHSRRLFFTRIFDTDNHCSDMLKEVSNEILKKCGGLPLAIISISSLLANRPAVKEEWEKVKRSIGSALEKNRSLEGMSSILSLSYNILPSNLKTCLLYLSAFPEDYVIDRERLVRRWIAEGFISEERGRSQYEVAESYFYELINKSMVQAVGFEYDGKVRACRVHDMMLEIIIKKSAEDNFMTVVAGGQTSLGNRHCFIRRLSIQHIDQELAYALENEDLSHVRSLTVTSPGCIKHLPVLAEFEALRVLDFEGCYLKKYDMNGMDKLFQLKYLGLRRMLISKLPQGIVMLGDLETLDLRDTRVQELPSGIVRLIKLQHLLVDDRIKIPDGIGDMRSLRVMSSFNASSSPADALDELGSLTSLDELKVCFECEESKEYKRHEEMLLSSLCKLGSCKLRSLEIKRTDRSLEFLDPWSPLPFSLQTFRMRNFGYFKIFPKWIAPALTSLTFLEIGCIALTDEDMVTLGELPALVRLFLLSKTREPDRIRFQGFPSLKQFRINSAVASIFTFVEGAMPKLEDLHVPFNVSVAETYGCYLRIGHFTCLKKVSVWLYNEGATPSQSKAAAAAIRNDAGAHPNHPTVLISLEPAEEDIEETGRNDEDDSKADGDAEGN* >Brasy2G375600.1.p pacid=40066307 transcript=Brasy2G375600.1 locus=Brasy2G375600 ID=Brasy2G375600.1.v1.1 annot-version=v1.1 MLKALPARFLASPEPGGWRRLRRRRSQQTVSAALMTNPSYFEVGRLLGSYGFMNITSYSSSQSGGLPNVAGTQDLDLGYSQEEIERLRVQNVGEGEVKIRLYEGRVVQGSLKGTQAVFKVYPGALAGASEANLMALNELRTHAFLQSDASNICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSERQLKERPGFWNPFDRAYKLELRRYFVLKTLNGAMCGLVHMHNHDRLHQSLGPSSVVLNTVAEKDGYYLIPQLRDLAFSVDIGYSSVGVGSLSDGLWHRASAAGALTPLEKRAFGIADDIYGAGLLLAYMAFIPFCEAGVMDGISLQRLLENTFRLDVYAAREYCMEDDQLLEAVKFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFITGAVL* >Brasy2G162500.1.p pacid=40066308 transcript=Brasy2G162500.1 locus=Brasy2G162500 ID=Brasy2G162500.1.v1.1 annot-version=v1.1 MIRGSRLIGLASRLVGAKGLSTEIFVSRLSFYTTEEELKDIFLPFGVIEEDSTWETNFCGERKGTWDWIGQIMSAPLLKCMVFLGLKALLSWYLVVENKSEE* >Brasy2G271300.1.p pacid=40066309 transcript=Brasy2G271300.1 locus=Brasy2G271300 ID=Brasy2G271300.1.v1.1 annot-version=v1.1 MRSQLHAAASSPPASALRAPPPALLRFYAFPGRFRISGGDVGGFRVRCSASHLPSGSNRGGAGVFDSAKRPGEKGIRSSAETLTPSGAENRVSLPAKDNVIEVNGLLARTSKWRYMVVYCKENYNTVTILSITFCLLHRIVVGQMQLIMKLLPQMSHTITSLPFACISDPVRKPVPLKLDVTFPPLPDVKWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFVGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFCLAIWGILFYSRLLSAMTEQFRNQMHKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKFGDSISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNFFSIPEVGGLKFKDVRRKIQDAVVCGIFRSGGIHFHPSEDEVLKETDKLLLIAPVCGRTKPQYTVLNVPAGTQNSGYYSDSKEGQRSSNVSTEMNETRIKNIAKRPSKSLSKSSECMLGPRECILIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINISKSAKCNKNVPLSIVVISDREWLIGDTVQTDKQLAYTLLLAENICQKNDITVQNLVSEIVDTGLGKQISRIRPSLSFIGAEEVMSLVTAQVAECSELNGVWKDILDAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDQKQHINPTSKLEPLSFEMRDSLIVISEFEGK* >Brasy2G271300.2.p pacid=40066310 transcript=Brasy2G271300.2 locus=Brasy2G271300 ID=Brasy2G271300.2.v1.1 annot-version=v1.1 MRSQLHAAASSPPASALRAPPPALLRFYAFPGRFRISGGDVGGFRVRCSASHLPSGSNRGGAGVFDSAKRPGEKGIRSSAETLTPSGAENRVSLPAKENYNTVTILSITFCLLHRIVVGQMQLIMKLLPQMSHTITSLPFACISDPVRKPVPLKLDVTFPPLPDVKWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFVGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFCLAIWGILFYSRLLSAMTEQFRNQMHKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKFGDSISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNFFSIPEVGGLKFKDVRRKIQDAVVCGIFRSGGIHFHPSEDEVLKETDKLLLIAPVCGRTKPQYTVLNVPAGTQNSGYYSDSKEGQRSSNVSTEMNETRIKNIAKRPSKSLSKSSECMLGPRECILIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINISKSAKCNKNVPLSIVVISDREWLIGDTVQTDKQLAYTLLLAENICQKNDITVQNLVSEIVDTGLGKQISRIRPSLSFIGAEEVMSLVTAQVAECSELNGVWKDILDAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDQKQHINPTSKLEPLSFEMRDSLIVISEFEGK* >Brasy2G271300.4.p pacid=40066311 transcript=Brasy2G271300.4 locus=Brasy2G271300 ID=Brasy2G271300.4.v1.1 annot-version=v1.1 MRSQLHAAASSPPASALRAPPPALLRFYAFPGRFRISGGDVGGFRVRCSASHLPSGSNRGGAGVFDSAKRPGEKGIRSSAETLTPSGAENRVSLPAKENYNTVTILSITFCLLHRIVVGQMQLIMKLLPQMSHTITSLPFACISDPVRKPVPLKLDVTFPPLPDVKWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFVGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFCLAIWGILFYSRLLSAMTEQFRNQMHKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKFGDSISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNFFSIPEVGGLKFKDVRRKIQDAVVCGIFRSGGIHFHPSEDEVLKETDKLLLIAPVCGRTKPQYTVLNVPAGTQNSGYYSDSKEGQRSSNVSTEMNETRIKNIAKRPSKSLSKSSECMLGPRECILIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINISKSAKCNKNVPLSIVVISDREWLIGDTVQTDKQLAYTLLLAENICQKNDITNLVSEIVDTGLGKQISRIRPSLSFIGAEEVMSLVTAQVAECSELNGVWKDILDAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDQKQHINPTSKLEPLSFEMRDSLIVISEFEGK* >Brasy2G271300.3.p pacid=40066312 transcript=Brasy2G271300.3 locus=Brasy2G271300 ID=Brasy2G271300.3.v1.1 annot-version=v1.1 MRSQLHAAASSPPASALRAPPPALLRFYAFPGRFRISGGDVGGFRVRCSASHLPSGSNRGGAGVFDSAKRPGEKGIRSSAETLTPSGAENRENYNTVTILSITFCLLHRIVVGQMQLIMKLLPQMSHTITSLPFACISDPVRKPVPLKLDVTFPPLPDVKWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFVGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFCLAIWGILFYSRLLSAMTEQFRNQMHKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKFGDSISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNFFSIPEVGGLKFKDVRRKIQDAVVCGIFRSGGIHFHPSEDEVLKETDKLLLIAPVCGRTKPQYTVLNVPAGTQNSGYYSDSKEGQRSSNVSTEMNETRIKNIAKRPSKSLSKSSECMLGPRECILIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINISKSAKCNKNVPLSIVVISDREWLIGDTVQTDKQLAYTLLLAENICQKNDITVQNLVSEIVDTGLGKQISRIRPSLSFIGAEEVMSLVTAQVAECSELNGVWKDILDAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDQKQHINPTSKLEPLSFEMRDSLIVISEFEGK* >Brasy2G271300.5.p pacid=40066313 transcript=Brasy2G271300.5 locus=Brasy2G271300 ID=Brasy2G271300.5.v1.1 annot-version=v1.1 MRSQLHAAASSPPASALRAPPPALLRFYAFPGRFRISGGDVGGFRVRCSASHLPSGSNRGGAGVFDSAKRPGEKGIRSSAETLTPSGAENRENYNTVTILSITFCLLHRIVVGQMQLIMKLLPQMSHTITSLPFACISDPVRKPVPLKLDVTFPPLPDVKWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFVGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFCLAIWGILFYSRLLSAMTEQFRNQMHKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKFGDSISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNFFSIPEVGGLKFKDVRRKIQDAVVCGIFRSGGIHFHPSEDEVLKETDKLLLIAPVCGRTKPQYTVLNVPAGTQNSGYYSDSKEGQRSSNVSTEMNETRIKNIAKRPSKSLSKSSECMLGPRECILIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINISKSAKCNKNVPLSIVVISDREWLIGDTVQTDKQLAYTLLLAENICQKNDITNLVSEIVDTGLGKQISRIRPSLSFIGAEEVMSLVTAQVAECSELNGVWKDILDAEGDEIYIKEIGLYMKEGEKISFSELSERAVLRREVAIGYVKDQKQHINPTSKLEPLSFEMRDSLIVISEFEGK* >Brasy2G107100.1.p pacid=40066314 transcript=Brasy2G107100.1 locus=Brasy2G107100 ID=Brasy2G107100.1.v1.1 annot-version=v1.1 MASITLLSLAPTATFLHLPACTTSSSHFAAISRPLTVRRALPLRARAPRRVTVVCSAAAAASEAEPVEKFRLDNLSPQKGSRQRPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLRDIAQGGFKDGEEISLESLKSKGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSSSAKEKLEAAGCTLTLLPKRKKWLPASYVKNQARAEEYFSKKNGGTGEPDEAST* >Brasy2G107100.2.p pacid=40066315 transcript=Brasy2G107100.2 locus=Brasy2G107100 ID=Brasy2G107100.2.v1.1 annot-version=v1.1 MASITLLSLAPTATFLHLPACTTSSSHFAAISRPLTVRRALPLRARAPRRVTVVCSAAAAASEAEPVEKFRLDNLSPQKGSRQRPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLRDIAQGGFKDGEEISLESLKSKGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSSSAKEKLEAAGCTLTLLPKRKKWLPASYVKNQARAEEYFSKKNGGTGEPDEAST* >Brasy2G001900.1.p pacid=40066316 transcript=Brasy2G001900.1 locus=Brasy2G001900 ID=Brasy2G001900.1.v1.1 annot-version=v1.1 MAEADDAQAQSRAHPPAAPPPTEVSGEPIQLPQVGAPNAAAPMFPLMYPMIMPGLYSQQAMNNQAQGPGIYAIQENQFTGAMGGYAPKTFIPLAYNIPTSESIGAVAGEEQGQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFLRWLQRAGGVAARPPQAPANRAPVAAQNDGNDQPPGGNLADPANPEQAVENQEPGAAAGNENGQQGADGEGNRRSWLGGILKEVQLVVVGFVASLLPGFQHND* >Brasy2G001900.2.p pacid=40066317 transcript=Brasy2G001900.2 locus=Brasy2G001900 ID=Brasy2G001900.2.v1.1 annot-version=v1.1 MAEADDAQAQSRAHPPAAPPPTEVSGEPIQLPQVGAPNAAAPMFPLMYPMIMPGLYSQQAMNNQAQGPGIYAIQENQFTGAMGGYAPKTFIPLAYNIPTESIGAVAGEEQGQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFLRWLQRAGGVAARPPQAPANRAPVAAQNDGNDQPPGGNLADPANPEQAVENQEPGAAAGNENGQQGADGEGNRRSWLGGILKEVQLVVVGFVASLLPGFQHND* >Brasy2G306000.1.p pacid=40066318 transcript=Brasy2G306000.1 locus=Brasy2G306000 ID=Brasy2G306000.1.v1.1 annot-version=v1.1 MPHFTGKRAPALASGPGGQRRPATSRTRRRGSSSPEPHRKRATGHGFDCGLVLGDVRDVANSTGAAARPKCRRNIAGGEQQRRRRAALLVDGSARLGEARKGDGDGAVAGVGGDGVDLAVDGALGSDFRVGLQSGAAVLPDMVARSMGGSGYGGARQRWRRTPVVFGARRARWGKLRALGEAQRRGDLLSASAFEIGPPHRSESYAQRTAEMGRRHARGGFERRQAGGGAGSGRAATQLLGEEDPDGWVPPVSGCWRVLGRAGLLAGLCRRGRGGESWADWAGGDTKQHNHPG* >Brasy2G458300.1.p pacid=40066319 transcript=Brasy2G458300.1 locus=Brasy2G458300 ID=Brasy2G458300.1.v1.1 annot-version=v1.1 MAPVVSAALGALGPLLSKLGGLLAGEYGRLKGVRREIRSLESELISMHAALKEYTELEDPSGQVKAWISLVRELAYDTEDVFDKFIRQLGKGGGRCSGLKEFLCKITLPLKKLGVRHAIADQIDDLKARIKQVKELKDSYKLDNIPCNASHHTAVDPRLCALFAEEAHLVGIDGPREDLARWMVEGGSDMTKQQSKVLSIVGFGGLGKTTLANKVYHKIQGHFDCRAFVSVSQKPDINKIIKDVISQVSLQDGFTKGTTDDWDERKSIAKLREFLEDKRYLVIIDDIWSIQAWNTIKCAFPENDCSSRIIATTRIVDVAKSCCPGTDDRMYEMEALSDLHSRILFFRRIFGSNARCPDVFEEVSNKILKKCGGLPLAIISISSLLANRPAVKEEWEKVKRSIGSSLENNQSLEGMSSILSLSYNNLLPNLKTCLLYLSAFPEDYEIGRERLVRRWIAEGFISEERGQSQYEVAESYFYELINKSMVQPVGFGYDGKVHACRVHDMMLEIIISKSAEDNFMTVVGGGQTSLANRHGFIRRLSVQHFDKAIASVLANEDLSHVRSLTVIALGCIKHFPSLSEFEALRVLDFEGCKDLEEYDLNGMDKLFQLKYLSLKGTNISKLPPGIVMLGDLETLDLWCTGVKELPSGIVRLVKLQHLLIPGGIKIPNGIGDMRNLLVMSSFCATSGRADALEELGNLTSLAELSLHFDSEGSNEYKRHEEMLLSALCKLGSYKLRSLEMQCFNYSLQFLDSSSHLPFSLQRFYFYGKYYFMNVSKWNAPALTNLTHLHIPLIELTEEVLLTLGELPALLYLGLWYKTGPEDRVTIHGFPSLKQFKIMGDVVSHFTFVKGAMPKLESLMVPFDVSVAKVYGFYLGIEHLPCLKHATVQLYNEGATLSESKAAAAAVRNEEGSHPNHPSVYIYGEPDEEDNEVTGSSNEDKSNEDGDTEGN* >Brasy2G232800.1.p pacid=40066320 transcript=Brasy2G232800.1 locus=Brasy2G232800 ID=Brasy2G232800.1.v1.1 annot-version=v1.1 MAPIIRFAILLSLLALATASNDNNTGYSNPQPQTPNNKLFLRVEGLILCQPCAHRNSQCLDAATPIPGAQVTVTCRDSKNRAKTSRVAKADGKGYFLAEIGVADGKQEFYEGDPARACFVRLLSSPDKSCNDLTNVRYGIEGAELRDEGKRWVADGLENVVYAAGPLAFRPGSCAPTKQY* >Brasy2G439100.1.p pacid=40066321 transcript=Brasy2G439100.1 locus=Brasy2G439100 ID=Brasy2G439100.1.v1.1 annot-version=v1.1 MTRTTGTTVLFLFLLLAAAATTTATARDDDLVGRRLEEEKAKAVPPGVSTEEAPQGHLRYRLVKGAIEKVIGRSSRLDMEIREAQG* >Brasy2G480300.1.p pacid=40066322 transcript=Brasy2G480300.1 locus=Brasy2G480300 ID=Brasy2G480300.1.v1.1 annot-version=v1.1 MGDNGDFVMLTRRDQLELLALVLRNREESLMNKAFKTEDDRVKYLGSVNEWYEQATSLLKDSSGLSDKYSSANHEHKTIAADIFDYTRYGINMSLQCIRNCSLRATCVARIREHYDSLATRLQDETVKLDKSLVQSLAEEAVTYKETMWQYTNNYRSAGSRAQSELYSKVIKQEGRTVADVVNRHKNMLGFEGEFESLAEKEQLEVYNSIITESKRASIPKLEKLSAGVGVAVLVFTAGLMVWDIVAADDHAEAALRNSLTALEMAGAFAVQLTVDMAVSGLLRTLAIGLTELVVSVSAFVLSVGAGLLFCAAVGPVIDKIIGTGGSTAPELAADLRFHALTMPDGMAIANSIAHEDDDH* >Brasy2G198200.1.p pacid=40066323 transcript=Brasy2G198200.1 locus=Brasy2G198200 ID=Brasy2G198200.1.v1.1 annot-version=v1.1 MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQARKKKKYVDLGCLVVSRKLFVWTVGVLVAAAAFAGIVTGIAEAIPKHHPQPPPPDEYTVALRKALMFFNAQKSGKLPKHNNVPWRGDSCLKDGRSDQAFRRDLSGGYYDGGEATKYNFPAAFSMTLLSWSVIEYSAKYEAAGELGHVRDTIKWGVDYFLKTFNSTADTIDRLVAQVGSGAVSPGSTQPNDRYCWMRPEDIDYPRPVVECRACPDLAAEMSAALAAASIVFKDNKAYSHKLVHGATTLWKFARDRGKRGMYNAGISDATKFYNSTNYFDEFVWGGSWMYLATGNTSYLELVTNPTLAKNAGAYKVDQDTGVFSWENKLPGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTCITMCSYLPVFKSFNRTKGGLIQLNHGNPKPLQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSVEILRSFARTQIEYILGKNPLKMSYVVGYGNHYPKHVHHRGASIPKKKGVHYGCKEGWKWRDSKKPNPHIVVGAMVAGPDRHDRFKDVRKNYNYTEATLAGNAGLVTALVALSGEAHGLDKNTMFSAVPPMFPSPPPPPAPWKP* >Brasy2G415500.1.p pacid=40066324 transcript=Brasy2G415500.1 locus=Brasy2G415500 ID=Brasy2G415500.1.v1.1 annot-version=v1.1 MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYDFMQKMLLPCGLPPERDED* >Brasy2G294900.1.p pacid=40066325 transcript=Brasy2G294900.1 locus=Brasy2G294900 ID=Brasy2G294900.1.v1.1 annot-version=v1.1 MWRRVLSSALTLGVGAGGAAIASSDDPAATLKICTHLPPRLLRDSVAATTIALDYQYSLWGLEPGTPAWLKARHETHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSFEEVRRVFRKDIGELPETVFAEFDPVPLASASLAQVHAATTHDGQKVAVKVQHDHLTDTSVIDIATVDLLVNALHYIFPTFDYRWLVDEIRESAPKELDFLCEAANSERCLDNFRRLSPKIANSIYAPKVYWSLSTPRILTMEYMDAKEVTDVKGIKDLGVCPADVSNLVNKAFAEMIFKHGFVHCDPHAANMMIRPLPQDSGKWLGRKRPQLILLDHGLYKELDYATRINYANLWKALVFADEKAIKEYSVKLGAGEDLHALFAGVLTMRPWKSVIDPSVGHLVLDGNNADRSEVQMYASLYFPQISELLRRLPRVILLMLKTNDCLRAVNHALVGGSPLESFETIARVSSEAVFEAKRTEKRFFLYRFIIWLEEIWLEVRFLTLKMWLPFMQLRKLLSA* >Brasy2G214900.1.p pacid=40066326 transcript=Brasy2G214900.1 locus=Brasy2G214900 ID=Brasy2G214900.1.v1.1 annot-version=v1.1 MAWEEEGVEDEHEEEMEASEEEEEEEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEANKITQEKERRRRIEARKKRKQEKEIALYGRVLSSDNADGDGDNDGGEMDEDLSAPAPEIKTYEDGRTRITVVTSEITHEDEDLGPKRVAPASTSFANKSSVTASAKKNPSLGVKKPAKRTMRSKSKGKKKGDKKRTDTSRRKNKG* >Brasy2G379000.1.p pacid=40066327 transcript=Brasy2G379000.1 locus=Brasy2G379000 ID=Brasy2G379000.1.v1.1 annot-version=v1.1 MLACIACSTKDGGEDGSRAVASPNGRDAGKSLTSQLKDMVLKFSGSGKQYKATGSPSFKSNRFHRSSRLAAYPGIIDESGFTSDGAGEAYSYMRTTTSAAPSSAWDRDKINRGFRPPHVRSPSASWIPSIGEEEEDDDDDDEEEAVVLEEERVPREWTAQVEPGVHITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFRQQGLSTPTSSVDDATQSFYSRGGSTRESPAPIPPPAAASSRERPPISRTASCKAASRAACYPSSAAVPDPSDHVWAHHLSLLNSAAAAGALGAAAAAPYDPSPRATTSSRGDEASVVSVSNASELEGAEQWVEQDEPGVHITIRELADGTRELRRVRFSRERFGEERAKVWWEQNRDRIHAQYL* >Brasy2G242100.1.p pacid=40066328 transcript=Brasy2G242100.1 locus=Brasy2G242100 ID=Brasy2G242100.1.v1.1 annot-version=v1.1 MAPPHKLAATTAGWPASAFVASVLARLIRKGLTLLAELDEAAAGHLRRLEGLLAPVWRVLDAADAGAIDFSQRPVQDLLDAACSADDALDDLEYELLQLDFEMPRGGKPSADAGAPASAVSASKKPQSPLRFLLCFSPPRTAAAGSSSSAATSHGKSSKKKKKRSSVNLDGLRDALETMAQAAYRCTSMYEHVAPRENYATTISVNARGATATLKAAPGLYDHVFGREPEVDQIMEKVTLGDDLHYRLGVGVLPVVGAEGVGKTALAQLIFHHEMVKSEFPVRMWVHVSGPLLPIRQLMVQMIHAVEEDGREIQDIRELLLEQLTGKRFLLVLDDVTDVSDIQWKDLMEVLRPAARRSLILVTTQSESMAKAICTMRPLIISPLAFDDYWKMFKHFAFGGADESQDCTLLGDEWDDLEREEDELSPMEQVAYKIAKKMGCLPLPARAIGRSLYFRQGEEDHWKNVLEADNMWEQQEIAEIPPALWLSYQHLDPRLKQCFAYSAVFPDNYVFRKEELEEMWIAQGLIYSDDPAARLEDVTSNFFDELVDRCFFQPLGSDKYVMHNMMQKLSQAVSVSQFYMVTDSSGEVPHEVRHLTITTNNLLKLKLDLALQLPTSSDNHFLQQCVRVLGLSSGNVTSLPAEIGFLRRLRYLNLSRNRITDLPETVCQLYLLQVLNVKCDSTFVRPPKGITNLIHLRHLHASELFLSGIPDIQNLKTLQELEAFHVGTSTTINALRQMVQLTGALRIANLRQSDVSDFKKGILKGMKHLNKLHLSWDSSTGESKEISIDEEVLECLQPHENIKVLIITGYAGIRSPSWMLNTSCSVLYATSVYLSDCTNWESLTSLHDMPCLEVLEIRRMHSLNKVGIVPQRSDQELFPKLKRLIIEDALHFTGWTTGNPTRNMIFPSLYKLEIRNCPNLTTFPDIPLSLAIMIIENVGLDMLPMIHDKQTSEEESISTPEEGRWTSRLTTLQIHQCHRMRSLGSGLLQQQHLLRSLEVLSIKSCNNIICDLSDGFKDLTALRELSLYDCPKLLVEKFHASLRTLEISECFIAQGGWVDEYPFLFSVWTLKISGCSHVSVDQGSEIEQLDWLSSLFNVYSLQVENTLFLKLSMFGKLHSLEIMEIDGSPTFFDDSSEFGWLEKLQTLSIRNCNELCGLPYNLYTLPALEELCIENCPSIQTLPANGLPASLKRVSISKCSPLLTHRCLHAELDRPKIANIGVVYIDGQYITPEE* >Brasy2G113300.1.p pacid=40066329 transcript=Brasy2G113300.1 locus=Brasy2G113300 ID=Brasy2G113300.1.v1.1 annot-version=v1.1 MGIINWVQNRLNAKQEKKRPPAAAVGSGRDNAPVRPERCREEINGAGAGNDWSLLSIGTLGNEPEPPPPPTMPDQVPDFTIDEVKKLQEALNKLLQRAKSKSSARGSTAGSGVGAGDDDQQLPLDRFLNCPSSLEVDRRLSLRLNQNGEFSPDTQIILTKARELLVGTNAGGGAIKQKSFKFLLKKMFACRGGFAPAPTLKDPVETRLEKLFKTMLQKKMSARPSNAASSSRKYYLEDKPMGKIRMDRFPDEDEDDNGEDEDIFKWDKTDSDFIVLEV* >Brasy2G104600.1.p pacid=40066330 transcript=Brasy2G104600.1 locus=Brasy2G104600 ID=Brasy2G104600.1.v1.1 annot-version=v1.1 MAAATEAGAAAAAAAISLFAYYLLLHKSGSKFPWSRTTGASGRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALKSGDLVCGGVVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAVEKSQIIEALGAIVERVRPVSITHRDHFVNIARRRALEANIASAQIESNDRQTNGSAYVNTKMLHTEQTDGSAHANTELSSTGQYCPNSDSKGGFFADQFENLANYRAHYEWTGPEIWEQTKGTIHAFVAAAGTGGTIAGVSRYLKEKNKNVQCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSRFLLRKDGLFVGSSSAMNCVGAARVARDLGPGHTIVTILCDSGMRHLSKFFNDEYLANHGLTPTATGLEFLDQ* >Brasy2G193800.1.p pacid=40066331 transcript=Brasy2G193800.1 locus=Brasy2G193800 ID=Brasy2G193800.1.v1.1 annot-version=v1.1 MVAQGIADAVHAYTGLSPAAAVTVLALMLATYLIVSTLFVAPDASASSTPAAPPKPPQQQEKGPATETEPEPFVPPFPDPVQVGQITLEQLRAYDGKDAAKSILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDASRALALMSFDPNDLTGDLEGLSPDEMEVLQDWEDKFKERYPVVGHLPSEKATVGDQNGAQLDHEGENASA* >Brasy2G084400.1.p pacid=40066332 transcript=Brasy2G084400.1 locus=Brasy2G084400 ID=Brasy2G084400.1.v1.1 annot-version=v1.1 MATTAARASPAMQQQADQAPSATPARYRPSPLVIFSACLVLLGAGGPLLLRVYFVHGGRRLWLSALLQLSGWPLLLPPLCVSLFRNRRHGIVDNLLLPPRLAGAAAVLGSFYALSCFVYAMGSQALPLSTSSLLLATQLAFTAVFALLFVGLRLTPFSANAVFLLTIGPAVLGVGPGSGKPAGEPAKAYWTGFCEAIAAAALAGLVLPLVEVAMERYGRKPTGPAASSSRVAPPPYSTVMQMQAVMGAAGTMVCLLGMGIKGDFGALTSEAAEFGLGKNNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVMFLHEKFDGPKGIALVLSLWGFASYIYGEKAQKKQEVQKSELLLLQQQQVAKKTGDLELAAP* >Brasy2G463900.1.p pacid=40066333 transcript=Brasy2G463900.1 locus=Brasy2G463900 ID=Brasy2G463900.1.v1.1 annot-version=v1.1 MVETWRVEEKRKMVDCCSGRYPWIIVNGLCRRFCVPSSFLFSNRAATVEAWLQSPAVDNLQELDLWSSPSIPFAHLHGYLPLQRSTIFRFSSTLRVTTIAYCYLPVSTVQGLQFPQLKQPGLIEVIMHCLMIRSSSGFRCVQVNSFSLTSISVSARFSQANDQFVELVIENAPCLKRLNLHIKVISVPKLETLRCHASEFCASTKFSFGSAAIQVTHPHFSAAVRTVKILAMEMKTLCVDTIIDFMRCFPCWRSYTLRLSFGVGSANNLWRRKHRNVIKSFDIRLKTIILGYYRGNPSDINFATFFVRSVQYNQVFLAEQEWKLQLDKRASKGAEFQFTTDRSICGMPHIDGKWHDLMVQGNDT* >Brasy2G212600.1.p pacid=40066334 transcript=Brasy2G212600.1 locus=Brasy2G212600 ID=Brasy2G212600.1.v1.1 annot-version=v1.1 MGGAGGGERRPWVEQEGERADEHGWSRRGRGRRRPPAGRRGRGPTRWSRRGRASAMGGAGGGEGRRAWVEQEGERAPPPACWPPGERADEVEQEGERPPPPACWPPPSIPLPAAAARIWPLPPPPQPLLAAARHSPAGRRRPDLAAPLLLLMPCCPTPYLLADGARPKSNKNRRRTEGEEYLWRAWWREGGRLVLAVDGGRDRAPRSVGEEELRIGDA* >Brasy2G169000.1.p pacid=40066335 transcript=Brasy2G169000.1 locus=Brasy2G169000 ID=Brasy2G169000.1.v1.1 annot-version=v1.1 MERPGEGDGAAGGGRGRGRWGGRGRELQREMGTAGGGRWGGRGREMGGRGREMGGRGREREREMGRPGEGVAEGDGDGRGREMGRPGEGDGRPGEEEAEGDGAAGGGRWAAGGGRWRWGGRGRELQREMGTAGGGRWGGRGREMGGRGREMGGRERERDRELGVARSEREMGTAGGGRWGGRGRKREMGTAGRRWGWPDLRGRR* >Brasy2G076800.1.p pacid=40066336 transcript=Brasy2G076800.1 locus=Brasy2G076800 ID=Brasy2G076800.1.v1.1 annot-version=v1.1 MAVSSSSLGSAKALACFHASHLAPRRTSTHLPSLRCLAHRSSDSLLPSSSTCSRLPSIKMCASPAPPAESKKTVWVWTENRQVMTAAVERGWSTFLFGSKDLAKDWSSTARILPLYIDGLEIFDEGNRKVAAISEISSPSELQLIQPDNVEVQNTVIHFQGDWQVIPAENIVAAFQGFEGTVLAVSKNSTEAQVFLEALGLYLK* >Brasy2G039300.1.p pacid=40066337 transcript=Brasy2G039300.1 locus=Brasy2G039300 ID=Brasy2G039300.1.v1.1 annot-version=v1.1 MPVRAILSRAALHTREADRPPARPCSALAKSKAPLLVRTQTRLMEVGSLQHLSDSFSYGWLTRAQAPPSFERLGNPRSSSFIDDGMDDVPAEAELFSMRWSTTAPAPASDFDFDLPRQEDEPGAGPPSPRMLVSSASHQIFRRLPCSPAGAQEDADGSMFRRALDAPSASASASPLFLSAQSTPVSMSSCSSARNSSSQAGPWLGPGRVRRSSSSSSSAAPWDKVLLRCLRILMPLYRKVKALAPRHRVAPAATAMASPGRGSGGVEWCHGNADTAVRDAILYCKKSSGQDVLP* >Brasy2G228500.1.p pacid=40066338 transcript=Brasy2G228500.1 locus=Brasy2G228500 ID=Brasy2G228500.1.v1.1 annot-version=v1.1 MASSLAARRLLSHAAAARRVLSCASPLPSSPSFRRLATDASPPPPLPPPPLQPTVDPPKSEGASSSDGAGAGGAYQAGHGAASGSRRPGGAGYEEEQEKVLRASLLHVPRMGWSESAMIQGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRVDAGEGEQLKNLILSERLSRLVRLRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEFWHAAGDGGSDIDWYVKRTVLGGIYSTSELYMLTDNSPEFRDTWTFVSRRIKDALDLGKSFKEVTYLAEAMGAGMGGSIQGVLNKVFQK* >Brasy2G238000.1.p pacid=40066339 transcript=Brasy2G238000.1 locus=Brasy2G238000 ID=Brasy2G238000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G309300.1.p pacid=40066340 transcript=Brasy2G309300.1 locus=Brasy2G309300 ID=Brasy2G309300.1.v1.1 annot-version=v1.1 MMIAESAVELVSLLAPVLVVLGVAAVIASASASASASAGGGAAREVEAQAGEWARFVFGSPEDDAELLPARMS* >Brasy2G415400.1.p pacid=40066341 transcript=Brasy2G415400.1 locus=Brasy2G415400 ID=Brasy2G415400.1.v1.1 annot-version=v1.1 MKPVVGIVVSNKMQKSVVVAVDRLFHNKVYNRYVKRTSKFMAHDETDDCNIGDRVRLDPSRPLSKNKHWVVAEVIRRAKMYVPSSAATASSELGSTAQQAATKSSA* >Brasy2G415400.2.p pacid=40066342 transcript=Brasy2G415400.2 locus=Brasy2G415400 ID=Brasy2G415400.2.v1.1 annot-version=v1.1 MDLTVCIKAWDEVSGLPPSMDIVRGVKVRLDPSRPLSKNKHWVVAEVIRRAKMYVPSSAATASSELGSTAQQAATKSSA* >Brasy2G086600.1.p pacid=40066343 transcript=Brasy2G086600.1 locus=Brasy2G086600 ID=Brasy2G086600.1.v1.1 annot-version=v1.1 MGAAGSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKGAGDGEENMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKECSATKEPPQNSSLQKNSNGPINGQPNGSHREPDTTWVHKCFQGILTNQTRCLRCETVTDRDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESVAKTS* >Brasy2G014700.1.p pacid=40066344 transcript=Brasy2G014700.1 locus=Brasy2G014700 ID=Brasy2G014700.1.v1.1 annot-version=v1.1 MAALRHLAAGATSSSFDLRRQPSCFLAGPRSLRLRSRLTRVYALSSNDIKVGLNLEVDGAPWKILEFLHVKPGKGAAFVRTKMRNYVSGNTVEKTFRAGSTIQEASISKETKQFTYKDGPQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTETDPGQGDSAQGGTKPATLETGAVVNVPSFVDVGDDVLIDSRTGQYMSRA* >Brasy2G149600.1.p pacid=40066345 transcript=Brasy2G149600.1 locus=Brasy2G149600 ID=Brasy2G149600.1.v1.1 annot-version=v1.1 MGRRSAEVPADPPLHLGLRGGDDGSGWGGGGGGQNCWGARRCSVSGMGGVTGAVPASSMAAALAGIWGRHGGPVAFGLGTGRRRHGSSCGVALVMTGKRSGACDDGGDSVSAGKVHGGYGGRNRGGPPSTLGLARGYAGVQPGGRRSLRCGGQVDGGLHRGGGGRNFDSGRRKHYLLVLLPSTSGEATQTSSATPSSSPVLPKPIASATPNEPPAPAPVGPRRRRRPYCNKGGRDGASAVPPPPPPPPPSPDVGSASAARFGLQQETEHGCGF* >Brasy2G340600.1.p pacid=40066346 transcript=Brasy2G340600.1 locus=Brasy2G340600 ID=Brasy2G340600.1.v1.1 annot-version=v1.1 SSAGSGSPHRCSANGIHVLPPRYGREDGSAAALGVVGAAGVLGLGRSGGAGCSRWRRSWVWEGPLGASMAADLGVGGAGGRVGGGAGGGRGRGGAGAGQERRRWVLPMATELGVGGAAAVLGVVGGAAVLGLGRSGGVGLWVQQERKRAGEEAELGAGVAWMQ* >Brasy2G396700.1.p pacid=40066347 transcript=Brasy2G396700.1 locus=Brasy2G396700 ID=Brasy2G396700.1.v1.1 annot-version=v1.1 MLDAVAVLCRVVSAQRLPRPNTSTAGLPIERRSTAGLPIEHRSTAGRPNRTPAPPQPDPTPAPPASPTRPQRSPNPTPAPPAAPSRPAAPPASPSRPTAPLASPASATAAQIPIRAQPNPHPRAPNQIADHSREGREGKGRRTCARREPTVAFGIPYRDAQFGSPDAQYRGRLSFGREKGALLENVFASRNLSLRIGGEFFLPGRHYDSGKGGGSTHGDMAEEADGPDARALVAAAGATVGAADDSLPICEPVAEDDAECRPTDWAGTGDELGRIWRMMRPYMERVPGCL* >Brasy2G133700.1.p pacid=40066348 transcript=Brasy2G133700.1 locus=Brasy2G133700 ID=Brasy2G133700.1.v1.1 annot-version=v1.1 MSANNPSPSLLLSLGLPNSTAPIISPSSLVLALTLALPTPRSSSSPLDDDDLLREILVRLPPNPSSLPRASLVSHRWHQLVSDPRFARRFRLRHRRSSPGAPLPTGPFPRLHKYPNVREDFDLPGCRHGFLLVLRPYRNKFLVWDIISSREHRVDIPPGMEMQRRDMFPADPGSGEGRATITSITTISGAVLRATGDGDDGGHFKVVVAGTDETQNTRLLASVYSSETGVWGQVVSTPPPPEDAVPAGFLPGRSAVLLGDCLYWLLIYNLGILEFDLGRQTLSLIAALVDMSSESINDIMVMPVAEGAGWLGFLVLTDIVIIYFPWLQLEQRVAPGIFVSGC* >Brasy2G092400.1.p pacid=40066349 transcript=Brasy2G092400.1 locus=Brasy2G092400 ID=Brasy2G092400.1.v1.1 annot-version=v1.1 MSSAPPAHQSRPSHNRRHPNPGPRHQQQQQQRYVPKSAAPSAPKPSSPPSLTTALRSSTEPSASGAGSGSGGGAADGFVAYLPHDEAVAAGLGGLDVQESQSVVDLLNDALASLLRAKPRDFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRRPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGPIESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNGKLISSLVTNAIIVQPNVLDGVNVVIPQFLGIFHTMQERCMKSLQALSSPGPNDNGHAQLQKDFSEVLDFVNDAIITLDAFADAYQPAALLLCSSFETGYGVEELLNALARLYDLLLPSLLQGFQVMSSSQSNREASSDNRLKDIVLGIRMLSKRAVSFGWTLLEFCYLNYQLKEHDVQASTKMFPAKVEDPMIRGEIIVQTLKDINSEATYSSQGNPGKTFLQALQKEFQLMGRIGDIRNKGWIYMDDEQFQFISRLCGSTGTPSNSVPDLPVSSRGGELEQKNEEAAIIESKISQIREIFPDYGKGFLSACLEAYNQDHEEVTQRILEGTLHQDLLALDTSLQEMPQQKPAPSTGRDKGKGILVETLPTITNKPHKVEAQSSSVSSASKAPTSYISSVSSASKAPTSSVSSVPQGRFTRKSNDSLPDYAVLDSQKAKDAIKSAVLESHYEYEDEYDDSFDDLGFSVVESSYEETEGANDVETSSSGPRWASQKQPQFYVKDGKNYSYKVAGSVAVSNAREAAVLNQTQKDTIHGLGRGGNLPMGVPNRQHRVVEEEEGGHANNFSRGGSNPRGRGRRGGWDHGDPSEENENSSNRQGFGRGGRRGGRNHGNMPEVNDGQQGFGRDARRGARDEDNRPEVNNYSNGQQGLGRGARRGARDEDKRPEVNNHSNGQQGFGRGARRGARDEDNQTEVNNNSDGHQGFGRGARRGGRNHGDPAEDNEDHNAAQGFARGGPRGGGGRRGGRNHNRRDQALRKHMQGMTGL* >Brasy2G092400.2.p pacid=40066350 transcript=Brasy2G092400.2 locus=Brasy2G092400 ID=Brasy2G092400.2.v1.1 annot-version=v1.1 MSSAPPAHQSRPSHNRRHPNPGPRHQQQQQQRYVPKSAAPSAPKPSSPPSLTTALRSSTEPSASGAGSGSGGGAADGFVAYLPHDEAVAAGLGGLDVQESQSVVDLLNDALASLLRAKPRDFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRRPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGPIESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNGKLISSLVTNAIIVQPNVLDGVNVVIPQFLGIFHTMQERCMKSLQVLDFVNDAIITLDAFADAYQPAALLLCSSFETGYGVEELLNALARLYDLLLPSLLQGFQVMSSSQSNREASSDNRLKDIVLGIRMLSKRAVSFGWTLLEFCYLNYQLKEHDVQASTKMFPAKVEDPMIRGEIIVQTLKDINSEATYSSQGNPGKTFLQALQKEFQLMGRIGDIRNKGWIYMDDEQFQFISRLCGSTGTPSNSVPDLPVSSRGGELEQKNEEAAIIESKISQIREIFPDYGKGFLSACLEAYNQDHEEVTQRILEGTLHQDLLALDTSLQEMPQQKPAPSTGRDKGKGILVETLPTITNKPHKVEAQSSSVSSASKAPTSYISSVSSASKAPTSSVSSVPQGRFTRKSNDSLPDYAVLDSQKAKDAIKSAVLESHYEYEDEYDDSFDDLGFSVVESSYEETEGANDVETSSSGPRWASQKQPQFYVKDGKNYSYKVAGSVAVSNAREAAVLNQTQKDTIHGLGRGGNLPMGVPNRQHRVVEEEEGGHANNFSRGGSNPRGRGRRGGWDHGDPSEENENSSNRQGFGRGGRRGGRNHGNMPEVNDGQQGFGRDARRGARDEDNRPEVNNYSNGQQGLGRGARRGARDEDKRPEVNNHSNGQQGFGRGARRGARDEDNQTEVNNNSDGHQGFGRGARRGGRNHGDPAEDNEDHNAAQGFARGGPRGGGGRRGGRNHNRRDQALRKHMQGMTGL* >Brasy2G060900.1.p pacid=40066351 transcript=Brasy2G060900.1 locus=Brasy2G060900 ID=Brasy2G060900.1.v1.1 annot-version=v1.1 MAVSLRSPPPVPASAFPRSRAVVVRASSSSSSSSSTAVSSAPKARFVARRSESTVVQQLARPLAEYMGLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCIRLLSCKLEGSPLVEAQNDKFSASMANRVFCNNSSDGSQRLTSDATIEVTIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLKQLDKDYQAWASGDSSRKPLGTGEI* >Brasy2G387600.1.p pacid=40066352 transcript=Brasy2G387600.1 locus=Brasy2G387600 ID=Brasy2G387600.1.v1.1 annot-version=v1.1 MAAAAQDEATAPPAPIPLLTPYKMGESMKLAHRVVLAPLTRQRSPGNAPQPHAVVYYAQRATAGGLLVTEATGVSAAAQGHRPTPGVWTAEQAAAWGPVVGAVHARGAVFFCQLWHVGRVVGALCPPPEPDGTMQQPVSSTYRRIGAQMHDGVVEEFATPRRLAAEEIPGIVDEFRKAARNAIDAGFDGVEIHGAHGYIVEQFLKDSVNDREDDYGGSLENRCRFALEVVEAVAREVGGHRVGVRLSPFADYMDCHDSDPHALALYMSTKLNDYGILYLHMVEPRMARLDGRRVVPKRLLPYRQVFKGTFIVAGGYDREEGNKVVSEGYADLVAFGRLFLANPDLPRRFGLGAELNKYDRATFYTSDPVVGYTDYPFLDR* >Brasy2G089700.1.p pacid=40066353 transcript=Brasy2G089700.1 locus=Brasy2G089700 ID=Brasy2G089700.1.v1.1 annot-version=v1.1 MASSSSSSSPPQRRSVFDAAYIRAEFDGAGIAPHFIPLIWKYVLQNPRCGDLDGVPSLPAAAYALLRNKFRPTTSTLTAAAESKDRTTTKLLIRLQNGESVEAVVMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASLYSQIRNVVFMGMGEPLNNYTAVVEAIHVLTGPPFQLSPKRITVSTVSFNCVGIIHSINKFNSDLPNINLAVSLHAPDQDIRCQIMPAARAFPLGKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQSVKKFQKVLRGVYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI* >Brasy2G089700.2.p pacid=40066354 transcript=Brasy2G089700.2 locus=Brasy2G089700 ID=Brasy2G089700.2.v1.1 annot-version=v1.1 MASSSSSSSPPQRRSVFDAAYIRAEFDGAGIAPHFIPLIWKYVLQNPRCGDLDGVPSLPAAAYALLRNKFRPTTSTLTAAAESKDRTTTKLLIRLQNGESVEAVVMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASLYSQIRNVVFMGMGEPLNNYTAVVEAIHVLTGPPFQLSPKRITVSTVGIIHSINKFNSDLPNINLAVSLHAPDQDIRCQIMPAARAFPLGKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQSVKKFQKVLRGVYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI* >Brasy2G471700.1.p pacid=40066355 transcript=Brasy2G471700.1 locus=Brasy2G471700 ID=Brasy2G471700.1.v1.1 annot-version=v1.1 MTTTQQPDAGGGGNPTAGFVKVDLTAGSFKVQSPYNVPESQRYSYRNGVRQFWVLDTDKPFNTVTHTNPRTEVMIEGVCLKGHDYSKGVWQFEGYGYVPSGTLGASVMQIHCEEGATHATVLMLHVYDGVLRFYDGQVVEKDIYDRWFRLNVVHDTDRSAVTVYVDGQQRYATGVIPSRSYYFKFGVYMQHHDWSPCMESRWTNVTIYTKP* >Brasy2G399200.1.p pacid=40066356 transcript=Brasy2G399200.1 locus=Brasy2G399200 ID=Brasy2G399200.1.v1.1 annot-version=v1.1 MEAAAAAGAAGLTRWQAAALSAAAGWVWAASYFDLTRRARALTQPWVTRRVHAETPSILRFQRTQHRLLDNFFSMLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYLGNAVKDLVSAPRPCSPPVRRVIATEDEKENAMEYGLPSSHALNTVCLMGYMLHYVLTYGPCSAFMVATCLSLAFLLVMLIGIARIYLGMHSLTDVIAGICFGAGILAFWLVVDDHVDAFVVSGQNVTFFWASLSLLMCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPNVPLIFSQQLPLLAYTGRVLVGIPTILAVKSCSKALSKWLLPVMCNTLGIPIVSSCYVPALKVSNSSSSKSDAKQAGYLQRVFSLFPQKAYDVDTGIRFVQYAGLAWSVVDLVPAIFTHLNL* >Brasy2G153700.1.p pacid=40066357 transcript=Brasy2G153700.1 locus=Brasy2G153700 ID=Brasy2G153700.1.v1.1 annot-version=v1.1 MAPGAPKATTKLQVSGSTRWKVEPELLSPSPPASCADREEGERRRHRSRGGDRRDLEQSPLLPPETEAAPILPSRRPKLSAPVGDPESNRRTRNPPLRAQVLPFPSLPSLLAVGDLVGGGWGFRRLWPRQGRPAVLWGGMGRPGALRCGMGWPAARGGEAGGARGRELGMGRRRWWVGWGGAALRGGMGRPAAVGGMGRDGAAAAGDGMGRDGAAAGCGIGRAAAVGGMKWRWEGARQKSRESRERPKRGESRERPKMKWRWEMRRISIHIM* >Brasy2G256000.1.p pacid=40066358 transcript=Brasy2G256000.1 locus=Brasy2G256000 ID=Brasy2G256000.1.v1.1 annot-version=v1.1 MIWSTKYFQAMKLTSTTKQLIHRCKIQRACPSVKFAFWPFKFLLIQPAVSFALAISFLPDLGSSSPPCTTDLRFSRSPTAAPSQLSAVPHAGSSLRLAAHPAHHRCSSHLPLFTGRGSHREGGTTRRKTRLQASAERAPRLGDRRGGGTACTELLAGEAAGCCPAAFLRHQHGEEETELRSRGFGPARRLQAAGRRVW* >Brasy2G424700.1.p pacid=40066359 transcript=Brasy2G424700.1 locus=Brasy2G424700 ID=Brasy2G424700.1.v1.1 annot-version=v1.1 MATQQLASIFLFFLAAGEGAASAPSAIISKTCARASNFSATTNVGYDYCVGVLTADPAAAAANSTRALLGDRPTVTTITSIQSAERCSTHSHRLPNLIRGHCSAADCPLLSADLVLDNVTSTVLVLDNLVYNIARCLGYYGGINYTVTTALDDIRAGHAEAAAGKLSRAAAEPGRCDSALSKGSAKKNPMRKENHDAGSLSYTAYGITMEALHAKLAAPPATITKACAGLSNFTKHADYDFYVGALAADPAAAAAKDARALAVVAANLTAANVSSTLLKDMSKTVAAAAYYIGAGGAGAASDLLQEAARQPNNCDILLFQGSAHKNPMMKENVDAMELTWHMPSPC* >Brasy2G311800.1.p pacid=40066360 transcript=Brasy2G311800.1 locus=Brasy2G311800 ID=Brasy2G311800.1.v1.1 annot-version=v1.1 MSRRGLPTNLRFHNICIHAFGKWRRLDKSLKLFATMKAATPPLVPDICTYNSVIRVLVIGGRVADALVVFDEMKSAGIHPDVFTYRAVVDGCCKSFRMDDALHMFQEMRGSIGLKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRKKGQLLDGIAYSIMVREFCKQGTGDQVEEAVGLVKEMEERGFVIDLVTITSLLIGFNKSRRWDLEEHIVKIIRDSSVLPDAIRWKSNMMDALRGPHERGKDGTPIFPFDGNMNDVMSLLKPVVYADTNEETTQNEPKDDWSLSPHLDHLAKHADHLTNSAIFTTHKGQRVQGMGGKTFDADMVNTYMSIFLAKGKLSVACKLFDIFTNLGRKGTSYTYNSLMTSFVKKGYLKQVWAVLHERGGQLCPNDIATYNLIIQGLGQMGKTEVASSIMDQLSKKGVYLDIVMYNTLINQLGKVGKVEEANCLLEQIITRGMKPDVVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNHATETILIFLDKEIEKKRQQPK* >Brasy2G119000.1.p pacid=40066361 transcript=Brasy2G119000.1 locus=Brasy2G119000 ID=Brasy2G119000.1.v1.1 annot-version=v1.1 MDANGPGHGDNEARAPLLAGQRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLLEGEKEYYEKQFATLRSFEEVDSLEESNIISEEEELLEQRQSEFAMKLSNYANIVLLALKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFLQAVQKLVVNETPDKLTPVQLMWLYLIMIFATVVKLTLWLYCRTSGNNIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPVGAIVLAIYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHDPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP* >Brasy2G110500.1.p pacid=40066362 transcript=Brasy2G110500.1 locus=Brasy2G110500 ID=Brasy2G110500.1.v1.1 annot-version=v1.1 MDQENGSGEVSRRVPRPVPGSYGIPFISAIRDRLDFYYFQGQDKYFESRVEKYGSTVVRMNVPPGPFMARDPRVVAVLDAKSFPVLFDVAKVEKKNLFTGTYMPSTSLTGGHRVCAYLDPSEPNHAKVKQLLFSVLASRKDAFIPAFRTHFSSLLATVESQLVLAGKSDFNALNDATSFEFIGDAYFGVRPSASADLGTTGPTKAAKWLIWQLHPLVTLGLPMILEEPLLHTVHLPPILVSRDYKALYKYFSAAAGPALDTAESLGLPREEACHNLLFATVFNSYGGLKVLLPGVLARIAGAGEKFHKKLAAEIRAAVEDAGGKVTMAAVEKMELTKSAVWEALRLDPPVKFQYGRAKADLSIESHDDKVFAVKKGEMLFGYQPCATKDPRVFGATAREFVGDRFVGDEGRKLLQYVYWSNGRETENPGVGDKQCPGKNLVVLVGRLLLVELFLRYDTFTAGVGTDLLGTKVEFTAVTKATSGPEAA* >Brasy2G102600.1.p pacid=40066363 transcript=Brasy2G102600.1 locus=Brasy2G102600 ID=Brasy2G102600.1.v1.1 annot-version=v1.1 MWGKRANRMGAPLGTTTVAALVYVLVAGLSAAAAAGPVAGGGEQPLSKIGIHRTTIAIQPGASIDVSPLLLGLVGQDQEWVTLRYSNSKPFSDDWIGVFSPANFNDSICTSENQWVEPPLLCTAPIKLQYANYTSIDYAKTGKGSLRLQIINQRSDFSFALFSGGLSNPKLIAHSNRVTFVNPKAPVYPRLAQGKSWNEMTVTWTSGYSTKEATPFVEWGIQGQIQILSPAGTRTFSRNSMCGPPARTVGWRDPDFIHTSFLKELWPNLKYTYRIGHRLSNGPIVWGRQYSFQAPPYPGEDSLQRVVVFGDMGKAEFDGSNEYNDFECGSINTTNQLVKDLKNIDMVMHIGDICYANGYLSQWDQFTAQVEPIASTVPYMVASGNHERDWPGSGSFYGNLDSGGECGVPGYQPRTCSTYRQRTASSSGTRRTMGCSVSAWPTRSWTGALARSSTSSSSTASRPWTGRSSRGSSSWRTACWATRRPPSTATRARRRSPWGGRASSSSGRSTGSTSPCTATSTATSEPAPCTRTCAWPRGRTGTAARSRRRHTWWWAAAGRALRRTRRPRPGGATRGTWSTGSPSSRRSTTPRCCWSTSGAGTAACGTASPCHATTATSSPAASTTAAAPPWRLDDPFLLFCCSVFGQLHLFFFLFFFEISVGTNWSRWDEECTKVLLFPSEKEVCLILDETTGPATLLPLSLLSFLSSSSIKRLVTIVS* >Brasy2G124300.1.p pacid=40066364 transcript=Brasy2G124300.1 locus=Brasy2G124300 ID=Brasy2G124300.1.v1.1 annot-version=v1.1 MENVLLDRSSGSFESFPRRDVAYYGAGFHPGLGAAYGYLPTYCPQYHHFQKGGAWSSAPAPALPRGRAMRPQIYSSPSLPLLPSNQPPLLPLPPTATKSYGPAFPYPPTPTPPRSVSIRPAVMANAVPAAPAAASRQGQGDRRRRRPARPPPEQARAQKKTRPLERAAPLPPAPAVAEALDDLEREVARTFVQDLLHALAPPPSSLPLPTFSLVMKTSPSGKLVVPAAAPSCNAEVANADGLRRLLRL* >Brasy2G410100.1.p pacid=40066365 transcript=Brasy2G410100.1 locus=Brasy2G410100 ID=Brasy2G410100.1.v1.1 annot-version=v1.1 MDSEQQRLARGGGVGISIDGKAAAVREASFRVYYSLRAGAVPFLWESAPGTPKCGGAVSRLEDSSPLPVETANGGTSTTSTMLLLPPISPPPLYSYQSSSSQAKGRRRPSSWTAGGVMRALLGVLGLRKSRRRRRRPA* >Brasy2G471600.1.p pacid=40066366 transcript=Brasy2G471600.1 locus=Brasy2G471600 ID=Brasy2G471600.1.v1.1 annot-version=v1.1 MLEERLGDAAVGRAFLLQGGDCAESFKEFGANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPVETRDGVTLPSYQGDIINNDAFDERSRAPDPQRLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRHSAQGERYVELAQRVQDAIGFMFAAGLPRQHPMMTTAEFWTSHECLHLPYEQALTREDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTLITRMGAENMRVKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSNTVTFDDLSSRYRTHCDPRLNASQSLELAFAIAERLRNKRNRTWNSLISRVEA* >Brasy2G453800.1.p pacid=40066367 transcript=Brasy2G453800.1 locus=Brasy2G453800 ID=Brasy2G453800.1.v1.1 annot-version=v1.1 MSPRLDRSELAAGRPAATYVLARRGQNQSAGPRARHRAFAPSLPVPLCVFVPARVFSCRGRTRSATSLGAWLPAAFFPWNSRRMTG* >Brasy2G446300.1.p pacid=40066368 transcript=Brasy2G446300.1 locus=Brasy2G446300 ID=Brasy2G446300.1.v1.1 annot-version=v1.1 MDSSLDMSGKFSYQDDGDSVPSRSLKNFSLLLSLSALYAPIVILKYIDLLSKLRRSQNSEEVPINKRLAYRVDIFLSLHPYAKPLVLLVATLLLIGLGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAAGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQISIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGIKMASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVRRGYPPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFTRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSDVFRGSFSEGSWMGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEQGNEMQIRQSDLYLREDEELNFFEVMLRARQRKEVVIGYRLKDAEHAIINPPDKISRRRWSPKDVFVAIAEKE* >Brasy2G446300.2.p pacid=40066369 transcript=Brasy2G446300.2 locus=Brasy2G446300 ID=Brasy2G446300.2.v1.1 annot-version=v1.1 MPLDPDPSPPTPQRDWFFPPAPPFLPSSSSRTLAARAPFPSTSRSYKPYSLADRRPPPPPTPRSRSRSPHPSPEQKQQQPSAPRRRDPRYAGVRRGDARSAPASAAPAAAAAPLVPERKSSSPAAATLRWSGMVSAAAILLCFASLLRRNFSLHDQVHHLRGQLSEATSKLQSCIIVMDSSLDMSGKFSYQDDGDSVPSRSLKNFSLLLSLSALYAPIVILKYIDLLSKLRRSQNSEEVPINKRLAYRVDIFLSLHPYAKPLVLLVATLLLIGLGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAAGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQISIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGIKMASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVRRGYPPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFTRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSDVFRGSFSEGSWMGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEQGNEMQIRQSDLYLREDEELNFFEVMLRARQRKEVVIGYRLKDAEHAIINPPDKISRRRWSPKDVFVAIAEKE* >Brasy2G446300.3.p pacid=40066370 transcript=Brasy2G446300.3 locus=Brasy2G446300 ID=Brasy2G446300.3.v1.1 annot-version=v1.1 MPLDPDPSPPTPQRDWFFPPAPPFLPSSSSRTLAARAPFPSTSRSYKPYSLADRRPPPPPTPRSRSRSPHPSPEQKQQQPSAPRRRDPRYAGVRRGDARSAPASAAPAAAAAPLVPERKSSSPAAATLRWSGMVSAAAILLCFASLLRRNFSLHDQVHHLRGQLSEATSKLQSCIIVMDSSLDMSGKFSYQDDGDSVPSRSLKNFSLLLSLSALYAPIVILKYIDLLSKLRRSQNSEEVPINKRLAYRVDIFLSLHPYAKPLVLLVATLLLIGLGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAAGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQISIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGIKMASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVKEAVFIDIVRHERNPQKILLCGMRRDIDDMIVVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFTRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSDVFRGSFSEGSWMGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEQGNEMQIRQSDLYLREDEELNFFEVMLRARQRKEVVIGYRLKDAEHAIINPPDKISRRRWSPKDVFVAIAEKE* >Brasy2G446300.4.p pacid=40066371 transcript=Brasy2G446300.4 locus=Brasy2G446300 ID=Brasy2G446300.4.v1.1 annot-version=v1.1 MDSSLDMSGKFSYQDDGDSVPSRSLKNFSLLLSLSALYAPIVILKYIDLLSKLRRSQNSEEVPINKRLAYRVDIFLSLHPYAKPLVLLVATLLLIGLGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAAGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQISIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGIKMASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVKEAVFIDIVRHERNPQKILLCGMRRDIDDMIVVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFTRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSDVFRGSFSEGSWMGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEQGNEMQIRQSDLYLREDEELNFFEVMLRARQRKEVVIGYRLKDAEHAIINPPDKISRRRWSPKDVFVAIAEKE* >Brasy2G334200.1.p pacid=40066372 transcript=Brasy2G334200.1 locus=Brasy2G334200 ID=Brasy2G334200.1.v1.1 annot-version=v1.1 MSAAVAAVGEAAAAAAKKRAAARSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDDNVIPVVEELRRRLAPLSGQHDGKDLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSKNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGATSPVSGSGVQNWFAASPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNANHGYIFKWVVLISGLVCALMFVFIVAYARHKGLVGS* >Brasy2G334200.2.p pacid=40066373 transcript=Brasy2G334200.2 locus=Brasy2G334200 ID=Brasy2G334200.2.v1.1 annot-version=v1.1 MSAAVAAVGEAAAAAAKKRAAARSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDDNVIPVVEELRRRLAPLSGQHDGKDLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSKNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGATSPVSGSGVQNWFAASPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNANHGYIFKWVVLISGLVCALMFVFIVAYARHKGLVGS* >Brasy2G143100.1.p pacid=40066374 transcript=Brasy2G143100.1 locus=Brasy2G143100 ID=Brasy2G143100.1.v1.1 annot-version=v1.1 MEVVASAPGKVLVAGGYLVLERPNPGLVLSTTARFYAIIRPIHDELSPNSWAWAWSDVKVTSPQLSREATYKLSLKNSMLQLTSARESANPFVEQAIQFSIAAAKVTITDKEKKDALDKLLLQGLNITILGCNDFYSYRNQIEAHGLPLSPEVLLSLPSFCSITFNSEDANGTMTGEKCKPEVAKTGLGSSAAMTASVVAALLHYLGAVNLLCLGRSSSDSVTGRDLDLVHAIAQSSHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGSCLPDVVADLVTRRWDHAKTQFCLPPLMCLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKDTWSKLGTANSVLENQLRNLNKLAEDHWEAYESVVRSCSHLTCMKWTEVATNQHQKLVVMSLLAARDAFLEIRLHMREMGVAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVASFWSSVGVLPLLVREDSRGVSLEAGDPRTRDVSTAVASIQID* >Brasy2G143100.2.p pacid=40066375 transcript=Brasy2G143100.2 locus=Brasy2G143100 ID=Brasy2G143100.2.v1.1 annot-version=v1.1 MEVVASAPGKVLVAGGYLVLERPNPGLVLSTTARFYAIIRPIHDELSPNSWAWAWSDVKVTSPQLSREATYKLSLKNSMLQLTSARESANPFVEQAIQFSIAAAKVTITDKEKKDALDKLLLQGLNITILGCNDFYSYRNQIEAHGLPLSPEVLLSLPSFCSITFNSEDANGTMTGEKCKPEVAKTGLGSSAAMTASVVAALLHYLGAVNLLCLGRSSSDSVTGRDLDLVHAIAQSSHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGSCLPDVVADLVTRRWDHAKTQFCLPPLMCLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKDTWSKLGTANSVLENQLRNLNKLAEDHWEAYESVVRSCSHLTCMKWTEVATNQHQKLVVMSLLAARDAFLEIRLHMREMGVAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVASFWSSVGVLPLLVREDSRGVSLEAGDPRTRDVSTAVASIQID* >Brasy2G314800.1.p pacid=40066376 transcript=Brasy2G314800.1 locus=Brasy2G314800 ID=Brasy2G314800.1.v1.1 annot-version=v1.1 MGARRGSPSSGCKALTLLFILLVLLATAAHDASAARMGPWAGAGSGHGHGAAGPRAARLLTGPGGASCCTYDRNTVGNSCCP* >Brasy2G472300.1.p pacid=40066377 transcript=Brasy2G472300.1 locus=Brasy2G472300 ID=Brasy2G472300.1.v1.1 annot-version=v1.1 MMAAGDQEKQAPVPRVVSILSALLERVAERNDVAAAAPEKKEEKAVSAFQGLTKPAISVGVYLERIFRFAGCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGVAFDLNVTPATFDSYCSVLQAEMAFLDHPPPSPVDDVSPALLQHQDQVDAGRHRHEQQQLTV* >Brasy2G067700.1.p pacid=40066378 transcript=Brasy2G067700.1 locus=Brasy2G067700 ID=Brasy2G067700.1.v1.1 annot-version=v1.1 MKLESGVQDLSSQLLRAKYLGEAGFFQSVGAGGSQFWKGLHAIKDWFRLGSAYEVGNGEWVRFWEDVWVGETPLCVRFFRLFRCCEQQGDTVAQVLGDNGIHLSFRRTFGEAEQVEWAEHRGMLDLVQLSDNRDRAFWCLTKNKCYTTQSFYSAMFTRV* >Brasy2G199300.1.p pacid=40066379 transcript=Brasy2G199300.1 locus=Brasy2G199300 ID=Brasy2G199300.1.v1.1 annot-version=v1.1 MGLWMLLEGFLLLANALAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRVPLIALNVLIIVVKLVSG* >Brasy2G153600.1.p pacid=40066380 transcript=Brasy2G153600.1 locus=Brasy2G153600 ID=Brasy2G153600.1.v1.1 annot-version=v1.1 MSVQEYLEKHLLPRKIEEAVNAAVRAKATDPVLFISTHMRRAAPAVITRVCARQILDSRGAPAVEVDLHTNKAVHRASAAGPGAPEGAAVDAVRDAEKRRLLARAVADAVLLINGKVSEALVGMDPQQQAQIDQAIMDLDKAHHKTEIGAKAMLAVSIAACKAGSAEKEVPLYKHIADLVGKSATTLPVPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYNHLKDIIWEKYGSDSCNIGDDGGFAPNISSITEGLDLVIAAIDRAGCNGRIKLAIDVAATDFCVGKKYDLEFKSAKKSGQNFKTGDDMIEMYSHLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCDALALKANQVGTVTEAIEVVKQAKDAHWGVVVSYRSGDTEDSFIADLAVGAAAGQIKAGAPCRGECITKYNQLLRIEEELGSEGVYAGENWRTTAS* >Brasy2G153600.2.p pacid=40066381 transcript=Brasy2G153600.2 locus=Brasy2G153600 ID=Brasy2G153600.2.v1.1 annot-version=v1.1 MSVQEYLEKHLLPRKIEEAVNAAVRAKATDPVLFISTHMRRAAPAVITRVCARQILDSRGAPAVEVDLHTNKAVHRASAAGPGAPEGAAVDAVRDAEKRRLLARAVADAVLLINGKVSEALVGMDPQQQAQIDQAIMDLDKAHHKTEIGAKAMLAVSIAACKAGSAEKEVPLYKHIADLVGKSATTLPVPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYNHLKDIIWEKYGSDSCNIGDDGGFAPNISSITEGLDLVIAAIDRAGCNGRIKLAIDVAATDFCVGKKYDLEFKSAKKSGQNFKTGDDMIEMYSHLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCDALALKANQVGTVTEAIEVVKQAKDAHWGVVVSYRSGDTEDSFIADLAVGAAAGQIKAGAPCRGECITKYNQLLRIEEELGSEGVYAGENWRTTAS* >Brasy2G096000.1.p pacid=40066382 transcript=Brasy2G096000.1 locus=Brasy2G096000 ID=Brasy2G096000.1.v1.1 annot-version=v1.1 MSTDEHPAAAAGDDMPASFSFSIWPPTQRTRDAVVRRLVDTLAGDTILCKRYGAVPAADADPAARAIEAEAFYAAAVTGGAAASVEEGIEALQLYSKEVSRRLLDFVKSRSAAAKAAPPSEEEEAPKAAEGEAVEPPTED* >Brasy2G162200.1.p pacid=40066383 transcript=Brasy2G162200.1 locus=Brasy2G162200 ID=Brasy2G162200.1.v1.1 annot-version=v1.1 MRCGSFSVHVQYKDSCADTGGQQSKKMIRCVAHLLPFLYGVPVTYRKHSAILEGFVAEGGYVCACTSPCDYRRRGKALSALQFEKHAGATSKNQNGHIFLRNGTSLYALFHALREVPAERFAEDFRMAAGVPMTVPAATGAPPPASEPDRLQASDLTAEQAPCAAAQEAQTLDNLTEEEKASLSLLDLRAHSSVTESEPMDGIEGSSIHPSLSLRDVAEEIENAARCDHSMPDVGWVRNAAVEQPRDSGLLTDTLVTVPVMESKYQPVRMADTKHQAVRMAETKYRPETLLKDVRGLLSTGLLEGFSVTYKKNGVAMTGRIEGQGYSCGCLQCGYSTIMNACEFEQHAGQSSNNQNDHIFLDSGISLYKLIQALKYKKLHLLVDLIEEQTGLPPNLIEYGKWKASFQVQNDDLEDAASDHCSTQSSQDSAAGSIEENNRNRIKKKQETSHIAFATTSTFSLKEYTNNGSPNLNWSAFRRPRWQYKRGGTETSTQTLSRSPEKGISGLSNGTSMKINTEETPSENTAGPLRSEVIKPKFAAPAAVISASLECDPTNPAFSLSSPVSIVQEPPRGHSVGSKSKEPRTSKVRDNSLHQLVFKEGGVPELTILTYKLKHGEVLKQGYKQGTGIVCDCCSEEFTPSHFEEHAGMGKRRQPYRNIYTPEGLTLHELALKLQGSLNSNGNSSANFSGGDDPPNLSSGSSRESSTTYRPSIVPLKRTLQQRAVKTESCHLCGDACTTVGTISEDMIVFCNQCERPCHVKCYNNGLKKQKGPLNVLAEYMQFLFFCCQKCQLLRASLHEVLNKREKIRQKGSYVFWQILNGMNPGINVQKYIHQVIEIFKAAFQETAAPDFGVIQDMVNAKDVGGENDFRGTYCAVLTTSSKLVVSAAVLKVRTEEVAELVLVATCNQCRKKGYFTLLLRQIEAHLKAMNVRLLTALVDPEMESIWSKKLGFTILSGEEKETLLEAHPLVMFEDLTLMQKSLASKPDPVVSSHQVTVGESSAQFSSPTCLMDDYKLNLCRD* >Brasy2G162200.2.p pacid=40066384 transcript=Brasy2G162200.2 locus=Brasy2G162200 ID=Brasy2G162200.2.v1.1 annot-version=v1.1 MRCGSFSVHVQYKDSCADTGGQQSKKMIRCVAHLLPFLYGVPVTYRKHSAILEGFVAEGGYVCACTSPCDYRRRGKALSALQFEKHAGATSKNQNGHIFLRNGTSLYALFHALREVPAERFAEDFRMAAGVPMTVPAATGAPPPASEPDRLQASDLTAEQAPCAAAQEAQTLDNLTEEEKASLSLLDLRAHSSVTESEPMDGIEGSSIHPSLSLRDVAEEIENAARCDHSMPDVGWVRNAAVEQPRDSGLLTDTLVTVPVMESKYQPVRMADTKHQAVRMAETKYRPETLLKDVRGLLSTGLLEGFSVTYKKNGVAMTGRIEGQGYSCGCLQCGYSTIMNACEFEQHAGQSSNNQNDHIFLDSGISLYKLIQALKYKKLHLLVDLIEEQTGLPPNLIEYGKWKASFQVQNDDLEDAASDHCSTQSSQDSAAGSIEENNRNRIKKKQETSHIAFATTSTFSLKEYTNNGSPNLNWSAFRRPRWQYKRGGTETSTQTLSRSPEKGISGLSNGTSMKINTEETPSENTAGPLRSEVIKPKFAAPAAVISASLECDPTNPAFSLSSPVSIVQEPPRGHSVGSKSKEPRTSKVRDNSLHQLVFKEGGVPELTILTYKLKHGEVLKQGYKQGTGIVCDCCSEEFTPSHFEEHAGMGKRRQPYRNIYTPEGLTLHELALKLQGSLNSNGNSSANFSGGDDPPNLSSGSSRESSTTYRPSIVPLKRTLQQRAVKTESCHLCGDACTTVGTISEDMIVFCNQCERPCHVKCYNNGLKKQKGPLNVLAEYMQFLFFCCQKCQLLRASLHEVLNKREKIRQKGSYVFWQILNGMNPGINVQKYIHQVIEIFKAAFQETAAPDFGVIQDMVNAKDVGGENDFRGTYCAVLTTSSKLVVSAAVLKVRTEEVAELVLVATCNQCRKKVGMILDWLLHTSPKAN* >Brasy2G162200.3.p pacid=40066385 transcript=Brasy2G162200.3 locus=Brasy2G162200 ID=Brasy2G162200.3.v1.1 annot-version=v1.1 MAAGVPMTVPAATGAPPPASEPDRLQASDLTAEQAPCAAAQEAQTLDNLTEEEKASLSLLDLRAHSSVTESEPMDGIEGSSIHPSLSLRDVAEEIENAARCDHSMPDVGWVRNAAVEQPRDSGLLTDTLVTVPVMESKYQPVRMADTKHQAVRMAETKYRPETLLKDVRGLLSTGLLEGFSVTYKKNGVAMTGRIEGQGYSCGCLQCGYSTIMNACEFEQHAGQSSNNQNDHIFLDSGISLYKLIQALKYKKLHLLVDLIEEQTGLPPNLIEYGKWKASFQVQNDDLEDAASDHCSTQSSQDSAAGSIEENNRNRIKKKQETSHIAFATTSTFSLKEYTNNGSPNLNWSAFRRPRWQYKRGGTETSTQTLSRSPEKGISGLSNGTSMKINTEETPSENTAGPLRSEVIKPKFAAPAAVISASLECDPTNPAFSLSSPVSIVQEPPRGHSVGSKSKEPRTSKVRDNSLHQLVFKEGGVPELTILTYKLKHGEVLKQGYKQGTGIVCDCCSEEFTPSHFEEHAGMGKRRQPYRNIYTPEGLTLHELALKLQGSLNSNGNSSANFSGGDDPPNLSSGSSRESSTTYRPSIVPLKRTLQQRAVKTESCHLCGDACTTVGTISEDMIVFCNQCERPCHVKCYNNGLKKQKGPLNVLAEYMQFLFFCCQKCQLLRASLHEVLNKREKIRQKGSYVFWQILNGMNPGINVQKYIHQVIEIFKAAFQETAAPDFGVIQDMVNAKDVGGENDFRGTYCAVLTTSSKLVVSAAVLKVRTEEVAELVLVATCNQCRKKGYFTLLLRQIEAHLKAMNVRLLTALVDPEMESIWSKKLGFTILSGEEKETLLEAHPLVMFEDLTLMQKSLASKPDPVVSSHQVTVGESSAQFSSPTCLMDDYKLNLCRD* >Brasy2G202900.1.p pacid=40066386 transcript=Brasy2G202900.1 locus=Brasy2G202900 ID=Brasy2G202900.1.v1.1 annot-version=v1.1 MFEAMVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKVVDLVPGHREHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVAVPQDTPRCSERLAHHQKKVARSVKIVAQESLVRALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIRKERPEAG* >Brasy2G139900.1.p pacid=40066387 transcript=Brasy2G139900.1 locus=Brasy2G139900 ID=Brasy2G139900.1.v1.1 annot-version=v1.1 MGCISPRSTPLMPPFSSRSDCSSSSPAKTSCNPLLPECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.9.p pacid=40066388 transcript=Brasy2G139900.9 locus=Brasy2G139900 ID=Brasy2G139900.9.v1.1 annot-version=v1.1 MGCISPRSTPLMPPFSSRSDCSSSSPAKTSCNPLLPECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.2.p pacid=40066389 transcript=Brasy2G139900.2 locus=Brasy2G139900 ID=Brasy2G139900.2.v1.1 annot-version=v1.1 MKPLAYATSAAMNCSDFPVVAGKFSSAQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.3.p pacid=40066390 transcript=Brasy2G139900.3 locus=Brasy2G139900 ID=Brasy2G139900.3.v1.1 annot-version=v1.1 MKPLAYATSAAMNCSDFPVVAGKFSSAQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.8.p pacid=40066391 transcript=Brasy2G139900.8 locus=Brasy2G139900 ID=Brasy2G139900.8.v1.1 annot-version=v1.1 MEPGASIISPTSCRRTPSPPPSSMECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.4.p pacid=40066392 transcript=Brasy2G139900.4 locus=Brasy2G139900 ID=Brasy2G139900.4.v1.1 annot-version=v1.1 MNYSYNTTCCMIQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.5.p pacid=40066393 transcript=Brasy2G139900.5 locus=Brasy2G139900 ID=Brasy2G139900.5.v1.1 annot-version=v1.1 MNYSYNTTCCMIQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.6.p pacid=40066394 transcript=Brasy2G139900.6 locus=Brasy2G139900 ID=Brasy2G139900.6.v1.1 annot-version=v1.1 MNYSYNTTCCMIQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G139900.7.p pacid=40066395 transcript=Brasy2G139900.7 locus=Brasy2G139900 ID=Brasy2G139900.7.v1.1 annot-version=v1.1 MNYSYNTTCCMIQECNLEEIDEELSKSSKDSKKANGSEKGLSLVFKITKKGWLQNCAKSAVILKAENMADKIEWMKKIRGIIRSKGGSVKGSNNPEGDSMRQSHSDGSLLRWPGGLLTLKKNSDGYLKKFEVMLRQVLTVWQELFQRLLCFAKWRKQMKIC* >Brasy2G369200.1.p pacid=40066396 transcript=Brasy2G369200.1 locus=Brasy2G369200 ID=Brasy2G369200.1.v1.1 annot-version=v1.1 MRSTHLLAIYHPDQSHPHHSLPFPSSASPGFLGLRVVWVLPFRGEKMGEVTNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVATIDMTTSVLGFKISMPIMISPTAFQKMAHPEGEYATARAASAAGTVMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQSNDSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFALAAEGEAGVRNVLRMLREEFEITMALGGCTKLSDITRRHIFTEYERLGRPLPRL* >Brasy2G319000.1.p pacid=40066397 transcript=Brasy2G319000.1 locus=Brasy2G319000 ID=Brasy2G319000.1.v1.1 annot-version=v1.1 MDETTQRRAPPKPDQRRSHARSRSAPARPDLNFRPEQGLEVVVECRSFTTTPPRWGTTLKSAAAAGTDVRVTTVGEEEPPTPEPAGANHTRSQAPVTRAPKSPDRAGTRPPTHRSEGPDSDPANTCGKKTAHAEDAPAPPRRPRLHLAGAAAPHRAPAPPPRLLRACTTTARLHLAGAAAPHRAPAPPPRLLRLHHHRAPAPPRLLRACTTTARLHQAPTLASTGSRRRLRQPKTAPAGAPPPSLRGRRPDLRKPRHPRAPPPRGTPTTLRGVGPAATAAEEASSSGGEGGMEGRP* >Brasy2G287900.1.p pacid=40066398 transcript=Brasy2G287900.1 locus=Brasy2G287900 ID=Brasy2G287900.1.v1.1 annot-version=v1.1 MATTRSALALVLGLALAMAATGSMAQNSEQDYIDAHTAARQDVGLGQVWWDRNLEAYAQGYADQRRGDCARQHSDYQRAGYGENLFWGGGSGWTGVDAVNTWVAEREFYDYDSNSCSGPFGCGHYTQVVWHDSTLIGCARVDCDNGLGVFITCNYYPPGNWVGQRPWLAARSA* >Brasy2G042900.1.p pacid=40066399 transcript=Brasy2G042900.1 locus=Brasy2G042900 ID=Brasy2G042900.1.v1.1 annot-version=v1.1 MERKQADYSTLDERYTIQGERYQGQQYSHIYFTRLHHMRNLLHALVPSRKPHLPVTTVLGLEEGKDCVLVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDNLILEDESGRVALAGAISPAAYVTGVVVALHGKETSAGNFLVEDVLEAGLLPQTALPSINEDKYVVFVSGLSVGSSTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHIAPRFLNGQTVASKDQPRMAEPIKELDIMLTQLVASLPVDIMPGCNDPANFSLPQQPLHRCLFAGASTYNTFSSCPNPHQFDLDNVKFIGTSGQNIDDLYRYSDAKDRLEFMERTLTWRHLAPTAPNSLGCYPYTDKDPFLIESCPHVYFVGNQDRYETRLLQGSEKQQVRLISIPRFSDSGIAVMLNLRNLECSTLSFSTNFDS* >Brasy2G042900.3.p pacid=40066400 transcript=Brasy2G042900.3 locus=Brasy2G042900 ID=Brasy2G042900.3.v1.1 annot-version=v1.1 MERKQADYSTLDERYTIQGERYQGQQYSHIYFTRLHHMRNLLHALVPSRKPHLPVTTVLGLEEGKDCVLVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDNLILEDESGRVALAGAISPAAYVTGVVVALHGKETSAGNFLVEDVLEAGLLPQTALPSINEDKYVVFVSGLSVGSSTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHIAPRFLNGQTVASKDQPRMAEPIKELDIMLTQLVASLPVDIMPGCNDPANFSLPQQPLHRCLFAGASTYNTFSSCPNPHQFDLDNVKFIGTSGQNIDDLYRYSDAKDRLEFMERTLTWRHLAPTAPNSLDKDPFLIESCPHVYFVGNQDRYETRLLQGSEKQQVRLISIPRFSDSGIAVMLNLRNLECSTLSFSTNFDS* >Brasy2G042900.2.p pacid=40066401 transcript=Brasy2G042900.2 locus=Brasy2G042900 ID=Brasy2G042900.2.v1.1 annot-version=v1.1 MERKQADYSTLDERYTIQGERYQGQQYSHIYFTRLHHMRNLLHALVPSRKPHLPVTTVLGLEEGKDCVLVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDNLILEDESGRVALAGAISPAAYVTGVVVALHGKETSAGNFLVEDVLEAGLLPQTALPSINEDKYVVFVSGLSVGSSTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHIAPRFLNGQTVASKDQPRMAEPIKELDIMLTQLVASLPVDIMPGCNDPANFSLPQQPLHRCLFAGASTYNTFSSCPNPHQFDLDNVKFIGTSGQNIDDLYRYSDAKDRLEFMERTLTWRHLAPTAPNSLAQFEELGMQHLEFLDKLRFLISL* >Brasy2G150600.1.p pacid=40066402 transcript=Brasy2G150600.1 locus=Brasy2G150600 ID=Brasy2G150600.1.v1.1 annot-version=v1.1 MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIEYPEIEDNIKPRHRFMASYEQKIESWDKRYQYLLFAADPYEIIGFKIPSTEIDKSADKFFSYWDPDKKSYILQLYFKPRPPEANRQPAAPGTVPNGTGGPPGPPPRPPSQPQAPPPPPPNAPLGMPPRIPLPPMGGLQPPPPPPPMANGPPRSIPPPPPAGGAMANFTPGAPPPRPPMQGFPGPQQ* >Brasy2G021300.1.p pacid=40066403 transcript=Brasy2G021300.1 locus=Brasy2G021300 ID=Brasy2G021300.1.v1.1 annot-version=v1.1 MNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSHTVKTASGASTSSAENSALEPGGEKSDTYSTNMTQAMGAVLTYRHELGMNYNFICPDLIVGSCLQSPLDVDKLREIGVKTVFCLQQDPDLEYFGVDICAIQDYCLECKDIEHCREEVRDFDAFDLRLRLPAVISKLYKLASHNGGITYIHCTAGLGRAPAVALAYMFWILGYNLNEGHQLLQSKRPSFPKLEAIKLATADILTGLSKNCITLKWKNGSSSSVEISGLDIGWGQKIPLTYDKEEKAWLLERELPEGRYEYKYVVDGNWVCNEHEMKTKPNADGHVNNYIQVSRDGTSSEEKEMRERLTGPNPVLTKEERLMIKEYLEQYSEG* >Brasy2G030800.1.p pacid=40066404 transcript=Brasy2G030800.1 locus=Brasy2G030800 ID=Brasy2G030800.1.v1.1 annot-version=v1.1 MSPWDVPSFTSECGHAFHLRCASGRASCPCCRAAWIHTPAFVPALPPRYQPPRASCPSCPADVCGVCHGGYGGFGNNNGASTVTAECRHAFHLTCLDPGTCACPVCGARWGHAVSSTPSSHCYYPMPPPAAAVYDDDEPLEQPQPMDQGWDLVQRQQGEEERPRLVLDAHCELPAVARGAAHDNFVVMLHAKAPPSAAATEAASRAPVDLVAVLDVSGSMAGYKIALLKKAVEFVVDQLGPADRLCLVSFSSEARRAMPLTRMTSDGGKAAAKAAVNGLCADGGTDILKGLTVAAKVLDGRRHRNPVASVILLSDGCDTYNLGAAYGGYYGVPTNDANNNYYINLVPPSMRRNGNGDGGGRAPVHTFGFGSDHDALAMHAVAEETGGTFSFIENTAVVQDAFAQCVGGLLSVSAQEAWIAASCVGGGVRVRCVKSGRYASRVDAYGRAASVDVGELYADEERRFLLLVDVPRAGEGEEATELLKVTCTYRDAATGKVVSLAEKAVSVRRPVEGLTEPEKKELASAEVARERFRVEATEDVAHAEAARILDRRQGRVPAGLAGDARCAALAAELREREYEQSGRAVMLAGMSSHAQQRAGSAHVFGAMAGAATYSSPGFGGSGGGVGLGQVGAAAPAFTFGTAGAYATPGMRKMEDTSRMAREQQQQQTKAASKSGSFFARNNGGT* >Brasy2G195900.1.p pacid=40066405 transcript=Brasy2G195900.1 locus=Brasy2G195900 ID=Brasy2G195900.1.v1.1 annot-version=v1.1 MMPPVAAVLAVALLAAVSSLQPWATAQVLAPAPAPAPAPSWGALDLDCRSALLNLSSCLTYVESGSALTRPDKGCCGALSGVVDGEAACLCGLVGGYGASGVRVDAVRALALPTICRVDAPPPRLCAALGLPVVEPPGGAGPAEPGNGTPATIPATAAANGGPVTRRNRGPHLLLILVHLPCCAPLFTLLLLLLL* >Brasy2G223900.1.p pacid=40066406 transcript=Brasy2G223900.1 locus=Brasy2G223900 ID=Brasy2G223900.1.v1.1 annot-version=v1.1 MESPALALFFSLLCVSLLRAAHATTFGTADGTERWGYVEVRPKAHLFWWYYKSPHRVSTPAKPWPTVLWLQGGPGASGVGLGNFLEVGPLDGNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDEGLLVTTDLQAAADMTTLLKALVRQEMPTLQSSPLFLVGESYGGKYAATLGVSVVRAVKAGELRLTLGGVALGDSWISPEDFAASYGSLLLDVSRLDSNGAEHANKEAQVVRQQVATGQFRPAQSTLNRMLNWIVVNSGHVDVYNFLLDAGMDPVADDPSPASSRPAAPEYSRYLEGKLSVGDSIQGAMNGAIKQKLKIIPKDVVWKAQSYTVYYALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSAVGAEAWVQKLKWDGLNNFLNLSRRPLYCGSAQTTKGFVKSYKNLHFYWILGAGHFVPVDQPCVALDMIGNITESPAIYHS* >Brasy2G033300.1.p pacid=40066407 transcript=Brasy2G033300.1 locus=Brasy2G033300 ID=Brasy2G033300.1.v1.1 annot-version=v1.1 MSLSKLKGHAAISDPLSTEEVTQYDSNEESQTSSTTKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLELPLWNSISRIFSTWLLGQVDCIDFTIVLDAARRLRFTPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMSKISLKDAEKIVLMDLSENSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLSINKVVSNIFACHIFLQVHKTNNLLLGPLQPRKNIYPRMVAFPTEVLNKLILADTKPGGGYGANQFNARGTVRVPNMSATTAQPLALPTGSGQSPALLHSVPTSSGTSHQITAATLPQFLREKYPTLCNTRLANDLKQYNANMARAMNERHTVEKTHVLQQNLWLADKICNFISSSHLPPQHSASTARTQASGSSSVKTGRNKDELDSQSMFKNMDFEPPPFDLGFNSVPTFAASDDDSKKKAEAAPMAPYSPAATKLYMEHAVVDLMMRSEDIECANSKILFGAVSSSPPCKCRTKVGTFAPSPWSEGYIHPKPDGDVMVSLMEWPWVSTEFPRYISINGSAVHQQIVGTDVLDFEMCDLLVRRLTQLDTRMEPTSSRMRWRHLLESDFSQQAHGCTCAGIPLHYVRLCTAFILTCTYGLCMLHSLRNYKGDELEQTLILIGYSRMQHIFLHEILNIEKNKCRLLVPILKIIGEPK* >Brasy2G273200.1.p pacid=40066408 transcript=Brasy2G273200.1 locus=Brasy2G273200 ID=Brasy2G273200.1.v1.1 annot-version=v1.1 MLMAAQEEVAGLALGLSLGSDGGHHQLKQKESASTAPQQYCALPSLTLSLQAGAAVKREAAEELEEEEDERALLYYSAASSAADDEVGDREAGCNNSSRKKLRLTKEQSALLEDRFKEHSTLNPKQKAVLARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCETLTEENRRLHRELNNLRAIHHHHSAFFVPAAATLSVCPSCDRLAATGAPPASVVADRPAAKRSFFATKSAAC* >Brasy2G408600.1.p pacid=40066409 transcript=Brasy2G408600.1 locus=Brasy2G408600 ID=Brasy2G408600.1.v1.1 annot-version=v1.1 MALYLLFESASGYALFHAHGIDEIGQSVDAVRSSVLDLKRFSKAVKLVGFTPFASAGDALNQCNSISEGIMTDELRNFLELNLPKVKEGKKAKFSVGVVEPKVGSHITEATGIPCQSNEYVQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVVNKSDLAEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDLSSSIFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIEGMTNAVSENGDDKENGDASVKKSKKKKSKAEAMDVDNGAAEAEPETEKKKKKKHKLEDVEMAEQEESPKKKKKNRDVSEDVEPKTGGTEGKKRKKKKSRTDDDE* >Brasy2G065400.1.p pacid=40066410 transcript=Brasy2G065400.1 locus=Brasy2G065400 ID=Brasy2G065400.1.v1.1 annot-version=v1.1 MVKAYLRYEPAISFGVVASPESNVVYDPSGRRLLAAALDRFAAWDPKRGLPSAIFTPSSSSPSLAISCIASSPSAASASASSIASGHADGSIRLWDAETGACEATLHGHRSAASALRFSPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLLFLDSGKKLVTCSKDKFIRVWDLDTQHCLQIVGGHHSEVWSMDVDPSEKFLVSGSADPELRVFRVRQSAEDGEDWNKWDVLKLFGEIPRQTKERVHTIRFNKDGSLVACQVAGKTADIYRILDETEAIRKAKRRMHRKKEKALAKAVTAEGNGTVIDPLPAQDSQNPTVVVTDVFKLLQVLRASKKICSVAFSPSNPPKGCLASLSLSLNNNVLETYSVDNDKVSKTHSIEIHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYGLIGTKSGTLEIIDINSGNSIDVIEAHAGSIRSIVLIPDEDGTVSARGFVTGSADHDVKFWEYQLVQKSDTDSKHLSVTNVRTLKMNDDVLAVSIGPTGKHIAVALLDCTVKVFFVDTLKFCLSLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVYRTHYMFSVGKDRTVKYWDADKFELLLTLDGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEREKRLEETFEADLDNAIEHRHGQKDDAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEEKRLNELKEEQSNAERAKSQPNVIMQGQSPSDYVLNAVSNVRPNDLEQALLSLPFSDALKLMAYLKEWSLIPLKVELTCRVCLVLLQTHHNQLTTTPAARSILTELKGILYGRVKGCKDTIGFNLAAMDHIKELLAMRSDAPFRDAKAKLMEIRQEQSKRSDRSDGTERRKKKKPKASAQS* >Brasy2G190000.1.p pacid=40066411 transcript=Brasy2G190000.1 locus=Brasy2G190000 ID=Brasy2G190000.1.v1.1 annot-version=v1.1 MCPGGRYAGLDLPAAAGAADLRPAFDVLDADHDGRISREDLKSFYAKAGADERFDDDDIAAMIAAADADHDGFVQYDEFEGLLGRAAATGTAGWCRSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPVADDEIRAMIGMAGDVDGGVGLEAFARVLAVDLEGIL* >Brasy2G055000.1.p pacid=40066412 transcript=Brasy2G055000.1 locus=Brasy2G055000 ID=Brasy2G055000.1.v1.1 annot-version=v1.1 MGKYMRKGKASGEVAVMEVSGALLGVRTRSRTLALQRTQQHNKSEEEPGAGDYLELRNRRLEKPPPRPPTKEKVASGASRAAAAAGRKEAADPADLEASFGDNVLDWDAVERSTRETTPCSLIKSPSTMSTPGSATRTSNPTSSRRRVETRSCSYIPSSLEMEEFFAAAEQPQHQTFRDKYNFCPVNDCPLPGRYEWTRLDC* >Brasy2G406600.1.p pacid=40066413 transcript=Brasy2G406600.1 locus=Brasy2G406600 ID=Brasy2G406600.1.v1.1 annot-version=v1.1 MACKFVAVLPAVFVLALLFYSGTTEAGTHCQEYREPYVPGCDLNACIAICRQDKYQGGQCRKGDAGDLQCWCTCPKETQEQMAMASPSELTGA* >Brasy2G451200.1.p pacid=40066414 transcript=Brasy2G451200.1 locus=Brasy2G451200 ID=Brasy2G451200.1.v1.1 annot-version=v1.1 MIDRAGLNRSLSRTPSAPFFFSPTKAPPSTPSPPAPEPPSSNSISPSPSLPSSSSVGPAASLDPDAAMAYRPPPPPWKPVPAPPPPDPAPTPPDPAAPAPPPPDPAPTPPDPYAPAPTPPDPARSAGPDPLAGRHRIRRPDPLAAAGSRPPCSLFLPLSLSPLFLSLSLSLSFSFSLSLIFSLLQCRSSWRNNCSTAMERRRGEEPVPASSGDPPPGDEEAAAPEPACPRNRFHLPRFVRRWLG* >Brasy2G335000.1.p pacid=40066415 transcript=Brasy2G335000.1 locus=Brasy2G335000 ID=Brasy2G335000.1.v1.1 annot-version=v1.1 MNESTGDHSHLSLGEKEPLVFLYQLSFDYGSKLSLSPEQSLHFCCSLSRITFSCVRFSTPFAVSKRRRMQGAAAGAEGKKSGSPSTAMGKRGSGDQSAEKKPRLEPPPNVQVKQEITVHQATTGGGGGGGAIVAAEDGSRVKVQVKMDTGVLHCPICSHPFKPPLFRCKGGHKACGSCLAEMTPDDKQCRKCGRGFERCPALEEVVSSAQIECAHDGCGLYVTYHEAGAHQSSCPHAPCSCTEPGCGGFQGAPAALLAHLAARHAMPVHMVPHARAARLHLPAPSASATERHLVIVEGDEDGAFLLTVSGGPAGITAVSAVCIRAGGPPWYAVKMWANGPPLPPAMGRKVDAVWVDILATCSSAPGAVDVEELTMLTVPRKFLVGGAAGAANELPLNIRIDKMSS* >Brasy2G335000.2.p pacid=40066416 transcript=Brasy2G335000.2 locus=Brasy2G335000 ID=Brasy2G335000.2.v1.1 annot-version=v1.1 MLLPSAPVSFLTRDEREYWRPFPPFPCTPFAVSKRRRMQGAAAGAEGKKSGSPSTAMGKRGSGDQSAEKKPRLEPPPNVQVKQEITVHQATTGGGGGGGAIVAAEDGSRVKVQVKMDTGVLHCPICSHPFKPPLFRCKGGHKACGSCLAEMTPDDKQCRKCGRGFERCPALEEVVSSAQIECAHDGCGLYVTYHEAGAHQSSCPHAPCSCTEPGCGGFQGAPAALLAHLAARHAMPVHMVPHARAARLHLPAPSASATERHLVIVEGDEDGAFLLTVSGGPAGITAVSAVCIRAGGPPWYAVKMWANGPPLPPAMGRKVDAVWVDILATCSSAPGAVDVEELTMLTVPRKFLVGGAAGAANELPLNIRIDKMSS* >Brasy2G398700.1.p pacid=40066417 transcript=Brasy2G398700.1 locus=Brasy2G398700 ID=Brasy2G398700.1.v1.1 annot-version=v1.1 MGALWEAVALVKLRVAAGRVKRRQIPPQEEEHWAFAYDMLQKVSRSFAFVIQQLGPDLRNAVCIFYLLLRALDTVEDDTSIPTEVKLPILQEFHRHVYNPSWHYSCGTGNYRVLMDKFRYVSTAFLELDQGCQEAIKEITKRMGEGMSKFICKEVQTVDDYDEYCHCAAGLVGYGLSRLFHAAGTENLASDQLSNSMGLFLQKIHIIGDYFEDISEIPKCRMFWPREIWSKYVEKLEDLKYKENSEKAVQCLNDMVTNALIHAKDCLQYMSALKDDTVFRLCAIPQIMAMGNFAICYNNVNVFRGVVKMRHGLTARVIDETKSMSDVYTAFYEFSSLLESKIDDNDPSAALTRKRVDAIKKTCKSSGLLKRRGYDLEKPKYMPVLIMLAFLLVAVILGVLYAK* >Brasy2G205100.1.p pacid=40066418 transcript=Brasy2G205100.1 locus=Brasy2G205100 ID=Brasy2G205100.1.v1.1 annot-version=v1.1 MMKQELFEEMGEAIHAEEQAAQLKNELFMKERETLDSDKVSEVRAAESTERQPENVVIDEGHLQQPSVLMEFELVKANLIRTTSDLESIRAGVELMQNSIGKEKTFLDSTRREDLLDIFIETKKMTFEIGQLRSMTDASKSQGMMLTAEIEQAKASVGAAEIRCSAMPDLVTLSVEEYSMLHSKAQEADENSRKTLEEVVQQPEDAKLDVEECKKALQEALKRVEAANHGKLAQRRWRYENGHKRRSIGVSPKFNRDAHHCKDSHNMTAGKRISGKRKKFALPELPVLLAKQSKRKKKRESF* >Brasy2G205100.2.p pacid=40066419 transcript=Brasy2G205100.2 locus=Brasy2G205100 ID=Brasy2G205100.2.v1.1 annot-version=v1.1 MMKQELFEEMGEAIHAEEQAAQLKNELFMKERETLDSDKVSEVRAAESTERQPENVVIDEGHLQQPSVLMEFELVKANLIRTTSDLESIRAGVELMQNSIGKEKTFLDSTRREDLLDIFIETKKMTFEIGQLRSMTDASKSQGMMLTAEIEQAKASVGAAEIRCSAMPDLVTLSVEEYSMLHSKAQEADENSRKTLEEVVQQVVAANISEYGCMA* >Brasy2G497700.1.p pacid=40066420 transcript=Brasy2G497700.1 locus=Brasy2G497700 ID=Brasy2G497700.1.v1.1 annot-version=v1.1 MLHKKAPTVVRSGGVPPSHRGKEAARSGSTGENLQKTATASSGGMAPINGAKGAAPAGTGSSREILHKKAPTVIRSGKGAVRSGSTGEILQKKAATTSSGGVLPVPVDTGSMPPMKTTTASSGGSQPQTHARKAKTGLRKEKMPWNSSIKVKPDTVGSGGQTTSAKDSEESAAKHRTIKGKAHTVLCEERQHEIAANKALHLREEEEGRLFIQELNELRQGEYVSFEEFVSYAEKLAPSPPWIDLNVKLEDEELIGRQHCLHGLYRFRYYKYKLSQRVSKKELHADKLKEDNPGEEEKEDGTTLKEDNPEEEEDGTTSKEEFLQDINEADCTPEFIEKQGYFNRFEEDGTLDWFFHPDYIYCASLDDYQRLVLRNYGGYEYASWADYHQYLQTYKMELEYLKYYEKLSKDLNWIKNYLHIEYTSPKWRIITCRGDYQARKIAATDFTGISSTFAQIGYYEFLDSICTLFSELDDLYFELWQRVCLLMMSFKDALKGVYDLNKFPLHQPIIKRALEFDNTLKFLEQKFNEFMDNITSDDKKDKAPQKWIAEAVKKQFDMPKTYEQYIRKKIGIACTIRIDGIEAIE* >Brasy2G497700.2.p pacid=40066421 transcript=Brasy2G497700.2 locus=Brasy2G497700 ID=Brasy2G497700.2.v1.1 annot-version=v1.1 MLHKKAPTVVRSGGVPPSHRGKEAARSGSTGENLQKTATASSGGMAPINGAKGAAPAGTGSSREILHKKAPTVIRSGKGAVRSGSTGEILQKKAATTSSGGVLPVPVDTGSMPPMKTTTASSGGSQPQTHARKAKTGLRKEKMPWNSSIKVKPDTVGSGGQTTSAKDSEESAAKHRTIKGKAHTVLCEERQHEIAANKALHLREEEEGRLFIQELNELRQGEYVSFEEFVSYAEKLAPSPPWIDLNVKLEDEELIGRQHCLHGLYRFRYYKYKLSQRVSKKELHADKLKEDNPGEEEKEDGTTLKEDNPEEEEDGTTSKEEFLQDINEADCTPEFIEKQGYFNRFEEDGTLDWFFHPDYIYCASLDDYQRLVLRNYGGYEYASWADYHQYLQTYKMELEYLKYYEKLSKDLNWIKNYLHIEYTSPKEFLDSICTLFSELDDLYFELWQRVCLLMMSFKDALKGVYDLNKFPLHQPIIKRALEFDNTLKFLEQKFNEFMDNITSDDKKDKAPQKWIAEAVKKQFDMPKTYEQYIRKKIGIACTIRIDGIEAIE* >Brasy2G312300.1.p pacid=40066422 transcript=Brasy2G312300.1 locus=Brasy2G312300 ID=Brasy2G312300.1.v1.1 annot-version=v1.1 METTARRYRNLGSQKAEDGGGDMISTLPDDILGEIICLLPTKEGTRTRILASRWRSLWHIAPLNLDCCIISTQSGPIQRFRYTDAWVPDDPRVKVLLTTSHFEGFRELAVGDSPYVPRHKGYIYLVAACPVLNSLKMESCSGFRSLRIRSYMLGIMVVDNGKRGETRLERLVIENAPSLELLHHLRKADLNLHVLYAPRLESIGCTSSTMLFFGPATQGSSLKAVSIAITTQDLYIKDVDPDMVTALLKRFPCLENLKIILPGWKPRDTLVKAIEVYFYDGEGMATSVTSFFLTNAAPLESITLHVLAPNEEPCEEQTRILWPEERAPECPKIHFVRTKGYMNSFSTDAFVLFPTRRSVYYRGAILEIDGINLDVLQTMRVGSPFLTDPELIKKRQERAYSFIEYADIHTFVEGPKSDEGDEEGEEEGEDEKEDAEDVDEEIDADMPPAGTGGPSASAGDPAA* >Brasy2G179000.1.p pacid=40066423 transcript=Brasy2G179000.1 locus=Brasy2G179000 ID=Brasy2G179000.1.v1.1 annot-version=v1.1 MGKRLPAAALAAAFRPYSRSPPIAGKAAKPPTAPLDTPRNAGSGAGASSGRAEVRDLAAACGMQEHERVPLGEVVLDCTKRWFQDSLKEARAGDTAMQVLVGQMYRSGYGVNKNEHKARIWMEKASRYRSTVWKVSNKRPGYNASDSDTDDIKETSN* >Brasy2G179000.2.p pacid=40066424 transcript=Brasy2G179000.2 locus=Brasy2G179000 ID=Brasy2G179000.2.v1.1 annot-version=v1.1 MGKRLPAAALAAAFRPYSRSPPIAGKAAKPPTAPLDTPRNAGSGAGASSGRAEVRDLAAACGMQEHERVPLGEVVLDCTKRWFQDSLKEARAGDTAMQVLVGQMYRSGYGVNKNEHKARIWMEKASRYRSTVWKVSNKRPGSSITIKPGDNTKYSNR* >Brasy2G375000.1.p pacid=40066425 transcript=Brasy2G375000.1 locus=Brasy2G375000 ID=Brasy2G375000.1.v1.1 annot-version=v1.1 MGLDAFAAACCAIPVLASLLAVRVAYVLCHSGLPPTRPRAAGLRCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAQVYEKSLIQGDREKIIENAQFMQIYRSREVGQSYITSVATTLLSVLHALWLIIRIRPQVIFCNGPGTCIPLCASAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRISDQFFVQWHQLEQKYPRAQYAGRLM* >Brasy2G375000.2.p pacid=40066426 transcript=Brasy2G375000.2 locus=Brasy2G375000 ID=Brasy2G375000.2.v1.1 annot-version=v1.1 MGLDAFAAACCAIPVLASLLAVRVAYVLCHSGLPPTRPRAAGLRCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAQVYEKSLIQGDREKIIENAQFMQIYRSREVGQSYITSVATTLLSVLHALWLIIRIRPQVIFCNGPGTCIPLCASAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRISDQFFVQWHQLEQKYPRAQYAGRLM* >Brasy2G375000.4.p pacid=40066427 transcript=Brasy2G375000.4 locus=Brasy2G375000 ID=Brasy2G375000.4.v1.1 annot-version=v1.1 MGLDAFAAACCAIPVLASLLAVRVAYVLCHSGLPPTRPRAAGLRCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAQVYEKSLIQGDREKIIENAQFMQIYRSREVGQSYITSVATTLLSVLHALWLIIRIRPQVIFCNGPGTCIPLCASAFLLKVAAHPMLYALMEECSVWDGPPFSTLKVLQE* >Brasy2G375000.3.p pacid=40066428 transcript=Brasy2G375000.3 locus=Brasy2G375000 ID=Brasy2G375000.3.v1.1 annot-version=v1.1 MGLDAFAAACCAIPVLASLLAVRVAYVLCHSGLPPTRPRAAGLRCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAQVYEKSLIQIFCNGPGTCIPLCASAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRISDQFFVQWHQLEQKYPRAQYAGRLM* >Brasy2G125100.1.p pacid=40066429 transcript=Brasy2G125100.1 locus=Brasy2G125100 ID=Brasy2G125100.1.v1.1 annot-version=v1.1 MESSTWLMRARTLDKILRMCVHAVGMVAPTRSICGLVTTARSMIAASGTPGRPGLVMFGWAGICELQGHTVKECKTVLFCDVCAKDSHLTSKCVLLSQVKPTAQLVGCAADGLQMFYAPVPKKVTVDKKTAIALISVHSGELTEEQLVGAFNTMFQWGWTWAAKAYAPGSFLMKFPSVQKIVEMNQYTNFGLIGTGAEVIVSRWSPENMAHLKLTTVWVKVSGVPDSLLTFHGFCIVGSLVGTVLEIDMGAYRRKDEIRILVSVMDHTKIPVWTPLTVENFMYRIYFQVLNVVELGGPLVGGIPMKRLPAGGGASSNATIGMQDMVLSSQPDANTKSAADTVGSVGALNLLSCEQARMAALDQLNKKVAADAIMSENVECTESDSSGGFVSPLRDANGEVLSTQAVNDMIDKDFEEDDTEHIIPICTQEQPVLPLHDPKPAYVDVVKSGQKAPIVDTPPAMHKKTKGRKLGIVDDGNRRHSNRNAKDEVPALDKAMERAKHKNLDIGKGMTSSTLPPTVLSTDNTTLLDIANKLGVCLGDSALIVDNNLNVIKELEAARTVLFVSSTKRSNDLNMEANIKSSSFDPETLEDLVSSSEDEQEEDFLELFESRFPSTSGKKAKAGFTGVFSVKPKVVGRRKKKS* >Brasy2G351200.1.p pacid=40066430 transcript=Brasy2G351200.1 locus=Brasy2G351200 ID=Brasy2G351200.1.v1.1 annot-version=v1.1 MASPRARTPAPAAWNARMGAAPPQARRSKRTRLLCRRGLVGVERAAGDGEAERAGDGGVGEGLGEQGRQRELHWGDFRGRGGLCLSHGEVLGASRRAFPW* >Brasy2G441800.1.p pacid=40066431 transcript=Brasy2G441800.1 locus=Brasy2G441800 ID=Brasy2G441800.1.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAQEEGEGAMDSAGAAAGAAAGEEGGGGGGGGTVVVGVRADAESRALLTWTFVNAVAAGDRVVAVHVVLASGAEAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.9.p pacid=40066432 transcript=Brasy2G441800.9 locus=Brasy2G441800 ID=Brasy2G441800.9.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAQEEGEGAMDSAGAAAGAAAGEEGGGGGGGGTVVVGVRADAESRALLTWTFVNAVAAGDRVVAVHVVLASGAEAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.6.p pacid=40066433 transcript=Brasy2G441800.6 locus=Brasy2G441800 ID=Brasy2G441800.6.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAQEEGEGAMDSAGAAAGAAAGEEGGGGGGGGTVVVGVRADAESRALLTWTFVNAVAAGDRVVAVHVVLASGAEAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.7.p pacid=40066434 transcript=Brasy2G441800.7 locus=Brasy2G441800 ID=Brasy2G441800.7.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAQEEGEGAMDSAGAAAGAAAGEEGGGGGGGGTVVVGVRADAESRALLTWTFVNAVAAGDRVVAVHVVLASGAEAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.2.p pacid=40066435 transcript=Brasy2G441800.2 locus=Brasy2G441800 ID=Brasy2G441800.2.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.3.p pacid=40066436 transcript=Brasy2G441800.3 locus=Brasy2G441800 ID=Brasy2G441800.3.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.8.p pacid=40066437 transcript=Brasy2G441800.8 locus=Brasy2G441800 ID=Brasy2G441800.8.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.4.p pacid=40066438 transcript=Brasy2G441800.4 locus=Brasy2G441800 ID=Brasy2G441800.4.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G441800.5.p pacid=40066439 transcript=Brasy2G441800.5 locus=Brasy2G441800 ID=Brasy2G441800.5.v1.1 annot-version=v1.1 MKVSKKQQRGAAAAVDFDSMLAVYEGFCNLKQINLKVKICKDSSVRKALVREATLFGASKVVLGITKKKRAIASSLSVAKYCAKKLPAKCAILAVNSGKIVYRREVNGHSGKVSAEVPGCGEDEMYCVLPFRASEVKESTLPCDEPKDGGGGDEALHDVGTMGSQSEEIVSEEQRPSIVKRSESLTDQVQNDADSSVKAEEVQNDADPSGKAEEVQNDADPSSGKAEESTMDQKDDISDLPGEGASVLYCVLPARDDHSVASSSSRQHNDSIDPPAEVDGELYCILPPRNGHSGRSSNGSKRSTISQKDDNSASLSPGGDGELYCRLSGNRRSGGSSGGSKRSVGVRGMIRAIRRSSSFSSDIQFNLEASADKRDDLVCTSATEHTSSTASTELEDLPKHSAHNVETPSSSPMSLRRMIEGRSDRCRLRRRIFNHQRSSSFEWAKVSMVQWAMRLPSRYNSIHPDNKSLKSDASSMLECESTSPVEPESIFSFSLYDVAWPPSELESLKEKYYSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAKLVDGTLYAAKILKPSVDALQEFITEVETVTSLQHENIVSLRGFSFDNYSLVLVYDYMHQGSLDKALHGKCENSLSWEKRNKIAIHIATALEFLHHGGVTLSVIHGDVKSANILLSENFQAQLCDFGLAKHVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRRPITTGCAKGQESLVGWARPLLSSGEIKQVVDPVLGNDYDCDEMERMTLAASLCTRMSSHSRPETPLVLKLLQGDDETIHWARSQLTAGSDLSDEEAVTPGSNMQSHLSLALLGVDEDDTISRSSTEQTVDTYWSRSSSFD* >Brasy2G352600.1.p pacid=40066440 transcript=Brasy2G352600.1 locus=Brasy2G352600 ID=Brasy2G352600.1.v1.1 annot-version=v1.1 MGLDVGEIGAPLDLGLDLKLFVARTAGRLAAAKEAPSMDACIRGLEEERRKIEVFRRELPLCVTLLADVIEMMKEEAGKRSEGREAEAKAEDNDKRKWMSTAQLWVDNRGSDADSVVQKEQKKESTLPKPMLLGGAPAPLMAVGFGAMPPPAPPSSQYFNRGDKVAASTEGLPALPMMSPVVKRPFSPGVDDRRPALSAKFATIMPPPGLSLQAQEQQARKTRRCWSPELHRQFVNALRQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNQRSSGSSSSSHSIVLVGDLWLHQEQSSLQSRSPEAEGPLQFSSSGVAVSAATCSDSSEEDDRSDGHSRK* >Brasy2G470500.1.p pacid=40066441 transcript=Brasy2G470500.1 locus=Brasy2G470500 ID=Brasy2G470500.1.v1.1 annot-version=v1.1 MALRSAGVEGVMVDVWWGVVEREGPGRYDWEGYAELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPSWVLEEVSANPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPVQVYSDFMRSFRDRFSGYLGTVIAEIQVGLGPCGELRYPSYPEANGTWSFPGIGEFQCYDKYMRASLQAAAAAAGHENWGTNGPHDAGEYKQFPEETGFFRWDGTWSTDYGSFFLEWYSGMLLEHGDRVLAAAEAVFGGTGATLSAKVAGIHWHYRTRSHAAELTAGYYNTRNHDGYAPIAGMLAKRGVVLNFTCMEMKDEQQPGHAGCSPEQLVRQVRAAARAANVELAGENALERYDESAFAQVAATAAAGDAGAGLSAFTYLRMNRNLFDGDNWRRFVAFVKTMADGGGARTGLPSCDTGHSDLYVGFLEAANERSAPEAEAAAAAS* >Brasy2G024900.1.p pacid=40066442 transcript=Brasy2G024900.1 locus=Brasy2G024900 ID=Brasy2G024900.1.v1.1 annot-version=v1.1 MAAGDRRRLLLGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDIASLVASFHVFDGVRLHGIQPRGTPSCCHSSPPADGLTVAVFGERRVKILRVGISADDYGYAGSGVRLELEQRLPGFDHWVLDVCFLEVDGLLAIGLSDNSVALWDLTERVVVTQVNSPEKCLLYSMRMWGDSVKSLLVASGTILNEILIWKLVAQTSTSSLLYSFDVDAPGAENHENVQFSDKQYMVVHLGRLKGHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSYQPHNFVNKAAKLDDVDIIPKLTLFGHSGRIWDCYLSDSIVITVGEDCTCCLWGMDGKLLKMFKEHIGRGIWRCLYDPSSLVLITAGFDSAIKVHHLCNSSFHDTVEAEVVPDGLKYDSEVFEISSPIVSGQHGPLDSKSEYVRCLHFAQENVLYVATNNGYLHHAELSDAESVRWTEVIQVSEKAPIICMDVMTVYSDLFDKEDIVALGDGRGNVTIVRLTSGNLEPKVVLSLTWSAEKDRQLLGLYWCKSLECSRIFTADPRGVLKLWNIRNALFANNHAITISQEVSLVALFESPFGARIMCLDASPQDEILVAGDKKGNITAFPFPKILAAHDRGGEQQKIPLCDRFKGAHGISSVTSVHIITSSSGHIEIHTTGGDGCICFFKHGRNSQNVEFVGMRQLKELGTIQSIYANHAPENQLVITCAIGFTSADFIIWDLENDTKVVQISCGGWRRPYSHYLGEVPEYQNCFAFVKQDNSIHVHRHWALAQDKKLLPQVLHTQFHGREVHSLCFIDPAIYLHSGKSTDLWIATGCEDGTVRLTGYSASCAGRWFSSKLLGEHVGGSAVRATCFIPKTYTLIDKSCNSSVSSGDTFVEDKDTTVLLMSVGSKQVLTTWILQPRIAENRQLCSSTLDVVSKQSSECSGNGDSAVTFQWLSTHMPPKLTTNRLKAGDIKQNFQFQEGNCSAQPNLAVMDQMENDWRYLSVTAFLLKHLSTKLTVCFAIVACSDATVVLRALLLPSRLWFDVALLVPQASPVLVLRHIIVAASAHCEDDAYNGDRYIIVSGSTDGSITFWDLSDTIHSFMQLVSETQPHMVIDCQKRPKTGRGSQGGRRRWRSLSNNSLKKGNEQAFPPGGNNLNTSCAAAGSSHETFGAEENEAINTENTVLSSTQSCDVPEVQPMRIFSGVHQSGVNCLHVSEMECPSSTPGMSYCIVSGGDDQAVQCSVFTLGSLQDRSTDATSLNSPDSDSLKILCQHTVQSAHSSAVKGIWTDGVWAFSTGLDQRIRCWKMGPSGKFTEHSHVVVSVPEPETLDVFHDRGGEKYHIAVAGRGMQMVEFSPPEADMTTIACG* >Brasy2G024900.2.p pacid=40066443 transcript=Brasy2G024900.2 locus=Brasy2G024900 ID=Brasy2G024900.2.v1.1 annot-version=v1.1 MAAGDRRRLLLGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDIASLVASFHVFDGVRLHGIQPRGTPSCCHSSPPADGLTVAVFGERRVKILRVGISADDYGYAGSGVRLELEQRLPGFDHWVLDVCFLEVDGLLAIGLSDNSVALWDLTERVVVTQVNSPEKCLLYSMRMWGDSVKSLLVASGTILNEILIWKLVAQTSTSSLLYSFDVDAPGAENHENVQFSDKQYMVVHLGRLKGHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSYQPHNFVNKAAKLDDVDIIPKLTLFGHSGRIWDCYLSDSIVITVGEDCTCCLWGMDGKLLKMFKEHIGRGIWRCLYDPSSLVLITAGFDSAIKVHHLCNSSFHDTVEAEVVPDGLKYDSEVFEISSPIVSGQHGPLDSKSEYVRCLHFAQENVLYVATNNGYLHHAELSDAESVRWTEVIQVSEKAPIICMDVMTVYSDLFDKEDIVALGDGRGNVTIVRLTSGNLEPKVVLSLTWSAEKDRQLLGLYWCKSLECSRIFTADPRGVLKLWNIRNALFANNHAITISQEVSLVALFESPFGARIMCLDASPQDEILVAGDKKGNITAFPFPKILAAHDRGGEQQKIPLCDRFKGAHGISSVTSVHIITSSSGHIEIHTTGGDGCICFFKHGRNSQNVEFVGMRQLKELGTIQSIYANHAPENQLVITCAIGFTSADFIIWDLENDTKVVQISCGGWRRPYSHYLGEVPEYQNCFAFVKDNSIHVHRHWALAQDKKLLPQVLHTQFHGREVHSLCFIDPAIYLHSGKSTDLWIATGCEDGTVRLTGYSASCAGRWFSSKLLGEHVGGSAVRATCFIPKTYTLIDKSCNSSVSSGDTFVEDKDTTVLLMSVGSKQVLTTWILQPRIAENRQLCSSTLDVVSKQSSECSGNGDSAVTFQWLSTHMPPKLTTNRLKAGDIKQNFQFQEGNCSAQPNLAVMDQMENDWRYLSVTAFLLKHLSTKLTVCFAIVACSDATVVLRALLLPSRLWFDVALLVPQASPVLVLRHIIVAASAHCEDDAYNGDRYIIVSGSTDGSITFWDLSDTIHSFMQLVSETQPHMVIDCQKRPKTGRGSQGGRRRWRSLSNNSLKKGNEQAFPPGGNNLNTSCAAAGSSHETFGAEENEAINTENTVLSSTQSCDVPEVQPMRIFSGVHQSGVNCLHVSEMECPSSTPGMSYCIVSGGDDQAVQCSVFTLGSLQDRSTDATSLNSPDSDSLKILCQHTVQSAHSSAVKGIWTDGVWAFSTGLDQRIRCWKMGPSGKFTEHSHVVVSVPEPETLDVFHDRGGEKYHIAVAGRGMQMVEFSPPEADMTTIACG* >Brasy2G000200.1.p pacid=40066444 transcript=Brasy2G000200.1 locus=Brasy2G000200 ID=Brasy2G000200.1.v1.1 annot-version=v1.1 MRGTAVLPLLVVVVLIMSMLHASALEGPGVADGGGGFCERRCGGMELPYPFGFSSGCAIRLGCDNGTGTGADAAWLGAGNGMDATDARELGLFVRRVTPRALILHLRPDCSRTFNASVAALFSDAYAPTARNGLVVASCRPAAQAAHTTNCSAPQSSSHCAADESISCVPVPGGPSGATDSRFLDRGELLRLECAGLVASWCYLDTAGPALQLGGLELNWWLQGPCRCSSQAKCTVLTAPTTRQEAFRCECPEGFRVDGFLDGTGCKRKRGDDELDQGTAGPRRFSYHELAAATNQFCEDGVLGRGGFGSVYRGFHHDMNREVAVKRVSESSRQGWKEFVSEVRIISRLRHRNLVQLLGWCHGGAELLLVYDLMHNGSLDTHLYAIDSVLAGPVRYEIVLGLGSALLYLHEDTEQRVVHRDIKPSNIMLDASFTAKLGDFGLARLINDGRRSHTTGIAGTMGYIDLECMLAGRTSIESDIYSFGVVLLDITCGRRPAVVIEDEEVVHLVQWVWDFYSGGAILDAADKRLSGGDIKGWEMERVMVVGLWCAHPDRGLRPSIRQAMNVLRFEAPLPSLPVRMSVATYEPPTNSLGSGMMVMSSVGGR* >Brasy2G353400.1.p pacid=40066445 transcript=Brasy2G353400.1 locus=Brasy2G353400 ID=Brasy2G353400.1.v1.1 annot-version=v1.1 MYPKYSSSGDVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNLADSSVSFTLSEITANLSNHALYGSAEKGTAEHDIKNRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKQISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSSLQSPHGGTGAGMVRIVPVFPQYLSDSVDGFDGVTDGLEDDTIIPIEEESASDSHHASQLPAFSGAYSSAQVREVIECEMDQDSSDRDASSTIYEENESVSVGEVMKSPVFSEDELSESSFWVDLGHSPLGSDHSEQSSKGKLGSPLPASWFSGKKNARKTSPKVPSKLARSPIYDNHVVSFDAAVRSVSQELDHVKEISEEDCSHNGKVSEIEEYQEGKENRRFVKFSCANGPTEGSSASVFGGCAANGNGSTSEICSESQVEAKDSAIRRETEGDFRLLGRREVPNSRFNGGRLIGVEEAEQVSSMGRKVSFSMEDSRLCRNADAGETSGYAVAEDDDDDAYSDYDEIQDGRKEPEIICRHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGDGVPLVYIYGPKIKYERGAAVAFNIKDCNTGTSLINPEMVQKLAEKEGLSVGVGFLSHIRIMDNQKHGVVDVGLSSSLCRPTSNSRHEKKNSKNALVGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER* >Brasy2G219400.1.p pacid=40066446 transcript=Brasy2G219400.1 locus=Brasy2G219400 ID=Brasy2G219400.1.v1.1 annot-version=v1.1 MASVLLVALATLLALAVSRLWSYAVVRLAWRPRAVVRRFREQGVHGPPYRFLRGCNEDVRRMKAEADGLELDVRDHDYLPRIVPHYVKWKDQYGGPFLYWFGPQPRICIFDYELVKQVLANKFVNFVKNDMHPTILAMVGKGLGTVDGSEWARHRKVVTPAFAMDKLKMMTETMVSCAECLTKKWEEQASNSKNGEIEVDFSKESQELTADVISRTAFGSSYREGKEVFHAQKQLQVMTLGALLNVQLPGFNYLPTKSNRCKWMLQKKLQNMLSEMIQCRLASKQSGFGDDLLGVMLEASFTKEQGEKQDELILTVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLREEVLRECGKGNPKADMLSKLKEMTVVLLETLRLYSPIMFMLRKPISDMKLGNLNLSKGNVIVIPIPMLHRDKEVWGDRANEFDPMRFENGVTKAAKIPHALLGFSMGPRSCIGQNFAMLEAKLVMAMILQKFSFTLSPKYVHAPADLLTLQPKFGLPINLNPLDA* >Brasy2G082000.1.p pacid=40066447 transcript=Brasy2G082000.1 locus=Brasy2G082000 ID=Brasy2G082000.1.v1.1 annot-version=v1.1 MVTTTTVKSRPPHAFFAMTGRRRRSSPAATSIFFSTFRSVASSTRGPMAALCCLFVVLALSASAAAGDSMEETDEDGRCLGFRDVCADQSSFCFSSSVVQTLLASDDGAKESDLGVSRDWGPSRPLCFPMSGGGMVTCSSADARITGARDALGREGEDVARYNAASCQAPLVPDNWMQASHGVPLELDGTPTDVNPSGLYSSSSMDVEINPPVLDWGRSNLYAASMASLTVVNLNNESVLRVYEPFSTDPQFYVYGYEDLVLQPRENASVTFMFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQILPLTRMDVVIGKHLEKNLSIYNPFDDSLFVDEVAVWMSAFEGAKQSSHVVCQLGPLDEAVELTSLSNNWYTASSTEYGWPMLHIRPSGQWEVLPSKSSTVIELKLQPISEGKVFGAIYMKLRNHTTDTMDIVVIPIELEVLMRTYYDSTNLVSVTFECISSCAGNGSIYSLSLRNDATELLRIVSVTGDNRDGPAIFQVKYLNGLILFPDTVTDIALIRYTASVPKDTSFDNCNVVVETNSSLGSSIMIPCQDIMHTSSSYTTSTVAESDEPDVGSFSELEISANSRTGSLGSILETEGLHNLKPTIMRAVRADDMVIRNWRSHGTMTGISVLIDHELLFPVVQIGSQFSKWITISNPSQEHMSMQLVLNSEEIIGQCRTVNDACEHTFSSRSPEIDSTETRFGFSLGVAAITEAHLGPSESALLGPIVFRPSNRCMWSSTVLIRNNLSGLEWLPLRAHGGWQSIALLEGSEPVWKLEFNVGPNVDNKSTVSKSEITSTLCSQQLSKEIHVKNSGDLPLEVSKVKVSGVDCGVDGFNVDNCMGFSLAPSESKIMLISFKADFSSVKVQRDLELAMTTGIFVIPMTANVPVCMLKQCRRSYFRSIHWKALILLFGTVSLFVLVFVRGVPYSLSASSEDYYVKIDDRKSTISKTVKPSFLQGSNKTSRSIREHRKADEALPEKQPHGTLGSPDKTPDKRNPDKQLNTTSTISVSPANPVEDKVSTEATQTIGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSLTPKQGWSFSGVTSEPKHKNKLESGLDVGARAPSSGNNKEKNGLLRTAKEQPPAASATSLNPLASSAVLTNAWRSQLLATTSPIAPHARAPGSNLMKDKTVKRDEGVVVPKKEFTYDIWGDHFSGHLLGKAREVAQCKVFDASEVASNSFFAREPQALVMKPQSEPPVSRGRGSLPSDVASGYAIN* >Brasy2G082000.2.p pacid=40066448 transcript=Brasy2G082000.2 locus=Brasy2G082000 ID=Brasy2G082000.2.v1.1 annot-version=v1.1 MVTTTTVKSRPPHAFFAMTGRRRRSSPAATSIFFSTFRSVASSTRGPMAALCCLFVVLALSASAAAGDSMEETDEDGRCLGFRDVCADQSSFCFSSSVVQTLLASDDGAKESDLGVSRDWGPSRPLCFPMSGGGMVTCSSADARITGARDALGREGEDVARYNAASCQAPLVPDNWMQASHGVPLELDGTPTDVNPSGLYSSSSMDVEINPPVLDWGRSNLYAASMASLTVVNLNNESVLRVYEPFSTDPQFYVYGYEDLVLQPRENASVTFMFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQILPLTRMDVVIGKHLEKNLSIYNPFDDSLFVDEVAVWMSAFEGAKQSSHVVCQLGPLDEAVELTSLSNNWYTASSTEYGWPMLHIRPSGQWEVLPSKSSTVIELKLQPISEGKVFGAIYMKLRNHTTDTMDIVVIPIELEVLMRTYYDSTNLVSVTFECISSCAGNGSIYSLSLRNDATELLRIVSVTGDNRDGPAIFQVKYLNGLILFPDTVTDIALIRYTASVPKDTSFDNCNVVVETNSSLGSSIMIPCQDIMHTSSSYTTSTVAESDEPDVGSFSELEISANSRTGSLGSILETEGLHNLKPTIMRAVRADDMVIRNWRSHGTMTGISVLIDHELLFPVVQIGSQFSKWITISNPSQEHMSMQLVLNSEEIIGQCRTVNDACEHTFSSRSPEIDSTETRFGFSLGVAAITEAHLGPSESALLGPIVFRPSNRCMWSSTVLIRNNLSGLEWLPLRAHGGWQSIALLEGSEPVWKLEFNVGPNVDNKSTVSKSEITSTLCSQQLSKEIHVKNSGDLPLEVSKVKVSGVDCGVDGFNVDNCMGFSLAPSESKIMLISFKADFSSVKVQRDLELAMTTGIFVIPMTANVPVCMLKQCRRSYFRSIHWKALILLFGTVSLFVLVFVRGVPYSLSASSEDYYVKIDDRKSTISKTVKPSFLQGSNKTSRSIREHRKADEALPEKQPHGTLGSPDKTPDKRNPDKQLNTTSTISVSPANPVEDKVSTEATQTIGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSLTPKQGWSFSGVTSEPKHKNKLESGLDVGARAPSSGNNKEKNGLLRTAKEQPPAASATSLNPLASSAVLTNAWRSQLLATTSPIAPHARAPGSNLMKDKTVKRDEGVVVPKKEFTYDIWGDHFSGHLLGKAREVAQCKVFDASEVASNSFFAREPQALVMKPQSEPPVSRGRGSLPSDVASGYAIN* >Brasy2G082000.3.p pacid=40066449 transcript=Brasy2G082000.3 locus=Brasy2G082000 ID=Brasy2G082000.3.v1.1 annot-version=v1.1 MVTTTTVKSRPPHAFFAMTGRRRRSSPAATSIFFSTFRSVASSTRGPMAALCCLFVVLALSASAAAGDSMEETDEDGRCLGFRDVCADQSSFCFSSSVVQTLLASDDGAKESDLGVSRDWGPSRPLCFPMSGGGMVTCSSADARITGARDALGREGEDVARYNAASCQAPLVPDNWMQASHGVPLELDGTPTDVNPSGLYSSSSMDVEINPPVLDWGRSNLYAASMASLTVVNLNNESVLRVYEPFSTDPQFYVYGYEDLVLQPRENASVTFMFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQILPLTRMDVVIGKHLEKNLSIYNPFDDSLFVDEVAVWMSAFEGAKQSSHVVCQLGPLDEAVELTSLSNNWYTASSTEYGWPMLHIRPSGQWEVLPSKSSTVIELKLQPISEGKVFGAIYMKLRNHTTDTMDIVVIPIELEVLMRTYYDSTNLVSVTFECISSCAGNGSIYSLSLRNDATELLRIVSVTGDNRDGPAIFQVKYLNGLILFPDTVTDIALIRYTASVPKDTSFDNCNVVVETNSSLGSSIMIPCQDIMHTSSSYTTSTVAESDEPDVGSFSELEISANSRTGSLGSILETEGLHNLKPTIMRAVRADDMVIRNWRSHGTMTGISVLIDHELLFPVVQIGSQFSKWITISNPSQEHMSMQLVLNSEEIIGQCRTVNDACEHTFSSRSPEIDSTETRFGFSLGVAAITEAHLGPSESALLGPIVFRPSNRCMWSSTVLIRNNLSGLEWLPLRAHGGWQSIALLEGSEPVWKLEFNVGPNVDNKSTVSKSEITSTLCSQQLSKEIHVKNSGDLPLEVSKVKVSGVDCGVDGFNVDNCMGFSLAPSESKIMLISFKADFSSVKVQRDLELAMTTGIFVIPMTANVPVCMLKQCRRSYFRSIHWKALILLFGTVSLFVLVFVRGVPYSLSASSEDYYVKIDDRKSTISKTVKPSFLQGSNKTSRSIREHRKADEALPEKQPHGTLGSPDKTPDKRNPDKQLNTTSTISVSPANPVEDKVSTEATQTIGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSLTPKQGWSFSGVTSEPKHKNKLESGLDVGARAPSSGNNKEKNGLLRTAKEQPPAASATSLNPLASSAVLTNAWRSQLLATTSPIAPHARAPGSNLMKDKTVKRDEGVVVPKKEFTYDIWGDHFSGHLLGKAREVAQCKVFDASEVASNSFFAREPQALVMKPQSEPPVSRGRGSLPSDVASGYAIN* >Brasy2G394000.1.p pacid=40066450 transcript=Brasy2G394000.1 locus=Brasy2G394000 ID=Brasy2G394000.1.v1.1 annot-version=v1.1 MEATAATGILVSSYREVTRIGCCHGIGIGMEEPPNNSPLVLLPPWDWMRASKGSSFRHSTAAGGLPRRHVEAPSAAAARNNIWGKKRPPSIAPAIQKPNSPQVNRLCESWPPGHVDSSPRVGVTVATEIGGRHQRGGANIRGAVALARTAPVAGSGSSARSSTAASTSSTPSSPTSSTFKHREGFRISLAILDAAGKRAVFDGRPPQGPVGSHAGRPQATSGSSGGAASTTATTASSSGACCPPTSGSNPSPRGAAALLHAAANPKINPTVPRSKGFSAKFAHPRPPHPVALRLRLSLLPSQRRRHLRQAYLLPPRVPVLPIPLHHAPVPSPRASCRFSSPLAAASLVLAAPRSPPPLRSSPPASSPSRQRACPAPPATPPPPPSPVPLPAAAAEAEERRGDDSGHAGVEEEHQQVHMILTELLEVAVEAGDRCTRRWARGGAWPDPEKMTAATALDGDAVDFFLHEVQRDGAGGAAWLGLGRASFGIQTVPPSLASLSLIARVVPVFNYVRSAARRDTGRVPDVRAGGEPDWPRVGFWTRPRRRCHANRQWDETR* >Brasy2G313300.1.p pacid=40066451 transcript=Brasy2G313300.1 locus=Brasy2G313300 ID=Brasy2G313300.1.v1.1 annot-version=v1.1 MALTVRQRRLPQLHISLDLPSSSCAFRGPNPPVPATASTSSGEFRASDFERLAVLGRGNGGTVYKAAHRRTSAQYALKVLHGGEPGAAAAEADVLRRAADSPYVVRCHSVFPAASGSGETALLLELVDGGSLDSVRRGVGVSVLPEAALAEIAAQALAGLAHLHARRVVHRDIKPANLLVSGAGEVKVADFGIAMVLPSRAGGEHGAAAYEGTAAYMSPERFDSEGHADADPRAADVWGLGLTVLELLMGRYPLLPAGQKPTWAALMCAICFGELPALPEGAASTELRGFIAACLRKDHTKRASVAVLIKHPFVAGRDVAASRLALRRLVAGA* >Brasy2G278400.1.p pacid=40066452 transcript=Brasy2G278400.1 locus=Brasy2G278400 ID=Brasy2G278400.1.v1.1 annot-version=v1.1 MQPRRATQHTRPHSSSSQSLLLHLGRRAESISRLHVHTYCTFRSPPNPKARSTTRTNKPEPRPLSHSLSLLLNTQGPNPNPPPSFSPFRAQPRRIASPPRPAVLVSPRASSTLPTRAANPSPPLVPSDRLSSHLIPTASQQDPKPSPPRGHAVLARGPRPALLPLFMESLRGRRAYNAAVPSACFLLLLLLVTAFLLLSSRHDSGAAARHVVQGITRARFSSPFYRKAQGNCQELQSLEGVEARCSYLLAHTRCAPSGYVDYLRLFYCGFGGAPAVGYAAFLLWLLVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASVVSFAAGDGGDGGGVGLNSALGGALFVSTVVAGVVALAAGSRGGGAVVELRGFVRDLCFLFFALCYLVGVLVTGTITVWVAASFVSLYAAYVVLVWTSQCCAEPGKPPHADLAAPLLLDDEDDGIVPQLPSYSKTNPASPAKAFLHCLLYALRMPLHLPRRLTIPDIAAHRWSKPCAVASAALSPVLLATTWISHSAVETSSHSHQGHGGLAVLLGGALFGLLFAALAAATTDANSPPRGRRRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVKASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPTPFTLPADPAVYETVGFLCAGLAWALLVVPARGMRLDRVYGVGLIAIYLAFFAVRVCESLGLWKYSW* >Brasy2G463600.1.p pacid=40066453 transcript=Brasy2G463600.1 locus=Brasy2G463600 ID=Brasy2G463600.1.v1.1 annot-version=v1.1 MARQACWPCSTRSDLERLLCDETAVAEALPLSLLSEITNGFSDEQETGRGGFAVVYKGVLENGTVAVKKLSNTHMFENQFHREVECLIKAKHKNVVRFIGYCADTQGKAETYDGNFVMADVRQRLLCFEYVPKGCLSHYINDESCELEWTKRYQIINEICQGVHYLHEKNIIHSDLKPDNILLNDEMVPKIADFGLARCFPEDQSRVVATFIGGTLGYLPPEYNSREITRGFDIYSLGVIITEMLTGKNGYHDVNDVLDSWRNRLEISKVDTMLEQIRVCVEIGIKCTQYNPADRPTIQYIINKLYEMDCSSDIGMSSSSAEQIIPMKGYAKIVCVDVHPAEPWIIITHFEGHFRIWNYQTQEIVMPFEQEGMSFLTAKFIVREQWIVAGGSHGYIYVFDYNTMEQIECFKALGEQITSLAIHPTMPYVLLASYDFEIGLWDWTNGWKSVQNFEEHSGSVTQIAFNPMNDNSFVSVSKDETIKIWNVGSPHSSMTLTGHSSKVRCLDFFAHDEKKYLITGSDNGTTKIWDVQKTDCVKTLYGHENNRVSSVCSHPELPVLMTGAWDGTVRLYNSNTLGFERILKKVGLGKVHALGCIKGSRRVVIGHSDGLAIMEI* >Brasy2G367200.1.p pacid=40066454 transcript=Brasy2G367200.1 locus=Brasy2G367200 ID=Brasy2G367200.1.v1.1 annot-version=v1.1 MPTRRAPARPARAMPTRQGFFRPDGVFVDLSGRCSSHQVAFCTFPVKCSHCFESGHIAKVCRSRDLPARAIRPPPHSARAPPLLASPTPILTNLPPRCLHTPFPFFLAAAVPRRPSDPLSAHLPTSIKRPPSALTPAASPPTQAALAPAASPPPQAAPAPTPVEMLSAIGPFSTLPVAATYADHGRAVGLRNQVVYTSERQHEAYSLILLVSGTRPRLAPPDIYEALMEALPAMPRDACHVDLLYPEAFLLVFNHFGWKEELRSLGVFHHQGTPLTVRPWSRRHFATQIAYRHYVRIFIEGLPAHSFSVATARRILPNANITGVAESCTNSGDFSYFVVQAWMADANTIPKEFSLDIPEPPRQGIDTVLNPDGFTGIVDDMLDAPPPPPL* >Brasy2G148200.1.p pacid=40066455 transcript=Brasy2G148200.1 locus=Brasy2G148200 ID=Brasy2G148200.1.v1.1 annot-version=v1.1 MASFIVASPRCSLLLLLLLLVTASRGLKTGDLVSQGKASADCSRTCESKYCTVAPVMRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVDANNNDYLSTKCNENLLSCLDGVSPAGPTFPGNKCGVGEVAFVIKGVIETAVLAGNILHRRDIGQ* >Brasy2G148200.2.p pacid=40066456 transcript=Brasy2G148200.2 locus=Brasy2G148200 ID=Brasy2G148200.2.v1.1 annot-version=v1.1 MASFIVASPRCSLLLLLLLLVTASRGLKTGDLVSQGKASADCSRTCESKYCTDDYLSTKCNENLLSCLDGVSPAGPTFPGNKCGVGEVAFVIKGVIETAVLAGNILHRRDIGQ* >Brasy2G148200.3.p pacid=40066457 transcript=Brasy2G148200.3 locus=Brasy2G148200 ID=Brasy2G148200.3.v1.1 annot-version=v1.1 MASFIVASPRCSLLLLLLLLVTASRGLKTGDLVSQGKASADCSRTCESKYCTGTPLTLSISNSLASVPADPSFPEQRADPASEKNRAINLIDYA* >Brasy2G081500.1.p pacid=40066458 transcript=Brasy2G081500.1 locus=Brasy2G081500 ID=Brasy2G081500.1.v1.1 annot-version=v1.1 MEITSSTMLKPVYPMPHPLAGDRVPLTIFDRAAIDTYVPIVLAYPAPAPSNEALKEGLLKAVAPYPHMAGRLAIDHRGRRFVQLNNEGVLVIEAAATTAVLADVLADGGMTTDVADFYPTVPEESVGEALLQIKLSRYRCGGLVIGVMVHHHIVDGHSASMFCSTWARAVREGNGFRAPSPALDRAATAVPRGMPKPAFDHRFIEFKGSQGSSSSEDKSYAVLPMDKIKNLKVHFPAEFVAELKARVGARCSTFQCLLAHVWKKMTAARGLKPEEFTQGGRASPPVPMDFFGNMVLWAFPRLQARDVLRLSYRGVVGAIRDAAARIDEEYIQSYVDFGGVADANGEDLLATATMGTMLFPDIEVGFSLHDLDFGTGPASTFLPPGLPVEGLMVFVPSRKAKGSVDLFIAVAEDHVAAFQNVCHSLDLDPLPARL* >Brasy2G022400.1.p pacid=40066459 transcript=Brasy2G022400.1 locus=Brasy2G022400 ID=Brasy2G022400.1.v1.1 annot-version=v1.1 MKLRVSVSAPMLDKVSWRRFYSCSALVFGSWSLSQTDLQTVESSRGEDGSECSLLLHVLRLHMSAQCHPGEELNFAQEMEKLPFTDFSALELHLTTSGHAFRAFVLRFLEMDQFKTTTRLKVVLVTEYQVTRAACPENCCHSDEPKNLGSQSILLTHLEEVEIDGFKGGGRELDFLTHMLGCTPMLKRMTVKLSPEHLISHRCCTTKICNILLAYPSVTFYVYRNSGELVPHTCIQSA* >Brasy2G441600.1.p pacid=40066460 transcript=Brasy2G441600.1 locus=Brasy2G441600 ID=Brasy2G441600.1.v1.1 annot-version=v1.1 MISPCYPYPGNCTRHPRNHMIQVCWMRLAKTPMDSDSVQSYGFLAVRDFLDGRLNYVFNRGRDNPLTVQKGKLIEMTGPTRGIANFVEVLMEFDMRIKSGEEEEDDLQLIDGITLFNNRESGDNYTLRFSGCHGGTVDMRFAQIEHGMEAVIEVDTPEVKSAFNLSLVSALEEYGEIELFHGDIAELGTKRFVTAVPLDTMMYLKFKVGKEGSEGDLVHYCKFDTSRHGCVSRQIVLEMVCILVKVSIFSADPEPYP* >Brasy2G487500.1.p pacid=40066461 transcript=Brasy2G487500.1 locus=Brasy2G487500 ID=Brasy2G487500.1.v1.1 annot-version=v1.1 MTAWVAQSGGRGRSSWKQGWAARALATASPPPARLLGFFSIVVFFLAVSSYVDYRAIERRAEIGARVFAAPLALAAAFLLVAALGSCRRSSHGGWTLRRRHAAAAPASEAVQGGSPWGVAAAVAVLLVMVSFQPAVHSLWFRPLWGSDYYS* >Brasy2G047400.1.p pacid=40066462 transcript=Brasy2G047400.1 locus=Brasy2G047400 ID=Brasy2G047400.1.v1.1 annot-version=v1.1 MAELYLKAAHRLPIAELPFLARCIEVNGLAIGLANPVTNIILNTIHAFAISPLWLQSVNYSGTVMQVLQKINKKSTFFEIASRSHEGHIDFMLAYFLHLNHHQAEQILLHTTHDLDLAIRLIEHGLQGHDTVVSMSPVVSMGKHYATTTISKDLTAKTTITPNCSSRDDFVEGDDAGYLLESAPEERSSIGCSEISWIKSPDFLSFVKVSLLDTIHFLYMEALATLPSHILHEGHLIRAILAAGYCYGYLDPVSNIKLNSIWYDVVCPLAKDVSDKVGASDILDARSLSRIGYRSLDGLVALLRNALTISEQDAVVLLCKCRCDLTSMLVQDEGRDLQQYYVAGATAVKHPQLAAFLAFLRSLTPKKLEWIRSLLMDIHPGNEHSDDQIKQLKVMLSQATVDIVEHVERMAPDPRQSASEILFARRTAFKHSHATIRTLLEKLLLEYWQEAPLEPKYKLGVISSRNGGSRRDTLFFAEFWDNRNNGMKESKKPPYCCPIQDNHDYLGRCFVCESASCRIVHPQSDKYFMCPTGRSFPVHNEFGVDLGEMFESDFIYQDIANAKFIATY* >Brasy2G350500.1.p pacid=40066463 transcript=Brasy2G350500.1 locus=Brasy2G350500 ID=Brasy2G350500.1.v1.1 annot-version=v1.1 MKGGGAIRSGSGGGGGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPQGDEELERPLPKERLMEAVWPEMAYGDSGDPAPSLIPYQILSWQPRALYFPQFATSEQCENVVKTAKARLRPSTLALRKGETEETTKGIRTSSGTFLSADEDPTRTLAEVEKKIAKATMIPRSHGEPFNVLRYEIGQKYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEQCIGLKVKPRRGDGLLFYSLMVNGTIDLTSLHGSCPVIKGEKWVATKWIRNKTV* >Brasy2G228900.1.p pacid=40066464 transcript=Brasy2G228900.1 locus=Brasy2G228900 ID=Brasy2G228900.1.v1.1 annot-version=v1.1 MTELSLLLITNSRRFCGDLQNFDPESNLLPSPLPIGSSPATVIFPNLGTRLLHSKSSLLMGIINWARSRFSAKRESRGFDAGVPEEQWRPEEDHQEAGGLLSIGTFGEKKDAKPTTHDQLPEFTIEEAEKLQDALEKLLRRARSKSSARRDRGDDSLLSLPLDRFLNCPSSLEVDRRVLRQKHDDEGGDTKIVLTMAKDLLASCSGSNSNNKGTGLKNKSFKFLLMKMLVCDGGGFAPAPSLKDPVESRIDKFFRTVLGRKINVRPTNATAAKKYCLEDKRFNGKSRGGHRRGEDGDAEKEEDCCTWDRTDSEFIVLEI* >Brasy2G044800.1.p pacid=40066465 transcript=Brasy2G044800.1 locus=Brasy2G044800 ID=Brasy2G044800.1.v1.1 annot-version=v1.1 MGDGDGDVEQVHGEPVSGSLTSVGAERHEALVYGLSSRSLSTWGFINGTGGGRGGRDCYIISALGFIATYLPGRGRRPIMKGRGLDQWFTLHLVSALVYHLPKWESGRHNLW* >Brasy2G104300.1.p pacid=40066466 transcript=Brasy2G104300.1 locus=Brasy2G104300 ID=Brasy2G104300.1.v1.1 annot-version=v1.1 MGSLGEIDHGGSREMFHGHSDPVVDELNRLENLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKLRILEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKKYRHEIAVLQDDKKALERHLKLNEAALVEAGDILRSALERALIVEDVQNQNIELKKQMEIYHEENRLLEKSNRQKVLEIEKLTHTVAELEESILATSNVTNAVHFYRNQTARLNEEKKTLERELARAKVYVNRVATTTANEWKDDADKLMPVKRWLEERRLLQGEIKRLHDKITIAERSAKVEGQLNDKLKRRMKSLEEMKNEKSNAPAKEDNTKATSNRSTSQPRQASTTRMSQQPSSFEGSVDRRRPTSQSRASIAGKMQKQPNSGTESVEKAKIVKRTDSPRARITAAGRGERPARNHLWATRSKVTSDAGKENKEQNPNYKLHLITQHVQGHSGVKPQTVFDANGDCGVQCSEHHEDIDLESLDEGKSDTSNAARSTKGNREN* >Brasy2G036200.1.p pacid=40066467 transcript=Brasy2G036200.1 locus=Brasy2G036200 ID=Brasy2G036200.1.v1.1 annot-version=v1.1 MLSMLLCGQLASVAGRIRHFPTQTMMPRFLLRLKNFPEQEEAASCETSDAASPRQLAYVATRISEKDPQE* >Brasy2G023300.1.p pacid=40066468 transcript=Brasy2G023300.1 locus=Brasy2G023300 ID=Brasy2G023300.1.v1.1 annot-version=v1.1 MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKSVFPSVVGSIEQTGDTDEAKPDKGSDSASDPKNGSKPMDVDKAKTKRKFYVGQELEFRRDHMEVISPMKDGTITDWDVVDNIWNHAFRQRLLINPEEHPMLIAEPSTNSGQQREKAAELMFEKYKAPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVTIRPRYSFKKKEVSPGDYKVVDLDLPNTTDSYRLYCMRAIASDIKESVCRVPDTPYDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPYLSQTIPGIDGFGDSTPIRGLPRMVLDSVNRCDVDIRKELLSSILLSGGSSSIIQLKERLEKEVLEESPQSARVKVLASGNSIERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP* >Brasy2G189900.1.p pacid=40066469 transcript=Brasy2G189900.1 locus=Brasy2G189900 ID=Brasy2G189900.1.v1.1 annot-version=v1.1 MEDDDTRSSGAAAFFRDTLVEAMQRRVDAVPSDPEDPYTIFRLPAAVRELHRDLYEPKVVSVGPYYCHRARAGAGLGAAQQHKWRLLRDFLSRSRQRHKNDDDDKGAGLSALGAYVRAARALEAEARGCYAEAFDEALGPDDFAELLVLDGCFLLEFFLRKGEGQLAGAPGGAKWAWQHMYRDVLLLDNQIPFFVIEQLHAIAFPSEDHDALLDIFCKAFGGDLPSSRPIRPRSGKTIHHLLHLHYECNVRNPAADTTDKPSRNGSNAEASLAVWKQQPSPVPSPRSSSCTDVGAMNGQRLTTTSMVPQASKMEEAGVTFKRKAAPRDMFDVSFRYGVLHVPAFVVDEAAKVLLANLVAFEQGGGRAARQLEGGNLVTGFVALVGSLVGAPRDVEVLRRCGVMHCMVAHDDAVRYFGHVVQYATMDYDRHLLACLFRDIREHCHWNR* >Brasy2G115600.1.p pacid=40066470 transcript=Brasy2G115600.1 locus=Brasy2G115600 ID=Brasy2G115600.1.v1.1 annot-version=v1.1 MESTLTTRLPLLPSPSKSRFLSTFPLAGARRVASIYSSSGSVHGFLLSRGGGDAGVTSSVTAAAAAIGEAAEPASEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVWTTPEVKLAEDWQKQCGEKLASHLGTCGFESRAQGQGES* >Brasy2G421500.1.p pacid=40066471 transcript=Brasy2G421500.1 locus=Brasy2G421500 ID=Brasy2G421500.1.v1.1 annot-version=v1.1 MRSAQTTQSRRLRSSFPASASRSLCIWSFQLPAARAASSAAGTSPRARSSSSSAVAEFDEDLPVPRARSRPRSQRQRHRPFAIPRSLLPPSTSLSLSPLQPEEGDPAPPPPRFAPKLLSRSRRRRSSCRHRRASVATPASSLHEIWRGRTLNQAALMELSAVRVLFALVVADGRSGIAFLSHGRAERVRGRGRRSASSDSPTAAAAWTSGCCSD* >Brasy2G268600.1.p pacid=40066472 transcript=Brasy2G268600.1 locus=Brasy2G268600 ID=Brasy2G268600.1.v1.1 annot-version=v1.1 MLINRNLAQQCATRPRRRPPPTTRSSPSPHRQATPQNSPVRSTSSPRRNPTPTTPPLGESRDARHSRPIPGPTQRRPTPRRAKSPPNSTLEAPCAEERERGNGWGKMREEDEQRVPRCLKNASYLGPIPKTCLILSERRCCGKGLIAWSASLVPHRTVLQCAHPTRAESA* >Brasy2G156300.1.p pacid=40066473 transcript=Brasy2G156300.1 locus=Brasy2G156300 ID=Brasy2G156300.1.v1.1 annot-version=v1.1 MAELEAKQAWQANELLREEVDDMRVDTKAQIDVLLEEVKLLRGMVVQSNAGNNKSSMHHIDTEPADSVGESAAANEQYAFHDVNIDEEDIMEQELREAQEQFERKKAEEALLRKKKEAARIQNRDAAQRQKEQQELLQKRKEAEILQKKRKESELRQNEEELQNKEAELKKKEAKLQKKKKAAEVQKKKEAEAQKKQQQAAITQENHQLEVEQKSKAKAASLPQVD* >Brasy2G337600.1.p pacid=40066474 transcript=Brasy2G337600.1 locus=Brasy2G337600 ID=Brasy2G337600.1.v1.1 annot-version=v1.1 MFLRSKVQEMILRWRSRSMNSSAAAVSCDGGGKGAPTRAAPFASPKLLQHSSSLPAGSCAAVGSPLRDPETPPPPYSMSPTSVLDASAAFAAPGSPVGGSTSKRRPWCDGCGGLADALDCDGGKQRQRGSVLAAVKAPAPALVRSCSLDRRVEFGVKNKSSWLPIHGGRAPGDQEAKAAAVSPAPEEMEMEMEPSSEDYTCVISRGPNPRTVHIFGDRVIEGGGESSPPAARGDRGFLSL* >Brasy2G303000.1.p pacid=40066475 transcript=Brasy2G303000.1 locus=Brasy2G303000 ID=Brasy2G303000.1.v1.1 annot-version=v1.1 MPPRPTSSSLRDDLPASRVPRRAVAAAAAMLFARRDIEAAVAGAGQDDSPAAKRSKPEAAPGARPTLTRTEALAAAAVLALFVAGIFCIFLAAPRREFGQILRLPRSLADVRVLKDNLAVYARDHQANFVLGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFLSKLIGRPLVSWLWPERLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE* >Brasy2G298900.1.p pacid=40066476 transcript=Brasy2G298900.1 locus=Brasy2G298900 ID=Brasy2G298900.1.v1.1 annot-version=v1.1 MSRSGGDSADHGLTAPVSSSPTSPTPPSNPEAASPMSARDFPADLLRAVLHRLPPADVARAACVCRLWRAVASDRAVLEAAFRAPWGVRRVVGEPATRAFWRAASLGRFALSHTVRRADTVPGIALKYSVQVTDIKRFNNMMSDHGIYSRDRLLIPISSPEILLGSTCYIEMDHNAKREVAVFYPEGHPNGSAQSLANSAAAKRQSKRILESVRRSLRVDDGTAEYYLSVTDGDPRAAMMEFSEDLRWEQQQAGH* >Brasy2G412600.1.p pacid=40066477 transcript=Brasy2G412600.1 locus=Brasy2G412600 ID=Brasy2G412600.1.v1.1 annot-version=v1.1 MGSRQDGEEVAEAEAVATTEPADARVQQQQLLAGTAYNINDNADILSEILARLDGRSLAAAAGVCRLWAAVSRRDAVWEALCLRHVGPTASGLGATRAVVGALGGYRRLYRLCLGPALDRLGLAAHAQARARLSLSLSLSLFSIDCYERLGGGGAGAGAGAGRPPPSSLLFLCKPVDVS* >Brasy2G061300.1.p pacid=40066478 transcript=Brasy2G061300.1 locus=Brasy2G061300 ID=Brasy2G061300.1.v1.1 annot-version=v1.1 MGAAAWDPLEYGVGAAASVRAAAGASDARGATAWAWWPTGRKHAATASSRRPTAQRREDTTSSGRWSFAAGGVRRCHLVCPEAILCWYRIWSTEIARASCCGYHNASTWPRNLAPCNFSSQVAVQ* >Brasy2G061300.2.p pacid=40066479 transcript=Brasy2G061300.2 locus=Brasy2G061300 ID=Brasy2G061300.2.v1.1 annot-version=v1.1 MGAAAWDPLEYGVGAAASVRAAAGASDARGATAWAWWPTGRKHAATASSRRPTAQRREDTTSSGRWSFAAGGVRRCHLPSCVGTESGQLRLHGRPAAATTTLAHGREI* >Brasy2G487800.1.p pacid=40066480 transcript=Brasy2G487800.1 locus=Brasy2G487800 ID=Brasy2G487800.1.v1.1 annot-version=v1.1 MDKLVLLLTEANRVMEEDQAVPSTTALLMPKPAPVPASNKKAKTAPLSSSRFRRVCVFCGSSPGKKAAYQAAAVQLGQQLVDRGIGLVYGGGSVGLMGLVSRAVHNAGGHVTGVVPKAVLPRELIGETPGELKSVPGMHARKAEMARRSDAFIALPGGYGTLEELLEAITWAQLGIHRKPVGLLNVEGYYDSLLAFVDGAVAEGFIAPAARGIIVSAATPAELLAELEAYAPVEEDEVKLTWEEHYSSSASPNSDISR* >Brasy2G357700.1.p pacid=40066481 transcript=Brasy2G357700.1 locus=Brasy2G357700 ID=Brasy2G357700.1.v1.1 annot-version=v1.1 MASAAARSGLRSLAARAKAPAPARRRMSSSVHDDAYETAKWEKITYAGIVTCTLLAAWNLSKGHPHFDEPPAYPYLHIRNKEFPWGPNGLFEVKHDH* >Brasy2G394500.1.p pacid=40066482 transcript=Brasy2G394500.1 locus=Brasy2G394500 ID=Brasy2G394500.1.v1.1 annot-version=v1.1 MAEGVILLAVKKIGIALGNEALGQASSLLKKFITQLTELQSSMGRISRELRLMHEFLCRMDVRNRNNQAYEIWVQQLRMLVHGIEDIVDEYLYLVGHKHDSGWATYLKKGFKRPDVLLSLNRIASSVKEAEINLVHLFQAKDRWVSRDSNYVVETSQHLASISRSLDEENLVGVDINREKLEKWLSGDDLRCSVIALLGMGGLGKTALAANLYKKEREKFECHAWVSVSQTYSIKDVLKCLITEFYKGINSTPGNMDHMGTADLQDELKIFLKNKKYLIVLDDVWASEVVNELFGALGQNQKGSRVLVTTRIDGVAYLASEEIRLEALPDKDSWKLFRKMVFSRETDHECPTELTELARKIAGKCKGIPLAIVTVGRLLFVRDKTKEEFKRICDQLDWELVNNPSMEHVRNILYLSFIYLPTYLKSCFLYCSLFPEDYLFYRKRLVRLLVAEGFVEERGESTLEEVAECYLAELVRRNMLQLVERNYFGRMKKFRMHDLLRELAVDLCHRHCFGVGYEEDKRGGSLQENGRRLVVHKVKQDIQHSLSSIHCLRSFIILDNTVPSLTLLPLLAEKSRYITVLELSGLPIKKIPDAIGDLFNMRHLGLRGSKVKMLPKSIEKLSNLLTLDLLRSDIQELPGGIVKLKKLRHLFAEKLKDPEFREIQYCSGVRIPNGLGNLTNLQTLQALEVDDDSVSQLSELRQLRSLRLLNLKGIYCERICKSLVQMPFLSIDLNASDEKEVLQFNILPPNLQKLTLRGRLAEGALGECPDLFQAVAELNLYSLSLHWSQLREDPLPSFSRLANLTELDFTRAYNGEELAFLTGWFPKLKTLFKRPV* >Brasy2G008400.1.p pacid=40066483 transcript=Brasy2G008400.1 locus=Brasy2G008400 ID=Brasy2G008400.1.v1.1 annot-version=v1.1 MSSRLECLRLDPRSEAERVKNRLNALGTSNRASFWSDRARSYALVLLQDRVKQVEAFVESCRRALELIHSAFFPLKPLPEGLGALMQKFRNGAAMKDFVRQQLVRGAKVALAFVRVQYPEVDLEDIHELPLPAGAVIHMRPHFAAVYRPAREIIHLRELQEQALLEKRDRQ* >Brasy2G371400.1.p pacid=40066484 transcript=Brasy2G371400.1 locus=Brasy2G371400 ID=Brasy2G371400.1.v1.1 annot-version=v1.1 MSRPTRQTLAPGSATSLRRFPGNSQNRPPHASAPFRKAAAALLLVAAVALPCAVLYRAVLLNSPPPVQVAWGFRPWWEQDTPRASVLVPEEDGDLDPVAAGDTEREYPKLEQVLQEASMDNKTIILTTLNAAWASPGSVIDLFIDSFRRGIRTSSLLKHLVIIALDLKAYRRCTEIHPYCFALLTDDVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPYLYPDGDFQSACDHYVGNATDLRNIANGGFNYVKSNNQSIEFYKFWHSSRLRYPGYHDQDVLNFIKHDPYITEIGLTIKFLSTTYFGGICEPSRNLNKVCTMHANCCIGLQSKLHDLRILMEDWRDYMSMPPSFKRLGALSWRVPQNCSLSL* >Brasy2G371400.3.p pacid=40066485 transcript=Brasy2G371400.3 locus=Brasy2G371400 ID=Brasy2G371400.3.v1.1 annot-version=v1.1 MSRPTRQTLAPGSATSLRRFPGNSQNRPPHASAPFRKAAAALLLVAAVALPCAVLYRAVLLNSPPPVQVAWGFRPWWEQDTPRASVLVPEEDGDLDPVAAGDTEREYPKLEQVLQEASMDNKTIILTTLNAAWASPGSVIDLFIDSFRRGIRTSSLLKHLVIIALDLKAYRRCTEIHPYCFALLTDDVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPYLYPDGDFQSACDHYVGNATDLRNIANGGFNYVKSNNQSIEFYKFWHSSRLRYPGYHDQDVLNFIKHDPYITEIGLTIKFLSTTYFGGICEPSRNLNKVCTMHANCCIGLQSKLHDLRILMEDWRDYMSMPPSFKRLGALSWRVPQNCSLSL* >Brasy2G371400.4.p pacid=40066486 transcript=Brasy2G371400.4 locus=Brasy2G371400 ID=Brasy2G371400.4.v1.1 annot-version=v1.1 MSRPTRQTLAPGSATSLRRFPGNSQNRPPHASAPFRKAAAALLLVAAVALPCAVLYRAVLLNSPPPVQVAWGFRPWWEQDTPRASVLVPEEDGDLDPVAAGDTEREYPKLEQVLQEASMDNKTIILTTLNAAWASPGSVIDLFIDSFRRGIRTSSLLKHLVIIALDLKAYRRCTEIHPYCFALLTDDVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPYLYPDGDFQSACDHYVGNATDLRNIANGGFNYVKSNNQSIEFYKFWHSSRLRYPGYHDQDVLNFIKHDPYITEIGLTIKFLSTTYFGGICEPSRNLNKVCTMHANCCIGLQSKLHDLRILMEDWRDYMSMPPSFKRLGALSWRVPQNCSLSL* >Brasy2G371400.5.p pacid=40066487 transcript=Brasy2G371400.5 locus=Brasy2G371400 ID=Brasy2G371400.5.v1.1 annot-version=v1.1 MSRPTRQTLAPGSATSLRRFPGNSQNRPPHASAPFRKAAAALLLVAAVALPCAVLYRAVLLNSPPPVQVAWGFRPWWEQDTPRASVLVPEEDGDLDPVAAGDTEREYPKLEQVLQEASMDNKTIILTTLNAAWASPGSVIDLFIDSFRRGIRTSSLLKHLVIIALDLKAYRRCTEIHPYCFALLTDDVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPYLYPDGDFQSACDHYVGNATDLRNIANGGFNYVKSNNQSIEFYKFWHSSRLRYPGYHDQDVLNFIKHDPYITEIGLTIKFLSTTYFGGICEPSRNLNKVCTMHANCCIGLQSKLHDLRILMEDWRDYMSMPPSFKRLGALSWRVPQNCSLSL* >Brasy2G371400.2.p pacid=40066488 transcript=Brasy2G371400.2 locus=Brasy2G371400 ID=Brasy2G371400.2.v1.1 annot-version=v1.1 MSRPTRQTLAPGSATSLRRFPGNSQNRPPHASAPFRKAAAALLLVAAVALPCAVLYRAVLLNSPPPVQVAWGFRPWWEQDTPRASVLVPEEDGDLDPVAAGDTEREYPKLEQVLQEASMDNKTIILTTLNAAWASPGSVIDLFIDSFRRGIRTSSLLKHLVIIALDLKAYRRCTEIHPYCFALLTDDVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADVMWFRNPFPYLYPDGDFQSACDHYVGNATDLRNIANGGFNYVKSNNQSIEFYKFWHSSRLRYPGYHDQDVLNFIKHDPYITEIGLTIKFLSTTYFGGICEPSRNLNKVCTMHANCCIGLQSKLHDLRILMEDWRDYMSMPPSFKRLGALSWRVPQNCSLSL* >Brasy2G343600.1.p pacid=40066489 transcript=Brasy2G343600.1 locus=Brasy2G343600 ID=Brasy2G343600.1.v1.1 annot-version=v1.1 MRTIIIKVDLDCSRCHRKIEKVLDRIKEKGEFEIDDIEYDEKNNRVIVKGPFDPDRLADKLCCKACKIIKEIEIVDLPPPEPPKKEKPAPPPPEEETKPAPPPAVVVEPAPAPEPEPTKKEEPAPPPPAKVVEILYPWPYPYPLPAWPSDCCCHHGHGGCHCCSCGKQEPPAPAPAPPPPQCPQYMMPQYPQCPPPSYPCGYKIVCEEDPSYACAIM* >Brasy2G346900.1.p pacid=40066490 transcript=Brasy2G346900.1 locus=Brasy2G346900 ID=Brasy2G346900.1.v1.1 annot-version=v1.1 MAAPLLGPQSHVMVLPFPAQGHVMPLMELSHRLVHHGLQVVFVNTDFNHERVLQALAAETGGGAAALPDGIHMVSFPDGMGPDGDRTDIAMLADGLPAAMLGPLQEMIRSRKTRWVIADVSMSWALDLADPAAGVRVALFSTFSAAAFALRLHVPRLIEQGILDECGNVKRNETIRLSPKMPPIEAAEIPWASLSSSPERRKVIIQNLLKTNPAIQQADTVICNTFEAIESEALAMVPHALPVGPLEAPAPAASRSAGQFWPEDPACLPWLDAQPRGSVVYVAFGSFTVFDAARFQELAGGLELTGRPFLWVVRPNFTAGVGEGWFDAFRRRVEGRGLVVGWAPQQRVLSHPAVACFLTHCGWNSTMEGLRHGVPLLCWPYFADQFCNQSYVCNVWGNGVKLCADERGVVSKEEIRNKVAQLLGDEGTKERAAVWKDAACASIADGGSSRLNLLKLVDLLTEQEQ* >Brasy2G346900.2.p pacid=40066491 transcript=Brasy2G346900.2 locus=Brasy2G346900 ID=Brasy2G346900.2.v1.1 annot-version=v1.1 MAAPLLGPQSHVMVLPFPAQGHVMPLMELSHRLVHHGLQVVFVNTDFNHERVLQALAAETGGGAAALPDGIHMVSFPDGMGPDGDRTDIAMLADGLPAAMLGPLQEMIRSRKTRWVIADVSMSWALDLADPAAGVRVALFSTFSAAAFALRLHVPRLIEQGILDECGNVKRNETIRLSPKMPPIEAAEIPWASLSSSPERRKVIIQNLLKTNPAIQQADTVICNTFEAIESEALAMVPHALPVGPLEAPAPAASRSAGQFWPEDPACLPWLDAQPRGSVVYVAFGSFTVFDAARFQELAGGLELTGRPFLWVVRPNFTAGVGEGWFDAFRRRVEGRGLVVGWAPQQRVLSHPAVACFLTHCGWNSTMEGLRHGVPLLCWPYFADQFCNQSYVCNVWGNGVKLCADERGVVSKEEIRNKVAQLLGDEGTKERAAVWKDAACASIADGGSSRLNLLKLVDLLTEQEQ* >Brasy2G042500.1.p pacid=40066492 transcript=Brasy2G042500.1 locus=Brasy2G042500 ID=Brasy2G042500.1.v1.1 annot-version=v1.1 MNSRQRREHDRTQGHGDRADRAQCCCRRLGVLGLLVRNLRRRVGRRRRRRRRRRAQRRIRGRHGRRGGRRRHGRVSKELHGHQQTVHREHAQRHLVQHRGRHPGLPDARVQRHVIATRHHGDIILPRRRGRQRAQRPRPGLDELQRRLGLVRRHRVVHQQPHEVILSHLPHVRRARLGHERVVVGREQREPRGHGRLVRLEHAGVPRHERREPLAPGLLQQPGQVRGVRHVEEPVELDGRRDLQHGKVIRRLLHGFHERGVEFGSPVRGREPEVTAVQLGDLDGAHGVAGRLEEGVGDVGCREGP* >Brasy2G250100.1.p pacid=40066493 transcript=Brasy2G250100.1 locus=Brasy2G250100 ID=Brasy2G250100.1.v1.1 annot-version=v1.1 MEHSSGEETEVSDSEIDECKDKIYAQLRAGKMEVKHGEKTFRCPFCLGKKKHDYNGKDLLQHATDIGAAPQRKAKVRAAHLALAEYVKNDLGSLLEPSLQLAIVDYKPPKNEEEKFVWPWMGILVNVPTEGHDVNFLRENEDMLRSQLSRFRPCQVTILWNSKGQTAIIKFNEDWIGFNDALAFENHFILEKYSKTDWNKSNCRMDDLYGWLARTDDYNSPGTIGEHLRKAGVLKSIGDRQREGTDKCVAHFSHQMEEKNKQLQELELKNNQNAMKLDSMMKDKDRLVEEHNEKKRKMQQDARRTFRKMLQDNQRLHQELNTRIEETSRKHKELEELATLSNIDKEKVEAEIKKNANDNVLLDLALLKFKKGDEETMQLAKKHEQEMEDAFKRQYKLEKDLTSKQNLEMTVAYLRGKLEIMKYMGAEEDTTSKELDKISEELKEKDEELEHMESANQALIIVERRTNDELEPAKKELIQGLQEMSGTRSNIGVKRMGVLDVKAFVAACKAKSASYDFEGESALELSKWQHEIAQPEWHPFKVINIDGKEKLIVREDDEKLQALKEKLGQDAHDVVVKALLEMNEYNPSGRYSVPVLWNFKENRKALLDEAVEYVLKQWKANKNKKYYG* >Brasy2G114700.1.p pacid=40066494 transcript=Brasy2G114700.1 locus=Brasy2G114700 ID=Brasy2G114700.1.v1.1 annot-version=v1.1 MTNHAAFGAEDAVTALSLAPAPAQAQAGRHFSSFPPRRAPRDCRKKAALGRMDLAASGVLRAGSLLDSMRAASSPRHAAGAEHDDWIEKHPSALEWFGSVLAAAEGKQVVMFLDYDGTLSPIVQDPDSAVMAEDMRDAVRGVAQHFPTAIVSGRCRDKVFNFVKLEELYYAGSHGMDIRGPTTESNHKAQGDGILCQPATEFLPVIEEVYRTLTARMEAIPGATVENNKFCLSVHFRCVAEDKWDGLGEQVRAVLEGYPELRLTKGRKVLEIRPSIKWDKGNALEFLLEAIGYAGRGDVFPIYIGDDRTDEDAFKVLRNLGQGIGILVTKFPKETGASYSLREPAEVKEFLRKLVKGSGANTKS* >Brasy2G025400.1.p pacid=40066495 transcript=Brasy2G025400.1 locus=Brasy2G025400 ID=Brasy2G025400.1.v1.1 annot-version=v1.1 MLLTAPYFCLVGVLYKSVPISLKPISNPAAAAAGQSNSFDRTGETMLLHRHRFPLLCCSTRAAVLNGADTVADKGGAVRQLTWAEVEAMTGNFTSAVVGEGGSSTVYLGRLPAQQLAAVKVHRGSQRLRRAFRHELHALLRARHPHIVRLLAFCDQTDEGVLVLELAPNGSLHDHLHGMNQQGGASSPAPVPMPMPWARRVRVALQVARALQYLHEQCEPQVVHGDVKASNVLLDAAMDARLCDFGSAHAGFSASIRPTSPRGVMVGSPGYVDPHYLRSGLVTKKSDVYSFGVLLLELLTGAPPVRIDDGRLLTSIVAPAVKAGGPVCDVRKLVDKRLGCHYDAEEAAVFAGLAVACVGENPALRPSMADVVRTLEKISAVGRRSDGGGKP* >Brasy2G426800.1.p pacid=40066496 transcript=Brasy2G426800.1 locus=Brasy2G426800 ID=Brasy2G426800.1.v1.1 annot-version=v1.1 MTAVLPGCSFSPTEIELTDVYLRRKIAGGHDFPVEADGFFHDADVYSAEPEKLVQPFLPAPGTGTSDKQPPACMNKSSGRRSRTIGGDGSKNWHSEKMARPVEGGSAVGGCVQKLSYSARRRSGKSERAGWIMAEYGISPEHGGGRLVLCKVYPSTYAHKTAAEDSPGVKFKKRKAAAGLILKIMLTPTSNVRPRINAAAEETNGDLDAYDDVADNVKIYWEMLAQQAAQSTAAAHLPIDVYEQGTTEYCFGIADPVPEAERAAADYGLVDHQPFGFWQEMEQEFEASYPISNSVMRGFSPEMLSRLLSE* >Brasy2G018300.1.p pacid=40066497 transcript=Brasy2G018300.1 locus=Brasy2G018300 ID=Brasy2G018300.1.v1.1 annot-version=v1.1 MGSKNGLVKSVIICVFILGLVLEHQVVQVDARQSCCSSTEGRRCYLRCRLTVEACLEECGCIIISGSTCPSAFPYPPLAGAAADSRKQNSGDAVVAA* >Brasy2G177200.1.p pacid=40066498 transcript=Brasy2G177200.1 locus=Brasy2G177200 ID=Brasy2G177200.1.v1.1 annot-version=v1.1 MGRPPCCDKANVKKGLWTPEEDAKLLAYTSNHGTGNWTSVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPAASSSASSNYFPINHPVAPPPLPLLQDDDVSYHAALQQQQQVITLLDADAPGAAASPDQHQQQQLKWSDFLADDAAAALEAAAPPAQFLEAAAVAGGGGGSARACGGDIGGGVGIGGGAAGDDGAASSAFIDAMLDSDREMGVDQLIAELLADPAYYYGAGCGASSSEMGWGC* >Brasy2G364000.1.p pacid=40066499 transcript=Brasy2G364000.1 locus=Brasy2G364000 ID=Brasy2G364000.1.v1.1 annot-version=v1.1 MEAEVAPPAAAAAASNGDTGEETGGEVKRKDWYSKGIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFVRPILAERFGTAKRHLVALDCGSGIGRVTKNFLLRHFNESTEKHNFSVDRSCASKVMITEKNTISRKIVRPRRRRLGKIQSQATEPKQNCFPFYVDLVEPVSHFLEAAQENLTGCMDVGQDTHRAANFYCIPLQDFTPDEGRYDVIWIQWCIGQLTDDDFVSFFNRAKVGLKPDGFFVLKENIARNGFVLDKEDSSVTRSDAYFKELFKKCGLYIHSIKDQKELPEELFAVKMYALVTSQPKIPKNGKRRRPKNSPRVIRS* >Brasy2G364000.2.p pacid=40066500 transcript=Brasy2G364000.2 locus=Brasy2G364000 ID=Brasy2G364000.2.v1.1 annot-version=v1.1 MDSRGYDSTGREFSSATEMWAEEIGATSSASASAMEAEVAPPAAAAAASNGDTGEETGGEVKRKDWYSKGIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFVRPILAERFGTAKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAAQENLTGCMDVGQDTHRAANFYCIPLQDFTPDEGRYDVIWIQWCIGQLTDDDFVSFFNRAKVGLKPDGFFVLKENIARNGFVLDKEDSSVTRSDAYFKELFKKCGLYIHSIKDQKELPEELFAVKMYALVTSQPKIPKNGKRRRPKNSPRVIRS* >Brasy2G322500.1.p pacid=40066501 transcript=Brasy2G322500.1 locus=Brasy2G322500 ID=Brasy2G322500.1.v1.1 annot-version=v1.1 MLRQSSSRNHRSRGLKLKKALQISLLVIVSVWLLYQVKHSYEKKAAYTENDANNDTSDVRKDDQSQGDIVRLGRKGLPAKMEADSSTLDERIEDEDTEEIEQEIKHDEHDDDPIDEPDLDKDDDLPEPGEHSADKDEGSDGVGVFEDEERKERSQEDQEKSFHGDDVSSAVTHETPLRQDELTHHAQEKILYVDDASSAVSNENQEPEHKAEEARKAREKSSRGDDVSGSVDHDAQITKPLPEEQLKSMDRIFEGTANLSNGITFRGPGVNGTNPIEEHGASSTNASSNPNLSIPSMVSESKTETAIVNLTSNHTGSERSDSTSLKGQHEQQVNSTVALDNQTQLSTDLVSAELNSPPNGTLALVSSDAQKATSIAGDNGDSTGTSSSQVENKVDETHKEDLDVSTKIMNTAIGEGEVLPE* >Brasy2G322500.2.p pacid=40066502 transcript=Brasy2G322500.2 locus=Brasy2G322500 ID=Brasy2G322500.2.v1.1 annot-version=v1.1 MLRQSSSRNHRSRGLKLKKALQISLLVIVSVWLLYQVKHSYEKKAAYTENDANNDTSDVRKDDQSQGDIVRLGRKGLPAKMEADSSTLDERIEDEDTEEIEQEIKHDEHDDDPIDEPDLDKDDDLPEPGEHSADKDEGSDGVGVFEDEERKERSQEDQEKSFHGDDVSSAVTHETPLRQDELTHHAQEKILYVDDASSAVSNENQEPEHKAEEARKAREKSSRGDDVSGSVDHDAQITKPLPEEQLKSMDRIFEGTANLSNGITFRGPGVNGTNPIEEHGASSTNASSNPNLSIPSMVSESKTETAIVNLTSNHTGSERSDSTSLKGQHEQQVNSTVALDNQTQLSTDLVSAELNSPPNGTLALVSSDAQKATSIAGDNGDSTGTSSSQVENKVDETHKEDLDVSTKIMNTAIGEGEVLPE* >Brasy2G322500.3.p pacid=40066503 transcript=Brasy2G322500.3 locus=Brasy2G322500 ID=Brasy2G322500.3.v1.1 annot-version=v1.1 MLRQSSSRNHRSRGLKLKKALQISLLVIVSVWLLYQVKHSYEKKAAYTENDANNDTSDVRKDDQSQGDIVRLGRKGLPAKMEADSSTLDERIEDEDTEEIEQEIKHDEHDDDPIDEPDLDKDDDLPEPGEHSADKDEGSDGVGVFEDEERKERSQEDQEKSFHGDDVSSAVTHETPLRQDELTHHAQEKILYVDDASSAVSNENQEPEHKAEEARKAREKSSRGDDVSGSVDHDAQITKPLPEEQLKSMDRIFEGTANLSNGITFRGPGVNGTNPIEEHGASSTNASSNPNLSIPSMVSESKTETAIVNLTSNHTGSERSDSTSLKGQHEQQVNSTVALDNQTQLSTDLVSAELNSPPNGTLALVSSDAQKATSIAGDNGDSTGTSSSQVENKVDETHKEDLDVSTKIMNTAIGEGEVLPE* >Brasy2G030700.1.p pacid=40066504 transcript=Brasy2G030700.1 locus=Brasy2G030700 ID=Brasy2G030700.1.v1.1 annot-version=v1.1 MDANYAAADAANGMCFPYDVLLDILRRVPGRALAASCRLVCRSWRGIVDAHGLLLPHVFPCVFPGVFAAYRGYDPESALFAPRRPKKKPHDDDAGADADPPSSSHRRRPLFWNDWRLRAQQHCNGLFLLKDDYSPADDVFGMFLAFDPAVSRNYEVFRFPTEKKLDPEPDYPRTDWKPDYSWIHSEPPFPRKWSGEEDPCELDFSESDSKEERALHVPVFSSRDGEWESREFTPGRRVSRRLYDAVTAPCRGKVGRWWPAECWRGSLYVQCLRGVLVILRCSEGTYDMVQLPGDRYGQEDLFLCSLPKKCVLANHDRGICYVVLKELQLKVWELTELGEDRLGWTLAHEANLEAHDRTVNYLRERRKMQPRMTWEVVDSDKDLIKLFEGESNSVDGDDGTEEDVGEEEEEEDNFDEAQSKEEEEEEDNYNEAQAEDGEEEEDNYDEAPVDEEEEQDNANETQSEEEEDEELGSGTDSEYSWNSDEDNFVDFHKSAAGDEDSLAWGITIVGFHPYKDVLLLKFSDTLVAYHLRTSRMQYLGYIYPQQHHQQAREIVGAFPYRPCYIDALPARKTSTPS* >Brasy2G261500.1.p pacid=40066505 transcript=Brasy2G261500.1 locus=Brasy2G261500 ID=Brasy2G261500.1.v1.1 annot-version=v1.1 MEPASAARTGVGEASSLIFLGTGCSGALPDTRCLIQPSTPPCAVCSQALTLPPDRNPNYRCNTSLLIDYCHNDGTHKYILIDIGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLADVWVVQPNDHKNDVDPIPIFLTQFTMDSVAARFPYLMKPKLEESDEVARIAQLDWTIIEPDVDKPFVSSGLEFVPLPVMHGEDYICLGFLFGRITRVAYLSDVSRILPRTEHRISGQLDLLILETNRLHGVGNARSTHLTFTESLDAVKRICPKKALLIGMNHEFEHDRENQILAEWSRREGIQVQLAHDGLRIFIDL* >Brasy2G227800.1.p pacid=40066506 transcript=Brasy2G227800.1 locus=Brasy2G227800 ID=Brasy2G227800.1.v1.1 annot-version=v1.1 MGEAAGDRVLSRLQSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQPHQIISEYNTAIPEAAREKLKDGAFEDVLRAAQEAIVISPWVALAIRPRPGVWEYIRVNVSELAVEELSVPEYLQFKEQLVEGSNKDFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMMNDRIRSLSALQGALRKAEEHLSGLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETLHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEISGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGMYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESQRRLTSLHPEIEELLYSDVDNNEHKYVLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVIVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHVRWISAQMNRVRNAELYRYICDTRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVNGVSGYHIDPYQGDTASALLVEFFEKCQGDPSHWTKISQGGLQRVEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEASSK* >Brasy2G227800.2.p pacid=40066507 transcript=Brasy2G227800.2 locus=Brasy2G227800 ID=Brasy2G227800.2.v1.1 annot-version=v1.1 MGEAAGDRVLSRLQSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQPHQIISEYNTAIPEAAREKLKDGAFEDVLRAAQEAIVISPWVALAIRPRPGVWEYIRVNVSELAVEELSVPEYLQFKEQLVEGSNKDFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMMNDRIRSLSALQGALRKAEEHLSGLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETLHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEISGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGMYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESQRRLTSLHPEIEELLYSDVDNNEHKYVLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVIVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHVRWISAQMNRVRNAELYRYICDTRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVNGVSGYHIDPYQGDTASALLVEFFEKCQGDPSHWTKISQGGLQRVEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEASSK* >Brasy2G352400.1.p pacid=40066508 transcript=Brasy2G352400.1 locus=Brasy2G352400 ID=Brasy2G352400.1.v1.1 annot-version=v1.1 MVSDSELVERLREVLKESDLTTTTTGALRRRLEEDFGVDLSDKKAFVREQVDILLSEFSDKAEQEDVAAPEEEEPEERVPEPEGGEGSGVDGEEEEEPEEVEEEDEEDEEDGDSSGGHKRKRRLDKGNSDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDETLKKLFKVNSIDMFQMNKALTKHIWPLNSEGPASPKRSTPKEKPQKREKNEGKKQKVGSSRPGTGLNAPLQLSDDLANFIGTGESMLSRSDVVKIMWDYIKENNLQDPSDRRKIICDEKLKNLFQVESFTGFTVSKLLSPHFTKTK* >Brasy2G178500.1.p pacid=40066509 transcript=Brasy2G178500.1 locus=Brasy2G178500 ID=Brasy2G178500.1.v1.1 annot-version=v1.1 MGVWSSNRCSVGSRGSGGGFIVLSLLLLLLLVPARGQAPDGVVIAQADLQGLQAIRQALVDLRGFLRGWNGTGLDACSGSWAGVKCARGKVVALQLPFKGLAGALSDKLGQLTALRKLSLHDNALGGQVPASIGFLRDLRGLYLFNNRFAGAVPAALGGCALLQTLDLSGNSLSGTIPSSLANATRLYRLNLAYNNLSGPVPASLTSLRFLESLRLNNNNLSGELPSTIGNLRMLRELSLSNNSISGSIPDGIGNLSSLQSLDLSDNLLGGTLPVSLFSIVSLVEIKLDGNAIGGHIPEAIDGLKNLTKLSLRRNDLDGEIPATAGNLSRLLLLDFSENNLTGGIPESLSGLANLSSFNVSYNRLSGPVPVVLSNKFSSSSFVGNLQLCGFNGSDICTSASPPANMAAPPLPLSERPTRRLNKKELAIAVGGICLLFALLFCCVLIFWRKDKKESASSKKGAKDAAAAKEVGKTGAGGGKGSDAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSHKEFETEVNALGKLRHPNLLSLRAYYHGPKGEKLLVFDFMTKGNLASFLHARAPDSPPVSWQTRMNVAVGVARGLHHLHADASMVHGNLTSSNILLDEDNNAKIADCGLSRLMSAAANSNVIAAAGALGYRSPELSKLKKANTKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLDLMKDAATGSETGEELVKTLKLALHCVDPSPVARPEAQQVLRQLEQIKPSIAVSASSSFTGEPSHTTATATATTITDDTKSTATE* >Brasy2G049000.1.p pacid=40066510 transcript=Brasy2G049000.1 locus=Brasy2G049000 ID=Brasy2G049000.1.v1.1 annot-version=v1.1 MYELHFAVPMAGAVLCTLNTRHDAAMVSALLKHSGSKVFFVESSLIDVGKAALRRIADQLGTTSLPLLITISDETNAGESGRREYDYEDLIKYAPLGFDIRWPKNELDPITLNYTSGTTSRPKGVIYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHGNGWNLPWGVAMQGGTNICLHHLTAKVIFDNIARHRVSHMGGAPTVLNMIISAPTADRKPLPGTVRVMTGGAPPPPRVFNEMEDLGFVVYHVYGLTETCGAATVRTWMPEWDALPAYERAMLKARQGFHHIAIQDVDVKNPETMESLPCDGQTVGEVMFRGNTIMSGYYKDIDATKESMAGGWLHTGDLALRHPNGCIQIKDRAKDIIISGGENISSIEVESVIFNHPAVLEAAVVARPDDHWGETPCAFVKLKNGTSATEAEIIDFCRDRLPHYMAPKTVVFEDLPKTSTGKTQKFVLRERARVMGSLTKTNRCKL* >Brasy2G133400.1.p pacid=40066511 transcript=Brasy2G133400.1 locus=Brasy2G133400 ID=Brasy2G133400.1.v1.1 annot-version=v1.1 MEQGAAPPSSTSNPHPQPQHHHRFYYVPPPPPPSLAMATPSAGGQPSMALTAPEAEKKAAVVAAKRPPSKDRHTKVDGRGRRIRMPAMCAARVFQLTRELGHKTDGETVEWLLKQAEPAILAATGSGTVPANFSSIAASLRPSNHSSMAMLGSSFPGHGHGPQQQQFQDLAGKKRNYMEEEGGIFKDGGVLYEKKPRVEMGQSQTQMAMAMSAAGAITGPMSWAGGAFWMQPMYGGAGSGGISSSSNAAPRQFMASRSGGAMAGDTTSLGMFNYSYGGRSTGDEQNNQQQEGQAAVEHHQRRPGSISNGGEAGHGGGASLQ* >Brasy2G347900.1.p pacid=40066512 transcript=Brasy2G347900.1 locus=Brasy2G347900 ID=Brasy2G347900.1.v1.1 annot-version=v1.1 MERRSAAWAALLFALAACAALPATTTANKISINWAPNTNYTVWEQTHGPFYKGDWLVFYYTTGQADVVEVNESGYNRCDASNAIYNYSKGRSFAFELNQTKTYYFICSFGYCPGGMRLAIKSQKLPPPSPPPSAHDRSAALARSRAGLALYAAAAVLAALLRML* >Brasy2G406000.1.p pacid=40066513 transcript=Brasy2G406000.1 locus=Brasy2G406000 ID=Brasy2G406000.1.v1.1 annot-version=v1.1 MEESGEASIGAFRIGPSTLLGRGVALRVLLFSSLWRLRARARAAVSRVRRATLPMAASWLHLRNTHGVLLMLVLFGLLLRKLSGARSRLALARRRRLCKSAMRYASTYEQWVRAAKVLDRMSEQVNESDFYDEELIKSRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPRLIKDFIDEVSTQLKMVCESDTDELFLEEKLAFVQETRHAYGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFIDSLQILQFFGRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTSNLTFQEAYDITGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGHIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQANPHISPLLRMKEIVRSYGGHFAGKLARLAEMEVKYRCNQVLEVGLPLGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQDPTYAELQMAANQGQRCTWEKLSAIRANCAIELALDESIAVLNHKRRLRRSTRAAASSQEYTNNARLRTPRRVPSWSCISRENSSESLSDDHFAVAISSSHQGTIRVDGAPSMPHHVRHSSHDGSESESETIDLNSWTRSGGPLMRTSSADKFINFIQNLKIESEFDKVRTTEDDSTGILSGSTFSKDPYPNISSRVTTPDRYTEVSETESCNAGNTSIAVSEGDLLQPERTTAGILLNFVRREDLLAQHNSGDDMAESFLAEAYVDRSHLESCDAISASDSSEGNKDAADSDNPLISHAGLVTSHQSSVDDNKGG* >Brasy2G113000.1.p pacid=40066514 transcript=Brasy2G113000.1 locus=Brasy2G113000 ID=Brasy2G113000.1.v1.1 annot-version=v1.1 MAPGVFGAAAVAVAVSLAVHVALNCPIDPAPSPPFPAGPRHTPNNLLQGLERLGKGRLSGPEDVQVHGGAVYTATRDGWLQRMLPGGSWENWRFVGGTGLLGIAPSADGSMLVCDADKGLLRVDEERVTILASTVDGSPIRFADAAIEASDGTVYFSDASTTFGFDRWFLAYLESRPTGRLLAYHPGTGKASVALDNLAFANGVALSRDQAFVIVCESGAYRCTKLWLKGEKAGQAETFVENLPGSPDNIRLAPDGSFWIALIQLRSPWLDLVTRWTWTKRVVASFPALLDRIKATAKGAMVAHVSEDGEILRVLDDSEGKVINFITSVTEFDGHLLLGSLWADFVGKLPLAKVTQAQQTAAASS* >Brasy2G389200.1.p pacid=40066515 transcript=Brasy2G389200.1 locus=Brasy2G389200 ID=Brasy2G389200.1.v1.1 annot-version=v1.1 MRLSHCESYCASPLCYIPCLRKSKDAGSDAVVSAAPCSAGVAAEDKPPPVQKIEVVAPAAEKSDDEDNKVEDCEKKAVPAAAAAPAKSNLKKANCGDGVCAAKGNVKWLDLLGKDLTEVKEFEPSDSGDSMDEGDGIAACVCVIQ* >Brasy2G378600.1.p pacid=40066516 transcript=Brasy2G378600.1 locus=Brasy2G378600 ID=Brasy2G378600.1.v1.1 annot-version=v1.1 MSSRVAGSSVLLRHLGRRIFSSPVSPAYPVAAQRALRPGGEGAAGAVWARLRLLSTSAAEAAKEEAAASKENSASTAAAKAEAAQAAKGGEKTVVSSYWGIVPAKLVNKDGAEWKWSCFRPWEAYTSDTTIDLTKHHKPKVLLDKIAYWTVKSLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVADPKWYERALVLAVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAVHSYTEFLRDIEAGKIDNVPAPRIAIDYWRLPPDATLRDVVVVVRADEAHHRDVNHFASDIHFQGLELNKTPAPLGYH* >Brasy2G095700.1.p pacid=40066517 transcript=Brasy2G095700.1 locus=Brasy2G095700 ID=Brasy2G095700.1.v1.1 annot-version=v1.1 MKPRSHRRNHRGAEPEAMEDKENAGSAAPPAKRPRRERKALAELPTGSTANSASAPPPPPQRASKPRTRSQVAREATAVEREDARKRKGSADAARPVISEQPDAGAAQGSVVPYIGDIDRSLRSLEVQQSRRPRDDYVGTIQKDINAKMRGILVNWLVEVSEEFRLQADTLYLAVTYVDRFLTATAVRRNKLQLLGVSSLFVAAKYEEINPPKVNKFSDITDSTYTNQQVVKMEADILKFLNFEVGSPTIRTFLRRFITCCGGNCESAKRLEFLCSYLAELSLLDYDCIKFLPSVVAAACLFVARFTISPKTHPWNSTLQRNTGYKISDLKSCILRIHDLQLGREYQDLDAIRNKYSGRKFGCVSSMTPPEEISASFLRDFSR* >Brasy2G095700.2.p pacid=40066518 transcript=Brasy2G095700.2 locus=Brasy2G095700 ID=Brasy2G095700.2.v1.1 annot-version=v1.1 MKPRSHRRNHRGAEPEAMEDKENAGSAAPPAKRPRRERKALAELPTGSTANSASAPPPPPQRASKPRTRSQVAREATAVEREDARKRKGSADAARPVISEQPDAGAAQGSVVPYIGDIDRSLRSLEVQQSRRPRDDYVGTIQKDINAKMRGILVNWLVEVSEEFRLQADTLYLAVTYVDRFLTATAVRRNKLQLLGVSSLFVAAKYEEINPPKVNKFSDITDSTYTNQQVVKMEADILKFLNFEVGSPTIRTFLRVKVQNDWSSCVAISQN* >Brasy2G095700.3.p pacid=40066519 transcript=Brasy2G095700.3 locus=Brasy2G095700 ID=Brasy2G095700.3.v1.1 annot-version=v1.1 MKPRSHRRNHRGAEPEAMEDKENAGSAAPPAKRPRRERKALAELPTGSTANSASAPPPPPQRASKPRTRSQVAREATAVEREDARKRKGSADAARPVISEQPDAGAAQGSVVPYIGDIDRSLRSLEVQQSRRPRDDYVGTIQKDINAKMRGILVNWLVEVSEEFRLQADTLYLAVTYVDRFLTATAVRRNKLQLLGVSSLFVAAKYEEINPPKVNKFSDITDSTYTNQQVVKMEADILKFLNFEVGSPTIRTFLRTRRCKGTQATRSLI* >Brasy2G065800.1.p pacid=40066520 transcript=Brasy2G065800.1 locus=Brasy2G065800 ID=Brasy2G065800.1.v1.1 annot-version=v1.1 MGCSSSVPARSTGGLNNVNDNSTATDSNELRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFKKAQYWVKELQKHGNPGIIMVLVGNKADLQESRSVPSQEAQEYAEKNSMFFMETSAKTADNINQLFEEIAKRLPRPTAS* >Brasy2G052900.1.p pacid=40066521 transcript=Brasy2G052900.1 locus=Brasy2G052900 ID=Brasy2G052900.1.v1.1 annot-version=v1.1 MGAMTMASSSLALRPRASSSSPSRPPHAASSAALPARRRPATESTPRRLSASVEPAAASSTRRLYSVAPYPLLLAALLPGAQPVASAFAPFVDIVKTFSLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLGGMFFFFALGATGGVTALLTSDKPIFESPHAVTGIIGLALLTIQSLLPTLFEGNPGIRGAHGILGSGIMTLFLVHAALGLQLGLSF* >Brasy2G499200.1.p pacid=40066522 transcript=Brasy2G499200.1 locus=Brasy2G499200 ID=Brasy2G499200.1.v1.1 annot-version=v1.1 MEGSGVAGGGDGREMLCSDPTGEEPANRLTPSVVGRAARGVAVYRRGRLGRRRGRGRAVRGGGGRRRAGRRGGSSARGVCDGSCGRERTGVIGLSWAIFG* >Brasy2G079900.1.p pacid=40066523 transcript=Brasy2G079900.1 locus=Brasy2G079900 ID=Brasy2G079900.1.v1.1 annot-version=v1.1 MNPRHKTLPKFFETPNFLSKSKQALAKSFASSTPPRALPHPDAFPEFLHATRSLKCLSKLHALLAVAGAIARDTSVVTAVVDRYLSFGRPASAASVFAGAYRRRPTVYSLNLAVRCFSDNGFHRELLDLYRALCIFGSDNFTFPPVIKACAAVSCLRLGREVHCRVLRTGHEGNVGVQTALLDMYAKAGWIDASRTVFDFMGQRDLISWNAMISGYSLNGCFREAVEAMQEMQQDGMRANASTLVGIVSACGAVGDSDASGSLHAFALKCGVLGDESLAPALISMYAALDDLSSSRVLFDLQHVKDLVSYNSMISAYMQHDKWKESFEVFRQMHCAGLGPNLVTVVSVLPTCSDFFGVNLGDSVHGMVIKFGLAEQISVVSALVSMYSKLGELDSAVHLFYSCTEKNNLLWNSIISGYLVNNEWYMALDTFCKMQIESVAPDATTVIKVISGCRHIKDLRMAKSIHAYAVRNRFESNQSVMNALLAMYGDCGELSSSYKLFQKMEVRMLISWNTIISGHAEIGDSEASVRLFCQMRQAGLQFDVVTLIGLISSISVAEDTTVGESLHSLAVKSGCNMDISLTNTLITMYSNCGSVEACQQLFDNLSSRNTVSYNVLMTGYRKNNLSEEILPLFCQMVKNEQEPNHITVLNLLPVCQSQLQGKSVHCYAIRNFFRLETSFFTSAICMYSRFNNVDYSCKLFNSVGERNIIVWNVILSACVQCKLADIAVDFFRQMHFLNVKPDEVTMMSLVSACAQLGNSDLGECVTALILQKGFGGTLLVVNALIGMHSRCGSLSFARELFDSSVVKDFVTWSAMINSYSMHGDCGSALEIFSMMIDSGAKPDDITFVIILSACSHSGFVEQARALFKSLQIDHGITPRMEHYACMVDLLGRSGHLDEAYDVVRSMPFSPSDSLLESLLGACRFHGNSKIGEAVGNLLIDSEHGNPRSYVMLSNIYASVGNWNDYELLRLDMEAKGLRKDVGLYITFFFWNQFCITLAVYCFRGADCHVTVKTNREHTSFFLQSISFLLINKSCNFSRTDLLRRGQEPKPTIDTDEDDKGNLEKTSLVNFEAPMDHALPASLTLIDNKSNLQETLVEQKAPIGDSITVLSEVDSSGFPSVKDNPDACNEVYESNEAISDLVSMQPPAEEKTHAMSPTLVRFIEEVQCTPLHNVQDGSSCSDSENVTCEKPPAILKQVKEDKPRVMHRLHERQMSLGDTRQKAPVQRLNSGSSLRTDRSFVDTTNPIESVKVAASRFGGSINWKTRRTQPVQASDPVKLEVGRLKKEISECKQQAEATEAAKLSVLNEIEETNKIIEELKHGLERAQTEEAHVKEDLYFFQFIVPEMGEGVNSNDSAAGKEILQNIQEWHEAVVSKLKLVNDELQRVQEEYDSLLIEQDISVGKSQAAIIVSGETERQAEELRVEFDKLKEVLNLARATCHDAEEQKMLTSLARDQDCLTWEKDLRQTEEELSQLDKKLSSVEDLKSKLETSSNLLLKLNKELTAYVEAKLIEEAQEQGNRTHETTQEETILSRNELKEHRKSIDKMRDEICTLKVTAASLQSELIKEKAALATMQRLEAMASITIRSLKVEIKLSQQELESVQVKEKDYRDRTIELPKILQSAVQETDEAKYVAMKAREKLRKTKEEVEQATAGLSTMEFRLQEVLKEMEAAKESERLALDALRVLEESLVKEQGSPRMITIYSDEHASLIEKSRQAEELVHQKIACSIAQVEVAKASESHSLSRLSEMYNVLEERKRALFSAKMQADTAIEGKMAMEQELRTWREENGQRRKASEALKSETKPSNAVVIIAERNGDTKGTRKEDSCPVIHPLSDMSARASPDGSSLHVKTKKAKKLPFLRRVIMFLARRRLKAVE* >Brasy2G478200.1.p pacid=40066524 transcript=Brasy2G478200.1 locus=Brasy2G478200 ID=Brasy2G478200.1.v1.1 annot-version=v1.1 MHLVNDLHGNHVIHKCLTNFGAGENKAPLLA* >Brasy2G286600.1.p pacid=40066525 transcript=Brasy2G286600.1 locus=Brasy2G286600 ID=Brasy2G286600.1.v1.1 annot-version=v1.1 MGHGFGRCIGQRRSDRLSPTDICIGERRSDRLSPTDMWTRRRKRPRVHSALVRGWGLLAFSFCPLVSIVDHDPPPPPRQLSRRAHHVDEEETVQWVLQAKGRSGMGREEAAAA* >Brasy2G331100.1.p pacid=40066526 transcript=Brasy2G331100.1 locus=Brasy2G331100 ID=Brasy2G331100.1.v1.1 annot-version=v1.1 MEGGLRSAPVALPMMKEAGIVLNAYTYNGLIYFLVKSGYDREAMEVYKVMAADGIVPSVRTYSVLMLAFGKRRDVETVVGLLREMEDHGVKPNVYSYTICIRVLGQAGRFEEAYKILRKMEDEGCKPDVVTNTVLIQILCDAGRVSDAKDVFWKMKESDQKPDRVTYITLLDKCGDNGDSRSVIEIWNAMKADGYNDNVVAYTAVVDALCQVGRVDEASDVFDQMKQKGIEPQQYSYNSLISGFLKADRLNHALELFNHMNIHGPTPNGYTYVLFINYYGKSGESLKAIKRYELMKSKGIVPDVVAGNAVLYSLAKSGRLGMAKRVFHELKSMGVCPDNITYTMMIKCCSKASNADEAMKIFSEMIETRCVPDVLAVNSLIDTLYKAGRGNEAWKIFHELKEMNLDPTDCTYNTLLAGLGREVLDCLCKNGEVNYALDMLYNMTRKGCMPDLSSYNTALHGLVKEDRLTEAFRIFCQMKKVLAPDYTTLCTILPSFVKNGLMNEALHTLKEYIHQPGSKADRSSFHSLMEGILKRAGMEKSIEFAENIASSRILLDDFFLSPLIRHLCKSKKALEAHELVKKFESFGVSLKTGSYNALICGLVDENLIDVAEGLFSEMKRFGCDPDEFTYNLILDAMGKSMRIMDMLKVQEEMHCKGYESTYVTYNTIISGLVKSKMLYEAMDLYYKLMSEGFSPTPCTYGPLLDGLLKDGKIEDAEDLFDEMLDYGCKPNCVIYNILLNGYRLAGNTEKVCELFQNMVRQGINPDIKSYTVLIDALCAAGRLNDSLSYFRQLTELGLEPDLITYNLLIHGLGRSGRLEEALSLFNEMEKNGIAPNLYTYNSLILYLGKEGKAAEAGKMYEELLSNGWKPNVFTYNALIRGYSVSGSTDNAFAAYGQMIVGGCPPNSSTYMQLPNQLL* >Brasy2G037000.1.p pacid=40066527 transcript=Brasy2G037000.1 locus=Brasy2G037000 ID=Brasy2G037000.1.v1.1 annot-version=v1.1 MATTTLSLHGLPSPTAKKLTSSFFGAPSSFLRPAALAATATATPARRVFVVRAMAPPKPGGKAKKVVGMIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKTIESAMRIIAGTAANMGIDVDPPILQKKEKVVF* >Brasy2G023800.1.p pacid=40066528 transcript=Brasy2G023800.1 locus=Brasy2G023800 ID=Brasy2G023800.1.v1.1 annot-version=v1.1 MAAAARLLLVLAAAATLLAAASARPCGHAQTLLISFSSVSRPNPDPANPAPLTTTVVTVLRVRRLGPHRHPHLQIHRPAEPLPESHPAAAAAQPSSFQERAKDILVVVSGLLFGFGCGALTAASMYLVWSLLASIGGASYEEVYSDDEDDEEVSDGAESPKKAGYVIIHDTEEYAAGKN* >Brasy2G359700.1.p pacid=40066529 transcript=Brasy2G359700.1 locus=Brasy2G359700 ID=Brasy2G359700.1.v1.1 annot-version=v1.1 MAIAAARRRMWRGLRTAAAASGEGADGTLLARLVAEPECRVKATMEEASSSSAPHLDGAFWEPLAAALLRASSPGKAHLVLEWKLEKLLKEGVHNHNCEPYSTIIRFCRETRNAALAMRVFECVEAQGIQLNTGIFNALINAFLSVGDLLAAVTLYETMEGMEDCKPDSATYDAFISAFSRIGSGDAMMSWYLAAKNAEFIPSIQAFESLIVGLVRLNRLDDAEVVFEEMVSFEIKPNFTILEAQLEVLSRTKEANRVKRFIKFVSDGNWELNKATFVSLTRLCLDGCEVDEMEQLLALIQTGVHLSCVTQLHSGIIRFYASTDRLPDMENAICRMFDDGMTFMCPEDVEAVICSYFRQKDFDRLDMFLNRIRSLYRLTRSTYDILVAGFRRFDLHQRLDSTIKDMREAGFA* >Brasy2G409100.1.p pacid=40066530 transcript=Brasy2G409100.1 locus=Brasy2G409100 ID=Brasy2G409100.1.v1.1 annot-version=v1.1 MKVIEKIQEAAANGRTVFSFEYFPPKTEEGVENLFERMDRMVAHGPNFCDITWGAGGSTADVTLDIANRMQNMVCVETMMHLTCTNMPVEKIDSALDTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFSCALDLVQHIKAKYGDYFGITVAGYPEAHPEVILGEEGATEEAYSNDLAYLKRKVDAGADLIVTQLFYDTDMFLKFVNDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILASGINTLHLYTLNMEKTALAILMNLGLIEESKLSRTLPWRPPTNVFRVKEDVRPIFWANRPKSYIKRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPLKSVQDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINSKGFLTINSQPAVNAERSDSPSVGWGGPGGYVYQKAYAEFFCAKDKLDQLVEKSKAFPSLTYIAVNKEGETFSNVHANAVNAVTWGVFPGKEVIQPTVVDSASFMVWKDEAFEIWSKGWACLFPEGDSSKELLEQVQKSYYLVSLVDNDYIHGDLFAAFKEI* >Brasy2G008000.1.p pacid=40066531 transcript=Brasy2G008000.1 locus=Brasy2G008000 ID=Brasy2G008000.1.v1.1 annot-version=v1.1 MSHFAAKSPVPVAASATPTAADAKSPLFCPKPRRPVAPLRCHADAGSGMDLLDLLLSKGEESSLSAASPLFCGSPPRRASNPVVRDSRFGMDCPPNPMPGWPAALPAPAPVVVVAAPVAVRPAPPPMSPRGAAGCARARFGFQPAAVRVEGFDCLDRGRGGRGHGIAAMA* >Brasy2G341700.1.p pacid=40066532 transcript=Brasy2G341700.1 locus=Brasy2G341700 ID=Brasy2G341700.1.v1.1 annot-version=v1.1 MASGGVEEERVRREVGEEEDEDDERPQLSAAAAGALREFLEEQLRHERDEGEEGEGGGGVELVTEDWRLSQFWYDERTARELAEEVARLASGLATGAAAVACVACPTLYAYLKKSNPDVPAQLLEYDERFGQYGSDFTFYDYNQAEALPPSMKHAYRIVVADPPYLSKECLEKVAKTVSFLAPPEGSFLLLLTGEVQKDRALELLNVRPCRFKPQHSNKLGNEFRLFTNYDPADRLGGWEHTDGSHI* >Brasy2G035700.1.p pacid=40066533 transcript=Brasy2G035700.1 locus=Brasy2G035700 ID=Brasy2G035700.1.v1.1 annot-version=v1.1 MEAQARGGLRIRLRVRLCAVLLVLVTTTMAPAMAQLAVGYYDTLCPAAEIIVQEEVSKGVSGSPGTAAGLLRLHFHDCFVRGCDASVLLDSTPGNTAEKDAPPNSSLRGLDVIDKAKTRLEQACYRVVSCADILAFAARDALALVGGSAYQVPAGRRDGNVSVAGETNGNLPPPTANVNQLTQIFGSKGLSKAQMVTLSGAHTVGAAQCSSFSSRLYSSGPNGGQDPTMDPKYLTALTAQCPQKGAQQTVPMDPVTPNAFDTNYYANLVANRGLLSSDQALLADPNTSAQVVAYTGSPDTFQTDFANAMIAMGSVGVLTGNAGNIRTNCRVAT* >Brasy2G420000.1.p pacid=40066534 transcript=Brasy2G420000.1 locus=Brasy2G420000 ID=Brasy2G420000.1.v1.1 annot-version=v1.1 MGRRRSPAPLLAVTFAALAFFLLAALPPLAESSSRHHHAHGHHSRVQIRGYGGEEEERGGMAKAWPCCDSCGGCTKSIPPQCQCMDAAPGGCHPACKDCVKSSLSVHPPVYHCMDRIANFCQRRCNPAAASAH* >Brasy2G146700.1.p pacid=40066535 transcript=Brasy2G146700.1 locus=Brasy2G146700 ID=Brasy2G146700.1.v1.1 annot-version=v1.1 MAMAQGRGSATQGLAIGLLVLCLLLGADIAGAATYKVDWSMGADSWSGGKNFRAGDVLVFNYNPSVHNVVAVDAGGYNSCGGSGATYSSGNDHVTLGAGTNYFICGLSGHCGAGMKMAVTAN* >Brasy2G083200.1.p pacid=40066536 transcript=Brasy2G083200.1 locus=Brasy2G083200 ID=Brasy2G083200.1.v1.1 annot-version=v1.1 MVKDKASSYLLDQYKVMEGMEEQHEILKRKLPAILDIITDAEEQASAHREGAKAWLEALKKVAYQANEVFDEFKYEALRRQARENGHYSDLGFHVIKPVRNRMGKKLRKILQALEVLVAEMHAFRFKYRPQPPISKQWRQTDHTTLARLVYNDPDVQKHFDLLIWVCVSDSFDVDSLAKSIVEAASSERDGTGAAPNKKDGTGAAPTKKSPLDSLQDLVSGHRYLLVLDDVWNREAELSVCTRKKGKKNPAVLVKMVDEIVTRCCGSPLATTALGSVLSTKTSKEERKAISSSSKICTEETGILSILKLSYNDLPSHMKQCFAFCAVFPKDYEIDVDKLIQLWIAHGYIQEQKEVRLETIGKQIFDDLASRSFFQDVKQVRVPENEINRKGRCYSRTKCKIHDLMRDVALSVMEKECALATEETGSQIEWLPNTARHLFLSCKEPEIILNDSLKERSLAIQTLLCNSPMESSLQHYSKYSSLQALQLRSRKKSFTLKPKHLHHLRYLDLSRSRIKAFPEDISILYNLQTLNLSGCWSIPAGLGKLTSLNTLTCFVAGTAGSDCSKLGELQNLKLGGLLELHQLENVTEEDAETANLGNKKELSELTLQWRDGGGENDAKVLERLEPHDGVKALRVVSFGGTAFPKWMAMLQNMVEIHLFHCRKLRCLFGCGTCFTFPSLKEITLEDLSDLEVWWEVNNGVQGEEIMFPQLEKLFIIDCGKLTALPGQPTSFPNLQNVCIQRCPKLKTRVESPKLDALEMHGCEEDMLRWVARLPRHMTSLTTLKLQNHEVTETTKAEADHSFSELQYLSIVCCDALVHWPEFQSLVSLRKLLIWSCKNLTGYAQAAPSEPSISPPAASGEHLLPPCLEHLYICGCDSLTGALYLSPSLKILFIYGCQGLTSLVESRSGGLPSLEHLFLTNCKSLSSIPDGSQAYSSLQYETVLV* >Brasy2G451000.1.p pacid=40066537 transcript=Brasy2G451000.1 locus=Brasy2G451000 ID=Brasy2G451000.1.v1.1 annot-version=v1.1 MGKVVVAEATARQAASFALGCAAALTLVLLLQYRPPTPARAPAQFSGGSWGAGRRNRTTARLVHHQAPIAGSGHHQVDNQPSNTTSSKAATATDCARAAQSDTTIRSTDHEDEGGEFRGLAAAVRRAATDDRTVIITCVNHAFAKPNSLLSLFLESFRIGDGTPQLLPHLLIVAMDPAALALCRAVHEHCYLYTMPNLNFTSEKLFLSKDYLELVWSKLKLQRRILELGYSFLFTDVDVMWFRDPFKHVTAYADMTVSSDVFLGDPDNIGNFPNTGFFHVKPNNRTIAMTKVWHESRGKYPGANEQPVFNMIKKTLVKELGLKLRYLDTAYVGGFCGYGKDLGKICTMHANCCVGLKAKLRDLRSVLDDWRNYTRLPHWEKHKAKWTVPGACFH* >Brasy2G484200.1.p pacid=40066538 transcript=Brasy2G484200.1 locus=Brasy2G484200 ID=Brasy2G484200.1.v1.1 annot-version=v1.1 MATEEHGRHRCRAVASSRGHPIRIFNVNSRASPAVLKGPLTTASRSPARPPSPDAVRGRPQQEKEEAPSPASLPSGVSSPLSNLLLLRARFAHKPPRAESHCAARRLLPHGPRRPLPIPLLPPPRVAGLLPVVRQRHETPHVVSSLVLAAGAPLPAEGCCMARNEASTPSSPEDRSARDHLFVEARWWMRTTTSQLIHAAPSCTAPQLPARTQQVRSLPINVMRGNPSYV* >Brasy2G114400.1.p pacid=40066539 transcript=Brasy2G114400.1 locus=Brasy2G114400 ID=Brasy2G114400.1.v1.1 annot-version=v1.1 MLPHQHQQKQAAALMQQAAALHSMYPMPTLPQHHLLGAPPPQQIEPILTGNLPPGFDASTCRSVYVGNIHVQVTEAVLREVFQSTGSVEGCKLIRKEKSSYGFVDYYERRSAALAILQLNGRQIFGQPIRVNWAYASGQREDTKDHFNIFVGDLSAEVTDSALFSFFSGYSSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGNRQIRCNWATKGVNSVEDQQTSDSKSIAGVTNNFTEDGKEKANEDAPENNPLYRTVYVGNLAHEATQDVLHRFFYALGAGAIEEVRVQHGKGFGFVKYSSHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSTPLPPPPAALLFPAADLLAYQRAIALNKMASTQALMQAQHLKQAAMGMEMSPSANQAMYDGSFQNIGASQQQLMYH* >Brasy2G114400.2.p pacid=40066540 transcript=Brasy2G114400.2 locus=Brasy2G114400 ID=Brasy2G114400.2.v1.1 annot-version=v1.1 MLPHQHQQKQAAALMQQAAALHSMYPMPTLPQHHLLGAPPPQQIEPILTGNLPPGFDASTCRSVYVGNIHVQVTEAVLREVFQSTGSVEGCKLIRKEKSSYGFVDYYERRSAALAILQLNGRQIFGQPIRVNWAYASGQREDTKDHFNIFVGDLSAEVTDSALFSFFSGYSSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGNRQIRCNWATKGVNSVEDQQTSDSKSIAGVTNNFTEDGKEKANEDAPENNPLYRTVYVGNLAHEATQDVLHRFFYALGAGAIEEVRVQHGKGFGFVKYSSHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSTPLPPPPAALLFPAADLLAYQRAIALNKMASTQALMQAQHLKQAAMGMEMSPSANQAMYDGSFQNIGASQQQLMYH* >Brasy2G099900.1.p pacid=40066541 transcript=Brasy2G099900.1 locus=Brasy2G099900 ID=Brasy2G099900.1.v1.1 annot-version=v1.1 MCAREVLIAMCRVHFRGFSLNGNFDSSHLLFNAGKVTFDTDIVEVPFDIHSCKRDYAVIHDIFHPVFWDEENNVCPLHAEHLLHFLFTCPAGARSNSESVIAYLTNHIALKSFMERISICTNLDGMIHRLIGQPERDFRGQVGHPNWFGQMADVPAMWKVLGYKAGYDLINKEYILKYDETVGQLMHFAKNFFTHAPNNLRMEEIEAAFSLATRMSNMLPTLLEEVACQFINPPPPADFCLVKLLGDNMALLDEEEH* >Brasy2G270000.1.p pacid=40066542 transcript=Brasy2G270000.1 locus=Brasy2G270000 ID=Brasy2G270000.1.v1.1 annot-version=v1.1 MAPVTAPTTPSFLRSPPPPHHRMRLPVPPPSASFRIAEILGGRGLCNGEVGIRKELSSTPTTPSTPTADSSPGGAAADADPPAVDPDAFEKEMMGLTGGFPGGEVGLKDFVAKNPPPPTKKTQPGGIAGSASVVPAERPRRPELPLFLPGMIVLVKNPRNAYHMYCGIVQRVTDGKVGVLFEGGNWDRLITFGVDELEGREKGPPMVNPKSVVLEALVADLADDNEAEETEKKEEEAGAAAKVHRTKDLVEALVTGGAAINCGTMPC* >Brasy2G039600.1.p pacid=40066543 transcript=Brasy2G039600.1 locus=Brasy2G039600 ID=Brasy2G039600.1.v1.1 annot-version=v1.1 MGRRPGRPRRGGVEWNQRDRGCRATRGGRLWGRCARRGVGALGGLKEGAAWWLRTLGRRLSCPLGRGQAHGGGGVARREKNGFDEGEAGRTWGGFGGEGADALQGSKRWRREASRCD* >Brasy2G237200.1.p pacid=40066544 transcript=Brasy2G237200.1 locus=Brasy2G237200 ID=Brasy2G237200.1.v1.1 annot-version=v1.1 MAATWTSVGLVAVAALVVGIAMPASAAAAGQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDATSPYKLF* >Brasy2G131700.1.p pacid=40066545 transcript=Brasy2G131700.1 locus=Brasy2G131700 ID=Brasy2G131700.1.v1.1 annot-version=v1.1 MCSIDACRCRPASCPFSAHAAAAAAALLCAPLRHLLCRCSAPRHRLLLLGGLVLAPPQLGRAVPRAAGVEEERVEEHAGFEEEKQQHIASRRSSAAEGWGGGGGGVEGGRRLGDDETASFRRGKATRRPDSAGEGTQAVRFGKPAAGGQAVAGRCEGAGRRGPWQGRRRQQGPGRRPAGGRGRGGGGGAAGEGDEGVAEGSRAVRGGRRPGSSSPTAARFPAEGDGAEASREGRRGNFSRLGILGRIPIFGYSGTKYG* >Brasy2G429400.1.p pacid=40066546 transcript=Brasy2G429400.1 locus=Brasy2G429400 ID=Brasy2G429400.1.v1.1 annot-version=v1.1 MAKLYMFLMVLVARLVSVERVIRDIPTTLERFLARQLSFQRSFMESIEEKIRSVMQTEMQERHAALLPNYIYASPRPISEDFPETGNIARIVKLRFVNVERPKNPLYTGCPVQWKNGENAKVAIFENERQITQGDLSELQIEILSVHADFFTERGQADFTKEEFNKQIYIYKGKDSVLTSVNLTNGEAYLGPIFFPESSYRKRLRLAAIVKRQDLVVRIQEAITDPFVVKDRRSEFNEKIYPPPKDEAIHRLEKISLNGKCWKDLVDNKITTVKHLLRHYYRDSSGLQKLTGMKKGSWSTMIKHATTSDPRAEIYSHRVEEENYELLFNDFYDLVGIMINEAYVPYSGLDQLPQVKVNNWKMSAHKKFEDLENSGKLSPDYFMTNGCPVRAVPQNNDASTSIQTRTTWPCSNDMTVQHEFGERQQQENGFSPAQVFSNNGAGPSRQETLVFPQYTYKQATHQELCQQDPPMPLNEIPCLTHGNILDGQGSFSAQSIIPSHNSVPAEEDYLVTGVSLTTQHNRYLSSWTTHGPGTSFPVTGGVSQGTSSLNLQTDISTVLPPIEEWSELDSYVAGLEFVPANNAQLPNSGNQFCGSEHNGGNW* >Brasy2G429400.2.p pacid=40066547 transcript=Brasy2G429400.2 locus=Brasy2G429400 ID=Brasy2G429400.2.v1.1 annot-version=v1.1 MLCLYPRSFMESIEEKIRSVMQTEMQERHAALLPNYIYASPRPISEDFPETGNIARIVKLRFVNVERPKNPLYTGCPVQWKNGENAKVAIFENERQITQGDLSELQIEILSVHADFFTERGQADFTKEEFNKQIYIYKGKDSVLTSVNLTNGEAYLGPIFFPESSYRKRLRLAAIVKRQDLVVRIQEAITDPFVVKDRRSEFNEKIYPPPKDEAIHRLEKISLNGKCWKDLVDNKITTVKHLLRHYYRDSSGLQKLTGMKKGSWSTMIKHATTSDPRAEIYSHRVEEENYELLFNDFYDLVGIMINEAYVPYSGLDQLPQVKVNNWKMSAHKKFEDLENSGKLSPDYFMTNGCPVRAVPQNNDASTSIQTRTTWPCSNDMTVQHEFGERQQQENGFSPAQVFSNNGAGPSRQETLVFPQYTYKQATHQELCQQDPPMPLNEIPCLTHGNILDGQGSFSAQSIIPSHNSVPAEEDYLVTGVSLTTQHNRYLSSWTTHGPGTSFPVTGGVSQGTSSLNLQTDISTVLPPIEEWSELDSYVAGLEFVPANNAQLPNSGNQFCGSEHNGGNW* >Brasy2G091300.1.p pacid=40066548 transcript=Brasy2G091300.1 locus=Brasy2G091300 ID=Brasy2G091300.1.v1.1 annot-version=v1.1 MGKKQHSKDRMFITATEWATEWGGAKNREATAPFQRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTALKQDDLIPLTFHKNTDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIHELNIKPKNWKELLTDEPFARKDLITIQNPNALDSKVLGEFDHVKQGLKLEDEELQRMKDDPTYNINVSGDLKQMMKELGTEKGKMAFLHGGGGQKAQKERAAALAVILAKKEEGESKSGKEAKPPQPFSVVDAASASVHGRSAAAAKSGTAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGQPFKDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAAHLNFKHTVFGMVVGGLTTLSTMEKVPVDDDDRPLEEIKLLKVSVFVNPYMEPDEEEEKAKMEEQNKDDDHDKVGSWYSNPGTGVAASTSAGSGVGKYLKARAADSADFTKNAAAADDSSKKRKVNAPSVEFKDFSGW* >Brasy2G500200.1.p pacid=40066549 transcript=Brasy2G500200.1 locus=Brasy2G500200 ID=Brasy2G500200.1.v1.1 annot-version=v1.1 MQTRWQHCLTLVFLIVVNLALGILSRVYSFAHIGGLISEFLLSTLPRSLNICILIGWVWFIPEEIGVFMSLPAEIKQVLQPASCVLRFGNAQILLQPIQDGIMS* >Brasy2G360500.1.p pacid=40066550 transcript=Brasy2G360500.1 locus=Brasy2G360500 ID=Brasy2G360500.1.v1.1 annot-version=v1.1 MSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLIRRTLNDPSGGGGGGGSFRTPSPAPPDPMAEVDDDFEGGGLSRRHNNSASAAFFQHRRSFQFQPGLSRSLRMDGDADALAAQPRLERRYSVCDRAIYGSRRPSDYEAHCRWGGSSDDENNTGESPSTVYSPMSYGYGHAPSLDDSHRPAGHATRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMTLHQTSFCFVCSHLTSGQKEGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRLVKALVEMRNWKALLDKDQLRIEQRGGRVFVGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIGELLPYSYGYTDINPYGYTDLNFY* >Brasy2G360500.2.p pacid=40066551 transcript=Brasy2G360500.2 locus=Brasy2G360500 ID=Brasy2G360500.2.v1.1 annot-version=v1.1 MSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLIRRTLNDPSGGGGGGGSFRTPSPAPPDPMAEVDDDFEGGGLSRRHNNSASAAFFQHRRSFQFQPGLSRSLRMDGDADALAAQPRLERRYSVCDRAIYGSRRPSDYEAHCRWGGSSDDENNTGESPSTVYSPMSYGYGHAPSLDDSHRPAGHATRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMTLHQTSFCFVCSHLTSGQKEGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRLVKALVEMRNWKALLDKDQLRIEQRGGRVFVGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIGELLPYSYGYTDINPYGYTDLNFY* >Brasy2G168500.1.p pacid=40066552 transcript=Brasy2G168500.1 locus=Brasy2G168500 ID=Brasy2G168500.1.v1.1 annot-version=v1.1 MSSSSGFSNTGCRSDGDSFSSSPIAYRDCWCKEKASRWISWSDSNPGRRYFNCTRVRVSGCKLFQWFDPEVPQFLKQHLIDLRDMVHRLKREKAALSQELQAYKAWNQELLHKTSCNEELLKKKDVQIHMALQEDAEKSAKHKKLQRERSVMNCILVVALFAMFLKWLS* >Brasy2G402100.1.p pacid=40066553 transcript=Brasy2G402100.1 locus=Brasy2G402100 ID=Brasy2G402100.1.v1.1 annot-version=v1.1 MGGGGEASKSRKLAGGQACQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPIRGDESEDVDADDTSDFSYPVSGNQDRKDKIPERMLTWRMNSGAGDDVGRAKYDSSEIGLPKYDSGEIPHGYIPSFTHSQISGEMPEASPDHMMSPAGNIGKRGHPFPYVNHSSNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSESRGIGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFAFSWILDQFPKWSPINRETYLDRLALRYDLEGELSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRVNGLVAKAEKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRNVCYVQFPQRFDGIDTNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKKPGFFSSLCGERKKTSKSKSSENKKSHKHVDSSVPVFNLEDIEEGVEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGNEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCILPAVCLLTGRFIIPQISNIASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEDNDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVIVWAILLASIFSLLWVRIDPFTTRVTGPDIQMCGINC* >Brasy2G000500.1.p pacid=40066554 transcript=Brasy2G000500.1 locus=Brasy2G000500 ID=Brasy2G000500.1.v1.1 annot-version=v1.1 MPLLYRLLLLVAAWLAVSGGKPTAAAGAEFFSSDSMCQKSFKCSDDVDIRYPFYLSNQSTVLDTGAYSHPQYCGYPDMAIICDRRGTATLQLGGGSNYTVLAINYGNMTISLADADFTAAAGGCPRVRRNVTFPPVNSFETTYSLQLAVDYLSFFYGCTFTATLDAPEGDWFIQACKEVYVLPVLGDELFRPEYYSRLGSGGYGQVLKAGFTGDCSSTPSNPKTCRRVDLPLPPQF* >Brasy2G242300.1.p pacid=40066555 transcript=Brasy2G242300.1 locus=Brasy2G242300 ID=Brasy2G242300.1.v1.1 annot-version=v1.1 MAPGGEDGGGGRLESILTDSSLPLGERAWAATRVELGTLARLVAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGAQKYDMLGVYLQRSAVLLCCTGIPLAVIYAFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSSYISTATLALHVLLSWVVVYKVGLGLLGASLVLSLSWWIIVAAQFAYIVMSPTCRHTWTGFTSQAFSGLWDFLKLSAASAVMLCLEAWYFQILVLIAGLLPNPELSLDALSICMTISGWVFMISVGFNAAASVRVSNEIGAGNPKSAFFSVWVVTALCAIISVIFAIAILCLRNYISYLFTEGEIVSNAVADLCPLLATTLILNGIQPVLTGVAVGCGWQQFVAYVNIGCYYVVGVPLGLVLGFVLKLGVKGIWGGMIGGTVMQTAILLWVTIRTDWNKEVEEAQKRLSKWEGSKEPLLAGDRNDN* >Brasy2G242300.2.p pacid=40066556 transcript=Brasy2G242300.2 locus=Brasy2G242300 ID=Brasy2G242300.2.v1.1 annot-version=v1.1 MGSAVETLCGQAYGAQKYDMLGVYLQRSAVLLCCTGIPLAVIYAFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSSYISTATLALHVLLSWVVVYKVGLGLLGASLVLSLSWWIIVAAQFAYIVMSPTCRHTWTGFTSQAFSGLWDFLKLSAASAVMLCLEAWYFQILVLIAGLLPNPELSLDALSICMTISGWVFMISVGFNAAASVRVSNEIGAGNPKSAFFSVWVVTALCAIISVIFAIAILCLRNYISYLFTEGEIVSNAVADLCPLLATTLILNGIQPVLTGVAVGCGWQQFVAYVNIGCYYVVGVPLGLVLGFVLKLGVKGIWGGMIGGTVMQTAILLWVTIRTDWNKEVEEAQKRLSKWEGSKEPLLAGDRNDN* >Brasy2G037800.1.p pacid=40066557 transcript=Brasy2G037800.1 locus=Brasy2G037800 ID=Brasy2G037800.1.v1.1 annot-version=v1.1 MLVFGSFSPAFPLPPSSFSSSAQAISGAAGGFDSERRRCGKRGASRERRASRATTSGGRGRRRRRGQHTGEFGRGGWGNCMFWGEV* >Brasy2G290400.1.p pacid=40066558 transcript=Brasy2G290400.1 locus=Brasy2G290400 ID=Brasy2G290400.1.v1.1 annot-version=v1.1 MSEQLPLGSSVRGGSPLPFHRQQQTSHPRPHGNPDPLASVWIRRLHLTPNPPPQASLAPPLPQQGYDAISSRSAGFGPLRWSPRPPPVAAWDAAPVPDGSGSGPPMLSPFFRFPPPPLPLPAVTDVGEFAPVRPTIGFGSSGGGFPELSSRAIVGDDPHASWLATAAAGSAYPRHAVDMVPIRTSHDPHVRQHNMAPQNFARSWPSSSSQHDEPFSYWNMGRFQRSTTTSSISVAPSNFVKKRNADSNSFIPLKFRKLNGAG* >Brasy2G290400.2.p pacid=40066559 transcript=Brasy2G290400.2 locus=Brasy2G290400 ID=Brasy2G290400.2.v1.1 annot-version=v1.1 MSEQLPLGSSVRGGSPLPFHRQQQTSHPRPHGNPDPLASVWIRRLHLTPNPPPQASLAPPLPQQGYDAISSRSAGFGPLRWSPRPPPVAAWDAAPVPDGSGSGPPMLSPFFRFPPPPLPLPAVTDVGEFAPVRPTIGFGSSGGGFPELSSRAIVGDDPHASWLATAAAAYPRHAVDMVPIRTSHDPHVRQHNMAPQNFARSWPSSSSQHDEPFSYWNMGRFQRSTTTSSISVAPSNFVKKRNADSNSFIPLKFRKLNGAG* >Brasy2G380400.1.p pacid=40066560 transcript=Brasy2G380400.1 locus=Brasy2G380400 ID=Brasy2G380400.1.v1.1 annot-version=v1.1 MAATTSRWAAAVVAAVLFAAASSAAARDDGNGVYEPCADATVQRGDGFTFGVAFSSRDAFFSGDVQLSPCDSRLNLQSRAPLALFRPMVDEISLLTINASGGTAFDPASAGGYMVAFAGRKYAARSPPVFVSNSSYTVTGFTLVFEFQKGILQNLFWKTDGCSSCSGQSDFACVDQSCAIKTSSCKGNGAGQVDCSPGIQLAFSGTDKHEEVLNSWYEVSKLRQYSLFGLFSNLKNSLTNQFSQFF* >Brasy2G380400.2.p pacid=40066561 transcript=Brasy2G380400.2 locus=Brasy2G380400 ID=Brasy2G380400.2.v1.1 annot-version=v1.1 MAATTSRWAAAVVAAVLFAAASSAAARDDGNGVYEPCADATVQRGDGFTFGVAFSSRDAFFSGDVQLSPCDSRLNLQSRAPLALFRPMVDEISLLTINASGGTAFDPASAGGYMVAFAGRKYAARSPPVFVSNSSYTVTGFTLVFEFQKGILQNLFWKTDGCSSCSGQSDFACVDQSCAIKTSSCKGNGAGQVDCSPGIQLAFSGTDKHEEVLNSWYEVSKLRQYSLFGLFSNLKNSLTNQFSQFF* >Brasy2G207000.1.p pacid=40066562 transcript=Brasy2G207000.1 locus=Brasy2G207000 ID=Brasy2G207000.1.v1.1 annot-version=v1.1 MATKPLRASPSASSPAQPRRIFASSLDVRPRGKHAPMRVACAAKAGGASPPPSSSDGGDGSENPFAALWRRAAGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGGFQLRSRLRKYQAVFEFGQACGICVGTPVRIRGVTVGNVVRVDSSLSRIDAVVEVDDDKIVVPRNSVVELNQSGFLMDTLIDITPKDPLPTPSFGPLDPDCTKERLILCDRERMKGQEGVSLDALVGICTRLGREMEEIGVDKGYKLVEKVVHIMEKARPLISKIEGLSEEIQPLLSEVRDSNLLKDVESIAKGLADASDDLRRLKSSMLTAENTDLIKQSIFTLIYTLKNIESISSDVSGFTGDEATTRNIKLLIKSLSRLL* >Brasy2G277100.1.p pacid=40066563 transcript=Brasy2G277100.1 locus=Brasy2G277100 ID=Brasy2G277100.1.v1.1 annot-version=v1.1 MTLMDCVCTCDSQILMAICTCGCTHGSTESFLSEASHKCQGSGNGVERRASPHCPGSSNLELQSLRDQACGGDAERHGREDGGCVLHA* >Brasy2G277100.3.p pacid=40066564 transcript=Brasy2G277100.3 locus=Brasy2G277100 ID=Brasy2G277100.3.v1.1 annot-version=v1.1 MTLMDCVCTCDSQILMAICTCGCTHGSTESFLSEASHKGSGNGVERRASPHCPGSSNLELQSLRDQACGGDAERHGREDGGCVLHA* >Brasy2G277100.4.p pacid=40066565 transcript=Brasy2G277100.4 locus=Brasy2G277100 ID=Brasy2G277100.4.v1.1 annot-version=v1.1 MTLMDCVCTCDSQILMAICTCGCTHGSTESFLSEASHKCQGSGNGVERRASPHCPGSSNLELQSLRDGGCVLHA* >Brasy2G277100.2.p pacid=40066566 transcript=Brasy2G277100.2 locus=Brasy2G277100 ID=Brasy2G277100.2.v1.1 annot-version=v1.1 MTLMDCVCTCDSQILMAICTCGCTHGSTESFLSEASHKGSGNGVERRASPHCPGSSNLELQSLRDGGCVLHA* >Brasy2G277100.5.p pacid=40066567 transcript=Brasy2G277100.5 locus=Brasy2G277100 ID=Brasy2G277100.5.v1.1 annot-version=v1.1 MTLMDCVCTCDSQILMAICTCGCTHGSTESFLSEASHKCQGSGNGVERRASPHCPGSSNLELQSLRALC* >Brasy2G249900.1.p pacid=40066568 transcript=Brasy2G249900.1 locus=Brasy2G249900 ID=Brasy2G249900.1.v1.1 annot-version=v1.1 MAASPTSPAEVPPPPADAAPSDDEWDADGFVIPNLTNQDDDDDATPSVPKAIEREPTQAKEEKMYFGPHGAPPSQAKLHELNTVGRKQRFRNKLKEADRKPTGNAQENKVESLRELMGAKTDGTGMPKSSRRDWLDPHCRESEFDRKPR* >Brasy2G445100.1.p pacid=40066569 transcript=Brasy2G445100.1 locus=Brasy2G445100 ID=Brasy2G445100.1.v1.1 annot-version=v1.1 MARRAAAWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTKNTFSLDSKSTIGVEFATRTIEVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKGATFENVKRWLKELRDHADSNIVIMLIGNKMDLKHLRSVAQEDAASFAEREGLSFIETSALDATNVDRAFQTVLGEIYRIISKKALSTDDSGAGAVKEGQSIQVSAGDSSSVSSRCCSF* >Brasy2G437000.1.p pacid=40066570 transcript=Brasy2G437000.1 locus=Brasy2G437000 ID=Brasy2G437000.1.v1.1 annot-version=v1.1 MRPMTRDGLSTQKMSTPRPIGLPQLLYKKHTSPLSRLHRLLHTDLGFLGFSFSSSSGGSSKMAERVKGTVKWFNVEKGFGFISPEDGGEDLFVHQSSIKSDGFRCLDEGASVEFVVGSGDDGRTKAIDVTGPGGATLAGGSRGGGGGDRYGGGGGGGRYGGGGGDRYGGGGGGYGGGGDRYGGGGGGGYGGGGGGYGGRSGGGGGGRGCYTCGEEGHMSRDCSQGGGGGGYGGRGGGGGGGGGGRACYNCGEEGHISRECPNRAN* >Brasy2G272300.1.p pacid=40066571 transcript=Brasy2G272300.1 locus=Brasy2G272300 ID=Brasy2G272300.1.v1.1 annot-version=v1.1 MCTATDADSVRYKNELFRRLYNSRLHLRNGSKQLETNRFPVSPLERRYYIMLCRLGHSERYQCDIAIMYCKVRCSYRSLGQSLMPGGHVNNFLISVFCRKLFDDCHPSISKKHFFFSYIGENILKYNSRDQFKLIRNAFKGASSAMKIDACELLFFPICHCEHWFLFVVDLQNCLFAFMDSLYSKKSRYQVVVRSLLIGNFKHLWREAVDPEYNFENFRIVYPPIPRQGNGHDCGVFVMKCMEIWTPGVVLHEYFSKVNIPNIRIQYANQLFFSSNNTVDKSFVTDFIGEGKFHLVRKSTTSPPSEFHIMKKETLHT* >Brasy2G272300.2.p pacid=40066572 transcript=Brasy2G272300.2 locus=Brasy2G272300 ID=Brasy2G272300.2.v1.1 annot-version=v1.1 MVRCSYRSLGQSLMPGGHVNNFLISVFCRKLFDDCHPSISKKHFFFSYIGENILKYNSRDQFKLIRNAFKGASSAMKIDACELLFFPICHCEHWFLFVVDLQNCLFAFMDSLYSKKSRYQVVVRSLLIGNFKHLWREAVDPEYNFENFRIVYPPIPRQGNGHDCGVFVMKCMEIWTPGVVLHEYFSKVNIPNIRIQYANQLFFSSNNTVDKSFVTDFIGEGKFHLVRKSTTSPPSEFHIMKKETLHT* >Brasy2G243900.1.p pacid=40066573 transcript=Brasy2G243900.1 locus=Brasy2G243900 ID=Brasy2G243900.1.v1.1 annot-version=v1.1 MLLRLKIVTVQPAAASLLLLGQHDHHGARRLALQGGGGSGRASKRTTRTKRLKTVAKALKEEGEPPESSRSRFPGGGPSWDPGLEIGVPYDQRPVNEYSALKDSILYSWAELSPGSFFMRLGSLCLVTFTVLAAPISAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINQLKQTLVGTGALLVGAVSLFAFAAPVQDFVHSFNAAPSAASSKPTMRREELLRLPAEVKTDDDLAAAAAEAAGGRPVYCRDRYYRALAGGQYCKGEDLLN* >Brasy2G231200.1.p pacid=40066574 transcript=Brasy2G231200.1 locus=Brasy2G231200 ID=Brasy2G231200.1.v1.1 annot-version=v1.1 MAPMSISTPLAVRTSPSHLFSHRRSGSSACLGFRGLQFVTPGVSARLDGRIHCHSYLRQNAIVASENENPPLMPAIMTPGGPLDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLSAIDEEGDILIYLNCPGGSLYSILAIYDCMSWIAPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNTEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRC* >Brasy2G231200.2.p pacid=40066575 transcript=Brasy2G231200.2 locus=Brasy2G231200 ID=Brasy2G231200.2.v1.1 annot-version=v1.1 MAPMSISTPLAVRTSPSHLFSHRRSGSSACLGFRGLQFVTPGVSARLDGRIHCHSYLRQNAIVASENENPPLMPAIMTPGGPLDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLSAIDEEGDILIAPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNTEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRC* >Brasy2G425700.1.p pacid=40066576 transcript=Brasy2G425700.1 locus=Brasy2G425700 ID=Brasy2G425700.1.v1.1 annot-version=v1.1 MGSLMAGWSSQVLADDDKVRFMRNRSLTNEDVDSFWRQQKKPDAEIVVVSSPPIIASPTRVERPMPMRPSTLPHARSSPPAMTGAVHGNGDAVASPSTSRDWWTRSSWAFLNESPSRRSDGDVGQGAAAV* >Brasy2G215400.1.p pacid=40066577 transcript=Brasy2G215400.1 locus=Brasy2G215400 ID=Brasy2G215400.1.v1.1 annot-version=v1.1 MSTANEHVLVSNPDKLVLLAEMTGMDSCDPEPTVMLRLVVELCGGDGAGLEDVDTMEDLTCRVPLRGLSCQGAAERAFGELVARLDNPTLRPEVTAAAKAAAARVRARCGDSAAVDELSSTGFLLRVTFIDAREESVSDEDDDESGSDMEFGEFDLSGARTLRSQTFAGDDYEDEDDDEDGCGAQFSARPYNGGFARAAGGGFGGSRDRTTMLLSGFEARSDGPELTDQHELTSWDLQRVVRLALDGDGSVEDDEVYQRALAGGTPMPRASRATMLGQALQSVRQPQSKSPSVFPMRTGF* >Brasy2G377100.1.p pacid=40066578 transcript=Brasy2G377100.1 locus=Brasy2G377100 ID=Brasy2G377100.1.v1.1 annot-version=v1.1 MWEIKAPVHHSDRSITRSISAPRIKKSPSFSSEASSQGGRRQPRPAETMVGIFSRFSAGGAHRRAKSAVEVVETLAPNMETGESDPAAVAGDSPHGIEVGIEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWQERMSTAGGRVRTDLPVVKEGSQLDSDSSGTRPRSVVRKRGILPSVSAPEHNILALLDECDVTETQRPAE* >Brasy2G106200.1.p pacid=40066579 transcript=Brasy2G106200.1 locus=Brasy2G106200 ID=Brasy2G106200.1.v1.1 annot-version=v1.1 MARSSSVVKSSLPKQRRSLRPRRSPKPNFQRHTAALSPDALLDATLPVFESEPNPGCFPAAEEHSMDMVVPEPARRSTSTSVSDETLAVHAGEKLGKDGMAETDSIATPVVSGTTHWFRSSEDLIAFKEGRRHSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIRDRLSKMGIRSTFVDLDDIETLKAVLDQGDVTLFYADSPTNPHLKCIDIKLVAELCHRKGALVCIDSTLASPINQKPLTLGADIVVHSATKYIAGHHDVIAGCISGSDALLSKIRAWHHDLGGAISPDAAYMIIRGLKTMALRVETQNRTALRMARLLENHPKIERVYYPGLESSPWHHVAKSQMTGFGGVISFEVASDLRGVMRFIDALEIPFIATSLGGCESLVQQPAVMSFWGQSDEEKSKNGITDNLVRFSFGIEKFEELRDDILQALEKV* >Brasy2G285700.1.p pacid=40066580 transcript=Brasy2G285700.1 locus=Brasy2G285700 ID=Brasy2G285700.1.v1.1 annot-version=v1.1 MASSPVKFFSVFLAVSVIGWVVFTFAARLLAWFLSRVLRASVAFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGVISKDPKVQLLINDLEIVTRSSSQSKKSSKSTRPRSTGKGKWLVTSSMARLLSVSVADLMIKLPDGAVDIKELKVDTFKIAGPNHILGVKLHLLPLNVHLGDFGLTADPAGNCNQLDVFQSDQASLASSEKFLAPFVFEDLLVTCEFGHEKERGVKIVNLELKCGDVSANIDERLFHKKHTKLGNNSISENAGEAIPGTSPTKLSSKSKSILPALKKQMLAFPDKVSFSVPKLDVKFTHLGEGLTVHNNIMGIHCTSVKSLPQDDLEEATPHFDVQVDLSEIHLVREGSSSLLEVLKVAAVASLDIPLDPFLPIRAEIVAKLGGTQCNLMLSRLMPWMHLHSLKTKGMKLSKENSHPEVSQTKEIKQIMWTCTVSAPEMTIMLYSSSGLVLYHACCQSSHLFANNIASKGIQIHTELREMLVHMEDGYREFLKENIFGVDTYSGSLMHIARVSLDWGYREIEVQDMAETSRLALVFSVDISGIGVKFSFKHLESLVLNLMSFRTLLKDLSSSRERAKENNLEHRGKKKTKGVEILKLSLQKFSITYSGDVNILNMPIADPKRVNYGTQGGQVIVNISADGTPRRATIASEQPGCGHNLRFSASLVISHLSVCIDKEKKSTQAELERVKTIYEEDHSSGGKVTLLDMQNAKIVRQSGDLTGVAVCSLFSATDINIRWEPDAHLALFETFIRFKYFLHHNKFQSSEKLTNTQNGNIKEKSDNFAVDVEVLRISAGLADGVEANMQVQSIFTENARIGVLSEGLSLSLNGARVLKSTLIQISCIPFATGHWVIRGKDVHVCMPYRLPLRGIEDAVEDTIRALKLVSAAKRSIVFPDGKENLKKVKPGTSSFGSVKFVLCKLTAEIEEEPIQGWLDEHYHLMRNKTCESGVRLKFLDEAISGIVDPNHCSSEGKVLYDGIEVDLHDTAALQRMREEIHKKAFRSYYLACQEMKFAEGSGACTEGFQAGFKPSSERASLLSLSASELDITLTRIEGGETGMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIKVRDYKPPLFSATSGKCQGRVVLAQQATCFQPQIHQDVYIGKWHKVTMLRSASGTTPAIKLYSNLPVYFQRGEISFGVGYEPSFADISYAFQVALRRVNLSTRGRNSGLANQPPKKERSLPWWDDVRYYIHGKIVLYFSETKWSLLATTNPSEKVDRLQIVSEYMEIQQTDGHVDVSAKEFRMYISSLESMMKNCSLKVPSGVPRPFIYAPLFSLSVIIDWQCESGNPLNHYLHALPVEGEPRKKVYDPFRSTYLSLRWNFSLRPLQVQSDNAASASCYANSPMLSGTISGNCSTVADVDFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSMDKVLVEFFFRVDATPCCIRHVTLTEDDPASGLTFKMSRLKYELCYSRGKQKYTFDCKRESLDLVYRGLDLYKPEVYLVRDVNLSSAENVSKLKTSSHQSLGKVANDKCSMGNFQEKHEEGFLLSSDYFTIRRQAPKADRARLTEWRQDAGRNIEIAYVGSEFENGGESDHPLSEPSDDDDGFNWMLADNCQRVFVYSLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERQNADGSRLTQDAISSIHVGSPSVQHAEALSSTSPLHMKYGMFDDSDKGGNLHFMVNVVKPQFNLHSEEANGRFLLAAASGRVIARSFHSVVHVGKEMLEQALGTSSLHIPELQPEMTWKKADLSVWLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFSYTRHKGGTADLKVKPLKELRFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKNSLQYPSDDEDIEEEADEVVPDGVEEVELAKINLEQKERERKLLLDDIRSLTGSSDYHMDLSAEKDNSLWMINSGKTSLVEGLKRDLLNLQKSRKFASSALRKALQKAAQLRLMEKEKNKTPSCAMRISMKISKVVWSMLADGNTFAEAEISDMGYDFDRDYKDIGVARFTTKYFVVRNCMANAKCDTLLSAWNAPPEKGAMLRVDAKQGAPKDGNSPLELFQVEIYPLKIYLPEAMYRMMWDYFFPEEDDSQRRQDIWRVSTSTGSRRTRRISSGAEAVASTSYSVREHELPGRSGTTVSTSTNVSSWQGLHGDNSQVSKLQSLKANMVCGSHPELRRTSSFERTWEESAAENITNNDVVSLLNSSNVSSKGDTINSTAENTVAAAEMFRTKTKDSKSIKSGRLSHEEKKIGKSHDEKRTRARKLMEFHNIKISQVELLVTYEGSRLAINDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKAQNQRETLPENDLNFSDSDGSHHGKPDQFPVSWLKRPGDSAGDGFVTSIRGLFNSQRRKAKAFVVRAMRGDRDNEYHDEWSDSDGEYPFARQLTVTKAKKLFRKKFRQRGQKSIGPSLQDSLPSSPRETTPYQSDSSESSYEDFHE* >Brasy2G074500.1.p pacid=40066581 transcript=Brasy2G074500.1 locus=Brasy2G074500 ID=Brasy2G074500.1.v1.1 annot-version=v1.1 MYVGPTQTLLPFLARVLNSASYASWSLFTYWHRNQLQESSQVQHRKEKRRGKTIPLQGEQREMTSPSLTTYRHAAVHPGAGRRIVACSGIKVTPIRSGGESSTSRSRKDCGLNVSSSSPSLPRAITTCSLKPPPSYRGKAKERKKINPRDLFTFSYRFNTDIPMGETPGASIDEYLNNRPRIVGAVFPDKRKRTKLNDEEWSVQLLPIQFLFLSASPVIAIRFVFKTGGKGYPPHVPLRATSLLLMEVTDYKLQGLQSEAMPTHLALTVRGALYPQPEGRRSLRGHVEMSVGFNLPPVLALVPPGIIRGVGDTVLRQLGEQMKHDFDKGLAADFKKYTREKLTDKRTRH* >Brasy2G289800.1.p pacid=40066582 transcript=Brasy2G289800.1 locus=Brasy2G289800 ID=Brasy2G289800.1.v1.1 annot-version=v1.1 MPPFLTSSSLSLPSLPLLPTPPPRRRRVSAAPLAAAANRPPQRQPYYRRRPAPPQPRPSNAGPPPQRGAMGQEDLEAAIYDFMRRSDKPGAFPTRAELVAAGRADLAQAVESSGGWLSLGWSSGDSISPGVTAPASTLDGGPGVHPDYPSEAAGASDLTQGADGASWREPEASPSGRPPETEGTEEVVSGAGLEGMLTRLQRERERARPPPRSNNRGGGGGDNGVLMNHNGAPSHTATGGMRTRRVFDNGNIHSSQSQNGVLADNKSSRNSTNDAWRTWSLDRGGFSDFQVAEIHPSGSKKVLKHDDLDIALVQDDIPGPSNGVAISDYPSDGVDSERDEIHARLQNLELDLTDALKTLRSRFDSVLTDLSNGDGTNVVNGLSDDWEFEETKVMHAQEELRSIRAKIAVLEGKMALEIIERNKIIEEKQRRLDEVEKALSELRTVYLVWPNPASEVLLTGSFDGWTSQRRMEKSERGIFSLNLRLYPGRYEIKFIVDGVWRNDPLRPTLNNHGHENNLLIVT* >Brasy2G289800.2.p pacid=40066583 transcript=Brasy2G289800.2 locus=Brasy2G289800 ID=Brasy2G289800.2.v1.1 annot-version=v1.1 MPPFLTSSSLSLPSLPLLPTPPPRRRRVSAAPLAAAANRPPQRQPYYRRRPAPPQPRPSNAGPPPQRGAMGQEDLEAAIYDFMRRSDKPGAFPTRAELVAAGRADLAQAVESSGGWLSLGWSSGDSISPGVTAPASTLDGGPGVHPDYPSEAAGASDLTQGADGASWREPEASPSGRPPETEGTEEVVSGAGLEGMLTRLQRERERARPPPRSNNRGGGGGDNGVLMNHNGAPSHTATGGMRTRRVFDNGNIHSSQSQNGVLADNKSSRNSTNDAWRTWSLDRGGFSDFQVAEIHPSGSKKVLKHDDLDIALVQDDIPGPSNGVAISDYPSDGVDSERDEIHARLQNLELDLTDALKTLRSRFDSVLTDLSNGDGTNVVNGLSDDWEFEETKVMHAQEELRSIRAKIAVLEGKMALEIIERNKIIEEKQRRLDEVEKALSELRTVYLVWPNPASEVLLTGSFDGWTSQIKFIVDGVWRNDPLRPTLNNHGHENNLLIVT* >Brasy2G389600.1.p pacid=40066584 transcript=Brasy2G389600.1 locus=Brasy2G389600 ID=Brasy2G389600.1.v1.1 annot-version=v1.1 MATAPATPAAAPAPAPAAAAVPAASVPRGQVDLVDFIDWTGVECLNQDPSHSIANALKQGYREDEGLYLASDSDEQLLIYIPFMQVIKLHSALFKGPEEEGPKTVKLFSNKEHMGFSNVNDYPPSDSVDLSSSHLVENKPVTLKYVKFQNVRSLTMFIEDNQSGGDITKIQKIALYGTTVDTTNMKDLKKIEEH* >Brasy2G290200.1.p pacid=40066585 transcript=Brasy2G290200.1 locus=Brasy2G290200 ID=Brasy2G290200.1.v1.1 annot-version=v1.1 MKRAIPVAPDRAGVEVSVGAEGGSGGARGRGRRIRRRAGSAAPDPAAHGVGGAGSGGSRGRRPAAVGGARGRGRRPWAARGVGGAGSGNSRGRRPLAACRTGVGGGRAGGETREGVRGGGVRRRRDEEGVRVEERQGR* >Brasy2G086000.1.p pacid=40066586 transcript=Brasy2G086000.1 locus=Brasy2G086000 ID=Brasy2G086000.1.v1.1 annot-version=v1.1 MEDQSLKPIRCKAAVCRANGAPLVIEDVFVDAPKAYEIRIKIICTSLCHTDLTFWRVKEDCGIPPLFPRILGHEAYGTVESVGEHVEGYGAGDTVVPTFLGQCKSCSSCASEGNNMCSAVPFALGPGMRRDGTTRFRDTQGAPLHDLMAVSSFSQYTVVDVNQVVRVHASVPPKLACILGCGAGTGVGAAWKLAKVEPGSTVVVFGLGAVGLAVAQGAKMCGASKIIGVDLNPDKQELGKMFGVTDFINPSELGESSVIEVIIKMTEGGADYCFECIGLASVMTDAFRSSKQGKGKTVILGVEQDGKPVCLPAFEFLFGKCVMGSLFGGIKPKTDIPILAEKCMNKELELEKLITHEVDLQDINTAFDLLVQGKSLRCIIWMDKLVV* >Brasy2G323100.1.p pacid=40066587 transcript=Brasy2G323100.1 locus=Brasy2G323100 ID=Brasy2G323100.1.v1.1 annot-version=v1.1 MAWGEGDGERRRRRGLKAACLPRPGCFTVSGADEGPSGSGADEGDVGSRPVPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNSAARTLDGVDVMGRRLAEEVISVVECRPELQKISFVAHSLGGSIARYAIALLYEKAAQTEYQEEYAKHATDAHSNPPSSQGKIAGLEPVNFITVATPHLGTRSHKQMPLLRGSYRLEKMAFRMSWIAGRSGKHLFLKDIEDEKPPLLLQMVTDYGDLHFISALRSFKRCVVYSNVCSDFIVGWRTSSIRCQDDLPKKQDFIKDDKYPHVVYVEKPKARDVDFSDAMIYQAKTTSEMEEVMLKSLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFCNSDGADVIFHMIDHFIY* >Brasy2G074300.1.p pacid=40066588 transcript=Brasy2G074300.1 locus=Brasy2G074300 ID=Brasy2G074300.1.v1.1 annot-version=v1.1 MDGVGQGQDLRGAGLDKISEGLGLKHPVADEEDAARKAGHTVKSAQHVASETGRQASGKAGDAKEAATGAANKAGQAKETTKDAAKGTAGTASRMAEQAKHKAKETAEAASQRGAEAHERSKQGKAKVEETAKEKAGQGYDTLKQTKDSAADKAGSAKDAAADKAAAAKDAAADKAGAARDATWKAQEKAKEYNEAAAGKAGSAKDAAAEKAAAAKDAAAEKAGSAKDAAWKTAEQAKGKAGAAKDAALEKTESAKEAAWETAEAAKGMAGDGYEKVKEKAWEAADATKEKLGEVKDKVTGAADGKQKKHRTDDEL* >Brasy2G178600.1.p pacid=40066589 transcript=Brasy2G178600.1 locus=Brasy2G178600 ID=Brasy2G178600.1.v1.1 annot-version=v1.1 MESASGVMRLCCCVSSLLLLCFLLPCALAEERFYEFVVQETAVKRLCKAQKIITVNGQFPGPTIEVHDGDTLAIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTIQGQEGTLWWHAHSSWLRATVHGALIIHPKRGLPYPFPKPNKEFPVILGEWWRKDPIAVLRQSMVTGAPPNISDTILINGQPGDFLECSSQETSIIPVVAGETNLLRIINAAMNSELFVSLAGHKMTVVGADAVYTRPFETTVVLVGPGQTTDVLVTADAAPARYYLAARVYASAQGVPFDNTTATAIFQYKNAAGCPTTTNPGFSGPVGRPSQRSSAHPGRAGPAPMLPALPAFNDTNTATAFSKRIRSPRPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFAASMNNVSFQLPDTVSLLQAHYHHVPGVFTDDFPAVPPVIFDFTSQNVPRALWQPVKGTRLYRVKYGAVVQMVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDARRDAAKFNMVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALLVEDGKAELQATVPPPLDLPICGVDGL* >Brasy2G028100.1.p pacid=40066590 transcript=Brasy2G028100.1 locus=Brasy2G028100 ID=Brasy2G028100.1.v1.1 annot-version=v1.1 MAPWSELPEDLLRDIIARLKGDPTDCASFRAVCYSWRLVPSPYSLPRVVFPLGFLLKPFESACHEFSPFTEGVRCIGSTDNWLACDSIDETKGDKKRVYSLFNLSSDALVQLPELDAALADVSVEFKVRKALMDMSLPYGLVALRTNSYSRPMILVRPGKGVWLPEPTQEAGFFVSIIDLAFLGGRLYGITQAEELYSFGITFDSHGAPTIDSTKCVVVHPNNAEGQEEDGDSDSGSWITVDEDEDDVKEYIVDDGIDYDVSCDDHDFMATLWYLVESRGKLLMVKRQVELSVSDTNLITSKVEVFEADMGTATWVPVSGGLGGHALFISKRFSKSIPASKAVEEDGIYFVDTGEVFNLRSHTTSPPNRAFEIFACASWVFLPGSF* >Brasy2G250300.1.p pacid=40066591 transcript=Brasy2G250300.1 locus=Brasy2G250300 ID=Brasy2G250300.1.v1.1 annot-version=v1.1 MQLDQHHNLEEQCSRNQPKEAGIMASTSNSISVSVSQVAFVLAAIASLSLFPSSLAIASSLPLGAAVSARELLAALCGLAATKKALFVLSNAIFLFLAADCRCFSGICSLSPSASDDAVDDGLTASESLPCAVPQPSQDVAASPLRQPEAKSAPLETAAVVAEEPACSEAAQQELEKLEIDELNRKFEEFIQSRRIKWQQEEALQLQCQCQCQQV* >Brasy2G025900.1.p pacid=40066592 transcript=Brasy2G025900.1 locus=Brasy2G025900 ID=Brasy2G025900.1.v1.1 annot-version=v1.1 MCRATTVGDEGGFRNLPPLGGRKRLEVRAFYLRLSSSSSAAAAAPAELRLFYRPAIGGPALGFRGRALPPASPAESALLRVRVPGDDDAVAAYASNDRVSFASEGARFEVYAGKELAAEGVFSFGAVNRARGGWRVECRRATASSVVSVAEVVVLAEDGTLFSARASSAARRCASRLEGIPEEASWGSSSCECGACADEEWEVVGDSDSDEWKKEAAETETETVRWALEMGAWAVCVGVGLLATARRFRRGRAFR* >Brasy2G277900.1.p pacid=40066593 transcript=Brasy2G277900.1 locus=Brasy2G277900 ID=Brasy2G277900.1.v1.1 annot-version=v1.1 MTENSNLKNQCPISGTQTRTAMMATRAIGVGAYEHETERGEGEGTTRKLKHGAAEREIRRGLYRREGVVGRRLTLGAEPGAGE* >Brasy2G301800.1.p pacid=40066594 transcript=Brasy2G301800.1 locus=Brasy2G301800 ID=Brasy2G301800.1.v1.1 annot-version=v1.1 MMISRQLLLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATSVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRRDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDVSPIQFNYWIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITSLNIIGYAVALSGVVMYNYLKMKDVRASQLPADTTPDRTTKLIEPTVPICIIWSCCCLSGQKHLIYPWRLVLEFGSLGIALQDKKITNMYKPDSSMDSNDETVVGGLASEAAAVDEEAPLIPSSRISYVTRMQTGSFNSR* >Brasy2G301800.2.p pacid=40066595 transcript=Brasy2G301800.2 locus=Brasy2G301800 ID=Brasy2G301800.2.v1.1 annot-version=v1.1 MMISRQLLLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATSVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRRDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDVSPIQFNYWIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITSLNIIGYAVALSGVVMYNYLKMKDVRASQLPADTTPDRTTKDKKITNMYKPDSSMDSNDETVVGGLASEAAAVDEEAPLIPSSRISYVTRMQTGSFNSR* >Brasy2G162300.1.p pacid=40066596 transcript=Brasy2G162300.1 locus=Brasy2G162300 ID=Brasy2G162300.1.v1.1 annot-version=v1.1 MALSSSSSSLLRRLLPLSSTRSSVLRAAFCSSSSSPPSPTPPPPSSIFGDDVEVSNVPPLTTPKLFVSGLSRLTTDEKLKNAFIPFGQVLEAKVITDRISGRSKGFGFVRYVSLEEAEAARQGMNAKFLDGWVIFVDPAKPREQKPAPQPDAASSHWLHDK* >Brasy2G379700.1.p pacid=40066597 transcript=Brasy2G379700.1 locus=Brasy2G379700 ID=Brasy2G379700.1.v1.1 annot-version=v1.1 MVSVCVCVRSRRAYARQQEFRTMQHHQHYLGPEEPGGPPPPSAREKRPAESSGHDDRHQPPQASSRKMKDTQKPRPSGGASASIRAVLQDFLEQQRRLDEQRQEATARHAQERLALEQQWRQEMQRLERERLTLERAWMEREEQRRVRDEARAERRDALLDALLNRLLRDDDL* >Brasy2G193600.1.p pacid=40066598 transcript=Brasy2G193600.1 locus=Brasy2G193600 ID=Brasy2G193600.1.v1.1 annot-version=v1.1 MDRWQAITCFLFAIVLLGDFAVAADDYCFEEYQDCVICTGFLCKAGCWVNAKLYGSDVKVKKHYCTGSMLKHRCHCYYCTHW* >Brasy2G306700.1.p pacid=40066599 transcript=Brasy2G306700.1 locus=Brasy2G306700 ID=Brasy2G306700.1.v1.1 annot-version=v1.1 MATSPPVSKRSRPLHGEPRLHGELLVDEILTRLPAAAAARSRAVCRAWNAALSSDSFIAAHAATHQPEILFFPPSPAAAATTSFYACPLPASASAPASASAARELLTVGGLGGEHVVLSRGRPCRGLTLVLDARAGDYFVFNLSTGEHVALPPCEPAREATPLLGFPRFHPAVRPWFPFELSSTGLGFDPATAQHKAVRLFGNWYSGQQKCQVFDLGSSSSRSGAARSGWRPCAGGDAPPDVASYLDGMPPVALDGWLYWLLRPWSSGSGSSTRESSRNPHESTILSLSVGAEQFAWVPMPAQRRRVQHLADLDGSLCAVVHERMTSDVLELLTWSPDDSSWSARCRIDLDGLPPPLSDELSGERNVIPLCSVGAGKTKVILLATSRHRVYAYDTERGSAEKVFDMQDYVDVPRGHGEAKLLVNIGIHEERIAAVPVRGRKRLQVKLGGDRTVVASGTSSSSSSRDEVCRQHRALDDHSRMLRQWMKGVAFPNHPL* >Brasy2G216900.1.p pacid=40066600 transcript=Brasy2G216900.1 locus=Brasy2G216900 ID=Brasy2G216900.1.v1.1 annot-version=v1.1 MAFLVERCSGEMVASSSMAAAAAKPVPAPFLTKTYQLVDDPWTDHVVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSCSSSQQPLPPPPQPYLSLFSPPPHHHPPQLGQGAYNRFQEEEYEYSPASPADSGGDLLATLSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVEPVPQPQPQPALASCYTRLVELDHAGDPVVVPQAQTQARQRGDGDAAVKLFGVRLDDGKKRRVLLVEEGDHGDE* >Brasy2G002600.1.p pacid=40066601 transcript=Brasy2G002600.1 locus=Brasy2G002600 ID=Brasy2G002600.1.v1.1 annot-version=v1.1 MAWHNDSASNGSNFVATTSIVGQLDLRRIRRREAEHREAWAKPTPPCAGERSSAVASCQSKMLHSTGLQLFGKTQLEHTKGGAICSHPSF* >Brasy2G156000.1.p pacid=40066602 transcript=Brasy2G156000.1 locus=Brasy2G156000 ID=Brasy2G156000.1.v1.1 annot-version=v1.1 MSRRRRWPSQGRRQELPRLELAWISTGGLEQSDGRGSSLAWKRGTAAGAPWPGAEAGGLELLGAVWWWGGDLLAGAGGWAASCGGGHPRWISSARLDWRAALAGGRVAGRRRCEGSGTVGAPLGEDFSLDFNLYFNFEPKFQTNFNLRDFLAKIQLHWRGGRMRHPFLDRGSTTFVARVRSQVNIC* >Brasy2G385900.1.p pacid=40066603 transcript=Brasy2G385900.1 locus=Brasy2G385900 ID=Brasy2G385900.1.v1.1 annot-version=v1.1 MATGTSPRLHRRLRKASDISAVTTASSSAKRMRMSKEHNSCCEDRISNLPDDILIKILDKLDARTTITTIILSKRWKDLPRRSHTSYDLSSDEFLPPRYHRLKKMSVEAKTGYEAEKKAQNLTDIYPDGYERLGAVRDRYQRWMGKVHLLTPIL* >Brasy2G002500.1.p pacid=40066604 transcript=Brasy2G002500.1 locus=Brasy2G002500 ID=Brasy2G002500.1.v1.1 annot-version=v1.1 MPPVHPVGSPPRTRLRALQPEQHQLLRLRERPLPGRPIHHLLRLPPARPPPSSTSSTGPTFPQHLKATGHAAFVYLTSAESCPADGPALRCACGFAAASDTSNSWRNPGRSYLTCKNARIARGEMKAGYPEGCYLWIWVDLLMQYVEEMIAHHTAALAGELKIIKGDLEAVSDLQQLTEEPVTGGENMVCKTTRSNTDGYGSTLQSWPFQKENTTQFNTIVQVYEISTNVHQLTASALGNQSPGLPRLVEHPALGNHDV* >Brasy2G002500.2.p pacid=40066605 transcript=Brasy2G002500.2 locus=Brasy2G002500 ID=Brasy2G002500.2.v1.1 annot-version=v1.1 MPPVHPVGSPPRTRLRALQPEQHQLLRLRERPLPGRPIHHLLRLPPARPPPSSTSSTGPTFPQHLKATGHAAFVYLTSAESCPADGPALRCACGFAAASDTSNSWRNPGRSYLTCKNARIARGEMKAGYPEGCYLWIWVDLLMQYVEEMIAHHTAALAGELKIIKGDLEAVSDLQQLTEEPGGENMVCKTTRSNTDGYGSTLQSWPFQKENTTQFNTIVQVYEISTNVHQLTASALGNQSPGLPRLVEHPALGNHDV* >Brasy2G007900.1.p pacid=40066606 transcript=Brasy2G007900.1 locus=Brasy2G007900 ID=Brasy2G007900.1.v1.1 annot-version=v1.1 MLGAQATLVATPPRGGRRRSLSPASPAFLRLPASPASSTLTPAQIHLPRPLSCSPLPLRPAVCCSDLDPDSAEKLDWGSFGDEDDSMAPDSASPRRSAPPVAPPRCSLEQDSVPLLDSLCGFRARDSQAGSPLVSPRLTSPPSALPSCPVLPPPPTGRPMPLLAAAALEDRVTEQGWIEVCGRHRSQQVLPSPSAPGEGSSNRLAFLQKLHGRCFRCLAQDHFVSACRDPIHCLACLCSGHQQRDCRQNLPARQESRRPSPPDCLLPRSWASVVAPSAMLEKQIVPEKLLVPEVLVEPVAPAGLAAAIEIALKSIIPAQAELLRVELHRMATDCLEETVQPLRDIATSMQSLVLQLGTLLERSEVTLGRLTPVPFVQVETAIATAASQAPTMPDPPKELSVDFTDEGADCLFGPCSPRFRTSAATDEVVIPVAQPADLAPVLNPCSLESDVQCTPAVTLSCAELTPPVEMPCPLEEMSPQVGHPVSSPKVKNNGGFNVARMMKAFGAPDENPVDVAETRVVASPTTMADFINKYTCRPQCSVLGTPMPQQVKAVATPPVVRRRGRLVKKNKGCTIPVAKRAEIRLAEAFGELPNEKETEDDPEESAKQRMKAYLEMYKKPLTPKAIEVIRVLAGISGKAQVDLAALGLTCDDLSTSNNSFGSPPFSLPVSKERNPEAKLQGL* >Brasy2G241700.1.p pacid=40066607 transcript=Brasy2G241700.1 locus=Brasy2G241700 ID=Brasy2G241700.1.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVESTFLDNSISVEHAREYGHTHLFEVASQSEKLGSKAILLMHFSPRYTSEWPLALKFTSSGTKWDQQSNMNFPFPLED* >Brasy2G241700.4.p pacid=40066608 transcript=Brasy2G241700.4 locus=Brasy2G241700 ID=Brasy2G241700.4.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVESTFLDNSISVEHAREYGHTHLFEVASQSEKLGSKAILLMHFSPRYTSEVVGKVRGRRCGAGSHSIVAV* >Brasy2G241700.6.p pacid=40066609 transcript=Brasy2G241700.6 locus=Brasy2G241700 ID=Brasy2G241700.6.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVESTFLDNSISVEHAREYGHTHLFEVASQSEKLGSKAILLMHFSPRYTSEWPLALKFTSSGTKKLMQQ* >Brasy2G241700.2.p pacid=40066610 transcript=Brasy2G241700.2 locus=Brasy2G241700 ID=Brasy2G241700.2.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVESTFLDNSISVEHAREYGHTHLFEVASQSEKLGSKAILLMHFSPRYTSEEIDAAINTLPPALRSRV* >Brasy2G241700.8.p pacid=40066611 transcript=Brasy2G241700.8 locus=Brasy2G241700 ID=Brasy2G241700.8.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVEVASQSEKLGSKAILLMHFSPRYTSEWPLALKFTSSGTKWDQQSNMNFPFPLED* >Brasy2G241700.5.p pacid=40066612 transcript=Brasy2G241700.5 locus=Brasy2G241700 ID=Brasy2G241700.5.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVEVASQSEKLGSKAILLMHFSPRYTSEVVGKVRGRRCGAGSHSIVAV* >Brasy2G241700.7.p pacid=40066613 transcript=Brasy2G241700.7 locus=Brasy2G241700 ID=Brasy2G241700.7.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVEVASQSEKLGSKAILLMHFSPRYTSEWPLALKFTSSGTKKLMQQ* >Brasy2G241700.3.p pacid=40066614 transcript=Brasy2G241700.3 locus=Brasy2G241700 ID=Brasy2G241700.3.v1.1 annot-version=v1.1 MAVAGGVMACDLAAEDVPTAALPLALASKAKHRLEIEGYPVEGVSIGGKETCVIFPTLSLAFDIGLGPQRAFAQEFLFVSHGHLDHIGGLHVYVAAREFLRLPPPTIFVPACLRDLVERLFQVYRAISRSELKHILVPVELGEEYEFRRDLKVRAFKTYHVIPSQGYVIYTMKQKLKQEFIGLPGAEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPNNSDVLAAKILVVEVASQSEKLGSKAILLMHFSPRYTSEEIDAAINTLPPALRSRV* >Brasy2G247700.1.p pacid=40066615 transcript=Brasy2G247700.1 locus=Brasy2G247700 ID=Brasy2G247700.1.v1.1 annot-version=v1.1 MADDDYNEVDMGYEDEPPEADIEEGVEEDPENNEDAPEDVVGGEGEEKEQGKTPRPRNTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG* >Brasy2G500400.1.p pacid=40066616 transcript=Brasy2G500400.1 locus=Brasy2G500400 ID=Brasy2G500400.1.v1.1 annot-version=v1.1 MRSATGDDTDSGTTRTPALPIREESRGRVTRSRGKLLAGEKAPTEAAQSVGSKRRRGKGISVGKRRAAEGGERMLTAEEVSLAARPLPPQEPPYPKSGEHSELVAWIKAKDALHENDNLGDEDSLSNILALGRGKVGITKSARSAPSMDSMDGRGMIVDVARSVVSVSATEADGKVLYSTGIIIEYDEVGKRAKILSSSSIMCTKEGNLRNPNQKVLVHLPKDTVVEAQVIFFNGHYGISLLDISTVFSLVPAALGFRPCYGQDVSVLDRHVDYSLVVGRGSIPCLEYPFFERNHYMFASYSSDLICTGGPIINKIGEVVGLVVTHIQQAAIVSASIVKKCIQMWNQFGRIARPVHHLELTTVQMLKMVYRDELWARHNIRSGFIVAEVCPNSTAEKIGIRRGDVIELIDLDHVSTVVELEEFLLGLAWDFLEKNLDISSTIDIKIRVHDIRTKTSVCTVLPIGFNDAAVHSYY* >Brasy2G066700.1.p pacid=40066617 transcript=Brasy2G066700.1 locus=Brasy2G066700 ID=Brasy2G066700.1.v1.1 annot-version=v1.1 MAYGQTGTGKTYTVGQLGKEDPSERGIMVRAFEHILSSISLETDSVAVSYLQLYLESVKDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIKDLEHVFQLLQIGETNRHAANTKMNTESSRSHAILIIHLQRSLRIKEEMTSSIPNSAEEFFPGVIPLVRKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLSSLGKCINALAENSPHIPTRDSKLTRMLRDSFGGANLGTARTSLVVTIGPSARHYSETSSTILFGQRAMRVVNAIRLKEEIDYEILYKKVECEIDHLTSEMERQQKLKHIEIMQLEKRLKESETFFTDLRVTSSIQIENLEKEKHQFGYAIKRLMQELEEKEGQNNVLSQQIIHLETSLNEQKQQQLESLSNTKILADTTKTHEKKMGELSKQLEEERSSSASMKDHLNVLQQKLSDAQSSAQFQKNTARELKMELSEITKAFTSQLHSLEEKNSELISEKELIYEELKSTQEKVQHETRQRQSLESEVLRLKQSRTDNCAEESKTLCGMVRSGSGLGSEAFMSKSGKLKETQSSQRGTMSKIFEEVGLPSVLALLKSNDLEVQIHAVKVVANLAAEDVNQQKIVEEGGLDALLSLLETSENTTIHRATAGAIANLAMNVSNQGLIMNKGGARLLANVASKTDDPQTMRMVAGAIANLCGNEKWHMMLKRDGGIKALLGMFQTGHNDVIAQIARGLSNFAKCESRVIKQGCRKGRSLLIEDGVLTWIVANSTVLSPSVRRHIELAFCYLAQNEENSRDIIVTGGIKELIRISRESSRDDARNLANKASAAVLSCRLAPIQFQLSLKKFLLRDQLSEPGMASYMATTYYNQQ* >Brasy2G295700.1.p pacid=40066618 transcript=Brasy2G295700.1 locus=Brasy2G295700 ID=Brasy2G295700.1.v1.1 annot-version=v1.1 MVAARLAVAPSSPTKRLRACMPPPAAASTTLRLGTSASQKPAATTTTAMTVAIPAASAVPLSLVDAVRSDVRHAAEAPSWQELHGANHWRGLLQPLHPLLRAEVVRYGELVEACYRAFDLDPSSKRYLNCKHGKKQILQAVGMAGSGYAVTRYIYAAPDVPALPFGVCRPCSKSRWIGYVAVASESVAGRRTATDILVSFRGTVTWSEWLANFMSALAPARFDPADPRPDVRVESGFLSLYTSDNDTGKFTTGSCRNQLLSEISRLIIEHKGDDVSITLAGHSMGSSLALLLGYDLAELGMNQGVPITVFSFGGPRVGNIEFRNRCDELGIRVLRVANVNDPVTKMPGVVFNESAAGVLGGRYDMPWSKACYAHVGVEVALDFLKTGDLACVHDLRAYVDQLLNCPEDVATDSTVRRGGDEVTIDRISSMFDSWRLQMAVIRTGELLRALGI* >Brasy2G417900.1.p pacid=40066619 transcript=Brasy2G417900.1 locus=Brasy2G417900 ID=Brasy2G417900.1.v1.1 annot-version=v1.1 MRQHVPAPRQIPWIVMSDGSFLTPSDAAGTSPARIPSLPRRNARCVASADSWLLLDCTDASRKKKKMHSYFLHDPFTSTTVPLPELDAVIGHASELFKVRKVLMRTTPYDDIIVVMTNNWNYPVILIRPGKGVWLPKPQTAPFIYIVDIAFLGDKLYGITQAEDLVSFGIDFDSNGVPTITTIERVIRHPPGNYWFRVWSDDDGDDSANNDKGNMNEVTNNDEKHTEASRKECCCEMCTIDQLRKKTGDDMILEGVTAWDDYEVPYEPKDLITAHLHLVESCGKLLMVRRQLQTPAYSIDFTREVEVCEADVNQGGRCWVPISVDQDALHFIDTGEKYNMKSQTMSPAWRDVNFDKSMWIFSTEIVV* >Brasy2G294700.1.p pacid=40066620 transcript=Brasy2G294700.1 locus=Brasy2G294700 ID=Brasy2G294700.1.v1.1 annot-version=v1.1 MEANQENATGSSGSGGRRPVRAVCVFCGSRPGNRPSFSAAALDLGNKLVERQLDLVYGGGSGGLMGLVSKAVHDGGRHVLGVIPSALLPQEVSGETLGEVKVVRDMHERKSEMAKHSDAFVALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDAAARNIFVLADTADELLTKLTEAAAARVDVDDAGDDHKGLEAAGIKRKRS* >Brasy2G294700.2.p pacid=40066621 transcript=Brasy2G294700.2 locus=Brasy2G294700 ID=Brasy2G294700.2.v1.1 annot-version=v1.1 MEANQENATGSSGSGGRRPVRAVCVFCGSRPGNRPSFSAAALDLGNKLVERQLDLVYGGGSGGLMGLVSKAVHDGGRHVLGVIPSALLPQEVSGETLGEVKVVRDMHERKSEMAKHSDAFVALPGGAAQRGRLLQQPAFAVRQGRRGGLHRRRRAQHLRPRRHRRRAAHQAHGGGGGSC* >Brasy2G224400.1.p pacid=40066622 transcript=Brasy2G224400.1 locus=Brasy2G224400 ID=Brasy2G224400.1.v1.1 annot-version=v1.1 MAPSISKNTNTCTCALLLISVSRSLPHGSLISAMHRRYLLSHVNGASPSGLAEGAVSPPLEIHGGEGPMAWSTSVTVSARRTRGTVPALGTSFFVNRNGPAGNKL* >Brasy2G101300.1.p pacid=40066623 transcript=Brasy2G101300.1 locus=Brasy2G101300 ID=Brasy2G101300.1.v1.1 annot-version=v1.1 MEAYAATPVRRPSEPSSPSPSSLRQWRPAAQRNLRNQWSRLLAAKARWLAAATDGRSHASALVNAHLSRSYMLEMDLGVLKDMPGIRDKASAKLAHKELQCREMLLSAYKEMVLAVSDLVNASRGMRCFSKVSPSSPLIHFTDCQDDLNDSGDGGGAPVYKWFSMLEFENFAQELVEMFVSELQLKRLIVLELLSINLKEGVDPSLEWSDELYDGEQYEFQGIGLCSGDSWPLPENWRADVLQARRSGHTPSHEVLQVYLTSWLANVNIKMSRIDEIFQLVGEEMQIKLC* >Brasy2G348700.1.p pacid=40066624 transcript=Brasy2G348700.1 locus=Brasy2G348700 ID=Brasy2G348700.1.v1.1 annot-version=v1.1 MGSCVSTTRRRRRSRRLSATARRFRRKVSAVIADAPVVFSGAGASNRFAARHEVLHVDAPPASGVTLRLTQLQWQHSQMDAGNVICEEAWYDSVSMLGDSADYDSGFDGDEDDDDPDNDFASVSGDPLPDVVVPGGGTNASPCKDAACLADTVHRLRSIANAEACQGDPPEKTDGGSSAAAADECLKEPQSAASCSPRPFPGSVPSHKVQPMPMPAAGVSPHHQRKKSAVVRLSFRRRSYEGDEMTEMSGSAKYLYRPRAGLTLPCSAGEKPSEGCWSVLEPSVFRVRGEGFFRDKKKSPAPNFSPYTPIGADMFASTRKVHHIGQHIALPSLKPHDAFPSLLIVNIQLPTYPTAMFGENDGDGINLVLYFKIADSFDKEISPQLKDSIKRLMNEEMEKVKGFPVDSNVPYTERLKILAGIVNPEDLQLSTTERKLVQSYNQKPVLSRPQHKFYKGSNYFEIDIDVHRFSFISRKGLETFRDRLKHGVIDLGLTIQAQKAEEVPEHVLCCMRLNKLDFADNGQIPTLITSSDE* >Brasy2G348700.2.p pacid=40066625 transcript=Brasy2G348700.2 locus=Brasy2G348700 ID=Brasy2G348700.2.v1.1 annot-version=v1.1 MGSCVSTTRRRRRSRRLSATARRFRRKVSAVIADAPVVFSGAGASNRFAARHEVLHVDAPPASGVTLRLTQLQWQHSQMDAGNVICEEAWYDSVSMLGDSADYDSGFDGDEDDDDPDNDFASVSGDPLPDVVVPGGGTNASPCKDAACLADTVHRLRSIANAEACQGDPPEKTDGGSSAAAADECLKEPQSAASCSPRPFPGSVPSHKVQPMPMPAAGVSPHHQRKKSAVVRLSFRRRSYEGDEMTEMSGSAKYLYRPRAGLTLPCSAGEKPSEGCWSVLEPSVFRVRGEGFFRDKKKSPAPNFSPYTPIGADMFASTRKVHHIGQHIALPSLKPHDAFPSLLIVNIQLPTYPTAMFGENDGDGINLVLYFKIADSFDKEISPQLKDSIKRLMNEEMEKVKGFPVDSNVPYTERLKILAGIVNPEDLQLSTTERKLVQSYNQKPVLSRPQHKFYKGSNYFEIDIDVHRFSFISRKGLETFRDRLKHGVIDLGLTIQAQKAEEVPEHVLCCMRLNKLDFADNGQIPTLITSSDE* >Brasy2G468300.1.p pacid=40066626 transcript=Brasy2G468300.1 locus=Brasy2G468300 ID=Brasy2G468300.1.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHGTPIADGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.4.p pacid=40066627 transcript=Brasy2G468300.4 locus=Brasy2G468300 ID=Brasy2G468300.4.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHGTPIADGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.5.p pacid=40066628 transcript=Brasy2G468300.5 locus=Brasy2G468300 ID=Brasy2G468300.5.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHGTPIADGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.3.p pacid=40066629 transcript=Brasy2G468300.3 locus=Brasy2G468300 ID=Brasy2G468300.3.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHDGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.6.p pacid=40066630 transcript=Brasy2G468300.6 locus=Brasy2G468300 ID=Brasy2G468300.6.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHDGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.7.p pacid=40066631 transcript=Brasy2G468300.7 locus=Brasy2G468300 ID=Brasy2G468300.7.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKDQPALYPYLDWSTMQAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHDGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G468300.2.p pacid=40066632 transcript=Brasy2G468300.2 locus=Brasy2G468300 ID=Brasy2G468300.2.v1.1 annot-version=v1.1 MGHDEAVVVQNSGKAPSPPKAYYGPGIMPPTYFCPGLVRGHAPPPYMWSPQPLLPSASAKPYTAVHPHGGGFSHPFMPLMVNPLSVEPAKSVNSDENSLNTKLKEVDGTAVSTGSGHSEKTSWDCSVGGSSDGNIQKASGTPKKRRLHGTPIADGKKALHYSAALETGGTTTGNDVPGEQGRPTTLPSLYIPDRAIKPNASTASDFSVIGTPISTEFPDQDGKESKRERRKQSNRESARRSRLRKQAETEELAKKVELLTAENTSLRRDIRRLTESSKKLRSENSALMAKLTDAAPNQTPEASADQTAEQSARAAKNFMPVMDSTSASRNSGHMAHGVPKLRQLLGSRLASDAVSAR* >Brasy2G008900.1.p pacid=40066633 transcript=Brasy2G008900.1 locus=Brasy2G008900 ID=Brasy2G008900.1.v1.1 annot-version=v1.1 MDLMEKSQRADFLFEADHDHEEAPLPGRHAEEDNMASVLCCGSCRPAPPPRDSSRRPPPEAKAPRTFMTSVTKLGR* >Brasy2G158700.1.p pacid=40066634 transcript=Brasy2G158700.1 locus=Brasy2G158700 ID=Brasy2G158700.1.v1.1 annot-version=v1.1 MDHFPSLPRPAPGSVTPHSFPYDGDAPHIFLSAFLPHKNSHHYTEITTPIRKRQKAKAGGLKNRAWPVMLMPWVFVSRKVYTHAHVWYEVQAVLGSVGLCP* >Brasy2G109300.1.p pacid=40066635 transcript=Brasy2G109300.1 locus=Brasy2G109300 ID=Brasy2G109300.1.v1.1 annot-version=v1.1 MRPCRNNKILVPLMPFYKSNSSGTQITNIQTRASTQILTKQILHPTNQVEGRCDQTYPSCGASQSV* >Brasy2G413600.1.p pacid=40066636 transcript=Brasy2G413600.1 locus=Brasy2G413600 ID=Brasy2G413600.1.v1.1 annot-version=v1.1 MARPGHQAWLLFTAAIALFAAGATAQDCLSATFQGGRTFLKCNPLPVLGASLHWTYHAENGTADVAFRATSGTNEWVAWGINTAGDRMSGSSVFIASQDASTGVASVLTTVLEGTSPTLTAQAAKFAVPVAPTAEYSGGAYTIYVTVTLPGNATQQNTVWQHGPLSGGAVAPHPTSGANILSVQRLDFLSGQSSGGSNSRLRRRNLHGILNAVGWGILIPLGAMIARYLRVFESADPAWFYLHIACQISGYALGVAGWALGLKLGSESKGLTYKPHRNIGIAIFCLATLQVFALLLRPDKKNKYRVYWNAYHHSVGYSVIVLAAVNIFKGLNILKPATGWKTSYIAIIATLAGVALCLEAITWAIVLRRRKRAKSYGGANGTNGTGLQL* >Brasy2G380100.1.p pacid=40066637 transcript=Brasy2G380100.1 locus=Brasy2G380100 ID=Brasy2G380100.1.v1.1 annot-version=v1.1 MRMKTLACCRRRPQDFSIDMDQEPDRVTTYNGLERCIFNSSSYDEDSGISATTGVDGCVTTDSLDDEVSSCSSSKDVDGSSFSSQYISSSKQEEHSVYGLDTLDAVHQLPVKGKNPIRYTLSASDIETMKEKFAKLLLGDDISGGARGVCAALALSNGITNLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCIFEIMTPKARSDVHVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRAGDRGKNNGPRQTKKWWLPSPCVPEQGLSQFQRKRIIFQAKLVHQILKAAKSINEQVLLQIPIPTAVMGALPKSGRASLGEDLYHAITTDYIPIEDIFISLSLKTEHSVLETMNRLEGALFAWNQRITEERSKKSPGRHSWNFMKDSSSELEKMSACIERVETLMQLLKSRFPNLPPTFTDVVKVQYNEDVGYAIVEAYSRVLVGVSFSILSRIAEVMLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFEAVVKKKGAKQLMW* >Brasy2G380100.3.p pacid=40066638 transcript=Brasy2G380100.3 locus=Brasy2G380100 ID=Brasy2G380100.3.v1.1 annot-version=v1.1 MRMKTLACCRRRPQDFSIDMDQEPDRVTTYNGLERCIFNSSSYDEDSGISATTGVDGCVTTDSLDDEVSSCSSSKDVDGSSFSSQYISSSKQEEHSVYGLDTLDAVHQLPVKGKNPIRYTLSASDIETMKEKFAKLLLGDDISGGARGVCAALALSNGITNLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCIFEIMTPKARSDVHVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRAGDRGKNNGPRQTKKWWLPSPCVPEQGLSQFQRKRIIFQAKLVHQILKAAKSINEQVLLQIPIPTAVMGALPKSGRASLGEDLYHAITTDYIPIEDIFISLSLKTEHSVLETMNRLEGALFAWNQRITEERSKKSPGRHSWNFMKDSSSELEKMSACIERVETLMQLLKSRFPNLPPTFTDVVKVQYNEDVGYAIVEAYSRVLVGVSFSILSRIAEVMLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFEAVVKKKGAKQLMW* >Brasy2G380100.2.p pacid=40066639 transcript=Brasy2G380100.2 locus=Brasy2G380100 ID=Brasy2G380100.2.v1.1 annot-version=v1.1 MRMKTLACCRRRPQDFSIDMDQEPDRVTTYNGLERCIFNSSSYDEDSGISATTGVDGCVTTDSLDDEVSSCSSSKDVDGSSFSSQYISSSKQEEHSVYGLDTLDAVHQLPVKGKNPIRYTLSASDIETMKEKFAKLLLGDDISGGARGVCAALALSNGITNLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCIFEIMTPKARSDVHVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRAGDRGKNNGPRQTKKWWLPSPCVPEQGLSQFQRKRIIFQAKLVHQILKAAKSINEQVLLQIPIPTAVMGALPKSGRASLGEDLYHAITTDYIPIEDIFISLSLKTEHSVLETMNRLEGALFAWNQRITEERSKKSPGRHSWNFMKDSSSELEKMSACIERVETLMQLLKSRFPNLPPTFTDVVKVQYNEDVGYAIVEAYSRVLVGVSFSILSRIAEVMLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFEAVVKKKGAKQLMW* >Brasy2G197200.1.p pacid=40066640 transcript=Brasy2G197200.1 locus=Brasy2G197200 ID=Brasy2G197200.1.v1.1 annot-version=v1.1 MAYTFRIRCRASDDHSLAIVDGEVILTSADPNDDRQLWYKDVSPAFALVNKATGDALKHSFGYNLPVRAIRFDPGYLDESVLWAESEDLGDGFRRIRMMNNTDYIFDAEKAIPRYGGVRDGTRLILYRWNGGRNQLWEIAPTPERAQPCWIQSFRNTGRVTDKEGHRPFALVNRATGKALRRSRGHQFKSSATARTRSTWRFCGRGATTLEKGSITSGASATSVLS* >Brasy2G338500.1.p pacid=40066641 transcript=Brasy2G338500.1 locus=Brasy2G338500 ID=Brasy2G338500.1.v1.1 annot-version=v1.1 MGLKGSKRSLMPGMEGDETNDLERDTSLEVDKSSIGGDEDLGGGFTLTGKKRKDETGPTGENGGKAKRVLRSDSMALHVDAETAVEAAADVSKGDSLEKTDCEAVAEKDGGGLPIVTCNGDEHMDVVEVKVGDATAICPEINVESSGVAEGNGLDNQRNNLECDDKRDKADEKIYATSPEEENVSGPGTSGGSTDDSQANKGTNGHCQGEVIDPSATVNDDELGTGLIRSNTISGPESVHQDDTVVCAEGVLLRSGCQGVEKSCHIDGVQEETNPSLNENGKCTTDDHIKITGCTKQEEGIDSLVDETKGVSTPDIVFMRRKSLTRNTCEPKQVKCEEEVQFVRRVTRSATVRQREISGSTSRSSANGAMESKGKKEDVLHHYTRKASNTVSLKADGTKLAKRDTDTKKHTINRKATARRNLDVTGNDDPANIKENKASETETKINLKSQQPMRSDSIAKKTTKDAVSVVDQNVCSSAITEKNDTELTDSEGVRSENKTAVRKSVLSVGAKIVASKNRIMESGLDKISGESPVEMSSMKKTRNTSSHTEEEQSKKSSGKKLMGKNCGSDTKGTLTRRGHHIQAAELSRSVNRSNKRGCKLSYKESDDDGTDIDTPYKRNCRGRREGGVRVVQKKEDSSEPEELIVMKKDRQKGKDSMHKQRSRSISSHSAGSPKASYSKGNRKAENDSSAKPTSTSEQVNTVGRFEEKRKISEHIKGILLDAGWKIDLRPRNGRNYLDSVYLPPSGKGSYWSVTKAYSVFMQSQQKDGAKSQSSPKKSACSPDKSSSLPEEDLSKLKRMVLNKRRTEPELQKLRKKHGLLKKFKSYKSKPKERKNKISKERKKRGGCALLARGSNQEAGSSTDGFAPYEWKRSVFSWLIDLDILSLNAGLKCMDESCSKVLLEGLVTRDGIQCSCCSKDFALLEFVAHAGGQVSKPYRNVLVDGLDKDLLHCLISAWDKQSDSEKQSFFPVSTEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEELPSDDWRCANCCCKFCQEHSNHDAPDIAEVDSLCTCSQCEENYHPACSPETENPSSVSSQAGYLFCQQSCRLLFEELQNLLAVKKDLEPEFACRIIKCIHEDVPETALALDERVECNSKIAVALSLMDECFLPIIDQRTGINLIRNVVYNCGSNFLRLDFRGFYIFILERGDEIVSAASVRIHGTKCAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLKVQKLIIPAISELVDTWTSKFGFSPLEVSQKQEVKSISMLVFPGTGLLQKPLLKKSSTDKHPSSEGVDTGLSGDKSRKASHVVHEDSVCNIANVESQGSEVTDYADNSKDADTCKD* >Brasy2G175900.1.p pacid=40066642 transcript=Brasy2G175900.1 locus=Brasy2G175900 ID=Brasy2G175900.1.v1.1 annot-version=v1.1 MRAVAAYSRHGCLEDFPPDTILELLAFANKFCCEGLKVSCDNKLASMVSGVDEALSLIDLGLEEAAHLLVATCLQAFLRELPKSLSNPEVARLLCSPEGRERLDAAGNASFALYYFLSYVAMEEDTRSNTTVMLLERLWECAELPWHKHLALHQLGCVMLERGEFKDAQGWFEDAVAEGHVYSLAGVARAKYKCGHKYMAYKLMNRVVGDYEPAGWMYQERSVYCVGKEKMADLRTATELDPTLTYPYKFRAAALLEEDKFDAAFEEIDKVLSFKLATDCLELRAWFSLVAGDFESAVQDVRAILTLDPSYMMFHGKMHGEQLIELLRGQVQQWDMADCWMQLYDRWSGVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLRLNCQKAAMRSLRLARNSSLHEHERLVYEGWILYDTGHREEALEKAEQSIRLQRSFEAFFLKAYALGDSSLDVESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEATECYSIALSIKHTRAHQGLARVHYLKNRKKAAFDEMTSLLKIAKNSASAYEKRSEYAERDIAKSDLNMATLLDPTRTYPYRYRAAVLMDENKEDEAIVELSHALAFKPDLQLLHLRAAFFDSMGDSTGSIRDCEAALCMDPTHGDSLELYSKASTKAEQSES* >Brasy2G283300.1.p pacid=40066643 transcript=Brasy2G283300.1 locus=Brasy2G283300 ID=Brasy2G283300.1.v1.1 annot-version=v1.1 MAPSSRTALAFLSFLALLPLLAYAQSTAPPDVCADPAADGACHNVPKALRLKLIAIPTILISSVIGVCLPLFARSVPALQPDRALFSVVKAFASGVILATGYMHVLPDSFNNLSSPCLPKKPWGDFPFTAFVAMLAAVFTLMVDSLMLTFYNRKKKGGGQGPSSTAVVADHESPEQGGGHWHSHGHGHGHGHGMAVAKPDDAEAAQMQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGTKMKAGLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLLCFLAVLLGAGGMSVMAKWA* >Brasy2G158800.1.p pacid=40066644 transcript=Brasy2G158800.1 locus=Brasy2G158800 ID=Brasy2G158800.1.v1.1 annot-version=v1.1 MNRILPMAGIRSRRSDRSNRASDRTGPEKPPGTKPQQATAPVPPIPQTPEHRKRGPAPERRNSPEFEEIPSPDSPHSQRSTSASDRPYQPPSDHRAGAGAAAGPDPRRDPEPTGNRGIIPPPASSHPLAWLPFASLLASPSFYLFFLSPPSGFPFWMWWCWFGQNPSKPTPFLNPPNPRLHHTLTRHRLLPVKPPRAHRPRPDVHTPTTRFLHLSPSTPRLPSNGRGATPDARERVWFLVLTGASGFRKPTTPAPRAGDPGRRSDGGVPRRWIHGGP* >Brasy2G362100.1.p pacid=40066645 transcript=Brasy2G362100.1 locus=Brasy2G362100 ID=Brasy2G362100.1.v1.1 annot-version=v1.1 MEDEENIEEELLLVESELHGLQDKIKMLLDRQEELYERQSQLQALLEISKTSRDAANSAPSVAPEDWSGKFSWDSQADDIRFNVFGISSYRSNQREIINSIMSGKDVLVIMAAGGGKSLCYQLPAVLRDGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTTNKEVEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLVAIDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQMDLIEMLHIPRCVKFVSTVYEKSSVGKVVIDEIANFISESYPNKESGIVYCFSRKECEQVAKELRGRGISADYYHADMDSVAREKVHMRWSKSKSQVIVGTVAFGMGINKPDVRFVVHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKKSCRRGAFFQHFGEAVQECNGMCDNCASSIELKDIDATYHTKIIVSLLQDMQHNDQRATLLQLVDKFKTKWKHSGCSNEAVDLKKEEIEQLIVQLIMDRVLKEEFQHTAYTTNAYVALGSLWKLALQGNRPVKLTGAIHSQDSGGASKSTKRSQMSNLEAKLDDLRRTISSDNGGIFPHAVLSTQQISLLSSHKPTTIAELENLIGKVKADKYGSDIIEVMQSEIDGGKDGGENGAKRQKKDKDVVLVESSEEEA* >Brasy2G165500.1.p pacid=40066646 transcript=Brasy2G165500.1 locus=Brasy2G165500 ID=Brasy2G165500.1.v1.1 annot-version=v1.1 MCPRATAQHGGPEMGRASTTGVAAASAHSFGEEEYIDLDLSSCGEYEFRVCRTNKAAAAAAPCAEEPLSRGRLHKVAPRPSGKLLDPDAAVAGGGGGGGGRRSTATVAPLQQHPQAGGIRCAQPASEGSRRKKPGKAAVHAKLQASRAFFRSLFARTSCSDERCHRGIGGARSGSTGRTATPCRTKSGKEATFGQIKSSCYSVGGSAAPTTLRSSIEQEKLMDEEELAAASSGSRQRKSFSGVIKWRPATAATAGEAAAKNPAPAWSSTTRRRNSSGEHGPALKRSSSCRSESEGLIQGAIAYCKRSQQQRVLARKSVSDAALCSFRP* >Brasy2G253400.1.p pacid=40066647 transcript=Brasy2G253400.1 locus=Brasy2G253400 ID=Brasy2G253400.1.v1.1 annot-version=v1.1 MNRGHIYHYWWQQGIRLCVTHGSGARWRITIRLVK* >Brasy2G068000.1.p pacid=40066648 transcript=Brasy2G068000.1 locus=Brasy2G068000 ID=Brasy2G068000.1.v1.1 annot-version=v1.1 MTAQRSMHLFAAVVAVVLCFAPAKSDWLPATATFYGEPDASGTMGGACGYGNLYDQGYGVSNAALSTALFNDGASCGQCYLIICDTSKSGWCKPGTSATVSATNFCPPNWALPNDNGGWCNPPRVHFDMSQPSWETIAIYRAGIVPVLYQQVKCWRYGGVRFTIAGFNYFELVLVTNVGGSGSVKSMAVKGLARGGSRCRGTGARTGSAWPGWRSSRSASRSPPPAGSTSSSRTPCPPGGSSDRPSAPTASSTTRSRTRDLN* >Brasy2G118600.1.p pacid=40066649 transcript=Brasy2G118600.1 locus=Brasy2G118600 ID=Brasy2G118600.1.v1.1 annot-version=v1.1 MPRSLAGDLTDPEMAQPYMKKDDDESEIEYSPFFGIEKGAVLQEARAFHDPQLDARRCSQVITKLLYLINQGETFTKVEATEVFFAVTKLFQSNDAGLRRMVYLIIKELSPSSDEVFIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGNLLTQIERYLKQAIVDKNPMVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRAALVQFHGLALLHQTRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESSTNTQNGDRPFFDYLESCLRHKAEMVILEAARKITEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAITRPLAVTNCNVDLETLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITSFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMIFLSNSLREEGGFEYKKAIVDAIVTLISAIPDAKEIGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENSTVRASAVSTLAKFGALVEGLKPRIFVLLRRCLFDTDDEVRDRATLYLQTLNGEVAVGNTEKDVNEFLFGSLDVPLANLEASLRTYEPSEEPFDISLVSREAKSQPLQDKKAPGKKPPTGVPPPAPVSAVDAYQKMLSSIAEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYESHVVLQYNCTNTIPEQLLQDVTVYVDATDAEEFSEVFLKSLKCLAYDSPGQIFVAFEKPGRVPATGKFSNVLKFTVKEVDTSSGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVTSILGMQPCEGTEVVPSNARSHVCLLSGVFIGDVKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG* >Brasy2G377800.1.p pacid=40066650 transcript=Brasy2G377800.1 locus=Brasy2G377800 ID=Brasy2G377800.1.v1.1 annot-version=v1.1 MTPSPASTSAADAGMLGRRLVLLPAGAAASLARGERRRARMRLGCVLENVAPRLAVASAALVGAGEVIAAAAVAGGSGGAGHAAVASTIAQLAVSAVAIASGACLSTKVDFLWPRIEQLPDTLVFEGVEVTGYQIFEDPKVQKAIVFASTAHFGQFRRTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTTENLKSIEEQFGNDVASLVSCVSKLSYINQLLRRHRQKNTDGSTLTSEEANNLRAMLLGMVDDPRVVLIKLADRLHNMRTIYALPIPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFQKIRSELTSMWNSTNKDKSMRRSSIRSDLLASTKEVHATSTHDLSSSKNQEEPNMEDLLQAVLPFDLFLDRGRRSDFLNNLQSSSEASKKPKIVDDAAVALTSLAACEEELQQELLITTSYIPGMEVTLSSRLKSLYSIYCKMKRKHVGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEYGLAAHWLYKESKVDTRSGMSNKISQSASYASSSSEDESYVKDSIPSIKVGHPVLRIDGNHLLAAVIVSIDKGGKELLVAVRFTLEASEDVAERRSSFQLERWEAYARLHKKVTEKWWCAPGHGDWSTNLEKYTLCRDGIFHKQDQFGRLLPTFIQIIDLTEEEEDEYWMVVSAVFEGKETSTLTSESSNADRPTSYPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASWAERSLGARTLTEPILHEVAIICWPYGKIMRMSTGSTAADAGRRMGVDGKLLWVNGQLVQPQTELKDGDIVEVRT* >Brasy2G377800.2.p pacid=40066651 transcript=Brasy2G377800.2 locus=Brasy2G377800 ID=Brasy2G377800.2.v1.1 annot-version=v1.1 MTPSPASTSAADAGMLGRRLVLLPAGAAASLARGERRRARMRLGCVLENVAPRLAVASAALVGAGEVIAAAAVAGGSGGAGHAAVASTIAQLAVSAVAIASGACLSTKVDFLWPRIEQLPDTLVFEGVEVTGYQIFEDPKVQKAIVFASTAHFGQFRRTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTTENLKSIEEQFGNDVASLVSCVSKLSYINQANNLRAMLLGMVDDPRVVLIKLADRLHNMRTIYALPIPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFQKIRSELTSMWNSTNKDKSMRRSSIRSDLLASTKEVHATSTHDLSSSKNQEEPNMEDLLQAVLPFDLFLDRGRRSDFLNNLQSSSEASKKPKIVDDAAVALTSLAACEEELQQELLITTSYIPGMEVTLSSRLKSLYSIYCKMKRKHVGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEYGLAAHWLYKESKVDTRSGMSNKISQSASYASSSSEDESYVKDSIPSIKVGHPVLRIDGNHLLAAVIVSIDKGGKELLVAVRFTLEASEDVAERRSSFQLERWEAYARLHKKVTEKWWCAPGHGDWSTNLEKYTLCRDGIFHKQDQFGRLLPTFIQIIDLTEEEEDEYWMVVSAVFEGKETSTLTSESSNADRPTSYPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASWAERSLGARTLTEPILHEVAIICWPYGKIMRMSTGSTAADAGRRMGVDGKLLWVNGQLVQPQTELKDGDIVEVRT* >Brasy2G152400.1.p pacid=40066652 transcript=Brasy2G152400.1 locus=Brasy2G152400 ID=Brasy2G152400.1.v1.1 annot-version=v1.1 MPVSSVASPLLLSLSASSSSFLSSSSVSFLPSSSSSPHASVATGRGKPAASILRALRAEATTLPVISFTGEKVGEVALDIKSAPPSTARAVVHRALITDRQNARRGTASTLTRGEVRGGGRKPYGQKKTGKARRGSTRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVANDSFVVQEFDVEFATGPRTRDFVAALQRWGLDPKEKAMFFSTELDDNVRLSGRNIGTLKMLTPRTLNLYDILDARKHFFTPAAIDYLNTRYGTTVFDEYEDETDAEDDDEEEVAEELEEAAQDETEEAEADSNS* >Brasy2G053600.1.p pacid=40066653 transcript=Brasy2G053600.1 locus=Brasy2G053600 ID=Brasy2G053600.1.v1.1 annot-version=v1.1 MAPTTMSLSSSAFTGKTVKNLSSSALFGEARVTMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLARNGVKFGEAVWFKAGSQIFSEGGRLPRQPEPCTRSEHPRHMGLPGRAHGCR* >Brasy2G475000.1.p pacid=40066654 transcript=Brasy2G475000.1 locus=Brasy2G475000 ID=Brasy2G475000.1.v1.1 annot-version=v1.1 MKSNAIPSKGKAVFELKHKLVQALNKIADRDTYQIGLNELEKAVDALPPDMVGPFLSCVIDTDAEQKSAVRKECIKVIGTLARSHGSLLAPHMVKVVTSIVKRLKDADSVVRDACVDTCGTLSMCARNFGDGGTALVALVRPLFESLGEQNRYVQAGAALCLAKVIDESSYFPGPVLPQMLGRVVKILKNPHFMAKPAVIELIRSIVQAEGASTEQALSSALTSIMDSLKSSDWTTRKAASLALSSIAVSSGYLVASFRTSCLRSLERCKFDKVKPVRDAITHAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDARSVHDGGSRDTSFRRVDPTPSASVVSGSSITSVKKRSPLSVNKIPQHNAANQQHLKSSDWHVEIAVPKQNTVPLVDLGKSGYGMLKDAKGNVYEIVDENSKSDYDPMDDKQECSSLSEAGSRSCETKHVTSALECTEDCDRTQVTELCPRARESKSIDSTVTDGTSHGSHTCCLSATKELALIRKQLQEMERKQAHLFDLLQVFMSNSVENMSVLNLKVHNLENAVDKTVYTISQSESRYRLPGSKVFKNQSASSSPRLSNSTPRSSVDANYKPQTISHLKHEKKWTHDLPSKGTSMCVKEGPEFLKGHARNSVIKIRSGSSEGRCVPSSARNRVSGIKDTFPVPFMNSCSQPELQNALCASNQAGEFCGADSMEPAYAEALSYGDYNDLIDLMDRTGPVLDKLSRETANELLRVMAGQFLNKNLFDLALPWIQQVVELSTVYKPSQLFVSVRAQREFLSALEAAATSGTTEPTIRIAVAQLTFKLTKVCEIAPCRKISTRLSRGSESIMATAM* >Brasy2G461600.1.p pacid=40066655 transcript=Brasy2G461600.1 locus=Brasy2G461600 ID=Brasy2G461600.1.v1.1 annot-version=v1.1 MAAAGEDGRAGAASGAAARALHGGGWRERIRRRGASGVPDPASGRRRKGVGEAAQGRGAACGEAARQGPSWGGRAAEAGEGSGGRRGTWERTEVRERERRGGGARGEGENEG* >Brasy2G140800.1.p pacid=40066656 transcript=Brasy2G140800.1 locus=Brasy2G140800 ID=Brasy2G140800.1.v1.1 annot-version=v1.1 MGNSQPKRREEASDLKDDLLHRAGAADARAQGRGQDNGAGLPGGGEPQDGGAENRGAEKGSGRVKRPSLWVQKVETMERYKKDGCKRLHPLLPIPDEYMIEMLPTYATIALPGLTTFNLMVATTVAPNANKGIVQALLYMCPYTYIPFGVAAMILATVATKCRRFAGAAAGLMLVQLLYVLLLGGFSTIIKVQSSSPFDTKDTKEDTDKGAEVVFWLTIALCCIVFVFWCLLLPYPKCLSLWSYIRAKKSEGFAAALAFSAQASEAADVALRAAKDAAEVAEVANSDAKSAKEAADAAIAAEGAEDQAEEAASKAKQAARGAEESAVVAEKAAGEASNMCNRARTACEDAEKLLESARVFEEKARILSDPSNRV* >Brasy2G343800.1.p pacid=40066657 transcript=Brasy2G343800.1 locus=Brasy2G343800 ID=Brasy2G343800.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQAAAQAQQQQAAQLQAQQQIETQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQGTLPLSAKSQPTESPETAAQGKNILIPNHGELLLRLPSAHRPPAPACDAPSPAPPPPPPLPMQLKGHFSPKWFWW* >Brasy2G462700.1.p pacid=40066658 transcript=Brasy2G462700.1 locus=Brasy2G462700 ID=Brasy2G462700.1.v1.1 annot-version=v1.1 MLSGVDRGTEDGSVAARTDNAMPPRLCTAPPRKCLVCVSALLRPPLPLLLHLPVLPRWRARRRPCHRAPRDAQPPWAHTTCSTNCSGSPLRSLNGFPRRPGAHAACSDSPALAVALFSRMSRGNNDQNAHGAHLRHRPSPWTAAAARRRGMMIERGRAKLEHKLLPLLHLSRKIQSCNGGED* >Brasy2G462700.2.p pacid=40066659 transcript=Brasy2G462700.2 locus=Brasy2G462700 ID=Brasy2G462700.2.v1.1 annot-version=v1.1 MLSGVDRGTEDGSVAARTDNAMPPRLCTAPPRKCLVCVSALLRPPLPLLLHLPVLPRWRARRRPCHRAPRDAQPPWAHTTCSTNCSGSPLRSLNGFPRRPGAHAACSDSPALAVALFSRMSRGNNDQNAHGAHLRHRPSPWTAAAARRRGMMIERGRAKLEHKLLPLLHLSRKSS* >Brasy2G163000.1.p pacid=40066660 transcript=Brasy2G163000.1 locus=Brasy2G163000 ID=Brasy2G163000.1.v1.1 annot-version=v1.1 MWNCSAPSSLLLPFSDDYVKCESSTSSTGTAGFDKILATDYDLCHRMSLPPPSFQSLPAPTLFATRSSESYSGTGDSLTYNGPAFMQFSYTQPTPAANHLVRWTAAGGEPMSGEGSSFRGSKRLKTTAAAATTQGPQHRLQCRAKPRNQAMKAPCKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIRSLHDQIQLQILAAPYPGLSSSPSPSSHDTGEEPAAASLRQRGLCLMPLSPAVASLVSDAARGHGHGHTDMEEDAWFGAL* >Brasy2G163000.2.p pacid=40066661 transcript=Brasy2G163000.2 locus=Brasy2G163000 ID=Brasy2G163000.2.v1.1 annot-version=v1.1 MWNCSAPSSLLLPFSDDYVKCESSTSSTGTAGFDKILATDYDLCHRMSLPPPSFQSLPAPTLFATRSSESYSGTGDSLTYNGPAFMQFSYTQPTPAANHLVRWTAAGGEPMSGEGSSFRGSKRLKTTAAAATTQGPQHRLQCRAKPRNQAMKAPCKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIRSLHDQIQILAAPYPGLSSSPSPSSHDTGEEPAAASLRQRGLCLMPLSPAVASLVSDAARGHGHGHTDMEEDAWFGAL* >Brasy2G027700.1.p pacid=40066662 transcript=Brasy2G027700.1 locus=Brasy2G027700 ID=Brasy2G027700.1.v1.1 annot-version=v1.1 MMQQFLGLQEHSSSLVLLPWLALAATLTLSVVPLRRLLLTSRGPPLPPGPRPWPVIGNMLMMGQLTHRGLAALAGRHGGLFHLRLGRVHAVVVSSPAHAREVLSVQDAAFSNRPASAAVAYLTYGRADMAFAHYGRFWRQVRKLSSARLFSRRGSRAARSWLAVRDESVKLVRAIDAETSGSGGGEAAVDIGELMFVLTKNVVSRAAFGDSGRRQEDELGELLREFSELMGAFSVGDFIPWLRWVDGLRGVNERLRKARAGIDELLDRIIDEHLEGKKKSRDDVDADMVDDMLAFLDEEPTAAAGKKDDGDNDNGLRLNRDNIKAITMDFMFGGMETVAAAMEWAMAELLRSPDDLRRVQQELAQTIGLDRTVLDSDINNMPDSLPFLRCIVKETLRLHPPIPLLLHETATDCVVGGYAVPRRSRVIVNLWAIGRDRSAWVDPDTFRPARFMAEAAEVDLKGGSFELLPFGSGRRACPAIVFGLYEMELALARLLHAFEWALPAGEVPEDLDMDDVFGLSAPRAVRLRAVPKLRLTCPL* >Brasy2G471000.1.p pacid=40066663 transcript=Brasy2G471000.1 locus=Brasy2G471000 ID=Brasy2G471000.1.v1.1 annot-version=v1.1 MGCIQSKAKRRQHPGYEDPVGLAAQTTFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNARRENLFANRIFDLFDAKKRGVIDFGDFVRALNVFHPNFPMEEKIDFSFKLYDMDGTGFIERNEVKQMVIALLGESEMRLSDEIIETILDKTFSDADTNQDGKIDRAEWESFVSRNPSLLKIMTLSYLKDITTTFPSFVFHSEVDDIVT* >Brasy2G437100.1.p pacid=40066664 transcript=Brasy2G437100.1 locus=Brasy2G437100 ID=Brasy2G437100.1.v1.1 annot-version=v1.1 MKPPHFTGEAAAGASWAHEVKQALRDKLRWAAAARPTSAVAVAGAGAGAAEDPIRRVMFLAPWGHT* >Brasy2G238700.1.p pacid=40066665 transcript=Brasy2G238700.1 locus=Brasy2G238700 ID=Brasy2G238700.1.v1.1 annot-version=v1.1 MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSDVVLKGISTDRIIDVRRLLCVNTATCAITNYSLSHEIREGPLKDGADIATLKPYTLTLVEGEYDEDSAVAHVRRLLDIVACTASFGPPPPPPPPPSPKEVDVAKEPSNSSSKAAAGRRTGSPPPLPKESATKDAEAAAVAAKEAAVSAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIKRVTQTRQEEQPSDDHLFFLEAKLCNGKFVIVEARRKGFFSFGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGYRANTWLVPPIAAQSPSTFPPLPAEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAIRHAMESTDVSTSTKIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMRSKHLAERSLLKGITADENTAAHDVDSLGVVNLRYCGYVAIAKVNNIEKTNVNSSIKPVDITDQPEGGAHALNINSLRVLLNEANSTGEKKISTQSHRQEELTAAQTFAENLLKESLQKLEEEETDKQSFMRWELGACWVQHLQDQKNADKDKKQSGEKEKKKLVDKAVKETKIEGLGKPLKALKNSKNVVDATDKGSSSVDKSVSDGTSSAESQKVKPSSVELPQGDCVSSETESLLKDVLSDSAFTRLKDSETGLHKKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIAAILDIRQLALTIAATLNLLLGVPECELSGSSPAMHPLVWRWLVAFLKKRYQFELTEQHYDDLRKYAVLRGLCHKVGIELAPRDFVMDSAFPFYKQDIISLVPVQKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIMVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHLSENSNVASPDISPRDSTVAIMDEEKHMKDALLDDGANVMDIPETEVKESPISIEVSPPSEQLVERGKVKMNSPKEFFEDKKVEQDDGWQPVQRPKSAGVSGKQIKYYRPTIRKVYDPENITPTDAFQYKARNSYSNNRYYFLKKRTVVPAAYTDPQQHMKVQTSSARFGRKIYKAVTYRIKPGTASTEAQDTSRSTEHISGKDESQIAYSQVHKDSVDQKACEPHGTLVTSAGNPPSYKDVALARPGTIAKTQIQKPRDDVLQPSLGQIIAQEMKDSLVDAVQVEQRSVSANTSKSKEETNMPEEMQHSEQRKESQREHEIDNTCKDTLPDKLISNMEKTSSSDPADSKTEMTLLSNKGQEPTSCGNSGAATEVPHCTVPNSVKSDIEFLEEALPTSIEPITVSAPTTSMQEGHGDVGSEKSKPDLVLSNIDLREVSNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAMPGVGPWPMNVSMHPGHSNMVPNGPPLCTSPHHLYPPAPRSPNLLHPVPFLYPPYSQPQMVPSSTFPMNTTIFRPNHYGWQPYMSPAASEFVPGTAWSNSHPVTYTPSTHVADTISQSLADTHVLSDAAVVSIGPSLDSKMVPVKEETEVPTVLGSGNLMGNKNLGEEQLKGAVKTELNSDMPGDTPDIGGANLRINMKNEDEGSLRIYVKGKSRRKQTLRIPISLLNKTYSSRSFKLDYNRVVRENDTFRPSSVSFAEVVSSGN* >Brasy2G238700.2.p pacid=40066666 transcript=Brasy2G238700.2 locus=Brasy2G238700 ID=Brasy2G238700.2.v1.1 annot-version=v1.1 MSGACPRLGAFYEFFSLANLTPPLHFIKRVTQTRQEEQPSDDHLFFLEAKLCNGKFVIVEARRKGFFSFGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGYRANTWLVPPIAAQSPSTFPPLPAEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAIRHAMESTDVSTSTKIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMRSKHLAERSLLKGITADENTAAHDVDSLGVVNLRYCGYVAIAKVNNIEKTNVNSSIKPVDITDQPEGGAHALNINSLRVLLNEANSTGEKKISTQSHRQEELTAAQTFAENLLKESLQKLEEEETDKQSFMRWELGACWVQHLQDQKNADKDKKQSGEKEKKKLVDKAVKETKIEGLGKPLKALKNSKNVVDATDKGSSSVDKSVSDGTSSAESQKVKPSSVELPQGDCVSSETESLLKDVLSDSAFTRLKDSETGLHKKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIAAILDIRQLALTIAATLNLLLGVPECELSGSSPAMHPLVWRWLVAFLKKRYQFELTEQHYDDLRKYAVLRGLCHKVGIELAPRDFVMDSAFPFYKQDIISLVPVQKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIMVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHLSENSNVASPDISPRDSTVAIMDEEKHMKDALLDDGANVMDIPETEVKESPISIEVSPPSEQLVERGKVKMNSPKEFFEDKKVEQDDGWQPVQRPKSAGVSGKQIKYYRPTIRKVYDPENITPTDAFQYKARNSYSNNRYYFLKKRTVVPAAYTDPQQHMKVQTSSARFGRKIYKAVTYRIKPGTASTEAQDTSRSTEHISGKDESQIAYSQVHKDSVDQKACEPHGTLVTSAGNPPSYKDVALARPGTIAKTQIQKPRDDVLQPSLGQIIAQEMKDSLVDAVQVEQRSVSANTSKSKEETNMPEEMQHSEQRKESQREHEIDNTCKDTLPDKLISNMEKTSSSDPADSKTEMTLLSNKGQEPTSCGNSGAATEVPHCTVPNSVKSDIEFLEEALPTSIEPITVSAPTTSMQEGHGDVGSEKSKPDLVLSNIDLREVSNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAMPGVGPWPMNVSMHPGHSNMVPNGPPLCTSPHHLYPPAPRSPNLLHPVPFLYPPYSQPQMVPSSTFPMNTTIFRPNHYGWQPYMSPAASEFVPGTAWSNSHPVTYTPSTHVADTISQSLADTHVLSDAAVVSIGPSLDSKMVPVKEETEVPTVLGSGNLMGNKNLGEEQLKGAVKTELNSDMPGDTPDIGGANLRINMKNEDEGSLRIYVKGKSRRKQTLRIPISLLNKTYSSRSFKLDYNRVVRENDTFRPSSVSFAEVVSSGN* >Brasy2G123500.1.p pacid=40066667 transcript=Brasy2G123500.1 locus=Brasy2G123500 ID=Brasy2G123500.1.v1.1 annot-version=v1.1 MSRCIPYPPPGYVRNPVAVAVAVAEVETTDKLQKEREKAERKKEKRNAKKSLHHGGGETSKHSKRTHKRRHEDISLADQESRRASKESAEQLENSGLSEEHGAPCFIQTAAPGSPESSQDSSKRRKVILPSPSQNKNGNILRIKIKRDQEFPAATLNNSRVQQMGQGPSLLSKQNAVRPHNREVMGKSEATAAVLKQVDVQPPVKMLQRVESSTTSNVVPKVDPAIAPKIMKQTDPRLSVEAATARLRPPSSKVMGSVDTLPTKLTRRVVPPPAIAAQSPAAQVFQRVDPPVPSNVLQRDAPPSSALVLLKETSLIAFRQPDVQLSSLLQKSDVPMQTPLIKQQQTNSSEPSVSGRKTDKGAVPEVKLSKSDRKKSRKAEKKERKFGDLFVTWNPPSFEMEDTGDGDRYWLLGGARKPDASVSGCTASDGSVPFESVSQQFSLQPRAIHLPDLHVYQMPYVVPF* >Brasy2G123500.2.p pacid=40066668 transcript=Brasy2G123500.2 locus=Brasy2G123500 ID=Brasy2G123500.2.v1.1 annot-version=v1.1 MSRCIPYPPPGYVRNPVAVAVAVAEVETTDKKEREKAERKKEKRNAKKSLHHGGGETSKHSKRTHKRRHEDISLADQESRRASKESAEQLENSGLSEEHGAPCFIQTAAPGSPESSQDSSKRRKVILPSPSQNKNGNILRIKIKRDQEFPAATLNNSRVQQMGQGPSLLSKQNAVRPHNREVMGKSEATAAVLKQVDVQPPVKMLQRVESSTTSNVVPKVDPAIAPKIMKQTDPRLSVEAATARLRPPSSKVMGSVDTLPTKLTRRVVPPPAIAAQSPAAQVFQRVDPPVPSNVLQRDAPPSSALVLLKETSLIAFRQPDVQLSSLLQKSDVPMQTPLIKQQQTNSSEPSVSGRKTDKGAVPEVKLSKSDRKKSRKAEKKERKFGDLFVTWNPPSFEMEDTGDGDRYWLLGGARKPDASVSGCTASDGSVPFESVSQQFSLQPRAIHLPDLHVYQMPYVVPF* >Brasy2G325600.1.p pacid=40066669 transcript=Brasy2G325600.1 locus=Brasy2G325600 ID=Brasy2G325600.1.v1.1 annot-version=v1.1 MERRPSLLAMVMVMLSLSSCCCASSSSAGGGQQQLHPVILIPGSGGNQLEARLTEEYKPSSLACRVWPLVRGRGGWFRLWFDPSVLVAPLTRCFAERMMLYYDTAADDYRNAPGVETRVSDFGSTSSLRYLDPNLKLLTGYMDALASTLEKSAGYEEGRDLFGAPYDFRYGLAAPGHPSQTGSAYLERLRLLVESACAANGGKPAILVAHSLGGLYALQLLARSPAPWRAAHVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDPAIIRDEQRSSESNLWLLPTPKVFGNTTLVASEFHNRSYSAKNVTQFLRDIGFEGGVEPYRARIRPLGEALPEPGVPVTCLVGTGVDTVESLVFGQEGFEAGPVKVVYGDGDGTVNLASLVGPIKAWADSPAQVIEVVELPGVSHSGILKDKSALQQIVRIVDAINLNTTGSSYLQSS* >Brasy2G122400.1.p pacid=40066670 transcript=Brasy2G122400.1 locus=Brasy2G122400 ID=Brasy2G122400.1.v1.1 annot-version=v1.1 MACRFLYPAAAALVALLLQAAAAFPAAGGLLSRPAAAAALSFEEGYTQLFGDSNLRLHGDGKRVHISLDERTGAGFASQGAYLHGFFSARIKLPSDYAAGVVVAFYMTNGDVYEKTHDELDFEFLGNVRGKEWRVQTNVYGDGSTSVGREERYGLPFDPTEDYHRYAILWTNRTIVFYVDETPIREVVRSEAMGPQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFDDLLLRGCATSSTTRACELPEEDYMGSGERSAMERFRARHLTYGYCYDRARYPAPLSECRVGAEAAMYLPSGDRRRRGKRHRRGLRLLMIG* >Brasy2G122400.2.p pacid=40066671 transcript=Brasy2G122400.2 locus=Brasy2G122400 ID=Brasy2G122400.2.v1.1 annot-version=v1.1 MACRFLYPAAAALVALLLQAAAAFPAAGGLLSRPAAAAALSFEEGYTQLFGDSNLRLHGDGKRVHISLDERTGAGFASQGAYLHGFFSARIKLPSDYAAGVVVAFYMTNGDVYEKTHDELDFEFLGNVRGKEWRVQTNVYGDGSTSVGREERYGLPFDPTEDYHRYAILWTNRTIVFYVDETPIREVVRSEAMGPQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFDDLLLRGCATSSTTRACELPEEDYMGSGERSAMERFRARHLTYGYCYDRARYPAPLSECRVGAEAAMYLPSGDRRRRGKRHRRGLRLLMIG* >Brasy2G122400.3.p pacid=40066672 transcript=Brasy2G122400.3 locus=Brasy2G122400 ID=Brasy2G122400.3.v1.1 annot-version=v1.1 MACRFLYPAAAALVALLLQAAAAFPAAGGLLSRPAAAAALSFEEGYTQLFGDSNLRLHGDGKRVHISLDERTGAGFASQGAYLHGFFSARIKLPSDYAAGVVVAFYMTNGDVYEKTHDELDFEFLGNVRGKEWRVQTNVYGDGSTSVGREERYGLPFDPTEDYHRYAILWTNRTIVFYVDETPIREVVRSEAMGPQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFDDLLLRGCATSSTTRACELPEEDYMGSGERSAMERFRARHLTYGYCYDRARYPAPLSECRVGAEAAMYLPSGDRRRRGKRHRRGLRLLMIG* >Brasy2G108900.1.p pacid=40066673 transcript=Brasy2G108900.1 locus=Brasy2G108900 ID=Brasy2G108900.1.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.2.p pacid=40066674 transcript=Brasy2G108900.2 locus=Brasy2G108900 ID=Brasy2G108900.2.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.3.p pacid=40066675 transcript=Brasy2G108900.3 locus=Brasy2G108900 ID=Brasy2G108900.3.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.4.p pacid=40066676 transcript=Brasy2G108900.4 locus=Brasy2G108900 ID=Brasy2G108900.4.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.18.p pacid=40066677 transcript=Brasy2G108900.18 locus=Brasy2G108900 ID=Brasy2G108900.18.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEACVSLIHGTLCRRL* >Brasy2G108900.5.p pacid=40066678 transcript=Brasy2G108900.5 locus=Brasy2G108900 ID=Brasy2G108900.5.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.6.p pacid=40066679 transcript=Brasy2G108900.6 locus=Brasy2G108900 ID=Brasy2G108900.6.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.7.p pacid=40066680 transcript=Brasy2G108900.7 locus=Brasy2G108900 ID=Brasy2G108900.7.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.8.p pacid=40066681 transcript=Brasy2G108900.8 locus=Brasy2G108900 ID=Brasy2G108900.8.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.9.p pacid=40066682 transcript=Brasy2G108900.9 locus=Brasy2G108900 ID=Brasy2G108900.9.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.10.p pacid=40066683 transcript=Brasy2G108900.10 locus=Brasy2G108900 ID=Brasy2G108900.10.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.11.p pacid=40066684 transcript=Brasy2G108900.11 locus=Brasy2G108900 ID=Brasy2G108900.11.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.12.p pacid=40066685 transcript=Brasy2G108900.12 locus=Brasy2G108900 ID=Brasy2G108900.12.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.19.p pacid=40066686 transcript=Brasy2G108900.19 locus=Brasy2G108900 ID=Brasy2G108900.19.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAFDYQHVEQALRKCISLYNEACVSLIHGTLCRRL* >Brasy2G108900.13.p pacid=40066687 transcript=Brasy2G108900.13 locus=Brasy2G108900 ID=Brasy2G108900.13.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.14.p pacid=40066688 transcript=Brasy2G108900.14 locus=Brasy2G108900 ID=Brasy2G108900.14.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.15.p pacid=40066689 transcript=Brasy2G108900.15 locus=Brasy2G108900 ID=Brasy2G108900.15.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.16.p pacid=40066690 transcript=Brasy2G108900.16 locus=Brasy2G108900 ID=Brasy2G108900.16.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.17.p pacid=40066691 transcript=Brasy2G108900.17 locus=Brasy2G108900 ID=Brasy2G108900.17.v1.1 annot-version=v1.1 MSQRRLLLLLRNVRLAAASSSRSFHLPAAASISGSSDGNSSYSFNRRLGSTYGAILIGQAAFFLGLGNNCVLAQDDSAAPASTISEKADANVTSLRRIEDGSVISNEHTVKWRIFTDKARDFFLKGELDEAEKFFKAALHEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYVEAIEILEQSFGPDDIRVGAALRNLGQYYHIQRRFDQAQTCYERALKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.20.p pacid=40066692 transcript=Brasy2G108900.20 locus=Brasy2G108900 ID=Brasy2G108900.20.v1.1 annot-version=v1.1 MGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAKWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G108900.21.p pacid=40066693 transcript=Brasy2G108900.21 locus=Brasy2G108900 ID=Brasy2G108900.21.v1.1 annot-version=v1.1 MGLGHPDYANTMYLLAKVLSQQRKGKDAEALMRESIRILEEAGLGESPTCIQRMRFLSMELVKLGRLAEAENLERKILHSLELSKGWDSLDTTISAETLSVTLQTMGKLKESEELLERCLSVRKKILSEDHFQVAGILVHLARLTLLKIISDIKVNNDLSRSHLVKARQLVNDSIRITEAILNPLRKDQKKLNSGFAIERERIAATAVLLQALEVVGLIEAARRIQAPAWVLLLRLPLEHMKHGYFKIDVVRLSDTCRLFIWPDKHLLGVQCTTLRIYYKNKFEKFDYQHVEQALRKCISLYNEPHTRNIVSKAVRQHYVRCLSSLILLIQRDPLDASQLQDLLGESQQIMKELKEENNMK* >Brasy2G422200.1.p pacid=40066694 transcript=Brasy2G422200.1 locus=Brasy2G422200 ID=Brasy2G422200.1.v1.1 annot-version=v1.1 MLNSADELVHAKKSKTSGPLPSRQSLQLQHVIDENEKLKVANENLEKKQELHDQKHEMYERLILELFKDMKKDPPAWLGTNLLPTPQGTPVSSRVVSQGDHSNIGGGLEGLDAGLEMANMDGEEGNHDLVDEHTDGNNNSNRSCGRTNGSNNPVPSPDK* >Brasy2G333300.1.p pacid=40066695 transcript=Brasy2G333300.1 locus=Brasy2G333300 ID=Brasy2G333300.1.v1.1 annot-version=v1.1 MEGASPPPLPSSCFLSARGGGGGGGSARSREMEGVEESNREAVQSCHKVLGLLSNPHGQLVPYKDLMEATGDAVSKFGSLASKITNGGGLHGHARFRKKIKKPMRMFDSNLFLESPVVAAAEAAAKTSNPIPNTSLQLFPRYQQMEGSSSKDPVRIPAQFPQRLLLENPVVHSNGPASGRPIQLVQPVSVAPPAGTPTPALPAAHLHFIQQQQSYQRFQLMHQMNLQSEMMKRGGLGDRGGSTSGGKGVNLKFDSSNGTASSSRSFLSSLSMDGSIASLDGSRSSHPFQLVSGSQTSSTPELGNMQRRRCAGKEDGSGRCVTGSRCHCAKKRKLRIRRSIKVPAISDKVADIPGDEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLVVTYEGDHNHNRAVPQPA* >Brasy2G232700.1.p pacid=40066696 transcript=Brasy2G232700.1 locus=Brasy2G232700 ID=Brasy2G232700.1.v1.1 annot-version=v1.1 MKLLSIPSSAGIRPVCWFRYMCRYLSIVRFPREDGIVPFRPVRCKYSSCRPRICPSSSGMGPDRLLPERPKVLRFVRFPRVEGIWPERIFKLKSIYLMS* >Brasy2G078600.1.p pacid=40066697 transcript=Brasy2G078600.1 locus=Brasy2G078600 ID=Brasy2G078600.1.v1.1 annot-version=v1.1 MSFTTGLQVRALCSICVSFSCLFFTHMYAHVLTAALEPDQHGVERWSLISTGVPGRMSKSCRLRWFNQLCPAVDRRPFTTEEHTLIANAESLCGNKCASASAQNNLQVWRKGKAVVIGEQRAAAGQAAARGQAGTDGAGGKRGVDHGGDAGDGEREEVELQAAQLASSVFMAAFAGAAGRL* >Brasy2G177400.1.p pacid=40066698 transcript=Brasy2G177400.1 locus=Brasy2G177400 ID=Brasy2G177400.1.v1.1 annot-version=v1.1 MASPESSAAAAGAGGDAPSQSAVVEPIRMPTAEEINGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALDNPIMAEEMTARQQIVYTAKQMGRRSMSNAKTFAVMGVIFSAAECVVEKARAKHDTTNTAVAGCVTGGALAVKGGPQAACVGCAGFAAFSVLIEKFFDRHT* >Brasy2G177400.2.p pacid=40066699 transcript=Brasy2G177400.2 locus=Brasy2G177400 ID=Brasy2G177400.2.v1.1 annot-version=v1.1 MASPESSAAAAGAGGDAPSQSAVVEPIRMPTAEEINGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALDNPIMAEEMTARQQIVYTAKQMGRRSMSNAKTFAVMGVIFSAAECVVEKARAKHDTTNTAVAGCVTGGALAVKGYIDWRCLGWNEVDPWMGVF* >Brasy2G428300.1.p pacid=40066700 transcript=Brasy2G428300.1 locus=Brasy2G428300 ID=Brasy2G428300.1.v1.1 annot-version=v1.1 MASRRTTSGTFFFLLLLLAVAAAVKEATVDINSVCSKTSHPDLCTTTLSAIPETKAADARGLAEIAIRATSRVGATAGAYARKELDVVKDNALWQCLDECAEDIEDAVSHLDDSEGQIDDKKYDLVARYLDAAEKDLWSCDESCRDTPASAVRTTVLAKNTDFERMMAVTNELIKMTGKAAAAAAAAAGGPSSEPAASAPEPAAAAVP* >Brasy2G160700.1.p pacid=40066701 transcript=Brasy2G160700.1 locus=Brasy2G160700 ID=Brasy2G160700.1.v1.1 annot-version=v1.1 MLSYTAHAKFEIDSLHNGIDFYGSISRARFEALNMDLFRQCIEHVEQCLSDAKMDKSRIHDVVLVGGSSRIPKVQNLLQDFFNGKNLCKSISPDEAVAYGAAVQAAILRGECSQKVQDLLLLDVAPLSLGVGIVGGFMSVVIPRNTTIPVKMVGVFTTSCDYQTVVVIAVYEGEGSMTQHNNLLGQFVLTDIPSAPRGEAKINVTFEIELNGILRVWAEDMMTGNKNSITIATNKGGLTKEEIERMVRDAEKY* >Brasy2G197500.1.p pacid=40066702 transcript=Brasy2G197500.1 locus=Brasy2G197500 ID=Brasy2G197500.1.v1.1 annot-version=v1.1 MSEVSVINQAEVEDSAAGLNLPPGFRFHPTDEEIISHYLTPKALDDRFSSGVIGEVDLNKCEPWHLPGQAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRAVLVGMKKTLVFYRGRAPRGQKTGWVMHEFRLEGKLPHPLPRSAKDEWAVSKVFNKELLTTPSNGATMAAGEAEMERVNSFGFISDFLDSAELPPLMDPSFGADVDEVIDFKGPASTSGYGAAGDAAGAHSAPGQGMGYQLQVKMEDPLQLQYQHQQQPQHMMYSSPYFSLPAVNSGDMSPAIRRYCKAEQVSGQTSVLSPSRETGLSTDPNCTEISSAVTPAASQQFLDHLDEYPALNLADIWKY* >Brasy2G094800.1.p pacid=40066703 transcript=Brasy2G094800.1 locus=Brasy2G094800 ID=Brasy2G094800.1.v1.1 annot-version=v1.1 MSWLRRCCFGSGGGVGAGASGSVADDGLVWDVALKAHASGDYSVAVAQANEALEDQAQVLVSPASTLVGVYDGHGGPEAARFVNARLFSLIQEFASENGGLSAEVIKKAFGATEEEFLGMVAKSWPSQPRLMSVGSCCLVGAIEDGTLYVANLGDSRAVLGRRATAAAPGKGKGNKNHKKRVVAERLSRDHNVADESVRREVAEMHPDDSTIVLNSHGVWRIKGIIQVSRSIGDAYLKKKPDHNNASSSNNNSGGGNPAGLVMMQYICPFPLPRPVMSAVPSITTRKLRPGDAFVIFASDGLWEQLSDEAAVGIVSRSPRRGVAMRLVRAAQLEAARKKDIKYESIAAIEKGRRRRFHDDITVVVLFLDDRGDSCRSASSSGAAEGIDGTFAPVDVFSLGPDDQRDDPTRPVLR* >Brasy2G478600.1.p pacid=40066704 transcript=Brasy2G478600.1 locus=Brasy2G478600 ID=Brasy2G478600.1.v1.1 annot-version=v1.1 MDGPWWPPPQPPPQPSPPLAPPLPTQMDSTNLRYNTRPFAAPQLQEAAAVADGRTAGMPDQLLSNLWSSQFGGQSAKAAPSSNALLAQVPGNHCNHPHVSHVPDLKALLHNPNASNAAGTIDLTRAYSSLGSAAALPKYPSHGLSASSGVEQSSLGALFLNKSSNARGNLPGEGSTIDGMSHGAMQFQDSTVHTMQKLPSKSIPRHHHALLMDRMRVSCLNVGGEFFVGESGIFGVLCSCHQLRMSVAKFCEHAGGPAEKAGEIVLMNGMSIAQWFKYCAGVEASVTDTKWDWPEWVFMDYSPEGYMMKSLLTRNTSMEKIGLFSAYGNITGPITGAVYSNDFHNEGRECTTVEKLVNKQHETYYKKSADVHTTFTKNYTLLQNSEKNLGLDKNHTGNAASINPVSRPSGSVYITEKAGGSYKGDHLSHNYAGLLKKNFDASFRNPTPRSTGVLSYDSMACRSYFPNKILQNSLGSSSNTELKLGQSSYDQSMTSLFPSVQSTTIDFQKPQSHLPSITQNPCPRQTTKVNKSIGEHTEPPLSTRTKKQSIEVANGINRSEGDELTDDRAKGSFISLFLSHLERNSEAINDILNINEHNRPKALDGAYSSNHSKITSGQVDSKANEKHSKLASTIIHTKRRSDGSSLSVAPSGHVSKVVPLANSQGPLIHSDCRSHFLPRQPNAGISQICARVSCPANCRSCNHISEISHEVEYAETGAPCLYDKMAREHGSFECVDDLHTHRSLRVDKIICQHGNSCSSSRELLPSFDRNDQPTLGKSIYQCCCKVQGVVSRHGYRAGHLCQTHFSNNGAPIHKPTVEVLNELCTCSTFIPRSSLCSREHMLQSSCHECPFDGFHCRSSMEHATSSLTKCPLLDAPNKHESGPRWDGKCCYCLVPKCLAGCGFTKHCEVRVDQSDSTVQKCKHDLQLSATCCTLGESEKSRCQCSNNAQTCLLEDACNKITNQPHVPIMERLKNVSEESVSNGIWPYRAVTEKKVSPRGFGVCKEQLKPVLSSGSSSAMVTKFSASPEFSNISSCMAKFGVERKKLMFDEGSRTEKFSSSSYAPTSTGCESEKVLDSSSGFHLGASKMKRKCNQISDRSTLKENEKAEQCFETRKKSRRLKCSAEHSESDDCTRKITLQSSQNKDSQPQNEANSNSCRVSKIKRKHSTMQQNKPVKRRHSHHNTLEGAEQLDNEAMVGELNSSDEKKKVEDMITLDRTKYQQEGNQVFVRKLPKYVSLNCISNEHNWNDACDGGLRVESSLMATGMTNDNRRFPKIVPLNLILKRAKRCHVVKPPLKTQNIHFREEKSAVCSVVKYSFVNRNYSPQDEEGIQGSRRNRYSSNALKPHPEPDCKRPCNGVKNRRSGVSLNRIKRCEESAIVSACSPCEVNVGRSKERLSSDDSCCVCGSPCLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTKTNTEDMVCVLCGYGGGAMTRALNAQRVLRSLLKGLRVTAQSDKDGRHNPVSRSTSLDTVSEVDKQKSIDSAHEENTVSSSWTGNHNSSLLGPQTMQWIHVVCGLWTPGTKCPKSTTMSAFDISGALPAKENSACSVCNRTGGSFMMCRDISCSVMFHSWCAHQRGLLQSEPEGELNENIGFYGRCLNHATLEYSDHVNPEKEFLRSNDWTCARTEGFKGRKGEGWSGSNYNKPQESYSECSVSQEQINAWLRINGSKPCIRGQQLKGQKHLVVYKSGIHGLGLYTSEFIPRGSMVIEYVGEIVGQRVADKREIEYHSGKRQQYKSVCYFFKIDREHIIDATQKGGVARFINHSCQPNCVAKIISVRNEKKVVFFSERQINPGEEITYDYHFTQEDEGQRIPCFCRSRSCRRYLN* >Brasy2G478600.2.p pacid=40066705 transcript=Brasy2G478600.2 locus=Brasy2G478600 ID=Brasy2G478600.2.v1.1 annot-version=v1.1 MDGPWWPPPQPPPQPSPPLAPPLPTQMDSTNLRYNTRPFAAPQLQEAAAVADGRTAGMPDQLLSNLWSSQFGGQSAKAAPSSNALLAQVPGNHCNHPHVSHVPDLKALLHNPNASNAAGTIDLTRAYSSLGSAAALPKYPSHGLSASSGVEQSSLGALFLNKSSNARGNLPGEGSTIDGMSHGAMQFQDSTVHTMQKLPSKSIPRHHHALLMDRMRVSCLNVGGEFFVGESGIFGVLCSCHQLRMSVAKFCEHAGGPAEKAGEIVLMNGMSIAQWFKYCAGVEASVTDTKWDWPEWVFMDYSPEGYMMKSLLTRNTSMEKIGLFSAYGNITGPITGAVYSNDFHNEGRECTTVEKLVNKQHETYYKKSADVHTTFTKNYTLLQNSEKNLGLDKNHTGNAASINPVSRPSGSVYITEKAGGSYKGDHLSHNYAGLLKKNFDASFRNPTPRSTGVLSYDSMACRSYFPNKILQNSLGSSSNTELKLGQSSYDQSMTSLFPSVQSTTIDFQKPQSHLPSITQNPCPRQTTKVNKSIGEHTEPPLSTRTKKQSIEVANGINRSEGDELTDDRAKGSFISLFLSHLERNSEAINDILNINEHNRPKALDGAYSSNHSKITSGQVDSKANEKHSKLASTIIHTKRRSDGSSLSVAPSGHVSKVVPLANSQGPLIHSDCRSHFLPRQPNAGISQICARVSCPANCRSCNHISEISHEVEYAETGAPCLYDKMAREHGSFECVDDLHTHRSLRVDKIICQHGNSCSSSRELLPSFDRNDQPTLGKSIYQCCCKVQGVVSRHGYRAGHLCQTHFSNNGAPIHKPTVEVLNELCTCSTFIPRSSLCSREHMLQSSCHECPFDGFHCRSSMEHATSSLTKCPLLDAPNKHESGPRWDGKCCYCLVPKCLAGCGFTKHCEVRVDQSDSTVQKCKHDLQLSATCCTLGESEKSRCQCSNNAQTCLLEDACNKITNQPHVPIMERLKNVSEESVSNGIWPYRAVTEKKVSPRGFGVCKEQLKPVLSSGSSSAMVTKFSASPEFSNISSCMAKFGVERKKLMFDEGSRTEKFSSSSYAPTSTGCESEKVLDSSSGFHLGASKMKRKCNQISDRSTLKENEKAEQCFETRKKSRRLKCSAEHSESDDCTRKITLQSSQNKDSQPQNEANSNSCRVSKIKRKHSTMQQNKPVKRRHSHHNTLEGAEQLDNEAMVGELNSSDEKKKVEDMITLDRTKYQQEGNQVFVRKLPKYVSLNCISNEHNWNDACDGGLRVESSLMATGMTNDNRRFPKIVPLNLILKRAKRCHVVKPPLKTQNIHFREEKSAVCSVVKYSFVNRNYSPQDEEGIQGSRRNRYSSNALKPHPEPDCKRPCNGVKNRRSGVSLNRIKRCEESAIVSACSPCEVNVGRSKERLSSDDSCCVCGSPCLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTKTNTEDMVCVLCGYGGGAMTRALNAQRVLRSLLKGLRVTAQSDKDGRHNPVSRSTSLDTVSEVDKQKSIDSAHEENTVSSSWTGNHNSSLLGPQTMQWIHVVCGLWTPGTKCPKSTTMSAFDISGALPAKENSACSVCNRTGGSFMMCRDISCSVMFHSWCAHQRGLLQSEPEGELNENIGFYGRCLNHATLEYSDHVNPEKEFLRSNDWTCARTEGFKGRKGEGWSGSNYNKPQESYSECSVSQEQINAWLRINGSKPCIRGQLKGQKHLVVYKSGIHGLGLYTSEFIPRGSMVIEYVGEIVGQRVADKREIEYHSGKRQQYKSVCYFFKIDREHIIDATQKGGVARFINHSCQPNCVAKIISVRNEKKVVFFSERQINPGEEITYDYHFTQEDEGQRIPCFCRSRSCRRYLN* >Brasy2G478600.3.p pacid=40066706 transcript=Brasy2G478600.3 locus=Brasy2G478600 ID=Brasy2G478600.3.v1.1 annot-version=v1.1 MDGPWWPPPQPPPQPSPPLAPPLPTQMDSTNLRYNTRPFAAPQLQEAAAVADGRTAGMPDQLLSNLWSSQFGGQSAKAAPSSNALLAQVPGNHCNHPHVSHVPDLKALLHNPNASNAAGTIDLTRAYSSLGSAAALPKYPSHGLSASSGVEQSSLGALFLNKSSNARGNLPGEGSTIDGMSHGAMQFQDSTVHTMQKLPSKSIPRHHHALLMDRMRVSCLNVGGEFFVGESGIFGVLCSCHQLRMSVAKFCEHAGGPAEKAGEIVLMNGMSIAQWFKYCAGVEASVTDTKWDWPEWVFMDYSPEGYMMKSLLTRNTSMEKIGLFSAYGNITGPITGAVYSNDFHNEGRECTTVEKLVNKQHETYYKKSADVHTTFTKNYTLLQNSEKNLGLDKNHTGNAASINPVSRPSGSVYITEKAGGSYKGDHLSHNYAGLLKKNFDASFRNPTPRSTGVLSYDSMACRSYFPNKILQNSLGSSSNTELKLGQSSYDQSMTSLFPSVQSTTIDFQKPQSHLPSITQNPCPRQTTKVNKSIGEHTEPPLSTRTKKQSIEVANGINRSEGDELTDDRAKGSFISLFLSHLERNSEAINDILNINEHNRPKALDGAYSSNHSKITSGQVDSKANEKHSKLASTIIHTKRRSDGSSLSVAPSGHVSKVVPLANSQGPLIHSDCRSHFLPRQPNAGISQICARVSCPANCRSCNHISEISHEVEYAETGAPCLYDKMAREHGSFECVDDLHTHRSLRVDKIICQHGNSCSSSRELLPSFDRNDQPTLGKSIYQCCCKVQGVVSRHGYRAGHLCQTHFSNNGAPIHKPTVEVLNELCTCSTFIPRSSLCSREHMLQSSCHECPFDGFHCRSSMEHATSSLTKCPLLDAPNKHESGPRWDGKCCYCLVPKCLAGCGFTKHCEVRVDQSDSTVQKCKHDLQLSATCCTLGESEKSRCQCSNNAQTCLLEDACNKITNQPHVPIMERLKNVSEESVSNGIWPYRAVTEKKVSPRGFGVCKEQLKPVLSSGSSSAMVTKFSASPEFSNISSCMAKFGVERKKLMFDEGSRTEKFSSSSYAPTSTGCESEKVLDSSSGFHLGASKMKRKCNQISDRSTLKENEKAEQCFETRKKSRRLKCSAEHSESDDCTRKITLQSSQNKDSQPQNEANSNSCRVSKIKRKHSTMQQNKPVKRRHSHHNTLEGAEQLDNEAMVGELNSSDEKKKVEDMITLDRTKYQQEGNQVFVRKLPKYVSLNCISNEHNWNDACDGGLRVESSLMATGMTNDNRRFPKIVPLNLILKRAKRCHVVKPPLKTQNIHFREEKSAVCSVVKYSFVNRNYSPQDEEGIQGSRRNRYSSNALKPHPEPDCKRPCNGVKNRRSGVSLNRIKRCEESAIVSACSPCEVNVGRSKERLSSDDSCCVCGSPCLEPCNQLIQCSKCYIKVHQACYGVLKVPRGQWFCRPCKTKTNTEDMVSTE* >Brasy2G310200.1.p pacid=40066707 transcript=Brasy2G310200.1 locus=Brasy2G310200 ID=Brasy2G310200.1.v1.1 annot-version=v1.1 MLPVEMEEFTLPYHSSYALCFLVALLVYAAARRVVLINVTQPPRPRLQPPGPWQLPVIGSLHHLRRGLPHRAMRDLSLRHGPLMLLRVCERAVVVVSSAEALLSPRRVEAFRRIREEEASRLVSSLHQAAAAGGRLLVNIDERLGEFMTGAAVRAIFGDRLPDGAAFLKMVRQGADPSSLRGKAERHRQNMFRLMDNILESHEERRAARDGDDLYDMPRRYDMVDVLLRIKKDGGMRVSLTHGVISAVLTDVFGAALDTTTTTVQWAMSELMANPRVMQKAQLETRRTFTRQDKCLQACKIQGYDVPTGVIVVTNIWAISRDPKYWDEPEKFMPERFEGDNGNVVDFKGADFEFTPFGVGRRICPGIGFAYANVEIALALRLANLLYHFDWELPVGVAPQEINMTEVFGVTVSRKTELYLCPIPHATL* >Brasy2G310200.2.p pacid=40066708 transcript=Brasy2G310200.2 locus=Brasy2G310200 ID=Brasy2G310200.2.v1.1 annot-version=v1.1 MLPVEMEEFTLPYHSSYALCFLVALLVYAAARRVVLINVTQPPRPRLQPPGPWQLPVIGSLHHLRRGLPHRAMRDLSLRHGPLMLLRVCERAVVVVSSAEALLSPRRVEAFRRIREEEASRLVSSLHQAAAAGGRLLVNIDERLGEFMTGAAVRAIFGDRLPDGAAFLKMVRQGADPSSLRGKAERHRQNMFRLMDNILESHEERRAARDGDDLYDMPRRYDMVDVLLRIKKDGGMRVSLTHGVISAVLTDVFGAALDTTTTTVQWAMSELMANPRVMQKAQLETRRTFTRQDKVHDRALNGLQYLKAIKETLRLHPQSLLLPSSQGSAFKPAKYKDTTCQQG* >Brasy2G044500.1.p pacid=40066709 transcript=Brasy2G044500.1 locus=Brasy2G044500 ID=Brasy2G044500.1.v1.1 annot-version=v1.1 MNSRIDQFLDPNPSTPLPDDDEDLRCGKMPRGADTWLDFSSAGDGGSHGALHWSNYHGGSKEPMQMAPAPLFVCGAAAAADAKIAEGAATNGCRSAPSAVARERRKRISEKTAEVSRLVPGGRRLNTAEMLREAGRHVRLLQAQVGVLALMRTIHQRQGSKEEMRQLSMAPEERIMHALLASGGVQQRLAAEGKCLVARKLVDAIAEDTAVKSNAALSRDLSRFVDSLPVPDP* >Brasy2G376600.1.p pacid=40066710 transcript=Brasy2G376600.1 locus=Brasy2G376600 ID=Brasy2G376600.1.v1.1 annot-version=v1.1 MGDNLMEKVNALGERLKITGSEVSKQMAAGMSSMSFKMKELFQAPTPADKIVEDATAENLEGPDWSANLEICDLINTEKVNSVDLIRGIKKRIVLKEARVQFLSLFLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVLFPGRDNESLVPIFTPPRSVAEAEAEADASFSQQTFEDVHVHTYTAEETKEAFDVARNSMELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRFVETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPMISEHAEQPVVIPIATEHEDSATVGNEDALVRKPAGSRAMSGGDDDILDDLDEMIFGKKGGSSSQEGPKRQDPKKDDLINF* >Brasy2G373600.1.p pacid=40066711 transcript=Brasy2G373600.1 locus=Brasy2G373600 ID=Brasy2G373600.1.v1.1 annot-version=v1.1 MALVSRCQIWRISMSNSRFLSWGLSRPGLTSPPSPLRCVHITDRSEHRKLPRLSLLSLDSSLNPNPPLRPPPISPPISTPAPAGSSGSSEPSVDPTSARSRLGA* >Brasy2G034600.1.p pacid=40066712 transcript=Brasy2G034600.1 locus=Brasy2G034600 ID=Brasy2G034600.1.v1.1 annot-version=v1.1 MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDESECEIDNGLQDAYALCRIFKKTAPGPKIIEHYGAVQYHAEQPQWAASCSVERSPTLDVSCDGRGGGDEFETSSFSFPTEAAPMASGSMHGSCGFGMPMMSGGAPQEDGRWMQFLSEDAFNATNPFFMNPASASNFSCFPSKVDVALECARLQYRLDLPPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVASASQELINGSSSSYTETWLGGAGTSSAGNHYMNELSSLVDLGATKAKEEADNFYQLCSIGASMTSHDEPARLVEISDIEEFKEEKRQVENLRGVKLVNNDLGEIVVEGDEGNQTEVITHYPIKDAAGTSGGSGHHLTDATDAVSIDDTAPIFSQSQPDDFAIIAAAGFHDEEGENASLDLYDKQVVDVQHGLFVSRVGAAETFFHRVEPPNKVSFHLNPAATSSVGVGKALFEKIRCSYHYLPVTSKVSGSNKVSSIFGKVKALVRDKFMARKPALSLHRRRSEETATVSELLQIVSLLLAAAPSNKEATEQGEQVVRKKAKELVMMKPPVWGCDHWCGGNPWLPKRNNNKGISGMFLSGKWAFLTSALLAIRAPAGSCN* >Brasy2G063000.1.p pacid=40066713 transcript=Brasy2G063000.1 locus=Brasy2G063000 ID=Brasy2G063000.1.v1.1 annot-version=v1.1 MSMTGFGSPCGACKFLRRKCARGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRAEAAVTVSYEAQARLRDPVYGCVAHIFALQQQVMTLQAQLASFKQQAHAQQAASAMAQEDASYAYPWCNGADGAAGGFGGGGNGVYGGNNGVAGAGHDDSGMSMSAMLLAGSAAASDYYYAALEQDGDVAAVAAESSAFGAEESGGWRSSSSTSGYQDCEDLQSVAYAYLNRS* >Brasy2G049500.1.p pacid=40066714 transcript=Brasy2G049500.1 locus=Brasy2G049500 ID=Brasy2G049500.1.v1.1 annot-version=v1.1 MAAVVSSPAACPKPPLQDSGADPVVSSPAACPKPPLQDSGAVPVDTTSSAPWVTKLLTDYLIPRVRSDQFVPVSFIHECDVYGADPAALTSRYEACCSIDGRPKSWYFFSPLPPMNGKKRKRTVQNSDGWWQSDHARHKVVLRGGETESVIGYRQRLAFSTKDARGGIDRSGWLLHELTVDRDDAAVSLCWVYRRPRRKGDAPAREGEEDAKRLKVLQQQQPIPGMYVQGQAKQIQGQQQMVHGQLEQLQIPGIFQQGTAPVQGQPQGQKLQQQQQNQQGQLGQLQQQQQQGQFIQQAQLGQFQLNQQGYFQLNQQGHQFDIAPFQQQQQLQPHGQQQNQFNQGEGQHVQGIPIQQQPAKRQGGQAQRQAGQQRQHEGQAIDPRYKDLVCYNCGEPGHHVGVCSKQKICFMCNIPGHHMDNCALWGADMPTPEYVGSASHGLGFFHIKVSDQPATQWLNLGNCGVILVTHGNISLEELESRMAETWDQNWPWQIRLLDEKKFLVRFPPHKKVSGLVDLPSINLKEGLDSERITVKIMAWDGDLPDVGDLIEVWVQIRGIPPKCLSWEVITQIGKFFGLLMDVDWPCIFKSLYEVVRIKVAVKNPLKIPTERRIVIKKKFYRLQFKVELQGVDLSDLVEEECEGTGKDIDKGEDDLLDDKIADLEVGREKEKVPKKGGIGSLVEEEGG* >Brasy2G413000.1.p pacid=40066715 transcript=Brasy2G413000.1 locus=Brasy2G413000 ID=Brasy2G413000.1.v1.1 annot-version=v1.1 MSVCQLRIENVILIILQLFFAGFIVICLDELLLEVRISSGRCLAPQPSAVVCSICCGWDSIAPLLILELILSALHEASYHHNPPNVTNLPGQQVHTQLSCFTCRICPSFYTTNLGFISQDVPWNFLVNLLGKGRDLSTLAILFLLVLLLIKFG* >Brasy2G286100.1.p pacid=40066716 transcript=Brasy2G286100.1 locus=Brasy2G286100 ID=Brasy2G286100.1.v1.1 annot-version=v1.1 MFNKIIKRGNRKGTRSEGAEPAARPAAPSSSSGGAGAAAPVTVNHASRASAPWPSSPTSPHVAPSAFPAVPPSHTSPPLLEPLPLLRDVAAADRPGLLLRKLRLVAALFDFSDSLKHPREKEAKRQALLELVDYVQAPAAAANANAPARLPDNVQEALIAAISVNIFRPLPPALYESAAAIDPGATPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKIAKRYVDHAFVLRLLDHFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETERHNGIGELLEILGSIINGFALPMKEEHKLFLTRALIPLHKPKSVGIYHQQLSYCIVQFVEKDYKLADAVIRGLLKYWPVINCQKEVLFLGELEEVLEATQPTEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYIEKQAKAKELEEQRESAWRQLEAVAAKAAGDDMVLVN* >Brasy2G227700.1.p pacid=40066717 transcript=Brasy2G227700.1 locus=Brasy2G227700 ID=Brasy2G227700.1.v1.1 annot-version=v1.1 MDLKGAKKFALEAQALLPGIEGIDQMITTFDICLASEVKIAGEKDWYSVLSVDSSADDKTIRKQYIKLLLQIHPDKNKSVGALDAFLIVRDAFTVLSDKTKRELYDRKRKLGISRQKKSQSNKASGAPGAVHQTFEEVKRKREEEQAATGRENAVQKKHNPLKKQSNMSHSVNLGTSDVACGKKMRSVGKDAGDNSFRMPGGCVPFSTSSGSSQFQHVYGGSNRKQSAPTHISKIFSSAEMRRIMIDKTKNDLTEKLKEIKKDGPSSYIVPDPHFHDFDKDRTEGSFQSDQIWALYDEEDGMPRYYALIREPISSSPFMIKISFLTSRANTEFGSLNWVSSGFKKTCGDFRIGRCETRDVFNIFSHQIKWEKGPRGVIKIYPRKGDIWAVYRNWSPDWNGVTPDNVIRVYDLAEVLTDYDHDCSITVLPLIKIHGYRTIFQRHQDLNVIKRIPKDEMFRFSHQVPFVRMSGEEATNVPKDSYEVDPAAISEELLQEITKTVEEGKDMLGEEAPNTPKCSYEGIIEPVEEKVKTV* >Brasy2G036700.1.p pacid=40066718 transcript=Brasy2G036700.1 locus=Brasy2G036700 ID=Brasy2G036700.1.v1.1 annot-version=v1.1 MEEEKEPPSPAAARRVVGEYELLEMVGKGTFAEVYLAAHLPTGARVAVKEIDRRRLDDNVRRGILQEKSILGGLSHPNILRLIHTIETEDKLFLILEYCDGGDLEAYRKTHGVRNRLPEATARDFARQLAEGLKVLRGERIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLMHENLAATFCGTPYYMAPEIWRGDKYDAKADLWSVGVILFQLVTGELPFLGDNRPELRENVLTSSGLSFPPDIEADLHPDFIGLCRRLICLDPAKRMSFEEFFDHKFLATTRNSEMISDPHLALALTDTCQTVSSAVIKVKSESVDSKVFDSWEWIEREYVLVHANTTSVEILSSLEKPIKDVTAARSRGYDRSTSKGSVQNQNRDSLCRVVAAKSHGGTPLSISRELSTMEDLRGKPLDCYARLHLLNQYIAVLTELAQEKLFKGLDLEALSLELVILAIWKEALNAYSLLMDDSDDRSASTFAYENFLPKSDNRLSPSLAQGLDFTRPASVRYWAESGFIRAYDRAENISHRLRENNDNTEMPDAMEIIFQTALVYGESGAAKELLGCQNRSMALYSKAIILLTFILQEASALPLNPLFSLSPFNQQRIHRYIANLRSHLCSAQLSGHQHRSIKN* >Brasy2G036700.2.p pacid=40066719 transcript=Brasy2G036700.2 locus=Brasy2G036700 ID=Brasy2G036700.2.v1.1 annot-version=v1.1 MEEEKEPPSPAAARRVVGEYELLEMVGKGTFAEVYLAAHLPTGARVAVKEIDRRRLDDNVRRGILQEKSILGGLSHPNILRLIHTIETEDKLFLILEYCDGGDLEAYRKTHGVRNRLPEATARDFARQLAEGLKVLRGERIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLMHENLAATFCGTPYYMAPEIWRGDKYDAKADLWSVGVILFQLVTGELPFLGDNRPELRENVLTSSGLSFPPDIEADLHPDFIGLCRRLICLDPAKRMSFEEFFDHKFLATTRNSEMISDPHLALALTDTCQTVSSAVIKVKSESVDSKVFDSWEWIEREYVLVHANTTSVEILSSLEKPIKDVTAARSRGYDRSTSKGSVQNQNRDSLCRVVAAKSHGGTPLSISRELSTMEDLRGKPLDCYARLHLLNQYIAVLTELAQEKLFKGLDLEALSLELVILAIWKEALNAYSLLMDDSDDRSASTFAYENFLPKSDNRLSPSLAQGLDFTRPASVRYWAESGFIRAYDRAENISHRLRENNDNTEMPDAMEIIFQTALVYGESGAAKELLGCQNRSMALYSKAIILLTFILQEASALPLNPLFSLSPFNQQRIHRYIANLRSHLCSAQLSGHQHRSIKN* >Brasy2G223400.1.p pacid=40066720 transcript=Brasy2G223400.1 locus=Brasy2G223400 ID=Brasy2G223400.1.v1.1 annot-version=v1.1 MFNDQDLGFFTNFLGVFIFVLVTAYHFVMADPKYEAN* >Brasy2G276600.1.p pacid=40066721 transcript=Brasy2G276600.1 locus=Brasy2G276600 ID=Brasy2G276600.1.v1.1 annot-version=v1.1 MTTEVAQLQDWIAVGSLLDGISTSVKKWHPRYSFLAIDDAARKLMELTNPALLQDIKKETVRRPFFWEPQKRVNFWVHDIPKALGINAFVAKIYNYPNWRLPWSTRTNPQLLKAMNNYRKEKAEKERESLENQNEQPEEKDTDYNVNDPQQYVKCISGAYSHAEELHVTI* >Brasy2G224900.1.p pacid=40066722 transcript=Brasy2G224900.1 locus=Brasy2G224900 ID=Brasy2G224900.1.v1.1 annot-version=v1.1 MPPVVAVPSAAALRRPQPFRFLHPPRNLNLAIIRCAPSPSSPAEAQPVKPKPRRYPKQFPGEAVGVAEEMRFVAMRLRNPKRTTLKEKTVAEGATEEAESNGEEEPNHEVEEEEGNHEIEEHDEEEVEGGWVPSMEGFVSYLVDSKLVFDTVERIIAGSTDVAYVYFRKSGLERSASIEKDLEWFREQGIEIPEPSTSGSTYAAYLSELAGSSAPAFLSHYYNIYFSHTTGGVAIGNKICNKILEGRVLEFYKWDTDAELLLKDAREKLNELSKHWSRKDRNLCLKETAKCFQYMGRIVRLIIS* >Brasy2G108200.1.p pacid=40066723 transcript=Brasy2G108200.1 locus=Brasy2G108200 ID=Brasy2G108200.1.v1.1 annot-version=v1.1 MAAMPGLARVALAVLVLFSALPASLSDDLNSDAQALQGLRSAVGRSALPSWNSSTPTCQWDGVSCESGRVVELRLPGAGLIGTLPSGVLGNLTALRTLSLRYNALTGPIPDDLSRATELRALYLQHNGFSGEVPASLFTLKNLVRLDIAENKFSGEISPDFNKLNRLGSLLLESNAFSGEIPKLDLPTLEQFNVSYNKLNGSIPTKLRKMPKDSFLGTTLCGGPLGLCPGETAPTPAGSPGSQPGAGGVADVAGSKKNKLSGGAIAGIAIGCVFGVLLLLALLFFLCRKRSSKARSTAAVEKGHDLGMAQLDAEPKGQNGSAAGNGVHAVAAAGAVPAAASAAAVAAAAAAAKSGGSTGGTKKLIYFGPMAVAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQSVEEEMVQLLQLAIDCSAQHPDRRPTMSDAAARIDEIRRSSSDRQATESAGGDREGDEPSL* >Brasy2G234800.1.p pacid=40066724 transcript=Brasy2G234800.1 locus=Brasy2G234800 ID=Brasy2G234800.1.v1.1 annot-version=v1.1 MHMERGVAAVLVLMSRLLLFLLLLARTTTAADGLNVTGDGPHRCGGEEIPYPFGIGEGSYRDQGFEIICQAGMPVLATTSNDTFIPIGNLSILNAEAVVMLRVRSQCYNTTTGEPTNNSYTDLEFSDKGVYRISDYGNYFYVLGCNTIGYLSTKEETKGNDTTGTDNSKFTGCLSYCANSESAEDGSCVGVGCCKADIPKDLVDNMLGFDSYNHTGKHNFSSCDYAFIAEKGSYEFRAADLDMGFGREMPVRLNWAIGRDGHHTCAQAYANDRDSGSEAYACISSDSICLDSPNGPGYICRCRDGYEGNPYIHGGCINIDECKLPDKYPCKATCKDSLNGYTCTCPKHSSSPNPFNQTCSPDFPIFAQGIVGSIAGLFIIAILVFLGILHKEKKKMEAFFKKNGGPTLAKVNNIKIFKMEDLKNILKVSNVIGKGGFGMVYKGIIGDSSQLVAVKRPINVNLADQEQFANEIIIQSRVIHRNIVNLIGCCLEVDVPILVYEFVPKGSLHDILHGSHRVPLDLNLRLQIAAESAEGLAYMHSKTAITILHGDVKPANILLDDNFVPKISDFGISRLIATDKQHTRNVIGDMSYMDPVYLQTGLLTNKSDVYSFGVVLLELITRKKASHSDNNSLLMNFLDAYKNNKSVIELLDKELEVVQDLELLDGLVGMIKQCLNLDVDQRPEMNDLVEQLRYMEKRCKRK* >Brasy2G345200.1.p pacid=40066725 transcript=Brasy2G345200.1 locus=Brasy2G345200 ID=Brasy2G345200.1.v1.1 annot-version=v1.1 MVRELRINTAPRGNRAPLLNHGETSRALSDLEEGSNVQAANVGFCRVIKLAKHDAGKLIFATVALLVASLSNLLVPKYGGKIIDIVSRDVQQPEDKAQALADVNGTIFYIVIIVITGSVCTALRAWLFNSASERVVARLRQDLFSHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNVTTTAIGLGFMFTTSWKLTLLSLVIVPVISVAVRRFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRAFAQEPHEISRYGEKVNETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRISSMTNSGDKCPQNEIDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVEISHQYLHRKVSIVSQEPVLFNCSIEENIAYGLESKASTADVENAAKMANAHDFICGFPEQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLDRDGIYTALVKRQLQLPKFEGASNGTAEVEPSSNGH* >Brasy2G258000.1.p pacid=40066726 transcript=Brasy2G258000.1 locus=Brasy2G258000 ID=Brasy2G258000.1.v1.1 annot-version=v1.1 MESGGVIAEAGWGSLDISSQAEESEMMEQLLGTFPSNGEENQQELPWSVHSSNAAYNYVHCYGSSNAYSSTSSNSVGSLILDLPSEYGSFYLSDSNGIGSALDLNMVQEQGAAQFMDAILNPSYGNGGSSCEDLGDSSMNLLDSIGASNKRNCQEQGKIADQTRGRKCSRKAESKRAKKVTHNEGEDGTIAATKGQSLSCCTSENDSNGSQESPVAANPSGKGRQSTTDPQSLYARKRRERINERLKVLQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGMNIGVDLNLSHN* >Brasy2G303900.1.p pacid=40066727 transcript=Brasy2G303900.1 locus=Brasy2G303900 ID=Brasy2G303900.1.v1.1 annot-version=v1.1 MGIEDSPFGFWTPALLRLLVIHCTFHLRTHLVLQLLLARWLVAFVVLSQLSACLYLKHMDCRELILCTTLPFSLLLLTGSIMSNNETTH* >Brasy2G470400.1.p pacid=40066728 transcript=Brasy2G470400.1 locus=Brasy2G470400 ID=Brasy2G470400.1.v1.1 annot-version=v1.1 MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATNVEGCSEDAVQEVKRSRVKEMEMKLLEKQQQLNTELNSSWLGSFISTVIGNIKLSIGNIHIRYEDIESNPGHPFAAGLVLSKLSAVTVDDHGKETFATGGDLDRVKKSVELESLALYFDSDSSPWSVDKPWEDLLPSEWSQVFEFRKQDSSSTASKTHTYILRPISGKAKYTKVQVDEAKRSGQALQNAAVDLDDVTLSLSRDGYRDILKMADNFSSFNQRLRYAHYRPSLPVKSDPKAWWKYAYKVVTHEMKKASGNLSWEQLLRNARLRKTYVSLYASLLKSDMSRLVVDDNKEINSLDRELDMEVILQWRMLAHKFVEQSAETYQHAQQNKQSWWSFGWTGSSKDDGDSKSFSDEDWERLNRIIGYKENTEYIPDQQDMKLMQFYFEIRMKHNASRLIIDGSECLADLSCEDFSCNLKMYPEAKVFDLKLGSYKLLSPHGLLAESASVVDSLVGVFAYKPFDEQLDWSFTARASPCYITYLKDSIDQIVAFFKSSPTISQTLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNLDIAAPKITVPTKFRPDDVHETKLLLDLGNLILRTEEIWDSYTSEEQDMYLNFNLVLSDVSAFLVDGDYHWNETSKEVNLLPVIDKCGIALKLQQIQIESSLYPSTRMAVRVPSLGFHFSPARYHRLMEILKIFQDSDSENNSSNLAHLWDQADFEGWSSLLTWKGVGNREAAWQRRYLRLVGPFLYVFENPESTTYKQWSSLSGKQIHQVPTEHTNGVQNILALHDSGHVNPKILEDTGALILLFDSEETRKIWQNRLQGAIYRASGSVAVSNFPEAAFPSEAHSFKGVSDVVNIEKLFLAGILDELKICFSCGHETNHRLKKVLLAKESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYFYPGSPVPRYLARSFINSMQTKEVPSPARKSSSGTKGSPLKKNDSEESFFEASDDFDEFETPKLRERSISDYFSTQNILPTGLPALQPPAFSRIPGLLPDSEIKMVGFTSEGSGTFDSFVKAQIVIYDQQSLQYENLDTRVVVSVATLTFFCHRPTVLAIMEFMNAINLANVPDENRNTDDTKSDNMVEDPKSDVESEPVVKRLLSKGKNRVVFHLTSSMAEAQVLLMKENGDLLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRTNHPYFWVCDMRNPGGSFVEIDFTSYTVGDEDYCGYDYSLVGKLSEVRIVYLNRFVQEITGYFMGLVPKSSDGIVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPHDTNSHDFLELDVLYITIQNEFQWIGGDKNEMSAVHLEIMTVTVKDINLTIGMDMVRGETIIQDVEGLSVEIHRSLRDLMHQLPVVEAAIKVDVLKAALSNREYEVISECASSNFAEAPHIVPALDGPRNETSTSESHVSASSISSESIQDPSQDTETWIANKFSVSINLVELSLHSGSTRDSPLASVQASGAWLLYKSNTLEETFLFATLKGFSVFDDREGTKDELRLAIGKSVTVRDTSSDGYDNPNELDSGERRIQKDLGFEPVPSMLIFDAILRKSSSSVSLCIQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVVFTDKVYYQEYSTFSISPQKPLIVDNEKFDHFIYDGNGGKLYLRDSEGKILSSPSVESFVHVLGGKRLQFRNVKIVNGEYLDSCISLGSDCWYSASEDDHVYLVREDDDLPSTLNEEISEDIVENKNSDASTEFIIELQAIGPELTFYSTSRNAGENVALSTKVIHARTDAFCRLVMKGDSMDMSGNILGLKMESNGIRVIEPFDMSMKYSNASGKTNLHLMVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLICSQFDKIATMQGNAIDEVYSFWRPRAPSGYAIFGDFLTPMNDPPTKGVLALNTNVARVKRPLSYKLIWQSGPTENELHHSKEDSKNNLSNIDQLCSVWLPVAPAGYVAMGCVVSAGTAEPPLSSVFCLTASLISSCGLRDCIALRGNANTTFWRVDNAFGTFLPGDLASVGVQGNVCDLRHMLFDSADPSSKNSSRGKDSRNETPQIERSALTSGRLFEAVASFKLVWSNNGMSAPKKLSIWRPMMSEGMFYFGDIALNGYEPPNSAVVLRDTGEDTFLRAPEHYKLVGQIKKHRGRDGISFYYPQAPPGFVALGCVASKSSPTKEDFSMLRCIRSDMVTGGQFSEESVWDSSGARTSESFSLWTVDDDAGTFLVRSEFRKPPRRLALKLAGPPTSSSSDNIIIDAEIKTFSAVSFDDYGGMMVPLFGMSFDGVGFSYHGGPHHLNATVSLSFVARSYNDKCNSWEPFIEPTDGFLRYQYDVNTPGSPGQLRITSTRDLNLNVSASNTNMLSQAYLSWSNITLGDELYRKETSSLTERSILDVHQRSCYYVIPQNKLGQDIYVRTTEYRSSDITLLPFGDDRSIKVPASRDLLDSHLRGKSIRLYRLMITAIIADAEINSGEGLATGEYMTAVRLYSEDRSISSVQQQSARTCAAAGENSLQTIRKVIWNEMFFFKVKSEENHVLELVVLDAGSGQPVGIYSAPLKQVVQRIPSTSSSDSANFELTLGDLMSAKTVEHESAKPSGKIRFAVLVSGRANVQHGSRPSHSRSKTGYIQISPSKEGPWTNMKLNYAVPAACWKFGDCVIASEATVKEGNRYVSIRSLVSVTNTTDFAVDLRLKGRYSQGVGSHGQGENSGEDDQISVGLLEPGSTVPVPLSGLSHPHVTYTLQLRPTIHNELVQHSWSDVQERRSQTEFRNEEILDICVSDLYESENLLFCSQIDGTSSTCQGLWFCLSIEAKEIGKDVRTDPIFDWSIVIKSPLSLTYYLPISAHYTVSASRLDEEETSCSQGTLNPGEVVKVQNVDPRNPLYLSLLPHGGWESVHEPVPISHPTEVPSKFINLRSSLSERIVQIILEQSSDKDYLMAKVIRIYVPYWISFARLPPVTLQFIDTTGKKDKKHYIARPRAERSDKLLYNINHEELVEGYTIASGLNFKGLGLSARVCRHGEGQFGALKELSPLGDMDGAVDISAYDNDGKCTHILLCSKPCSYQAVPTKVIYVRPYTTFTNRVGQDLFIKLSAGDEPKVLHAHDRRVSFMYSEVGPDKLQVRLVDTDWCQPLDIVKEDSIVIAMRKQDGAQKFVKAEIRGYEEGSRFLVVFRLGPTDGPVRIENRTSNTTIGTRQSGLGEDTWIQVKPLSTRKYSWDDPYGQKVLDVSIQKGDVTSFQVVDLENPVASSTSFGEHGVKFNIVVTADVTILKFADYPRRQDGSPGTELIDHRSSVLQQNDKETGAGPLELIVELGVVGVSLIDHKPRELLYLNLQKVFISYMTGYDSGTTSRFKLIIGQMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYNNLRFVNTTSSSTVTEVDPEIRIELVDISEVRLKISLETAPTQRPRGVLGIWSPVLSAVGNAFKIQVHLRKVMRKSRFMRKSAIVPAIVNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQGWSRRITGVGDGLAQGTEAFAQGLAFGVSGVLRKPVEGARQYGVIGIAHGLGRAFVGCIVQPLSGALDFFSLTVDGISASFIKCVNILNNKFVPQRIRNPRAIHRDGVIREYDKVEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVPNQRIALVTNKRVILLQCLDLDKMDRKPSKILWDVPWEEVLALELAKAGYQKPSHVIIHLKNFRRSENFVRLIKCSVDEECEPQAILLCSSIRQMWRSHQTGVKVVPLKVPSGQRPVYFASDDDRRESHSPARPLLSSRGVSGNAEHRLINHTVNFQKMWSSEQEIRSRCKLLGKQVADDRRMFSIWRPLCPSGYVSIGDVAHHGIHPPHFAAIYKNVKGNFVLPLGYDLVWRNCAEDYRSPVSIWQPRPPEGYVALGCVAVPAFKEPPLDCAFCVNERFAEDAVFEEQMVWSSSDAYPWGCYIYRVQSSSMQFMALRLQKEQSEQKPKKISESQLQRASASETL* >Brasy2G267700.1.p pacid=40066729 transcript=Brasy2G267700.1 locus=Brasy2G267700 ID=Brasy2G267700.1.v1.1 annot-version=v1.1 MNKTSTNTTNRMATSVLTQLYGAGPPVVPPQPPLLLEIDQMLFQPLSPEID* >Brasy2G408300.1.p pacid=40066730 transcript=Brasy2G408300.1 locus=Brasy2G408300 ID=Brasy2G408300.1.v1.1 annot-version=v1.1 MSADSGKESFAEVLGQNCNCAGSQAKVCRSPAAAAGKEREQAPAAAAGSSAPAPTGSYALAGPLRASSGGRHCHLRPHYSPLPRLAPMGSSAPPSSTGATSSNISPLCRLPRHPDVVHHQDPSVHFICAIILTGWWGWKPPQGWCSRC* >Brasy2G126700.1.p pacid=40066731 transcript=Brasy2G126700.1 locus=Brasy2G126700 ID=Brasy2G126700.1.v1.1 annot-version=v1.1 MPLAWSKSLKSIPTGHCWRCRPPSPGAAALPRRRASKASAGEEEAPSPAAPEKPDPATAARHCARATAHRRTYNHPRRHSHATSTSRTTVPCLPRARYGQAPLPQPPEPTDSSSSTPMPRPPPLIQFLSDPAPQYPNPPDPAPIPYSGASPSLLLRCLPISTHGGGLVPRGIERLQALLRRHDPRPGTRGDGGGSERPGPGSEMASFTVTVKDVCVCSILRKDTECKFPFIPHLADDEFPPGLRSIGGFKGLTKFVASPGI* >Brasy2G251700.1.p pacid=40066732 transcript=Brasy2G251700.1 locus=Brasy2G251700 ID=Brasy2G251700.1.v1.1 annot-version=v1.1 MGRRLPALCRGRAATRVRKRVQRLSKPPPTVTSCGSKTKNAAAAAAGGGARGWYGGHGAEAASMVDMGGAGGNKDGGGRRVMVVADGRREALGALEWALAQAVRSNDAVLLLAVVKPDSEDAGADGCVKMSRTRCYQHLDAMRSLCESTRPEVRVEVCVLEAAERAPAVVDAARRHGASLLVLGQSRRAATARWIMGLWAAAAKRQCSSSGGRRRGIGGLVEHCIEHAPCEALGVRRRSSGGYLVSSKRHKDFWLLA* >Brasy2G145400.1.p pacid=40066733 transcript=Brasy2G145400.1 locus=Brasy2G145400 ID=Brasy2G145400.1.v1.1 annot-version=v1.1 MEPEPEPAGRQQVQIMKQLHLRTPRRRRRLLLLHLTLAQPEAVPMIGYSSWKPFTFLNLYLGDSQTGPLELPIEKRHVDGWKQAFEFEINMADSRRWPRMSVDLEAVRRDYGFGMDGGRRVLRADEPHTSGQTAVIGRARVPLLDALLFGDDDDDGSGDEGAKDKRRRLERDGKGVKLAEGTRVFRERVKLQGWKAPAPGERGEPSNMVCGTVVVVLEMTEE* >Brasy2G313400.1.p pacid=40066734 transcript=Brasy2G313400.1 locus=Brasy2G313400 ID=Brasy2G313400.1.v1.1 annot-version=v1.1 MAASPATSSATLRASSCAGLSDARLRAPRASAVSFPSPRSAHPTALVADARAPRLPVLAAAAAGHQRLMGSLTNTEGLRFAVVVARFNEIVTNLLLQGALEAFERYSIKGENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGVPCVFGVLTCDDMDQALNRAGGKAGNKGAETAITAIEMASLFRHHLG* >Brasy2G160000.1.p pacid=40066735 transcript=Brasy2G160000.1 locus=Brasy2G160000 ID=Brasy2G160000.1.v1.1 annot-version=v1.1 MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDAKLWPFKVIPGPGDKPMIGVQYRGEDKQFSAEEISSMVLNKMKETAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSTSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAITWLDNNQLAEADEFDDKMKELEGICNPIIAKMYQGAGAEMPGGMDEDTPASAAGGSSGPGPKIEEVD* >Brasy2G325500.1.p pacid=40066736 transcript=Brasy2G325500.1 locus=Brasy2G325500 ID=Brasy2G325500.1.v1.1 annot-version=v1.1 MSWLARSIATSLNIPDDSGPDDDPDAAVAGASSPSERIPPPPPPPRPLQSAAADGVKEDLTELSKTLSRQFWGVANFLAPPPGEASPSPSPSSAGGRSTAAETPPEIAGIRNDFSEISGRFRTGISRISTHKAVSGFSSMASNFFSSEGEEEESLEAVNKEGKEDMRLNKEANEDEVRHGADDDEVGHAWEERVRLVVGDDEARHEWEPRAKHHVDDGEVWHQEVDEPELHNERVRQEEEVEEWDVIGITDEVLAFATNIASHPETWLDFPLLPDDEDCDGPFSYFDMSDAQQEHALAIEHLAPRLAALRIELCPVHMSEECFWKTYFVLLHPRLSKHDAELLSTPQIVEARGMLMQCLQHKSKHETDHRGRDDIGMHSEEDASKHITEAFPYMRQQSAAVIPITDHEIEKHPIQVTEIAVVDKSVIKEQLTEDVTKTSNVLQETFDDDTDDWFDEEADLAGHSTILLGDEEDVSFSDLEDDDDDAK* >Brasy2G115700.1.p pacid=40066737 transcript=Brasy2G115700.1 locus=Brasy2G115700 ID=Brasy2G115700.1.v1.1 annot-version=v1.1 MAEMQKYGLSNPPPDIPEILLEAQNRWLRPTEICQILYNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHIWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLDIKGAKQSFSRSKEAEEIARLSTDDSPACSNSFASQSQVASQTMDAESPISGQISEYEDAETDNNRASSRYHPFVEMQQPVDGVMMDNLLGAPAPSTCVNNLGYQGEMQAATADLNNHYVTHHGIANVFNEAGAGLRSGSKSPLDSVHFSEDFPEYSTGLMEPTLHSSVATMGSNNLDDNSRLETFMTEELYTNNLTQREADALSAAGMASSQAQSDKYADGSIGYPLLKQSSLDLFKIEPNGLKKFDSFTRWMSDELAEVADLGIKSSSDAFWSSTETVNAADGSSIPINEQLEQLNAYVVSPSLSQDQLFSIIDVSPSWAYSASVIKVLITGTFLTNKENVENCKWSCMFGDVEVPAEVLADGSLRCYTPVHQSGRVPFYVTCSNRVACSEVREFEFCDSETQYMEADPHTTGINDMHLRIRLDKLLSLGADDYEKYVLSDGNDKHELVSTIGALMLDDKFTNLALPSDEKDFSAAQDKNLEKLVKDKLYCWLIHKIHDDGKGPNVLGKEGQGVIHLVAALGYDWAIRPIITAGVPVNFRDARGWTALHWAASCGRERTVGSLIANGAASGALTDPTPLFPSGRTPADLASENGHKGIAGFLAESALTSHLSALTLKESQGCNAENICGLSEANGFAEPSSAQLACQDSEAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLISLKNAKSGQNDMPHSAAVRIQNKFRGWKGRKEFMIIRQKIIKIQAHVRGHQVRRNYRKVVWSVGIVEKVILRWRRKGRGLRGFQPEKQLEGPSQIEPAKDEDEYDFLKDGRKQAEGRLQRSLARVKSMTNYPEAREQYSRLQACVTELQDTKEKQDKMLIEAAGADGGDFMVDLEDLCGDDDGHTPMSTIL* >Brasy2G181000.1.p pacid=40066738 transcript=Brasy2G181000.1 locus=Brasy2G181000 ID=Brasy2G181000.1.v1.1 annot-version=v1.1 MASNRWTHEIESTVAAPRLFRAGVMDWHTLAPKLASQFVASSNVVEGDGSVGSVRQLNFTSVMPFSFMKERLEFLDAAKCECKSTLIEGGGIGVAIETATSHIKLEPTTNGGSVVKVISTYKLLPGVETKDEVTKAKETLTGIFRTAEAYLIANPDAYS* >Brasy2G400700.1.p pacid=40066739 transcript=Brasy2G400700.1 locus=Brasy2G400700 ID=Brasy2G400700.1.v1.1 annot-version=v1.1 MPSSPTLIPLLSSVSPRALISLSPLCSLLSKSTTPSLSLYSSQSLLPHRLQVTPPPPAPGHAERSVCPASGLAAPCHRPRTRTLSPAPLPAAPPDPKRALLDPAIFRRTAGSEEAVAGSGHLQPRHRRIRPTPASSSPDPATKTPLHTAGTFSPLSALRCPSSQMVAANRLPHRRTASFGANHFPLVV* >Brasy2G011800.1.p pacid=40066740 transcript=Brasy2G011800.1 locus=Brasy2G011800 ID=Brasy2G011800.1.v1.1 annot-version=v1.1 MAEESHPSRYVKLTKDQDAPAEDIRPGELNQPVHVPQLEGRRCNECGQVLPESYEPPADEPWTTGIFGCTDDPETCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRSSFLIGEGLMFTWWLCGTYTGIFRQELQKRYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLADHSVVPMTVVNPPPVQEMTAVENRAPENGAPNQAELEASKNDHDDVEVMPL* >Brasy2G127200.1.p pacid=40066741 transcript=Brasy2G127200.1 locus=Brasy2G127200 ID=Brasy2G127200.1.v1.1 annot-version=v1.1 MTIIAETKSLPISLILIGHARRYLKWIEHHAHHCYVDILNSLPSMSGHSLVKKNVVIPYTVSFSLFETTNFSGKKKCR* >Brasy2G288900.1.p pacid=40066742 transcript=Brasy2G288900.1 locus=Brasy2G288900 ID=Brasy2G288900.1.v1.1 annot-version=v1.1 MALLQHHRLPGIGCSNILARARSQCLAAASHAPSFPVLLHVNGSRQAHLVSRKAGSRAISLSRRSRRQDLCIVAEASAAAAANVTPSTPRGISVSDVLWPSAGAFLAMAVLGKMDQLMVFKGVSLTIAPLGAVCAVLFTAPDSPAAKKYNMFVAQIGCAAIGVLAFSLFGPGWLARGAALSACIAFMTITGSSHPPAASLPLLFIDGPKFHNLQFWYALFPGAAGCAILCLIQEVVVYLKKNCKF* >Brasy2G235800.1.p pacid=40066743 transcript=Brasy2G235800.1 locus=Brasy2G235800 ID=Brasy2G235800.1.v1.1 annot-version=v1.1 MGDLSNVMNPDEKSSSNINASRMQRFNHFVKRCGLVDLGFNGPAYTWCNKRFTSTPVYERLDRCLANADWCASFPNTNVYNLPIILSDHAPVLTITDSSFRRPRLNFKFENWWLMEDDFQSTSRTQWVSSLNQSFPVRTTNLAGTLKKWCRKKKPLQIQLEEIQEQINQIQMQPPHAQDHNKEAMLTSQYEETMTKMTEQLKQRAKKHWATHNDRNTTFFHQPVLKRRRRNRIVCIKDNSGDVHYDSELIANSFISYFAGSLLLPLIMFRFKRSRITGTQLLLKILLTQSLARKKIFRSSNQ* >Brasy2G341100.1.p pacid=40066744 transcript=Brasy2G341100.1 locus=Brasy2G341100 ID=Brasy2G341100.1.v1.1 annot-version=v1.1 MGTLQKATFVLLMFCLAVLGGADTPKYKDPKQPLAVRIKDLLSKMTLAEKIGQMTQIERENATADAISKYFIGSVLSGGGSVPSPQASAEDWVKMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATWDPMLVQRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKAVQSMTTLISGLQGEAPSGFAGRPYVGGSKKVAACAKHYVGDGGTYMGINENNTIIDKRGLMTIHMPAYYNSIIRGVSTVMVSYSSWNGQKMHANHFLITDFLKNKLKFRGFVISDWQGIDRITTPPKLNYSYSIEAGVGAGIDMIMVPFAYTEFIDDLTSQVNNKIIPMSRIDDAVYRILRVKFTMGLFENPYADPSLAGELGKQEHRELAREAVRKSLVLLKNGKSAYTPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGETGNDKTAGTTILSAIKSTVDPSTEVVFSENPDSSAVDSGKYDYAIVVVGEPPYAETFGDNLNLTIPAPGPSVIQTVCKSVKCVVVLISGRPLVVEPYIDAIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDKKYDPLFPFGFGLTTEAKK* >Brasy2G381800.1.p pacid=40066745 transcript=Brasy2G381800.1 locus=Brasy2G381800 ID=Brasy2G381800.1.v1.1 annot-version=v1.1 MSASLDTEGNPRRCAACKYLRRRCARDCVLAPHFPASDPQRYACVQRFFGAGNVARMLQQLPSEERRAAADAMVVEASRRAQDPVYGCAGVIHRLQEEIRAVECELARTRAQIAMHQATTTTSRLPDLRDDDQQMPSVELLHGFLSG* >Brasy2G345100.1.p pacid=40066746 transcript=Brasy2G345100.1 locus=Brasy2G345100 ID=Brasy2G345100.1.v1.1 annot-version=v1.1 MAFSPRSAWSRSRKPDIYSTFVVHDDEEDARGGGSAAAADDDEDDASSLPPLLQRLPKDFGGASFDDDDPYSDLDDASLSDTVVIKRGAPASTSSSSRSPFLDLRRSSPRAAEDDPYSTFVVHGTARSVGASSPRESVSGTFIRHSGGSSSPRESISGTFIRRTVDPSSPHESFSGTFIHRTSGASSPHESLSGAGGGFGSSFLSRSVGQAEEDRQPSLLMQQQQSRRKASVSSVPDSIAREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEDYLWIVMEYCGGGSVADLIGITEEPLDEPQIAYICREALKGLAYLHTIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPPAIEMLKHKFIEKCNTGASKMLAKIKVAKKITTTVAMQNQLADPDNDSAVRTNEDYGETVVQSSQSNHETNEDGWADDFGTMIVHPEDGDDVAESSIFPRTELIPGLGSINSFTHDPKRAELISNFWMESTADSDASKERDLDGPPDTQEPKAVPPSAGTVKKQKGVEGTMPRIDSQISSASPGVAGTLTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVSGNGPAGSSTTDALEAVRELFSGDGQAKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKMCYEDMPLQDSQATEEQQTIQNLCDTLRTILRL* >Brasy2G345100.2.p pacid=40066747 transcript=Brasy2G345100.2 locus=Brasy2G345100 ID=Brasy2G345100.2.v1.1 annot-version=v1.1 MAFSPRSAWSRSRKPDIYSTFVVHDDEEDARGGGSAAAADDDEDDASSLPPLLQRLPKDFGGASFDDDDPYSDLDDASLSDTVVIKRGAPASTSSSSRSPFLDLRRSSPRAAEDDPYSTFVVHGTARSVGASSPRESVSGTFIRHSGGSSSPRESISGTFIRRTVDPSSPHESFSGTFIHRTSGASSPHESLSGAGGGFGSSFLSRSVGQAEEDRQPSLLMQQQQSRRKASVSSVPDSIAREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEDYLWIVMEYCGGGSVADLIGITEEPLDEPQIAYICREALKGLAYLHTIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPPAIEMLKHKFIEKCNTGASKMLAKIKVAKKITTTVAMQNQLADPDNDSAVRTNEDYGETVVQSSQSNHETNEDGWADDFGTMIVHPEDGDDVAESSIFPRTELIPGLGSINSFTHDPKRAELISNFWCYEDMPLQDSQATEEQQTIQNLCDTLRTILRL* >Brasy2G345100.3.p pacid=40066748 transcript=Brasy2G345100.3 locus=Brasy2G345100 ID=Brasy2G345100.3.v1.1 annot-version=v1.1 MAFSPRSAWSRSRKPDIYSTFVVHDDEEDARGGGSAAAADDDEDDASSLPPLLQRLPKDFGGASFDDDDPYSDLDDASLSDTVVIKRGAPASTSSSSRSPFLDLRRSSPRAAEDDPYSTFVVHGTARSVGASSPRESVSGTFIRHSGGSSSPRESISGTFIRRTVDPSSPHESFSGTFIHRTSGASSPHESLSGAGGGFGSSFLSRSVGQAEEDRQPSLLMQQQQSRRKASVSSVPDSIAREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEDYLWIVMEYCGGGSVADLIGITEEPLDEPQIAYICREALKGLAYLHTIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVTPPPSSLSLSLSLSLSVQYGSSTKHTPLYNELAMLV* >Brasy2G230700.1.p pacid=40066749 transcript=Brasy2G230700.1 locus=Brasy2G230700 ID=Brasy2G230700.1.v1.1 annot-version=v1.1 MPCLNSYINLPIITSCGDKSSSVTHTLHSSGERPEGEMGRKPCCSKEGLNRGAWTAMEDEILVSYINRHGEGKWGSLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEEELIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTTLSKRVLLTARGTNQQQQQQASAPKPPHSSSVDAPPDLPDTSSSPIRTKATRCTAMLPAAHHHDPVADQLVQETTTTTTHIEQQQRGLAGDDGLSIELDFDGIELGFLLSPWRGGADDDRHDQLGGCGTHEADLEELLGLGPDGAQSHGGVPSLGDLELPWL* >Brasy2G409600.1.p pacid=40066750 transcript=Brasy2G409600.1 locus=Brasy2G409600 ID=Brasy2G409600.1.v1.1 annot-version=v1.1 MERELLETFEAAKKAADAVGEDGGSPEADRCLDALRRLRAFRVTTEVLVSTQVGKRLRYLTKHPHSDIQAMATDLFGYWKKIVIEETGKKNGTSVNEKVDNSAARLEKSQSMKAEKNSTSERLEKSQSMKAEKNSTSANVKVEKNSTSASVKVEKNSTAASVKVEKNSSSASVKIEKNDLDIRVQKSDVKVEKIANNDSKVKVEMVSKDVSRTLDTKKSSSVPNGPPKLTSLVRCNDAARDKYRELLAEAFFKVSKETGKDDREEVRNLLDEVNACDPYRVSVTVESALFERLGRSTGAHKAKYRSILFNLKADNNTDFRRRVLLGEVRPGRLVDISPDEMASDARKLENKQIKEKALFDCERAGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC* >Brasy2G344000.1.p pacid=40066751 transcript=Brasy2G344000.1 locus=Brasy2G344000 ID=Brasy2G344000.1.v1.1 annot-version=v1.1 MAGREKRRRATVLDDDERRGRRREQEAALLLHKIKGLVGWVVADVGAGRSPSVALNRYQNYCASAAASPSTCACSYDAPVGTDVLYLLRKEFHASRLSVLLRVLFVVQQLLQQNKHCSKRDIYYMYPSIFIEQAVVDRAINDICILFKCSRHNLNVVPVAKGLVMGWIRFVEGEKKVYCITNVNAAFSIPVSIETVKDIVSVAHYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDVPTRRFLRHLVEQLHLPAYCLVDSDPYGFDILATYKFGSMQLAYDANLLRVPGIRWLGVFTSDFEEYRLPDCCLLDLSSEDRKKLEGILTRCYLHREAPEWRSELEAMLEKGVKFEIEALSASSISFLSQEYIPQKIKLGRHI* >Brasy2G290300.1.p pacid=40066752 transcript=Brasy2G290300.1 locus=Brasy2G290300 ID=Brasy2G290300.1.v1.1 annot-version=v1.1 MARASATASLAALCLLAAAAAAAAVARVDPIYSGELVPTWSKQPKFKLQNLSFSRQDGLQLLSRPEDVTRRRLGERTSVIKKMESVPQDDEALVKLENAGIERSKAVDSAVLGKYSLWRRENENEKADSNVRMMRDQMIMARIYSVLAKSRNKLDLYKELLARIKESQRSLGEATADSELPKSASERAKAMGQVLSKARDQLYDCKEITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLEDPDLYHYALFSDNVLAASVVVNSTIVNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRQKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPTVEHSEIDSAAVIHYNGNMKPWLEIAMTKYRPYWTRYINYEHSYVHGCKISQ* >Brasy2G434400.1.p pacid=40066753 transcript=Brasy2G434400.1 locus=Brasy2G434400 ID=Brasy2G434400.1.v1.1 annot-version=v1.1 MSISRIPNDCLLNRFVHTDYTQYTAADDDQGLITLEEQPRLILRCVDREAAPARTYGQEEADDLLHGMEIGIYRSAAPLSLSCLSIPRCSQYTSILAVDRNVMVLASSSHDHRHCYFVYDSVQGSLRMIPLPEDSSYRPVVSSRVLIARHGGSSSSYALVLPRKLAIDIGRERDVLLIWRPAPSSSEMTNPRTSWSESKKARFPELVHGAGYQADVVFSSDGYAYWADLLRGAMYCSCEDILDDATNGAAVVECGFLHLPSTSNLKAGSCSTRQGRWSCRVSQPGTYRTMGVTQDSITFVSINGFHEHVDLKDRTVTVWRLQSGQGQGQHEWDMEHQLSLETLWGLDGFGDLPKDLTPMFPMLSKEDKDVLYIALGEYSEKLHRWKFVTGYLRYLLAVDLRNKVVLGASRLTEWCCQELEDDAGGDGLPSSLLLSWQLIRHLASEESMDTAVIPDH* >Brasy2G201200.1.p pacid=40066754 transcript=Brasy2G201200.1 locus=Brasy2G201200 ID=Brasy2G201200.1.v1.1 annot-version=v1.1 MAPTMALLPQRLLITLVLLPHLLSPGVGGSAYGTKPPCFWPSSAAEEGRCLSWRVMVEANNARGWRTVPAPCVGYVRGYMTRGQYGRDLDGVMGQVSAYVDQIAAPADDGLDAWVLDIDDTCLSNLLYYEAKRFGAYDPLAFKTWASQGACPGIPAVLRLFAMLQDKGFKVFLLSGRDEETLGSCTTENLESQGFSGYERLMMRTPDYRGQPSSVFKSAMRKQLVEEEGYRIRGNVGDQWSDLQGDNVGDRVFKIPNPMYFVP* >Brasy2G288700.1.p pacid=40066755 transcript=Brasy2G288700.1 locus=Brasy2G288700 ID=Brasy2G288700.1.v1.1 annot-version=v1.1 CTEALPNGMFRVRLENDTIILGYISGKIRISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYKLSHKDSKRIEDSKDSEDLKD* >Brasy2G046700.1.p pacid=40066756 transcript=Brasy2G046700.1 locus=Brasy2G046700 ID=Brasy2G046700.1.v1.1 annot-version=v1.1 MDARHVVMCFLAVLVIFGNNPTVVAEQCRYRIFALPYCVDALCKSYCWKEALGTGARVREYQCGGPGGINRHCICFFCTD* >Brasy2G225900.1.p pacid=40066757 transcript=Brasy2G225900.1 locus=Brasy2G225900 ID=Brasy2G225900.1.v1.1 annot-version=v1.1 MQSATRLTLLLCAAWAAALLYGEMGAYWAAHLSCSWPSAHLTDNHVKVAVVADPQLMDSTSLGLPQSSLVLQAVEFFTDLNMRRSFQSVILPYKPDVILFLGDHFDGGPYLPNKEWQESLFRFKHIFSMNEQRTNPHVPVYYLSGNHDIGYSAFHSIHPEVIRRYEKEFGPRNFNFLAGKVDFAVVDAQTLDAGAKENKERSSSWEFIKTLSSGNESNPKVLLTHIPLYRPDNTACGPHRSSPIINQRVSNAAMGQGITYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGANLTTNPTDLSHEVLTNLCFLPKQTHIYIWYICQFVVTILLLIFWPANGLSSLPYANTFVSFMRSVGAELFSSRTKEKDDEEDAEYEMVWDAEGSMHLVKKAVARAPSSNSDSRTTARGSVVARPTARKHQQEPDSSVHVEMGPEAASDDGGRLPRPSKLKVRKVLQRLFRVIQSVIVIAVLNVSLYMMLLFKDWIDR* >Brasy2G225900.2.p pacid=40066758 transcript=Brasy2G225900.2 locus=Brasy2G225900 ID=Brasy2G225900.2.v1.1 annot-version=v1.1 MQSATRLTLLLCAAWAAALLYGEMGAYWAAHLSCSWPSAHLTDNHVKVAVVADPQLMDSTSLGLPQSSLVLQAVEFFTDLNMRRSFQSVILPYKPDVILFLGDHFDGGPYLPNKEWQESLFRFKHIFSMNEQRTNPHVPVYYLSGNHDIGYSAFHSIHPEVIRRYEKEFGPRNFNFLAGKVDFAVVDAQTLDGAKENKERSSSWEFIKTLSSGNESNPKVLLTHIPLYRPDNTACGPHRSSPIINQRVSNAAMGQGITYQNYLSKETSDLLLSLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGANLTTNPTDLSHEVLTNLCFLPKQTHIYIWYICQFVVTILLLIFWPANGLSSLPYANTFVSFMRSVGAELFSSRTKEKDDEEDAEYEMVWDAEGSMHLVKKAVARAPSSNSDSRTTARGSVVARPTARKHQQEPDSSVHVEMGPEAASDDGGRLPRPSKLKVRKVLQRLFRVIQSVIVIAVLNVSLYMMLLFKDWIDR* >Brasy2G467100.1.p pacid=40066759 transcript=Brasy2G467100.1 locus=Brasy2G467100 ID=Brasy2G467100.1.v1.1 annot-version=v1.1 MEFSILNQYQVASRKTEMNSSINTKMNTSSITVPSSQVPKRGGGREAAGTTRRRRPQRRTDRHGGRRHAAEDGRPRHAGTGATARRGRGGGTQGRAWPRPQPAAAAAEARKGGAAARRGGGGGPDPSPWRRRGTQRRTGRRGGRRDAAEDGRPRHAGTGATARRGRGRGTQGRARPRPQPAAAAAEARKGGAGRPDPMELPSTEDTQPKPRLIVRLGVLCCSAGIIALLFLPSLAKNTYLSENALIPGSANPLFSNEDVMEANKFIRGVEAVAGESRGGMYVISLQIPCFTCNLLFTQ* >Brasy2G467100.2.p pacid=40066760 transcript=Brasy2G467100.2 locus=Brasy2G467100 ID=Brasy2G467100.2.v1.1 annot-version=v1.1 MEFSILNQYQVASRKTEMNSSINTKMNTSSITVPSSQVPKRGGGREAAGTTRRRRPQRRTDRHGGRRHAAEDGRPRHAGTGATARRGRGGGTQGRAWPRPQPAAAAAEARKGGAAARRGGGGGPDPSPWRRRGTQRRTGRRGGRRDAAEDGRPRHAGTGATARRGRGRGTQGRARPRPQPAAAAAEARKGGAGRPDPMELPSTEDTQPKPRLIVRLGVLCCSAGIIALLFLPSLAKNTYLSENALIPGSANPLFSNEDVMEANKFIRGVEAVAGESRGGIC* >Brasy2G467100.3.p pacid=40066761 transcript=Brasy2G467100.3 locus=Brasy2G467100 ID=Brasy2G467100.3.v1.1 annot-version=v1.1 MEFSILNQYQVASRKTEMNSSINTKMNTSSITVPSSQVPKRGGGREAAGTTRRRRPQRRTDRHGGRRHAAEDGRPRHAGTGATARRGRGGGTQGRAWPRPQPAAAAAEARKGGAAARRGGGGGPDPSPWRRRGTQRRTGRRGGRRDAAEDGRPRHAGTGATARRGRGRGTQGRARPRPQPAAAAAEARKGGAGRPDPMELPSTEDTQPKPRLIVRLGYSSLPTTSSPVFCAVPRALSHSSSSLH* >Brasy2G477000.1.p pacid=40066762 transcript=Brasy2G477000.1 locus=Brasy2G477000 ID=Brasy2G477000.1.v1.1 annot-version=v1.1 MESRHQLQYGGVSLDLRLEPTYRPRPAASPAAVDHVHGRDHREREEAFSCNYCHRKFSSSQALGGHQNAHKLERTLAKRNNSRMHLAAEVAAPSSSSRPAPAAAVQSWIQGGGELWASTVVTGWAATTTTAAGRNYSEEAASEMDLSLKL* >Brasy2G220200.1.p pacid=40066763 transcript=Brasy2G220200.1 locus=Brasy2G220200 ID=Brasy2G220200.1.v1.1 annot-version=v1.1 MSKKIVLKVDITAERCKAGAMSTVAKLPGIKSMAVDGDKGTLTVVGDVDVVCLASALRKAKFAATVVSVGPEEVKKPDPAKPPPVEKKKVDDPPKPPCCCSSGPGAYNCNCCRPGPMPPYAMVCYDEQPDGYGCIIM* >Brasy2G469500.1.p pacid=40066764 transcript=Brasy2G469500.1 locus=Brasy2G469500 ID=Brasy2G469500.1.v1.1 annot-version=v1.1 MEAVVRKVQQRVRKAREETERWDDLSSRLLSQFSNAAAIIARLPVLGDAKNYGVLGCVPNVREDLLGKQMESLELIFVSMRETLEEFSGIAKGLNKVLRDTNQMVRGGSALTAKQLQLQVGILPTIAYCLDGLQTLSDMHQAEYALKSSVISLLTWKSSSSDIAALRQLLVDQPNIPKHEVQSIFDIIFADEIC* >Brasy2G469500.2.p pacid=40066765 transcript=Brasy2G469500.2 locus=Brasy2G469500 ID=Brasy2G469500.2.v1.1 annot-version=v1.1 MEAVVRKVQQRVRKAREETERWDDLSSRLLSQFSNAAAIIARLPVLGDAKNYGVLGCVPNVREDLLGKQMESLELIFVSMRETLEEFSGIAKGLNKVLRDTNQMVRGGSALTAKQLQLQVGILPTIAYCLDGLQTLSDMHQAETYFFSLFLKLPTFCCKVCTKIISHLFADMEEQF* >Brasy2G057300.1.p pacid=40066766 transcript=Brasy2G057300.1 locus=Brasy2G057300 ID=Brasy2G057300.1.v1.1 annot-version=v1.1 MGFLEDFQASVEALPAMLHKNYSLMRELDKSLQGVQLENEQRCQQEIEDIKHGLESGSTTYEPAKLKFSDDAMEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFMRKLEELRQEKEAAAATAAAGSVVAATVAVPASAGTSRSSAADAAPKSGRSGERGRGGRKKAKIPMEQPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNSDCKIEWFHFGCVGLKEQPKGKWYCPSCGMFQKKRKGK* >Brasy2G353100.1.p pacid=40066767 transcript=Brasy2G353100.1 locus=Brasy2G353100 ID=Brasy2G353100.1.v1.1 annot-version=v1.1 MAIRMTVSYSGYVAHSLASSFGLRCTTAAAAGTGAAPGAGCRFLQDALSRPFCLFASSRRVDQPHDTEDHNHPKPKPPKTKALPAAGGSHSAKALPAAGGSHSAKALPAARGSHSVKASPAAGDSYLLFASTKAPVNDPPASLAVGLLSVLASGIGSTTGIGGASSLLASPSISSGLNPAALLPFLQATKWLPCSDIITGAPPRRSVRPAEVTVAPSPVPSRGPLPVPSPAVAAPSKMGVKALLGSSGAVGAASKVGATALVGSGAVSSGAAGMVRNSSAALGGGSGVSRRNNWLSRLMSSCSDDAKTAFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPLVLQALGYSSSDVFIKRVVAKGGDVVQVVDGELLVNGIVQDEEFLLEPPNYEMDPVSIPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSRITDTLYDHDMIHGTAGIS* >Brasy2G374100.1.p pacid=40066768 transcript=Brasy2G374100.1 locus=Brasy2G374100 ID=Brasy2G374100.1.v1.1 annot-version=v1.1 MIFFSLSSLLSLSTTEYEGVCGFSCLAGTRRRPPQTHKHIDEKIRARWRPRNQAHRHAPPVAGQRRPRIDLEIREKLQIPFCEGFAQGGGREISRKEKKGHRRRSPSMATAWSVEPN* >Brasy2G072200.1.p pacid=40066769 transcript=Brasy2G072200.1 locus=Brasy2G072200 ID=Brasy2G072200.1.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKVDSGVITPATVCIEDDSDKRFQEDLRKAVSQSLAGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVVLGWQNSKESVDDISATLAGISTEIDISVFYRGLDQGTKHSLVSVVCYYGQHYHCFAFEDEHWVMYDDQTVKIIGDWADVLIMCEKGHLQPQVLLFEAAN* >Brasy2G072200.2.p pacid=40066770 transcript=Brasy2G072200.2 locus=Brasy2G072200 ID=Brasy2G072200.2.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKVDSGVITPATVCIEDDSDKRFQEDLRKAVSQSLGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVVLGWQNSKESVDDISATLAGISTEIDISVFYRGLDQGTKHSLVSVVCYYGQHYHCFAFEDEHWVMYDDQTVKIIGDWADVLIMCEKGHLQPQVLLFEAAN* >Brasy2G072200.3.p pacid=40066771 transcript=Brasy2G072200.3 locus=Brasy2G072200 ID=Brasy2G072200.3.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKAGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVVLGWQNSKESVDDISATLAGISTEIDISVFYRGLDQGTKHSLVSVVCYYGQHYHCFAFEDEHWVMYDDQTVKIIGDWADVLIMCEKGHLQPQVLLFEAAN* >Brasy2G072200.4.p pacid=40066772 transcript=Brasy2G072200.4 locus=Brasy2G072200 ID=Brasy2G072200.4.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKVDSGVITPATVCIEDDSDKRFQEDLRKAVSQSLAGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVGLLLWAALSLLCFRG* >Brasy2G072200.5.p pacid=40066773 transcript=Brasy2G072200.5 locus=Brasy2G072200 ID=Brasy2G072200.5.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKVDSGVITPATVCIEDDSDKRFQEDLRKAVSQSLGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVGLLLWAALSLLCFRG* >Brasy2G072200.6.p pacid=40066774 transcript=Brasy2G072200.6 locus=Brasy2G072200 ID=Brasy2G072200.6.v1.1 annot-version=v1.1 MLLYEAASDNRSYEDVVAECERGLGIESPCDPAPHSLRVPPPEPEQVQAELRNLVQKAHLSSLSTWVKTFGGTGDDKLGFFRLADDPTELHLLPAAPAPRRPNELKKATKTPEERRKEVEVQVAALRLLEQQQLQHNAAAASSSPPQSQGDEAPSSSSQSIAEHRVDRRKGGSKKATVSSVSNRMDQVRAFWVTMPIERRLAFLNISISELKSHYDIATEKEKDVATAASAVLNEVLEFVTENGDWQFWACGVCEERYADLQHTLREHVGVLPPQLQEMVPQEIDADWAAMLTGSIWKPVDVAAALKVLEEEQADNIGPDRDKDSMSSDNWSIKDKSDTSESSASPHNEECDSFGAAMREGARKWPLSDDDERAKILERIRSLFQILVKYKNISVGHLNRVIHFAMEELRGMPSGSLLLNHSIDESPLCICFLEAPSLRKVLKFLQDLTQSCGLNRYSEKDGELGDEDCFRKNHDVLQKVTLDSGSSTLILDSQVFGGKSGPENADTDEFISWLYAGSPIGDQLSEWTCKLEERSNQGVQVLQMLEKEFAVLKHCCERKHEQLSNEEGVLAVENILLEEQRHRDCLGRYSYQGYEELLKKRHDELSNLNAEELLTYRSELDAISTALKEVCTSPFGYDEGFSGMTSRLCDFDGAEVSDWRLHDFVHPNDSVVQTAVLKLKEQIAMELSKLDARIMRSVAVMQQLDLKLAPASFLDYRTIFLPLLKSFLRSRLEELMDKDAREKSDAAREAFLAELALDEEKNANKGGDKKLSNEKSKDKKKMKDSRRYKDLKDLSWSDQYIVRPNSVDEETSEQSQTLVDCDDFDHKFSICNGHSNEQEEELRRRAQLEAEERKLEETLEYQRRIEEEAKQRHLAEQSRSSSAASDIGIAVYSTDVNLSMDSDAPNISPGYLEDIKFGDFRFSQVPPREKSATSKFGGMDLLQKVEDNRREKPNGSPGAHSFTGSNMDLTKPTLKMNGVGNYPHHTKRPTNPIIQRSKSGASQPHKKCIQGAVHDGGDSVSCLQNGTMAPRWSSSLLSSGDPWNANKAEKAGTSNGKDVHGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSRHKHIEDPCAVCALYDIFIDLSKASEGQGEPVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTCQVDRHAKSHESNSIGSWDCANNSCIAHHLFGMDVYERMNCHNCELESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHIHHILSRSPHVFTVGLLLWAALSLLCFRG* >Brasy2G440900.1.p pacid=40066775 transcript=Brasy2G440900.1 locus=Brasy2G440900 ID=Brasy2G440900.1.v1.1 annot-version=v1.1 MEAWDVQSNSNGRGAEAEDGRSGSASAAALTTYLAFLEHKIGHLRGILCSPAPQQQQVVSAELACIISQLASIANDLAANAGTPSSPASSSPSAGTPNDHFDEAVEEELPEPPPLGSSSYEVIELGKEEILAPPHAHSCKLCGKGFKRDANLRMHMRAHGRSYNHKKEVNFSPPPAPETKTKKRPVPAAYYSCPQAGCKRNRAHASFAPLKTAVCVRNHYRRTHCAKTHACRRCGGVKRFAVLADLRTHEKHCGRDRWVCSCAVSFSRRDKLLAHVALFPAGAGHSPALPLPDETAAGQCSTGNNDTANGGGGGVTGSGELLPGAAGGGEVGDMMDQSFLSDIGMFDDFGCSDVKGSIREDDGRRGSLSPTGLDFCDFDGFDLFGAHAMNFDF* >Brasy2G315200.1.p pacid=40066776 transcript=Brasy2G315200.1 locus=Brasy2G315200 ID=Brasy2G315200.1.v1.1 annot-version=v1.1 MLSIWQFSPPHFPLFSSPFSLSAAIFASSAWGGLRGAGRRGREARRGDRGSVVEGGGRSMSSPSIPCRGSRVHPRASEVVAAVGLGWSSACHTARWWWLRETTPGTDSSAAGVSTAAGAHLAPRA* >Brasy2G443600.1.p pacid=40066777 transcript=Brasy2G443600.1 locus=Brasy2G443600 ID=Brasy2G443600.1.v1.1 annot-version=v1.1 MEGVVVDKHGREEFICAWGCALPGHFYRHEFIARHVAVHEAEDRRALIREMEAAAAADFHGEAAQGGGRRGAAAGRAVPAPAPGLARGARGAPVDDHQLQAPAAGHGRGRGAVVDAPAPGRGRRGGSPPAAGHGRARGAVNAPAQGRGGRAAPRAADDDDDEEEEEEEEEEPRRDPGPHRGRGRRRRARGDDYRR* >Brasy2G466000.1.p pacid=40066778 transcript=Brasy2G466000.1 locus=Brasy2G466000 ID=Brasy2G466000.1.v1.1 annot-version=v1.1 MVIAPCGGLSLSQCSSPRTPVQDPLLIPSEEAITAVEEEWHPARPKAWKRGLRVPSSGDLLQSRFRSQDVEARRQALAFKSKLAGRCFRCLSTSHKLAHCRDLLRCLRCLDNGHLARFCRRPRHASSSNRPPPSPPPLPSEEWPPLQAPAISYTPGEACHRPETSHATMQSTPEMEVEAYQFRRVALFATADDKHSDINPALVMKALGQALDLPWDSMRAALAFPKDFMVRFSEPWHRDRALELGSFLVCGVNFKLSVWEPYPPGCTKVWRYYCLWTWNPDLIPCSADFDILDRAGDSRSRLGIPEGTPTEDGRQGPQLTILIHLDVTKDYTPIDDGLDWPRIYRYLWAIGVLDGRPIPRPPRRNVANNFAARRCDDDGSDGSGRRRSSRRSGRKRGMWQMVLGQAQCRDTDRVDPAPRWSRHHQAAAGGDTRQADATTPAAMLPTPAPCPVTVPAGSVALSSTASLPSLPRDMSLVADVVEEEDEPSPLEEVLVAAGAWSSRSKGKEVVADNPD* >Brasy2G362700.1.p pacid=40066779 transcript=Brasy2G362700.1 locus=Brasy2G362700 ID=Brasy2G362700.1.v1.1 annot-version=v1.1 MDPASEVEYEITGMLRVHKSGRVERLDGTDTVPPSPSGDPATGVASKDVVLDPASNLSARLYLPSAAVAGDPGKKLPVVVFFHGGAFMIQNAASPLYHPYAASLAAAAPALVVSVDYRLAPEHPLPAAYDDAFAALKAVVAALLRPGADPELSWLAAHGDPSRVVMAGDSAGANMAHNAAIRLRKEGGIHGYGDEISGVALLHAYFWGKEPVGGEPADAGYRGGIDQVWERACGGRFGHDHPYINPAAVPEEWRRLGCGRVLVATAELCFFAERARAYAEGIKRCGWEGEVELYETKGEGHVYFLFKPGCDNAVRELAVVAGFVRRC* >Brasy2G129900.1.p pacid=40066780 transcript=Brasy2G129900.1 locus=Brasy2G129900 ID=Brasy2G129900.1.v1.1 annot-version=v1.1 MFFIFLGPYKRESKRFLCVHVFFTCVACCVRVIVVLSSWGHFRYFFSLQLIHSYVVKRPILITAASQTQQLSQHSRFTAACARCQGGTRLSAFFPLLVSSFSPGTLRLRLSLSSLSLAVWFSAADPWRWRPAAVDPWRWRPAAVDPWRWRRAAAWRKRSGRWPWRDSEGGAAAACSGRGAIQRASQQYPAAGGGRGAIQPAAQQQTAVRRSAETRTAARVSACRRRRVDGEEGPRVRERGRRGVRRLPRSSSSPSISRGGVGHPADSDLGVAVGRRGGGHLHVARRGAVG* >Brasy2G287100.1.p pacid=40066781 transcript=Brasy2G287100.1 locus=Brasy2G287100 ID=Brasy2G287100.1.v1.1 annot-version=v1.1 MNSLTLSLIPRVYPTRILVLEPSSSSSCYFGGLRSRCPPRRRHLRLHFPVPPPRDPKDAVSVHWKNRDERGRRRSRHGGDRAPSRQNQKEAAGGGSRRSPPSCGTRPWWRPTGAPAPRRRRKEGHADDIGLPPVCDDAHDGGGGRCGCGVEDRGEEPVLGAVLPDGAAALCRLAAPLSPERLEGTRGESFWRRIGRKLQRAMWGLATPWRGYWAGLGYYQTRTRHTRRVRDFPHLQTRGFNFFSDPYLIGSRPVGFRVPIAIRSRRPLAVLDSRGRHPPTVLTSRTRRRRHHATSRAPRRCPRAPDAFTRRPPAAALTRLPPPPPLSLLLRRHRRIAPPPPRGPLAAATASRAYRRRLITPCTAGFAASSRLFVFLTK* >Brasy2G307200.1.p pacid=40066782 transcript=Brasy2G307200.1 locus=Brasy2G307200 ID=Brasy2G307200.1.v1.1 annot-version=v1.1 MSIISLLSEMIAAAALILVSLSLSAAGAAAPPVPAPMGMANCDTKCGDITVPYPFGMGSPHCYHSAPGFNLTCAGNNTAHPRLLMGNTDDGDLQVESFDPSESSVLVMRIPGDAADSRGNGSLFAVGALGRYITLSRGLSSYYGNEFILLGCNVRATLKSGNFTMSACASLCDDDGYTPVLRSMLDTSMLCTGKGCCQAPIVMDAPPGKKPAAAATYHVQLEWFGRNRSADEERMPAQVLVARPGWFEHKYDSYHSLSLQPQDIARGVPVRLDWELPADPSSQRINSSHSVCSSTEGTRGGYRCRCDRGYDGNPYIANGCQDINECELQGYGCLGDTVCINNDGGFDCVCPSGTQGYSTALPGVCGVPVVSVTADGKYNRFCGDMEVPYPFGIGVGPSDCYRPGFNLTCVSYPSSSKPPRLLLDGDRAGEFQVRDIFLHNNTLRVTGSIKIEASNNIDAYGSFNQFLTDSVDALYSLSTRNELALMGCNVQATLSTHNERGDDRAIISGCATFCPEASAGKVPIASDKNCDGMGCCQACISESTDGLPFEILIKVADPNNAIHENTMQPPFVLIAEEGWFDHRRLSEQQMQAFQRNHTFSPKGPIALQWEVLQSAGLPKADAKSHPNCHEKVADDICKSKHSQCKPGNRGYSCQCREHYHGNPYIHNGCKGSIYSNSGIHISVIGVTCVASLVLLVLMSFFVSKKLRNHRAQMLRRKFFEQNRGQLLQQLVSHRAGIAERMIITLEELVKATRNFDKVLEVGTGGHGTVYKGILSNQQIVAIKKPKKVVKKEIDDFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLDKHLHVEGPKSLSWDDRLRIATETAKSLAYLHSTASIPIIHRDVKSANILLDDTLTAKVADFGASRYFPLDKSGVTTMAQGTRGYWDPMYFYTGRLTEKSDVYSFGVVLVELLTRKKPFSYLSSEESNLIQILDPQVMEEGGREVEEVAAIAVACIKLRGEDRPTMRQVELTLESYRASKEHILGNALPKKVVNNDVTIYRSSTNVRRGREKSTRCYSMEEEFMLSASYPR* >Brasy2G364400.1.p pacid=40066783 transcript=Brasy2G364400.1 locus=Brasy2G364400 ID=Brasy2G364400.1.v1.1 annot-version=v1.1 MGSITLKERDEEEALFTYRKKSPLKDRGSVKEKWTRDERRCLRESSYLGGAQRRKEDQRELIYERRKKGECFNCGKSGHFARDYWSKKHSGKEVVTMADVVVDEEEWDAQEGLNIMEDEVCFVEDITEGEHPSTQGVALEESREEENEDEEEWDAEGGFFMEDLEESGEEENDKEEEWNAKGGLFMENLEESGEDENDDKEECDIENGFSTKVRNLEPMNELPMFGYDSDGDLEDHEDKPNEQELIYHVDEKPHVEAKYNENGGEALVMKDDTLAKIQEEKEDKCVDHPTLEEPHRRELEAKKHEVWGTKPPDPRYVDAYLSEVSSCKEIANRQIWRRTKKKSELQRCNEVKKNLIHEEKKKAHNGRAQKIQGHH* >Brasy2G097800.1.p pacid=40066784 transcript=Brasy2G097800.1 locus=Brasy2G097800 ID=Brasy2G097800.1.v1.1 annot-version=v1.1 MLGIRVLRGSPPAAPAAPPKSHRCRSSAATASSQQLAIPAAVSLSLLLWSSPVNAGILSGSTGLESVPPPVMPRLEFLDKWNAENQRKYTENDSRFKSSKVLKELLERSKQNKEKNERQIQDKYCLRGAEWGVGDCSTVGMSDQEREDFIAELRKRTEGQ* >Brasy2G201700.1.p pacid=40066785 transcript=Brasy2G201700.1 locus=Brasy2G201700 ID=Brasy2G201700.1.v1.1 annot-version=v1.1 MGDLNLRGSLLLLLRLHLLLSLFIPLVTADIASEKEALLAFASAVYHGNKLNWGQNISLCSWHGVKCAADRSRISAIRVPAAGLIGIIPPNTLGKIASLQVISLRSNRLSGSLPSDITSLPSLRSIFLQHNELSGDLPSFSSPGLITLDLSYNSFTGQIPTSLQNLTQLSILNLAENSFSGPIPDLKLPSLRQLNLSNNDLSGSIPPFLQIFSNSSFLGNPGLCGPPLAECYFVPSPTPSPQSSLPSSPTLPRRGKKVATGFIIAAAVGGFAVFLLAAVLFTVCCSKRKEKKVEGVDYNGKGVDGARIEKRKEDVSSGVQMAEKNKLVFLEGCSYNFNLEDLLRASAEVLGKGSYGTAYKALLEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEYVTTGSFSAMLHGIKGIVEKTPLDWNTRMKIILGTAYGIAHIHAEGGPKIAHGNIKSTNVLLDQDHNPYVSDYGMSTLMSLPISTSRVVAGYRAPETYESRKFTHKSDVYSFGVLLMEMLTGKVPLQSQGQEDVIDLPRWVHSVVREEWTAEVFDVALMKYHNIEDELVQMLQIAMACTSRFLERRPTMAEVIRMTEELRQSGSESRTSSNENLRDSNPPSA* >Brasy2G097500.1.p pacid=40066786 transcript=Brasy2G097500.1 locus=Brasy2G097500 ID=Brasy2G097500.1.v1.1 annot-version=v1.1 MEAPLPCPTGSSPGGATNEMSEPAPEQSYENGATNEMSEPAPEQSYEDAVVSAEEARLWSVVTADCLDFNAWTALIDETERIAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGVSKVIEVFERAVLAVTYSVDIWLNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNTLWDEYIKYEESLQAWSHLAVIYTRILEHPIQQLDRYFNCLKELTTTRNLSEILTAEETSMYGATVETSTQAVDGEAHPNDVEESAEPEIPRPTEAENQARYISIREEMYKKAKEYESKIISFELAIRRPYFHVKPLDKPELENWHNYLDFIEAEDDINKVIKLYERCVIACASYSEFWIRYVQCMEHRQSLELANNALARATHVFVKKQPEMHLFSARFKELNGDAAGARAEYQHLYSELYPGFLEAIVKHANMEHRLGDKEAACLVYEKAIGAEKEKEQSQLLPTLLIQYSRFLYMVRDLEKAREILTGLHDQANMTKSILEAVIFLESIFPSEKRIEVLDSLVEKFLTPEPTHGELASASDKEEISSIFLEFLDIFGDAQSIKKATTRHTILFSRKRSVLPSKKRRADDAVMSDRDKIAKTGDGTQPVLGTEPNVHNPAVWPATSEASGQQWGAAYAPQAAYPAYGTYDYSHQMPQSAPQAPAYGAYPPSYPAQAYTQQSYAQPAAVPVVAPAAAPPAPVPTAASYPQQPAAAPQPYYGTATYY* >Brasy2G339700.1.p pacid=40066787 transcript=Brasy2G339700.1 locus=Brasy2G339700 ID=Brasy2G339700.1.v1.1 annot-version=v1.1 MSNPEATKQPPPDEAAMERRADETVQACKDGAAGNDSPPPPFLEVTCRSSGEVRRFAAGTTARYALHAVNRKLPPGAPAALHVEAAKDGEEPVCFGPTAPLADYGRGWRLQTVTEQDAPGTHHDAKQQGGEAKGARDREALRKKGASVYLAKIVLAFVFILLFGGLFTYLLEKIPDMIQLAATSASPESS* >Brasy2G173400.1.p pacid=40066788 transcript=Brasy2G173400.1 locus=Brasy2G173400 ID=Brasy2G173400.1.v1.1 annot-version=v1.1 MENEVEEDVEDSNNVEAKKQTLFNRASPMKIIKVCKLLDRKQRKKIMKAGFGSFLDIKCSKLFPELCKYLMDHFNPDTSALEFPEEERGSLPITIDTVYEVLGIPKGALPVIYQYDSVSVKYVLELLQVEDGRQPKISDVETRLTEMGTDEDPFLLLWMLYVVCFLAPTTGVRVSPKCYPSLVNVSQIKRLDWCRFTINILIQTAKAKNKNCFKACMPLLM* >Brasy2G348500.1.p pacid=40066789 transcript=Brasy2G348500.1 locus=Brasy2G348500 ID=Brasy2G348500.1.v1.1 annot-version=v1.1 MAETLVGLRLAASAVQQPQIRRISCATSAHCRLSPFRRGRLCARAAVAGAPEVDEEDAMSIDNLCRFFDLNVGKWNGSFYQFDAHGRMLQEISTRLSVSTYGEGGLISLMQSLYIKQASSEMSFVGEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEQKAFALRYQTAGMLETVLRAGVLGEDDTGEESPRNLKIPSRKPSIVCENCLYSLDGNGRVRAFHIMDPQGVLDTLTVFHEKQGSIVSLTGSSPDDPEITSDDRITALLGRWEGRSVTKRSGVYGSTLDEADTVVLLEMDNNGQLIQDNISTKMGTSTTTTFHWTGLANNNLLQFHGGYEMTLLPGGMYMGYPSDISKCIEQLDSFHLEFCWMESPGKRQRLVRTFDSAGLAVSSTYFIETKV* >Brasy2G411200.1.p pacid=40066790 transcript=Brasy2G411200.1 locus=Brasy2G411200 ID=Brasy2G411200.1.v1.1 annot-version=v1.1 MATATARWLVLFLSVSAAWAAYGEVKWKGGATVGGMVVEKERRRVVAASEAGTVTAADVADAAGTVYRLQFITMEPGSLFLPVELHADMVFYVHSGRGKVTYIQEGGSEASALEVERGDVYNLEQGTILYIQSYPNATRERLRIYAIFSSSAISSDDPSHPTSEAYSNVSNLLKGFEVEILRRGFGVPSEVVEPIKSAPSPPLIIPYNPKDKEEKPSNWAEEIFDAFWGIRDPQFLNKKKKKDKDKKDKDKKSTDKTFNFYSGEPDVKNCHGWSKTMTNQDLRNLRESNIGMFMVNLTTGSMMGPHWNPKATEIAIVTHGSGIVQTVCPSSPSGEGKRGPHEVGGEEIKCKNSLFRVKEGDVFVVPRFHPMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKLSALQVIGKEILALSLGQDNSTDVEKLLSAQSDSTILTCVSCAEELEKKVEQEEQEREKEKREREEEERRKKEEEERARREEDERKRKEEEEKARKEEEERRRREEEEKARQEEEERRRREEEEEEKRRKEEEEKARQEEEERRRREEEKARKQEEEKRREEEEKARQEEEERRRREEEERARQEEEERKREEEKARKEEEERARKEEEEEEEERAKREQKQEEEERARREEEKEEIARREQEAQEEARREQEEIARREQEAQEEARREQEERARREQEEEERRRREEEGGGGGGDEPGEEEEGRRSKQEEGGRGREDEPRNEDEGGDWGDLQNHTAKKLKKRYSLRKGAVLQSA* >Brasy2G455900.1.p pacid=40066791 transcript=Brasy2G455900.1 locus=Brasy2G455900 ID=Brasy2G455900.1.v1.1 annot-version=v1.1 MAPVSPPPASSLQAILSSVEGLTSFSDQLADFLDQWNSLLLDTNAVLADLPQLPEPAAEPVPKPIPVFELGPIRVAEPADLLRQLKSALVDSTPSPPAAAAAVPVADLVPQSNPKPEREPIRVPEPEGLLDKRSSVIVDATSFPAAAKPVLAAAANPVLEPVLLPAEVETKRKPEPQPKPAPDRERKAGGAPAEAVLGNICEGMGSRALRRFATAHMRDRDRSWLRRVAPAALLRAPDPAALVLRAVGRYFICAESENAEAACVLLLELYVRAGCPRRQEQWRGEAALRAEAREAALSWRSRIVREKGRVADASSRDASGLILFMAAFGVPAEFPVQELYELLFAGGGLACAEVLKCSLLFARKMREVAADRLNKGSYHEAIGVILAFELQDAFPLAGIMSYVVEKVVHNRKDQECEGQPNLAGSKELDEEELVLLISISKYVEEHTPCSSEVLCLSIAERIKLLEERIGKPMQAVKAMKQI* >Brasy2G291900.1.p pacid=40066792 transcript=Brasy2G291900.1 locus=Brasy2G291900 ID=Brasy2G291900.1.v1.1 annot-version=v1.1 MASNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRSGVDEPFAWQSREFLRELCVGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVAAGWARVKEQGPKGGEQSPYLAELQRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGESSGFDAKGFAVANKGKSLEAIVEQVRDGSTIRVYLLPSFQFVQIYVAGVQAPSMGRRPPNPTVVTEAEGTADVTNGDDSGETPAPLTTAQRLAASAVSTEIPPDRFGREAKHFTETRVLSRDVRIVVEGTDSFNNIIGSVYYPDGDTAKDLSLELVENGLAKYVEWSANMLDVEVKMKLKSAELKAKNEQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPHGSPSAERRVNISSIRAPKLGNPRKEEKPANFARESKEFLRTRLIGKQVTVEMEYSRRISTVDGQNVLSSTNAADTRVLDYGSVFVGSPSLASGDDTSSITSPGNQPRINVAELLLSRGFAEISKHRDYEERSHYFDALLAAHSRAEKAKKGLHSGKLSPVMHITDLTMVSSKKAKDFLPFLQRNKRHTAIIEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKDEPYSSEAIALMRRMILQRDVEIEVEAVDRTGTFIGSLWESRTNMSSVLLEAGLAKLNSFNLDRIPDAHVLTRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYAQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRGAVESVDDKFEVFYIDYGNQEVVPYSRIRPADPSVSSSPALAQLCSLAFIKVPGLEDDYGQEAAEYLSECLLSSSKQYRAMIEERDTSGGKSKGQGTGPILIVTLVDGEAESSINAAMLEEGLARLERSKRWDTKERKTALNNLEQFQEKAKKERLRLWQYGDVESDEEEQAPGARKPGGRR* >Brasy2G246900.1.p pacid=40066793 transcript=Brasy2G246900.1 locus=Brasy2G246900 ID=Brasy2G246900.1.v1.1 annot-version=v1.1 MPEPFLQLPCVAVACLGFERRCCRFPSPQPPLWSPPLLLTLLFSAVAASPAARRRSCSTITLQSRRSSVANPCLNQPRPKSCSLYCQEKEAPYIRYRARRREEIKERRKCSSCPVVLDSENLESEEEKRN* >Brasy2G074400.1.p pacid=40066794 transcript=Brasy2G074400.1 locus=Brasy2G074400 ID=Brasy2G074400.1.v1.1 annot-version=v1.1 MGMDGSIPGTSGELLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREQPKPVYVLARRDNQLWTMKTRRNRSEVERELGMLFSKGGGSGVGTKSKYGSKFSMLVEDITEGVLVFEDEDDAVKYCDLLQGGGQGCEGIAELEASSVFNICHKMKALAVLFRRGRTPPMPQSLQRDLRARNRSLED* >Brasy2G022600.1.p pacid=40066795 transcript=Brasy2G022600.1 locus=Brasy2G022600 ID=Brasy2G022600.1.v1.1 annot-version=v1.1 MNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIAANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQRQVPGAETGASIGAAQDDDDVPELVPGETFEEAAEEKKEPESEEKKESS* >Brasy2G107400.1.p pacid=40066796 transcript=Brasy2G107400.1 locus=Brasy2G107400 ID=Brasy2G107400.1.v1.1 annot-version=v1.1 MASDVDSPPSRLGSDPGSDPLPLAERRTGFLRPPPPRRMEPSPPTPDRFEASPVPEGTGGATPQTWPGLPRGVEFNPSDSDLLWHLAAEIGNGQAHRHPFISDFIKSTDEDGGFCRTHPEDIPGMRQDGHASYFFHKKLKLYNDENGKYICWQKSGASRSIILDGSLQGCMEVFVLYAFNKSDNSPKKTDWKLHQYHIKNTMEDEGELVVSKIFHKSQKKCCEWAAKAPVQCAQDDCVTENDSKEDNVEAQLENCSVNMATDSNFVEGDGNNQEQLLIEMYPDQDKLSFCKDVLDTTYINHENQINDHTETETDLDHISLQERYRILLAEKNSLSAMASAEKCVMNDLENTSRQMGAETSRYHEGTAYSEDICSILQEISSAPPITDSMDTDNNTRLMGEIPQDNEISSIECLVGNNEIPHDPAKDTECPVNGKTLNSSAGLLSSQTPTGGGENAHLAVRNAGSYLVDVKREPALEGYEINPSEYPQANDTHAAGSPSLGVKSEVTGCEFTGLCENNSINMLEPIVNKTNTRTLNHNGGLAYCSHQRKKRKIATDSSEKVLEEDAYRNDESTAYPSRRRRKKKTATDSIEKALEEDAPGLLQILMDRGIMVKEIKLYDVEEDDEMLPDCTESDFQDLENVITKLFPPRVSLLKSTARHLKGEKAIYCLACLISLIEQSRYLQFRDCPVEWGWCRDLQSFVFVFRSHNRLVLERPEYGYATYFFEIVQSVPIEWQIRRLVVAMKLSSCGRTALIENRPLLVGEDLTEGEAHVLEEYGWIPNTGLGTMLNYRDRVVHDRWNEKYSTDWKMKIGKLLMNGYSEGQLIITHNPLKLETLPEDSEDIKLEDPF* >Brasy2G175100.1.p pacid=40066797 transcript=Brasy2G175100.1 locus=Brasy2G175100 ID=Brasy2G175100.1.v1.1 annot-version=v1.1 MCHLGINWGHLPLPKDQTQHTSKQATPENLQAKQVVAYSPKPKPHTAALAFSSHSISVPFGSSRIVMDTRIGSVDGPSPAAVNGAVGCPASAPGCPIMSSHPAPVSAKEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDDLVAEPGLNLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGVPDFSQELRCFQTVTCHQAVVNNLDDAHEQIDTAISTALRESKPVYLSISCNLPGIPHPTFSRDPVPFFLAPRMSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAAKAFVDLADASGYAYAIMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEYLSELAKRVKKNTTAYENYKRIFVPEGQPLQGEANEALRVNVLFKHIQKMLTGDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANGKRVIACIGDGSFQVTAQDISTMLRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVKCEEELTAAIETALGEKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ* >Brasy2G287400.1.p pacid=40066798 transcript=Brasy2G287400.1 locus=Brasy2G287400 ID=Brasy2G287400.1.v1.1 annot-version=v1.1 MTGGTTAATTTPTRTLSMKLLVDTKARRVLFAEAGKDVVDFLFSLLALPIGTAVKLLGAGSMVGAAGNLYDSVEKLDDTYVQPGAAKGALLCPVVAPSPADASNSALLGLPAWTPPPSNRFFRCSYYQNSDCRKYVADSCGTKCP* >Brasy2G298700.1.p pacid=40066799 transcript=Brasy2G298700.1 locus=Brasy2G298700 ID=Brasy2G298700.1.v1.1 annot-version=v1.1 MEVARGSNGGKLNPWAEPFVPGSLCRPVVVEAETEAEVDDFSPEWWRLVASSSSFRDRWLRDYGDLGLLDAADDIPDDAREGDGRKEEVVAGKKGGGGGGEVATWGIEKWWRAHVTQPEVPKYAEKAPKKVPGGARFSPRTIQQPR* >Brasy2G298700.2.p pacid=40066800 transcript=Brasy2G298700.2 locus=Brasy2G298700 ID=Brasy2G298700.2.v1.1 annot-version=v1.1 MEVARGSNGGKLNPWAEPFVPGSLCRPVVVEAETEAEVDDFSPEWWRLVASSSSFRDRWLRDYGDLGLLDAADDIPDDARGDGRKEEVVAGKKGGGGGGEVATWGIEKWWRAHVTQPEVPKYAEKAPKKVPGGARFSPRTIQQPR* >Brasy2G102900.1.p pacid=40066801 transcript=Brasy2G102900.1 locus=Brasy2G102900 ID=Brasy2G102900.1.v1.1 annot-version=v1.1 MRTISVRPARVSQVTSKRKTTQMILKRLKEVRKRTEMMNSAAQARARNMGDVQTMLMEEMPGVCTAGLPRRVEGFLYKYKTGDVVIVCLCHGLFLSPEGFVKHAGAVNVENAMQHIMMKPAAP* >Brasy2G021600.1.p pacid=40066802 transcript=Brasy2G021600.1 locus=Brasy2G021600 ID=Brasy2G021600.1.v1.1 annot-version=v1.1 MWAASKKQFAALRDSMLAQMKSLMAEMKSLTDGMERTWREKMSMVNASLTSMKEQMKKMAREENTTAADVVEFMERSFPNAVGHLNHLLLKPIPGHLDGLLDVKRKRHRET* >Brasy2G220900.1.p pacid=40066803 transcript=Brasy2G220900.1 locus=Brasy2G220900 ID=Brasy2G220900.1.v1.1 annot-version=v1.1 MLEEDTHRGASRGLRMLRERWQGCVFLLALLLSNASGATSLGVLPSDLAKIVQSKQTKQARVCGADRRLLRSLANTGEEVILTIPNEQLQHMAEFPEEADLWVAANVVPFLPATRITHILAGINVLPTSSAPGEESYVLVPALLNLHAALVAARLDGHVKVSTALSGSPSWSAATTGHMLRFLEETGSPFFLLSAPPKATNARADHVYGAMRALKLSGVPLIVAESEPEELVGKLVYRSYMYGSGRRRSLATGTFCVALQNADPTALQAGLSWACGQGQADCSAIQPGGACYKQNDLAALASYAYNDYYQKNAGTGATCSFNGTATTTATDPSAGSCVFEGSTTAGGSNSSVPSASPPTSLAPPSGLTPPTGSSPLSDFGPPAAGFGPPAGFGPPSGFGSPPSAFGPPGSFNGSGTFGPSGTLEPYGSGCRHVASLAGLTLLSAAVLAVLLASPDLM* >Brasy2G083000.1.p pacid=40066804 transcript=Brasy2G083000.1 locus=Brasy2G083000 ID=Brasy2G083000.1.v1.1 annot-version=v1.1 MSYNVNRITFLQECGKKFRESAQYKVYYLDVKSDYQELQESNFQMNTLPALMDRVGVILDEEPKRVRKEREEQEERRRKERQQEEERKEAEKRERYKSIFRSDSSSSSEVQVVEVVPDSDEVDQEVQGPEEDEEEVQGLDEEVQGPEEEIQGPDEEEGHLAEVQLTNKHTYFHYPEDDEDSGA* >Brasy2G361000.1.p pacid=40066805 transcript=Brasy2G361000.1 locus=Brasy2G361000 ID=Brasy2G361000.1.v1.1 annot-version=v1.1 MGKAARWLRSFLGAGGKKDAKRDGRATTHAEAPLPNPKEKIRWSFRRPVVLPAAEQQDAGAGAGAGASRPRQAPESETVVFDQKKHAVTAAADMAAATVVRLSSRSKAPPASIILAEAAAAVRIQATFRGYLARTALCALRGIVKLQAVVRGQLVRKQAKATLRCMQALLAAQSQLRAQRLRFLQIQDPDQPPPRPRQHPRHRRPSYETDMRSCEENAKAVETDYSGGGAKGDRHRQCSPAPSSGMTTELSPTRASSWHLEASSSPQSQNVSAGTEQPSYMGNTESSRAKVRSQSAPRQRAMAMDAHALLERQPSGRRKGAEHRSVPRGARMQRSSSQQQQQHCGSGSSSSFFRPWSSSVKLDSSSASLRDRDRDRDSECGSTTSSVLTAATTVYSRTRSLVGLEVRRGLY* >Brasy2G361000.2.p pacid=40066806 transcript=Brasy2G361000.2 locus=Brasy2G361000 ID=Brasy2G361000.2.v1.1 annot-version=v1.1 MGKAARWLRSFLGAGGKKDAKRDGRATTHAEAPLPNPKEKIRWSFRRPVVLPAAEQQDAGAGAGAGASRPRQAPESETVVFDQKKHAVTAAADMAAATVVRLSSRSKAPPASIILAEAAAAVRIQATFRGYLARTALCALRGIVKLQAVVRGQLVRKQAKATLRCMQALLAAQSQLRAQRLRFLQIQDPDQPPPRPRQHPRHRRPSYETDMRSCEENAKAVETDYSGGGAKGDRHRQCSPAPSSGMTTELSPTRASSWHLEASSSPQSQNVSAGTEQPSYMGNTESSRAKVRSQSAPRQRAMAMDAHALLERQPSGRRKGAEHRSVPRGARMQRSSSQQQQQHCGSGSSSSFFRPWSSSVKLDSSSASLRDRDRDRDSECGSTTSSVLTAATTVYSRTRSLVGLEVRRGLY* >Brasy2G361000.3.p pacid=40066807 transcript=Brasy2G361000.3 locus=Brasy2G361000 ID=Brasy2G361000.3.v1.1 annot-version=v1.1 MGKAARWLRSFLGAGGKKDAKRDGRATTHAEAPLPNPKEKIRWSFRRPVVLPAAEQQDAGAGAGAGASRPRQAPESETVVFDQKKHAVTAAADMAAATVVRLSSRSKAPPASIILAEAAAAVRIQATFRGYLARTALCALRGIVKLQAVVRGQLVRKQAKATLRCMQALLAAQSQLRAQRLRFLQIQDPDQPPPRPRQHPRHRRPSYETDMRSCEENAKAVETDYSGGGAKGDRHRQCSPAPSSGMTTELSPTRASSWHLEASSSPQSQNVSAGTEQPSYMGNTESSRAKVRSQSAPRQRAMAMDAHALLERQPSGRRKGAEHRSVPRGARMQRSSSQQQQQHCGSGSSSSFFRPWSSSVKLDSSSASLRDRDRDRDSECGSTTSSVLTAATTVYSRTRSLVGLEVRRGLY* >Brasy2G320000.1.p pacid=40066808 transcript=Brasy2G320000.1 locus=Brasy2G320000 ID=Brasy2G320000.1.v1.1 annot-version=v1.1 MPAATAAPRSCASRHDADAPLHLIFVPFLSRSHFAPLAAQAAAAAASSGGATSVTILTTPHFAALAPASVRVREAPVRFPVEDFSLLPEDDDGAASAPAFFAAAQAALAPALAEAIRAITAQDAVAAVAVVSDAVLHWAPGVARECGVPHVTFHTIGAFAAAAMVAAAPLHRPSGPAVVLLPGGFPAQAQPKLRRVHVDEQALAHLPIFRAAEAQSHAVVFNTFSALEADFADYYRTADNDGGSPNPTKVFLAGPRRAGGGVITTGAAAERDPILRWLDGQEAGSVVYACFGSTCGLSSNQLKELAAGLRASGMPFLWVIPTMPTGGMEVEEHASRNGMVVAGRWAPQGQILAHGAVGGFVSHCGWNSALDALCAGVPLATWPLRADQFLNEALLVDVLRVGVRVREVECEADVGAVVPAEAVASAVGKLMGGDADEAAGRKARVKELAVAARAAVEEGGSSFGDWARLVDELKALRGLPR* >Brasy2G123900.1.p pacid=40066809 transcript=Brasy2G123900.1 locus=Brasy2G123900 ID=Brasy2G123900.1.v1.1 annot-version=v1.1 MRPQGPSCSPDHYTYPLALKSCAASSSLVLGLQIHSSIARLGLDANLFVAHSAISMYARCGRPDDAYEMFDEMQHRDVVSWNAMISGFAHAGLFGRSLGIFRELVRLQCPRPDAGTMASILPAMGNAKAEDISLVRGVFDEMRFRGLISWNAMLSIYAINGLHIQAVELFMRMEKDGVEPDAVTLATVLPSCGEVSAFSLGKRIHEIIKRKRMCPNMSLENALTNMYANCGCLKDAREVFDCMSVRDVVSWTSIISAYGIRGHGTEAVNLFESMREQGLEPDSIAFVAILAACSHAGLLDVGKRYFDCMTSRYQMTPKAEHYTCMVDLLGRAGCISEAYDFIMAMPIDPNERVWGALLGACRIHSNMDIGLLAADSLFRLVPNQTGYYVLLSNIYARAGRWADVTSVRSVMASKGIKKLPGGSSVEIGDQVHTFHVGDRSHPQYEMIYEKLDELLGKIKGMGYNPEVEATLHDVEEEDKEGHLSVHSEKLAIAFVLINTRPGTPIRITLNLRTCGDCHHAAKLISTIANREIILRDINRFHHIVQGVCSCGDYW* >Brasy2G177900.1.p pacid=40066810 transcript=Brasy2G177900.1 locus=Brasy2G177900 ID=Brasy2G177900.1.v1.1 annot-version=v1.1 MPQDRFDHRGTNSSFPSPPPKWRHLLQISHPPLPLLYSTPESSSPPPTPLKTLTLPGPLAMAADYRTPDRLLPAAAEEPSQDPPKPVLSVAGPAAAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPTLSLGAALRAAVSGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSDRLGPNNPVAHASSGVLATVASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVFRDEGLRAFFVSYRTTVLMNAPYTAVHFSTYEAAKRMLGDMAANEESLAVHATAGAAAGALAAAITTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYIGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK* >Brasy2G177900.2.p pacid=40066811 transcript=Brasy2G177900.2 locus=Brasy2G177900 ID=Brasy2G177900.2.v1.1 annot-version=v1.1 MPQDRFDHRGTNSSFPSPPPKWRHLLQISHPPLPLLYSTPESSSPPPTPLKTLTLPGPLAMAADYRTPDRLLPAAAEEPSQDPPKPVLSVAGPAAAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPTLSLGAALRAAVSGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSDRLGPNNPVAHASSGVLATVASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVFRDEGLRAFFVSYRTTVLMNAPYTAVHFSTYEAAKRMLGDMAANEESLAVHATAGAAAGALAAAITTPLDVVKTQLQCQLFQLISKIIGATVCSKHSSFMRSFDLLVTQW* >Brasy2G174700.1.p pacid=40066812 transcript=Brasy2G174700.1 locus=Brasy2G174700 ID=Brasy2G174700.1.v1.1 annot-version=v1.1 MADPAEHREEEETAAAAEEEDTGAQIAPIVKLEEVAITTGEEDEDVLLEMKAKLYRFDKDGGQWKERGTGTVKLLKHKETAKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADCELKEEMFAIRFGSVENCKKFKDMVDLIAEEKGKNEEKESDEVSSAADLVVKLTVTESKEENVEKEETPAVDDKKDSKE* >Brasy2G315800.1.p pacid=40066813 transcript=Brasy2G315800.1 locus=Brasy2G315800 ID=Brasy2G315800.1.v1.1 annot-version=v1.1 MGGGGGEAAAAAAATATAVVEEDGEARKGKKGWRAKVRLSRVLVVLWVVAASALWLGLHWRFRRAALRKAEEGIVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKQPPALDQDTFADYTARTSFERPLLSGVAYAQRVMNADRESFERHQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPPDAKVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGAPSPSHICMLDFGDPFRKHHMICRYRNKHHVPWSAITTPSGVFVICMLVGYIIYAAWTRYDNVKEDCRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLELESVPFDLRSILDDVVSLFSSKSREKGIELAVYVSERVPELLLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVVNGINGHKDETSTISSSVSHNTLSGFEAADSRNSWENFKLLLSYETNEMPYGSDSDKVTLVVSVEDTGIGIPIHAQDRVFTPFMQADSSTSRNYGGTGIGLSISQCLVALMGGQINFVSRPQVGSTFTFTAVLQRCERNAISVSKSALLHPLPSSFKGLSSLLVDKRPVRATVTKYHLQRLGIASKSVGTIELALGALSGRNGSLLTSMPRKQISMLLIESDSWGLKMDVPLHTRLLEMKQNGSETVFPPVILLASAESDKMKAKYAVDSVITKPLKASTLAACLFQALGISITQANREKHHDSSPLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALALLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEAKAHEQEDDADNSEADSTAKRAEWHLPVLAMTADVIQATHEECTKFGMDGYVSKPFEEKQLFQAVEKFLGPSISS* >Brasy2G315800.2.p pacid=40066814 transcript=Brasy2G315800.2 locus=Brasy2G315800 ID=Brasy2G315800.2.v1.1 annot-version=v1.1 MNADRESFERHQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPPDAKVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGAPSPSHICMLDFGDPFRKHHMICRYRNKHHVPWSAITTPSGVFVICMLVGYIIYAAWTRYDNVKEDCRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLELESVPFDLRSILDDVVSLFSSKSREKGIELAVYVSERVPELLLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVVNGINGHKDETSTISSSVSHNTLSGFEAADSRNSWENFKLLLSYETNEMPYGSDSDKVTLVVSVEDTGIGIPIHAQDRVFTPFMQADSSTSRNYGGTGIGLSISQCLVALMGGQINFVSRPQVGSTFTFTAVLQRCERNAISVSKSALLHPLPSSFKGLSSLLVDKRPVRATVTKYHLQRLGIASKSVGTIELALGALSGRNGSLLTSMPRKQISMLLIESDSWGLKMDVPLHTRLLEMKQNGSETVFPPVILLASAESDKMKAKYAVDSVITKPLKASTLAACLFQALGISITQANREKHHDSSPLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALALLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEAKAHEQEDDADNSEADSTAKRAEWHLPVLAMTADVIQATHEECTKFGMDGYVSKPFEEKQLFQAVEKFLGPSISS* >Brasy2G315800.3.p pacid=40066815 transcript=Brasy2G315800.3 locus=Brasy2G315800 ID=Brasy2G315800.3.v1.1 annot-version=v1.1 MNADRESFERHQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPPDAKVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGAPSPSHICMLDFGDPFRKHHMICRYRNKHHVPWSAITTPSGVFVICMLVGYIIYAAWTRYDNVKEDCRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLELESVPFDLRSILDDVVSLFSSKSREKGIELAVYVSERVPELLLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVVNGINGHKDETSTISSSVSHNTLSGFEAADSRNSWENFKLLLSYETNEMPYGSDSDKVTLVVSVEDTGIGIPIHAQDRVFTPFMQADSSTSRNYGGTGIGLSISQCLVALMGGQINFVSRPQVGSTFTFTAVLQRCERNAISVSKSALLHPLPSSFKGLSSLLVDKRPVRATVTKYHLQRLGIASKSVGTIELALGALSGRNGSLLTSMPRKQISMLLIESDSWGLKMDVPLHTRLLEMKQNGSETVFPPVILLASAESDKMKAKYAVDSVITKPLKASTLAACLFQALGISITQANREKHHDSSPLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALALLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEAKAHEQEDDADNSEADSTAKRAEWHLPVLAMTADVIQATHEECTKFGMDGYVSKPFEEKQLFQAVEKFLGPSISS* >Brasy2G164400.1.p pacid=40066816 transcript=Brasy2G164400.1 locus=Brasy2G164400 ID=Brasy2G164400.1.v1.1 annot-version=v1.1 MALGDDSAASYIRMVHHLIEKCMTFGMSMEECMEALSKRADVQPVVTSTVWKELEKENKEFFDRYEQLRSEKKQGLESSCNNSSGTS* >Brasy2G045500.1.p pacid=40066817 transcript=Brasy2G045500.1 locus=Brasy2G045500 ID=Brasy2G045500.1.v1.1 annot-version=v1.1 MAKTRKPPPPPPPPPPPPAPAETPSPQRKRKKKGRPSLLDLQRRSLRLQAQNPEASSSPPRRDPNPSDDDEDLGGGSGRRRQKRLKSVLSGAGEEEEAVEEKKDVAKAMGKGVAASDGGPTGTPLPDKKLLLFILDRLQKKDTYGVYSEPVDPEELPDYHELIEHPMDFATIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASDSEEEQPKIAPRRGRPPKNAKRTVEKTERDVSPDLSNPKANKSADNTETRKRSVGDRTRNTNISMRDSPILHHSTLGSCSGKRTDKTGVCSGPSKYGKKITYLDDDRRSTYDQQYSHYSPLFSALDCERKLLVPIGVQQQHAYARSLARFAAKLGPVGWDIAAKGIRRVLPEEKFGPGWVGDGEPLQNSQWARVPVFTDPSAESSIPSHSMTSRSDDLHRNSELSSNGDVTGEEHLTRNQPVASTSTGFDKNSALASKPPKYVNGVSMSCDGVANTGPTPPLQQHGHSQETSSKINGIAAVPHTIGQYTGQGLFGPGMQMTHAQVLGMFSGVNGRANGYLHGHPLAAESVKTAQNGVIGKTTANPSQDAGHDQKGPSPQNDNSSASPSLNNAGAQPSGSPPRGKVVNPKHPDLALQL* >Brasy2G045500.2.p pacid=40066818 transcript=Brasy2G045500.2 locus=Brasy2G045500 ID=Brasy2G045500.2.v1.1 annot-version=v1.1 MGKGVAASDGGPTGTPLPDKKLLLFILDRLQKKDTYGVYSEPVDPEELPDYHELIEHPMDFATIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASDSEEEQPKIAPRRGRPPKNAKRTVEKTERDVSPDLSNPKANKSADNTETRKRSVGDRTRNTNISMRDSPILHHSTLGSCSGKRTDKTGVCSGPSKYGKKITYLDDDRRSTYDQQYSHYSPLFSALDCERKLLVPIGVQQQHAYARSLARFAAKLGPVGWDIAAKGIRRVLPEEKFGPGWVGDGEPLQNSQWARVPVFTDPSAESSIPSHSMTSRSDDLHRNSELSSNGDVTGEEHLTRNQPVASTSTGFDKNSALASKPPKYVNGVSMSCDGVANTGPTPPLQQHGHSQETSSKINGIAAVPHTIGQYTGQGLFGPGMQMTHAQVLGMFSGVNGRANGYLHGHPLAAESVKTAQNGVIGKTTANPSQDAGHDQKGPSPQNDNSSASPSLNNAGAQPSGSPPRGKVVNPKHPDLALQL* >Brasy2G059000.1.p pacid=40066819 transcript=Brasy2G059000.1 locus=Brasy2G059000 ID=Brasy2G059000.1.v1.1 annot-version=v1.1 MPSSIELPGRWIASSSWIQHTSRRLHLMEDVIPSLYGGLCASKETTRAAVHPVMQCHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDHSKVVICDGIAKFSADIRFILANDDRRTANWVKLEGIISDTLFEGRELPYSMKHLLMIMRTQPLTSVTYMKSHVGLLRQVNKTASFMRLYDSLHALRLQDESQYWTLLSFLPYRYSWYAIMSKNTLLASLFKGRFWFSSRHEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDIFDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >Brasy2G059000.3.p pacid=40066820 transcript=Brasy2G059000.3 locus=Brasy2G059000 ID=Brasy2G059000.3.v1.1 annot-version=v1.1 MEDVIPSLYGGLCASKETTRAAVHPVMQCHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDHSKVVICDGIAKFSADIRFILANDDRRTANWVKLEGIISDTLFEGRELPYSMKHLLMIMRTQPLTSVTYMKSHVGLLRQVNKTASFMRLYDSLHALRLQDESQYWTLLSFLPYRYSWYAIMSKNTLLASLFKGRFWFSSRHEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDIFDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >Brasy2G059000.2.p pacid=40066821 transcript=Brasy2G059000.2 locus=Brasy2G059000 ID=Brasy2G059000.2.v1.1 annot-version=v1.1 MEDVIPSLYGGLCASKETTRAAVHPVMQCHDMVIKDQYTCAKSEQVIRDNGFQVNDSPHHSKLSNVPLRGPIMSPHLSKTTYPLCLPRMSRWPTPMKGKFLIRWYCADTDGVQPVPQAGAVAVMSEAEIAANDDDSNLEVIYLPTDFDWHRHIAMGTFLYRGCVLRINSTPLLQYVSQNTMMELRAIYGDPSGKSEWFVKDIAKGHISSLMDSLDEFHSQGLCIEELDHSKVVICDGIAKFSADIRFILANDDRRTANWVKLEGIISDTLFEGRELPYSMKHLLMIMRTQPLTSVTYMKSHVGLLRQVNKTASFMRLYDSLHALRLQDESQYWTLLSFLPYRYSWYAIMSKNTLLASLFKGRFWFSSRHEILVQCYRGLSYHKMDDSVDPVTGEVLYTQEMFEDIFDSDLPEFLTELQFRLFIVGRHDLTQVDSLLPHSTADRQVTRIKMQLPVAAAALLK* >Brasy2G187400.1.p pacid=40066822 transcript=Brasy2G187400.1 locus=Brasy2G187400 ID=Brasy2G187400.1.v1.1 annot-version=v1.1 MSRPQPKPSPSLLSANTLRPSRRNPMATIITMAAMATFPSPRTAPQATGSRLNVAPFAARALRAQGGRRLVAVAGSASTPPELAQKVTESIKQAEETCAGDPEGGECVAAWDEVEELSAAASHARDRQKDSDPLEEYCKESPESDECRTYED* >Brasy2G458900.1.p pacid=40066823 transcript=Brasy2G458900.1 locus=Brasy2G458900 ID=Brasy2G458900.1.v1.1 annot-version=v1.1 MALTATVSHASGALPRRRHRHHRRAPPPSTRLTTPSLPTASSPATTTLDRVLADLESNPRLLTPALLAPLLAALPLHHAPRRRLAILRGLLPVSLLRRHPELSLRLLHLHASLGLLAYAHHIFDHLLPEQARRDQAFPWNCLIAGYAHLGRHGDALAVYLQMDEEGVPRDRFTFLCALRACAGAGAGVAAEIGRAVHRDAVRAGLADEVAVCDALVEMYAECGDLEMARKVFDAMPQRDRVSWNVLLAGCLRHGPLLRATEVWRRMLAEGHEHDSVALSTMLSLSSLRPGNGGKQGWEVHAWVIRHGLETELSVANALVEMYSRKNELGHAVSVFESMAVRDLVSWNAIISAHRRDFGVLMVFRRMVDSGTRPDETTFAAVLSACEDLGLVEGGTRLFSEMENEYRIQPALEHYTCVVNMLGKAGLVNEAYEFISKRRPLSREPTILKALLNVSSVHGNIRIREIAAKMLSDLEADNVHNFVTDGSL* >Brasy2G190400.1.p pacid=40066824 transcript=Brasy2G190400.1 locus=Brasy2G190400 ID=Brasy2G190400.1.v1.1 annot-version=v1.1 MKGNRPGKAMRSTPEVSEKDFLEDSGVLVKQVKELRRLVPCHREPCGIGELFQDAAAHIEDLQVQVKVMRMLLDKLSPDSEE* >Brasy2G481400.1.p pacid=40066825 transcript=Brasy2G481400.1 locus=Brasy2G481400 ID=Brasy2G481400.1.v1.1 annot-version=v1.1 MATARLRSATATSLRGILLRHCSVGPAAGTPHAVSRASDFQVPGSATWRHFSTCRPNPLAKGDNFGSVASLYGQARWASHATAVKETDPSGGKISIGPKSKQIKEDDKDDEGLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGGVASTVIFLSATTTAALHWFVSPYIHKLRWRQGSDSFEAEIMSWLATPLKKTIKFADIRPAETNRPFVTFRAEGNFYFVDAEHFSNKALLERLTPKHPNESAFKNL* >Brasy2G229000.1.p pacid=40066826 transcript=Brasy2G229000.1 locus=Brasy2G229000 ID=Brasy2G229000.1.v1.1 annot-version=v1.1 MSAVAWRWVWQQAASPSDIWCLTRMTSVGTGGGMEAANLFDVSRLFLPCLGQDRQYSTANQRQRQTTKPHLYLVLDDHGKQYTIHKLDIDNDDPDVGCGSAETPGCLPDPPLIGIGPPTIGKFARFAALGSQYIIGVGPFLDGDPLIDASTCGGTVIFDTKTAMLCVSNVLPGKILFGHEAAIAVRNRLYVFESCSDHEGDTKGNYYFPEGLHCLAADPDDDESHWAWPWRPLHYSSHFSWSWNACPPFFPFNPKSISAYVVHPRTGTIFLSAWGGMDCCGTYSYGSTGSGQWKCRGDWVLPFKGPAHYDKALGAWVGLHGSLQKEDTNGYLCACRVIAPGQPQPPKWNRRGDWVLPFKGPAHYDKVLGAWVGLHLRSLEKEDTDGCLCACHVIAPRQSQLPKWNTHVDAKLVYMGEGSKYCLVERLVPVGADKMNYVIRLATFVVMYGEDGELRTMAHRPARFYKAPSYRCKFDVQAFWI* >Brasy2G151800.1.p pacid=40066827 transcript=Brasy2G151800.1 locus=Brasy2G151800 ID=Brasy2G151800.1.v1.1 annot-version=v1.1 MAESTRYRSQIRLVGAAVQDWICVGSEGAITVHRSCPGASLRMAPAGFGYGYSTTIPVPDDLTLNRYPYPYPWSDMKFYHTRHPSWVRVTRGYLYPPRWNQFLAALVLQVGSKWAGTPLWQHSLTSCFRRGVARPRTADWRRWRTVASSQGGAGEPRTSGGRRTGGWGVDWRRSRRTADLRRRRWSELEEAVAEWTPGGGFGRFRGREGLEEARPSRSGTRARSLEEAAELDWDGNGTRDLGLGLGQGWDEGKLYTNDIGLGLGGV* >Brasy2G116800.1.p pacid=40066828 transcript=Brasy2G116800.1 locus=Brasy2G116800 ID=Brasy2G116800.1.v1.1 annot-version=v1.1 MEQSTAKKPIRCKAAVSKVPGQPLEMVEVLVAPPQAHEVRIRILCTSLCHTDVTFWRMKDFPAMYPSILGHEAAGVVESVGEEVVEVAPGDTVVPVFSGQCGDCPDCLSDRSNICSGLPFRFVPGMPRDGTTPFAFAGTGEPIHNFINVSSFVEYTVVDVAHLVRLGPGVMIPPDKACLLSCGVSTGVGAAWKVAAVEPGSTVAVFGLGAVGLAVAQGSKMRGAKRIIGVDLNPDKCEIGKRMGVTEFINPNDIGGKAVNEVIKEMTGGGADYCFECIGSTAVTAEAFSSSRMGWGKTIVLGVVNGAAAPISILSYEILRGRSIVGSLFGGLKPKTDIPMLAHKYLEKELELDEFVTHEMGFDDINAAFELLTQGKCLRCIIWMDGASAKENGNGGVTVKFTPRQNGTLV* >Brasy2G297800.1.p pacid=40066829 transcript=Brasy2G297800.1 locus=Brasy2G297800 ID=Brasy2G297800.1.v1.1 annot-version=v1.1 MNTIDDEEAAGATEDVEAENLVHRRRSWAVQQEQIHGVNPESNGGKEKGITALATICTEATIVQKFLLATSVSEHEWL* >Brasy2G222400.1.p pacid=40066830 transcript=Brasy2G222400.1 locus=Brasy2G222400 ID=Brasy2G222400.1.v1.1 annot-version=v1.1 MEKKKKGRAPPVATGVGVEEGYSFAAMSSGELVNLWEGMIDENKEVAEELFFGVLLRDARAEYYPRARPTEPRPRQPPNNAESPPPPPPPQTDNRPIVLALCAPSRTPWSPSAMKPRQGKERDHEQHHPQARAIQLPRQPSSSAAKKPAAQAALPRPQAAFKTVKVLCATPHLARGVKRPPPSAKACDPQGKKLHRAV* >Brasy2G008300.1.p pacid=40066831 transcript=Brasy2G008300.1 locus=Brasy2G008300 ID=Brasy2G008300.1.v1.1 annot-version=v1.1 MDRSQELEVLQIRLRDAERQLVVAHGEIAVLKSKSRAASDREEFLLGELVKISSELRGLLPDPHAEAERVRHRLNAHRLSGQTAPPFWSDRDKSYTLALLQDRVARAKTCFESCRQALTLVHRSLFPLIPSPEGLRGLIQRFCDVAVVKEKSVLRQMIEGTIVALAFVRLCYPQIDLETLHIVPGAADDDIPLGPYYDTVESSARELIHLVALP* >Brasy2G383300.1.p pacid=40066832 transcript=Brasy2G383300.1 locus=Brasy2G383300 ID=Brasy2G383300.1.v1.1 annot-version=v1.1 MATPTETAAAASSALTVKDVAPHDFNKKYAAHLKRSGKMELPEWVDIVKTARFKELPPNDPDWYYIRAASIARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGIIDVDPKGGRIITSQGRRDLDQVAGTVAPEV* >Brasy2G055200.1.p pacid=40066833 transcript=Brasy2G055200.1 locus=Brasy2G055200 ID=Brasy2G055200.1.v1.1 annot-version=v1.1 MSSRTESDKDSERAASMTAARTRPLSIQEILLRREKKAAAEAKKTKEDLRGNDTGKPNHLESGRGHKSRKDLKDAPVEGSKKESTRDTPREDPNKDDVRRTSKEGSKKENMRYAPKEVSKKDSLKDRRKDGSKMDDLKDTPKVTRKDDQRDAPKKGSKKLKSTIRNDSQSAGKDEVTHGSQKPSTSMRGRADESKDGNHGRTRARNADTIGYESLKGPGKRWNGETVDNDRIKEKSEKPRNETKRKFHGFDDQIEKSQDLDRPVLKKHDFVRFRDSKHSDRNDGRKEYVKPYHEEPRSKRRRSRSRDHDRERRDRSVSLSPREQRRSYRGHDHDNYPPGRKYAETDRFRTSGNGGHNDGSYRRYESRLGGYSPRRRKTAPQDEQMTAKSSLPVVRSPERKSATWDQGPARADQSNFFTTFQPIVSQMTSSVSVSSSAPKQNPATTLETILSGNSSSIDSVQLTQATRPLRRLHIENLPSSASEDMLIGCLNDLFLSSGVNHIQKSKQPCLSCTINKEKRQAFVEFLTPEDATAALSFDGRSFNGSALKIRRPKEYIEMANVVPKKPVEEEIKLASDVADSPHKIFIAGISGVISSEMLMEIVSSFGQLAAYRFHDHEALGGRCAFLEYIDHSITDKACAGLNGMKLGGCILTAVQVFPNPHEACDEASPFYGIPDSAKMLLQAPTEVLQLKNVFDREEYLLLSKSELEEIMEDLRMECARFGAVKSINIVEYPASSDSTLQDIIVEPKDGPVKLEPTEHCANDNCAEIVTECSSPSKSISVPGHSDPTETKDVDPIFESQDHKELDTLFECDAPAAVDQCTDLDHIHATSADPALNQHMEADHMDSTQADHHATTVDDDSAVGHAGPRTLEICSSTTPGDLVDISERENQQQGANDVSESGAEQLPEVGTRDDALVSGTIMLEAGSILVEFMRKEAACMAAHSLHGRSFGDRSLSAGYAPHDLYLQRYQR* >Brasy2G055200.2.p pacid=40066834 transcript=Brasy2G055200.2 locus=Brasy2G055200 ID=Brasy2G055200.2.v1.1 annot-version=v1.1 MSSRTESDKDSERAASMTAARTRPLSIQEILLRREKKAAAEAKKTKEDLRGNDTGKPNHLESGRGHKSRKDLKDAPVEGSKKESTRDTPREDPNKDDVRRTSKEGSKKENMRYAPKEVSKKDSLKDRRKDGSKMDDLKDTPKVTRKDDQRDAPKKGSKKLKSTIRNDSQSAGKDEVTHGSQKPSTSMRGRADESKDGNHGRTRARNADTIGYESLKGPGKRWNGETVDNDRIKEKSEKPRNETKRKFHGFDDQIEKSQDLDRPVLKKHDFVRFRDSKHSDRNDGRKEYVKPYHEEPRSKRRRSRSRDHDRERRDRSVSLSPREQRRSYRGHDHDNYPPGRKYAETDRFRTSGNGGHNDGSYRRYESRLGGYSPRRRKTAPQDEQMTAKSSLPVVRSPERKSATWDQGPARADQSNFFTTFQPIVSQMTSSVSVSSSAPKQNPATTLETILSGNSSSIDSVQLTQATRPLRRLHIENLPSSASEDMLIGCLNDLFLSSGVNHIQKSKQPCLSCTINKEKRQAFVEFLTPEDATAALSFDGRSFNGSALKIRRPKEYIEMANVVPKKPVEEEIKLASDVADSPHKIFIAGISGVISSEMLMEIVSSFGQLAAYRFHDHEALGGRCAFLEYIDHSITDKACAGLNGMKLGGCILTAVQVFPNPHEACDEASPFYGIPDSAKMLLQAPTEVLQLKNVFDREEYLLLSKSELEEIMEDLRMECARFGAVKSINIVEYPASSDSTLQDIIVEPKDGPVKLEPTEHCANDNCAEIVTECSSPTVDQCTDLDHIHATSADPALNQHMEADHMDSTQADHHATTVDDDSAVGHAGPRTLEICSSTTPGDLVDISERENQQQGANDVSESGAEQLPEVGTRDDALVSGTIMLEAGSILVEFMRKEAACMAAHSLHGRSFGDRSLSAGYAPHDLYLQRYQR* >Brasy2G299100.1.p pacid=40066835 transcript=Brasy2G299100.1 locus=Brasy2G299100 ID=Brasy2G299100.1.v1.1 annot-version=v1.1 MIIGGIIKKIKESKNAQLKGTVVLMRKNVLDLNDFGATLLDGIGEFLGKGITCQLISSTLVDTNNGNRGKVGAEAELEQWITSLPSVTTGESKFGVTFQWEVEKLGVPGAIIVKNYHSNEFFLKTITLDNVPGRGKLTFVANSWIYPATKYRYNRVFFANDTYLPSQMPPALRPYRDDELRNLRGDDQEGPYEEHDRVYRYDVYNDLGKDRPVLGGNADYPYPRRGRTGRKPSRKQPQLESRLKSILQQIYVPRDEKFGHLKMSDFIGYSIRAITQGILPAVRTLVDCTPGEFDSFQDVISLYEGGIKLPDVPALEELRKRFPLQLIKNLLPVGGDFLLKLPIPQIIKADKHAWRTDEEFAREVLAGINPVMITRLTEFPPKSTLDPSKYGDHTSTITAAHVEKNLEGHTVQQAIEGNRLYILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLKGDGRLTPLAIELSEPIIQDGLTTAKSKVYTPASAGVEAWIWELAKAYVAVNDSGWHQLVSHWLNTHAVMEPFVIATNRNLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKFALGMSAVVYKDWNFNEQALPADLLKRGVAVEDPSSPYKVRLLIKDYPYAADGLAIWHAIEQYVNEYLTIYYPNDGVLQGDVELQSWWKEAREVGHGDLKDASWWPKMQTLPELARACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTKEYAELERDPELAFIHTITNQLQTIIGISLLEVLSKHSSDEIYLGQRDTPEWTSDAKALAVFQRFSDRLVEIESKVVGMNNDPNLKNRNGPAKFPYMLLYPNTSDRKGAAAGITAKGIPNSISI* >Brasy2G212300.1.p pacid=40066836 transcript=Brasy2G212300.1 locus=Brasy2G212300 ID=Brasy2G212300.1.v1.1 annot-version=v1.1 MGCVHGRPSPVPTPARPPPQPKPEQAAPQEAAGPDKGEQPVPAPAAAAPAAVTEKPARRERRSRSSRSVAEARLGGSFVNRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPSVIKIDGLVTSRMSCSLYLVFEYMEHDLAGLVASPDIKFTEPQVKCYMNQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPSCKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRITDTFKDFPQSALRLIETLLAIDPADRLTASSALQSDFFTTEPYACEPSSLPKYPPSKEMDAKRRDEEARRSRAAGGRANGDGTNKARSRDRPRGVPAPDANAELQINIDKRRLVSHANAKSKSEKFPPPHQDGAVGFPLGSSNQMDPLYEPADPTSFSTMFAHEKSSVPTWSGPLVNSSAVGNQKRKHKSSRSSKQPATARAR* >Brasy2G460400.1.p pacid=40066837 transcript=Brasy2G460400.1 locus=Brasy2G460400 ID=Brasy2G460400.1.v1.1 annot-version=v1.1 MQLEQAIRSFDAECRVLRMARHRNLIRILNTCSNIDFRALVLQYMPNGSLEMLLHRAESTVRLGFPDRLGIMLEVSMAMDYLHHEHDELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSLISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGAQLTLRQWVHQAFPAELVQVIDDQLLQGSSLPSHSLNSGFLASVFKLGLLCSEDSPDQRMTMHNVVVALKKIKAEYTKQTATTLLT* >Brasy2G495100.1.p pacid=40066838 transcript=Brasy2G495100.1 locus=Brasy2G495100 ID=Brasy2G495100.1.v1.1 annot-version=v1.1 MPRKRGVPHLKFEIPHRSTNLSEQPTYLFPSRLLPLQLASRRRPEQSRRRQDQLLDPDVGGGVGGGCRRLAGRALLSAGGRRRQALVWERLAWDCRRRRRLMRLAWALDRGREIAEKSEKTLSAAVVPYLT* >Brasy2G462100.1.p pacid=40066839 transcript=Brasy2G462100.1 locus=Brasy2G462100 ID=Brasy2G462100.1.v1.1 annot-version=v1.1 MAPAAAPSAGAPQVRVYHEPIPETIYVQTDSSRFKELVQRLTGQTAATAHHGANVPAPVPEPGPSAAAGSMSENTSLFRSALPDWPSGLRIIETGASSLSLGLASTAAQERDIREDAAEEKAIKEGRFYLRRARPSGWTREPQLLMLFPLSPLP* >Brasy2G350400.1.p pacid=40066840 transcript=Brasy2G350400.1 locus=Brasy2G350400 ID=Brasy2G350400.1.v1.1 annot-version=v1.1 MALRTAELRRLLLLRAETVLPLSRASPGGRQASTAARDDEGASGNAYDVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSRCFLQILAAYEILSDSQRRAHYDSYLRSQRLVVHKHPRPSQHAYPGSSGVVVSRESNVVEWLKWYRLTIDDIVTKKRIATGSGYFDRLESELYTAIHAAYYGPEVKSMDLLPDCFEADERSVYDTSELLHLVSGRDLFGIVRLADSIQELSDACREKLTPSGFGTYGVTPNVSVNMEKESTHGPVDIHKQQNESSDNPPSDAYKDIEVQICGRVVAAANRKLKCSCIDESNSEDHIHVFLVPNEVVASDLAQENFLLGTITGLATTGEEGSCCVYDGRGIKTHVIVKHRTLMVKHMHWYQVGDEVSPCECRCSRAYLPPSRYWLFEPRCYMHDTGGWYIETFGRDKGRTIPSPRQWDGFNEHSEKRLHPALYLVALAYRSLDLEDARRRKWSIRNFLELLQLSHICQLSKTFLNGEKRC* >Brasy2G264200.1.p pacid=40066841 transcript=Brasy2G264200.1 locus=Brasy2G264200 ID=Brasy2G264200.1.v1.1 annot-version=v1.1 MAAASAPLLLALALASASILASLAAGDTNGVYDPCSDSRIQRGDGFTFGLAFAGSSAFFSGSTQLSPCDRRLNLANPSQLAVFRPKVDEISLLTVNTTTGFSPASAGGYMVAFAGRKYAARSVPVFVSNSSVTVSSFTLVLEFNKGRLQNLHWKKDGCGACSGKSNFICLGKQTCAIRTNVCKSQNQGTMDCSIGIQLAFSGTDKHESVLNSWYEVSNLQQYSLYGLYSNVKGSLSGQFNKFF* >Brasy2G264200.2.p pacid=40066842 transcript=Brasy2G264200.2 locus=Brasy2G264200 ID=Brasy2G264200.2.v1.1 annot-version=v1.1 MAAASAPLLLALALASASILASLAAGDTNGVYDPCSDSRIQRGDGFTFGLAFAGSSAFFSGSTQLSPCDRRLNLANPSQLAVFRPKVDEISLLTVNTTTGFSPASAGGYMVAFAGRKYAARSVPVFVSNSSVTVSSFTLVLEFNKGRLQNLHWKKDGCGACSGKSNFICLGKQTCAIRTNVCKSQNQGTMDCSIGIQLAFSGTDKHESVLNSWYEVSNLQQYSLYGLYSNVKGSLSGQFNKFF* >Brasy2G427100.1.p pacid=40066843 transcript=Brasy2G427100.1 locus=Brasy2G427100 ID=Brasy2G427100.1.v1.1 annot-version=v1.1 MSGNPTGVDNTFRRKFDKEEYLERARQREQDEKDEARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERILKQQQEEEERKRQRKEKKKEKKKEQAGQNEPEDIDPDVAAMMGFGGFGTSKK* >Brasy2G427100.2.p pacid=40066844 transcript=Brasy2G427100.2 locus=Brasy2G427100 ID=Brasy2G427100.2.v1.1 annot-version=v1.1 MSGNPTGVDNTFRRKFDKEEYLERARQREQDEKDEARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERILKQQQEEEERKRQRKEKKKEKKKEQAGQNEPEDIDPDVAAMMGFGGFGTSKK* >Brasy2G427100.3.p pacid=40066845 transcript=Brasy2G427100.3 locus=Brasy2G427100 ID=Brasy2G427100.3.v1.1 annot-version=v1.1 MSGNPTGVDNTFRRKFDKEEYLERARQREQDEKDEARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGSFSEQDLDERILKQQQEEEERKRQRKEKKKEKKKEQAGQNEPEDIDPDVAAMMGFGGFGTSKK* >Brasy2G424000.1.p pacid=40066846 transcript=Brasy2G424000.1 locus=Brasy2G424000 ID=Brasy2G424000.1.v1.1 annot-version=v1.1 MAYHQLKQLVFPCLYCILFLILSTRPFSLAKANQCRCPGSDQGRRSNYTLEDASSAFKDLYLVDQESGIVKMVSLNSLRKMSGPHTENTAVLSTMDHRVHLWRRQPDGAGVDEASFSVTIVYQPLYQFRNGILAFLLLPVEILVGLQEDKYGVNGSLANHLLTRDVASLNLSSQTHRSTTVDGRTVFVNIGHVLANRTTAARFDAGSIMGVYIDIYDDVAAGPKYSLWIDYDCVGHLLSVYVDVEGKPKPDNTIAEVHLNISSVVSEVISLGLLSTATHLLHSENLSLSMTVEDLPYYPYYKGGFMSGKVTILSSVLGSVAATAVMATAVVVYFNSRYRRWHKDLEQLARSMERLPGVPTKVEFADIKKATSNFHETMKLGGGGFGTVYRCTLPATASKMDRAMDVAVKRFTRDVQNRRYDDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLVFEFMTNGSLDQHLFPKGGSCGSSRCGQRRTGAAIQRWTTRYEIVRDIATGLHYVHHEYEPMVLHRDIKASNVMLDSSFRARLGDFGLACTVAVDRNSATGIGGTWGYIAPEYALCGRATRQTDIYALGVLILEVVTGKRALVDDQLVDDDDIHITNWVWRLHSKGRLPECVDAMCTASEGEEQLYTSDDAERLLLLGLACSNPNPSDRPTMPDVVQVIAKSAQPPKVPIQKPRFVWPPPEEQPSLSSDGDSAGTSMMMSNLESSIPVEMHAQLSLGQPATQARAVFRV* >Brasy2G015000.1.p pacid=40066847 transcript=Brasy2G015000.1 locus=Brasy2G015000 ID=Brasy2G015000.1.v1.1 annot-version=v1.1 MEAHNLRFVRCPKCLQLLVEYPSIPVYRCGGCGTVLRAKNRGAPVTHADPGSGEQSSSPCSVEGSPQNSKPICLDEQEVVSSNAPRSEGVVEGNISDVGKDDNSYGSVDPERNMSAGKGATPGELGGEENCRPVIDGNARNPQHLIKGEDDKGTEASSSVKLIENVQNVEASEYVNGEKGSGMDDDANDASVGREVAAVHSMAGEELGANSGNNMEGEIQSVAEQKYSDSNGNQNMNCQDIQPYEGLNIGSYEDLIEELERSLSLSDDEEDFLDVVDNNGLNDALHNQFGSRRFLSRGKMNDDPRSDPHGRLIEELERSFGDVEEPFEEHYIAVADKDVAEKIHGKEHDEDPQLRVDGSADPCEGSMSSFDGGLIKSGQSFEQKELAAAGAKEKEEAPIEGDNKVDRVHGNEHVMVTNKKEEASIEGNNKVDSVHGNEHVMVTNKKEEDTIEGNNKVDCVHGNEHVMVTNKVIAEIIHENEDDKDLQYLDTESANPCEGSTSAFDDGHLKSGQCFQQNELATGDSKEREEDFTVGDNKIDCVHANEHVMVTNKDIAETILVNEHDKDPQYLDTESANLCEGATSSFSDGHPNSGQNFEQQELTSDGTEGKKEGHMEDDNTAICVQADDAAAVAGFSSLSNERIHGKSPSFPSFDKKKEEISSQYRASLLRQGLSLDSEDFKSIQKFIESQMDGTSSSLSSGSPNQGDLVLKTSNKVKAVDQLERLKKMDDLRDQLSRLSSQKGLEKRYQKKGLEYQPRQLNSYDAEQQFQSVDADSIPSSCTLDSYYGQAKPPRYPPPNPFSPAHSCANCRFGHVQTHIPHSYDAWEFNSYYQSSYAGSSILDHDSLKSSFKEQKPVVRKHILRPLSGASPYTVCNSCFHLVQMPSDIYMSKRKIGKMQCGRCTKVIVLSVPAVTHTDGNISKEVARKSSKPDDRTVARTESASYPVSVSEEYGPSFTRSFSTQAGSALDASQSSKKVSDSALHRLMGYDSASQLLRHSRAFERRSRVFDDGYESFESMVPVSSRVSRRKNK* >Brasy2G015000.2.p pacid=40066848 transcript=Brasy2G015000.2 locus=Brasy2G015000 ID=Brasy2G015000.2.v1.1 annot-version=v1.1 MEAHNLRFVRCPKCLQLLVEYPSIPVYRCGGCGTVLRAKNRGAPVTHADPGSGEQSSSPCSVEGSPQNSKPICLDEQEVVSSNAPRSEGVVEGNISDVGKDDNSYGSVDPERNMSAGKGATPGELGGEENCRPVIDGNARNPQHLIKGEDDKGTEASSSVKLIENVQNVEASEYVNGEKGSGMDDDANDASVGREVAAVHSMAGEELGANSGNNMEGEIQSVAEQKYSDSNGNQNMNCQDIQPYEGLNIGSYEDLIEELERSLSLSDDEEDFLDVVDNNGLNDALHNQFGSRRFLSRGKMNDDPRSDPHGRLIEELERSFGDVEEPFEEHYIAVADKDVAEKIHGKEHDEDPQLRVDGSADPCEGSMSSFDGGLIKSGQSFEQKELAAAGAKEKEEAPIEGDNKVDRVHGNEHVMVTNKKEEASIEGNNKVDSVHGNEHVMVTNKKEEDTIEGNNKVDCVHGNEHVMVTNKVIAEIIHENEDDKDLQYLDTESANPCEGSTSAFDDGHLKSGQCFQQNELATGDSKEREEDFTVGDNKIDCVHANEHVMVTNKDIAETILVNEHDKDPQYLDTESANLCEGATSSFSDGHPNSGQNFEQQELTSDGTEGKKEGHMEDDNTAICVQADDAAAVAGFSSLSNERIHGKSPSFPSFDKKKEEISSQYRASLLRQGLSLDSEDFKSIQKFIESQMDGTSSSLSSGSPNQGDLVLKTSNKVKAVDQLERLKKMDDLRDQLSRLSSQKGLEKRYQKKGLEYQPRQLNSYDAEQQFQSVDADSIPSSCTLDSYYGQAKPPRYPPPNPFSPAHSCANCRFGHVQTHIPHSYDAWEFNSYYQSSYAGSSILDHDSLKSSFKEQKPVVRKHILRPLSGASPYTVCNSCFHLVQMPSDIYMSKRKIGKMQCGRCTKVIVLSVPAVTHTDGNISKEVARKSSKPDDRTVARTESASYPVSVSEEYGPSFTRSFSTQAGSALDASQSSKKVSDSALHRLMGYDSASQLLRHSRAFERRSRVFDDGYESFESMVPVSSRVSRRKNK* >Brasy2G359200.1.p pacid=40066849 transcript=Brasy2G359200.1 locus=Brasy2G359200 ID=Brasy2G359200.1.v1.1 annot-version=v1.1 MARPPTNQEIIILWNTMRRHRMKILITLYLLLQHFIMLIRRSRCRVPRVREPSWYDPITRAQLLDNKIRASDTECISNYGWIGVVSESYVLW* >Brasy2G128900.1.p pacid=40066850 transcript=Brasy2G128900.1 locus=Brasy2G128900 ID=Brasy2G128900.1.v1.1 annot-version=v1.1 MVSSPSRSPTFPTVASETKQRAGVATTASDKLDQKYAHSATPLHSHGGGARKTPRRGKSEGGGADSASAASYVAAVSCSDCRFKQRVLAPASPGAVIRSLFVSLTRRSTPRSSPSQTSSASEGDGGEQWRLAAADLSRRLAAATRTRDDAVEETARLKHSLAELELKLARLEARVLPTPSAANFPVESFLRAVSTARATVRNLTRALSTHLRSPASPGAPNLESFLNRAFHADFELDTDGDALHTADPAGRCAANLAAYHAVAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSQGWARARPWPEPLLQAFFLAAKAVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAARAGRLEPASVKMMVAPGFHVYVAGAGVVKCKVVCFYNNNGGSGRTGGHRDGGSSVNGGVGLGSSCTDVNVGVTDAVKGNSSRV* >Brasy2G011300.1.p pacid=40066851 transcript=Brasy2G011300.1 locus=Brasy2G011300 ID=Brasy2G011300.1.v1.1 annot-version=v1.1 MLTKFETKSNRVKGLSFHPRRPWILASLHSGVVQMWDYRMGTLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSSSPADDIMRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIISGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFIARNRFAVLEKSSNQVLVKSLKNEIVKKSPLPIATDTIYYAGTGNILCKAEDRVAIFDLQQRLVIGELQASAVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDSGIIRTLDVPIYITKVTGNNICCLDRDGKNKIIIVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLLTGYLDKVGFMSKIAGQKNNLMGQFHNALYLGDVRKRVEILESAGQVPLAYVTAATHGLTEIADRLASELGENVPSLPEGKDRSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAEHEEDDEDVAGDWGDEDLEIVDVNNVVENGDVIGHVEGSEANEEDGEEEGGWDLEDLELPEAETPKATGPARSTLFVAPTPGMPVSQIWTQKSSLAGEHAAAGNYDTAMRLLSRQLGVRNFAPLKSLFLDAHMGSHTFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFSFSQMDDKLKSAYKATTEGKFPEALRQFLNILYTIPLLVVDSRREVDEVKELIEIVREYVLGLRMEVKRKELKDDATRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENSPNEAQAKKARQVLQACGDRKDGHQLNYDFRNPFVVCGATFVPIYRGQKDISCPYCASRFVPSVEGQLCSICELSVVGADASGLLCSPTQSR* >Brasy2G195700.1.p pacid=40066852 transcript=Brasy2G195700.1 locus=Brasy2G195700 ID=Brasy2G195700.1.v1.1 annot-version=v1.1 MSSVCARPVGFAGGVKCQRRARVRVSAVAAAAERAAPTTMYEVLAVGEGAGQEEIKAAYRRAARRWHPDACPGGAVRFMRAREAYEVLSDPERRRGYDIQLRCGGGGSRAGAMRRAGFADWEAQLSGLQWRAADQRGETWGSRVRAASGAQTSSCC* >Brasy2G100900.1.p pacid=40066853 transcript=Brasy2G100900.1 locus=Brasy2G100900 ID=Brasy2G100900.1.v1.1 annot-version=v1.1 MKRAAHYGDHAPQCVTTYYMYGGALLCKAREESAKSTSSKAVAGNSKASGGDVEGAPSLEEGDTEEGQNSNGIIQEDRKGDGDKAQGEMAGDEDDSDLNLARKMLNIARTIVEKIPCNTMGRMVSAPGKGASAAEGSSKSIQEYEILRTRLLAKLEKLENVAQAMSTPSCATVEIMKRVAPQAEQNVDNAVPRAAPLISSHMAGLNNSFDSPVMPTSSTTGSAGSSVTDLGAVGRGIKRANVEPSSAEPSPKRPAVAADDSP* >Brasy2G457400.1.p pacid=40066854 transcript=Brasy2G457400.1 locus=Brasy2G457400 ID=Brasy2G457400.1.v1.1 annot-version=v1.1 MLMDMFYGATEGVIKHRRRFHFHEAMLEIHEHMHDVWKTRDDDRSTHSSAFSWISGLPFDAKIKEWLLGEEKHKQETQQKHILLAVADKFLVARQADKCGASILCFDEIQTIDVFVVVALSGILSRLLSTGTVLVATSNKAPEDLNQDGMQREIFLELLSKLDETCNKILVGTETDYRRLIPTDGSTQIHYFWPITSDSRSMYEAMWHDVTNQAGRSITAVTISVMFGWSLEIPQSCNGAARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDEMYNHHCRLICLAVSSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVSAEGNVGVGPSTTGLVSMLSGQEEMFVFRRAISRLIEMQTPLYLDRVQHFHTSVLHQQQGTPVAKDRTIAQSAPM* >Brasy2G075200.1.p pacid=40066855 transcript=Brasy2G075200.1 locus=Brasy2G075200 ID=Brasy2G075200.1.v1.1 annot-version=v1.1 MLSRYKILALNVNEKFVPTVLQGSQGCANLVAIHNIVTMKYSSGSRQLGTFTEKSMKSILNDMLQQCIEGVDDVSVAEVKKVKHVLANIRSKFSLQPRLSGHLDFVKNASYLLCRLLKLTVLHGWVLSPEDSSYNSLGQLSHSELVDYSSFEPKGKGDNLTACQSLLNDSSTQLTSYGFNSLSAELNDDGVALLFCRNRLDIIHKHNDALFIYVTDEDIRKQTPHAVWMLFEEHREKNIYFTEKYTPVKEQEHKEKAMEWYRRFLQTQSKDGEQKKDSQSDDSRLIFI* >Brasy2G010000.1.p pacid=40066856 transcript=Brasy2G010000.1 locus=Brasy2G010000 ID=Brasy2G010000.1.v1.1 annot-version=v1.1 MRVLPAFTAAASAPPPPAPPPPPPPAAPAIKVYLNIYDISPINNYLYWFGLGIFHSGVEVHGMEFGYGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTNMSRSEVRSFLEDLAEDYHGDTYHLIVKNCNHFTADVCKRLTGKPTPGWVNRLARLGSVCNCVLPENIKVSAVRDETAHAEFSDDGLESNASIVDDSDMDDLDHLLTTSNSVVVPTKDKTLTPGRDSL* >Brasy2G043800.1.p pacid=40066857 transcript=Brasy2G043800.1 locus=Brasy2G043800 ID=Brasy2G043800.1.v1.1 annot-version=v1.1 MAGPAGGRELPQTPTWAVAVVCLVMILLSVAMEHALHKLGHWFQKRQKKAVAEALEKIKAELMLMGFISLLLTVGQTPISKICISKEAGSVMLPCKLSAAPEDADDEGKDNGRRRLLWFQEEIHRRFLAGAPGVDPCASKGKVALMSASSMHQLHIFIFVLAVFHVFYSVTTMALGRLKMKKWKKWESETTSLEYQFANDPSRFRFTHQTSFVKRHMGLSSTPGVRWVVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAVLTLFLDINGIYTLIWISFVPFVILLLVGTKLEIVIMEMAQEIQDRASVIKGAPVVEPSNKFFWFKRPDWVLFLIHLTLFQNAFQMAHFVWALFTPGLKKCYHQNMGLSIMKVVVGVALQVLCSYITFPLYALVTQMGSNMKKAIFDEQTAKALTNWRNTAREKKKTRDADAFMAQMIGDATPSRGSSPVHLLHKNRMRSEDPQSIPTSPRAEQEAMDMYPVAQVVHISHPHPPHRLDPSDRRRSASSSALDTDIASADFSFSMQR* >Brasy2G257200.1.p pacid=40066858 transcript=Brasy2G257200.1 locus=Brasy2G257200 ID=Brasy2G257200.1.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQKKEAITKQKIEHLRAQCAKLNDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKESRLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.4.p pacid=40066859 transcript=Brasy2G257200.4 locus=Brasy2G257200 ID=Brasy2G257200.4.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQKKEAITKQKIEHLRAQCAKLNDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKERLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.5.p pacid=40066860 transcript=Brasy2G257200.5 locus=Brasy2G257200 ID=Brasy2G257200.5.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQKKEAITKQKIEHLRAQCAKLNDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKESRLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.6.p pacid=40066861 transcript=Brasy2G257200.6 locus=Brasy2G257200 ID=Brasy2G257200.6.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQKKEAITKQKIEHLRAQCAKLNDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKERLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.7.p pacid=40066862 transcript=Brasy2G257200.7 locus=Brasy2G257200 ID=Brasy2G257200.7.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKESRLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.8.p pacid=40066863 transcript=Brasy2G257200.8 locus=Brasy2G257200 ID=Brasy2G257200.8.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKERLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.2.p pacid=40066864 transcript=Brasy2G257200.2 locus=Brasy2G257200 ID=Brasy2G257200.2.v1.1 annot-version=v1.1 MAEEIGRGSHTTPRTPTPKRVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKESRLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.3.p pacid=40066865 transcript=Brasy2G257200.3 locus=Brasy2G257200 ID=Brasy2G257200.3.v1.1 annot-version=v1.1 MAEEIGRGSHTTPRTPTPKRVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVASELRGAIHLETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKERLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.9.p pacid=40066866 transcript=Brasy2G257200.9 locus=Brasy2G257200 ID=Brasy2G257200.9.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKESRLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G257200.10.p pacid=40066867 transcript=Brasy2G257200.10 locus=Brasy2G257200 ID=Brasy2G257200.10.v1.1 annot-version=v1.1 MSSAAGAPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLIFVRPNFERYTHYSELARKVFQRYDPNFFATSLDEAYLNITEVCMERGITGEEVCSDINKPNGQFILPNERDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGITTCQQMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDYSLLFEKLDNLAENLADDLQKECLKGRTLTLKLKTAAFEVRTRAATAQSYINSKEDILIYAMKLLKAELPLSLRLMGLRMSHLCGEKDDPTIPTQKTLDRFFRLSENNSNVNRKNGASSNDASGGDNCCIDATTKDECSIYDTGTDVSSDQQAFFSNDENIFVPEERSSVNYDNEVVSSNLLTCDDLGGTKLDDNTSNSKVTQSEKFDDLSHFTSCKASASSSKPVQQFWVDGYICSLCGFELPPCFEEERQEHSDFHLAELLQQEEAIDSSGHLAKERLAERQSTTPTPKKKLKSSKEGKHIPIDSFFLKHNKNL* >Brasy2G034100.1.p pacid=40066868 transcript=Brasy2G034100.1 locus=Brasy2G034100 ID=Brasy2G034100.1.v1.1 annot-version=v1.1 MTRGLASVPFLFFFALQLLAACYGQQQVRKNYVVHLEPREERSTGPVEQWHRSFLPEAAAAATPDSASDGARDGPSIIYSYSHVLTGFAARLSDEEAEQLRNKAGCVRLYPEEFLPLATTHSPGFLGLHLGKGGFWSRSGFGKGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGACEFTAVAGTGGCNNKVIGARAFGSASVNTTAPPVDDAGHGTHTASTAAGNFVQNADVRGNAHGTASGMAPHAHLAIYKVCSRSRCSIMDVVAGLDAAVKDGVDVISFSIDASDGAPFNYDLVAVATYKAMEHGIFVSAAAGNAGPAAGSVRNSAPWMLTVAAGTTDRAIRTTVTLGNGEKLEGESLFQPRNNTAGRQLPLVFPGANGDPDARACTTLPDTVSGKVVLCESRGIGEHVAQGQTVNAYNGAGMVLMNKATEGYTTFADAHVLPVSHVSYAAGAKITAYLKSTPKPTASITFKGTVMGSSPAPAVAFFSSRGPSKASPGILKPDITGPGMNILAAWAPSEMHPEFADDASLPFFMESGTSMSAPHLSGIAAVIKSLHPTWSPAAIRSALMTSSDTADHSGVPIKDEQYRKASSYAMGAGYVNPARAADPGLVYDLAPNDYIPYLCGLGYGDDGVREITHRRVACAKLKAITEAELNYPSLVVKLLSQPITVRRKVTNVGNASSVYTAVVDMPKEVSVTVRPPMLRFTRAYERQTFTVTVRWAAGKQPAVGGAEGNLRWVSQDKLHVVRSPIVIPPAKPAAVA* >Brasy2G342800.1.p pacid=40066869 transcript=Brasy2G342800.1 locus=Brasy2G342800 ID=Brasy2G342800.1.v1.1 annot-version=v1.1 MATSEDVPSRAVMPRPPRTLSLEADADGVYHVTSGLPFLYPMNYRCECLFARARAARFLRVSLALADLDGRLALGRVWRFNLGVLRAEDERLLVELGIPEASVVRRIDRFRFAMGLARCSAVHRTNVTWVTYDGAEDIIHLLDCFQRPDRYPAHTDRGALIRNSRCHFPELYDLRFLAEWRTLAGEEPPLLAAAKSGGSLLRHFLALMRDPKFEERMVGCNGMLFGLGHWPTPTLDYCRLSLEEQERQFREDMAKMHGADYDVEDMVNHIH* >Brasy2G021000.1.p pacid=40066870 transcript=Brasy2G021000.1 locus=Brasy2G021000 ID=Brasy2G021000.1.v1.1 annot-version=v1.1 MHDNRPLSTATRELGQLRQSRTVARLREELRTRTENISHDQAANHSGPTDAQNSSADSENHNFTHRVFLDDNRHLENATRNHDIQTFQSREEDPSANLERTVSNSNDALQDDFDQEHMHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.3.p pacid=40066871 transcript=Brasy2G021000.3 locus=Brasy2G021000 ID=Brasy2G021000.3.v1.1 annot-version=v1.1 MHDNRPLSTATRELGQLRQSRTVARLREELRTRTENISHDQAANHSGPTDAQNSSADSENHNFTHRVFLDDNRHLENATRNHDIQTFQSREEDPSANLERTVSNSNDALQDDFDQEHMHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.7.p pacid=40066872 transcript=Brasy2G021000.7 locus=Brasy2G021000 ID=Brasy2G021000.7.v1.1 annot-version=v1.1 MHDNRPLSTATRELGQLRQSRTVARLREELRTRTENISHDQAANHSGPTDAQNSSADSENHNFTHRVFLDDNRHLENATRNHDIQTFQSREEDPSANLERTVSNSNDALQDDFDQEHMHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.4.p pacid=40066873 transcript=Brasy2G021000.4 locus=Brasy2G021000 ID=Brasy2G021000.4.v1.1 annot-version=v1.1 MHDNRPLSTATRELGQLRQSRTVARLREELRTRTENISHDQAANHSGPTDAQNSSADSENHNFTHRVFLDDNRHLENATRNHDIQTFQSREEDPSANLERTVSNSNDALQDDFDQEHMHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.5.p pacid=40066874 transcript=Brasy2G021000.5 locus=Brasy2G021000 ID=Brasy2G021000.5.v1.1 annot-version=v1.1 MHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.6.p pacid=40066875 transcript=Brasy2G021000.6 locus=Brasy2G021000 ID=Brasy2G021000.6.v1.1 annot-version=v1.1 MHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G021000.2.p pacid=40066876 transcript=Brasy2G021000.2 locus=Brasy2G021000 ID=Brasy2G021000.2.v1.1 annot-version=v1.1 MHGYEAYSDSGSSGQNGEQSGSSYSSPSDNSVRQDAETYGQPTDLQWSREISGSEEEGEGSFLHGDEEWHVIDSQEAEPQWQSDPSFSPSTHINRFSPPDDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYARRQELDPPDWEYGIQIPTVGLLNEDHAEHGTNEPQAEHDTAPQPLITSSGQTLLPQQRRWQLQLPHHNWSQQNMHQPEFEWDAIHVLRDDLTGLQRGMVSMQQMLEACMEMQVELQRSIKQEVSAALNRSVSGQAEDEDGSKWKLARKGTCCVCCDNQIDSLLYRCGHMCTCSKCARELLHGVGRCPLCRAPIVEVVRAYCIM* >Brasy2G124700.1.p pacid=40066877 transcript=Brasy2G124700.1 locus=Brasy2G124700 ID=Brasy2G124700.1.v1.1 annot-version=v1.1 MELFFELLLTAAASLLVAFLLAKLFSANDPRSDPRDRAVGPSDVIAEGNEEEAEQARIIEVDEVKVKGAWADVAAPTLTEEWVEVEKAPATVAEEKPRCLPEEVGITARLAPELFLGTVLEGRKEEGEVGKKPCDLTSAAAAMETSVEVKLRDLGAESSPSPREVVDVELEKETARQHGLGAEVAPCEVLDAGLQKQEVQAIEAVEVEQRHLAAPAEVIDAALAQEGSQTLAEIPHELASDAVPDEVLDAVFEMQEQQVIEVNQQELTSEVAPRVTVDVALAEKDELQDNPVEEVVDVHEEAKSADKAKCDVGMVGRQAELVPMEDLVVMKDDDPEVSQDGSSNDKVAVRLPEKEVTLLGMPEDETRACMEFEEWEGIERSEVEKRFGAAAAFSASDAGTAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWVAWQKLGNMHPEIAMDKYMSLLSEIIPGWMGDKTNSSIKKHEADGDSEEPVLAMTDHKGDQHIYQRNEDSTSTSMDEGPLPSPPNPEKGQSSDVPAE* >Brasy2G128800.1.p pacid=40066878 transcript=Brasy2G128800.1 locus=Brasy2G128800 ID=Brasy2G128800.1.v1.1 annot-version=v1.1 MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSVIGPALIGKDATEQTEIDNFMVHQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVIIGMDVAASEFYGEKDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY* >Brasy2G221200.1.p pacid=40066879 transcript=Brasy2G221200.1 locus=Brasy2G221200 ID=Brasy2G221200.1.v1.1 annot-version=v1.1 MDWVQDGSSWPLTSLDGKDLGNFDYVAATDKNVASQKFAGLTGRHPHLASLSLWCPEENYELERVQKI* >Brasy2G271600.1.p pacid=40066880 transcript=Brasy2G271600.1 locus=Brasy2G271600 ID=Brasy2G271600.1.v1.1 annot-version=v1.1 MHLFEISEYSLHRGLGVGRFLQSANKFTVGGYLWSVVFYPDGSHEDCKDWVSIGLNMISRSTGTVRASFILNFLHPTTIQPSSSVVPVAYNFHPACTSYIFKNFMKRDMLEQSRFIVGDCLKIHCTLTVIKEPRVAKVICSGNVHPPPSNITEQLKKLLETQECTDVTFVVQGEEFPAHKLVMAMQSPVFKAELYGPMMEKGMTHIVVPDMQPSIFRVLLQFFYHSYPYHLGNIDLDSKKEIMKHLLVAVYRCNKLNDACIQFMAFSGKADEVVETQAYNELKTTRPSMLIDLWEKINKHRNT* >Brasy2G037600.1.p pacid=40066881 transcript=Brasy2G037600.1 locus=Brasy2G037600 ID=Brasy2G037600.1.v1.1 annot-version=v1.1 MAEQLISTCAHETLPQSYVRDVAERPRLDEVVPDARIPVVDLAHPDRAAVVAQIGAACRSHGFFQVLNHGLPVELMEAAMAVAHEFFRLSPEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEQFVPDWPSNPSAFR* >Brasy2G303300.1.p pacid=40066882 transcript=Brasy2G303300.1 locus=Brasy2G303300 ID=Brasy2G303300.1.v1.1 annot-version=v1.1 MVSLPEQLQRELSGRGVAVASIPGKGRGLVTTRSFFPGEVILCGEPYASTPNKILVGSNCDHCFTSSNLRKCSVCRIAWYCGSVCQKEEWKLHQLECRAISALTDDRKKMLTPTIRLMVRLILRRKLQNEKAIPSTGTDNYSLVDALESHISEVDDKQLVLYAQMANLVQLILPAIELDLKEIAHIFSKFSCNAHTICDPELRPVGTGLFPVIATINHSCVPNAVLLFEGRTAYVRALQPLSNNTEVSISYIETAATTLKRHNDLKHYFFTCTCPRCIKGSEEDPLLEGYRCKDQKCDGFLLPDSGKKAYTCQKCSMCRDGEEVKKLSSEILLLSDKASSFLSSGNNNEAGSVYKIIEQLERKLYHYFSITLLHTRETLLKLYMELQDWRTALMYCRLTIPVYERVYPPFHPMVGLQFYTCGKLEWLLEYTEDALRSLTRAADVLRITHGTNSQFMKELFGRLEEARAEVSFRLSPGNEQIS* >Brasy2G132500.1.p pacid=40066883 transcript=Brasy2G132500.1 locus=Brasy2G132500 ID=Brasy2G132500.1.v1.1 annot-version=v1.1 MNSSPPALLHSPTVAGFRSSTASAAGARPSGSRSVAAATAAATRACPLPGSNIRAAGVPRPRRLPLSGFVTSLWPPRNRILAAPPPSESTACTDGAPKGSSHYLPRCFLPLSTATATSSLQMSLMCSPDVVDVFFRSSDTSVLQTWWICSSDKRVIMCFPLI* >Brasy2G062700.1.p pacid=40066884 transcript=Brasy2G062700.1 locus=Brasy2G062700 ID=Brasy2G062700.1.v1.1 annot-version=v1.1 MRIRRYAARLLASSNPASAAASSPPPQPAAPWFHAAADDCAICELTRCSDPEVAPDGVKHKGHIARLTPEVGLKSDERIGVPRSPAVPPEEIVPAHECKIDGERSASKHDAALEVEIGASGVSSPPVPPEEIIPANGCKIDDERSVGKHDAALEVEIGASDVSSPPVPPEEIIPAHECKIADERSVSKGGVALEFEMGASGASIAAARPDDMGKTTLVNEAVTEPADTGGAFIVNEDTDEQEVRGRTSPVNASVTEQEVTGGVSLVSGSFSEPEVIRGVSLANEATTKQEVSERVSLVSEATTEPGAIVTASEVIKGAPLDGKGDAEPDLKMGASLANDFASKMDVKPVASLVFEAVTPPALPEVVTEPGVNGAAFHENEVSVQPEVAGGPSLADESTEQAVTGETCTVKEVAAEPDVVGGASACRGDGNADFNEQQPPDCDPDISSVQGESAGEGVASEVQPSRDHAGDTIRSVNTRNSDPVGDKSPTGEEVAPHDDTPSVSCVSDILARSVGKSGRTDIICYARRMAKRKLNIVEIKRKQIKMDDDAIYDQKAALDRIAPRASKMPTAGSAEIKLADIKKELIDNSASSKGKQRKMRRFECDIEYCRMTFKKQAELSLHKKNMCTLKSCGRQFRAHKYLKRHQRIHDCDTPYKCPWEGCSMAFKWTWALAEHFQVHTGDKPYKCKTPGCGRIYKFVSDFARHRMRCKPQRCVDDEHRVSKDYVGLEVTRAASVVNGDTR* >Brasy2G062700.2.p pacid=40066885 transcript=Brasy2G062700.2 locus=Brasy2G062700 ID=Brasy2G062700.2.v1.1 annot-version=v1.1 MRIRRYAARLLASSNPASAAASSPPPQPAAPWFHAAADDCAICELTRCSDPEVAPDGVKHKGHIARLTPEVGLKSDERIGVPRSPAVPPEEIVPAHECKIDGERSASKHDAALEVEIGASGVSSPPVPPEEIIPANGCKIDDERSVGKHDAALEVEIGASDVSSPPVPPEEIIPAHECKIADERSVSKGGVALEFEMGASGASIAAARPDDMGKTTLVNEAVTEPADTGGAFIVNEDTDEQEVRGRTSPVNASVTEQEVTGGVSLVSGSFSEPEVIRGVSLANEATTKQEVSERVSLVSEATTEPGAIVTASEVIKGAPLDGKGDAEPDLKMGASLANDFASKMDVKPVASLVFEAVTPPALPEVVTEPGVNGAAFHENEVSVQPEVAGGPSLADESTEQAVTGETCTVKEVAAEPDVVGGASACRGDGNADFNEQQPPDCDPDISSVQGESAGEGVASEVQPSRDHAGDTIRSVNTRNSDPVGDKSPTGEEVAPHDDTPSVSCVSDILARSVGKSGRTDIICYARRMAKRKLNIVEIKRKQIKMDDDAIYDQKAALDRIAPRASKMPTAGSAEIKLADIKKELIDNSASSKGKQRKMRRFECDIEYCRMTFKKQAELSLHKKNMCTLKSCGRQFRAHKYLKRHQRIHDCDTPYKCPWEGCSMAFKWTWALAEHFQVHTGDKPYKCKTPGCGRIYKFVSDFARHRMRCKPQRFGCNSSN* >Brasy2G062700.4.p pacid=40066886 transcript=Brasy2G062700.4 locus=Brasy2G062700 ID=Brasy2G062700.4.v1.1 annot-version=v1.1 MRIRRYAARLLASSNPASAAASSPPPQPAAPWFHAAADDCAICELTRCSDPEVAPDGVKHKGHIARLTPEVGLKSDERIGVPRSPAVPPEEIVPAHECKIDGERSASKHDAALEVEIGASGVSSPPVPPEEIIPANGCKIDDERSVGKHDAALEVEIGASDVSSPPVPPEEIIPAHECKIADERSVSKGGVALEFEMGASGASIAAARPDDMGKTTLVNEAVTEPADTGGAFIVNEDTDEQEVRGRTSPVNASVTEQEVTGGVSLVSGSFSEPEVIRGVSLANEATTKQEVSERVSLVSEATTEPGAIVTASEVIKGAPLDGKGDAEPDLKMGASLANDFASKMDVKPVASLVFEAVTPPALPEVVTEPGVNGAAFHENEVSVQPEVAGGPSLADESTEQAVTGETCTVKEVAAEPDVVGGASACRGDGNADFNEQQPPDCDPDISSVQGESAGEGVASEVQPSRDHAGDTIRSVNTRNSDPVGDKSPTGEEVAPHDDTPSVSCVSDILARSVGKSGRTDIICYARRMAKRKLNIVEIKRKQIKMDDDAIYDQKAALDRIAPRASKMPTAGSAEIKLADIKKELIDNSASSKGKQRKMRRFECDIEYCRMTFKKQAELSLHKKNMCTLKSCGRQFRAHKYLKRHQRIHDCDTPYKCPWEGCSMAFKWTWALAEHFQVHTGDKPYKCKTPGCGRIYKFVSDFARHRMRCKPQR* >Brasy2G062700.3.p pacid=40066887 transcript=Brasy2G062700.3 locus=Brasy2G062700 ID=Brasy2G062700.3.v1.1 annot-version=v1.1 MRIRRYAARLLASSNPASAAASSPPPQPAAPWFHAAADDCAICELTRCSDPEVAPDGVKHKGHIARLTPEVGLKSDERIGVPRSPAVPPEEIVPAHECKIDGERSASKHDAALEVEIGASGVSSPPVPPEEIIPAHECKIADERSVSKGGVALEFEMGASGASIAAARPDDMGKTTLVNEAVTEPADTGGAFIVNEDTDEQEVRGRTSPVNASVTEQEVTGGVSLVSGSFSEPEVIRGVSLANEATTKQEVSERVSLVSEATTEPGAIVTASEVIKGAPLDGKGDAEPDLKMGASLANDFASKMDVKPVASLVFEAVTPPALPEVVTEPGVNGAAFHENEVSVQPEVAGGPSLADESTEQAVTGETCTVKEVAAEPDVVGGASACRGDGNADFNEQQPPDCDPDISSVQGESAGEGVASEVQPSRDHAGDTIRSVNTRNSDPVGDKSPTGEEVAPHDDTPSVSCVSDILARSVGKSGRTDIICYARRMAKRKLNIVEIKRKQIKMDDDAIYDQKAALDRIAPRASKMPTAGSAEIKLADIKKELIDNSASSKGKQRKMRRFECDIEYCRMTFKKQAELSLHKKNMCTLKSCGRQFRAHKYLKRHQRIHDCDTPYKCPWEGCSMAFKWTWALAEHFQVHTGDKPYKCKTPGCGRIYKFVSDFARHRMRCKPQRFGCNSSN* >Brasy2G062700.5.p pacid=40066888 transcript=Brasy2G062700.5 locus=Brasy2G062700 ID=Brasy2G062700.5.v1.1 annot-version=v1.1 MRIRRYAARLLASSNPASAAASSPPPQPAAPWFHAAADDCAICELTRCSDPEVAPDGVKHKGHIARLTPEVGLKSDERIGVPRSPAVPPEEIVPAHECKIDGERSASKHDAALEVEIGASGVSSPPVPPEEIIPAHECKIADERSVSKGGVALEFEMGASGASIAAARPDDMGKTTLVNEAVTEPADTGGAFIVNEDTDEQEVRGRTSPVNASVTEQEVTGGVSLVSGSFSEPEVIRGVSLANEATTKQEVSERVSLVSEATTEPGAIVTASEVIKGAPLDGKGDAEPDLKMGASLANDFASKMDVKPVASLVFEAVTPPALPEVVTEPGVNGAAFHENEVSVQPEVAGGPSLADESTEQAVTGETCTVKEVAAEPDVVGGASACRGDGNADFNEQQPPDCDPDISSVQGESAGEGVASEVQPSRDHAGDTIRSVNTRNSDPVGDKSPTGEEVAPHDDTPSVSCVSDILARSVGKSGRTDIICYARRMAKRKLNIVEIKRKQIKMDDDAIYDQKAALDRIAPRASKMPTAGSAEIKLADIKKELIDNSASSKGKQRKMRRFECDIEYCRMTFKKQAELSLHKKNMCTLKSCGRQFRAHKYLKRHQRIHDCDTPYKCPWEGCSMAFKWTWALAEHFQVHTGDKPYKCKTPGCGRIYKFVSDFARHRMRCKPQR* >Brasy2G496200.1.p pacid=40066889 transcript=Brasy2G496200.1 locus=Brasy2G496200 ID=Brasy2G496200.1.v1.1 annot-version=v1.1 MEDEHKLLYKGSDTIRDSAQKAIGTISGKKVGLFDAIYLMGPYQDEVMIKKKAGLTREHYDMISSWTHPNAIPVLAYYSEGTNMGRYVIPKIHDSFPPWFELRGKSVFFDQEGKMTSIFRTFIVDICDVVESLQEKNIFLDGIAAEDLYIKFSSDGTPKFLLLITKVKRSANPKLDVLVTLQSIRDLIYNCCRGCDIELDSCRSSFVDFLASQSFTVNKLRLYPDTWDWTTKGEYLMALKCSDHRHLKSLVLISKIKWPNPVPRALEIILENSEAQNFIYDKNVPLDYLRVLWDAYKHFGKLYLHVDFGDHEGLINEIEVWTPRLWTEVYDIIGWP* >Brasy2G242800.1.p pacid=40066890 transcript=Brasy2G242800.1 locus=Brasy2G242800 ID=Brasy2G242800.1.v1.1 annot-version=v1.1 MLLQQPERTEETGAVMELEPLAPARRRPPMDAPAPPPLLPLLCLLMLALFSCGEAAALGAPVGEDYVRPPARSRKALLSLFPWSKKKASSSAVDPQQVHISLAGEKHMRITWLTDDNSVPSVVDYGTKTGTYTSTSQGESTSYSYLLYSSGKIHHVVIGPLEDNMIYYYRCGGQGPEFQLKTPPSQFPLSLAIVGDLGQTSWTTSTLNHIKQCEHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTQGNHEKEMIPFLKSGFQSYNARWKMPYEESGSTSNLYYSFEVAGLHVIMLGSYTDYDETSDQYAWLKADLAKVDRKMTPWLIVLLHVPWYNSNWAHQGEGDSMMTAMEPLLYAAHVDIVIAGHVHAYERSERVYNGGLDPCGAVHITIGDGGNREGLAHRYHNPKPAWSVFREASFGHGELKIVNSTHAHWTWHRNDDEEPVRTDDVWINSLSSSRCIQESSREFRKILMSP* >Brasy2G020000.1.p pacid=40066891 transcript=Brasy2G020000.1 locus=Brasy2G020000 ID=Brasy2G020000.1.v1.1 annot-version=v1.1 MAISRQHLPLLVLLLLVATAQARPYRSSGSASGSGSGDEFLQGGAVEARKLDEGSISNGAMGADGIPCDPRRASNCRPGGNSPYNGRGCNPIDRCRGNTAAAASPAN* >Brasy2G369000.1.p pacid=40066892 transcript=Brasy2G369000.1 locus=Brasy2G369000 ID=Brasy2G369000.1.v1.1 annot-version=v1.1 MAAEKKGLTLLDFWVSPFGQRVRIALEEKALPYEYVEENLLAGKSELLLRSNPVHKKIPCLLHDGRPVNESLIIVQYLDEAFPDARPLLPPSDPYARAQARFWADYVDKKVYDCGTRLWKLKGEPQAQAREEMKEILRNLEGELGEKEFFGGEHGFGFVDAAFAPFTAWFHSYEKFGEFSLEEVAPKLAAWAKRCGERGSVAKSLYSPEKVYEFIGVLKKKYGVE* >Brasy2G156400.1.p pacid=40066893 transcript=Brasy2G156400.1 locus=Brasy2G156400 ID=Brasy2G156400.1.v1.1 annot-version=v1.1 MGSMDGHSLQQAGHHHHGGYAQVGAAGSNNEEQDDASPPPSGASGGGGGSGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAAFSRRRQRGVSVLNGSGAVTGVTLRQPAGTGGAPAVALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELLASGPVMVIAATFGNATYERLPLDEPSQADADEGGAVLSGSSEGAVLEQQGSGGVPHPPMYAAVPQPTPPHDMFGQWGQQARPPPPTSF* >Brasy2G037700.1.p pacid=40066894 transcript=Brasy2G037700.1 locus=Brasy2G037700 ID=Brasy2G037700.1.v1.1 annot-version=v1.1 MGLTTCCRHPRILRSRVDAPEAQGNNLFLQESIANEASFGTAANVGSIFWRILEKPEVPKTHTTCTNTCWLVARRDLTVESFFEGFFAALFSVGLCF* >Brasy2G034300.1.p pacid=40066895 transcript=Brasy2G034300.1 locus=Brasy2G034300 ID=Brasy2G034300.1.v1.1 annot-version=v1.1 MSRLHRALTSLRVTSTGGGAAATFSSGSTSLCSSDATANAIVALVAAGGGSLEADLDRLDPALSDAAVSATLRALTERGVPACRFFAWLSLCGGSFPSARAHNLLVENAGRLGDYPAMARALALLSARRLSLTEKAFAFLDPSRPSSSSPNCVGETARATLRTLDGAGGPCRASGVFSLVKALASIGEFDAAVSVIEETGRRASYYNVLMAGKCKAGDFQGAREVFDEMRQASCDPNANSWNYLLGCLLKNGRAVEACDLVEAMERSKAKDIPNSLTYEILTYHACRAGRMDSARRILDQMFLENLTPRITIHTAFIKGYLYAGRIEEAHKYVGGMCTRDRHSANRNYSMLAKLLRKSGRIVEAGNVLYELMEKGLRPDHSAYVRVAKDLHKMGRGDLAAELKSLYQRFIVQSGLES* >Brasy2G185500.1.p pacid=40066896 transcript=Brasy2G185500.1 locus=Brasy2G185500 ID=Brasy2G185500.1.v1.1 annot-version=v1.1 MDDDIDSTCSTPFASAPSSPGRSPSIFSGGGGGGYFFSAPASPIHHLLFSSSSAASGSANGAGYGGLGDAEFEFGGPGEHMISADELFQNGQIRPLTLSPLPDLDPGSEDDNEDDERRHGPVRGRELTLRSGSVHRRARSMSPLRSTSPRLKLLNALAPPPDLASVSRSTGAPSEEAPPPVTASSRSSSSSSTSSSSSSSFARGSRRWVFLKDMLLHRSKSEPGSAHAHDRDTPAAAAKPERAWQFSSSWASRDKVVAKLRGAKPHPPPPETAAAAAESVGGDEPRTTRGQGRGKSRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGVLGCLGLSSRSYGALRGFSKTLNPVFSR* >Brasy2G062200.1.p pacid=40066897 transcript=Brasy2G062200.1 locus=Brasy2G062200 ID=Brasy2G062200.1.v1.1 annot-version=v1.1 MYSTDGIACLLVLDDDEKDNHDSACDYHPGPAVFHDMMRGWKWRDVHAREFDEFMEISPCTKGWHNADAV* >Brasy2G098300.1.p pacid=40066898 transcript=Brasy2G098300.1 locus=Brasy2G098300 ID=Brasy2G098300.1.v1.1 annot-version=v1.1 MLRCGVGHGAAKAIFSPVTPPPSRGGRRQLLRQRTRSAEKPPGEEERVGEGSALPGKSVLLRAGAALFALGFVDAGYSGDWSRIGAISKDTEELLKLAAYAVVPLCLALGLSVPDDS* >Brasy2G073400.1.p pacid=40066899 transcript=Brasy2G073400.1 locus=Brasy2G073400 ID=Brasy2G073400.1.v1.1 annot-version=v1.1 MLRSFPQARRLLRRMGFEKGDAYFFKQMGKGMLCTYALFGAAWFWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE* >Brasy2G073400.3.p pacid=40066900 transcript=Brasy2G073400.3 locus=Brasy2G073400 ID=Brasy2G073400.3.v1.1 annot-version=v1.1 MLRSFPQARRLLRRMGFEKGDAYFFKQMGKGMLCTYALFGAAWFWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE* >Brasy2G073400.2.p pacid=40066901 transcript=Brasy2G073400.2 locus=Brasy2G073400 ID=Brasy2G073400.2.v1.1 annot-version=v1.1 MLRSFPQARRLLRRMGFEKGDAYFFKQMGKGMLCTYALFGAAWFWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE* >Brasy2G057600.1.p pacid=40066902 transcript=Brasy2G057600.1 locus=Brasy2G057600 ID=Brasy2G057600.1.v1.1 annot-version=v1.1 MSMLAEETTVEHLTTDVARITTCTIQTMCKVGTYAASSGGSQVALLNVSWKGVVSLLQFGKGLIEVQVSVGDIISTLISLVIESLRVAAETWCMSLHEVLGISEARRSFLPIKFFLINAVRICSVYPSEAMNIYKDLIRCVLVISSTGILFSKDPLLKAANEALVELLEPNSFLLLDTLMKSSEVRTELKCQLVQYFLENGEANSLAQMGQDDQRERNLAQLGCIFSVDPDVDGRNRALLLAEAIVFLHFLNVSSCLTEEVVVALSKKLGVLLDILTLEDVYSYVLGCQIPTLYVADHPPVVVWQPVYTCLIQALKTLMIAAASSSVAWNELETFLLESLFHPHFLCMETVTELWCFFMRYAENETSTYLINELFLLLKTVASTEKVLAPLSALRKVARAFCFILSYASCATVDQIYTSVLNDNSSKSSILHLALLMEGFPFDSLSDGIKAQAVKKLFTSFAGYLQSYLMKHGAIDLATSGSGVIGLPVHALASALQRCEINDSNTIDGKNITTMFKFSTSLIHLYRTAPDSSKDHLALHISSILDIISNMRHLCAFYQMEKLTLELQTLFTSSSDNSKAVLSLCKPSIASFMAVLGHLSCTGDNSNALCSAISELYHFLLRERHWALIHLVMDSFGYFAARTSFTQLWRFIPGDAALSYNTSTGVDIDENGFMPQLRAFLQKEAVRTNKWSEVQIRFLISEGRVLKKLVETFSEIPIALEPEKAVIEKDANTKKRKMPDGICEGMALLQNGIKVMRSALGGTDSAELRDRFAAHLSRLQDTVSQISSFSEQT* >Brasy2G057600.3.p pacid=40066903 transcript=Brasy2G057600.3 locus=Brasy2G057600 ID=Brasy2G057600.3.v1.1 annot-version=v1.1 MKSWVSLKLEGILFSKDPLLKAANEALVELLEPNSFLLLDTLMKSSEVRTELKCQLVQYFLENGEANSLAQMGQDDQRERNLAQLGCIFSVDPDVDGRNRALLLAEAIVFLHFLNVSSCLTEEVVVALSKKLGVLLDILTLEDVYSYVLGCQIPTLYVADHPPVVVWQPVYTCLIQALKTLMIAAASSSVAWNELETFLLESLFHPHFLCMETVTELWCFFMRYAENETSTYLINELFLLLKTVASTEKVLAPLSALRKVARAFCFILSYASCATVDQIYTSVLNDNSSKSSILHLALLMEGFPFDSLSDGIKAQAVKKLFTSFAGYLQSYLMKHGAIDLATSGSGVIGLPVHALASALQRCEINDSNTIDGKNITTMFKFSTSLIHLYRTAPDSSKDHLALHISSILDIISNMRHLCAFYQMEKLTLELQTLFTSSSDNSKAVLSLCKPSIASFMAVLGHLSCTGDNSNALCSAISELYHFLLRERHWALIHLVMDSFGYFAARTSFTQLWRFIPGDAALSYNTSTGVDIDENGFMPQLRAFLQKEAVRTNKWSEVQIRFLISEGRVLKKLVETFSEIPIALEPEKAVIEKDANTKKRKMPDGICEGMALLQNGIKVMRSALGGTDSAELRDRFAAHLSRLQDTVSQISSFSEQT* >Brasy2G057600.2.p pacid=40066904 transcript=Brasy2G057600.2 locus=Brasy2G057600 ID=Brasy2G057600.2.v1.1 annot-version=v1.1 MSMLAEETTVEHLTTDVARITTCTIQTMCKVGTYAASSGGSQVALLNVSWKGVVSLLQFGKGLIEVQVSVGDIISTLISLVIESLRVAAETWCMSLHEVLGISEARRSFLPIKFFLINAVRICSVYPSEAMNIYKDLIRCVLVISSTGILFSKDPLLKAANEALVELLEPNSFLLLDTLMKSSEVRTELKCQLVQYFLENGEANSLAQMGQDDQRERNLAQLGCIFSVDPDVDGRNRALLLAEAIVFLHFLNVSSCLTEEVVVALSKKLGVLLDILTLEDVYSYVLGCQIPTLYVADHPPVVVWQPVYTCLIQALKTLMIAAASSSVAWNELETFLLESLFHPHFLCMETVTELWCFFMRYAENETSTYLINELFLLLKTVASTEKVLAPLSALRKVARAFCFILSYASCATVDQIYTSVLNDNSSKSSILHLALLMEGFPFDSLSDGIKAQAVKKLFTSFAGYLQSYLMKHGAIDLATSGSGVIGLPVHALASALQRCEINDSNTIDGKNITTMFKFSTSLIHLYRTAPDSNGEVNSRVANPVHV* >Brasy2G057600.4.p pacid=40066905 transcript=Brasy2G057600.4 locus=Brasy2G057600 ID=Brasy2G057600.4.v1.1 annot-version=v1.1 MSMLAEETTVEHLTTDVARITTCTIQTMCKVGTYAASSGGSQVALLNVSWKGVVSLLQFGKGLIEVQVSVGDIISTLISLVIESLRVAAETWCMSLHEVLGISEARRSFLPIKFFLINAVRICSVYPSEAMNIYKDLIRCVLVISSTGILFSKDPLLKAANEALVELLEPNSFLLLDTLMKSSEVRTELKCQLVQYFLENGEANSLAQMGQDDQRERNLAQLGCIFSVDPDVDGRNRALLLAEAIVFLHFLNVSSCLTEEVVVALSKKLGVLLDILTLEDVYSYVLGCQIPTLYVADHPPVVVWQPVYTCLIQALKTLMIAAASSSVAWNELETFLLESLFHPHFLCMETVTELWCFFMRYAENETSTYLINELFLLLKTVASTEKVLAPLSALRKVARAFCFILSYASCATVDQIYTSVLNDNSSKSSILHLALLMEGFPFDSLSDGIKAQAVKKLFTSFAGYLQSYLMKHGAIDLATSGSGVIGLPVHALASALQR* >Brasy2G379100.1.p pacid=40066906 transcript=Brasy2G379100.1 locus=Brasy2G379100 ID=Brasy2G379100.1.v1.1 annot-version=v1.1 MSGAGALLFTRLNAGTGRHAGHGVKPPAPSEELLQKSNRSINRQIGRSDMVEPPRRDPIPTAVALCIARRSTRHLPADLASPGRHAPAIYKYTGAHTARSACACFRSISGPDQTAESESGDPVPHSCPHPPLCLSWFLLPSLSLSALAKKKKNLLLVLYLLFKPWQLLIALAAWCRVVSPQSCPARRDLSPPRGISFRAAAKRKKKIIRASEAAVAREIAYHHCCRVAGSVAVIGKFAV* >Brasy2G077900.1.p pacid=40066907 transcript=Brasy2G077900.1 locus=Brasy2G077900 ID=Brasy2G077900.1.v1.1 annot-version=v1.1 MGCTTSRQARHDLRHCPSPLLLPRCQSFPAARFDLDFDAADGVHVVRLTSSTLGSLELDKAAGPWAARAPATTATRRPTVAAPRTPTMTPPNEPEPIDALALMAGLEEDHSPLLAAPFARHSFSFPTPAATAAAAQEFSKVSPMPSPAPARKRKQAVLYFTSLRGVRATHEGCSLARDILRGYGVRVDERDVSMHRGFRDELHGLMGLGYGDKLVVGSWAPPSGPGSPAAILPSLFVDGELVGHAEEMKRMHETGELAARLAGCESSSAGACAACGDARFVLCETCSGSCKVYVEDEDDDEEELGEEMDDGGGGAGFRRCSECNENGIVRCPVCCCSLRTR* >Brasy2G490300.1.p pacid=40066908 transcript=Brasy2G490300.1 locus=Brasy2G490300 ID=Brasy2G490300.1.v1.1 annot-version=v1.1 MSSRRGRITDEEITELISKLQALLPESSRRRTASRSSASKLLKETCSYIKNLHQEVDDLSERLSELMSTLDDNSPQAEIIRSLLR* >Brasy2G069700.1.p pacid=40066909 transcript=Brasy2G069700.1 locus=Brasy2G069700 ID=Brasy2G069700.1.v1.1 annot-version=v1.1 MADAAVKPEPEKKSWADLEEEEEAKAKAEAEAEAAAAAAPSSSSSATEPAVDAQAKQIEALSLSVPEDEGGQQGPPLLDDSDNSQIQAVTSGGTVYESATTFEDLKLTPELLKGLHDEMGFTRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRKIPQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYMPISRMPAITDQVVIGTSGTLMKWITNKKLATREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTKVIKDGNQIFVKKEDLTLEKVKQYKVRVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTKISTKNVHNALTKEDYVCSSIQGSLDQSEREKVIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKYNTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGDTDDSVMTKIENYFQHKVPEVPNWKSEENFETALKDAGLLE* >Brasy2G099800.1.p pacid=40066910 transcript=Brasy2G099800.1 locus=Brasy2G099800 ID=Brasy2G099800.1.v1.1 annot-version=v1.1 MAPPCVLLKRKVLFQHDPDVFCGGAVERGGESIGGESGRTRGIRSPRETANAILPYLRVMKPDAHFANPPELSSLRILRPTESTPQCYRSILTSGQIASADKNLIALYPGAYRPGSHVKGCYLIYDATKNSLSAIPQRPDDTGFLAGVGLGAVVLRCDGGEDDVAYVLGELAEVRGSDCSEAALYTWRSSTSEWVTKVGRLPPEVCTRDRLFLSDMCFSFGGSILCWVDMFKGMLICDLRAVLEYGSHLEFRFIPLPEDSLPFPHSNRFECPRRVEVFCSIGCVRGAVKFLMMDGYGERPSNEVTLTIWTLLPDIYKWEKGRVYHVRDIWENETYKTMGLPQILPSYPLLSMHEDDVVYLVLPNVTSVDGYENKFLLRVDMLHNKVQCHQGTSDKIHARLIAVECSAYLQDLEDHPELLIPLRMYNLICIVLLYTCIHK* >Brasy2G099800.2.p pacid=40066911 transcript=Brasy2G099800.2 locus=Brasy2G099800 ID=Brasy2G099800.2.v1.1 annot-version=v1.1 MAPPCVLLKRKVLFQHDPDVFCGGAVERGGESIGGESGRTRGIRSPRETANAILPYLRVMKPDAHFANPPELSSLRILRPTESTPQCYRSILTSGQIASADKNLIALYPGAYRPGSHVKGCYLIYDATKNSLSAIPQRPDDTGFLAGVGLGAVVLRCDGGEDDVAYVLGELAEVRGSDCSEAALYTWRSSTSEWVTKVGRLPPEVCTRDRLFLSDMCFSFGGSILCWVDMFKGMLICDLRAVLEYGSHLEFRFIPLPEDSLPFPHSNRFECPRRVEVFCSIGCVRGAVKFLMMDGYGERPSNEVTLTIWTLLPDIYKWEKGRVYHVRDIWENETYKTMGLPQILPSYPLLSMHEDDVVYLVLPNVTSVDGYENKFLLRVDMLHNKVQCHQGTSDKIHARLIAVECSAYLQDLEDHPELLIPLRIEMQKHERYGQVERV* >Brasy2G259600.1.p pacid=40066912 transcript=Brasy2G259600.1 locus=Brasy2G259600 ID=Brasy2G259600.1.v1.1 annot-version=v1.1 MAAGVTVTVREHEAAATDGGARRRGRCVIHGEGSGGGVVRGEGGGGVIRDGPLRLDLGAGPLGKMLGRAMALAATSGFGGRRNRRRGSKIEKEWWAGPRGRRAQGRTAVAAHVGEGEGGIHG* >Brasy2G328600.1.p pacid=40066913 transcript=Brasy2G328600.1 locus=Brasy2G328600 ID=Brasy2G328600.1.v1.1 annot-version=v1.1 MASRLLHLRRLLPAARPSAAFSTASTPTPRVSGIVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPPGEGGATGVAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPTVLKSGVPKEEAEGIAEKMRALGAKIVLEEILFAGWIFSEHTRVCEQTNLQAFHGAKLPFLLCLYALWIQSPRTLHNPEKRRLIQDRSRENKQHLHESSWLYKER* >Brasy2G328600.3.p pacid=40066914 transcript=Brasy2G328600.3 locus=Brasy2G328600 ID=Brasy2G328600.3.v1.1 annot-version=v1.1 MASRLLHLRRLLPAARPSAAFSTASTPTPRVSGIVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPPGEGGATGVAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPTVLKSGVPKEEAEGIAEKMRALGAKIVLEMDLL* >Brasy2G328600.2.p pacid=40066915 transcript=Brasy2G328600.2 locus=Brasy2G328600 ID=Brasy2G328600.2.v1.1 annot-version=v1.1 MASRLLHLRRLLPAARPSAAFSTASTPTPRVSGIVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPPGEGGATGVAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPTVLKSGVPKEEAEGIAEKMRALGAKIVLEMDLL* >Brasy2G328600.4.p pacid=40066916 transcript=Brasy2G328600.4 locus=Brasy2G328600 ID=Brasy2G328600.4.v1.1 annot-version=v1.1 MASRLLHLRRLLPAARPSAAFSTASTPTPRVSGIVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPPGEGGATGVAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPTVLKSGVPKEEAEGIAEKMRALGAKIVLEMDLL* >Brasy2G234600.1.p pacid=40066917 transcript=Brasy2G234600.1 locus=Brasy2G234600 ID=Brasy2G234600.1.v1.1 annot-version=v1.1 MQKRSAKEDATGTAPTKKACMGTAGGDSQPKPAQVEEEEKNDVFSLDLDTLQCDICFMPFKFQVYMCKNGHAACGNCCVRLDRKCPTCSESIGDIRCRAMEKILAGMTRPCKYKKLGCKRILRFTEIRAHEEERNCRYAPYPCPFDGCTFTVTRLRDHMVEYNHGPFFFLDFRGRCPALKIKKFTPFSVLVRLDGKSVFLLLNGGDVPKGRSLSVVRLYPRPDEDGAGALAEDYTMLVKCDQYTGSLSLNCAAVQFVRRTEGKYYSLHSREFLFVPDACWGSSDTVTVDVTVHP* >Brasy2G428100.1.p pacid=40066918 transcript=Brasy2G428100.1 locus=Brasy2G428100 ID=Brasy2G428100.1.v1.1 annot-version=v1.1 MAADSPRPLLLLILAVVSIPLRCAANGPVTTVEEACRQHTKHPAFCVQALSSKPAETSSSPSVAALAAAAVSLAAESGAAAVTLVRGLESEPGGMPMECLERCVGKFQAAVAELTRSRAALLGLEHQGSVSLADVARVKGWVKAARADGDTCLDGCRAAEGAADPSIVHRIAELRKLCSVALSLTAAAADAHHHRLLPRASPAAA* >Brasy2G158500.1.p pacid=40066919 transcript=Brasy2G158500.1 locus=Brasy2G158500 ID=Brasy2G158500.1.v1.1 annot-version=v1.1 MARSKAFSMPFALAAAAAVVLLLAAAQPVSGASAHLHFYMHDVLGDTAVQVVRGPRGMFGNTVVIDDVLTEGTASSSSVVGRAQGQYICASVQSMELMVTMNVVLTSGPYAGSSVTVLGRDDTGAAVRELVVVGGTGQFRMARGYVLWKTVRPDLLELDVYVNP* >Brasy2G025000.1.p pacid=40066920 transcript=Brasy2G025000.1 locus=Brasy2G025000 ID=Brasy2G025000.1.v1.1 annot-version=v1.1 MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIHMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPSARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFTIINDRLPTVSTSTVAILLSTYAKILMHTQPPDAGLQQQIVAIFKKHESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQHTSNALVVADHPPVNGPAPAANHLTLVKIPSQNIAEESHVSYEETAVEAPKENGAPVEVESKVENIAETNIQSKVEPPASHPVSQADLLADLLGPLAIEGPPAAVEQNPVQGLEANQSPVGDLALATLEDQSNSVQPIVNVEEKFHVLCMKDSGVLYEDPHIQIGLKAEWRAHHGRLILFLGNKNTSPLVSMRALILPPSHFKVELSSVPDTIPPRAQVQIPLEVTNLRSSRDVAVLDFSYKHGAVLVNAKLRLPIVLHKFLLPTTLTPEDFFPQWKTWNVQPLKMQEVVKGVKPLPLPEMANLLMSLHLAVTPGLDSNPNNMVACATFYSETNRTTLCLVRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRTHAAPPPAPQQPQLPPAAAAGAPATYNDPGAMLAGLL* >Brasy2G325900.1.p pacid=40066921 transcript=Brasy2G325900.1 locus=Brasy2G325900 ID=Brasy2G325900.1.v1.1 annot-version=v1.1 MPLKLLQTHRAAGQCRLLLLLLNLVPGFLLLPFSRSAAAQKVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPATDPVILWMTGGPRCSVFSGLAFEVGPVKFVLAPYTGSLPQLVHNPLSWTKMASILFLDSPVGSGFSYARDPKGYDIGDYSSSLQVQRFLNKWFTHHPRYLSNPFYLGGDSYAGMVIPFIAHIISEGIEKRQQPLINLKGYLVGNPKTDTRFDVNFKIPSAHGFGIISDQIYEAARKHCEGDYVNPANQMCAEVLHTINSLISEIPDAHILYKKCVLAVPKPFDDVSGRKELLEESIQLNQLPDRPTVDCFSYRYYLAYFWMNNNLTRNALGIKEGTIGEWTRCNGSLPYRYEMPSSIPYHLNLTRRGYRALVYSGDHDMGVPLLGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIAYANNLTFATVKGGGHVAPAYQPEECFAMVRRWLDIEPL* >Brasy2G442700.1.p pacid=40066922 transcript=Brasy2G442700.1 locus=Brasy2G442700 ID=Brasy2G442700.1.v1.1 annot-version=v1.1 MAESPENAGAAPAPVPLPPAPKPSTPPPPPPKSGIPPRYDLDAKWDACLDLSIRRVAYASLAGAFGGLILFRSPTTRWASVALGAGVGIGAAYTECSYIFNGSPPKWSPKVPTVPSAHSEGDK* >Brasy2G395100.1.p pacid=40066923 transcript=Brasy2G395100.1 locus=Brasy2G395100 ID=Brasy2G395100.1.v1.1 annot-version=v1.1 MIRANHFLVDVADNNLFHYDVSINPESKSRATNREVLSELIKLHGKKSLGGKLPAYDGRKSFYTAGSLPFESEEFVVTLVDPEKKDKERAEREYKITIRIAGRTDLFHLQQFLAGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDVSRPLNDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPIPMSQLIFPVDERGTRMTVVQYFMERYNYRLQYTSWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLRYHASGREKTCAPSVGQWNMINKKMINGGTIDKWACISFSRMRPEEVHRFCCDLVQMCNATGMSFCPRPLLDIRTATPNNIENALRDVYRRTAEIEKGKQLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTVLESAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVIKDPQRGTTVNGGLIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRREMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGETSDGGSTPGSSGQAAVAREGPVEVRQLPKIKENVKDVMFYC* >Brasy2G395100.2.p pacid=40066924 transcript=Brasy2G395100.2 locus=Brasy2G395100 ID=Brasy2G395100.2.v1.1 annot-version=v1.1 MIRANHFLVDVADNNLFHYDVSINPESKSRATNREVLSELIKLHGKKSLGGKLPAYDGRKSFYTAGSLPFESEEFVVTLVDPEKKDKERAEREYKITIRIAGRTDLFHLQQFLAGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDVSRPLNDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPIPMSQLIFPVDERGTRMTVVQYFMERYNYRLQYTSWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLRYHASGREKTCAPSVGQWNMINKKMINGGTIDKWACISFSRMRPEEVHRFCCDLVQMCNATGMSFCPRPLLDIRTATPNNIENALRDVYRRTAEIEKGKQLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVIKDPQRGTTVNGGLIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRREMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGETSDGGSTPGSSGQAAVAREGPVEVRQLPKIKENVKDVMFYC* >Brasy2G395100.3.p pacid=40066925 transcript=Brasy2G395100.3 locus=Brasy2G395100 ID=Brasy2G395100.3.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDVSRPLNDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPIPMSQLIFPVDERGTRMTVVQYFMERYNYRLQYTSWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLRYHASGREKTCAPSVGQWNMINKKMINGGTIDKWACISFSRMRPEEVHRFCCDLVQMCNATGMSFCPRPLLDIRTATPNNIENALRDVYRRTAEIEKGKQLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTVLESAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVIKDPQRGTTVNGGLIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRREMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGETSDGGSTPGSSGQAAVAREGPVEVRQLPKIKENVKDVMFYC* >Brasy2G395100.4.p pacid=40066926 transcript=Brasy2G395100.4 locus=Brasy2G395100 ID=Brasy2G395100.4.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDVSRPLNDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPIPMSQLIFPVDERGTRMTVVQYFMERYNYRLQYTSWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLRYHASGREKTCAPSVGQWNMINKKMINGGTIDKWACISFSRMRPEEVHRFCCDLVQMCNATGMSFCPRPLLDIRTATPNNIENALRDVYRRTAEIEKGKQLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTVLESAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVIKDPQRGTTVNGGLIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRREMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGETSDGGSTPGSSGQAAVAREGPVEVRQLPKIKENVKDVMFYC* >Brasy2G276300.1.p pacid=40066927 transcript=Brasy2G276300.1 locus=Brasy2G276300 ID=Brasy2G276300.1.v1.1 annot-version=v1.1 MAGNNNGAPAPATPMQLTVSGRRAASSGMGEAAAAKERADRIMKRKRYPLAQQPQLTQLPRREQITQVQPVVRAPRSLQGLVDACYLVFPTGSRCDTVPPPPSVKLIRRLIGEIGPEDVGLKPDSARYLKEMKERGVQPTSAITTKTLYECDTFAVSVFFLFPGKVMPLHDHPRMTVLSKILLGPARVTSYDWVQPSLCLDRRWLLAQKVRDEDMTPESGAWVLYPSTGGNVHRLAASADAPCALIQVLSPPRGSRLQHNIGYDFYRDMPCEQIHPAVLNQISDEQKGQLAWLQQTGVPKDLNLMTLWNNRDPVIL* >Brasy2G430000.1.p pacid=40066928 transcript=Brasy2G430000.1 locus=Brasy2G430000 ID=Brasy2G430000.1.v1.1 annot-version=v1.1 MGCLFDCFRVAGGEPRAGRSRAHLVSSSVAPAANLKVGERRGKPSRNALSAVFLREDDGSRAASSGANQGVERQKVEQELMHEVTSLKNSGVQLETPKEIRGGQESTDSVYQSETHSARLPAFCENLNIMEVLKAEDCQTPSGSHQSSDLPDAMSSSWKGCDTSSQHDSQPVSKSIESDRVNNDSVIDSEIQLPTLDSCSSKSSPCSTPSEVNAEIQLPATTHAPNLEEVRNENSTRASSQHLYEALNPVEHFKNSEVFKEDPCQPDISDENLKCANNDSLISIELSMSDECSLFQNSDDSASTCNKISDSMNTASVDNCLASEATVHDSRKKVTTNSGSDVELPSLSQWLKPPYPRKVTMDETDTSDRVHSAKSSEEDRPIIGMVAAHWKDREPENFTPKWFDGNGIPNSTNKYKEDQKVSWHAMPFEERLEKALSEEKLLSQRKCSSGNTSQFSGVEGEESDTAASSHLYVAAFT* >Brasy2G430000.2.p pacid=40066929 transcript=Brasy2G430000.2 locus=Brasy2G430000 ID=Brasy2G430000.2.v1.1 annot-version=v1.1 MGCLFDCFRVAGGEPRAGRSRAHLVSSSVAPAANLKVGERRGKPSRNALSAVFLREDDGSRAASSGANQGVERQKVEQELMHEVTSLKNSGVQLETPKEIRGGQESTDSVYQSETHSARLPAFCENLNIMEVLKAEDCQTPSGSHQSSDLPDAMSSSWKGCDTSSQHDSQPVSKSIESDRVNNDSVIDSEIQLPTLDSCSSKSSPCSTPSEVNAEIQLPATTHAPNLEENSDDSASTCNKISDSMNTASVDNCLASEATVHDSRKKVTTNSGSDVELPSLSQWLKPPYPRKVTMDETDTSDRVHSAKSSEEDRPIIGMVAAHWKDREPENFTPKWFDGNGIPNSTNKYKEDQKVSWHAMPFEERLEKALSEEKLLSQRKCSSGNTSQFSGVEGEESDTAASSHLYVAAFT* >Brasy2G293600.1.p pacid=40066930 transcript=Brasy2G293600.1 locus=Brasy2G293600 ID=Brasy2G293600.1.v1.1 annot-version=v1.1 MQALARTARGLWPAAADAAAGRGSYGQVQPSRGIMVQVRDGNLERALSVMERKMKSSGIERLIKRRTEHHVKNSEKRVLARKALMARVRSQELGKRLRDILIKKIRGQ* >Brasy2G303500.1.p pacid=40066931 transcript=Brasy2G303500.1 locus=Brasy2G303500 ID=Brasy2G303500.1.v1.1 annot-version=v1.1 MRPFRAQKLKNKIKPNISASPASSSPASAASARVTAALDPDSSMHPFCCVPAPVSVSPAASVANSSAAAAAAASSAVAGSRLPAVMPPPPPPPMPPPPTSSTSSAGERRVRVAVVGRGGSSSPPEGVRLNEIVGGGISGVLYKWVNYGRGWRPRWFALQDGVLSYYKIHGPDRIVLSRDTERGAKVIGEDSLRRLSRPSTSSSSSHSNGHHPPRKPLGEIHLKVSTVRESRSDDKRFSIFSGTKRLHLRAETREDRAAWLEALRATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSETAIADSERIVRAEFEALHKQLVLLKQKQALLLDTLRHLETEKVDLENTLVDESQRQSKEYGSASRPKNEKYSEGSASESDDYNEPQDPAEEETDDEENIYFDTTDFLSSSSFKSSGSDFQRSEAGSDDEDNYPMDGIDPSMKSVGINYPYVRRRRKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAHEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGMLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVIQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTSFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKGTDTYRYVGGYWESRENSSWEGCPDIFGQLPNDLMITD* >Brasy2G048900.1.p pacid=40066932 transcript=Brasy2G048900.1 locus=Brasy2G048900 ID=Brasy2G048900.1.v1.1 annot-version=v1.1 MRAAAPRLLRKLLIPRSVSGITSRSIGSAQDLPRHYYSNRHLDYSIPGPDLARARPFTSLPPCRTRASSSWSSPTSFPSSPLRGFAFASLYSSLVGREAEAEAEVEVLDMDAGTVRCAANYVPLTPISFIERAAAVYGDRAAVVYGEEARRRQWTWKEVRGRCVRVASSLATRFGVSRGDVVAVLCPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFFVESSLLDVGKAALRRLADQSGAANLPILVTISDDADSGDSDRRGNEYEGLIKNAPSGFDIRWPVNELDPIALNYTSGTTSRPKGVIYNHRGTYLNTIATVLAYDITPMPTYLWTVPMFHCNGWHLPWGVAMQGGTNICLHHFTAKVIFDSIARHRVTHMAGAPTVLNMIINAPAADQKPLPGTVSVMTGGAPPPPHVLNGMEDLGFVVHHVYGLTETHGPTTACTWMPEWNALPAEERARLKARQGVNHIGMQDVDVKNPDTMKSVPHDSQTVGEVMFRGNTIMSGYYKDINATKDSMAGGWLHTGDLAVRHPDGYIELKDRAKDIIISGGENISSIEVESMIFSHPAVLEAAVVARPDDHWGETPCAFVKLKDGANATEAEIIGFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLREKARAMGSLTKTGNSKL* >Brasy2G035500.1.p pacid=40066933 transcript=Brasy2G035500.1 locus=Brasy2G035500 ID=Brasy2G035500.1.v1.1 annot-version=v1.1 MEFTAIRSPAAGRTSEHDGGGPSSTTDQAQVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTTAAASSTGGSGGGGGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGSEAAKGRLFIDWRRRPDHNNPLPPHALGLGRFTTLPNSLPFAPWTMTTTAAQQGRHHPFVSGSGGRAPFMQQQPTPPSFVYDYDHGYYGAPGHGGGRQVLYYRPQQQQHAAVVLESVPVRMGMAMAAEEAVPSSGAKRVRLFGVNLDYSEEDSNSNSRPAQAMQLQQLMSPASSTSTSSSSGKARCSLNLDL* >Brasy2G147900.1.p pacid=40066934 transcript=Brasy2G147900.1 locus=Brasy2G147900 ID=Brasy2G147900.1.v1.1 annot-version=v1.1 MGAHGDRRRHRREEVGVLLDEGEEDLEQHAGACGGATSGVLAEEELGGGGCEEAGGAGMVFEASSSVGSASVTMGPPPIMCWPPPAPSQAIHHNINNLGGGGQQGPFFPLLPPQPPPPPPFFADLYARRALQFAYDHHHHHSGGGGGGASSSSSDPLGLYMGMAGYGQYGNPGGGGMMMPPPFGPSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEIREEAATACPCPLPTESDELTVECASSDEDGRLLVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLLVTEDHGCDRHHQQQDDGDEPMPMSPQHAVASIQEALRAVMERTGTASAGEDSGGSGSGGLKRQRTTSLSAILENRSI* >Brasy2G335200.1.p pacid=40066935 transcript=Brasy2G335200.1 locus=Brasy2G335200 ID=Brasy2G335200.1.v1.1 annot-version=v1.1 MAMSNMKFTSLLFLKLLFILLSAPSIIAGDVDNHRFVYSGFANASLTLNGTASVTPSGLLELTNGRIMSMGHAFYPAPLRLRDSPNSTVQSFSAFFVFGIISIYDLSSHGLTMLVAPSNDFSKATAVQYLGLFNGSNNGNTTNGIFAIELDTWQNSEFGDINNNHVGIDINSLSSVQSHPAGFFHDQDGTFKNLSLTSQEAMQVWVDYDREKTQVNVTMAPLDMATKPERPTVSARHNLSDVLKDVAYIGFSSSTGKIHTRHYVLGWSFAMNSPAPVINVTMLPKLPRNHPKGSRFWVLEIVLPVATAAVILSLIAIAFLLVRRHLRYAEVRDDWEMEFGPHRFSYKDLFNATGGFENKNLLGVGGFGRVYKGVLPRSRLKVAVKRVSHDSKQGMKEFIAEIVSIGRLQNRNLVQLLGYCRRKGELLLVYEYMPNGSLDKCLYGKKDSYVLTWAQRFRIIRGIASGLLYLHEEWEKVVVHRDIKASNVLLDDEMNGRLGDFGLARLYDHGIDPQTTHVVGTIGYLAPELARSRNATPLTDVFAFGMFVLEVTCGKRPVDQQNTQDSQLVLVDWVIDKAQKGSFGDTVDASLEGRYDIGEASLALKIGLLCSHPLANARPSMRQVMQYLDGEIELPELSLELLALMQNEGFVVQNEGFDPYIASYPTSTTSIGTMSHVSGGR* >Brasy2G477600.1.p pacid=40066936 transcript=Brasy2G477600.1 locus=Brasy2G477600 ID=Brasy2G477600.1.v1.1 annot-version=v1.1 MACTLLLAAAVFAGCAVGLSGATDHIVGANHGWNPNINYSLWSGNQTFYVNDLISFRYQKGTHNVFEVNETGYDNCTMDGVAGNWTSGKDFIPLPEARRYFFICGNGLCQAGMKVAITVHPLPHTADLHDNSGTDQVPSAAAAATSGLTVTVWLTVTALAAAIVAVV* >Brasy2G092000.1.p pacid=40066937 transcript=Brasy2G092000.1 locus=Brasy2G092000 ID=Brasy2G092000.1.v1.1 annot-version=v1.1 MLRSTHPLSPSSSSGSSASASGSEKKMVGGGGGAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYNNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFEVAEIQQVFDAMSANYEAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLYVCQSVFKTDLRGVLGMVQAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTIEPLPTEGHLPHLSAPSLLAQVLRRALARY* >Brasy2G270400.1.p pacid=40066938 transcript=Brasy2G270400.1 locus=Brasy2G270400 ID=Brasy2G270400.1.v1.1 annot-version=v1.1 MHTDGSVSDGPGKSCGSEASHGDLTIFLGLLSVLLSVVMSDSLSDGPPFCREMSPLVLFSPFHRANSFSLSNVPATTGSSSSARARAAGTPPPQRAAAGRSTLPSPSLLPRPPLLLRPGPEAIPLRHAGRGRIWPPSAPRRRIRPSLVLPPPNPVLISIQYETSEDGTGSSTPKSATSRTSTKTLYWMQHTCPSLSLDDMYLKGFIHHIMYLGCTSDLSYEYWYLY* >Brasy2G059600.1.p pacid=40066939 transcript=Brasy2G059600.1 locus=Brasy2G059600 ID=Brasy2G059600.1.v1.1 annot-version=v1.1 MRRRTPPPLHSSVRQRRGEFILGGLSLQISIQLSNAGMCSCLSNAGCQGAPQTLLQSCATFAAFSCIMEGLNKQQVAMAHTLDGNALAFAQGGVLPPFMLPPILDASDALASCCRALVKPKHKTAS* >Brasy2G490900.1.p pacid=40066940 transcript=Brasy2G490900.1 locus=Brasy2G490900 ID=Brasy2G490900.1.v1.1 annot-version=v1.1 MSGCLWPCASANATTSGSGGLFRPKAKGPTELVRHAQELLRFLSDHREPCGGKLDAKREQKMADLSKSIREMKFVLYGNGEAEPVAEACTQLTKEFFRENTLRLVIVCLPYMDLETQKDVTQVIANMQRQKVDSRLVASDYLEVNQDLLDILLTGYENTEIAIHYSSMLRDCIRHQVAARYVLYSQHMKKFFDYIQFPDFNLASDAFKTFKELLTRHKSSAAEFFTKSYDWFFSEFNSKLLQSSNYIIRRQAIQLLGDILLERSNSAVMARYISSKEHLIILMNLLREQSKAIQVEAFRVFKLFTANQNKPPEITGILVTNKNKILRFLADFTLDKEDRLFESDKAQVAADISAMKL* >Brasy2G478100.1.p pacid=40066941 transcript=Brasy2G478100.1 locus=Brasy2G478100 ID=Brasy2G478100.1.v1.1 annot-version=v1.1 MSSKDANITDEETTKMLRDLLIGDAQLRMRLNSVICRFVNTAAKPEKEDTDGVIPRKTILGLTCLLDR* >Brasy2G150700.1.p pacid=40066942 transcript=Brasy2G150700.1 locus=Brasy2G150700 ID=Brasy2G150700.1.v1.1 annot-version=v1.1 MGEKTGLETFAVMNPDWSEEVCANGVESTTRKQHGKEQWCGRPLGLRFHGDTGELFIADAYYGLMSVGQSGGVATSLAREAGGSPVHFANDLDIHKNGSIFFTDTSTRYSRKDHLNILLEGEGTGRLLRYDPDTRAAHVVLDGLVFPNGVQISQDQRFLLFSETTNCRIMRYWLEGPRAGQVEVFANLPGFPDNVRLNSNGQFWVAIDCCRTPTQEVFARRPWLRTAYFKIPVPMKALGKMVSMRMYTVLALLDVEGNVVEVLEDRGGEVMKLVSEVREVDRRLWIGTVAHNHIATLPYPLE* >Brasy2G133800.1.p pacid=40066943 transcript=Brasy2G133800.1 locus=Brasy2G133800 ID=Brasy2G133800.1.v1.1 annot-version=v1.1 MINSTPDLILPLGIPGQISHTPNPSPKPDPDSSSQARVTLLPDEEEDANLALTLGPPRRSPARHCHNYSSSPLEDEDLLQEILVRLPPQPSSLRLASLVSKRWLGLVSEPRFTRRFRLRHRLNPPLLGVIVYKYPQPPEYRGRLELVPTLDLRVLGNRHGLMLLSSMNQLVVLDPANGAELHRLDFPMGFGTEEEMSIYGAVLDRAADDEDDLQVVLRSHSSKKRAWGDIVSTKLPPEIPSCYLDLRVQRKPAILVGDYSLYWKLSHYPAILEFDLRMENLMVMAVPEHGTVDFTVMRAEGGGLGLLFLSTWDGTCALQYWKRKTDSRGAAWVLGRTRVMDPEESDDPDEDHLGIRGYAERNNVVFLRRFSYLYMLQLDTLKFKKVETYSFCCHPFESVYTAAGIYIYNKSKLS* >Brasy2G024500.1.p pacid=40066944 transcript=Brasy2G024500.1 locus=Brasy2G024500 ID=Brasy2G024500.1.v1.1 annot-version=v1.1 MAMTMRKMAVVLVLAMAMAAVQVQEASAACNAGQLSVCAVAITSGSPPSAECCSNLKAQQGCLCQYAKDPNYGRYIDSPYARQTVASCGIPVPKC* >Brasy2G329500.1.p pacid=40066945 transcript=Brasy2G329500.1 locus=Brasy2G329500 ID=Brasy2G329500.1.v1.1 annot-version=v1.1 MAIQARTWVVFLLLALLSPSWPVAEASHHVFPDLQSLDAGLVDALRTGYHFQPPMHWINDPNGVMYYKGVYHLFYQYNPKAAVWGNILWAHAVSTDLVNWVMLEPAIYPTAPFDVNGCWSGSATVLPDGRPAIMYTGIDGDGRQVQNVAYPKDLSDPYLREWVKPDYNPVIPPGSGVNATAFRDPTTAWLGPDGLWRLVVGTKDNHRGLAVLYRSRDFQSWAPAEGGPLHHGDTGMWECPDFYPVGAGAQTKHVLKVSLDLTRFEYYTFGSYDHATDTYVPDAALADGERGLRYDYGNFYASKTFLDTGKHPRRVLWGWANESDSTADDVRKGWAGVQAIPRKLWLAPDGRQLMQWPVAEVESLRGNHVNITDRLVEAGSYFEVQGLMTPAQADVEASFAVLGGLDKAEPFDPAWRGADAQTVCAARPADAEGGVGPFGLWVLASDQLKERTAVFSRVFDDGGKHVVLMCNDPSRSSYADHLYKPTFAGFIDVDLAKIGGKIPLRTLIDHSMVESFGGHGKMSILSRVYPTQAVGDKARLYVFNNGETDVKVTHLNAYDMRSAKISTEIDR* >Brasy2G100400.1.p pacid=40066946 transcript=Brasy2G100400.1 locus=Brasy2G100400 ID=Brasy2G100400.1.v1.1 annot-version=v1.1 MGGGRAHGTKLSLLRDVPPRRACLPAPAPNPSPNAGEAPSCSSPPPTERARRSAVVVVMGATGAGKSRLAVDLAAHCAGVEVVSADSMQVYQGLDVLTNKVPLHEQNGVPHHLLSIIDPSVEFTCRDFRDHAVPIIDDILGRGGLPVIVGGTNFYIQALVSPFLFDDMAEEAKGCTLSDHLDDIGLANDNQGNGYYERLKDIDPIAAQRIHPNNHRKIKRYLELYATTGALPSDLFQGEAAEEKWGRPSNSKYECCFLWVDADVHVLDSYVNARVDCMVNAGLLDEVCNIYDPDAVYTQGLRQAIGVREFDEFFRLYLTKIGTDKMKLGCSSITKLDVRDDKLESLLDEAVCQLKANTRRLVRRQRRRLHRLNKDFGWNLHHIDATEAFQCKPVCTTGDSWNNKVVKPCADIVKRFLSGDTTLAIKDSSSNIGGTRVASRELWTQYVCETCDNRVLRGAHEWEQHKQGRGHRKRMQRLKQKTKIIIV* >Brasy2G100400.2.p pacid=40066947 transcript=Brasy2G100400.2 locus=Brasy2G100400 ID=Brasy2G100400.2.v1.1 annot-version=v1.1 MGGGRAHGTKLSLLRDVPPRRACLPAPAPNPSPNAGEAPSCSSPPPTERARRSAVVVVMGATGAGKSRLAVDLAAHCAGVEVVSADSMQVYQGLDVLTNKVPLHEQNGVPHHLLSIIDPSVEFTCRDFRDHAVPIIDDILGRGGLPVIVGGTNFYIQALVSPFLFDDMAEEAKGCTLSDHLDDIGLANDNQGNGYYERLKDIDPIAAQRIHPNNHRKIKRYLELYATTGALPSDLFQGEAAEEKWGRPSNSKYECCFLWVDADVHVLDSYVNARVDCMVNAGLLDEVCNIYDPDAVYTQGLRQAIGVREFDEFFRLYLTKIGTDKMKLGCSSITKLDVRDDKLESLLDEAVCQLKANTRRLVRRQRRRLHRLNKDFGWNLHHIDATEAFQCTTGDSWNNKVVKPCADIVKRFLSGDTTLAIKDSSSNIGGTRVASRELWTQYVCETCDNRVLRGAHEWEQHKQGRGHRKRMQRLKQKTKIIIV* >Brasy2G100400.3.p pacid=40066948 transcript=Brasy2G100400.3 locus=Brasy2G100400 ID=Brasy2G100400.3.v1.1 annot-version=v1.1 MGGGRAHGTKLSLLRDVPPRRACLPAPAPNPSPNAGEAPSCSSPPPTERARRSAVVVVMGATGAGKSRLAVDLAAHCAGVEVVSADSMQVYQGLDVLTNKVPLHEQNGVPHHLLSIIDPSVEFTCRDFRDHAVPIIDDILGRGGLPVIVGGTNFYIQALVSPFLFDDMAEEAKGCTLSDHLDDIGLANDNQGNGYYERLKDIDPIAAQRIHPNNHRKIKRYLELYATTGALPSDLFQGEAAEEKWGRPSNSKYECCFLWVDADVHVLDSYVNARVDCMVNAGLLDEVCNIYDPDAVYTQGLRQAIGVREFDEFFRLYLTKIGTDKMKLGCSSITKLDVRDDKLESLLDEAVCQLKANTRRLVRRQTVNLCCRDEGCTD* >Brasy2G243400.1.p pacid=40066949 transcript=Brasy2G243400.1 locus=Brasy2G243400 ID=Brasy2G243400.1.v1.1 annot-version=v1.1 MASQEEETTPRCRPGGGDDAEAEAKADASVKPEDFEFCILAPGGLVDDAAGVDMCVAGEVFSGGKLVPFRLSSATSADASALLLLRSDSLDSTATTAASTSDFSSSSDSGSASFSSSSSGLSRSASLKSASSSSDSAAAVGLSHSPAQNGPACARSLTTSISWFYAHPSPSPRPPPPRRTGGSSAVTAARRRSTVSAAPPPAAAWGVIRLGVVGAPELVYPPAEARKAARSRGGVMSRSGRLDQPRPAAGNKEQQLAADKKKKKKKKKKVLFGLWGAGLACSCSADDVAPRLAAARRRHRRKAEQTKKGEVDSGHGGAVLGSSSGRRSSSQLPNAKSKNSVKR* >Brasy2G142600.1.p pacid=40066950 transcript=Brasy2G142600.1 locus=Brasy2G142600 ID=Brasy2G142600.1.v1.1 annot-version=v1.1 MGPADLVLKASCDACGSRSELYGTSCRHATLCRSCGAARARSRARCAVCAAPITNLIREYNVRVDTAGEKALSIGRFTRGLPPFSKERIAGNKWSLRKDGLQGRQFTANMREKYYKRKPWILEDETGEYQYQSQLEGTQSATATYYLLMMHGNELHAVPVGSWYNFSKIAQYKQLTLEEAEEKMNRRRSNATGYERWMMRCATHGAAAFGSDVKKLDDVDGGATGGVQSKKRNKDDDNNHCDKGEENEEEEVARKNAHGLTTKGMEDEEGGKEDFDLDGEIEIGEDWEHEELFTDDDEALDIDPEERPDFAENPAPPEIKQDDNENEQGAGGLSKAGKELKRLLRRAAGQSESGDDEDTEEDESPSPVLASELKNEFKSEPQENNAVKLTAAGLDISIPPASRPNKKRRSRGDDAKNCNGAALKKSNIEPETKTFGVKEEPPSSLEPISKEFASASSTNISTITEEEVRRLLASGPLAVEDFVSRFNPRLRTQEDKIYFGDILRKICHVDKSTGRKYIHLRKEYK* >Brasy2G474500.1.p pacid=40066951 transcript=Brasy2G474500.1 locus=Brasy2G474500 ID=Brasy2G474500.1.v1.1 annot-version=v1.1 MSEASSTNCLSSSQMCFCIQDRYPRHKADVSKLSKTTTFGVIFSQNHHLENLFPSTFGQIVAQNPYSRITGRNPDSHVPGPPVRTCLLLRPLGPCHLTWRRHNSKPPLLGDNLLETTILKIILHITTMLSGKLASVYNPNIQFKCIDMKSVSIGPPVPHRARMKDGEAQNLHSEITHNLYMLFVF* >Brasy2G371300.1.p pacid=40066952 transcript=Brasy2G371300.1 locus=Brasy2G371300 ID=Brasy2G371300.1.v1.1 annot-version=v1.1 MARRLLEAAAEGMPPQDSLNSDLVVILAGLLCALVCVLGLGLVARCACTRRWARAASSPPGANKGVKKEVLRSLPTVTYVSDGRGGKADSSEPEAEADECAICLAEFEDGQEMRVLPQCGHGFHAACVDAWLRSHSSCPSCRRVLVAADQMPRGERCRRCGARPGPGAGIGAPMKAPCGGGEGPAAFLA* >Brasy2G160400.1.p pacid=40066953 transcript=Brasy2G160400.1 locus=Brasy2G160400 ID=Brasy2G160400.1.v1.1 annot-version=v1.1 MAGTKGEGPAIGIDLGTTYSCVAVWRPVHNRVEVIANDQGNLTTPSCVAFTDTWRLIGESAMNQAAMNPSNTIFDAKRLIGRRYKDPSVQADIKLWPFKVISGPGERPMIVVQYSGEEKQFAAEEISSMVLVKMRETAEAYLGRTVKNAVITVPVYFNHSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLDSGEAKTVLIFDLGGGTLDISVVNIDKGTFAVKATSGDTHLGGEDLNNRMVEHFVQDFLKRNKYSSDTRLNPRALVRLRTACERAKRMLSSTAQAKFEIDSLHDGIDYYGSITRARFEELHMDLFRKCMEHVEKCLGDAKIDKSLIHDVVLVGGSSHIPKMQQLLQDFFNGKKLCKSVNPEEAVAYGAAVQAAVLSGEFNTDVQDLLLQDVTPLSLGVEVVGGVMSVVVPRNTTIPVKMERPGYETVYDYQTTAAFPVYEGEGSMTVDNNLLGQFDLTDIPSLPRGEAKISVTFEIKMNGILKVSAKEMTTGNENGITITTNKGGLSKEEIERMVHDAEKYKSEDKKRLMKIKKERGGL* >Brasy2G254300.1.p pacid=40066954 transcript=Brasy2G254300.1 locus=Brasy2G254300 ID=Brasy2G254300.1.v1.1 annot-version=v1.1 MLGLDQSASGKIIVVIGNGMVIGVGLATGVDSAGFSGAEVGTSSVGLGSHGLRGKMSWADSVTGKTRFGVVWFGPR* >Brasy2G231600.1.p pacid=40066955 transcript=Brasy2G231600.1 locus=Brasy2G231600 ID=Brasy2G231600.1.v1.1 annot-version=v1.1 MSLSPCRATQAPHCVDLLPPRASAATHPAAERPCPLARLSPRSSASTAGRAALLGPPPVVGSMEGLTESEIAGFVDPYCQVSDFREQILQLAGLACHEEEKSQTELLEKLRKCNKRTLVELCRSFDIPGSTGTKKDELVTIVMEFLMEHCSRVDGTDPDKKVKKRKRHREGVNLSGGKPSKKNKLDGTILETHGEAEADGRKVEEDRTNYSEFPLKDNINEYSPNTKGQFPKEKANSDPSERVNGSVSENLDVVSLTELLVPTNEQRLVVTPSTKLVSNVEDDEMGMKVSTRKSTSVTNKKATLNADRKEKPCGKKVPRGDAGPRKLAVKPSKDELRQAVFFILDTANFATMTFGDVVKAVDKYFGKDLFERKPQIRALIEEELFRLAEEAEKKELEEEEAMEAKARSVKAAMESTKEGRVESDTEKESELQAGQDGEFKDAENNGNRNCIEKCAKRDISVKANGNRNNKTSAESSQDGKAEVDTNNEDNCNKFTKDVNAETFVQNANGDDGAEMFRDGKSETEKKEFNGNKEFNGNAVGGSETGKAREENGTEDGRNEERRSGNDSNVAENVGECEPEASNGNETGEHVNILGDDKAQRAGDSESSENVVSHGPGCDRVKETTVNVNTEQSLTDADDDGKAKVAEPNEKNKDDVD* >Brasy2G119200.1.p pacid=40066956 transcript=Brasy2G119200.1 locus=Brasy2G119200 ID=Brasy2G119200.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN* >Brasy2G194500.1.p pacid=40066957 transcript=Brasy2G194500.1 locus=Brasy2G194500 ID=Brasy2G194500.1.v1.1 annot-version=v1.1 MSGGGAKRVLEAWKLGVVKYGDALRLQERLVADRRAGRIPDLVLSLQHPPTYTLGKRRTDHNLLVPESSLGGLGAELHRTERGGDVTFHGPRQAVLYPILSLRDIGLGARRYVEGLESAMIEVASLYGVKARPGGACETGVWVGDRKIGAIGVRISSGFTSHGLAFNIDPDLGYFKHIVPCGIADKEVTSLRREAAVEVPPDEVIHDQLVQSLARTFYFSDVKLKDDSECAEMMCSATTTQS* >Brasy2G194500.2.p pacid=40066958 transcript=Brasy2G194500.2 locus=Brasy2G194500 ID=Brasy2G194500.2.v1.1 annot-version=v1.1 MSGGGAKRVLEAWKLGVVKYGDALRLQERLVADRRAGRIPDLVLSLQHPPTYTLGKRRTDHNLLVPESSLGGLGAELHRTERGGDVTFHGPRQAVLYPILSLRDIGLGARRYVEGLESAMIEVASLYGVKARPGGACETGVWVGDRKIGAIGVRISSGFTSHGLAFNIDPDLGYFKHIVPCGIADKEVTSLRREAAVEVPPDEVIHDQLVQSLARTFYFSDVKLKDDSECAEMMCSATTTQS* >Brasy2G233700.1.p pacid=40066959 transcript=Brasy2G233700.1 locus=Brasy2G233700 ID=Brasy2G233700.1.v1.1 annot-version=v1.1 MPKDARALPSCPLLWNSIRFRFIFVSRNFLKFLLRFLTNHGSERSPGFVTSSRGCSRRQLDRWLRHWGGACNHCPWRPGAACMRSYGIRLRFPKLPISPTPGACPVTSHLQVSEVRFHHGGIHMPRGGHVPGSDCSTRIYDLCPLQIARGPYFRSGWFLVQASSRSGSWPKKITHPAAV* >Brasy2G390000.1.p pacid=40066960 transcript=Brasy2G390000.1 locus=Brasy2G390000 ID=Brasy2G390000.1.v1.1 annot-version=v1.1 MLAVFGREVAPCPEGLRQPGEAGGGAAGLADAFREARPGAVSVCFGGGSAMAYSSHDQSPLLPRLFGVVDDMFCLFQGAIENFAVLKQQYGLSKVATEVNLVIEAYRTLRDRGPYPADQVVRDICGKFAFVLYDRSTSSVFMAADADGSVPFYWGVDSKGHLVVSDDAETVRKACGKSFAPFPKGFFFTTSGGLQSYEHPLNEVKPVPRVDSKGEVCGTTYTINEQAKKDIASIPRAGSASDWSSQY* >Brasy2G275000.1.p pacid=40066961 transcript=Brasy2G275000.1 locus=Brasy2G275000 ID=Brasy2G275000.1.v1.1 annot-version=v1.1 MLLWWRAWHLRNNAIFGDGKASVEASASFISNYATALDNINTGDKTQACSTVNIAGTKVQQRMLTTGSSSSCQNQLGSLSMDAAGVCWRPPDKGWVKLNVDAGFFADNGEASWGAVLLSDTGQVLASAWGHGTRCSSADEAETQACLYGIEVFVCGC* >Brasy2G374800.1.p pacid=40066962 transcript=Brasy2G374800.1 locus=Brasy2G374800 ID=Brasy2G374800.1.v1.1 annot-version=v1.1 MDGASQESLHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGEDDVTAKFQEINEAYKVLSDPITRLEYDFSGCYEINEYSAREYLSRFKGMILTCNGLGIDRSSKWARHLREWEPH* >Brasy2G386200.1.p pacid=40066963 transcript=Brasy2G386200.1 locus=Brasy2G386200 ID=Brasy2G386200.1.v1.1 annot-version=v1.1 MPELRSGVRRARLRSSKVDDIEAADQVATPVLPAPRGRGGRRGRGVAGRGNKKAAAAVRGRAAPKPRGKGIKAIDLQTDQQPCQDLPEAIAREAVAGRAQQDLGLNKAADGAANLRMDGASGDRLAAAEDEATTTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRVTGGASRMGPDAYEVALKLEHRRSKGCTYGPPFEWQVYQTLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQTMSPHMVACIAVESISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKKASSSQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLIRGRLPWQGYQGDNKSFLVSKKKMSTSPEALCCFCPTPFKHFFEMVSNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRMVVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVASSQNFWAIIMDAGTGFGSQVYELSQIFLHKDWIMEQWEKNFYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMGTAGNRWGVVMSRNAGYSDQVVELDFLYPSEGLHRRWETGYRITSSAGTPDQAAFILSIPKRKPMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTAC* >Brasy2G050900.1.p pacid=40066964 transcript=Brasy2G050900.1 locus=Brasy2G050900 ID=Brasy2G050900.1.v1.1 annot-version=v1.1 MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKRQHKLPEFIKLRDPRGQVTFKHGDKTLVDPRAICRYLCTQFPNEGNRKLYGTGSLERASIEQWLQAEAQNFNPPSSALVFHLAFAPHLDIPQDYAAIAENEKKLQQILNVYDEILSKNEYLAGDEFTLADLSHLPDSQYIVDSDRGRKLFTSRKNVARWFDQISRREAWEQVVKMQMEHPGAFE* >Brasy2G232900.1.p pacid=40066965 transcript=Brasy2G232900.1 locus=Brasy2G232900 ID=Brasy2G232900.1.v1.1 annot-version=v1.1 MKRGRKGAAPAPSTELPPCVLPLQNPSRVFCKCPNHRSGTGGCNFFHWEDGEDFYVDYLSSIGVMIPNTYWGGEIEEEEQEEELNEGQKVEQKMQKQLQKMENCEIKLLAEKMDALVVIYRMTLCAFVVFVALLLYVVAQK* >Brasy2G169300.1.p pacid=40066966 transcript=Brasy2G169300.1 locus=Brasy2G169300 ID=Brasy2G169300.1.v1.1 annot-version=v1.1 MEESGGGDLLSEAMCSGARVVVVEDCVEAPAAFVLHLLLKRALAGGGGAALLALAQPFFHYDRVLRKMGCNLSMHRKSGRLHFFDLQAFPGGTRGGSIVDSLAQLYLAVQRVVEANRTEDNAARFTVMIDDISLLEVAACGSADDVLDFLHYCVTLTSEMQNCSLVVLIHEDIYSSEDGVGLLLPLRYIVDLVIKAAPLSTGLAADVHGQLSVVNKGMPSEQKPTKGQKVWNFHFKVKENGADFFYPGSRH* >Brasy2G052200.1.p pacid=40066967 transcript=Brasy2G052200.1 locus=Brasy2G052200 ID=Brasy2G052200.1.v1.1 annot-version=v1.1 MGAWWAVQHGKTQKVAPEQLYKEACVVVVGAILSVLGDKLVDAYLHFQDAKELWDALDAKFGASDANSELYVMEQLFDYKMVANRSVVEQAHELLTMAKELEQFKCPLPDKFVAGGIIAKLPPSWRNFATSLKYKRQKISVESLIGTLDVEEKARAKDASPKGNNMEASSRDCSLLRVRVLSVSSSTITTNGDPAAQASAQARQLQAALLQQKQIEQQAIAAAQRQAQALAAAQEVAKAAAAAGMNIDAAGLVTDFNKFINQEQPTQGILPCHVGSSHRRRYSRDRIGSSHPICELAPAPRKKTSEPKVQRGGGGSEPRSNPTTPRRACPPRVSPPGIPGRLYKTRPPPPPHRSLAALSFALPPLRSARAAAMPPLASSLLSRSAAGPVSSARVTAAAAAAAVSKPVAAPEQAASSPAPAGTSPSPRSPFASGLAGRLFGGRRAAARSSSSAAAVFERRFASAATKNSYDEILTSLAKPGGGADFGKYYSLPRLADPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSATKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFSRNKERFGFLKWGSTAFNNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLENGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDAKTLDYLKLTGRSDDTVAMIETYLRANNMFVDYNQVQAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFHGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFNIVGYGCTTCIGNSGDLDESVAAAISENDVVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPVGISKDGKEVFFRDIWPSTEEISEVVKSSVLPDMFKSTYEAITKGNPMWNELPVSASTLYPWDSSSTYIHEPPYFKDMTMTPPGARPVKDAYCLLNFGDSITTDHISPAGSIHPESPAAKFLSERNVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERFTIQLPTNVSDIKPGQDVTVTTDAGKSFTCTLRFDTEVELAYYTNGGILPYVIRKIAAEP* >Brasy2G391400.1.p pacid=40066968 transcript=Brasy2G391400.1 locus=Brasy2G391400 ID=Brasy2G391400.1.v1.1 annot-version=v1.1 MATLSVSRCTAETEKGAHMFEINGYSLHRGHGVGRFLRSANFTIGGHLWSVVFYPDGCREDCKDFVYVGLQLMSWSIGMVHTSFILSFLHPTNIHTSSSSAPVVFNFYQASSSFVCHRFMKRNMLEKSGYIVGDSLKLHCTLTVTKLPRVGEAMHLGNILPPQSDITEHLMKLLETQECTDVIFVVPGEEFPAHKLVMAMRSPVFKGQLYGQMMEKDMNRIIVPEMQPFVFRVLLHFIYTDSLPSLDDLDGDSMKDMIKHLLLAADRYLMERLKLLCESILCKELDVKSVANMLALADQHSCTGLKDACIEFVSSSSIANEVAETQAYKELKRTHPSVVMDMWEKIIMRRRT* >Brasy2G143300.1.p pacid=40066969 transcript=Brasy2G143300.1 locus=Brasy2G143300 ID=Brasy2G143300.1.v1.1 annot-version=v1.1 MACKGWMRRKVKIHDMGVHSDRSIQVIESSVSPTMPSAEDLNGTEVDLGNLY* >Brasy2G452800.1.p pacid=40066970 transcript=Brasy2G452800.1 locus=Brasy2G452800 ID=Brasy2G452800.1.v1.1 annot-version=v1.1 MTTSTALLLPLRLKPLLPPPRSASSPRKLLLLAPLCRGRTRPLRAAGDRAGPLSADQTAVYNGVYGPWSVDDADIREVLLYRSGLVTAAASFLVAASGAFLPEGNVAGDAIRQSADLLYAAGAAGLGLSLVLIHIYVTPIKRFLQALWLAGVVGSVGTYAVAARPLDEGLVQFVLAHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPILLLGHLSGFMDDGAKLGLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEDEKKSLLQKLEAAPTEQTSE* >Brasy2G123700.1.p pacid=40066971 transcript=Brasy2G123700.1 locus=Brasy2G123700 ID=Brasy2G123700.1.v1.1 annot-version=v1.1 MAGEHGERVGRCILVGLHMDAAGKDLLQWALNKAARSGDRVVAVHIYRKSGDLCKTNTLTLIRTLDGYLAEYEAICSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPPTTSVVAIQNGKAIFVRDAPKPPLGAEPKPVLRTLLHPSVGLEPKVIIPNPNRSARSMDFDAEGCGQCAAPPLPVKSYDDDAADDPGAAPENRLGWPLLRRGPGAAQPKGEETRKQSVVHWVMSLPRRPSPSASPDAEPPKEGLAADLKRLLGGVPSRCRWFRYEELYDSTNHFSPENLVGNGGHSRVYRGSLASGQQVAIKVCKASAVASKDFLREVDIISKLQHERIVPLMGVCVQGPKLISVYRYLPRGSLEDNLHGKRSKPALPWEKRYRAAVGVAEALSYAHSGCSRPVIHRDVKSSNILLTDDFEPQLSDFGLAIWAPSNPSSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGHESLVMWATPMLKSGDISDLLDPSLDVKHDEVEVRRMSLAASLCLGRSARLRPRISQILGILRGEEDATGLQQAAAEPDCLVDDETYPAANVRSHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD* >Brasy2G285200.1.p pacid=40066972 transcript=Brasy2G285200.1 locus=Brasy2G285200 ID=Brasy2G285200.1.v1.1 annot-version=v1.1 MEYPKSLYCGSTAVPGDVNPPAANFHPVASGDAFPASVGNPALAASKRKRQGNQTPGQGQAVTARTPSVPRQAPAAATAPSAMPEANGGRVKMTAGLKRKMAASRGAPPRPPSPAVALPTQQSVAPQLFDDTPESFDYDSLPCRMRICWPRMRSTLIRHL* >Brasy2G357300.1.p pacid=40066973 transcript=Brasy2G357300.1 locus=Brasy2G357300 ID=Brasy2G357300.1.v1.1 annot-version=v1.1 MVVRPPPPPPRAAPTPPPAPAASACACRPSRRLPQPPPRAPRAALLPQLRAGRSSSPTPGWSSSPTSGAGRSSSPTPGWSSSPTSGTS* >Brasy2G145600.1.p pacid=40066974 transcript=Brasy2G145600.1 locus=Brasy2G145600 ID=Brasy2G145600.1.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.2.p pacid=40066975 transcript=Brasy2G145600.2 locus=Brasy2G145600 ID=Brasy2G145600.2.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.3.p pacid=40066976 transcript=Brasy2G145600.3 locus=Brasy2G145600 ID=Brasy2G145600.3.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.4.p pacid=40066977 transcript=Brasy2G145600.4 locus=Brasy2G145600 ID=Brasy2G145600.4.v1.1 annot-version=v1.1 MSASEFRIPYQPSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.5.p pacid=40066978 transcript=Brasy2G145600.5 locus=Brasy2G145600 ID=Brasy2G145600.5.v1.1 annot-version=v1.1 MSASEFRIPYQPSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.6.p pacid=40066979 transcript=Brasy2G145600.6 locus=Brasy2G145600 ID=Brasy2G145600.6.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.7.p pacid=40066980 transcript=Brasy2G145600.7 locus=Brasy2G145600 ID=Brasy2G145600.7.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.8.p pacid=40066981 transcript=Brasy2G145600.8 locus=Brasy2G145600 ID=Brasy2G145600.8.v1.1 annot-version=v1.1 MSASEFRIPYQPSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.9.p pacid=40066982 transcript=Brasy2G145600.9 locus=Brasy2G145600 ID=Brasy2G145600.9.v1.1 annot-version=v1.1 MSASEFRIPYQPSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVAQPPTKAARTERGVSREGIDKKSSVPQVEKESCESNASTDDIAKSNSRSSSRAKFLEKSNSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKISKEVKENVNLQASLEKRKEALHERRLTLEKEVENLRDLLQKERSLRVSLESGLMNMRRGQTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.10.p pacid=40066983 transcript=Brasy2G145600.10 locus=Brasy2G145600 ID=Brasy2G145600.10.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVENLRDLLQKERSLRVSLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G145600.11.p pacid=40066984 transcript=Brasy2G145600.11 locus=Brasy2G145600 ID=Brasy2G145600.11.v1.1 annot-version=v1.1 MSASEFRIPYQPVSSSQSSENAGQFKICKCGEGDPNSQTGEAGDSPPATCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEASNEQSEDKSSVIGRPAEFALVDADGSASFLEKSLRFIEDYGCKVEGILRQSADVEEVKRRFRDYEKGKNEFSPEEDGHVIGDCIKCILREMPGSPVPAACCTALVTAYRSDKTKRLDALNKVIYEVFPEPNRQLLQRILKMMQIVESHKAVNRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNDNRDEDGSYDSGEDDIEEDLDDNTEHSSGGSERDKVENLRDLLQKERSLRVSLESGLMNMRRGQTKADLEEVAAAEADILNLKQKACDLRGQLSSQGQLSSTSLCESCNKRLLNADNLVGEKQNAGLSPEISSSTEASSIVGLNSVSRMIPSAGMADIVEQLRRQAAPNPSSSIGAQRLLRQNSNSPQLNRLQGPNASSIRAEESGDAPPSAISKLTNRLNFLKERRALLASEMQNLDLARPPAPPPTAPAPNKDST* >Brasy2G368500.1.p pacid=40066985 transcript=Brasy2G368500.1 locus=Brasy2G368500 ID=Brasy2G368500.1.v1.1 annot-version=v1.1 MVTKCVLPTQAKPVAQLVGSAADGLQMFVATTPRKASAETKDAMALINVQFGEEITAEKLVNAFNRMFQWGWVWSAKAFAPSSFLMRFPSTQKIDELHEFNSFCLVGERAEVLVSRWSPENLAQFKLTSVWVKVSGLPEPLLNYQGFCMAGSIIGTVQEVDMVTYRKLDVIRVKVGVMDHKKIPAWGPLTVDPFIYRIYFQLEQVVELGGPMIGGTLVKRVSDQRSTQAEQHAARELKRQKNTQTQQTGPEEVNLCVASNINKAVHGNEVNDRGSAMEEDNVLSSQPDMNGLALNSNQNDKPSDSDKGQSSTGHSSAIDLINNKFAVDAEKIDNLDCSEEDSDPQSPTQFARACGLGTQAIDEMNNMDFSEESTEHIIPICTPEGQVDSGVTAKLNYAAAVMKGKGKKFAVVDDGNRRRSNRNASDDKAALDKAMDRAKVKNLDSGKGTNPSNPFPTVLSTANNVLADIARKIGVQLGESVEEVDDNLKVIKELELARTVVFQNSIKMIMIKFNCIKKR* >Brasy2G489800.1.p pacid=40066986 transcript=Brasy2G489800.1 locus=Brasy2G489800 ID=Brasy2G489800.1.v1.1 annot-version=v1.1 MQDLASPHALVLLVCPLLALLLALRFATSTSTTARAAQLLGKIPSPSNKLPVIGHLHLIGSLPHVSLRDLARKHGSDVLLLRLGAVPTLVASSPRAAQAVLRTHDHAFASRAHSAVTDILFYGSSDVAFCPYGEHWRQAKKIITTHLLTVKKVRSYRHAREQEVRLVVARIAESAAAGAAVDLSDLLNSYANDIVCHAVSGKFFREEGRNKLFRELVETNSTLIGGFNLEDFFPSLARLEVVRRVVCAKAEKVHKRWDKLLDELIDGHATKSVSQHDENNEEEESDFIDVLLSLQQEYSLTKDNIKAILVDMFEAGTDTSFIVLEYAMAELIMNPRVMSKLQAEVRRSTPKEKEMVTEDDLGSMPYLKAVIKEMLRLHPPAPLMVPHLSISDCDVEGYTIPSGTRVIVNGWALARDPSCWESAEEFMPERFMEDGGSAVATDYKGNDFHFLPFGTGRRVCPAINFATATIEIMLASLVHRFDWALPAGTVEIDMSESFGVTVHRKEKLILVPKLALH* >Brasy2G298100.1.p pacid=40066987 transcript=Brasy2G298100.1 locus=Brasy2G298100 ID=Brasy2G298100.1.v1.1 annot-version=v1.1 MQEDMLQLIASRVLAGDLLDYVRFRAVCLPWRAATACPRGQGLVNPLFHPRRWMMLPEGDGLHPGHPALGGYVRFFNLGTAAFVRVRLPCFQDPDHVVLDCPDGLLLLQRKGDGAICLLHPFTGDMAQFPPFASLLPQLDAAVGVAYLGPRFLMRFDSFKDVSAAVSIRAGGTVTIMLAFIRLERMANVSTGDLKWTVTSWRMRGMWTAVPFRGCLYMVKGWNKRKPSRILRVDPPEGSSSVLRSSAPAQAVATKSRTALPGGVQF* >Brasy2G389700.1.p pacid=40066988 transcript=Brasy2G389700.1 locus=Brasy2G389700 ID=Brasy2G389700.1.v1.1 annot-version=v1.1 MGKKDKHGHGHDLEACYPPGHGGGGGGMYPYMIESPELRWAFIRKVYVIVSLQMLVTVAVAAAVNLTGSVRAFFLSRTPAALAAFLAIIISPLLVMLPMVYFRKRHPVNLVFLALFTVCISLSVGLGCLTKRGPIIFEAAAMTLVVVAGLTAYTFWAAKRGHDFEFLGPFLFAACLILVLYAIVMLLFPMGKTAAMVYGCIAALIFSAFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFRALLIALEAAD* >Brasy2G216400.1.p pacid=40066989 transcript=Brasy2G216400.1 locus=Brasy2G216400 ID=Brasy2G216400.1.v1.1 annot-version=v1.1 MSNCVTTQGFPEVLCNIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYLPRGFISCENKFFTLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVHYPPRPPAPELTKVFQVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G057400.1.p pacid=40066990 transcript=Brasy2G057400.1 locus=Brasy2G057400 ID=Brasy2G057400.1.v1.1 annot-version=v1.1 MINLFDLSAGASARVATRDGSPVRGTQSDRKEYADFKTVTGSMRRSSSDRSCATPMKMLIAQEMAEGDSNQKTTNVVARLMGLDEAVALPKPVQSSSRRRFPEGHLSTTLARVNNQLSFEKHTSFVESVEYKDVYEVGYQPQRSEHLSNDSSRRGRPHEDHDQKRMDLVRQKFVEAKQLASHENLLQSKEFHDALEILNSNKDLFLRFLEEPNSLFAKQSDEFHSAPTSPQRKRITVLKPAKSVEMQSEKAIRRQQNHAVNGSRTEKSKLHRKSTASHYKAERLPQHTRIVVLKPNSAITSVEQFQETGRDNPDDSEAPNVSRHLSDEIDWSVHGMCHQHDECLQGSIPSNKVSRDRSYSDRAEEECSSFSGSDIGSPTSRHSWDYIYRFSNPYFSSSLSHASCSPESHVAREGKKHASDRWPSSSSNEISRERVLVRRSLSTLGEMLAMSDVKKEEVADQVATNSSKQLCGNEPRLAVPSNCSIDGGQGEMSIKKISRSKSVPVSSSAFNSLRFDARSSDPQHKEHTTPKEEAKPKNGKSSLKGKISSFFSLRKKAGKEKLTSSLRRVLSASNVAVDNSDVSHPACASLQENDSSENLEAKFDYATTVVRTNEPEAPSSSKAPISLWKALSFEIRNSHLNQPSPTSVLDASFEDTNEKSPGSSESAITTKQEPLSRCLPIGSVARTLSWDDSSEEAVPCRSKEDCHEQEQYEFIEKILFSAGFCNKQTSDIFVQWHSLDCPLGPDVVDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSRLWCAYPCTGPIVNARRVASRDDELVADEAWKLVKGWLFDGEAHVVNGLDNVGVAADWVVGREIEGRGWPETLRLEVDEISKEICGEVLSELVEEAFSEFAGCH* >Brasy2G169800.1.p pacid=40066991 transcript=Brasy2G169800.1 locus=Brasy2G169800 ID=Brasy2G169800.1.v1.1 annot-version=v1.1 MPAHPLLAVPHAAAAGPRLAAPLHTPATTVPSLLRGVMCPRGYSLNQQQRPRHLLPAAAAAKPGAIGSAAEAAAAPTEGLARSLQGVEVFDLSGKAVPVVDLWKDRKAVVAFARHFGCVLCRKRADLLVAKQDAMEAAGVSLVLIGPGTVEQAKAFSDQTKFKGEVYADPNYSSYRALEFANGLFSTFTPSAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIDNISYIHKDKEAGDDPGMEDVLRACCS* >Brasy2G142500.1.p pacid=40066992 transcript=Brasy2G142500.1 locus=Brasy2G142500 ID=Brasy2G142500.1.v1.1 annot-version=v1.1 MNAAGSLQGEREFHNELSLASHLIGCGHGSTPSILLPFAYSLSAHPCRRRMMLVYELMPNGSLQDALLGKRCPQLVSEWPRRLAVARDVAAALHYLHFVVHPPVIHGDVKPSNVLLDGDLRARLSDFGLARIKSEEEEELESGVLGDNGVSNENPSGGCNDDVSMAGESTPAIVMNGEDNATRSPEDDDGFTTASHAEVASTSGCDKTSVGSGFNGRSCNSGGAAASGARSDWWWRQDNGGGGGVKDYVMEWIKSEIKKERPKSDWIAGASMTTAATSAEKKRPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMAGMQWWERDCDLEEKRSSRWRMMKSWSRRSSNGNGNSSIDWWVDGVGRSGKDWASAEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLAHVGRLLDLVDSALLDVNRDQALLCITVALLCIQRSPSRRPSSEEVLEMLSGEGKPPHLPIEFSPSPPAGLPSRSRKKGR* >Brasy2G459800.1.p pacid=40066993 transcript=Brasy2G459800.1 locus=Brasy2G459800 ID=Brasy2G459800.1.v1.1 annot-version=v1.1 MLKLFAVLLPVVFLSLAILAWAQPGNVTGLSFQIVALSRAPDDRANNVSSYATEDLRLPISTSAQFVYGVFVSIGTEQGFKLQVLGLDTSASMSWVMCEPCQPPLPQAGHLFSPAASPTFHGVHSNDPVCTAPYRPTANGCSFRFPFASRYLSRDTFHLRNGGSSGGAVMESVPGVVFGCAHSVAGFRNDGTLGGVLSLSHSRLSLLTQLGARAGGRFSYCLPKPTQLNPHGFLQLGAGVLPTPPHSHTTALTVRSGSAPDYYLSLVGIMLADKRLRIDPRVFTAGGGGCSINPAATITAIMEPAYLVVERALVAYMKELGSDRVKKGPPGGGALFFDRMYKSVQARLPSMAFHFEDGAELWFTPEQLFEVHGMVARFMMVGKGYRRTVIGAPQQVNTRFTFDVAAGRLSFASELCG* >Brasy2G490700.1.p pacid=40066994 transcript=Brasy2G490700.1 locus=Brasy2G490700 ID=Brasy2G490700.1.v1.1 annot-version=v1.1 MTWLPHRLWAHTSVAELPCVRLCSGRLLPLAAPPSFPHRPPAAVASRAALACCSPPAPFLCPRRRAPRRRPRRPRLHHAGPSSASALAAPPHRSPPPCRRRFSALRAALLLHLAPSSSSPAATSSLAVALLVDDLVAQARPSPLRPIYSHQGRLGRGGGAGGEVDGAGGEGSLVARLGAAGGRSRGARKGARRRSWGRRRAQQRAEGSRGKREEIK* >Brasy2G327100.1.p pacid=40066995 transcript=Brasy2G327100.1 locus=Brasy2G327100 ID=Brasy2G327100.1.v1.1 annot-version=v1.1 MVIGQKKAKSWIQWPCPHRLLCLCAREHCALLARFAAVAAVCLLLVAGSYYALSSPADGDVDGEMGQRFFDIWRRRSAARAYDYDDDLEAALRGAADANRTLILTVLNKAYAGEDGLLDLFIESLKQGEGTEELISHVLLVAMDRPAFRRCRSLGGVRCYRLRAAAANGTTGDLSSEQLYMSDGFIRMMWQRIRLLGDVVKHGYSFIFTDLDVMWLRNPFPSLNRTGEEDLLISSDRFNGRPHDYLGNELNTGFFFVAASNRTAALFDEWHKARDESAGMKEQDVLNRMKRRGALRRLGVRARVLDTARFSGFCQDSRDARKVATVHANCCRTMRAKVSDLRAVLGAARRLNRTAELRWPAHSECAKSWR* >Brasy2G287700.1.p pacid=40066996 transcript=Brasy2G287700.1 locus=Brasy2G287700 ID=Brasy2G287700.1.v1.1 annot-version=v1.1 MGISWPDSEESSEVSFPGEWEFCEHGLTPFKRVAFEGVNTGRRFLACPYKDERMCDWMCWVDDEHNEVLKNALDRLWWLLEDEKRKSSDELAQVTAQKDWALGARYMAEKDKSELQKELAELKLKMKQSGGEAGPSGEMKKLASEVEVKVKIY* >Brasy2G445400.1.p pacid=40066997 transcript=Brasy2G445400.1 locus=Brasy2G445400 ID=Brasy2G445400.1.v1.1 annot-version=v1.1 MGYLESNEVEQMKTEKSPEMRTVCREEIKFGGSEARVLVVLGKELLSTLKLAVLLLAGIFVLCLVLVMKM* >Brasy2G231100.1.p pacid=40066998 transcript=Brasy2G231100.1 locus=Brasy2G231100 ID=Brasy2G231100.1.v1.1 annot-version=v1.1 MDGANATATDAGRPLPRGSSRDVRNRQENCKENTSYQRSNDIRAHKERQSEWTKPGRVFNRNISRGGYSRSSFSGVTQEFRVVKDNRIKQKADGDTVPGSFHNGDSSREHTVTNIGDKSSTEKLVTRKSDGHGTTQADNGHKVAAQAHGKEVKLSSDQCLEQSERMRTPLVGSHSLQEKGKQNKELAAPSGTNNYTRELCCSSSDPIHVPPPGSRPVGTFGAIKREVGVVGARQRPSDIAATNISTSNSLATVTMVMKDNASSEHQSRLSVVPSKNPHSSSSVSLSSRPFLPSQVYSKPSIHVGHSKGNPHLEWKPKSVRPTSVNRAANVAPSSATSSADVNQVGMACLSKKLSQANVSEDERVIMPEHLKVPESERTHLIFGTFESEAKSKASTSSPIATSKEGLDVHSSTSLTPLNSVRSSDEVRPGDQTDHVGSCATLPQSDVLSFSEHQQSSIVDIGSSPGVIGEYRTNEMISNKIAHSVPQPHQDNSAVQNFKAYEPDSKYEMPFITKSIDGETVQTRSYPSEIMGLHPSNVNQLSVSLASQQPVPQMYQQVQVPQYPSFLPYRHVFSPYYVPPVAVPNYSSNPSFPQLPHASNYLVMPNGASHEIGSMKFGTPHQYKQVFPGSPAGYGSYANQSGYPVSNCIIGSTGAVEDASMSKYKDNNNMYTPNQQAETADVWIQAHRDVPSMPTTPFYNMMGRPMSPHTAYLPAHNGHAPFNPAPHPAHLQFPGLPHPLQPTSMTMVQNPQSLIHQPAGNMGIDMAAMAPGAQAGAFPGAQVGAFQQNQLGHLGWAPPNY* >Brasy2G231100.2.p pacid=40066999 transcript=Brasy2G231100.2 locus=Brasy2G231100 ID=Brasy2G231100.2.v1.1 annot-version=v1.1 MDGANATATDAGRPLPRGSSRDVRNRQENCKENTSYQRSNDIRAHKERQSEWTKPGRVFNRNISRGGVTQEFRVVKDNRIKQKADGDTVPGSFHNGDSSREHTVTNIGDKSSTEKLVTRKSDGHGTTQADNGHKVAAQAHGKEVKLSSDQCLEQSERMRTPLVGSHSLQEKGKQNKELAAPSGTNNYTRELCCSSSDPIHVPPPGSRPVGTFGAIKREVGVVGARQRPSDIAATNISTSNSLATVTMVMKDNASSEHQSRLSVVPSKNPHSSSSVSLSSRPFLPSQVYSKPSIHVGHSKGNPHLEWKPKSVRPTSVNRAANVAPSSATSSADVNQVGMACLSKKLSQANVSEDERVIMPEHLKVPESERTHLIFGTFESEAKSKASTSSPIATSKEGLDVHSSTSLTPLNSVRSSDEVRPGDQTDHVGSCATLPQSDVLSFSEHQQSSIVDIGSSPGVIGEYRTNEMISNKIAHSVPQPHQDNSAVQNFKAYEPDSKYEMPFITKSIDGETVQTRSYPSEIMGLHPSNVNQLSVSLASQQPVPQMYQQVQVPQYPSFLPYRHVFSPYYVPPVAVPNYSSNPSFPQLPHASNYLVMPNGASHEIGSMKFGTPHQYKQVFPGSPAGYGSYANQSGYPVSNCIIGSTGAVEDASMSKYKDNNNMYTPNQQAETADVWIQAHRDVPSMPTTPFYNMMGRPMSPHTAYLPAHNGHAPFNPAPHPAHLQFPGLPHPLQPTSMTMVQNPQSLIHQPAGNMGIDMAAMAPGAQAGAFPGAQVGAFQQNQLGHLGWAPPNY* >Brasy2G231100.3.p pacid=40067000 transcript=Brasy2G231100.3 locus=Brasy2G231100 ID=Brasy2G231100.3.v1.1 annot-version=v1.1 MDGANATATDAGRPLPRGSSRDVRNRQENCKENTSYQRSNDIRAHKERQSEWTKPGRVFNRNISRGGYSRSSFSGVTQEFRVVKDNRIKQKADGDTVPGSFHNGDSSREHTVTNIGDKSSTEKLVTRKSDGHGTTQADNGHKVAAQAHGKEVKLSSDQCLEQSERMRTPLVGSHSLQEKGKQNKELAAPSGTNNYTRELCCSSSDPIHVPPPGSRPVGTFGAIKREVGVVGARQRPSDIAATNISTSNSLATVTMVMKDNASSEHQSRLSVVPSKNPHSSSSVSLSSRPFLPSQVYSKPSIHVGHSKGNPHLEWKPKSVRPTSVNRAANVAPSSATSSADVNQVGMACLSKKLSQANVSEDERVIMPEHLKVPESERTHLIFGTFESEAKSKASTSSPIATSKEGLDVHSSTSLTPLNSVRSSDEVRPGDQTDHVGSCATLPQSDVLSFSEHQQSSIVDIGSSPGVIGEYRTNEMISNKIAHSVPQPHQDNSAVQNFKAYEPDSKYEMPFITKSIDGETVQTRSYPSEMYQQVQVPQYPSFLPYRHVFSPYYVPPVAVPNYSSNPSFPQLPHASNYLVMPNGASHEIGSMKFGTPHQYKQVFPGSPAGYGSYANQSGYPVSNCIIGSTGAVEDASMSKYKDNNNMYTPNQQAETADVWIQAHRDVPSMPTTPFYNMMGRPMSPHTAYLPAHNGHAPFNPAPHPAHLQFPGLPHPLQPTSMTMVQNPQSLIHQPAGNMGIDMAAMAPGAQAGAFPGAQVGAFQQNQLGHLGWAPPNY* >Brasy2G231100.4.p pacid=40067001 transcript=Brasy2G231100.4 locus=Brasy2G231100 ID=Brasy2G231100.4.v1.1 annot-version=v1.1 MDGANATATDAGRPLPRGSSRDVRNRQENCKENTSYQRSNDIRAHKERQSEWTKPGRVFNRNISRGGVTQEFRVVKDNRIKQKADGDTVPGSFHNGDSSREHTVTNIGDKSSTEKLVTRKSDGHGTTQADNGHKVAAQAHGKEVKLSSDQCLEQSERMRTPLVGSHSLQEKGKQNKELAAPSGTNNYTRELCCSSSDPIHVPPPGSRPVGTFGAIKREVGVVGARQRPSDIAATNISTSNSLATVTMVMKDNASSEHQSRLSVVPSKNPHSSSSVSLSSRPFLPSQVYSKPSIHVGHSKGNPHLEWKPKSVRPTSVNRAANVAPSSATSSADVNQVGMACLSKKLSQANVSEDERVIMPEHLKVPESERTHLIFGTFESEAKSKASTSSPIATSKEGLDVHSSTSLTPLNSVRSSDEVRPGDQTDHVGSCATLPQSDVLSFSEHQQSSIVDIGSSPGVIGEYRTNEMISNKIAHSVPQPHQDNSAVQNFKAYEPDSKYEMPFITKSIDGETVQTRSYPSEMYQQVQVPQYPSFLPYRHVFSPYYVPPVAVPNYSSNPSFPQLPHASNYLVMPNGASHEIGSMKFGTPHQYKQVFPGSPAGYGSYANQSGYPVSNCIIGSTGAVEDASMSKYKDNNNMYTPNQQAETADVWIQAHRDVPSMPTTPFYNMMGRPMSPHTAYLPAHNGHAPFNPAPHPAHLQFPGLPHPLQPTSMTMVQNPQSLIHQPAGNMGIDMAAMAPGAQAGAFPGAQVGAFQQNQLGHLGWAPPNY* >Brasy2G107300.1.p pacid=40067002 transcript=Brasy2G107300.1 locus=Brasy2G107300 ID=Brasy2G107300.1.v1.1 annot-version=v1.1 MAGVVEELVKKARGCAVIDGGFATQLEALGADINDTLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGLRQEEAEGLLRTSVQLALEARDEFWKSTLTKPKPIYNRALVAASIGSYGAYLADGSEYSGSYGDDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEDIEVPSWICFSSVDGKHLCSGESFGDCLQILNASEKVAIVGVNCTPPQFIEGIIREFKKQTGKAIAVYPNSGEVWDGRAKRWLPAECFGRKSFDVMARRWQEAGASLIGGCCRTTPSTIRAVSKALKGRTGH* >Brasy2G107300.2.p pacid=40067003 transcript=Brasy2G107300.2 locus=Brasy2G107300 ID=Brasy2G107300.2.v1.1 annot-version=v1.1 MAGVVEELVKKARGCAVIDGGFATQLEALGADINDTLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGLRQEEAEGLLRTSVQLALEARDEFWKSTLTKPKPIYNRALVAASIGSYGAYLADGSEYSGSYGDDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEDIEVPSWICFSSVDGKHLCSGESFGDCLQILNASEKVAIVGVNCTPPQFIEGIIREFKKQTGKAIAVYPNSGEVWDGRAKRWLPAECFGRKSFDVMARRWQEAGASLIGGCCRTTPSTIRAVSKALKGRTGH* >Brasy2G125800.1.p pacid=40067004 transcript=Brasy2G125800.1 locus=Brasy2G125800 ID=Brasy2G125800.1.v1.1 annot-version=v1.1 MGARLVPPVLSGAFVLLLAVSFGAAVSRAETAPVVVGSVRCLDCSPNDVNAEDAFKELQVAIKCKSGAGETYETQSLTHLDGTGAFKIPLAAGLVREDGELDRDCFAQLHSAPDTPCAGAAPPKIAPAGGLNSEDTANTYLAVADDTILSPVACACGKKKKKHFMLRGPPPPPRPEPSYGPPTPTPTPTPVPTPKPPVEDEPEPFHKKMKKMMHKKKPCPPLVKDDKTQLPKN* >Brasy2G494600.1.p pacid=40067005 transcript=Brasy2G494600.1 locus=Brasy2G494600 ID=Brasy2G494600.1.v1.1 annot-version=v1.1 METAEGKEIKMRVSEAAAAKPYKIIEWEGKEIKTTMVKMDERHGYIQWLLEWGKKPRRPLPNLPEDARAAMEAFKAEREAHEKALAQYRRCGYAHIQVDIFDDDDPRANLDDDEYEEVDFVDEGEERILGRL* >Brasy2G070900.1.p pacid=40067006 transcript=Brasy2G070900.1 locus=Brasy2G070900 ID=Brasy2G070900.1.v1.1 annot-version=v1.1 MASSSAGGALAAMVTVAILLTSPLSPPSLQRRHYHHPLSGLPLGHLPAARVHRAPLRASRAPSGDRARRRAPPHLLPRLLPAGLRRLRLPQRPGHGAVHGAQHHAPAQGPAAHRGHPHQGARRLRPSRLLRRHLRARHAGRRHRLRRPELHSPPRPARQFNPRRRGRRQWPPVAGDVQRVRPCDRLPRQGPDQARRPRGALRRAHCREDRVPVLLRQGRADGRRVLQAAGGQLQPAAGAEAEPGRGHPGPVRQRVLHGAGERPGRVHLRHGAHQGPGHGAHREEVRQEQEGLLQAVRQVHGQACELAQARRERRRDPAQLLQPQRPSPRHRDQRRR* >Brasy2G181500.1.p pacid=40067007 transcript=Brasy2G181500.1 locus=Brasy2G181500 ID=Brasy2G181500.1.v1.1 annot-version=v1.1 MNSQEFVEHVHENWRKRMREIMTSHTSLEVMTCIEIALRCVEADRVRRPTISEIVIELNEICTVESSPISQWLGAEDMEDSHGFEYSDDEPIVAPPCSETRVQLVIKKYGNKGPLCEHNKKVMLQLTRAGSVSRLGLDMVVVLDISGSMQGKKIQEMKTTMKFIIDKLSPIDCLSIVTFSATASRICPLRLITETSLPELQGLIDSLTASGDAANIIDGLQTGFKVLTNRKISRGRVVAVMIMSDGLQISRGSQVDVDGNDVPVYTFGFGADHDPMVLHEIADKSNGGTFSVVMQDMESLSLAFSRCLDSLLTVVVQDLRLTVESVEKESMIPIKVITDGSHPQTQEANHGSVTISLGNLSSREVRKVTVDLLLLAIGSERSTDILKITYSYSTFGELFVAPPLMITVWRTNTSMVVPEKEMPSLDRDMQNGHGFEYSDDEPIVGPPCSVKLVIKKYGNEAPLYENNKEVMVQLTGGGYVGRLGLDIVVILDVSGSMQGKKIQGMKTAMKFIIDKLGPIDRLSVITFSAAAAARLCPLRLITETSQSELQGLIDGLTASGDTANIIEGLQTGIKVLADRKVSRFRFVAVKIMSDDLQINRGSQIDIDCNDVPIYTFGFGADHDPTVLHEVAYKSNGGTFSAVIPDMDSLSLPFSQCLDNLLNIVVQDLRLMVESVEKECNVPIKVITDGSYPQAKESSLGSATVSLRDFYGRKVLNITVSILLPIIKSKHSKEIFKITYCRTSDLRGPQLRDAWVAMRVPG* >Brasy2G185700.1.p pacid=40067008 transcript=Brasy2G185700.1 locus=Brasy2G185700 ID=Brasy2G185700.1.v1.1 annot-version=v1.1 MGRAPCCEKVGLKRGRWTAEEDDILASYIAQHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRDGVKRGSISREEDDLIVKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTFRRTYTAGHDTTITIDISKLSAAGKRRGGRTAGQSPKSNTKKHPEPEPNKAKDASSPATATSSASSALQSDEARSAVVDPDQNQPNNSISGSHTSDGPCSRDETGPLFMDPIDQSGLLEANSAMDQFGLWEADSSFNQIGPLEASQMEALLSSGVTTESGLTGVEHEGQVQVDDLLDMDWEGFAAHLWNEPAKSDTIQAAEPKTTTGSDPDELDSFVSWLLSDAC* >Brasy2G286500.1.p pacid=40067009 transcript=Brasy2G286500.1 locus=Brasy2G286500 ID=Brasy2G286500.1.v1.1 annot-version=v1.1 MGLSLNIDISATAFYKAQPVMTFAVEYLNMRDASRPLSDQDRLKLKRALRGVRVGATHRKDKTIRYKISGIPAAPLKELMFDQDGVRISVVQYFKQQYNYSLKYTNWPCLQAGSDSQPIYLPMEVCSIVEGQRYSRKLNERQVTGILKMACERPAQRESSVLEIVNRNNYGNDHYSKEFGMNVMNQLTLVDARVLPAPRLKYHDSGRDRVCNPSLGQWNMINKRMVNGGSIKYWACITFSSRLHPNDIGMFCHDLAEICNNIGMQMNMKPCVDITQPRRQESVESAIRNIHRHSLQVLAEQGLTGKQLELLIIILPDISGSYGRIKRLCETELGLMTQCCLPKNVQKGGKQYLENLSLKINVKAGGRNTVLEDALYKRIPLLTDVPTIVFGADVTHPSPGEDASPSIAAVVASMDWPQVTKYKCLVSSQSHREEIIADLFSEVKDPQMGLVAGGMIRELLVSFYKATNCKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENHRARDLTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLLDENRFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRVRYYMEDEFSDQGSSSAATSQRSALAKQLPKIKDNVKEFMFYC* >Brasy2G455200.1.p pacid=40067010 transcript=Brasy2G455200.1 locus=Brasy2G455200 ID=Brasy2G455200.1.v1.1 annot-version=v1.1 MAPQFPAPRANAKQPSSSSSSAAAAGAGGAKRPPLLSPVKKIARPAASAPSVARPRAPPSASSSRKPAARQGAAAAAAAAERRRAAESVHPARRLACGTAVYVRTRYVMISERCRLLIWLPARVVAASDAYHCTIKYAADLHAMFAGKVARVPVSEVREAPSRPSSTAAAANANAGQSQSQRPAAATSP* >Brasy2G210700.1.p pacid=40067011 transcript=Brasy2G210700.1 locus=Brasy2G210700 ID=Brasy2G210700.1.v1.1 annot-version=v1.1 MGRLSRATRGTPPPAAAYLPHPLHPRRCLRPPPATSGAWLMTVAAGNRLKKRLFWGQRPELSSPPSWRSSADLPASSPDPVPAGPDPADLHRKPVQPPSAPPTSHRRSQSPCPHPVCPLPGAPV* >Brasy2G002200.1.p pacid=40067012 transcript=Brasy2G002200.1 locus=Brasy2G002200 ID=Brasy2G002200.1.v1.1 annot-version=v1.1 MAPSSWPPAIAPRQLLPLFVAVASLALGFIVVVQGQSTYSDLSPNCSTIDNYTEGSQYWLNLINLMNKLPRDAIANGGFSNGTAGEAPDKVFGLLMCLVDSSWSDCENCLRVAANSVRNDCPHSREMKSAGRAECTLRYSNQSFFSVADLDMNHRRWNTLELDAGDAYIMNDARSRLMTRLQWDAANSPLRFANGTEPYKGSQLMYGLVQCTRDLPVSECNRCLNYTADRLPQLLPNYTGGRLRGYSCYVRYELSPFNITTPPPPSSPRPDGREPSPTKIGLAIGLSAGSASFVIVLGLMIWRRQRRRKNAKLLDDELAVEEDFEKGTGPKRFRYRELAIATDNFSDEKKLGEGGFGSVYSGFLKELNQKVAIKRVSKGSKQGKNEYISEVRIISRLRHRNLVQLIGWSHAGGELLLVYELMPNGSLDTHLHSANNVVLTWQDRHEIVLAMGSALLYLHQEWDQCVLHRDIKPSNIMLDASFNAKLGDFGLARLVDHDRGSHTTTIIAGTMGYMDPECMVTAQASIMSDMYSFGVLLLEIACGQTPVVVLQDKTALHISQLVWEHYGRGAVLDAADARLEGVFDEKEMEAVLVVGLWCVHPNRSARPSARQAINTLRFEAPLPNLPAIMPAARYGFSCSSPTSLVVTESSGGTMYTCSSPTSLVVTESSGGTMYTTKG* >Brasy2G372500.1.p pacid=40067013 transcript=Brasy2G372500.1 locus=Brasy2G372500 ID=Brasy2G372500.1.v1.1 annot-version=v1.1 MIKHDRKLRSLVKASFLRSSRSQILFFLVLSDAKMQMICYSRILRRCNP* >Brasy2G158900.1.p pacid=40067014 transcript=Brasy2G158900.1 locus=Brasy2G158900 ID=Brasy2G158900.1.v1.1 annot-version=v1.1 MVFQKRSSSEMEVCGGSHVAEMPRVPKSARGKRSVRKKESQTQMMCAFDLLATVAGKLLDEGEGSLGNMSAVSPVPAATTKDVRVKQEQFGEEVKHFRNDMMDQDSGNESALISHIASQQLVDHQSRKGEDRNEVPKAKSEAMDKEPSMISCTKADLGCNFGAIADRWSPESVESGAFTADAAANVMAMTAAGFNKNVADMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGDKIRRSISFPRGPKGGAEYAVDTEQHDDDDDKSSGCTHSSTATNRGFRPNCTADHSRVKKLLACKYRKVAPARMHKGDLSYSDADRKPSFRNKKMYYTRQRTQRSSFKRRKLLDRRSTLVSEEFAKSNAKRTNKVAARESHVASLEANKGINSMPFHKSCESNDCHVKLKIKSFKVPELLIEIPETASVGSLKKTVLEAVNAMLGGGLRVGLLHHGKKIRDDNKTLMQAGIAHDDVLDNLDFSLEPNCAQHPPQLSAAEDIEFLETIETTEPLARVTPADSSSKHGEVDASQELALTPVTANYQGNDRDSVHSPGGISSPEKASANSRAIVVVTPVDCNAGAIVPANKAKRSSEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLAAQSYWSQQQAKLQPKTPPLAEARLLT* >Brasy2G455000.1.p pacid=40067015 transcript=Brasy2G455000.1 locus=Brasy2G455000 ID=Brasy2G455000.1.v1.1 annot-version=v1.1 MAARALLAAAVLVVAVVASSPAAASGGDKFAFTEHDLASEEALSALYERWRAHHKDHMPRTGAGDDDEDEKARRFSVFKEKARFVHQFNKEGRSYTLGLNHLSDMSSEEFFQAAGYGGCVYPENNATLPPHDDDDNNKNDAWLEPPAQKDWRVEGAVTGVKNQNPCGSCWAFAATAAVESLVAIKTGSLVALSEQQLIDCDTAKPNTGCGGGLRSNAFNYIAAQGLTSQQHYPYERSQGACEVDFETPVFARIDGYAFVPPNDGDAMLRRVALQPVTTIIHVSKKVMMQYHGGVFKGPCGSNRMWHAVTVVGYGSHQGDGDYWVVRNSWGTRWGEGGYMRISRSSGIKAGVCGILFNALYPLWGKSL* >Brasy2G315300.1.p pacid=40067016 transcript=Brasy2G315300.1 locus=Brasy2G315300 ID=Brasy2G315300.1.v1.1 annot-version=v1.1 MEIDRVVSILCRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGAVPAALAGASLLELDLGELVAGTVYRGMFERRMKNVLNRAEASNGKVILFIDEMHMLLGAGACEGGCTDAANMLKPALARGRVRCVGATTLDEYRRHVEKDAALERRFQKVQVEEPSMEATLAILQGLKKRYEEHHGLEIQEAALVAAAQLAGRYITGRQFPDKAIDLIDEACAAATKKNMSRIDNQERQVNTAQSCSANAVKEAIVGPDHVAEVVSRWTGIPVVALNQEEKDKLIGLADRLHERVVGQDEAVNLVAEAVLRSRAGLAEPSQPMGSFLFLGSTGVGKTELAKALAEQLFDNEKMLLSRVRRWWTTDRESQEASIQCYPFR* >Brasy2G032100.1.p pacid=40067017 transcript=Brasy2G032100.1 locus=Brasy2G032100 ID=Brasy2G032100.1.v1.1 annot-version=v1.1 MGVRGYLPSCSTSIHHATTATTRRKTAAGAGRHAPAKVSCSSAAAPPSQSTIKVVIVGATKEIGRAAIAAVSRARGMELAGAIDTQCIGQDAGEISGMEEALEIPVLNDLTMVLGSISQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVYVPKIEMDTVTELSAFCDKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNISELGQIYNREDMESDNPARGLVLGEDGVRVHSMVLPGLASSTSVILSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL* >Brasy2G179200.1.p pacid=40067018 transcript=Brasy2G179200.1 locus=Brasy2G179200 ID=Brasy2G179200.1.v1.1 annot-version=v1.1 MAPSTRPRRGNSFREDLKGGAFSLYTTLWIWGIFFYFTYFYNNLPPKFSLELTEASSSSLSLQTAAPAAFNVTLHAANRKRADRCYRHGEAAVLYSGFTVAWGRTPRFCVGARGARDVTFVAWADDELPGLLRDRMAADRRSGGSVELDVDVRLFRGDDRSSTPTWMRCKVTMTGAGGAEGTPCTVFALQNWASDVAPFWMEM* >Brasy2G393200.1.p pacid=40067019 transcript=Brasy2G393200.1 locus=Brasy2G393200 ID=Brasy2G393200.1.v1.1 annot-version=v1.1 METDRSRLNPIDPRRARFPCCIVWTPIPFISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVVRYIQINGDECYKLLGTDVEATWDDALKKGVQEFQNRSYNLFTCNCHSFVANNLNRLFYSGHDKWNVVSLAAAMFLRGRWVSVASAAKALGPFAVVLFVGALLGGTTFLVGLLAFAASMTGWFLVGTYCIKGLVEL* >Brasy2G425900.1.p pacid=40067020 transcript=Brasy2G425900.1 locus=Brasy2G425900 ID=Brasy2G425900.1.v1.1 annot-version=v1.1 MRPPWLGTMTCSSAVLFGLLFFVAVAVPTHGAEDGMVRVDGTRFVVGGEGDDSGAVYLSGFNAYWLMMVASEPSRRGKVTAAFRQAAAHGLNLARTWAFSDGGDRPLQSSPGVYNEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVHWANAASAGSGNLTSADDFFNSTITKVYYKNHAKRVLTRVNTVTGVPYKDDATIFGWELMNEPRCYTDPTGAMVQSWVEEMAPYVKSIDGGQHLVTAGLEGFYGDGAHESKELNPWGIYYGTNYVATHSAPGIDFATIHLYPDVWLWGSTADQQAEFFRNWTAAHVRDTEVHLRKPLLVTEYGKFLWEDDDDDRPEAAANGTQRRDYFLGMVLDAIYASASEGGPLVGGAFWQLLIDDDGMDGLRDGYEIIFPEDARAAGIISNHSRQLAELDGKFDGGVRRRRRRSSPRKIGSTSGGSWDGVSSSIRPYVQRLSTRFVSLFRDISSLFGTF* >Brasy2G425900.2.p pacid=40067021 transcript=Brasy2G425900.2 locus=Brasy2G425900 ID=Brasy2G425900.2.v1.1 annot-version=v1.1 MTSLLLLDFWQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVHWANAASAGSGNLTSADDFFNSTITKVYYKNHAKRVLTRVNTVTGVPYKDDATIFGWELMNEPRCYTDPTGAMVQSWVEEMAPYVKSIDGGQHLVTAGLEGFYGDGAHESKELNPWGIYYGTNYVATHSAPGIDFATIHLYPDVWLWGSTADQQAEFFRNWTAAHVRDTEVHLRKPLLVTEYGKFLWEDDDDDRPEAAANGTQRRDYFLGMVLDAIYASASEGGPLVGGAFWQLLIDDDGMDGLRDGYEIIFPEDARAAGIISNHSRQLAELDGKFDGGVRRRRRRSSPRKIGSTSGGSWDGVSSSIRPYVQRLSTRFVSLFRDISSLFGTF* >Brasy2G458700.1.p pacid=40067022 transcript=Brasy2G458700.1 locus=Brasy2G458700 ID=Brasy2G458700.1.v1.1 annot-version=v1.1 MEALSDLHSRRLFFNRIFGSENSCSDMLKEVSDEILKKCGGLPLAINSISGLLANKPAVKEEWEKVKRSIGSALDKTHSLEGMSSILSLSYNDLPPNLKTCLLYLSAFPEDYVIGRGRLVRRWIAEGFISEERGQSQYEVVESYFYELINKSMVQPVDFEYDGKVSACRVHDMMLEIIISKSAEDNFMTVVGGGQTSLANRHRFIRRLSIQHIDQELAYALASEDLTHVQSLTVTSSGCMKHLPSLAEFEALRVLDFEGCKGLEEYDMNNMGKLFQLKYLGLRDTGISKPPPGIVMLGDLETLDLRGTRVQELPSGIGRLSKLQHLLVPVETKIPNGIGDMRNLRVIHCISATRSPADELEELGNLTNLELLYVFFGRGGSNEYYKRHEEMLLSSLCKLSSHKLRSLQIYSDNFSLEILDSLSPLPFSLQEFHMFGDSSLKNVPKWIAPALTSLTYVQIGLNALTEEVLLTLGELPALLRLRLRFRTRGQDRVTVHGFSSLKQFCIHSPVASQITFVKGAMPKLESLHVPFDVSVAKTCGYYLGIENLTCLKHVDLLLLLGEVYVPSEGKAAAAAIRNEAAAHPNHPTVKFFNEPNEEDNKGAGGDGSHQQLQKVL* >Brasy2G304100.1.p pacid=40067023 transcript=Brasy2G304100.1 locus=Brasy2G304100 ID=Brasy2G304100.1.v1.1 annot-version=v1.1 MHLFLHIILMLFFNETTECVIHCQDGRNETRKITTNIETKHKKKLQAKGNLSAVKCFRQAEFNQFMTCTYMHERLLTEGQRDMYMCVFR* >Brasy2G299300.1.p pacid=40067024 transcript=Brasy2G299300.1 locus=Brasy2G299300 ID=Brasy2G299300.1.v1.1 annot-version=v1.1 MWEKYDLVLLVLLFNFSAILYQYMSICIGMVTGKNLAEICRQEYSQFIRVGLGVQAALSLFASELTMIAGIAVGFNIVFEHDDLISAVIFASVVINLLPYILSSRDKRMAGTLNACIAGFAVLCFVLGLLVSQQEIPLRVNVMFPKLSGESAYSLMALMGANIIVHNFYVHSSVVQVQRRSHVLTLGALFHDHLFSILFVFTGFFLVNYLLLSSAAVESSNNTILTFYDVVELMNQMFTSPMAPVALLAILLFSSHIISLTSVIGSHVVTENFFGVHLSLTAHHVLLKVIAIIPTIYCAKVAGSEGIYQLLILCPVIQAMLLPSSVIPVFRVASSRPIMGNYRISLHVEILAFLAFLLMLFTNIIFLAEVLFGDSIWTNNLKGNTESPVVFPHAVMVLTSCACIAFTLFLAVTPLKSASSEAETQELSTHGQSEREALDTTHHREDNGI* >Brasy2G301000.1.p pacid=40067025 transcript=Brasy2G301000.1 locus=Brasy2G301000 ID=Brasy2G301000.1.v1.1 annot-version=v1.1 MDGSSSAPLHVVICPWLAFGHQLPCLDLARLASRGHRVSFVSTPRIIARLPPARPAAVASLIDFVALPLPRVDGLPEGAESTNDVPYEKFELHRKAFDGLAAPFSEYLTAACAKGQGHKPDWIIVDLFHHWAAARSITFIIHVYFLTRTGPMYTTGQVPCAMLLLGAASFIATGAGQLFDHAASEPGQERPSSTEPPKFEVETRQQIITQRASGMSIAERASLTLQRSNLAAMRSCVEWEPESVPLPVVPLGLLPPSPEGGRALCKDSGKKDATVKWLDTQPAKSVLYVAMGTEVPLRAEQVHELAHGLELAGTRFLWALRKPVGVQDGDSVLPDGFVERTSGRGLVVARWVPQVSILAHGAVGAFLTHCGWSSAIEGLQFGRPLVMLPILGDQGPNARLMEGKKVGVQVQRDGNDGSFNRQGVMAAVLAVMVEEESKKIFTANAKKMQEIVADIDGFIQQLRSYKE* >Brasy2G323500.1.p pacid=40067026 transcript=Brasy2G323500.1 locus=Brasy2G323500 ID=Brasy2G323500.1.v1.1 annot-version=v1.1 MCSGKCIVDEWLQESVHRQYQCPVCLRAVTKLAPSEIASPLCEDPKIAVVLNRIEQCNVRFAGTAHSSTQITGSKVICKGSMEIPRKTNAPAILETDSQTFATGSHDPERTDDEANEIIEQNDTNDKKKQSSKKVGAATSYTSEICQGGSPARREKTMSRSSVCIIYDDKMLKHQGSSKEYETPARLTSIMQRLEKDGIIQRCDVLESHPAEKRHALSIHEEAYFNFLQNLPLKSIDEERAYKEFYQSESIFFSKGTFDAILLAAGGVVKACKEVVTGRYKHSFAVVRPPGHHATCKKADGFCFLNNVAVGANFLINEHDIKRVLIVDFDVHHGDGTQSIFEERNDVLFISTHRKMTFPNSPKDVKYIGTGEGQGYNINIPLRDKFEDKDLLFAYQSLLIPIALQFAPEVIIVSAGYDAAEGDPLGGVSCYSTGFRNPYKYADENSFKGPSFSIGRWLQQRFAWSLLFCLC* >Brasy2G406200.1.p pacid=40067027 transcript=Brasy2G406200.1 locus=Brasy2G406200 ID=Brasy2G406200.1.v1.1 annot-version=v1.1 MSTCGYHSPLFSEDKAWLPQWFQPHRQPTVGEHRRDSAGISSPPCENCVYIGDPEQEQQHCHNAMANAGGYSGLRLHLSGDEDTPAASIRTGREVLPFSLHLSSESAAQLSSARASVVPQILNSNSCSGSLEGSYVDGQGQEIKAVPQYQLEANGFKDDKLLEVCRVPSKDIIKPLGARRHQLSGGKVDVQKLRNVDANDAVELSIAASEAMVIGEMILNDCQPDKLAAAALESALHVKEARKQYCLEETEHDCGSFENDLDESDWLAELDEVEMLDAFEDVGLSTVQTACSSQGYNTIDIKRHISQPSCAPCDMEERISDICSSWEQNTKWHSQDANTYDHVPDSLANNNNSAGNLPNESTPGCDSVKQPAIGSFGTPVRGQSIIKECGRVVEETNVGGGTRKHIRTSFISESIDTMNECSPAPRARSIEMVASSRASFPHKTEGFYEENQSAESCYQVVCSSLSLGDPLCSFVPCSISCNEVSLSQAPECKQRSGDQRETIYPKEFLKKDLDLEADPSSPPLDKAPESVNPSYVPLDKAPESADPSYVPLDKTPESGPWRRRIYSSLRHFSTLEPISDILGGSTTHKDIDAAVCQKKRGTPITLNKKIQRVQASNQFIENNAEAGSSKEFSLVQKKSSYAQDKNEHQSREKYVPSEVCPQSTTCLNVGKRDLKRKGAQLLNPKLSTRQTKSRRFKSRFSWSDSRTADMLEPREYIDKKEAIFHGLEFLLTGLQSHKEKDIESAIRKFGGCILSKVPPCTFDKRSKLAEFARWKPPVVLSSKKVSTAKFLYGCATDSWILNPNWLFDSIEAGVLLPPGKYLIRQRHAVKESLTFGHSVHLRNDRLVFRGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLEGLIRSLKDRSSSHGIILVANEASASRHLSYCGMEHDIKTVPASWIISSLFSGKLNPLKKDRCASFRRIKMPSFQPRHGAFDMSQEI* >Brasy2G406200.2.p pacid=40067028 transcript=Brasy2G406200.2 locus=Brasy2G406200 ID=Brasy2G406200.2.v1.1 annot-version=v1.1 MSTCGYHSPLFSEDKAWLPQWFQPHRQPTVGEHRRDSAGISSPPCENCVYIGDPEQEQQHCHNAMANAGGYSGLRLHLSGDEDTPAASIRTGREVLPFSLHLSSESAAQLSSARASVVPQILNSNSCSGSLEGSYVDGQGQEIKAVPQYQLEANGFKDDKLLEVCRVPSKDIIKPLGARRHQLSGGKVDVQKLRNVDANDAVELSIAASEAMVIGEMILNDCQPDKLAAAALESALHVKEARKQYCLEETEHDCGSFENDLDESDWLAELDEVEMLDAFEDVGLSTVQTACSSQGYNTIDIKRHISQPSCAPCDMEERISDICSSWEQNTKWHSQDANTYDHVPDSLANNNNSAGNLPNESTPGCDSVKQPAIGSFGTPVRGQSIIKECGRVVEETNVGGGTRKHIRTSFISESIDTMNECSPAPRARSIEMVASSRASFPHKTEGFYEENQSAESCYQVVCSSLSLGDPLCSFVPCSISCNEVSLSQAPECKQRSGDQRETIYPKEFLKKDLDLEADPSSPPLDKAPESVNPSYVPLDKAPESADPSYVPLDKTPESGPWRRRIYSSLRHFSTLEPISDILGGSTTHKDIDAAVCQKKRGTPITLNKKIQRVQASNQFIENNAEAGSSKEFSLVQKKSSYAQDKNEHQSREKYVPSEVCPQSTTCLNVGKRDLKRKGAQLLNPKLSTRQTKSRRFKSRFSWSDSRTADMLEPREYIDKKEAIFHGLEFLLTGLQSHKEKDIESAIRKFGGCILSKVPPCTFDKRSKLAEFARWKPPVVLSSKKVSTAKFLYGCATDSWILNPNWLFDSIEAGVLLPPGKYLIRQRHAVKESLTFGHSVHLRNDRLVFRGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLEGLIRSLKDRSSSHGIILVANEPASWIISSLFSGKLNPLKKDRCASFRRIKMPSFQPRHGAFDMSQEI* >Brasy2G459700.1.p pacid=40067029 transcript=Brasy2G459700.1 locus=Brasy2G459700 ID=Brasy2G459700.1.v1.1 annot-version=v1.1 MFDRMPKRNVVSWTVMVKAYADDGRFQEAMELFDRMPQRNSYSWNAVISGSFRAGRVDEAVRLFERMPHRNVVSWTAMVTGLARNGRVSMAREFFDMMPYKDITAWKAMITAYANNGQMNEARRLFDSMPAKDLVSWNIVIDGYAKNELKDEASGLFLHMLRSASSPNSTALISVLVISESMVEVVQIHGLATTLGLLSETSLGNALLTMYSRIGDLPSAWLAFKRLEEKDVITWTSMIQAFANHGRTSYALQAFAQMLQHGNNPGSTTFIAVLSACSHAGLVEKGQSIFRSIRHVYGLERTIEHYTCLVDILGRAGYVREAMDVVAAMPPDMCDDAILRTLLRACMMHKEVDAAREVGEVLAKSDPSGSGGYYMALANVLASGGLWDEMAGVWKAMKGSNVRKTPGVSQITVDARNHAFFSRDQMHPQCAEIYEMLDHALVPEMKKIVETVCGRP* >Brasy2G048800.1.p pacid=40067030 transcript=Brasy2G048800.1 locus=Brasy2G048800 ID=Brasy2G048800.1.v1.1 annot-version=v1.1 MEGTVKCAANHAPLTPLSFLERAALVYGARTAVVFGDKEYSWRQTRERCLAGASALARLGVGRRDVVAVLAANTPAMYELHFSVPMAGAVLCTLNTRHDAAMVSVLLRHSGAKVFLVESQFLAVARHALALLAESKPNNNSPPLLVTIGIEDNNGNAEGSEAEYEALLRSAPGGFGIRWPADELDPISLNYTSGTTSRPKGVVYSHRGAYLNSLATALANEMRAMPVYLWTVPMFHCNGWCMVWATAAQGGTSVCMPGASSGMSPKAIFDHIARHKVTNMGGAPTVLGMIVNAPASEQTPPLTGAKRVRISTGGAPPPPHVLAKMDELGFDVVHGYGLTETYGPATLCVWKPEWDGLPAAERARIRARQGVPHVMLDGLEIKDPVTMATLPSDGRAVGEVMLRGNTVMSGYYKDAAATAEAMRGGWLRTGDLGVRHPDGYVQLKDRSKDIIISGGENISSIEVESALFGHPAVLDAAVVARPDDHWGETPCAFVTLKDGASATTADDIIEFCRARLPRYMAPKTVVFVDELPKTSTGKTQKYVLREKARAMGSLPKQGRRSKL* >Brasy2G151000.1.p pacid=40067031 transcript=Brasy2G151000.1 locus=Brasy2G151000 ID=Brasy2G151000.1.v1.1 annot-version=v1.1 MALSASAASAGRGARAEKVRRIFERFDTNGDGGLDRGEMAALVVAVNPRVKFSDDQISAILDEVFRTYGEFILPGGRGLSLTGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAAGDSAVSSSPTPGAATAASLLDDHLKPLGIGGTPPSSSSRAAASAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRKTPSIDTSGGANNFDSFSEAGWSREISGSADSGLAAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALASFRRGCELQPTDVRPHFRAGNCLYALGRHAEAKEEFLLALEAAEAGSSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYHAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEDAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPQKGKHDSQGEEAFVIVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGHCDVELLRKEMNETDVPVSYSGTGVPEKSIRKAALEVILRRLLSFLKPDTFQGAVKAMNERVLSVLDASGSGRVDLGMFFAIIAPICSGPVDRRKRVVFDALLWRPASEGSQGQIRRSDALSYIKLLRAVYIPIHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHVRHGSHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLEEYRFKEYGNESEALIDKCMCFNLNSKKLEADA* >Brasy2G475700.1.p pacid=40067032 transcript=Brasy2G475700.1 locus=Brasy2G475700 ID=Brasy2G475700.1.v1.1 annot-version=v1.1 MGTYRRLGGRERPDPVGRGRGLPDPALSVIGGRRQKGGGASGGGGRGARAPAAGWPRKAGSGGARPGAAGSGPVRHRWPASEGWGRERRRRERGSGARGWRRRERGSGARGWRRRERGLGRPRLEEEGEGARAPVAGGGDGRSGAGGWGRSAGKEGERKEIGRDGEARSGGLLSQ* >Brasy2G083600.1.p pacid=40067033 transcript=Brasy2G083600.1 locus=Brasy2G083600 ID=Brasy2G083600.1.v1.1 annot-version=v1.1 MDAAERVRWRFSDGGVTDLLHARSLHGSPEISKRMQFHSSLVQRLGLEKEMEGHVGCVNAISWNSNGSLLISGSDDTRINIWSYANREMLHDIDTGHSANVFCTKFVPETSDELVVSGAGDAEVRVFNLSRLSGRRSREISMEPVAVYQCHSRRVKKLAVEVGNPNVVWSASEDGTLRQHDFRECSSCPRVGLANQECRNVLLDLRCGAKKSLADPPKQPLAFKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSSCQTRRKPPPCIKMFCPLHLADNRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPDNMSSVRYTPDDVHDQLCLPPFHKEPAKQCSKRNKLRSNNASRNLSRVDMLKKLMQAMIKSLETGTNLMHGIEACCQILEVMGTDIDDKMRHDCLCTRAGLYLKRRWKNDVYMAIRDCNGARNIDFTSFQAHLYMAEALLQLGRLKEACEYADAANSLVPPYSVSAKQVENIKERLVAAELEKNRKDQQGNSNTDARHGRLRSLSDLLFRSDVSGSSSQEGREDSDNDDEMELDFDTSVSGDESRDSDPGAVRGSLSLRFHRRDDQTNEQSVDNGLVESSQSTSNGDSAHELEVAIDMKQRYVAHCNVGTDIKQASFLGEQGDFIASGSDDGKWFIWEKKTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDAEATPMVAGPEIDVLSAIENNQKKLSRNREILLPFEFLERFRMHEFAEGSLHPLECAQT* >Brasy2G083600.2.p pacid=40067034 transcript=Brasy2G083600.2 locus=Brasy2G083600 ID=Brasy2G083600.2.v1.1 annot-version=v1.1 MQFHSSLVQRLGLEKEMEGHVGCVNAISWNSNGSLLISGSDDTRINIWSYANREMLHDIDTGHSANVFCTKFVPETSDELVVSGAGDAEVRVFNLSRLSGRRSREISMEPVAVYQCHSRRVKKLAVEVGNPNVVWSASEDGTLRQHDFRECSSCPRVGLANQECRNVLLDLRCGAKKSLADPPKQPLAFKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSSCQTRRKPPPCIKMFCPLHLADNRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPDNMSSVRYTPDDVHDQLCLPPFHKEPAKQCSKRNKLRSNNASRNLSRVDMLKKLMQAMIKSLETGTNLMHGIEACCQILEVMGTDIDDKMRHDCLCTRAGLYLKRRWKNDVYMAIRDCNGARNIDFTSFQAHLYMAEALLQLGRLKEACEYADAANSLVPPYSVSAKQVENIKERLVAAELEKNRKDQQGNSNTDARHGRLRSLSDLLFRSDVSGSSSQEGREDSDNDDEMELDFDTSVSGDESRDSDPGAVRGSLSLRFHRRDDQTNEQSVDNGLVESSQSTSNGDSAHELEVAIDMKQRYVAHCNVGTDIKQASFLGEQGDFIASGSDDGKWFIWEKKTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDAEATPMVAGPEIDVLSAIENNQKKLSRNREILLPFEFLERFRMHEFAEGSLHPLECAQT* >Brasy2G419700.1.p pacid=40067035 transcript=Brasy2G419700.1 locus=Brasy2G419700 ID=Brasy2G419700.1.v1.1 annot-version=v1.1 MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENITRWLRELRDHADSNIVIMMVGNKSDLNHLRSVQEEDGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGSGPPIQGTTINVADSSGNTKKGCCST* >Brasy2G369700.1.p pacid=40067036 transcript=Brasy2G369700.1 locus=Brasy2G369700 ID=Brasy2G369700.1.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRDNGGCNMFEWCDTPSPGPTNARSNTGFQSDASLVNMPCPCGAGTCLILTTKAGVNVGRQFYRCPAQGGSSCGFFKWCDDQQQLRTAAPLQASAQYQTGVTPTNQNISKSSSSCFKCGQENHWAKDCPNQSSNPYSDKGGRTLTSASSSDACFKCGMAGHWSRDCPAANCGAGAVASHVKSSSTLGSWSSRRY* >Brasy2G369700.2.p pacid=40067037 transcript=Brasy2G369700.2 locus=Brasy2G369700 ID=Brasy2G369700.2.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRDNGGCNMFEWCDTPSPGPTNARSNTGFQSDASLVNMPCPCGAGTCLILTTKAGVNVGRQFYRCPAQGGSSCGFFKWCDDQQQLRTAAPLQASAQYQTGVTPTNQNISKSSSSCFKCGQENHWAKDCPNQSSNPYSDKGGRTLTSASSSDACFKCGMAGHWSRDCPAANCGAGAVASHVKSSSTLGSWSSRRY* >Brasy2G369700.3.p pacid=40067038 transcript=Brasy2G369700.3 locus=Brasy2G369700 ID=Brasy2G369700.3.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRDNGGCNMFEWCDTPSPGPTNARSNTGFQSDASLVNMPCPCGAGTCLILTTKAGVNVGRQFYRCPAQGGSSCGFFKWCDDQQQLRTAAPLQASAQYQTGVTPTNQNISKSSSSCFKCGQENHWAKDCPNQSSNPYSDKGGRTLTSASSSDACFKCGMAGHWSRDCPAANCGAGAVASHVKSSSTLGSWSSRRY* >Brasy2G369700.4.p pacid=40067039 transcript=Brasy2G369700.4 locus=Brasy2G369700 ID=Brasy2G369700.4.v1.1 annot-version=v1.1 MSLLDDDDEEAFLLAVEATEAAAIASSNPKRPRLSTSPSPSPAASEGSYLSALKGSHSSAWKQQQEALAYAHKRPGGSKAPAITPGGGIGGAPVAKGACFKCGDISHWARECPQSLPASVGGGGGGSGTGGGGYVDAGGDVEEKACPCGAGICLVLTSNTPRNPGRKFYRCPMRDNGGCNMFEWCDTPSPGPTNARSNTGFQSDASLVNMPCPCGAGTCLILTTKAGVNVGRQFYRCPAQGGSSCGFFKWCDDQQQLRTAAPLQASAQYQTGVTPTNQNISKSSSSCFKCGQENHWAKDCPNQSSNPYSDKGGRTLTSASSSDACFKCGMAGHWSRDCPAANCGAGAVASHVKSSSTLGSWSSRRY* >Brasy2G094700.1.p pacid=40067040 transcript=Brasy2G094700.1 locus=Brasy2G094700 ID=Brasy2G094700.1.v1.1 annot-version=v1.1 MSTARVYADVNVHRPREYWDYEALAVQWGEQDDYEVVRKVGRGKYSEVFEGINVTNDESCVIKILKPVKKKKIKREIKILQNLCGGPNIIKLLNIVRDQQSKTPSLVFEYVNSTDFKVLYPTFTDYDVRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLCLIDWGLAEFYFPEKEYNVRVASRYFKGPELLVDFQGYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLSKYRIVLDPQLEALLGRHSKKPWSKFVSADNRHLVSAEALDFLDRLLRYDHQDRLTAREAMAHVYFQQVRAAENSRPRS* >Brasy2G172700.1.p pacid=40067041 transcript=Brasy2G172700.1 locus=Brasy2G172700 ID=Brasy2G172700.1.v1.1 annot-version=v1.1 MEKEISPHAPPTPTRLRRRPNEQRDEAGEPRRERGEAEAAAMGTYKCCIFFTRRFALSDASTPEDVRTLFSRFSSGAPYMGVDELRRYLAATGGADGDGGGDGDLEAAERIIERALQGRTRTPRFGKPSLTVDDFHNFLFSEDLNPPIRHSKVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQIGVRVIELDMWPNSSKDDIDILHGRTLTAPVSLIKCLTSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVILSTKPPKEYLEAKGGTMKERDIQPQFKKGENEESAWGIEVPDIQDEMQHADKNQEDLLYRERDVDEDDEQKMRQHAPLEYKHLITIKAGKPKGSLVDALKSGPEKVRRLSLSEQELAKVAARHGPNIVSFTQRNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTCPDGKVFDPNADLPVKTTLKVKVYMGDGWQNDFKQTHFDSYSPPDFYAKVGIAGVPLDSVMRKTRAVEDSWVPVWEEEFTFPLTVPEIALLRVEVHEYDVNEDDFGGQSVLPVSELRPGIRAMPLFDHMGMKFKSVRLLVSFEFV* >Brasy2G236500.1.p pacid=40067042 transcript=Brasy2G236500.1 locus=Brasy2G236500 ID=Brasy2G236500.1.v1.1 annot-version=v1.1 MMKFDVLSVLSNDYMKKQIVGDKLCELVSKRKKLPHRALDMWKYNRMRQKNIFSEPLVHECVLTYITPIGLSSLGFFKKLMLRFLEKLLLRFLEKLLLRFLEKLLLLICMRLLKKLLGLLKKLLKKKLLLRLL* >Brasy2G161200.1.p pacid=40067043 transcript=Brasy2G161200.1 locus=Brasy2G161200 ID=Brasy2G161200.1.v1.1 annot-version=v1.1 MLLLLHFVDAREDHITLEMKPLDYIAYVYNYFAYDDYVYDYLAYVDYFLYIATPHVSKSSVPTTTTTLVFFFQDSCSIPGN* >Brasy2G292100.1.p pacid=40067044 transcript=Brasy2G292100.1 locus=Brasy2G292100 ID=Brasy2G292100.1.v1.1 annot-version=v1.1 MGRLCSRRSVSFPVLLLLLCCLSWQSWLLHALPLCTDSRAPVALNGTLGFCSYSGSSCCDAAADAALQKQFKAMNVSDAPCAAVLKSVLCAKCNPFSADLFNSSSKIRMVPLLCNYTSSESRSAQSKDSTQDYCKLVWETCKNVTILNSPFQPSLQGSARLPNSSSKLTDVWQSESTFCTSFGGSSDDQSVCFDGNAILFNTTEPSPSPKGVCLEKIGDGSYLNMAPHPDGSNRVFLSNQAGKIWLANVPEQGSGSILQFDEANPFIDLTDEVHLDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSPSCAGRCSCNSDVECDPSKLGTDNGAPPCQYQVVVSEYSAKASSSNISVATSANPSEVRRIFTMGLPYRAHHGGQILFGPADGYLYLMMGDGGKKGDPFNFSQNKKSLLGKIMRLDIDNVQSQNQISNQNLWGNYSIPKDNPFVQDSDLQPETWALGFRNPWRCSFDSERSSYFYCADVGQDAYEEVDLISKGGNYGWRAYEGPYTYDPEWAPGGNTSLSSINAIFPVMGYNHSAVNKNVGSASITGGFVYRGSTDPCLYGRYIYADLYASAMWTGSETPQSSGNYTSTLTPFSCSKNTPMPCESAGGGSTPSLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCPTEKPATDNGAAPSGPSSLASTTRFTRVWKSMAVSLAFVVYILYF* >Brasy2G292100.3.p pacid=40067045 transcript=Brasy2G292100.3 locus=Brasy2G292100 ID=Brasy2G292100.3.v1.1 annot-version=v1.1 MVPLLCNYTSSESRSAQSKDSTQDYCKLVWETCKNVTILNSPFQPSLQGSARLPNSSSKLTDVWQSESTFCTSFGGSSDDQSVCFDGNAILFNTTEPSPSPKGVCLEKIGDGSYLNMAPHPDGSNRVFLSNQAGKIWLANVPEQGSGSILQFDEANPFIDLTDEVHLDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSPSCAGRCSCNSDVECDPSKLGTDNGAPPCQYQVVVSEYSAKASSSNISVATSANPSEVRRIFTMGLPYRAHHGGQILFGPADGYLYLMMGDGGKKGDPFNFSQNKKSLLGKIMRLDIDNVQSQNQISNQNLWGNYSIPKDNPFVQDSDLQPETWALGFRNPWRCSFDSERSSYFYCADVGQDAYEEVDLISKGGNYGWRAYEGPYTYDPEWAPGGNTSLSSINAIFPVMGYNHSAVNKNVGSASITGGFVYRGSTDPCLYGRYIYADLYASAMWTGSETPQSSGNYTSTLTPFSCSKNTPMPCESAGGGSTPSLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCPTEKPATDNGAAPSGPSSLASTTRFTRVWKSMAVSLAFVVYILYF* >Brasy2G292100.2.p pacid=40067046 transcript=Brasy2G292100.2 locus=Brasy2G292100 ID=Brasy2G292100.2.v1.1 annot-version=v1.1 MGRLCSRRSVSFPVLLLLLCCLSWQSWLLHALPLCTDSRAPVALNGTLGFCSYSGSSCCDAAADAALQKQFKAMNVSDAPCAAVLKSVLCAATSANPSEVRRIFTMGLPYRAHHGGQILFGPADGYLYLMMGDGGKKGDPFNFSQNKKSLLGKIMRLDIDNVQSQNQISNQNLWGNYSIPKDNPFVQDSDLQPETWALGFRNPWRCSFDSERSSYFYCADVGQDAYEEVDLISKGGNYGWRAYEGPYTYDPEWAPGGNTSLSSINAIFPVMGYNHSAVNKNVGSASITGGFVYRGSTDPCLYGRYIYADLYASAMWTGSETPQSSGNYTSTLTPFSCSKNTPMPCESAGGGSTPSLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCPTEKPATDNGAAPSGPSSLASTTRFTRVWKSMAVSLAFVVYILYF* >Brasy2G002400.1.p pacid=40067047 transcript=Brasy2G002400.1 locus=Brasy2G002400 ID=Brasy2G002400.1.v1.1 annot-version=v1.1 VGTKPWKVILPSVLGSVGVTAAIGAALAVYFKSKYRRWRKDLEQLAKSMENLPGMPRRIDFAKIKKATNNFHSTMQLGSGAFGAVYRCRLPAAPRAGCPVEVEAAVKKFTRSNDDSRQYADFLEEVSVINRLRHRNIVPLIGWSYHGGVPLLIFELMPNGSLDHHLFRQTTPVLQWEKRYDIVKDIAIGLHYVHHEYEPAVLHRDIKPSNVLLDSTFRARLGDFGIACTVPLDRNSVTGFGGTHGYIAPEYACSYKATRETDVYAFGVVVLEVITGKRALYRNGQYSDGILVDWVWQLHCGGKLLDAVDSSLLTAMGFDAGDDAKRLLLLGLACTNPNPSDRPNMAEAVLVITKLAPPSDVPLEKPKFVWPPQGWHPATLGQSSRPSTEMSNFYSSTISSSTMVNQV* >Brasy2G218600.1.p pacid=40067048 transcript=Brasy2G218600.1 locus=Brasy2G218600 ID=Brasy2G218600.1.v1.1 annot-version=v1.1 MASEPVARAVAEEVARWGGMKQTGVSLRYMMEFGARPTERNLLLSAQFLHKELPIRIARRALDLDSLPFGLSRKPAVLKVRDWYLDSFRDIRYFPEVRNRDDEHAFTQMIKMIRVRHTNVVPTMALGVQQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLISTRLSPMLVARQASEDARAICMREYGSTPDVNIYGDPDFTFPYVTPHLHLMMFELVKNSLRAVQERFMDSDKHAPPIRIIVADGAEDVTIKISDEGGGIPRSGLPRIFTYLYSTAEHPPDLDGHNEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP* >Brasy2G319600.1.p pacid=40067049 transcript=Brasy2G319600.1 locus=Brasy2G319600 ID=Brasy2G319600.1.v1.1 annot-version=v1.1 MYSLRLSASGSTALGRFGTKGSGAAGLVAPAAAAAAHEGRGRRSVSATPHAAAPVPGDQGVGMDPAKQQPPPRHDKPAGKQEKGDKNARDDTHKTLGDVMSHSFGEGYSTRSDEEGFGGVYGGNDPVFNPGTEAHPSHPDYDTSQGSEVKEKEKARHLKDDKHAT* >Brasy2G394900.1.p pacid=40067050 transcript=Brasy2G394900.1 locus=Brasy2G394900 ID=Brasy2G394900.1.v1.1 annot-version=v1.1 MAEAVILSVVTKIGIALGNEAVKEASLQLKTFITQLAELRDRMGRIKTELRLIHGFLCQVDIRNRQNHNYETWVQELRMAAHRIEDIVDEYLHLVGHKQDTRWGTYLKKWWKRKNLLLLLNRLASSVKVAEDNLVHLFQAKQRWVSVVGSENSVDSSYIVERSQHLASISRSLDEEDLVGVDTNRKQLEQWLAGNDMERSVIALHGMGGLGKTALAANVFRKEREKFECHAWVSISQTYSIYKRQRKHTTANMDTEGLQDELKRFLENKKYLIVLDDVWAPEVLVSYLFGALGQNQKGSKVVVTTCIDGVACLASDERRIRLERLTDEDSRKLFCKMVFSREKKHECPAELTKLAHEIVGKCKGIPLAIVTVGKLLFVRNKTIEEFNRISIQLEWELLSFIYLPTCLKSCFLHCSLFPEDYLFQRKKLVRLWVAEGFVEERGGSTLEEVAEGYLAELVSRNMLQLVERNSFGRMRKFRMHDILRELAVDLCKKDCFGVTYEEDKRGGSVEMDGHRLVVHKRNKDIQELFSSVHRLRTFITLDSTMPSFTLLPLLSNKSVLELSGLPIVKIPDAIGDLFNLRHLGLRDSKVKLLPKSVEKLLNLLTLDLCRSEIEKLPGGIMELKKLRHLFAEKVNDLSGRDFQCRSCVHIPSGLGSLTNLQTLQALEAHDESIGKLGELRQLRSLRIWNVKGFYCERLSESLVQMQFLSYLSVNASDENEVLLFSVLPPNLQKLCLRGRLTEEEGALDKSPLFQAVAEQKLCSLSLCWSQLREDPLPSLSRLSNLTELRFFNRAYNGEKLAFLSGWFPMLNMLRLMDMTNLKRLEIQQGAMATLEELTLVNLSSMTEVPAGIEFLMPLQRLGFFEITSDFLMSLRQCSHTLRRNV* >Brasy2G482000.1.p pacid=40067051 transcript=Brasy2G482000.1 locus=Brasy2G482000 ID=Brasy2G482000.1.v1.1 annot-version=v1.1 MFEGRMKDVIAHAEASDGKVILFIDEMHVLVGAGDYRGRHDAANMLKPALARGRIKCLGATTHDEYRKHVEADPALERRFQKVHVKEPSAKATVAILQGIKPRYQDHYGVEITHAAIDAAVHLADRYITGRQFPDKAIDLIDEACSASNIEERTEKTVSPDHIAQVVSRWTGIPISTLDQEEKVKLMHLADRLHERVVGQNEAVDFVADAVLRSRAGLARTGQPVGSFLFLGPTGVGKTELAKALAEQLFDSDKMLLRFDMTEYASDGSVTRLVGAPPSFYGYHDGGQLTEKVRRRPYSVILFDEVEKAHDSALNVFLQILDDGVLTDGQGRNVDFKNTVIVMTSNLGAKHLTSGMAGKNTMESARNLVMKEVRTFFKPELLNRFNEIVVFEPLSYDQLKEVVKIQMKSVVVRVASKGISLVLSDDVLDVVLSESYNPMYGARPIRRWMEKNMVTIISRMLIKGEANEGSTILVDAADDKKGLKYKVVKKEITVDA* >Brasy2G482000.2.p pacid=40067052 transcript=Brasy2G482000.2 locus=Brasy2G482000 ID=Brasy2G482000.2.v1.1 annot-version=v1.1 MNQIKGYDFFSIVVNSGRQFPDKAIDLIDEACSASNIEERTEKTVSPDHIAQVVSRWTGIPISTLDQEEKVKLMHLADRLHERVVGQNEAVDFVADAVLRSRAGLARTGQPVGSFLFLGPTGVGKTELAKALAEQLFDSDKMLLRFDMTEYASDGSVTRLVGAPPSFYGYHDGGQLTEKVRRRPYSVILFDEVEKAHDSALNVFLQILDDGVLTDGQGRNVDFKNTVIVMTSNLGAKHLTSGMAGKNTMESARNLVMKEVRTFFKPELLNRFNEIVVFEPLSYDQLKEVVKIQMKSVVVRVASKGISLVLSDDVLDVVLSESYNPMYGARPIRRWMEKNMVTIISRMLIKGEANEGSTILVDAADDKKGLKYKVVKKEITVDA* >Brasy2G194900.1.p pacid=40067053 transcript=Brasy2G194900.1 locus=Brasy2G194900 ID=Brasy2G194900.1.v1.1 annot-version=v1.1 MASEKDAALAAVPNDNPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHIVIIPKVRDGLTGLSKAEARHVEILGSLLYVAKVIAKQEGLEDGYRIVINDGPSGCQSVYHIHVHLLGGRQMNWPPG* >Brasy2G100500.1.p pacid=40067054 transcript=Brasy2G100500.1 locus=Brasy2G100500 ID=Brasy2G100500.1.v1.1 annot-version=v1.1 MAFRRILSAAVRRRSAAAAAAAGNAREASTAVAAGPGVIGPDAPAVRAPLMPYDRISEAVNARLRRLEHPDPRFLRYASPVPAHVDHTAILAAPETRVTTLPNGLRVATESSLAARTATVGVWIDAGSRYEKDSQAGVAHFVEHMLFKGTGMRTAGQLEQEIEDIGGHLNAYTSREQTTYYAKVLDKDVPRALEVLADILQNSNLAEERIERERDVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVRSITQEDLKAYIKTHYTAPRMVITAAGAVKHEDIVEQATKLFDKLSTDPTTTSMLVDKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWADPDSIALMVMQTMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDPSTIRRVANRFIFDQDVAIAAMGPIQSLPDYNWFRRRTYMLRY* >Brasy2G476100.1.p pacid=40067055 transcript=Brasy2G476100.1 locus=Brasy2G476100 ID=Brasy2G476100.1.v1.1 annot-version=v1.1 MHRGHLFLLGEQENESGVEGAEVSTRQPEQVVEGSKHIELDRGPAGSVESAGEPVRPRRLLRRGGDDGIPNFPKRKRVVEASRRDRGQIKLLPCQRARARCRGAEDAGEVLQERMGLFVVRNVDAGVGGDLVQEVPASSRVDLDVEEPGVSVTLLEPDLPRGLTPTRTLDGGQPQHPSLERTTQVKFHGQEAVKILHGVETKHNLKSEMELKAGIAEEALAPGAKSTSSAAKLDVNPPKRVVRAHLLRPSRDHRLAETVMLWPEILESKPCLARRQRETRKEQRTVIRVRRGESMHGAGRKEAVPGHVAEEAIELH* >Brasy2G302800.1.p pacid=40067056 transcript=Brasy2G302800.1 locus=Brasy2G302800 ID=Brasy2G302800.1.v1.1 annot-version=v1.1 MFSWSRKQSSPSSSSGGRRGDASMESSSRRSGGSGSGSGSRGRSPRLERRNAAKHIDYEAGAGAASSVSASWSSSSSSAERSPGIRPSRSLDLAAKGGTNFRISGSAEGEVDELCRSLGLSGPEEFAIPVAAWEARKARSSSDLTRSRPDSSTPVEDPTPVVRSISAPEPQCPAPLSFPDPIPEEPLHSSSTSTATDSVEEPTVAAPEESPKATPTVAVVVPVAALSPLSPRRGGGEGGIRGVRPPVLSPPPPITGLALPPVRRSSVADVMSGSAWDIVQSFAPREEQIEPGMSYEHVDTSRMSDTEEDEDAEETEEGVTGAERELKGWRVGETFEGFTGTSSLSTTNDDDASSTNTEAVFVISPNGKFKRNIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAHQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPRKTYGPAADMWSLGCTVLEMLTRQIPYPDVEWTNAFFMIGRGERPPIPGSLSKEAQDFISQCVRVDPEERPSASQLLAHPFVNRPLRASFDSLSPPTNRS* >Brasy2G029400.1.p pacid=40067057 transcript=Brasy2G029400.1 locus=Brasy2G029400 ID=Brasy2G029400.1.v1.1 annot-version=v1.1 MASNSASSDKPISESSSRCLTECLTTAHNFEIIRFSMLEGMGAGKFLSSSKFSVGGHDWNIRIYPDGWKEEDKAAYMSVFLCFYSRTARDAKVKFTLSLLAKDGKVRNVHSTTHTFQETGQQKEDNYWGWREFIEKPKLQELRSRNDDCFTIRCVLTVIKEPRTEDVSTVLVPVPQSNLHTHFANMLKGGEGVDVTFSVGDKLFSAHRYVLAARSPVFKAELFGQMKETTMKCLKIDGMEPSVFEALLHFIYTDSLPSNNSDVDQNAGLQHLLVAADRYGLDRLRAMCEAKLCQSIDVQTVATTLALAEQHNSVQLKNACLGYLCSQDVLRVVKETDGFKHLIASCPWIMMDILEKVGLPSRV* >Brasy2G408200.1.p pacid=40067058 transcript=Brasy2G408200.1 locus=Brasy2G408200 ID=Brasy2G408200.1.v1.1 annot-version=v1.1 MGRSFRDSLKLLEADIQHANSLASEFRREYDGACLQIRMSYCPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIYPSLGQLQEGINEVEDKKQKAICIERYRRPDEDHKRVILEIDDNIEEECGICMEINGKIVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRINSADLWIYTDNNDIVDTATVRRENLRRLFMYIDKLPTVIPESVFEVYDSHVK* >Brasy2G041600.1.p pacid=40067059 transcript=Brasy2G041600.1 locus=Brasy2G041600 ID=Brasy2G041600.1.v1.1 annot-version=v1.1 MCWRNKISRRCRSSLPWGGSSGARCMSQFVIQAILLTGRAEIIWPSGQRQFATPYSASARRPTGHRPAQLSWIRDPYLS* >Brasy2G198800.1.p pacid=40067060 transcript=Brasy2G198800.1 locus=Brasy2G198800 ID=Brasy2G198800.1.v1.1 annot-version=v1.1 MARRAEEDGRPWKLADHPRLGKGKVVGVVVLDGWGEAPPDPFNCIHVADTPTLDALKKGAPERWRVIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIQKSFETGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVTFLETLENDLAKLQEMGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHRFQNALEAVKKLREGPKANDQYLPPFVIVDENGKPVGPILDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLANNGIRTYACSETVKYGHVTFFWNGNRSGYFNPNLEKYEEIPSDTGISFNIQPKMKAMEVAEKARDAILSNKFDQVRVNIPNGDMVGHTGDLEATIIGCKAADEAVKIILDAVEQVGGIFVVTADHGNAEDMVKRDKSGKPIRDKNGNVQPLTSHTLNPVPIAIGGPGLASGARFREDLQDAGLANVAATVMNLHGFEAPDHYEPTLIQVSAAPCLNTSSVGRTYQ* >Brasy2G421600.1.p pacid=40067061 transcript=Brasy2G421600.1 locus=Brasy2G421600 ID=Brasy2G421600.1.v1.1 annot-version=v1.1 MCSPPPRTKKTMVDCTGGLSREERALSRRSKRESSLSSGSDGSRRICARLQKTIDKTTKAAKYCLAAKAADDAWAADLFRLEHPYPVGSSTPFQEEEFGDPGSPDKALQLIGVTKDITPDLSAIVVSLALFDGDKMLFAISGVAVPSGTAEPRLTRFVTSTRLVLEYNMNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTRNSVRCVDLVPPTHLPSDGKIIAAARAFESGRLMVTSGLLTGDHRARWTQITEAALGGPLVDHEGKFLGVNLNRDTARSSLFLPLKALCDRLVHLIPKTMDFRGYSLPEGVSSIIPSGFWRIVQKRKSLGYPMPPPLVLEFNGKLLNSFEEEFGQLLAWKEYPFEVRDTMEYVWPLLPRNVVTKISRSVVQVVSSKESVRSFACTGLLIKWPGIEGMQPVILTSASLVRSHDDHFKIDKDLTIEVFLPPNQKAKGTLVFYHLNTNIAVISLESSFHGIRPFNICCKDDLSKPVVAIGRQTTEGFLMATKGEVIHDWSLLPLRGTCVSTCRIKKAGIGGPLINFDGAFVGMNHYDGSESTLFLTRRKIVEILKKEINWRILKKEINWRKGRLSMNTLHGVGCGIYQTRWPVPKPYYRHGLPDIDRGDLLYKRIGRQLQ* >Brasy2G421600.2.p pacid=40067062 transcript=Brasy2G421600.2 locus=Brasy2G421600 ID=Brasy2G421600.2.v1.1 annot-version=v1.1 MTKKTMVDCTGGLSREERALSRRSKRESSLSSGSDGSRRICARLQKTIDKTTKAAKYCLAAKAADDAWAADLFRLEHPYPVGSSTPFQEEEFGDPGSPDKALQLIGVTKDITPDLSAIVVSLALFDGDKMLFAISGVAVPSGTAEPRLTRFVTSTRLVLEYNMNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTRNSVRCVDLVPPTHLPSDGKIIAAARAFESGRLMVTSGLLTGDHRARWTQITEAALGGPLVDHEGKFLGVNLNRDTARSSLFLPLKALCDRLVHLIPKTMDFRGYSLPEGVSSIIPSGFWRIVQKRKSLGYPMPPPLVLEFNGKLLNSFEEEFGQLLAWKEYPFEVRDTMEYVWPLLPRNVVTKISRSVVQVVSSKESVRSFACTGLLIKWPGIEGMQPVILTSASLVRSHDDHFKIDKDLTIEVFLPPNQKAKGTLVFYHLNTNIAVISLESSFHGIRPFNICCKDDLSKPVVAIGRQTTEGFLMATKGEVIHDWSLLPLRGTCVSTCRIKKAGIGGPLINFDGAFVGMNHYDGSESTLFLTRRKIVEILKKEINWRILKKEINWRKGRLSMNTLHGVGCGIYQTRWPVPKPYYRHGLPDIDRGDLLYKRIGRQLQ* >Brasy2G421600.3.p pacid=40067063 transcript=Brasy2G421600.3 locus=Brasy2G421600 ID=Brasy2G421600.3.v1.1 annot-version=v1.1 MVDCTGGLSREERALSRRSKRESSLSSGSDGSRRICARLQKTIDKTTKAAKYCLAAKAADDAWAADLFRLEHPYPVGSSTPFQEEEFGDPGSPDKALQLIGVTKDITPDLSAIVVSLALFDGDKMLFAISGVAVPSGTAEPRLTRFVTSTRLVLEYNMNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTRNSVRCVDLVPPTHLPSDGKIIAAARAFESGRLMVTSGLLTGDHRARWTQITEAALGGPLVDHEGKFLGVNLNRDTARSSLFLPLKALCDRLVHLIPKTMDFRGYSLPEGVSSIIPSGFWRIVQKRKSLGYPMPPPLVLEFNGKLLNSFEEEFGQLLAWKEYPFEVRDTMEYVWPLLPRNVVTKISRSVVQVVSSKESVRSFACTGLLIKWPGIEGMQPVILTSASLVRSHDDHFKIDKDLTIEVFLPPNQKAKGTLVFYHLNTNIAVISLESSFHGIRPFNICCKDDLSKPVVAIGRQTTEGFLMATKGEVIHDWSLLPLRGTCVSTCRIKKAGIGGPLINFDGAFVGMNHYDGSESTLFLTRRKIVEILKKEINWRILKKEINWRKGRLSMNTLHGVGCGIYQTRWPVPKPYYRHGLPDIDRGDLLYKRIGRQLQ* >Brasy2G421600.4.p pacid=40067064 transcript=Brasy2G421600.4 locus=Brasy2G421600 ID=Brasy2G421600.4.v1.1 annot-version=v1.1 MVDCTGGLSREERALSRRSKRESSLSSGSDGSRRICARLQKTIDKTTKAAKYCLAAKAADDAWAADLFRLEHPYPVGSSTPFQEEEFGDPGSPDKALQLIGVTKDITPDLSAIVVSLALFDGDKMLFAISGVAVPSGTAEPRLTRFVTSTRLVLEYNMNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTRNSVRCVDLVPPTHLPSDGKIIAAARAFESGRLMVTSGLLTGDHRARWTQITEAALGGPLVDHEGKFLGVNLNRDTARSSLFLPLKALCDRLVHLIPKTMDFRGYSLPEGVSSIIPSGFWRIVQKRKSLGYPMPPPLVLEFNGKLLNSFEEEFGQLLAWKEYPFEVRDTMEYVWPLLPRNVVTKISRSVVQVVSSKESVRSFACTGLLIKWPGIEGMQPVILTSASLVRSHDDHFKIDKDLTIEVFLPPNQKAKGTLVFYHLNTNIAVISLESSFHGIRPFNICCKDDLSKPVVAIGRQTTEGFLMATKGEVIHDWSLLPLRGTCVSTCRIKKAGIGGPLINFDGAFVGMNHYDGSESTLFLTRRKIVEILKKEINWRILKKEINWRKGRLSMNTLHGVGCGIYQTRWPVPKPYYRHGLPDIDRGDLLYKRIGRQLQ* >Brasy2G086900.1.p pacid=40067065 transcript=Brasy2G086900.1 locus=Brasy2G086900 ID=Brasy2G086900.1.v1.1 annot-version=v1.1 MEAETSSATAERGVPASGPALLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPSCVHHDRSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAPPAEDGSSAAAAAPPPLPAAPVPLQQLPPAPAQPAPQPRHEEQERGEISAAPEPVQFTPPMPSPPSVTGANVSASTSSVSATSQSLLGSIFAPSSVAQAPPQYQERAHAAAVAKPPSLCLTTDTSSSLFSAPLADRPPPPSPSPSPSPHMSATALLQKAAQMGACTSSSSSYLRGLGLDISSSSPASTSSGQQHQHKHQEATMQVSFPEGSLPQWPPRLDPEPAPMMSAGLGLGLPYDLTGGPVGNPELMMGQSSLFSARPATLDFLGLGMSPTGPSASRGLSVFMQPMGGAIGMAGSGSGAAETFGASRGAQAKPWERNPSSSPIL* >Brasy2G364600.1.p pacid=40067066 transcript=Brasy2G364600.1 locus=Brasy2G364600 ID=Brasy2G364600.1.v1.1 annot-version=v1.1 MEAVARASVVARSSLTRLSPCPRHTVPSSACRRSTRRAVACAAAAADGNEADVVRLFDAAKLTVDEFVKSGMVVGLGSGAASGLAVQYLGTRLRRGSLTGIVGIPSSMICTNEAEKAGIKVSKHEEGAQIDFAFTDADVIEEGSLAAVIGRRKTESGEPSFMHEKGIVKSAEKLAFIIDHDKYVKAVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSVGTAGPSGGDFPLVTKEGHHVLDVIFTTPIQDLGKVAEGLEKIVGVVDHGIICNTPSYAVIASKGEVQVLDQKSSVIPNT* >Brasy2G364600.3.p pacid=40067067 transcript=Brasy2G364600.3 locus=Brasy2G364600 ID=Brasy2G364600.3.v1.1 annot-version=v1.1 MVVGLGSGAASGLAVQYLGTRLRRGSLTGIVGIPSSMICTNEAEKAGIKVSKHEEGAQIDFAFTDADVIEEGSLAAVIGRRKTESGEPSFMHEKGIVKSAEKLAFIIDHDKYVKAVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSVGTAGPSGGDFPLVTKEGHHVLDVIFTTPIQDLGKVAEGLEKIVGVVDHGIICNTPSYAVIASKGEVQVLDQKSSVIPNT* >Brasy2G364600.4.p pacid=40067068 transcript=Brasy2G364600.4 locus=Brasy2G364600 ID=Brasy2G364600.4.v1.1 annot-version=v1.1 MICTNEAEKAGIKVSKHEEGAQIDFAFTDADVIEEGSLAAVIGRRKTESGEPSFMHEKGIVKSAEKLAFIIDHDKYVKAVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSVGTAGPSGGDFPLVTKEGHHVLDVIFTTPIQDLGKVAEGLEKIVGVVDHGIICNTPSYAVIASKGEVQVLDQKSSVIPNT* >Brasy2G364600.2.p pacid=40067069 transcript=Brasy2G364600.2 locus=Brasy2G364600 ID=Brasy2G364600.2.v1.1 annot-version=v1.1 MICTNEAEKAGIKVSKHEEGAQIDFAFTDADVIEEGSLAAVIGRRKTESGEPSFMHEKGIVKSAEKLAFIIDHDKYVKAVEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSVGTAGPSGGDFPLVTKEGHHVLDVIFTTPIQDLGKVAEGLEKIVGVVDHGIICNTPSYAVIASKGEVQVLDQKSSVIPNT* >Brasy2G018400.1.p pacid=40067070 transcript=Brasy2G018400.1 locus=Brasy2G018400 ID=Brasy2G018400.1.v1.1 annot-version=v1.1 MAATKKTFPAATMLVLVIASTFIASTSACSSCHHTTPSPPPPAPAADCPPPPYSPSPSGYCPTDTLKLGVCANVLGLVKVELGHPPSGECCSLLGGLADLEAAVCLCTALKANVLGIVLNIPVKLSLLLNYCGKTAPQGFLCA* >Brasy2G075000.1.p pacid=40067071 transcript=Brasy2G075000.1 locus=Brasy2G075000 ID=Brasy2G075000.1.v1.1 annot-version=v1.1 MAISSSSHPDCLLLSQPDCLLLAVNAYLGQHRSVTTASNTTSNHDKIEVSLCPARPPLPSKLYVHCPDLTLTVPPRVIRMVEDLFLLCVAVGSPRGGASSVDDSDYFVYRAGNERQPSLQRLLRPHPVFHDDDVGLLSRGAHYTVAVLQPATVSQLYDLHIFHTENPTEWIHRKVSVTEPQRSFPLLIPKNCGRLLYHETSTVISIGGEAGTMGWVDLWHGILLCDVLRDEPTLRGVPLPAPLDLVSCDNGLGTELGSPIPFRGIAFVKGGGDNPEDCLKLVHLETKTTLVPGNIETGSFSYQMHDWTILTYTNTAMTSSWKDWRRDCRIQASDITIDAQIKSELLQSGLLGSASGQALHNLLVSHPAPHISAAADHQGIVYLMARKKYQHPEGWILALDTRNKTLLGAAEFDVECLLCASHMYRPTGIAKYIKPSTGDKRRMLGILKA* >Brasy2G306600.1.p pacid=40067072 transcript=Brasy2G306600.1 locus=Brasy2G306600 ID=Brasy2G306600.1.v1.1 annot-version=v1.1 MAEYDEPSDSEGNAAAAGRSLPSDARSQHDEQPHKERSSKSREGDREREGKDRERDRDRGRDRERYRDRERDYQRGDRNGDRDRHHREHRERSERREHRGRSDDHDYRRSRDRDSERRERDRDGHRRHRSRSRSKGQSSKRASGFDQGPSQTISIAAPSVTPGLLPAVPAAIPAMLPNMFNLPIAGQPQAMTQQATRHARRVYVGGLPPSANEQTVARYFNHVMAAIGGNSAGLGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRSTDYNPSQAAVLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLETFGPLRGFDIVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKLGDRTLTVRRANQGAAEPRPEHENILLQAQHQAQMKKLVYEVGGALPTKVVCLTQVVSEDDLRNDEEYKDILEDMTYEGRKYGNLVQAAIPRPHPSGVPVAGVGKVFLEYADVDGSTNAKAGMHGRRFDGKVVDAVFYPEKKFADGEYDG* >Brasy2G306600.2.p pacid=40067073 transcript=Brasy2G306600.2 locus=Brasy2G306600 ID=Brasy2G306600.2.v1.1 annot-version=v1.1 MAEYDEPSDSEGNAAAAGRSLPSDARSQHDEQPHKERSSKSREGDREREGKDRERDRDRGRDRERYRDRERDYQRGDRNGDRDRHHREHRERSERREHRGRSDDHDYRRSRDRDSERRERDRDGHRRHRSRSRSKGQSKRASGFDQGPSQTISIAAPSVTPGLLPAVPAAIPAMLPNMFNLPIAGQPQAMTQQATRHARRVYVGGLPPSANEQTVARYFNHVMAAIGGNSAGLGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRSTDYNPSQAAVLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLETFGPLRGFDIVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKLGDRTLTVRRANQGAAEPRPEHENILLQAQHQAQMKKLVYEVGGALPTKVVCLTQVVSEDDLRNDEEYKDILEDMTYEGRKYGNLVQAAIPRPHPSGVPVAGVGKVFLEYADVDGSTNAKAGMHGRRFDGKVVDAVFYPEKKFADGEYDG* >Brasy2G306600.3.p pacid=40067074 transcript=Brasy2G306600.3 locus=Brasy2G306600 ID=Brasy2G306600.3.v1.1 annot-version=v1.1 MAEYDEPSDSEGNAAAAGRSLPSDARSQHDEQPHKERSSKSREGDREREGKDRERDRDRGRDRERYRDRERDYQRGDRNGDRDRHHREHRERSERREHRGRSDDHDYRRSRDRDSERRERDRDGHRRHRSRSRSKGQSSKRASGFDQGPSQTISIAAPSVTPGLLPAVPAAIPAMLPNMFNLPIAGQPQAMTQQATRHARRVYVGGLPPSANEQTVARYFNHVMAAIGGNSAGLGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRSTDYNPSQAAVLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLETFGPLRGFDIVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKLGDRTLTVRRANQGAAEPRPEHENILLQAQHQAQMKKLVYEVGGALPTKVVCLTQVVSEDDLRNDEEYKDILEDMTYEGRKYVPHNTIAESFIIRPHAKLSIGPNLQKTLIFFTWI* >Brasy2G306600.4.p pacid=40067075 transcript=Brasy2G306600.4 locus=Brasy2G306600 ID=Brasy2G306600.4.v1.1 annot-version=v1.1 MAEYDEPSDSEGNAAAAGRSLPSDARSQHDEQPHKERSSKSREGDREREGKDRERDRDRGRDRERYRDRERDYQRGDRNGDRDRHHREHRERSERREHRGRSDDHDYRRSRDRDSERRERDRDGHRRHRSRSRSKGQSKRASGFDQGPSQTISIAAPSVTPGLLPAVPAAIPAMLPNMFNLPIAGQPQAMTQQATRHARRVYVGGLPPSANEQTVARYFNHVMAAIGGNSAGLGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRSTDYNPSQAAVLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLETFGPLRGFDIVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKLGDRTLTVRRANQGAAEPRPEHENILLQAQHQAQMKKLVYEVGGALPTKVVCLTQVVSEDDLRNDEEYKDILEDMTYEGRKYVPHNTIAESFIIRPHAKLSIGPNLQKTLIFFTWI* >Brasy2G306600.5.p pacid=40067076 transcript=Brasy2G306600.5 locus=Brasy2G306600 ID=Brasy2G306600.5.v1.1 annot-version=v1.1 MAEYDEPSDSEGNAAAAGRSLPSDARSQHDEQPHKERSSKSREGDREREGKDRERDRDRGRDRERYRDRERDYQRGDRNGDRDRHHREHRERSERREHRGRSDDHDYRRSRDRDSERRERDRDGHRRHRSRSRSKGQSSKRASGFDQGPSQTISIAAPSVTPGLLPAVPAAIPAMLPNMFNLPIAGQPQAMTQQATRHARRVYVGGLPPSANEQTVARYFNHVMAAIGGNSAGLGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRSTDYNPSQAAVLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLETFGPLRGFDIVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKLGDRTLTVRRANQGAAEPRPEHENILLQAQHQAQMKKLVYEVGGALPTKVVCLTQVVSEDDLRNDEEYKDILEDMT* >Brasy2G464100.1.p pacid=40067077 transcript=Brasy2G464100.1 locus=Brasy2G464100 ID=Brasy2G464100.1.v1.1 annot-version=v1.1 MACCGCGGRRHHRCCHGSRRTTCKHWGYGLLIALITAILLTAAILLILRFAVVPTVHAKVVDARLNAFAFVPPTNNSFVFNVSVALAVRNPGGAGIKHTKPLAATFVFSDRRLGNDTAAEEGDTHRLLKTKVHLFQAAGSVSAETLGDAAAEDFRKQKNATGVFKLELRVSGEIAYVGLDGIGNKRKVGFSCPLSLPIAPPGPEVVVFHEIGCETQGPDKIFF* >Brasy2G142700.1.p pacid=40067078 transcript=Brasy2G142700.1 locus=Brasy2G142700 ID=Brasy2G142700.1.v1.1 annot-version=v1.1 MDPYKYLNIRYNPDGSLTRHNAARLLPPAPSGEPVAIPIGEENRRIVHSNDAPLNPANGTTVRLFVPAAATTGVDNGNNGRLPLILYFHGGGYVLFRAASEPFHNTAAVLAATIPSAVASVDYRLAPEHRLPAAFDDAADAVRWVRSYAAAGRPVFIMGCHNGASIAFRAALAAVDQGVELRGLILNQAHHSGVERTPAEEASVDDRVLPLPANDLLWELALPVGADRDHEYCNPGAMLAGVGASQLRRLPPCLVLGRKKDPPRDRQRVLVDALRDAGVDVEARMDGAGYHAMELFKADRAAEFVAQVTDFVRRHAVHDDVQQGARSRM* >Brasy2G153200.1.p pacid=40067079 transcript=Brasy2G153200.1 locus=Brasy2G153200 ID=Brasy2G153200.1.v1.1 annot-version=v1.1 MSRPSRSDAHLSPVDEATRVAEVREYYDDAAPKRHTKPSRSEHSAVYADALDDASHPELDKLQQLEAHTEKLVCEGGKAGEEFVETEYYRDLGCVGKQHHTTGTGFIKMDKPTGASFELSDDPDANERHASCKGNPATNEWIPSADTVYLASDKPSRSDS* >Brasy2G302500.1.p pacid=40067080 transcript=Brasy2G302500.1 locus=Brasy2G302500 ID=Brasy2G302500.1.v1.1 annot-version=v1.1 MAAAAPSASRGLPRMLLLLVVAVVVLLGDGTGGRAQAAVDTGGLSRAAFPKGFVFGTAASAFQVEGMAASGGRGPSIWDPFVHTPGTQRTAS* >Brasy2G408100.1.p pacid=40067081 transcript=Brasy2G408100.1 locus=Brasy2G408100 ID=Brasy2G408100.1.v1.1 annot-version=v1.1 MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGASLDVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKRAKKVEVTKSHKTAGKGNAPKPGKAPKLGGGGGKR* >Brasy2G344800.1.p pacid=40067082 transcript=Brasy2G344800.1 locus=Brasy2G344800 ID=Brasy2G344800.1.v1.1 annot-version=v1.1 MALGGGAGSSRSAACSCAVLLTAVLLFSAPATTEAYDSLDPNGNITIKWDIREWTADGYVAVVTMFNYQQFRHISAPGWQLGWTWAKKEVIWSMVGAQATEQGDCSKFKSSPPHSCKRDPTIVDLLPGTPYNQQIANCCKAGVIDTFNQDPSNAASSFQVSVGLAGTTNKTVKVPKNFTLKAPGPGYTCGRAIVGKPTKYFTSDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNNTRPGSCVNENSPYLQSAIDGPGKYTGQPLVQCTSHMCPIRIHWHVKLNYKDYWRVKVTITNFNYRMNYTQWNLVVQHPNFDNITKLFSFNYKPLTPYGGGINDTAMFWGMKFYNDLLMQAGPLGNAQSEILLKKDSATFTFDKGWAFPRRVYFNGDNCVMPSPDAYPWLPNASPLTKQPLTLPLLVFSILLATLLAYV* >Brasy2G043200.1.p pacid=40067083 transcript=Brasy2G043200.1 locus=Brasy2G043200 ID=Brasy2G043200.1.v1.1 annot-version=v1.1 MHHLSGHGNVVSIKDVYEDGQAVHIVMELLAGGELFDRIKGKGHYSELKAAEIIKIVVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSIFFKPGQVFSELVGSPYYLAPEVLNKRYGPESDVWSAGVILYVLLSGVPPFWSETPQGIFDAVLKGHIDFESEPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVTTGQALDSSVISRLNQFSAMNDLKKLALRVIAERLSEEEIAGLREMFKAVDINNRGVITFGELRKGLTRYSNESEDAEISDIMEMADRDDNVTINYEEFIAATMPRNKIECQEHLMAAFTYFDKDGSGYITIDKLQQAFGDHNMEVTFLEEIILEVDQNNIWQAYSIILDFLCFEDCIFDIDKKIEREPEPDREHKQNLTHSCTTHLCALGES* >Brasy2G043200.2.p pacid=40067084 transcript=Brasy2G043200.2 locus=Brasy2G043200 ID=Brasy2G043200.2.v1.1 annot-version=v1.1 MHHLSGHGNVVSIKDVYEDGQAVHIVMELLAGGELFDRIKGKGHYSELKAAEIIKIVVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSIFFKPGQVFSELVGSPYYLAPEVLNKRYGPESDVWSAGVILYVLLSGVPPFWSETPQGIFDAVLKGHIDFESEPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVTTGQALDSSVISRLNQFSAMNDLKKLALRVIAERLSEEEIAGLREMFKAVDINNRGVITFGELRKGLTRYSNESEDAEISDIMEMADRDDNVTINYEEFIAATMPRNKIECQEHLMAAFTYFDKDGSGYITIDKLQQAFGDHNMEVTFLEEIILEVDQNNDGKIDYAEFVAMMHGNNSTGDGCQKLETGPDVTLRDAPQLDGLKVH* >Brasy2G043200.3.p pacid=40067085 transcript=Brasy2G043200.3 locus=Brasy2G043200 ID=Brasy2G043200.3.v1.1 annot-version=v1.1 MHHLSGHGNVVSIKDVYEDGQAVHIVMELLAGGELFDRIKGKGHYSELKAAEIIKIVVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSIFFKPGQVFSELVGSPYYLAPEVLNKRYGPESDVWSAGVILYVLLSGVPPFWSETPQGIFDAVLKGHIDFESEPWPKISDSAKDLIRKMLCHCPSERLKAHEVLRHPWICENGVTTGQALDSSVISRLNQFSAMNDLKKLALRVIAERLSEEEIAGLREMFKAVDINNRGVITFGELRKGLTRYSNESEDAEISDIMEMADRDDNVTINYEEFIAATMPRNKIECQEHLMAAFTYFDKDGSGYITIDKLQQAFGDHNMEVTFLEEIILEVDQNNDGKIDYAEFVAMMHGNNSTGDGCQKLETGPDVTLRDAPQLDGLKVH* >Brasy2G097000.1.p pacid=40067086 transcript=Brasy2G097000.1 locus=Brasy2G097000 ID=Brasy2G097000.1.v1.1 annot-version=v1.1 MQGNGKARELVATDPATTRNSLLLLGLFLAAGAFFAVATPTVALDGAWQPIRNITDPYVQELGAWAVAAHGKVANDGLKFSKVVSGEVQQIVAGRNYRLDVDALRLDVALYKTVVFEQKWPTSETRKLVSFDPAN* >Brasy2G036500.1.p pacid=40067087 transcript=Brasy2G036500.1 locus=Brasy2G036500 ID=Brasy2G036500.1.v1.1 annot-version=v1.1 MAGGGGGGGVGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLMPAMDSGVDDGFVAEIDRILESINAESAPAPPPPPPPQQQQQVLVAPSPQPPVEQAQEAPVAVSDNAVAVVGSGQRSQGAETRKEMKRESHSSSTNGSSANGGDRRDQKRPRLAPGVASGPRPDWRRRLMPPPPSRGLEDRRGRRDFDRPRKRDRDGHSGSEHHRREARGFWERDRGGKMVFRPGTWEKESDREAKRARTQDGGSVEKKAEADRAGAAQRAKPVAEEQARHYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSICDKMLKENKKMLAVFLVPKVPLVYQQAEVIRERTGYRIGHYCGEMGQDFWDARKWQREFDSKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPFEVVIHYDKAATLCSLHERIKQMEAAVEEAALSSSKRTRWQFMGARDAGSREELRLVYGVSERTESDGAANLIQKLRAINYGLGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETNDVEMHSTENHNSSELEDGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVFAELPSLSFIRCASLIGHNNNQEMRTSQMQDTIAKFRDGRITLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERANLSHETFLRNARNSEETLRKEAIERTDLSHLDGIPMLNPIHTSPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKHEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMSAFTDMLLPDRGIGEGEKTEQNDEGDPVPGTARHREFYPEGVAEVLRGEWILSGRDCCQSSQFIKLYMYYVNCVDVGTSKDPFLAQLSNFSIVFGNELDAEVLSMTMDLFVAKTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDAFREIDWTLVNSIVNTDAWNNPLQKARPDVYLGTNERTLGGDRREYGFGKLRNGTTFGQKAHPTYGIRGAIAEFDVVKASGLVPARDRGFLNGSQKHGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDSICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELVYTKQPLIRARGVSYCKNLLSPRFEHSEAKEGVNFSENHDKTYYVYLPPELCVVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKEIIDYPVPAMKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQFALNKTLQSYIQADRFAPSRWAAPGVLPVFDEETRESEPSIFGEEPVLGNEVQKDFDDDYTDSIQEDGEIDGDSGCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPQEIPPPKIYNIPDSIMRSINFDTLESIMGIKFQNKGFLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVREEISKPGFNSFGLGDCKAPKVLGDIIESIAGAIFLDSGYDTSAVWKVFQPLLDPMVTPETLPMHPIRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAAKETEKDDDKKNGAHVFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNASFPDKPDGKKP* >Brasy2G191500.1.p pacid=40067088 transcript=Brasy2G191500.1 locus=Brasy2G191500 ID=Brasy2G191500.1.v1.1 annot-version=v1.1 MGRPSIGEDKEVDFDGELFKSPPPDLDRKHTPPPLQLSSAASAPPLCPPLTRLEPSAAAPQAIRRPADPLAAAADVSPTIAAMAVPCPRPTGMCDGVGGYVQTRRVCNGCSGDSRRGACIGGSGDSQFVGSSSVRSCGHLRGLQPPQFGGLSPSAS* >Brasy2G458400.1.p pacid=40067089 transcript=Brasy2G458400.1 locus=Brasy2G458400 ID=Brasy2G458400.1.v1.1 annot-version=v1.1 MILRLRGGIARRSSDLLAAISRDEPPTRRSDHGSRPAVVLPSTEGPTELRHDMYPEKCSIRATQLAHKV* >Brasy2G071400.1.p pacid=40067090 transcript=Brasy2G071400.1 locus=Brasy2G071400 ID=Brasy2G071400.1.v1.1 annot-version=v1.1 MMRWPDSVGRGGGGWRDLGICSRIRIGGEERRSAAKLQQRQCSGREVPGGGGVRRPGARTSDGEPPQKLRATNRDGEQAAAGLQGGPRDRGGPRRSGGRGRRRCALRRRRPPPSSSATAGVRERPRERGKRRRVGPRGWGGAFGDAGGGGGALRPPESATSLAGRKRRGLKFQDLVVGFPPPIGVWVL* >Brasy2G245400.1.p pacid=40067091 transcript=Brasy2G245400.1 locus=Brasy2G245400 ID=Brasy2G245400.1.v1.1 annot-version=v1.1 MVASFGRSKFRLTEASVGNLLNVCLGGNPDYFRVTLLRDRTFRFSVTNKLIGFHIAKLGSFSCSNFVVFFHLWGFGGPDYKKEFAAWEEEELLKWQSPKKPGSPQRTTKTYAQAVTQVPQVLTGANSIPITRQSAFLRLEKRPASPPMSPWSRLNEIDLADARYTMEEIQQCKNDYNAKRKSKLQAPIPVHTVFQRLEFPGAPAPAPEKMQFKPPNGQLVNDPAINDGEPSTVLKLGIDGANEEAPSPSSAPPPKPHSTVAAARSTVDGEASRMANFPVDPAPLIPGRFDIIDVPGRQQQCRYHVIGNIPAKNEDVAIVTMVPPPNPDAPFHETRDDIIEFLDGYLGIRSDYMQRTSLGHAIIRLASTSSRDWLVLNSPHLHNDTWYTFTEHNKGINWRAFTYNQEVWIMILNLPLDLWETAHVNAAIAKWGKLISWDKTVSNLTRAIVKVRVEALADIPYSIMVSHGNDFHAESWSCPLYILSQKLMGMEPPEEDAPPADDHGQHAPVIPDLNEQIGWQPWPAPPEENLMHLFDEVQNQVAQNQGNQNDQDDDSAITLTLSSNAPEIPSAGSANHAPPVPYHAPLVDHNEGFDINIPNANLGGNFEGHAEPNNMIIGRVIIPPFVHNPLDFPDLMEDDIHQPLMERLHITGEGTDIWQQYFKPTRKHSHTVTIPGPWIDYFTAMLSSPENFNWAKKVLLSNMWKIFAASNDTARIFALPEKCPTKTAPSCKLSARVEEICQGYSTPQAPKAMDPPPEQHKTTSALRVKRTRRPPLVETEVRRSPRLKDKNDGFKHNTCINKHCLACAAKAPKIKTKVVKSLSERFGLVADSKTLSAPEDKKKNKKSVPDDTPTKKQKKK* >Brasy2G062900.1.p pacid=40067092 transcript=Brasy2G062900.1 locus=Brasy2G062900 ID=Brasy2G062900.1.v1.1 annot-version=v1.1 MASTAATTGSPCGACKFLRRKCAAECVFAPYFCAEDGASQFAAIHRVFGASNAAKLLSGVPLADRSEAAATVTYEAQARVRDPVYGCVAHIFALQQQVAALQVQVAHARTQAMAAAGGGHLLLQQQQQHQGAWEHDQSTQSSGCYGGSDGSTSLQQHAAEVYCFGEQEEGSYSR* >Brasy2G025800.1.p pacid=40067093 transcript=Brasy2G025800.1 locus=Brasy2G025800 ID=Brasy2G025800.1.v1.1 annot-version=v1.1 MKAGRKNLRRACQEGAAVTLADGESIMQVVTLRGSNLIEVLDSKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDEALESGSKIACVVSRVLFHDQVRALEKSGEWPAIFKSTSNAWATGTATEGTTPKVEEEVNSDEDDDDLPPLEANTNRNRPVEVDSDSESGSDS* >Brasy2G481600.1.p pacid=40067094 transcript=Brasy2G481600.1 locus=Brasy2G481600 ID=Brasy2G481600.1.v1.1 annot-version=v1.1 MAAEGEAAAPTPKPSSSPPAQQDEAAAGGTEPLAATYLGLAFALFLASLPGGGPRYVASLQSRGRVLASRLLAAEDALRQLRARRREDARANARAAEIFAGHRASWTDAERRLLARAAAAEGEAAALRARAERLEREAAERDELLSALLAANGSVAGLLRPGFHEGEDEDEERMAEQQEALDPGEAYGGDSDAEALAAAAALYAQQRQQQEGFGDNFYSTTAAAASGMPPWMERPKGWQDMKYESVESMYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETGQKLSTLQAETAKSSFGDELTAEAKTSTRRALSSVRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIRDYILSPYASAVR* >Brasy2G481600.2.p pacid=40067095 transcript=Brasy2G481600.2 locus=Brasy2G481600 ID=Brasy2G481600.2.v1.1 annot-version=v1.1 MAEQQEALDPGEAYGGDSDAEALAAAAALYAQQRQQQEGFGDNFYSTTAAAASGMPPWMERPKGWQDMKYESVESMYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETGQKLSTLQAETAKSSFGDELTAEAKTSTRRALSSVRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIRDYILSPYASAVR* >Brasy2G481600.3.p pacid=40067096 transcript=Brasy2G481600.3 locus=Brasy2G481600 ID=Brasy2G481600.3.v1.1 annot-version=v1.1 MKYESVESMYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETGQKLSTLQAETAKSSFGDELTAEAKTSTRRALSSVRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIRDYILSPYASAVR* >Brasy2G087100.1.p pacid=40067097 transcript=Brasy2G087100.1 locus=Brasy2G087100 ID=Brasy2G087100.1.v1.1 annot-version=v1.1 MRVQTTCMLPVCRAFDDAQQQKLWPAEDSMVWSSAAAAGNILLGGLIKWPVSSGPVRKKRIREKAQLYMSMISINGPVRHRHHHV* >Brasy2G139400.1.p pacid=40067098 transcript=Brasy2G139400.1 locus=Brasy2G139400 ID=Brasy2G139400.1.v1.1 annot-version=v1.1 MEMVFYNGQRITPAKYRSSTFVGFTAFRCSKFMGKQTIDTNAVDVIEFCKYNVSLNECVYHYTYHQELGARINRGTVTKSEDQRFEHNCAANWFSKDGGLVLNKDGHLAGICDRFEGCLSAKRASLIAESLAGFKKILKYVALLPQPSRGSLQTQESEVQ* >Brasy2G317300.1.p pacid=40067099 transcript=Brasy2G317300.1 locus=Brasy2G317300 ID=Brasy2G317300.1.v1.1 annot-version=v1.1 MAENIDLVLDFLRKNRFAKAEAALIGELSGLVDVNRPATQRRATEPKEDDEQEDSEVGSTAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDEKKGLGIGLPQDNNTGDLYPWNFSIANSTVEQLAELLVSEEVPRHRRGALVAEKRDRGVGTQQPSPVLEQKVSFGRGKGKVEVAGRSEINEPAHSSDKNLVPEKEEPLNGYAVKTVLPFPTENPSSSYHSTHHDVNERKETKKSAGADGAGKAAKRQPDEGNRQYYSGKSQNNADQIADRCFDLQLMGNNQREEFPKLPPVRLKSEDKLVNMNWEEKIDHHESGTNDPSADHVFMIGSYLNVPIGQDITSSGGRRTIGGNSWLSVSQGIAEDTSDMIFRTMGDDFEYPNNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPIGHGIPDNDMIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPNPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNVSVSGKRHSQQETEKKRLNVNGAKHDQMNYDAQKGNLPPGAAFVDGGFSFPPPLHSGKNVESDVKSSWSKKDDVYSINDPDDCQNGTVSDDTLATWKKKNSVSSIRSSRDEMTSDVVRSRNSSASSALNNAYDEAEETMIARHHKLDDAQEEETGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLESLQFLHQLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESSRLEYLIPKKTSLRHRLPMADQGFIEFVSYLLEVNPKKRPSAIEALKHPWLSFPYEPISS* >Brasy2G317300.2.p pacid=40067100 transcript=Brasy2G317300.2 locus=Brasy2G317300 ID=Brasy2G317300.2.v1.1 annot-version=v1.1 MAENIDLVLDFLRKNRFAKAEAALIGELSGLVDVNRPATQRRATEPKEDDEQEDSEVGSTAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDEKKGLGIGLPQDNNTGDLYPWNFSIANSTVEQLAELLVSEEVPRHRRGALVAEKRDRGVGTQQPSPVLEQKVSFGRGKGKVEVAGRSEINEPAHSSDKNLVPEKEEPLNGYAVKTVLPFPTENPSSSYHSTHHDVNERKETKKSAGADGAGKAAKRQPDEGNRQYYSGKSQNNADQIADRCFDLQLMGNNQREEFPKLPPVRLKSEDKLVNMNWEEKIDHHESGTNDPSADHVFMIGSYLNVPIGQDITSSGGRRTIGGNSWLSVSQGIAEDTSDMIFRTMGDDFEYPNNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPIGHGIPDNDMIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPNPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNVSVSGKRHSQQETEKKRLNVNGAKHDQMNYDAQKGNLPPGAAFVDGGFSFPPPLHSGKNVESDVKSSWSKKDDVYSINDPDDCQNGTVSDDTLATWKKKNSVSSIRSSRDEMTSDVVRSRNSSASSALNNAYDEAEETMIARHHKLDDAQEEETGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESSRLEYLIPKKTSLRHRLPMADQGFIEFVSYLLEVNPKKRPSAIEALKHPWLSFPYEPISS* >Brasy2G391500.1.p pacid=40067101 transcript=Brasy2G391500.1 locus=Brasy2G391500 ID=Brasy2G391500.1.v1.1 annot-version=v1.1 MQDAVLACAALTAAADVAPASAAGGGRGGDGGVVRVKRSALVACLTCPLCRRLLRDAATITECLHTFCRKCISEEFINKEVCHCPTCNIDLGCAPEEKLRVDHSLQYVRSKIFPYKRRKVKDQEVTSPITSPVKRKERSLSSLTGHGPQVSIQKCLTKRRTKASCLRRFSLRSTLQGSSKDATKKVGGWRPLGCQLRVGKDRKSLKSDSEDVNRSRTKSGGPDDGAPSNQAKAREHLKRYGNLAKKTGSRKVFTLKGKKKRFKANHPDKMRRLRALWFHLVAAFDQKGQPLPQLPTKFLRIKDVDLPASYIQKYLAHKLNLSSEAEVEMVCGGKKVDPGMTLHDLADCWLDKGPKGRVRSSVDSPATGFVTTLFYSRPELLPAPTIPS* >Brasy2G391500.2.p pacid=40067102 transcript=Brasy2G391500.2 locus=Brasy2G391500 ID=Brasy2G391500.2.v1.1 annot-version=v1.1 MQDAVLACAALTAAADVAPASAAGGGRGGDGGVVRVKRSALVACLTCPLCRRLLRDAATITECLHTFCRKCISEEFINKEVCHCPTCNIDLGCAPEEKLRVDHSLQYVRSKIFPYKRRKVKDQEVTSPITSPVKRKERSLSSLTGHGPQVSIQKCLTKRRTKASCLRRFSLGSSKDATKKVGGWRPLGCQLRVGKDRKSLKSDSEDVNRSRTKSGGPDDGAPSNQAKAREHLKRYGNLAKKTGSRKVFTLKGKKKRFKANHPDKMRRLRALWFHLVAAFDQKGQPLPQLPTKFLRIKDVDLPASYIQKYLAHKLNLSSEAEVEMVCGGKKVDPGMTLHDLADCWLDKGPKGRVRSSVDSPATGFVTTLFYSRPELLPAPTIPS* >Brasy2G322300.1.p pacid=40067103 transcript=Brasy2G322300.1 locus=Brasy2G322300 ID=Brasy2G322300.1.v1.1 annot-version=v1.1 MVVDSDTTNYKDFVSEIGEKYRWRMNETIMLNYFDESNRTIPELSSDQDMVAMFAKFGTTKTIAMLITVHDVNVTPDKPEWPIEEGVSVDIPCTPSLPSAPPKPPQETSSQRNSGTHPSTLSNNYVGWDLANPFEENEHVGVDEEDMYLDGSDSEDGATDVPSCKEKCSVKDPDFVPEVGEEYSDEDTSEDEEDGDWVGKDKEPDSLPDFSYDKEDPPMAEVIQGDSGPFALTWNATGNFMPLRWMKMVALR* >Brasy2G255400.1.p pacid=40067104 transcript=Brasy2G255400.1 locus=Brasy2G255400 ID=Brasy2G255400.1.v1.1 annot-version=v1.1 MDKAYYIAVLSLAFLFLAHYLVAGKSNGRKKQRLQLPPSPPAIPFLGHLHLVETPFHLALRRLAARHGPVFSLRLGSRRAVVVSSAACARECFTEHDVTFANRPQFPSQQLVSFDGAGLAQSSYGPHWRNLRRVAAVQLLSAHRVACMSGVISAEVRAMARRLFRASANSAARVQLKRRLFELSLSVLMETIARTKGTRPDADADDVADMSVEAQEFKKLVDEIIPHLGTANLWDYLPLLRWFDVMGVRNKILKLVRRRDVFLGRLIDAERRRLDHGGDGEDKKSMISVMLTLQKTEPELYTDTMIKSLCANLFGAGTETTSTTTEWTMSLLLNHPEVLRKAQAEIDSCVGTSRLVSADDVPHLAYLQCILSETLRLYPAAPLLLPHHSSAGTRVGGYDVPADTMLIVNAYAIHRDPAAWGERPEEFRPERFEDGKADECFMIPFGMGRRRCPGETLALRTVGMVLATLVQCFDWERVDGLEVDMAEGGGLTMPKVVPLEAVCTPRQTMLPVLREL* >Brasy2G131000.1.p pacid=40067105 transcript=Brasy2G131000.1 locus=Brasy2G131000 ID=Brasy2G131000.1.v1.1 annot-version=v1.1 MEAARYGFATPGGSPEHFKFDPTDEDLVTHFLLQRALGLPCPYSHAVIEGDPGGLLPWEVLERHGIDVFSAAGADQAFFFGPAPDPDHNRGRMVRIVEGGGFWQGQKGEDGAVVFLRPGDGAEVEVIFNRYNLTFYDGKKAGDFSPLFEKKNDGKSERSTGFVMHEFEIVDPPHLNAMLTRVKFDKARERMAEKLAQEAAAAADADGGGEDGGEYCMDPLEVVFPRPGGFAGGGYTQDVLDNFYEKEYEQFLLFEQYEMEQQQSWQTGDPSNGGEGCSGGDGAGEEEQQQQQQAGSGSDNGSDVGTGGSSISHCGDGGASGSA* >Brasy2G475900.1.p pacid=40067106 transcript=Brasy2G475900.1 locus=Brasy2G475900 ID=Brasy2G475900.1.v1.1 annot-version=v1.1 MVNWVQVQRKHLLSRLAKNAGLRQHAVAVDAAAPGTVINFWLPKHKAPPKSKKKATTTTPIPTIHKEYYTAAEETGKQKPAVVLVHGFAGDGMMTWAFQAIALCKRYDVYVPDLVHFGGSASPSPDRSVGFQASCIAAALGKLGVARCAVVGFSYGGLVAFQMAAACPGLVRSVVVSGADVAYTGAMNDAMLPRIGARKITELMLPESVAGLRRLFSAALHMKMWMPACFLSDFLKVGHLTLYVLMKTHSNGVDVRMISTVWAQQPTGPRSDAP* >Brasy2G360600.1.p pacid=40067107 transcript=Brasy2G360600.1 locus=Brasy2G360600 ID=Brasy2G360600.1.v1.1 annot-version=v1.1 MANRCSVCNNSDGPCGYSDRRARSFSITLSEDFENHVAVPCYFKEKLSSFTHDFLTARHESEFHFDLYIKREGNRTLLGGAYWRDFVTVFNLSEGDRVFFKAVAFRCLFDVEVFDENNEVLQ* >Brasy2G410700.1.p pacid=40067108 transcript=Brasy2G410700.1 locus=Brasy2G410700 ID=Brasy2G410700.1.v1.1 annot-version=v1.1 MAEEEEASKVLRQEAPREQEEAESCGPSAPAEFATASKDRGDDARGNKIVITEMEEFVLGLQLNQEKRKLEACDVCKEEDGNATSSGALPKDCTGGLAVIKEQAETEDPIKDGEEGPVKPDEVLHEVAVGKGLAGALQFLKDRGTLNEGGNRTTGRKKRLVVFKDEPKEIRIERTDEFGRAMTPKEAFRDLSHRFHGKRPGKTKQEKRQRKYQDELKTKQMKSSDTPLMSAEKMREAQVRSKTPYLVLSGNASNPRGQ* >Brasy2G410700.2.p pacid=40067109 transcript=Brasy2G410700.2 locus=Brasy2G410700 ID=Brasy2G410700.2.v1.1 annot-version=v1.1 MAEEEEASKVLRQEAPREQEEAESCGPSAPAEFATASKDRGDDARGNKIVITEMEEFVLGLQLNQEKRKLEACDVCKEEDGNATSSGALPKDCTGGLAVIKEQAETEDPIKDGEEGPVKPDEVLHEVAVGKGLAGALQFLKDRGTLNEGGNRTTGRKKRLVVFKDEPKEIRIERTDEFGRAMTPKEAFRDLSHRFHGKRPGKTKQEKRQRKYQDELKTKQMKSSDTPLMSAEKMREAQVRSKTPYLVLSGNASNPRGQ* >Brasy2G410700.3.p pacid=40067110 transcript=Brasy2G410700.3 locus=Brasy2G410700 ID=Brasy2G410700.3.v1.1 annot-version=v1.1 MAEEEEASKVLRQEAPREQEEAESCGPSAPAEFATASKDRGDDARGNKIVITEMEEFVLGLQLNQEKRKLEACDVCKEEDGNATSSGALPKDCTGGLAVIKEQAETEDPIKDGEEGPVKPDEVLHEVAVGKGLAGALQFLKDRGTLNEGGNRTTGRKKRLVVFKDEPKEIRIERTDEFGRAMTPKEAFRDLSHRFHGKRPGKTKQEKRQRKYQDELKTKQMKSSDTPLMSAEKMREAQVRSKTPYLVLSGNASNPRGQ* >Brasy2G344600.1.p pacid=40067111 transcript=Brasy2G344600.1 locus=Brasy2G344600 ID=Brasy2G344600.1.v1.1 annot-version=v1.1 MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVAVVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAVVPTSDEMQNNYLEYMELKARVEVLQNSQRNLLGEDLAPLGTTELDQLESQVGKTLRQIRSRKTQVQLDELCDLKRKEQMLEDANLTLKRKLDEIPAETAAPPQLPWQGDRGVPSHAPLPQPDHFFQALECNPSLQPAFRGMDVNQPPPAWMA* >Brasy2G323600.1.p pacid=40067112 transcript=Brasy2G323600.1 locus=Brasy2G323600 ID=Brasy2G323600.1.v1.1 annot-version=v1.1 MSAIRSRDVLSRRSKRPIHHLHACSTAAPSSWGEKRARGTRNTNKWRDWGNLPDGPAGLIAERVLAGDVADYIRLRAVCRLWRRCCAEPPGGLDPRFHPRRWIMLRDSLAAAPRRRRFLNASTGECIQVDLPELGDHEVLSVTPEGILVLFHERHARVRLLNPLTRHLTELPPLATLLLPPDHPDGIDEIDSFSAWGSGIANDDSTVMLCFDSMGLLGIAKPGDKSWTSLKYSSTLTTAPLMFRGRFCLATSDGVMALETSADQQPRLEVAAKLRMRVPPMADTVHLVDNGGELVLVHTRLNKLKRRYDTYRVDLDAGKMFPVKTLGGRALFIGPHCSLSVSTEVFPSIAGDTIYLNFDVNERPHGERIQAYHLADRRTETATYNLDGSVPQPHTLVDCLSWCNTVRDSRPFP* >Brasy2G277200.1.p pacid=40067113 transcript=Brasy2G277200.1 locus=Brasy2G277200 ID=Brasy2G277200.1.v1.1 annot-version=v1.1 MADILRPPSPDPKAEQDASRAWNSMGNPVSLEAEVKLLGEKVQDFDGRELNLHKCTYKVGPIESCRLVKRGNKSVSTLMNNYEFLSNLRHPSAVLVENYFDKESLVISMVHGSFLKWMSTTETNKLFTAGGTMLPLLRDMIM* >Brasy2G278800.1.p pacid=40067114 transcript=Brasy2G278800.1 locus=Brasy2G278800 ID=Brasy2G278800.1.v1.1 annot-version=v1.1 MASSSQPTPPEGVGQGAGHGDEEDQQRAATSPSPEAAASSSQLVMPEDGYEWKKYGQKFIKNIQRIRSYFRCRDKRCGAKKKVEWQPGDPSLRVVYDGAHQHGSPSSSSCTGAGQDGAANRYELSAQYFGGPRTH* >Brasy2G365500.1.p pacid=40067115 transcript=Brasy2G365500.1 locus=Brasy2G365500 ID=Brasy2G365500.1.v1.1 annot-version=v1.1 MELAILNWNVRGLNSPVRRRDVLNFIDTLDCNIICLQETKLASVSRAIIAESIGPRFCADFIFKPADGTRGGMIIACSHDFEITQILAASGPYSLSACIRDRSSNSEWSISSVYGPQDDDDKILFLEEFKSLKQHLNEEWLVLGDFNMICRADEKSNNNLNLQMMGRFRRAIEDLELIEFPICGRKFTWCNERLSATHTKIDRVLMTKEWEMLYPQYQLAPASTAVSDHCSLILRKMDVRHFKGFIFESY* >Brasy2G330500.1.p pacid=40067116 transcript=Brasy2G330500.1 locus=Brasy2G330500 ID=Brasy2G330500.1.v1.1 annot-version=v1.1 MASSFAPAPAPGYPPHGGNQAPRPQSTAVAVTAASNGEGNPYVLVTPASASPSTCQSIRKALGRYGKLLEDGTRKAADTTGNIWNHLRTAPNMADAAVARLTQGTKVYAEGGHDRVFHQVFGAVPGEQLRKAYACYLSTSTGPIIGTLYLSTARLAFCSDAPLPYYHGPAAQAQPPEPMYYKVVLPLNQLRTVSPSASMWNRSDRYIQISTVDNHEFWFMGFVSYDKALKNLSEALQRRT* >Brasy2G174000.1.p pacid=40067117 transcript=Brasy2G174000.1 locus=Brasy2G174000 ID=Brasy2G174000.1.v1.1 annot-version=v1.1 MARGTAKRQREPPPAAAAGERKLLPGEHVEVFSSDPGLCGSWHQAVVISILENFRSVRYTDFVDENGSESPLVEKVPVSDAIDGNSSVSGGSTRGNIRPVHPHQPLQVSDASYGLCVDALVEGSYWEGVIADHAEGSMERKVLFPDEGDERIMAVDQLRRTQDWDEVTGKWKPRGIWLFLQMLLPHEEKGGLPVSVRQIWYDLRSNPSLMMEINMWTHGTKSFWDRSLAALIAELWSLCGRPPQDGNQIGDSCKSGEASTSAPFQNKKVESIVLNKLDSGISQTTEFVSYYRSNDRMRARAKQESAKYHLKSLGWTLVEDRPKNKYYVSPHGKRFTSFIGACEAYLAQKETKNDRQVLPHSMTHNNEHYNPTGTDIALRENKSDNKLSTEAAAAWEPVQLDAEFSPQIVSLLASYQGGTAFPQRHINQTTSMKLKKHLLALGWSIEFREDEVTRYNGKPYIIKRRRYKSPVGKTYFSIIQVLRSLVGCVKRFQGNVSGHKPDNHRNLAADTVNLDPTVSRHLARLGKRKRENKSHAIGRFIDYLESDVQNSRKKKHLRSKAKKFLKSAGWKVCSKQKSSNKLEPRYHDPHGESYNSLLAACKAYLEQGYQKENNASFGIAADGFMHSSNLITLSHELEDVSGRQDLLVSVVDRYDDMFTLHTCHGKFEKIKPYAVPKSHSRNLSSRHGQTLACNHRTKTVLSLLVDRGIILPRVKLTYQQRSDGPRVKRGSITKHGIKCMCCNELFTLESFEVHAGCSTQLPAAHMFLKDGRSLSQCLVELMGENKPKDSRHVRLKKNYSDIESDSICSICNDGGEILLCDNCPSSFHHACVGLEATPEGSWHCPSCRCGICDLSDYDPNTNQFTEKTIMYCDQCEQEYHVGCIRKKGNQLTCRPERHWFCSRGCSKIFQHLQELSGKSIPTPVEGLSCTILRFDRGNASDHGDYDNEKMAEQYGKLCIALDVLHECFVTIIEPRTRRDLSEDIVFSRESELRRLNFRGFYTILLEKGGELISVGTFRVCGKKFAELPLIGTRVQYRRQGMCRLLMNEVEKLLSGLGVERLLLPAVPQLLETWTGSFGFTAMSCSDRFQYAANIILSFQGTTMCQKILNAVCHNPKDTSLHLALNAERMELGNNILHSSKRSTTCDMVVDNASNHSEELKVTALANSDSLELAENCIFSSGGTTICQKVSSDAFYHPKDNVTGSDNQLEYSTVICETMGNDSQESKSIVVEDTDRLQPQLLLEVHSNSGDEGNCVVDVPTSTPNPQVSFTGSSHEQPYGRSVGADQRNENCILTEVKPVAEKPALVFKYKFSGKCYRRIRRSTGRGKLGVSG* >Brasy2G174000.3.p pacid=40067118 transcript=Brasy2G174000.3 locus=Brasy2G174000 ID=Brasy2G174000.3.v1.1 annot-version=v1.1 MARGTAKRQREPPPAAAAGERKLLPGEHVEVFSSDPGLCGSWHQAVVISILENFRSVRYTDFVDENGSESPLVEKVPVSDAIDGNSSVSGGSTRGNIRPVHPHQPLQVSDASYGLCVDALVEGSYWEGVIADHAEGSMERKVLFPDEGDERIMAVDQLRRTQDWDEVTGKWKPRGIWLFLQMLLPHEEKGGLPVSVRQIWYDLRSNPSLMMEINMWTHGTKSFWDRSLAALIAELWSLCGRPPQDGNQIGDSCKSGEASTSAPFQNKKVESIVLNKLDSGISQTTEFVSYYRSNDRMRARAKQESAKYHLKSLGWTLVEDRPKNKYYVSPHGKRFTSFIGACEAYLAQKETKNDRQVLPHSMTHNNEHYNPTGTDIALRENKSDNKLSTEAAAAWEPVQLDAEFSPQIVSLLASYQGGTAFPQRHINQTTSMKLKKHLLALGWSIEFREDEVTRYNGKPYIIKRRRYKSPVGKTYFSIIQVLRSLVGCVKRFQGNVSGHKPDNHRNLAADTVNLDPTVSRHLARLGKRKRENKSHAIGRFIDYLESDVQNSRKKKHLRSKAKKFLKSAGWKVCSKQKSSNKLEPRYHDPHGESYNSLLAACKAYLEQGYQKENNASFGIAADGFMHSSNLITLSHELEDVSGRQDLLVSVVDRYDDMFTLHTCHGKFEKIKPYAVPKSHSRNLSSRHGQTLACNHRTKTVLSLLVDRGIILPRVKLTYQQRSDGPRVKRGSITKHGIKCMCCNELFTLESFEVHAGCSTQLPAAHMFLKDGRSLSQCLVELMGENKPKDSRHVRLKKNYSDIESDSICSICNDGGEILLCDNCPSSFHHACVGLEATPEGSWHCPSCRCGICDLSDYDPNTNQFTEKTIMYCDQCEQEYHVGCIRKKGNQLTCRPERHWFCSRGCSKIFQHLQELSGKSIPTPVEGLSCTILRFDRGNASDHGDYDNEKMAEQYGKLCIALDVLHECFVTIIEPRTRRDLSEDIVFSRESELRRLNFRGFYTILLEKGGELISVGTFRVCGKKFAELPLIGTRVQYRRQGMCRLLMNEVEKLLSGLGVERLLLPAVPQLLETWTGSFGFTAMSCSDRFQYAANIILSFQGTTMCQKILNAVCHNPKDTSLHLALNAERMELGNNILHSSKRSTTCDMVVDNASNHSEELKVTALANSDSLELAENCIFSSGGTTICQKVSSDAFYHPKDNVTGSDNQLEYSTVICETMGNDSQESKSIVVEDTDRLQPQLLLEVHSNSGDEGNCVVDVPTSTPNPQVSFTGSSHEQPYGRRSAQRELYLD* >Brasy2G174000.4.p pacid=40067119 transcript=Brasy2G174000.4 locus=Brasy2G174000 ID=Brasy2G174000.4.v1.1 annot-version=v1.1 MARGTAKRQREPPPAAAAGERKLLPGEHVEVFSSDPGLCGSWHQAVVISILENFRSVRYTDFVDENGSESPLVEKVPVSDAIDGNSSVSGGSTRGNIRPVHPHQPLQVSDASYGLCVDALVEGSYWEGVIADHAEGSMERKVLFPDEGDERIMAVDQLRRTQDWDEVTGKWKPRGIWLFLQMLLPHEEKGGLPVSVRQIWYDLRSNPSLMMEINMWTHGTKSFWDRSLAALIAELWSLCGRPPQDGNQIGDSCKSGEASTSAPFQNKKVESIVLNKLDSGISQTTEFVSYYRSNDRMRARAKQESAKYHLKSLGWTLVEDRPKNKYYVSPHGKRFTSFIGACEAYLAQKETKNDRQVLPHSMTHNNEHYNPTGTDIALRENKSDNKLSTEAAAAWEPVQLDAEFSPQIVSLLASYQGGTAFPQRHINQTTSMKLKKHLLALGWSIEFREDEVTRYNGKPYIIKRRRYKSPVGKTYFSIIQVLRSLVGCVKRFQGNVSGHKPDNHRNLAADTVNLDPTVSRHLARLGKRKRENKSHAIGRFIDYLESDVQNSRKKKHLRSKAKKFLKSAGWKVCSKQKSSNKLEPRYHDPHGESYNSLLAACKAYLEQGYQKENNASFGIAADGFMHSSNLITLSHELEDVSGRQDLLVSVVDRYDDMFTLHTCHGKFEKIKPYAVPKSHSRNLSSRHGQTLACNHRTKTVLSLLVDRGIILPRVKLTYQQRSDGPRVKRGSITKHGIKCMCCNELFTLESFEVHAGCSTQLPAAHMFLKDGRSLSQCLVELMGENKPKDSRHVRLKKNYSDIESDSICSICNDGGEILLCDNCPSSFHHACVGLEATPEGSWHCPSCRCGICDLSDYDPNTNQFTEKTIMYCDQCEQEYHVGCIRKKGNQLTCRPERHWFCSRGCSKIFQHLQELSGKSIPTPVEGLSCTILRFDRGNASDHGDYDNEKMAEQYGKLCIALDVLHECFVTIIEPRTRRDLSEDIVFSRESELRRLNFRGFYTILLEKGGELISVGTFRVCGKKFAELPLIGTRVQYRRQGMCRLLMNEVEKLLSGLGVERLLLPAVPQLLETWTGSFGFTAMSCSDRFQYAANIILSFQGTTMCQKILNAVCHNPKDTSLHLALNAERMELGNNILHSSKRSTTCDMVVDNASNHSEELKVTALANSDSLELAENCIFSSGGTTICQKVSSDAFYHPKDNGSDNQLEYSTVICETMGNDSQESKSIVVEDTDRLQPQLLLEVHSNSGDEGNCVVDVPTSTPNPQVSFTGSSHEQPYGRRSAQRELYLD* >Brasy2G174000.2.p pacid=40067120 transcript=Brasy2G174000.2 locus=Brasy2G174000 ID=Brasy2G174000.2.v1.1 annot-version=v1.1 MARGTAKRQREPPPAAAAGERKLLPGEHVEVFSSDPGLCGSWHQAVVISILENFRSVRYTDFVDENGSESPLVEKVPVSDAIDGNSSVSGGSTRGNIRPVHPHQPLQVSDASYGLCVDALVEGSYWEGVIADHAEGSMERKVLFPDEGDERIMAVDQLRRTQDWDEVTGKWKPRGIWLFLQMLLPHEEKGGLPVSVRQIWYDLRSNPSLMMEINMWTHGTKSFWDRSLAALIAELWSLCGRPPQDGNQIGDSCKSGEASTSAPFQNKKVESIVLNKLDSGISQTTEFVSYYRSNDRMRARAKQESAKYHLKSLGWTLVEDRPKNKYYVSPHGKRFTSFIGACEAYLAQKETKNDRQVLPHSMTHNNEHYNPTGTDIALRENKSDNKLSTEAAAAWEPVQLDAEFSPQIVSLLASYQGGTAFPQRHINQTTSMKLKKHLLALGWSIEFREDEVTRYNGKPYIIKRRRYKSPVGKTYFSIIQVLRSLVGCVKRFQGNVSGHKPDNHRNLAADTVNLDPTVSRHLARLGKRKRENKSHAIGRFIDYLESDVQNSRKKKHLRSKAKKFLKSAGWKVCSKQKSSNKLEPRYHDPHGESYNSLLAACKAYLEQGYQKENNASFGIAADGFMHSSNLITLSHELEDVSGRQDLLVSVVDRYDDMFTLHTCHGKFEKIKPYAVPKSHSRNLSSRHGQTLACNHRTKTVLSLLVDRGIILPRVKLTYQQRSDGPRVKRGSITKHGIKCMCCNELFTLESFEVHAGCSTQLPAAHMFLKDGRSLSQCLVELMGENKPKDSRHVRLKKNYSDIESDSICSICNDGGEILLCDNCPSSFHHACVGLEATPEGSWHCPSCRCGICDLSDYDPNTNQFTEKTIMYCDQCEQEYHVGCIRKKGNQLTCRPERHWFCSRGCSKIFQHLQELSGKSIPTPVEGLSCTILRFDRGNASDHGDYDNEKMAEQYGKLCIALDVLHECFVTIIEPRTRRDLSEDIVFSRESELRRLNFRGFYTILLEKGGELISVGTFRVCGKKFAELPLIGTRVQYRRQGMCRLLMNEVEKLLSGLGVERLLLPAVPQLLETWTGSFGFTAMSCSDRFQYAANIILSFQGTTMCQKILNAVCHNPKDTSLHLALNAERMELGNNILHSSKRSTTCDMVVDNASNHSEELKVTALANSDSLELAENCIFSSGGTTICQKVSSDAFYHPKDNGLSLSLSLSLSLSLSLIVMLTEHFLLGYLGKLSISVSGIKGERVPK* >Brasy2G284300.1.p pacid=40067121 transcript=Brasy2G284300.1 locus=Brasy2G284300 ID=Brasy2G284300.1.v1.1 annot-version=v1.1 MAMAGTRRVAALLLLLGLASTTVLAARDVATQLKSTTPAGKKPKPYVPPATKPGSGGVGIPTIPGFGSIPGMGGDGFNVPGMGGGWGGGYGGPAGGYSRGGVVNPTVVCSEKGPCYKKKVTCPKKCFASYSGSGKGYGGGGGGGGCTVDCKTKCIAYC* >Brasy2G254700.1.p pacid=40067122 transcript=Brasy2G254700.1 locus=Brasy2G254700 ID=Brasy2G254700.1.v1.1 annot-version=v1.1 MPRGWAWEEEWRGEGRGWALGGGTEQRRIGGRGWARCHFYGERRNQEEGLGDLCTYLHTAQKAEVPLDVTGLLNSLGLENYVVLFLAEEPLMDNLEAEIMILSRKLP* >Brasy2G111300.1.p pacid=40067123 transcript=Brasy2G111300.1 locus=Brasy2G111300 ID=Brasy2G111300.1.v1.1 annot-version=v1.1 MGRGDAEEVSPPVSSPELEQDDGDDDCYLSDQEDDALEESVLQVLEDGHLEDCHWSSSSVITKEHLLGAQKEDLRKVIELLGLKEHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLRSTSNAGLPSVTEVTCNVCYDDVPISAVSEIDCGHNYCNQCWTEYFIVKINEGQSRRVKCMAPKCNAICDEALVRKLVSAKCPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSTKTEQARRDLNRYMHYHDRYKAHTDSLKQEAKLKRDIQWKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFSDEIFKDEMTPQERELKQNLFEDQQQQLEFNIERLSGFLEKEFQNFADDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELHICLDSAEQSSQSMKYSHDECKSQPGLHSNESGSSLLGKRPILQLHGSSSDNSGRPSHKRERKSGGALFDLNVPAELADKS* >Brasy2G399300.1.p pacid=40067124 transcript=Brasy2G399300.1 locus=Brasy2G399300 ID=Brasy2G399300.1.v1.1 annot-version=v1.1 MAATARGSVREIRAADVEAAGLAAAEAGPFLAALRSAAASSSGAAEVWAAVVAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSPIDCKRTNLGRMMEQNGPKLLGSSYKDPISSFDLFHKFSVEHQEVYWKMVLKELSVKFLREPKSILDASDTSKKGGTWFHGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDDYPLNRMSLKELRTQVMTVAHALDTMFQKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGTRMRVSKAKAIFTQDFIIRGGKQFPLYSRVMEGTSSKAIVIPATGDCLGVTPRTGDMSWKDFLSRAAGRSSIYSPVYQPSDALINILFSSGTTGEPKAIPWTQLCPIRCGADTWAHLDVRPQDIGCWPTNLGWVMGPILLFSCFLRGATLALYHGSPLGRGFCKFVQDARVNILGTVPSLVKAWKAGNLTKGIDWTKIRVLATTGEASDIDDNLWLSSHACYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGKCMSTGFVILDEQGHPYPDDQPCAGEVGLFPLYFGATDRLLNGDHDKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGGGPEQLAILAVLKDRSAPFDANLLKSKFQRAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLKLELTNRSKL* >Brasy2G438300.1.p pacid=40067125 transcript=Brasy2G438300.1 locus=Brasy2G438300 ID=Brasy2G438300.1.v1.1 annot-version=v1.1 MGFWERDHEWNWKKIAIWAAVLLVAGGVLTLLIVAFAVASPPKATADDAVLQRFAVAAGTPPTNSTISYNATVAISLYNPNIHRAISYGAMAATFSFNGTRFDDQATVPAFEQGARKTTTLRVTVGGVDRAVKLSAAGVAEFGREKEEGRFKVEVRMESVMRYKGRKTDCPVVVVCPLLLQLVDPAVAATAFQKTKCTILRAKKSGC* >Brasy2G316400.1.p pacid=40067126 transcript=Brasy2G316400.1 locus=Brasy2G316400 ID=Brasy2G316400.1.v1.1 annot-version=v1.1 MLGHVSLNSLPGLEEQRLCPRVGCLWLEGVAAWHLGCSVIVFFSCLCACVVSSLFDGFKLVLSFQQNSSKILFPFRN* >Brasy2G016400.1.p pacid=40067127 transcript=Brasy2G016400.1 locus=Brasy2G016400 ID=Brasy2G016400.1.v1.1 annot-version=v1.1 MVIQIVSKLHAPATFHSVHIGGPLGPLVRQEWRAKRNGQNQFLMRMPSRMKLEELCNIEEFKLKGVSTSVIVTEWVPAITANGKLNTVWVRAQGLPDCLKHYMGMCEVGSILGPVLDVDMDKLREQEQVLIKMGIRDPAKLPIQTEIITRDLLMYEIYFSLEEMVEEGWQNNLRAVNVRDTDGADGRDPKKQRKDLHLRTREIIQLGSPSLAQFESAKRGDGGVEGSRFSPLLLGEGGSHDSRNQSNQHEQMQMVEEELSRKAALVEKEKRVLAELAKERKKMEQELKLHQNQLEQTNKKLMEVQKLLEIHKEYGYGVEAPNSQNSQKDGENNEIDDDEELLDYTDSQMERVDLGSHPQEGDLADSGNQEDLDLGDGRTKNYVPNPRRCGRLKDRELRRVEEMAMERAKAKNNMGKGTDDEPSFLNCDNFSLAQVASTIGINLGVAIDIVDHNIGLFKDLEQARLNLYSQQLRVPDLRNSENANQTQTGDKVLDELLAELDNEEIQDKTLDMDGFQMVQGEKKLKKKKASPEIFSVKALRKYRKVKT* >Brasy2G263900.1.p pacid=40067128 transcript=Brasy2G263900.1 locus=Brasy2G263900 ID=Brasy2G263900.1.v1.1 annot-version=v1.1 MAGGGGGGGKKDRGEGLGRALVRQRNKAAAAAKARGQALVISRRAQQALPLESVIEVSDIDAVLQRAAEEELLHGDDAEGAAALTAALGSGLIDLDGTGDTEEERRLLREEQEALHADSLRVPRRPPWTAQMTTEELYSNEKRAFLEWRRNLARLQENEELVLTPFEKNIDIWRQLWRVLERSDLLVMVVDSRNPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLDIRRKWADYFKQHDILYLFWSAKAATAALEGKKLSGYSTEESPDLDTKIYGKDELLVRLQGEAEYIVSQKGTSVAGKGHQSSSDSAIVRPKHVVVGFVGYPNVGKSSTINALVGQKKTGVTSTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPREILEQVYKITLPKPKAYEQASRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGEIDAETDMEDTSDVEDSNTAADDQEDGIASDEHDEEINQAEPNISHALNDLESFYLHGEVSKGSTKKKKEPSHKHHRKPQRKKDRSWRVGNDGDDGSGVIRVFQKPAVNLAATSTSVVG* >Brasy2G246000.1.p pacid=40067129 transcript=Brasy2G246000.1 locus=Brasy2G246000 ID=Brasy2G246000.1.v1.1 annot-version=v1.1 MENKHTDQAKVKAKLSMMYSKVICCKLYISESQNVMVVDAISCIGQKDPEVVLLSKFEDEYYNRVRYTLVSYIISNSSTGEVIFSPIRKVLLAMIEAAFSNINLEVHCGTHPRIGVVDDMSFHPLSEAATMEDAAQLAKLLASDIGNGLQVPVFLYAAAHPTGKSVSAIRRELGYYRPNHKGIKWAGQVLPDTLPMKPDEGPTQVPRERGATMVGAKPFVESYNVPILCKDVPTVRRITRRVTGRNGGFPTVQALALFHGDNCTEIACLLDPDHVGAEQVQWLVEQIAAEQGLEVDKGYFTDLSKDMMLERYFKMVSAAD* >Brasy2G246000.2.p pacid=40067130 transcript=Brasy2G246000.2 locus=Brasy2G246000 ID=Brasy2G246000.2.v1.1 annot-version=v1.1 MIEAAFSNINLEVHCGTHPRIGVVDDMSFHPLSEAATMEDAAQLAKLLASDIGNGLQVPVFLYAAAHPTGKSVSAIRRELGYYRPNHKGIKWAGQVLPDTLPMKPDEGPTQVPRERGATMVGAKPFVESYNVPILCKDVPTVRRITRRVTGRNGGFPTVQALALFHGDNCTEIACLLDPDHVGAEQVQWLVEQIAAEQGLEVDKGYFTDLSKDMMLERYFKMVSAAD* >Brasy2G217200.1.p pacid=40067131 transcript=Brasy2G217200.1 locus=Brasy2G217200 ID=Brasy2G217200.1.v1.1 annot-version=v1.1 MELAALCTDPFVLSCTFLCLLLHLALRSLHTASSGRRPHPPGPSGIPVLGALPLVGPAPHTGLASLARKHGPVMYLKMGTCGVVVASSPGAARTFLKALDARFANRPAMASAADITYGCQNMVFANYGAKWKLMRKLSSVHLLGPRALADWARVRRDEAGRAVIGMAESARAGRPVAVPELLVCALANIVGQITVSKRVFDAQGDESNSYKEMIVSLLTGTGMFNISDFVPALSWLDLQGVQARLRRVHNQFDGLITKLLAEHAATAHQRARDGRLDFVDKLRASNDEEDGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPSIMARAQEEMDRVVGRERRLEESDIPNLPYLQAVCKEAMRLHPSTPLSLPHFSFEECEVDGYRVPANTRLLVNIWAIGRDPSAWEEPLEFRPERFLPSGPAAKVDPMGNNFELIPFGAGRRICAGKLAGMLFVQYFLGTLVHAFDWRLPEGEEKVDMGEKFGLALPKAVPLRALVTPRLVPAAYA* >Brasy2G024700.1.p pacid=40067132 transcript=Brasy2G024700.1 locus=Brasy2G024700 ID=Brasy2G024700.1.v1.1 annot-version=v1.1 MAQIKVVLRVPAMMDERSKQKAIEAVADVYGVDSIGADLKDNKMTVIGNMDTVAIAKKLKKLGRIEIVSVGPAKEEKKPSAPAPADKKQAEDKKKPAPADEKKKEAKKDDKK* >Brasy2G232600.1.p pacid=40067133 transcript=Brasy2G232600.1 locus=Brasy2G232600 ID=Brasy2G232600.1.v1.1 annot-version=v1.1 MANSTAPLLPLHRLVLSIVLFLLTFKQAEVATPVAARLGTSLRAQAGALLHWKSAVKYSRHLETWRHGVQPCNWTGITCGGIRLRKGAMVKVIRGISLDGAGLVGQLETLSFRSLPYLVSLDLSNNHHLSENMIEGSIPSELGELKNLQKLKLSSNRLTGEIPPEIGKLVSLYWLDLRNNRLSGQIPKETGQLSNLEILGFSSNRLSGKIPEEIGDCLKLQSLDMNNNSLSGSVPGTFGHLASLQTKLDLSLNSLSGPIPSELGKLEMLMFVNFSHNQFVGAIPVSIGSMQSLSVFDVSYNFLEGSVPKGIRNASSEWFLHNKGLCGELAGLSPCYLPPTDHIRQHQKFIVEVSVPMFVATISVVAGVITFFICCKKESQKNDEVNKRDVFSVWSFDGRMAFEDIINATDNFDEKHCIGEGSYGSVYKAELQDEQVVAVKKLHAGDEEAYDEERFQHEIEMLTKIRQRSIVKLYGYCSHPRYRFLVCQFIERGNLASILCNEELAIQFHWKRRTTLIRDVAQAITYLHHDCQPSIIHRDITSRNILLDADYKAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFSCTSLVTAKCDVYSFGVVVLEVLMGRHPGDLQNSLASLKDQGLIEDILDKRLPPPEADEAEDVKRSVSVAFDCLLSSPQERPTMLRVYRELAI* >Brasy2G258100.1.p pacid=40067134 transcript=Brasy2G258100.1 locus=Brasy2G258100 ID=Brasy2G258100.1.v1.1 annot-version=v1.1 MASTALGGAVPAGARLAPKNGVPGSSFKPCSSFIVKTSTKVGCSSLRVRASVASSPQKNYSSKTVTVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLITQELPNLVAIKDADFSDVDAVFCCLPHGTTQEIIKDLPRQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVTGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEVEQGLSDAADSKVTISFTPNLICMKRGMQSTMFVEMAPGVTASDLYQHLKSTYEGEEFVKLLNGSNVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP* >Brasy2G400100.1.p pacid=40067135 transcript=Brasy2G400100.1 locus=Brasy2G400100 ID=Brasy2G400100.1.v1.1 annot-version=v1.1 MRDFPSCFGESGVQIADAASSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDGLSGQSLCKAEIKPWLFSKKKGSKSLDVDGGKIEIFWDLSGAKFGAGPEPLEGFYVAVVFDLELVLLLGDMKKDAYRKTGMNRPMSNAAFVARREHIYGKKTYSAKAQFCDNGQFHDVVIECDAVSLKDPCLEIRIDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSSTSNAVFMFQTSQAPEKSMPWSYSQIFRESQLQGLGFSLILYAWKVE* >Brasy2G026700.1.p pacid=40067136 transcript=Brasy2G026700.1 locus=Brasy2G026700 ID=Brasy2G026700.1.v1.1 annot-version=v1.1 MHLIDTLHGYYTEALAKLSVQALRQDHLVRAILVAGHCYGPMGDPVTNIVFNSIWYHAVFPLPDDVLSDAKDVLQEGDILDARSLPRLQSRSLDGLIALLCESSSISEQEAVVLLCQDLNYAIMAGQGKRIWTAVALAAKHPQPVAYAAFIESLTYGGELRHIVDLIAATYVGGGIPDPAALRMLNNMLRMDTPAAIAEPGMAPDLCPLALDMLSTRRSELMSRQAYVRQELEKLLLDFGDKEGGNYKLGVICGVAATNCDIYTVCYHVNFLALSDANEMVLFFGQFWNHCDIRIEEKTKLPFCCPVPHHNQYLGRCIICEYHSTSRIVHPSSYFMGSDLRNFRIHLQNGADYPDETLDSDFIYFDPKRNVEFAKLLSEKATQRPTRFFSTI* >Brasy2G308800.1.p pacid=40067137 transcript=Brasy2G308800.1 locus=Brasy2G308800 ID=Brasy2G308800.1.v1.1 annot-version=v1.1 MARGRGSATRVAVVAAAFAVACCCLGLAGVASAATYYVGDNSGWSLSSGSWPNGKQFHAGDILVFRYMPLLHNVVAVDEGGYNGCSTPPGSRTYQSGNDSVRLARGNNRFICTHLGHCSFGMKMVVNAV* >Brasy2G482300.1.p pacid=40067138 transcript=Brasy2G482300.1 locus=Brasy2G482300 ID=Brasy2G482300.1.v1.1 annot-version=v1.1 MYFHGSNYIVLDQKLYHQTMGYFSCKKNQIPDQKKARPNSPQSKTGRTNLALVFLLFFSSLQGAERKKKTLISSAAAAPVGRISATVHFWSLRLASSRKVHHRFCSRNSLRPRGTVSFSPLRPHRSGLRPLKVTPARNRRWRSYLGLGRRVRGPVRARPGCTNLPRRRRPSVQDGSRLRLFRHGGELIPFDCLLTSQFLHSNGDSLNQFITAQVTKLCDLGGRRNAAFTEL* >Brasy2G477300.1.p pacid=40067139 transcript=Brasy2G477300.1 locus=Brasy2G477300 ID=Brasy2G477300.1.v1.1 annot-version=v1.1 MDALTDADVAPLAAAAAPQQQQKRDEWSESGISRLLEAYEAKWLLRNRAKLKWSDWVDIASEVSAHCSSESAAAGKPGGSSAKTPNQCKNKVESMKKRYRAESAAAARAGPGAAANAAGPSWRFFGRMDGLLKGPGAGSSGQVQAELSNGVDLRAPPAKAEPEVEVEGGLLRQLPDAGPSALSELVNADANGFATERAEKVDCSMQKDSRAVDSDANVSSPRSKEAKEDVEEVDKVWDMRKKRKSSEFDIAKSIELLASSFLKIEQARMEMYRETEKMRVEAEIKKGEMELKRTEIMAKTQLQIAKLFAKRLKECSKTGGSSSVTADVDTLAKKGENGSG* >Brasy2G240400.1.p pacid=40067140 transcript=Brasy2G240400.1 locus=Brasy2G240400 ID=Brasy2G240400.1.v1.1 annot-version=v1.1 MEVEESSPSSPLSSPSSSPSSDSIDLNFLPFLKREPKSEPASPEHDPLPPPPPPVAAAYVDPTPATPDLSSPAVMTPLQSLPPNPDEDALFAEYCRLASLYLLSAGAGAIVQAPTPEAAAPAVVQPGSGSAVKKRRPRSSELVRVSSLGMRDQIYFRDVVRRARITFESLRGLLLKDDERAEALGLAGVVGLGSTDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGIHGQVQAGIDYLAAGRSASGEPIATSIIVSGGYEDDDDRGDILVYTGHGGRDPNLHKHCIDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDFWLDRGKAGFGVYKYKMIRIEGQDAMGSVNYRVAERLKVDALSIRPTGYLSFDISMGRESMPVALYNDVDDDKDPLLYEYLARPIFPSSAVQGKFAEGGGGCECIENCSIGCYCAQRNGGEFAYDRAGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGAFICEFSGIVLTHQQSEIVAANGDCLVHPNRFPPRWLDWGDISDVYPEYVPPNHPAIADLNFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNMSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM* >Brasy2G240400.3.p pacid=40067141 transcript=Brasy2G240400.3 locus=Brasy2G240400 ID=Brasy2G240400.3.v1.1 annot-version=v1.1 MEVEESSPSSPLSSPSSSPSSDSIDLNFLPFLKREPKSEPASPEHDPLPPPPPPVAAAYVDPTPATPDLSSPAVMTPLQSLPPNPDEDALFAEYCRLASLYLLSAGAGAIVQAPTPEAAAPAVVQPGSGSAVKKRRPRSSELVRVSSLGMRDQIYFRDVVRRARITFESLRGLLLKDDERAEALGLAGVVGLGSTDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGIHGQVQAGIDYLAAGRSASGEPIATSIIVSGGYEDDDDRGDILVYTGHGGRDPNLHKHCIDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDFWLDRGKAGFGVYKYKMIRIEGQDAMGSVNYRVAERLKVDALSIRPTGYLSFDISMGRESMPVALYNDVDDDKDPLLYEYLARPIFPSSAVQGKFAEGGGGCECIENCSIGCYCAQRNGGEFAYDRAGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGAFICEFSGIVLTHQQSEIVAANGDCLVHPNRFPPRWLDWGDISDVYPEYVPPNHPAIADLNFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNMSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM* >Brasy2G240400.4.p pacid=40067142 transcript=Brasy2G240400.4 locus=Brasy2G240400 ID=Brasy2G240400.4.v1.1 annot-version=v1.1 MEVEESSPSSPLSSPSSSPSSDSIDLNFLPFLKREPKSEPASPEHDPLPPPPPPVAAAYVDPTPATPDLSSPAVMTPLQSLPPNPDEDALFAEYCRLASLYLLSAGAGAIVQAPTPEAAAPAVVQPGSGSAVKKRRPRSSELVRVSSLGMRDQIYFRDVVRRARITFESLRGLLLKDDERAEALGLAGVVGLGSTDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGIHGQVQAGIDYLAAGRSASGEPIATSIIVSGGYEDDDDRGDILVYTGHGGRDPNLHKHCIDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDFWLDRGKAGFGVYKYKMIRIEGQDAMGSVNYRVAERLKVDALSIRPTGYLSFDISMGRESMPVALYNDVDDDKDPLLYEYLARPIFPSSAVQGKFAEGGGGCECIENCSIGCYCAQRNGGEFAYDRAGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGAFICEFSGIVLTHQQSEIVAANGDCLVHPNRFPPRWLDWGDISDVYPEYVPPNHPAIADLNFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNMSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM* >Brasy2G240400.2.p pacid=40067143 transcript=Brasy2G240400.2 locus=Brasy2G240400 ID=Brasy2G240400.2.v1.1 annot-version=v1.1 MEVEESSPSSPLSSPSSSPSSDSIDLNFLPFLKREPKSEPASPEHDPLPPPPPPVAAAYVDPTPATPDLSSPAVMTPLQSLPPNPDEDALFAEYCRLASLYLLSAGAGAIVQAPTPEAAAPAVVQPGSGSAVKKRRPRSSELVRVSSLGMRDQIYFRDVVRRARITFESLRGLLLKDDERAEALGLAGVVGLGSTDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGIHGQVQAGIDYLAAGRSASGEPIATSIIVSGGYEDDDDRGDILVYTGHGGRDPNLHKHCIDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDFWLDRGKAGFGVYKYKMIRIEGQDAMGSVNYRVAERLKVDALSIRPTGYLSFDISMGRESMPVALYNDVDDDKDPLLYEYLARPIFPSSAVQGKFAEGGGGCECIENCSIGCYCAQRNGGEFAYDRAGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGAFICEFSGIVLTHQQSEIVAANGDCLVHPNRFPPRWLDWGDISDVYPEYVPPNHPAIADLNFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNMSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM* >Brasy2G448200.1.p pacid=40067144 transcript=Brasy2G448200.1 locus=Brasy2G448200 ID=Brasy2G448200.1.v1.1 annot-version=v1.1 MASCKMATTVMSVLMLALLLASSTKNCIQRPAKDPRCPSLEDCYRKCVAEGYKGGLCTTPICICITCP* >Brasy2G140200.1.p pacid=40067145 transcript=Brasy2G140200.1 locus=Brasy2G140200 ID=Brasy2G140200.1.v1.1 annot-version=v1.1 MVERGAFELPCMRGASNIRLNLGFHSLALPRSGVFAALKVLRLEYVRFPGQCTMDDTMFPFLEQLDIGMSRGLVNQTIRLNPLVGLFLHELVGLRRLNVEARALKVLNVNFCFNLHESQQFSADIKAEGLEVLHWVDSYDPALVKFSEMPCLQQVAPFPVFLSGVRQGLNHHCERMLKRFSSIQSVILWVMAPARYSHDLVNYQPLIEGISSLPEVEILQLNFRTREHVYGARVLHLLTMCTCIRVLNLILHADFKGQNSCPLHCACDPNPDWRSQNIPMKVLERVQIVNFGGKEHEVDFVKQLLRVAPALKKMSITCHPSVTDREELHEELENFMTQERGTPVEVTYPRWRPCPCVKCVGR* >Brasy2G467600.1.p pacid=40067146 transcript=Brasy2G467600.1 locus=Brasy2G467600 ID=Brasy2G467600.1.v1.1 annot-version=v1.1 MDDGTSIRTTVTNSGHLVECFLKEVQQRFHEEVQAAEPHEDADVQHCLIVGLDTAWRQVSHEGRRAKSFQIALLQLCVGDRCLVFQNLNADYVPGQLAEFLADPDHCFVAVGVGGDEQRLREDCGMEVACTMDLPEVAAAVLRRPKLRQSGLKTLAREVMGALIDKPKRVTLSDWSSEHLTWEQVRYACIDAFVSFDVGRRNL* >Brasy2G294800.1.p pacid=40067147 transcript=Brasy2G294800.1 locus=Brasy2G294800 ID=Brasy2G294800.1.v1.1 annot-version=v1.1 MDTNSGDPRGFVQEEDQADEAVCEAEEEYYTSDSDDDDVGRYVFLARQPGPAEASDDDDAMPVEGGGGGGGRKTHLRDREISGAPPPPPPAQKKARLGLTGPHGAGPEEAVRFRGEGRGKDVEQEAWPERTAGGARTRGARQDEEEPNSKQRGSGVQDGRQAAPVLQLQKKSFLCNVCGRSFGSHQALGGHVHLGHRKKTAETINAAGHDDVAGGNGYRDEKVSIAMVEAAVTSHEDAAVAASSGESDPDGDGNGKKSVPVGACRQGVDSSSGRLERNDGSSNGRGMNNAAANIYHGDHGDGGESNVGQEKATVAVVTIRNGGNGTERKVAAAVGRCHEPTNGKAANSSAVRKTRHRCEVCGKECLSGQALGGHMRKHRKRSPEADGTPVLV* >Brasy2G360700.1.p pacid=40067148 transcript=Brasy2G360700.1 locus=Brasy2G360700 ID=Brasy2G360700.1.v1.1 annot-version=v1.1 MATLVRHDSNSTRYSWLWVSHISPKNSKWLQENLTDMDVMVKAMIKLINEDADSFARRAEMYYKKRPELMKHVEEFYRAYRALAERYDQATGALRQAHRTISEEFPNQMPSMSEESPSSQEVEPRTPEMQIPLRAPFDPDDLHKDALGVSPQIFTVKRNGTHPDEISSSRKGLKQFNDLFASCDSAHRVSFPDGKVRKGLSFESPDAKGKEDDIMKLQQEISKLSAESQSLKQQVSSESQRANNAESESQSLKDTISCLRSEKDAALLQYSVSTKRFSALESELSKAHTELKKLSDDLTMEVEKLNCAESCNSTMQSELEILNQKIRVQEQELAQHRKETESFHSSLQDESAKRKQAEDDLCTIEKEYTKSQEEVRRLALEVGAANDRLSEFKEVKLNLEDTVCELKKEIVKLNEQKQFSELLIEELHGNIDSLGDSKSKIEREIQALTSTISQISTEKDVALLQHHQCIEEVSDLESKLTKAQSEREKIELKVQMLVQELEQKGEEADAIRAQLQDEHFNHMQKEAALLAMEDLHSQSQEEAKRLAQDLVQSNKKLGDLEHKNFKLHNMLQEHERMVSELNSKNDTSLLQQQKSLERVSCLEAQLLVAQLETEKIIKKAEKSSKRVSYLESQILVAHSETEKIVKKAQMLEQELEHKNKEVGKLQSTLQEEGQKCIHAETAFRRVEHLHLQSQEEAKTLAQNLETLSKKLGEVENERLDLQNISRELKSTISEMNSEKDAMLLQQQQSSDRVSCLEAQLFGIQSELEKNQQKVQLLEQELKHKKKEVNGLQNNLEEESHKRMHAEAELTMVKNLLSKSQEEVRRLVMDLENLNNKFSDVQDSKLVVEELSCELRNAICVLNAEKEAALVQQRLSFEKVSDLNSEVSKIQLELEKTENKLQMLEQELVQKNGMVEFLQSSLQEEGKKRVQAETSLFSNKNLYSQSQQEVNRLALEVGTLNRKLNEVETLSSELKNTILLLNSEKDTTLLKHKQSLVRTSDLESELSEVQVELKNAEQKVQMLDKELKQKREEVDSLQISLGDEAQKRTEGEEALLMMTNEHSNSREEVNRLALEISMLNRKLNEVENVSSELKKTILLLNSEKDTALLQQKESLVRVSNLEIKLSEVQAELEKLKLKREEVDALQTSLKDEAQRHIEGEAALLMMTNLHSQSQEEVSMLVLEIERLNGKLNEMENSKIDLENMISKHAEDNRILGEQNLSSESIIRGLHDELDMLKEMKVNLKNEVGLQIGENEVLQQQLTHQIKDKEVLGKQYCSLEIEMEALNRRAATLQQVLEEKVCGMEKLSDEFSILKKSFSNTIAEMEALKETVKELEDSESSLKYDVSLHSSEKDALALELHVLNKKYAEVSEQKSMLETSFSNVNSELAELRMKLEASEELSQSYLAEKDNILSQLESTTLSMKSLEDEHGDLGDRHSSLLAEKDLLYSQLRNLQDQLEIRNEQHEALLRLHQIQINDFEVTVSSLREKICHMDQMLDQEQQECTCASISALILNNSLADVKDKNFALFDECQKFIEATHSAEALIARLEEEAKNEEEEKKALLNHNKNLRDWISQQIKILNICEDLGRPGVVHDEIILQTLSRETFNHVKHKEESEHRNIFMEAELSVLGTILTQIVLDSRDLHWQKCELEKEAETGAAELLLLQNKNHELIKLNEQLGQRLQQGSEREEKLKIELNSVIAQLMQKDDKLCKADEKNQSLQETNQELCRVLRDLEASMEDAKGVKGELEKIIATLTEQVAERDNDFLLLCEAKVALQGEVDIHKQKEKSLMSTLEMVTAEAEQHEKEIVSLVSDMITCSVNVMIYEEHLLELMMECEALEIRMITEREMLMKEISSRNAYVDDLHKRIASMGGENAELKAEMSISQQFVASLSDQLAGLEEGTLLLSELNKEGKLEFVQEDRRGSESQDKSSGVLKLQSLIARVEALRVVILDAKDRRDKEFTESAAKLEAAHVEIEDLKTRKVSCTERKEQNMDDDRQKYDADNSKGKQVQIMKDIELDQVSTCSRYGTGATVYPLGGDANAELDDEMLQLWETAEKDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELARGRDLGIDRLEMSTASLEPQQLWSKNVLEKLATDAQGLLIIQASIEEVKQKIEGTLKGKSPTSSEYSSVRAQLQEIEGSVLEQIDFNNSLTKKAENYPAFEVNAELEGYSSRRRISEQVQKGTEKVARLDLELQKIQYVLLKLEEEYEYKRVKVSDKRSRLLLRDYVYARKDKNDAAQKKKSRVPFCGCVRPKTRTEP* >Brasy2G071200.1.p pacid=40067149 transcript=Brasy2G071200.1 locus=Brasy2G071200 ID=Brasy2G071200.1.v1.1 annot-version=v1.1 MIASLKLTSHHIPFQFCSSLPPSPPFPSPDGTPNPKPRLRLPRPAPAAPPAAVLPSPPSPPPHRPAARHRRRRLRRGQRGQEAAVARGRGWEGDGSRHARQPLRRRRAQRALPPAGAARRARGLHGGPGRLAARPGRAPARSLVVKYWEAGGNCNLAFAAARLGLRCSTLGHVGEEVYGKFLLDVLEAEGISVVGMLQSTDTSACRRAYETLLCWVLVDPFQKHGFCSRADFSKEPAFSWIHKLPAETKTAIRHSKILFCNGYAFDGLPPDVIASAIDCAIDAGTAAFFDPGPRGKSLLHGNLDEQRALEHALRLSDVLLLTSDEAESLTNIRNPIESGQELLRRGIRTKWVVIKMGSKGSIMITGSAVSCAPSFKIHVVDTVGCGDSFTAAIAFGFLHNLPSISTLTLANAVGAATATGCGAGRNVARLDKVLHLLRECDLNEDSTTWSELVEGCSACPEVSILSNAAINGFNDRRLANVVPIRTVVSNLLSMLEAVPEEALSRLNAVLNSRLLYFYTRIDPLPVCHAVLRG* >Brasy2G071200.2.p pacid=40067150 transcript=Brasy2G071200.2 locus=Brasy2G071200 ID=Brasy2G071200.2.v1.1 annot-version=v1.1 MALQTLNPASVSRAPLPRRHPPLSFPHLPPRRRTARPRAIAVAVSGAVNEARRRPSHGAGDGKETDLATLGNLCVDVVLSVPCLPPAQRDEREAYMEGLAASPPDQKYWEAGGNCNLAFAAARLGLRCSTLGHVGEEVYGKFLLDVLEAEGISVVGMLQSTDTSACRRAYETLLCWVLVDPFQKHGFCSRADFSKEPAFSWIHKLPAETKTAIRHSKILFCNGYAFDGLPPDVIASAIDCAIDAGTAAFFDPGPRGKSLLHGNLDEQRALEHALRLSDVLLLTSDEAESLTNIRNPIESGQELLRRGIRTKWVVIKMGSKGSIMITGSAVSCAPSFKIHVVDTVGCGDSFTAAIAFGFLHNLPSISTLTLANAVGAATATGCGAGRNVARLDKVLHLLRECDLNEDSTTWSELVEGCSACPEVSILSNAAINGFNDRRLANVVPIRTVVSNLLSMLEAVPEEALSRLNAVLNSRLLYFYTRIDPLPVCHAVLRG* >Brasy2G253800.1.p pacid=40067151 transcript=Brasy2G253800.1 locus=Brasy2G253800 ID=Brasy2G253800.1.v1.1 annot-version=v1.1 MADEDPSPAAAAFPREASPTVLDSLGEDITRIVYPVSACMLIVVLLVSALSSPSSPSPITASFAAATGGSAPSGGSGDDLTTALITAGTFVVAITAATFLLALLFYLRCTPCLRAYLGFSSIWILLLLGGQISLLLLSRLRLPLDAVSFAVLLPNAVAALALATLSPKSVPIALHQSALLVIAVLTAFWFTLLPEWTTWALLVAMAVYDLGAVLIPGGPLRVLLELAIERNEEIPALVYEARPVDPRQGQNWRLWRERRQPGGNLDPNSTVEVIAEVLGRNPVPDVSSDPASAQISEVLALPETRLTVAEMSVPLIQSHPDRAREENTDVEDEDEDGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSITLGVLFYVLTRTLLEIFVMQCSTNLVMF* >Brasy2G305400.1.p pacid=40067152 transcript=Brasy2G305400.1 locus=Brasy2G305400 ID=Brasy2G305400.1.v1.1 annot-version=v1.1 MELASRTFQCYKYCHRMLQLSNPKHQALFQRVHSLQTAEKDFLCQNPPLLPGH* >Brasy2G327500.1.p pacid=40067153 transcript=Brasy2G327500.1 locus=Brasy2G327500 ID=Brasy2G327500.1.v1.1 annot-version=v1.1 MQQQHLMQMNQSMMGGYASPTTVTTDIIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQQNLMYLAAIADSQPPQTASLSQYPSNLMMQSGPRYMPQQSAQMMSPQAQSLMAARSSMMYAQQQAAMSPLQQQQQQQQAAAAQAQHGHGQQLGMSSGTTSGFNILHGEASMGGGAGNSMMSAGVFSDYGGRKEGSASLSADARGANSGAHSGDGEYLKGTEEEGS* >Brasy2G362900.1.p pacid=40067154 transcript=Brasy2G362900.1 locus=Brasy2G362900 ID=Brasy2G362900.1.v1.1 annot-version=v1.1 MFSRDNNRLDYQLFFRTRGAFRSLGWNGLVLIICVSNCLVWLLTSSQSDWVAQLIGLLLVGPYICVEVARLLLCNPLKHGISLWSPIFAILVSMLMAPALLYNLDDDSRIQRRNILLTAHMILLVVVLLVTLSRLRFPGIVKLVDSTLGHKLLPWRRVIIRLCMLAAMVMLVFTFDRYDFRVLIIIFQVLALVVVSFGNLQIPAAGLRIGLALSRLTDNNYLGDDDQMVPEKINLVPSLNIFYTMVLGQGILYIVACILEVFSFILRRFLVRRAEFRGRVGVEHVNLYYAYTFEKSMRGAVLAPEKISLLRFAMDSLKSDSPKMQLHGVQILHNLLKKEPFKTKAISRLTNSMNTMTSLFNMLGRTSEGDKDIRSYATKVMAEIADSLRIVSIPGAMQLIASLLDIGHRQKIIDPLLDIDIPEAEKDTSSQPVGKEKHKFMMLKWWKQMAIYCLIPTEDRQSSNRDEPNSCMLRCWKWITKCWSIPKENPSTNQDLLPVLAMSILDKLASFDLENCMEISRATGLISKIIDYTRSRTEMKNIDEAQQIMLKGSSLKLLRTLVGTKGKFGVTLRQKVSEHPFLLSNLAHILDDSCSSREHKELTAEILRNLAMDGNTRAEIGRIRNIISRLMHAFISKGGPSSTNSEHLLGKITGQALALLAMENTNNCLVMLAEPDYVFIRELTIMIHSERYKYVAASLLRNMCVHARPQLTSSDLKDLSQILPKVLGGIMDMDTEWAELEILVGLSSQMCNVLPEYFSQELEHIQIKETFIRRLVDVLDANGIPTSQCPGIRRVVVEHAIYMMEGNPNYTSCFKQCQMMEALLMVERTPSRAENYRFFLDDAGVMEHNVPLPALVARAKELMGRGWLQGGIGIVA* >Brasy2G362900.2.p pacid=40067155 transcript=Brasy2G362900.2 locus=Brasy2G362900 ID=Brasy2G362900.2.v1.1 annot-version=v1.1 MVPEKINLVPSLNIFYTMVLGQGILYIVACILEVFSFILRRFLVRRAEFRGRVGVEHVNLYYAYTFEKSMRGAVLAPEKISLLRFAMDSLKSDSPKMQLHGVQILHNLLKKEPFKTKAISRLTNSMNTMTSLFNMLGRTSEGDKDIRSYATKVMAEIADSLRIVSIPGAMQLIASLLDIGHRQKIIDPLLDIDIPEAEKDTSSQPVGKEKHKFMMLKWWKQMAIYCLIPTEDRQSSNRDEPNSCMLRCWKWITKCWSIPKENPSTNQDLLPVLAMSILDKLASFDLENCMEISRATGLISKIIDYTRSRTEMKNIDEAQQIMLKGSSLKLLRTLVGTKGKFGVTLRQKVSEHPFLLSNLAHILDDSCSSREHKELTAEILRNLAMDGNTRAEIGRIRNIISRLMHAFISKGGPSSTNSEHLLGKITGQALALLAMENTNNCLVMLAEPDYVFIRELTIMIHSERYKYVAASLLRNMCVHARPQLTSSDLKDLSQILPKVLGGIMDMDTEWAELEILVGLSSQMCNVLPEYFSQELEHIQIKETFIRRLVDVLDANGIPTSQCPGIRRVVVEHAIYMMEGNPNYTSCFKQCQMMEALLMVERTPSRAENYRFFLDDAGVMEHNVPLPALVARAKELMGRGWLQGGIGIVA* >Brasy2G370700.1.p pacid=40067156 transcript=Brasy2G370700.1 locus=Brasy2G370700 ID=Brasy2G370700.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLGFGG* >Brasy2G207200.1.p pacid=40067157 transcript=Brasy2G207200.1 locus=Brasy2G207200 ID=Brasy2G207200.1.v1.1 annot-version=v1.1 MAAPKSLLLAAALLVVIAGLGAEANKAPLALVAGVVPCSAGSSINVAAVPAFPNADLQLVCGSTEIARAKTDGTGAFNINLGPVGPSLLMPMLSKQCKVVVLTPLAACDVSLASVAGTLAAPVQLLGADSGSGTGGGGLGGLGSIIGLIGQIIGGLLGGILNIVPLPFSLV* >Brasy2G275200.1.p pacid=40067158 transcript=Brasy2G275200.1 locus=Brasy2G275200 ID=Brasy2G275200.1.v1.1 annot-version=v1.1 MGSPAALLLLLTALATAATADAIFYFNPEELASQDSQWQLYGRWASHHEVAREPGRRFSIFVSNARNMVTMQLDASSKRVPLNVFADHSEEELNDYTSCSGSDVEQLLQLPLLEAPAQHDDPPDAVDWREKHAVTHVKHQRGCGSCWAFATTGMVEGRAAINNFLPNAIPLSEQFLLDCTVDMRRNNSCKSGSVADALDFIVIAGGIPRETMYPYRASKGTCDKSKIIFDDSSLQLGGWFRLSQTVENLKRAVAKQPVLVLIATDKIFLDWPVKGASDIYYARGGPKLNHAVLVVGYGANEIGDEFWIVKNSWGRNWGVGGYINISTSLYWSELGAAKILTLPMFAY* >Brasy2G296700.1.p pacid=40067159 transcript=Brasy2G296700.1 locus=Brasy2G296700 ID=Brasy2G296700.1.v1.1 annot-version=v1.1 MRPQFTKRTKTCFTLRLPLLALMVRLLPSLPPYLASLPKPTAPAFSSSLRPPTMSSSTPVPAATASAEASRPRKLPVLLFDVMDTIVRDPFYHHIPSFFQMSMKELLESKHPTAWSEFEMGMINESELAKKFFNDGRSFDLEGLKDCMVRAYEYVDGVEDILRSLKKNNYEMHAFTNYPVWYQLIEEKLKLSEYLSWTFCSCQIGKRKPSCDFYLHAVNHLSIDPGNCIFIDDRMVNIEAAISVGMVGLHFKDAEVLKNDLFSQGVELAPLVPEGEIEVQ* >Brasy2G296700.2.p pacid=40067160 transcript=Brasy2G296700.2 locus=Brasy2G296700 ID=Brasy2G296700.2.v1.1 annot-version=v1.1 MRPQFTKRTKTCFTLRLPLLALMVRLLPSLPPYLASLPKPTAPAFSSSLRPPTMSSSTPVPAATASAEASRPRKLPVLLFDVMDTIVRDPFYHHIPSFFQMSMKELLESKHPTAWSEFEMGMINESELAKKFFNDGRSFDLEGLKDCMVRAYEYVDGVEDILRSLKKNNYEMHAFTNYPVWYQLIEEKLKLSEYLSWTFCSCQIGKRKPSCDFYLHAVNHLSIDPGNCIFIDDRELNWHLLYLKVKLKYNKVASCFLCAHDYTIQTSK* >Brasy2G091800.1.p pacid=40067161 transcript=Brasy2G091800.1 locus=Brasy2G091800 ID=Brasy2G091800.1.v1.1 annot-version=v1.1 MEPAASTPRGALPRKRRRSQSPPPAGEGPVEPALARPRFGDNLDLILSLQGKELPLKRKIELAFNFITTESNRSSYGHRADNIQLSRMVSFIGNWVQSILILPEKKMSESFDPVLDYRCWVILRFCIEKKPSVSISLNLLKTLGRVAKHGLSRVNVTACGDDESFELFKQVLECMSFLFSSSTRAFFNAGVDLWTFCIIEAINLVQKVSPNEKNECTVLQDFANCLLEQFSSFLRFYANPKNIFRTFVDKILDPLLELLVLLNSQANSIKHKQAGTTLKIVEEILSNGLFHPQHLSGYFGLKNLNKASIAKDVRGSYHRHLFERFKGIKAESKAVLLAGFGNLLQLFVSRARSQTTSLAPRGTSFKSPQKSSKGSEEPQHHRESLFEVFMQFMEPLVLECKSYSQKDFSTLGVTKLVEVHCMLKSINEILTTVTKEKLYVPTEDTSEGSYLQFLQDIYRVLILMSEKTYDFWVSAVCSEDTNVKKMLPLMFVEIVVAVGHFVEIEYKVMGDDLVKLWSMIFALSAINASSKDIKPCFLLTSKISSLSAQVIHTFSELRQVAHSICMLCNSVRTFSAVAGPDVVPGPFSVASLSSHKCLESLATLLSSQTLRDAICTSINSMPEGQSSRCIEELTVDLTGTLKWMKSCSEDVDLESQGKSRSSARKSVFNQKAELFGRHLSELYTNVLDSITVTPSNTTLVAKSVERLVNAIRPNLIQLVRNESINLSEFIRSVVGKNLSDKQCVNWQKISSLSWLFVFFFRIYASCRSLYRQCVGLMPPDLAIEATELMGISFTVCCGNEWTNTANVIAEGYFAWIVESSGSLLDGIEILSQSIPTNHSGLTMLVYILHVMALQRLNDLNRQINAFDFLLEEDTHQIDKEDRGNTELLKESCCLEATRLTNFMMSYVRILSSGENSYFGCYEISSSWDLSICSLDEGSFPVATWQLLCENIDIWSSHASKKDLKNFFSNLIKFSFVQKRSCKDEENSSCRYSYREITLHTISVELLCDAIIYDRKVLLKNLTSSFCHALKKSASSFVTRADEDNALLDISPDLMEILNKLDSEKLLGTYPDATHAHMVDKYRICENLLKFFSTAPGFYSNSKSFLRLITYILHLERLLLLALLSRRYEFCNPIELICLFVCCRRAMKNLVLKFGEGYPESKQYSAFSKLVGNSYSLIWLLRSVQEIVGLSHKIFEAHPDQKKKTLFSLVDTTSEIFSILANMNSRFCLFGPEKKIGSSLKHSPSESNTSEHDGQTFDILESSAFEYVKTMAEQLEKTTTGIPVTVNGRNCVIKIENCYSTVCWDKLLCPMSCIGGFLWGFISALESTIKDYPVSSSEERKSMLQYASNFSISIAKFETFVDICLHVLFMENKGCESVDLMSARLPQELECENGFLNIDVVMDGCTMHETKDNGLQSDVLPSIPSENHYVGPFNIRCMKESLLENLLNGEGPFVAFTLRELYSVSAAIAKLKGLLSFPSDVCRQACNPFEQLSMGPMVGTAYIALQKIADMSNWPDMYSLIWIDGILRYLEVIGTLPKLSLSKELYAQIVNAHMRAIGKCILLQGKSATLPTHEIGSSTKTLHLKNTSGYVTRNVIDRQNILTSLKSRLRLSMRKFVNITSNMHLSATLQVIERALVGVNQYSHSIYEVKTGNYDGGTVSSDVAAGIDCLYLVLESVPGNKRVFKRTIPDLVGALFNIVLHLQSPLIFYMEKLPPLYPGFHPDAGAVVLMCVEVITAFVGRHTLQINACNVSQCLHLPVTLFKGFKHLLAGRSGSCSSDISRGQTAGQPVESKEYILDRQFSIDMYAACCKLLCTALRHQQREVGRCVAVLEDSVNILLSCLESSDTKMVSLAGYFAWNKEEAIKCASFFRRIYEEMRQQRVALGKHSMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLANLVHDYKLHFQYQGKI* >Brasy2G091800.2.p pacid=40067162 transcript=Brasy2G091800.2 locus=Brasy2G091800 ID=Brasy2G091800.2.v1.1 annot-version=v1.1 MEPAASTPRGALPRKRRRSQSPPPAGEGPVEPALARPRFGDNLDLILSLQGKELPLKRKIELAFNFITTESNRSSYGHRADNIQLSRMVSFIGNWVQSILILPEKKMSESFDPVLDYRCWVILRFCIEKKPSVSISLNLLKTLGRVAKHGLSRVNVTACGDDESFELFKQVLECMSFLFSSSTRAFFNAGVDLWTFCIIEAINLVQKVSPNEKNECTVLQDFANCLLEQFSSFLRFYANPKNIFRTFVDKILDPLLELLVLLNSQANSIKHKQAGTTLKIVEEILSNGLFHPQHLSGYFGLKNLNKASIAKDVRGSYHRHLFERFKGIKAESKAVLLAGFGNLLQLFVSRARSQTTSLAPRGTSFKSPQKSSKGSEEPQHHRESLFEVFMQFMEPLVLECKSYSQKDFSTLGVTKLVEVHCMLKSINEILTTVTKEKLYVPTEDTSEGSYLQFLQDIYRVLILMSEKTYDFWVSAVCSEDTNVKKMLPLMFVEIVVAVGHFVEIEYKVMGDDLVKLWSMIFALSAINASSKDIKPCFLLTSKISSLSAQVIHTFSELRQVAHSICMLCNSVRTFSAVAGPDVVPGPFSVASLSSHKCLESLATLLSSQTLRDAICTSINSMPEGQSSRCIEELTVDLTGTLKWMKSCSEDVDLESQGKSRSSARKSVFNQKAELFGRHLSELYTNVLDSITVTPSNTTLVAKSVERLVNAIRPNLIQLVRNESINLSEFIRSVVGKNLSDKQCVNWQKISSLSWLFVFFFRIYASCRSLYRQCVGLMPPDLAIEATELMGISFTVCCGNEWTNTANVIAEGYFAWIVESSGSLLDGIEILSQSIPTNHSGLTMLVYILHVMALQRLNDLNRQINAFDFLLEEDTHQIDKEDRGNTELLKESCCLEATRLTNFMMSYVRILSSGENSYFGCYEISSSWDLSICSLDEGSFPVATWQLLCENIDIWSSHASKKDLKNFFSNLIKFSFVQKRSCKDEENSSCRYSYREITLHTISVELLCDAIIYDRKVLLKNLTSSFCHALKKSASSFVTRADEDNALLDISPDLMEILNKLDSEKLLGTYPDATHAHMVDKYRICENLLKFFSTAPGFYSNSKSFLRLITYILHLERLLLLALLSRRYEFCNPIELICLFVCCRRAMKNLVLKFGEGYPESKQYSAFSKLVGNSYSLIWLLRSVQEIVGLSHKIFEAHPDQKKKTLFSLVDTTSEIFSILANMNSRFCLFGPEKKIGSSLKHSPSESNTSEHDGQTFDILESSAFEYVKTMAEQLEKTTTGIPVTVNGRNCVIKIENCYSTVCWDKLLCPMSCIGGFLWGFISALESTIKDYPVSSSEERKSMLQYASNFSISIAKFETFVDICLHVLFMENKGCESVDLMSARLPQELECENGFLNIDVVMDGCTMHETKDNGLQSDVLPSIPSENHYVGPFNIRCMKESLLENLLNGEGPFVAFTLRELYSVSAAIAKLKGLLSFPSDVCRQACNPFEQLSMGPMVGTAYIALQKIADMSNWPDMYSLIWIDGILRYLEVIGTLPKLSLSKELYAQIVNAHMRAIGKCILLQGKSATLPTHEIGSSTKTLHLKNTSGYVTRNVIDRQNILTSLKSRLRLSMRKFVNITSNMHLSATLQVIERALVGVNQYSHSIYEVKTGNYDGGTVSSDVAAGIDCLYLVLESVPGNKRVFKRTIPDLVGALFNIVLHLQSPLIFYMEKLPPLYPGFHPDAGAVVLMCVEVITAFVGRHTLQINACNVSQCLHLPVTLFKGFKHLLAGRSGSCSSDISRGQTAGQPVESKEYILDRQFSIDMYAACCKLLCTALRHQQREVGRCVAVLEDSVNILLSCLESSDTKMVSLAGYFAWNKEEAIKCASFFRRIYEEMRQQRVALGKHSMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLANLVHDYKLHFQYQGKI* >Brasy2G091800.3.p pacid=40067163 transcript=Brasy2G091800.3 locus=Brasy2G091800 ID=Brasy2G091800.3.v1.1 annot-version=v1.1 MEPAASTPRGALPRKRRRSQSPPPAGEGPVEPALARPRFGDNLDLILSLQGKELPLKRKIELAFNFITTESNRSSYGHRADNIQLSRMVSFIGNWVQSILILPEKKMSESFDPVLDYRCWVILRFCIEKKPSVSISLNLLKTLGRVAKHGLSRVNVTACGDDESFELFKQVLECMSFLFSSSTRAFFNAGVDLWTFCIIEAINLVQKVSPNEKNECTVLQDFANCLLEQFSSFLRFYANPKNIFRTFVDKILDPLLELLVLLNSQANSIKHKQAGTTLKIVEEILSNGLFHPQHLSGYFGLKNLNKASIAKDVRGSYHRHLFERFKGIKAESKAVLLAGFGNLLQLFVSRARSQTTSLAPRGTSFKSPQKSSKGSEEPQHHRESLFEVFMQFMEPLVLECKSYSQKDFSTLGVTKLVEVHCMLKSINEILTTVTKEKLYVPTEDTSEGSYLQFLQDIYRVLILMSEKTYDFWVSAVCSEDTNVKKMLPLMFVEIVVAVGHFVEIEYKVMGDDLVKLWSMIFALSAINASSKDIKPCFLLTSKISSLSAQVIHTFSELRQVAHSICMLCNSVRTFSAVAGPDVVPGPFSVASLSSHKCLESLATLLSSQTLRDAICTSINSMPEGQSSRCIEELTVDLTGTLKWMKSCSEDVDLESQGKSRSSARKSVFNQKAELFGRHLSELYTNVLDSITVTPSNTTLVAKSVERLVNAIRPNLIQLVRNESINLSEFIRSVVGKNLSDKQCVNWQKISSLSWLFVFFFRIYASCRSLYRQCVGLMPPDLAIEATELMGISFTVCCGNEWTNTANVIAEGYFAWIVESSGSLLDGIEILSQSIPTNHSGLTMLVYILHVMALQRLNDLNRQINAFDFLLEEDTHQIDKEDRGNTELLKESCCLEATRLTNFMMSYVRILSSGENSYFGCYEISSSWDLSICSLDEGSFPVATWQLLCENIDIWSSHASKKDLKNFFSNLIKFSFVQKRSCKDEENSSCRYSYREITLHTISVELLCDAIIYDRKVLLKNLTSSFCHALKKSASSFVTRADEDNALLDISPDLMEILNKLDSEKLLGTYPDATHAHMVDKYRICENLLKFFSTAPGFYSNSKSFLRLITYILHLERLLLLALLSRRYEFCNPIELICLFVCCRRAMKNLVLKFGEGYPESKQYSAFSKLVGNSYSLIWLLRSVQEIVGLSHKIFEAHPDQKKKTLFSLVDTTSEIFSILANMNSRFCLFGPEKKIGSSLKHSPSESNTSEHDGQTFDILESSAFEYVKTMAEQLEKTTTGIPVTVNGRNCVIKIENCYSTVCWDKLLCPMSCIGGFLWGFISALESTIKDYPVSSSEERKSMLQYASNFSISIAKFETFVDICLHVLFMENKGCESVDLMSARLPQELECENGFLNIDVVMDGCTMHETKDNGLQSDVLPSIPSENHYVGPFNIRCMKESLLENLLNGEGPFVAFTLRELYSVSAAIAKLKGLLSFPSDVCRQACNPFEQLSMGPMVGTAYIALQKIADMSNWPDMYSLIWIDGILRYLEVIGTLPKLSLSKELYAQIVNAHMRAIGKCILLQGKSATLPTHEIGSSTKTLHLKNTSGYVTRNVIDRQNILTSLKSRLRLSMRKFVNITSNMHLSATLQVIERALVGVNQYSHSIYEVKTGNYDGGTVSSDVAAGIDCLYLVLESVPGNKRVFKRTIPDLVGALFNIVLHLQSPLIFYMEKLPPLYPGFHPDAGAVVLMCVEVITAFVGRHTLQINACNVSQCLHLPVTLFKGFKHLLAGRSGSCSSDISRGQTAGQPVESKEYILDRQFSIDMYAACCKLLCTALRHQQREVGRCVAVLEDSVNILLSCLESSDTKMVSLAGYFAWNKEEAIKCASFFRRIYEEGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLANLVHDYKLHFQYQGKI* >Brasy2G091800.4.p pacid=40067164 transcript=Brasy2G091800.4 locus=Brasy2G091800 ID=Brasy2G091800.4.v1.1 annot-version=v1.1 MEPAASTPRGALPRKRRRSQSPPPAGEGPVEPALARPRFGDNLDLILSLQGKELPLKRKIELAFNFITTESNRSSYGHRADNIQLSRMVSFIGNWVQSILILPEKKMSESFDPVLDYRCWVILRFCIEKKPSVSISLNLLKTLGRVAKHGLSRVNVTACGDDESFELFKQVLECMSFLFSSSTRAFFNAGVDLWTFCIIEAINLVQKVSPNEKNECTVLQDFANCLLEQFSSFLRFYANPKNIFRTFVDKILDPLLELLVLLNSQANSIKHKQAGTTLKIVEEILSNGLFHPQHLSGYFGLKNLNKASIAKDVRGSYHRHLFERFKGIKAESKAVLLAGFGNLLQLFVSRARSQTTSLAPRGTSFKSPQKSSKGSEEPQHHRESLFEVFMQFMEPLVLECKSYSQKDFSTLGVTKLVEVHCMLKSINEILTTVTKEKLYVPTEDTSEGSYLQFLQDIYRVLILMSEKTYDFWVSAVCSEDTNVKKMLPLMFVEIVVAVGHFVEIEYKVMGDDLVKLWSMIFALSAINASSKDIKPCFLLTSKISSLSAQVIHTFSELRQVAHSICMLCNSVRTFSAVAGPDVVPGPFSVASLSSHKCLESLATLLSSQTLRDAICTSINSMPEGQSSRCIEELTVDLTGTLKWMKSCSEDVDLESQGKSRSSARKSVFNQKAELFGRHLSELYTNVLDSITVTPSNTTLVAKSVERLVNAIRPNLIQLVRNESINLSEFIRSVVGKNLSDKQCVNWQKISSLSWLFVFFFRIYASCRSLYRQCVGLMPPDLAIEATELMGISFTVCCGNEWTNTANVIAEGYFAWIVESSGSLLDGIEILSQSIPTNHSGLTMLVYILHVMALQRLNDLNRQINAFDFLLEEDTHQIDKEDRGNTELLKESCCLEATRLTNFMMSYVRILSSGENSYFGCYEISSSWDLSICSLDEGSFPVATWQLLCENIDIWSSHASKKDLKNFFSNLIKFSFVQKRSCKDEENSSCRYSYREITLHTISVELLCDAIIYDRKVLLKNLTSSFCHALKKSASSFVTRADEDNALLDISPDLMEILNKLDSEKLLGTYPDATHAHMVDKYRICENLLKFFSTAPGFYSNSKSFLRLITYILHLERLLLLALLSRRYEFCNPIELICLFVCCRRAMKNLVLKFGEGYPESKQYSAFSKLVGNSYSLIWLLRSVQEIVGLSHKIFEAHPDQKKKTLFSLVDTTSEIFSILANMNSRFCLFGPEKKIGSSLKHSPSESNTSEHDGQTFDILESSAFEYVKTMAEQLEKTTTGIPVTVNGRNCVIKIENCYSTVCWDKLLCPMSCIGGFLWGFISALESTIKDYPVSSSEERKSMLQYASNFSISIAKFETFVDICLHVLFMENKGCESVDLMSARLPQELECENGFLNIDVVMDGCTMHETKDNGLQSDVLPSIPSENHYVGPFNIRCMKESLLENLLNGEGPFVAFTLRELYSVSAAIAKLKGLLSFPSDVCRQACNPFEQLSMGPMVGTAYIALQKIADMSNWPDMYSLIWIDGILRYLEVIGTLPKLSLSKELYAQIVNAHMRAIGKCILLQGKSATLPTHEIGSSTKTLHLKNTSGYVTRNVIDRQNILTSLKSRLRLSMRKFVNITSNMHLSATLQVIERALVGVNQYSHSIYEVKTGNYDGGTVSSDVAAGIDCLYLVLESVPGNKRVFKRTIPDLVGALFNIVLHLQSPLIFYMEKLPPLYPGFHPDAGAVVLMCVEVITAFVGRHTLQINACNVSQCLHLPVTLFKGFKHLLAGRSGSCSSDISRGQTAGQPVESKEYILDRQFSIDMYAACCKLLCTALRHQQREVGRCVAVLEDSVNILLSCLESSDTKMVSLAGYFAWNKEEAIKCASFFRRIYEEGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLANLVHDYKLHFQYQGKI* >Brasy2G052800.1.p pacid=40067165 transcript=Brasy2G052800.1 locus=Brasy2G052800 ID=Brasy2G052800.1.v1.1 annot-version=v1.1 MGKGPAPFIHIGKGAKDLLYKDYNFDQKFSLSTTSNSSLGLTATGVKIDELFIGDIQTQHKSGRTTVDVKIDSDSIVSTTVTVNEAITGLNSKLSFRVPDQMSGKLDLQYLHDRFALNSTIGLTSAPLVELAATVGTNELAVGAEVGFDSTSASVTKYNSGISYNKHDISAALLLADKGETLKASYIHLFSPTSAVAVEATHRLKTKENYFTIGSSHALDSSTLLKTRFSNNGKAGLLCQHEWRPKSFVTLSAEYDPKLVSSPSRFGVAIALKP* >Brasy2G045800.1.p pacid=40067166 transcript=Brasy2G045800.1 locus=Brasy2G045800 ID=Brasy2G045800.1.v1.1 annot-version=v1.1 MQIHPLQPIREARRRPVPHRHVTLTLSTSHHLISFHFQHTATEALLHILHTYTYHHKKELPTRTRTAHATRKLITSRSNISINQFKPRRPNNMGNCFGSSCPEEKEKQPQSPAKPAGKELSWPKENGGGVEQEQYGRWPSVNGGGGGVVLEAARLRVFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWADERTLNPAKSGAGVVVAVKKLNPESVQGLQEWQSEVDFLGRLSHPNLVKLLGYCGEDRELLLVYEFMPKGSLENHLFRRGAAFEPLSWDTRLKIAVGAARGLAFLHSPDAQIIYRDFKASNILLDSDFSPKLSDFGLAKHGPAAGKSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPTHQQSLVDWARPYIAGAGAGSSGRKLAGLMDARLAGQYPPKAALRAARLAHRCLCGDPKTRPSMDDVVARLEEIESMAAAKTPRGTRDSLPPPRRSPYRSSGAKP* >Brasy2G387500.1.p pacid=40067167 transcript=Brasy2G387500.1 locus=Brasy2G387500 ID=Brasy2G387500.1.v1.1 annot-version=v1.1 MESKEPMPLLTPYSLSPSLSLSHRIILAPLTRQRAYGNIPQKHHVTYYSQRASAGGLLVTEATGVSDTAQGYRDTPGIWTAEQVEAWRPVVDAVHAKGAAIFCQIWHVGRVSTFEFQPGGKAPVSSTTKGVGPQFSFDGRVEEFSPPRKLEVEEIPGIVDDFRKAARNAIDAGFDGVELHGANGYIIEQFLKDSSNDRDDEYGGSLEKRCRFALEVVDAVVKEIGCDRVGIRLSPFTDYMDCHDSDPHALALYMSTKLNDHGVVYLHMIEPRMAIVEGRRVVPKRLLPYREAFKRTFIANGGYDKEEGNKAVDEGYTDLVSFGRLFLANPDLPKRFEIGAEMNNYDRMTFYTSDPVVGYTDYPFLLE* >Brasy2G421700.1.p pacid=40067168 transcript=Brasy2G421700.1 locus=Brasy2G421700 ID=Brasy2G421700.1.v1.1 annot-version=v1.1 MRGRGYAAVGSSRGGGDAAAAGGSSQGARGAADGHPNYRDFFLSLQDEHKQRVDSVLMLRQSVVRIVEKERDKSKISVTGTGTAFLVFVGEKRSLYLTCDHNFKKCLEFSKEELEVSDKVEVLGYASPALKISGGTMDSCLVNVPSPFLGSIEVGPSQAPLPYDPSTLLQQFRDPDIEMYYCETIHTSCKTTRGVSGSPLLKNGRVVGVLCAADKTWRELVTTKTVEQVMREWIYEKQPINSSYPHGTIPDLLKKLSSIIEREVQEPDLQEEEEEEEEEKEEEEEEEEEDKKKKKKNKKKKKKTVTRKHK* >Brasy2G121900.1.p pacid=40067169 transcript=Brasy2G121900.1 locus=Brasy2G121900 ID=Brasy2G121900.1.v1.1 annot-version=v1.1 MMATRRKRALFPLRPRPLPQRRDLLAGLAAAFAVTLLFTVLVLLLPPSSPPPIHTVSSTSRKIPLRCRGASQQQLGELGEMMVSMLPRDLAFTAFVPSPESFRRVLNLRPRNDSVARDGKKAASDDATYAVVSRVLGFSAIPRALRSADVPVRLLDSVSGLRIDAWRDGVDGALVVNGVRSECVDIVRDQTVVHVMAGVLMDAEFQRSFASEFED* >Brasy2G379900.1.p pacid=40067170 transcript=Brasy2G379900.1 locus=Brasy2G379900 ID=Brasy2G379900.1.v1.1 annot-version=v1.1 MADNGSKLRLWCSAFACALAFLGADGFFVDITYVDTAVAKGAVCLDGSAPAYHLARGFGSGADSWLVHFEGGGWCNNVTTCLERKNTRLGSSKEMAKQVAFSGILSNTPDHNPDFYNWNKVRVRYCDGSSFTGDEEEVDPATKLHYRGARVWQAVMEDLLAKGMDRAENALVSGCSAGGLTSILHCDRFRDRMPVEANVKCLSDAGFFINVKDIAGEKHAADFFNDVVTTHGSAKNLPSSCTSKLPPGMCLFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGGSDPHWRSCKHDINQCSEKQLKTLQGFRDDFLKALEEQGSSSSRGLFINSCFAHCQSEIQEIWFAPDSPALGNKKIANAIGDWFYDRSPFQEIDCPYPCDSSCHVFKNSSET* >Brasy2G031400.1.p pacid=40067171 transcript=Brasy2G031400.1 locus=Brasy2G031400 ID=Brasy2G031400.1.v1.1 annot-version=v1.1 MRAVRGTVMSSKPVALPKAALVFSRFVASGSSGMPADAGALVLCAAEATAELYAFRRAARVGPGGEAENTSAPSGDGKRREKKRKKAE* >Brasy2G377500.1.p pacid=40067172 transcript=Brasy2G377500.1 locus=Brasy2G377500 ID=Brasy2G377500.1.v1.1 annot-version=v1.1 MCDYFLHRLDQAGEHQQPAGDLTDILRAGGAMPPVDAADLPSTANEWRLPSPSHLFAPIQPSVASDGSGLSGCNAFEFGADPFSGLQDPFSSCSTDYPSSSGSAAADFFDALAHDAKVGSYVDPAAAAAGGAGGPLDMRNHHMMPIVGPYAAMGRGAVKLGGPMAGGQAAGLCPFDGVGGLQMSSSSSPRSAGGGIKRRFGFDRHPKNQSRKVVCIPAPEAAVPGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNTLVITYTSEHNHPWPTQRNVLAGSTRSHYAKNSSNTTTAANSSKKNSSSRQQKPIIAKAEPRDHHQTAATSTPSTSTGANNGAPPAAVKEEAAGDDTSAATLDDHLLQQMFSQSCYRPMIPDQIQAGGGGGHHDDFFADLTELDSDPVSLIFSTGYMETCRQGGEPDKEKAVDNKDLQLDPFVLDWAPAG* >Brasy2G377500.2.p pacid=40067173 transcript=Brasy2G377500.2 locus=Brasy2G377500 ID=Brasy2G377500.2.v1.1 annot-version=v1.1 MCDYFLHRLDQAGEHQQPAGDLTDILRAGGAMPPVDAADLPSTANEWRLPSPSHLFAPIQPSVASDGSGLSGCNAFEFGADPFSGLQDPFSSCSTDYPSSSGSAAADFFDALAHDAKVGSYVDPAAAAAGGAGGPLDMRNHHMMPIVGPYAAMGRGAVKLGGPMAGGQAAGLCPFDGVGGLQMSSSSSPRSAGGGIKRRKNQSRKVVCIPAPEAAVPGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNTLVITYTSEHNHPWPTQRNVLAGSTRSHYAKNSSNTTTAANSSKKNSSSRQQKPIIAKAEPRDHHQTAATSTPSTSTGANNGAPPAAVKEEAAGDDTSAATLDDHLLQQMFSQSCYRPMIPDQIQAGGGGGHHDDFFADLTELDSDPVSLIFSTGYMETCRQGGEPDKEKAVDNKDLQLDPFVLDWAPAG* >Brasy2G268100.1.p pacid=40067174 transcript=Brasy2G268100.1 locus=Brasy2G268100 ID=Brasy2G268100.1.v1.1 annot-version=v1.1 MPPPAPCCGHMRSLQLPPKILVACCDRPRRGTTLLPPATTADDRPTRRSSLEAISLSYRSSLFLAMASSTAPNHPASGAEIFQYGGRRALILLLVSCLCLSAR* >Brasy2G145700.1.p pacid=40067175 transcript=Brasy2G145700.1 locus=Brasy2G145700 ID=Brasy2G145700.1.v1.1 annot-version=v1.1 MGSVSDSQANGGNHQPPSAEAEDARAEVEEEEIGEKMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPIPCERECSRGDDYRLIKLSVIDFKTKTEKVVVVECRGHDAARLQNVDHLHGWEDDIVGLVQKKHGNKKVFVSFECETLKADKAAEEHIRKYMPNLCGLDAVVNTGKMSISGINLEEDNEPSGDS* >Brasy2G145700.3.p pacid=40067176 transcript=Brasy2G145700.3 locus=Brasy2G145700 ID=Brasy2G145700.3.v1.1 annot-version=v1.1 MGSVSDSQANGGNHQPPSAEAEDARAEVEEEEIGEKMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPIPCERECSRGDDYRLIKLSVIDFKTKTEKVVVVECRGHDAARLQNVDHLHGWEDDIVGLVQKKHGNKKVFVSFECETLKADKAAEEHIRKYMPNLCGLDAVVNTGKMSISGINLEEDNEPSGDS* >Brasy2G145700.2.p pacid=40067177 transcript=Brasy2G145700.2 locus=Brasy2G145700 ID=Brasy2G145700.2.v1.1 annot-version=v1.1 MGSVSDSQANGGNHQPPSAEAEDARAEVEEEEIGEKMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPIPCERECSRGDDYRLIKLSVIDFKTKTEKVVVVECRGHDAARLQNVDHLHGWEDDIVGLVQKKHGNKKVFVSFECETLKADKAAEEHIRKYMPNLCGLDAVVNTGKMSISGINLEEDNEPSGDS* >Brasy2G060700.1.p pacid=40067178 transcript=Brasy2G060700.1 locus=Brasy2G060700 ID=Brasy2G060700.1.v1.1 annot-version=v1.1 MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLAENEWRALGVQQSRGWVHYAVHRPEPHIMLFRRPLNYQQQQEAAAAAAAQMMPK* >Brasy2G384000.1.p pacid=40067179 transcript=Brasy2G384000.1 locus=Brasy2G384000 ID=Brasy2G384000.1.v1.1 annot-version=v1.1 MCEGRRLTDAARPLWAELRRREAAADARLAAARARLAEALAELERARARAAELQRRLEETHGKRRRLKRVAAAARDRVHETRARLQEHQQQQQPTESDPTS* >Brasy2G384000.2.p pacid=40067180 transcript=Brasy2G384000.2 locus=Brasy2G384000 ID=Brasy2G384000.2.v1.1 annot-version=v1.1 MCEGRRLTDAARPLWAELRRREAAADARLAAARARLAEALAELERARARAAELQRRLEETHGKRRRLKRVAAAARDRVHETRARLQEHQQQQQPTESDPTS* >Brasy2G375900.1.p pacid=40067181 transcript=Brasy2G375900.1 locus=Brasy2G375900 ID=Brasy2G375900.1.v1.1 annot-version=v1.1 MAKAGGGLIWATAEDLARSRPVVLSMYRQILRALNSPALPLGHAARLAKKAECRAIFIFGAEERSLHNIRDLLDAGRHTLGLLNRGRLP* >Brasy2G033700.1.p pacid=40067182 transcript=Brasy2G033700.1 locus=Brasy2G033700 ID=Brasy2G033700.1.v1.1 annot-version=v1.1 MAAERIKDVGILAMDIYFPPNCVLQEELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTAVKSLLENYKIDPKTIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNTFCKKYEKLKGKQFSIVDADSFVFHSPYNKLVQKSFARLYYNDFLRNCSIVDKDSREKLEPYSGLSSEESYQSRDLEKVCQQVAKHLYDTKVQPTTLIPKQLGNMYTASLYAAFASVLHNKHDTLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLSNISNILDISNKLESRHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLARGTYYLTHVDSMYRRYYAVKGDAAPVSNGH* >Brasy2G012300.1.p pacid=40067183 transcript=Brasy2G012300.1 locus=Brasy2G012300 ID=Brasy2G012300.1.v1.1 annot-version=v1.1 MGAGGGWAARRRRDPGQEHCRRRQLHRRLLPQGGLLRPLPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGKPMGSYAEEQVIPADVAVSVPPSVDHRTAAAIMLKGMTAHVLLRRVFKVEAGHTILVHAAAGGVGSLLCQWANALGATVIGTVSNEEKATHAAQDGCHHVIIYTKEDVATRVKEITDGKGVNVVYDSVGKDTCKASVECLASRGFLVSFGQSSGSPDPIPMSDLAPKSLFLTRPSMLHYTGTRDELLHSAGEVFANVANGVLRIRVNHTYPLSEAARAHADLEARKTSGSILLIP* >Brasy2G386000.1.p pacid=40067184 transcript=Brasy2G386000.1 locus=Brasy2G386000 ID=Brasy2G386000.1.v1.1 annot-version=v1.1 MRCSVKRVNAFLLDPNNVQQRSIQKLRLQTCGKSRFIDQWITAAIGRWGVADLELVIDNFGWYYDIGLLSGLQNIQLKRLMLSNCYHNGSHYPLVFQRLTTLILCKGSIAHVYDMMRNCTQLVDLRLKHYSYDPQGAFHINVPTSKLKNLQLDNCNIGKIYLTSLPCLETFACRGQPTKLYYGEVPRLMHVSLDFLQTGGNGEDDSSGSNMTYPLSKFFKGMPPPLQYLVLQFRGRQMWIEPTITHNQFNLPKKLLIVNMPMNWDTFWILILLGAAPALESLYVHINNDSEETSVGSLDVQVEHRQHHHLKELVVVGFDEMGW* >Brasy2G324800.1.p pacid=40067185 transcript=Brasy2G324800.1 locus=Brasy2G324800 ID=Brasy2G324800.1.v1.1 annot-version=v1.1 MDATAAASLPRLSLPPAPRPTITSSRFRPNLAPVLNRRRLSLRLHRSPVAPAAAASSPSVPSSSPEPGSGLGDALGGVSIFSAATGEPVLIRDLWDQNEGMAVVALLRHFGCPCCWELASVLKDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDCLYADPERKAYDLLGLYFGVGRTFFNPASVKVFSRFDSLKEATKNYTIEATPDDRPSVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLDICCKVPVA* >Brasy2G484100.1.p pacid=40067186 transcript=Brasy2G484100.1 locus=Brasy2G484100 ID=Brasy2G484100.1.v1.1 annot-version=v1.1 MDTTMPTEIEDKDAQGDLADVVARASNATAAAAFSTHHRHQPLLPSAAAHHRSSGQYLADQQIMVPTSIASGGDGAVMMFDAAAGPASTVVFDPYLSAAAVYGLQPQQHQQLMAVQISHQQSSAQSYVCCGAGDLVMGADDGAMRISPPAVSQHNQMIKRKSDVRKVVCIPAPPATSSRGGAGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMHRNVLAGYARAPPHAAIAARNSSKQQQQDKIMDDNFAAISPSSSSNSYNNFHLELQANNTTMTADGDGVGVGVGVGEEVYELAPCGSGGGGGQLLQADEVFAELEELEPADSSMMMISSAANVYSRGVSSSSSNYEWHKF* >Brasy2G035000.1.p pacid=40067187 transcript=Brasy2G035000.1 locus=Brasy2G035000 ID=Brasy2G035000.1.v1.1 annot-version=v1.1 MGIIKITLAVDRSRNHVLFADAGSDFVEILLGFLKLPLSAVQSIAGLTSCGCLTKLRDSINHLTDSELLKVGLCHGMLLAPTHADEFNEIWNTETCLRGKERFIISDGWMIKPASTSSMLSLPQMFGSDGVGHGFEEVTVCVGSAEVFSLLKASLSSDTIFTDVFISKGTDDQAAHLTVKPTINQKILHFLYQKARSSFSMTSRRKRSLGCVIKNPGARPCRLSRSFSNLYRSAIDLDAAGFLTGCLPKLFDSLSHIICQAVDCPCPKDRMLTCYCCHPELVEDQKYVVDNDRLIHQASGLSVMKHWFMRDKAKVLEMDIAIGKQETAALLQAVLTSSSTALTDVFIGRLEEQSARQKMQIFARLPGGGKIITVEVARLDTIATVKSRIRDKVPVPAGCRHELVYGSRYLKDSCTVGEYNIVKECTIICEFYK* >Brasy2G315700.1.p pacid=40067188 transcript=Brasy2G315700.1 locus=Brasy2G315700 ID=Brasy2G315700.1.v1.1 annot-version=v1.1 MGSRARRKKEPHDGARQPPLDARARGDRGGGSTPSTALGSAALEVQRGEAWRRLARRTVRSGSGELVAKHGRRWRSAAAEMGNGGGSGGRENGERAAAEAEGSYRDQTGEGLCENPWAMAEARRRGRGGQHSGRRRRGGEEAGGWADGGDWRGTAARRIEERRRRPATRWGEVAGLAACGGGDGAAALLRNRRRREGGKWWVRP* >Brasy2G354600.1.p pacid=40067189 transcript=Brasy2G354600.1 locus=Brasy2G354600 ID=Brasy2G354600.1.v1.1 annot-version=v1.1 MAEKKKKKKKKKKKKKKSKKESWMAAIHRKLGQLFCIHRDHEHMLYELHSTSKEIRRTQKAICEKLDIPFTSTGHEAETTPEHEWRSESTAKWEERLTFKTTPPASSDDDEEEEEEEEEEEEEEEEEEEEEEEE* >Brasy2G358700.1.p pacid=40067190 transcript=Brasy2G358700.1 locus=Brasy2G358700 ID=Brasy2G358700.1.v1.1 annot-version=v1.1 MAHCRAIVSCQLSLPACPPSLLAPHCVVPSPSLARTLSRPCSFSREAAFEFPRPRCTAPPREEGECPAPPLAPLLPVACRAPLLLPALAPPLAPLRLRSHHFWDHRRGPSHPGLGAATDAGRVAASAAAGTRSRASPTARGTARCPCRRAASAWTASVHRPSRRRVKAKLAGARLPRAPELALLQGCPCPPPMLRRSSHRRSRPCSRAPLCHRTLHAPPLVPLLPARRAPSLVPLLLRRSSACAARRCYPSPLRRAAAPTRLRSSRRAPPLRHAAARRAALRRPRPVRVHRPLDREQREREGRKKR* >Brasy2G356100.1.p pacid=40067191 transcript=Brasy2G356100.1 locus=Brasy2G356100 ID=Brasy2G356100.1.v1.1 annot-version=v1.1 MPSPTCYLLLNPSRAHHRLLPSPRPAAAARCGRLRVSCDVPRQGSEGGGAKRGLIPAGDGKGKKRVVFFDAAPTLAQQNGGEEGKVKKAEPKKDGAALRLLRRATKRTLSVLSNLPLAITEMFAIAGLMALGTVIDQGEAPSYYFEKFPEDNPVLGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVAKRWSFMSSGGSIRKQDFSDSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMIFVMAGATLTATGSFKGSVDVPQGLNFVIGDVMKPKGFLSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRRTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLYGTFLPLEDSDSSSSVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGIPVVYAGFGALMLTTCISYLSHAQLWALQDGTTVVIGGKSNRAKIEFSDEMNRLLNKVPELISVNEKTVDNKSSTT* >Brasy2G204300.1.p pacid=40067192 transcript=Brasy2G204300.1 locus=Brasy2G204300 ID=Brasy2G204300.1.v1.1 annot-version=v1.1 MLAARAASASSSGTEKQRSDATTEPAPPSPASSQESRRSHVSSKKATASESEHSDGSGSTSTISAVHQAQETADTAEARADHHYGYSAPIAPPCYDPFFGAGGMPWPADPSMYYGGMPYAYGGGYPMPMGPHHFGAVGSREASYGYHGRKRTMGCDDQRYPDRGFKRRCSGSSRSQVAVVLT* >Brasy2G021900.1.p pacid=40067193 transcript=Brasy2G021900.1 locus=Brasy2G021900 ID=Brasy2G021900.1.v1.1 annot-version=v1.1 MSSSSPPAPATEEKEKGSDVEMVVEGEAPRPRAAEPSRTAPGADGEGDAGADDDDDEDDEDEDDDSDDSDDSGDEDSEEEEDEDEGSEGEQEAGDDEGHDSDEEDDEDSDEEDDDEDDDEDEDDDDSEEEDEMEVEQPTASGV* >Brasy2G186500.1.p pacid=40067194 transcript=Brasy2G186500.1 locus=Brasy2G186500 ID=Brasy2G186500.1.v1.1 annot-version=v1.1 MGNLISAGSAAKSGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDAHALRQQEKHQQQQRKPAAKVAPLPADHVLGAGGVYVLLPAVRGKVSADEARRVLASASLARSRSMPGELRRKLSFRKSREEADDGERADGSAALAEADSTEETAAEGFEEHRPEFLSRELSIRGWKPSLNTIEERVMPKKVSHWLF* >Brasy2G312500.1.p pacid=40067195 transcript=Brasy2G312500.1 locus=Brasy2G312500 ID=Brasy2G312500.1.v1.1 annot-version=v1.1 MPPKRYSAPRSTAAGDAASKKPKEKERPPGMNNADWVADCTRRRVKNAARRGRERKAKERNADLARQMEAQRVAASSQMAGMTAPRPPTGQHWSSGSQGSSSSSPSPSGISPVLPHIPHENHGNATPSLSRFSPDYPDTDHLGGFNPNTFAADPLGGFNAFVSPPLRRGPLYYGSSSAPASFQQFPAGCSQPAPNPFGGMSHGDSIMSDMIKDGSQHAAYTYTYTQEEEGQEEEDANREEWTDGTEEPTVAEPRGKKKAAAEKTMDHGRPWTLSESTERRLSGPHRPKWTSKEDECLTEAWKVVSMDLFTGANQTGDTYWRRVKTAYDERRDIDWEFAMLTHDRNESGLSHRWGMIQQACNKWPGIQKEVRRRPQSGSSTHDQVSRHGPMFTTFREDNDGVDFKFIHVFARIETCDKWKETRNGLSKSGTYDPTAAPPAAAEGRPIDHKKAKAMRNAAPAMECLYTCIEKCMSDAAAQAVKREELAAKREEVAASRWATMIKKQDDKLEILKVNGAAKKSREDLLILT* >Brasy2G130100.1.p pacid=40067196 transcript=Brasy2G130100.1 locus=Brasy2G130100 ID=Brasy2G130100.1.v1.1 annot-version=v1.1 RGFVRLSIRCALAADDGIDLHSVKLKPRFLDFSSCPKLEDVGIYGSKIIAETIVFQSSLRFRVIVECYFKLDTCTQISCPGLISLELSNFLGWPPVLERMPSLATAFMKLHIGSKDFCVNNYYGNFNEPSQECYCHVATVHTGYSVLLDGLLDATNLELLTVDPIVFNFRKDLQTRPSFGKLKSLLLNNLCLAAKFDALVYFLQHSPVLEKLTLQLQMRP* >Brasy2G229200.1.p pacid=40067197 transcript=Brasy2G229200.1 locus=Brasy2G229200 ID=Brasy2G229200.1.v1.1 annot-version=v1.1 MSATRFSIMHHSHPQEIPEPSTQDMYPSQSTSGSRQHAAQLTQDLQAEVAAYGRSFSSGPLLLGRELHQSWLRRLEDKLRSVYAAITCTRTSDVVQHQAFIRPPRHSTHRQHPRQQEPPHLRHHPCPRLAEQSTPRPPPPEQAGGSSWQHPQSSFDYWQEQSPFQAGDSSCQQQSPAMNFEFRPQTQPQGAYGHQASLSEPSWGSEHDQSQGDDFSSWMFHTPRPHTGGYTVTRRWVRDSPASRWATS* >Brasy2G150000.1.p pacid=40067198 transcript=Brasy2G150000.1 locus=Brasy2G150000 ID=Brasy2G150000.1.v1.1 annot-version=v1.1 MTHMLEEDLQSMLEQMLQLRLDSPQDNEPSDQVAAAAPAQFATREATDDSLGNPSVEWAEILVSEMASAGRHRGRRPAFGDSVIGSRAAKVIGDKDRVLGAALQQNTILKRAVIVQHRRHLEGEEKSKELQGMVAEYREKVRQLEINNYALSMHLRNAGPGSSMPGPGNYNPKVF* >Brasy2G455100.1.p pacid=40067199 transcript=Brasy2G455100.1 locus=Brasy2G455100 ID=Brasy2G455100.1.v1.1 annot-version=v1.1 MAPPQSSAPRAKPSSSSSSSSSSAGAKTPPYFLSPAFKQIARPTPTPTPPSAARPRAPPSASRTAGPSRRPAAAEVLEVPRSRREATMAPPQSSAPRAKPSSSSSSAAGSGSKTPPYFLSPAFKQIARPTPTPPPSAARPRTPPSASASRIAGPSRNRNPAARQASSSSSPAAAAARRLASGTAVYVRTRYVKITERCWLLIWLPAKVVAACNPDFCTVKYSADLHAMFAGKIARVRVTDVREAPASPSSTAAANANGGQRQRPAAATS* >Brasy2G481300.1.p pacid=40067200 transcript=Brasy2G481300.1 locus=Brasy2G481300 ID=Brasy2G481300.1.v1.1 annot-version=v1.1 MSSSLSWSSWLDGIMRGRSSSSTQEYDPILGSYRSRSSSGTYTSRSTTTSAPSPPPSRSNSFAGSFPSLVREITPVLCVVATEALCYAAWRYYRYHRSNRGASLLTYYRDMTGDGNNSKDPVVGRDDEIDRVVRILCRRSKNCAALVGAPGVGKTAIAEGLAQRIASGKVPAPLAGVRVLEVDLSALVAGTVLRGMFEERMKSVIDHAEASDGKVILFIDEMHRLVGAGDYMGRHDAANMLKPALARGRIRCLGATTHDEYRKHVEADPALERRFQKVDVGEPSVRNTIAILQGLRERYQEHYGLEITDAAIDAAVHLADRYITGKLISDMTEYASPGSVTRLITLFLGYHDGGQLTEKVRRRPYSVILFDEVEKAHDCVLNVFLQILDDGVLTDGQGRNVDFKNTVIVMTSNLGAKHLTSGMAEKNTMESARNLAMEEVRTYFKPKLLNRFNEIVVFEPLSYNQLKEVVKIQMKSAVARVASKGISLVLSDDVLDVVLSESYNPGMVISGRMRNSKNRVLSWPIRRWMEKYMVTIISKMLIEGEANEGSTILVDVADDKKGLKYEVVKKEIMVDA* >Brasy2G031600.1.p pacid=40067201 transcript=Brasy2G031600.1 locus=Brasy2G031600 ID=Brasy2G031600.1.v1.1 annot-version=v1.1 MESSRRFLTVVAVLLLLVVATEVAPAQAKDCQTASGKFHGLCFLDSSCTNACITEGFTSGECEGIHRRCMCKMSC* >Brasy2G409700.1.p pacid=40067202 transcript=Brasy2G409700.1 locus=Brasy2G409700 ID=Brasy2G409700.1.v1.1 annot-version=v1.1 MASPPGPGAHRPVSSSRGPLSPFPAAAAQFPSRAAAAAASPFLRQHHLPVGDSDGGDSVDEFDEDEDGMDDDEDEEEEDRAELVGRAAAGSSQQRRGSSPAGIRQATNGENGVRQIQAEQQWQHSQMYNCGTPQYGQASSRGEEEPGSIPRGMRVEDGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDATILSCGHSYGSNGMQHIYRMKACGKCGQPITENSIRPNLALRLAVQAFRREEESAKTLKRRRDRLEQDKYGNDDPNPTEISRGKGVQYPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFADGGGESSAMVSNNAQNASWL* >Brasy2G480900.1.p pacid=40067203 transcript=Brasy2G480900.1 locus=Brasy2G480900 ID=Brasy2G480900.1.v1.1 annot-version=v1.1 MAGKKRAAAGPAPPPSADRPDGAVVSSPSAKRGRGRPKSTAPTSAAKRGPGSTAPNSSAAAIERAAPKPGKSEKGQAPKKKKEPQEEEEGGKRKKQQAAGGAEKAAKMNNKKKGGGEAEPGSRKQKLSGEAAEKPAPTKKKQQASGGAEKATSPGKRKRGDAEQPKSAKKGPAGAAKPTPSKRKKEDGEAEAKSGKKKGSPAKKAAAAAAEPGSCSFPMSRVRLLMRDEDTSMRATNETVFLINKGSELFLGAFAKDAYQNALKERKKSIAYDNLSSHINSWLYSQFFSNISVQPETIQVFIRLCSAESHSWRRSEGNGCGQTLNSTVAYLLGSSAACAMESWDYGRCTTWSGCR* >Brasy2G480900.3.p pacid=40067204 transcript=Brasy2G480900.3 locus=Brasy2G480900 ID=Brasy2G480900.3.v1.1 annot-version=v1.1 MAGKKRAAAGPAPPPSADRPDGAVVSSPSAKRGRGRPKSTAPTSAAKRGPGSTAPNSSAAAIERAAPKPGKSEKGQAPKKKKEPQEEEEGGKRKKQQAAGGAEKAAKMNNKKKGGGEAEPGSRKQKLSGEAAEKPAPTKKKQQASGGAEKATSPGKRKRGDAEQPKSAKKGPAGAAKPTPSKRKKEDGEAEAKSGKKKGSPAKKAAAAAAEPGSCSFPMSRVRLLMRDEDTSMRATNETVFLINKGSELFLGAFAKDAYQNALKERKKSIAYDNLFADALLFFLSHVPSCSISHQLLALLSIFQQHQCATRNDTSFYQTLFR* >Brasy2G480900.2.p pacid=40067205 transcript=Brasy2G480900.2 locus=Brasy2G480900 ID=Brasy2G480900.2.v1.1 annot-version=v1.1 MAGKKRAAAGPAPPPSADRPDGAVVSSPSAKRGRGRPKSTAPTSAAKRGPGSTAPNSSAAAIERAAPKPGKSEKGQAPKKKKEPQEEEEGGKRKKQQAAGGAEKAAKMNNKKKGGGEAEPGSRKQKLSGEAAEKPAPTKKKQQASGGAEKATSPGKRKRGDAEQPKSAKKGPAGAAKPTPSKRKKEDGEAEAKSGKKKGSPAKKAAAAAAEPGSCSFPMSRVRLLMRDEDTSMRATNETVFLINKGSELFLGAFAKDAYQNALKERKKSIAYDNLSTSVCNQKRYKFLSDFVPLRVTAGDALKATAVDKP* >Brasy2G414900.1.p pacid=40067206 transcript=Brasy2G414900.1 locus=Brasy2G414900 ID=Brasy2G414900.1.v1.1 annot-version=v1.1 MMAFPKKKKKSSLGSKCLVECFANARDKELRDFQQRLLNDAVNIATGEYSNYFVQHALEHGSSDEFKQGLIEQLMADVEHLSLNQYGSYVVEKCLKKTGLLYRVLLVFLRLHPYQLANLVRGKFANYVVQKLLHTGKYRFPSETMMLAQTIERLPENVLENEYAKKVTKMRRKIVSSRRRRQYYG* >Brasy2G183000.1.p pacid=40067207 transcript=Brasy2G183000.1 locus=Brasy2G183000 ID=Brasy2G183000.1.v1.1 annot-version=v1.1 MGDAVALPPVAPVKRRRGDGAGMRRVAEIVMVLAAAGEARGGREPTAAERALAAEARGRLAAAVSEGAVRPKDLFPGEAVRAVVEDLGLNRAKDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTITPPQITVSSGMAEFQGSQAPPMFALGTVRNPPAVAAMPTTAPGASTSVMLSKPRGSSPVKPVTNPSVVALSHTGQPQLKSEKGVNGPSNLTRVTAGHLNKAFQDTSVKSNLTTVTSTNQVVRNPDTKVAAIQTVTGNPPMGHHATPGAPSVTTKPTLARHNEIAKNVQRFLRQPANNPSWTPPSTEYMHARLDCQICKVAIMDANSLLVCDACERGAHLKCLQHYGNKGVPIADWHCPTCIAQSKGKTLPPKYGKVTRTVVASQAGPPGGATQLSVQGAAGNTSANENHQKAAANGNLTKANSMQAGSTVHNSTILALNAATRSQSQPISVSRSLKGNVNNSETSSDEKEGNVQPFSTGQHNVKAPSEPQSGELTAGTSSGSHSGKSSNENVSSGLSLHSVDSGKDTMHEHQSAVTSGVNCLDSSFAIAAGANIRSEALPSRDVEMVNSNGTLVDQISNIDNEEKIGTEATSDVATEEKVHAEAISEPQRIKDIEMTESTGTPICQSSKISIEENHPTDTTLEPHTIEDMEMTVNTAKAMDETNNVSIEEEARSAPASAVKDVEMATNVGTATDQTQLANESTENGGRESPSGGTLLGRSDVNATPDHHSTHQVLPNGVLHITGEVLCGQEDEPVDCSAAPREETS* >Brasy2G183000.2.p pacid=40067208 transcript=Brasy2G183000.2 locus=Brasy2G183000 ID=Brasy2G183000.2.v1.1 annot-version=v1.1 MEEVKESPVQPTITPPQITVSSGMAEFQGSQAPPMFALGTVRNPPAVAAMPTTAPGASTSVMLSKPRGSSPVKPVTNPSVVALSHTGQPQLKSEKGVNGPSNLTRVTAGHLNKAFQDTSVKSNLTTVTSTNQVVRNPDTKVAAIQTVTGNPPMGHHATPGAPSVTTKPTLARHNEIAKNVQRFLRQPANNPSWTPPSTEYMHARLDCQICKVAIMDANSLLVCDACERGAHLKCLQHYGNKGVPIADWHCPTCIAQSKGKTLPPKYGKVTRTVVASQAGPPGGATQLSVQGAAGNTSANENHQKAAANGNLTKANSMQAGSTVHNSTILALNAATRSQSQPISVSRSLKGNVNNSETSSDEKEGNVQPFSTGQHNVKAPSEPQSGELTAGTSSGSHSGKSSNENVSSGLSLHSVDSGKDTMHEHQSAVTSGVNCLDSSFAIAAGANIRSEALPSRDVEMVNSNGTLVDQISNIDNEEKIGTEATSDVATEEKVHAEAISEPQRIKDIEMTESTGTPICQSSKISIEENHPTDTTLEPHTIEDMEMTVNTAKAMDETNNVSIEEEARSAPASAVKDVEMATNVGTATDQTQLANESTENGGRESPSGGTLLGRSDVNATPDHHSTHQVLPNGVLHITGEVLCGQEDEPVDCSAAPREETS* >Brasy2G126300.1.p pacid=40067209 transcript=Brasy2G126300.1 locus=Brasy2G126300 ID=Brasy2G126300.1.v1.1 annot-version=v1.1 MDGDTTFRKLFVGGLAWQSQRDAVRRHFEQFGEIAEAVVIADKPPAAPGATDLDPEAAARALQDPTPVIDGRRANCNLAALGASQRVHPAGMARSRPATASSLSYQGSAAAAMAASYFPLHSHYAYPCYYGYAGGYSHENMYHMQMSYYGAHGGAGVQQQQSQLQTYYIAAGPEVAHEEAVSPDRK* >Brasy2G023900.1.p pacid=40067210 transcript=Brasy2G023900.1 locus=Brasy2G023900 ID=Brasy2G023900.1.v1.1 annot-version=v1.1 MVDLISDSDDSDAFEWKSDGDAEPSSAPVLRDFDAPGPSTLVRQDTNGRPNGEAPPASLVEGFVRMGFPKEMVLKAIKQIGHSDANSLVELLLAYKVLGEEGCAPHSVENDDDDDLDSEDWDDDEDSDRREPNSDGSRDEDFLREMSEEDDKEKSLVDMGFPVEEAKMAITRCGADAALSVLVDSIYASQAADSGNLSDHEVSDRPFRSFGERKRASSIEGSKKKAKRYGGGAQGNRTPLDGSNDEAMPIAYPMVGFNLPGSMQRSENRRLPVQAIAPPFFYYENVARAPKGEWRKISRFLYDIHPEFVDSKHLCAAARKRGYIHNLPIVNRSPILPLPPKTIFEAFPHYRKWWPSWDSREKLNCLQTSGASSKLTERIQRTLANSSNPPPPNVQKYVIDQCKKWNLVWVGKNKVAPLEPHEVEYLLGFPKDHTRGICKTEREKSLGNSFQVDTVAYHLSVLRDKYPNGMTVLSLFTGIGGAEVALHRLGIRLKTVVSVEISPANRRILRGWWDQTQTGTLIEMEDVKTLKYDTIVRMISTVWAQRPTGPRSDAP* >Brasy2G183700.1.p pacid=40067211 transcript=Brasy2G183700.1 locus=Brasy2G183700 ID=Brasy2G183700.1.v1.1 annot-version=v1.1 MASVRKLLLLLLCGYHPLVAHAGDAQTKLLATGSKAEAVCSEPEVTTPSSSGTTVPLSHRHGPCSPSPSAVEPTMAELLRRDQLRAKYIQGKLSVNSGTGTDGVQQSAAITLPTTLGSALDTLAYVITVSIGTPAVTQTVMIDTGSDVSWVHCHARPGAGSSLFFDPSKSSTYTPFSCTSAACTRLDGRGKACSLNSTCQYIVKYGDGSNTTGTYGSDTLALNSTEKVESFQFGCSERSDPGEGLDEDQTDGLMGLGGGAPSLVSQTAATYGSAFSYCLPATTRSSGFLTLGASTGTSGFVTTPMFRNRRAPTFYFVILQGINVGGDPVAISPTVFAAGSIMDSGTIITRLPPRAYAALSSAFRAGMRRYPRARAFSILDTCFDFTGQDNVSVPAVELVFSGGAVVDLDTNGIIYGSCLAFAPAAGGIGSIIGNVQQRTFEVLHDVEQSVLGFRPGAC* >Brasy2G338300.1.p pacid=40067212 transcript=Brasy2G338300.1 locus=Brasy2G338300 ID=Brasy2G338300.1.v1.1 annot-version=v1.1 MGVSIPPPGRTPFPDCVVHDAGAGFIFGVTIGSAFHFLRGLRNSPAGHRLAGGAQAVRANAPRLAGSTPGFFVAFTAVQTAAIYARGGKDDPWNNIVASAGANGILSSRGGPMAACGSALFGAACWGFLEVVLIRLRLLTHSLDESKRNRSTARVDRPGQGQPATAASSDGDPAGGARCA* >Brasy2G378500.1.p pacid=40067213 transcript=Brasy2G378500.1 locus=Brasy2G378500 ID=Brasy2G378500.1.v1.1 annot-version=v1.1 MMFEGMERAGYGVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRMGKQSKKDTGFEASRAAFATHGISFSSATPPVVPSAGNNNMGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQRILEKAQKNLTYDSSGATNLEATRSQLTDFNLALSGFMDDATQVCEQNNGELAKVISEDNLRAGNLGFQLYHGVQDAEDVKCTADEDLLLLDLNIKGGYDHRLSSHGMRRGDADLTVGQHRR* >Brasy2G437600.1.p pacid=40067214 transcript=Brasy2G437600.1 locus=Brasy2G437600 ID=Brasy2G437600.1.v1.1 annot-version=v1.1 MARRTGLEGAKKWGKPDRHRRFPCANCFFCTFRYAPCKHLAINEGTDRVHTRTTKGVILSDPADHVFPEHIFKNTTHRDGSIYKGIMPWLKRYRLTDRDETRLEPGMYSEPAGRHPEWERWMVHSPSRMLQIYSLKLSQIPIDHKSVELYGYIAVRDYQDSLLNYIVNRSRDDPIIVQQGSLIPMTGPKRAISMRSAVLLEFDMRIKKGEQKENDLQLIDGASEFNEVTAPQSLLTTRIKGDCGAVDITRALVYEAVEATIEVVIPEVLTGFSLSISSFVSIYGLSKEIQLFHGTICESCALRRSVIAVTVKTWMHLKFKIGKKGSKNGLERCCSFEATNIGCASQQIMLDIASISAKVTWSSVPL* >Brasy2G039800.1.p pacid=40067215 transcript=Brasy2G039800.1 locus=Brasy2G039800 ID=Brasy2G039800.1.v1.1 annot-version=v1.1 MAAPEAPTCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKQKQDTLGVGVDSPHNKWAYDTTQFDDILKKLKVQTAAPVTKEVEDVNSSPDSTPKKVKPKKDKPANDEVTKVTRPQGRYKKRERGKSVSGYSAIDLQGILVRKNEDGCKVDQDAKSSCVEEPDITICQDAVSQAEDVNWWGHKFGYVSGGFLGAKSCKNKSSARKDNVRQMFGEEDQENLYNLVQDKATSGKQGLGIKGLPMKVAGHRWKGNKTSLGDSDEENSTQSESSEVEDDEDEEGSANDAEVNEIKETVKEVCVDAKPKTKFKKLCKKILRQAPSQSMKLKELKEAVEAQSAIFSDFSCRREALSFLKRKLQGNKKFNVEGKMVHLVS* >Brasy2G039800.2.p pacid=40067216 transcript=Brasy2G039800.2 locus=Brasy2G039800 ID=Brasy2G039800.2.v1.1 annot-version=v1.1 MAAPEAPTCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKQKQDTLGVGVDSPHNKWAYDTTQFDDILKKLKVQTAAPVTKVEDVNSSPDSTPKKVKPKKDKPANDEVTKVTRPQGRYKKRERGKSVSGYSAIDLQGILVRKNEDGCKVDQDAKSSCVEEPDITICQDAVSQAEDVNWWGHKFGYVSGGFLGAKSCKNKSSARKDNVRQMFGEEDQENLYNLVQDKATSGKQGLGIKGLPMKVAGHRWKGNKTSLGDSDEENSTQSESSEVEDDEDEEGSANDAEVNEIKETVKEVCVDAKPKTKFKKLCKKILRQAPSQSMKLKELKEAVEAQSAIFSDFSCRREALSFLKRKLQGNKKFNVEGKMVHLVS* >Brasy2G481700.1.p pacid=40067217 transcript=Brasy2G481700.1 locus=Brasy2G481700 ID=Brasy2G481700.1.v1.1 annot-version=v1.1 MLACIACVKQEEGGGGHGARDNGGGGDAPTTCRDPVKSLTSQLKDMVLKLSGTHRQPGVGGPRRRGGSPPPTRTTSLYRSGYYRPGVVQDDMAVPPATYLGHGGGASSTASSTPAWERPPGNGESAAAARGEWVAQVEPGVQITFVSLSGGGNGGAGGGNDLKRIRFSREMYDKWQAQRWWAENNERIMELYNVRRFSPRHDHVLPPSSDAGDPEQQRESFYSQMGSTRASSPAATPSPAPGESATWAAAFARAAPPPPPSAARQHSFRGPLSPPPPSSSNPSERAWQQQKQTHQNDAGVEPARTTTSSCRDDDVSVSNASELEVTEWVIQDQPGVYITVRELPDGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL* >Brasy2G481700.3.p pacid=40067218 transcript=Brasy2G481700.3 locus=Brasy2G481700 ID=Brasy2G481700.3.v1.1 annot-version=v1.1 MLACIACVKQEEGGGGHGARDNGGGGDAPTTCRDPVKSLTSQLKDMVLKLSGTHRQPGVGGPRRRGGSPPPTRTTSLYRSGYYRPGVVQDDMAVPPATYLGHGGGASSTASSTPAWERPPGNGESAAAARGEWVAQVEPGVQITFVSLSGGGNGGAGGGNDLKRIRFSREMYDKWQAQRWWAENNERIMELYNVRRFSPRHDHVLPPSSDAGDPEQQRESFYSQMGSTRASSPAATPSPAPGESATWAAAFARAAPPPPPSAARQHSFRGPLSPPPPSSSNPSERAWQQQKQTHQNDAGVEPARTTTSSCRDDDVSVSNASELEVTEWVIQDQPGVYITVRELPDGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL* >Brasy2G481700.2.p pacid=40067219 transcript=Brasy2G481700.2 locus=Brasy2G481700 ID=Brasy2G481700.2.v1.1 annot-version=v1.1 MLACIACVKQEEGGGGHGARDNGGGGDAPTTCRDPVKSLTSQLKDMVLKLSGTHRQPGVGGPRRRGGSPPPTRTTSLYRSGYYRPGVVQDDMAVPPATYLGHGGGASSTASSTPAWERPPGNGESAAAARGEWVAQVEPGVQITFVSLSGGGNGGAGGGNDLKRIRFSREMYDKWQAQRWWAENNERIMELYNVRRFSPRHDHVLPPSSDAGDPEQRESFYSQMGSTRASSPAATPSPAPGESATWAAAFARAAPPPPPSAARQHSFRGPLSPPPPSSSNPSERAWQQQKQTHQNDAGVEPARTTTSSCRDDDVSVSNASELEVTEWVIQDQPGVYITVRELPDGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL* >Brasy2G481700.4.p pacid=40067220 transcript=Brasy2G481700.4 locus=Brasy2G481700 ID=Brasy2G481700.4.v1.1 annot-version=v1.1 MQSCKCYNLGLKDMVLKLSGTHRQPGVGGPRRRGGSPPPTRTTSLYRSGYYRPGVVQDDMAVPPATYLGHGGGASSTASSTPAWERPPGNGESAAAARGEWVAQVEPGVQITFVSLSGGGNGGAGGGNDLKRIRFSREMYDKWQAQRWWAENNERIMELYNVRRFSPRHDHVLPPSSDAGDPEQQRESFYSQMGSTRASSPAATPSPAPGESATWAAAFARAAPPPPPSAARQHSFRGPLSPPPPSSSNPSERAWQQQKQTHQNDAGVEPARTTTSSCRDDDVSVSNASELEVTEWVIQDQPGVYITVRELPDGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL* >Brasy2G162700.1.p pacid=40067221 transcript=Brasy2G162700.1 locus=Brasy2G162700 ID=Brasy2G162700.1.v1.1 annot-version=v1.1 MDVSGTGAGKAKKGAAGRKAGGPRKKSVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAVRNDEELGKLLASVTIAHGGVLPKINPVLLPKKTAEKAPKEPKSPKKAATHKKAAKSPKKA* >Brasy2G164700.1.p pacid=40067222 transcript=Brasy2G164700.1 locus=Brasy2G164700 ID=Brasy2G164700.1.v1.1 annot-version=v1.1 MDHNVETTTFEEFGVLDDLFPSPSISASSLNSECSISSKSDDQINGDLLPSPPSTTKSVSELTDEGKNSDDASEPIGSESAVHINADSFQSPPSTTKSTVSELTNEEKYSDDDGKPPDEPKHTNDVIYELANEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKEILVKIDQVYVKQCDLMCLLDSAKWLNDDVSTFTIKKNVISAYIYCIKEVHEQNKNDHKVYFENTFVAGLLKRDGKIGIHEATFMTKIVENYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEYKRVDLTNTLQGLQYHLDILKTQENLCNHNWKDLDVTKWTITEQLRNPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIDFRYKLAAILICWKTNTAQASTTIEESDYSEGDPNDVVMFECIDEDQSKTSNSLSIEKKYQSLITVLSNMSVHDLEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEELPMEHDCFNLVVRKIMFDDIQTAEKTKGLISKHYLDMRFWMITDFGRHPNFRKKLDVEQLAYSVHSRTVYILDPAPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSAWTENIFLWHQQIINNIPIHNRALSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKVQHTVNVKT* >Brasy2G405600.1.p pacid=40067223 transcript=Brasy2G405600.1 locus=Brasy2G405600 ID=Brasy2G405600.1.v1.1 annot-version=v1.1 MLHERQQYAPPSASDDEAGDADDAPGVVRAEYQEDDELLLLTAPSSSSALAVRDVEVRDIHPLTTPPSTAWDTPSSSHRSVASSEEQFMTMSREFTAMVAAGAGAGAASPNPSNPNPGPGAAYDAHADPLASIGEDELEEAEEHNPLAIVPDSGRPIAAPAAGSSSRSSGRGAARLDLEVVPAPAGPPVEARQVRKEEAETKVTAWQTAEIAQINNRFKREEVVINGWETEQLDKASAWLKKIERKLDEQRAKAVEKTQNDMAKARRKAEERRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF* >Brasy2G384700.1.p pacid=40067224 transcript=Brasy2G384700.1 locus=Brasy2G384700 ID=Brasy2G384700.1.v1.1 annot-version=v1.1 MAKDLASLRPWLCCVLAALAASVSPAAEALGMNWGTQATHPLSPKIVVQMLKDNGIKKVKLFDADQGTLGALAGTDIEVMVAIPNVLLDLMTDSDNARDWVRHNVSRYHFDGGVNIKYVAVGNEPFLASYNGTFDKVTLPALKNIQNALNDAGLSDVKATVPLNADVYNSPKDKPVPSAGTFRPDITDLMTEMVDFLAKNGAPFTVNIYPYLSLYLSDDFPVNFAFFDGGADPVLDNGLSYTNVFDANFDTLVSALKAVGHGDLPVVIGEVGWPTDGDKHATFVYAQRFYTGLLKRLAANVGTPLRPNKYMEVYLFGLLDEDIKSVAPGAFERHWGVLRSDGQPKFPMDLTGQGQNTMLVPAKDVQYLPKTWCVYNANAKDTSKLAENVNFACTFADCTALGFGSSCAGMDAIGNASYAFNMYFQVQNQKDEACDFQALAVPTQTDPSTDACHFPIQIAAASSTSSGHRRHAAGPLGAAALLVLALAQLFMLH* >Brasy2G078100.1.p pacid=40067225 transcript=Brasy2G078100.1 locus=Brasy2G078100 ID=Brasy2G078100.1.v1.1 annot-version=v1.1 MADFSFSRSGPQAQGRRRRGPYPTPDSSTSFAGGGPRGQRRTRGVVDDMSWQSSVSWQPDTSWAQPHGLGAAVGPWGLAGPDAADRRGPALFQRTARDYYLSRRSGVRPRRRHDLSSSSVAHRPAGAGAGKRLELQSVVTDATKAIVVAPDVSFASSHGDESVSVAPVRDMVRYGPTSTAGARTPVSREVSFSRDNHNKLYVTSAPPPARQDLPSFGYDVSVTSYNSRSRYYGDEDEDDDGVGEYDFDDDDGEVELRAGKPVSVTGLFKYSTPLDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVTSDKTQMMKDVRQIMCWRIVAERSALRVRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQEVMGDKMAGFVHHVFTFIFGYVVGFKTSWRITLAVLAVTPLMMACGLAYKAIYGGLTAKEEASYQRAGNVAQQAISSIRTVLSFVMEDRLADKYADWLQRSSPIGVKMGFAKGAGMGMIYLVTYSQWALALWYGAKLVAQGEIKGGDAIACFFGVMVGGRGLALSLSYSAQFAQGTAAAGRVFEIIDRAPEIDAYGTAGRALSSVRGRIEFKDVEFAYPSRPDSLILYNLNLTVPAAKMLALVGVSGGGKSTVFALIERFYDPTRGTITLDGQELGSLNLKWLRSQIGLVGQEPILFATSIIENVMMGKENATRQEAVAACTKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIREPRILLLDEPTSALDAESEAVVQQSIDRLSVGRTVLVIAHRLATVRNADTIAVLDRGAVVESGRHADLMTRNGPYAGLVKLASNSGRTESDKPDAATPGRGTYNNNSFTDESGYDVSVSKSKYAGIRTIHEEEAETKDKDKAKDARFSVSEIWELQRREGPLLILGFLMGINAGAVFSVFPLLLGQAVQVYFDPDTEKMRRQVGYLALAVVGLGVACILTMTGQQGFCGWAGARLTMRVRDRLFRAIMRQEPAWFDEDDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDVRLTLVAMACTPLTLGASYLNLLINLGARSDDGAYARASSIAAGAVSNVRTVAALCAQGGIVGTFNRALDGPSAKAQRRSQYMGLILGISQGAMYGAYTVTLWAGAYFINKGQSSFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPTAIAGILAILKRRPAISEEGSKRRAIKEGKPMDVELRKVVFAYPSRPETTVLNDFSLRVKAGSTVALVGASGSGKSTVVWLVQRFYDPLGGTVMVGGLDVRDLDLKWLRGECALVGQEPALFSGSIRENIGFGNPKASWAEIEDAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAILKGSRILLLDEASSALDLESEKHVQEALRRVSRRATTITVAHRLSTVREADRIAVVSAGRTVEFGSHDGLLASHRNGLYAAMVKAEIEAQAFA* >Brasy2G381100.1.p pacid=40067226 transcript=Brasy2G381100.1 locus=Brasy2G381100 ID=Brasy2G381100.1.v1.1 annot-version=v1.1 MGNTCVGPSIAKNGFFQSVSTVLWKARADGDALPDPAAAASNGPTTHHPPPDPPLAIQSIRKAPEPVKIASSKPEPQNPKPSAAAAAEQDSKQQARSTDSASTTDSNSISSSSGEPTSSSSKPHNHRPKVPQVKRVSSAGLLVGSVLKRKTESVKEKYSLGRRLGQGQFGTTYLCVDRASGKEYACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIKAAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSVFFRPGQVFTDVVGSPYYVAPEVLRKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGKLDFQSDPWPSISEGAKDLVRRMLLRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDSDNSGQITYEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITPDELQLACDEFGLGADVQLDDMIREVDQDNDGRIDYNEFVAMMQKPTMGLPKSKAGLESSFSIGFREALRMS* >Brasy2G484600.1.p pacid=40067227 transcript=Brasy2G484600.1 locus=Brasy2G484600 ID=Brasy2G484600.1.v1.1 annot-version=v1.1 MILAVLFANSDGNILIERFHGVPAEERLHWRSFLVKLGSENLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALSEVIFAVTSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPLEP* >Brasy2G484600.2.p pacid=40067228 transcript=Brasy2G484600.2 locus=Brasy2G484600 ID=Brasy2G484600.2.v1.1 annot-version=v1.1 MILAVLFANSDGNILIERFHGVPAEERLHWRSFLVKLGSENLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALSEVIFAVTSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPLEP* >Brasy2G243300.1.p pacid=40067229 transcript=Brasy2G243300.1 locus=Brasy2G243300 ID=Brasy2G243300.1.v1.1 annot-version=v1.1 MSRKNSSSSSSSSSSGAGGGKKEKPLSVSAMLASMDGPAPNARPSKAASKPKPSKQPASSYVDDIDLPPSDEEEDEADVAAITAKPKSSRATVDLNALAPSEKDSKKKDKRESMAAAAAEAAKREALRDDRDAFSVVIGARVPGSAGAVDGDGADGNIKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPKNIDVLLVEQEIVGDDRSATEAVVAANEELTALRAEQVKLEASDDPDDNEKLAEIYEKLNLCDSDAARARAAKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNRVCNDIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNKKFEVYEKQMKAARKTGSKAAQDKVKGQALSKAHKEVAKGKGKGKNVANDDDSVKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIDVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPGEGEARRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQKSQIWVVEDGTVNKYDGSFEDYKDELMAEIKKEVEEE* >Brasy2G178700.1.p pacid=40067230 transcript=Brasy2G178700.1 locus=Brasy2G178700 ID=Brasy2G178700.1.v1.1 annot-version=v1.1 MGNSLRCCLACVLPCGSFDVIRIVHLNGHIEEYSRPLTAGDVMAAHPSHVVSRPCPQGGARRILVVVAPGSELERGCFYFLVPASSVPEKKKKRKPSQQPQPKKVPSSLKTTSVPSAGANNKVAQKDSAAGDSYLAEVLSEGKARCVKRGRSVRATVWRPHLQIIPEELAHAA* >Brasy2G488100.1.p pacid=40067231 transcript=Brasy2G488100.1 locus=Brasy2G488100 ID=Brasy2G488100.1.v1.1 annot-version=v1.1 MAMLLLHRLLLPLLALMLTATAASRARAGAEKTPTLVFILAGQSNMGGRGGATVGGKWDGVVPPECNPSPRVLRLSPGLRWEEAREPLHAGVDVGNVLGVGPGMPFAHAVLRSPRAAPHGSGAVVGLVPCAQGGTPIANWSRGSDLYGRMITRARAAMAETGGHGKLAAMLWFQGETDTIRREDALVYAGRMEALVRDVRRDLAMPDLLVIQVGIATGQGKFVDLVRKAQREVKLPNLKYVDAMGLPIANDFTHLTTPAQVRLGKMLADAYLATL* >Brasy2G147500.1.p pacid=40067232 transcript=Brasy2G147500.1 locus=Brasy2G147500 ID=Brasy2G147500.1.v1.1 annot-version=v1.1 MARSYAAALHGRTHRVTSALAYAVLEWILIALLLTNGLLAYAVARFAAYFGLRPPCLLCSRVDRLFEAEAHDGGGDEAAGAARWLRAALCGAHAAEISGMGFCVHHRRIVAEAADMCEGCLPSWKKERRNDAEEKSAVVCSCCKTPVEISSRELEDARVQDPVHEKTAEEEEEDQGYVLLDQDDHEEEEEEQEEVEHHEQQNEVEGQEQQGEKAAAVEDESLEIMAQGEEITPRDDRLVPVVALDEMTIADDSGVRSDAVEKEGAMNHTDGERDPGDLDIGVVLEEKTMLASSVATAPAMIENSISQNDELVEDTVKIGDSTTEVPRVIEAVPEDGNKSAEVETNCEVSIGSEICEQEDDGHVNVVPFQELVALEELFSPLSDADDRTLPSETLHSAPTEQEASETEQEVTANQRLDDLSNDQNEDDEDKTPETPTYSFATQNSGKRFLLERKLSLSLSLDGSVSSEIEGGEPPTIDQLKSTLQTERKALSAMYAELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLTELVTKREREKQELERELDLCKQKVLHYEDKERRRMATFKDNVHSSNGNGTSVSSSGEDSDEHSVDYCELGESPNGVSNLRSSSDAALSPRKDQGNTDHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDIKETNVGAGHYSDDHELSDHGLHSPENGLTGNKVKFEGRSSICRGKSLLPLFDAVGDENSDRKSSERAGDAEDDNPTKPVSVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKTGDKGMYLLQEILQHLRELRNVELHVKNAGDVLAANSA* >Brasy2G147500.3.p pacid=40067233 transcript=Brasy2G147500.3 locus=Brasy2G147500 ID=Brasy2G147500.3.v1.1 annot-version=v1.1 MARSYAAALHGRTHRVTSALAYAVLEWILIALLLTNGLLAYAVARFAAYFGLRPPCLLCSRVDRLFEAEAHDGGGDEAAGAARWLRAALCGAHAAEISGMGFCVHHRRIVAEAADMCEGCLPSWKKERRNDAEEKSAVVCSCCKTPVEISSRELEDARVQDPVHEKTAEEEEEDQGYVLLDQDDHEEEEEEQEEVEHHEQQNEVEGQEQQGEKAAAVEDESLEIMAQGEEITPRDDRLVPVVALDEMTIADDSGVRSDAVEKEGAMNHTDGERDPGDLDIGVVLEEKTMLASSVATAPAMIENSISQNDELVEDTVKIGDSTTEVPRVIEAVPEDGNKSAEVETNCEVSIGSEICEQEDDGHVNVVPFQELVALEELFSPLSDADDRTLPSETLHSAPTEQEASETEQEVTANQRLDDLSNDQNEDDEDKTPETPTYSFATQNSGKRFLLERKLSLSLSLDGSVSSEIEGGEPPTIDQLKSTLQTERKALSAMYAELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLTELVTKREREKQELERELDLCKQKVLHYEDKERRRMATFKDNVHSSNGNGTSVSSSGEDSDEHSVDYCELGESPNGVSNLRSSSDAALSPRKDQGNTDHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDIKETNVGAGHYSDDHELSDHGLHSPENGLTGNKVKFEGRSSICRGKSLLPLFDAVGDENSDRKSSERAGDAEDDNPTKPVSVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKTGDKGMYLLQEILQHLRELRNVELHVKNAGDVLAANSA* >Brasy2G147500.2.p pacid=40067234 transcript=Brasy2G147500.2 locus=Brasy2G147500 ID=Brasy2G147500.2.v1.1 annot-version=v1.1 MARSYAAALHGRTHRVTSALAYAVLEWILIALLLTNGLLAYAVARFAAYFGLRPPCLLCSRVDRLFEAEAHDGGGDEAAGAARWLRAALCGAHAAEISGMGFCVHHRRIVAEAADMCEGCLPSWKKERRNDAEEKSAVVCSCCKTPVEISSRELEDARVQDPVHEKTAEEEEEDQGYVLLDQDDHEEEEEEQEEVEHHEQQNEVEGQEQQGEKAAAVEDESLEIMAQGEEITPRDDRLVPVVALDEMTIADDSGVRSDAVEKEGAMNHTDGERDPGDLDIGVVLEEKTMLASSVATAPAMIENSISQNDELVEDTVKIGDSTTEVPRVIEAVPEDGNKSAEVETNCEVSIGSEICEQEDDGHVNVVPFQELVALEELFSPLSDADDRTLPSETLHSAPTEQASETEQEVTANQRLDDLSNDQNEDDEDKTPETPTYSFATQNSGKRFLLERKLSLSLSLDGSVSSEIEGGEPPTIDQLKSTLQTERKALSAMYAELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLTELVTKREREKQELERELDLCKQKVLHYEDKERRRMATFKDNVHSSNGNGTSVSSSGEDSDEHSVDYCELGESPNGVSNLRSSSDAALSPRKDQGNTDHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDIKETNVGAGHYSDDHELSDHGLHSPENGLTGNKVKFEGRSSICRGKSLLPLFDAVGDENSDRKSSERAGDAEDDNPTKPVSVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKTGDKGMYLLQEILQHLRELRNVELHVKNAGDVLAANSA* >Brasy2G342500.1.p pacid=40067235 transcript=Brasy2G342500.1 locus=Brasy2G342500 ID=Brasy2G342500.1.v1.1 annot-version=v1.1 MGRSRGVPNPGDDDTSHRSKRRRVASSGDATDSLSAACGGAGDGKKALYHCNYCNKDLSGKIRFKCSKCPDFDLCVECFSVGAEVQPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKAQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGISVLPGDSTPKDDSPFSPSRVKVEDALGEGPASRSPSHMTGGANKKASTVGNFKDSANLAKMEDGHMDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEYEVNAHKAKESGQLIPTTKVVHKTNRPMKLESDGNLDPKKNNATLDSAGRDSPKATGHTIAKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVIKREDAHVLFKVDPAKVDTVYDMVMKKLGSNEEAPTV* >Brasy2G342500.4.p pacid=40067236 transcript=Brasy2G342500.4 locus=Brasy2G342500 ID=Brasy2G342500.4.v1.1 annot-version=v1.1 MGRSRGVPNPGDDDTSHRSKRRRVASSGDATDSLSAACGGAGDGKKALYHCNYCNKDLSGKIRFKCSKCPDFDLCVECFSVGAEVQPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKAQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGISVLPGDSTPKDDSPFSPSRVKVEDALGEGPASRSPSHMTGDGHMDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEYEVNAHKAKESGQLIPTTKVVHKTNRPMKLESDGNLDPKKNNATLDSAGRDSPKATGHTIAKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVIKREDAHVLFKVDPAKVDTVYDMVMKKLGSNEEAPTV* >Brasy2G342500.2.p pacid=40067237 transcript=Brasy2G342500.2 locus=Brasy2G342500 ID=Brasy2G342500.2.v1.1 annot-version=v1.1 MIRLLPWHFNYLVLPVLVIYDSRFNSKDILQQDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKAQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGISVLPGDSTPKDDSPFSPSRVKVEDALGEGPASRSPSHMTGGANKKASTVGNFKDSANLAKMEDGHMDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEYEVNAHKAKESGQLIPTTKVVHKTNRPMKLESDGNLDPKKNNATLDSAGRDSPKATGHTIAKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVIKREDAHVLFKVDPAKVDTVYDMVMKKLGSNEEAPTV* >Brasy2G342500.3.p pacid=40067238 transcript=Brasy2G342500.3 locus=Brasy2G342500 ID=Brasy2G342500.3.v1.1 annot-version=v1.1 MIRLLPWHFNYLVLPVLVIYDSRFNSKDILQQDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKAQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGISVLPGDSTPKDDSPFSPSRVKVEDALGEGPASRSPSHMTGDGHMDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEYEVNAHKAKESGQLIPTTKVVHKTNRPMKLESDGNLDPKKNNATLDSAGRDSPKATGHTIAKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGSVIKREDAHVLFKVDPAKVDTVYDMVMKKLGSNEEAPTV* >Brasy2G446200.1.p pacid=40067239 transcript=Brasy2G446200.1 locus=Brasy2G446200 ID=Brasy2G446200.1.v1.1 annot-version=v1.1 MDAAMEDAEGSQPEMEWDGGGGGADAVLSLAGDGASLSVCYHQAFGPHDDLILLEAADELLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGTSNSMFLIPPGEPAAPSLRPDHTNEDTSVATDAVASIIKVAPGSIELVRAAPRLDKLRSLLGERPYVLDEDLGDGLQHKKGLYTWQDLCELVQASDGELLDGLSSLLAVEIDGFWRTVDANSVNTVLDMILHNSVLHDWLLNALPEINVLSVMESDGFAPKIVTHCLSRFGTKAEQEGRSCWSLDERLVCLQFARRALGAGKMKLDNFVEKWERSIPSGVRADLQMLEGEVLYEKLGVETWVHAFSVADLPLTPGERFAALFRERPRWEWKDLQPYIRDLSIPGVSSEGLLIKYARRTQPSADSEPIFTAR* >Brasy2G213000.1.p pacid=40067240 transcript=Brasy2G213000.1 locus=Brasy2G213000 ID=Brasy2G213000.1.v1.1 annot-version=v1.1 MSFRSLIQEMRDEFGSMSISRHGLRSSRSHRGAGHTPRASAVGPAEALQQSCWTRLPPELLREVLVKIEDSEGWWPSRRDVVSCAGVCRTWRGIMKEVVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYSLYIGLTEALADDGKFLLAARKCRKATYTDYLISLDMGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVIGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMNSIPASAVEEGGKAPTQTEFPRSNLDSFPSIPFFRSKSARIDSTASQLPTQMEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDDNGPANQEHDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE* >Brasy2G213000.3.p pacid=40067241 transcript=Brasy2G213000.3 locus=Brasy2G213000 ID=Brasy2G213000.3.v1.1 annot-version=v1.1 MSFRSLIQEMRDEFGSMSISRHGLRSSRSHRGAGHTPRASAVGPAEALQQSCWTRLPPELLREVLVKIEDSEGWWPSRRDVVSCAGVCRTWRGIMKEVVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYSLYIGLTEALADDGKFLLAARKCRKATYTDYLISLDMGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVIGLNQVSPRVPAGNYPVSHISYELNVLGSRGPRRMNCVMNSIPASAVEEGGKAPTQTEFPRSNLDSFPSIPFFRSKSARIDSTASQLPTQMEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDDNGPANQEHDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE* >Brasy2G213000.2.p pacid=40067242 transcript=Brasy2G213000.2 locus=Brasy2G213000 ID=Brasy2G213000.2.v1.1 annot-version=v1.1 MSFRSLIQEMRDEFGSMSISRHGLRSSRSHRGAGHTPRASAVGPAEALQQSCWTRLPPELLREVLVKIEDSEGWWPSRRDVVSCAGVCRTWRGIMKEVVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYSLYIGLTEALADDGKFLLAARKCRKATYTDYLISLDMGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVIGLNQVSPRVPAGNYPVSHISYELNVLGSRSKSARIDSTASQLPTQMEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDDNGPANQEHDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE* >Brasy2G314300.1.p pacid=40067243 transcript=Brasy2G314300.1 locus=Brasy2G314300 ID=Brasy2G314300.1.v1.1 annot-version=v1.1 MEKVVIITAGLDPRANADGMWPLPEGGGYDGKPEPPRQTPAPPPSSSSPTPPAKKTTRPPPARPHAKKRSAGLFRAQRANLAPVSTAGSVRQGAGTSSGSETLAVGTGSKLVDVGGRKRTPRKCSVRICRPDAPPSSPLTPTAAAMDGVLALLPTTPAALCLLHQRCLRQSPAPFCPGLAASASPPPSATGNRDVKARSPGAAAGPDEVSDGGGWSRRGLRWLV* >Brasy2G086500.1.p pacid=40067244 transcript=Brasy2G086500.1 locus=Brasy2G086500 ID=Brasy2G086500.1.v1.1 annot-version=v1.1 MAKISCFCVLLGGSRKKSKDMKKVSWANGNDCPKVKPVESMEGTDAAYTGKASGTNATALYTKQAVAETRAGSACQGHAGNGDKASAESNAVNIIVSGPGSSGDTSTDGADKEASPDTGKFPTVTPSRLERSCSNIETARPGWRKASGADMALLAKSRSHDDLMSSALAPPARSHSITTSPNGAPDASPTTSTWSADRVMLRRRSSSQVLPSRSRKLWWRLFLWSHRNLHRSGAAATSASPRARADEDDVSRSRQHDGYTSDTLEAAKKKDKEIVAAAEEEEERVMPSQWVAFSAEASTPLDRVSAWVSALADRSFDIEEDQEITEVGESSASGSKAGNAQAHAQARRRAAVDEAVQASSVVQTLNAFSSVAHISGMGLKVVPMISAFSSLRAVNLSGNFISHIAPGSLPKGLHSLDLSRNSIATTEGLRELTRLRVLSLSYNRISRIGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKGLGQLVANYNSLRALNLLGNPVQTNIGDDTLRKAVSGLLPRLEYLNKQAVKPQRAREEAKDSVAKAALGNTGWSSRRRVSRRLSHSPGSSSAKNRDREGSSSHRGSRSRSKNRPQSLSLTRR* >Brasy2G272100.1.p pacid=40067245 transcript=Brasy2G272100.1 locus=Brasy2G272100 ID=Brasy2G272100.1.v1.1 annot-version=v1.1 MFLVDKSCLPVPASPEDDHLTVKCTITVVKEQQPDAAVPVSDMHRHFGELLRSGTGADVTFLVSGESFAAHKQVLAARSLVFMAEFFGAMKETRSRHVEIEGMEAAAFRAILQFIYTDTVPELDQEELAAVATMAQHLLAAADRYGLDRLKLICEGKLAAGISVDTAATTLALAEQHGCSRLRAKCVDFIVSSPTVLDAVLATEGYEHLEASCPSVLIGLLKSIHGRKN* >Brasy2G139000.1.p pacid=40067246 transcript=Brasy2G139000.1 locus=Brasy2G139000 ID=Brasy2G139000.1.v1.1 annot-version=v1.1 MSRGGAQQPGAARMKASPRALFSCGIFSTCTHPALSPTATPNNNGNNNVSFGAAGTGTGGGASASSAKGGSTTPCAADASPVAALPSPSKWQQQTQKAAAQQGGSNNGANAGPSSSSSSSSSSASQSFTQWRLPVHHPPQASASASASASALVSAEEKFAAGEVVAALRAVEREMESSSSARMAAAPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNREAAVAAGAASAAVEAVAASGPAGATAERALAALELICTAPGGAAAVRREALAAPVLARAVEGMPGRGRECAIGVLAAIYGDSASAAASASPPPPEVVKAVVAAMQGECSARGRRKGAQLLRVLQEAGRLGLAWDGVGGS* >Brasy2G296900.1.p pacid=40067247 transcript=Brasy2G296900.1 locus=Brasy2G296900 ID=Brasy2G296900.1.v1.1 annot-version=v1.1 MQRKPPSRQALSPPSARVSLPRLHAATSAPGGRRHLPPPRKPPPPPYYARARLTPPREPPRRVPNPCKPSSGIRRDPPPTAATASLLRSPHESGKEKATAGSSAEPPILSFGSCLGQQGGRRHAAGRAAPRAPPLQRSSVPKEKPRRPLFFPLALFFFPVRLPPVRFLRPAKCSPSIPPARNAPLRAVGRASPARRRFPRLGSASVVGFVRRQALSLSLGAFVCWEAGRDLYQMYAKNNYHLQASPLRAPSSPLRAPSSPSLASILRPPPHLAAGATSLLRASRRRRPAQPLSHRAAAPTPKAATSPESRHASCRGRRRRGPPPLRPTKRPSPRPLPWLLRPPSRPSRRPSYRRQGPVVCCPRLPWQGKRVQLRLQLRLAVAVARCSDSGAWGDGSARYKTTATSM* >Brasy2G036100.1.p pacid=40067248 transcript=Brasy2G036100.1 locus=Brasy2G036100 ID=Brasy2G036100.1.v1.1 annot-version=v1.1 MEPNQVTTPEVGVSSGVSALPARPGVRRRLHEQRPRELRVVHVQVRFAADVVELEHAAAADHCRDRHLKIKQEHSSIVVGDSSSLIEVGGGGGGAIGGGLPALEVRDGRDGGAPDAGETVGARDRHVEKPLGVREVEDARGGRGGDAAQHEHGRVVGAPRALGVEVRAEPEPLAALVAAGAGVGHAHLAHPAPGAAAAPHAAVHRVPCLLELGAPRGALRRRPVLAAVRIGGRWRGRSEAHPLARAVHGNWFDCLLVCLVPAYVRGRNVDLVVGFGGAADGEARGCDGEEPAALWSGWGGPGGAAARDGEAGGAGVRMGRPAALRRGMGRPAALRRWMGRPAALRGWMGRPAAQGSGWGGRRRCGAGWGGRRRCGAGWGGRRRCGWGVGKGRQR* >Brasy2G328100.1.p pacid=40067249 transcript=Brasy2G328100.1 locus=Brasy2G328100 ID=Brasy2G328100.1.v1.1 annot-version=v1.1 MDRENHPSKTTSEHITGQTGGRPLRPPPLPSERTMVVGSQKQSNHPPLTKIYYKTKLCEKFETIGRCMYGDRCTFAHGVAELRGSSFAQGPTIRNPGEMKMVEAHKSEIPQTHAASGRAFQPVPTPVPTQEGVAKMSNLERLSKKKLIGIYGDWPEQYSTDML* >Brasy2G041700.1.p pacid=40067250 transcript=Brasy2G041700.1 locus=Brasy2G041700 ID=Brasy2G041700.1.v1.1 annot-version=v1.1 MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEARKDGKNHKSKVEKDPGIPNEWPFKEQELKALEVRRAQALKELELKKEARKERARKRKLGLPEDEDIANLASAASAQGAEFAEKDAAKENAYLELSKTNDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKTDPTKRIVLLLNKIDLVPKESVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNVPQRSDCLGAENLIKLLKNYSRSHELKLAINVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDRKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDLITPVKEILNLCPREKLMSLYNMPSFTSVDDFLQKVATLRGKLKKGGIVDVEAAAKIVLHDWNEGKIPYYTLPPKRDAVEDSDAVIISEDGKEFNVDEIYKAESSYISGLKSMLDFSHIEIPSNAPPEIDEEMLEDGTKQGEPVKENCGVESMSDVKDPEGSKPTSGSSTQHDKLYTAEGILDPRKKKAEKKRRKENKSSLLNDMDADYDFNVDYQMKDAPADDEDEKKGGDEPEAMTGVDDA* >Brasy2G254800.1.p pacid=40067251 transcript=Brasy2G254800.1 locus=Brasy2G254800 ID=Brasy2G254800.1.v1.1 annot-version=v1.1 MERHAVDLLPDDVLAHVLQRLEPRWLAASRCVCKDWRAVVDARRLLRADLLPLSLASIIISFDDLYVSEFFDRPSTGRLAISAKLHDYLPSTAAKSRVRDHCNGLLLLHNDWVVNPATRWAMRLPPCPVRCTTTEADFYNRYLVYDPTVSTHFEVFRVPSGFHRNREPGDVCYDSSIDKLNPALEESEWPPSLFIMHVYSSRSGHWEERSFVREGDAAGTVSDSRLPWRKRYAVCWQGALYLDCQNHFLRISLSNSKYRVIKSTIGLASEWTTYQEKYLGKSEKGVYYASVDDSLLSIWILTESSSQMEWVLKLNNLDTQSLYCYSQAHGPWVLQDVNYESYFPTDEKKAQVEENFEWSSDNDDDVNNEKRVKIYDLAEIQILGFHPNKEIIFLSESVKKGYAYHLKSSKIQALGNLYPTRYQDIVLPNEQNIQESFPYTPCWMRVFP* >Brasy2G080700.1.p pacid=40067252 transcript=Brasy2G080700.1 locus=Brasy2G080700 ID=Brasy2G080700.1.v1.1 annot-version=v1.1 MAVVVDESRKTVGTATTSTECDEDEDCISTASERRHPLPPRPAAERPSPLAPSLVFLLVRAPPPQDACEEEDLNSTLRRVGSELAAAIVTAAKKSAPQPPPVDEIPDNLYETLLGMEGYSETQVDDYYVFLVNNPRRAKAFMKMGHIGQLAWMDRYISKEFKD* >Brasy2G063100.1.p pacid=40067253 transcript=Brasy2G063100.1 locus=Brasy2G063100 ID=Brasy2G063100.1.v1.1 annot-version=v1.1 MGFVSFAGRVLFASVFLLSAYQEFSEFGVDGGPAAKFLKPKFNSFTKNISAHLGVVVPHVELKHVIAATIGLKGLGGLLFIFSSSFGAYLLALYLAFITPVVYDFYNYDMEKAEFVQLFIKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKPKTN* >Brasy2G369300.1.p pacid=40067254 transcript=Brasy2G369300.1 locus=Brasy2G369300 ID=Brasy2G369300.1.v1.1 annot-version=v1.1 MHQLNDHKRKETMEPTIPDELHVEILKHLPPCPQTLVRASAVCKEWRRIVNDPRFLQGYRAHHHAPLTMGFFHNSTGLPHQFVHAGDAASFSFQQPSNDQPPYAMTVATVVSSSSTQSTKETGARSSCGTP* >Brasy2G219500.1.p pacid=40067255 transcript=Brasy2G219500.1 locus=Brasy2G219500 ID=Brasy2G219500.1.v1.1 annot-version=v1.1 MAAPVVLVVLAALLALATSRLWSYAVVRLAWRPRAMARRFREQGVHGPSYRFLTGSNEDVRRMKAEADGVELDLRDHDYLPRIVPHLVKWKHQYGSPFVYWFGPQPRICIFDYELVKQVLANKYGHFIKNDTHPTILAMIGKGLVLVDGTDWVRHRRVVNPAFAMDKLKMMTGTMISCAECLIKKWEDQANYSKSREIEVEFSKESQELTADVISCTAFGSSFKEGKEVFHAQKQLQAITVATMLNVQLPGFKYLPTKQNRWKWMLENKLKNTLSRIIQCRVASKESGFGDDLLGVMLEACFTKEQGEKKGELILSVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLREEVLRECGKGNPNADMLSKLKEMTMVLLEALRLYSPIIFMLRKPKSDMKLGNLNLPKGTAIVIPIPMLHRDKEVWGDRANEFDPMRFENGITKAAKIPHALLGFSIGPRSCIGQNFAMLEAKSVMAMILQKFSFTLSPKYVHAPADLLTLQPKSGLPIILSPLDA* >Brasy2G415200.1.p pacid=40067256 transcript=Brasy2G415200.1 locus=Brasy2G415200 ID=Brasy2G415200.1.v1.1 annot-version=v1.1 MDPSKQSAASQSSRAPPSVPAPLPRQILPEPYRGNGSTSNGGCVSLPPFCSPRPLSASAREFRPSVAAFGPFMALPSWSTTLTTVANHSDLAFHFDMVQFGPQPHQRDVPTSAHGRTLNANARPFHPAAVTATRPPVPPSAWTTSSLDESSWSDLASIMSALLIHPVSPLEKLRWDLRSGPMMVELAFCQETSTDVVRLLEEGGDEPMLQNVLAGVHGYVHGVMANLHGREVFDALVRCCAGRYRELHIIVDAVVNANAITGGRTSLRQVAPVGQYFSDGWVASMKTLIMAVAKFPILGVLLVRCFVHEDVMNRGKGDELLRQCFTTMEYEDSKLLILYALANIESKLRTRFGSMCVAVCFTYAQDLELQSFEEYAIRNARKMATGQYSNFFAQRVLEDGSTQTKLAVVDQLMEDVIDLSRDMYGNYVVQSCFRKIGFTVSTEMLRRVLLAFLDLTLEQLADLVKNIPANLVLHRLLETGISVNSSQSLTRKLARRILKLPEDIQQDHNAKLVMEALWKVSPWLPIKE* >Brasy2G042700.1.p pacid=40067257 transcript=Brasy2G042700.1 locus=Brasy2G042700 ID=Brasy2G042700.1.v1.1 annot-version=v1.1 MLCRHSMATTPARQSQSGGAAVPVSVQHVAKASSDELLRKFADPDEEDKPRHPAPPCRSFKRSSRRVASGLSARDSGAGAGTELAAPPKRRRSLGGSSEWRAGLLLPTTTKPGSSAGRKGSAARRGGAARLDEAGIGLLLAALERTWRKTVAGASKMFVERHRTNHVQLISDMV* >Brasy2G445500.1.p pacid=40067258 transcript=Brasy2G445500.1 locus=Brasy2G445500 ID=Brasy2G445500.1.v1.1 annot-version=v1.1 MSATGSSSAWMAGEPPLIACPDCKWRQVHVFLSTTAAHPDMFFYKCMNHNPKVKGGV* >Brasy2G471100.1.p pacid=40067259 transcript=Brasy2G471100.1 locus=Brasy2G471100 ID=Brasy2G471100.1.v1.1 annot-version=v1.1 MAASQPPKATPNREEEEEGDKGETPGWWERAAKVVREREEREKERERIRKHNEKHEMERVRRAKMFAEAAERERRDKLQKSQKDAHERRIREARWQKQWEAAEKKFAKEDEEKKKAFNEKVLREARRQREEEKEDERKRKGKGPAPTQ* >Brasy2G491200.1.p pacid=40067260 transcript=Brasy2G491200.1 locus=Brasy2G491200 ID=Brasy2G491200.1.v1.1 annot-version=v1.1 MDRWVYDLHLFSSMTWTWSTKVAFADISSDVRAKVSTIIRTSKVIQLGGGTLGWVDLWRGILVCNVLDENPVLRYITLPMLMPGHREAAKTSPWSIRSVACSNGLIKLIEIEKHERPDDEKSYDDMDRLYESDCLEKPKVIGWRAIVWYRMTSWDHWRKGCVVYDKEISVDYVRHSMMLPELKDNSAGELTLENLIASHPVLSLTCHSDDVVHMLCKSKSDKCESKYCKKKKWMITVDLKKKILVDLAPFTLEGYFSSADPSALSNYLNVAPERGVAGSVARRGEETEDGGE* >Brasy2G491200.2.p pacid=40067261 transcript=Brasy2G491200.2 locus=Brasy2G491200 ID=Brasy2G491200.2.v1.1 annot-version=v1.1 MDRWVYDLHLFSSMTWTWSTKVAFADISSDVRAKVSTIIRTSKVIQLGGGTLGWVDLWRGILVCNVLDENPVLRYITLPMLMPGHREAAKTSPWSIRSVACSNGLIKLIEIEKHERPDDEKSYDDMDRLYESDCLEKPKVIGWRAIVWYRMTSWDHWRKGCVVYDKEISVDYVRHSMMLPELKDNSAGELTLENLIASHPVLSLTCHSDDVVHMLCKSKSDKCESKYCKKKKWMITVDLKKKILVDLAPFTLEGYFSSADPSALSNYLNVAPERGVAGSVARRGEETEDGGE* >Brasy2G491200.3.p pacid=40067262 transcript=Brasy2G491200.3 locus=Brasy2G491200 ID=Brasy2G491200.3.v1.1 annot-version=v1.1 MDRWVYDLHLFSSMTWTWSTKVAFADISSDVRAKVSTIIRTSKVIQLGGGTLGWVDLWRGILVCNVLDENPVLRYITLPMLMPGHREAAKTSPWSIRSVACSNGLIKLIEIEKHERPDDEKSYDDMDRLYESDCLEKPKVIGWRAIVWYRMTSWDHWRKGCVVYDKEISVDYVRHSMMLPELKDNSAGELTLENLIASHPVLSLTCHSDDVVHMLCKSKSDKCESKYCKKKKWMITVDLKKKILVDLAPFTLEGYFSSADPSALSNYLNVAPERGVAGSVARRGEETEDGGE* >Brasy2G491200.4.p pacid=40067263 transcript=Brasy2G491200.4 locus=Brasy2G491200 ID=Brasy2G491200.4.v1.1 annot-version=v1.1 MDRWVYDLHLFSSMTWTWSTKVAFADISSDVRAKVSTIIRTSKVIQLGGGTLGWVDLWRGILVCNVLDENPVLRYITLPMLMPGHREAAKTSPWSIRSVACSNGLIKLIEIEKHERPDDEKSYDDMDRLYESDCLEKPKVIGWRAIVWYRMTSWDHWRKGCVVYDKEISVDYVRHSMMLPELKDNSAGELTLENLIASHPVLSLTCHSDDVVHMLCKSKSDKCESKYCKKKKWMITVDLKKKILVDLAPFTLEGYFSSADPSALSNYLNVAPERGVAGSVARRGEETEDGGE* >Brasy2G411700.1.p pacid=40067264 transcript=Brasy2G411700.1 locus=Brasy2G411700 ID=Brasy2G411700.1.v1.1 annot-version=v1.1 MGTKAMEAFVSNETALFMNKHPPHVFKPMSLPSIIVKLTLGLLWCIIHLSISLFSLCSHLIYNLEYYLISSGLLLKYRNLQLERLKYLAIVVDSREANNAVKLKQLLCWLSTIGVKHVCLYDIDGAIKNFFEPGMNGSGDGSSADSLDVNANTKALHYCHGEMSIECISGSDGKEGIAKAANLLCSMYFNGDKYTHGVDKSEIVFTEADMASALKSIGYGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGQLKSMKYGALVKAFYNFSKKYQNYGK* >Brasy2G144500.1.p pacid=40067265 transcript=Brasy2G144500.1 locus=Brasy2G144500 ID=Brasy2G144500.1.v1.1 annot-version=v1.1 MGEGSGRTRSSRAARAQNPSYQEEEEEVAAVARRVKAEIADDLNGDPAEDEDEEEVEEGEDEMVVASDEEGGDEDEGEADGGGGDDGFVPRTLEEALVPRVGTVFDSVDEAFSLYKAYAYRMGFHAVRRTCHNYEGLRYRSTFSCTQSGKPRAGYAPSEGPSARYPLRSKRGAAAQEKRARRATPEKTGCKAVLIIRDKRVNDRWKVEFVDLEHNHPCTPDMVRFLKAYREMPESAKKKSKITDEMDEMVEKSLSEIAETRKFPTRPKRSVTGGAVVAGLRFSRCDGFVQRFREDDLNAVKKFIEKMHLKKPNLIHSWDLDEESRAKNFFWTDLRSQAQYRYFGDVITLDVTYLQHSRASLPLATLLGVNNHGHLVLLGCGLLSKDSKENYVWLLKRWLSCMNGKAPEAITTSYSDVVAEAVAEVLPNARHRFCFWHILKKLQENVGRTHEKDAICSRFKDVVYDTVTLTDFEKEWGSMVEHYKLKDNEWFSALYSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGTVTTKTTLPVFLEQYETTLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVELYTVPMFQAFQDEIKQLMHVICKEVDRSGNSVTYMVSELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALSVLKQENVLMLPSKYILNRWRKDFRILNTPTSSSSVASVRELSIFDDLYVRGHEYLEDAIDIGAREPELKEFVLSAMKEAKDKLIRLDQIQQGDQRVDVNMALTGQASTDRRVDVNMTSNTTPLIHGDRMVDANMTLNTRALVHGDIMTSNTTSMIHGNRRVDMEMQTPHLIHAEGRVDMNMASPHLMQRDRRVDMNMSSPHLIQGDRRVDRNLGSPHFIQSDRRVDMNLASPHMIHGDRSVDMNMASPHLIHGDRRVDMNMASPHMMQGDTRVDMNMVSTAQDDGMHTFDLVNVNLEGGSLSMAATDFMQMHPHPTVYHPKQLLSMRDQVIDSNKRPNVETNTYFMGGGMHVG* >Brasy2G284000.1.p pacid=40067266 transcript=Brasy2G284000.1 locus=Brasy2G284000 ID=Brasy2G284000.1.v1.1 annot-version=v1.1 MLSLSVPDLHSFQDILSDDRYLIEDMTRLPGITFLSMLMMANGHSFGASLFHVLRMCTGVRKLALEYMEPFQPQTVCPSGCICEQPPNWKTEELVRGRLQEVEISELTGTEHEAAFVQRLFRWATVVKKMTISFHHSVTQSKAKDLCQMLLSFSRPEICMQFYVYRCLFKKVLYIPED* >Brasy2G284000.2.p pacid=40067267 transcript=Brasy2G284000.2 locus=Brasy2G284000 ID=Brasy2G284000.2.v1.1 annot-version=v1.1 MLASMPDILSDDRYLIEDMTRLPGITFLSMLMMANGHSFGASLFHVLRMCTGVRKLALEYMEPFQPQTVCPSGCICEQPPNWKTEELVRGRLQEVEISELTGTEHEAAFVQRLFRWATVVKKMTISFHHSVTQSKAKDLCQMLLSFSRPEICMQFYVYRCLFKKVLYIPED* >Brasy2G284000.4.p pacid=40067268 transcript=Brasy2G284000.4 locus=Brasy2G284000 ID=Brasy2G284000.4.v1.1 annot-version=v1.1 MTRLPGITFLSMLMMANGHSFGASLFHVLRMCTGVRKLALEYMEPFQPQTVCPSGCICEQPPNWKTEELVRGRLQEVEISELTGTEHEAAFVQRLFRWATVVKKMTISFHHSVTQSKAKDLCQMLLSFSRPEICMQFYVYRCLFKKVLYIPED* >Brasy2G284000.3.p pacid=40067269 transcript=Brasy2G284000.3 locus=Brasy2G284000 ID=Brasy2G284000.3.v1.1 annot-version=v1.1 MTRLPGITFLSMLMMANGHSFGASLFHVLRMCTGVRKLALEYMEPFQPQTVCPSGCICEQPPNWKTEELVRGRLQEVEISELTGTEHEAAFVQRLFRWATVVKKMTISFHHSVTQSKAKDLCQMLLSFSRPEICMQFYVYRCLFKKVLYIPED* >Brasy2G087800.1.p pacid=40067270 transcript=Brasy2G087800.1 locus=Brasy2G087800 ID=Brasy2G087800.1.v1.1 annot-version=v1.1 MPGVKPTSPAASRLPELPRIRTTLPAASSKVAVVVRDKPAQCNNTKSKAEKEGEKRRKKSGKGECGESTPSARLRTPPPPVLDLELGKRRCSWITANSEPLYVAFHDEEWGVPVHDDRKLFELLALSQALAELTWPVILSKREELREIIDGCFNNASVCELNEKKINQLARSNGRTLLLSEQKIRAVAANAKQMQKVVQEFGSFGNYCWSFVNHRPVTNGFLYTRLVPTKTPKSEAMSKDLMRRGFQCVGPTTVYSFMQAAGIVNDHLPCCFRFQACSQPQGC* >Brasy2G283100.1.p pacid=40067271 transcript=Brasy2G283100.1 locus=Brasy2G283100 ID=Brasy2G283100.1.v1.1 annot-version=v1.1 MAMTAYKYQAQAMMRDYLLADPLVLYTSVLIGVFLCKMAYDLTRILSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFIAAISLYLVVSTDLFSDRLKGPITYRNSVVSTSALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMILISETTTPEINMRWFLDTAGLKKSSAYLVNGIMIFVIWLVARIFLFVYVFYHIYLHYSQITQMHAFGYYLTLTVPSVLFIMNAMWFMKILKGVKKTLAKWS* >Brasy2G283100.2.p pacid=40067272 transcript=Brasy2G283100.2 locus=Brasy2G283100 ID=Brasy2G283100.2.v1.1 annot-version=v1.1 MAMTAYKYQAQAMMRDYLLADPLVLYTSVLIGVFLCKMAYDLTRILSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFIAAISLYLVVSTDLFSDRLKGPITYRNSVVSTSALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMILISETTTPEINMRWFLDTAGLKKSSAYLVNGIMIFVIWWQGYFCLCTCFTTSICTTVR* >Brasy2G337200.1.p pacid=40067273 transcript=Brasy2G337200.1 locus=Brasy2G337200 ID=Brasy2G337200.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPAEEEPAVEKAEKAPAGKKPKAEKRLPAGKTAAKEGGVDKKAKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy2G147300.1.p pacid=40067274 transcript=Brasy2G147300.1 locus=Brasy2G147300 ID=Brasy2G147300.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGISIIEPNLRALALKHNQRKQICRKCYSRLPLKAQNCRKKKCGHSNQLRAKKKCRYC* >Brasy2G009800.1.p pacid=40067275 transcript=Brasy2G009800.1 locus=Brasy2G009800 ID=Brasy2G009800.1.v1.1 annot-version=v1.1 MAADGPGVIRRALDKACASARSGRRALARFAPRPSAFSPAPDAEAAAVRAVRNLRTFRFHYAVIQWALLLASLAPHHRASMLFLMAASKGLLLYGGLLKAFPNSALLRRLLDRRLVASLFLLLVLADLAAASAIANLLFALAAGVPVVVLHAAFRVRDDLEPPADSSSSSAAGGDDEHVVVDKREDGDMETGPTRRSMATPATKS* >Brasy2G017900.1.p pacid=40067276 transcript=Brasy2G017900.1 locus=Brasy2G017900 ID=Brasy2G017900.1.v1.1 annot-version=v1.1 MSSHATGRDIGTMDDTIVTKCSEIKECSIHSCTDRCNELGWNPHRCYCSDSRHCCTDFHYNGTALAPPSK* >Brasy2G301900.1.p pacid=40067277 transcript=Brasy2G301900.1 locus=Brasy2G301900 ID=Brasy2G301900.1.v1.1 annot-version=v1.1 MSDSHETDKNIEMWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQTKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLMVWENLDVNRYVLKHSVTAEIIIKHLNKEQEADQSNFRDETTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQVDIRSFDDLDDDEGVYEDSD* >Brasy2G039100.1.p pacid=40067278 transcript=Brasy2G039100.1 locus=Brasy2G039100 ID=Brasy2G039100.1.v1.1 annot-version=v1.1 MAVFLLALLLLAAPLLLASYPGALAVFSPGFEVFLACGAASNLSFPSDSPARVFVPDAKYLSPASTPALASSQSSSSSSALYAAARGSSSEFSYILPCSSSATFLVLRLHFFLLPGTPSSSARFAVAVRHGAYTLTLLPSPFSPPPAGVVKEFFLPDAGSNGELQVTFAPDSGSSAFVNALELFPAPPELLWNNNSPSPYTPVGTAANNNATATWPQQALEMLHRLNVGGPPVTKENDTLWRTWLPDGPFLYGPTGQPAASSITPVFDPDNGYTPEVAPDAVYKTQRFANVTDYMLATNPGISFNVTWTFPAVPSSAGYLVRLHFCDYDMVSSVVGVGMVFDVYVAQALAARDLKLAELGKRVPSQAFYFDYAAMAPSAGNLTVSIGKARSTGGMILNGLEIMKLLPLSAVSPREGMPKRTIVIVALASVLGAAVLACLALCLVVLMRRRKRRMRPAPEKASTTMPPWSPYTPFRGGGGSSWVVDRSADHPEEGTGMQRVISTKLHISLAEIRAATEGFHERNLIGVGGFGNVYKGALSDGTPVAVKRAMRASKQGLPEFQTEIVVLSGIRHRHLVSLIGYCDEQAEMILVYEYMEHGTLRSHLYGFDDDDDETPPQPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDIKSTNILLGSEDGVLVAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEMLCARPVIDQTLDRDQINIAEWAVRMHSQGQLDKIVDPRMAVAAGEVDENSLRKFAETAEKCLADYGVDRPSMGDVLWNLEYCLQLQETHASRDAFEDSGAVVTATRLPAGVVVPRWVPASTVDDADDTGMSIGMSAIADSKVFSQLSAGGEGR* >Brasy2G397200.1.p pacid=40067279 transcript=Brasy2G397200.1 locus=Brasy2G397200 ID=Brasy2G397200.1.v1.1 annot-version=v1.1 MESRRRRRWTRARSAEGRFFIPHLAPFFSRRRPSTSAAGLLMEVPVRSVERGGCGERGLRRGRQPQAGILDGTAHDAIAHRPRHGPPQGFVCPLFGFSSLVSNDPRIVL* >Brasy2G447500.1.p pacid=40067280 transcript=Brasy2G447500.1 locus=Brasy2G447500 ID=Brasy2G447500.1.v1.1 annot-version=v1.1 TVYLPSRPPYPFIPSVPVFLFSLIPTTDESIKINSAPIWSMAKQEDNARLCGELCLNFGSFVLSQRNLFLWKKVSSKVASSIQHLASSYREAQ* >Brasy2G447500.2.p pacid=40067281 transcript=Brasy2G447500.2 locus=Brasy2G447500 ID=Brasy2G447500.2.v1.1 annot-version=v1.1 TVYLPSRPPYPFIPSVPVFLFSLIPTTDESIKINSAPIWSMAKQEDNARLCGELCLNFGSFVLSQRNLFLWKKVSSKVASSIQHLASSYREAQ* >Brasy2G106100.1.p pacid=40067282 transcript=Brasy2G106100.1 locus=Brasy2G106100 ID=Brasy2G106100.1.v1.1 annot-version=v1.1 MAASLSLFATPTTALHVSQTFRRRSAAVSRPRSSSFLCQSRLPTEPPTGGGGGGGGGGGGRGKKRAWWVDMAERVHGDVVKAGMAVQENLSPKQKGDWKDVALMSLSFAVYVYISQRIVCTYCAWVSMINH* >Brasy2G450000.1.p pacid=40067283 transcript=Brasy2G450000.1 locus=Brasy2G450000 ID=Brasy2G450000.1.v1.1 annot-version=v1.1 MTDVYLQGKIVDGHDYAGFIHVADVYSADPETLVKGFAPAPGTGRGDKEPVWYFFSPVRYASKKKNSGRRARTIGGDSNKKWHSELGAVPVDGGSAFGGHKLNLTYMVRDDGASGKKKKHERAGWILEEFGIAPEHGGGQIELCKLHRSPRFKEENTSTAAAGCKKRKAAADDELYAADQRKNQCLRQEMDGGAAAVDPQDLYYQQQQVPILDDTWRLALQEIERQLLSDDEHETLGGAGQVMDPAEQEEEGTERQQGGGGCDHEDDGRLECTMEELLGIDGDVEDDDDMAMTSNAPAAAECATEDCIEEMIDELLV* >Brasy2G436600.1.p pacid=40067284 transcript=Brasy2G436600.1 locus=Brasy2G436600 ID=Brasy2G436600.1.v1.1 annot-version=v1.1 MNLLSRSLLLFGRKAAAAAQRPTTRGIKPAVVFVSPRPRVLRWYHEPAKAAAATALALSAAAMAALSSYPREIVPCTNRPHRVVFPHDAERELGEAAFAGVKDEYEGRIVDPRHPDSVRVGLIADRVIHAAYRGLGVYDRRDAPLLRVTADSRRRRQPQTRHLRGLHWEVILARDGSNFAGSTLGGKLVVFTGLLDCCKTDGEIAAALAHEVGHIIARHPVDITNNVWWVPPFLRRIFLRRNELEADYIGILLLAAAGFDPRCALELKKKQAMFRPDSVSSKLLCAHPTNKKRLQLLSQAKVMDQALELYREATAMDVVAGRYFRTRFK* >Brasy2G252400.1.p pacid=40067285 transcript=Brasy2G252400.1 locus=Brasy2G252400 ID=Brasy2G252400.1.v1.1 annot-version=v1.1 MENGRSRQGSDAMTTATRAPAPAPEKIVQKTTTINTAGLAVLGVEKTAEGEEKKLGLRLEIRSGRIGGACLASELAPAAPWVVHLVKTNKTRYAAHVCLVFSCHAHMLHGTRSNRIDLLTIQSRTSTRGCAAAR* >Brasy2G050200.1.p pacid=40067286 transcript=Brasy2G050200.1 locus=Brasy2G050200 ID=Brasy2G050200.1.v1.1 annot-version=v1.1 MRVMSCWGRRTGATTSRGWRGSTRRASLMPWVNRFVHGIIKEHRGKAMTAGTGEAQPRDFVDILLSLQESEGLANADIAAVLWEMIFRGTDAMAVTMARLVLHPGVQASVHRELDEVVGKSSHVTESAMTSLPYLQALLKEALRVHPPGPLLSWRHRAMWDTYGHLVPAGTTAMVNQWAMSRDPDVCAEPLKFRPERFLPGGEAGPGVSVVGSDGRLVPFGSGRRSCPGKSLAMTTVAAWMATLLHEFEWMPAKTGAAVDMSEVLRLSCEMATPLQVRVRPRRGV* >Brasy2G105800.1.p pacid=40067287 transcript=Brasy2G105800.1 locus=Brasy2G105800 ID=Brasy2G105800.1.v1.1 annot-version=v1.1 MNRGKESQRERGMGSGGNGEGEVEGDGERARGRGRWGRAGMERERDRGRETEGKGDGVGREGRGRWWPAGKEREMGAGEREMVAAGREREMGAGEREMVAAGREMEMGAGEREMVAAGREMGAGERES* >Brasy2G295900.1.p pacid=40067288 transcript=Brasy2G295900.1 locus=Brasy2G295900 ID=Brasy2G295900.1.v1.1 annot-version=v1.1 MEKDQPAKEKERLVSSLMRMKPASLKGKKPAVGKKAPAGKLGDGKVRSKSNPTIVLVNAPAAAAPAPATRRRGQGLPPPPRRGRQSPTDQAVTSGSAVASGSRTSRAGTHGVAASGTTVEEKEEEVPEDSMDEQNKEYEPAEENIHMDEDEDEDLSDDVMSDDDPLFGFSRGTDEVDQETVAVNSDEEHGTRSLRSLLLAPVEGCLMTSVAL* >Brasy2G403800.1.p pacid=40067289 transcript=Brasy2G403800.1 locus=Brasy2G403800 ID=Brasy2G403800.1.v1.1 annot-version=v1.1 MIRHTFESRIHSLDAIVPTQPSSPASSDRRLLPPPPLSRCPNPCRILPRDSKMQPAAIDFMPEGATALKIPSASDRPPFATRPSFDGPPPATSVYLDIPPSVHKAQKFKFYMEDLPTNPDPEFEYQTRRLAYAYITPADSPCRADPGPFVRRVFRTLALDLPQTFELHHPSSFGDIKVRFRTPEDREAAMRRQPFVLDGITVKLVREGETPNPNVWRLRDDYIVHVVLRDYPVEQRTEKKIKDNCCRFGYVREIHPGCFAEPDLATVHVILEVTTGKEKQLVASKITHGLPGDGEVNGGGSGNSGDGLGQRGRELSGNGRGEKERKDSAL* >Brasy2G483700.1.p pacid=40067290 transcript=Brasy2G483700.1 locus=Brasy2G483700 ID=Brasy2G483700.1.v1.1 annot-version=v1.1 MGAAGDSPAPAAAPKRTLVFTYGTLKRGFSNHSLLQDLAHTGDACFVGAAATTSPLPLVCGPYRVPFLLNLPASRGARRVSGELYSVTPRGLARLDDLEGVSRSHYERLPVSVALLEDEEEVAVDGAVAYYAHRGYAAEMWARSGEKGHAEYSPAVAAGYVRRKDRPQGLTFLDQIRVFVSSQS* >Brasy2G463200.1.p pacid=40067291 transcript=Brasy2G463200.1 locus=Brasy2G463200 ID=Brasy2G463200.1.v1.1 annot-version=v1.1 MRRTRIKSIPESIENLSNLNTLDIKKTNIEKLPRGIAKVKKLRHLLADRYADKKQSEFRYFIGVQPPKELSNLEELQTRKTVGASKDLAEQLKKLTQLQSVWIDDISFSDCPDLFATLSNMPLLSSLLPSASDKDETLCFKTLKLTSTRLHRLIVRGRWASGTLDCPIFQDHGRYLKYLALSWCHFAEDPLLQLASHVPNLTYLSLNRVHSADTLVLSAGCFPKLKILALKNMPDVNQLKIEHSAVPCIEGLYIMSLLKLDQTPAGIESLQTLKTLWMLALHMDFKTHWDKNGMHEKLQYVPELRI* >Brasy2G290800.1.p pacid=40067292 transcript=Brasy2G290800.1 locus=Brasy2G290800 ID=Brasy2G290800.1.v1.1 annot-version=v1.1 MAATTMSLSSSAFAGKAVKNLPSSALFGEARVTMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK* >Brasy2G006900.1.p pacid=40067293 transcript=Brasy2G006900.1 locus=Brasy2G006900 ID=Brasy2G006900.1.v1.1 annot-version=v1.1 MKPLPPLPEKPDPAAAARHRARARRAPALPRPTRRRDLGPCSCRHERRTTTPSPRAASNVARLRPERFPFAQAPSWDSWMLICSKRERQKLMLLLPSDRHRRRT* >Brasy2G348400.1.p pacid=40067294 transcript=Brasy2G348400.1 locus=Brasy2G348400 ID=Brasy2G348400.1.v1.1 annot-version=v1.1 MARRRLADAGDGAVSRLRFAPSSNNLMVSSWDSGLRLYDAEESTLRLEVECEAALLDCCFKDETVAFAGCSDGSVIRYDLHSGVQDTVGLHDDVVTSTEFSEITGQVVTACLDKKLIFWDTHTSVRPDSTVSLDSIVASLSVSGMYILVAVERDVYWYDMRNLTGPVKVKDSPLKHHIRCLHASPGWNGYAAGSISGTVALKYFDRGVDGDMGYTFRCRPRSRDGTSSLVPINSMAIHPFKKTFVTGDNEGYAISWDAQSKKKLLEFPSYSGSVASVAYNHSGELLAVASNYNHQEADKVVAVEGHQIYIETMQNFKGKSPIE* >Brasy2G085700.1.p pacid=40067295 transcript=Brasy2G085700.1 locus=Brasy2G085700 ID=Brasy2G085700.1.v1.1 annot-version=v1.1 MTMARTMAGVRQYNRSKVPRLRWTPALHRCFVHAIRSLGGQHRATPKRVLQLMGVGGLTISHVKSHLQMYRNMRTDLGTQGMMKAQQWDQEHTYGGMEVCITDTQQQQHRDHECDVPFYDSPKPRKEPLLLLHHNLKRTAATETTGARHERHGKEVAGASPTSLLGSGQGEGIRERDRTSSSSSRLHCCGLGYVQPRAPGIKQKRLQREPWMPELHGEGQHAVPSGLGFLGFVVAPPAACRGGLPFEVCTVSSQPVSTSTIRAAGFVNPPSVAADHEDGCSLSLSLALALCPARSGSLQVASSTESSSSAASRISLDLSLSTLDS* >Brasy2G350700.1.p pacid=40067296 transcript=Brasy2G350700.1 locus=Brasy2G350700 ID=Brasy2G350700.1.v1.1 annot-version=v1.1 MPMEMEQVSRNYEKDSLKMAMLKHEETFRQQVHDLHRLYRIQKLLMRDLKRGIKTHGQRSLSTSPNGSPDYNNNSNNNNRARALGVDDDEAELELTLAVGSGGRKRYSEGQCSPGESFSSSSTESDTLTGGEWQMARARQQLVGGGGHKRRPAGFDVGQDGGVQQQASPLLFHWLSLRMA* >Brasy2G350700.2.p pacid=40067297 transcript=Brasy2G350700.2 locus=Brasy2G350700 ID=Brasy2G350700.2.v1.1 annot-version=v1.1 MPMEMEQVSRNYEKDSLKMAMLKHEETFRQQVHDLHRLYRIQKLLMRDLKRGIKTHGQRSLSTSPNGSPDYNNNSNNNNRARALGVDDDEAELELTLAVGSGGRKRYSEGQCSPGESFSSSSTESDTLTGGEWQMARARQQLQQASPLLFHWLSLRMA* >Brasy2G467900.1.p pacid=40067298 transcript=Brasy2G467900.1 locus=Brasy2G467900 ID=Brasy2G467900.1.v1.1 annot-version=v1.1 MAGLMEDDSSWETLSTERIIIPAFNFQVVSEVNNGGKEFPDSLDVVVPDDILEKIFTFLPIASMIRSTAVCKRWHHIIYSSRYLWTHMLPQRPWYFMFTCNETAAGYAYDPHLRKWYDLELQCIDKSSCFVSSSCGLVCFMDNDNRNVISVSNPITKDWKRLMEPPGAKFPDYSTVAMMVDRVSHNYTVTLAKSNQVPDDYVQWDFSLYKYDSWSSSWVTAAKEVLVGWRGSEDSVICDGVFYCLIQSTGVLGNVEPRHRLIMYDLVTGPSETSLMLSSIPVPCSLTCGRLLNSGEKLVMVGGIAKHNRPDIIKGIGIWELDKKQWQEVGRMPHKFFQGFGELDDVFASSGTDDLVYIQSYGATALLAFDMKLKKWKWSAKCPVSKKFPLQLFTGFCFEPRLDIAA* >Brasy2G347400.1.p pacid=40067299 transcript=Brasy2G347400.1 locus=Brasy2G347400 ID=Brasy2G347400.1.v1.1 annot-version=v1.1 MAGAAGDRPEGVDWSFGGDAFAEYSSALFAELGGWPGGALGARAGAGELPSLDLPEAVAPAPWPEETTPAGPAGRSAEAGGASSSSSGDGASAAAENADKPAAAAEAASMKPAAASTARKGQKRARQTRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGFGAGTTPAMHIHGAAAVALAEQMSFVSAQQQQLLYNLPAAAPLRLRQMNVVLPSSESPETGVSSTTTTASLQQLNDNNELRSPTAVMARSPSSSVPSSVSFEMGLLGDIVPPGVRHG* >Brasy2G392900.1.p pacid=40067300 transcript=Brasy2G392900.1 locus=Brasy2G392900 ID=Brasy2G392900.1.v1.1 annot-version=v1.1 MASQQESPPKKKAPPLSATTITALDQDTLREIFLRLPSLPSLVRAALACRTFLDAVRSSPAFRRRFSALHWPPILGAFLSIQDTVVPTFVPLRRSDPDLAAAVRGADFFLTRLPVPDEDKAKAKAKDKDALPDTRLPVPDEDKDKDALPDEDEDKDEDDDDDEDEDDDAVSEWSIRHCRDGFVLLHNWSTKQIAVYRPLTRAVDLFPTPPNETDLFPTPPDEIDSRTYSDFYIFSSEEDHSFRVVCVCHNCLGARAIVLSSETRKWQIFPWADVHGFWPQTGKVANGCIYWTIGMPSDARVLNTNTMQFSRIDLPPRRSVNEMWKAGETKDGRLCMVCEPMGPVGPELSLVVWFWRADDDGVEKWMLDKSLPLQELAEIVRCDFRKLHDNVEVRLNVVAIIDGFVYLSASCGVCRHHPSTLWFISFCLETAALNKLGRILCAASYPYIMVWPRSLVQSKISSSSK* >Brasy2G392900.2.p pacid=40067301 transcript=Brasy2G392900.2 locus=Brasy2G392900 ID=Brasy2G392900.2.v1.1 annot-version=v1.1 MASQQESPPKKKAPPLSATTITALDQDTLREIFLRLPSLPSLVRAALACRTFLDAVRSSPAFRRRFSALHWPPILGAFLSIQDTVVPTFVPLRRSDPDLAAAVRGADFFLTRLPVPDEDKAKAKAKDKDALPDTRLPVPDEDKDKDALPDEDEDKDEDDDDDEDEDDDAVSEWSIRHCRDGFVLLHNWSTKQIAVYRPLTRAVDLFPTPPNETDLFPTPPDEIDSRTYSDFYIFSSEEDHSFRVVCVCHNCLGARAIVLSSETRKWQIFPWADVHGFWPQTGKVANGCIYWTIGMPSDARVLNTNTMQFSRIDLPPRRSVNEMWKAGETKDGRLCMVCEPMGPVGPELSLVVWFWRADDDGVEKWMLDKSLPLQELAEIVRCDFRKLHDNVEVRLNVVAIIDGFVYLSASCGVCRHHPSTLWFISFCLETAALNKLGRILCAASYPYIMVWPRSLVQSKISSSSK* >Brasy2G042800.1.p pacid=40067302 transcript=Brasy2G042800.1 locus=Brasy2G042800 ID=Brasy2G042800.1.v1.1 annot-version=v1.1 MAALCPNLTTALPFLPVLRPHRRLSPAASVGRPRGPRVVLRGSRHPDLPARNSVWFENATRLRTEHKKKVLFASETDSPNTDGSKRSDETSSPPDGPPVLTILAGIIVFFLVLWVIGSIFTWIVGLVFGAVKNLKTSALG* >Brasy2G101600.1.p pacid=40067303 transcript=Brasy2G101600.1 locus=Brasy2G101600 ID=Brasy2G101600.1.v1.1 annot-version=v1.1 MLAPLPRLTSSLRAHFDADQAYLLRKAVLQARIPPRPHDEWELARRIVPNWDDAPSDVRQAYKQFIGAVVELLNGEVVSEEFQQVAQSVYRLFGGDVAQSGAAGKPLEKRNELESLVGYSVQESVFKKLVQFAQKLHSLQGVSIQEFVQEDKKDAAGDDTSEFGASFDFKAPSRFIIDVTLDDDLPFGSGVLRPFENELDDACSTSINCNSTAFGGSVNLRWLKDQCDLITRSGGSMLSGDELAMALCRVLRSPKAGDEIAGELLDLVGDAAFEIVQGLLSHRKELGDAIQHGLTILKSEKLSSSNQPKMPSYGTQVTVQTEYERQLEKSKRKEGRRAKRGAEHGTNDIGADDFSSLLLASERKQPFDDMIGTGEGESSFTVTSLPQGTTRKHMKGYEEVKIPPTPTAPLRPNEKLIEIRELDDIAQAAFQGYKSLNRVQSRIFQATYNTNENILVCAPTGAGKTNIAMIAVLHEVKQHFREGILHKNEFKIVYVAPMKALAAEVTATFGRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIETLVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNADTGLFYFDSSYRPVPLAQQYIGVTERDYAKKNELFNSLCYEKVVEAIKQGHQALVFVHTRKDTGKTARTLIDLAAKSGELELFSNADHPQYSLIKKDVGKAKSREVVEFFESGFGIHNAGMIRSDRSLMERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDAKAGGWKDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVIGDPSMGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVINMVAHSSEFENIVVREEEQDELETLARKACPMEIKGGPTEKHGKISILIQVYISRASIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKAVDRKIWPHLHPLRQFERDLSPEIMWKLEERNVDLDRLYEMEDKDIGALIRYSHQGRIVKQYVGYFPYVNLSASVSPITRTVLKVDLLITPEFEWKDRFHGMSERWLIIVEDSENDTIYHSELFTLTKKMARGAPTKISFNIPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFTHFNPIQTQAFHVLYHTDTNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVTDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEFYDGKTKRYVDYVITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSSTIGNKGEAIIYLTWTYLYRRLVVNPSYYGLEDTETYTLNSYLSRLVETTFEDLEDSGCIKVDDHSVESLILGKIASQYYLSYLTVSMFGSNIGPSTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLCEKVPYSVDQHRLDDPHVKANLLFQAHFSRAELPISDYITDLKSVLDQSIRIIQAMIDVCANSGWLTSALTCMHLLQMIIQGLWFERDSSLRMLPSMSDSLLVHLKGRGVSTVPTLLSCSREELHKLVQPFAASELYQDLQHFPRLDVKVNLQGEDKEQSKPPMLNIRMQIKNSRRSSRAFSPKFPKAKQEAWWLVLGNVASSELYGLKRISFTDRVLNTRMKLPQMFDVQETKLIVVSDCYLGFDQEISLGHLAMVV* >Brasy2G233200.1.p pacid=40067304 transcript=Brasy2G233200.1 locus=Brasy2G233200 ID=Brasy2G233200.1.v1.1 annot-version=v1.1 MLGISTIGVSKELSLIHKLPSLNTLSLFGQDLEKPALCWISNLSKLTSLDLYGYDFSQLVPSWIGNLTSLTSLFIVNCNFSGPIPHQIGNLTNLAVLVSLGSSNYNEQPLPSWIGNLTKLTMLVLTSCNISGPIPSTLGNLIRLQYLEIMDNNLSGEIVVHSSGIAIP* >Brasy2G109400.1.p pacid=40067305 transcript=Brasy2G109400.1 locus=Brasy2G109400 ID=Brasy2G109400.1.v1.1 annot-version=v1.1 MVSSSYSNLLDLATGAADQPPAPAALGALRRRLPSVVTTPGLIDDSPASPSTPSPAPRPRTIVVANHLPIRAHPPASPSEPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLRNDVPAADQDAVAQALLESYNCVPAFMSADTAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRLLWQAYVSANKIFADKVLEVINPDEDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLPYESKRGHICLEYYGRTVSIKILPVGVYMEQLKTVLALPETEAKVAELMETYTGKGKVVMLGVDDMDIFKGISLKLLAMEEMLRQHPEWRGKLVLVQVANPARGRGKDVADVQEETYAMVRRINEAYGAPGYEPVVLIDQPLQFYERVAYYVIAEACLVTAVRDGMNLIPYEYVASRQGNDKLDRVLRLCKPEQKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALALPEKEKNMRHEKHYRYVEKHDVGYWANSFLQDLERTCKDHSQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYTRSKTRAILVDYDGTLMPQAINKSPTDQSVQILNSLCRDKKNAVFLCSGFKRHTLDDWFPSENLGLAAEHGYFMRLKRDAQWETCIPAADCSWMQIARPVMELYTETTDGSTIETRETVLVWNYEDADPDFGSCQAKELVDHLESVLTNEPVSVKSTLHSVVAKPQGVSKGLVARRMLALLQERGMRPDFVLCIGDDKSDEDMFQFINSAPCGDSLASTAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLACVSERPPLGNLAREETLPQFEAFAE* >Brasy2G109400.2.p pacid=40067306 transcript=Brasy2G109400.2 locus=Brasy2G109400 ID=Brasy2G109400.2.v1.1 annot-version=v1.1 MVSSSYSNLLDLATGAADQPPAPAALGALRRRLPSVVTTPGLIDDSPASPSTPSPAPRPRTIVVANHLPIRAHPPASPSEPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLRNDVPAADQDAVAQALLESYNCVPAFMSADTAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRLLWQAYVSANKIFADKVLEVINPDEDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLPYESKRGHICLEYYGRTVSIKILPVGVYMEQLKTVLALPETEAKVAELMETYTGKGKVVMLGVDDMDIFKGISLKLLAMEEMLRQHPEWRGKLVLVQVANPARGRGKDVADVQEETYAMVRRINEAYGAPGYEPVVLIDQPLQFYERVAYYVIAEACLVTAVRDGMNLIPYEYVASRQGNDKLDRVLRLCKPEQKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALALPEKEKNMRHEKHYRYVEKHDVGYWANSFLQDLERTCKDHSQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYTRSKTRAILVDYDGTLMPQAINKSPTDQSVQILNSLCRDKKNAVFLCSGFKRHTLDDWFPSENLGLAAEHGYFMRLKRDAQWETCIPAADCSWMQIARPVMELYTETTDGSTIETRETVLVWNYEDADPDFGSCQAKELVDHLESVLTNEPVSVKSTLHSVVAKPQGVSKGLVARRMLALLQERGMRPDFVLCIGDDKSDEDMFQFINSAPCGDSLASTAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLACVSERPPLGNLAREETLPQFEAFAE* >Brasy2G109400.3.p pacid=40067307 transcript=Brasy2G109400.3 locus=Brasy2G109400 ID=Brasy2G109400.3.v1.1 annot-version=v1.1 MVSSSYSNLLDLATGAADQPPAPAALGALRRRLPSVVTTPGLIDDSPASPSTPSPAPRPRTIVVANHLPIRAHPPASPSEPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLRNDVPAADQDAVAQALLESYNCVPAFMSADTAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRLLWQAYVSANKIFADKVLEVINPDEDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLPYESKRGHICLEYYGRTVSIKILPVGVYMEQLKTVLALPETEAKVAELMETYTGKGKVVMLGVDDMDIFKGISLKLLAMEEMLRQHPEWRGKLVLVQVANPARGRGKDVADVQEETYAMVRRINEAYGAPGYEPVVLIDQPLQFYERVAYYVIAEACLVTAVRDGMNLIPYEYVASRQGNDKLDRVLRLCKPEQKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALALPEKEKNMRHEKHYRYVEKHDVGYWANSFLQDLERTCKDHSQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYTRSKTRAILVDYDGTLMPQAINKSPTDQSVQILNSLCRDKKNAVFLCSGFKRHTLDDWFPSENLGLAAEHGYFMRMSHS* >Brasy2G251800.1.p pacid=40067308 transcript=Brasy2G251800.1 locus=Brasy2G251800 ID=Brasy2G251800.1.v1.1 annot-version=v1.1 MGSILCCLRGHDDAPSCCLCLPWPFLNNSSTTNSGATARQRADTRVAPVQGRVPLAVSAGSRQEDSMNTFRCPPRPLPYDDPRFSHQTEHHPLVAEHEKASTQFQKPNQLGESKNVDTTSTCTADKADGSSVKTQSGAGPKIGGTQLYVPSDSEDDCPICLEEYDYENPKIALECNHNYHLGCIYEWMERSQSCPVCAKVMLFNEGQ* >Brasy2G478900.1.p pacid=40067309 transcript=Brasy2G478900.1 locus=Brasy2G478900 ID=Brasy2G478900.1.v1.1 annot-version=v1.1 MAAVAVRGTERVAAAGASAAVVMAVAAAAAQNLLVLFSLVMEAVSAGADIFNGDHQDIYKQFGKIKPETVPENKDAGSDDDDDDEDEDEDDEGGDDDDADAEEDFSGEEGGEDEEDDDPEANGGEGGSDDEDGEDDDGDDEEGDDDDDEDEDEEEEDDEDQPPSKKKK* >Brasy2G166900.1.p pacid=40067310 transcript=Brasy2G166900.1 locus=Brasy2G166900 ID=Brasy2G166900.1.v1.1 annot-version=v1.1 MQDDLLYPMLTVAETLMYSAEFRLPRTLSAPKKRSRVQALIDQLGLRAAADTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSASAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGGPAALPLFFSEFGHPIPDGENPAEFALDHIRELESTQGGTKELVQFSKSWQDKPLSRAVSAESSDKPSLSLKEAISLSIARGKLVSGTSTGSPAAATTEVATYANPWWAEVWVLTRRAFTNTRRTPELFLIRLGAVVVTGFILATIFWRLDNTPKGVNERFGFFAIAMSTMFYTSADALPVFLIERYIFLRETAHNAYRRSSYTLSNAIVAFPPLVFLSLAFTAITFFAVGLAGGAEGFVFFALIVLASFWSGSGFVTFLSGVVPHVIIGYTVVVAMLAYFLLFSGFFVTRDRIPDYWIWFHYLSLIKYPYEAVMQNEFGADPGKCFMRGVQMFDGTPMGKLPVATQVTVLNAMSKSMKIDFNSTSCITTGPDILAKQAVNQLGKWNCLWATVAWGFLFRVLFYLTLVLGSRNKRR* >Brasy2G388200.1.p pacid=40067311 transcript=Brasy2G388200.1 locus=Brasy2G388200 ID=Brasy2G388200.1.v1.1 annot-version=v1.1 MMSWASDPEVAVYCSWEPYSSTEPVLAYLRDTVLPHPWFRAICLGSGDDRPVGFVSLSLSPEERCRGELGYVLARAHWGKGVATAAVKRALAAVFAEVEGLARVEALVDVDNAASQRVAGKAGFTREGVLRRHYWHKGRARDLVMFSFVSGDPLPQ* >Brasy2G021200.1.p pacid=40067312 transcript=Brasy2G021200.1 locus=Brasy2G021200 ID=Brasy2G021200.1.v1.1 annot-version=v1.1 MSASPEFYRPWSAAPQLYSPRLQPGSTAADEHENYCCRTPTGAGISSSYPGTGGGATACPPAPRKPRPQPAACRKRLFDAAAVISVRYDELDQIFRPAANAPSKQGKLGLGDPPVSRSSRSSNRRAAKLESSAN* >Brasy2G012700.1.p pacid=40067313 transcript=Brasy2G012700.1 locus=Brasy2G012700 ID=Brasy2G012700.1.v1.1 annot-version=v1.1 MAIQEEGEGAGSAYAPLTVGLALGGSKSSTYVFRWALAKFANGKDKPAPTFKLIHVLTPVLAVPTPLGNYIPIDEVRPDIAEAYAKEVQVQAQEMLLPYRKMCDENKVEVEVLLVKGNDVADTISNLVDQYQIQVLVLGNPATSRSAFTRKSSGNKTSFKICKSIPSFCTTYIVSKDGLSSVYSPGLGSDTSSCRSSNSQAFSDEMSLRSDLSDSSARTLLGLPSLPSSNLASENLKSSSSAERNRSFTLYDYISGSASVYADKDRRITSCTDSESSISSKLRASNKAPTQRSSLQGLMFSETKDDVNIELEKLRLELRHVQGAHKLVQDESVDASRQVVELAAKRVEGKAQLREIQSRVDKVNDEVQEEKARRCATEEVVTHVKDLVRAEVMQKNRLLIKASKVADQKSRLEELFVLHGNSYSTFTWEEIDNATSSFSESRKIGAGSNGTVYKGHLNHLDVAIKVLHSDDRSSTKHFNQELEVLGRIRHPHLLMLLGACPDRGCLVYEYMGNGSLADRLQCKNGTPSIPWFHRFRIAWEIVSALVFLHSTKPNPIIHRDLKPENVLLDRDLVSKIGDVGLSTLVPLKDSSSSGTMYKKTGLAGTLFYIDPEYHRTGQVSVKSDTYALGMVILQLLTARSPIGLPELVERAVEDGQLMDVLDEDAGNWPAKEAHDLAQLGLSCLEMRSKNRPDLKNMVAVELERLKGIAIVASGPVHVVPGLGPPSHFLCPILKTVMQDPCIAADGHTYERNAILMWLCEHEVSPVTKALLPNKTLVSNQSLLSAISSWRSQGGGL* >Brasy2G087200.1.p pacid=40067314 transcript=Brasy2G087200.1 locus=Brasy2G087200 ID=Brasy2G087200.1.v1.1 annot-version=v1.1 MAKRLFHSCRSPSAAAVVTPTTTTHAKHHQPQPPTGACCPRGLPRSRRPPLPPETCGARGCAADYAADDLPPARGSPAYRWLKSSHWHVIEAAAASSATDAGEDKPSPRLKIDARRRLRRSRRRRRRLHRKTTALASLSLSLSSGDSGWFSSSDEDDEEPASYSRRMVSTATETTSSGASGSSAGAVLAAADEQREVGVVAGSFAVVKRSDDPRADFRRSMADMVVGRRIYDADGLERLLRCFLALNDERHRRDIVGAFGDVWEAVFSDPHATATFSKPAS* >Brasy2G124200.1.p pacid=40067315 transcript=Brasy2G124200.1 locus=Brasy2G124200 ID=Brasy2G124200.1.v1.1 annot-version=v1.1 MFWKDSSGRSSSGSGREQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSSIARPAQTVGCAVGIKHVTYGSAGGSSNNISNDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDTVPRDGTRVRSGSIVDVARQWIEKKGLLPCSEELPLTESFPGNSGLVSAAKEARFDKEAVIKFFRMLIRRRYFSNEPATPSPWSLTPREDTVLPVATLKDDNFQRKSYSGEEFTFNGVSPLPAQWNLTPPPTLDPQLPVSSSDNYRYHRFSSPSLTEMSSNRTSRENIDVL* >Brasy2G124200.4.p pacid=40067316 transcript=Brasy2G124200.4 locus=Brasy2G124200 ID=Brasy2G124200.4.v1.1 annot-version=v1.1 MFWKDSSGRSSSGSGREQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSSIARPAQTVGCAVGIKHVTYGSAGGSSNNISNDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDTVPRDGTRVRSGSIVDVARQWIEKKGLLPCSEELPLTESFPGNSGLVSAAKEARFDKEAVIKFFRMLIRRRYFSNEPATPSPWSLTPREDTVLPVATLKDDNFQRKSGEEFTFNGVSPLPAQWNLTPPPTLDPQLPVSSSDNYRYHRFSSPSLTEMSSNRTSRENIDVL* >Brasy2G124200.2.p pacid=40067317 transcript=Brasy2G124200.2 locus=Brasy2G124200 ID=Brasy2G124200.2.v1.1 annot-version=v1.1 MFWKDSSGRSSSGSGREQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSSIARPAQTVGCAVGIKHVTYGSAGGSSNNISNDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDTVPRDGTRVRSGSIVDVARQWIEKKGLLPCSEELPLTESFPGNSGLVSAAKEARFDKEAVIKFFRMEKILFE* >Brasy2G124200.3.p pacid=40067318 transcript=Brasy2G124200.3 locus=Brasy2G124200 ID=Brasy2G124200.3.v1.1 annot-version=v1.1 MFWKDSSGRSSSGSGREQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSSIARPAQTVGCAVGIKHVTYGSAGGSSNNISNDAERNFFVELWDVSGHERYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDTVPRDGTRVRSGSIVDVARQWIEKKGLLPCSEELPLTESFPGNSGLVSLRLA* >Brasy2G039500.1.p pacid=40067319 transcript=Brasy2G039500.1 locus=Brasy2G039500 ID=Brasy2G039500.1.v1.1 annot-version=v1.1 MAATAVTLPSSTSPSPCPFSVATSSRRCLFPRSPLPRRAVRVVAASAAAAEAPPKPPPATPSGIILVDPSEAQKVHRLKTVYDEKVVPIITDEFGYTNVHQVPKLEKIVVNCGLGVDAGNSKGLDAAMKDLASITGQWPVKTKAKNSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYTIGLRDQGVFPEIPYEVGGKKNGMDVTIVTTAKTDNEALRLLTLLGMPYAENIKSDQFKKKRLKRHHFMSKGRGRK* >Brasy2G112900.1.p pacid=40067320 transcript=Brasy2G112900.1 locus=Brasy2G112900 ID=Brasy2G112900.1.v1.1 annot-version=v1.1 MKPRATARKKKNVCPSRLVGHYTVKTKHCLAAPIRPPFPPSCMCSYPSPSPYSWRIPNATRLAVGCPSNGAAPVLADPMHLYEHTSDVLVPEMQDDICKSVDVAETLSHSRTTQPRTTRRSLSFCF* >Brasy2G080500.1.p pacid=40067321 transcript=Brasy2G080500.1 locus=Brasy2G080500 ID=Brasy2G080500.1.v1.1 annot-version=v1.1 MRVVVTGATGYLGGRLCAALAGAGHAVRAFVRRTSDASGLPAGAELAYGEVGDAESLAAAFEGCDAVFHVAAVVEPWLPDPSVFATVNVGGLENVLQAAKRTPTIKKIIYTSSFFAVGPTDGYVADETQMHQRKTFCTEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNLVSRILIERYNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENMSFVKIFNMAANITNTKAPSFHVPLWLIEIYGWISVFISRITGKLPLISYPTVHVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKNAKLIKF* >Brasy2G296100.1.p pacid=40067322 transcript=Brasy2G296100.1 locus=Brasy2G296100 ID=Brasy2G296100.1.v1.1 annot-version=v1.1 MYYQLRVLALHTQNYYQPQILHMILLLHLSQ* >Brasy2G163700.1.p pacid=40067323 transcript=Brasy2G163700.1 locus=Brasy2G163700 ID=Brasy2G163700.1.v1.1 annot-version=v1.1 MQVPEIVDVQQNLKHWAQLELNMDKGIWQTTHTTLSEGLIYLSFVCYSQNSARRLCQEAYTCNS* >Brasy2G163700.2.p pacid=40067324 transcript=Brasy2G163700.2 locus=Brasy2G163700 ID=Brasy2G163700.2.v1.1 annot-version=v1.1 MQVPEIVDVQQNLKHWAQLELNMDKGIWQTTHTTLSEGLIYLSFVCYSQNSARRLCQEAYTCNS* >Brasy2G282700.1.p pacid=40067325 transcript=Brasy2G282700.1 locus=Brasy2G282700 ID=Brasy2G282700.1.v1.1 annot-version=v1.1 MATNGSSPRVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWIILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLQAHKEAVNSLAGNGSLSTLGTVATAVANANATALEAMKEIEAALKVSMRAALGLGTNNLNEGQLDDLTIMKETLRVKDEELQHLAKDIRARDATIQEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLKKAMETQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAAFQEAHMWRTELGKAREQAVIQEATIARAEEKVRVSEADAAVRIKEAAENLHAVEKEKEELLALIGVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDSTEVQLAVDGVDIRPIGDAEWGGFQQSEALIADVREVSPEAEGSSLDIPVVNPPPVNDHMQGGATHP* >Brasy2G149900.1.p pacid=40067326 transcript=Brasy2G149900.1 locus=Brasy2G149900 ID=Brasy2G149900.1.v1.1 annot-version=v1.1 MSALCLSFSVAAAGTLFVGESLTGDRTLVSNGRKFELGFFSPTTDNSRYYVGIWYRQILYRGRTVIWVMNRDCPVSDPSSAELTVAPDRSLVLLLNGNRSKKPIWSSTSKKSNYTRTSYHGSVVAVLLDNGNLVLRGGRQLRNTSEEQNIWQSFEHPTDTLVPGGWVGLKKRTGDCQTLLSWRSAVDPSTGLYMDRVDPHGSGQYAFTWNGTTVYHNLGAWNGQRFTSVPEMSISTRYKYISVDDDEEVRFSFQVADPSTVSRIVMSPHGQLTMFVWSDESGQWLLHWATPTSPCDVYSVCGPFGLCDVASSQYCRCLPGFGAASWLPGDWSSGCARKTSLHCGNNNESSTDGFLRVQNVKLPAQQLFLSNRSTSLKPGLVLVLATA* >Brasy2G308200.1.p pacid=40067327 transcript=Brasy2G308200.1 locus=Brasy2G308200 ID=Brasy2G308200.1.v1.1 annot-version=v1.1 RPTSPSPPCDFRFPTQNQTRHCYLECHRCMKAKEGDKFQRYYRSLCPTDWVVEWNRQREEGIFPGPIF* >Brasy2G182300.1.p pacid=40067328 transcript=Brasy2G182300.1 locus=Brasy2G182300 ID=Brasy2G182300.1.v1.1 annot-version=v1.1 MDHAMRSLLPKLGELQGEEYKLQRGVKRDVEFLSRELASMHAALRAVAEVPAEQLDEQIKLWTREAVDLTYNIERVVAKFWARQLKAPAAGTDGFVAKMSFRLETVDVRRQFAIDIGQFKQMIEELAERRRRYTISFSGDRLNNIKRSIEHGFEMDPSMGSLLPKLDELLKDDYKLHKSMKRDVESLCRELRSMHAVLSTVAAVPAKQLDEQDKSWTVDAMDLMNHMERAVDRFCSRWSIVADPPRTGPKGCIARMSFKLATVIARHRFVTDIRDFKQRVRVMAIRHDRNEEHVFTTNKITPVDPRLIAIYRDPEELVGLDGPVEELVKKILAEEGSTSNQQLKVASIVGSEGLGKTTLAYKVYTRLIDQFDCGAFLSVPTTPDIARLLKDMLHKINRPVEVDLRLMDVQHISELIREALKDKRYFIVLDDIWDTKIWDIIKFALIENSNGSAVLTTSRITDVAFYIGGVYELAPLSKPYSKQLFYRRLFGSENNCPPKLSEISKKVIEKCSGIPSVIISTAGLLSDKSMTLEEWHAVLESSIDCRTGRDSYTGLDAVPMQTMVTAQRASALFMDNDDLVGLDCPVEELSKILIEEGRELKVASIVGPPGVGKTALAVQVHKRLKPLFDCTALVTAVSTKPDIQKILSSILRQISKKDHDNFGSRDLRMYIDDIREALMDRRYFIVMDDIQDMLAWRVMKCALIENSCGSAVLITSRITDVGRDAGGVYTLAPLAEMYARNLFHKRVFGSEDNCQPEFAEISEKIIQKCDRIPLAIITTACLLEGKRMEEWHEVHDSNDYGIGRDSNGMRSILALSYTGLPPHLRSCLLYLSMFQKGYEVSVDRLIWGWIAEGFVAERDGMTTREVGEHYLSELINRNLIVPMDTSAGGKALSCCVYDMVHEMIISLSTKENFLMVSDGRQGRFWPGTVERLSIQGNNTLPKPVGLSDVRSLAVFSDANLIPPLSEFQGLRVLDLGGCGGALQDDHLKGIENSFFLKYLVIGGECITAIPKEIGALSFLQTLDLRATSVKELPESIVRARQLKCLRVNSRTKIPYGIGKVEGLEELGDIDISNPELVKELYGLTNLMVLGIAIWSWDDSYNYDPLLAYLGSLTLRGQNIKSISILTCCSLHFMGKLDGGWAPPSLEKLEIRDGMFLTLPGGWISSLENLCSLSIEVQKLSQDIIDVLGKLPSLCSLSLTSKHAPEPEGRFGKCTDAFGNLTGFHLVSNTMGNMFQAGGLPMLERLKLSFEASRTKDVSPEFNFGLEHLPSTLEHLRVEINCFNASLELVEKAEAAIGKQIKRGRCHQAILEIQRLREEDMVDNENEEAEDVREAVRRKWTSLTYNQRARR* >Brasy2G182300.2.p pacid=40067329 transcript=Brasy2G182300.2 locus=Brasy2G182300 ID=Brasy2G182300.2.v1.1 annot-version=v1.1 MDHAMRSLLPKLGELQGEEYKLQRGVKRDVEFLSRELASMHAALRAVAEVPAEQLDEQIKLWTREAVDLTYNIERVVAKFWARQLKAPAAGTDGFVAKMSFRLETVDVRRQFAIDIGQFKQMIEELAERRRRYTISFSGDRLNNIKRSIEHGFEMDPSMGSLLPKLDELLKDDYKLHKSMKRDVESLCRELRSMHAVLSTVAAVPAKQLDEQDKSWTVDAMDLMNHMERAVDRFCSRWSIVADPPRTGPKGCIARMSFKLATVIARHRFVTDIRDFKQRVRVMAIRHDRNEEHVFTTNKITPVDPRLIAIYRDPEELVGLDGPVEELVKKILAEEGSTSNQQLKVASIVGSEGLGKTTLAYKVYTRLIDQFDCGAFLSVPTTPDIARLLKDMLHKINRPVEVDLRLMDVQHISELIREALKDKRYFIVLDDIWDTKIWDIIKFALIENSNGSAVLTTSRITDVAFYIGGVYELAPLSKPYSKQLFYRRLFGSENNCPPKLSEISKKVIEKCSGIPSVIISTAGLLSDKSMTLEEWHAVLESSIDCRTGRDSYTGLDAVPMQTMVTAQRASALFMDNDDLVGLDCPVEELSKILIEEGRELKVASIVGPPGVGKTALAVQVHKRLKPLFDCTALVTAVSTKPDIQKILSSILRQISKKDHDNFGSRDLRMYIDDIREALMDRRYFIVMDDIQDMLAWRVMKCALIENSCGSAVLITSRITDVGRDAGGVYTLAPLAEMYARNLFHKRVFGSEDNCQPEFAEISEKIIQKCDRIPLAIITTACLLEGKRMEEWHEVHDSNDYGIGRDSNGMRSILALSYTGLPPHLRSCLLYLSMFQKGYEVSVDRLIWGWIAEGFVAERDGMTTREVGEHYLSELINRNLIVPMDTSAGGKALSCCVYDMVHEMIISLSTKENFLMVSDGRQGRFWPGTVERLSIQGNNTLPKPVGLSDVRSLAVFSDANLIPPLSEFQGLRVLDLGGCGGALQDDHLKGIENSFFLKYLVIGGECITAIPKEIGALSFLQTLDLRATSVKELPESIVRARQLKCLRVNSRTKIPYGIGKVEGLEELGDIDISNPELVKELYGLTNLMVLGIAIWSWDDSYNYDPLLAYLGSLTLRGQNIKSISILTCCSLHFMGKLDGGWAPPSLEKLEIRDGMFLTLPGGWISSLENLCSLSIEVQKLSQDIIDVLGKLPSLCSLSLTSKHAPEPEGRFGKCTDAFGNLTGFHLVSNTMGNMFQAGGLPMLERLKLSFEASRTKDVSPEFNFGLEHLPSTLEHLRVEINCFNASLELVEKAEAAIGKQIKRGRCHQAILEIQRLREEDMVDNENEEAEDVREAVRRKWTSLTYNQRARR* >Brasy2G095400.1.p pacid=40067330 transcript=Brasy2G095400.1 locus=Brasy2G095400 ID=Brasy2G095400.1.v1.1 annot-version=v1.1 MPPRPERRLFQYISPSRKPPPSPVPTAPAAGGESPASDADADSVYRIVTGAPTPSAMESALSASSIPLSAPLLDLVLSRFRFAHGDPLRALSLLSLAVDRCGVAPSPFTLDTAIYVLGRARRFTHMWDLVHSYHRLCPDAVTARTAMVVLGRVAKICSVRETVASFRRLLRLFRGREGTESADLFNALLRTLCQEKSMSDARNVFHAHKYEFQVNRQTFNILLSGWKTSEDAEAFFAEMRELGIDPDLVTYNSLIDCQCKNRDVEKAYKLLDEMRQKEISPDVITYTSLIGGLGLIGQPDKARHLLKEMCELGCHPDVPAYNATIRNFVIAKRLGDAFALMDEMASKGLMPNATTYNLFFRFYYWAYDIGSAWLLYERMRSERCFPNTQSCMFIVRLCCRHGKVMQALELWSDMVGNGFGSFTLVSDVLFDLLCDEGKLEEAERCFHQMVDLGQKPSSVAFRRIKILMQLANREESIGELNEKMARFGHLVPGDGEKVYHTAKNTPSNGDGDNADVIATI* >Brasy2G386600.1.p pacid=40067331 transcript=Brasy2G386600.1 locus=Brasy2G386600 ID=Brasy2G386600.1.v1.1 annot-version=v1.1 MKIFSWNCRGLCSDAAVRDLLDLQKDIRADFCFLSESHLNKDRADAVRIKLGFHHMFVVESEGRSGGLVLFWNRENKVKLQYLCPNFIDVVVGEPGEDWRLTGFYDFNEIMYAHEKDGGNPRPLIMMQKFRESIADCGLEDMGFSGDIFTWRRGDIRERLDRAVCNERWAAMFPMFAVINEAHVRSDHRPIVVDSEFHAGILAPRRRSTKFFEARWLKEDTVETIVNTAWMKAVASGARGVAARTNLIHEDMHIWDRRVLRGPVNRIKKLKQELELLRKGSTSLASIAKQKELQVAIENLQEQEEIYWMQRGRANWLLHGDRNTAFFHHAATQRKKRNHITKLVDDAGSWHEARLRRQNVASRSSSLRRSADAYNEAVAVANAVAISQFLPHKPCDMAGGIAAMRLHAPESNCHMHRLAVSSLTGHDVDGALELHGEDPRQLGERVCRRVARDDRARVAQEVGHDRVAVLGRVATTSPPTSTCAGADLQACATAHEDLPRASTWTSRTLPPTVRELAGEERDAVGAGELYMPMAWSCWPCDGRVGS* >Brasy2G011600.1.p pacid=40067332 transcript=Brasy2G011600.1 locus=Brasy2G011600 ID=Brasy2G011600.1.v1.1 annot-version=v1.1 MEIGGEARCFRKKEVSPCDFHVSISVPFILPRLLQVFGELPIRAFHGLLVCKLSGACCCAVNPLAVQEMNMPANLAASVVAWRRRMDRPTRLSRKHPRGIMMTWSRSYLKL* >Brasy2G465000.1.p pacid=40067333 transcript=Brasy2G465000.1 locus=Brasy2G465000 ID=Brasy2G465000.1.v1.1 annot-version=v1.1 MTDVEGQQSPQISSSMSKVQDGGGGKPNVAMECHILGSELWTNGLICAFELVKGHRKIVHHKSWPAIELAQEKGAVVHTKKHRGRDGHHVVNPTPDESNVVEIPRQTELGNDPSVLKDRPPYPGEILDHKWVPIGWSRIAELIQRVQSDASWENEQVIVSDSDDDYTVADVAAPYWQRPGGPTWWFHVTAGHPSVDAWLSSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLLTAMHVKGHSPNINVLGVTEVQELLISGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYVFGKADEIELKFVNRRNSEDLNLVSIILNQEIRRLAKQVIRVKWSLHAREEIILELLRHLRGNATRAILERERKSAREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAVFGGGGIVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFIGVVLVGVGLMYLGLTKPVTSEKVNVRKLELQQLVSMFQQEAEQHGKVREVLSRHGLSSGSSTASPGEGYILIS* >Brasy2G054100.1.p pacid=40067334 transcript=Brasy2G054100.1 locus=Brasy2G054100 ID=Brasy2G054100.1.v1.1 annot-version=v1.1 MGFDVGIVPFNPDGWGPPETPAAPPSLGGATATASIPFAPFSRSDKLGRIADWTRNPGGPGMHPAASRDSVFDFTSADDSLAAAAEDSSFHLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEAKRREAEKERARRERHYQNHRSHHHQGFRGNQSSSAKPSVDIQPDWTMREQIPFANFTKLSFTVNDQPEDLLVCGAVEFYDRVYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSILSWDIVVQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKDDINSAHALAVEATYINQNFSQQVLVHEGEKVTFDEPNPFASEGEDAASNGYRYRRWKLDEDISIIARCEVHAASADASGGRQFFTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLSGADMMKLGYVSRVHPRDHYNHSILTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYSVPNDAFENDYVEEPLPEEEQVRLPTDDVDATAEEMDAAAEAEANKAATGGEGEKSSEATVA* >Brasy2G497800.1.p pacid=40067335 transcript=Brasy2G497800.1 locus=Brasy2G497800 ID=Brasy2G497800.1.v1.1 annot-version=v1.1 MSDAAERAQYLCSQNTEKEKIAFPLASPQWSPSHAALSPPADPAQIDHAGTRLQVQIRGIRKRAPSSLLQGFAEITSAVEQVEYLKHFRADNIVKDAEFIRLHLSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGETCTANTVYRACFKQVQQQNEKYYKRYPQDMQVIHEVVRYLSESEGKGVLLPSDVLAEDFKGYIICNNYLPSSRVWDPILVPGAKKNISYYFLKEFEMWLGFDQNPLYAPLHESIYCEGSSSKWSADKICHEHGSLFDPVKATEEGRPVYFIGEMAFPCIFDEIHALRSLKEAAHLLAEKEDWPPLYDISILNNNKVPAAAAVYYEDMYVNFNIANETASLIAGIRLWVTNEYMQSGLRDGGSHVFEHLVGLLNGKKPLLLPASSCCPTGRGCSRAS* >Brasy2G436000.1.p pacid=40067336 transcript=Brasy2G436000.1 locus=Brasy2G436000 ID=Brasy2G436000.1.v1.1 annot-version=v1.1 MEATVIGPKYECLLFDMDDTLYPLSAGINLACRKNIQDYMRDHLQIEESQIAEMCLGLYREYGTTMAGLKALGYEFDIDEFHANVHGTLPYGNLRPDPVLRTLLLSIPQRKIIFTNSDKVHAEEILRRLGLEDCFEGVICFETLNPPAAPSNGLSKSQDCMLFSGEPSSDLDDLNGSDLRPKSPILCKPTIESMEAAIRITNVDPKKTHTEHCFRKGGFHTVIVGRSAVVRGADHALESIHNIKEALPELWEGHDWSESDALLASATVETAVVA* >Brasy2G115000.1.p pacid=40067337 transcript=Brasy2G115000.1 locus=Brasy2G115000 ID=Brasy2G115000.1.v1.1 annot-version=v1.1 MSPRSARARIASSAAGSSRHGARRRPQPTPPPQSSLLRLPPSADRPIKVWHNAGRRRGSRRWRRTGRRCWHALARAFRVAWLTTERAVDALKLAALGAVLRPYLRCAPSPARTSSPSSCSRAGASRESGLNDAALQIDLLQYLQRCRPDADTNTHASLNSKPVTGSRLHYQQKKKWEREKEYRQLSEEAALTASTCSRFLLVSFP* >Brasy2G115000.2.p pacid=40067338 transcript=Brasy2G115000.2 locus=Brasy2G115000 ID=Brasy2G115000.2.v1.1 annot-version=v1.1 MSPRSARARIASSAAGSSRHGARRRPQPTPPPQSSLLRLPPSADRPIKVWHNAGRRRGSRRWRRTGRRCWHALARAFRVAWLTTERAVDALKLAALGAVLRPYLRCAPSPARTSSPSSCSRAGASRESGLNDAALQIDLLQYLQRCRPDADTNTHASLNSKPVTGSRLHYQQKKKWEREKEYRQLSEEAALTASTCSRFLLVSFP* >Brasy2G115000.3.p pacid=40067339 transcript=Brasy2G115000.3 locus=Brasy2G115000 ID=Brasy2G115000.3.v1.1 annot-version=v1.1 MSPRSARARIASSAAGSSRHGARRRPQPTPPPQSSLLRLPPSADRPIKVWHNAGRRRGSRRWRRTGRRCWHALARAFRVAWLTTERAVDALKLAALGAVLRPYLRCAPSPARTSSPSSCSRAGASRESGLNDAALQIDLLQYLQRCRPDADTNTHASLNSKPVTGSRLHYQQKKKWEREKEYRQLSEEAALTASTCSRFLLVSFP* >Brasy2G016100.1.p pacid=40067340 transcript=Brasy2G016100.1 locus=Brasy2G016100 ID=Brasy2G016100.1.v1.1 annot-version=v1.1 MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSVWRARRSARLREERRAHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGSAGKVPSGWPCSQDWANAAGDPGYWLDLRCSADNSYSGFSWRLFSCFYVSMAWFWRKVLRFGSSGDGGGLGRDGKMLAKGGENGGKAEESRVDKAKRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARKEAERRRQERRRKEDKGSSKSNSDCEDIERRVSREGEWKRDFDRRNEPDRRDATRVGTEGYKPHNFEASSQGGKTVQSRTKYFGRMTGGLLSSSRGFSGGSIFGRSAQTPAPQANKVTKPLVTATDQSNAVKRDGQHASVQAMPKSATAGETKNSWTNFDRPVSPNMQQHPTGLKKSWHQLFSRSASVSPCPDLSASVREKIMQPEPNGAQISSAQNFLAQYPPLDSKPRVSQSMQFTGFPPVNGAPANMPPSHFPAGHMPFYNEAEPTSLEEPEQFEDPCYDPDAIALLGPVSESLDNFPPDWDSRFILNDVTKEPHVKPSPIESPLSRSRTVEEKPIKPSHFSIAKGHNISMSPEANSEQGTWQMWSTPLVQESLGLRGPQAQWLLPNTNQFNHGVNHLNGGTRSPLGAGLNDNDLWLQKSPFQQLPLDTESLFLSHDGSGNTMHNDLGFGSPNKAARANPFGPPGPGPGHSWSKEDLVLNGPQGASQTHSPTGAHGGLFPTNPDVQSVWSFDQKRDSIELIK* >Brasy2G078400.1.p pacid=40067341 transcript=Brasy2G078400.1 locus=Brasy2G078400 ID=Brasy2G078400.1.v1.1 annot-version=v1.1 MESIRGGKFLQKFRLYETRSKFYLIGRNKSRTIWKVLKIDRLESTDLGIQEDPTCYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFMKFLGPYYMVIITRRRKVGTICGHDIYSIGKSEMIAIPCPIVWPNVANSRDENRYKRLLCSVDLTKDFFFSYSYNIMRSLQKNIADKNTGQVVYETMFVWNEFLTRAMRSHLKNTNWTVALIHGFFKQSKLSVCGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDDIPCEITSVVQHRGSIPLVWFQETSRLNIRPEITLKPDVDYKATRLHFENLALRYGNPIVILNLIKTREKKPRESLLRAEFAKVIHYINKGLPDDKRLKFLHMDLSKLARRKGSNVLGLLNKVASDVLELTDLLHCEITTSKPRGDSSGQGDVTESCEIKSNDDFCADMMVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLTALGRQLHVLRLTQEPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGRPALWKLDSDQHYNIGRQGTVNEESGRSFIKRSLSDGNILCENSVPISDRNVGENNTANSELLPMQQLDDIREPTDSAPEIYMCETNPCSSTKYSTMPGRHSISEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEAFERSSLIHSPMDVISVESATTYSEQGHNDEGRDDTDLSRSSSQLSDSRDYSDRFAQWVANGGMLCY* >Brasy2G244800.1.p pacid=40067342 transcript=Brasy2G244800.1 locus=Brasy2G244800 ID=Brasy2G244800.1.v1.1 annot-version=v1.1 MGPSPACAARSGGSKPGAARSGGSKLGAPRSGGSKPRVTRSGGSKPRAARSDRSRPERLLARQPCFFNGRQEGAAGRCAVGRRLPLLVTGPERYQLSILVLCLAGHKPRIAIRSFYYK* >Brasy2G239700.1.p pacid=40067343 transcript=Brasy2G239700.1 locus=Brasy2G239700 ID=Brasy2G239700.1.v1.1 annot-version=v1.1 MEAIAGLVAGSHNRNELIVIRRDGDPGPKPLRLQNVKVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRIKGCARVPGDEEEDGADDIENEFNWRDRNDTQYVTESMLHAHMSYGRGGADLNGHQHFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPKLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGSGKDWNGDDDDADLPLMDEARQPLSRKIPISSSQINPYRMVIIIRLVVLGFFFHYRVMHPVHDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEGLSETSEFAKKWVPFCKKYCIEPRAPEWYFQQKIDYLKDKVVPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVDGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNASYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGDRKTKKKTTKPKTEKKTRLFFKKAENQSPAYALSEIEEGAPGVETEKAGIVNQQKLEKKFGQSSVFVASTLFENGGTLKIASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFTTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFALFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIAPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPVLEECGLDCN* >Brasy2G239700.2.p pacid=40067344 transcript=Brasy2G239700.2 locus=Brasy2G239700 ID=Brasy2G239700.2.v1.1 annot-version=v1.1 MEAIAGLVAGSHNRNELIVIRRDGDPGPKPLRLQNVKVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRIKGCARVPGDEEEDGADDIENEFNWRDRNDTQYVTESMLHAHMSYGRGGADLNGHQHFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPKLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGSGKDWNGDDDDADLPLMDEARQPLSRKIPISSSQINPYRMVIIIRLVVLGFFFHYRVMHPVHDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEGLSETSEFAKKWVPFCKKYCIEPRAPEWYFQQKIDYLKDKVVPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVDGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNASYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCCCFGDRKTKKKTTKPKTEKKTRLFFKKAENQSPAYALSEIEEGAPGVETEKAGIVNQQKLEKKFGQSSVFVASTLFENGGTLKIASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFTTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFALFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIAPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPVLEECGLDCN* >Brasy2G232400.1.p pacid=40067345 transcript=Brasy2G232400.1 locus=Brasy2G232400 ID=Brasy2G232400.1.v1.1 annot-version=v1.1 MGLRNSMLEVALILAVTCSVAVAYDPLDPTGNITIKWDIVSWTPDGYVAMVTMNNYQQYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKHTPSIVDLLPGVPYNQQIANCCKGGVISAYGQDPAGALSAFQVSVGLAGTTNKTVKLPKNFTLMGPGLGYTCGPATVVPSTVYWSADHRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCAKCACGCGSKAARAGRGGNSHSDRCIMGDSKRALTPGVNTPKKDGASLLQCTNHMCPIRVHWHVKLNYKDYWRAKIAVTNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKLYNDLLMEAGPFGNVQSEVLMRKDDNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPLATPRSALAFACLLLLLAA* >Brasy2G471900.1.p pacid=40067346 transcript=Brasy2G471900.1 locus=Brasy2G471900 ID=Brasy2G471900.1.v1.1 annot-version=v1.1 MDPPPMDGRQRFLLELEFIQCLANPIYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPATVHPPAPVSAPSPVPAPASSLPTMSAVVASAMPPMQFIGTPGTNNSKNEMRNVMGGRKRKMG* >Brasy2G471900.2.p pacid=40067347 transcript=Brasy2G471900.2 locus=Brasy2G471900 ID=Brasy2G471900.2.v1.1 annot-version=v1.1 MDPPPMDGRQRFLLELEFIQCLANPIYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPATVHPPAPVMG* >Brasy2G257800.1.p pacid=40067348 transcript=Brasy2G257800.1 locus=Brasy2G257800 ID=Brasy2G257800.1.v1.1 annot-version=v1.1 MEAEDYLAGCSFSLMCQEDGADLGDGFTSDDDGGVEMLLMYNAANDNDEEEYMEHLVSKESSLCSSPESSAPSIAFEDWLQCSRRATVRWILETRGHFGFCHRTAYVAIAYFDRFSLRRCVDRSVMPWATRLLGMACVSLAAKMDEYRAPALSELCFCGDGGYEFSSVSIRRMELLVLSTLDWRMGAVTPFDYLPCLSSRLLRPANGGAGALVKAAAALIFSAAQVASVLYYRPSTVAAAAVLAATHGTLTKEALGSKMIHLSPSCFPEKEEVYACYTRMLGDPSSTAAKNRNGKRSAAIVHADSTYDSFDAASFSVAAAMNNNKRVRLELPLADIHR* >Brasy2G319500.1.p pacid=40067349 transcript=Brasy2G319500.1 locus=Brasy2G319500 ID=Brasy2G319500.1.v1.1 annot-version=v1.1 MAANGVTTPLLHHCDDQASTEKPPGSATGRRFRLRHCRTAPSSDPVAPGEPPPPRPSNDSRSAPPKKLFEGASRPSFRLVGILLLSYLLAGSTAFYLAMDQMSGHRSSSRALDALYFCVVTMTTVGYGDLVPVTDAAKLLAAAFAFAGVAVVGTFLSKAADYLVEKQESLLFRAVHHDRNNNNTRLLRATEEANRTRYKLYVSGALLALLVASGTLFLWKAGGMRALDAFYCACATVTTLGCGDRSFASAAGRAFAAAWVTASTVVVALFFLYAAELCAERRQRELARWVATRRTTTTDLEAADLDGDQRVGKADFVLYKLKELGKIGQEEIEEFLEEFDRLDADHSGTLSPYDLAVAQTPA* >Brasy2G001100.1.p pacid=40067350 transcript=Brasy2G001100.1 locus=Brasy2G001100 ID=Brasy2G001100.1.v1.1 annot-version=v1.1 MHLIFLLLVSLLLNGTFPPTTTVAWLCDRQCGGGRGSIVPHPFGFSAGCPVVLSCDLTNTSMPFLPYRGGGGDDGAATSYRVIAFNSTASTFLVTVPPSCTRDVYVSRSELSGANYGVSSRTGLFLHGGGRCGVSVNSNTACGLLPVDIMPRLLRDARCGAGENGNGTSAVACVASATPKPNNATTVREDLFLEWEKVEKANCDGVLTAAVYVGGVASPEVGVAELSWWLDGACAGKGAVSCAAAATCSDVQTPSGTVGHRCTCMDGMNGDGFAAGDGCYTGER* >Brasy2G004400.1.p pacid=40067351 transcript=Brasy2G004400.1 locus=Brasy2G004400 ID=Brasy2G004400.1.v1.1 annot-version=v1.1 MASRLIRLHAHLRRRRHGTSRLLSSSSSSPPTAEPPAAAMMEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRDADEAVRLGPAPARDSYLNAAAIVDAALRTGAKAIHPGYGFLSESADFAQLCQAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQGPDDFVDSVLSAQREAAASFGINTLLIEKYITQPRHIEVQVFGDQHGNAIHLYERDCSLQRRHQKIIEEAPAPNVTTEFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTISGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGELLPLSQEQVQLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSSKTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNCLSNFQIAGLPTNVGFLQELAGHSAFEKALVETHFIEHYKDDLLSTSAKTSTESHDAAELGAILAAACICKKDHIASEESLRDKTLSVWYTRSPFRMHHFAKRLMEFQFDKEVEGLSDEPVKLTITYKSDGSYFIETKDGSSPGLEIKVDDRSDHDFRVDVGGVQKDVTLALYTKDNSKHIHIWHGKHHHHYRQTMRADQSLDDSTQPSHASEGRSYPKGGVLAPMAGLVVKVLLKDGAQVENGQPVMVMEAMKMEHVVKAPRAGYIQGLKATAGQQVFDSSVLFTVQDKSTN* >Brasy2G171700.1.p pacid=40067352 transcript=Brasy2G171700.1 locus=Brasy2G171700 ID=Brasy2G171700.1.v1.1 annot-version=v1.1 MDPALRRRSRLPIHLLVAVVAVLAFLSARSGAEVITLTEETFTDKIKEKDTVWFVQFCVPWCKHCKSLGTLWEDLGKVIEGTDEIEIGKVDCGASKPVCSKVDIHSYPTFKVFYDGEEVAKYKGPRNVESLKNFVLNEAEKAGETRLQDEL* >Brasy2G352300.1.p pacid=40067353 transcript=Brasy2G352300.1 locus=Brasy2G352300 ID=Brasy2G352300.1.v1.1 annot-version=v1.1 MPWWKRSAHHHTTSASTPASPARASTSRIPRRYGGDLGGGGSDPQPRLTRQRRLRHVDDIEVGGVSALSLDDSPAGASSSSYPARRDAVWLGLATASSTPISRSPSNMEVTPPRSSSTPMLLPHPLPLPHEDDSPCRASGRPLPSPRLVDGIWDGSTEAMGVAAVNGSERSSTFPRFMPHAVQKIPEHNDVRSTGTNGATCGQRRKAFKEKFQDSSAETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSTAISIQGSNLLSAQPAWSSDLCGSSPPCTSPEKFVCGQERSPRSSPLRSPVLRSRYPSAPPSPMHPNLFSDNHASRPEANGSVNYHPLPLPPPSVSPKQTNFSHQSVPKIEMPSMAGQWQKRKLIGSGTYGCVYEATNRHTGALCAMKEVNIIPDDAKSVESMKQLDQEIKFLSQFKHENIVQYYGSETIDDRFYIYLEYVHPGSINKYINQHCGAMTESVVRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLNKDPSVPDNLSPEGKDFLRGCFKRNPSERPTASKLLEHPFVQNSNHFSQHTSIHSPAGIKSPDGGHCARDKKSWKTDSCMRGKHTNTIGETSSSRCSGSLAHRLTAPPNLETHTTPNSIYSLSPPPTSYKSSPSSAAHNTPNSMHFSIAYPQPSPLPKPNGKEANMFSY* >Brasy2G351300.1.p pacid=40067354 transcript=Brasy2G351300.1 locus=Brasy2G351300 ID=Brasy2G351300.1.v1.1 annot-version=v1.1 MAWCALRSRLLPDLPHAAATPHRLLLCLLSTAAPRHSHHHHRRRRCLFPTSYAAAAPAAEAPRAMPPVGRATRHPEGATSIARVYADVNSQRPKEYWDYESLDIEWGEQDGYEVLRKVGKGKYSEVFEGFRPASEERCVIKILKPVRKKKIKREIKILQNLYGGPNIIKLLDIVRDDDSKTPSLIFEYVNNTDFRVLYPTLSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPGMEYNVRVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFQVDPFFHGQDNHDQLAKIAKVLGTEDFYSYLEKYGLELDPKLEMLVGRHRRKAWLKFVDSGNRHLVSPEAIDFVDKLLRYDHQERPTAKEAMAHPYFNPVRSTESSRTSVQ* >Brasy2G351300.2.p pacid=40067355 transcript=Brasy2G351300.2 locus=Brasy2G351300 ID=Brasy2G351300.2.v1.1 annot-version=v1.1 MAWCALRSRLLPDLPHAAATPHRLLLCLLSTAAPRHSHHHHRRRRCLFPTSYAAAAPAAEAPRAMPPVGRATRHPEGATSIARVYADVNSQRPKEYWDYESLDIEWGEQDGYEVLRKVGKGKYSEVFEGFRPASEERCVIKILKPVRKKKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPGMEYNVRVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFQVDPFFHGQDNHDQLAKIAKVLGTEDFYSYLEKYGLELDPKLEMLVGRHRRKAWLKFVDSGNRHLVSPEAIDFVDKLLRYDHQERPTAKEAMAHPYFNPVRSTESSRTSVQ* >Brasy2G206600.1.p pacid=40067356 transcript=Brasy2G206600.1 locus=Brasy2G206600 ID=Brasy2G206600.1.v1.1 annot-version=v1.1 MQPPTAAVSASSMESMSSCRASWKGVGGGRPYECSVLSCAWNAPRALTGSLASTTQCSSCSHAEAGGGWRRRGRSRRSNNTLLHVTCAEDVNKGKLCQGPSASFVSSGEKFGSWSTPVESTWKVSCHSSSEPLNLVSPENLWEGLRPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFETIQNEFGATVRRIVEGETKVSKLGKLQCKNECSSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYQIKSELEYLSFMYMNPGDFTELRKRVEDIFKAHEQELEEANRILKQKIAEDQFLDLVSVETEVRSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDMIAERGIAAHYSGRGVVSGPVRPGISSGRNSDGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPIHALANAEVVEIITYDKLSGKYAFERHQQWLQHAKTRSARHKIMKFLREQAALSATEITADAVNNFVADLEDESDSEQLIPSTQNGDYKFNWQKILSSNKLSFANKNIDGFFPVNNVHTPKINGKHNKTVKELGIKINGSTIRGDSSTEFMHSGIPTRKEIFASLDHWKSGKISSWHNTEGNSIQWLCIVCVDRKGMMAEVTSALTACGITICSCVAERDKRRGMGVLLFHFEGTNENVVSACAGVEMILGVLGWSVGCSYNPLGVLEC* >Brasy2G206600.2.p pacid=40067357 transcript=Brasy2G206600.2 locus=Brasy2G206600 ID=Brasy2G206600.2.v1.1 annot-version=v1.1 MQPPTAAVSASSMESMSSCRASWKGVGGGRPYECSVLSCAWNAPRALTGSLASTTQCSSCSHAEAGGGWRRRGRSRRSNNTLLHVTCAEDVNKGKLCQGPSASFVSSGEKFGSWSTPVESTWKVSCHSSSEPLNLVSPENLWEGLRPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFETIQNEFGATVRRIVEGETKVSKLGKLQCKNECSSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYQIKSELEYLSFMYMNPGDFTELRKRVEDIFKAHEQELEEANRILKQKIAEDQFLDLVSVETEVRSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDMIAERGIAAHYSGRGVVSGPVRPGISSGRNSDGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPIHALANAEVVEIITYDKLSGKYAFERHQQWLQHAKTRSARHKIMKFLREQAALSATEITADAVNNFVADLEDESDSEQLIPSTQNGDYKFNWQKILSSNKLSFANKNIDGFFPVNNVHTPKINGKHNKTVKELGIKINGSTIRGDSSTEFMHSGIPTRKEIFASLDHWKSGKISSWHNTEGNSIQWLCIVCVDRKGMMAEVTSALTACGITICSCVAERDKRRGMGVLLFHFEGTNENVSACAGVEMILGVLGWSVGCSYNPLGVLEC* >Brasy2G206600.3.p pacid=40067358 transcript=Brasy2G206600.3 locus=Brasy2G206600 ID=Brasy2G206600.3.v1.1 annot-version=v1.1 MQPPTAAVSASSMESMSSCRASWKGVGGGRPYECSVLSCAWNAPRALTGSLASTTQCSSCSHAEAGGGWRRRGRSRRSNNTLLHVTCAEDVNKGKLCQGPSASFVSSGEKFGSWSTPVESTWKVSCHSSSEPLNLVSPENLWELAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFETIQNEFGATVRRIVEGETKVSKLGKLQCKNECSSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYQIKSELEYLSFMYMNPGDFTELRKRVEDIFKAHEQELEEANRILKQKIAEDQFLDLVSVETEVRSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDMIAERGIAAHYSGRGVVSGPVRPGISSGRNSDGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPIHALANAEVVEIITYDKLSGKYAFERHQQWLQHAKTRSARHKIMKFLREQAALSATEITADAVNNFVADLEDESDSEQLIPSTQNGDYKFNWQKILSSNKLSFANKNIDGFFPVNNVHTPKINGKHNKTVKELGIKINGSTIRGDSSTEFMHSGIPTRKEIFASLDHWKSGKISSWHNTEGNSIQWLCIVCVDRKGMMAEVTSALTACGITICSCVAERDKRRGMGVLLFHFEGTNENVVSACAGVEMILGVLGWSVGCSYNPLGVLEC* >Brasy2G312600.1.p pacid=40067359 transcript=Brasy2G312600.1 locus=Brasy2G312600 ID=Brasy2G312600.1.v1.1 annot-version=v1.1 MAAAATSATVSFAAASRRGGGAPRAGCWPPSRVAASAPAAAAATTTASSPEAAAPLTADLAPAAPVPLMRAVPESLQGVSGSLVGVPDRGEGVGDADGPGAMEYLTRVLASKVYDVAIETKLDHAPKLSNRLGVNLFIKREDQQPVFSFKLRGAYNMMAKLSQEQLDRGVICSSAGNHAQGVALSARRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKLRCEQESRTFIPPFDHPDIIAGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEHVGGFADGVAVKVVGEETFRLCQELVDGIVLVSRDAICASIKDMFEENRNILEPAGALALAGAEAYCKYYGLKGETLVAISSGANMNFDRLRLVTELADVGRKREAVLATFLPEVQGSFKKFAELVGPMNITEFKYRYDSNGKEALVLYSVGIYTDHELRAMIERMESSKLKTVNLTSNDLAKDHLRYFIGGRSEVKDELVYRFIFPERPGTLMQFLDALSPRWNISLFHYRAQGETGANVLVGIQVPPEDLDEFRSRADNLGYEYLSEMNNEIYNLLLRNPKA* >Brasy2G385300.1.p pacid=40067360 transcript=Brasy2G385300.1 locus=Brasy2G385300 ID=Brasy2G385300.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy2G135400.1.p pacid=40067361 transcript=Brasy2G135400.1 locus=Brasy2G135400 ID=Brasy2G135400.1.v1.1 annot-version=v1.1 MAAEEVEAGAAAPIAAFAVAKGVVVLKHIFLNAPPPSAVEEAGRGGGGDREEGEEEEDPPVLFGRHPDCHVLVDHPSVSRRHLEVRCRRRQRRITVTDLSSVHGTWVSGQRIPPNTPVELAAGDVLQLGASKREYRLQWLSLREALEMEDLLPPLIEEDKEEFRAHQEKSKELVPGQREPMHMKTHQETSHQVVSEQIEYPPKVIPSAPPLPGFAHSFYLEESSLTQFDENRERVIEEVMFSDNSVTQSVCSSIIASLPATMANAGTTVQSDKQDASGTMSRRAKLKSVKSLRIDTGRKNSTLSYSYQKEAQNENLLCSQNCGKGCAACMVLFDNSEVKEAEEKENMFAPEKEYMNSHVLDNITMEENQVRNPLKSEHLNKENSMLSFVKETNQHGNFSENFVPQGSVDAKLQMRSEPMNYVSALLFKDEIFAGKGPQLDDTVQMESHEHVSENSFTQDIVDGNTNKHKDMKHDGFSHLKLDGSIPNKDKMAQNKIAVCPQDCDLEGIIYDSLFDNLDIKGTEENEQSNLLDKENTTPHVSGNIIMERSQLLLKPNRSQELLDSISPLSLEHDTFSDSENSMLNTGTQMKSNELISENVIPSISVETEFMPMSHLDFENDIIPYNENSLLGSGKYDAAISPVRQGDLFPDENVTPAFRGLKPIAGKALGSRMDSLMFAEYTSNSSVHKRECSELSSEYDAISPVRQGDISSDKENVTPASRGLKSIGRKVLGSRMDSSMSAEHPPNRSVNKQECNGLSSKSKGFHTVDDEAFYSDKENLTPMSTGGMKARRCLPKNLFPVDVDQDQEVFYSDKENSTPVSSVARKTRDTPMSENRARIESVITKKRVVERLPFQTLVSNSPLRPNGRAADVSFRLEDELNSLPHKNQESDSIGEVMKVWTMVADTDCLLDDESRKSIMLLRGIKGTHLIIPRIVIRELDCMKQREGLFRRSSKATSVLQWIEDCMENESWWIHVQSSSEMSPVAPTPPATPKDMQHNDGEREAASAGTFNPVFALFSPRSFTGIFSPRSFTDIVTPRTEDRVLDCALLFHKLRGSQKNMVILSNSVALKIKAMAEGLLCEGAKEFRETLMNPCSGRFMWAASAPRGAAWSRLDEAALAENYYNSHHHHGARRAPTPRPVEAARGLKLILLHNSSSLHPHNGDRLRRREE* >Brasy2G146900.1.p pacid=40067362 transcript=Brasy2G146900.1 locus=Brasy2G146900 ID=Brasy2G146900.1.v1.1 annot-version=v1.1 MGGANILDQLGLIDAIQAAGTVKRFLPSEFGHDVDRGRPVGAGVEFYEEKRRVRRAAEAAGVPCTYICCNSIAGWPYFNNRHPSEVPPPLDRFQIYGDGTVRAFFVAGSDIGKFTVKAAYDPRSINKIVHFRPACNLLSTNEMASLWEAKIGRTLPRVTLTKEDLIAMAAENIIPESIVASLTHDIFINGCQTNFFIDGSKDIDISSLYPDTPFRTIDECFDEYVNGLDLEDDQDDKQNKKNSNTPMVEILSVHPTCA* >Brasy2G203600.1.p pacid=40067363 transcript=Brasy2G203600.1 locus=Brasy2G203600 ID=Brasy2G203600.1.v1.1 annot-version=v1.1 MWRPATDLLTAASERVYMPLSHPPIPLSAAAASCPPPRALASFTEAFALPCRPCADPAQLDQLLLLALRALGLARGRQLLASSGQSSLPPDAGRARPVPPRVGLHGQPGGPTTPPPARAPRRRAPRLS* >Brasy2G106600.1.p pacid=40067364 transcript=Brasy2G106600.1 locus=Brasy2G106600 ID=Brasy2G106600.1.v1.1 annot-version=v1.1 MMAEQFYTVASDSETTGEEKAQQTFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRSQKGMRSYVMFKDDTLSAGVTGGATKEHAHEERDVLSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHYKHPVRNVVLTIPVSFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDVAVSATAGGVSQIRALSGCTVGGEDILQNVMRHFHPNFDSLYAGHTMDRIKSMGLLRIATQDAVHKLTTQESIEINVDLGDGQKVSKVLGRAEFEQVNKLIFEECERVIKQCLHDAKLVPEDINDVILVGGCSRIPKIRNLVLGLCKKEDSYMSIDVLEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDTFAAVIPRNTAVPARRDMLFTTTHDNQTEALVAVYEGEGKQAEENHLLGYFKITGIPAAPKGAVEINVCMDIDAANVLRVFAGVVKPQGPATPPFIEVRMPTLDDGHGWCGQALAKMYGGNLDLAVLPKKLQP* >Brasy2G106600.2.p pacid=40067365 transcript=Brasy2G106600.2 locus=Brasy2G106600 ID=Brasy2G106600.2.v1.1 annot-version=v1.1 MAEQFYTVASDSETTGEEKAQQTFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRSQKGMRSYVMFKDDTLSAGVTGGATKEHAHEERDVLSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHYKHPVRNVVLTIPVSFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDVAVSATAGGVSQIRALSGCTVGGEDILQNVMRHFHPNFDSLYAGHTMDRIKSMGLLRIATQDAVHKLTTQESIEINVDLGDGQKVSKVLGRAEFEQVNKLIFEECERVIKQCLHDAKLVPEDINDVILVGGCSRIPKIRNLVLGLCKKEDSYMSIDVLEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDTFAAVIPRNTAVPARRDMLFTTTHDNQTEALVAVYEGEGKQAEENHLLGYFKITGIPAAPKGAVEINVCMDIDAANVLRVFAGVVKPQGPATPPFIEVRMPTLDDGHGWCGQALAKMYGGNLDLAVLPKKLQP* >Brasy2G070400.1.p pacid=40067366 transcript=Brasy2G070400.1 locus=Brasy2G070400 ID=Brasy2G070400.1.v1.1 annot-version=v1.1 MLGCFSRLRRPPPVQPAEDASTSAESTSTVATTSPCSSSSSAPFKKKISSLHAGNNVDDDSGGAIVVAAKKKNVEVTPASSALSESPGLSSAIASRRFFLSSPGRSNSIVDSSSSMHTMIGGGGVAAVPTYSPDPHGDFLRSMEEMAAALRLRDARRRGDRARLHELLLCYLALNDRGAHRYVVSAFTDLLLRLTHNAGLDDEDGL* >Brasy2G056800.1.p pacid=40067367 transcript=Brasy2G056800.1 locus=Brasy2G056800 ID=Brasy2G056800.1.v1.1 annot-version=v1.1 MLMAGTRRNLFLAAYSQIKDILQDQELLQQPVAERAIAERIITKVKESPGGGSFVHEAWDLAEALLAIRNEKEMWDVIQGVWVEMLCFSASRCRGYLHAKSLGTGGELLTIVWFLWSHRGMETLAERMQGTDVELPAGEDGQGEGEGIASAFHLGNRFTSAGISMASAIVEDDEEEV* >Brasy2G337100.1.p pacid=40067368 transcript=Brasy2G337100.1 locus=Brasy2G337100 ID=Brasy2G337100.1.v1.1 annot-version=v1.1 MSTTTGSSSGARSRSFGSSSGSGAGGGEITCVARAGEKEVYVRADKIDLSNLDVELEQTRSKVWLDQQRAGASPQQPGRELLEWEIDLAKLDIHNQVASGTFGVVYRGTYDGNDVAVKVLDWGQEGQETKHREAFEKEVAVWQKLDHPNVTRFVGASMGTSQLKLPGSKGGSGPGQRCCVVVVEYQHGGTLKTFLYNHRDKKLPYKKVVQIALDIARGKQTADRWMWIVGGDGWTNRRLSYLHSKKIVHRDVKAENMLLDRKKSAVRIADFGVARVEAQDDENNMTGQTGTLGYMAPEVLEGRPYDHKCDVYSFGVLLWETFCCNLAYPNYSIADIAYHVVKLGIRPDIPRCCPRALSEIMARCWDGKPDNRPEMAEVVALLEKIDTAKGKGMTPAIPDNTAQGCSCFGFAK* >Brasy2G409000.1.p pacid=40067369 transcript=Brasy2G409000.1 locus=Brasy2G409000 ID=Brasy2G409000.1.v1.1 annot-version=v1.1 MGMAVRGSRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGGRLPVPIIAEVDLYKFDPWALPDRALFGTKEWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPHGRTLGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRAAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQQLGQGEKEATKQESSDMVITSHSNNNNSQQSHSWGEARTPESEIVDHDDPSSAFPAAFQSPAAQEMLATMMVPKKEAADDAGAGAGRNDLFVDLSYDDIQSMYSGLDMMPPGDDLLYSSLFASPRVRGSNQAGAGVGGMPGPF* >Brasy2G398900.1.p pacid=40067370 transcript=Brasy2G398900.1 locus=Brasy2G398900 ID=Brasy2G398900.1.v1.1 annot-version=v1.1 MGALSRPEEVAPLVKMAAAAWRIRRQIPPQEHWAFAYDMLQKVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTGVPTEVKLPILQEFYRHIYNPDWHFSCGRGHYRVLMDNFRHVSIAFLELDQGYQNAIEEITRRMGAGMAIYICKEVETVDDYDEYCHYVAGLVGYGLSRLFHATGTEDLASDQLSNSMGLFLQKTNIIRDYLEDINEIPRCRMFWPREIWSKYADELEDLKYEENSERAVQCLNDMVTNALIHAEDCLQYMSALKDNANFRFCAIPQIMAIGTCAQCYNNVQVFRRVVKMRRGLTARIMDETKSMSDVYTAFYEFSSLLESKIDDNDPSAAITRERVDAIKKRCRSSGLLKRRGYDLQKSQYRPMLILLVLMLVAIMLAVLAK* >Brasy2G388800.1.p pacid=40067371 transcript=Brasy2G388800.1 locus=Brasy2G388800 ID=Brasy2G388800.1.v1.1 annot-version=v1.1 MMDRYVVCGVESRKHHHVKPKPAYSGPSLYSFGDSFADNGNLQKTEPISELSRQWYFPYNATGRFSNLMVQSDFIANMLGQSEAPPARKLLVSNPSPAGMTFAMGGAGVFPVEQGVSSLGEQVDSFAVLVEDGTIPDSHLRKSVALVALSGVDYNRVRADSTNSFADITAFIANVTSEIAASVQRLQDMGVKKVLVNNLHPLGCTPAGARPRKYKACDEQGNVGSALHNRYLAESLAGMDDVLVLDVGAAFSSIVSHHGDGRGGKAAAQFKHKLAPCCESVSSKGYCGEVGPASDYDQTATKLYTLCDQPERYFFWDDANPSQAGWEAVMGQLQGPIKEFLKL* >Brasy2G222800.1.p pacid=40067372 transcript=Brasy2G222800.1 locus=Brasy2G222800 ID=Brasy2G222800.1.v1.1 annot-version=v1.1 MATPTPLAVEDVLRVNGSRRFAAALAAASPFASLADAVLAARRIWLHEVDVTGWLEAFAAHPPIGSTSPSVSKWSQEEQSAALSTATDLAAQELAEWNARYREKFGFVFMICASGRTAPEVLSELKRRYTNRPIVELEVAAQEELKITELRLAKLFSSETPAPLTSAEGRISQSDKAADRMRIIGEHLGALSQPSTNKAPEITGSSNRTRPPITTHVLDTARGSPASGIEVSLEVWKDASSRPSFDNKDFNGWITLGSSVTNNDGRSGQLMDIVDNVTPGFYRISFNTSKYAPSGFFPYVSIVFEIKKSQTTEHFHVPLLHSPFSFTTYRGS* >Brasy2G222800.2.p pacid=40067373 transcript=Brasy2G222800.2 locus=Brasy2G222800 ID=Brasy2G222800.2.v1.1 annot-version=v1.1 MATPTPLAVEDVLRVNGSRRFAAALAAASPFASLADAVLAARRIWLHEVDVTGWLEAFAAHPPIGSTSPSVSKWSQEEQSAALSTATDLAAQELAEWNARYREKFGFVFMICASGRTAPEVLSELKRRYTNRPIVELEVAAQEELKITELRLAKLFSSETPAPLTSAEGRISQSDKAAGSSNRTRPPITTHVLDTARGSPASGIEVSLEVWKDASSRPSFDNKDFNGWITLGSSVTNNDGRSGQLMDIVDNVTPGFYRISFNTSKYAPSGFFPYVSIVFEIKKSQTTEHFHVPLLHSPFSFTTYRGS* >Brasy2G434700.1.p pacid=40067374 transcript=Brasy2G434700.1 locus=Brasy2G434700 ID=Brasy2G434700.1.v1.1 annot-version=v1.1 MRLISWNCRGVGGRLDSSKMQYLARLMASTRAQVIFLCETKSCKFSSSQLNNRYDIADSFVVPSSGRSGGLWLLWTIEVDVHIKHASNHLITALVYSKASRLYFALVCIYGDPNHRLTSSIWDLVEDFVYDNQGTPVLG* >Brasy2G492200.1.p pacid=40067375 transcript=Brasy2G492200.1 locus=Brasy2G492200 ID=Brasy2G492200.1.v1.1 annot-version=v1.1 MSFRKATMYLDCLRNHAVALGQVGHCLDGCTEYVQPAGNRLTCAACGCHRSFHRRVMFDPPQRQPLPTAVLSVDSSATESDADDAPPPQRQRPVPVAAAAQQQHYPAPVPMMVVAQEQVRRPRTYFTELQKTKMEELSARIGWYYKQRNLAIIEEGCREIGVTSRAFKSWMNNTKTKRNKLRRAYASANNNNAAPPPAAASCPPALPRLPPPAST* >Brasy2G239100.1.p pacid=40067376 transcript=Brasy2G239100.1 locus=Brasy2G239100 ID=Brasy2G239100.1.v1.1 annot-version=v1.1 MHTSGRVTWLPHRLWAHTSGDHVALQFYRNPPHLFSSLLPLPPAPLCSLLRLCPRRRAPRRRPRRPRLHHAGPSSASALAAPPPRSPPPRSPPPRWGLKISQPPDLPHPALSSSSPTTSAAPRTRSPRLDQPRAASRPDLLLLKLRHEAPPLSDPPLQRLPTQPAIAEAPRRPREVPTALDRSSRAPELPRAVDLARRRACHRRQRVSDLLPVQRARRRVPLVHAHLSDEPAASRDARSTP* >Brasy2G380700.1.p pacid=40067377 transcript=Brasy2G380700.1 locus=Brasy2G380700 ID=Brasy2G380700.1.v1.1 annot-version=v1.1 MSSSGGPPTPGPGRQLNPPDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPPPAAADTDASTPRRPRRRRPEDADADANTNGAEADVELGIDEATLKGYPEVVYGEAKGKKKKKPGASTTCTCCSVCLDNYGDGDVLRMLPDCGHLFHRECVDPWLRQHPTCPVCRTSPLPSPMPTPLAEVTPLAMARPS* >Brasy2G475300.1.p pacid=40067378 transcript=Brasy2G475300.1 locus=Brasy2G475300 ID=Brasy2G475300.1.v1.1 annot-version=v1.1 MRSSSAAARAVVLLLLLLVVVATGGVHEDSGVRRRPPAVATLGSSSASSVRVSLEDAGGRRLAGADDEEDYGYVDPPPDTNRRGAGAPIPHN* >Brasy2G237600.1.p pacid=40067379 transcript=Brasy2G237600.1 locus=Brasy2G237600 ID=Brasy2G237600.1.v1.1 annot-version=v1.1 MRLLTWNCRGSGGGLGSKKMVYLAQLLHSTKAQVTFIAETKNSVITSSQLKNHFNIHDSIVVPSIGRAGGLWLLWTDDAAVDVKLSTSNLILAIVVSSNPRVTFALMCIYGDPYHRADQAIWDQVETFVYDNLALPVFCMGDLNNVMNPDEKSSSNINAHRIQRFNHFVKRCGLVDLGFNGPAYTWCNKRFTSTPVYERLDRCLANADWCARFPNTNVYNLPIILSDHAHVLTITDSCFRRPRLHFKFENWWLMEEDFQSVAKTQWVSSHNQSFPVRTTNLTGTLRKWCKKKKPIQNQLEEIQEQINQIQMLPPQAQDHNKEALLTTQYEETITKMTEQLKQRAKKHWATHNDRNTTFFHQK* >Brasy2G469900.1.p pacid=40067380 transcript=Brasy2G469900.1 locus=Brasy2G469900 ID=Brasy2G469900.1.v1.1 annot-version=v1.1 MSLKGQGSLGPVTIASQSSLRRGIRCRPSSCARSAPIPSTPPHWRSPHPLPGWQTAAPPRAFSSSSYGPSPPHERSANCSASPAATAQPHPTLLPTRIPDARSSQLPPKALNAPGSFGKKMRRGAAPARWPWPLRGERRRAAPRCKEAGGTVTAALAEQRDRLNKLTVVLFILLM* >Brasy2G466600.1.p pacid=40067381 transcript=Brasy2G466600.1 locus=Brasy2G466600 ID=Brasy2G466600.1.v1.1 annot-version=v1.1 MPLATPAMSSRRFESSGRKCQTHSTAGKTMYLATADAKQIAHCQHNLPRAIDRNVGSYSVKHHYPSPIVSWIEDLSSFGDVSFSADTEYVDDQSRPSVGQSSASNNLHDMQISVRLTDEFMELAKENTSNNLETCGILGASFSDGTYYVTMLIIPKQDATAHSCQAFNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVAAPTDPTRSYGIFRLTDPGGMDVLRECSESGFHTHRETTDGGPIYETCSKVHFKPNLRFEIVDLRSGA* >Brasy2G466600.2.p pacid=40067382 transcript=Brasy2G466600.2 locus=Brasy2G466600 ID=Brasy2G466600.2.v1.1 annot-version=v1.1 MPLATPAMSSRRFESSGRKCQTHSTAGKTMYLATADAKQIAHCQHNLPRAIDRNVGSYSVKHHYPSPIVSWIEDLSSFGDVSFSADTEYVDDQSRPSVGQSSASNNLHDMQISVRLTDEFMELAKENTSNNLETCGILGASFSDGTYYVTMLIIPKQDATAHSTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVAAPTDPTRSYGIFRLTDPGGMDVLRECSESGFHTHRETTDGGPIYETCSKVHFKPNLRFEIVDLRSGA* >Brasy2G003100.1.p pacid=40067383 transcript=Brasy2G003100.1 locus=Brasy2G003100 ID=Brasy2G003100.1.v1.1 annot-version=v1.1 KPETRVRLAFFRSTHRSLASLPLPPPPRRLHPPIGAASVPPPPPSPPPRRLHPPLSAAVDLLPSAQLSISTQWPSRATDDLRRRPPRQRPRRRQSLGTSPPPSLSSTSGGAPWRRNWAAIPFLGASSASLPPRLLEPTAAPAFQSTASPPISSIFQRPAPPPKPPSRLINWEEEIVSEGNTA* >Brasy2G003100.2.p pacid=40067384 transcript=Brasy2G003100.2 locus=Brasy2G003100 ID=Brasy2G003100.2.v1.1 annot-version=v1.1 KPETRVRLAFFRSTHRSLASLPLPPPPRRLHPPIGAASVPPPPPSPPPRRLHPPLSAAVDLLPSAQLSISTQWPSRATDDLRRRPPRQRPRRRQSLGTSPPPSLSSTSGGAPWRRNWAAIPFLGASSASLPPRLLEPTAAPAFQSTASPPISSIFQRPAPPPKPPSRLINWEEEIVSEGNTA* >Brasy2G003100.3.p pacid=40067385 transcript=Brasy2G003100.3 locus=Brasy2G003100 ID=Brasy2G003100.3.v1.1 annot-version=v1.1 KPETRVRLAFFRSTHRSLASLPLPPPPRRLHPPIGAASVPPPPPSPPPRRLHPPLSAAVDLLPSAQLSISTQWPSRATDDLRRRPPRQRPRRRQSLGTSPPPSLSSTSGGAPWRRNWAAIPFLGASSASLPPRLLEPTAAPAFQSTASPPISSIFQRPAPPPKPPSRLINWEEEIVSEGNTA* >Brasy2G003100.4.p pacid=40067386 transcript=Brasy2G003100.4 locus=Brasy2G003100 ID=Brasy2G003100.4.v1.1 annot-version=v1.1 KPETRVRLAFFRSTHRSLASLPLPPPPRRLHPPIGAASVPPPPPSPPPRRLHPPLSAAVDLLPSAQLSISTQWPSRATDDLRRRPPRQRPRRRQSLGTSPPPSLSSTSGGAPWRRNWAAIPFLGASSASLPPRLLEPTAAPAFQSTASPPISSIFQRPAPPPKPPSRLINWEEEIVSEGNTA* >Brasy2G170300.1.p pacid=40067387 transcript=Brasy2G170300.1 locus=Brasy2G170300 ID=Brasy2G170300.1.v1.1 annot-version=v1.1 MERQGDTRRPLPRRGQVKPSIFASLFRCFVPNAGGGGGGHSRVVPAGG* >Brasy2G274400.1.p pacid=40067388 transcript=Brasy2G274400.1 locus=Brasy2G274400 ID=Brasy2G274400.1.v1.1 annot-version=v1.1 MAAAAAAEKADDVAPPANPRFIRNTCILAHVDHGKTTLADHLVASCGDGLLHPRLAGRLRFMDYLDEEQRRAITMKSAAVLLRHGSGPTAHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLITELHLTPAEAYARLHRILSDVNSIHSALRSHSYFSVLASLEDQPSSSSSPSSHDNLPEDVDDDEEDAFQPQKGNVVFACALDGWGFRIHQFADLYATKLGANAAALLRGFWGPRYLEKKVDENGKKTFMIVGKKATEGADRDPMFVEFVLKPLWKLYEGVLEQDGEIVKKVISNFKLNIPQRELQNKDPKVVLQAVMSRWLPLADAVMAMMVECTPDPVVAQGVRIARLMPKRELAPEDAAGCPEVVSEIDRVRKCVETCDVSADAPVVVYVSKMFAVPYKMLPLKGVHGELLSHQGATESEECFMAFARVFSGVLRAGQKVFVLSALYDPVKGDAMAMQKHLQEVELHYLYEMLGQGLRPVDCVSAGNVVAIQGLGQHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGIKLLNRADPFVEYTISHRGEHILAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGVGLLDSLKAPPTFVERTTPNGRCTVRVQALRLPNALTKVLQESDQLLGQIIEGKTAKRNVVLNPQISQDDGDSVAMLRQRMISAIDSELEAISEQVDKEKLEKYRHAWLGYLQRIWSLGPWQVGPNFLLLPDVKSGDSVITMEDGRQGVLVRGRAHFSERLGFVSGSDAEALDNSKLSTDASESLHEESVALRNSIVSGFQFATNAGPLCDEPMWGLAFVIEPYIFADNSDAAHQSDQYNIFSGQVITAVKEACRAAVLQNNPRLVEGMYFCELTTPTEQLGSTYAVLGKKRAKVLKEEMQEGTSVFTVHAYLPVAESIGFSNQLRSVTSGAASALLVLSHWDVIPEDPFFTPKSQEEMEEFGDGASIGPNLAKKLMNTVRRRKGLHVEEKVVEHGTKQRTLAKKV* >Brasy2G318000.1.p pacid=40067389 transcript=Brasy2G318000.1 locus=Brasy2G318000 ID=Brasy2G318000.1.v1.1 annot-version=v1.1 MVLSHAVSAGSSDDSVHSTFASRYVRASLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAIGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMNPDKAVELVDENTICVAAILGSTLNGEFEDVKMLNDLLVKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSVNVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMDNCRENAKVLKEGLERTGRFNIVSKDEGVPLVAFSLKDSSRHDEFEISEFLRRFGWIVPAYTMPPDAQHVTVLRVVVREDFSRTFAERLVIDIEKVLGELDALPSRGGGPPSLLGANANGGAAASERDLERQREVVAIWKMAVLAKKKTNGVC* >Brasy2G118500.1.p pacid=40067390 transcript=Brasy2G118500.1 locus=Brasy2G118500 ID=Brasy2G118500.1.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQALISQSEQAILCKSLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFMNLLRALEAYAEGSSADLIIRRALYLWNKLES* >Brasy2G118500.2.p pacid=40067391 transcript=Brasy2G118500.2 locus=Brasy2G118500 ID=Brasy2G118500.2.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQALISQSEQAILCKSLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFMNLLRALEAYAEGSSADLIIRRALYLWNKLES* >Brasy2G118500.5.p pacid=40067392 transcript=Brasy2G118500.5 locus=Brasy2G118500 ID=Brasy2G118500.5.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQDSPQLFP* >Brasy2G118500.6.p pacid=40067393 transcript=Brasy2G118500.6 locus=Brasy2G118500 ID=Brasy2G118500.6.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQDSPQLFP* >Brasy2G118500.7.p pacid=40067394 transcript=Brasy2G118500.7 locus=Brasy2G118500 ID=Brasy2G118500.7.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVN* >Brasy2G118500.8.p pacid=40067395 transcript=Brasy2G118500.8 locus=Brasy2G118500 ID=Brasy2G118500.8.v1.1 annot-version=v1.1 MACCCLRAAAVPRLLFRAAARPLPLPLAVSRKGFSEQSLLPITDSIESFQGPSVENTPRIPLYDDSMSSVASSIFSKSENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHVAVVFDHDGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVN* >Brasy2G118500.3.p pacid=40067396 transcript=Brasy2G118500.3 locus=Brasy2G118500 ID=Brasy2G118500.3.v1.1 annot-version=v1.1 MLELIPSHVAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQALISQSEQAILCKSLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFMNLLRALEAYAEGSSADLIIRRALYLWNKLES* >Brasy2G118500.4.p pacid=40067397 transcript=Brasy2G118500.4 locus=Brasy2G118500 ID=Brasy2G118500.4.v1.1 annot-version=v1.1 MLELIPSHVAVVFDHDGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVNEVEDERIKQALISQSEQAILCKSLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFMNLLRALEAYAEGSSADLIIRRALYLWNKLES* >Brasy2G475600.1.p pacid=40067398 transcript=Brasy2G475600.1 locus=Brasy2G475600 ID=Brasy2G475600.1.v1.1 annot-version=v1.1 MDDAAVAGCFRDKIILVTGSTGFLGKLLVEKILRVQPDVKKLYLLVRAPDAASAEQRILSQVLGKDLFNTLREKHGLAGFQKLVKEKIVPLAGDIGDRNFGLDSSRADALYKEIDVIVNGAATTSFYERYDVSLASNALGAKYACEFAKKCTNLKLLLHVSTAFVAGTQEGLLLEKTLQMGETLRQGYYLDIEAELQLAEKVKTELKTSKTGSSDQLEKTAMKELGLKRACHFGWPNVYTFTKAMGEMLLAEQRGDLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALIVAYDEQAFPCFVGDLKDTMDAVPADMVVNATLVAMAVHWNEKGQVVYHVSSALQNPLTGYVFEDACWDYFSIHPRVLENGKPLQNRRPYLFKRFAYFRAYLILVYKLPLEMLHAVSLLLCGLFSQYYNKHNRRYSFLMLLVKLYAPYAFFKGCFDDTNLTRLRKEVKMCGSDGSIFNFDPKSMDWHSYLLNVHVPAVLKYGRKKKGSV* >Brasy2G225700.1.p pacid=40067399 transcript=Brasy2G225700.1 locus=Brasy2G225700 ID=Brasy2G225700.1.v1.1 annot-version=v1.1 MVDVDRRPALPHGLPRPPSHAAGLRRLSTRASLPTTPRAAASSPSPSTAGAAGPAPSPSAVLAHLTAAGVPVLPGLSEPELAVAEAALGGLQLPPDLRALLSLGVPSGHGFPDYRSPAGLRLLRFAAQEVPAAVAATLPLLPGHRRAGARAPPPLVPLHGRHYLPATPCLAGNPVFRVADSGVTFAGANMADFLLRAFTAEPPPGATLRRQLSAPVPPPAPSPAPPPSTARRSLDSVTGRAPRWIEFWTDAAAAGDRFLEVCTTTDATKKATTTAASHHVPSSSSCWVRSYLQWAGSALRRGGWADDEADEITAGAGPGGEAMALALKVDWCCGELRRGGWGAEEVVEMLESLLGPRRRTRRAVVALPPDVAARVGRLAEAVSLAVGVGVGSGAGDQKNPVRRF* >Brasy2G213900.1.p pacid=40067400 transcript=Brasy2G213900.1 locus=Brasy2G213900 ID=Brasy2G213900.1.v1.1 annot-version=v1.1 MDPSTTDLASLGFLGQELQDMLYYLRFDRDPEIHAEDRRTVEGDAIVRVTIWVFPRREPPRGPHRSIAAGEDFEDASINAIHQMLHRLGGLYQDELRGTPIRHFAFRREGGPLQLSQYLRTETNPTVLHMTRYSYGLERRLLTTSGMLRDTHARLQHNRRRMEILEERLVEANTALVAAQAAAHNAEAAAEEAINYTNNQAQAAIEANNTMAEVSVQAAQEQAQHAIEAHAAAAAERERDRVIARRRRNYYLEHGRRSTTRSAPVPRRRRLTLRAIVSPPLALPAPPTPPAVQPPIVEDSQEEEIEPEEVIPATPEPPEPRFELHFVSAVDYPYQ* >Brasy2G472200.1.p pacid=40067401 transcript=Brasy2G472200.1 locus=Brasy2G472200 ID=Brasy2G472200.1.v1.1 annot-version=v1.1 MADPAAAAAATEVGALASPPPPATEEADAPEEEEEEVVPEVKRWPGWPGDSVFRMVVPVLKVGSIIGRKGELIKRLVEETKARVRVLDGPVGATERVVLVSGKEEPGLDLSPAMDALIRVFKRVNGITDVASDSSTQTAAPPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVTDDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNAPVSQDRSADTWADMPHHSIVSTQVNQQPEVRDEYILPMKREHLYLEREPLVDHNIHRSGVSLYGRDPALSALRPSGMHSVGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYAGLDPVYRSSYSQYSSSAYPSSSLPSYSSMDGSGYSSSGLGGYGSTYRY* >Brasy2G366000.1.p pacid=40067402 transcript=Brasy2G366000.1 locus=Brasy2G366000 ID=Brasy2G366000.1.v1.1 annot-version=v1.1 MASTTSFFLAMILACTLLASSPCHGARHLADTTPAAAPPVVPGIPAVPALPTVPAVAMPPMPAVPAVTVPAVPQVTLPPMPVAVPAMPKATMPPMPAIVVPKVTMPPMPAIVVPTVTMPPMPAIVVPKVTMAPMPAIPSVNVPMPFLAPPPSA* >Brasy2G218900.1.p pacid=40067403 transcript=Brasy2G218900.1 locus=Brasy2G218900 ID=Brasy2G218900.1.v1.1 annot-version=v1.1 MAAPAPRNVSVAERALRGVSDLIKLLPSGTVFMFQFLSPLVTNNGHCAAYNKALSGVLLALCGGFCAFSSFTDSYVGSDGRVYYGVVTRRGMRTFSSNPGESPDLSGYRLRVGDFVHAALSLVVFATIALLDRDTVSCLYPAMDGAGERTMMAVLPPVVGGVASYAFMMFPNNRHGIGYQPTRATEDFQHKQ* >Brasy2G085500.1.p pacid=40067404 transcript=Brasy2G085500.1 locus=Brasy2G085500 ID=Brasy2G085500.1.v1.1 annot-version=v1.1 MKRQSKRPTSGGQESPEPGDQSLLAPYMEHDEADLEEEDEDEERGSLSCGLGGKKRRLALEQVRALERSFEVDNKLDPERKARIARDLALQPRQVAVWFQNRRARWKTKQLERDFNALRARHDALRSDCDALRRDKDALAAEIRELREKLPKPDTAAVKSEAGIEAELRQAATAGAAGVCNNNKDGSSDSDSSVVFNDEASPCPYSGAGAAAFEQPGGGFMGFGAPAFLDSSGGCSLSSLLPMLETKWPAPYGSYVDAGKSGGGGYGFTEEWLAGTDAIGNDAGAGFFADEHVSSLNFGWCGSGAEGFDLHGYCKK* >Brasy2G085500.2.p pacid=40067405 transcript=Brasy2G085500.2 locus=Brasy2G085500 ID=Brasy2G085500.2.v1.1 annot-version=v1.1 MKRQSKRPTSGGQESPEPGDQSLLAPYMEHDEADLEEEDEDEERGSLSCGLGGKKRRLALEQVRALERSFEVDNKLDPERKARIARDLALQPRQVAVWFQNRRARWKTKQLERDFNALRARHDALRSDCDALRRDKDALAAEIRELREKLPKPDTAAVKSEAGIEAELRQAATAGAAGVCNNNKDGSSDSDSSVVFNDEASPCPYSGAGAAAFEQPGGGFMGFGAPAFLDSSGGCSLSSLLPMLETKWPAPYGSYVDAGKSGGGGYGFTEEWLAGTDAIGNDAGAGFFADEHVSSLNFGWCGSGAEGFDLHGYCKK* >Brasy2G090600.1.p pacid=40067406 transcript=Brasy2G090600.1 locus=Brasy2G090600 ID=Brasy2G090600.1.v1.1 annot-version=v1.1 MRASTMVRPAAVFFLVWAAALLNAALMVQSIPYDYSSSSECLSEPLEPHYGGGLIVNPGFNAGLQGWSVFGYGSVGEATSSATGNRYAVARNRTRPYQSVSQKVYLQNDTHYTLSAWLQVSDGSADVIAVVKMAGGGFVHSGGVDARSGCWSILKGGLTAAASGPAELYFESNATVDIWVDNVSLQPFSREEWHAHRVDATKKARKRTVRLRARDNAGRPLPGARMHVEHIRNGFPLGAAMSQEILRNPAYQSWFTKRFTVTTFENEMKWYSTEQVQGREDYSVPDAMLRFARGHGIKVRGHNIFWDDPGTQPGWVRSLNPDQLRRAAGRRIKSVMSRYAGKVIAWDVVNENVHFDFYEGKFGWQASPAFYRKAHQIDGGALMSMNDYNTLEQPGDTKCLPSKYLRKLWQIKGFPGNGDAARMAIGLEGHFSAEPNIPYVRAALDAMAQADVPIWVTEIDVQPGPNQAWHLEQVMREVYSHPAVHGIVLWTAWHPQGCYVMCLTDNGFRNLPAGDVVDKLIGEWKTHSHVGVADAEGYYEAELFHGEYKVTVAHPAANSTAVQSLMVDKESDNEYTIHV* >Brasy2G312200.1.p pacid=40067407 transcript=Brasy2G312200.1 locus=Brasy2G312200 ID=Brasy2G312200.1.v1.1 annot-version=v1.1 MVLRGRWRLPDQVEKPNRLAEGSAKCWHVCGDGAAGQQLFVRHNLPSALSGLWAALRAGPRRWIGSALSTPLTRAPAYAGRVAFVQRPGVSASRDMAIAYTVTLCAGGAHALLARLARWSGSSLDGMRSGGGGFSASFRRHVAGKKQAGGVAALLQRETVGRSPEGDGLEAGRLIGGGRWAMWGLPPWGLAAAAGANRRCGSGGRWRRGGPRQRRETNGRMGVRRAED* >Brasy2G204000.1.p pacid=40067408 transcript=Brasy2G204000.1 locus=Brasy2G204000 ID=Brasy2G204000.1.v1.1 annot-version=v1.1 MQQPKPADPPARPFPPPSPAMAAAATAMRGAHHRRARSEVAFRLPDDLDLGSAGDGDGSAGFDEIGSEDDLFSTFMDIEKISSGPAAAAAAGSDRDRAAETSSPPRPKHRYSSSVDGSGLFSAGSSAARKDAAAAQALADVLEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYMTELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKMATGEMSNSNDTYSMGLQHVLYNSSFFPQSQQNTSQHQGGARFPPPFHPPHPNVPNHQMLSHPNTLSDVMQQDHLARLQGLDIGKGHPVVKSESSSISASESSSTF* >Brasy2G335700.1.p pacid=40067409 transcript=Brasy2G335700.1 locus=Brasy2G335700 ID=Brasy2G335700.1.v1.1 annot-version=v1.1 MLAVFDQTVAKCPEGLRSPTAAGGGGGSGGGGTGALMKGFAAANDGGVTVSLGSAGALAYSSANKNPLVPRMFGSVNDIFCLFQGHVENIGNLKQHYGLSKTANEVTILIEAYRTLRDRGPLPASQVVRDLSGRFAFILYDTLSKSTFVAADADGSIPFFWGVDSEDHLVFSDDTELLKAGCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKAVPRVDSQGQMCGSTFKVDSEAKKDSGIPRVGSAADWSNHF* >Brasy2G274800.1.p pacid=40067410 transcript=Brasy2G274800.1 locus=Brasy2G274800 ID=Brasy2G274800.1.v1.1 annot-version=v1.1 MEDDDHECDPAATAAAGAGPCPCCFSPSSVVKWRRTVKRKLDGEKRDVDGEEGAVGMARVEAEEETAALREALAAAQDTAVLLRGEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRALVARRARHLARVRTSLRDYRQTCLRLGIPLPDGDEGEEQDHEDDLFLEGDEDGYYPELRCYNGEYYYDDGQEESEEDAVVVDLEHRICLLEHDQGRHLPEPSLEEEEGAHLYADDALLESCADEVLPEETLQKRSHLSNDDHELPEFPIAGCSVEEGGSDSEGVGSGSDRVYMIDKVHEGVAAPAARVLEKYEDEAGETDIKKLYTRLEALEADRESMRLALVGMRTEKAQLMLLREIAQQLAKDASPVGTGGFAPVVRHLPGKQALGVADSRVREDKKAALIRTFYTAALFKWVITLFCSQKKKPSQSRYTFGLSSNNVGLLILLDKCPRIQKTLKRTQ* >Brasy2G061400.1.p pacid=40067411 transcript=Brasy2G061400.1 locus=Brasy2G061400 ID=Brasy2G061400.1.v1.1 annot-version=v1.1 MALASTSKVVLGCVAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLQWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGERQTGQDQMVNEDEVTSHRFTPARMSHVSSLNPDDFDCVSEPIIRSASVNDTSLRSRSVNSEAGGGGELQFSIKSLRSSSMSHEMVEVSTVKAAMDEGASSRKFTRTASQQRSEKEPEAVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGYPNDVGAGGALLADRQRERRRASRSCDPHPLECRSNVPTVLLLGTRVAASAAAISPASERKAWLILAYVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVSSY* >Brasy2G093300.1.p pacid=40067412 transcript=Brasy2G093300.1 locus=Brasy2G093300 ID=Brasy2G093300.1.v1.1 annot-version=v1.1 MQRCNVAAHDGAEPTSRRPSIAVAGRLVGWFPRTRSKPVSTGHANVASTVATISSSSSLLSLSSQSEGRWLSLPWPSESRAASSGTSGGAAARGAAARGRAETRAARGRAGERRAEQQLAGEQRHERRAAQQGVGASSGGRAKRQQAAAQRRAAQQGAGAHRRAASGGRAAEEREQRRTGARAYSGASGGATARPSAGSRGGGAVEAGLHGGSHGRNARAREEAARAGHPRRRRSRDGWMGSKERDAGWGARCCRG* >Brasy2G288100.1.p pacid=40067413 transcript=Brasy2G288100.1 locus=Brasy2G288100 ID=Brasy2G288100.1.v1.1 annot-version=v1.1 MQMQTQEMAASTVQALVLVVVVWGAWGCAGQAMVPGMMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLGEEAQSNNRSLLHGANFASGASGYLDATAALYGAISLGRQLDYFKEYQSKVAAVAGGARAAKLTSDSIYVVSAGTSDYVQNYYVNPVLGAAYTPDHFADALMQPFASFLENLYGLGARRIGVTSLPPMGCLPASVTLFGGGSGGGGGCVERLNNDSLVFNTKLQVASDAVRKRHSDLKLVVFDIYNPLLSLIRDPTSAGFFEARRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS* >Brasy2G453600.1.p pacid=40067414 transcript=Brasy2G453600.1 locus=Brasy2G453600 ID=Brasy2G453600.1.v1.1 annot-version=v1.1 MSLSPCVAPSVPHSLFSLPLSRSHGPDKRAAPAELDFVGLRACEHHGNGNPIPVSTIPLPPPVPATASRWRSSSRSGPCGDLGPAPAPPGSLSIDGEFLRRPRRPRVPAPSRPPGPAAECRTRRGSDAASTSATSFASCPPTTTTKWSAWSVSAMVTLPSPLLGTGPGSI* >Brasy2G098400.1.p pacid=40067415 transcript=Brasy2G098400.1 locus=Brasy2G098400 ID=Brasy2G098400.1.v1.1 annot-version=v1.1 MGRDQEPSMPSSARPRVLLLCSPCMGHLIPFAELARRLVADHGLSATLLFAAATDLPSEQYTALAASVPDSIDFVVLPAPPADVLPSFDSMSERVVHAVSWSILHVWDIARSLAASTAPLAALVVDMVGVPARDIAKELGVPCYMFFTSPWMLLSLFLHLPELDAKLTKEYRDSTEPIQLPGCVPIHVHELPGSMLADRRSSTYIGFLSMAKDAARVDGILVNTFCDLEPAVGEGMDCMKLPVHAVGPLVWARPVGVHEDHSRTVRWLDQQPRGSVVYVSFGSGGTLTWQQTSELALALEMTQHPFVWAIKRPDDDTVSGAFFGTQRGEDDDDPFGFLPRGFIERTKDVGLVLQSWAPQTAILAHASVGCFITHCGWNSMLESILNGVPMVAWPLYAEQKMNAAMLEVQAKVAVRVSIGPGGFASKDEIASVIRHVMDEEEGARMRKCVGEVRDRAAHAVSKDGSSAHALAQVTNVWRSSANEK* >Brasy2G308700.1.p pacid=40067416 transcript=Brasy2G308700.1 locus=Brasy2G308700 ID=Brasy2G308700.1.v1.1 annot-version=v1.1 MAVSIELTKEYGYVVLVVVAYAFLNFWMAFQVGKARRKYKVAYPTLYAVESENKDAKLFNCVQRGHQNSLEMMPMFFVMVLLGGLQHPVVAAGLGALYTVARFFYFKGYATGVPDNRLKLGGFNFLAIIGLILCTASFGINLVIREASEVSE* >Brasy2G395500.1.p pacid=40067417 transcript=Brasy2G395500.1 locus=Brasy2G395500 ID=Brasy2G395500.1.v1.1 annot-version=v1.1 MGALAASTSSGNWLVEDDILLKNAVENGASLEALAKGAVCFSHKFTLQELQDRWSSLLYNPEISAQASARMVEHETELSISNPAKTHKLFNSKARDFSFKKRKTESVKNLYYISRKKPHNEPCNTNGLGFLIAPCPCIAAGGDCVCGGLPSRGQVHNIEPGIGTSCYGHSFHTQHAESMIEDGDTTNNAPYGYSDVVQIYDQHAYMQPEIGEGDHVSLRGITDFQDSMQFQQLASSNQCGNEVAEPKTLVITDQGRLENVHFPGNNNGVAEHGALHLIRQSEGSQTPCGAIWNGVEETETLTLADDKKIKTVSRDSLTLQANLDSGISMPCLDHAAMPDGDFMDFPFFSNSDEFDLLNGENFLNSPHETNQEDLDDPDTKVVLGASSVVQNPLHPDEAIISCDQVDTGHLQHNLGDVSGMILVPTSAEVSYPSSQYVECVLNTEDPDIPCNDDIVTHGEFSPRCPTASPGQNSEHKIFPSPPATSPPSKAEHSNASDLAQLMREDMSNAKPSSQPMRLSPSTSEQKEGSVALNKGLEAKPSVGPSTSNVVMHVNIDTNDASTCMLALPAIHPSGFVEGPSCSLGQHDFDNSQSLKLYNPVQVSDHMNCHSHGNQPELGDVAAVQNCMPSHAPSDLGLQDPMAVVPTSAQAEECSDIENDVPNYYDIEALILEQDLIPWDQNSDLKHPEVSRFQHPESRRSLIRLEQGARSCLNRAIMSRGAFAVIYGLHLKYYIKDPEVTIGRETEDVKVDIDLGKEGKANKISRRQAVIKMDEAGSFHIKNIGKGSIFVNSKEVPCCKGINLSSDSLIEIKDMRLIFHANQDAVRQYISRIPRL* >Brasy2G491300.1.p pacid=40067418 transcript=Brasy2G491300.1 locus=Brasy2G491300 ID=Brasy2G491300.1.v1.1 annot-version=v1.1 MAGSTILVGTWLCPVRDVPPPRLVTGFPPMPPVGDGFLVGRIVVPLRHSSEVGLELCAKKVGSVEPTVTIERKNNKKSKKKKKRNGFSTPSTEPSTPQSSPGSTPSLWGTEALLPVWSVPWSNLPCGCPWPISPMNALNLQPGYYGLNQHLLPTEPTEILVSFKPWLQRFYSYGGLIPCPQDLVSLEGFETKCPLTEEYALYNGKKIGVTTDSGRFVLLGLVEDVVNTYKDGRSWGGQFNKDDLAVQIGVRCYISKVAEFPGTYTNLCKDLNSLADAMATSFRGTNKIAFIEHLFRTLKSPPPPSSTVQQRQDYFKILKSHPAFADPIARSSYVVGIVQTYKSMSQSDRNDFEDAAKKIHVNFDDWRERVKSDPLWNQVYTDKQRKSKKKKKKDKERYSCSNIGLATFLRHFVIHSPEHTIVAGVQQMKVLEEVDYLLSDFFDDFIADLFQIVVYDFDLEKHFLKTVWSLYDVGEDRKEMLRRIWKHGIVEPQVEPYIFEVVFSSSLYHQEVGNLLKTTPSDPKLLSKYYMYLDAF* >Brasy2G111200.1.p pacid=40067419 transcript=Brasy2G111200.1 locus=Brasy2G111200 ID=Brasy2G111200.1.v1.1 annot-version=v1.1 MDSPSPRCHAPHQQNARELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G111200.4.p pacid=40067420 transcript=Brasy2G111200.4 locus=Brasy2G111200 ID=Brasy2G111200.4.v1.1 annot-version=v1.1 MDSPSPRCHAPHQQNARELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G111200.5.p pacid=40067421 transcript=Brasy2G111200.5 locus=Brasy2G111200 ID=Brasy2G111200.5.v1.1 annot-version=v1.1 MDSPSPRCHAPHQQNARELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G111200.2.p pacid=40067422 transcript=Brasy2G111200.2 locus=Brasy2G111200 ID=Brasy2G111200.2.v1.1 annot-version=v1.1 MDSPSPRCHAPHQQNARELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G111200.3.p pacid=40067423 transcript=Brasy2G111200.3 locus=Brasy2G111200 ID=Brasy2G111200.3.v1.1 annot-version=v1.1 MDSPSPRCHAPHQQNARELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G111200.6.p pacid=40067424 transcript=Brasy2G111200.6 locus=Brasy2G111200 ID=Brasy2G111200.6.v1.1 annot-version=v1.1 MLHINRELKGQNSTSKRQSHTTELPCSLVEEVQHLENRLNDQFAMRSALEKALGYKPCAAQLSKDCCIPKPTEKLIKEIAVLELEVICLEHHLLTLYRQAFEQQVCSRISACGTERNKEPARSFSGTLSETSTVDFSTPRKHQSAHSSRMVQARRSTTLNSEPGISQHNDSKSSIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRIADHVPQTPNKISEDMIKCIAAIYIRLRDDTAVQRAFYPSPCSSFSSVSGISSKFTGDIWSPRCRKESFIEAWQEHSFGSGESRDLGQQYDSVIEVSALCKGAQRSADVNDMLCKYKSLVQLLETIDLGGMKNEEKLAFWINVHNAMMMHAHIECGIPQSNNKRLLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPKDKEALQGFAVDRLEPLVHFALSSGSHSDPVVRAYSPKRLFQQLDAAKEEFIRANIGVRGSGRRRVLLPKVLESYARDASLGAQELLRVVESCLPESLRAAAAAGAAPPRRVEWRPHNMAFRYVLSRELVGSPACGRQ* >Brasy2G497200.1.p pacid=40067425 transcript=Brasy2G497200.1 locus=Brasy2G497200 ID=Brasy2G497200.1.v1.1 annot-version=v1.1 MSPAAAAVPCDDRIRSYDDFARVHAYLLAAAGVPPSLHLRLYRKLADEVFDGGESFAVEPCEGGRQRRLVLASETPLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDRRTEIEESDEQDREMNRSLEHVLQVVQKERTRIQEKGSDFAAWLELEELGIDDDMLVSLDLSANFPNLVALNLWGNKLQDPEKIMQEIRKCGRLKALWLNENPILNQGIDKAVLDGLPELEIYNSHFTKKAREWALGFCGNMVGADNPCSSVESISLDNIATLDLSDRCIHKLPEVFSPSKLSSLSNLNIRGNPLDQVPGDDILKLFSGFTQLQELEVDIPGPLGNSALPILEALPNLTLLNGVNALSIAESGKHVVDSALQPRVPEWSPEESLAERVIGAMWLYLMTYRLADEEKVDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPEGKLASAISYTILWPVGDVHTGDECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFRKYQEQLQSTSICPSTKEKPSTKSLRPSDGRALRVFTDIPQVDEFLTRPEFVLTTDPKDADIIWVSMQVDSELKSSLGLTDQQYTNQFPFEACLVMKHHLADTIHKAWGSPEWLQPTYNLETHLSQLIGDYCVRKQDNMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVLVRSMCPLEIFLSDVFWVRLANNQYTLEKTSLFEYETHFTVMNYTGRMNHMNTPEFVKEFEKEHQVKWLDIHESICNMIRRVFESASAVHPEMQNTFSRAIYGVDVMLDNRFKPKILEVTYCPDCTRACKYDTSAVVGNQGAIRGSDFFNTVFGCLFLDDLTNVSPL* >Brasy2G497200.2.p pacid=40067426 transcript=Brasy2G497200.2 locus=Brasy2G497200 ID=Brasy2G497200.2.v1.1 annot-version=v1.1 MSPAAAAVPCDDRIRSYDDFARVHAYLLAAAGVPPSLHLRLYRKLADEVFDGGESFAVEPCEGGRQRRLVLASETPLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDRRTEIEESDEQDREMNRSLEHVLQVVQKERTRIQEKGSDFAAWLELEELGIDDDMLVSLDLSANFPNLVALNLWGNKLQDPEKIMQEIRKCGRLKALWLNENPILNQGIDKAVLDGLPELEIYNSHFTKKAREWALGFCGNMVGADNPCSSVESISLDNIATLDLSDRCIHKLPEVFSPSKLSSLSNLNIRGNPLDQVPGDDILKLFSGFTQLQELEVDIPGPLGNSALPILEALPNLTLLNGVNALSIAESGKHVVDSALQPRVPEWSPEESLAERVIGAMWLYLMTYRLADEEKVDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPEGKLASAISYTILWPVGDVHTGDECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFRKYQEQLQSTSICPSTKEKPSTKSLRPSDGRALRVFTDIPQVDEFLTRPEFVLTTDPKDADIIWVSMQVDSELKSSLGLTDQQYTNQFPFEACLVMKHHLADTIHKAWGSPEWLQPTYNLETHLSQLIGDYCVRKQDNMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVLVRSMCPLEIFLSDVFWNYTGRMNHMNTPEFVKEFEKEHQVKWLDIHESICNMIRRVFESASAVHPEMQNTFSRAIYGVDVMLDNRFKPKILEVTYCPDCTRACKYDTSAVVGNQGAIRGSDFFNTVFGCLFLDDLTNVSPL* >Brasy2G446100.1.p pacid=40067427 transcript=Brasy2G446100.1 locus=Brasy2G446100 ID=Brasy2G446100.1.v1.1 annot-version=v1.1 MDAMRKQLDVLMGANRNGDVREVNRKYFDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGSENFDRELEDMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQAEDVAQLSKEIKEKMKEADNFDFEGKTDDKIKAMEVVEELRSKRADMQATLLLDAFNKDRASIPQTTPTPQIAPVPAPPPPDARTQELIDEKLSKAEALGEQGLVDESQKLLEEVETLKKLAARQEPASDPSKYTVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERNKRRTEKPEDDRRLREQSKDRNGRVSRDRDAERKDRVEPRESRRDYDRDHDRRHDRDRRRDRDREYDRSRGHDSRRRDRSRSRERTRRQDRY* >Brasy2G446100.2.p pacid=40067428 transcript=Brasy2G446100.2 locus=Brasy2G446100 ID=Brasy2G446100.2.v1.1 annot-version=v1.1 MDAMRKQLDVLMGANRNGDVREVNRKYFDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGSENFDRELEDMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQAEDVAQLSKEIKEKMKEADNFDFEGKTDDKIKAMEVVEELRSKRADMQATLLLDAFNKDRASIPQTTPTPQIAPVPAPPPPDARTQELIDEKLSKAEALGEQGLVDESQKLLEEVETLKKLAARQEPASDPSKYTVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLNVMFHFLL* >Brasy2G330800.1.p pacid=40067429 transcript=Brasy2G330800.1 locus=Brasy2G330800 ID=Brasy2G330800.1.v1.1 annot-version=v1.1 MYGGDKVSAIVYAGDDAPKSVFPSLTQQDALEQLASIDLIELSKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGNRVRLRLYHKCLEAGLISKHHDERFQDKEGHGDPVNMDVKRLHSLFDVALQNNLASLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMKSKLPQLQKFVVQLAGISSVVEAMVASFREAAHVGDLHQLIEKTTKAKQHLEAMIWCTRHEFLEQICSRHSNFATWSSHVIARKASAEERQWPEFSGKMSGYDKTNQGILFIEQALQNLGVQQCYNDNEEEVEMACLQNEQSSSMFCPTIDQFGVSSYPFKNLREAVDVLFLHGASDMVIAKQAIFLYYTFDRHWTRPDSEWRYLVDDFAATFGISSRTLLECLVFCLLDDHSSQALEEACSLLPKISSKETHPKIAQVLLERHKPDVALVILRCTGCDSFSTTANVEKDGMSLLSEAVTAIRVRIEYGHVTEAFMFHRSYFSRLKEQRPADMTHVEDALRSSWDYHVEVMMTEFCNICIERKIVDKMIDLPWDSEEEKYLHKSLFDTACDMPGEPCGSLLVVFYLQRFRYLEAYDVHRSLQSFEQNMLETASEEVASKISTIAKWRESLVAKCLEMLPEVQREGVRNSSSGDRSQFAIQTMQTSSPVNPLVKSPNPAIGLSLTFAPALQDKSNPLHSRNIYASNGSGAFISSVRSEFGKKVPSILESRPVPQGTPTSNMRSTAGGIFPSLGQNGESPYLKGTKEFGFMNGESGFKKGTKPAGHDSLPMYFNLGSGDTPMKKHQPSLLKTDGNKTTFFQGKDSARKGEFGFGLHAEKPFILSGTGIGQNSHSKVSESAGFRDHLQKTEVSMTRNTLSLGKKPSVGEGAAAKGGSRWRSDESSEDEDEKRTGGYMESGASFVTRRRPRFSRR* >Brasy2G357100.1.p pacid=40067430 transcript=Brasy2G357100.1 locus=Brasy2G357100 ID=Brasy2G357100.1.v1.1 annot-version=v1.1 MSDGPATPATNDQSGPWYCIYNAPNPNPKPKSLVGHPACLPLRELRRRPRDLLSHLRRDGDHAPHACRSGGRGPGSGHHGPRFRWIRPPRASAPPLLPASPPTLATTSSPPDRLHQPDPPSKTPLAAGSAAPDLVEEGAAAVSVDEHGAAVEIYAGPRHCCPRAGSGEGDDGSSLLQPPVVIRRPRPPPSQSRASGGAG* >Brasy2G019400.1.p pacid=40067431 transcript=Brasy2G019400.1 locus=Brasy2G019400 ID=Brasy2G019400.1.v1.1 annot-version=v1.1 MASSPPVTEEKKKKIVKVPESHVAYLLSPASSNKCEPLLDLDEKLEASGGFQGAHPQRRGVPGREGLLAGELPQARHRRRRHREGGGGVPGGDPRAVRIQGYVEMEVTDDEEEEEETMVPPPLARGRRRFRPGVAKKAAGCAVKKLN* >Brasy2G408700.1.p pacid=40067432 transcript=Brasy2G408700.1 locus=Brasy2G408700 ID=Brasy2G408700.1.v1.1 annot-version=v1.1 MVSLAAAPAFFHSPATRIPSASISRPYLQCRRGAEARPRAAEAAQAAAAEEEENPRSFRWDGLGSELSEPQEQAMRGLSPKLPNRCRALMPRIVSLSPGDENLGMVLAFWAKAMNPRRVDWLLVLKELRAMESPLLAEVLEYALLEDSFEANVRDYTKLVQIYGKQNQLQEAEKAFRAMKARGLPCDQVMLTALVDMYSKAGDLTRAKEAFGDIMMLGLPLDKRAYGSMIMAYIRADKLGQAEELIKQTEDQEIFAGKEVYKALLRAYSYKGDSDGAQRVFDAVQFAGTVPDTKLCALLVNAYCLSNQIDEAICVTRNMRSAGLEPCDRCIVLILGTYEKANRLEGALEFLAEIEENGAVIGQEPSQLLAGWFGRLGVVHEVEQVLKEGRKSKENRHNSSVQKDGRNSRKSKQSVSVQNEGRKSRRSKHSIPLPLQQN* >Brasy2G408700.2.p pacid=40067433 transcript=Brasy2G408700.2 locus=Brasy2G408700 ID=Brasy2G408700.2.v1.1 annot-version=v1.1 MVSLAAAPAFFHSPATRIPSASISRPYLQCRRGAEARPRAAEAAQAAAAEEEENPRSFRWDGLGSELSEPQEQAMRGLSPKLPNRCRALMPRIVSLSPGDENLGMVLAFWAKAMNPRRVDWLLVLKELRAMESPLLAEVLEYALLEDSFEANVRDYTKLVQIYGKQNQLQEAEKAFRAMKARGLPCDQVMLTALVDMYSKAGDLTRAKEAFGDIMMLGLPLDKRAYGSMIMAYIRADKLGQAEELIKQTEDQEIFAGKEVYKALLRAYSYKGDSDGAQRVFDAVQFAGTVPDTKLCALLVNAYCLSNQIDEAICVTRNMRSAGLEPCDRCIVLILGTYEKANRLEGALEFLAEIEENGAVIGQEPSQLLAGWFGRLGVVHEVEQVLKEGRKSKENRHNSSVQKDGRNSRKSKQSVSVQNEGRKSRRSKHSIPLPLQQN* >Brasy2G196100.1.p pacid=40067434 transcript=Brasy2G196100.1 locus=Brasy2G196100 ID=Brasy2G196100.1.v1.1 annot-version=v1.1 MGSRWLKATDEESAGAAIPFRRVRCRGPAWPDYSVRPARASHQLRALKSMPVRDAICVFAVNASARDMGSSIGPWQVPRDTWQLYPSNFFEFQRCLDSWHTYVRSRVPLLVLRVTTGRWTDSTPQSMTRRFSSGQKDGDCRNSQGKRARWGAGCRRTYCSWATC* >Brasy2G007100.1.p pacid=40067435 transcript=Brasy2G007100.1 locus=Brasy2G007100 ID=Brasy2G007100.1.v1.1 annot-version=v1.1 MARRGLMEQDLSKLDVAKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPICDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDDIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL* >Brasy2G007100.2.p pacid=40067436 transcript=Brasy2G007100.2 locus=Brasy2G007100 ID=Brasy2G007100.2.v1.1 annot-version=v1.1 MCYKAYGSGKEDTPICDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDDIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL* >Brasy2G086800.1.p pacid=40067437 transcript=Brasy2G086800.1 locus=Brasy2G086800 ID=Brasy2G086800.1.v1.1 annot-version=v1.1 MKPNSGGASTDKLKALAAAATATATAPLERMGSGASAVLDAAEPGAERTPAASCRAPASAGSSRRPSTRASSRSPTGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRLPRPRTPSPTSARSPRPAPTPPDAAELRFLASRSKAEVVDMLRKHTYFDEPRPEQARFRRRRPHRRLLAPQRAAAALLLAWSIPCRRCRLPRQGSTCSTRRSRPATWGKLNRLVIPKPARRESTFPLQLPAAAAAGGGGESCKGLLLNFEDAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSVAGDGEDSKLFIDCKLRPNTC* >Brasy2G428200.1.p pacid=40067438 transcript=Brasy2G428200.1 locus=Brasy2G428200 ID=Brasy2G428200.1.v1.1 annot-version=v1.1 MEVSVSTLPYSFLAIVLALWLFLQLRTTSCYKILSTNKRPKQLQQPPPGPWTVPVIGSLHHVLLSRQPPHRRLTELSRRNDWPVMSLQLGEVPAVVVSSAEAAEAVMRGEDLALASRPRGVTLDIIGNGAGMGIIFAPYGGSWQQARKLCVVELLSAKQVRRVESIRSEEVARHLVNINNRGVVNLTEKLAALANDIIARAVFGPGKCGEQQGEYLRELEKVTALVSGFSAVDLFPSWKLVRLLGSGERRMRRSYGRIRRIIQGIIRRRKEVRASAAATAGSHEEDFLDVLLRLQEEDSLAFPLTSEAIGVIIFDMFAGATETSGMTIEWAMSELLKNPRAMAKAQLERGVIANNDLGELHYTRMVIKEVLRLHPPAPLLPRRAREDCEIMGYTIPKGTNVHVNVFAISRDNRYWDAPEAFEPERFENGNIDYKGTHFEFTPFGAGRRQCPGMLFGMSTVEIALANLLYHFDWAIPDGASSGSLDMSEKFGINVRRRYDLQLTAIPYVHSKAT* >Brasy2G416400.1.p pacid=40067439 transcript=Brasy2G416400.1 locus=Brasy2G416400 ID=Brasy2G416400.1.v1.1 annot-version=v1.1 MGSKKKAKPGRGKKKPRTSADQALALDYVRAWAHPSPSPEPSAADAAGDGFLPPEAARMACGGGGNVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAMSAARKFGIRIIPGVEISALHSPRQTAGAGEPVHILAYYGMCGPSRFDELDSMLLNIRDGRYLRAKNMLAKLSTLKVPIKWEHVTKIAGEGVAPGRLHVARALVEAGYVENVRQAFNKYLGDDGPAYATGSEPFTETVVQLIARTGGISALAHPWSLKNPDAIARALKGAGLNAMEVYRSDGKVDGYGELADKYGLLKLGGSDFHGKGTKDESDVGAVKLAVTTLCCFLKMARPIWCSAMKDILLKFAEEPSAANLGKIVKFGQLANFDDLASMDNGMDVVNLCLSSWLSHDDMEDVDLAEVRLKLACYAGKKITSTT* >Brasy2G416400.2.p pacid=40067440 transcript=Brasy2G416400.2 locus=Brasy2G416400 ID=Brasy2G416400.2.v1.1 annot-version=v1.1 MPLGTASCRPRPRAWPAAAEATCCSSFTPTPTIATGSCPPPLSSSAPTATGQTAGAGEPVHILAYYGMCGPSRFDELDSMLLNIRDGRYLRAKNMLAKLSTLKVPIKWEHVTKIAGEGVAPGRLHVARALVEAGYVENVRQAFNKYLGDDGPAYATGSEPFTETVVQLIARTGGISALAHPWSLKNPDAIARALKGAGLNAMEVYRSDGKVDGYGELADKYGLLKLGGSDFHGKGTKDESDVGAVKLAVTTLCCFLKMARPIWCSAMKDILLKFAEEPSAANLGKIVKFGQLANFDDLASMDNGMDVVNLCLSSWLSHDDMEDVDLAEVRLKLACYAGKKITSTT* >Brasy2G416400.4.p pacid=40067441 transcript=Brasy2G416400.4 locus=Brasy2G416400 ID=Brasy2G416400.4.v1.1 annot-version=v1.1 MLVIQVKVLALTDHDTMAGIPEAMSAARKFGIRIIPGVEISALHSPRQTAGAGEPVHILAYYGMCGPSRFDELDSMLLNIRDGRYLRAKNMLAKLSTLKVPIKWEHVTKIAGEGVAPGRLHVARALVEAGYVENVRQAFNKYLGDDGPAYATGSEPFTETVVQLIARTGGISALAHPWSLKNPDAIARALKGAGLNAMEVYRSDGKVDGYGELADKYGLLKLGGSDFHGKGTKDESDVGAVKLAVTTLCCFLKMARPIWCSAMKDILLKFAEEPSAANLGKIVKFGQLANFDDLASMDNGMDVVNLCLSSWLSHDDMEDVDLAEVRLKLACYAGKKITSTT* >Brasy2G416400.3.p pacid=40067442 transcript=Brasy2G416400.3 locus=Brasy2G416400 ID=Brasy2G416400.3.v1.1 annot-version=v1.1 MAGIPEAMSAARKFGIRIIPGVEISALHSPRQTAGAGEPVHILAYYGMCGPSRFDELDSMLLNIRDGRYLRAKNMLAKLSTLKVPIKWEHVTKIAGEGVAPGRLHVARALVEAGYVENVRQAFNKYLGDDGPAYATGSEPFTETVVQLIARTGGISALAHPWSLKNPDAIARALKGAGLNAMEVYRSDGKVDGYGELADKYGLLKLGGSDFHGKGTKDESDVGAVKLAVTTLCCFLKMARPIWCSAMKDILLKFAEEPSAANLGKIVKFGQLANFDDLASMDNGMDVVNLCLSSWLSHDDMEDVDLAEVRLKLACYAGKKITSTT* >Brasy2G241900.1.p pacid=40067443 transcript=Brasy2G241900.1 locus=Brasy2G241900 ID=Brasy2G241900.1.v1.1 annot-version=v1.1 MLPCWPKLRSVIWFVVTAVVPTQEYVLRVRDTDNASHRYKFEASMWIQFNKIRDKKVSCHLTMSYF* >Brasy2G024300.1.p pacid=40067444 transcript=Brasy2G024300.1 locus=Brasy2G024300 ID=Brasy2G024300.1.v1.1 annot-version=v1.1 MAAEEAKKVEVETTTATKDIAEEKAIVPLPAPPSSHDDSKAIVAVVKDSEATRGSSERDAYLTKIMSEKRLTLINAWEESEKARAENRAAKNLSFITSWEHAKKAEMEAELKKIEENLEKKKASYQEKLKNKLAMLHKSAEEKRAMAEAKRGEEIVMTEEMAAKYRAKGEAPTKLFGLMKA* >Brasy2G297600.1.p pacid=40067445 transcript=Brasy2G297600.1 locus=Brasy2G297600 ID=Brasy2G297600.1.v1.1 annot-version=v1.1 MGKTKLKSLQCALQFYDRHVPLQIKVQPPQQFWRKRFLSRVLIFVVIIFVVCNPMFAPAGQGQGPAAPDVVIIFVVCNPMFLLFFCQRCLFGIIVLLKQR* >Brasy2G269500.1.p pacid=40067446 transcript=Brasy2G269500.1 locus=Brasy2G269500 ID=Brasy2G269500.1.v1.1 annot-version=v1.1 MSQEAVNPKAYPLADAQLAQSIQELINQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSMQALGRACGVTRPVIACSVTSNEGSNLKDPINKLKIAIEKLLI* >Brasy2G153900.1.p pacid=40067447 transcript=Brasy2G153900.1 locus=Brasy2G153900 ID=Brasy2G153900.1.v1.1 annot-version=v1.1 MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSSSLVPSFPRSSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEHEEKTDTWHRVERSSGKFLRRFRLPDNAKAEQVKASMENGVLTVTVPKEEAKKPDVKSIQISG* >Brasy2G099600.1.p pacid=40067448 transcript=Brasy2G099600.1 locus=Brasy2G099600 ID=Brasy2G099600.1.v1.1 annot-version=v1.1 MRQSDDDAAAAPRLYFIPFPTPGHALPMSDLARLFASRGADATLVLTHGNAARLGAPVARAAAAGLRIRIRALTLPADAAGLKGGHESADDLPTREAAGPFAVAVDLLAPLFADLLRRSPADAVVFDGVLPWAATAAPELGVPRYAFTGTGCFALSVQRSLLLHSPQEAAGSSDHEPFLVPGLPDEVRLTRSRLAEATLPGAVSREFLNRMFDGERATAGWVVNSFAGLEERYVAHYERETRKPVFAVGPVCLINSDGDDVLDRGGRGEAAARVLRWLDAKPARSVVYACFGSLTRFPREQVAELGAGLAGSGASFVWVVGEHTDMAAFVPVDEERGLVVRGWAPQVAILRHAAVGAFVTHCGWGAVTEAAAAGVPVVAWPVFAEQFYNEALVVGVVGTGVGAGAERGYVWGAEETGGVAVGRDTLAEKVRAAMADEGLRRRAREMGESARRAVEDGGSSYQAVGALLDDVRRRRRSRSRSGRGG* >Brasy2G076700.1.p pacid=40067449 transcript=Brasy2G076700.1 locus=Brasy2G076700 ID=Brasy2G076700.1.v1.1 annot-version=v1.1 MAGCCVFLRWPSATSAPARLGYHSLDDEATAAAAGPSSSPVTVVVGKERREFAVEQLVLDTYPFRVLLETVPRKEERRGGKALFLDVDAILFEHILWLACQRSSVSLLHLDLREIIDFYSQDA* >Brasy2G101100.1.p pacid=40067450 transcript=Brasy2G101100.1 locus=Brasy2G101100 ID=Brasy2G101100.1.v1.1 annot-version=v1.1 MASCSKRPAPAAAVDETCCKRRRFRIGSTADYDETGCLGKGGFGAVIKARHRATGETVAIKFPLPPDEIDGEPADVLREARFLEAACDGNPHVVASHGLLRDLPTNTLCLAMEYVDGPSLHAFLQKRRGGPPLPESVVRSLMLHLLTGADKMHERGIVHRDIKPENVLLGDDGRIAKICDLGLAISLKTEPPYTEAGTLHYMAPEMLLGKPDYDARVDTWSLGCVMAELITGETLFGDGRDEDGQLLDIFRVLGLPDEKTWPGFTALPHAEMPQLLFRLEEEEGRQQQKTGLGQLFTQEMLSEDGFQVLEGLLACNPDERLTAAAALELPWFAPAVQPIEIVPPATPAKKKNVLRIKIKIKKPANIPRPRATPTKKNVLRIPLAMWKNCQPV* >Brasy2G174800.1.p pacid=40067451 transcript=Brasy2G174800.1 locus=Brasy2G174800 ID=Brasy2G174800.1.v1.1 annot-version=v1.1 MGISIRSLIVASLFLNSIVLGLAAKTLDPYKVLGVDKNASQREIKKAFHKLSLKYHPDKNKGKGAQEKFEEINNAHEILSDEEKRKNYDLYGDEKGNPGFGGGNFGSRDGHTHFNGGGPKTTYFSSGDGWQTMGSQGDSKTFSFSFGGHPGAGGANPFGFDVGDVFANMFAGGSMGGSQHGGPAGSARRSARTSSQHSNSVTISEVTMETFNKEVADQGVTWLLLFYTQHAKDQFVVESVMEDVARSLDGAMRAGKVNCDNEKSLCKKTGVSLGKSARLFIYSYSTTEKGSLHEYSGDNDAKNLKTFCQEHLPRFSRRVDIIQFSFPSNALSNLPQVLLLSTKKDTPVMWRAVSGMFRNRLIFYDAEVQDVSLPLLKRLGVKNVPALIGRSVNGEEHLLKDGISVKDLRSGIKELKTLLESFEKKNKKLASSQPKKPSKTSERKENKIPLLTASNYEEICGEKASVCIIGVFKSNKAKEKLEIILSEISQKRLIRGPSYNSGNPITYALLDAGKQSAFLSSFDKSAYKSSDKLLLAYKPRRGRFAAYNGEVTVEEAERFVASVLNGDVQFSATRQKPVLR* >Brasy2G174800.2.p pacid=40067452 transcript=Brasy2G174800.2 locus=Brasy2G174800 ID=Brasy2G174800.2.v1.1 annot-version=v1.1 MLPTWTILTCHVTAKTHEILSDEEKRKNYDLYGDEKGNPGFGGGNFGSRDGHTHFNGGGPKTTYFSSGDGWQTMGSQGDSKTFSFSFGGHPGAGGANPFGFDVGDVFANMFAGGSMGGSQHGGPAGSARRSARTSSQHSNSVTISEVTMETFNKEVADQGVTWLLLFYTQHAKDQFVVESVMEDVARSLDGAMRAGKVNCDNEKSLCKKTGVSLGKSARLFIYSYSTTEKGSLHEYSGDNDAKNLKTFCQEHLPRFSRRVDIIQFSFPSNALSNLPQVLLLSTKKDTPVMWRAVSGMFRNRLIFYDAEVQDVSLPLLKRLGVKNVPALIGRSVNGEEHLLKDGISVKDLRSGIKELKTLLESFEKKNKKLASSQPKKPSKTSERKENKIPLLTASNYEEICGEKASVCIIGVFKSNKAKEKLEIILSEISQKRLIRGPSYNSGNPITYALLDAGKQSAFLSSFDKSAYKSSDKLLLAYKPRRGRFAAYNGEVTVEEAERFVASVLNGDVQFSATRQKPVLR* >Brasy2G174800.3.p pacid=40067453 transcript=Brasy2G174800.3 locus=Brasy2G174800 ID=Brasy2G174800.3.v1.1 annot-version=v1.1 MGSQGDSKTFSFSFGGHPGAGGANPFGFDVGDVFANMFAGGSMGGSQHGGPAGSARRSARTSSQHSNSVTISEVTMETFNKEVADQGVTWLLLFYTQHAKDQFVVESVMEDVARSLDGAMRAGKVNCDNEKSLCKKTGVSLGKSARLFIYSYSTTEKGSLHEYSGDNDAKNLKTFCQEHLPRFSRRVDIIQFSFPSNALSNLPQVLLLSTKKDTPVMWRAVSGMFRNRLIFYDAEVQDVSLPLLKRLGVKNVPALIGRSVNGEEHLLKDGISVKDLRSGIKELKTLLESFEKKNKKLASSQPKKPSKTSERKENKIPLLTASNYEEICGEKASVCIIGVFKSNKAKEKLEIILSEISQKRLIRGPSYNSGNPITYALLDAGKQSAFLSSFDKSAYKSSDKLLLAYKPRRGRFAAYNGEVTVEEAERFVASVLNGDVQFSATRQKPVLR* >Brasy2G442800.1.p pacid=40067454 transcript=Brasy2G442800.1 locus=Brasy2G442800 ID=Brasy2G442800.1.v1.1 annot-version=v1.1 MGSTDGIVVLSSMKLVVLAWSLAKITAVVASAAPPSSPSTSTLAHCPKTCGDVSISYPFGVGQGCFRQGGGFELVCDTTARPPKLFLGNTTTRVTGLFPSGTVLASVVHTIPMVPGVSTYNLSWDSPGRNLNVMTYNYLAFLGCGFGVYLFHPDTGGLIGHCTVKCAPMATMILATEGGICNGMGCCTVTFPVPFRGFRVTIVKDEETVAPPPFANITTIKAFLTFYSYKFSIADLLSDKINASTVGASSAYLSTVITDKPNCAKALLDDKTSYACSSSNCVDVANGGYSCSCSGTSDDGNPYLLDDCKQEYNPTPKVNCSRSCGSTSIPFPFGLESGCYARRRFQLNCASNRLLIGRPPVKYEVTNISLDDGLLYVNKLSEFDDANTKFLSVYYGASGYFGQQLVYGLDKSDLSEEYGVWRWSATNLTCENAKKKSSYACRSANSECLGVTHGKVYIGYRCKCSSGFEGNPYVQNGCTDIDECQIPNNCNGKCYNLKGSYHCCPQAMSFDPIRNQCTTNKRQNLLLGVATGIGSGLGVLALALIAVVLVRRWKRSTQKKIRRAFFRKNKGLLLEQLISSTSGGTVTHSTRIFSLDELEKATNNFDSTRILGHGGHGTVYKGILSDQRVVAIKRSKMVEQSEIDQFVNEVSILSQVIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHGGNPCAKCLLTWDDRTRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDTFATKVSDFGASRSISIDQTHVVTIVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKPIFLDSLGDKQNLCHYFLRVLRDDTVMDIIDAQIVEDAVRSEIDEIVSVAETCLRTKGEKRPRMKEVELRLQMLRARRPIRTCKEELGRGSETKPLLPTPAKSKSASLSMAKNAVELGANPASQALSRCYSMEQDMISSAEFPR* >Brasy2G442800.3.p pacid=40067455 transcript=Brasy2G442800.3 locus=Brasy2G442800 ID=Brasy2G442800.3.v1.1 annot-version=v1.1 MKLVVLAWSLAKITAVVASAAPPSSPSTSTLAHCPKTCGDVSISYPFGVGQGCFRQGGGFELVCDTTARPPKLFLGNTTTRVTGLFPSGTVLASVVHTIPMVPGVSTYNLSWDSPGRNLNVMTYNYLAFLGCGFGVYLFHPDTGGLIGHCTVKCAPMATMILATEGGICNGMGCCTVTFPVPFRGFRVTIVKDEETVAPPPFANITTIKAFLTFYSYKFSIADLLSDKINASTVGASSAYLSTVITDKPNCAKALLDDKTSYACSSSNCVDVANGGYSCSCSGTSDDGNPYLLDDCKQEYNPTPKVNCSRSCGSTSIPFPFGLESGCYARRRFQLNCASNRLLIGRPPVKYEVTNISLDDGLLYVNKLSEFDDANTKFLSVYYGASGYFGQQLVYGLDKSDLSEEYGVWRWSATNLTCENAKKKSSYACRSANSECLGVTHGKVYIGYRCKCSSGFEGNPYVQNGCTDIDECQIPNNCNGKCYNLKGSYHCCPQAMSFDPIRNQCTTNKRQNLLLGVATGIGSGLGVLALALIAVVLVRRWKRSTQKKIRRAFFRKNKGLLLEQLISSTSGGTVTHSTRIFSLDELEKATNNFDSTRILGHGGHGTVYKGILSDQRVVAIKRSKMVEQSEIDQFVNEVSILSQVIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHGGNPCAKCLLTWDDRTRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDTFATKVSDFGASRSISIDQTHVVTIVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKPIFLDSLGDKQNLCHYFLRVLRDDTVMDIIDAQIVEDAVRSEIDEIVSVAETCLRTKGEKRPRMKEVELRLQMLRARRPIRTCKEELGRGSETKPLLPTPAKSKSASLSMAKNAVELGANPASQALSRCYSMEQDMISSAEFPR* >Brasy2G442800.2.p pacid=40067456 transcript=Brasy2G442800.2 locus=Brasy2G442800 ID=Brasy2G442800.2.v1.1 annot-version=v1.1 MGSTDGIVVLSSMKLVVLAWSLAKITAVVASAAPPSSPSTSTLAHCPKTCGDVSISYPFGVGQGCFRQGGGFELVCDTTARPPKLFLGNTTTRVTGLFPSGTVLASVVHTIPMVPGVSTYNLSWDSPGRNLNVMTYNYLAFLGCGFGVYLFHPDTGGLIGHCTVKCAPMATMILATEGGICNGMGCCTVTFPVPFRGFRVTIVKDEETVAPPPFANITTIKAFLTFYSYKFSIADLLSDKINASTVGASSAYLSTVITDKPNCAKALLDDKTSYACSSSNCVDVANGGYSCSCSGTSDDGNPYLLDDCKQEYNPTPKVNCSRSCGSTSIPFPFGLESGCYARRRFQLNCASNRLLIGRPPVKYEVTNISLDDGLLYVNKLSEFDDANTKFLSVYYGASGYFGQQLVYGLDKSDLSEEYGVWRWSATNLTCENAKKKSSYACRSANSECLGVTHGKVYIGYRCKCSSGFEGNPYVQNGCTDIDECQIPNNCNGKCYNLKGSYHCCPQAMSFDPIRNQCTTNKRQNLLLGVATGIGSGLGVLALALIAVVLVRRWKRSTQKKIRRAFFRKNKGLLLEQLISSTSGGTVTHSTRIFSLDELEKATNNFDSTRILGHGGHGTVYKGILSDQRVVAIKRSKMVEQSEIDQFVNEVSILSQVIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHGGNPCAKCLLTWDDRTRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDTFATKVSDFGASRSISIDQTHVVTIVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKPIFLDSLGDKQNLCHYFLRVLRDDTVMDIIDAQIVEDAVRSEIDEIVSVAETCLRTKGEKRPRMKEVELRLQMLRARRPIRTCKEELGRGSETKPLLPTPAKSKSASLSMAKNAVELGANPASQALSRP* >Brasy2G143900.1.p pacid=40067457 transcript=Brasy2G143900.1 locus=Brasy2G143900 ID=Brasy2G143900.1.v1.1 annot-version=v1.1 MQGVVIRRAQQAHWQYGPAKVPRHVPFICPAARIPRSRSLCCPGKRCGAAAGERAQARGRPDDAVGAGDGTGASSAGAKRSGSVAGAVALIVGTSIGSGILAVPQSTAPAGFIPSAVCMIICWAFLVTEALLLAEINVHLWRKKNKNKKDKDDGENSGGRGLEVISLKSMAQETLGEWGGNLAAIAYLFLSYTSMVAYTSKSGEVLSRLTYVPEAVSGGAFTAALALLIAAGGTGVTAQVNQLLTFFMIGLLLTIEVSAVALGGGLSLPANTHWEQVPATLPVIIFTLVYHDIAPVICAYLEGDLARIRLSILVGSIVPLLSLLVWDDIALGLSTDLIGFGIQDVLKTEWSYTMVETFSLLAVGTSLIGTLLGASQFFIEQMTSLVLSSDQRDEKTNEDVFEDDGSKHLGWKTLLENNRLRYVATGVVVVPTMIIAAAVPDSFSIATDIAGGYCMTILYGVLPPLMAWSIGSKLSDQNVGLAEVETSMGGKGKVDFTSAKPVLVGMGVFSVLMVFEQILQDLLSFNSYLLTWTS* >Brasy2G143900.2.p pacid=40067458 transcript=Brasy2G143900.2 locus=Brasy2G143900 ID=Brasy2G143900.2.v1.1 annot-version=v1.1 MIICWAFLVTEALLLAEINVHLWRKKNKNKKDKDDGENSGGRGLEVISLKSMAQETLGEWGGNLAAIAYLFLSYTSMVAYTSKSGEVLSRLTYVPEAVSGGAFTAALALLIAAGGTGVTAQVNQLLTFFMIGLLLTIEVSAVALGGGLSLPANTHWEQVPATLPVIIFTLVYHDIAPVICAYLEGDLARIRLSILVGSIVPLLSLLVWDDIALGLSTDLIGFGIQDVLKTEWSYTMVETFSLLAVGTSLIGTLLGASQFFIEQMTSLVLSSDQRDEKTNEDVFEDDGSKHLGWKTLLENNRLRYVATGVVVVPTMIIAAAVPDSFSIATDIAGGYCMTILYGVLPPLMAWSIGSKLSDQNVGLAEVETSMGGKGKVDFTSAKPVLVGMGVFSVLMVFEQILQDLLSFNSYLLTWTS* >Brasy2G384600.1.p pacid=40067459 transcript=Brasy2G384600.1 locus=Brasy2G384600 ID=Brasy2G384600.1.v1.1 annot-version=v1.1 MLWVDKHRPKALDKVTVHEQVAQNLKKLVAEQDCPHLLFYGPPGSGKKTLIMALIKQMFGAGAEKVKMENKTWKIDTGTRTFDLELAMLSSSHHVEMNPSDAGFQDRYVVQEVIKEMAKSRPIDAKGKRAFKVLILNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPTEDQIVQVLEFIGKKENLQLPFGFAARIAVQSNRNLRRAILFFETCKVQQYPFTSNQVPPPLDWEKYVSEIATDILSEQSPKRLYSVRQKFYELLVNCIPPESILKKLLSELMKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVATFG* >Brasy2G423100.1.p pacid=40067460 transcript=Brasy2G423100.1 locus=Brasy2G423100 ID=Brasy2G423100.1.v1.1 annot-version=v1.1 MRPLLLALAACALLASAAVEETTNTTNKFRQREASDDLLGYPHLDEDALLNTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRISGYMMMDKLEVPRRKVRKDWHVGLNPDPVDRSHPDVHDSSIAKKAASEESHADIHDKPVVEKSSEETKSRSAANTATQEVDSLKHASELQSTEKKPNSTHGNENMELPNNPNNTNAGNTSSLNTTTENASHAQRRLLQTADRSDDQTGNAETHGNGAGTTGEMTVENDEPLEEDANASFDLFRDAEDLPDEYNYDYDDYVDESMWGDEDWTEQEHEKADDYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDREYYDNPDHIKELGGIDIGKYIASGIVVFDLDTKQVKWTADLDLSTENGIFRAHAYSSPAVVDLDGDGYLDILVGTSYGLFYVIDHRGKIRSNFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGKEIWEVHLKSLVPQRPTVGDVDGDGHTDIVVPTVSGNIYVLRGKDGSKVQPFPYRAHGRIMSPVLLLDMSKREENPRGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNRQGIYVKHGSRAFRDEEGKHFWVEFEIVDKYRVPYGNQGPYNVTVTLLVPGNYQGDRRIVVSRIYHEPGTQRMQLPTVPVRTTGTVLVEMVDKHGIHFSDEYSLTFHTHYYKLLKWLVVLPMLGMFCVLVILRPQEGAPLPSFSRNID* >Brasy2G064300.1.p pacid=40067461 transcript=Brasy2G064300.1 locus=Brasy2G064300 ID=Brasy2G064300.1.v1.1 annot-version=v1.1 MAGEQLNVLKALDAAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPSSPNPGNLPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSLGHTPKAVVGSLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSAAFRHAFPAPAYSVDPVASIGPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNTKQATADMSKVLNKDITEEEDRVAAAAGDTWGLFSRQFMRRHGWHLLATTSTWFLLDVAFYSQNLFQKDIFTKVGWIPPARTMNAMEELYRIARAQALIALCGTVPGYWFTVAFIDVIGRFWIQLMGFAMMTVFMLAIAAPYDHWVRPANHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGVSAAAGKAGAIIGAFGFLYAAQDPEKPDAGYSRGIGIRAALFVLAGTNFLGMLLSLLVPESKGRSLEEISKENVGDAEDAVAPVGV* >Brasy2G444300.1.p pacid=40067462 transcript=Brasy2G444300.1 locus=Brasy2G444300 ID=Brasy2G444300.1.v1.1 annot-version=v1.1 MASQPPPSSPASTTTIASLRADLLREIFLRLPDLPTLVRAASTCRAFRAAVRSSPSFGRSFRALHPPLLLAFVLEPFMEVIPAFPSAWRRSDPDLVAAFGATDFFDTDHLSYQVQGAGWQICSRLCNCDGYVCLVNGSNELRAVEGVGYNLLTQSLYLFLRIDHIDTHLEFHMLPSKDDSRGPSYRVICVRQDRSWTQASAAVFSSDTMEWQFFPRTTLLLREYGTAKAGRVVHGLVCWADWMDDQIVVLDTATFQFSLMDLPTPLKNGGWEETAFKLGETKDEKLCIVDIKENTLVAWFLTADDDGVNERWMMYRTFPLQPIVKEFTSCSIEEEGHVVVQVEAVINGFVYLSIQNQKDTKPYQLFLSLCLETAEMKELFRDDGSRYYEEAHPYVMAWPPSLVQNKEESETEVTGDSVAEDGPVGTKEASSVLFTALQSFKQALLNDGKDIMAEVDALLRPIEEDHKSSLMSKITSLDAQLTTARDRILRISAWL* >Brasy2G440100.1.p pacid=40067463 transcript=Brasy2G440100.1 locus=Brasy2G440100 ID=Brasy2G440100.1.v1.1 annot-version=v1.1 MYICRSPCNDDTNRIRNLGITGPFRTHLGRRVYRPSIYIYGVTHASCPYIRNQRRTPSTRRARVIPSLPMAAAGSSTSNAEAEKLQRLAEERFVAGDVPGALRLAQKAQAQARALPGLAGALAAYEVHHAAAEAASRNNKKNSSRHYYYYYAVLGIAGRTPPSSSPSPLVTHEALKRRYRRLCLALHPDKNRSAAAEGAFKLLQDAWAVLSTLHPPSPAAAKEPKPGPEKTSRSYTGAGDDGGGGWRPREFRSVFCVGCGSEYRKPVDEHVGGPAGFKCGFCRRGDYPPPPSPPPPRRFPCPGKCPGCGALYARCLVSKGTWRVRCVACRLYATLHVRTPSSFSHLDGGIYEEGTVNDSTRTGD* >Brasy2G430200.1.p pacid=40067464 transcript=Brasy2G430200.1 locus=Brasy2G430200 ID=Brasy2G430200.1.v1.1 annot-version=v1.1 MATPLVAGLSVAAAALGSRYMIQAWQAFRIRAAMPRVRRFYPGGFEPAMSRREAALILGVRERAALDKIKEAHKRVMVANHPDGGGSHYIASKINEAKDMLMGKGKSGSVF* >Brasy2G025100.1.p pacid=40067465 transcript=Brasy2G025100.1 locus=Brasy2G025100 ID=Brasy2G025100.1.v1.1 annot-version=v1.1 MEGPARMADDGPAERQAAVGMGMDDSQFLGSMMGDTVLAQPALAPPPATALDLHHHHHHQQVKQEVKVELEEEALVGVKRRRGRPPKTRADGTAPAQAAAVLVPASAVSVSAAATAKKAAAKAARQRAEEEEEVVCFICFDGGDLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKPVHYMCYTCTFSVCKVCIKQGKFFGVRGTKGFCDTCFGTIFLIESKDDDETKVRVDFDDPNSWEYLFKLYWLDLKGKLSLTMEELTGAKSRWNVPITYARKEKDESSDDLYDANDDDGGGSRKRRCANSSRGRKPRKAHSNRTIAVENVEISTGDAGRLPKKEPSEGVLLQPDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKKNDLRDPRRKSQIICDSRLHSLFRKTHVAHFEMLRLLEMHFLVSDPSTVNNGSHVDVKPNSAQIDASGYCEMTEKLSPRRKKRMHGKMEREPLANLNDYAAVDMHNMNLIYLRRSLMEDLIDDSAFPDKISGGFVRIKISGLGQKQDMYRLVKVIGTHKVSEKYSTGKKTTNFALEILNLNKKEIIMMDTISNQDFTEEECKRLRQCMKCGLISRLKVGDVQEKAMIFQSVRVNDWLDNEKQRLGYLRDRASETGRRKDLRECVEKLQLLNSSEERVRRINEVLEVHVDPHMDPDYESTEEMDYQKAVVRIVNVKKSDGFFSPVNLQNHAERISDAIRHPRNLSKQSTIRKVAAGKNSRKFHSAVGTDIPKSGTCINTKISEAASPSGVTLSSDMEPEKVWHYKDPLGNVQGPFTLLQLSKWTTYFPHDLRVWLIFESEERSLLLTEVLSKQPKDFGQAATVPSSKTTLAGSGQNRKRPNVDMNSALSPLGYSTLNSSEMLVKPAKCTVPERGSVNSLDDRFSVSGSSVPPKDACTTTSRVQYQTKNSCFVPSPGSPYEQSNSHHDGVQGRCSGEWNNGHNRDGLWSPTMTQTSCSGQGNVESHHNQHDSKSSLKAGSAKDLRQDYSHTVPTQLTRRDVPSPVLASSPSESRTASSQYESSCFSSTTNPSVHDELHSSITSEKASNCAPVTFVEDRGSSSPSGMLSHSERVPICGTQSVPSTSISEMCKVGESKHLQKTLEADVSNASVNQSPQSKIFLDSSPDNQDTERECPSPTLRSESKEPAVDHSGPTPVAPETLDINKIPDYSPVANIPLKSEPPATEVGPTEHEYPSPTSRNESKELAVDHPGSTSVAPETLDIQRPDYSPVVNISLKADPPATEVGTREIGATGSIPNPEKTDLCGEDSNIQKEFCSESTLVASEKMVVGPASRAELIDVCDVSESVSNLEKTDLRGKDSNIQKELYSDSILVRSDKMMVKPASGAESIDVASVLESVSNLEKRDLRGEDSNVQKELVSESTLVMSEKMVAEPATCAEPIDVSGVLKPVFSLEKTDLKHEDSNIQKELHTEPIIGTRENIVVDPASCAESIHVTDVLESAPNLEKTDLEAEDSNIQKELQAESIIVTREKMVVDPASCAESIHAPDVLESVSNLEKTDLKGEDSNIQKEMFCEPTLDTSEKMIIDPASCAESIDVSDVLESVFNLERTNLKGEGSCIQKELHPKSIFLTRENMVVDPASCPESIHISNVLESVSKETDLKGENSNIQRDLHEVSTLVTRGNMAVDLTSCARSIDVPDVSGSLVQQSCGTTYMDAIAAIEDFMTTSPEEEPQCSSPIALSPWGEPGYYQADAVDSTLWDVQDYPMNDVWSLLPPTPTLQHLSGEKTDGGVAHVTEMVTVIQGDNELFQRGPTPGEENVELANAGASTAWGLNEQVRSKSTAVSVPSIDGSTGVFGWKPSAIDSESSNTPIAWTTSQNLNSSSSYATAAASVKTSQEASRKQECPDLNVANLEEALGNTKSLKPSTGNANRGSQRNHHRDKYSEISESWLLSSNYSRSRSDGFRGGAASRTSPRGQTQRVICKFHQSGYCRKGSSCNYLHPSPQQ* >Brasy2G025100.2.p pacid=40067466 transcript=Brasy2G025100.2 locus=Brasy2G025100 ID=Brasy2G025100.2.v1.1 annot-version=v1.1 MEGPARMADDGPAERQAAVGMGMDDSQFLGSMMGDTVLAQPALAPPPATALDLHHHHHHQQVKQEVKVELEEEALVGVKRRRGRPPKTRADGTAPAQAAAVLVPASAVSVSAAATAKKAAAKAARQRAEEEEEVVCFICFDGGDLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKPVHYMCYTCTFSVCKVCIKQGKFFGVRGTKGFCDTCFGTIFLIESKDDDETKVRVDFDDPNSWEYLFKLYWLDLKGKLSLTMEELTGAKSRWNVPITYARKEKDESSDDLYDANDDDGGGSRKRRCANSSRGRKPRKAHSNRTIAVENVEISTGDAGRLPKKEPSEGVLLQPDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKKNDLRDPRRKSQIICDSRLHSLFRKTHVAHFEMLRLLEMHFLVSDPSTVNNGSHVDVKPNSAQIDASGYCEMTEKLSPRRKKRMHGKMEREPLANLNDYAAVDMHNMNLIYLRRSLMEDLIDDSAFPDKISGGFVRIKISGLGQKQDMYRLVKVIGTHKVSEKYSTGKKTTNFALEILNLNKKEIIMMDTISNQDFTEEECKRLRQCMKCGLISRLKVGDVQEKAMIFQSVRVNDWLDNEKQRLGYLRDRASETGRRKDLRECVEKLQLLNSSEERVRRINEVLEVHVDPHMDPDYESTEEMDYQKAERISDAIRHPRNLSKQSTIRKVAAGKNSRKFHSAVGTDIPKSGTCINTKISEAASPSGVTLSSDMEPEKVWHYKDPLGNVQGPFTLLQLSKWTTYFPHDLRVWLIFESEERSLLLTEVLSKQPKDFGQAATVPSSKTTLAGSGQNRKRPNVDMNSALSPLGYSTLNSSEMLVKPAKCTVPERGSVNSLDDRFSVSGSSVPPKDACTTTSRVQYQTKNSCFVPSPGSPYEQSNSHHDGVQGRCSGEWNNGHNRDGLWSPTMTQTSCSGQGNVESHHNQHDSKSSLKAGSAKDLRQDYSHTVPTQLTRRDVPSPVLASSPSESRTASSQYESSCFSSTTNPSVHDELHSSITSEKASNCAPVTFVEDRGSSSPSGMLSHSERVPICGTQSVPSTSISEMCKVGESKHLQKTLEADVSNASVNQSPQSKIFLDSSPDNQDTERECPSPTLRSESKEPAVDHSGPTPVAPETLDINKIPDYSPVANIPLKSEPPATEVGPTEHEYPSPTSRNESKELAVDHPGSTSVAPETLDIQRPDYSPVVNISLKADPPATEVGTREIGATGSIPNPEKTDLCGEDSNIQKEFCSESTLVASEKMVVGPASRAELIDVCDVSESVSNLEKTDLRGKDSNIQKELYSDSILVRSDKMMVKPASGAESIDVASVLESVSNLEKRDLRGEDSNVQKELVSESTLVMSEKMVAEPATCAEPIDVSGVLKPVFSLEKTDLKHEDSNIQKELHTEPIIGTRENIVVDPASCAESIHVTDVLESAPNLEKTDLEAEDSNIQKELQAESIIVTREKMVVDPASCAESIHAPDVLESVSNLEKTDLKGEDSNIQKEMFCEPTLDTSEKMIIDPASCAESIDVSDVLESVFNLERTNLKGEGSCIQKELHPKSIFLTRENMVVDPASCPESIHISNVLESVSKETDLKGENSNIQRDLHEVSTLVTRGNMAVDLTSCARSIDVPDVSGSLVQQSCGTTYMDAIAAIEDFMTTSPEEEPQCSSPIALSPWGEPGYYQADAVDSTLWDVQDYPMNDVWSLLPPTPTLQHLSGEKTDGGVAHVTEMVTVIQGDNELFQRGPTPGEENVELANAGASTAWGLNEQVRSKSTAVSVPSIDGSTGVFGWKPSAIDSESSNTPIAWTTSQNLNSSSSYATAAASVKTSQEASRKQECPDLNVANLEEALGNTKSLKPSTGNANRGSQRNHHRDKYSEISESWLLSSNYSRSRSDGFRGGAASRTSPRGQTQRVICKFHQSGYCRKGSSCNYLHPSPQQ* >Brasy2G167600.1.p pacid=40067467 transcript=Brasy2G167600.1 locus=Brasy2G167600 ID=Brasy2G167600.1.v1.1 annot-version=v1.1 MSRLAAAALRRAATASGVRSHSISAAAYPASARLFSTDATGVEAGSQDDSFPKASSEGLAYYGKFYSAISGGSRLGKNMLKTDIIHYLDKCELSLDDVKIDYNRGYYPMAALLKFPSIQAFNAALRQTSQGRLYRLERINRDEWDHKQSYDGKAVLLQGVPRNAQPDDIERFLCGTNFEPPPFENFIRPGDPEPIRMVLVKFRSKTDATNAFIAKNKGFCLNNAVTMRVIH* >Brasy2G306900.1.p pacid=40067468 transcript=Brasy2G306900.1 locus=Brasy2G306900 ID=Brasy2G306900.1.v1.1 annot-version=v1.1 MFVPRTPCATRFSSRAAPCPAALPKPPPCTPPAAAPRCATPAAALPRPAPYAPPRAALPRPPPGADPRWLPLPPPCCAAPASAGRGRCPGCWPPLQRGPPSAGAGARSPPRSPSLPSLGMAICDR* >Brasy2G262100.1.p pacid=40067469 transcript=Brasy2G262100.1 locus=Brasy2G262100 ID=Brasy2G262100.1.v1.1 annot-version=v1.1 MASSLVSFTISLLPILLFQAATGEAPAPAELPRSELAAIFRVMGDLLGDPTWAELHPRPCTETPWPGLQCELAPDDSRRLRATRLHFGPDVSAPPCRPEARLAAPAILGLPHLRTLSLFGCFVGRPVELPPALFTNASSLEQLVLKSNPGLAGRIPATLSNLRSLQVLSLSQNGFRGEIPGELGGLAALQQLDLSYNNLTGQIPDEIGGMTRLTILDLSWNGVTGGVPAALGSMRMLQKADLSYNRLAGQVPPEVGSLKELVFLDLSHNGLAGPLPATLSGLSKLQYLLLQDNPIGTAVPAVVGSLRGLQVLGLSGCNLTGPIGPFFAPLGALMALSLDRNRLDGPIPASLGALPHLGQLNLSQNRLAGEIALPREFVARLGRRLDVRGNDELCVGRGLRASFLGAPPPCVAGGGGPQGSVNGTASALDEGCGCRGYGVVGVLGGLLCHLLVLLMLVLDL* >Brasy2G457800.1.p pacid=40067470 transcript=Brasy2G457800.1 locus=Brasy2G457800 ID=Brasy2G457800.1.v1.1 annot-version=v1.1 MEAVVSAGHGVMGPLLGKLGDLLAGKYGRIRGVRGEILALQSELTSMHAALKSYTMLEDPDVQVKAWISLLRELAYDIEDCIDKFIRRIGKKGRRNGGFKEVLRDAARSLKTLGSRSGIADQIDELKTRIKHVKELKDSYKLSDTPCSTTDHTKVDPRLCALFAEEAHLVGIEGPRDDLAKWMLEEGKMHRRVLSIVGFGGLGKTTLANEVSRKIQEHFDCRAFVSVSQKPVIKKIIKDVISKVPCPDGFTKDIDIWDEMTAITKLRELLQEKRYLVIIDDIWSTSVWDAIKYAFPENNCSSRIIFTTRIVDVAKSCCLGRDNRMYEMEALSDLHSRRLFFNRIFGSENCCSDVLKEVSTEILKKCGGLPLAIISISSLLANKPAVKEEWEKVKRSIGSALENNRSLEGMSSILSLSYNNLPAYLKTCLLYLSAFPEDYEIDRERLVRRWIAEGFICEERGQSQYEVAESYFYELINKSMVQPVDFDYDGKVRACRVHDMMLEIIVSKSAESNFMTVVGGGQTSLANRHGFIRRLSIQHFDRELASVLASEDLSHVRSLTVTSSGCIKHLPSLAEFEALRVLDFEGCENLEYDMNGMDKLFQLKYLSLRHTNISKTPQGIVMLDDLETLDLRATRVQELPSGIVRLIKLQHLLVDDRIKIPDGIGDMRSLRVMSCFNATSSPADALEELGSLTSLDELEVCFEFEESKEYKRHEEMLLSSLCKLGSYKLRSLEIGNSNRSLEFLDSWSPLPFSLQTFRIQDNRCYFNVVPKWIAPELTSLTFLEIGCIALTDEDMVTLGELPALVRLFLLSKTREPDRIRFQGFPSLKQFCIYGAVASIFTFVEGAIPKLEDLHVPFNVSVAETYGCYLRIGHFTCLKKVSVWLYNEGATPSQSKAAAAAIRNDAGAHPNHPTVRIWREPAEEDIEETGRNDEDDSKADGDAEGN* >Brasy2G395300.1.p pacid=40067471 transcript=Brasy2G395300.1 locus=Brasy2G395300 ID=Brasy2G395300.1.v1.1 annot-version=v1.1 MGSFLSSLVTPPPLAADDGGSAVVAVHSKASWDQQFEAHRSAAKLMVIDFSASWCGPCRFIEPAFKEMASRFTDAVFVKIDVDELGEVAKTFRVEAMPTFVLLKSGQEVSRVVGAKKDELDRKIKTFIASC* >Brasy2G356000.1.p pacid=40067472 transcript=Brasy2G356000.1 locus=Brasy2G356000 ID=Brasy2G356000.1.v1.1 annot-version=v1.1 MAKRLARKRSFRLGLPLFCGQSDVASPAAAWSSSSSSSGTGGSSRKSELRRIFQHFDRDNDGKISGAELSAFFASMGDADLPLPPSSRGGGGYLLDFAGFVELMEGSHDEDLRRAFEVFNAVEPAGGRITARGLRRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS* >Brasy2G226200.1.p pacid=40067473 transcript=Brasy2G226200.1 locus=Brasy2G226200 ID=Brasy2G226200.1.v1.1 annot-version=v1.1 MDLLQSSYAPGDTSSPEDESLSSPDSSPLRLPSKSAAPAVDETALALSAAASTSRPLDPSLHLVSFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDASVLPFLFDEQYNTFHRFGYASDPSGLHIIGDTQPQAADPDTVYNLPPSEHKRRRIQSREENQEPVPPEAKNPASDEWIVHNKQSPWAGRREGPPVELTDEQRQYAEAHAAKKAEKEARGEGKEKTEVVAKSTFHGKEEKDYQGRSWITPPKDAKATNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESKTCMRTYMGHSKAVRDISFSSDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIAVHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVSFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD* >Brasy2G123100.1.p pacid=40067474 transcript=Brasy2G123100.1 locus=Brasy2G123100 ID=Brasy2G123100.1.v1.1 annot-version=v1.1 MALQWVILACVVAAEAAVAALLTLPAPRAVRGQIVGLTSMLLQPLAGVLPFAGFQLLDIYWKKEHRLTCTTEVCTAEERVHFEKAIFKAQRNVILCVSVFLLYWSIYRICKINKDIKALEEIEKRIKEE* >Brasy2G189500.1.p pacid=40067475 transcript=Brasy2G189500.1 locus=Brasy2G189500 ID=Brasy2G189500.1.v1.1 annot-version=v1.1 MHDVPPMARPSLLLPCILAAAAAAVLSSPLFCEAHGKTSGKSADIVNGPLLTSKLDAKRTLIVGPNDEFKTIQSAIDAVPVGNSEWIIVHLRSGIYTEKVVIPETKPFIFVRGNGKGRTSVSYESASPHNAESATFAVHADNVVVFGLSFRNAARAGLPNNPEIRTVAAMVSGDKVAFYHCAFYSPHHTLYDHTGRHYYESCYIQGNIDFIFGGAQSIFQTTEIFVKPDRRTPILGSITAQDRKVEQDSGGFVFLKGKVYGVGEVYLGRANEAYSRVVFVNTYLSKTINPAGWTNYGYSGSTEHVTLGEFNCTGPGADASQRVPWSRQLTQADAAKFLTVDFIDGKDWLPAFY* >Brasy2G132900.1.p pacid=40067476 transcript=Brasy2G132900.1 locus=Brasy2G132900 ID=Brasy2G132900.1.v1.1 annot-version=v1.1 MSVTRLSIMHHSHPQEIPEPSTQDMYPSHSTSGSRQQSAQLTQDLQAEVATYGRSLSSGPLLEREPHRSWLSQLEDKLRSIYAAITCTRTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHHPRPLLAEQSTPRPPPPDQAGGSSWQHPQSSFDYWQEQSPFQAGGSSWQQQSPAMNFEFRPQTQPQDLSLITFPHAGAYAHQASLSEPSWGSEHDQGQGDDFSS >Brasy2G338400.1.p pacid=40067477 transcript=Brasy2G338400.1 locus=Brasy2G338400 ID=Brasy2G338400.1.v1.1 annot-version=v1.1 MAQDRSRLDCRVYSVAEPSYQGRVFDAEPVAPRDAAEFKAEQLAHRDAGVVEEGYDTKVKIAEALEAAARAVGGDPVERSDAAAIRAAEARAVGEGAAPIPGGVAEQLQAAADANAAAEREEDKVTIADVVAWSATAKLPTDKVVTEEDAAAVAGAEQGAGDGAAARIKPYGVGEALAAAARHNQEDVLVGKTITDCS* >Brasy2G159700.1.p pacid=40067478 transcript=Brasy2G159700.1 locus=Brasy2G159700 ID=Brasy2G159700.1.v1.1 annot-version=v1.1 MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKTTSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLTDGDSFFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGTNPNAAPSLNGPSPRDRWGSPDPYGRRSPSYTSPGNGSGSGYGGYDDHRERNGGNSDDKRPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQMVRESFQLYADICEVLAVLLDRFFDMEYADCVKAFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPIKEEEPEPDMNSIKALPAPEDFKEPEPVKVEEEVKPEPPPKPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGNNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGVVRQHVNAQATTGSSSSVALPAPGQKTQMLALPAPDGSMQNVGGDPFAASLTFAPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGPSSLAKLDRTYNNGFGPNPAMPYGMPNPTPMAYTANTGYYYPTY* >Brasy2G159700.2.p pacid=40067479 transcript=Brasy2G159700.2 locus=Brasy2G159700 ID=Brasy2G159700.2.v1.1 annot-version=v1.1 MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKTTSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLTDGDSFFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGTNPNAAPSLNGPSPRDRWGSPDPYGRRSPSYTSPGNGSGSGYGGYDDHRERNGGNSDDKRPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQMVRESFQLYADICEVLAVLLDRFFDMEYADCVKAFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPIKEEEPEPDMNSIKALPAPEDFKEPEPVKVEEEVKPEPPPKPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGNNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGVVRQHVNAQATTGSSSSVALPAPGQKTQMLALPAPDGSMQNVGGDPFAASLTFAPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGPSSLAKLDRTYNNGFGPNPAMPYGMPNPTPMAYTANTGKSCC* >Brasy2G121000.1.p pacid=40067480 transcript=Brasy2G121000.1 locus=Brasy2G121000 ID=Brasy2G121000.1.v1.1 annot-version=v1.1 MAFPSSIPSISSAVAVVVLVAATTAAVAQTRTSSFPAVGAAVTGNDTVTAANGTTVKAGCPAAAAVSSSAAANDDYYKNRYICYLCYRRNTMMIKWCPLDKDHCHIACLSSPSFSSSSRRALQPPGPDGRALEVPGGRLPGPEDCYVMKLYPDGSWVIVDVVDCYAVAGCQLVCGYADAIAAREEDDSGAATTTRRRLPPPPRVADFERCGDQMGPLSSAAVPGV* >Brasy2G372800.1.p pacid=40067481 transcript=Brasy2G372800.1 locus=Brasy2G372800 ID=Brasy2G372800.1.v1.1 annot-version=v1.1 MPTHISLRPLTRLCHRRGFNDAHALIHGPREQISIFGSYERARRRRKETREDVPMIEGKAAGTRTGQRSAARGLEWKRQ* >Brasy2G332400.1.p pacid=40067482 transcript=Brasy2G332400.1 locus=Brasy2G332400 ID=Brasy2G332400.1.v1.1 annot-version=v1.1 MSSSAVLRGPSRGEAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSRSRKIHRMLTEQGEEPRPARQRRRRSSGGSAGDVAAATETEIEEAEDEEEDADEQEEEEQQVRMEDGKSYRITFADFPGGAGTFETAAKFCYGVRVEFTPWNVAPLRCAAEYLEMTEEHAEDNLAARAEAYLAQSVLRHPGEATKALKSCEELLPHAEELGIVGRCADAIAARSASSSSSRAWSDDMAGLGLHMYKRVMAAMAMAAREDGVSRSEAMERCLVSYARGTLPGLSRSMRWRLASAPVSSEVEQRELLEAVVASIPAEKCSGRVVTARFLFALLRTAHILRASDAARAALERKAATQLERATVEDVLIPSYSGAAETLYDVDCVERVVRHFLAEEEIGEDEAAASSSAAAITEEEEAPAPVSRPSAVAMVQVGKLVDNYLAEIASDANLKPAKFCELALALPDHARIYDDGVYRAVDIYLKAHPRLAAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAMPPQQAQQQRRRHSAGGPAASETWRASAVQESQVLRVDMDGVRRRVQGLERECSSMRRAIKKIDGRSGAGSSSPGDAEDEDEGGRPAGWRSRYGCKFSTQVCDSQARNVVAASRASRMGMSP* >Brasy2G496500.1.p pacid=40067483 transcript=Brasy2G496500.1 locus=Brasy2G496500 ID=Brasy2G496500.1.v1.1 annot-version=v1.1 MRTPKTSSMKGRLRRSIHVADNQDGSVLSSVVLLLEPADQQNGGKGGRRICTKSLNMRYVIRCSGSQPLLVPAPSGAGIPLRPSAPPILLPEL* >Brasy2G265100.1.p pacid=40067484 transcript=Brasy2G265100.1 locus=Brasy2G265100 ID=Brasy2G265100.1.v1.1 annot-version=v1.1 MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGEDSYSLECFNACISGCGYRFDIPAEKVKEIKPNRPSKPPPPVIERAAGSEPAVKGEDVPCTSA* >Brasy2G195500.1.p pacid=40067485 transcript=Brasy2G195500.1 locus=Brasy2G195500 ID=Brasy2G195500.1.v1.1 annot-version=v1.1 MSTSNLRRRLHHADVDGRKNEHVDISHVDSLDEPLLGNSSYDNGGSEVYDPRRQDLWDEDRKKEQLHWSFLFSSLIAQWAQWLANIIVSSGSILGRLFPFTSDNQTSDPVYLSPLQEERLDSLRRRLQIPFDGSRIEHQDALRQLWKLAYPSREIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARTYPGSFQMLLNKVQGQRAEWEYPFAVAGINISFMLIQMLDLQSKVPSSKSGIRFLELLGRDENAFDHLYCIAFRMLDAQWLVKRASYMEFNEVMKSTRTQLERELVLEDVLAVKDLPSYTMLDK* >Brasy2G195500.2.p pacid=40067486 transcript=Brasy2G195500.2 locus=Brasy2G195500 ID=Brasy2G195500.2.v1.1 annot-version=v1.1 MSTSNLRRRLHHADVDGRKNEHVDISHVDSLDEPLLGNSSYDNGGSEVYDPRRQDLWDEDRKKEQLHWSFLFSSLIAQWAQWLANIIVSSGSILGRLFPFTSDNQTSDPVYLSPLQEERLDSLRRRLQIPFDGSRIEHQDALRQLWKLAYPSREIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARTYPGSFQMLLNKVQGQRAEWEYPFAVAEVPSSKSGIRFLELLGRDENAFDHLYCIAFRMLDAQWLVKRASYMEFNEVMKSTRTQLERELVLEDVLAVKDLPSYTMLDK* >Brasy2G383400.1.p pacid=40067487 transcript=Brasy2G383400.1 locus=Brasy2G383400 ID=Brasy2G383400.1.v1.1 annot-version=v1.1 MARLPVLTILLFMAAMAITSTQAGRTLKAGRSVRSVISSIHTLAVAELPTSSAEDPDPAAPRSLFPAIGDPVALRSLFPATGDAADAPAAPYDGGIDYYYKVGITGF* >Brasy2G041500.1.p pacid=40067488 transcript=Brasy2G041500.1 locus=Brasy2G041500 ID=Brasy2G041500.1.v1.1 annot-version=v1.1 MDSGFINTGGISTLDPGNEHSAKKENKKSGGKRIDNSLERTPKQQQNACNTTVHGSSSQEFCTSPGAYATYPDSEFCNFQELRSYNKFERGQIWALYSDLDKFPKYYGWVTKVDIKPFKLHLTWLEVCPQLEQEKMWSQDDIAVSCGTFQLCNWRITYDTNDAFSHLVETSQVNSKQFEIHPRVGEIWAIYNNWAPDWVPSSSDACEYTIGEITERTEASTKFLFLTQVDGFRVVFRPDIGRGILEIPVNENLRFSHRIPSFRLTEEKGGRLRGFYELDPASVPDAFLFRGTC* >Brasy2G054800.1.p pacid=40067489 transcript=Brasy2G054800.1 locus=Brasy2G054800 ID=Brasy2G054800.1.v1.1 annot-version=v1.1 MGFKRAKLEVSSPDHDRRLLVSEEEMERRFWAREEEEEPVAEHDGPAVVYLSSDDDEPPAEEDLSAAELTPGDSVAVVSVENTSQQDEARADAPAPEAAVLSACDDPPMQVTFELTDGTADAGVEAGVDATDGGVESAPDGGVESLVGAEDDGLVDAVAHGWEEAEEEIAPAYNDAPADAVRAEDDDRPSDDDVMDAAVEASDATFAAEEAARQALAAVERAQFVAALRASME* >Brasy2G011500.1.p pacid=40067490 transcript=Brasy2G011500.1 locus=Brasy2G011500 ID=Brasy2G011500.1.v1.1 annot-version=v1.1 LLLRSPRPSSTLLLLLLLSQLQLPATESAGQRHLMLSALFFLRSFQHQPPVNLFIRRSDVIQGLFPPQISGRRCLLRARNWDPGFLDARLIIWVEMRSTGQPSNKETHHNLGACEFIQKRFRSKT* >Brasy2G078700.1.p pacid=40067491 transcript=Brasy2G078700.1 locus=Brasy2G078700 ID=Brasy2G078700.1.v1.1 annot-version=v1.1 MAAAAGDAPRAMSQREQDIQMMLAADVHLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAAAAPEYAAITDYPAAGQWGGDWPADAAAPLAAGGAEWPLAQAPEAVADGWDQAGAPAVAVEGVAPPVVPATGWDPAAQPAAQGWD* >Brasy2G085600.1.p pacid=40067492 transcript=Brasy2G085600.1 locus=Brasy2G085600 ID=Brasy2G085600.1.v1.1 annot-version=v1.1 MRRSDWEDRCKRHPEHRLSKGVCPSCLRDRLAHLSASSSATTTLTRASNSATSTSPYSSNGSPPLHHAALSADASSVHVVGGGSFVNVSAFSQPLMPTSKKPAAGRQEEASRETGKGEKKKKKSSSKKKIGRFLSRLVGTEKRRRTGDGDGGELFHSSTMKEKTSTRWVFF* >Brasy2G117800.1.p pacid=40067493 transcript=Brasy2G117800.1 locus=Brasy2G117800 ID=Brasy2G117800.1.v1.1 annot-version=v1.1 MGEIPEPEATKLTPEPSSWLSLRVFYLRLSKCDVNESMLDSLTVTHAPLTADTVLQQVNGGNRPSSNNGHVTCSLRRDRVDRASREATFVSTEAVRMSGSARFEVRTGGGERLLVGIMEMCDVADDGCNGDGRMKGWVMKCQVAAMLRGSGVFKGNDGEGPTVEVYVASLFRGAPVVFTTKAMMLRFRRKRRAPRKAFMEPIPECAEQSDQEYTKETPPDDQKHDPEDSEYRCYKPDPDYDGLYVRSAGMEGDEDSELSWFTAGVRVGVGISLGICLGVGIGASLLARSYQLTSRSMRRRLISGVL* >Brasy2G048300.1.p pacid=40067494 transcript=Brasy2G048300.1 locus=Brasy2G048300 ID=Brasy2G048300.1.v1.1 annot-version=v1.1 MLSGRGQAGCEGGAFYTYDAFVEAAGNFSGFGTIGNEETRRRELAAFFGQTSLVTQGYCWVKARSPPIEVARYYGRGPIQLSHDYNYQQAGEALGLDLLKNPDLVSTDPVVAFKTAIWFWMTSWPPKPSCHASMTGGWTPSPQDRDAGLLPGYGMTTNLLTGGTGCGKSHETPEAMAQVSYYKTYCDILQAGYGDNLFCGKQSLAQAPSVPQPPQNSGGRSPLSRIGLLVGVSVGSMLFLIGSLIWLFLRRRRRMHVENREEAMEQGLEERNFSDDDQAMEDDFEKGTGPKRFRYSDLVVATDNFSDDKKLGGGGFGLVYKGFLNELNHLVAIKRVSKGSKQGRKEYASEVRVISRLRHRNLVQLIGWCHGGGELLLVYEFMPNGSLDHHLYGAKNPMLSWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGQGSLTTALAGTMGYMDPECMSTARTNTESDIYSFGVVLLEIACGRRPIVVADQEEDTIHLTQFVWDSYGTGRILDAADARLKGEFDDREMECVMVVGLWCSQLDCSLRPSVRQAVNVLRFEAPLPALPARMPVATYMPPVYTQSCTSSDETGGSSNNGATTQ* >Brasy2G048300.2.p pacid=40067495 transcript=Brasy2G048300.2 locus=Brasy2G048300 ID=Brasy2G048300.2.v1.1 annot-version=v1.1 MTSWPPKPSCHASMTGGWTPSPQDRDAGLLPGYGMTTNLLTGGTGCGKSHETPEAMAQVSYYKTYCDILQAGYGDNLFCGKQSLAQAPSVPQPPQNSGGRSPLSRIGLLVGVSVGSMLFLIGSLIWLFLRRRRRMHVENREEAMEQGLEERNFSDDDQAMEDDFEKGTGPKRFRYSDLVVATDNFSDDKKLGGGGFGLVYKGFLNELNHLVAIKRVSKGSKQGRKEYASEVRVISRLRHRNLVQLIGWCHGGGELLLVYEFMPNGSLDHHLYGAKNPMLSWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGQGSLTTALAGTMGYMDPECMSTARTNTESDIYSFGVVLLEIACGRRPIVVADQEEDTIHLTQFVWDSYGTGRILDAADARLKGEFDDREMECVMVVGLWCSQLDCSLRPSVRQAVNVLRFEAPLPALPARMPVATYMPPVYTQSCTSSDETGGSSNNGATTQ* >Brasy2G105000.1.p pacid=40067496 transcript=Brasy2G105000.1 locus=Brasy2G105000 ID=Brasy2G105000.1.v1.1 annot-version=v1.1 MKPPTREMTPEGAIVIGREQESPQVFTRSKHPTHKQDTSPTALTAGQNRPEEQPCLHSNETTSTEQHQPQERTEITRRRARGSQKEGPSPTRSGGSGRPNPPSAGSGEPAHDMAAARPGPEAHHQGASLPPQPQEREKGDPGGTPATSRAGRQIRSSTPADLSNFLAFIEWCIC* >Brasy2G054200.1.p pacid=40067497 transcript=Brasy2G054200.1 locus=Brasy2G054200 ID=Brasy2G054200.1.v1.1 annot-version=v1.1 MQGWDVEDDDDDEEEPGMVVGEVEVKKDDEILVVGGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVGQVDNGEGKGNEEEEEEGGKGEANVEKECDEEEEARGSTVSAEEVVCRECGVVENCGSEAGDVGQVETEAEEESSKHGDMGEEEKGGDCRGDGEENGEPAEAQIVRKDTGLEVALAGEDAKAEIQVPGMVEVVADSKEPADAPRQEKEEEEMKGRRSISSSSPSAALKEDRKLRRLSSRRRVPSSSRASSSSDKVDRRHSFSAEMDVRRSSFSSLKDSRRASFSIDRDGRRWSFTIEQEHLVAEPKVLMSSRKKISSEPETEKDCVVLVAPNSAEEAQESQDDDGKEEATKDGEEEETTEGVEINKGIEKVEIRVAEKEAVEEQQVQRKKSGELPDCLLMMMCEPKLSMEVSRETWVCSTDFVHWKSYQGQNRRNGRPQKDTTGSNAAAPEETKDIDNAEDSSIAKATEESGEPAPVHSTSVPPQVVQKPLLKPAVEQKKLKLELPMVAGVSTYAPFVLKRCKSEPLRSSARLAPDACFWKDRHRPLNATGIGF* >Brasy2G382500.1.p pacid=40067498 transcript=Brasy2G382500.1 locus=Brasy2G382500 ID=Brasy2G382500.1.v1.1 annot-version=v1.1 MSQPSSSFAGFAGVDPRSVNNLQASPARNNHEEVNVQESSGSSPGEEEEQVTKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVAEEYNSNTPQDRKRSSKQLRDHWSKANQLVTLFNGCYATQKSVYASGINGKDLMDQAKAVFKSKNKQKPFNLEYWWEAVRQHQKWRSIYMEKDCSSKRAKISEAGTYTTAAKGKSSSSNLQPDPTMRLYHDAMALKSEAKKEKASAMKDYAAATMEKARAKKLDTDMKMLQTDTSSFNEAKLLRHENMVDQLGLELFSIKD* >Brasy2G259100.1.p pacid=40067499 transcript=Brasy2G259100.1 locus=Brasy2G259100 ID=Brasy2G259100.1.v1.1 annot-version=v1.1 MSKSCECCKRYWTHLHGKVKCFVGKMNSNSRHVMVIPESFVNYFARKISGTIKLEAPDGSVYDVGITEHKNKTLLRSGWEVFVDANHIVENDLLMFQYRGNSRFKVIVFDSSGCEKVVSCAHIESNISDQEPSTNTHSTDMSSSSSDRNTQSSARGRSDGGQSGSSGHFRKRARKDAISSPSKDLSDDSPYEHESSESDDDTLSKPYVLSGQCYLTKEQDAEIVALVQEIQPERPPLVAMMKPNVKPYPDLVIPKDYALAYFPHKNQTITLQIPGQTKKWHCQFRVRSDGGRCNLFGCDFAGDNHLLEGDLCLFQPTTRANGRTFIVTVHLLRKASNDQTSSGNLVIGSNRGLANSKLASTMRVKEEPDVGEATLYSEHGFSENMPMRARLTKSQEKKVLEKVGTTRSEMPIYVAVMKKTNLNGQSFASSLTFGKQYSATYLGKGSRNLVLKRNGKSQTWHAEMHDKDGGGLRIFGGWASFAGDNRLREGDLCLFQLMKHKEQLTMIVDIILREHC* >Brasy2G259100.2.p pacid=40067500 transcript=Brasy2G259100.2 locus=Brasy2G259100 ID=Brasy2G259100.2.v1.1 annot-version=v1.1 MSKSCECCKRYWTHLHGKVKCFVGKMNSNSRHVMVIPESFVNYFARKISGTIKLEAPDGSVYDVGITEHKNKTLLRSGWEVFVDANHIVENDLLMFQYRGNSRFKVIVFDSSGCEKVVSCAHIESNISDQEPSTNTHSTDMSSSSSDRNTQSSARGRSDGGQSGSSGHFRKRARKDAISSPSKDLSDDSPYEHESSESDDDTLSKPYVLSGQCYLTKEQDAEIVALVQEIQPERPPLVAMMKPNVKPYPDLVIPKDYALAYFPHKNQTITLQIPGQTKKWHCQFRVRSDGGRCNLFGCDFAGDNHLLEGDLCLFQPTTRANGRTFIVTVHLLRKASNDQTSSGNLVIGSNRGLANSKLASTMRVKEEPDVGEATLYSEHGFSENMPMRARLTKSQEKKVLEKVGTTRSEMPIYVAVMKKTNLNGQSFASSLTFGKQYSATYLGKGSRNLVLKRNGKSQTWHAEMHDKDGGGLRIFGGWASFAGDNRLREGDLCLFQLMKHKEQLTMIVDIILREHC* >Brasy2G259100.3.p pacid=40067501 transcript=Brasy2G259100.3 locus=Brasy2G259100 ID=Brasy2G259100.3.v1.1 annot-version=v1.1 MSKSCECCKRYWTHLHGKVKCFVGKMNSNSRHVMVIPESFVNYFARKISGTIKLEAPDGSVYDVGITEHKNKTLLRSGWEVFVDANHIVENDLLMFQYRGNSRFKVIVFDSSGCEKVVSCAHIESNISDQEPSTNTHSTDMSSSSSDRNTQSSARGRSDGGQSGSSGHFRKRARKDAISSPSKDLSDDSPYEHESSESDDDTLSKPYVLSGQCYLTKEQDAEIVALVQEIQPERPPLVAMMKPNVKPYPDLVIPKDYALAYFPHKNQTITLQIPGQTKKWHCQFRVRSDGGRCNLFGCDFAGDNHLLEGDLCLFQPTTRANGRTFIVTVHLLRKAREATLYSEHGFSENMPMRARLTKSQEKKVLEKVGTTRSEMPIYVAVMKKTNLNGQSFASSLTFGKQYSATYLGKGSRNLVLKRNGKSQTWHAEMHDKDGGGLRIFGGWASFAGDNRLREGDLCLFQLMKHKEQLTMIVDIILREHC* >Brasy2G259100.4.p pacid=40067502 transcript=Brasy2G259100.4 locus=Brasy2G259100 ID=Brasy2G259100.4.v1.1 annot-version=v1.1 MSKSCECCKRYWTHLHGKVKCFVGKMNSNSRHVMVIPESFVNYFARKISGTIKLEAPDGSVYDVGITEHKNKTLLRSGWEVFVDANHIVENDLLMFQYRGNSRFKVIVFDSSGCEKVVSCAHIESNISDQEPSTNTHSTDMSSSSSDRNTQSSARGRSDGGQSGSSGHFRKRARKDAISSPSKDLSDDSPYEHESSESDDDTLSKPYVLSGQCYLTKEQDAEIVALVQEIQPERPPLVAMMKPNVKPYPDLVIPKDYALAYFPHKNQTITLQIPGQTKKWHCQFRVRSDGGRCNLFGCDFAGDNHLLEGDLCLFQPTTRANGRTFIVTVHLLRKAREATLYSEHGFSENMPMRARLTKSQEKKVLEKVGTTRSEMPIYVAVMKKTNLNGQSFASSLTFGKQYSATYLGKGSRNLVLKRNGKSQTWHAEMHDKDGGGLRIFGGWASFAGDNRLREGDLCLFQLMKHKEQLTMIVDIILREHC* >Brasy2G393400.1.p pacid=40067503 transcript=Brasy2G393400.1 locus=Brasy2G393400 ID=Brasy2G393400.1.v1.1 annot-version=v1.1 MATLLLPSHAASHRRAIFSGGQNQPPPDLLGSTLRAGSRRQRHRPIAVACRATTRAKEPAATSAGAAAPQALAKEAHKYFDHAVVSVRAGDGGHGAVLNMPPGPSTDAPKSRGGRVDKSKSKRGSGGGKKVAYKRNYDGSVSLPVGGHGGDVVLYADEAEETLLGFHRKARYCAKRGGNVGATGTLSSRMHNGFAGETLRVPVPVGTVVRRKKGSVLADLAHPGDEVLVARGGQGGISLIDAPEYRRGKAMALSPNVMRDVTDKVLTHGQPGEEISLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPTLGALQFSSGATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPKYLERPYVVVLNKIDLPKAQDRLSSLAFEISSVGCEEGRDKNASKDKINENLIENSVSEDDDKELGDYPRPQAVIGASVLRHIGIDEMLKEIRTALGKCSDRNLQEP* >Brasy2G393400.2.p pacid=40067504 transcript=Brasy2G393400.2 locus=Brasy2G393400 ID=Brasy2G393400.2.v1.1 annot-version=v1.1 MATLLLPSHAASHRRAIFSGGQNQPPPDLLGSTLRAGSRRQRHRPIAVACRATTRAKEPAATSAGAAAPQALAKEAHKYFDHAVVSVRAGDGGHGAVLNMPPGPSTDAPKSRGGRVDKSKSKRGSGGGKKVAYKRNYDGSVSLPVGGHGGDVVLYADEAEETLLGFHRKARYCAKRGGNVGATGTLSSRMHNGFAGETLRVPVPVGTVVRRKKGSVLADLAHPGDEVLVARGGQGGISLIDAPEYRRGKAMALSPNVMRDVTDKVLTHGQPGEEISLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPTLGALQFSSGATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPKYLERPYVVVLNKIDLPKKAHWDRRNAKGDKDGPGEML* >Brasy2G173800.1.p pacid=40067505 transcript=Brasy2G173800.1 locus=Brasy2G173800 ID=Brasy2G173800.1.v1.1 annot-version=v1.1 MGDFNDIMTSMDKSNANVNHARLRAFNSFVKSCGLIDLGFNGPAYTWTNKRFTSHPVYQRLDRCLANADWCDKFPNTNVYNLPIILSDHAPIFTTTESAVKRPKLNFKFENWWLMEADFQEIAKTCWNRSANKTFAARTTDLAGTLKRWCRKKRPLDDQLAEIEDQLAQIQSLPPEKQNHSKEQDFTQQYEQTMTKITEQYRQRAKKHWATQGDRNTACFHSSIVKRRRRNRIISIQDTSGMVHRDPELIANTFKDYFSNLFTTNNNYNYYMSSSLDNLPDPNDYTYSIPDKNEILQVINQMKRNASPNPDGFNVAFYRASWSWIADAVEATASTFYICQYHTCSC* >Brasy2G043100.1.p pacid=40067506 transcript=Brasy2G043100.1 locus=Brasy2G043100 ID=Brasy2G043100.1.v1.1 annot-version=v1.1 MEAAKRKAPRVFGHAQAAAELSLSLAPAGSIKAAAAAVAPTTCVGGGEERRRLFQCLFCDRTFLKSQALGGHQNAHRWKDRAGARFRDPYGEEEEPEYYYHGHHEPLAHGTSTPRLEVGGGHADEERSRPWASGDGGEKLDLQLRL* >Brasy2G080100.1.p pacid=40067507 transcript=Brasy2G080100.1 locus=Brasy2G080100 ID=Brasy2G080100.1.v1.1 annot-version=v1.1 MTAIGVPAAQAAAQAQQQQAAQLQAQQQIEAQVKAEATMQAQTLAAAQEVARSAAAAGVNNDAAGLVTDFNKFINKEQPTQGTLPICEAGPAAR* >Brasy2G167800.1.p pacid=40067508 transcript=Brasy2G167800.1 locus=Brasy2G167800 ID=Brasy2G167800.1.v1.1 annot-version=v1.1 MNSLAFLCRPSPLTPASSSISLSVPQPSCIKLPRSRPAAHRHHTAAARISSRTVAMATAAAPPVISPKENLPPSLTSTSEPPPLFDGTTRLYVAYHCPYAQRAWITRNYKGLQDKIKIVAIDLADRPAWYKEKVYPENKVPSLEHDNQVKGESLDLVKYIDSNFEGPALLPEDSAKKQSAEELLAYTDDFNKALYSSILNKGDVSEETVAALDKIEAALGKFTDGPFFLGQFSAVDIAYLPFIERFQIFFSGIKNYDITKGRPNLQKYIEEVNKIDAYTQTKLDPQFLLNQTKKRLGIE* >Brasy2G453700.1.p pacid=40067509 transcript=Brasy2G453700.1 locus=Brasy2G453700 ID=Brasy2G453700.1.v1.1 annot-version=v1.1 ALTQYGISPLANLRPEDIAKPQPDLRSAVLSRFIASFVDSPGDGGEDAQLGFKELEALEHPDHHAEGIRVLRLYNKSRAFLDSIQVKDFTLADLLHPHPHRVVQLLSALVNFLFYREEKLGLLQPIADQAARHHERSMELKDRIAQLQKEIGDHELAEQMDEPIVQQLEAEVNGLQLKAQAYNKQQLTLRAKAKTITDKREEILGKRALEEKKTARAQLKNSEKMSVQNVQEKTATLEIYNKAFEKLAKQFSKIQDLQEQVSAAKTVEKEVKALKAKLNDESASIMSLDAKIVEWQGKVLEAEERLKAKVKERNQIVADENQKLVALRSEIECKLQCLEPRERKLINIIIFTNTASRLCAETDSIRTAAAAEQQKIRAKFDDVLQAYMDTMNPFLERLEEVGKETPQRQLAGEGSSDCGPSAVATKTTPRANATSKKSRVRKRT* >Brasy2G297100.1.p pacid=40067510 transcript=Brasy2G297100.1 locus=Brasy2G297100 ID=Brasy2G297100.1.v1.1 annot-version=v1.1 MALGLVPPPPWPPPAGPRAQPWPGALHHLRVSELPNDVTPGCGIPSEMNMEIYVDLTPREDTRGSQEDIDEDNQENLVGLPSNGRPTQYTSIDSPLSVTSLAALKGDIVIMESPTKKLTPNEQRTVSQQGHTSAMVECAGESSQSVKSPSVTLLSSVGTSNSTETESLAIQHLPFVKTSPMWAQIEALEIFSKVPQRPNFHQFQHYGAELSEGMALGLMFSFAILAESIYRLDVQDDQGLLEEKMKSLRSRKMVLMSGT* >Brasy2G051900.1.p pacid=40067511 transcript=Brasy2G051900.1 locus=Brasy2G051900 ID=Brasy2G051900.1.v1.1 annot-version=v1.1 MAVAPRARSSPLLRALAATRGQLRSIHEGPDTIDELLDRHLSKKSPSSSASLLDDDAAEALARRRLTSTRREALALYRDILRAARLFEWPDERGVPWREALRANARREFEEARGERDPEVVARLLIGGRDAVDQALERVAEASRRAVQAEEAKRRGGA* >Brasy2G161500.1.p pacid=40067512 transcript=Brasy2G161500.1 locus=Brasy2G161500 ID=Brasy2G161500.1.v1.1 annot-version=v1.1 MASGAAVRPALAYIVVYVENVPNAAAFYADAFGYCVRRIDQSHKWAELDTGSTTIAFTPRHQRETDALTGEVQLPKSPRERGPVEICFDYTDVDAAYRRAVDNGAVPVTPPEQKNWGQKVGYVRDLDGNIVRMGSHVRE* >Brasy2G348000.1.p pacid=40067513 transcript=Brasy2G348000.1 locus=Brasy2G348000 ID=Brasy2G348000.1.v1.1 annot-version=v1.1 MKHQQGLREMGASTQPSTPASKIVRSPSLTPGGSSRSKEEKIFVTVRVRPLSKKEVAVKDQVTWECADRQTILYKGPSQDRAAPTYYTFDKVFGPKCQTDLVYEEGAKDVAMSAMTGINATIFAYGQTSSGKTFTIRGVTESAVSDIYRHIENTPEREFIIKISAMEIYNEIVKDLLQPDSGPLRLLDDPEKGTIVEKLEEKVAKDRQHLRHLIDICEEQRQVGETALNEASSRSHQIIRLTVESRLREVSGCVKSFVASLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSEKKNGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFAACAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAVLRTPDRASSSEIAIMEKDRKLRLMEKEMEELKKERDNARAELEELRKKTGDNQQEWNPFDSPEKARKCLIFSGSLHPSNKIKIRSSIRQSSTAPFMLKHEIRKLEQVQQQLEVEANRAIELLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRSENRDVEMVTDEGNGYDLKDEITRLHMQDSDIAKLEVKLENVQRSIDKLVMSLPHVGTQCNGTTAKSNSSKKKKRMLLPLAVSNINRPNLIRAPCSSTRTFESEVENRAPEGDIVSREDSEKATPSKSEHIGDVSSRGETPRSRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDSEEDPEEILGCLQDGPESRDRLFKEQMQHIIHLWDLCHISIIHRTQFFLLFRGDTTDQIYIEVEVRRLVWLQQHLDEVGDAPGDDLAVSRASSMKALRNEREFLARRMGSRLTEEEREHLFIKWNIPLEVKQRKLQLVSKLWTDPSNEGHVEESANIVARLVGFCEGGNVNKEMFELNFAVPASRKPWLMGWQPISNMITEKALLWT* >Brasy2G348000.2.p pacid=40067514 transcript=Brasy2G348000.2 locus=Brasy2G348000 ID=Brasy2G348000.2.v1.1 annot-version=v1.1 MKHQQGLREMGASTQPSTPASKIVRSPSLTPGGSSRSKEEKIFVTVRVRPLSKKEVAVKDQVTWECADRQTILYKGPSQDRAAPTYYTFDKVFGPKCQTDLVYEEGAKDVAMSAMTGINATIFAYGQTSSGKTFTIRGVTESAVSDIYRHIENTPEREFIIKISAMEIYNEIVKDLLQPDSGPLRLLDDPEKGTIVEKLEEKVAKDRQHLRHLIDICEEQRQVGETALNEASSRSHQIIRLTVESRLREVSGCVKSFVASLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSEKKNGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFAACAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAVLRTPDRASSSEIAIMEKDRKLRLMEKEMEELKKERDNARAELEELRKKTGDNQQEWNPFDSPEKARKCLIFSGSLHPSNKIKIRSSIRQSSTAPFMLKHEIRKLEQVQQQLEVEANRAIELLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRSENRDVEMVTDEGNGYDLKDEITRLHMQDSDIAKLEVKLENVQRSIDKLVMSLPHVGTQCNGTTAKSNSSKKKKRMLLPLAVSNINRPNLIRAPCSSTRTFESEVENRAPEGDIVSREDSEKATPSKSEHIGDVSSRGETPRSRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDSEEDPEEILGCLQDGPESRDRLFKEQMQHIIHLWDLCHISIIHRTQFFLLFRGDTTDQIYIEVEVRRLVWLQQHLDEVGDAPGDDLAVSRASSMKALRNEREFLARRMGSRLTEEEREHLFIKWNIPLEVKQRKLQLVSKLWTDPSNEGHVEESANIVARLVGFCEGGNVNKEMFELNFAVPASRKPWLMGWQPISNMITEKALLWT* >Brasy2G472800.1.p pacid=40067515 transcript=Brasy2G472800.1 locus=Brasy2G472800 ID=Brasy2G472800.1.v1.1 annot-version=v1.1 MASTRERRLPPAPAFRMENPFSLKVLQVFTGAGVGCGVGVGVGRPIYLGMIPGLQQVMSATRGATDSFSGVTRHINSALRKAGLKNIEAGIGCGVGIGHGFGIGIALKPRVIHDIQSSVTEVLSKLTSKLKDSPGMSATSNLTADSLPTNGQTPNGMPMDLEVEAKTVRSNFHPTSSNEISRVQPTHGLHGQHGMQPETITGSRTEKVIANFLQSPLFQNDTKPDIRDSAVNLHGMDNVLQLVLKHQRVIDELRDENEKLRQVLIEELKVSPTKLQLGRENGIKAYYPCSECFDCRRRSRKSNR* >Brasy2G034400.1.p pacid=40067516 transcript=Brasy2G034400.1 locus=Brasy2G034400 ID=Brasy2G034400.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy2G493200.1.p pacid=40067517 transcript=Brasy2G493200.1 locus=Brasy2G493200 ID=Brasy2G493200.1.v1.1 annot-version=v1.1 MLQLMMALAFSAAPLTLYVPPVRSLSLFVEAMESMCRECAPYSHGAVARFRLGLSRIFAGLARALR* >Brasy2G103300.1.p pacid=40067518 transcript=Brasy2G103300.1 locus=Brasy2G103300 ID=Brasy2G103300.1.v1.1 annot-version=v1.1 MSSTRRHFAIFTTASLPWMTGTSINPLFRAAYLAKDGDRDVTLVIPWLSLRDQELVYPNKIVFDSLLEHEGYVRRWIEERIDFKPSFGIKFYPGKFSKEMRSILPVGDITDCIPDEVADFAVLEEPEHLNWYHHGRRWKKKFRRVIGVVHTNYLAYVRREKNGQVIACFLRYANTWVTRIYCHKIIRLSGATQDLPKSVICNVHGVNPKFLEVGKLKLKQLQTGEAAFTKGAYYIGKMVWSKGYRELLDLLSKYQTRLGGLEVDLYGSGEDSDEVRESAERLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTSAEALAMGKIVICANHPSNEFFKQFANCRIYNNDEEFVQVTLNALAEQPAPLTDMQMYDLSWEAATDRFMEAAEINLSVAEPRIHQASRAYFPTFLRTRKLTQSLEDASVYLHQALSGLEVTRWAFGAVPKTLQPDEQLRKDLGLASPTKRKRLKLKLMT* >Brasy2G326200.1.p pacid=40067519 transcript=Brasy2G326200.1 locus=Brasy2G326200 ID=Brasy2G326200.1.v1.1 annot-version=v1.1 MAHPLGKPMDTIRRPVTASSSFHQSAAKHLQPLVTLAQRNGVSRPVNQGKPCWRPLQQYLRPVNQGKPCCKRMDDNYKRNYKDYFGFMEGPVREKKAEDLTESEKGILAWLDRNK* >Brasy2G244400.1.p pacid=40067520 transcript=Brasy2G244400.1 locus=Brasy2G244400 ID=Brasy2G244400.1.v1.1 annot-version=v1.1 MGRPWDGARSASGPPRGATGDEGLGGLRDMLEARRSDGFRWLLEDDVEKDEADDASRKQRRVGSGWISEVGDEERRIELLVSRLSEGDLSLGDWRLTRMMKQADIIYNEDNLLQILKKLETQGNWRQAVAVTEWVYNENIYKHRRSRFVYTKLLSILGKSLVPTEALRLFKIMLGDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIDYMRQKPSKRVMRMRRKDWDPLLEPDLIIYNSVLNACVLSQQWKGVFWVFKKMRFGGLAPTGATFGLAMEVMLKAKKYDFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNEAVQAVEDMEQRGVVGAASVYYELACCLCNKGRWKEAMLQVEKLEQLRLTKPLEYVFTGMILASFDGGYISECISIFESMKDYCAPNIGTINVMLKVYGRSDMFVKAKDLFETTTSSFSSSQAYICDQSALQADAYTYSSILETSAHAQQWEYFENVYRRMTLSHHHLDQSKYSWLLIKASRAGKPYLLEHALNSILDRGETPDVQLFTENVCQTIAHSDYGRTLCLLNVMSAASVDINELQWSDLLQQNMYRFSVNALKDLLMHLRTGYTIETDPARSFMRALQSQYATFVEKDTSFLADCGDTEVPLLDKFSNSNLMEQDLSCNNSSDTSIFHEEKGSNEFSDCSTNIPEVCPLPGLGGDIVLCGSHLGNKENEQCNLGHWSTKVSAIEEVLDSMNPYGNNTSYEEIPAAAEILELWEQEGLNDIFGRKNESRATMRG* >Brasy2G244400.4.p pacid=40067521 transcript=Brasy2G244400.4 locus=Brasy2G244400 ID=Brasy2G244400.4.v1.1 annot-version=v1.1 MPVESRGVSAPAGFRKWGMRRGGLSCWLSEGDLSLGDWRLTRMMKQADIIYNEDNLLQILKKLETQGNWRQAVAVTEWVYNENIYKHRRSRFVYTKLLSILGKSLVPTEALRLFKIMLGDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIDYMRQKPSKRVMRMRRKDWDPLLEPDLIIYNSVLNACVLSQQWKGVFWVFKKMRFGGLAPTGATFGLAMEVMLKAKKYDFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNEAVQAVEDMEQRGVVGAASVYYELACCLCNKGRWKEAMLQVEKLEQLRLTKPLEYVFTGMILASFDGGYISECISIFESMKDYCAPNIGTINVMLKVYGRSDMFVKAKDLFETTTSSFSSSQAYICDQSALQADAYTYSSILETSAHAQQWEYFENVYRRMTLSHHHLDQSKYSWLLIKASRAGKPYLLEHALNSILDRGETPDVQLFTENVCQTIAHSDYGRTLCLLNVMSAASVDINELQWSDLLQQNMYRFSVNALKDLLMHLRTGYTIETDPARSFMRALQSQYATFVEKDTSFLADCGDTEVPLLDKFSNSNLMEQDLSCNNSSDTSIFHEEKGSNEFSDCSTNIPEVCPLPGLGGDIVLCGSHLGNKENEQCNLGHWSTKVSAIEEVLDSMNPYGNNTSYEEIPAAAEILELWEQEGLNDIFGRKNESRATMRG* >Brasy2G244400.3.p pacid=40067522 transcript=Brasy2G244400.3 locus=Brasy2G244400 ID=Brasy2G244400.3.v1.1 annot-version=v1.1 MGRPWDGARSASGPPRGATGDEGLGGLRDMLEARRSDGFRWLLEDDVEKDEADDASRKQRRVGSGWISEVGDEERRIELLVSRLSEGDLSLGDWRLTRMMKQADIIYNEDNLLQILKKLETQGNWRQAVAVTEWVYNENIYKHRRSRFVYTKLLSILGKSLVPTEALRLFKIMLVLNACVLSQQWKGVFWVFKKMRFGGLAPTGATFGLAMEVMLKAKKYDFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNEAVQAVEDMEQRGVVGAASVYYELACCLCNKGRWKEAMLQVEKLEQLRLTKPLEYVFTGMILASFDGGYISECISIFESMKDYCAPNIGTINVMLKVYGRSDMFVKAKDLFETTTSSFSSSQAYICDQSALQADAYTYSSILETSAHAQQWEYFENVYRRMTLSHHHLDQSKYSWLLIKASRAGKPYLLEHALNSILDRGETPDVQLFTENVCQTIAHSDYGRTLCLLNVMSAASVDINELQWSDLLQQNMYRFSVNALKDLLMHLRTGYTIETDPARSFMRALQSQYATFVEKDTSFLADCGDTEVPLLDKFSNSNLMEQDLSCNNSSDTSIFHEEKGSNEFSDCSTNIPEVCPLPGLGGDIVLCGSHLGNKENEQCNLGHWSTKVSAIEEVLDSMNPYGNNTSYEEIPAAAEILELWEQEGLNDIFGRKNESRATMRG* >Brasy2G244400.2.p pacid=40067523 transcript=Brasy2G244400.2 locus=Brasy2G244400 ID=Brasy2G244400.2.v1.1 annot-version=v1.1 MRFGGLAPTGATFGLAMEVMLKAKKYDFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNEAVQAVEDMEQRGVVGAASVYYELACCLCNKGRWKEAMLQVEKLEQLRLTKPLEYVFTGMILASFDGGYISECISIFESMKDYCAPNIGTINVMLKVYGRSDMFVKAKDLFETTTSSFSSSQAYICDQSALQADAYTYSSILETSAHAQQWEYFENVYRRMTLSHHHLDQSKYSWLLIKASRAGKPYLLEHALNSILDRGETPDVQLFTENVCQTIAHSDYGRTLCLLNVMSAASVDINELQWSDLLQQNMYRFSVNALKDLLMHLRTGYTIETDPARSFMRALQSQYATFVEKDTSFLADCGDTEVPLLDKFSNSNLMEQDLSCNNSSDTSIFHEEKGSNEFSDCSTNIPEVCPLPGLGGDIVLCGSHLGNKENEQCNLGHWSTKVSAIEEVLDSMNPYGNNTSYEEIPAAAEILELWEQEGLNDIFGRKNESRATMRG* >Brasy2G022100.1.p pacid=40067524 transcript=Brasy2G022100.1 locus=Brasy2G022100 ID=Brasy2G022100.1.v1.1 annot-version=v1.1 MPSRSRGRRASPPAPTQEDDDVGFEVSYSSDEEDGEEGDDSEEGSEEGAPGSGSDSEEEEEEEDEEEQDEERSDADEEVRESVGEVCGSSVQASRVPGGAPAAERGNTPTCPVCMEAWTSEGPHRISCIPCGHVYGRSCLERWLTQRGNTTATCPQCARRFKRKDIINLYAPEVVVPNNDLEKQVVSCMQKVESLGAVVRKQGKMLEEIKAKKGHRSVDNGVSKRQKIAEHTGFGSSNCCRLVLQNELFLDGARVMGIDASNRIILASRKAPGLGQEHVFTKINMLSTHEGRAIQLPPGTKVVKDICILPDGSALFASLGRRLSLFSMMTESVVLQCDLPAPGWSCSADDLGSHHVYAGLQDGRILVFDIRQTSRPLHSMAGLSTHLVHTLHCVTDNNGSRKVLSASAIGPCMWDPDGSQSKPYLLLETDDQRVCFSLACAPPSSDTIVASFRPKADSSGDAAPSQVYPSQTQTASGPGKQGQHTVVMRTPWGITPFVEGRTCYGSVSEVRMCKSAIIPYGNNQHLFAYGDESLRGVRTWQLPWFGMHTELRHHREPILDLRFVESPAGGRYLGCLSNEKLQVFGVV* >Brasy2G022100.2.p pacid=40067525 transcript=Brasy2G022100.2 locus=Brasy2G022100 ID=Brasy2G022100.2.v1.1 annot-version=v1.1 MPSRSRGRRASPPAPTQEDDDVGFEVSYSSDEEDGEEGDDSEEGSEEGAPGSGSDSEEEEEEEDEEEQDEERSDADEEVRESVGEVCGSSVQASRVPGGAPAAERGNTPTCPVCMEAWTSEGPHRISCIPCGHVYGRSCLERWLTQRGNTTATCPQCARRFKRKDIINLYAPEVVVPNNDLEKQVVSCMQKVESLGAVVRKQGKMLEEIKAKKGHRSVDNGVSKRQNELFLDGARVMGIDASNRIILASRKAPGLGQEHVFTKINMLSTHEGRAIQLPPGTKVVKDICILPDGSALFASLGRRLSLFSMMTESVVLQCDLPAPGWSCSADDLGSHHVYAGLQDGRILVFDIRQTSRPLHSMAGLSTHLVHTLHCVTDNNGSRKVLSASAIGPCMWDPDGSQSKPYLLLETDDQRVCFSLACAPPSSDTIVASFRPKADSSGDAAPSQVYPSQTQTASGPGKQGQHTVVMRTPWGITPFVEGRTCYGSVSEVRMCKSAIIPYGNNQHLFAYGDESLRGVRTWQLPWFGMHTELRHHREPILDLRFVESPAGGRYLGCLSNEKLQVFGVV* >Brasy2G396400.1.p pacid=40067526 transcript=Brasy2G396400.1 locus=Brasy2G396400 ID=Brasy2G396400.1.v1.1 annot-version=v1.1 MQRWRLPITVPPSRRPDFFMVASFGRCKFRLTEESVGNLLNVCLGGSPEEFRVCHLQDRTFRFSVTNKNIGFHIAGLNSFTCTNFVVYFHLWGFGGPDYIKEFAAWEKEEYLQWESPKKAQSPPQAKRSFAQVAAQGSSFLTGSNAVPIARQSVFNRLGSKDLSPPLSPWSQTKETDLADAGYCAEDIQQCKEDYIAKIRNHQQKPVAIGTVFKRLTFPANSAASEPLQAPVPEKTGFKFLIAREQFQGATNPGNHSGVQSNQGHYGNFPGVNGPRPTCTRCLKMGHHSSRCKNAMHCRFCYKPGHTYRFCRARKEGATIGKSPNFGDFTGISPAPKFPEVSKSHWPKGMTDTWFRSTAQLHHAPRYFTSFSDFAQYSIAQRGTPSYSTEAPPKPHPACAPSTAPSTRSSSMANFAVDPAPFVPGLFDIIDVEGRPQHSRYHIRGRIEPQNEDVTIVTLQPPPNPDALFEVTRGMLNHLLAEHLQLRVEHIQRCAIGHAFVRLHSAIDRDWLVRNGPHQHNGTTFTFTEHNRAQNWRGFTYNQEVWLMILGFHLDIWTSEHIANAIADWGKLILWDRTVSNYSRIVIKVKVEDLSLVPYSLLLSHGPDFQGETWAAPVYILHQAFMGALPPDEDAPPDNGDTPHPLPVLPFEEDHGNNVNHAPVIPDLNMEADFWGPWDQALQNAGANAQDLLFLPEEHQAVGVPNPALDQDDESALTLTLSSNAPAPLMSEGSVSGIPPGPLHVIDQQEQMHLPSAVNENVHLDMQNAYAGQDNMQIDGQGNMLVGRIIIPPISHSQEEFPALYGPHLTKPNLELLHTSTESNEIWKKHFCPNNSKNSISVPLPWIDFFTASLISPESFAWARKVLLSNMWTIFSENAPLSKDFYIPDTCPSSSPLKCDLTARAAMISKGYLTPQAPAKLPCLPDIASTSAIKGKRGKKAPLVVTEVRRSERINKKNGGYKHNTCVDRHCLACTAKPPEISKKIIRNLSERFGLQTKNDTETQGQKKKQKQSHSDDEDATKKTKQDQKKPRSKK* >Brasy2G375200.1.p pacid=40067527 transcript=Brasy2G375200.1 locus=Brasy2G375200 ID=Brasy2G375200.1.v1.1 annot-version=v1.1 MSSSVQSGDEMKEKKAEAEQKVEKVPFLKLFSFADRWDYALMAVGSLGACAHGASVPVFFIFFGKLINIIGIAYLFPTAVSGRVAKYSLDFVYLGVVILFSSWTEVACWMHTGERQAAKMRLAYLRSMLEQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFVAGFAIGFTQVWQISLVTLAIVPLIAIAGGVYAYVTIGLMARVRRSYVKAGEIAEEAIGNVRTVQAFVGEEKAVRAYREALLRTYRHGKKGGLAKGLGLGSMHSVLFLSWALLVWFTGLVVHRRISNGGESFTTMLNVVIAGLSLGQAAPNMSTFLRARTAAYPIFRMIERSTVSKSSAEAGRALPAVEGSIRFRDVRFAYPSRPDVAILDGFGLDFPAGKIVALVGGSGSGKSTVVSLVERFYEPLSGAILLDGHDIRDLDVKWLRGQIGLVNQEPALFATSIRENILYGKGDASMEEINHAAKLSEAITFINHLPERYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDAGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQRKPSFSDSASITRPQSFKYSRELSGRTSMGASFRSDKDSISRYGAAEAVAHDEGHKPGKPVSMKKLYSMVRPDWMFGLSGTISAFVAGAQMPLFALGVTQALVSYYMGWDTTKEEVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVREKMFAAILRNEIGWFDSTSHTSAMLSSRLETDATLVRTIVVDRSTILLQNVGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPGKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKELANFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMASSVFEILDRKTEVRIDTGDDVKKVEGVIQLRDVEFRYPSRSEVAVFKGLDLLMKAGKSMALVGMSGSGKSTVVSLILRFYDPIAGKVLIDGKDIKKLRLKALRKHIGLVQQEPALFATTIYENILYGKDGATEAEVVEAAKLANAHSFISSLPEGYHTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGRIIEQGDHQHLIENKNGAYHKLVNLQQQELHGGQSP* >Brasy2G340200.1.p pacid=40067528 transcript=Brasy2G340200.1 locus=Brasy2G340200 ID=Brasy2G340200.1.v1.1 annot-version=v1.1 MPHRRKSGTRTKPVNRAAGDLAAPARGWTSLFNGGRRRPALPLPVHRSVEQSAAWKICEIPIGCVILEEIMQYTLSYLHIIQKSLRYWNSRARGSNSQHIYFMIFERGPRAFFEATCQSLTRLTGSGGPSQSLLDSAEDIISTNIDVLESMERCLAAFLAELYSKSDICKEGLTGSRDESLHALFIVLNHVFIKLEEEQALLFTHSGNPSELRFGRLPEVGTESPQWTETLSTYGLRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWFLRHSSLMGSSDLDSWIGHAKESLAGFWNEHAEKPIRSVGDEPSESFKRTARSLMEKKEARQTEESLQRILHSFCEQTSKEKSGEAPMDSQSHEKEWMHRIRNLFGAMRFKNQKKDPDILHSILELDQVVKANILDLQEAILGFSPVLEAIKLNFALLALGIPLLLLLSARARAVHVQHAERRKRIAWRIIVAEQRLLEFQQSNVRGKECEARWKFGLTLYSLDRLYQAVELHANGTDAWSTRLKEHIFYLAKPGIEKDDQRDRGWLLSVAGIGYKGVRRWLAEAGKGTLRALKDVVMRLHRVARSSEELKRHAEKSRQLMAPSRSAFSHESGGWRRWRWWEKKRAAARRICPEAGRPTHWAWGGGEETRGWAHAGVVASVVRWRRRNRE* >Brasy2G312000.1.p pacid=40067529 transcript=Brasy2G312000.1 locus=Brasy2G312000 ID=Brasy2G312000.1.v1.1 annot-version=v1.1 MPQPYTRSQLSEKLRRLKNKHRNVSTRVARGLDPARLAPHNRDVLHLCSRLWDPANAATSPFAATAGSPGNKRRRAAPLEVPPPSGDGSSQDYNGTSSATPGAFLDGNGEDVMYLEQESGHLYYDQGAALVANGSLDGFTMEQAETVAALTNTGANGVGMEIAPQNIENTGIGSQNGNCTVMIPRSSEHRMASAVLDVFEECLMEAKADGTICGGNAEES* >Brasy2G483100.1.p pacid=40067530 transcript=Brasy2G483100.1 locus=Brasy2G483100 ID=Brasy2G483100.1.v1.1 annot-version=v1.1 MMEGGVVAAAAAAAAAVSPPPPPPPAAAVSTGGGGATSAAAAAPPPFLMKTYEMVNDPGTDAVVSWGPGNNSFIVWNTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPLHGNNQMQVQQQQQQQQPQLQNSPIPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAANKKRRLPKQDDGLDSESASLDGQIIKYQPMINEAATAMLRKILQQDSSHRYESMGNSDNFLLENSMPTAQAFDSSSSTQNSAVTLAEVPGNSSIPYMATSSGLSAICSSSTPAEIQHPVLDNILPKELPNMSAAPSVPTAMAPGPNDIGIPGFPDLHDIITEDVGIPGGSFEMPGPECIFPLPEDGDDSIPIDTDEILSSDDTQKLPAIIDSFWEQFLVTSPLSVDNDEVDSGLLDTREAQQDNGWARTDNLANLTEQMGLLSSNHRG* >Brasy2G248400.1.p pacid=40067531 transcript=Brasy2G248400.1 locus=Brasy2G248400 ID=Brasy2G248400.1.v1.1 annot-version=v1.1 MNTIEDEEESQTGNLVQRRRRKSVRQHQAANPEETALSMANMVLQVGRNRGSPARLYKLNQGLSPAQIDLIKSKDFGGLLNIARNLPSEMTKWVMGTYATATRELVIPEKGTIAVTAESVHRNFKLPMRGKKVIYERTQDSVDFIAKEYGIEGGKSPLITDWCKMINDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFINLDDVVNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVRAEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLCTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKQKRKPAAARDDKKKGEGGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNPTAGEQQSTGADQNLLSAMDKAAVNKTKVLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATEEIPTCANQGIKGTATQPAANKVSQATEAISVKEGTQKIEAAKLGGQGVEKVQTHQGTVKELPARGEKRAGTCSFYFKRQVSAKATICLVDQATPAQDRIKGEGNKCATDTAAAAQVHVRGSSVPVHPIEKSRSRVVFNGLDFEAPSFDLGFDRTPAPPITPAPPLHVVGPDISPEGTAAGNIRPNVANPAITAQGHELPDEEWDDATIAELCVIADDLVREGGMAAVKIDNQAIVDLSTPPESNSGASIKQKSTSAIIDLCTPPPPAMTTRGKENFGSVSAKSSSGSAPKQGPERRAIKPTACKRAPYVDIDSKATYQCSNDVKQVYAAVLAFGGRRTTRAKESEKSDDIIKYNNFFVTVSELASSMAPTCRLSNSVVEIGIEYIMQKQPSSVKKCVMPLRIGESNHYWLFNLNIRDRRYEIFDSIRSFSNQKLNEAAKRIATCIIALWGTHYSKSRVKVDQFKWLDVGGPKQDNTYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLSSEENEIDWKPVLKLK* >Brasy2G063600.1.p pacid=40067532 transcript=Brasy2G063600.1 locus=Brasy2G063600 ID=Brasy2G063600.1.v1.1 annot-version=v1.1 MRRLRRSLLLAALSKPLTRPRPPLPLFRRPPPPHTHRLPFSTGAASTLPPPADAAQGTARARPAGLVSLEAAELQESAGDHQKALDLALKALGPLQESHGGWSLPVARALRVSGAAASRLGLLSDSLESLDAAAEIVDSLQGGAAEAATVGAAVHDELARTKTATGRLWDAVANLRRALELKVRFLNQGSAELGDAYRDVAEAYVGVLCFGEALPLCLKAVEMAEKRFGEDSAEVAKVRRILMVVYTGLGRNEEAMAQNELVRKVYEWLGLDGELSLVEIDGAGIRILLGRSEDAMNDLKKVMKRANKESLERALAFVTMAKIMFSQERFSDSRRCLEIARETLDAKGYGSPDRVVGAYTEISMLYESMNEFEVSLCLMKKTLAFLEGASGMQNIKGSISARMGWLLLQTKRVDEAVPYLEKAIEKLKNCFGPMHFGLGFAYKHLGDAYLEMDQPQSAVKFFSLASDIINAAFGPKHEDSIEMIQSIANAHGLMGSYKLAMDFQERVIDAYESCGPDFSYEIREAHRLREQIKMKAAGSRSAVFPANSLPLLLTDREQPSSVR* >Brasy2G063600.2.p pacid=40067533 transcript=Brasy2G063600.2 locus=Brasy2G063600 ID=Brasy2G063600.2.v1.1 annot-version=v1.1 MRRLRRSLLLAALSKPLTRPRPPLPLFRRPPPPHTHRLPFSTGAASTLPPPADAAQGTARARPAGLVSLEAAELQESAGDHQKALDLALKALGPLQESHGGWSLPVARALRVSGAAASRLGLLSDSLESLDAAAEIVDSLQGGAAEAATVGAAVHDELARTKTATGRLWDAVANLRRALELKVRFLNQGSAELGDAYRDVAEAYVGVLCFGEALPLCLKAVEMAEKRFGEDSAEVAKVRRILMVVYTGLGRNEEAMAQNELVRKVYEWLGLDGELSLVEIDGAGIRILLGRSEDAMNDLKKVMKRANKESLERALAFVTMAKIMFSQERFSDSRRCLEIARETLDAKGYGSPDRVVGAYTEISMLYESMNEFEVSLCLMKKTLAFLEGASGMQNIKGSISARMGWLLLQTKRVDEAVPYLEKAIEKLKNCFGPMHFGLGFAYKHLGDAYLEMDQPQSAVKFFSLASDIINAAFGPKHEDSIEMIQSIANAHGLMGSYKLAMDFQERVIDAYESCGPDFSYEIREAHRLREQIKMKAAGSRSAVFPANSLPLLLTDREQPSSVR* >Brasy2G352700.1.p pacid=40067534 transcript=Brasy2G352700.1 locus=Brasy2G352700 ID=Brasy2G352700.1.v1.1 annot-version=v1.1 MAGAAAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRGTMELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFGEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILSVQYSIPDNVDISPECRHLISRIFVGDPALRITIPEIRNHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRMDRILTGGLDMDDDMDDLESDSDLDIDSSGEIVYAM* >Brasy2G200700.1.p pacid=40067535 transcript=Brasy2G200700.1 locus=Brasy2G200700 ID=Brasy2G200700.1.v1.1 annot-version=v1.1 MAARNPALLAGANPEQAAPAAAVAALDPAAPAALTRPRKPKNEMTPKARAIESQKRGQRRVRKLARDAEQAAAKEWELEEERERCLQEAALAQGRQAETMHTILLFGKLAQGAFPPDILPAMAASTESSVVSRPPPPRPTTPASRPSSESPDTASTPASWAPSAAAGDALDLNVSPVTTPSLPTKVPRPFPASSFDSGRNLFGDMPEPPVDPADPNYYTEPSQFLDDLISQEGPVFEEEVDEQWNDEIQEGVSIDTEPLCTGVGTDPGSDASRCQRKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKDAVYWKRIYDYFHEHRLLPPYSFISDRGEVSLQKRWGLIQSECNKFTGAHDHVKARPVSGVGVGDMAYQALEYFKVMYKKPFGLIHCWRILKEAPKWQDVYVATKKSPGDGKKRDCNVIDLEAFGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAVKEKMLQLKEEQMKEFLDVQKRKLAIEEANAAATRTAAAAAMLAEETRIKNTTRAWFLAKRKMIQERDAPASLEE* >Brasy2G068100.1.p pacid=40067536 transcript=Brasy2G068100.1 locus=Brasy2G068100 ID=Brasy2G068100.1.v1.1 annot-version=v1.1 MASVADSPAAVPAGAGTPPQSGSPVAAKRAATAWKRPENGPVPVLMAPAIMDADSWPALPGLVSPQPPTPPKASPKAAPPPSTGAVIPPVSLGALNANSDHEALVDSPPARRASVFSEGDGLEIRAPGPEPSPVYSPNARSNGGGDHHHQNGRFGSHPHGRSSGYGGGNRRGNGGGGSRHGHEYHGGFDGPRRGGGRRDGHGPGHQQRGHQPSYIRAPPPLAVMAAAPPAPPFVGPTAPQTPPYGPPMSFPAEMAPHVYYFAVPSSDGLQAIPFVPPPPTPPPAMLVSPFERLQRELLVQIEYYFSDENLCKDIYLRQHMDDQGWVPISLIACFNQVKNFLHRIAQVRTLVNTLQFILDTVRQSLVVEVQGDKIRRRARWEIWLLPRSNYSAGNSSGLLSPVTSNIDSLASQFQSVGLEGSTYHPNMQAMPGEAFLARSATSVSMGYQAPTFGGLHSNGSGDIFGQRTARSLLRSDTF* >Brasy2G068100.2.p pacid=40067537 transcript=Brasy2G068100.2 locus=Brasy2G068100 ID=Brasy2G068100.2.v1.1 annot-version=v1.1 MASVADSPAAVPAGAGTPPQSGSPVAAKRAATAWKRPENGPVPVLMAPAIMDADSWPALPGLVSPQPPTPPKASPKAAPPPSTGAVIPPVSLGALNANSDHEALVDSPPARRASVFSEGDGLEIRAPGPEPSPVYSPNARSNGGGDHHHQNGRFGSHPHGRSSGYGGGNRRGNGGGGSRHGHEYHGGFDGPRRGGGRRDGHGPGHQQRGHQPSYIRAPPPLAVMAAAPPAPPFVGPTAPQTPPYGPPMSFPEMAPHVYYFAVPSSDGLQAIPFVPPPPTPPPAMLVSPFERLQRELLVQIEYYFSDENLCKDIYLRQHMDDQGWVPISLIACFNQVKNFLHRIAQVRTLVNTLQFILDTVRQSLVVEVQGDKIRRRARWEIWLLPRSNYSAGNSSGLLSPVTSNIDSLASQFQSVGLEGSTYHPNMQAMPGEAFLARSATSVSMGYQAPTFGGLHSNGSGDIFGQRTARSLLRSDTF* >Brasy2G029800.1.p pacid=40067538 transcript=Brasy2G029800.1 locus=Brasy2G029800 ID=Brasy2G029800.1.v1.1 annot-version=v1.1 MAEAKGKKGNRGYLTWTPDMDTAMLAVLVEHHNNGDHAQNGWKPHVYNACIRHVKETCQVDITKDNITARCKTFDKHYEVISKILAQSGFGWDWENNKLSIDSEDVWSKYVEANKAAASYKTKVVMNWEQISIIYSKDHATGEGAKTAAECVEEQDTQVLEESPDVPQKRRRTGDAILCMMGDMKSEFQEALKTTDPVTLPKVTPSAEILAALQTIPDLAEGDMLRAYGRLSISERLVESLMELPMTLRKGWLMTLP* >Brasy2G301300.1.p pacid=40067539 transcript=Brasy2G301300.1 locus=Brasy2G301300 ID=Brasy2G301300.1.v1.1 annot-version=v1.1 MDDADSPSPMHIVIFPWLASGHLLPCLELAERLAARGHRVSFVSTPRNLARLPPVRPALAPLVDLVALPLPRLAGLPDGAESTADVPPDKFDLHRKAFDGLSAPFAAFLDADAGKKKKKPDWIVADFVHHWVAAAAQEREVPCAMLIPCAAAVALLAGPPPESISEERHVIVKAMDAAPRFEAEQAMEEFAAEDASGSSGPSVLSRFHMTLKRCKLVALRSCPELEPDAFPLLTRLYGKPAVPLGLLPPPPDGARSRGTDDEAIIRWLNAQPASSVVYVALGSEAPLRAELLRELAHGLELAGTRFLWALRKPVGVQDGDSVLPDGFVERTSGRGLVVARWVPQVSILAHGAVGAFLTHCGWGSVVEGLQFGRPLVMLPIAGDQGPNARLMEERKVGVSVPRDEKDGSFTRDGVAGAVRAVVVEEEEEGRLFAANAKKIQEIVASRECHERCIDGFIQHLRSCK* >Brasy2G089200.1.p pacid=40067540 transcript=Brasy2G089200.1 locus=Brasy2G089200 ID=Brasy2G089200.1.v1.1 annot-version=v1.1 MAASLSRPLRLRLRGSGHRLLPSRPSSTHASKPPTPPAAAPPPPGTGKEASAWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTQRLEMEPVAWDETVSSAALRDPSVLEFRKIVCEGDFDVEKSVFIGPRSRSISGVTENGYYVITPLIPRLTEPGSLQLPILVNRGWVPRGWRDKNIPNHQDLGETSEVKQADKKTDEKSTWWKFWSKEPESSSEVEKPVKSVKPPVRVIGVIRGSEKPSIFVPANEPSNGQWFYVDVPMIARECGLPENTVYIEDVNEDVSATNPYPLPKDVNALIHHSVMPDDHLKYTVTWYTLSAAVTYMASKRIKVKKVRL* >Brasy2G185100.1.p pacid=40067541 transcript=Brasy2G185100.1 locus=Brasy2G185100 ID=Brasy2G185100.1.v1.1 annot-version=v1.1 MGVFLEAPAVAVAVERASTAENTAANACDSSHRPPPVCRRAAASPRAVARCCPPLARAPSCAAALHAVARAAALSSHCCPPRAVARAAALSSRCCPPLARAPSCAAAVLPFARRAPSRAAARRSALCSPARHRALLHAARTGAVARCCGAALRAARPVARAAARRSALCSPARHRALLRLSHGRRRALLWCCPSRGAPRRALLPAALPSACPCAIARCCASRTGAVARCCPPLARVLLLRAVACCSCSRADQAPVGV* >Brasy2G199400.1.p pacid=40067542 transcript=Brasy2G199400.1 locus=Brasy2G199400 ID=Brasy2G199400.1.v1.1 annot-version=v1.1 MMEAGMVMKASLSLCCVGACCLALYLYYIVWVVPQRLLAGFRRQGIGGPRPSFPYGNLADMKEAVAAAKVARRGGGGGGGIVHDYRPAVLPFYEKWRKEHGPVFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGRGILKSNGQAWAHQRKIIAPEFFLDKVKGMVDLMVDSAQTLLKSWEERVDRNGGTVNIKIDDDIRAYSADVISRTCFGSSYIKGKKIFLKLRELQKAVSKPNVLAEMTGLRFFPTKKNRQAWELHRQVHKLILEIVKESGEDKNLLSTILHSASSSKVGLGEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDKVREEVQEVCGGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRMALQELNLGGVNIPKGVNIYIPVSTMHLDPKLWGADVKEFNPERFSDARPQLHSYLPFGAGARTCLGQGFATAELKILISLIISKFTLKLSPQYEHSPTLKLIVEPEFGVDLTLTKMQGACRC* >Brasy2G464500.1.p pacid=40067543 transcript=Brasy2G464500.1 locus=Brasy2G464500 ID=Brasy2G464500.1.v1.1 annot-version=v1.1 MPALIMKRKFDDDIFGNDVDTKSMKSMKISHFEVDELEQAAVLNSFYNDPHDDADPKPPLAGQGTGITEAAGLHAVLGGTSIDLLKDVISEAVVSPDDSMYDIDGSISQLNVVNNVDEGFRDEDVNYSVHDLCAVNDNEGSWGLNQGCSLLDIYNPDDAFPSLLDAPIDLLASYSALCDEFVPFDALIDMTGRCGVFPLNESTAEASIGNETCSSLGNVCHNNSAGECFSNSEVLEWLNPYLDEEDLPNLIDYSELSSDAACVSKEQGTKKVTLVLDLDETLVHSTMEHCSDADFTFPVFFDMKEHVVYVRKRPHLHIFLQKMAEMFDVVIFTASQSVYADQLLDRLDPEKTLFCKRFFRESCVFTESGYTKDLTVVGVDLAKVVIIDNTPQVFQLQVNNGIPIQSWYNDPFDEGLPQLIPFLETLAVADDVRPIISQKFGNIKDNC* >Brasy2G342400.1.p pacid=40067544 transcript=Brasy2G342400.1 locus=Brasy2G342400 ID=Brasy2G342400.1.v1.1 annot-version=v1.1 MASAGVVAAAAVVAAFAAFCATDPLRLGSMVDFPGFEAHVVELPDAAEMPPHADAGERLRGAEIRFRGEVQGPESVAFDPQGRGPYTGVADGRVLFWDGARWVYFAHASPNWTAELCGPKASPLEFLRDEHICGRALGIRFDKRTGDLYIADAYFGLFKVGPEGGLATPLATEAEGVRFNFTNDLDLDAEGNVYFTDSSIYYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSLSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSAYARLTSRRVQLRKFLLSLPIPAKYHYLMQIGGNLHALIIKYSPDGEVLDILEDTKGLVVRGVSEVEEKDGKLWIGSVLMPFIAVFDYAKES* >Brasy2G079200.1.p pacid=40067545 transcript=Brasy2G079200.1 locus=Brasy2G079200 ID=Brasy2G079200.1.v1.1 annot-version=v1.1 MIPRSPSLFQIDEGAADQATAPLPASGELVGLRLIIQPSSAPRQQRHRPPLAVLRRPCTPAASSSKCELDQSGSPGGFVGLEFLKCCLCCRRKIDAAMDVFVYKGEQAFCSAECRCRQIAAEERREIEALVRKRRAAFHSRRAAPGRIGGPSDRHTRMQISSFC* >Brasy2G023700.1.p pacid=40067546 transcript=Brasy2G023700.1 locus=Brasy2G023700 ID=Brasy2G023700.1.v1.1 annot-version=v1.1 MAEPSPPPASNPGLRILLAKDRPPTSSPSTLPAVSSHADRDRIIGVFRNALSRTESPEVFALQAVQEAIKPQKQTVLVLEENQSLENALRRLLQELVSSAVQSGKGIMQYGNSLDSGESNCLITRLLDIMLYLCERGHVEGGMVFQLLEDLTDMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKSNDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYQTLWSLQEHFRNPALTTTNPTKWQKFASNLTVVLNTFEAQPLCDDDGKHNNLEQEEDAAFNIKYLTSSKLMGLELKDASFRRHILVQCLIFFDYLKAPGKSDKEGPSESMKEEIKSCEERVKILLEMIPPKGKEFLQSIEHILEREKNWVWWKRDGCPAFEKQPFEKKPGQAGVRKRKPRWRLGNKELAQLWKWAELNPNALTDPDRVRTPSVTEYWKPLAEDMDVSAGIEEEYHHKNNRVYCWKGLRFSARQDLDGFSRFCEYGIEGVVPTELLPPEVRAKYNSKPGEKAKRPKREDTKGASAQPKEQQVAATPETDGGGSGADQEEGAVPMDSDNVAIEDGQKQSPGEVSGPESGQCEPEDDVDDNVKSEPKDSR* >Brasy2G274300.1.p pacid=40067547 transcript=Brasy2G274300.1 locus=Brasy2G274300 ID=Brasy2G274300.1.v1.1 annot-version=v1.1 MLFTELGKEPPAHLLSRQEQVQVQFLKVLGYPYTILHKHHKQLYKVAHMVLHMQSDGMDGAGTNPMMIVKPMMILVAIQSDVFILMIESGSIW* >Brasy2G243000.1.p pacid=40067548 transcript=Brasy2G243000.1 locus=Brasy2G243000 ID=Brasy2G243000.1.v1.1 annot-version=v1.1 MASPPVRPWGELQHDLLVSIMSRVGSPDLLSGGAPRACSAWWGAARDPLAWRRVDLRDWTALTSARRAAGTGATSRRVSVQDDLAGVLEIAARRADGRMEAVLLPEFADEEHLLFLAERSLKLHYFSFPTTCMTYDQFCKVIGKLQYLRGMAVDESLINYDVLLHVYQCCPNFLELKVFAVYVDEDMASIICDCLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYMDISGYETSVISSSVLHKASRLKVFVWNSKFELGEFKDCSNCGEHSINPQEPCKCTIDHKVMDWLAGPLQIN* >Brasy2G194000.1.p pacid=40067549 transcript=Brasy2G194000.1 locus=Brasy2G194000 ID=Brasy2G194000.1.v1.1 annot-version=v1.1 MQSRHRVFAEDLLLPAELEEGEDHFDSVPDSLVLLIFNKLADARSLGRCSAVSRRFNALVPLVDDACLRIDRVITDGGGAEGGDALGGSPRQQRGGVLSHLLKAVFQAVLKPFGHCDGKHAAGGIGNGKHAPPPHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLKWKAVFGSTLQTCVILGGTKLDRHAHAHAHAPADHHEDDSGNNTNSGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVELTDAHGQGMLCMERAQLKEFTDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGETTGGVGIGGGGRKELDEFVADAFDGPYREAVWALSKRRTYLLEMNGF* >Brasy2G452000.1.p pacid=40067550 transcript=Brasy2G452000.1 locus=Brasy2G452000 ID=Brasy2G452000.1.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRTIDELGLDRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVVWKDVNGLFTCDPNVFATALPLPYLTFDEANALGFFAAQSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKAFGALTRIDVKTQMSSYGSSKVMSIFLVVNDNEAKDCVEALHSEFVEMRFVSEVQGVDSEHNGSLLPVSSSAVARSGHKRKPEDERRTQCTDAELAEVEKLLNYRLPGLSPFIAEAEFELELELESRLCVMELRPLIELIFFK* >Brasy2G452000.5.p pacid=40067551 transcript=Brasy2G452000.5 locus=Brasy2G452000 ID=Brasy2G452000.5.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVVWKDVNGLFTCDPNVFATALPLPYLTFDEANALGFFAAQSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKAFGALTRIDVKTQMSSYGSSKVMSIFLVVNDNEAKDCVEALHSEFVEMRFVSEVQGVDSEHNGSLLPVSSSAVARSGHKRKPEDERRTQCTDAELAEVEKLLNYRLPGLSPFIAEAEFELELELESRLCVMELRPLIELIFFK* >Brasy2G452000.2.p pacid=40067552 transcript=Brasy2G452000.2 locus=Brasy2G452000 ID=Brasy2G452000.2.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRTIDELGLDRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKAFGALTRIDVKTQMSSYGSSKVMSIFLVVNDNEAKDCVEALHSEFVEMRFVSEVQGVDSEHNGSLLPVSSSAVARSGHKRKPEDERRTQCTDAELAEVEKLLNYRLPGLSPFIAEAEFELELELESRLCVMELRPLIELIFFK* >Brasy2G452000.6.p pacid=40067553 transcript=Brasy2G452000.6 locus=Brasy2G452000 ID=Brasy2G452000.6.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRTIDELGLDRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVVWKDVNGLFTCDPNVFATALPLPYLTFDEANALGFFAAQSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKAFGALTRIDVKTQMSSYGSSKGARC* >Brasy2G452000.3.p pacid=40067554 transcript=Brasy2G452000.3 locus=Brasy2G452000 ID=Brasy2G452000.3.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRTIDELGLDRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVVWKDVNGLFTCDPNVFATALPLPYLTFDEANALGFFAAQSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKN* >Brasy2G452000.4.p pacid=40067555 transcript=Brasy2G452000.4 locus=Brasy2G452000 ID=Brasy2G452000.4.v1.1 annot-version=v1.1 MVHFYKNAQLLPQLLREHHTFNEMSAPTSEPLQSLTPQLDFSVLAGLLRRRAAGEPFPESYSFIHEVDAYSADPADLVRGRAHAPGTDRGGGKGGDWYFCCPAKFQRTLAGRRTKRRSRTVGAEEGCWHSELKQIIEDREKKSAGAYATSFSYKMKVPDPLGKAKFKHQATGWCMTEIELEENAELVLCRVYRSPRPSSAAAAGAAPPLIDQMPLGTARVADGSGGMSEAGDGQRGRAEAAEEPSPYIRPAASELGKGWVIRCRSGAAAADTVMKFGGSSIASADQMKQAANIVLSFPEERPPPVAVLSAMGNTTKNLHLAAEKALRWGTQNTWEINELEVIKDLHLRTIDELGLDRLIISGSLDELKRLLKHVVLDHKLTPKTRDLIVSYGEVMSTIIFSAYLNKLGKGAQQEWASSAISTVGSGGSNLTAITIARELQSREIVDLVSMQLAMESGIPVIVKSLYNPQAPGTMLTKTRYMNKSELTRIMLTSNLTMLDIKSTVMFDQSGFVAKVFSIFTKFGISVDHAAISEGEILMILVPSKLLSHELIQMRLDIVLEELQQIATVHLLSHRSVISLIGTGAMSPSILGKN* >Brasy2G409300.1.p pacid=40067556 transcript=Brasy2G409300.1 locus=Brasy2G409300 ID=Brasy2G409300.1.v1.1 annot-version=v1.1 MAAFASTSPSPTISASAWRMDSLRAALPSLRPAPSAAAAIGGGLRSRRAARLGASASPLLRSSFVSTSFASSSVSPASLSSAASASLAFSCTSSFNVDSSFEHQLFGIDVRGRILAMRHGKRIPRLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVDKMITLAKDGSLHKRRQALAYIYEKHIVHALFAEVSDRYGEREGGYTRIIPTFPRRGDNAPMAYIELV* >Brasy2G069000.1.p pacid=40067557 transcript=Brasy2G069000.1 locus=Brasy2G069000 ID=Brasy2G069000.1.v1.1 annot-version=v1.1 MESPEPSSSAPVLLNIGGKKYTTTAETLTQREPDSMLAAMFSGRHTLPRHPTTGAVFVDRDGKHFRHILNWLRDGDIPVLSESEYQQLLREAEYYQLLSLADHITEKLSWKKGDGTLETELTRKDVIKCIQAQKIRFRGVNLSGLDLSKLDLSEVDFSYACIEKTNFSSANLHKAKFKLVEAACSSFEHANLHECELTGANLKEAVLDRANVQSANLQDACLTGCSFIETDLRSAHLQSANLMGADLNGANLEGANLKGAKLTGTNLQGANLQRAYLREVDLRETDLTGAKLGGANLLGTIR* >Brasy2G355300.1.p pacid=40067558 transcript=Brasy2G355300.1 locus=Brasy2G355300 ID=Brasy2G355300.1.v1.1 annot-version=v1.1 MAAPSPTTAAAATTHHRLLLPTSHRALANSSSLRLPVRARTIPGARIRVSAATAPPAAASTASPPSSASGAVEGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASLKAGKWARNKYVGVSLVGKTLTILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDAGIVAQAALDVFTKEPPAADDKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVTGALRGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGVIEPISDVFVNLVNADFTAKQRGIRISEERILMDGLPETPLDHIQVQIANVESKFPSAIAETGEITVEGKVKDGVPHLTKVGAFEVDVSMEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKRAVMAIGVDEEPSKATLTKIGEIPAIEEFVFLKL* >Brasy2G142200.1.p pacid=40067559 transcript=Brasy2G142200.1 locus=Brasy2G142200 ID=Brasy2G142200.1.v1.1 annot-version=v1.1 MARGGGGCLPAMDLMRSEPMQLLQVIIPTESAHLAVSYLGDLGLIQFKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGIQISPLQLTETPLDFDDMEIKLGELEAELTEVNANDEKLQRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMETSQSGDISLESPLLEQDMFTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNILLRQESVDEPVTDPQSGEKVSKNTFVIFYSGERAKAKILKICDAFRANRYPFPEDLGKQMHTVQEVSGKISELKATIDMGLAHRDSILKTIALEYEHWNHLAKKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATSQVQDALQRATLESKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVFTVITFPFLFAVMFGDWGHGICILLATLYLIIQEKKFASQKLGDIMEMMFGGRYIIMMMALFSIYTGLIYNEFFSVPFELFAKSAYACRDPSCGDATTEGLVKVRPTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIMMSYFNAKFFRNSVNVWYQFVPQLIFLNSLFGYLSMLIIIKWCTGSKADLYHVMIYMFLSPTDELGENELFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGHQYAMLEGADESVVAELGEHHEESNHHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLLLTLGYNNLFILAIGVFVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALITEEEE* >Brasy2G431900.1.p pacid=40067560 transcript=Brasy2G431900.1 locus=Brasy2G431900 ID=Brasy2G431900.1.v1.1 annot-version=v1.1 MSSKEEAKDVAHGGVNGGDLDDATGGANGELAAAMEQLAAEGVRALHARAEAEWGPVLRSACQTAAARALWAAAVRDPAAGVLAGERCLRGLHDKMMRDERAGAREVSGVMVAVRTLWFDARLQAAVASLGAAPLQVVLLGAELKKKLLGQDVQHFAVDEARMDARAYRLSCLKECAVFELDFPELLEMKSDILHEAMSSTHHQKLTMMAKSLTRVPADIRDADWMTKLQSCGYIPERNTIWVLEGILYYLQHADAMQVLETIAGCRTSACTVLLADFMNKNATALSQTMYHFYHDSPDLLLPSIGFSQALLSQIGDPEAHFGLLNHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASASPDDHTTL* >Brasy2G068300.1.p pacid=40067561 transcript=Brasy2G068300.1 locus=Brasy2G068300 ID=Brasy2G068300.1.v1.1 annot-version=v1.1 MERLISRTATPAQPRIHLPARSPFLPARRATGSRSAAPSTGVRAQPPRLRGPVAAAATTSPLPRTPVDERTEVAAAAVGPPWKLLLGSLLPKASTAALFLFMTLITSTLHSSLPHPAYASVQPIIKSGGFLSTELLSSGWAGFFAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQIIFGLMFLLLKDRLHIEILRIWGTRVVGLTLLMIGATGIREASEVQESGLVLEGVGEPLQQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAYLGMFLVGTVFSMGSYTAFVGSCSEALKDRVPKITEKLTWAASLVAVCMGLALLVGQFFGFTLY* >Brasy2G068300.2.p pacid=40067562 transcript=Brasy2G068300.2 locus=Brasy2G068300 ID=Brasy2G068300.2.v1.1 annot-version=v1.1 MERLISRTATPAQPRIHLPARSPFLPARRATGSRSAAPSTGVRAQPPRLRGPVAAAATTSPLPRTPVDERTEVAAAAVGPPWKLLLGSLLPKASTAALFLFMTLITRCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQIIFGLMFLLLKDRLHIEILRIWGTRVVGLTLLMIGATGIREASEVQESGLVLEGVGEPLQQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAYLGMFLVGTVFSMGSYTAFVGSCSEALKDRVPKITEKLTWAASLVAVCMGLALLVGQFFGFTLY* >Brasy2G070500.1.p pacid=40067563 transcript=Brasy2G070500.1 locus=Brasy2G070500 ID=Brasy2G070500.1.v1.1 annot-version=v1.1 MSQGQPQPRRPSAGGDQQQHQDQDQASGAIRYGDVFPGAVSGGLAGQPVAPQDAATMQSAENLVFGATLKGGPAAAMQSAASRNERTGAVSHDDQAATVQEQGVSVSETRVPGARVVTEFVAGQAVGQYLAPDADADADTAPSKITIGEALEAASFAAGTRPVERSDAAAIQAAEVRATGLDVNVPGGLAAQAQKAADANERAARDQDKTMLGDVLSNATEKLLADKAVESEDAARVAFAEARNKEDATARPGGVAASMAAAARINRDSA* >Brasy2G342700.1.p pacid=40067564 transcript=Brasy2G342700.1 locus=Brasy2G342700 ID=Brasy2G342700.1.v1.1 annot-version=v1.1 MASRIFIFLQYSLLFLTLLQSTHSAITLKPKNTIEHETQTPSTSNTYIIQTNHLAKPSRFPTLDHWYASMVATNSTGRILHTYDKVMHGFAVRLTDAEARRMSRIPGVFGVHRDRVYHTQTTRSPGFIGLHADFGAWPDSEFGDNVIIGVVDSGISPESSSFNDSGLGPVRPGWKGKCVDGEGFAASSCNRKLVGAKVFINEEDGIFTPRDKLGHGTHVASTAAGSKVHGANMLGFSHGSASGVARMARIAMYKACSSSGDCTESAIVAAIDAAVSDGVDIISLSLGKLQDPAFYDDMVAVATFGATRRGVFVVFAGGNVGPTASTVANVAPWMTTVGAATTDRVFPARLTLGNGVVLTGQSLYDMEAQGMNMTQLVYNSCLEKNLTPDKVMGKIVVCTGMEGASTGFYVQRAGGAGVVSVDSDALFSDGVMAVAYSLPGLTFSSTGRTKLDAYMASAVPYPVASFSFSSHTVTGETRAPMVAGFSSRGPNRLAPELLKPDIVAPGMNILAAWPGDVPPTNEAIDPRRVEYNIISGTSMACPHVAGVAALIRKRHPDWTPAMVRSAMMTTAATLDRNGRGIVDNGSGNDTTPLEAGAGLVLPRLAMDPGLVYDAGANDYVAFLCSLNYTVEQVRQFEPDLASCPRTPPAGVAGLNYPSMVVVFDGHTEARALTRTLTKVSLRPETYHVTVAAPAGIKVVVTPATLEFKEPKEKKTYTVEFRRQEGGSVKPAGSWDFGYISWENRKHRVRSPVAFTDARVRR* >Brasy2G113700.1.p pacid=40067565 transcript=Brasy2G113700.1 locus=Brasy2G113700 ID=Brasy2G113700.1.v1.1 annot-version=v1.1 MNFLASLCRYTAARRPNVLCSMRGFFFRDIAPAVCRPPGLAPVAEHLLHYYTTPLCLPAFRPIQRLYFQHVNCAICHPPALRQVLPPTRQYCTPQHRPVTHFTHSYSRWYYDPRKVLLAMVPLIGIGGAMIANYGSLETVPYTNRSHFVFRSPLEEREHAESRIAYLKEKYAPAILDQHHPHTVRVNRIASKLIHAVHRDLATKSHDTVTLHSDNVGSAMDVFSRMLWKERRKQPQTRHLNGLNWEVIVMKDNHVDMWSLPAGKIIVPTGLLKFYKTDAELATVIAHEIGHMVARHWAEKIIYDKWLPRPLKLPFCRRAETEADLIGMMLLGSAGFDPRVASLTIEKLGDSDRGFLCTHPSNKKRSQLLSETKIMEEALKLYGEVNPHQNTERSLKVKF* >Brasy2G346000.1.p pacid=40067566 transcript=Brasy2G346000.1 locus=Brasy2G346000 ID=Brasy2G346000.1.v1.1 annot-version=v1.1 MASDAARLLFLLAVAAALAGRSDGGWCVCRQDLPDAPLQKTLDYACGSGADCKPTLQNGACFSPDTVKAHCSYAVNSFYQRSGQNPQACVFSGTAFLTNNDPGTSSPGCAYPSTQSTPGVDGPPIAQGPNSFNDTSGAGILPVTGTVTPVVVLACCLLVALCFRL* >Brasy2G322400.1.p pacid=40067567 transcript=Brasy2G322400.1 locus=Brasy2G322400 ID=Brasy2G322400.1.v1.1 annot-version=v1.1 MEFSALLTSAGINIAFCALFLSLYSILRKQPHNYSVYFGRRLAEEKFRQQVDYFSFERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITCLVCLFGVLPVNYHGQEMNHTYIPEESLNVFTIANMKEGSAMLWVHCVALYVITISACVLLFHEYKYIARKRLAHITGSPPNPGHFSVLVRSIPKSGNELLDDTIRNFFVNYHGSSYLSHQMIYRKGNLQQFVDNAERAYRKFVKVKLSVFDQNVRSNLNRCGLCGVRASSFQLYRNKFVDAKKSDLSDPEVVEAQKDCPGAIVFFKTRYAAIVASQVLQSSNPMLWVTNLAPEPRDVYWSNLWVPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQLKEMFPNLKGALKMSFCVRVVTGYLPSVVLLLSLYTVPPLMMRFSSFEGSISRSGRKTSACTKILFFNIWNVFFVNVLSGSVLNQLNVLTRPKDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCVFCHQDNPEYAYSFPYQTEVPKVLLFNLLGFAFAIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPVMHNTMVFSLVLMQVIALGVFTIKKSPVATGFTILLLIGTILYSEYCRQRFSRIFNSYSAQDLIELDRDDEQSGRMQDIHQHLLDAYCQTPPGTDGDNNGDVPIEMIMEDPAQEVSDSSQELCDTVQEVSDSIQEHIDDK* >Brasy2G203700.1.p pacid=40067568 transcript=Brasy2G203700.1 locus=Brasy2G203700 ID=Brasy2G203700.1.v1.1 annot-version=v1.1 MHPLVLLVLVHLVFLAEAKGAGRGAGSVVALNDDVLGLIVFKADVVDPEGRLATWSEDDERACAWAGVTCDPRTSRVSGLSLDGFGLSGKLGRGLLRLESLQSLSLSRNNFSGDLPADLARLPGLQSLDLSSNAFSGAVPDGFFAKCHSLRDVSLANNAFSGGIPDVGGCATLASLNMSSNRLEGTLPGGIWSLNALRTLDLSGNAITGDLPVGIGKMFNLRALNLRSNRLTGGLPDDIGDCPLLSSVNLRSNSLSGNLPESLRRLSTCTDLDLSSNELTGTVPTWIGEMASLEMLDLSGNKFSGEIPESIGGLMSLRELRLSGNGFTGGLPESIGRCRNLVHVDLSWNSLTGSLPAWIFSSGVQWVSVSDNTLSGEVLVPVNASSVIQGVDLSSNAFSGPIPSEISQLLTLQSLNMSWNSLSGSVPASIMDMKSLELLDLSANRLSGRIPATIGGKSLKVLRLGKNSLAGEIPVQIGDCSALASLDLSHNGLTGAIPATIANLTNLQTADLSRNKLTGGLPKQLSNLVHLIRFNVSHNQLSGDLPPGSFFDTIPFSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSNPLTQTEPVLEGLRHKKTILSISALVAIGAAVLIAVGIITITVLNLRVRAPASHSAPVLELSDGYLSQSPTTDVNAGKLVMFGGGNSEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHYNLVALKGYYWTPSLQLLIYEFVSGGNLHKLLHESSTVNCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNIMLNGSREAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFTCRTVKITDKCDVYGFGVLVLEVMTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPPDSPETELG* >Brasy2G390200.1.p pacid=40067569 transcript=Brasy2G390200.1 locus=Brasy2G390200 ID=Brasy2G390200.1.v1.1 annot-version=v1.1 MNLKNSASGDYGVRLNQPAMMVQTRCRWFIGDVTEVLDQNTWKLGKIAKMLKNNYFVIRLADCIQLKEFHITSLRVPLQDDPPTAAPYGKQFPASDKAVRRGKQVPAADLLGRSPGNKKRKSAAALDSPQPVQRRRFISHAHKTAAMADHHPLQQRYPQARNEAEAECSVASCSADNGAAAAAELRFGHGCCSVGRGDAMSAAAAGGGPCASSGSTEDDGVLSDGESGGSGGGGVDVHELELEAYRSTVRALHASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSS* >Brasy2G296200.1.p pacid=40067570 transcript=Brasy2G296200.1 locus=Brasy2G296200 ID=Brasy2G296200.1.v1.1 annot-version=v1.1 MENKMNSFTYKGSIPDAISQSRREKKLFVVYLSGEDETSCSLELSTLVDEHVAEVIGRYCIFLHLKQGNVDALQFSAIYPQKSIPSVSIIGLNGVILWNHEGYIMPADLKENIEKAWAALHVQETEATLLTASRMAEPVNTDSTTVPAQDGSSTSEYHSNSSSKSIGYLAVSEFDNSTDSVAVAQTPNNTGDAVLLKISEQESSESDSAPGDISVEEKLDSACKAALPDCSGSSNVDTCKNPIQRDTTLSPKTKNKVNGSGTTTPSEPIPSITNSRSISSKSPVEQDKATTSTPTELTTNSAKNDDIQLVIRTSNGPSLQIKLTKGDVLRKVKNFVDENQGSGVGSYNLAMLYPRKLFTEQDMENTLYELGIETRQALVVVPNHQSVKVPRHQSSSPSSDFDRHKDSDNSRGSGYWGILGSALSYINPLSYLRGNPTSSNPEQLGNEGSQQYRRSSGPWNHLGTEAASEPRPLPINGSQETASHSSGNSLRRRPRQFGANIHTLSSEEQGPSDDRNVFWNGNSTEFGSEDKK* >Brasy2G427500.1.p pacid=40067571 transcript=Brasy2G427500.1 locus=Brasy2G427500 ID=Brasy2G427500.1.v1.1 annot-version=v1.1 MRDLEEFRGAGAAAKRNSGEGGATSPASWWAGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRCTGIVHRS* >Brasy2G307900.1.p pacid=40067572 transcript=Brasy2G307900.1 locus=Brasy2G307900 ID=Brasy2G307900.1.v1.1 annot-version=v1.1 MADWSGLHEDFLLLLVPRLPSLDLLRFRAVCASWRAAAATFASGRGRPRPDRPWLVLPTDAPDPDGSRLLICCDREVPVVTLPAQLGRANRRGFVPLGASRGVIVAADDVGEMHLLYLATGKRMPLPAVATLPLVDRVEMTPAGLSVHQQRAGVCCIDGLIHKAVPVPTPDGGILVVAIYRQPHHRNQWATARPGDSSWKSVKPTSIPAVVDLALHRGQLYANTRYGMVYVFPELRGLGSASPEIIPSVTRRPTSYVERSFLVETPGGGELMQVELLRPVAAAGGEGFVVRLLDECGETWEEAEDIGDVAVLVDASGAVSASTRQCPGLRPNTVYYAVDLDGETRVWAYSLAGKHKKIEVVESLPRAEGYKPPCFWFASVYSR* >Brasy2G365100.1.p pacid=40067573 transcript=Brasy2G365100.1 locus=Brasy2G365100 ID=Brasy2G365100.1.v1.1 annot-version=v1.1 MEQHKASVLLCLVVLICCARRGAEAMRVLHDSDAEFAFGSRAAASAAAAAAGTDSFASPRDASFDDYENEISRVEFEPEHGIPASSSSSYAASAPTNVVAAAGPAPGPTAGSDGAAAGRARGSMKWWLPPSTMPTFPLFPNPGGGGIPGLPAMPMPGGGGGILGLPGMPLPGAGGMPMPMPMPLPGGMFKPIGWGAGAAPSPPGQAQPTPPAASASDSSSSSSGSTANVDNPNPSDNN* >Brasy2G009500.1.p pacid=40067574 transcript=Brasy2G009500.1 locus=Brasy2G009500 ID=Brasy2G009500.1.v1.1 annot-version=v1.1 MAPPTLPLPLRRPSDARRRGRFITLTLVLLLLLVAAVAYLSFPKAARGPRTTAEPDRCVGIQGVELWGPAVNWGSHHRLPSAAACCASCRATSACDSWVFCGDKRRCGNRFGECWLKKQKDVMAPSVIARGEDVMWTSGLIFRKSQGIVGLETNLGTLHIQLLPDCAPRSVDYFIELLGLHNCAGCRFYRAEGRGHLWDAKGEHVKNAAFGPPYALLQGTMEVDGVAFKEIAKEGCLAVRRGSVAWVGSGPEFMISLANHEEWRDAYTVFGSVVPEDMGIAEEMAMLPTSTDIWSNVTVRVLTDPVYFKVKRRSNAGAL* >Brasy2G118800.1.p pacid=40067575 transcript=Brasy2G118800.1 locus=Brasy2G118800 ID=Brasy2G118800.1.v1.1 annot-version=v1.1 MAVTSISLSLAFSPLFRPTPQPQFHPRHHDPKFPKPLRLSLTPALSCTAPLAAVPDGVAIDDIVERDWSFLNASGSQLPRSLAAGALSPASRVLAVTPTPSFVSALLSESPCELLVAAHESLYVLGGIKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFDAFDAVFVCYFPGMGVSAAALLKSLVKRCSKGARVIIFLDQGRQNLEEHRREHPDVASSDLPSRSSLEKAAAGSKYEIVEFVDESRLYLAVLQFQG* >Brasy2G199000.1.p pacid=40067576 transcript=Brasy2G199000.1 locus=Brasy2G199000 ID=Brasy2G199000.1.v1.1 annot-version=v1.1 MTEAEAQALAAAAAAAEARTLKRAITLISISFSIGVVLMLCIFLVPGMPLEIRINCCGTVLVCFFGVGDVTWRYRLLLSRVIRRRYYRWSQKTEPGPSPDHSVITRDL* >Brasy2G146400.1.p pacid=40067577 transcript=Brasy2G146400.1 locus=Brasy2G146400 ID=Brasy2G146400.1.v1.1 annot-version=v1.1 MRRRRMGALHVGEPRVVSFQQVSKNNNNGQQGHHGAVVEEIHGLIRVYKDGHVERLPAIPDVPCTWGSTAVQGPGGVIARDVVVDRATGVWARLYAPAGSGNKVPVVVYFHGGGFCVGSAAWSCYHEFLAQLPLKSGCAVMSVDYRLAPEHRLPAAFDDGLAAVRWLRQQAASCRNSDDLSWWRGRCRFDSVFLMGDSAGAAIAFHVAARLGQGQLGASLAPLCVRGAILVQPFFGGEARTASEKTMAQPPRSALSLSTSDSYWRMALPAGAGRDHPWCNPLSSSSRGALRLDTLPISPVLVCIAEADILRDRNLELCKALLKAGKSVEQAMYGGVGHAFQVLHNYHLSQPRTQEMLAHIKAFVSARSS* >Brasy2G352200.1.p pacid=40067578 transcript=Brasy2G352200.1 locus=Brasy2G352200 ID=Brasy2G352200.1.v1.1 annot-version=v1.1 MSSMAMEALQAVIHKEEEAAVAPASSSEESGAHGGVLQGWAKRKRSRPRQRSEEENLALCLLMLSRGGQHRVQAPQPSSSSPVTLTAAEFKCSVCGRSFGSYQALGGHKTSHRVKQPSPPPPPAAPVLVAPPAPVILAPPAEPATSSTVASSDAAAPATSNRVHRCSICHKEFPTGQALGGHKRKHYDGVIGSAAAGAASSTELLATAESEVGSTGTGTAARAFDLNIPAVPEFVWRPCLKGSSGKMVWEDDEEVQSPLAFKKPRLLTA* >Brasy2G431500.1.p pacid=40067579 transcript=Brasy2G431500.1 locus=Brasy2G431500 ID=Brasy2G431500.1.v1.1 annot-version=v1.1 MTVGMINANPVVHERPERAPHPHAAALDALDVFDTVRDIKDPEHPYSLEQLSVLSQESVSVDEKLGHIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSDHSSSY* >Brasy2G431500.2.p pacid=40067580 transcript=Brasy2G431500.2 locus=Brasy2G431500 ID=Brasy2G431500.2.v1.1 annot-version=v1.1 MTVGMINANPVVHERPERAPHPHAAALDALDVFDTVRDIKDPEHPYSLEQLSVLSQESVSVDEKLGHIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKDLLLMKNQ* >Brasy2G373100.1.p pacid=40067581 transcript=Brasy2G373100.1 locus=Brasy2G373100 ID=Brasy2G373100.1.v1.1 annot-version=v1.1 MRCKRHPFQAGGGVCATCLRERLFVLAAAQNDASSPTTPTPPPPAASAAAAAGPPAFPRSVSPYVSRRKSDSSGGALRNHPSLLFFRTPQVGPASAYGGGGGAALEEGDIGYEYEKRRAGKFSVLATLFGNHHHRSEVDKEKGGSGNKERKSRSWFAGIIPRRRKKQAPAAADATASPQSAPPPRRSCRVVSNRGLSPERGSNGSGEESSSPADPPWRPSPSPMRKTPCRRRQTNSMPSGLAVCLSPLVRPSPGRRHRAAQPPDPGTFSCELRPSPLHSVSSAANVARCRSRKLADAGRFR* >Brasy2G465400.1.p pacid=40067582 transcript=Brasy2G465400.1 locus=Brasy2G465400 ID=Brasy2G465400.1.v1.1 annot-version=v1.1 MGTGPGAVALHHLLLLLLSVVLLHALLATPAEDLVRVALKRHPVDEHGLAAGEEEAQRLLLRRYGHVFNDASGAGASSKPATAEKGGRVALKNYLNAKYYGEVGIGTPPQNFTVIFDTGSANLWVPSSNCYFSIACYFHLRYNAGQSNTYKKNGSHNNCHWHIFLGFLELPSLLITVSLVTNKLLFQHLVSSFAVRKHVEIHYGTGAISGYLSLDSVQVGGVVVKKQDFIEATGEPSITFMFGKFGGILGLGFKEISKGDVVPVYQGLVGDPIFSFWFNRHAGEGQGGEIVFGGIDPSHHKGNHTYVPVTKKGYWQFDMSDVLIGGNSTGFCKDGCAAMADSGTSLLAGPTAIVTQINEKIGVTGVVSQECKAVVSQYGKQILDLLLKQEKICSSVGLCTFDGAHGVSAGIRSVVDDKVGRSNDIFSKATCNMCEMAVVWMQHQLAQNQTQEFVLQYINQLCDSFPSPMGESFVDCNRLASMPDIAFSIGGKQFVLTPEQYILKVGEGVATQCIS* >Brasy2G163600.1.p pacid=40067583 transcript=Brasy2G163600.1 locus=Brasy2G163600 ID=Brasy2G163600.1.v1.1 annot-version=v1.1 MSAPPSPVASADQSPPEFGGIANPANMCYYVRFQEDLDHIANEQEEVITTDSENMQEKVNIFPRLVPCQHGNDIYACNFMYYRCAIDDFSVIERIKSEPSVKKKLVSIGDTSLTKEDLIRLLNPREYAGDEVVNAYIYCISGEEALQVRSGGSVFFETSLIVERVKKYLEHDMLVAVEKLFRIASQQTELNSDKWKDLNVTTWSREECVKSTMQTDGSSCGLWMLNFMEYWTGDILSDIPNQDNITQFRTKLAVILVDSELNDDNVRNQDDFELDERNTDPDDCVILDSPAKRAKTSDPSSQFDLLSELFPSPIINPTKDDLIELLCLQLEMIDPRLFEIEWFNSKTNKEHIVHGLYGRRLLQCGCACTSEPPIKLL* >Brasy2G474300.1.p pacid=40067584 transcript=Brasy2G474300.1 locus=Brasy2G474300 ID=Brasy2G474300.1.v1.1 annot-version=v1.1 MGGDHGGGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGIFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY* >Brasy2G116500.1.p pacid=40067585 transcript=Brasy2G116500.1 locus=Brasy2G116500 ID=Brasy2G116500.1.v1.1 annot-version=v1.1 MKFNIANPTTGCQKKLEIDDDQKLRHLYDKRISQEVLGDHLGDEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIKKLFNLGKDDDVRNYVNTYRRTFINKKGKKTSKAPKIQRLVTPMTLQRKRARIADKKKRIAKKQSEAAEYQKLLAQRLKEQRDRRSESMAKRRSKLSAAAKAPAVSA* >Brasy2G098600.1.p pacid=40067586 transcript=Brasy2G098600.1 locus=Brasy2G098600 ID=Brasy2G098600.1.v1.1 annot-version=v1.1 MSKDLERRNSVGSTTPTSLASPSDLSRKDEKTIPRYLRASTGSCHDLCKFGHKNPSEEKLKLSGGRRKKLPAHLNNFALHGSVTLDTPKDVRNRNLSLAKSSISLGEADRIAHKIKSVNLRSAASSEHFVARTTSADHKNTNSDGSKKHLMVAPRTSANPRYPDGGPSFDRKERMPVKGSKLAEKALQEKARTVAKASTVKQSSVKRPASFPTKLNVIKQMPVSSQSSSNLISSKDKNTLKGKLTSPQTFTVKGTSNSGKTGRSPMRSSNANTNGKEGSDAWRTPSSVESEFTASVKIQEDDVQDSCITGDTVESELFPDATEHVEKSQTVPEETSRSDSEDGLDVSLTSSSIESELTASVETQEDDVFGSCITGYRVESALAEMSSDDTEYVDKPGLAPEERSTSVSEVDELESNENSEKSEALAAELLEKSIIALGLQRSFDDQELETALSKSYLGHMQPEQSSNSRASTDADIQTEGTYIYQLSEQLTSLQNTDVYDSVLTEGSSTTKADGLKVNASVESVTESREDVAAHEYLQGSPELQALHEKHAEDPESCLDFTLGNVAQNVKAAEVFEVGNINSAHHSSSVSETSSDGELLEEQNSVLIEPSDSNVQSNELASFNNDGTTEQDDLKPRIVFQQMELSEPGELSDDEFYEEYDFEPSESDESDAGDEGSTNNPNVDESSKASGQMPRRISTLDLDDASATPYKLKFKRGKIVELKPDSNGPRRLKFRRKAANEVVNGEGQPATRVYKRNTTNSFVRTEPDLESPGVKLRHQGTQDKKGAQGLFNNVIEETASKLVGSRKSKVKALVGAFETVILLQDGNPTPSTPQAGNSAYSVHGNEEKAPEEAA* >Brasy2G310700.1.p pacid=40067587 transcript=Brasy2G310700.1 locus=Brasy2G310700 ID=Brasy2G310700.1.v1.1 annot-version=v1.1 MEMLGLLRCAGELSAIASAPARRAGNVTPRKSPKPPRVLTPLRRSGRLIAATAPTGRRCSARLNGQSVEHKALPYKGKYAEEEEDEKKKAVVIDKERVRVLQERRCDSKGRGAVYDSLLGICCHFCRQKKLCGEEDCKRCGEGDFDQPCIGKTECSSCHSSNGILCRACLKVRYGEEMEEVRKNKNWMCPHCIEEKGIKKFWICNSSICLKKRKIAPTGIAIFDAREQGYESVAHLLMDQLKRSGAF* >Brasy2G322900.1.p pacid=40067588 transcript=Brasy2G322900.1 locus=Brasy2G322900 ID=Brasy2G322900.1.v1.1 annot-version=v1.1 MARSFSVDDTDLEDAEASKDRDRPSHFLRLPNIQNHSHFLRLPNIQNQSLLSGLSYCIASCSMILVNKFVLSGYGFNAGIFLMLYQNIVSVTIVSTLSLSGVIRTEPLTWRLIKVWLPVNIIFVGMLTTSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDSQVWISLMLMIISAIAGGITDLSFHAVGYTWQILNCFVTASYSLTLRHVMDSAKEATRSGNLNELSMVLLNNVLSLPLGIILVLGFNEVEYLLETPLLRMPMFWLVITASGVLGLAISFTSMWFLRQTSATTYSLVGSLNKIPLSIAGILLFKVHTSMENSISILFGLLAGVFFARAKLRSNSQS* >Brasy2G496900.1.p pacid=40067589 transcript=Brasy2G496900.1 locus=Brasy2G496900 ID=Brasy2G496900.1.v1.1 annot-version=v1.1 MGFSIVSLMNCLSRRAFASAGLSPHTVALPCNPNEASRCGGAQTIIHYWAPAGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRRFHVIVPDLPCFGGSSPCPASPPPSESAQADALAALLDALPGMPVAARVAVVGTSYGGFVAYALTRKAGPERVGPVVISDSDLLKTAEDDRALLECAGGGFASVADLLMPLDARTARRLMELSFYRKQLTAFLPDFVIRNAVQELFTDKREEMIGVMKATTVGTDEFHLTPLEQDVLLVWGDHDQIFPLEKAFAVKRCLGENVRLEILEQTGHVPQMEDPNRFNKVVLDFLLCHSKVTTLA* >Brasy2G269100.1.p pacid=40067590 transcript=Brasy2G269100.1 locus=Brasy2G269100 ID=Brasy2G269100.1.v1.1 annot-version=v1.1 MARSKAAEENAQEVAAIKLDLEQVGTKTDALGQRLDGVQQQVAAMTEQLGRMEALLRNEKAPPPPLEGDAQATPGWHTEIPHWRRRETEVTYSPPPNPNPNPHMEQYQEYQEHVHGSNEYQQPYYETQTHSHPFPHDQIPHSLPHQNQFQFPQPNPYPEAQFHYFPPPNTNFPPPDPNFPYQNHQFHPFQQVYHPPPMPNQPQHHHNIFHPPPNQPHQFHNPHGEHHWRPPPPGYNDQNWNLHRGPRYREDRTQGNDRDAQFYKAIAKAPKMDFSRFDGTNPMEWLRCTEKYFSMVYVPETAKLDYAQIYITGRADVWLRNSGILEANLTWDQFCRIVVKRFSGNTSYEVLENFNSIKQGNLTVNEYTDKFEEKMTFYKEENPTVAEGYYIKCYINGLRGEIKHFLKPSKPDTLYDAVETARDVEAGTTAIVQSSRKLGNTYQKGASSSSYPQKTKYQQDSPATKPDQEGKVPNKPEVKYKEPGLCRYCGAKYFFGHRCPQYRAVHLMTADEDEEQDNEMLQESGDHTQVEPAPTTPTEEEQLMQISLQAVKGQSTIATFVLRTVIGGKVGLALVDSGSTHTFIDIKLPPKQVAAL* >Brasy2G435000.1.p pacid=40067591 transcript=Brasy2G435000.1 locus=Brasy2G435000 ID=Brasy2G435000.1.v1.1 annot-version=v1.1 MARKGSQSKSGPNQASPNRQNTANSDIINTPERDAVHGEDPSSQSNSSGGNGGQKTRSNKKNNRSDGTSSLGKSDDRASCKQQSVDISYDVKNSEETELPSSSTKTRRDSKKPSRRGCGKNSLVEQTSLRIWKEHLMEKTRCIACVAASFFRASMMYVMEESKVFIERNRPAITAFMAIAEKGHTYVLRKIEYVYPIVRTWMFIAGKMMLLLLTVWLDCNIRGFDSLLRLGTNSLLAVLWCSMLSIFAMIGIKKMLMFMVIAASVVAFVGLGFAFLIVALLVVVILWLYGSFWTTSAVIVLGGASFFLKHERFALLVTCLYSMYCARSYIGWLGLLLSLNLSFISSDVLVHFLRNNLDSDKFNDSSRKSERSTGRSGNFFGEFQQSSKGSTSQSGYAQSSDRGPGDPSTSGAHKELTSEDEVARLLSCTDHYSAFGLRPYENIDASLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGRPGAFQQGFSPSEGVDEGPSGLSRRIACKKCGDFHLWVYTGRAKLQARWCQDCKEFHQAKDGDGWVEQSFQPVLFGILHTPDSPHAYVCAESNIFDVTEWFSCQGMKCPANTHKPSFHVNASLAKQGGGKGGTSGPRGGGVPNVPNMDAGIDEEFFEWLQNAVQSGMFETGAQGEPPSPGSGSNAKGSSGGSSSNKKKRKGKKQW* >Brasy2G226700.1.p pacid=40067592 transcript=Brasy2G226700.1 locus=Brasy2G226700 ID=Brasy2G226700.1.v1.1 annot-version=v1.1 MAAGGEGNRRNSGDDDDERHKLEKDLGIGNEVGQSRNNEVGKTNSALALMNKKFRMMHRLLLLANIAFGRVTMHSLYLASKINLRQTGG* >Brasy2G217300.1.p pacid=40067593 transcript=Brasy2G217300.1 locus=Brasy2G217300 ID=Brasy2G217300.1.v1.1 annot-version=v1.1 MSQSTMQLAAVCTDPILVLSSAVLCLLVHLVLRSGRRRRRLPPGPRGFPIVGALPLVGPTPHTGLAALARKHGAPVMYLKMGTTGVVVASSPSAARQFLKVLDARFADRPAVASAADITYGFQTIAFGMQGARWKQMRKLSAVHLFGVQAMSDWASVRRDEAGRVLRGIAEAAEAREPVAVPELLVSAFANIIGQITLSKRLFDAQGDKSNVNNYKEMITLVQTGAGLFNISDFVPALSRFDLQGVQAKLREIHHRFDEMITNLLEEHTATAKEREGRPDFIDKLRASMAADEKDDNGDTISEVNVKGFVFDMFTAGTDTSSIIVEWAMAEMMKNPSIMARAQEEMDRVVGRERRLEESDIASLPYLQAVCKEAMRLHPSTPLSIPHFSAQECEVDGYHIPENTQLLVNIWAIGRDPSTWEDPLEFRPERFLSGPTANVDALGNNFELIPFGAGRRICAGKLAGMVFVQYFLGMLVHAFTGRQDTPYGPVRKSHRSRFLNRDQ* >Brasy2G354200.1.p pacid=40067594 transcript=Brasy2G354200.1 locus=Brasy2G354200 ID=Brasy2G354200.1.v1.1 annot-version=v1.1 MDARAYYLQAAEAAATMTTQAAARPTAGGEVAGRTYYFQAQQGRAAGDDAPPPPPRHGQSLSISNGGGAVAGVDLRDLICPECGKLFPSDKAMYGHLRSHPEKGYKGATRPAPNPTAGSVAGAGAAKKRRRKVARRKNRAAASGLSIRWPVTAKRGRLPLAPAGTASSSSSVSTAVLESSFSFCSEEVEAAMILLQFSGFRGGGGEPEEELPVAVSESEIVPRAADRLELVPADHVQNVAMLDAPEPEVEQQPMMPDHVSGAGAWLPMMPGHGSAGAALWEADKSAPPVAVELEHMFGIITESSLAASSQQAKIDASSSEARGKNLDKLVDSDAKKPMKKRRLHDIELQAAHSSRPAEAADALIRARRIPSPASNRSFVCPRCDKKFPTYHALGGHIASHNRANKHGTDGQQHRQLVSQLAVQSLMASQGGAIPRANAAIASGNTATTGGTNAAVASANTAAADASSGLGLQIILPPPPTAPILLAPPAAYPCSRCELVFRTGQALGGHKRRHWLADKVRAEAAATRAAIVAAAAEAVAAATPAVAAEAAVSAPAAPRGEPRDFDLNEMPSEE* >Brasy2G198100.1.p pacid=40067595 transcript=Brasy2G198100.1 locus=Brasy2G198100 ID=Brasy2G198100.1.v1.1 annot-version=v1.1 MRPDLAEVGGGSVGEGSGSWRPDLAEVGARRRRWAWERAGAGQGTGAPARPVRRRLAGVRGRWRPEHGRAQVAGQREEGRGAGEGNGRSRAGGRRCCGLGIDRRRCGLGIGAGGRILGQGIEKKSVVALY* >Brasy2G330000.1.p pacid=40067596 transcript=Brasy2G330000.1 locus=Brasy2G330000 ID=Brasy2G330000.1.v1.1 annot-version=v1.1 MFGRDPWGGTLEISNADSATDDDRSRDLDRGALMRHQLDETQQSWLLAGPGDQAGKKKKKYVDIGCMVIDRKIFLWTVGTILGVGLFIGFVMMIVKLVPHKKPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSGMKDGLSDSNTRRSLVGGFYDAGDAIKFNFPMAWSMTMLSWTVIEYKAKYEAIGELDHVKEVIKWGTDYILKTFNSSADTIDRIVAQVGVGDTSKGPMPNDHYCWMRPEDIDYKRPVIDCHSCSDLAAEMAAALASASIVFKDNKKYSDKLVHGAKALYKFGRLQRGRYSPNGSDQSLFYNSTSYWDEFVWGGAWMYFATGNTSYLTIATAPGMAKHAGAFWLGSPNYGVFTWDDKLPGSQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPQFESFNFTKGGLIQLNHGRPQPLQYVVNAAFLASLYADYLDTADTPGWYCGPHFFKTDVLRKFAKSQIDYILGNNPQKMSYVVGFGKKYPKRVHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDRHDGFKDIRTNYNYTEPTLAANAGLIAALISLADIDTGRTAIDKNTIFSAVPPMFPTPPPPPSAWKP* >Brasy2G481900.1.p pacid=40067597 transcript=Brasy2G481900.1 locus=Brasy2G481900 ID=Brasy2G481900.1.v1.1 annot-version=v1.1 MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAETNHVIAGDTSSKAIVFSDKSEYVDPDPGHSIQAIYEQVYGTPFVDAAATPITPSSPATMAGFAQQAEKEKPGMSETVMSGFKPDAVPVYKALAAEFAVCDRWFASNPASTQPNRLFVHSGTSHGLVSNDTKTLVAGLPQKTIFDSLDDAGLSFGIYYQFPPATLLYRNLRQLKYLGNFHQYDLDFHRHCREGKLPNYVVVEQRYFDLANIGLPGNDDHPSHDVGEGQRFVKEVYEALRNGKQWEETLLVVTYDEHGGFYDHVPPPSGTVPSPDGIVSAGPFFFNFDRLGVRVPAFFISPWIEPGTVVHRPAHGPEPTSEFEHSSIPATVKAIFGLPSFLTKRDAWAGTFDSVVTRHTPRKDCPTKLPEPVKMKQRLTAAAPVISEFQAELVQLAAALNGDHAREDYPEKLVEGMTVPEAVSYCNDAFKAFRDECERCKSCGMDGSHVPTLPHPPTPPAPAPPAPEKKSGFPSKLLACFACGRS* >Brasy2G490400.1.p pacid=40067598 transcript=Brasy2G490400.1 locus=Brasy2G490400 ID=Brasy2G490400.1.v1.1 annot-version=v1.1 MMGLELDNRGHMLRLALLAALAGFAAAGFISNEALLSSGAGGRSLLQTKKDCPVTFDGANYTIITSRCKGPLYQAALCCEALKDFACPYAIYINDPATNCAATMFSFIHLYGKYPPGLFANTCREGNSGLKCPDDIPQVQPGQEGKSAAVRAASAAPVAMVTAGTTALSVLIVLS* >Brasy2G060800.1.p pacid=40067599 transcript=Brasy2G060800.1 locus=Brasy2G060800 ID=Brasy2G060800.1.v1.1 annot-version=v1.1 MRVAMASPSLFLSPSPPLHRPLLPSLPARPASRLNGLRRARVGTRLRVAAPPSVPGEAEQTEEPSTSSPESGERFVWRDHWYPVSLVEDLDPRVPTPFQLLNRDLVIWKDPNSGEWSALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTKIPQAAPEGPEARAVRSPRACAIKFPTLVSQGLLFVWPDENGWEKAKATKPPMLPEEFNDPAFSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLTFKMESSGAWGYSGANSGNPRITATFEAPCYALNKIEIDTKLPILGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLIPRWYEHWTSNLVYDGDMIVLQGQEKVFLSASKESSADVNQQYTKLTFTPTQADRFVLAFRTWLRKFGNSQPDWFGSPSQETLPSTVLSKREMLDRYEQHTLKCSSCKGAYNSFQTLQKVFMGATVAFGATAGIPAEVQFRILLGAAALVSAALAYAFFDLQKHFVFVDYVHADID* >Brasy2G022900.1.p pacid=40067600 transcript=Brasy2G022900.1 locus=Brasy2G022900 ID=Brasy2G022900.1.v1.1 annot-version=v1.1 MRPAAAAAASVLHAPRRTLGGAASMVAARCGASPSSAAAAATAYEHVSFVKEIAATDPPEHLNSLLSVLQARGQKIVSPGAKRGLIPLVVPLSESPEGNLTSLLRWPTAPRGMEMPVVEVRNHGLWLLAKNVNQYIHRILVEADASAENGDDLWSAAGEAGINLYKKGDFKEAQLADLDVYLLKKVGLFPDIIERKASRHLEIGDQVSALITGEFYTRDQFPGFGRPFVFNSHILKRVGRTSEAKESARVALKSPWWSLGCRYEEAAELAGWEDEQIEFIREKVTEEGKCDDLKKGKAPEQVVLDEAAFLMDLATVDGNWDDVVDRIAECYREAGIQDIAKFIAYRE* >Brasy2G022900.3.p pacid=40067601 transcript=Brasy2G022900.3 locus=Brasy2G022900 ID=Brasy2G022900.3.v1.1 annot-version=v1.1 MRPAAAAAASVLHAPRRTLGGAASMVAARCGASPSSAAAAATAYEHVSFVKEIAATDPPEHLNSLLSVLQARGQKIVSPGAKRGLIPLVVPLSESPEGNLTSLLRWPTAPRGMEMPVVEVRNHGLWLLAKNVNQYIHRILVEADASAENGDDLWSAAGEAGINLYKKGDFKEAQLADLDVYLLKKVGLFPDIIERKASRHLEIGDQVSALITGEFYTRDQFPGFGRPFVFNSHILKRVGRTSEAKESARVALKSPWWSLGCRYEEAAELAGWEDEQIEFIREKVTEEGKCDDLKKGKAPEQVVLDEAAFLMDLATVDGNWDDVVDRIAECYREAGIQDIAKFIAYRE* >Brasy2G022900.2.p pacid=40067602 transcript=Brasy2G022900.2 locus=Brasy2G022900 ID=Brasy2G022900.2.v1.1 annot-version=v1.1 MRPAAAAAASVLHAPRRTLGGAASMVAARCGASPSSAAAAATAYEHVSFVKEIAATDPPEHLNSLLSVLQARGQKIVSPGAKRGLIPLVVPLSESPEGNLTSLLRWPTAPRGMEMPVVEVRNHGLWLLAKNVNQYIHRILVEADASAENGDDLWSAAGEAGINLYKKGDFKEAQLADLDVYLLKKVGLFPDIIERKASRHLEIGDQVSALITGEFYTRDQFPGFGRPFVFNSHILKRVGRTSEAKESARVALKSPWWSLGCRYEEAAELAGWEDEQIEFIREKVTEEGKCDDLKKGKAPEQVVLDEAAFLMDLATVDGNWDDVVDRIAECYREAGIQDIAKFIAYRE* >Brasy2G284100.1.p pacid=40067603 transcript=Brasy2G284100.1 locus=Brasy2G284100 ID=Brasy2G284100.1.v1.1 annot-version=v1.1 MSQEKKKEQIEKTAKGKETGAEKRGSRMRLSFPLVAGAVVVGVISGNVIFGPPLQKYWAEKQQQDQAAKEGQTGST* >Brasy2G461800.1.p pacid=40067604 transcript=Brasy2G461800.1 locus=Brasy2G461800 ID=Brasy2G461800.1.v1.1 annot-version=v1.1 MAAGNEWINGYLEAILDAGSKLRGGGQQRGAVPSLPRLEPAPALGAEESGAGAAAYSPTRYFVEEVVRSFDDQALHKTWTKVVAMRNSQERNNRLENLCWRIWNVARQKKQVEWDYSREVARRKLEQELGSREAAEELSEGEKEKDTASKPDSAAAHPSSETAAPAADQPRSRLARINSDVRLVSDDEEEQSKNRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAAMAGVRRVDLLTRQISCPDVDWTYGEPVEMLARLSSGDADDDDGGESGAYIVRLPCGPRDQYIPKESLWPHIPEFVDRALTHITDVARSLGEQLHAPSDDAAAPPPAPAWPYVIHGHYADAAEVAASLATALNVPMVMTGHSLGRNKLEQLLKLGRSPRDEVVQGTYKIARRVEAEETGLDTAEMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRQRRGVSCLGRYMPRMAVIPPGMDFSFVDTQDVAVDDKGDDLKMLIAGPGKAKKALPGIWSDVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRQLRELANLTLILGNRDDIEDMSGGGGAVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATRNGGPVDILKALHNGLLVDPHDAAGITAALLGLVGDKARWAECRRNGLRNIHRFSWPHHCRLYLSHVAAYCDGQQGQQQPLLRLPSAGAAASSRSGADDSLSDSLRGLSLRISVDASHEPNAADSAAAIMDALRRRPASDKKQAQPRGNSASRPMGFAPGTRQSLLVLAVDCYGEDGKPDLERLKKAVDLAMSSAGDGAGGRTGFVLSTGMTIPEAAEALRACGVDPAGFDAMICSSGAEICYPWKDIAADEEYAGHVAFRWPGEHVRAAVPRLGKADGGGAQESDLAVDAAASSVHCHAYAASDASKVKKVDSIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIELSKVAVLVGESGDTDRERLLPGLHRTVILPGLVARGSEELLRGEDGYAMEDVVAMDSPNIVTLAHGQPAADLLKAI* >Brasy2G333000.1.p pacid=40067605 transcript=Brasy2G333000.1 locus=Brasy2G333000 ID=Brasy2G333000.1.v1.1 annot-version=v1.1 MATPAAAPRHSCAKLSVAVEDPKAAGGGAIFVKATWLPTRFSLAVTDGAGAWVADASEAEVRLRAEQWDQPVPEYLALAERYLAFQQPSSTYSFHDAGNGNRRLSWTFERQGTKLEWRWKLQPAPQTQQTIAEVLDFLMDANIRLSEEVVRKTQSFDKLKEEADKCLQQSERFNNEKAEFVEATFTKFVAVINSKKAKLRQLKDKIAALESSEKAPKEDEENSTDRTEPFEDGSDKDPSINDEPSETGSGNLHSSSSPEKHAATSRGRRGRKRTRK* >Brasy2G474800.1.p pacid=40067606 transcript=Brasy2G474800.1 locus=Brasy2G474800 ID=Brasy2G474800.1.v1.1 annot-version=v1.1 MRSEIPLYVAAMNKSNVNLKDCSVNIPLRLVTHFKEEITKATVQLEGPDFNIYNVVASKQSDDQIVLQSGWSTFLVSHHIQENDLLIFGSKGKTRLKVIILAPNGYKKTSTCFVTGKFSSTQEMCEDSVQIVDPPPHTVIELGSSDDDDIVGENDRKTCRLKQAPGRCAKTRKMASTSSPPAKPGYEAHKTNDQGSVELGVGSEPLGSNNLKGPFQLPYMLSQRVTLTWQVKMKVEERVQAIESELPIYVRVLTKSNVLCAMCSELLHGIRFSISPEQKYNSLPSAGGQGDAMAYNVASSLYSV* >Brasy2G474800.2.p pacid=40067607 transcript=Brasy2G474800.2 locus=Brasy2G474800 ID=Brasy2G474800.2.v1.1 annot-version=v1.1 MRSEIPLYVAAMNKSNVNLKDCSVNIPLRLVTHFKEEITKATVQLEGPDFNIYNVVASKQSDDQIVLQSGWSTFLVSHHIQENDLLIFGSKGKTRLKVIILAPNGYKKTSTCFVTGKFSSTQEMCEDSVQIVDPPPHTVIELGSSDDDDIVGENDRKTCRLKQAPGRCAKTRKMASTSSPPAKPAHKTNDQGSVELGVGSEPLGSNNLKGPFQLPYMLSQRVTLTWQVKMKVEERVQAIESELPIYVRVLTKSNVLCAMCSELLHGIRFSISPEQKYNSLPSAGGQGDAMAYNVASSLYSV* >Brasy2G338700.1.p pacid=40067608 transcript=Brasy2G338700.1 locus=Brasy2G338700 ID=Brasy2G338700.1.v1.1 annot-version=v1.1 MGTPVNIIVGSQVWLEDPDDAWVDGEVTGIKGGDVTVATTNGKTVVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADSCYRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKRFKVGDPRSFHYLNQTNCYDVANVDDAREYLETRNAMDIVGICEEEQDAIFRVVAAILHLGNINFSKGEEIDSSRLRDEKSVYHLKTVAELLMCDEKYLEDSLCKRVIVTPDGNITKPLDPDSALQSRDALAKTVYSRLFDWIVDKINNSIGQDPDAISIIGVLDIYGFESFKINSFEQLCINMTNEKLQQHFNQHVFKMEQEEYTRDEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNYSRCPFVANLFPPLPEESSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENYNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGVLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAVRLIQRRIRTHLMRKEFINLRKASIQTQKFWRARLARKLFEHMRRVAAAITIQKHTRTRSAWKAYLQIYRSSITIQTGLRAMAARNEHRFRRQTKAAIIIQTRWRQHKAYVAYKQQKRASLILQCSWRARVARKELRKLKMEARDNGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEIAKGQEIAKLQSALQEMREKLEEAHTAIIKEKEDAKLAIEQAPPKIVEVPVVDNEKVELLTSQNEELEGELGMFKTKADDLENKLLEIQKQFDELSRETQERDSKINQLEEMISRLETNLSSMESENHVLRQQSLLASADDDKSRQIESLESKIADLESENQLLRSNSALAVQAAVTPEVIQPSVMEVLKNGQQLGELKIFTEQVVIPPVKNLSKQKSLTDRQQENHDVLIKSLSEDRRYDNRRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIESAEGSGELAYWLSTTSTLLYLLQNTLKTSSSSTKGSNRSRTATGNLFNRMMQNARSSSSGLGISSGYSGMIGRTDIAPMVEAKYPAVRFKQQLTAYVEKIYGMMRDSLKKEISTILTMCIQAPRAVRVRSSRGSLKSIHSSALSRQVSSAHWQNIVRCLNNTLETMNSNYVPPMIIRKTFSQVFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRITEEFAGTSWDEMQHIRQAVGFLVLHQKSHKTLDEITDELCPVLSITQICRIGTMFWDDKYGAQGLSQEVIGNMRTLTTDDSVATPNSSFLLDDDSSIPISLDDISRLMLDINPSDVEPPPLLRQNSQFHFLLQLCTD* >Brasy2G420300.1.p pacid=40067609 transcript=Brasy2G420300.1 locus=Brasy2G420300 ID=Brasy2G420300.1.v1.1 annot-version=v1.1 MAAPPPKQEELQPHAVRDQLPSVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVVQTLLFVAGINTLLQSFLGTRLPAVIGGSYTFVAPTISIVLASRYDGIADPHEKFIRIMRGTQGAFIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPNLVPLLGTAFERFAIIMSVAIVWLYAFFLTVGGAYKNVAPKTQFHCRTDRSGLVAGASWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGIGFLQFCNLNSFRTKFILGFSLFMGISVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVALLLDSTLHRHDSTARKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF* >Brasy2G355800.1.p pacid=40067610 transcript=Brasy2G355800.1 locus=Brasy2G355800 ID=Brasy2G355800.1.v1.1 annot-version=v1.1 MALSVSSLASAFSHLSLPSTSAPHPPPLLLRLLPTPSRAARLVLSASAADAAEPVEAEAPAVDEVLAVEAEAEEDALSGLALRKYVKQRLPGGFAAQRITATGRRKTASARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLATLGFENNYDVFVKVQGGGLSGQAQAICLGVARALLKISPANRVPLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR* >Brasy2G490000.1.p pacid=40067611 transcript=Brasy2G490000.1 locus=Brasy2G490000 ID=Brasy2G490000.1.v1.1 annot-version=v1.1 MSRDLDLLIKQRYSGVFLRRKRAVQRVRIAQAAIDVNWTEITFVGVSAREEVLAALTEWGHSYRAMPVLQPSSCVVARQVWQAVCSRWQRQAWVPGPNDRLEIWWPGLEVASKKDRMNLNTAVCLVCWSIWKHRNVVVFDAAAPSVLHIIRSIGQEGEAWRGAGLFVGSNLFSDFVFSDFGVVDVVDVA* >Brasy2G240500.1.p pacid=40067612 transcript=Brasy2G240500.1 locus=Brasy2G240500 ID=Brasy2G240500.1.v1.1 annot-version=v1.1 MASTNMASATSRFMLAAGAMPSGGVGSCSSNRVSFVPSSNNRLGRRLVARAEEEPAAPAPTPAAAPETAEGAVATKEPAKAKPPPIGPKRGAKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIKEV* >Brasy2G088600.1.p pacid=40067613 transcript=Brasy2G088600.1 locus=Brasy2G088600 ID=Brasy2G088600.1.v1.1 annot-version=v1.1 MASTVTLLAKTTTAFRSDDVADQALGSPRTKHLLLERSKGGVDTGDVAAKRCCEHKHELVSYDALPEFLKHNEFILDYYRSEWPVKQALLSAFAVHNETINVWTHLIGFFVFLALTVCAATMVPTETSVSHSATSTSLVANSTGANPMILMSSYSTVGAAVAMQAPPTRNASFLVEPELPAPSPSSGHIHRVERWPFYAYLCGAMFCLLMSSACHLLSCHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFPLSLYLGFITVSGAAAVGVSLLPVFESPGLRWARAALFACMGASGLVPIAHKLLVFGARPEAVQTTGYEMAMGGFYLAGVVVYGARVPERWMPGRFDLVGHSHQLFHALVIAGAYAHYHAGLVYLSWRDMEQC* >Brasy2G295200.1.p pacid=40067614 transcript=Brasy2G295200.1 locus=Brasy2G295200 ID=Brasy2G295200.1.v1.1 annot-version=v1.1 MIASMLALNSDGLLEGAVTFNFHRITFSAVSMNTPLHLPVPNDPSSFIPAGPARGEEVAVLSSELGTSKTCTVAMRD* >Brasy2G010500.1.p pacid=40067615 transcript=Brasy2G010500.1 locus=Brasy2G010500 ID=Brasy2G010500.1.v1.1 annot-version=v1.1 MSQPADEDGDAGLLRLYQQTMGIPPTGRLDNLTAGLLSTPRCGVPDLPNVTSRFAYFDGHPRWTTSSRGAGAPHRRFTQLTYAIIVPTTNTGSPRPSAVRAAFRRAFARWARVIPVRFVELNTGSGEFSCNTADVKVGFFAGDHGDGEPFDGPLGVLAHAFSPPSGQLHLDAAERWTVHLDDSSAVDLESVATHEIGHVLGLAHSSAPDAVMYPSLKPGTRKVDLTADDVRGVQALYGSNPRFSLSSLSEPADTSSSESEASAQASPPAVLLLTVMLALISSRCMLYID* >Brasy2G099100.1.p pacid=40067616 transcript=Brasy2G099100.1 locus=Brasy2G099100 ID=Brasy2G099100.1.v1.1 annot-version=v1.1 MAMAALPNDLVDQILFRLPSDDPWCFSRASLVCKLWRDLISDPTFLRRRAQALRRAAPLLLGFLLDEDDRKHIVDERALAFFPTSAFSLPIPDSGSWSPRDCRHGRVLFCSAGQGDTEEFLVWEPVTDKQWVVPIPAAQDHRIHRPHRYPNAAVVCAAEGCDHRNCLGGPFRVVVLFPQERPGDDLVTWACVYSSETGAWGELSAVHDICSYYAEASVLVGSSTLYSFTNYGNIFEYDLSTQSVALIDPPYAQRDDHLELAVSNHGMVLMVAEDGGLGIAEADEWVLYLWSRKVNDAGVAQWVCYREIRVSLGSLLPRAALSGWGPIMMGFAEEAITIFMSTSAGIFVIDLKSGRVKKVCEHEFSFKILVPIVSSGHTLPAPRCEYHYPPSPTSSQEEEEEEEALERLHELFVKRRMAIEQLDFVNGIDCLSHALAIRAAHYGEHTPGCALLYKAQEEAVKSATSQAFAVNSKASGSNVECAPSLEQGGIEEGQNSNGIAQEDRKDDGDKYHDEMVGDENDSDLNLAWKMLNVVRTIVEKSPGNTMGCIVSAPGEAASDAEGSSKSFQEDEIELCTGILAELKKVEDLEQAMSTPSTATAEIMTRAASLAEQNVDNAVTMAAPLISSQMAGLNNSFDSRVMPKSTTGNAGSSVTELDAVGGGIRRANDKPSSGEPSPKRLAVAADDSP* >Brasy2G328300.1.p pacid=40067617 transcript=Brasy2G328300.1 locus=Brasy2G328300 ID=Brasy2G328300.1.v1.1 annot-version=v1.1 MAATMKLSLTFILLLSALVVLGGMGDAATATRPCDTIRCIQGGHITCKNYPNKELDGCACVCAPTDGKGCVPHRNDGSTENCRKKE* >Brasy2G164300.1.p pacid=40067618 transcript=Brasy2G164300.1 locus=Brasy2G164300 ID=Brasy2G164300.1.v1.1 annot-version=v1.1 MVPSGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGLREGEGAGEEDVEEDDVEPPPAAVSFWRLFEFADGLDWALMAAGALAAAAHGAALVVYLHYFGRALNLLDSERIDSALHGRSQDLLNRFMEHALYIIYIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFIGGLIVGLINCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQATSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGEVVVALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSSNVEGTTLPQVQGNIEFRNVYFSYLSRPEIPILSGFFLSVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSLIGLVTQEPALLSLSIRENIAYGRFATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALTDEQKIKISIARAVLSSPSILLLDEVTGGLDFEAEKTVQEALDVLMLGRSTIIIARRLSLIKHADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSKEHKSLQFEDPSVSQNFQESSSPKMAKSPSLQRTHGMLQFWRSDTNRNSHDSPKDQSPPSEQTIDNGIPLVATERAPSIKIQDSFEMELPDLPKVDIQRQSSKNSGPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPDELQHQKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALTVSAYYQIEVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEAGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVVSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKIMELYKLHLGKILKQSLVHGLAIGFGFGFSQFLLFACNALLLWYIAISVDKQRLTIATGLKQYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPVRPEVLVLSNYNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQDPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM* >Brasy2G016700.1.p pacid=40067619 transcript=Brasy2G016700.1 locus=Brasy2G016700 ID=Brasy2G016700.1.v1.1 annot-version=v1.1 MAPLPETTVTEEAAEEGMAGSSKNKEEDQDGSSSTLSDAWDYRGRAAVREKSGGWSSAAMILGVELNERLTTLGIAVNLVTYLTGTMHLGNAASANAVTNFLGTSFLLCLLGGFLADTYLGRYLTIAIFTAVQASGMAILTVSTAAPGLRPAACADPTGASGTCAAPTGAQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEADPGERRVMARFFGWFFFFISLGSLLAVTVLVYVQDNVGRRWGYGVCVVAVLAGLAVFLAGTRRYRFKKLAGSPLTQIAVVTAAAWTKRRLPLPADPALLYDVDDAAGEDVVKGIKRRLLPHSNQFRFLDQAAIVEPSESSPSPEKKRPKTVTEVEEVKQVVRMLPTWATTILFWTVYAQMTTFSVSQAQAMGRRLGPFTIPSGSMTVFFVASILLTVPLYDRLVAPLARRLTGHPNGLTPLQRIAAGLALSALAMAAAALTERHRLLSSPNNPPSVFLLVPQFLLVGAGEAFAYVGQLDFFLRECPADMKTMSTGLFLTTLSLGFFLSTALVTAVHAATGSGGRPWLADDIDQGRLDYFYWLLAVLSVVNLAVFVAAARGYVYKDKRLADAGVKINDDQGLVLHA* >Brasy2G167400.1.p pacid=40067620 transcript=Brasy2G167400.1 locus=Brasy2G167400 ID=Brasy2G167400.1.v1.1 annot-version=v1.1 MRWPPPISPRRLLLVVLFAALCSIPGTLSSRLVTLDTIDIFTTHEWFHNKPTVYFRCSGDNKTHLPDVKEADSIYTFKGEESWQPLTELPENKCKRCGLYEEDTLLHDDVFDEWELCSSDFKDGKYTHFKEGQFNATFLCPNCTVSTGAATHGSSSEVEAKKTSVVVIIIVSVLASVVVILALFGGYKYWLRKKRERDQLRFLKLFEEGDDMDDELGLSNEF* >Brasy2G143200.1.p pacid=40067621 transcript=Brasy2G143200.1 locus=Brasy2G143200 ID=Brasy2G143200.1.v1.1 annot-version=v1.1 MHPEPEALLQPPPPMDTHEPAPPSPLSSSDIPPHFPPSIAEYAAGGAGALDLSFTSTASASSFATATTFSARSSLSLPSFSSSTALSPRPHSSSASPDWAHLAAARAATPDGVLRLAHLYLVRELGHGHLARVFLCRLKSAPPSSPLFALKVVDLRDDEPSRVCHVLAESRVLSSLDHPFLPTLYARLDAGRYACFLIDYCSGGDLHSLLRRRPGGRLPVAAARFYAAEVLLALEYLHVLGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRSQQSRRSRLHKARMLLLPSCFSGANGGSDEDDVDAKERLEFVAEPTAARSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGPAKDVTLKNILSKQVAYPKLDDGDGEAAESAAQLKDLVGRLLERDPRRRMGSTRGAAEIKRHPFFAGVDWALIRCVTPPVVPDTDGDKDKKQPAAEKKLRSWNSLGGSSFKKSSSSFVRRRSSYEERQGVFRKLMSWNQESRSKRTKSSKLKF* >Brasy2G184300.1.p pacid=40067622 transcript=Brasy2G184300.1 locus=Brasy2G184300 ID=Brasy2G184300.1.v1.1 annot-version=v1.1 MSIYSICCGNFHELWNSIGHSCRIRFLKYHGRIISETVLQNRRHKTEPFSTSILARSTRKGSKQSFSNNRHLHGESVESSIEVFKQSDLQHLKSLQCYNIQEKVSGVKSECPATILVFDIETTGFSRRGDRIIEFAVRDLAGGKNSTFQTLINPERVIPNTHIHGISTNMVCRSDIPRFGEFIPILLQYVLSRQMAGKPVLWVAHNGKTFDVPFLINEFQRCKMEMPGDWLFVDTLPIARQLVDGKTKGAATLKTLIERYKIPVNGDAHRAMQDVTALCYVLQKLTFELKITVPQLLENSFRVSDVATTPAKK* >Brasy2G125300.1.p pacid=40067623 transcript=Brasy2G125300.1 locus=Brasy2G125300 ID=Brasy2G125300.1.v1.1 annot-version=v1.1 MAAAANGSLADEKAPETIGVGRYVEMEQDGNSSNTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASILHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEVMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDDLLTHSNALSLLPRTPFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITYRSAPARENAVEPPPRFVGRWTGTYMINAFVVAWVLVVGFGFGGWASMTNFIRQIDTFGLFTKCYQCPTTAASLAPPLPSAAPDASWPFPGVLSNFTMPAPAPSPAHFFRHHRHHSHGL* >Brasy2G210200.1.p pacid=40067624 transcript=Brasy2G210200.1 locus=Brasy2G210200 ID=Brasy2G210200.1.v1.1 annot-version=v1.1 MHLGTLCLDFSLYLFGFQGLEAHKPKQTSFSSQLNIGSSSRRQPNLHAAAEAPQTVLLVPAGAGKQIPRPNAIVTYSLFTAKLPSGRKYQPESFSPLLPPPYSSRAPPPSRLLPSDQQEAAAASRPRSSGARARRRPNRPDPPRPPPDPPVLVILRHPPLVSRPSPLSRPPASSAVPSFSFSSVSFSPVRPTKLRRPPLPTVPTSNQQQG* >Brasy2G025200.1.p pacid=40067625 transcript=Brasy2G025200.1 locus=Brasy2G025200 ID=Brasy2G025200.1.v1.1 annot-version=v1.1 MIMSLASDTVADETVKEGDQGAMPPVDLLGVDKLSLDDLPANNHRKMTLLFALLSACVADKPVSQEEEDRKSSRFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQSGENASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGAGGFAAIATAAGSVAGSVAVAASFGAAGAGLSGTKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEEDYSRPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLALELMKQGAMRTVLSGFLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKLLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAVDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFIPFTAVSSK* >Brasy2G145200.1.p pacid=40067626 transcript=Brasy2G145200.1 locus=Brasy2G145200 ID=Brasy2G145200.1.v1.1 annot-version=v1.1 MATPNGLARIETHAEKKMKKHENGICHDDSSAPVRAQNIDQLHSMQRKRSAPTTPIKQPDGAAASPFAAALSEEDRRKQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKPAPVAAPPPQHHHHHHHHVAPTISVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRIVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRKILSLHSGCNMGRDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGVKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQAYGATGWLVNTGWSGGRYGVGKRIKLPYTRKIIDAIHSGELLTANYQKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYRETLLKLAGLFGKNFEVFANYKIGEDSGLTEEILAAGPKI* >Brasy2G057200.1.p pacid=40067627 transcript=Brasy2G057200.1 locus=Brasy2G057200 ID=Brasy2G057200.1.v1.1 annot-version=v1.1 MYRAAASLASKARQTGSSARQVGSRLAWSRNYAAKDIRFGVNARALMLSGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFTDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDSVVTNLKGMARMINTPEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELDVVEGMKFERGFISPYFITNQKSQKCELEDPLILIHEKKISSFPAMVKVLEYALKMKKPLLIVAEDLESEALGTLIINKLRINMKVCAVKAPGFGENRKSNLHDLAILTGGEVITEELGMNLENFEPHVLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSSIEESTSDYDKEKIQERLAKLSGGIAVLKIGGASEPEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASRDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAIIVETPTEEKSAPAMGGGMGGMGF* >Brasy2G455800.1.p pacid=40067628 transcript=Brasy2G455800.1 locus=Brasy2G455800 ID=Brasy2G455800.1.v1.1 annot-version=v1.1 MVRLNADLIWKSPHFFNAIKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPFMNRLGTLLVNNNRITRINPNLGEFLPKMHTLVLTNNRLTSLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFNKVKQQERITAAQKFHSKEAEEAAKKVAAKAYTPVQVVDAQGTTKEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGLIPAEFATPKPDTNMAEASEEAEKMETDGEKQENGANEEKQNEESTPIQED* >Brasy2G030000.1.p pacid=40067629 transcript=Brasy2G030000.1 locus=Brasy2G030000 ID=Brasy2G030000.1.v1.1 annot-version=v1.1 MAGGGAAASALSSPWRALLQRALDANAHLKHSTFFQLATVGGGGRPANRTVVFRGFLEHCDKIQINTDARSNKISEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSPDPAKLQLREKAWFASSVKSRSQYLGPCPGLPVADDDLIKDVHVDPSAGPVDAYCLLTLDPEKVDYVNLKSNQRLMFRRAQEGDESSDWMAEKVSP* >Brasy2G030000.2.p pacid=40067630 transcript=Brasy2G030000.2 locus=Brasy2G030000 ID=Brasy2G030000.2.v1.1 annot-version=v1.1 MAGGGAAASALSSPWRALLQRALDANAHLKHSTFFQLATVGGGGRPANRTVVFRGFLEHCDKIQINTDARSNKISEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSPDPAKLQLREKAWFASSVKSRSQYLGPCPGLPVADDDLIKDVHVDPSAGPVDAYCLLTLDPEKVDYVNLKSNQRLMFRRAQEGDESSDWMAEKVSP* >Brasy2G030000.3.p pacid=40067631 transcript=Brasy2G030000.3 locus=Brasy2G030000 ID=Brasy2G030000.3.v1.1 annot-version=v1.1 MAGGGAAASALSSPWRALLQRALDANAHLKHSTFFQLATVGGGGRPANRTVVFRGFLEHCDKIQINTDARSNKISEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSPDPAKLQLREKAWFASSVKSRSQYLGPCPGLPVADDDLIKDVHVDPSAGPVDAYCLLTLDPEKVRLG* >Brasy2G030000.4.p pacid=40067632 transcript=Brasy2G030000.4 locus=Brasy2G030000 ID=Brasy2G030000.4.v1.1 annot-version=v1.1 MAGGGAAASALSSPWRALLQRALDANAHLKHSTFFQLATVGGGGRPANRTVVFRGFLEHCDKIQINTDARSNKISEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSPDPAKLQLREKAWFASSVKSRSQYLGPCPGLPVADDDLIKDVHVDPSAGPVDAYCLLTLDPEKVRLG* >Brasy2G109200.1.p pacid=40067633 transcript=Brasy2G109200.1 locus=Brasy2G109200 ID=Brasy2G109200.1.v1.1 annot-version=v1.1 MAPIPDWVMLDRFVVRRDDLDVDDSTVASCSGSGEHVFHVGFQLHAPPRVSRILLRVDAGASSFNNFHVVAAHRDALLLQMSYLIEARRGRTLRKYGMIDFFLYRAAGTDGSSRPSLDLLPAVDGTEAEVRTRIEAEGFVWTNQRERRAKGLDLGVVRRGEKEFAVAELQVSCSKEPSELHVFCPTKSSQWEIKQPPLIPVGCADDFSLERLLFYWNADKVVTFGSSLCWIDYCYGIIFCDVFDDSPVLYYKEFPSKVPNLYDNCHGTGWVDACQTVGVTSCGSLKYVTVVRSDGKITGEFTESSGFTVTSWTLKRTEVNKMEWEKTDEATSDDLWRLDGFQNLPRIPLRFPHISIDDPNVVCFVLRRRVEGKCYRETWLVSVDLSSKAVKASHPYSNPELEETDISCETDFSKSKYWYFESFLPTEFTKYMNLLSTRTDLLHSEPKPRKRKFMESSKQLELTG* >Brasy2G186800.1.p pacid=40067634 transcript=Brasy2G186800.1 locus=Brasy2G186800 ID=Brasy2G186800.1.v1.1 annot-version=v1.1 MSTHEARKFVDEVRGLEKNWMLDLGHPLLNRVADSFAKAAGIGAIQAVTRDSYFMAVEGESGGTGAVSDATGTRKRTFGDIKAGTNNSKSAEDMVKSVSKESFQWGLAAGMHSGLTYGLKEVRGTHDWKNSAVAGAVTGAAVALTSENASHEQIVQCAITGAALSAAANVLSDIL* >Brasy2G186800.2.p pacid=40067635 transcript=Brasy2G186800.2 locus=Brasy2G186800 ID=Brasy2G186800.2.v1.1 annot-version=v1.1 MSTHEARKFVDEVRGLEKNWMLDLGHPLLNRVADSFAKAAGIGAIQAVTRDSYFMAVEGESGGTGAVSDATGTRKRTFGDIKGTNNSKSAEDMVKSVSKESFQWGLAAGMHSGLTYGLKEVRGTHDWKNSAVAGAVTGAAVALTSENASHEQIVQCAITGAALSAAANVLSDIL* >Brasy2G186800.3.p pacid=40067636 transcript=Brasy2G186800.3 locus=Brasy2G186800 ID=Brasy2G186800.3.v1.1 annot-version=v1.1 MSTHEARKFVDEVRGLEKNWMLDLGHPLLNRVADSFAKAAGIGAIQAVTRDSYFMAVEGLAAGMHSGLTYGLKEVRGTHDWKNSAVAGAVTGAAVALTSENASHEQIVQCAITGAALSAAANVLSDIL* >Brasy2G082600.1.p pacid=40067637 transcript=Brasy2G082600.1 locus=Brasy2G082600 ID=Brasy2G082600.1.v1.1 annot-version=v1.1 MAATRIPLILLLAIATIAAADLSVYHNVHPPSSSPLESIIALAREDDARFLFLSSKAASTGVSSAPVASGQSPPSYVVRAGLGSPAQLILLALDTSADATWAHCSPCGTCPSSGSLFAPANSTSYAPLPCSSTMCTVLQGQPCPAQDPYDSSAPLPMCAFTKPFADASFQASLASDWLHLGKDAIPNYAFGCVSAVSGSTANLPKQGLLGLGRGPMALLSQVGNMYNGVFSYCLPSYKSYYFSGSLRLGAAGQPKGVRYTPMLKNPHRSSLYYVNVTGLSVGRAPVKVPAGSFAFDPATGAGTVVDSGTVITRWTAPVYAALREEFRRHVAAPSGYTSLGAFDTCFNTDEVAAGVAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNAVVNVLANLQQQNLRVVFDVANSRVGFARESCN* >Brasy2G040900.1.p pacid=40067638 transcript=Brasy2G040900.1 locus=Brasy2G040900 ID=Brasy2G040900.1.v1.1 annot-version=v1.1 MSKKIVVKLDLHDNKDKQRALKAVSVLVGIDAISIDMATRKMTVIGTVDPVNVVSKLRKASWAAHIESVGPAKEPEKKEEKEDKKDEAKKEGDGAAKKEESGDGKKEGSGDGKKDDKKEGEEKKEGSGDGKKKEEGGGDKKDEAKKDGGDQKKPAVAPPFLPMPHQLPPPYMFSNPEYMMNHYRPPPPAYQPYVPPQQPYYYVRNMSMEENPNSCTIS* >Brasy2G168000.1.p pacid=40067639 transcript=Brasy2G168000.1 locus=Brasy2G168000 ID=Brasy2G168000.1.v1.1 annot-version=v1.1 MASQDSKTAQDPATTEPSSPATAAAGEAPTNPTAPTPAQNPSSAAAAAVGDAATDLEKKMRRAERFGTQVVMSEEEKRSSRAERFGTVSSNEKMEEQKKKSRAERFGITSSSSDANEAKKKARLERFGPNTNTNVSKAEEDKRKARALRFAETPSGSSEGNDKDTSKPDAATVAGTA* >Brasy2G177800.1.p pacid=40067640 transcript=Brasy2G177800.1 locus=Brasy2G177800 ID=Brasy2G177800.1.v1.1 annot-version=v1.1 MLAPRQHLLLLLLAAAWSAGLLCLAAGESGGGSCELSVARGGALYNFSLAAPTPAYRHGVLSEDGFYRVAMNDSIIWFQLCDQMIFNFDPPVCHLCEDCGGPLRCGTQCSALVSNNVGGYDVCTTIGRASGSHISLIDEGNPQKGVVVKMFSSKCSISVFIFCDSVVAELSDKFSQSGSCDYATILKHPSGCARSVSASGNGWGWLGTSFITILCLLGGYILIGAIYRYYFLGIHSVEAIPNLEFWISLPRKIKIMFVPSTRNPRSHSRNSRGYAAPVNH* >Brasy2G412100.1.p pacid=40067641 transcript=Brasy2G412100.1 locus=Brasy2G412100 ID=Brasy2G412100.1.v1.1 annot-version=v1.1 MGGAGEETPFNFLQVLCEGVIAGGAAGVVVEAALYPIDTIKTRLQAAQAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAIAHLTAGAVGGFAASLFRVPTEVVKQRMQTGQFRAAPNAVRLIVRKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLLAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAQTILREEGPAAFLRGIEPRVLWIGIGGSIFFGVLEKTKAVLAERSSHKTLADKDQ* >Brasy2G412100.2.p pacid=40067642 transcript=Brasy2G412100.2 locus=Brasy2G412100 ID=Brasy2G412100.2.v1.1 annot-version=v1.1 MGGAGEETPFNFLQVLCEGVIAGGAAGVVVEAALYPIDTIKTRLQAAQAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDVFPENLSAIAHLTAGAVGGFAASLFRVPTEVVKQRMQTGQFRAAPNAVRLIVRKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLLAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAQTILREEGPAAFLRGIEPRVLWIGIGGSIFFGVLEKTKAVLAERSSHKTLADKDQ* >Brasy2G352500.1.p pacid=40067643 transcript=Brasy2G352500.1 locus=Brasy2G352500 ID=Brasy2G352500.1.v1.1 annot-version=v1.1 MKDLEFCYWSALANKHLIVDEDNDAQGLDKFNVPLKCCSGELQVSKLECMIARDGKYSSCHCVFLLKT* >Brasy2G114500.1.p pacid=40067644 transcript=Brasy2G114500.1 locus=Brasy2G114500 ID=Brasy2G114500.1.v1.1 annot-version=v1.1 MDENIQFSWGKKKARGGAKMDTQFYDSFTFDNVKYSLYDCVYLFKKGDSEPYIGKIIKIWEQNQVKKVKILWFFSPDEIRNYLKGPVVEKEIFLASGDGTGLADINPLEAIAGKCTVVCISKDDRNRQPTLREQAVADYIFYRFFDVGSCTLSDQVPEKIAGQEVNNLLNPKDEQVTCCPDQEVQGVDHKAGAGLEAVSLHQSPVRNRMEDESPVAGVPLSQLTTVKEEDKNQVAVVPLPPAVEEEKRQVAAIILPSAVEEDAPKPTQNIPKRTQKVLPEKMPSKKLKFSQDLAVQNVAPIIPDAAVCPGPLELTTRQAVPDRSKWFKPIPWEEQLQMGDEEGRLVYIQNLDIQFGSSDVMELIRDALQLTCNAKTINHPTYDDPNNGKAYAVFKSKNAADAAISKINSGLIVNGRPLYCSKGLLKVPKPPASGALVGHLTISNQKMGRAQRDEQKKAVSTSHCSQPNTIEYDLALDWMLVREKQARKFSILHKKHAEERKLFTAKMGK* >Brasy2G114500.2.p pacid=40067645 transcript=Brasy2G114500.2 locus=Brasy2G114500 ID=Brasy2G114500.2.v1.1 annot-version=v1.1 MDENIQFSWGKKKARGGAKMDTQFYDSFTFDNVKYSLYDCVYLFKKGDSEPYIGKIIKIWEQNQVKKVKILWFFSPDEIRNYLKGPVVEKEIFLASGDGTGLADINPLEAIAGKCTVVCISKDDRNRQPTLREQAVADYIFYRFFDVGSCTLSDQVPEKIAGQEVNNLLNPKDEQVTCCPDQEVQGVDHKAGAGLEAVSLHQSPVRNRMEDESPVAGVPLSQLTTVKEEDKNQVAVVPLPPAVEEEKRQVAAIILPSAVEEDAPKPTQNIPKRTQKVLPEKMPSKKLKFSQDLAVQNVAPIIPDAAVCPGPLELTTRQAVPDRSKWFKPIPWEEQLQMGDEEGRLVYIQNLDIQFGSSDVMELIRDALQLTCNAKTINHPTYDDPNNGKAYAVFKSKNAADAAISKINSGLIVNGRPLYCSKGLLKVPKPPASGALVGHLTISNQKMGRAQRDEQKKAVSTSHCSQPNTIEYDLALDWMLVREKQARKFSILHKKHAEERKLFTAKMGK* >Brasy2G114500.3.p pacid=40067646 transcript=Brasy2G114500.3 locus=Brasy2G114500 ID=Brasy2G114500.3.v1.1 annot-version=v1.1 MDENIQFSWGKKKARGGAKMDTQFYDSFTFDNVKYSLYDCVYLFKKGDSEPYIGKIIKIWEQNQVKKVKILWFFSPDEIRNYLKGPVVEKEIFLASGDGTGLADINPLEAIAGKCTVVCISKDDRNRQPTLREQAVADYIFYRFFDVGSCTLSDQVPEKIAGQEVNNLLNPKDEQVTCCPDQEVQGVDHKAGAGLEAVSLHQSPVRNRMEDESPVAGVPLSQLTTVKEEDKNQVAVVPLPPAVEEEKRQVAAIILPSAVEEDAPKPTQNIPKRTQKVLPEKMPSKKLKFSQDLAVQNVAPIIPDAAVCPGPLELTTRQAVPDRSKWFKPIPWEEQLQMGDEEGRLVYIQNLDIQFGSSDVMELIRDALQLTCNAKTINHPTYDDPNNGKAYAVFKSKNAADAAISKINSGLIVNGRPLYCSKGLLKVPKPPASGALVGHLTISNQKMGRAQRDEQKKAVSTSHCSQPNTIEYDLALDWMLVREKQARKFSILHKKHAEERKLFTAKMGK* >Brasy2G056700.1.p pacid=40067647 transcript=Brasy2G056700.1 locus=Brasy2G056700 ID=Brasy2G056700.1.v1.1 annot-version=v1.1 MGLSSAVSWWEEWQLRVLVLASQSVQWLLFLSAPRRKSAISASFRLLIWLAYLGSDAFAIYALAALFNRHKKQEGSLANGKSILEVVWAPVLLMHLGGQDCITAYNMEDNELWRRHVLTAMSQITVSIYVFCKSWPGGDKKLLQAAIMLFTVGVIRCIEKPLALKTASINSLVSSLDTSAKTYKEKKGGNSSSETNSLKEYVDKVRALFQAEHPTKAQGEGVVEANHLLDHAQGEGDVEANHLPDQAQGEADVEANHLPDQAQGDQGNEPDDETDHRLSRENGIDQVNKLFVDLSSPYHDRLHVTESFLALDENKSYAWLQERLSHTFDLLYTKAKVVPPSPDDVFDESTMEEVNMKIITIKLFWLVASYFRIACSVLPFAAIGLFHRSHRETYNDKDVKVTYTLLCCTAVLEFLSYLMDYFTLQKDEVKKGSK* >Brasy2G053800.1.p pacid=40067648 transcript=Brasy2G053800.1 locus=Brasy2G053800 ID=Brasy2G053800.1.v1.1 annot-version=v1.1 MATATMALSSSTFAGKAVKSLPALGEARITMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK* >Brasy2G413100.1.p pacid=40067649 transcript=Brasy2G413100.1 locus=Brasy2G413100 ID=Brasy2G413100.1.v1.1 annot-version=v1.1 MTRWDPPPPIHLPPRPTMAPSPPSPIRRLRPLSLLPRAAAAAAHFKPLPHPLLSAFSSSSLEKGGMESEQPPPEGPGGSPTQRPEQLTQPRPRKATLTKRALSCLAQPDWQRSTLLASLTPEKAAFVSARCPVPVRALEFLIFLSREHSHKYGPDTFAKVAMRLLESRDRPAAVGRARIHMIKSCSNKAEMTQSLKYLDMFSQRGPKMGLFTYTTLLIQLNKLNMTSAVMDRYHQILREGLQPNLLIYNSVINALCKDGNVRNAESIINKVFKSGMKPDTFTCTSMILGYCRNRDMDSAVEIFNRMDEEGCEPNAATYSTLINGLCNSGRVNEALDFISEMTRHGVLPTVYTFTAPIVALCDMGRIEDAWKMFVDMKKKGCKPNVYTYTSLISGQRVSRMAIGLFHRMSRDGVVPNTVTYSALMNVLMENMEIDSALIVFNMMGKHGCLPNTSSYNELIRGYCTIGDTEKAMSMLTNMLKGRPTPTLVTYNIIIKGYCDSGDTDVAIRVLELMKANGCEPDEWSYTELISGFCKISKMELASGMFNEMMDRGLCPNEVTYTALISGYCKDEKLDCAVRMLERMKRSGCKPNVQTYNVLIHGLTKQNNFSGAEELCKVMLEEKISPDVVTYSTMINGLCNNAATPLALEMFNKMVKHGCLPNLHTYSSLIQALGQEGRVEEAEEMFSELKKQGLIPDEVTYVKMIEVYVMSGKVDRAFDFLGEMINAGCQPTLQTYDVLIKGLQNEMVDHKLVALPNAASTSTFDDQIINKDVISVLSSKLAELDFELSRQLYDALLSRLSRSGRWFEANNLYRSMVSQSLCPNQDTYKHFLISLLRALKVDLAMDVFKHMSDQRCELHLTGYKELICTLCQLHRRKEARFVFEKMLSRALNPDEIVWTILINGLLGAGYKDLCMEFLHFMETNRRKPSFHARTILAREALKE* >Brasy2G413100.2.p pacid=40067650 transcript=Brasy2G413100.2 locus=Brasy2G413100 ID=Brasy2G413100.2.v1.1 annot-version=v1.1 MTRWDPPPPIHLPPRPTMAPSPPSPIRRLRPLSLLPRAAAAAAHFKPLPHPLLSAFSSSSLEKGGMESEQPPPEGPGGSPTQRPEQLTQPRPRKATLTKRALSCLAQPDWQRSTLLASLTPEKAAFVSARCPVPVRALEFLIFLSREHSHKYGPDTFAKVAMRLLESRDRPAAVGRARIHMIKSCSNKAEMTQSLKYLDMFSQRGPKMGLFTYTTLLIQLNKLNMTSAVMDRNRDMDSAVEIFNRMDEEGCEPNAATYSTLINGLCNSGRVNEALDFISEMTRHGVLPTVYTFTAPIVALCDMGRIEDAWKMFVDMKKKGCKPNVYTYTSLISGQRVSRMAIGLFHRMSRDGVVPNTVTYSALMNVLMENMEIDSALIVFNMMGKHGCLPNTSSYNELIRGYCTIGDTEKAMSMLTNMLKGRPTPTLVTYNIIIKGYCDSGDTDVAIRVLELMKANGCEPDEWSYTELISGFCKISKMELASGMFNEMMDRGLCPNEVTYTALISGYCKDEKLDCAVRMLERMKRSGCKPNVQTYNVLIHGLTKQNNFSGAEELCKVMLEEKISPDVVTYSTMINGLCNNAATPLALEMFNKMVKHGCLPNLHTYSSLIQALGQEGRVEEAEEMFSELKKQGLIPDEVTYVKMIEVYVMSGKVDRAFDFLGEMINAGCQPTLQTYDVLIKGLQNEMVDHKLVALPNAASTSTFDDQIINKDVISVLSSKLAELDFELSRQLYDALLSRLSRSGRWFEANNLYRSMVSQSLCPNQDTYKHFLISLLRALKVDLAMDVFKHMSDQRCELHLTGYKELICTLCQLHRRKEARFVFEKMLSRALNPDEIVWTILINGLLGAGYKDLCMEFLHFMETNRRKPSFHARTILAREALKE* >Brasy2G413100.3.p pacid=40067651 transcript=Brasy2G413100.3 locus=Brasy2G413100 ID=Brasy2G413100.3.v1.1 annot-version=v1.1 MTRWDPPPPIHLPPRPTMAPSPPSPIRRLRPLSLLPRAAAAAAHFKPLPHPLLSAFSSSSLEKGGMESEQPPPEGPGGSPTQRPEQLTQPRPRKATLTKRALSCLAQPDWQRSTLLASLTPEKAAFVSARCPVPVRALEFLIFLSREHSHKYGPDTFAKVAMRLLESRDRPAAVGRARIHMIKSCSNKAEMTQSLKYLDMFSQRGPKMGLFTYTTLLIQLNKLNMTSAVMDRYHQILREGLQPNLLIYNSVINALCKDGNVRNAESIINKVFKSGMKPDTFTCTSMILGYCRNRDMDSAVEIFNRMDEEGCEPNAATYSTLINGLCNSGRVNEALDFISEMTRHGVLPTVYTFTAPIVALCDMGRIEDAWKMFVDMKKKGCKPNVYTYTSLISGQRVSRMAIGLFHRMSRDGVVPNTVTYSALMNVLMENMEIDSALIVFNMMGKHGCLPNTSSYNELIRGYCTIGDTEKAMSMLTNMLKGRPTPTLVTYNIIIKGYCDSGDTDVAIRVLELMKANGCEPDEWSYTELISGFCKISKMELASGMFNEMMDRGLCPNEVTYTALISGYCKDEKLDCAVRMLERMKRSGCKPNVQTYNVLIHGLTKQNNFSGAEELCKVMLEEKISPDVVTYSTMINGLCNNAATPLALEMFNKMVKHGCLPNLHTYSSLIQALGQEGRVEEAEEMFSELKKQGLIPDEVTYVKMIEVYVMSGKVDRAFDFLGEMINAGCQPTLQTYDVLIKGLQNEMVDHKLVALPNAASTSTFDDQIINKDVISVLSSKLAELDFELSRQLYDALLSRLSRSGRWFEANNLYRSMKERSSVCF* >Brasy2G067400.1.p pacid=40067652 transcript=Brasy2G067400.1 locus=Brasy2G067400 ID=Brasy2G067400.1.v1.1 annot-version=v1.1 MQQQDAAEDIVIVGAGLAGLAVALGLHRKGVRSVVLESSPVLRTSGFAFMTWTNAFRALEALGLGDKMRSQHLQVQGVRVMSSSTGEVAREIDLRVQGKLGPHEARCVQRNVLLQALEEELPRGTIRYSAKIVSIDEDGDAKIVHLADGSTLRAKVVIGCDGINSVVAKWLGLAKPCDSGRTATRGHAKYPDGHGFEPKFMQFTGKGFRAGLVPCGLTDVYWFLTWSPSPNDKEGIQHEQSAAAMKQFVLGKLRSINAPAEVLEAVERSQMNDVLVAPLRYRPPLSLLWASISKGNACVDGVVLARCLGDAIVGGAESVEAALQRYAGLRRWRSAQVIAASYMVGFMQQSENAALRFVRDRLLSGVLAKVLLMMPDYDCGTL* >Brasy2G182500.1.p pacid=40067653 transcript=Brasy2G182500.1 locus=Brasy2G182500 ID=Brasy2G182500.1.v1.1 annot-version=v1.1 MDQANQPTVLQKFGGQFHLGSSFSEGVRARNMCPSAPAFERRYTTSNYMTQSHFGPAMSAINVPMVSSSPIFANAPAEKGVKSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKEKDGYGKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVLLTGSLQDNFFASFGLGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQIIFFGKKYGSGGA* >Brasy2G199100.1.p pacid=40067654 transcript=Brasy2G199100.1 locus=Brasy2G199100 ID=Brasy2G199100.1.v1.1 annot-version=v1.1 MAAQATLSLRPCATLAPSRTALPRAHTGFAPASRPSPALSLACPPRRLESLRRALAVESDQQGSVVVSEDQEEKPRRYYFLVANAKFMLDDEEHFQEQLQEKLRLYEERSKEQDFWLVVEPKFLDRFPTITNRLKRPAVALVSTDRNWIRFMKLRLDRVLAEQFDAETLEEALASNPVELKFDEPAKWTAPYPKYQSGWWEAFLPPKFSNGTA* >Brasy2G329200.1.p pacid=40067655 transcript=Brasy2G329200.1 locus=Brasy2G329200 ID=Brasy2G329200.1.v1.1 annot-version=v1.1 MEKLRVHRCGGGAVAWTPSPIVALATSPCASQVAAARGDGSLELWLVSPGSVGWHHQLTIQGSAESMVTALVWVPSGAGGRLLSSSIDGSVAEWDLFHLKQKNVLDSVGVPVWQMATEPTDDPLNSENKNSGCASNGHANHNGCTDSDLSYVDDGDSFDDEDDSAKTNSSHRVNEFQRLALACDDGSVRLYNVPESGSLTYYRSLPRVSGRILSVTWSNNAKFIFSGSSDGLIRCWDSTSFHEKYRMTAGLGGAGSGSEFCIWSLVFLRCGTLVSGDSSGTVQFWDSRHGTLLQAHTYHKGDVNALATVPSQNRIFSAGSDGQVILYKASKDEFGLDNEKVAKDQMHKWVYVGYVRSHSHDVRALTMAVPICKEDAPPEEKVVKKIRQHEKPVDSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARDGTVNGDSVMLVQSANWLDVLLVSVQNNLIPSTSSRGDATIRQVVRLKSKGSRKIVSSAISTNGMLLAYSDCVKPCLFALRHKGGKKYALDKVELPKGIPSSQSMMFTVDSSNLVLACRDGKIYVVDIATKEISNIFHPTRKMDGEKPSSKEPPVTKMFLSVDGQWVAAANCFGDVYIFNLEVQRQHWFIPRMNDGSVTSGGFCPENNALVITTSKNEVYIFDVEAKQLGEWSKRYTHHLPRRFQEFPGEVIGLSFPPISSSSVVVYSARAMCVIDFGLPVVQDVQLSNGSVVPAEKINQQKGTKSKIKRKDRDEEMKLEKRNNFDFFAFKDPVLFVGHLLDNSALIVEKRWMDVVEGFGPPVHRHIYGT* >Brasy2G329200.2.p pacid=40067656 transcript=Brasy2G329200.2 locus=Brasy2G329200 ID=Brasy2G329200.2.v1.1 annot-version=v1.1 MATEPTDDPLNSENKNSGCASNGHANHNGCTDSDLSYVDDGDSFDDEDDSAKTNSSHRVNEFQRLALACDDGSVRLYNVPESGSLTYYRSLPRVSGRILSVTWSNNAKFIFSGSSDGLIRCWDSTSFHEKYRMTAGLGGAGSGSEFCIWSLVFLRCGTLVSGDSSGTVQFWDSRHGTLLQAHTYHKGDVNALATVPSQNRIFSAGSDGQVILYKASKDEFGLDNEKVAKDQMHKWVYVGYVRSHSHDVRALTMAVPICKEDAPPEEKVVKKIRQHEKPVDSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARDGTVNGDSVMLVQSANWLDVLLVSVQNNLIPSTSSRGDATIRQVVRLKSKGSRKIVSSAISTNGMLLAYSDCVKPCLFALRHKGGKKYALDKVELPKGIPSSQSMMFTVDSSNLVLACRDGKIYVVDIATKEISNIFHPTRKMDGEKPSSKEPPVTKMFLSVDGQWVAAANCFGDVYIFNLEVQRQHWFIPRMNDGSVTSGGFCPENNALVITTSKNEVYIFDVEAKQLGEWSKRYTHHLPRRFQEFPGEVIGLSFPPISSSSVVVYSARAMCVIDFGLPVVQDVQLSNGSVVPAEKINQQKGTKSKIKRKDRDEEMKLEKRNNFDFFAFKDPVLFVGHLLDNSALIVEKRWMDVVEGFGPPVHRHIYGT* >Brasy2G356200.1.p pacid=40067657 transcript=Brasy2G356200.1 locus=Brasy2G356200 ID=Brasy2G356200.1.v1.1 annot-version=v1.1 MSPGSSSKLRWLWRAPARALGRARDFYVRSVTGCARYVPSDAAFGAYPVLVPVPAPLPRSYSCGSDWGEDDDLRELIRAASQRQRAEQQQEQQREHAVPVGVARSQSTAGRLPSSMARIDEDALCEFGGGEGMYSRSRSYVGGGAEGVRRSRFHGKVATFG* >Brasy2G262900.1.p pacid=40067658 transcript=Brasy2G262900.1 locus=Brasy2G262900 ID=Brasy2G262900.1.v1.1 annot-version=v1.1 MSYPPTSTGTTATRRSAFHIDYGASRRRLAMQPEALARGVITQGSAQLRTLGRSIRTGAAMAAVFQEDLKNTSRKIFDPQDRMLVRLNRSFLISCILSIAIDPLFFYTPRISDSLNGINICIGIDRELALFTAVFRTVVDLFFVARIVLQFRTAFIAPSSRVFGRGELVIDTVEIAKRYCRRFFVADVLSIVPLPQLVVWQFMHRVRGTAVLATKDNLVFVILLQYVPRLARIYPLSTELKRTSGVFAETALAGAAYYLLWYMLASHIVGAFWYMLSIERLSDCWRNACDEFLGCNRIYMYCGRNLEEKEDPGFQEWITITRQVINETCEPQKDGEMPFNYGIYSSAVQSNVIGSLDVTSKILFCLWWGLANLSTLGQGLKTTIYTGEALFSITLATFGLILMAMLIGNIQTYLQSLTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWINTRGVDEEVLIQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLYTEKTHIIREGDPVDQMLFIIRGLLESITTDGGRSGFYNRSLLQEGAFCGEELLTWALDPKSGVSLPSSTRTVMALSEVESFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRREEEEEEGRSNSFKTTILVSRFAANALRGVHRQRSRQADEGEIMIHVPVPKPREPDFGIDD* >Brasy2G066900.1.p pacid=40067659 transcript=Brasy2G066900.1 locus=Brasy2G066900 ID=Brasy2G066900.1.v1.1 annot-version=v1.1 MERSEAVGGGEPDIVIVGAGLTGLAVALGLHRKGVKSSVLESSPVLRASGFAFATWPNAFRALDALGVGDKIRNLHVQAQGLRVFSSSTGEIIKDLDFTSQGKRTEFRCVRRDLLLQTLEEELPGGTIRYSSKIVSIQEEGHVKILHLADGSTLRAKVLIGCDGINSVVAKWLGLAKATGSGRSATRGLAHYPGGHGFEPRFLQFMGHGYRAGLIPCNDTDVYWFYTWSHARNDNGVDGSAAKMKQHVLAELRGSKVPAEAVEVVERSEMSDDAPAAPLRFRPPLSLLWASISKGNVCVAGDALHPMTPDLGQGGCSALEDSVVLARCLGEAIIHGGGGTGTEKERIESGLRKYAGIRRWRCVELIGTAYVVGFVQQSGNPVVSFLREKVLGGVLARRLVKISEYDCGVL* >Brasy2G189200.1.p pacid=40067660 transcript=Brasy2G189200.1 locus=Brasy2G189200 ID=Brasy2G189200.1.v1.1 annot-version=v1.1 MANPADAASAAANDDDAEDLYADLDDQVTAALAAAGESGGSNAKDSDPATDAEADANEAVDLGDELAGYSSSDEDSEDDLHIVLNEDGCAPPPPSAGRCEGWADESEEGEVRGSLVKGLSINDGGPRKLGGFHSQGLLDKTTVPITGQGDLGYQHAFQKDYYFFLPRNRTVFDINIEAFQQKPWRQHGVDLTDYFNFDLDEEGWRKYCFGMKQFTDGARSPAEKSPGMDQESHHNLESIKLMPKSATDCGFEGSNDLAKPKGRAIHVEGGVFERLPSADLWRPRQRDSDVVIQVNMMLSPSNQSTSDDNSTVNDKCMTTKRIVDLGVKCLKDTSLVVDRVVDKEVLNGGSSECTGNKLDVRDSDCTRDHSSSSDMLSEESTEDCYFKRAGRHSNSKALCSDTKLKDVHAKSDFCRHSRKSHLESSTDDSRSYTPSPADDRYHKTIKVARTDEADFRSSGVFMNCQNDSRILKSGHKGKEQKRKGSARVRDDVFEKEEKIADSYPRRYARRYEEKRSSSTFLSNDRHNAVHDQVYEKCDYSPIERSAFRNDVQRLSNISSHRRRSTWHEFSDDEDVVPSFSSVKGWHQRHDNRYRYKSMRKAELSDDIDGRMYRESYYHETRRVQNDHSEDDEFFHNDYRFDELRGPTVRGNYRNRRSAERNDEHLRHPYHLGLSPQANDYPKNFERDWTPPGLTSLRSRNRCIDNNIIQNTKMMQYPHDGYYQNNKHHNSSFHVDGIQQPALYTAASADTGYCVLPVKRKLHADLGPMNRRDFVGLAFPKGRRFTHDQSVICDRRKLYAMEVHSSTKEIGRAAIYSFSDMRNSNTISNIHDERRHERVIFQPKDADSIHLNDRKRKFKRQGNEVRREVGRENEECLPADKDLHSSKHKDVHVKMQKLNGSNHDSVYEDLEKTRYQKSQNGNEEDEIEEGELIEEDHQDQDSFPKSKLNKPRKATLKSVIEASSAGQLEMINAMSKDVCDKEETRECDDKHILEVMEKMQKRWERFKEPVITQNEEEDGKNELLAVACSANDIKNLRPARKRRWGGSG* >Brasy2G394100.1.p pacid=40067661 transcript=Brasy2G394100.1 locus=Brasy2G394100 ID=Brasy2G394100.1.v1.1 annot-version=v1.1 MLRRHHALLVLRRISPANVPPAAWAGGASYHGSALEERKGKSAPLQARGMVDRFRLRARGGDGGNGCVSQRRSRSDRQGRPDGGNGGTGGDVILECSASIWDFSSLQHHTKAGRGGHGLPKNQIGTRGSDKVAQVPVGTVIHLVRGELPFFTVNTPTRSLDPWDIPDAAEQSAASSNQMNHRDSITVNAAERGSGSQWEKQTYSSTGSKTGFLKTEDCNVSSTQHEVEMDESDQFDSEDDEFWEDDVEEEDTEEEREEDDVQYSVAELTRPGQRLIVAQGGEGGLGNVSISKGMRMSKENRLQEIARLSGGQQGTESFLVLELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLTYVLDLAATLNGRKGTPPWEQLHDLVVELEHYQEGLTKRPSLIVANKIDEEGADAMYEELKRRVQGVPIFPVCAILQEGVPDLRVGLRDLMDASDPQGVDLSKIMVD* >Brasy2G394100.2.p pacid=40067662 transcript=Brasy2G394100.2 locus=Brasy2G394100 ID=Brasy2G394100.2.v1.1 annot-version=v1.1 MELADGRWCVQARGMVDRFRLRARGGDGGNGCVSQRRSRSDRQGRPDGGNGGTGGDVILECSASIWDFSSLQHHTKAGRGGHGLPKNQIGTRGSDKVAQVPVGTVIHLVRGELPFFTVNTPTRSLDPWDIPDAAEQSAASSNQMNHRDSITVNAAERGSGSQWEKQTYSSTGSKTGFLKTEDCNVSSTQHEVEMDESDQFDSEDDEFWEDDVEEEDTEEEREEDDVQYSVAELTRPGQRLIVAQGGEGGLGNVSISKGMRMSKENRLQEIARLSGGQQGTESFLVLELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLTYVLDLAATLNGRKGTPPWEQLHDLVVELEHYQEGLTKRPSLIVANKIDEEGADAMYEELKRRVQGVPIFPVCAILQEGVPDLRVGLRDLMDASDPQGVDLSKIMVD* >Brasy2G049600.1.p pacid=40067663 transcript=Brasy2G049600.1 locus=Brasy2G049600 ID=Brasy2G049600.1.v1.1 annot-version=v1.1 MSASASPSLSCGSSRRPDAPQGSPRRPTGRAPPAPGSSRTSSPSPTTHNPHAAPVPGAGGAQGGNDVVRDARAVRGEGVDLPGREQVRRGHAPERALVRAVLREADGAVEHEAVGGVVHGPE* >Brasy2G101900.1.p pacid=40067664 transcript=Brasy2G101900.1 locus=Brasy2G101900 ID=Brasy2G101900.1.v1.1 annot-version=v1.1 MDIKSSPSRGGLREPAAGAWVTVEEWSGTSGSVLSRTAVLTASPTSLISNRYGSRWGRIGGRVLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFAFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALAKNAADISAKEGSQETLATMSGMGLGMLLAHVTRGHDLVVWVSFLSLTIFHMYANYKAVQSLSLVTLNYERTSILLQYFLEDGEVLTPQQVSKQEHILPFWSSWRRLLRTKLPHELVHLGAKASMLTHSDMLLISKTRSYYTDANYFLLDKEGSVHIFIHKQAAATDVLKSFVHGLVLARLMRKSKSCHAEAHQWMDDKYTIFISKLKMEGYSTERLLSHSIVWRAHWLYGSSDEKIK* >Brasy2G343100.1.p pacid=40067665 transcript=Brasy2G343100.1 locus=Brasy2G343100 ID=Brasy2G343100.1.v1.1 annot-version=v1.1 MPTFLLVCAFPSRNQRPRLSPCRRRPDPLLLSSPSRASLSPCCSRAPPTAAVRAVAASSPLCVYLRRIHPPPSSPLPPPTPIYSSPSQPLPLSSSHQIPGRPRRGATSPSSPPPRAPPPADSSAAPAVAKTLSNPASPPLPSSDIREAMALEHEEKQNSRDQYTRDISNQLKAVEALEENNREGAQVVIAVLAGFPDLIRLQLTGP* >Brasy2G087400.1.p pacid=40067666 transcript=Brasy2G087400.1 locus=Brasy2G087400 ID=Brasy2G087400.1.v1.1 annot-version=v1.1 MAGAGAGAPPDRCDLDFADVFGGPPRRSSGNDRLRRSSMDSSFGSASRGRSGIEERLVFGERTSSGSASMTRSGGESGPGERPVFGERTSSERRQLGQEFYRDIFPGGESLSPRRGGASGDRDVFGAPASPGSTSRLRSSFSMKFTRGVDNSVPTSPSRHASNMNDDGTSYAYSVPASPNSSTNSFLDQGAPQQDSRKNPFSWHRYPFLSRFRSQSGEKKDTSHSVHSMDSEYEGTPVSPESFIANNKFHFSFYKWAGKGAFLMLPASVQEKDGHIIGVRSFPQVVVQGIDLIDEDETMSTATAASKSQADYEDFKSGKDDFLETKHSRNSRTKEEPLSLVFDDYMQGDTTKETGTKHSTNNANNNVSAASPTAKSTRPHSREKSRGTRVLKDFIKKFSPEVSPKRKAAPQVQDQSSGKNGSKDGIEEKFSISSLEADEDVKTDKLPTSEVQGRKEKQVLTVDNDMQTRTGKFPGKTDIKPSTSDESIHVKTEEVRGTAGPEESHLEDIEGCVVEHFAEDNALRDDNEKEQIKISESKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVNIIEGSAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFEILQEAWKEFNSVTFGSAKMDE* >Brasy2G087400.2.p pacid=40067667 transcript=Brasy2G087400.2 locus=Brasy2G087400 ID=Brasy2G087400.2.v1.1 annot-version=v1.1 MAGAGAGAPPDRCDLDFADVFGGPPRRSSGNDRLRRSSMDSSFGSASRGRSGIEERLVFGERTSSGSASMTRSGGESGPGERPVFGERTSSERRQLGQEFYRDIFPGGESLSPRRGGASGDRDVFGAPASPGSTSRLRSSFSMKFTRGVDNSVPTSPSRHASNMNDDGTSYAYSVPASPNSSTNSFLDQGAPQQDSRKNPFSWHRYPFLSRFRSQSGEKKDTSHSVHSMDSEYEGTPVSPESFIANNKFHFSFYKWAGKGAFLMLPASVQEKDGHIIGVRSFPQVVVQGIDLIDEDETMSTATAASKSQADYEDFKSGKDDFLETKHSRNSRTKEEPLSLVFDDYMQGDTTKETGTKHSTNNANNNVSAASPTAKSTRPHSREKSRGTRVLKDFIKKFSPEVSPKRKAAPQVQDQSSGKNGSKDGIEEKFSISSLEADEDVKTDKLPTSEVQGRKEKQVLTVDNDMQTRTGKFPGKTDIKPSTSDESIHVKTEEVRGTAGPEESHLEDIEGCVVEHFAEDNALRDDNEKEQIKISESKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVNIIEGSAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFEILQEAWKEFNSVTFG* >Brasy2G087400.3.p pacid=40067668 transcript=Brasy2G087400.3 locus=Brasy2G087400 ID=Brasy2G087400.3.v1.1 annot-version=v1.1 MKFTRGVDNSVPTSPSRHASNMNDDGTSYAYSVPASPNSSTNSFLDQGAPQQDSRKNPFSWHRYPFLSRFRSQSGEKKDTSHSVHSMDSEYEGTPVSPESFIANNKFHFSFYKWAGKGAFLMLPASVQEKDGHIIGVRSFPQVVVQGIDLIDEDETMSTATAASKSQADYEDFKSGKDDFLETKHSRNSRTKEEPLSLVFDDYMQGDTTKETGTKHSTNNANNNVSAASPTAKSTRPHSREKSRGTRVLKDFIKKFSPEVSPKRKAAPQVQDQSSGKNGSKDGIEEKFSISSLEADEDVKTDKLPTSEVQGRKEKQVLTVDNDMQTRTGKFPGKTDIKPSTSDESIHVKTEEVRGTAGPEESHLEDIEGCVVEHFAEDNALRDDNEKEQIKISESKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVNIIEGSAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFEILQEAWKEFNSVTFG* >Brasy2G299500.1.p pacid=40067669 transcript=Brasy2G299500.1 locus=Brasy2G299500 ID=Brasy2G299500.1.v1.1 annot-version=v1.1 MDPQGHAQAEADAAAVLGADPAALMALLGDLMSPANEARSRAERLFHSLRASHPDALALRLAHVLLSPSHQSAPMAAVLLRRLISPGSQAFVYPALTPATQSSLRALLLSASSAPELSKSISKKLSDAVAELATFLLPSNSWPDLLTFLYKAIASPSSPPALQESALNTLARLASHLAAGFPNLHALLLSALSHPSSTDVRVAGLNAAISVIQSLSSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMTMLLDVQDEPAWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAESLPSYLGAEEWKRRHAALVTIAQIAEGCAKVMIKNLDQVVGMVLNSFQDPHPRVRWAAINAIGQLSTDLGPELQNQLHHVVLPALASAMDDSENPRVQAHAASAILNFSENCRPEILTPYLDVIVGKLLLLLQSKSQMVQEGALTALASAADSSQEHFQKYYDGVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMTLQGSQMESDDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVSITSAEGEDGESDDEGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHDEVRKAAVSAMPEILRSAKLAVEKGQAQGRDSSYLKQLSDYIVPALVEAIHKEPETQILTSMLESLNESIQISGTLLDEGQVRYIVDGVKEVITASTNRRSERTERAKAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPSFDELSAYLTPMLVKHKSSEERRVIICIFDDVAEHCREAAVRYYDTYLPSLLDACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQVIPAWLTCLPLKNDLIEAKIVHEQMCAMLEKSDRELLGHNNQYLPKIVSIFAEILCAGKDLATEQTASRIINLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLTS* >Brasy2G299500.2.p pacid=40067670 transcript=Brasy2G299500.2 locus=Brasy2G299500 ID=Brasy2G299500.2.v1.1 annot-version=v1.1 MDPQGHAQAEADAAAVLGADPAALMALLGDLMSPANEARSRAERLFHSLRASHPDALALRLAHVLLSPSHQSAPMAAVLLRRLISPGSQAFVYPALTPATQSSLRALLLSASSAPELSKSISKKLSDAVAELATFLLPSNSWPDLLTFLYKAIASPSSPPALQESALNTLARLASHLAAGFPNLHALLLSALSHPSSTDVRVAGLNAAISVIQSLSSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMTMLLDVQDEPAWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAESLPSYLGAEEWKRRHAALVTIAQIAEGCAKVMIKNLDQVVGMVLNSFQDPHPRVRWAAINAIGQLSTDLGPELQNQLHHVVLPALASAMDDSENPRVQAHAASAILNFSENCRPEILTPYLDVIVGKLLLLLQEHFQKYYDGVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMTLQGSQMESDDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVSITSAEGEDGESDDEGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHDEVRKAAVSAMPEILRSAKLAVEKGQAQGRDSSYLKQLSDYIVPALVEAIHKEPETQILTSMLESLNESIQISGTLLDEGQVRYIVDGVKEVITASTNRRSERTERAKAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPSFDELSAYLTPMLVKHKSSEERRVIICIFDDVAEHCREAAVRYYDTYLPSLLDACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQVIPAWLTCLPLKNDLIEAKIVHEQMCAMLEKSDRELLGHNNQYLPKIVSIFAEILCAGKDLATEQTASRIINLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLTS* >Brasy2G299500.3.p pacid=40067671 transcript=Brasy2G299500.3 locus=Brasy2G299500 ID=Brasy2G299500.3.v1.1 annot-version=v1.1 MDPQGHAQAEADAAAVLGADPAALMALLGDLMSPANEARSRAERLFHSLRASHPDALALRLAHVLLSPSHQSAPMAAVLLRRLISPGSQAFVYPALTPATQSSLRALLLSASSAPELSKSISKKLSDAVAELATFLLPSNSWPDLLTFLYKAIASPSSPPALQESALNTLARLASHLAAGFPNLHALLLSALSHPSSTDVRVAGLNAAISVIQSLSSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMTMLLDVQDEPAWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAESLPSYLGAEEWKRRHAALVTIAQIAEGCAKVMIKNLDQVVGMVLNSFQDPHPRVRWAAINAIGQLSTDLGPELQNQLHHVVLPALASAMDDSENPRVQAHAASAILNFSENCRPEILTPYLDVIVGKLLLLLQSKSQMVQEGALTALASAADSSQEHFQKYYDGVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMTLQGSQMESDDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVSITSAEGEDGESDDEGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHDEVRKAAVSAMPEILRSAKLAVEKGQAQGRDSSYLKQLSDYIVPALVEAIHKEPETQILTSMLESLNESIQISGTLLDEGQVRYIVDGVKEVITASTNRRSERTERAKAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPSFDELSAYLTPMLVKHKSSEERRVIICIFDDVAEHCREAAVRYYDTYLPSLLDACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQV* >Brasy2G299500.4.p pacid=40067672 transcript=Brasy2G299500.4 locus=Brasy2G299500 ID=Brasy2G299500.4.v1.1 annot-version=v1.1 MDPQGHAQAEADAAAVLGADPAALMALLGDLMSPANEARSRAERLFHSLRASHPDALALRLAHVLLSPSHQSAPMAAVLLRRLISPGSQAFVYPALTPATQSSLRALLLSASSAPELSKSISKKLSDAVAELATFLLPSNSWPDLLTFLYKAIASPSSPPALQESALNTLARLASHLAAGFPNLHALLLSALSHPSSTDVRVAGLNAAISVIQSLSSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMTMLLDVQDEPAWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAESLPSYLGAEEWKRRHAALVTIAQIAEGCAKVMIKNLDQVVGMVLNSFQDPHPRVRWAAINAIGQLSTDLGPELQNQLHHVVLPALASAMDDSENPRVQAHAASAILNFSENCRPEILTPYLDVIVGKLLLLLQEHFQKYYDGVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMTLQGSQMESDDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVSITSAEGEDGESDDEGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHDEVRKAAVSAMPEILRSAKLAVEKGQAQGRDSSYLKQLSDYIVPALVEAIHKEPETQILTSMLESLNESIQISGTLLDEGQVRYIVDGVKEVITASTNRRSERTERAKAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPSFDELSAYLTPMLVKHKSSEERRVIICIFDDVAEHCREAAVRYYDTYLPSLLDACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQV* >Brasy2G099000.1.p pacid=40067673 transcript=Brasy2G099000.1 locus=Brasy2G099000 ID=Brasy2G099000.1.v1.1 annot-version=v1.1 MASCSKRPAPAAAADDETCCKRRRFRIGSTADYEETVCLGKGGFGAVIKARHRVTGETVAIKFPLPPDEIDGEPADVLREARFLEAACDGNPHVVASHGLLRDPPTNTLCLAMEYVDGPSLHAFLHKRRGGPPLPESVVRSLMLHLLTGADKMHKRAIVHRDIKPENVLLGEDGKTAKICDLGLAMSLKTEPPYTEAGTLPYMAPEILLGKPDYDARVDTWSLGCVMAELITGETLFGDGRDEDGQLLDIFRVLGLPDEKTWPGFTALPHAEMPQLLFRLEEEEGRQQQKTGLGQLFTQEMLSEDGFQVLEGLLACNPDERLTAAAALELPWFAPAVVQPIEIMPPATPAKKKNVLRIKKPKKNVLRIPLAMWMKGQPV* >Brasy2G128400.1.p pacid=40067674 transcript=Brasy2G128400.1 locus=Brasy2G128400 ID=Brasy2G128400.1.v1.1 annot-version=v1.1 MLGLWLRHETGHDETARLLLSRGASVDISFFNGTPLHIAAAYGKIGVMRVLLEHHADPNKVSEILGTPLVAALHASSQGLPESISLCVKLLVKAGADVNSTNPDTPLVVATTHGLTDCIKYLLKAGADPNIPQSLWSQANRARSKLWKKKGCGTTISFNFSNSNYIKLDC* >Brasy2G310400.1.p pacid=40067675 transcript=Brasy2G310400.1 locus=Brasy2G310400 ID=Brasy2G310400.1.v1.1 annot-version=v1.1 MATSTSTSSGDESSPPAPSDLAVLPSYPEMIVEAIASLAEDNGSSQAAIARRIEAARGAAGELPPSHPALVAAHLSRMSATGRLVAVAGGKYALPPPPVGRPAVEVEEEEEEEEEDDGSPDDAPPPPPPKRGRGRPPKVRPPPGYPGGPPSAIGAPSDGLSVAAAAPAAPRRRGRPPKPRDPHAPPKVPRPRGRPRKNPLPDGMMPVPRPSSTTTKPRPQFAEVGFV* >Brasy2G138400.1.p pacid=40067676 transcript=Brasy2G138400.1 locus=Brasy2G138400 ID=Brasy2G138400.1.v1.1 annot-version=v1.1 MSTKMEAAQRRFRLWFRGLRSLRRDLRSARWADDPARLGKLVGGFVAHFSDYCVARAELDPVLLLSAPWASPAERGAAYWLAGWRPTTVVHLLYTESSRRFEAQLPDLLLGVRSGNLGDLSPAQLAQIDELQRRAVAEEDALSREMARLQEGHGAVLIGGDLDVGGIVRRAGAVVAGADALRLSTLKRAVEILEPAQAAELLVAMADMEIGFREFGLKYGDGGEPSSGA* >Brasy2G384800.1.p pacid=40067677 transcript=Brasy2G384800.1 locus=Brasy2G384800 ID=Brasy2G384800.1.v1.1 annot-version=v1.1 MAQEAEETGVHAPEAPILCINNCGFFGSQMTENMCSKCYRDTVKVKTVAAVAEKKAVVASSSTSLVTEIKDEVSASVKDGKQVVEEEAPKPPSNRCLSCRKKVGLTGFRCRCGDTFCSMHRYADAHNCTFDYKQAGREQIAKQNPLVKADKVTKI* >Brasy2G384800.2.p pacid=40067678 transcript=Brasy2G384800.2 locus=Brasy2G384800 ID=Brasy2G384800.2.v1.1 annot-version=v1.1 MAQEAEETGVHAPEAPILCINNCGFFGSQMTENMCSKCYRDTVKVKTVAAVAEKKAVVASSSTSLVTEIKDEVSASVKDGKQVVEEEAPKPPSNRCLSCRKKVGLTGFRCRCGDTFCSMHRYADAHNCTFDYKQAGREQIAKQNPLVKADKVTKI* >Brasy2G008500.1.p pacid=40067679 transcript=Brasy2G008500.1 locus=Brasy2G008500 ID=Brasy2G008500.1.v1.1 annot-version=v1.1 MEATIADKEQPAAATSASPEVRLDITAPAKGPYRPHRPRRVPQWVRDANKHAYAPKFMCFGPYHYNHVGLRHEELKERYATQLLKDATEPHDEARRHQLRRISEQRLREMITDIREFYADVDPSEAAEKNDDRSVGEDDVPGRADVDPSEAAEKMTTEAWVKMMFLDGCFILNHLYNYARGINEKELHQTRWAPAQLRSDLTLLENQIPFAVLLALLDHLDPRDLLLRSADKKRRRLLDMALWYLLRGSYSFPPEKRPTDELGVDPEKPIDHLLHLLHEAHCAQLDAAQKRRPPERDLQGFVSALPIRLMRLLRCLCMFSYEPGLGDIGLDGDRKENVPSAAELKAMGIRITRTTTGPGPHHGGTPLLDVRFMEDRVRLEIPPLYVEQTTAPLLQNLIAFEQQVGADGGDHDSDGGARDSVGARDYCTTYAFLMYNLVSTREDITLLQDLRILHNNFGSDKKVINYFKNLCMWNRRSGSTPIDKVLEGLRNCRVLHAYHDWAEVKNYINSPVKILLLIISAMVALSTVMQALLAIQPPTGH* >Brasy2G008500.2.p pacid=40067680 transcript=Brasy2G008500.2 locus=Brasy2G008500 ID=Brasy2G008500.2.v1.1 annot-version=v1.1 MTTEAWVKMMFLDGCFILNHLYNYARGINEKELHQTRWAPAQLRSDLTLLENQIPFAVLLALLDHLDPRDLLLRSADKKRRRLLDMALWYLLRGSYSFPPEKRPTDELGVDPEKPIDHLLHLLHEAHCAQLDAAQKRRPPERDLQGFVSALPIRLMRLLRCLCMFSYEPGLGDIGLDGDRKENVPSAAELKAMGIRITRTTTGPGPHHGGTPLLDVRFMEDRVRLEIPPLYVEQTTAPLLQNLIAFEQQVGADGGDHDSDGGARDSVGARDYCTTYAFLMYNLVSTREDITLLQDLRILHNNFGSDKKVINYFKNLCMWNRRSGSTPIDKVLEGLRNCRVLHAYHDWAEVKNYINSPVKILLLIISAMVALSTVMQALLAIQPPTGH* >Brasy2G441500.1.p pacid=40067681 transcript=Brasy2G441500.1 locus=Brasy2G441500 ID=Brasy2G441500.1.v1.1 annot-version=v1.1 MAIPLQPKKQDDSRKGGGGGQSSAMEDGDGDNPSAMAELRDLWRMALPITSLNLIVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLATGLEPVCAQAFGSKNHHLLTLALQQSVLLLSLAAVPIAVLWLNAGPILVALGQDPAIAAAAASYAAWALPDLAAGAVLQPLRVYLRSQGVTKPMAACSALAVAIHVPLNLILVFVLGAGVRGVAAAQALTNFNMAVFLVGYVRWAGLCEGTWKGFAPPREVARGLGGLARLAVPSCLGVCLEWWWYEVVTVLAGYLPNPTAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGAGKPRRARTAAMVALWCSLGVGLAHAVWTAFFSAQWVSLFTREPSVVALASAAMPVLGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVALAFGGGSRRAGFGFGFGGLWYGLLSAQAACVVLVLLVVVFRTDWRVEAMRARKLTGAEPSAMEMMIATATNGVEEEKKCLMVVAGNGREVDVDV* >Brasy2G454700.1.p pacid=40067682 transcript=Brasy2G454700.1 locus=Brasy2G454700 ID=Brasy2G454700.1.v1.1 annot-version=v1.1 MNKEKLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGTPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGAAQIKEENDDDVPELVPGETFEEVAQETKA* >Brasy2G474100.1.p pacid=40067683 transcript=Brasy2G474100.1 locus=Brasy2G474100 ID=Brasy2G474100.1.v1.1 annot-version=v1.1 MANYPGNPPWTYDDSQPWIPFFYQSPYDTRADGTPVSGIMYYRPHPPRQPEQSRPAPVFEDGATKVVCLTQMIPADARDLRDDELYEDLLDEVEDEAWKFGDLVGVVIPRPGPGGGAPAATGVGRVFLEYADLEGSNSCKTKLHWRWFGGNRIVAAFYPEDKFAGGDYGAPVPLIPPINV* >Brasy2G474100.2.p pacid=40067684 transcript=Brasy2G474100.2 locus=Brasy2G474100 ID=Brasy2G474100.2.v1.1 annot-version=v1.1 MANYPGNPPWTYDDSQPWIPFFYQSPYDTRADGTPVSGIMYYRPHPPRQPEQSRPAPVFEDGATKVVCLTQMIPADARDLRDDELYEDLLDEVEDEAWKFGDLVGVVIPRPGPGGGAPAATGVGRVFLEYADLEGSNSCKTKLHWRWFGGNRIVAAFYPEDKFAGGDYGAPVPLIPPINV* >Brasy2G474100.3.p pacid=40067685 transcript=Brasy2G474100.3 locus=Brasy2G474100 ID=Brasy2G474100.3.v1.1 annot-version=v1.1 MANYPGNPPWTYDDSQPWIPFFYQSPYDTRADGTPVSGIMYYRPHPPRQPEQSRPAPVFEDGATKVVCLTQMIPADARDLRDDELYEDLLDEVEDEAWKFGDLVGVVIPRPGPGGGAPAATGVGRVFLEYADLEGSNSCKTKLHWRWFGGNRIVAAFYPEDKFAGGDYGAPVPLIPPINV* >Brasy2G084700.1.p pacid=40067686 transcript=Brasy2G084700.1 locus=Brasy2G084700 ID=Brasy2G084700.1.v1.1 annot-version=v1.1 MARGQITEITVLLLLLGLLLLSATRTSLAQQQQSNASSTSTSHGGRTAGGFTPTTVVVLVVLISAFVLLTLFSIYINRCAAHHPRRAFAGASPRPRIAAASLDGATQYDSAGHCRPRGLDRELVEAFPTAVYGDVKSRMATMSGPLECAVCLAEFDDADDLRILPACCHVFHPGCIDPWLAAAVTCPLCRADLTAPPPAADLTADQEIPMVQEEEEECAAAPSPVSSSFMPESETCYSTTWRHEFTGDGAECCYRYRRTLSAMDAAPDRHTLRLPENVMKELAAVRRHRRAASLAEYPDAAAAERTTPGWLASFLRSMSRQRQSRADSGSDYAAGEDQQHGGSNQIYPVAGEKPGGSGSGGGEEKKCSDVDALNWV* >Brasy2G404900.1.p pacid=40067687 transcript=Brasy2G404900.1 locus=Brasy2G404900 ID=Brasy2G404900.1.v1.1 annot-version=v1.1 MRTPALLLLLVLASFQRAAATDTDYPLGPEKVTNLHFFMHDTLSGKDPSAVAVAHGAGANFTPRPENLFPFSSVYVFDDVLTEGRERSSRVVGNAQGTYVVTAKDEKTILMAADYQLADHQNSSFAVFTRNPVGVDGRELTVVGGRGAFRMARGFAILPSHYLNTDNGDAILEYNVTLFHH* >Brasy2G045700.1.p pacid=40067688 transcript=Brasy2G045700.1 locus=Brasy2G045700 ID=Brasy2G045700.1.v1.1 annot-version=v1.1 MILLQSPSRFLLQILKERVLSGDKGVDIDCQTVEFDDVRYHIQFSMRNPKAMVLSVALPLAPPEAILYDGLPLGAIDAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNALLTQIASVREVVLGAPLKLLLKHLATKTVAPNVDKLVALVHRPNESFFLALQPDKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALSNAPSCMWSPVPPLELKGMDADALNANAGFVTFVVSPRHVEGRKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVHGASFRRMSLNEGN* >Brasy2G331600.1.p pacid=40067689 transcript=Brasy2G331600.1 locus=Brasy2G331600 ID=Brasy2G331600.1.v1.1 annot-version=v1.1 MQNPRPPYAHHQLQQHLASLLSAAAGDPPHPSDDASRAAALSSLRLSLLHQPNRPLLPSLAPFLAPPLSVLLADDASYAVRRAAVAAYAALSAVLCAHEAPGGLPDGFVAWALPLLGEPASAPLVAEGLRELLSTGDVPAVERFVPPLLASCRDVLEDDRTSLAVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLADTDCRTILDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVQDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVVGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVKFVDVLVQSLENASSLQLVAALKTNLQVLSLQNLGLRATAVEYLLDFSSLLSRLRLHPNHNVVVNSSATYLFCLQHGSEDVIDQAIASLMKELELLKSLLEKVQVSNLDIHSLSLNNNTLAGYSEHQLLSIMKFDLKILLATISADYKSNRRVTSLASFISEKLDPFGTPFDDFLELQFQIFSTLHKLSNVELSSNIKTSEVFEKGPGDSGSQTQMIAESKKSFCDCKMKFMHKYGKHIVWGLNASSSMTLKLDALDWIDSFAKLVLTMERGLDKVGLSHEVFGDATVANNVLFTILDCAYDRETKVRCHVALSLEALFLGRLIDPMSFSVVSQVLLDKLSDPDNDVKNGFLRLFSIALPITTYTFGLLEDGRSFQNSLDTSNISKDCMSLRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMFSGQIDVTGDADWNELFKWPDVDRTIIDRMYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAHESNEGEGRYIGPSDICLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALQCSDAVIHYCSLRLVDLRNLAASSLKDSSRMGGATESYRALRERLEVDVLKVLQHASLALCRCHETDALIGLQRWAVSTFYTYFELDKQLMRGVSDSHKHFSWMSGLIYQSQGQYEKAAAHYSHLLQSEEALTSMQSDVIQYIIARVIECYTALSDWKCLEGWLAELQELRAVHAGKPYSGALTSAGNELNAVHAMACFDEGDFHSAWSYIDLTPKSSSELTLDPKVALERSELMLLRAMLQSDSKPDRAREDLDKAKLILDEALSVVPLNGLTEAAACAGQLHCIFAFQEATGLTRQNGPNQSPQLMDYVQRLLQDPIDRIHQDCSMWVKVLKVYRTTHPSSLPTLLLCRKLASLARKQSNFMLAGRLNQYLINHPLDSSDDMDKQMLTLNIKYEGAVLKYHEGKIEEALTDLWLLVRPSVLSTGNDSSGIDNSLIAKACLKLSAWIEHEGSTPTLNVVLPKMIKDFSDFDGFQNGAEKFPSGDSGSVSTSNHDALAQEIVGSARKISWQLCPSMGKAWLSYASWCFTHASRSLSGPDSNLQNQLSSILQSELSPDRYHLIDNEKSEVERIIRSFCVNKNADYADHNFPETTGCSYNSEQEYSITSLIEHATRLLETAAGAPGSEAGDGEGAYAVLSSDLTVLFCKCDSTKDNAMPLIDKLIEIWWSLRRRRVSLFGHAANAFFHYLSHSSTELQPSYHRDSLKGKTRGYTLRALLYILHIILNYGVELKETLESGLSSVPLLPWQEIVPQLFARLSSHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINASEGEPSEELQRILDFLVKLYPKLIKDVKLAIDELGMITVLWEEQWLSTLQDLHSDVIRRINILKEEAARVSANSTLTSAEKNKINAAKYSAIMTPIVVALERRLASTSREPRTSHEMWFHKEYNGQLRSAITTLKIPPASSAALGEIWRPFDSIAASLATHQRKSCILLSEIAPQLAALSTSDIPMPGFEKQILGSPESSFAGNHGTITVSSFCKEVTILSTKTRPKKLVLQGSDGHKYIYLLKGREDLRLDSRIMQLLEAINSFLHYSSDTRSRDIAIRFYSVTPISGRAGLIQWVDNVSSIYNVYKSWQKRSQVAQAQLSSVSSGNVNSVPHVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCSSEGFRNFNSKVKRFSSSLAAMSIVGHILGLGDRHLDNILMDFSNGDVVHIDYNVCFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCETVLSALLKNKDIILMLMEVFVWDPLIEWTRGNMQDEAGIAGEEKKGMELAVSLSMFSSRIQEIRVPLQEHQDLFVTNLPATLAALKKFLDILDQYEVISAMFYHAEKERSSALHNETSAKLIFAEATSVVEKSRTSFELHAHELAEAKAATVDEANKLAIWVEKHGRVLEAIRDNSITGAESCMQLDSKDEALSLISAVLVSGVPLTVVPDPTRAQCYELDREVSQLITELHDGLSCALEALGEYALVLQQVLPVNYITTSPITGWAQALQLSVTSSSQDMLTLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVACVERIATECSELMNSVGLNNEAQSKDRILSAFVNSVHLLSQNNDEDSISFSQTDNLEVKTPSQEDVQEKTSKVLSILGIAVGKLYSEVRTKVSELSSKAVRISKFRTDEAGVQADAGMNLQLIDQQIKKCALVSGFVNEVHEVIGIKIGEMNTDYAKPRPGQRASTFQAILHSSTNMIEQMTEVFLPEIIRSFVSYSSEVMEAFGSVSRIRGSVDTALHKLTEVEFERASLSELEQSYSMKVGLITEQQAALEEAAMRGRDHLSWEEAEELASQEEACRAQLEQLQETWGQKDMRISSLIKVEANVMNSLLSSEQYFSSLVNIDQENEFHSRSKALLSILTKAFADLESLDHMLSSRGAFTSHIDGSLSNLRDVLAASSSLSDVMWPLSGLLKDHTFFVWKLSLLDSILDLCMREISSSVEHSFTTNQLYITLKKKLALHVEEQVCQYIFKRIAPALILHLDKEIRDLLQVSQGRRESGQPKRDSAAVGRAAVMLEEYCNAHETDRAARTAISLMRRQLNELTEALRKIVLEIVQVEWLHDLSSPHAQKAKVFSQNILKDDKFISMLLNLSRSNLLDKIQSSVSLVTRSIECLQACESTSASAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHDHLLGRRKLLREIQEQASDLVKSCSSVLEFEASRDGLYLISEDKSSGQSTDKGRAWQQTFLNLLTRLDAAHHSFTCAEQEWKLGQLNMETAGKGLYSATNQLSVVSVKAKSALVNLQDTLVDLYERACEVSSSLSGFKHISQDRTALTAESGSLLEEVLAIAEGLHDVYTLGKEAAALHSSLVTNISTANTILLPLEASLSADLAVMSEAMSKEREKNNTSMPLVHGKALYQSYIIRVREAYKNLEPLVPPLTEYVKELHSVVIKLGRLSSLHAGNIHKALEVLEESETGRSEDMPSARPDLLQSDSSIEKDKSSSGSREGASQDLIMDTDVSLEDECWISPPEHSYTSSSEFTTGLTQLTSEKLEKIDALLDGDPGIEGPCANSQETRDGRTDSESDSSSNKHASLNNVTLTQASNIHEAETSLVEEGKIETENNIGAFKQVRGQECDSSDNKSYSDSVTRARGKNPFALSILKQVEHKLHGWDIDGTRSFKISEQVDHLVKQATSIDNLCNMYEGWTPWI* >Brasy2G059400.1.p pacid=40067690 transcript=Brasy2G059400.1 locus=Brasy2G059400 ID=Brasy2G059400.1.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDAGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >Brasy2G059400.4.p pacid=40067691 transcript=Brasy2G059400.4 locus=Brasy2G059400 ID=Brasy2G059400.4.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDAGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >Brasy2G059400.5.p pacid=40067692 transcript=Brasy2G059400.5 locus=Brasy2G059400 ID=Brasy2G059400.5.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDAGDPSLHMQAGIPSLHRQAPPIPISSVCSGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >Brasy2G059400.2.p pacid=40067693 transcript=Brasy2G059400.2 locus=Brasy2G059400 ID=Brasy2G059400.2.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDAGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >Brasy2G059400.3.p pacid=40067694 transcript=Brasy2G059400.3 locus=Brasy2G059400 ID=Brasy2G059400.3.v1.1 annot-version=v1.1 MGTLLHLICFYIYFLLISILFFILPDLRKRRRPAAASDAGPRSTPAPPPSQTLPPFRRKQILWRGYLYGYRI* >Brasy2G127700.1.p pacid=40067695 transcript=Brasy2G127700.1 locus=Brasy2G127700 ID=Brasy2G127700.1.v1.1 annot-version=v1.1 MAQAHAALALYILLVHAVAWHAQAASYNVGNSAGWDISADLPSWADGKTFNVGDVLVFQYSKYHTLDEVDAAGFKNCSAADALFSSSDGNTTVPLTANGDRYFICGNQMHCLGGMRLQVHVGSPGSGAGGAPADADGTQASPGAALGPAAGTGSTDDAGIPTLVLGGSHRLGAGGVLLATWWMCAALLL* >Brasy2G250700.1.p pacid=40067696 transcript=Brasy2G250700.1 locus=Brasy2G250700 ID=Brasy2G250700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDLNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYLPRGFITCENKFFTLQGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKVDAYVFPYSPQLLPRF* >Brasy2G048500.1.p pacid=40067697 transcript=Brasy2G048500.1 locus=Brasy2G048500 ID=Brasy2G048500.1.v1.1 annot-version=v1.1 MDKFAPNNAKKAMEDEEEKPKVPSADPDQNLTDLVAGQQPQLQREHQPPNISEMKPLTREAYGGGMYGSEEGGGHRRKPGRPRASATQSADGPEEPVGRPRHPPPPSTGDRDLDITGQSYIQ* >Brasy2G338100.1.p pacid=40067698 transcript=Brasy2G338100.1 locus=Brasy2G338100 ID=Brasy2G338100.1.v1.1 annot-version=v1.1 MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVVQVMVKSHEKLTVKPGTMCYMSGNIQMDNNYLHENDGGMWQWIFGKSITSTVFFNPGPDDGYVGISAPFPGRILPIDLANFGGELLSQADAFLCSVNDVSVTSTADQRPRNNEIGTEMILKQKLRGQGMAFIVGGGSGCSNFGRFCSLLLLVMQKILSPREVITVDAACIVAMTTTINFQLKNHTQLRRAVFGGDNQLTASLTGPGVVFIQSLPFTRLSQRIASRSMAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV* >Brasy2G338100.2.p pacid=40067699 transcript=Brasy2G338100.2 locus=Brasy2G338100 ID=Brasy2G338100.2.v1.1 annot-version=v1.1 MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVVQVMVKSHEKLTVKPGTMCYMSGNIQMDNNYLHENDGGMWQWIFGKSITSTVFFNPGPDDGYVGISAPFPGRILPIDLANFGGELLSQADAFLCSVNDVSVTSTADQRPRNNEIGTEMILKQKLRGQGMAFIVGGGSVMQKILSPREVITVDAACIVAMTTTINFQLKNHTQLRRAVFGGDNQLTASLTGPGVVFIQSLPFTRLSQRIASRSMAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV* >Brasy2G128500.1.p pacid=40067700 transcript=Brasy2G128500.1 locus=Brasy2G128500 ID=Brasy2G128500.1.v1.1 annot-version=v1.1 MFYTEAMELDPTDATLYSSRSYCRLQMTEANSALDDANICIKLRPEWLKGYYRKGAALMFLKEYKEACDAFMVGLKLDPGNAEMDKALREAVEEMKKDHFARKSYKPSC* >Brasy2G437700.1.p pacid=40067701 transcript=Brasy2G437700.1 locus=Brasy2G437700 ID=Brasy2G437700.1.v1.1 annot-version=v1.1 MMLQQQLPQPTRMHWARADASDFGGEIPAPRSGHTAVGIGKSKVVVFGGFADKRFLADIAVYDVENRLWYTPECTGSGSDGQAGVGPSPRAFHIAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYVMDTMSLEWTELSVAGSAPPPRCGHSATMIEKRLLIFGGRGGTGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSARCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNDCIVLDRVSVQWKRLPTSNEPPPPRAYHSMTCIGPRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPKVGSDSNHSTVTGDAQQSALKEPQSGESPMLDLAKRLGIPLSLETSASFIDEINDKELMELSSRFIGESLPTSDQLACIEALRDHWRSSPSSSIQLQELGPLLRDYQRLIIRCYVENPTPTFLEKEDRRLFHLKNASQLRMDDIPILLSEYRTLLST* >Brasy2G437700.2.p pacid=40067702 transcript=Brasy2G437700.2 locus=Brasy2G437700 ID=Brasy2G437700.2.v1.1 annot-version=v1.1 MMLQQQLPQPTRMHWARADASDFGGEIPAPRSGHTAVGIGKSKVVVFGGFADKRFLADIAVYDVENRLWYTPECTGSGSDGQAGVGPSPRAFHIAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYVMDTMSLEWTELSVAGSAPPPRCGHSATMIEKRLLIFGGRGGTGPIMGDLWALKGVTEEGWTQLKLPGQSPSARCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNDCIVLDRVSVQWKRLPTSNEPPPPRAYHSMTCIGPRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPKVGSDSNHSTVTGDAQQSALKEPQSGESPMLDLAKRLGIPLSLETSASFIDEINDKELMELSSRFIGESLPTSDQLACIEALRDHWRSSPSSSIQLQELGPLLRDYQRLIIRCYVENPTPTFLEKEDRRLFHLKNASQLRMDDIPILLSEYRTLLST* >Brasy2G361200.1.p pacid=40067703 transcript=Brasy2G361200.1 locus=Brasy2G361200 ID=Brasy2G361200.1.v1.1 annot-version=v1.1 MGRPSRRGLLAAALLLLFIFSAAGALGASAARTGRRSRGALEGEKYWWDPAASAAGRRRELVGPGSSPPTCRSRCGGCRPCRPVHVAIQPGVSFPLEYYPEAWRCKCGDRLFMP* >Brasy2G333900.1.p pacid=40067704 transcript=Brasy2G333900.1 locus=Brasy2G333900 ID=Brasy2G333900.1.v1.1 annot-version=v1.1 MSRLPPRCHQNPWLPSQQKQPHQQRVPQPSDMDDVVWPLIYQAMESHMSPALLSASHADKLAYRRTILYKRTVQVSQSRTILHPSRYMPQWS* >Brasy2G185800.1.p pacid=40067705 transcript=Brasy2G185800.1 locus=Brasy2G185800 ID=Brasy2G185800.1.v1.1 annot-version=v1.1 MFPKKGPNPYGQPPQYGAQQAYGKIPGSGGYVTSTAASVGTDGARFGGNTAPGTVGQYGGPYTAVYGAQKVGGLAANGPGSSGLPSLQAHQTALSEPSKFSSAAVGSSLGRPNDDYLASRGYAPKLGQYNADYELERRMYGEQSANIGRREGLNDLGRRYPDHIPGSHQVSSARHQQPINTQLQPGSDIRQADYFAGRTGPIHHGSQEVGAYGRVKADHHSLPILGSVPYGGQQPASVLGGTIRTNMDSLVYGQGSSSSGYGVGLPPGRDYASGKSLLHASSESDYRDSILPRVHPGISMVDERAGDRVGYRHELDIRDDERRRDFLWEREKEREWEREQDLRDREMDLERERDRERERERQRERERERERERERERERERREKERERHRKHVLVPRRERTPPRILGERRRSSSVKSEKPLRRLSPRRDAVHRHRSPVKQIKREYVCKVLPFRLVDAERDYLSLAKRYPRLTISPDVSKVVFNWPRENLNLSMRTPVSLEHDIHEVDGKFDERTVLSSEKSYSTNSSDTIWNAKVLLMSGMSNGAFADICSLRSAEERIAHWNNVLKFAVLKKDRALIAIGGPWNATIDGGDPLIDCSCLIRTAIRFVKELVQVDLSKCTHWNHFLEVHYNRIGKDGLFSHKEITVLFVPNLSECVPSVDLWKSTWIGYIKSKADREKLTIKNEKVPCDAKEQKKGTDDGHLKEGKEQKKGTDDGHLKEGTEQKKGTDDPHLKEGDIAKDATKMDEQGKNGETKLAENDGKELAKVEEPNKGEDVQGNMSGDASVDRAVEDKKPIRRKVIRKVLRKVVRGKQTAEAVNKTMEESIKQTTEDVSKEKVGASSSQQPDTKKSGKKKVIRRIVKRKIPASGSNLSTPPVPAEISQGAELQQENNESLTDAGNSEIKLAEVPKIAPREISDQKKEEKPDKGHARTEDQKLNGDKVTGHELLKGKDINKDGGDETKDNTKDDKEKRSKDLKKDPKQKSLKDVREKKKSDEPPKHPGLILQTKKSKESKLRSISLSLDGLLDYTTNDTEDSVFELSLFAESFSEMLQFRMGCIILSFLEKLHKQYVTKKNQLKRPREEDLQKEDDKSSEKRLKTTNDTQTESTPNNNNAVKIDEIIEDAEKKTSTDQIPIAHAEPEAEEKMMDDDSEYEEDPEEVEMCEEDDEDMDAAAEQQVEGNLNSTEVKPEEVTEEDKGNKKVGNEIELDCVHGKPVSGEDRSATADKGDLVKVGENVVSKEGKAALSQKGDSPRNEVVDKDLLQAFRYFDRNRVGYIKAEDLKCIVHNLGKFLSNKDVKELVQTAVAESNSARDNRVMYTKLVKMVDL* >Brasy2G185800.2.p pacid=40067706 transcript=Brasy2G185800.2 locus=Brasy2G185800 ID=Brasy2G185800.2.v1.1 annot-version=v1.1 MFPKKGPNPYGQPPQYGAQQAYGKIPGSGGYVTSTAASVGTDGARFGGNTAPGTVGQYGGPYTAVYGAQKVGGLAANGPGSSGLPSLQAHQTALSEPSKFSSAAVGSSLGRPNDDYLASRGYAPKLGQYNADYELERRMYGEQSANIGRREGLNDLGRRYPDHIPGSHQVSSARHQQPINTQLQPGSDIRQADYFAGRTGPIHHGSQEVGAYGRVKADHHSLPILGSVPYGGQQPASVLGGTIRTNMDSLVYGQGSSSSGYGVGLPPGRDYASGKSLLHASSESDYRDSILPRVHPGISMVDERAGDRVGYRHELDIRDDERRRDFLWEREKEREWEREQDLRDREMDLERERDRERERERQRERERERERERERERERERREKERERHRKHVLVPRRERTPPRILGERRRSSSVKSEKPLRRLSPRRDAVHRHRSPVKQIKREYVCKVLPFRLVDAERDYLSLAKRYPRLTISPDVSKVVFNWPRENLNLSMRTPVSLEHDIHEVDGKFDERTVLSSEKSYSTNSSDTIWNAKVLLMSGMSNGAFADICSLRSAEERIAHWNNVLKFAVLKKDRALIAIGGPWNATIDGGDPLIDCSCLIRTAIRFVKELVQVDLSKCTHWNHFLEVHYNRIGKDGLFSHKEITVLFVPNLSECVPSVDLWKSTWIGYIKSKADREKLTIKNEKVPCDAKEQKKGTDDGHLKEGKEQKKGTDDGHLKEGTEQKKGTDDPHLKEGDIAKDATKMDEQGKNGETKLAENDGKELAKVEEPNKGEDVQGNMSGDASVDRAVEDKKPIRRKVIRKVLRKVVRGKQTAEAVNKTMEESIKQTTEDVSKEKVGASSSQQPDTKKSGKKKVIRRIVKRKIPASGSNLSTPPVPAEISQGAELQQENNESLTDAGNSEIKLAEVPKIAPREISDQKKEEKPDKGHARTEDQKLNGDKVTGHELLKGKDINKDGGDETKDNTKDDKEKRSKDLKKDPKQKSLKDVREKKKSDEPPKHPGLILQTKKSKESKLRSISLSLDGLLDYTTNDTEDSVFELSLFAESFSEMLQFRMGCIILSFLEKLHKQYVTKKNQLKRPREEDLQKEDDKSSEKRLKTTNDTQTESTPNNNNAVKIDEIIEDAEKKTSTDQIPIAHAEPEAEEKMMDDDSEYEEDPEEVEMCEEDDEDMDAAAEQQVEGNLNSTEVKPEEVTEEDKGNKKVGNEIELDCVHGKPVSGEDRSATADKGDLVKVGENVVSKEGKAALSQKGDSPRNEVVDKDLLQAEDLKCIVHNLGKFLSNKDVKELVQTAVAESNSARDNRVMYTKLVKMVDL* >Brasy2G494300.1.p pacid=40067707 transcript=Brasy2G494300.1 locus=Brasy2G494300 ID=Brasy2G494300.1.v1.1 annot-version=v1.1 MALNERLSKFKQQQERCQTTLSSIAATQASSTKSQIAPRSKPANAPSAPARPTQAIKFSNDTERLQHINSVRKSPVGAQIKLVIELLYKTRLAFTAEQIDGATYVAINSNKAVFDSLRNNPKVQFDGKRFSYKSKHDLNGKDQLLSLIRDYPEGLPVVEVKDAYPSVLEDLQALKASGDIWWLSSMDSQEDIAYPNDPKSKIKLDADLKDLYRGIELPRDMLDIEKELQKNGLKPATDTTKRRAAAQIHGQPPKPKPKKKQREITKRTKLTNAHMPELFDLPKK* >Brasy2G259400.1.p pacid=40067708 transcript=Brasy2G259400.1 locus=Brasy2G259400 ID=Brasy2G259400.1.v1.1 annot-version=v1.1 MHSQDWRPQPWVKAKANLNKLMGGIIRHVVGGSTRVEWYTGSRLQSRSASSSQGDIDKLGMPVTPRRPCRSASPSSSPSSPASELVGSGEWQVPEGYVLSRNHM* >Brasy2G254100.1.p pacid=40067709 transcript=Brasy2G254100.1 locus=Brasy2G254100 ID=Brasy2G254100.1.v1.1 annot-version=v1.1 MCKHLYKFYNRRDIPWVQLVWHSYYGSHVPHVEPAKGSFWWRDILKLGENFRNFASLNIKDGRTARFWLDNWGHGVLAERFPCCFSFAKVVNLAVKDVKISEDYLSLFHLPLSAQVFQEFEEILNIIRSTQLMASETDVWLCSGRAGIFSTQKYYASCFQDLQSDMVLGWIWRSKCVPKLKVFAWLLLNEKLNTLDMMDRRHCNRSNSSTCRICDVGLFEDCSHLFFSCSFSSRCWNKIGFTWDLSASIKDRMVIANNSFRGPGFMLIFICAAWHIWKQRNDLIFERDPPSVRRWLVNFKQELLTNSLRTKEAHRIAILDWLSSLDGTR* >Brasy2G018000.1.p pacid=40067710 transcript=Brasy2G018000.1 locus=Brasy2G018000 ID=Brasy2G018000.1.v1.1 annot-version=v1.1 MALSKKSMRALCLADLIFTTTTLFSSCHATGRDIDPAPIGSRVPVGYTRPENQNTPWRGRERTSERELERERERERERERVRERSERGRGRRDLLVSSDGPWRWPGPWRGHHGRIRGGEPAAALERTGSAALEPRTVGSVAPWPTTAGSVAGRGRWCEGSRRGRSRRRWGRWRRRAAVVDELRLRLLEHGERQHGGARRELVHPALPGAREGMGGGGGGRGDGGAGDLGCAGGEAGGGGVVAGRGAGGGGVVDSWGLGLGS* >Brasy2G269600.1.p pacid=40067711 transcript=Brasy2G269600.1 locus=Brasy2G269600 ID=Brasy2G269600.1.v1.1 annot-version=v1.1 MSGKCQATTAVVVHEQPHHGVGYGTTVLLTERLKVGNVRLLSWKLVSVPLVRREPTQPRKPNSENKLDPVSRPTCMICAKGVSKSRIMRIRVGIPYLRVILVEIMGTKLEVISYDEEADRFNIVLVTGSVHMFTNVGFVPTETADEVELYLSSNICCSIRQSTEKCHYP* >Brasy2G040400.1.p pacid=40067712 transcript=Brasy2G040400.1 locus=Brasy2G040400 ID=Brasy2G040400.1.v1.1 annot-version=v1.1 MDGFQLNKGGKPSPLLVDRSVSHPTQRQVSLSIVVSLPHNRRPPPTPSRTTSPPPLPFPPPPFSLLGRYIIADCTTNPRRPHASASPSQSRSTPSYILHAAGELGAAGGGGGGDGEDVGPRDDPDPAAGGGGSGVGEGAGDRAEKGGDARDAARPPGGRVRALAHRPRREDRQRRLGGNQGGRPEPEPETLGGPAAADRDEGSGPDPEPSLGPARRAAAAGAEDGAEGVREARRAQLPQQQRRRAAQLLHAPLPHPQLHRAPHRPRAARPRPRRTPGRQRRRRRLRRPQQEG* >Brasy2G467300.1.p pacid=40067713 transcript=Brasy2G467300.1 locus=Brasy2G467300 ID=Brasy2G467300.1.v1.1 annot-version=v1.1 MATDTYVTDVTFEENVIITTVTSSGVAVEGWLREIRSFLGDLVVGLDVEWRPSRCSTQNPVALLQLCVGRRCLIFQLLHADFVPPALSEFLADLNVRFVGVGVQDDVERLSDDHELNVANAKDLRELAADGFHMPELRQAGLQAIARTVMGANLQKPQRVRMGPWDAYCLSHEQIKYACIDAFVSFEIGRKLLTGDYPPMG* >Brasy2G174500.1.p pacid=40067714 transcript=Brasy2G174500.1 locus=Brasy2G174500 ID=Brasy2G174500.1.v1.1 annot-version=v1.1 MASPSSPPPPPPPRAEERHGGRPPIPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGTTVARAEGVPALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPATGKVSAQGRLASGFGAGVLEALVIVTPFEVVKIRLQQQKGLSTDLLRYKGPIHCAKTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNTFDIVLWKKQEGDGKVLQPWQSMVSGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIHAEEGLRALWKGLLPRLMRIPPGQAIMWGVADQVIGLYERTYLQSAHV* >Brasy2G174500.2.p pacid=40067715 transcript=Brasy2G174500.2 locus=Brasy2G174500 ID=Brasy2G174500.2.v1.1 annot-version=v1.1 MASPSSPPPPPPPRAEERHGGRPPIPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGTTVARAEGVPALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPATGKVSAQGRLASGFGAGVLEALVIVTPFEVVKIRLQQQKGLSTDLLRYKGPIHCAKTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNTFDIVLWKKQEGDGKVLQPWQSMVSGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIHAEEGLRALWKGLLPRLMRIPPGQAIMWGVADQVIGLYERTYLQSAHV* >Brasy2G346200.1.p pacid=40067716 transcript=Brasy2G346200.1 locus=Brasy2G346200 ID=Brasy2G346200.1.v1.1 annot-version=v1.1 MQSQSSSCVKMLAKVDGSGAIDYSVDPSTFGFPQDANQEFFNRALQYGYSVSRQGFEDKGFLPSLPDDVVNSTSSALGEYQQLDDFLRYLEPVESDGQRVQNNEDNIAHFSRASNTSCLDHIEDITSYDLDCRDDRAISFGSSCSTGIASYPYINPLQSNNCIADMEDGTWAALMQMQEALEASNEECSDLTFNNTELSGGSTMQHQVVWDNGCLTSPSFTSNFLPFPGEAEVTVTNTRNICHLQNLVDLPHDNEQDISSFELKVPEPKGTTTSNVCERRDEMHSAEWGAYPGHMESSVSMPVAQDRQNSVSHQQLSVSVNGVDGSVDNEMKKLHGIYECEEQMEIDSLLNSFGASSDTFPQAYEIFQKGETLSDVDKKVKLEQSSSATCVSDTVSYTTQAGATESAVSNGSSCPQQYQSTSQSCGLFSSESQWKTMPSSVFPLWVCQNGVTEYNSLNTLEKNREDHLLYSGHISVQQQERESKTTKLELIDNAASPYQEFTTGVDDQFCAKRAYQCHDKALGTVSIWAAHPDIMENCCSRVHSTHTEHSDMQLPMTPTAHVQVQLPASSLSKEPNSSFIGGTELKKVNQHHPDMQLPITQTSHVQLPSQSFSKDPNSASIEVTELKKVDQHHPDTELPITQIGHVQLPAPSLSKDPNSALTGRTELKKFQHHSDMQLSITQTNHIQLPAPSLSKDPNSAFIEGTELKNVEQHHSDMQLPMTQTSHQLPAPSLSKDPNSAFIGGTKFKKVEQLDNYSHVGSDQQSILLSASKPSCSSGSPTKKFDDKVDSRPKKRKRPAANLLVQHAQVMSGCGRKPCERTPELDLARATRTSVKKQVDGENATMQHSTFISQAQERLVLTTSLIQHVLPVLPARLLASNATNSSETIVYHISKLAVSDVLDPVLSFGSDPNNFMRSEKMPPSETSTSGKESSKILSEVLATFVTRFGKLESSLSRVEKALTFQDFAFELREIDRWSILNHLVKFGEYKILQAGGCSNPGPDPFSTTIRKHVRVAATAPLYLPDGVRCRVLK* >Brasy2G346200.2.p pacid=40067717 transcript=Brasy2G346200.2 locus=Brasy2G346200 ID=Brasy2G346200.2.v1.1 annot-version=v1.1 MQSQSSSCVKMLAKVDGSGAIDYSVDPSTFGFPQDANQEFFNRALQYGYSVSRQGFEDKGFLPSLPDDVVNSTSSALGEYQQLDDFLRYLEPVESDGQRVQNNEDNIAHFSRASNTSCLDHIEDITSYDLDCRDDRAISFGSSCSTGIASYPYINPLQSNNCIADMEDGTWAALMQMQEALEASNEECSDLTFNNTELSGGSTMQHQVVWDNGCLTSPSFTSNFLPFPGEAEVTVTNTRNICHLQNLVDLPHDNEQDISSFELKVPEPKGTTTSNVCERRDEMHSAEWGAYPGHMESSVSMPVAQDRQNSVSHQQLSVSVNGVDGSVDNEMKKLHGIYECEEQMEIDSLLNSFGASSDTFPQAYEIFQKGETLSDVDKKVKLEQSSSATCVSDTVSYTTQAGATESAVSNGSSCPQQYQSTSQSCGLFSSESQWKTMPSSVFPLWVCQNGVTEYNSLNTLEKNREDHLLYSGHISVQQQERESKTTKLELIDNAASPYQEFTTGVDDQFCAKRAYQCHDKALGTVSIWAAHPDIMENCCSRVHSTHTEHSDMQLPMTPTAHVQVQLPASSLSKEPNSSFIGGTELKKVNQHHPDMQLPITQTSHVQLPSQSFSKDPNSASIEVTELKKVDQHHPDTELPITQIGHVQLPAPSLSKDPNSALTGRTELKKFQHHSDMQLSITQTNHIQLPAPSLSKDPNSAFIEGTELKNVEQHHSDMQLPMTQTSHQLPAPSLSKDPNSAFIGGTKFKKVEQLDNYSHVGSDQQSILLSASKPSCSSGSPTKKFDDKVDSRPKKRKRPAANLLVQHAQVMSGCGRKPCERTPELDLARATRTSVKKVDGENATMQHSTFISQAQERLVLTTSLIQHVLPVLPARLLASNATNSSETIVYHISKLAVSDVLDPVLSFGSDPNNFMRSEKMPPSETSTSGKESSKILSEVLATFVTRFGKLESSLSRVEKALTFQDFAFELREIDRWSILNHLVKFGEYKILQAGGCSNPGPDPFSTTIRKHVRVAATAPLYLPDGVRCRVLK* >Brasy2G346200.3.p pacid=40067718 transcript=Brasy2G346200.3 locus=Brasy2G346200 ID=Brasy2G346200.3.v1.1 annot-version=v1.1 MQSQSSSCVKMLAKVDGSGAIDYSVDPSTFGFPQDANQEFFNRALQYGYSVSRQGFEDKGFLPSLPDDVVNSTSSALGEYQQLDDFLRYLEPVESDGQRVQNNEDNIAHFSRASNTSCLDHIEDITSYDLDCRDDRAISFGSSCSTGIASYPYINPLQSNNCIADMEDGTWAALMQMQEALEASNEECSDLTFNNTELSGGSTMQHQVVWDNGCLTSPSFTSNFLPFPGEAEVTVTNTRNICHLQNLVDLPHDNEQDISSFELKVPEPKGTTTSNVCERRDEMHSAEWGAYPGHMESSVSMPVAQDRQNSVSHQQLSVSVNGVDGSVDNEMKKLHGIYECEEQMEIDSLLNSFGASSDTFPQAYEIFQKGETLSDVDKKVKLEQSSSATCVSDTVSYTTQAGATESAVSNGSSCPQQYQSTSQSCGLFSSESQWKTMPSSVFPLWVCQNGVTEYNSLNTLEKNREDHLLYSGHISVQQQERESKTTKLELIDNAASPYQEFTTGVDDQFCAKRAYQCHDKALGTLPMTPTAHVQVQLPASSLSKEPNSSFIGGTELKKVNQHHPDMQLPITQTSHVQLPSQSFSKDPNSASIEVTELKKVDQHHPDTELPITQIGHVQLPAPSLSKDPNSALTGRTELKKFQHHSDMQLSITQTNHIQLPAPSLSKDPNSAFIEGTELKNVEQHHSDMQLPMTQTSHQLPAPSLSKDPNSAFIGGTKFKKVEQLDNYSHVGSDQQSILLSASKPSCSSGSPTKKFDDKVDSRPKKRKRPAANLLVQHAQVMSGCGRKPCERTPELDLARATRTSVKKQVDGENATMQHSTFISQAQERLVLTTSLIQHVLPVLPARLLASNATNSSETIVYHISKLAVSDVLDPVLSFGSDPNNFMRSEKMPPSETSTSGKESSKILSEVLATFVTRFGKLESSLSRVEKALTFQDFAFELREIDRWSILNHLVKFGEYKILQAGGCSNPGPDPFSTTIRKHVRVAATAPLYLPDGVRCRVLK* >Brasy2G346200.4.p pacid=40067719 transcript=Brasy2G346200.4 locus=Brasy2G346200 ID=Brasy2G346200.4.v1.1 annot-version=v1.1 MQSQSSSCVKMLAKVDGSGAIDYSVDPSTFGFPQDANQEFFNRALQYGYSVSRQGFEDKGFLPSLPDDVVNSTSSALGEYQQLDDFLRYLEPVESDGQRVQNNEDNIAHFSRASNTSCLDHIEDITSYDLDCRDDRAISFGSSCSTGIASYPYINPLQSNNCIADMEDGTWAALMQMQEALEASNEECSDLTFNNTELSGGSTMQHQVVWDNGCLTSPSFTSNFLPFPGEAEVTVTNTRNICHLQNLVDLPHDNEQDISSFELKVPEPKGTTTSNVCERRDEMHSAEWGAYPGHMESSVSMPVAQDRQNSVSHQQLSVSVNGVDGSVDNEMKKLHGIYECEEQMEIDSLLNSFGASSDTFPQAYEIFQKGETLSDVDKKVKLEQSSSATCVSDTVSYTTQAGATESAVSNGSSCPQQYQSTSQSCGLFSSESQWKTMPSSVFPLWVCQNGVTEYNSLNTLEKNREDHLLYSGHISVQQQERESKTTKLELIDNAASPYQEFTTGVDDQFCAKRAYQCHDKALGTLPMTPTAHVQVQLPASSLSKEPNSSFIGGTELKKVNQHHPDMQLPITQTSHVQLPSQSFSKDPNSASIEVTELKKVDQHHPDTELPITQIGHVQLPAPSLSKDPNSALTGRTELKKFQHHSDMQLSITQTNHIQLPAPSLSKDPNSAFIEGTELKNVEQHHSDMQLPMTQTSHQLPAPSLSKDPNSAFIGGTKFKKVEQLDNYSHVGSDQQSILLSASKPSCSSGSPTKKFDDKVDSRPKKRKRPAANLLVQHAQVMSGCGRKPCERTPELDLARATRTSVKKVDGENATMQHSTFISQAQERLVLTTSLIQHVLPVLPARLLASNATNSSETIVYHISKLAVSDVLDPVLSFGSDPNNFMRSEKMPPSETSTSGKESSKILSEVLATFVTRFGKLESSLSRVEKALTFQDFAFELREIDRWSILNHLVKFGEYKILQAGGCSNPGPDPFSTTIRKHVRVAATAPLYLPDGVRCRVLK* >Brasy2G138900.1.p pacid=40067720 transcript=Brasy2G138900.1 locus=Brasy2G138900 ID=Brasy2G138900.1.v1.1 annot-version=v1.1 MPPPRLEARDYIGLGAAATAPAASSSCSSSASAGEAAGPHLALRLGLPGCESPGRGADPVVDAALTLGPAPATNRAGGAKRGFADSLDRAAASASAAAAAGGVREKEKGVAEAAAGAPPAAKAQVVGWPPVRNYRKNTLAASATKTKAEGEGRSEAECCYVKVSMDGAPYLRKVDLKTYSSYENLSLELEKMFTCFITGKSSSCKTSTRDRLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTTCRKLRIMRGSDAAGMAPR* >Brasy2G367400.1.p pacid=40067721 transcript=Brasy2G367400.1 locus=Brasy2G367400 ID=Brasy2G367400.1.v1.1 annot-version=v1.1 MGDPKTEEEEAPRCVGCGRRVKTLYVQYSPGNIRLMKCDNCKAVADPYIECEFMIILLDLILHKTRAYRHLLFNKLHIGSSLDKGLLYRSILVHIVLDAFRISVSKGNKTDGDSSRSTLSTIFNCSEVLGYALLGNIIFMAMLLLGGRYIIKLSFDITRSREVLLAVIISSYIKFFLLTMMVWEFPSSAIFIVEMFVLSSNVVALRGFEPTWANPVAMVTSSLYPTELIDNTIPANFLNRDVVPKSPLLRSLLCGSCGEILHRAVAPPDTEDMNSPAIFT* >Brasy2G367400.3.p pacid=40067722 transcript=Brasy2G367400.3 locus=Brasy2G367400 ID=Brasy2G367400.3.v1.1 annot-version=v1.1 MGDPKTEEEEAPRCVGCGRRVKTLYVQYSPGNIRLMKCDNCKAVADPYIECEFMIILLDLILHKTRAYRHLLFNKLHIGSSLDKGLLYRSILVHIVLDAFRISVSKGNKTDGDSSRSTLSTIFNCSEVLGYALLGNIIFMAMLLLGGRYIIKLSFDITRSREVLLAVIISSYIKFFLLTMMVWEFPSSAIFIVEMFVLSSNVVALRVVTWFPKAHCFGVCFAAHAARYFTELWLLQTPRT* >Brasy2G367400.2.p pacid=40067723 transcript=Brasy2G367400.2 locus=Brasy2G367400 ID=Brasy2G367400.2.v1.1 annot-version=v1.1 MGDPKTEEEEAPRCVGCGRRVKTLYVQYSPGNIRLMKCDNCKAVADPYIECEFMIILLDLILHKTRAYRHLLFNKLHIGSSLDKGLLYRSILVHIVLDAFRISVSKGNKTDGDSSRSTLSTIFNCSEVLGYALLGNIIFMAMLLLGGRYIIKLSFDITRSREVLLAVIISSYIKFFLLTMMVWEFPSSAIFIVEMFVLSSNVVALRVVTWFPKAHCFGVCFAAHAARYFTELWLLQTPRT* >Brasy2G413500.1.p pacid=40067724 transcript=Brasy2G413500.1 locus=Brasy2G413500 ID=Brasy2G413500.1.v1.1 annot-version=v1.1 MPTNMISKVLEKVVLPPDVAPHVKAGAASRTSVLRNPNMQKLQKGYLFPEINRKREAHQKKYPVAKVISLGIGDTTEPIPRIITSAMAEYALALSTPEGYQGYGPEPGQTTLRKAIAEKVYPNMGIRDTEVFISDGAQCDIARLQMLFGRDVTIAVQDPTFPGYVDNGVIMGQTGDADESGRYARIEYMRCAPENAFFPDLSLVPRTDVIFFCSPNNPTGHAASRDQLQQLVDFARRNGSIVVFDTAYAAYVSESSPSPPRSIYEIPGAREVAIEISSFSKSAGFTGVRLGWAVVPDELLYADGSRVAPDFDRIVCTCFNGASSLAQVGGLACIGSEEGAEAVRKVVRVYKENARLLVETFESLGKEVYGGADSPYVWVRFPGRRSWEVFGEILEKTHVITVPGSGFGPGGEGFVRVSGFNSRDKVVEACARLRNFLA* >Brasy2G413500.2.p pacid=40067725 transcript=Brasy2G413500.2 locus=Brasy2G413500 ID=Brasy2G413500.2.v1.1 annot-version=v1.1 MPTNMISKVLEKVVLPPDVAPHVKAGAASRTSVLRNPNMQKLQKGYLFPEINRKREAHQKKYPVAKVISLGIGDTTEPIPRIITSAMAETLRKAIAEKVYPNMGIRDTEVFISDGAQCDIARLQMLFGRDVTIAVQDPTFPGYVDNGVIMGQTGDADESGRYARIEYMRCAPENAFFPDLSLVPRTDVIFFCSPNNPTGHAASRDQLQQLVDFARRNGSIVVFDTAYAAYVSESSPSPPRSIYEIPGAREVAIEISSFSKSAGFTGVRLGWAVVPDELLYADGSRVAPDFDRIVCTCFNGASSLAQVGGLACIGSEEGAEAVRKVVRVYKENARLLVETFESLGKEVYGGADSPYVWVRFPGRRSWEVFGEILEKTHVITVPGSGFGPGGEGFVRVSGFNSRDKVVEACARLRNFLA* >Brasy2G407900.1.p pacid=40067726 transcript=Brasy2G407900.1 locus=Brasy2G407900 ID=Brasy2G407900.1.v1.1 annot-version=v1.1 MEEDQGVRYWCHSCEEVVVPVEPEKKCPDCDGGFVEDMGSVGFEPSANLRSSDPDESDRSLFWAPLLLGMMGGSSRRSRPRRDMMDSDSDDESRQERIRRALMDTDDEEEEAEEAEEEEEDDSDRELEDLIRRRRSGSSLVRLLQTLRSDIRGLGDTGLDDIGRDRERDRDRERRERERVRVAREIARERERTRERNRRRERTESLILINSNNEAIILQGTFGPADNQEDSSNTTAGVSLHDYFLGPGLDLLLQRLADSDLNRSGTPPAKKESVAALPTVNIQEILGCTVCLEEFEMGTEAKEMPCQHKFHSHCILPWLELHSSCPVCRFQLPTEESKNPCESGSGGGTVSADGDSAESSSSDVEGTNHDGGSQPGSPMFSGLRALFADPSSSDENIPHASES* >Brasy2G239400.1.p pacid=40067727 transcript=Brasy2G239400.1 locus=Brasy2G239400 ID=Brasy2G239400.1.v1.1 annot-version=v1.1 MALDRAPAPSSTESRSSRPPSPFPWRRRLQPKSSVPRATTSYRREVEEHRRQLLDLLADYQVRRRPLRPAAAVQFASVDAAHIRRHPSCYRPSTAPSMPPSYPQPRAFRSPSSRRVPSAPAQARPPPLPTAMRSSPGRTPQPPLPQAGPAPAAVNRPTKPVPTAMRHRHRREGEEARRGW* >Brasy2G476900.1.p pacid=40067728 transcript=Brasy2G476900.1 locus=Brasy2G476900 ID=Brasy2G476900.1.v1.1 annot-version=v1.1 MDSSAALDMLAALLTGREGASLLALAEDNRRILALLTTSLAVLVGCGVALFLRRSSSAAVPQAAPGSRPLAAKAREKEPDPDDGRLRVAVFFGTQTGTAEGFAKALAEEAKARYDKAVFKVVDLDDYAAEDDDYVAKLKKETIALFFLATYGDGEPTDNAARFYKWFSEGNERGEWLSNLRFAVFGLGNRQYEHFNKVGKVVDQLLSEQGGKRLVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDSSVAPTPYTAAIPEYRTVFVKPEVAADINKSSSLSNGQAVYDIQHPCRAHVAVRRELHTPASDRSCIHLEFDIAGTSLTYETGDHVGVYAENNIETVKEAEKLLGYSADTVFAIYADEDDGTPLFGGSLPPPFPSPCTVRVALTRYADLLNSPKKSVLIALAAHASDPKEAERLRHLASPAGKKEYSQWIISSQRSLLEVISEFPSVKPPLGVFFAAIAPRLQPRYYSISSSPRMAPTRIHVTCALVCGPTPTGRIHKGVCSTWMKHSTPLEESEECSWAPIFVRQSNFKLPADPTVPIIMIGPGTGLAPFRGFLQERLALKEIGVELGRAILFFGCRNRKMDFIYEDELNNFADAGALSELIVAFSRDGPTKEYVQHKMAAMAPELWSIISQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDNSKTESYMKNLQMEGRYLRDVW* >Brasy2G129500.1.p pacid=40067729 transcript=Brasy2G129500.1 locus=Brasy2G129500 ID=Brasy2G129500.1.v1.1 annot-version=v1.1 MDVGRTIVRSNCGRWRERIYHLGWVELFRHPCCFSEAVGRARIDLPHYLAYTMFDFHYIFGTFWFSKIGV* >Brasy2G298600.1.p pacid=40067730 transcript=Brasy2G298600.1 locus=Brasy2G298600 ID=Brasy2G298600.1.v1.1 annot-version=v1.1 MHSHRFQVGPAHQPSLRIPLCRLFPPFPAMPFSSVSVSLDPTLFLLPAAARFGGPRPPTTRLRRLVLRAASVSAVAEESSAAAVARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGVSCEGRILVSDRAHLLFDFHQVVDGLREVELGNSFIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLKDAALRFKGFEYSSKTLKEEVEKYERFAERLGPYITDTVHFMNETILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYYTEDGKTIESFPSDLDLLEQTKVKYEALPGWEDDISLVRDYNDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK* >Brasy2G493800.1.p pacid=40067731 transcript=Brasy2G493800.1 locus=Brasy2G493800 ID=Brasy2G493800.1.v1.1 annot-version=v1.1 MRAATTSTAISLLSSSRLRTSTPARLPFRCRRPLRLAAMATNASFRPEAARSPPAVEPPTPPLSKFKVALCQLSVTADKARNIARARAAIESAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFLMMSDVARSLKITLVGGSISERSGNSLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFQESKTLTGGQDLTIVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSSSYVAWGHSTLVGPFGEVIATTEHDEATIIAEIDYSLIEQRRQFLPLRHQRRGDLYQLVDVQASGSK* >Brasy2G061100.1.p pacid=40067732 transcript=Brasy2G061100.1 locus=Brasy2G061100 ID=Brasy2G061100.1.v1.1 annot-version=v1.1 MFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGGLHGLAELGRNELLRLLLIAFRSFCDCVGDVEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCVILSKSLNRNQRAEREAAAAALSEFIRHSEKEPTLLEQMVEELCQHVSDDSPTVRSLCLRGLVQIPESHMLKYIQQVLGVILALLEDINESVQLTAVQCLLTVLNVSEQDAVDPILVSLLVRLRNLQISMNTKMRSNAFAAYGALSAYGVGSQHHAFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEIDGLSLLLNKQYFSSDRRSDYEDFIRDLTKQLCRLSPARVDSYLESAIQAFDAPWPVIQANAICLVSCMLSFLDDQRFLAPYFSQVFAMLVGRMSQSPEAVVRAAASSALGLLIKRSNMLKSLSSRFDRADSSQSSQHGDPHAKESSELHDEAVGKPNISQGEQ* >Brasy2G018100.1.p pacid=40067733 transcript=Brasy2G018100.1 locus=Brasy2G018100 ID=Brasy2G018100.1.v1.1 annot-version=v1.1 MDALKLGVCANVLNGLINLELGTPPKMPCCTLIQGLADLEAAVCLCTALRANILGINLNVPIDLSLLVNYCGKRVPTGFLCP* >Brasy2G135200.1.p pacid=40067734 transcript=Brasy2G135200.1 locus=Brasy2G135200 ID=Brasy2G135200.1.v1.1 annot-version=v1.1 MKVTAIIRLFVMVLMASCANVVICSSLSGNETDRLSLIEFKKAISLDPQHALMSWNDSIPFCNWEGVRCTMKNPRRVASLDLTNRGLVGQISPSLGNLSILQNLLLPKNAFTADIPPSLGHLRHLRYLYLTNNTLQGRIPNFANCSHLKVLWLDRNNLVGQIPTEWPPNLQELQLANNNLSGTIPPSLANITTLESFHCGLNNLEGSVPDSFAKFSRLKELFVSGNRLTGRFQQAILNISTLVDLGLSVNQITGELPSNLVNRLPNLQRLMLASNLFQGHIPNLFINASKLTILDMSRNNLTGVVPSSIGKLTKLSWLNLEFNKLETHNKQDWEFMDSLANCTELRLFSINGNRLEGHVPASLGNLSVNLQSLYLGDNELSGNFPAGLATLPNLRLLGLERNHFTGVVPEWIGNLKNIQRILLHYNKFTGFIPESISNLSLLGQIYLDSNKFGGHLPLSLGNLQMLQIFSISNNGFTGGVPKDIFRIPTLYDIDLSFNNLAGQLPTDIGNAKQLVNLALSSNKLSGDVPNTLGNCESLENILLGSNIFSGSIPISLGNIKSLKVLNFSDNNLTGPIPAYLGTLKLLEKLDLSFNHLDGEVPEKGIFSNATAIKIDGNQELCGGIQELHLLACLVMPSNLSRHKLSFVLKLVIPVVSMVSLAMVIVLLLFWRRKQKKRSLSLPSYGQAFPKVSFIDLARATDGFSTAKMIGRGSYGSVYEGKLFPDGNYVAIKVFNLETTGSQKSFIAECNALRSVRHRNLVHVLTACSSIDSNGNDFKALVYEFMPRGDLHKLLYSIQDESTSELSHITVAQRLSIVVDVADALEYLHHNSQGTIVHCDMKPSNILLDDNLTAHVGDFGLAKFKVDSMVPNPADSYSTSSIAIRGTIGYVAPECATGGHVSSTSDVYSFGIVLLEIFLRKRPTDDMFKDGLNIAKFVEMNFPARIAQIIDPELLQDPAATKESYWEFLVTMLNIGLCCTKLSPNERPMMQEVAPRLHGIKDSYLRGNQAP* >Brasy2G135200.2.p pacid=40067735 transcript=Brasy2G135200.2 locus=Brasy2G135200 ID=Brasy2G135200.2.v1.1 annot-version=v1.1 MKVTAIIRLFVMVLMASCANVVICSSLSGNETDRLSLIEFKKAISLDPQHALMSWNDSIPFCNWEGVRCTMKNPRRVASLDLTNRGLVGQISPSLGNLSILQNLLLPKNAFTADIPPSLGHLRHLRYLYLTNNTLQGRIPNFANCSHLKVLWLDRNNLVGQIPTEWPPNLQELQLANNNLSGTIPPSLANITTLESFHCGLNNLEGSVPDSFAKFSRLKELFVSGNRLTGRFQQAILNISTLVDLGLSVNQITGELPSNLVNRLPNLQRLMLASNLFQGHIPNLFINASKLTILDMSRNNLTGVVPSSIGKLTKLSWLNLEFNKLETHNKQDWEFMDSLANCTELRLFSINGNRLEGHVPASLGNLSVNLQSLYLGDNELSGNFPAGLATLPNLRLLGLERNHFTGVVPEWIGNLKNIQRILLHYNKFTGFIPESISNLSLLGQIYLDSNKFGGHLPLSLGNLQMLQIFSISNNGFTGGVPKDIFRIPTLYDIDLSFNNLAGQLPTDIGNAKQLVNLALSSNKLSGDVPNTLGNCESLENILLGSNIFSGSIPISLGNIKSLKVLNFSDNNLTGPIPAYLGTLKLLEKLDLSFNHLDGEVPEKGIFSNATAIKIDGNQELCGGIQELHLLACLVMPSNLSRHKLSFVLKLVIPVVSMVSLAMVIVLLLFWRRKQKKRSLSLPSYGQAFPKVSFIDLARATDGFSTAKMIGRGSYGSVYEGKLFPDGNYVAIKVFNLETTGSQKSFIAECNALRSVRHRNLVHVLTACSSIDSNGNDFKALVYEFMPRGDLHKLLYSIQDESTSELSHITVAQRLSIVVDVADALEYLHHNSQGTIVHCDMKPSNILLDDNLTAHVGDFGLAKFKVDSMVPNPADSYSTSSIAIRGTIGYVAPECATGGHVSSTSDVYSFGIVLLEIFLRKRPTDDMFKDGLNIAKFVEMNFPARIAQIIDPELLQDPAATKESYWEFLVTMLNIGLCCTKLSPNERPMMQEVAPRLHGIKDSYLRGNQAP* >Brasy2G362000.1.p pacid=40067736 transcript=Brasy2G362000.1 locus=Brasy2G362000 ID=Brasy2G362000.1.v1.1 annot-version=v1.1 MASDGVVTLYGNNNKVVVEPSSKPASASTFSVKVGLAQMLRGGVIMDVVTADQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTVADEAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRSMDDDEVFAYAKSIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVEAVTHYSDPEILANVSAGLGEAMVGINLNDPKVERFAARSN* >Brasy2G394200.1.p pacid=40067737 transcript=Brasy2G394200.1 locus=Brasy2G394200 ID=Brasy2G394200.1.v1.1 annot-version=v1.1 MSCSCGSSCNCGSNCTCGKMYPDLAEQGSTNTSTQAQVVVLGMAPEKKQEQLEMAGVSGSEGCSCGDNCKCNPCNC* >Brasy2G132100.1.p pacid=40067738 transcript=Brasy2G132100.1 locus=Brasy2G132100 ID=Brasy2G132100.1.v1.1 annot-version=v1.1 MARSFFGGALLSEASRVQNDGFRASDFVWDSDQ* >Brasy2G128000.1.p pacid=40067739 transcript=Brasy2G128000.1 locus=Brasy2G128000 ID=Brasy2G128000.1.v1.1 annot-version=v1.1 MERFHPSEVYEHFVRHMNTPRVVVDNGVCETATLVQVHSARKNGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADKLLARLESSLSAAAATADALPRPAGCDSPPAQNEGLSLLELIGVDRPGLLSEVFAVLHDLRCSIVDAKAWTHGGRVAALVFVRDEDTGAPIDDAARTRRIESRLRYVLRGGARGARTILVDAAAVGNLDRRLHQLLNEDREADGRPAADRPTTTAVAVQEWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGQPISSEAERQRVIQRLQAAIERRASEGVRLELSIKDRRGLLAYVTRVFRENSLSVTHAEITTRGDKALNVFHVTDVAGRPADPKAIDEVIHGIGTESLRVDEERWPRLCSTQGDAGRDGGAGGGLFSLGSLVKKNLYNLGLIRSCS* >Brasy2G114100.1.p pacid=40067740 transcript=Brasy2G114100.1 locus=Brasy2G114100 ID=Brasy2G114100.1.v1.1 annot-version=v1.1 MKFGKWLKRQIEQSLPAWREHFLRYKVLKGIVSSDAPPSPAEFVALLEADIDKINAFFIEQEEEFIIRHRELQGAIRRAVEREAAAEVAAIRREMVNFHGEMVLLLNYSSVNYIGLAKILKKYDKRTGAALRLAVVETAVLGQPFFTAEAVSLMVKECEAMMMFPAAAAAASASAAAPGPGEAMAAAAAEQRVFRDTVAALLAMEDVRSGSSTHGRHSLPPLTLPDSDWLRSFQPPSPIPIQTQ* >Brasy2G433200.1.p pacid=40067741 transcript=Brasy2G433200.1 locus=Brasy2G433200 ID=Brasy2G433200.1.v1.1 annot-version=v1.1 MYCSCKDILDDATNGAAVVECGFLHLPSTSNLKAGSCSTRQGRWSCRVSQPETYRTMGVAQDSLTFVSINGFHEHVDLKDRTVTVWRLQSGQGQGQHEWDMEHQLSLETLWGLDGFGDLPKDLTPMFPMLSKEDKDVLYIALGEYSEKLHKWKFVTGYLRYLLAVDLRNKVVLGASRLTEWYGSAHHLISCDFNKFIRPVAVVEGAAKNLKMMQEVMAYRAVCC* >Brasy2G188800.1.p pacid=40067742 transcript=Brasy2G188800.1 locus=Brasy2G188800 ID=Brasy2G188800.1.v1.1 annot-version=v1.1 MSKRTRLEGFSIPRPTSYSFERSQPAPRLYVPAEDDLDDIAFSDDAAAPSDAAEGGKPEDDEIDPLDAFMAEIQEEIRAPPPPPKPEALRLGDSDEEDDPMESFLRAKKDAGLALAADVMNAGYNSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKRKIEPIPPLDHSTIEYEPFNKDFYEEKPSISGMNAEEVADYMKSLAIRVSGFDVPRPVKNFADCGFPVPLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLQVAAVYGGVSKFDQFKELKAGCEIVVATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILSDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLIEKMPGMIDDGDVLVFAAKKARVDEIENQLNQRGFKVAALHGDKDQASRMETLQNFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKETRFAGELVHSLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKGGKGKGGGGGGGSSGGGSGGARGRGRGIRGVDFGLGIGYSTESGPQVPAPRSATVNALKTGMMQNFKSSFVSAASSMPSNSAPLRAAQFVRPALRGFVSGGTIGGDAGTARPAPPAPSFVSTSRPAGNTNENANTNPESSRDQRRERKRPSGWDR* >Brasy2G188800.2.p pacid=40067743 transcript=Brasy2G188800.2 locus=Brasy2G188800 ID=Brasy2G188800.2.v1.1 annot-version=v1.1 MSKRTRLEGFSIPRPTSYSFERSQPAPRLYVPAEDDLDDIAFSDDAAAPSDAAEGGKPEDDEIDPLDAFMAEIQEEIRAPPPPPKPEALRLGDSDEEDDPMESFLRAKKDAGLALAADVMNAGYNSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKRKIEPIPPLDHSTIEYEPFNKDFYEEKPSISGMNAEEVADYMKSLAIRVSGFDVPRPVKNFADCGFPVPLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLQVAAVYGGVSKFDQFKELKAGCEIVVATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILSDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLIEKMPGMIDDGDVLVFAAKKARVDEIENQLNQRGFKVAALHGDKDQASRMETLQNFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKETRFAGELVHSLIAAGQDVPNELMDLAMKVGRKVAKVKVVEAAVAAAVVGVAVHVDAGVAYVELISALA* >Brasy2G037300.1.p pacid=40067744 transcript=Brasy2G037300.1 locus=Brasy2G037300 ID=Brasy2G037300.1.v1.1 annot-version=v1.1 WLSFAETIDITKEGTSQSISCWRGTGKSNKVRHVSALQLYRICGKTYVFRRDVSRDEGDWSKMIGVSTEWKALCTGSQPCLLDRSCAVGKYGIRIAAHSLDNVMMEKLAIQSRGDNLQKLN* >Brasy2G042100.1.p pacid=40067745 transcript=Brasy2G042100.1 locus=Brasy2G042100 ID=Brasy2G042100.1.v1.1 annot-version=v1.1 MRSRSGAALLLLPPLLALLLHWATGAGAATAAERRILLDFKAAVTADPGGVLASWTPTGDPCDFAGVSCGGPGGGPVQRLRLHGAGLEGALSPSLARLPALESVSLFGNAFSGGIPPGFAALGPTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGQIPPALFDPCPRLRYVSLAHNDLQGPVPPGIANCSRLAGFDLSYNRLSGALPDQLCAPPEMNYISVRSNSLSGEIAGKLAACRCIDLLDVGSNQFSGAAPFGLLGLVNITYFNVSSNAFDGAIPDIATCGSKFSYFDASGNRLTGPVPESVVNCRSLRVLDLGANDLAGDIPPTIATLRSLSVLRLAGNAGIAGSIPPELGGIEMLVTLDLADLALTGDIPGSLSKCKFLLELNLSGNKLQGVIPDTLNNLTYLRMLDLHSNQLDGGIPLSLAQLTNLDLLDLSENYLTGQIPSDLGNLSNLTHFNVSFNRLSGTIPTAPILQNFGRAAFMGNPLLCGAPLNNLCDGSRRPKRLSVAVIIVIVAAAIILIGVCIVCAMNIKAYTSRSKEEQEGKEEEEVLVSESTPMASPGPNAIIGKLVLFTKSLPSRYEDWEAGTKALVDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRNQDEFEHEMGQLGNLNHPNLVTFQGYYWSSSMQLILSEFVTEGSLYDHLHGNRYRAFSGSSSRGGGGEFSWERRFKIALGTARALAYLHHDCRPQVLHLNIKSSNIMLDEQYEAKLSDYGFAKLLPILGSFELSRFHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPMDSPGAGAATAVGLHDYVREILEGGTASDCFDRSLRGFIEAELVQVLKLGLVCTSNTQSSRPSMAEVVQFLESIRTNS* >Brasy2G439200.1.p pacid=40067746 transcript=Brasy2G439200.1 locus=Brasy2G439200 ID=Brasy2G439200.1.v1.1 annot-version=v1.1 MAAAAVENGECVRVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFAGEFTIFGGLEECIRLIANFKLKEEEINFLRATLPACEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKPKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFLGLDEIIDKTLSSSDGSNKCEDFVSLVQNWLMKIQDASSLRGTFGETNQSELAAFTSYALAFPNSFLALVDTYDVTRSGVPNFCAVALALSDMGYKAVGIRLDSGDLAYLSIETRKFFCAIEKEFGVVGFGRTNITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGEDEPIPKVGERLLCRHPFIESKRAHVVPQHVEELLKCYWPGNSSKQREELPSINKNRARCTRHLDRMRPDHLRRLNPTPYKVSVSAQLYDFIHFLWLNEAPVGELQ* >Brasy2G099300.1.p pacid=40067747 transcript=Brasy2G099300.1 locus=Brasy2G099300 ID=Brasy2G099300.1.v1.1 annot-version=v1.1 MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPLALPGAGAGRRGGGGGGSAGWYCRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSLLGRHGGDSTRLVQDLYRIFDQVNNEEPTSDKKLPESFREFLLEMKDNHYDARTFAVRLKATMKSMNKEIKRSRLAEQLYKHYASTAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPSLNKVVFLDDDIVVQRDLSPLWEIDLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIDQSLDPDECAWAYGMNIFDLEAWKKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHMLGLGYQEHTDIESVKRAAVVHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDR* >Brasy2G008800.1.p pacid=40067748 transcript=Brasy2G008800.1 locus=Brasy2G008800 ID=Brasy2G008800.1.v1.1 annot-version=v1.1 MDLAEKSQRANFLFVKEEDDEKEQQPQQQQQRKEGEQQPIGKDNKPEAPRTTHEKRPATRSISGSSSGEERTRWCTIL* >Brasy2G018800.1.p pacid=40067749 transcript=Brasy2G018800.1 locus=Brasy2G018800 ID=Brasy2G018800.1.v1.1 annot-version=v1.1 MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRVSYDGFGDVFRQLHLEAEARGEAMRKSKQQNSVSRVVPAGAAAAAGGGGKKSGARGGSQRKTSESGKDDSDGGDSGKEHFLTNGASVVKGQENKDNSHARADVVVNGKENGDPNDGAFDVNTLRRKMGNKGGKKNDVKKVPKAESKKNVKQNRVWDDKPKKNEKLDFTDPADERGDEVSEQALVNQGDSMMDKDEIVSSDSEDDEEENAEAGQKKKGWFSSMFKSISGNNVLEKADLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNLSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLNKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK* >Brasy2G465700.1.p pacid=40067750 transcript=Brasy2G465700.1 locus=Brasy2G465700 ID=Brasy2G465700.1.v1.1 annot-version=v1.1 MSSSGSRSSSTRGGANAASEWSRKENKLFEEALAYYGEGTPDRWQKVSRAIGGTKTAEEVRRHYEILFDDVGLIESGRLPFPQYNTQGAWN* >Brasy2G118100.1.p pacid=40067751 transcript=Brasy2G118100.1 locus=Brasy2G118100 ID=Brasy2G118100.1.v1.1 annot-version=v1.1 MAAMMASITSELLFFLPYILLALLTFYTTTVAKCHRWRRTEEKRRPNLPPGAVGWPFIGETFGYLRAHPATTVGRFMEEHIARYGKIYRSSLFGDRTVVSADAGLNRYILQNEGKLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRHWPSSSPAVFSAQHEAKKFTFNLMAKNIMSMDPGEEEMERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRATILGVIERKMEERLQKMNKENSSIEEDDLLGWAMKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGECKLSWEDYKDMVFTQCVINETLRLGNVVRFLHRKVIRDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPSRFNPWRWKGNASGVAQSGGNFMPYGGGTRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVHRIAQEEEKSVLTADI* >Brasy2G118100.2.p pacid=40067752 transcript=Brasy2G118100.2 locus=Brasy2G118100 ID=Brasy2G118100.2.v1.1 annot-version=v1.1 MAAMMASITSELLFFLPYILLALLTFYTTTVAKCHRWRRTEEKRRPNLPPGAVGWPFIGETFGYLRAHPATTVGRFMEEHIARYGKIYRSSLFGDRTVVSADAGLNRYILQNEGKLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRHWPSSSPAVFSAQHEAKKFTFNLMAKNIMSMDPGEEEMERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRATILGVIERKMEERLQKMNKENSSIEEDDLLGWAMKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGECKLSWEDYKDMVFTQCVINETLRLGNVVRFLHRKVIRDVHYKGVCVAPVTAVTEYLRMCLCCVRIDRV* >Brasy2G233600.1.p pacid=40067753 transcript=Brasy2G233600.1 locus=Brasy2G233600 ID=Brasy2G233600.1.v1.1 annot-version=v1.1 MYLTNKLASQCSSKMTPSTHHLYVLLLLLLFIRSYSTIDAASVTKHNTTLLCHPDEASSLLQLKRSFIDVDENLASWRAGSDCCHWEGVICDMASGRVISLDLGGFDMQGRHLDPALFNLTSLRNLSLAFNDFLHAQLPSYGFERLTNMIHLNFSETNFLGQIPIGIARLKNLVTLDFSYNYELYLQEPSFQTFMANLSNLRELRLDEVDISNTGSTWSDVLAKSVPQLQTLSLFDCGISGPIHPSFSRLHLLREIDLQYSKLTGKVPEFFAEFSSLGILQISGTSFEGQFPAKIFQMKSLRKLALSRNPSLSVCLPDFPVGSNLETLLLAGSNLSCGIPSSFTNLKYLKTLGISTTGISKGISSISKLQSLNELDLWLHGGGLEKPVLSWIGNLTRLTYLELVGYDFSGSVSASWIGSLSSLERLVFWGCSSSIPYQIGNLTNLTSFEVEGWRSTGQPFPPWIGNLTKLVELGLQGCNFSSPIPSTIGNLLQLEVIQIGYNNLTGAIPKSLFALPALQRVYMNSNQLYGPLEDIPNPLSSPLSHIDLDSNQLTGPIPKSIYQLKHLELLYLGSNRLSGTLELSSFWRLRNLTSLSLSNNMLSVVLDEEGDNALLPPPNINYLYLESCNLTKFPGQLRYLDRVSGLDLSNNKMKGVIPSWVWVNWKDHLQRLDLSHNMFTTLEKSPSLVHMPHLNLLDLSFNRLHGSIPIPVLSFPGIVLDYSNNSFSSIVPDFGKYIKNFTYLNLSTNKLSGLVPSSICSASELSILDLSYNNFNGSVPSCLIENGKFSVLRLRQNKFRWIMPENIREGCKFQTIDLNGNQIEGKLPRSLSNCQDLELLDIGNNQIVGSFPSWLGILPKLRVLVLRSNQLNGTILDNKGDHHISNHFSSLQILDLASNNFSGNLPKGWFDELTAMIANVNDGAQVLEHSTVLSKGFYQDTITLTLKGGELTFTKILSALNVIDFSSNSFGGPIPESVMRLVSLHGLNMSYNNFMGPIPSQFSKLSRLESLDLSWNQLSGEIPQDLTSLTSLEWLNLSYNNLSGRIPQGNQFLTFGSSFEGNMGLCGLPLYKQCDTPASNTPGGVSPPEPNSLWQDKLGVILLFAFVGLGFGVGFALSLVLRLRWRIEGWICKHY* >Brasy2G233600.2.p pacid=40067754 transcript=Brasy2G233600.2 locus=Brasy2G233600 ID=Brasy2G233600.2.v1.1 annot-version=v1.1 MYLTNKLASQCSSKMTPSTHHLYVLLLLLLFIRSYSTIDAASVTKHNTTLLCHPDEASSLLQLKRSFIDVDENLASWRAGSDCCHWEGVICDMASGRVISLDLGGFDMQGRHLDPALFNLTSLRNLSLAFNDFLHAQLPSYGFERLTNMIHLNFSETNFLGQIPIGIARLKNLVTLDFSYNYELYLQEPSFQTFMANLSNLRELRLDEVDISNTGSTWSDVLAKSVPQLQTLSLFDCGISGPIHPSFSRLHLLREIDLQYSKLTGKVPEFFAEFSSLGILQISGTSFEGQFPAKIFQMKSLRKLALSRNPSLSVCLPDFPVGSNLETLLLAGSNLSCGIPSSFTNLKYLKTLGISTTGISKGISSISKLQSLNELDLWLHGGGLEKPVLSWIGNLTRLTYLELVGYDFSGSVSASWIGSLSSLERLVFWGCSSSIPYQIGNLTNLTSFEVEGWRSTGQPFPPWIGNLTKLVELGLQGCNFSSPIPSTIGNLLQLEVIQIGYNNLTGAIPKSLFALPALQRVYMNSNQLYGPLEDIPNPLSSPLSHIDLDSNQLTGPIPKSIYQLKHLELLYLGSNRLSGTLELSSFWRLRNLTSLSLSNNMLSVVLDEEGDNALLPPPNINYLYLESCNLTKFPGQLRYLDRVSGLDLSNNKMKGVIPSWVWVNWKDHLQRLDLSHNMFTTLEKSPSLVHMPHLNLLDLSFNRLHGSIPIPVLSFPGIVLDYSNNSFSSIVPDFGKYIKNFTYLNLSTNKLSGLVPSSICSASELSILDLSYNNFNGSVPSCLIENGKFSVLRLRQNKFRWIMPENIREGCKFQTIDLNGNQIEGKLPRSLSNCQDLELLDIGNNQIVGSFPSWLGILPKLRVLVLRSNQLNGTILDNKGWFDELTAMIANVNDGAQVLEHSTVLSKGFYQDTITLTLKGGELTFTKILSALNVIDFSSNSFGGPIPESVMRLVSLHGLNMSYNNFMGPIPSQFSKLSRLESLDLSWNQLSGEIPQDLTSLTSLEWLNLSYNNLSGRIPQGNQFLTFGSSFEGNMGLCGLPLYKQCDTPASNTPGGVSPPEPNSLWQDKLGVILLFAFVGLGFGVGFALSLVLRLRWRIEGWICKHY* >Brasy2G124400.1.p pacid=40067755 transcript=Brasy2G124400.1 locus=Brasy2G124400 ID=Brasy2G124400.1.v1.1 annot-version=v1.1 MSPRDADGHGTHTATTAAGSVSYAASMEGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGATSPFYIDPIAIGAYGAVSRGVFVATSAGNEGPAAMSVTNLAPWLATVGAGTIDRSFPAEIVLGDGRRMSGVSLYSGKPLANNTMLSLYYPGRSGGLSASLCMENSIEPSLVAGKIVICDRGSSPRVAKGMVVKEAGGAAMVLANGEANGEGLVGDAHVLPACSVGESEGDALKAYAANTTNPTATIVFRGTVVGVKPAPLVASFSARGPNGLVLEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNRGGAVSDEAERGRAATPFDYGAGHITLSKALDPGLVFDIGDEDYVVFMCSIGYEANAIEVITHKPVSCPSSTTNRKPSGSDLNYPSISVVFHGSNQSRTVIRTATNVGAEASATYKARVEMSGAAASSGVSVAVKPEKLVFSPSVKKQSFAVTMAAPAGPAAAPVYGHLVWSDGRGHDVRSPIVVTWLQPM* >Brasy2G177300.1.p pacid=40067756 transcript=Brasy2G177300.1 locus=Brasy2G177300 ID=Brasy2G177300.1.v1.1 annot-version=v1.1 MEDSVGEERREQEEAMAQGKERGEEEQEQEQDAGAGRVEEEQVVEEGGFLSSMASKIGAAMSGTNGSGSPEPEDVDEDNGNGIFAVAASDGEGGEEEKEDGNGGGGIFHRLLSSSPASSPPASAETEEEKRGGGDEDEGGGGEQAAGILSTVASKIGLAMSGANGSGGSEEDAKQASNGDVDHGEGEDKNEGDESTGGAGIVKQIIANLPNSDTRGPNAEEASMLIAIIDD* >Brasy2G177300.2.p pacid=40067757 transcript=Brasy2G177300.2 locus=Brasy2G177300 ID=Brasy2G177300.2.v1.1 annot-version=v1.1 MEDSVGEERREQEEAMAQGKERGEEEQEQEQDAGAGRVEEEQVVEEGGFLSSMASKIGAAMSGTNGSGSPEPEDVDEDNGNGIFAVAASDGEGGEEEKEDGNGGGGIFHRLLSSSPASSPPASETEEEKRGGGDEDEGGGGEQAAGILSTVASKIGLAMSGANGSGGSEEDAKQASNGDVDHGEGEDKNEGDESTGGAGIVKQIIANLPNSDTRGPNAEEASMLIAIIDD* >Brasy2G452600.1.p pacid=40067758 transcript=Brasy2G452600.1 locus=Brasy2G452600 ID=Brasy2G452600.1.v1.1 annot-version=v1.1 MGAVLIYDTETAAMVVSPRLPEGLGYGYQAAIAVGEEKLYVLESEWGSLDTPEDAGGLHCLSSADHNPGARKQGDMEQWSPSSPWRWNDKVALPFEAEGITAHAVVHQDIFVSVYHGETFSFDTATWEWTRRGDWGMPVVGHAHYDGEMDAWVGLHDAGDTDGHLCAGNLMSALPEWKVGREKLFRLDELDASAGWRHVSAKLLPMAQGEYCLMERLQPEGDDDDHDKAGEWLGDDDKAEEWLGDGDKCLLRLTAFRVERGEDGEPVATALRPARSYKVSRYNKDFDAQAFWM* >Brasy2G248100.1.p pacid=40067759 transcript=Brasy2G248100.1 locus=Brasy2G248100 ID=Brasy2G248100.1.v1.1 annot-version=v1.1 MAEEQFLAAAVDAAKSAGEVIRKGFYLTKNVEHKGQVDLVTETDKACEDLIFNHLRKLYPDHKFIGEETSAALGSTADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPSVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQDELVKALMVTEVGTKRDKSTLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGAVILQEAGGFIFDPSGGEFDLMAQRMAGSNGFLKDQFIKELTDAS* >Brasy2G318300.1.p pacid=40067760 transcript=Brasy2G318300.1 locus=Brasy2G318300 ID=Brasy2G318300.1.v1.1 annot-version=v1.1 MLARFFGRADAGAPEEPTPEPFSFAEPLPTWPQGNGFARGRMRVGGGGELELAAATSFERICTLSPSPRRDCKSSVTFYRPLAVPEGFSVLGHYCQPDSRPLHGHLLVAKASARAHHPPRSTPPPLCAPRDYELVWAFHASGGSAAAGGGRSSSSSSCSGYGRRDAYFWLPVPPEGYRALGFLVTTDPGKPRPEEAGCVRTDLTDECEPHGSLLQLQLTRPSSSSSPGEAFAVRGLRPLRRGMSEKGIGVGTFCCAVVGGCPSPREQGLACLSNVELDLSAMPTLEQLHAVIQHYGPTVFFHPKEVYLPSSVSWYFKNGASLYRKGGAVGEEIDGEGSNLPGGGCNDGEYWIDLPEDAQRRAAVCRGDIGSAELYAHVKPAMGGACTDVAMWVFCPFNGPARLKLGALNVPLGKTGQHVGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYAAGNRPVVYSSRNGHASYPYPAVYLQGSAALGVGIRNDAARSKLFVDSSVKYRIVAAEYLGDGVVAEPQWLQYMREWGPTVVYNSRKRMERVVGRLPRRLRDTAENILNKMPNELSKEEGPTGPKEKNMWAGDERW* >Brasy2G173600.1.p pacid=40067761 transcript=Brasy2G173600.1 locus=Brasy2G173600 ID=Brasy2G173600.1.v1.1 annot-version=v1.1 MKRNLYVMRGPGFFCCWAAFLPRAERGIARGRARGRARGTWSGRTAYARKCARTPSQPHTPIERRGIYGWSIQQQGQKLNPTRSDGEDGSRGSGLGRQGRLPALRAQGAAAGWEGRGGCARCGHKGRRRRHAQGEETARTEAAAAGWEGRGGCSRCGHKGRWRHAQGEATARTEDAAAGWEGRGGCARCGRKGRRRPRAGKAGAAARAAGTRGGGGGTRKGRRRKQQAKMAAAAARMTAAVPAARMKRRSAVKEAGSRWEGRCQEL* >Brasy2G041300.1.p pacid=40067762 transcript=Brasy2G041300.1 locus=Brasy2G041300 ID=Brasy2G041300.1.v1.1 annot-version=v1.1 MTSPDPCALAFYWFLLPERCHSCSAAGGYSHCNEQEELLDMVYRGSCRPYAPCFCPEHGCQGFAVAGRAAAACPRAPLLPARDVPPLLSRDAPPPPCDRAPLLPARDAPPARARPCCVPAWHRRRLPARAPAAFPRRAAAAGPRAAATAFPRRASAAGPRAPWLFSREPLAPGTRRRRRPPARAPAACPRRAVVAACPRAPLRRARDAPSSLAREPPPPLAPAPEWEWPWTSASSRTSTSPARSSPTSSSSPTPSPSPASTRSSSWKNTGERKEESIGFGKEKRVELPA* >Brasy2G107700.1.p pacid=40067763 transcript=Brasy2G107700.1 locus=Brasy2G107700 ID=Brasy2G107700.1.v1.1 annot-version=v1.1 MVTPRTGAGPPVTGDRYLDLLVRFVARHAGELLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVIAPGPGRDPAPLSLLPFAHLRVLELRGCDLSTSAARGLLDLRHTLERLVCYNSTDALRHLFVSRVVDIKDSPVWSRLSYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKLDNLRKCTKLRNLDLGFNHLRSISYLSEVHSRVGKLVLRNNALTTIRGIENLKSLVGLDLSYNIISNFSELEILGSLSLLENLWLEGNPICCARWYRAHVFSFFHNSENLKLDDKGMNTQEYWEKQVMFSSRQKQPAGYGFYFPAKDDCEDEDTSKSMMVLKKISRLACIVEEERSLCDEGVDLQSTPRDSDSSKKDEVSAADGDIQITSLISTAELMKKERSTVWLREFKEWMDENTDNNTEGDRLYADFTNKNRRQKRQNGRQKSHMKSSKSVTDLAQTSEGGSSSSLLESDLSFTDGACNGVTTESPIEVNAEPDHLRVHLNSVQQLPPVEFVGTSHSDSFSELEDSSNILHTNGTPSHAMSKLIGPSPSFAYPSPQSPPQYKEDILRRRLFLEEEFLQSSGDFQCVGSLGSGSSCSDDSSGDLCSCNSEDDCVAIQTKMELALNDPMASFPYADSDHEEKDGEEYLSQEGSLSDRSAEDDPTYTDAIEFGTKEPHDRKQMNGHVGQHSGHLGRQNGKQKFKRRILPIFKNQNGSKLEFLKTNGDEMDEEVSVGENGHLRYDLKSTTCKDQHSEKHISSILHKDNLSIGADTVSSNTRRGKYKLIEDFFNVEVANKEESEICEQGARCGYMFQDGSDLVQREVALLRSSQNKLYVLLVDMAQDEREIMPRVLGCYRLEHLEKVSIGLGLQVLRMHMTDHTAHLFLARTPKEAQDVLWLLNLFSFPQLTNGVSLQSWEKIQIKLLEKCICASMKMGIFFYSMLMFWKSDAEEECLVIRSLIVTEGSIFVCIEDLHRFGCFPDDSYPPYFSLDECCSIKNIKELVMDQRDNKCLTLVLDKHIHEGRSHSTTKNSQNKQADAIYKIQSWKLKWFAEETLMKFISVLRALYSTAGSSSLPVK* >Brasy2G305100.1.p pacid=40067764 transcript=Brasy2G305100.1 locus=Brasy2G305100 ID=Brasy2G305100.1.v1.1 annot-version=v1.1 MTTAAWPRLTGPWADLPPEMLRDVSSHLHDAADFVRFHAVCKPWRHSAASSKATSSSFLPWLLATCNGQILHSVVHFSGRRAGSSSDIVVRAVQPPAAARARDDISNCVVASAHATAAWLFTPSPNPRLDHLLTGAVTSLPRLPDPDDGRGVTMITEVRGNPHVIVYGDGTVFLHCLCCETDLLHWEHADAATPVFMAAVLRPGGAAWTVVKKRLDESWPHGDEGHVVAAYHDGRVLACARWLCSWRSWCLPVPVQGDRGGGGAMLVPPPRDARKQLECDREDSYLLESRGELMWASVLVERGWRSRYAGDTALPASALHVTVHALEGPDGWGRMGPAARDGRSLGDRVLFLGYPASFAVDAAPLGSGVYRYGFDDGEAKLVRGLCAGWGADADGTCVWLRPQPRIAPIEEIRGRLSRVSKEEQLNSDRT* >Brasy2G052500.1.p pacid=40067765 transcript=Brasy2G052500.1 locus=Brasy2G052500 ID=Brasy2G052500.1.v1.1 annot-version=v1.1 MAAVMDYFRSCWGARSRAGRRGKKGSDAAGRQDGLLWYKDAGQVATGEFSMAVVQANNLLEDQSQVESGPLSMAEPGPHGTFVGVYDGHGGPETSRFITDNMFHHLKRFATEHKCMSADVIRKAFQATEDGFLSVVSKEWSIKPQIAAVGSCCLVGVICSGTLYIANAGDSRAVLGRLVKATGEIVAMQLSAEHNVCYEEVRQELQSSHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNRTPLHSKFRLRETFKKPILRSEPAIAEHQIQPSDQFVIFASDGLWEHLSNQEAVDLVQSNPRNGIARRLVKAAMQEAAKKREMRYSDLKKIERGVRRHFHDDITVVVVFLDANAVSKAGWSKSPSVSVRGGGVSVRANSLAPFSAPTMLSSTY* >Brasy2G492600.1.p pacid=40067766 transcript=Brasy2G492600.1 locus=Brasy2G492600 ID=Brasy2G492600.1.v1.1 annot-version=v1.1 MRSPGCSIKTSNKTTGRIIILLMGGGQSFSPAVPAPVSVRLSRRRLPAPASASAVAVEADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLQSNGVSCDGRILVSDRAHLLFDLHQTVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDILFKDAALRFEGFKYSKSMLKEEVEKYKRFAERLEPFIADTVHVLNESIRQKKKILVEGGQATMLDIDFGTYPFGTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDNLRKAGMEFGTTTGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVAYNRNDGQKLKSFPADLDTLEETQVKYEVLPGWHSDISSVRSYSELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK* >Brasy2G238500.1.p pacid=40067767 transcript=Brasy2G238500.1 locus=Brasy2G238500 ID=Brasy2G238500.1.v1.1 annot-version=v1.1 MDGKRGRIPPSPVASPRPSRPERRTEKLRTCCCPHRRCPSHRRPSPPLAVAAMDGAPRSSEPAAVHTGAALRLPSPRPSIASRRLPSPPRALDAMDDAAIHADARRIPNPESLKRKERRCDFDKEL* >Brasy2G077200.1.p pacid=40067768 transcript=Brasy2G077200.1 locus=Brasy2G077200 ID=Brasy2G077200.1.v1.1 annot-version=v1.1 MTSGQVQHLQRLLYGTKPAIKYYVYAINKTFAAPTQRMYFTVQFTKVVPGAYLVSPIERMRIKLGGTSLTSRVRLMKGQDGRAAITTNWPSFVRAAEINEGQIFAFVFTVVHTSLRLTAHRL* >Brasy2G378700.1.p pacid=40067769 transcript=Brasy2G378700.1 locus=Brasy2G378700 ID=Brasy2G378700.1.v1.1 annot-version=v1.1 MGSTPQPEVALAALPGPSPPAAGSGSAETGQEQKPAQNSETATGAAPMGAEGAEYGSLPDSAGAGASPSPSIRKLSIIPLIFLIFYEVSGGPFGIEDTVGAAGALLAIAGFLVLPVIWSVPEALITAELGTMFPENGGFVVWVASALGPYWGFQQGWVKWLSGVIDNALYPVLFLDYLKSSVPALGGGAPRALAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLIPFLVMGLVSIPKLRPARWLAVDIHGVDWNLYLNTLFWNLNYWDSISTLSGEVENPSKTLPKALFYAVILVVVAYLYPLLAGTGALPLEDKAQWTDGYFADVARLLGGAWLMWWVQAASALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRRHGTPLVGILFSASGVLLLSAMSFQEIVAAENFLYCFGMLLEFLAFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVAMVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPDIDVTRQPAAPNEPFVA* >Brasy2G378700.2.p pacid=40067770 transcript=Brasy2G378700.2 locus=Brasy2G378700 ID=Brasy2G378700.2.v1.1 annot-version=v1.1 MGSTPQPEVALAALPGPSPPAAGSGSAETGQEKPAQNSETATGAAPMGAEGAEYGSLPDSAGAGASPSPSIRKLSIIPLIFLIFYEVSGGPFGIEDTVGAAGALLAIAGFLVLPVIWSVPEALITAELGTMFPENGGFVVWVASALGPYWGFQQGWVKWLSGVIDNALYPVLFLDYLKSSVPALGGGAPRALAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLIPFLVMGLVSIPKLRPARWLAVDIHGVDWNLYLNTLFWNLNYWDSISTLSGEVENPSKTLPKALFYAVILVVVAYLYPLLAGTGALPLEDKAQWTDGYFADVARLLGGAWLMWWVQAASALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRRHGTPLVGILFSASGVLLLSAMSFQEIVAAENFLYCFGMLLEFLAFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVAMVSLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPDIDVTRQPAAPNEPFVA* >Brasy2G089500.1.p pacid=40067771 transcript=Brasy2G089500.1 locus=Brasy2G089500 ID=Brasy2G089500.1.v1.1 annot-version=v1.1 MAVLRKRPAAVLNGDHATATATQEAATSTSCKRSRVAVDSTDDYVDSTCIGQGGFGAVSRARHRVTGKIVAIKHLTSSTDKTAGAGELLREARFLQACSGNPYVVGFEGLARDPRTGNLCFVMEYVEAPSLNDFLWDTRHGPRLPEPTVRAFMWKLLTGAKMMHERHVVHRDIKPANILVGTEQEEGGDRLLKMCDLGLAISMTDPPPYNQAGTLTYMAPEMLLEKTDYDALVDTWSLGCVMAELVTGEALFEDEEEEDEDDDDESESESLTRLRSIFSVLGVPSEKSWPEFKSLPLAGEALRALPAMVRPHHSKLREMFPEETLSADGFKVLEGLLTCNPNKRLTAAAALKLPWFAPLRPAAAAAAKIDAPALPTKKPQKIKIIPPATPQKKPPTIKIIPPAIPEKKLPRIKIIPFLPAIPAEKKPLRIKIIPPTMPDTRIC* >Brasy2G328700.1.p pacid=40067772 transcript=Brasy2G328700.1 locus=Brasy2G328700 ID=Brasy2G328700.1.v1.1 annot-version=v1.1 MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPSTSTSAGGKGAEAASTDRKASAAGFDYDAAAASAGFRPFAGSAGFEAGAAQTGFGFDTARVVIYEVV* >Brasy2G187600.1.p pacid=40067773 transcript=Brasy2G187600.1 locus=Brasy2G187600 ID=Brasy2G187600.1.v1.1 annot-version=v1.1 MLDIQKRRVQLLLFITGVLALSMTAEKFRELVGKEAASKSGQFTFMNCFDMGSGSLACAGKEGVKLYVNNLRSAHMEMVRQRAIEKALADAVTEGLSPAEAAKRAQKVGAKATKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYAGGFHGEERLGKLGYLAGSQLGSWVGGRIGLMIYDVINGLNYMLQFVRPEQYRSSSSYSSGEDSEYADNYISTETEEPTYGEMPEENQEESEGFSLF* >Brasy2G389500.1.p pacid=40067774 transcript=Brasy2G389500.1 locus=Brasy2G389500 ID=Brasy2G389500.1.v1.1 annot-version=v1.1 MALKVASCIRFARPRLLWSMLTYYPCSDAPAARACEAAGGSAAFESAIRSLKNNLQPERLTRVLDSTSDLNLALRIFKWASSQRFFVHTADTYACMISKLGAVGNCDEMDILLKEMIKLNVPVLDKNLNDLVQYLSSKNRYDEALLVVQNACSGKLKLSVSSCNVVLGGLVKEGRGLRPFVRAYMEIVKAGVLPDADTLNLLIEALCGAGRLDLALIQFDRMSKKRCTPNSHTFKILITALCSHGRGDESVELFEKMLQLRCIPDICFYFQVLSVFCKFNKPKEASKLHQMIKQNGLQLDLHLYSALIRCLCENRLLEAAVTTFKEMTASGHASTANTYVNVVDCYCAFAQFHKAVSFLEENDVTETEPYNVLLRAFGKAARLRDSVSYLEEFRKRGLVDCQSWNIVITQFCNEGSIRRASELIGRMVLSSFTADESTYSSVVSCYCRLGLYKNALDMFRRVSVGNLLLNSESFSQLVECLCHTKRIQEAAEVFKYHCKRGCSLRSESLDMLIQGSCMAGMIREAIRMRSLAVCTGTSCTFSTYNTIIQALLQLKKEKDALLILAQMLMEGCVLNSYAYNVLLRCFLTKETVLEAAILFNRMVNDGFVPDQETFELLVPDMAVFSLLSTVSESLLNVVNIDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMLDKGWVPDSETHSVLVGTIDGKEATEVDNVFQTTDDDIVNNILLEGLE* >Brasy2G031900.1.p pacid=40067775 transcript=Brasy2G031900.1 locus=Brasy2G031900 ID=Brasy2G031900.1.v1.1 annot-version=v1.1 MLIFLILLLITDLSTFAPGEPKKKKQFCYFSCDCEIQSISQSQLRTV* >Brasy2G117400.1.p pacid=40067776 transcript=Brasy2G117400.1 locus=Brasy2G117400 ID=Brasy2G117400.1.v1.1 annot-version=v1.1 MEQPNQWLTQPEEDELAYMYQQDGHVPGIEQQFAEPPPLPDQHREQYYTSPMATPSSFHPPHSSNFQSFGGSSSLPNLSFGAMPPVKDEQGQPTSNFLSFGGQAMATTLNFSGGSGSWQQDGMEAVQLQAPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIEYVKQLEEKVKTLEEQGTRRSAESTSVFESKCCISAGADNDAAAGTSGGSASGDGGGEYSSPAVEASIRGNTALLKICCKERRGVLVMVLSELENQGLSIINTNVVPFTDSCLNITITAKIEEGFSSAVDLVKNLTTALRNFN* >Brasy2G117400.4.p pacid=40067777 transcript=Brasy2G117400.4 locus=Brasy2G117400 ID=Brasy2G117400.4.v1.1 annot-version=v1.1 MVNSEEDELAYMYQQDGHVPGIEQQFAEPPPLPDQHREQYYTSPMATPSSFHPPHSSNFQSFGGSSSLPNLSFGAMPPVKDEQGQPTSNFLSFGGQAMATTLNFSGGSGSWQQDGMEAVQLQAPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIEYVKQLEEKVKTLEEQGTRRSAESTSVFESKCCISAGADNDAAAGTSGGSASGDGGGEYSSPAVEASIRGNTALLKICCKERRGVLVMVLSELENQGLSIINTNVVPFTDSCLNITITAKIEEGFSSAVDLVKNLTTALRNFN* >Brasy2G117400.2.p pacid=40067778 transcript=Brasy2G117400.2 locus=Brasy2G117400 ID=Brasy2G117400.2.v1.1 annot-version=v1.1 MYQQDGHVPGIEQQFAEPPPLPDQHREQYYTSPMATPSSFHPPHSSNFQSFGGSSSLPNLSFGAMPPVKDEQGQPTSNFLSFGGQAMATTLNFSGGSGSWQQDGMEAVQLQAPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIEYVKQLEEKVKTLEEQGTRRSAESTSVFESKCCISAGADNDAAAGTSGGSASGDGGGEYSSPAVEASIRGNTALLKICCKERRGVLVMVLSELENQGLSIINTNVVPFTDSCLNITITAKIEEGFSSAVDLVKNLTTALRNFN* >Brasy2G117400.3.p pacid=40067779 transcript=Brasy2G117400.3 locus=Brasy2G117400 ID=Brasy2G117400.3.v1.1 annot-version=v1.1 MEQPNQWLTQPEEDELAYMYQQDGHVPGIEQQFAEPPPLPDQHREQYYTSPMATPSSFHPPHSSNFQSFGGSSSLPNLSFGAMPPVKDEQGQPTSNFLSFGGQAMATTLNFSGGSGSWQQDGMEAVQLQAPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIEYVKQLEEKVKTLEEQGTRRSAESTSVFESKCCISAGADNDAAAGTSGGSASGDGGGEYSSPAVEASIRGNTALLKICCKERRGVLVMVLSELENQGLSIINTNVVPFTDSCLNITITAKAS* >Brasy2G117400.5.p pacid=40067780 transcript=Brasy2G117400.5 locus=Brasy2G117400 ID=Brasy2G117400.5.v1.1 annot-version=v1.1 MVNSEEDELAYMYQQDGHVPGIEQQFAEPPPLPDQHREQYYTSPMATPSSFHPPHSSNFQSFGGSSSLPNLSFGAMPPVKDEQGQPTSNFLSFGGQAMATTLNFSGGSGSWQQDGMEAVQLQAPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIEYVKQLEEKVKTLEEQGTRRSAESTSVFESKCCISAGADNDAAAGTSGGSASGDGGGEYSSPAVEASIRGNTALLKICCKERRGVLVMVLSELENQGLSIINTNVVPFTDSCLNITITAKAS* >Brasy2G500000.1.p pacid=40067781 transcript=Brasy2G500000.1 locus=Brasy2G500000 ID=Brasy2G500000.1.v1.1 annot-version=v1.1 MATTWMATGAPAKLCFNNSSKSLARGGFISWIICPATNPRSLTIAATATSADTECAAVPREQVEVIRSLNGWVAENMLPLLAPVESSWQPHDFLPCSAAAASRGVSEAESLASFTEGVAQLRAGAAGVPDDVLVCLVGNMVTEEALPTYQTMGNRSEGGSDDTGVSGLPWAQWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVETTVHHLLRNGMEMLMPSSPYHSVIYGAFQERATFISHGHTGRLAGQHGDQALARICGAIAADERRHEAGYSRAAARLFEVDPDGMVRALAHVMRGKVTMPGLLMSDGRGDGGDSGTLFERFSAVAERVGVYTARDYGDLVEHFVRRWRVAELRGLSGEGRCAQEYVCGLPPKIRRLAELAHKRAARSEARPVHFSWIFDRPVMTG* >Brasy2G500000.2.p pacid=40067782 transcript=Brasy2G500000.2 locus=Brasy2G500000 ID=Brasy2G500000.2.v1.1 annot-version=v1.1 MATTWMATGAPAKLCFNNSSKSLARGGFISWIICPATNPRSLTIAATATSADTECAAVPREQVEVIRSLNGWVAENMLPLLAPVESSWQPHDFLPCSAAAASRGVSEAESLASFTEGVAQLRAGAAGVPDDVLVCLVGNMVTEEALPTYQTMGNRSEGGSDDTGVSGLPWAQWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVETTVHHLLRNGMEMLMPSSPYHSVIYGAFQERATFISHGHTGRLAGQHGDQALARICGAIAADERRHEAGYSRAAARLFEVDPDGMVRALAHVMRGKVTMPGLLMSDGRGDGGDSGTLFERFSAVAERVGVYTARDYGDLVEHFVRRWRVAELRGLSGEGRCAQEYVCGLPPKIRRLAELAHKRAARSEARPVHFSWIFDRPVMTG* >Brasy2G500000.3.p pacid=40067783 transcript=Brasy2G500000.3 locus=Brasy2G500000 ID=Brasy2G500000.3.v1.1 annot-version=v1.1 MATTWMATGAPAKLCFNNSSKSLARGGFISWIICPATNPSLTIAATATSADTECAAVPREQVEVIRSLNGWVAENMLPLLAPVESSWQPHDFLPCSAAAASRGVSEAESLASFTEGVAQLRAGAAGVPDDVLVCLVGNMVTEEALPTYQTMGNRSEGGSDDTGVSGLPWAQWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVETTVHHLLRNGMEMLMPSSPYHSVIYGAFQERATFISHGHTGRLAGQHGDQALARICGAIAADERRHEAGYSRAAARLFEVDPDGMVRALAHVMRGKVTMPGLLMSDGRGDGGDSGTLFERFSAVAERVGVYTARDYGDLVEHFVRRWRVAELRGLSGEGRCAQEYVCGLPPKIRRLAELAHKRAARSEARPVHFSWIFDRPVMTG* >Brasy2G314700.1.p pacid=40067784 transcript=Brasy2G314700.1 locus=Brasy2G314700 ID=Brasy2G314700.1.v1.1 annot-version=v1.1 MAVWMKKGQAAMAGATLVLLLLLAAAFQLHAADAPAGRRLPSGGAGAAGQPPPSPRAADGALKRGASSCTNYGPGGSGVCPPRGRRTLGHH* >Brasy2G128300.1.p pacid=40067785 transcript=Brasy2G128300.1 locus=Brasy2G128300 ID=Brasy2G128300.1.v1.1 annot-version=v1.1 MSNPRFEMNLGIEKALRRALPYGDLPSIDSPQSVFFDAATEGDLHRLRELASGKDAEERAWLADVCIQGLGPLQVAARLGRVDVCRCMVEELSFDIDVGSEIGVTALAAASLDGQMAAVRYLLDHGADPNKKDDAGCVALHCAAKFV* >Brasy2G161100.1.p pacid=40067786 transcript=Brasy2G161100.1 locus=Brasy2G161100 ID=Brasy2G161100.1.v1.1 annot-version=v1.1 MPTCARCRACRAAPYIRAMSREGRDHRQEEEEERSRLASQGKEGEEIWWTGGGGFGEMDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTKIWKRFKGSESTPEHLGVSKEYNVDMVPKFMMANGALVRVLIRTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAFGVASEGETAKCKKVVCDPSYLSDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPVNTPEEDNCFVTNSYDATTHFETTVKDVLALYSKITGKDLDLSVDLNAASAAEPEAA* >Brasy2G156900.1.p pacid=40067787 transcript=Brasy2G156900.1 locus=Brasy2G156900 ID=Brasy2G156900.1.v1.1 annot-version=v1.1 MSCLAPQVKWPRSTRAAAVSRETGAAGCGSFRPGRVHCAVSSAAVVEAERLESFAAGATRLVYDGAVGPPPLPGDFGEALLNQEAVVAAAAAEAVALARAAAEVAGEVARMAQTDQYRPDIDSHDAVDNYLTREILRTEAARSSGAPYADVALLDDGGFVSIFSDESESDEEVQGAPGVAVKSARQFERRARRVRAAMKAAKSLSARWPVTGSSRKKRVKGCRNPLGCFYKMSARRLLTAKQEVELSEGIQDFLKLEAIQKEVAHYNGGEPTFTQWAAAAGTDENTLRKRLSYGIYCKNMMVKSNVRLVISIAKEFEGPGTEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPTHMAEASSRVKECRRRLRRQLNRLPTNEEIASDTGLTTRRVEAAMCLPRYSVSLSGKVGCTDVTYQEIMPDKSTETAEETLHRWFMKKDVDTALDSLSPREREVIRYRFGMDDGRMRTLHNIGELMGVSRERIRQIEMVAFRKLRSKKKVTSLQQYLEPAESW* >Brasy2G223700.1.p pacid=40067788 transcript=Brasy2G223700.1 locus=Brasy2G223700 ID=Brasy2G223700.1.v1.1 annot-version=v1.1 MFSLAHGLWKYIFNKTEFHVLVLGVDKAGKTTLLEKLKSTYLKGEGLPPDRIVPTVGLNIGRIEDANSKLIFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKFSLEKVLRHEDLKGAPVLIFANKQDLPGVIPEEELTRHLHLKELNERPYMFQAVSAYDGRGIKSGIDWLVEQMEKSKRTEVLRERAVVAGQI* >Brasy2G285300.1.p pacid=40067789 transcript=Brasy2G285300.1 locus=Brasy2G285300 ID=Brasy2G285300.1.v1.1 annot-version=v1.1 MAPPMILDGMDGGPSVSMDSGPLHVAASTGRMVTCKYLVEQVGFDINAEANNDSGMTPLACAVSHGKGIAAKYFLDKGADPDKQDNKGFTPLHYATKEGKDRLVRLLLSKGASVDVLSCEGTPLHVAASYGKSGIMQILLQHNADPNRVSADLGTPMASVLCVASGKISELTALKCMKLLVKAGADLNCANPDTPLVIATNKDLSECVKYLLEVGADANIPSNHGRTTPIEIAANSGRRKLVEILHPFTSPVGSVSNWSVEGIMAHAKSKHSNKMGNQSDKNSKVQLKLNAEEATKKQNSSALKFCAKDEGCPTDKKAELKLLGAEAVKQQDYAGASKFYSKAIKLDPADTAMYSNRSLCHLKSGEARDALLDADACIRLQPDWPKGYYRKGAAFMLLKEYKEACDAFMAAGKLDPASVEMHEAFWNAVQAMKKEHSAVRSVDSSNQSSGEFLLVFVVPFRVLVMYLSAC* >Brasy2G285300.2.p pacid=40067790 transcript=Brasy2G285300.2 locus=Brasy2G285300 ID=Brasy2G285300.2.v1.1 annot-version=v1.1 MAPPMILDGMDGGPSVSMDSGPLHVAASTGRMVTCKYLVEQVGFDINAEANNDSGMTPLACAVSHGKGIAAKYFLDKGADPDKQDNKGFTPLHYATKEGKDRLVRLLLSKGASVDVLSCEGTPLHVAASYGKSGIMQILLQHNADPNRVSADLGTPMASVLCVASGKISELTALKCMKLLVKAGADLNCANPDTPLVIATNKDLSECVKYLLEVGADANIPSNHGRTTPIEIAANSGRRKLVEILHPFTSPVGSVSNWSVEGIMAHAKSKHSNKMGNQSDKNSKVQLKLNAEEATKKQNSSALKFCAKDEGCPTDKKAELKLLGAEAVKQQDYAGASKFYSKAIKLDPADTAMYSNRSLCHLKSGEARDALLDADACIRLQPDWPKGYYRKGAAFMLLKEYKEACDAFMAAGKLDPASVEMHEAFWNAVQAMKKEHSAVRSVDSSNQSSEINVY* >Brasy2G322100.1.p pacid=40067791 transcript=Brasy2G322100.1 locus=Brasy2G322100 ID=Brasy2G322100.1.v1.1 annot-version=v1.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGDEGDEGDEY* >Brasy2G183400.1.p pacid=40067792 transcript=Brasy2G183400.1 locus=Brasy2G183400 ID=Brasy2G183400.1.v1.1 annot-version=v1.1 MTYKKATAALDDAARERLRGPFLSGNAPSTGHEGVDDDGLVELVHEFYNGYGQEEDAVAREAKEPRQPNAAWADTLRAALADAAADVAAARIRAEAERAVLDAAAGLNVVADRLRARGFDAGVCRSSWERSGSVPAGSHEYVDVVLETTLPWATSASTRYIVEVNIAAEFETARPSAQYRELLRSLPPVLVATPEAFKEVAAAMCAGAAESIRGAGMHLPPWRRARYVQAKWSGHYKRSVPAVAVAAPALGMARLEGASTTGLAVPAATRQRVSSRGPKHCGMEMAMGREGLVGARPLMFRGW* >Brasy2G019200.1.p pacid=40067793 transcript=Brasy2G019200.1 locus=Brasy2G019200 ID=Brasy2G019200.1.v1.1 annot-version=v1.1 MPPAPQPQPSRPSSTPSHRRPHPPTSNPVRRHNLHIARCFPDQPQPAEPASPNPNPLLSLLTAVPDWADAVSERRVREPRPLYTHEQWREHRSSRRHLRHLLSSLSSRVILSLAPPVSAFTAVATAVATYNTLVPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWIRVLAGAAELVGMVMHPGGGVAGDGRDEPAGRTALVNYILAFPVALKCHITSNSDIRKDLQGLLAEDDLNVVLTSKHRPRCIIEFISQSLQMLDFEEHRRSIMESKLSAFLEGICVCEQIIGIPVPLSYTRLTSRFLVLWHLTLPVILWSECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDEQCKQLHDSMHDMMSVQDSVRKRLVAKTKSQQRSGRYPNNGRPGSKSEQVKID* >Brasy2G019200.2.p pacid=40067794 transcript=Brasy2G019200.2 locus=Brasy2G019200 ID=Brasy2G019200.2.v1.1 annot-version=v1.1 MPPAPQPQPSRPSSTPSHRRPHPPTSNPVRRHNLHIARCFPDQPQPAEPASPNPNPLLSLLTAVPDWADAVSERRVREPRPLYTHEQWREHRSSRRHLRHLLSSLSSRVILSLAPPVSAFTAVATAVATYNTLVPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWIRVLAGAAELVGMVMHPGGGVAGDGRDEPAGRTALVNYILAFPVALKCHITSNSDIRKDLQGLLAEDDLNVVLTSKHRPRCIIEFISQSLQMLDFEEHRRSIMESKLSAFLEGICVCEQIIGIPVPLSYTRLTSRFLVLWHLTLPVILWSECKWIVVPATFISAASLFCIEESGSCRRLPFWKI* >Brasy2G019200.3.p pacid=40067795 transcript=Brasy2G019200.3 locus=Brasy2G019200 ID=Brasy2G019200.3.v1.1 annot-version=v1.1 MPPAPQPQPSRPSSTPSHRRPHPPTSNPVRRHNLHIARCFPDQPQPAEPASPNPNPLLSLLTAVPDWADAVSERRVREPRPLYTHEQWREHRSSRRHLRHLLSSLSSRVILSLAPPVSAFTAVATAVATYNTLVPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWIRVLAGAAELVGMVMHPGGGVAGDGRDEPAGRTALVNYILAFPVALKCHITSNSDIRKDLQGLLAEDDLNVVLTSKHRPRCIIEFISQSLQMLDFEEHRRSIMEFVSASRSLGFLFPCHTLGLLQDSLFCGILRFQ* >Brasy2G250900.1.p pacid=40067796 transcript=Brasy2G250900.1 locus=Brasy2G250900 ID=Brasy2G250900.1.v1.1 annot-version=v1.1 MEPEKQQRLQPPVPASAPAAELKVPTHQPRPKPAASTQPAMPVPRPWPVAFTPMKPMVEVKSGTPQKRKKQCNCKNSHCLKLYCECFAAGLYCDGCNCKQCGNKVENEKARQEAINNTKQRNPKAFQPKIENVSNTLSVRKDAGVPSFPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELQAIIQGDNTSDRNNIQQATNVTLNGPMGSSGHKCSPARRRLPDDPLGSEANHVDASQVASFTGHEGCIGNYHSKSKMVYRSPLAHTILPTDVNDLANHLVIVCRKATEAFLTIADRKVEIEVERTSRTKTDLNNDKMKNQEVQKAVRQPDDATYIDHRNVGDLESPCSNSQEDSRPASPGTQALMCDEQGTTFGTDYRSSFPMALHDQDTSELNGVQEKTVLTGFRDYLRLLITRGKINANNCAGITEGNISSESAMELEDQRHHQSVSTNLLPLKAVEKPKSPDDSENPKASEPSSSNC* >Brasy2G250900.3.p pacid=40067797 transcript=Brasy2G250900.3 locus=Brasy2G250900 ID=Brasy2G250900.3.v1.1 annot-version=v1.1 MEPEKQQRLQPPVPASAPAAELKVPTHQPRPKPAASTQPAMPVPRPWPVAFTPMKPMVEVKSGTPQKRKKQCNCKNSHCLKLYCECFAAGLYCDGCNCKQCGNKVENEKARQEAINNTKQRNPKAFQPKIENVSNTLSVRKDAGVPSFPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELQAIIQGDNTSDRNNIQQATNVTLNGPMGSSGHKCSPARRRLPDDPLGSEANHVDASQVASFTGHEGCIGNYHSKSKMVYRSPLAHTILPTDVNDLANHLVIVCRKATEAFLTIADRKVEIEVERTSRTKTDLNNDKMKNQEVQKAVRQPDDATYIDHRNVGDLESPCSNSQEDSRPASPGTQALMCDEQGTTFGTDYRSSFPMALHDQDTSELNGVQEKTVLTGFRDYLRLLITRGKINANNCAGITEGNISSESAMELEDQRHHQSVSTNLLPLKAVEKPKSPDDSENPKASEPSSSNC* >Brasy2G250900.4.p pacid=40067798 transcript=Brasy2G250900.4 locus=Brasy2G250900 ID=Brasy2G250900.4.v1.1 annot-version=v1.1 MYKLSINFCSKVMFVVIRKYETSNTSSFTSKINSFRNKIRRYCECFAAGLYCDGCNCKQCGNKVENEKARQEAINNTKQRNPKAFQPKIENVSNTLSVRKDAGVPSFPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELQAIIQGDNTSDRNNIQQATNVTLNGPMGSSGHKCSPARRRLPDDPLGSEANHVDASQVASFTGHEGCIGNYHSKSKMVYRSPLAHTILPTDVNDLANHLVIVCRKATEAFLTIADRKVEIEVERTSRTKTDLNNDKMKNQEVQKAVRQPDDATYIDHRNVGDLESPCSNSQEDSRPASPGTQALMCDEQGTTFGTDYRSSFPMALHDQDTSELNGVQEKTVLTGFRDYLRLLITRGKINANNCAGITEGNISSESAMELEDQRHHQSVSTNLLPLKAVEKPKSPDDSENPKASEPSSSNC* >Brasy2G250900.2.p pacid=40067799 transcript=Brasy2G250900.2 locus=Brasy2G250900 ID=Brasy2G250900.2.v1.1 annot-version=v1.1 MVEVKSGTPQKRKKQCNCKNSHCLKLYCECFAAGLYCDGCNCKQCGNKVENEKARQEAINNTKQRNPKAFQPKIENVSNTLSVRKDAGVPSFPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELQAIIQGDNTSDRNNIQQATNVTLNGPMGSSGHKCSPARRRLPDDPLGSEANHVDASQVASFTGHEGCIGNYHSKSKMVYRSPLAHTILPTDVNDLANHLVIVCRKATEAFLTIADRKVEIEVERTSRTKTDLNNDKMKNQEVQKAVRQPDDATYIDHRNVGDLESPCSNSQEDSRPASPGTQALMCDEQGTTFGTDYRSSFPMALHDQDTSELNGVQEKTVLTGFRDYLRLLITRGKINANNCAGITEGNISSESAMELEDQRHHQSVSTNLLPLKAVEKPKSPDDSENPKASEPSSSNC* >Brasy2G250900.5.p pacid=40067800 transcript=Brasy2G250900.5 locus=Brasy2G250900 ID=Brasy2G250900.5.v1.1 annot-version=v1.1 MDCKNFEGSEELQAIIQGDNTSDRNNIQQATNVTLNGPMGSSGHKCSPARRRLPDDPLGSEANHVDASQVASFTGHEGCIGNYHSKSKMVYRSPLAHTILPTDVNDLANHLVIVCRKATEAFLTIADRKVEIEVERTSRTKTDLNNDKMKNQEVQKAVRQPDDATYIDHRNVGDLESPCSNSQEDSRPASPGTQALMCDEQGTTFGTDYRSSFPMALHDQDTSELNGVQEKTVLTGFRDYLRLLITRGKINANNCAGITEGNISSESAMELEDQRHHQSVSTNLLPLKAVEKPKSPDDSENPKASEPSSSNC* >Brasy2G302200.1.p pacid=40067801 transcript=Brasy2G302200.1 locus=Brasy2G302200 ID=Brasy2G302200.1.v1.1 annot-version=v1.1 MARSTTAARWLLLLALVAACHGGGGASVRAAAGAGAGAHWLGGLSRASFPKGFVFGTATSAYQVEGMAFSGGRGPSVWDAFAHTPGNIVQNQNADVTTDQYHHYKEDVNLMKGLNFDAYRFSISWSRIFPDGEGKVNEEGVAYYNNLIDYLLQKGITPYINLYHYDLPLALEKKYGGWLNAKTVELFADYADFCFKTFGNRVKHWFTFNEPRIVVLGGYDVGSNPPQRCTKCAAGGNSATEPYIVAHNFILAHGYAVARYRNKYKAAQQGKVGIVLDFNWYEALTNSTDDEAAAQRARDFHVGWFVDPLINGHYPQIMQDLVKERLPRFTPDETKLVNGSADYIGINQYTANYIKGQKLVPQKPISYSADWQVTYASDRNGIPIGPKANSNWLYIVPSGMFGCVNYLREKYRNPAVVITENGMDQPGNLTRDEYLRDVTRIRYYRSYLAELKRAIDGGADVLGYFAWSLLDNFEWMSGYTSKFGIVYVDFNSTKLERHPKASAYWFRDMLKA* >Brasy2G174400.1.p pacid=40067802 transcript=Brasy2G174400.1 locus=Brasy2G174400 ID=Brasy2G174400.1.v1.1 annot-version=v1.1 MSCTVAIPSSPVFSPSRRSLSCKAASASPETVSISSPAPPAPTAGSPLRPFGLLRAQIREEASPSPKTSSAAAPAPAGSVLKRRRPAPLMVPVDGPAAAAAAAAAVAAVESDPRNEVEEEGDEFAVYCQRGKGRRRVEMEDRHVAKVALGGDPKVAFFAVLDGHGGNSAAEFAAENMPKFMADEMKKVHGGDNGEIERAVKKGYLKTDEEFLKREESGGACCVTALLQKGGLTVSNTGDCRAVLSRAGKAEALTTDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLRQWVVADPDTRTLLVDQHCEFLILASDGLWDKVENQEAVDIARPLCINNDKASRMAACRRLVETGVSRGSTDDISVVIIQLQKFSASS* >Brasy2G482500.1.p pacid=40067803 transcript=Brasy2G482500.1 locus=Brasy2G482500 ID=Brasy2G482500.1.v1.1 annot-version=v1.1 MERKNLMALDEHVPKAIDRKRKHESAVKSTGRDDAVEVSQHVPRKNLVMLDDEAAYKRSKMNSCAGHILEWYKNFKTSGLPVRVLCYQQGNWRDFPEHIVNLVRQDFQLKKPITNAVFQNQQVLLDFMHMICLDSGMTTGKPIAWIDDTGKHFFPDLCAGRMTSKPSWHGKSDSTGKSQAVGCAGILTAAAESSRSDSVDEVLSHVKKVHNDASAENKSGPSICLNESASGTMHNGTCKQDRGPHIASAVRRLLIEGLGHPFTEKDIIGIYRTPLVDQQGQARFKLFQKELEVTKKQRANANVRYAWLPCSRDSMDEMMMHGALQITKPLLGPTYGVGTHLAPATSANTCASYSDVDENGIIRMMMCRVIMGNVEVVYPGSKQFQPTNETFDSGVDDLQKPKHYIVWDANVHRHIYAEYAVIIKVPSMTTEHLVREDNASNISEMRKSGSPGSIVKDGSFHTLLSSADQQQTSHMFGRRRHPSSRFPTSPWMPFSMLFAAISTKVPRSDMDLVHGYYEEFKSGKINRTELVKRLRQILGDKLLASVIVRLHHKVPPSATAELLRSAQRGPSP* >Brasy2G482500.2.p pacid=40067804 transcript=Brasy2G482500.2 locus=Brasy2G482500 ID=Brasy2G482500.2.v1.1 annot-version=v1.1 MERKNLMALDEHVPKAIDRKRKHESAVKSTGRDDAVEVSQHVPRKNLVMLDDEAAYKRSKMNSCAGHILEWYKNFKTSGLPVRVLCYQQGNWRDFPEHIVNLVRQDFQLKKPITNAVFQNQQVLLDFMHMICLDSGMTTGKPIAWIDDTGKHFFPDLCAGRMTSKPSWHGKSDSTGKSQAVGCAGILTAAAESSRSDSVDEVLSHVKKVHNDASAENKSGPSICLNESASGTMHNGTCKQDRGPHIASAVRRLLIEGLGHPFTEKDIIGIYRTPLVDQQGQARFKLFQKELEVTKKQRANANVRYAWLPCSRDSMDEMMMHGALQITKPLLGPTYGVGTHLAPATSANTCASYSDVDENGIIRMMMCRVIMGNVEVVYPGSKQFQPTNETFDSGVDDLQKPKHYIVWDANVHRHIYAEYAVIIKVPSMTTEHLVREDNASNISEMRKSGSPGSIVKDGSFHTLLSSADQQQTSHMFGRRRHPSSRFPTSPWMPFSMLFAAISTKVPRSDMDLVHGYYEEFKSGKINRTELVKRLRQILGDKLLASVIVRLHHKVPPSATAELLRSAQRGPSP* >Brasy2G361800.1.p pacid=40067805 transcript=Brasy2G361800.1 locus=Brasy2G361800 ID=Brasy2G361800.1.v1.1 annot-version=v1.1 MFNLLPDDWLLASRAPPRLLPPSSSAPVWFLHPLAPSPRTLPAEYIDLSRKDSPSFGQSLICKHVQA* >Brasy2G105200.1.p pacid=40067806 transcript=Brasy2G105200.1 locus=Brasy2G105200 ID=Brasy2G105200.1.v1.1 annot-version=v1.1 MPFRPTFPRRCSHDPKLSSFLSALASFTASPSPTPAAGSVPVVPTPAAYNALMSAYSRAGRPDEVLRLFRSLPFHPTSRIFTTLISSLAASGRPRAARAAFSSLIVAGLTPTASAFTALLKSYDSSLDAMYQVFLAMAAARCSPDAAVYNCYISVLCDSRRLEEARGFLDHMLHEGVRPTVRSYTTIIRGYCQQGKILEAEKLVDDMVEAGCEPDVVFYSVLIEGLCSVREFDKVERILRESEEKGWTPNAITYNIYMSGLCRTGLLDDTFRQVDIMRSRGLLPTVETVNILFDCLCRDSRFSEAVLLLEHSEELGWHADVFCYNTLMGRLCDIGDFARVFKLLVDLLKKGIGPDMFSFTIAIRGLCGAGKLWVAECLIDNESIGYDVVAFNTLIHGFCIAGDLRGVKLTYMNMYSRQISPNNYTHALLIDSLFNDRKFVEAINTLASLRDGLVPDHLIHLNNFLAKGIKFTKVLNLLDEIRYRGFVLDTCIFIPLVRVLCWEGYYQRASINEVSLILTSLLGIR* >Brasy2G274900.1.p pacid=40067807 transcript=Brasy2G274900.1 locus=Brasy2G274900 ID=Brasy2G274900.1.v1.1 annot-version=v1.1 MKFGSMYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVDRSLQADVTNGDQLQDGSDDSSDICECNSCTLCDQMFFTELNKEASDIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFIDDQQIMVQEGRILINYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDSSDIDEPVGFFKNGFFKNFSCDLTGTLPVMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKTAPPEAKCPVCRAVGVFAHAVHMNELDLLIKTRCKDYWRGRLREERTEMVKQSKEYWDSQAMLSMGI* >Brasy2G274900.2.p pacid=40067808 transcript=Brasy2G274900.2 locus=Brasy2G274900 ID=Brasy2G274900.2.v1.1 annot-version=v1.1 MKFGSMYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVDRSLQADVTNGDQLQDGSDDSSDICECNSCTLCDQMFFTELNKEASDIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFIDDQQIMVQEGRILINYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDSSDIDEPVGFFKNGFFKNFSCDLTGTLPVMTMAISETMKYEYSLTCPICLQALSLAVACTSSTYLLSTCNVIATT* >Brasy2G274900.3.p pacid=40067809 transcript=Brasy2G274900.3 locus=Brasy2G274900 ID=Brasy2G274900.3.v1.1 annot-version=v1.1 MKFGSMYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVDRSLQADVTNGDQLQDGSDDSSDICECNSCTLCDQMFFTELNKEASDIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFIDDQQIMVQEGRILINYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDSSDIDEPVGFFKNGFFKNFSCDLTGTLPVMTMAISETMKYEYSLTCPICLALSLAVACTSSTYLLSTCNVIATT* >Brasy2G274900.4.p pacid=40067810 transcript=Brasy2G274900.4 locus=Brasy2G274900 ID=Brasy2G274900.4.v1.1 annot-version=v1.1 MKFGSMYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRVDRSLQADVTNGDQLQDGSDDSSDICECNSCTLCDQMFFTELNKEASDIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFIDDQQIMVQEGRILINYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDSSDIDEPVGFFKNGFFKNFSCDLTGTLPVMTMAISETMKYEYSLTCPICLDEGSTSFV* >Brasy2G194700.1.p pacid=40067811 transcript=Brasy2G194700.1 locus=Brasy2G194700 ID=Brasy2G194700.1.v1.1 annot-version=v1.1 MRRFFPFRSFTSNAGNGKPAPGHDKRNENKLDDVGTNGASHSTDAMALWSRNCCGELRSEGSPNPQLRRCLSFTSSAIDRSLNERTRSFSGDIPCSVFNNSEGPRHVADVECYACSPERHPNIDGYMVNVPKAHGVQETNSPRSRCYSCSSTGHSPLSSPIALKCRPARLTDLLDKNEVLDLYIDGEQEANRVNERHKEKFSIRTAAPYLGRGRPPRPHSTAPSSPKSCKEIFENYLDINRNDACHHELGQERTKGTWKATSMCGTDGNGMTLFEGSSDNFAHSEDCRSQGMATMEDIYEESRDLQPAYFYGSSMDPFLGTASRYFAADTCCYDGSPGFHEKNLEDDTDDKLLRRAKDLDACFMVSSEEASELNMLRDKRLNSAAVLQLVQSLIEDKRELALELSSQIKARLTERFAAKEQYKQSKVELDTRTRRLENEKSDAQTTLERELDRRSSDWSAKLTRFQSEEQRLRDRVRELAEQNVSFQREVTSLESNRVDASNRIASLELQNKQLNDELGKVKNEYGSLYSSSVELNDSFTKATEERDQFHECLKSKEEESRALHKVIARLQRASNEQEKTIAGLRQGLSIELEKKSFGSSESINRMQMELLRLTGVEQKLRKEIQTCTLEVESLSQENIEILNRLQKSGNGLSLSALRLDQELHARVDNLQMQGLSLLDVSSQLCAKLLDLIKSKSEHIGSVDALSSIEYTLKYQSIKEGIGNLTLSLRKIKSALVEKHNQEESGDGIQLRQGKVSRDDFEIKLKEEAMVNRVLKEKLMSKELDIEQLQSELASLVRIQDVMQNEIQRAQDELCCITHKSKNLELQILKKDESINQTQQDFQESAKELTALRCTLKSASSERDALWQETKHLRNTVSALQNDVASLKQKIKSLEEEIQLKEGEILLREGEISILRDSVDRPFDIICSPRSIKQFDME* >Brasy2G482900.1.p pacid=40067812 transcript=Brasy2G482900.1 locus=Brasy2G482900 ID=Brasy2G482900.1.v1.1 annot-version=v1.1 MAASVTTLLLLAVLCCGAAEFDDAVPTVSFDEGFMTLFGEANIDMARPPFSSVSGADEVISITLDRRSGSGFISKQYYHHGHFSADIKLPSGHTAGVVVAFYLSNADAFPDTHDELDFEFLGDRAGRPWRLQTNVYGNGSTSRGREERYLMPFDPAAAAHNFAVSWSPRAVVFSVDGVPIRELLRHGGKSGNNGGDMGGDFPSKPMAVYATIWDGSTWATENGKYKVGYEHGPFTAQFSRLVLHGCAVAAEGCAAAGPETETMTVAPWERAAMRRWRRRQTLYTVCYDEDRYPAAGKALPECETNAAERQMFERWGESKRLRQLQLQLQGQAQAPPLLGSLQQAD* >Brasy2G127400.1.p pacid=40067813 transcript=Brasy2G127400.1 locus=Brasy2G127400 ID=Brasy2G127400.1.v1.1 annot-version=v1.1 MASAAAAPPLSSPESDPRLVEAFVPFLEKLVKNASWRNKAHSKLSHTAKSILDRLGKPPPSSPTAAQTPSTPTSPSTPTSSSWQPGPLRSLSLEDSELLLSPISSALGSGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLVASLLEAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSTVNQATAKASLVQMLVIVFRRMEADSSTIPVQPIVVAEVIELPDASSGASPTADANFVQGFISKIIGDIDGALTPLARTTSSAGAGAAVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGVEGAVVSTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPLVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIALPNFQAKMIVLRFLEKLCTDSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGHPAGVSTTLVPPQDTTMKSEAMKCLVSILRSMGDWMNKQLRIPDPDSPNVESEQNDNDGGSELPQIENNGDASSEASDSHSEISNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFDFQNMEFDEAIRAFLQGFRLPGEAQKIDRVMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYGRIWKKEIKMKEDDFVPQQQQSTSSNKILGLDNILNIVIRKRGSAMETSDDLIKHMQEQFKEKARMSESIFYPATDVVILKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRCAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGSPPDATFFALQQPDLDKSKQTKSSIIPGLKKKALNAGAASKRGTYDSAGVGGKASGVDQMNNAVTSLLEQVGMVEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEITHYNMDRIRLVWSSIWHVLSDFFITIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKESPSSSSNPPSPHLTKDGRQDSIVLVDKDDHIHFWFPLLAGLSELTFDLRPEIRKSSLQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGGSSQGQNVESDPAELEQDAWMYETCTLALQLVVDLFVKFYDTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEATTETLPDFSYIASGAYLENVPIENGGSSDNREEDSRPSDDGTGETSRSRNLYFAIADAKCRAAVQLLLIQAVMEIYTMYRAQLSSQNTVILFEALHTVATHAHNINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDRAPDEGSVEVESHLVGLCKEVLEVYLSTARPPQLSGGIQPLGHWLIPVGSSKRRELAARAPLVVSTLQAICGLGDSSFEKNLGQFFPLLAGLISCEHGSGEVQVALSDMFSTWVGPLVLQSC* >Brasy2G434000.1.p pacid=40067814 transcript=Brasy2G434000.1 locus=Brasy2G434000 ID=Brasy2G434000.1.v1.1 annot-version=v1.1 MAMAASLLAASYPLPPASSRRWRPRLSTPASPPPPRIQPHHRISRNGDAVARAAFAVAPAPGGDETAGRGQAPDWAALAGRLVLGALLARAVLGCGAALAAEGSIRASGFGLRVASSLRRLGWPDDAVVFTLATLPVLELRGAIPAGYWMRLDPVRLTVLSVLGNMVPVPFIILYLKKVATFLSRRSATATRIMDLLFERARRKATPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFMGVVLAGLLVNLLMNLGLKYAIVTGIALFFVSTVMWSVLRSLKSSLNTK* >Brasy2G073600.1.p pacid=40067815 transcript=Brasy2G073600.1 locus=Brasy2G073600 ID=Brasy2G073600.1.v1.1 annot-version=v1.1 MGSSPCVSLSRAAARRPAAGFSAAGRGFAHGRSVVALPSRRRAFEGGVSCRGMANGYLGGSVPAHGKSSGPGSAASEAGLGIQEFLGGKNFLVTGGTGFLAKVLIEKILRTNPDVGKIYVVIKAKDIEAALQRLRNEVVDTELFRCLQEIHGKDYHSFVAAKLVPVVGDVREANIGIAPELADEIAERVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAHRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIRKDSSDSSEQQKNAMLDIEAEIKLAFDSRRHSDDSASFSQEMKDLGLERAKLHGWQDTYVFTKAMGEMVINSMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPYGVLDVVPADMVVNATLAAMAKHGRPSEGTMKQWVYHVASSTVNPLVFGDLSRFLFQHFTRSPYSDAAGQPIAVPPMRLFDTMDQFASYVETDALVRSAAARAGPAGERLSQRLQELCAKSVEQTIHLGSIYQPYTFYPGRFDNGNTEALMAEMTAEEKARFHFDVRSIDWTDYITNVHIPGLRKHVMKGRGLAAADASTVLAASV* >Brasy2G405700.1.p pacid=40067816 transcript=Brasy2G405700.1 locus=Brasy2G405700 ID=Brasy2G405700.1.v1.1 annot-version=v1.1 MAAAAAANLAGRPGVRVVVIGDQGTGKSSLVVAVATEQFPENVPKIMPPTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRLSTLDRLSSYWLPELRRIQLKAPVIVVGCKLDLRDDQQNSLEQTMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDFIPTPMKRAPDQTLEFTNEVVEYLRGIFNMFDVDNDGALLPSELEDLFSTAPENPWSSDLYKDCAEKNVLGGLSLEGFLSKWALMTLLDPANTFANLVYVGYSGDFNSAFTVTRKRRVDRKKQLTQRNVFQCFVFGPKGCGKTALLQSFLGRQPSDALPTNSDRFAANTVELSDGSRKTLILREIPEGDVRSLLTNKESLAPCDVALFVYDSCDEFSWQRARDLLVQVATHGENTGYEVPCLIVAAKDDLDQSPMALQESTRVSQDMGIETPIPISVKLKDLNNIFCRVVHAAQRPHLSIPETEAGKSRRQYRQLLNRSLMVVSVGAAIGVVGVGIYRVYAARKNSSS* >Brasy2G251300.1.p pacid=40067817 transcript=Brasy2G251300.1 locus=Brasy2G251300 ID=Brasy2G251300.1.v1.1 annot-version=v1.1 MGLACLRELRQHLKRKKNIPCDSRHPRSPESRDAPIFPDFFLPGSPHLALTRAAMSLTGADGGGRGGRRGWNGCRRARGGGHAGAAFWLWFSAPIESFWRLLSTTGSSGFCSALLDARQAKQDWRNIILEKKVEVRPGLRSLSVMYRGLCNLLGSTLKIYWNWISDG* >Brasy2G340300.1.p pacid=40067818 transcript=Brasy2G340300.1 locus=Brasy2G340300 ID=Brasy2G340300.1.v1.1 annot-version=v1.1 MPHRRKSGTRTKPVNRAAGDLAAPARGWTSLFNGGRRRPALPLPVHRSVEQSAAWKICEIPIGCVILEEIMQYTLSYLHIIQKSLRYWNSRARGSNSQHIYFMIFERGPRAFFEATCQSLTRLTGSGGPSQSLLDSAEDIISTNIDVLESMERCLAAFLAELYSKSDICKEGLTGSRDESLHALFIVLNHVFIKLEEEQALLFTHSGNPSELRFGRLPEVGTESPQWTETLSTYGLRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWFLRHSSLMGSSDLDSWIGHAKESLAGFWNEHAEKPIRSVGDEPSESFKRTARSLMEKKEARQTEESLQRILHSFCEQTSKEKSGRRRWIVRGRTV* >Brasy2G004100.1.p pacid=40067819 transcript=Brasy2G004100.1 locus=Brasy2G004100 ID=Brasy2G004100.1.v1.1 annot-version=v1.1 MVVKSGGGVVEEGAACAAVRRWLEAGGGRLVLDGGLATELEAHGADLNDPLWSAKCILASPHLIRKVHLDYIEAGANIIITASYQATIQGFESKGFSKEQGEDLLTKSVKVAQEAREMFLKEHPDQSTPMQRPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLDECNISMPSWFSFNSKDGVNVVSGDSLIECATIANACAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAINRSLNQSCPAP* >Brasy2G499400.1.p pacid=40067820 transcript=Brasy2G499400.1 locus=Brasy2G499400 ID=Brasy2G499400.1.v1.1 annot-version=v1.1 MGAEQQAASAKAGGSRFAATCGLLRQFMKEQGAAAANAPAVTIDFMPAAAEGFGAAPQERRTMELFPQQAGTLKDSQELRMWPEKAQLTIFYGGRTMVFDDFPAEKAKELLQLAGSQPVDYHNSPAQPFLSEMPMARKASLQRFLEKRKSRLAAADPYYPGPYLAPKENGIGGNRPVEADHGAPWLGVSSPVLHLN* >Brasy2G251400.1.p pacid=40067821 transcript=Brasy2G251400.1 locus=Brasy2G251400 ID=Brasy2G251400.1.v1.1 annot-version=v1.1 MGWAIALHGGAGDIPRSLPPERREPRLATLRRCLDLGVAALQAGRTALDVAELVVRELENCPHFNAGRGSVLTSEGTVEMEASIMDGTTMGCGAVSGVSTVVNAISLARLVMDKTPHIYLAFQGAEAFARDQGVETVDPSHFITEENIERLRQAKQANRVQIDYTQPMEGQPPKDDNSRTGTVGCVAVDASGNLASATSTGGMVNKMAGRIGDTPVIGAGTYANALCAVSATGKGESIIRHTVARDVAALMEHRGLSLREAAERVVTSVPRGNFGLVAVSASGEVSMPHNTTGMFRACATEGGFSEVGIWPDDGGES* >Brasy2G251400.2.p pacid=40067822 transcript=Brasy2G251400.2 locus=Brasy2G251400 ID=Brasy2G251400.2.v1.1 annot-version=v1.1 MYDTQVRELENCPHFNAGRGSVLTSEGTVEMEASIMDGTTMGCGAVSGVSTVVNAISLARLVMDKTPHIYLAFQGAEAFARDQGVETVDPSHFITEENIERLRQAKQANRVQIDYTQPMEGQPPKDDNSRTGTVGCVAVDASGNLASATSTGGMVNKMAGRIGDTPVIGAGTYANALCAVSATGKGESIIRHTVARDVAALMEHRGLSLREAAERVVTSVPRGNFGLVAVSASGEVSMPHNTTGMFRACATEGGFSEVGIWPDDGGES* >Brasy2G144000.1.p pacid=40067823 transcript=Brasy2G144000.1 locus=Brasy2G144000 ID=Brasy2G144000.1.v1.1 annot-version=v1.1 MVSNATSAPAGPVPFKDFEDDVHGPPWCRCRRDLRKMTTFCTVLPGVPLFKLRLYQGFWLHEGLIRGAIALQRRFEPRPDDHTQVRHHVWLTALAFATMARGAHPPAGAGHPLLRLNPHQVAPFMDMFFAQGRDAVLDALPSPRLMFTHMPRAAPASGAGGCRVVYICREPKDMAVSLWHFRRALCPELSFADTFESLCAGHSSGPFWDHILGYWRASAATPDNVLFLRYEELLRDPAENVRKLARFVGLPFSDAEEEAGVVRAVVELCNLENMRSLEANKSGHLPYVNFPIKSLFRKGVAGDWVNHMTPEMARRMDDIVAEKFRDTGLTFP* >Brasy2G255200.1.p pacid=40067824 transcript=Brasy2G255200.1 locus=Brasy2G255200 ID=Brasy2G255200.1.v1.1 annot-version=v1.1 MVMTFLQKTPCVYSPYNTNQKQSVREGDGVWLTTWLIQIADRRYRLLPAQPRATAAEAGHAMQPTVAHCASFRLQRPNIGPVPQPDPPAARDEGSSSSSSSSSSDEHARNGSSWGMEDYDLLGVEPDLDRGGSLKRHGGGGDDRPSAELSTGFAARAAGYRASSTCSSPAPRPPDPRLRPRRARHPKDRSNLAGVDVFVFTAECRPVACRSSSTLLHRGCSGARPPVVKGRAPPCGGLAQEEVL* >Brasy2G137100.1.p pacid=40067825 transcript=Brasy2G137100.1 locus=Brasy2G137100 ID=Brasy2G137100.1.v1.1 annot-version=v1.1 MDSSGDGGDEGAGAAPGSPAPAPSSPPAAAAGGGGAAASGSRSRPTAKRVMKTPYQLQVLEKTYADDPYPNEKTRVELAAKLELTDRQLQMWFCHRRLKDRKQPAKQEEEVAVPVIASPSVPQPSVPNSKLAPGSGSTYSEQLLPCSHRGRGRGRSSAVPRISATEITKRYYEPQQVMLPPLAAVQPTQGAHQMIDYVQELIGEQLREDGPVLGVHFDPLPPGAFGTPIVQEQRKQPFRSYETKASAFLPTIDLSVPSTVTRKRKSMDGNSPHLGSRAVRECHFLPEQPSVYERPSQQRFYDAPMEASNSRISSVSTGSRFLHGAEHEPSYAFHGQISGPSHLSQHGKSLIFPSGSTDYEAASSYINVSSAPIEGQFGIPQVAGFETPLACSEGVDYRCEDVYRLDKKRKHSEEAKIAKEVDVHEKRIRKELEKQDVLNKKREEQMRREMERHGREKKKEEERLMREKQREEERLQKEQWREDKRKEKFLLKQSLRAEKLRQKEELRKEKEAARQKAANEKATARRIAREYLELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLTQFPTEVVRLKIPFSVKPWISSESNIGSLLMVWKFFFTFADVLGLPSFTPDEFVQSLHDYDSRLLAELHVALLKSIIKDIENVARTSSDAFGVNQSSSANPGGGHPQIVEGAYAWGFNILTWQRHLTCHTWPEILRQFGLSAGFGPQLKKRSVEDVYCHDDNEGRTSQDVISTLRNGSAALKSAALMKERGYTNRRSRHRLTPGTVKFAAFHVLSLEGDKGLSILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSEAVLSAARKKIKLFQNALSECEEVEKDVDDADRGDDDSECDDADDDPDGDEVNVEEKNVKASVIRAQDGGIPTVPCDINDELNTVGNTSMPSSPHSRSQSNSSGMLDKTTAASTSSDPPIGASSAYHEVATDSAQDTEIDESNQGEPWVEGLAEGDYCDLSVEERLNALVALVNVATEGNSMRAILEERLEAANALKKQMWAESQLDKRRSREDFAGKIQHDSSTGLKAIADQENSVGECNLTPVQNLIKENDGKASSVNNDLLVDQHSQLNAGNMVHEVNGVSRESNPESLSVQQYASSDKTRSQLKSFIGHKAEQLYVYRSLPLGQDRRLNRYWQFSTSASPNDPGSGRIFFESRDGYWRLIDSAEAFDALVACLDTRGIRESHLHSMLQSIESAFKDAIGRRKCATVEHPAGSILRNGSSEIISPNHSNEFGSPCSTLSGVVSDNTMVYSDSFKIELGRDDLEKVAILKRASMFLKWMWRECNNHQSTCAMKYGKKRCSELIQHCDFCYQIYSTEEMHCSSCHKTFKSIHSLSEHASQCDEKGRTDPDWKMQISDDSIPIRLRLLKLLLASIEVSIPAEALQPFWTDGYRKSWGLKLYSTSSTKEVFQMLTVLEGAIRRNYLSSNFKTTAELLNTMAHDNSNQNSVARSGSAHLPWVPNTTAAVTLRLLDLDSALSCTLDQKAGLNKEQEAGDFMKFPPRCTAVKNKQEMESVGATGFVQRDEAWLTPSTGHRGRGRGGRGRGRGGRSRSGRGGRSRSRGGRVPRGIGSSPKIDFRDDNNVSLKVPYKQAPRGRGRGRGRGRGLRTVRPRQPTEHGSRSVPKANLLGSFGMPRNAKPTTVPSPQSSGAEEGGLERRAYVEDDENNSVSQSDESGEENGEPMNEDYNEQLPEYSTDNSGSSPLQMMDDGSEDDEEDDEEVEDDEVDYDAEHPIDEDNNDAEMSGNDGLRDDEDDDDDDDAGGGQDGMANTDDNEDETSYSSEFSE* >Brasy2G122300.1.p pacid=40067826 transcript=Brasy2G122300.1 locus=Brasy2G122300 ID=Brasy2G122300.1.v1.1 annot-version=v1.1 MASAAVVPSPASGVAAAAAVAPGVEPAAAGWLMDERDGLISWLRGEFAAANAIIDLLVVHLRTAGDPGEYDHVFAAVQQRRHHWTPVIHMQQFFPVTDVAYALQQSGWRRRAPPPQQHGHGPAVSPSPPPPPPRRPSFSPSHSQHRNAVHHRSDPMRGAGNVAPTGSDKDGRELHNKEGKGLKEGDNVVDAKSLQLGSPVTDEGDKNPKLQANAEGSSKVVPTPVLYATNEIIDGKPVNTVDGLKVYEGLVNVIETNRIISFVNETKTSSRRGGFEVGQTVVVGKRPLRGHGSVIIQLGVPIIDGPLEDENPRETRVEPVPGLLHDLFDRLFQQEIVPSKPDYCVIDFYHEGEYSHPQQAPSWYGRPLCTLCLTECDMVFGRVILGERGDFRGPLKLSLSTGSLIVLQGRSADVAKRAICATRKPRILLTFGKSVARKHIPSESSSRFTPPLTPPPMPWGPPSRPANMRPHSQGPAHSPSPKHYGYTPTSNVLPAPTIGPHHIPPSDGMQPLFVAPAPIAATAIPFPSAVPLPNTNTTAAWMPEAAPRPAPPRFPVPGTGVFLPPGSGHQLPHQMIQGSHAHAEPNSPQGLPGYVHNKGTGMEMTNGNASPRSSAITKRPDTTEAKPECNGSSNGGCSSADEKSAVGKDQTNGGMKAGNSKVEQNPAK* >Brasy2G007600.1.p pacid=40067827 transcript=Brasy2G007600.1 locus=Brasy2G007600 ID=Brasy2G007600.1.v1.1 annot-version=v1.1 MDRSPQKKKVKGSIIQAATLIPVCSTPSLLLSSRDFCPSKSKSNSLPGFHRKAGRHCCLPHSSTRAATEALGRSAQATGEEDADLRRLQQSADLSSAGCRSMFKANRDSLWEVASAAYAAGARGGGGGPPEGQRRQGRKRRPRARKAVAARAAGTRGGGGHRGRGRQQRRLSALRAQGAAAAAAVRTARNGIGGGGSRSRDGRAGLRRGGARGCNR* >Brasy2G395700.1.p pacid=40067828 transcript=Brasy2G395700.1 locus=Brasy2G395700 ID=Brasy2G395700.1.v1.1 annot-version=v1.1 MERGGAALACAFRSPSPCHSPPSQWPPPLLRGRHPPASASAGVPRLLPPRGWLFSQIRLLDTREGLSRCSLKTFSSFGHPRVSLNNEDLEKDKILIDCGEDQDCVLDGIVALGKFDALHIGHRELATHASKSGNPFLLSFVGMAEVLGWEYRPPIVARGDRERVLSSWAPYCRNVVPLEYQVEFSKVRYLTPRQFVERLSTDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRSYNGATPPVNSSDKGQVSSSRVRHALSVGDMEYVSKLLGRKHRLVLTVNECCLQEREKIVLPKSCLLNMPPADGFYENCDLVNGGHLGICRVTINSETIDIESGDKNSLTSNLFREYQQLGIEFG* >Brasy2G267200.1.p pacid=40067829 transcript=Brasy2G267200.1 locus=Brasy2G267200 ID=Brasy2G267200.1.v1.1 annot-version=v1.1 MAGDGACCRAAQRRPLRVLPRPRRRRFPALPRRLASAPLLRSLQVTCIFDMTRDGKEMLIAAMKKKLHLLEQLVLSAGPIEASSLVDLLDHGPRLELLDASGCHAFHPVSNVVRARLLAQSRIKDLRLPRQIVHFASGSLMLRRIPRPGRDRQPHQRPGESSEGRRLVIPPSHIK* >Brasy2G474000.1.p pacid=40067830 transcript=Brasy2G474000.1 locus=Brasy2G474000 ID=Brasy2G474000.1.v1.1 annot-version=v1.1 MTNPCECCKSKVKFITQMRDNFKDSMVIPEWIVKQFGGKISGTIKLEAPNGQIHDVGVAKEMNRTVLQSGWEAFVDVNQIQENFSLMFRWIGISCLKVTIFDANGEERISCCAGMKNPTYVEKASTNCADISSNSHDGTVQCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLAITKDYARAYFPHKNQSVTLKLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDFGTTGQADRHLPDGKQKLTLRQAGWSKAWRVKMHDKRMLPGEGWREFTIDNRLQDGDLCLLKLLKNEALAMAVHIIRSEQCT* >Brasy2G474000.2.p pacid=40067831 transcript=Brasy2G474000.2 locus=Brasy2G474000 ID=Brasy2G474000.2.v1.1 annot-version=v1.1 MTNPCECCKSKVKFITQMRDNFKDSMVIPEWIVKQFGGKISGTIKLEAPNGQIHDVGVAKEMNRTVLQSGWEAFVDVNQIQENFSLMFRWIGISCLKVTIFDANGEERISCCAGMKNPTYVEKASTNCADISSNSHDGTVQCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDFGTTGQADRHLPDGKQKLTLRQAGWSKAWRVKMHDKRMLPGEGWREFTIDNRLQDGDLCLLKLLKNEALAMAVHIIRSEQCT* >Brasy2G474000.5.p pacid=40067832 transcript=Brasy2G474000.5 locus=Brasy2G474000 ID=Brasy2G474000.5.v1.1 annot-version=v1.1 MTNPCECCKSKVKFITQMRDNFKDSMVIPEWIVKQFGGKISGTIKLEAPNGQIHDVGVAKEMNRTVLQSGWEAFVDVNQIQENFSLMFRWIGISCLKVTIFDANGEERISCCAGMKNPTYVEKASTNCADISSNSHDGTVQCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLAITKDYARAYFPHKNQSVTLKLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G474000.6.p pacid=40067833 transcript=Brasy2G474000.6 locus=Brasy2G474000 ID=Brasy2G474000.6.v1.1 annot-version=v1.1 MTNPCECCKSKVKFITQMRDNFKDSMVIPEWIVKQFGGKISGTIKLEAPNGQIHDVGVAKEMNRTVLQSGWEAFVDVNQIQENFSLMFRWIGISCLKVTIFDANGEERISCCAGMKNPTYVEKASTNCADISSNSHDGTVQCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G474000.3.p pacid=40067834 transcript=Brasy2G474000.3 locus=Brasy2G474000 ID=Brasy2G474000.3.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLAITKDYARAYFPHKNQSVTLKLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDFGTTGQADRHLPDGKQKLTLRQAGWSKAWRVKMHDKRMLPGEGWREFTIDNRLQDGDLCLLKLLKNEALAMAVHIIRSEQCT* >Brasy2G474000.4.p pacid=40067835 transcript=Brasy2G474000.4 locus=Brasy2G474000 ID=Brasy2G474000.4.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDFGTTGQADRHLPDGKQKLTLRQAGWSKAWRVKMHDKRMLPGEGWREFTIDNRLQDGDLCLLKLLKNEALAMAVHIIRSEQCT* >Brasy2G474000.7.p pacid=40067836 transcript=Brasy2G474000.7 locus=Brasy2G474000 ID=Brasy2G474000.7.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLAITKDYARAYFPHKNQSVTLKLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G474000.8.p pacid=40067837 transcript=Brasy2G474000.8 locus=Brasy2G474000 ID=Brasy2G474000.8.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLAITKDYARAYFPHKNQSVTLKLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G474000.9.p pacid=40067838 transcript=Brasy2G474000.9 locus=Brasy2G474000 ID=Brasy2G474000.9.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDETSDDSNISGYVLLGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G474000.10.p pacid=40067839 transcript=Brasy2G474000.10 locus=Brasy2G474000 ID=Brasy2G474000.10.v1.1 annot-version=v1.1 MPMCSDSDGHCREQGKKPAVESSCDEFSAHYSPSESESMESDDLRLSKDYVLSGRCYLTEEQEDEINAFVRKIKPEIPLLVVMMKKTPMLLPENSKDWKCKFCVRPGGTGHHLYLSKFVRDNHVREGDLCLFQPMTGVKATKFTFMSHLLSRAGKADIDSNHGSFKKVKKFLTGHQETSSKIHYILSGRCQLDKEDEVGIEALIAQLQPEIPLLVVRMLKSSVNGPQASLVISKGYAIAHFPSENQTITLQLPGGKKKWHPRFHIRRGNSAYILYGQWIDFVRDNRLCEEDLCLLQPIIKGKGRRFTVMVHLLPKARSTSRSKGRDGILGLNSGGTGMKATLTSGVQDEPVDGSSTRLTPAQENIVAEKVKSIQSQVPIYVAIIDKKNYFDAIDKLIDIFLTGSKS* >Brasy2G069800.1.p pacid=40067840 transcript=Brasy2G069800.1 locus=Brasy2G069800 ID=Brasy2G069800.1.v1.1 annot-version=v1.1 MAFLPLHLPASSPTLLTARASSSAVSVSPPAQTSSLQVQLEETFGRKGIRFGADATGAPTAELSVRNGSSLRLRLNDGLVTSYRPKVSWKEDKDGGCREILHTVSDSKTVKGGVGLVLNNVSSGSAAVSAAVSEWSVSAVDSDSYDAVQVELGCRSGPLEVAYVVTLYATSMATAVIVKNAGGKKPVELTGAVLSHIKFDKRRGTAVEGLMGCPYCAHPPPASGFALLTPAEAMKREESGWFGGGGGEEPRAGEWAVEENRYTILKKKVSRVYAAPPEERKKRVYNSAPSSFMTIDQSSGLGFRVVKMGFEDMYLSSPGGMYERFGNDYFLCTGPASLLVPVVVNPGEEWRAAQVIQHDNL* >Brasy2G087600.1.p pacid=40067841 transcript=Brasy2G087600.1 locus=Brasy2G087600 ID=Brasy2G087600.1.v1.1 annot-version=v1.1 MKLRTKRPGWKSLVPLQLSRKSAMRFFLFPKVQASGQSPNDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSICLGTTCLDPIQVRQFMELQSVNYNGDTYHLIMKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQAEDSEKRRLRNPLSCFSSISSQRQLPPSSPFPPSPVKERLPSCSSRKSSTTSLKNSCSSTSPIMPDIFLLPHVHAVLSSVVCIQRSNDSSALTSHQ* >Brasy2G087600.3.p pacid=40067842 transcript=Brasy2G087600.3 locus=Brasy2G087600 ID=Brasy2G087600.3.v1.1 annot-version=v1.1 MKAELVPTWKMKLRTKRPGWKSLVPLQLSRKSAMRFFLFPKVQASGQSPNDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSICLGTTCLDPIQVRQFMELQSVNYNGDTYHLIMKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQAEDSEKRRLRNPLSCFSSISSQRQLPPSSPFPPSPVKERLPSCSSRKSSTTSLKNR* >Brasy2G087600.2.p pacid=40067843 transcript=Brasy2G087600.2 locus=Brasy2G087600 ID=Brasy2G087600.2.v1.1 annot-version=v1.1 MKLRTKRPGWKSLVPLQLSRKSAMRFFLFPKVQASGQSPNDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSICLGTTCLDPIQVRQFMELQSVNYNGDTYHLIMKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQAEDSEKRRLRNPLSCFSSISSQRQLPPSSPFPPSPVKERLPSCSSRKSSTTSLKNR* >Brasy2G087600.4.p pacid=40067844 transcript=Brasy2G087600.4 locus=Brasy2G087600 ID=Brasy2G087600.4.v1.1 annot-version=v1.1 MILRFILMLHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSICLGTTCLDPIQVRQFMELQSVNYNGDTYHLIMKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQAEDSEKRRLRNPLSCFSSISSQRQLPPSSPFPPSPVKERLPSCSSRKSSTTSLKNSCSSTSPIMPDIFLLPHVHAVLSSVVCIQRSNDSSALTSHQ* >Brasy2G440000.1.p pacid=40067845 transcript=Brasy2G440000.1 locus=Brasy2G440000 ID=Brasy2G440000.1.v1.1 annot-version=v1.1 MVASGHHLPCLFIGLATCGPRGKRYRRKKTWSVGLDILEAERRLQASYVPFSLCFPFI* >Brasy2G414400.1.p pacid=40067846 transcript=Brasy2G414400.1 locus=Brasy2G414400 ID=Brasy2G414400.1.v1.1 annot-version=v1.1 MAVFQSGPMPEQSMLNNKIITQRVTNFAAETASKDRSIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSDPDMSCSTKVINGGGRNPVFEEGLRLDVRTVEASLKCEVWMLSRVKNYLEDQLLGFALIPLAEILMADGKLVQEFSMSSTDLFHSPAGFVNLALSYVGCSPDVIEISSLNRSAAVVTDSGNDSLDPCELEKIEFPDLNMVNENQIMASKYFEMETLDSEKEVKAENCKLPRHDPVGAEICTKSLQERQDESPLSCVSTMNSPAALTASQQSVSEWSEATIAASSTESQKENSQGVTDGEADSSEASLKDGAVKPVIGVNLQPGDSVVQQDIVDMYMKSMQQFTDSLAKMKLPLDVKNSSPSADHSTDSSTTEKLSPTKGSRVFYGSRAFF* >Brasy2G414400.2.p pacid=40067847 transcript=Brasy2G414400.2 locus=Brasy2G414400 ID=Brasy2G414400.2.v1.1 annot-version=v1.1 MAVFQSGPMPEQSMLNNKIITQRVTNFAAETASKDRSIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSDPDMSCSTKVINGGGRNPVFEEGLRLDVRTVEASLKCEVWMLSRVKNYLEDQLLGFALIPLAEILMADGKLVQEFSMSSTDLFHSPAGFVNLALSYVGCSPDVIEISSLNRSAAVVTDSGNDSLDPCELEKIEFPDLNMVNENQIMASKYFEMETLDSEKEVKAENCKLPRHDPVGAEICTKSLQERQDESPLSCVSTMNSPAALTASQQSVSEWSEATIAASSTESQKENSQGVTDGEADSSEASLKDGAVKPVIGVNLQPGDSVVQQDIVDMYMKSMQQFTDSLAKMKLPLDVKNSSPSADHSTDSSTTEKLSPTKGSRVFYGSRAFF* >Brasy2G414400.3.p pacid=40067848 transcript=Brasy2G414400.3 locus=Brasy2G414400 ID=Brasy2G414400.3.v1.1 annot-version=v1.1 MAVFQSGPMPEQSMLNNKIITQRVTNFAAETASKDRSIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSDPDMSCSTKVINGGGRNPVFEEGLRLDVRTVEASLKCEVWMLSRVKNYLEDQLLGFALIPLAEILMADGKLVQEFSMSSTDLFHSPAGFVNLALSYVGCSPDVIEISSLNRSAAVVTDSGNDSLDPCELEKIEFPDLNMVNENQIMASKYFEMETLDSEKEVKAENCKLPRHDPVGAEICTKSLQERQDESPLSCVSTMNSPAALTASQQSVSEWSEATIAASSTESQKENSQGVTDGEADSSEASLKDGAVKPVIGVNLQPGDSVVQQDIVDMYMKSMQQFTDSLAKMKLPLDVKNSSPSADHSTDSSTTEKLSPTKGSRVFYGSRAFF* >Brasy2G205200.1.p pacid=40067849 transcript=Brasy2G205200.1 locus=Brasy2G205200 ID=Brasy2G205200.1.v1.1 annot-version=v1.1 MMAYRGCCFVACAVALALAVLGTASNGQPLDPCFYDKVCPAALPAIKKVVEQAVAVEPRMGASLLRLHFHDCFVNGCDGSILLDDTPSFTGEKNAAPNANSVRGFDVIDRIKDAVDAACRGHVVSCADVLAVAARDSIVALGGPSYEVPLGRRDSRTASQAAANNSIPAPTLDLGGLVSNFAAHGLSVQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDASLAGSLRAVCPRPAGDGDDNLAPLDPTPARFDGGYYAALLRRKALLHSDQQLLAAGATDGLVRFYGANNEAFRRDFAEAMVRMSSLAPLTGSSGEIRANCRKVNGY* >Brasy2G493100.1.p pacid=40067850 transcript=Brasy2G493100.1 locus=Brasy2G493100 ID=Brasy2G493100.1.v1.1 annot-version=v1.1 MSGPNPLLCPRRPPRPFRALSSSSPVAASPPSALGVGDIREEHLVRCAAAGRAPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRNGRTPNPDVLCNTRIKFGAFLEAIDNLGFDYIASGHYAHVVHPSAENVEGPSVLQLSKDKVKDQTYFLSHLSQPQLRRLLFPLGCVTKDEVRSLATQMDLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGVLLEAETGDYLGRHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFCVGSLNWFSDPGPANNEQLKCKVRHSPEFHNCTVTREQTEESGDVLAVHLSEDDQGLAAGQFAAFYRDNTCLGSGIILDSWDEMSFPVCAKALEIARMEDKSKLGKPVRIVNLEHIVKPEREPVEAA* >Brasy2G233000.1.p pacid=40067851 transcript=Brasy2G233000.1 locus=Brasy2G233000 ID=Brasy2G233000.1.v1.1 annot-version=v1.1 MNQLTGPIPKSFFQLKHLKYLYLYSNKLSGPLRDFPSPLSSRLSGIDLSMNQLTGPIPKSFFQLKHLKYLYVGSNRLSGTLQLSSFWRMLSLSSLDLSNNMISIVDKEGDNVSPSLSNINSLYLSSCNLNKIPGALRYLDNIVGLDLSSNHISGIIPSWVWENWKNQLSRLNLSNNMFTSLEKSPSLVHMPRLNVLDLSFNRLQGSIPIPVMSSLSYREQCVLDYSNNNFSSIAPNFGRYLRKFTYLDLSKNRLNGSVPFSICSASQLTIMDLSYNNFSGSIPPCLIETGHFRVLKLRENQFQGMLPANIKEGCNLQTIDLNGNQFEGKLPRSLSNCQDLELLDVGNNQIVDSFPSWLGALPQLRVLVLRSNQLNGTISDMKGGRTINGHFSSLQILDLASNKFSGNLPKGWFNELKGMMENANDQGQVLGHETNLSTGFYRDTVTVTFKGIDLIFTKMLTAFKVIDFSNNSFDGPILESIGRLVSLHGLNMSYNNFTGQILSHFSNLSRLESMDLSWNQISGEIPQELTSLTSLGWLNLSYNNLSGRIPGGNQFLTFPSSSFEGNVGLCGRPLSKQCGTPASIAPSAVSPPESNSLWQDKLGAILLFAFVGLGFGVGFALSLVLRLHWRIEGWLCKH* >Brasy2G151900.1.p pacid=40067852 transcript=Brasy2G151900.1 locus=Brasy2G151900 ID=Brasy2G151900.1.v1.1 annot-version=v1.1 MFYTWTRAESWTSVVSQSWEGESIMETNAAGVGRRGGGGRSGTGKQLNVGGKLFSMEASSLSLSLSLDPSPTPTFVDRDPALLSAILSAIRAPSSPPAFPVRILLEEALFYGLHAQLLPALSPPPLLGFSASLSSTLSPASEPFPTALAAHHDGSLCLAHGAGQLTYYSPALDHLTTFRTHLHHTTSLRQLHSNLALVGSVSSPGLHVYDFLEGRRVASVEWSDPTDLRTLKANVIAIAARPPADATDRNSPIFATFECPHRENCILAIDPETLKPIQEIGRQSGTAAKSSSPGRVAHLQELGLVFVSAVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGSGRSNRFGDPFADADVDVKQLMLYKVCSKSGDIGVADLRCLGNDPWVYMSSGPRGSGGGHGSIMHCYKSQVFVSRNDGLEVWCRLKEQRNGTCNLADQQRAKEILDREGINENSFRSCYVDTEEDSKRGMISMMEGGGDRLFVTREEMSVVEVWESSRLAGAITLS* >Brasy2G321800.1.p pacid=40067853 transcript=Brasy2G321800.1 locus=Brasy2G321800 ID=Brasy2G321800.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLQAQQQIEAQAKAAATMQAQEVARSAAAASVNIDAAGLVTDFNKFINKEQPTQGTLPGGNLDQQP* >Brasy2G397000.1.p pacid=40067854 transcript=Brasy2G397000.1 locus=Brasy2G397000 ID=Brasy2G397000.1.v1.1 annot-version=v1.1 MDEDDADKEELPNIYQAVGCSGESVKFVSITYSEDLADRKVTTWVLMPDTGLWKRDSQLCVRDIWEQQEFKRAGFPASATPMLPMLSPHEDDVIYLMMVDFCHMDTVAHMLRLNIHEKLLLSSTRLPSWKHLQVFQFLGSDFSKHLRLPLAPEKLKRKGKKSRRS* >Brasy2G385100.1.p pacid=40067855 transcript=Brasy2G385100.1 locus=Brasy2G385100 ID=Brasy2G385100.1.v1.1 annot-version=v1.1 MHPFLPSVTPKRSYASTHIHTAHSRKERRGSFSFSSVLASSRSISMASNKLQMLAVAAAIAVAFLPVLASAAVHAVGDGTGWTLGFDYTAWSKSKEFRVGDALVFNYHKALHNVVEVSGPDFKTCSNSNGAAAWSSGADQVHLGKAGRRWFVCTVGNHCQMGMKLNVTIVSADAPAPAPAALPAAPWTAPAPAPWTAAAAPSSSSPAHKSRRPFFWKW* >Brasy2G330200.1.p pacid=40067856 transcript=Brasy2G330200.1 locus=Brasy2G330200 ID=Brasy2G330200.1.v1.1 annot-version=v1.1 MNDLMTKSFMSYVDLKKAAMKDLEAGGGDETELTEAGCAAGSVTDERLKGFFKEAEVVKEEMAAIRDALARLHATNEEGKSLHQPEALRAMRGRVNADIVAVLRRARDIRVRLEAMDRANAAQRRLSAGCSEGTPLDRTRTSVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEDEVIERIISEGRGEEIMGAAVAEHGKGAVLAALHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQIDDIERHVVNARDYVHTGNKELGKAREHQRSSRKCLCIGLILLLLLILIVVVPIVTSFKTS* >Brasy2G471200.1.p pacid=40067857 transcript=Brasy2G471200.1 locus=Brasy2G471200 ID=Brasy2G471200.1.v1.1 annot-version=v1.1 MEERYEALKELGAGNFGVARLIRDKRTKELSAVKYIQRGNKIDENVQREIINHRALRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFQRICTAGRFSEDEARYFFQQLLSGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLIGSYPFEDPEDPRNFRKTISRILGVQYSIPDYIRVSSDCRRLLSQIFTADPSKRITIPEIKKLPWYLKSLPKEIAERDRPNFTEPEPETKAVNASAQAVEEIMRIIQEAKAPGDMSKSSADAALLAELAELESDDDVEDELGTEETY* >Brasy2G058200.1.p pacid=40067858 transcript=Brasy2G058200.1 locus=Brasy2G058200 ID=Brasy2G058200.1.v1.1 annot-version=v1.1 MHPPAPAASATAALPLAAFFFPKDSRPLPCLLLTSLLLLLLLHLLSSSSPSSSPPPPAAPRLAPLPAADASSSGPPPPALAFLLTGSAGDAGRLLRLLLATYHPRNHYLLLLDRAASDADRAWLAREARIGPGRANVHVVGDPGFANPSGASALAAALHGAALLLRVDQGWDWFLHLDAADYPLVTPDDLLHVLSYLPRDINFIQHSSYIGWKESRQIKPIVVDPGLYLSSRTDIFYATQKRELPSAYKLFTGSSSVILSRKFIEYCIVGTNNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSIMDGTPKNEPRLLTLADVENITRSSVAFGTRFAKDDPVLGHIDEEILHRRPGEPAAGGWCMGAGDDSPCSVSGNTDVLRPGPEAMKLAKFLAQRLSYPGFYSQQCIWD* >Brasy2G058200.2.p pacid=40067859 transcript=Brasy2G058200.2 locus=Brasy2G058200 ID=Brasy2G058200.2.v1.1 annot-version=v1.1 MHPPAPAASATAALPLAAFFFPKDSRPLPCLLLTSLLLLLLLHLLSSSSPSSSPPPPAAPRLAPLPAADASSSGPPPPALAFLLTGSAGDAGRLLRLLLATYHPRNHYLLLLDRAASDADRAWLAREARIGPGRANVHVVGDPGFANPSGASALAAALHGAALLLRVDQGWDWFLHLDAADYPLVTPDDLLHVLSYLPRDINFIQHSSYIGWKESRQIKPIVVDPGSSSVILSRKFIEYCIVGTNNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSIMDGTPKNEPRLLTLADVENITRSSVAFGTRFAKDDPVLGHIDEEILHRRPGEPAAGGWCMGAGDDSPCSVSGNTDVLRPGPEAMKLAKFLAQRLSYPGFYSQQCIWD* >Brasy2G280100.1.p pacid=40067860 transcript=Brasy2G280100.1 locus=Brasy2G280100 ID=Brasy2G280100.1.v1.1 annot-version=v1.1 MLSELVQGLTGLVPSALKRHATHGKRRINSGDDKGDSSDEDGDESDSSDENDADKFKLARRPASKGKETSVPASSPGVVLSQINLPSDSEGQGNELSPAQNDPPEHDDRTDEEDGGTIRETASALANVLSHTRLSRPAVRRSAAELRTHNEVKKMVAKELGLLKDTCALPPEMRKKTIVSVFGRRSPRKSVPWRKTSDPSASNQGAELATDPTAQATGVSLNPASEPMVVSSEPATEVVDVARQPASEGVDVASKPDADETSDAMYLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPHFTPVSQPRPDVDGTVERNGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSIGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVSVVPKDVSPIAFAIPRLSQADLDDETSLEIDQLDEALKGLVSKSLLMLSTREVSSPTTLQKLLSTSCRRPIKLRTRLYYHS* >Brasy2G495800.1.p pacid=40067861 transcript=Brasy2G495800.1 locus=Brasy2G495800 ID=Brasy2G495800.1.v1.1 annot-version=v1.1 MSARDRETVEALARVAAALDGAVLGLGTAALAFVSLAKYLAASGALRRIAEAPEVAIPELRYSLLAGLGEGESRLAVVRGLVRSPPWGKFLIPPGSREHCVVAKHTQTCLFGEWRGIFGWTFDLHALFFKSLKEQIITSFRWVPFALVDSASASDKSTEMIHVKLDGAVQQSLPLTTAYHKLIPVEQNSYTLFQTIVGNGYPIALLDEEKILPIGKEITAIGLCRVNNRNVEITSCPEIPFFLSDLTKGEIEAELDSRARTLFWVTFALGTMSVGLLGHAIYRFWGRVKQHREARQAQQRFHQADDEDDTGENGSDDDFPGEMGDGQLCVICLRKRRKAAFVPCGHLVCCCNCAKRVELMNEPLCPVCRQDIQYMLRVYDS* >Brasy2G425000.1.p pacid=40067862 transcript=Brasy2G425000.1 locus=Brasy2G425000 ID=Brasy2G425000.1.v1.1 annot-version=v1.1 MTTQQLFSVFLFLLAAGEGTPSAIISNTCTRASNFSTHVGYDYCVGVLTADPAAASANSTHTLAIVATKLALDNVTSTVLVLDDLVSSIAHCADNYDEMNHTVTTAVEDIRAGHAEAAAGKLSGAAGEPDECDSRLSKGSAKKNPMTKENHDAASLSYTAYGITMEALHATLLAAPSATITKVCTGLSNFTTHADYDFCVDALAADPAAGAAKDARALAVVAANLTAANVSSTLLVLRDLLHSLSQCLSYYKDIGMSNGADMSKTVAAAAYYIGAGQADAASDLLHDAADQPDNCDILLFQGSAGKNPMMKENDDAWHLSYLAYAIAAS* >Brasy2G283000.1.p pacid=40067863 transcript=Brasy2G283000.1 locus=Brasy2G283000 ID=Brasy2G283000.1.v1.1 annot-version=v1.1 MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDISNQASFTRAKKWVQELQAQGNPNTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIAKRLLQGQPAQNPQAGMVLSQRPNERLVSSASCCS* >Brasy2G127100.1.p pacid=40067864 transcript=Brasy2G127100.1 locus=Brasy2G127100 ID=Brasy2G127100.1.v1.1 annot-version=v1.1 MHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESMGSSPTRQRMEDFLQDNVGTTQGEFSQKPSAQLDTDQDMLGSGNVSPLNGYKTHMTPSDVDGSPFPFNEQFQAPKA* >Brasy2G255100.1.p pacid=40067865 transcript=Brasy2G255100.1 locus=Brasy2G255100 ID=Brasy2G255100.1.v1.1 annot-version=v1.1 MSLHRKETLNSCSSSAHVQHRPRSPPLPPRRPPKTSLSQQLLRLEASFPAGAPASSPPKPLSQKTAEDAAEVPTSSSEEDVPPRPQRREPPPPASSLESRGPYEPLVLSQPGEHPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKDNDNGEKSTDKGKKTGPVLIICPTSVIRNWENEFAEWASFSVAVYHGPNRDLVLEKIEAQGLEVLITSFDTFRTRDKVLCGISWELVVVDEAHRLKNEKSKLYTSCLGIITQRRFGLTGTIMQNKIMELFNVFDWVVPGCLGDREHFRAYYDEPLKHGQRLSAPDRFVQVADERKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDIQILVNKDLPCSCGSPLAQVECCKRIEPNGIIWSYLHRENPEGCALCPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAAAVFDTDIELVGGSAKSENFMGLSDSEHCGKMRALERLLSLWALQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNKSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQKRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFQGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHAEHDKGKTSESTGIREIVDTDLFGTHEKMKPTVAAIDDENQTLARCGIVYAHRNEDVVNTRTDQNSERIGAATDECVQQSKDQIKHTAKSCSVEEHKRKEFSRVATCMGMNDLEFSTWLLSVSPLQRQQVLDNYRKQKSHK* >Brasy2G255100.2.p pacid=40067866 transcript=Brasy2G255100.2 locus=Brasy2G255100 ID=Brasy2G255100.2.v1.1 annot-version=v1.1 MSLHRKETLNSCSSSAHVQHRPRSPPLPPRRPPKTSLSQQLLRLEASFPAGAPASSPPKPLSQKTAEDAAEVPTSSSEEDVPPRPQRREPPPPASSLESRGPYEPLVLSQPGEHPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKDNDNGEKSTDKGKKTGPVLIICPTSVIRNWENEFAEWASFSVAVYHGPNRDLVLEKIEAQGLEVLITSFDTFRTRDKVLCGISWELVVVDEAHRLKNEKSKLYTSCLGIITQRRFGLTGTIMQNKIMELFNVFDWVVPGCLGDREHFRAYYDEPLKHGQRLSAPDRFVQVADERKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDIQILVNKDLPCSCGSPLAQVECCKRIEPNGIIWSYLHRENPEGCALCPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAAAVFDTDIELVGGSAKSENFMGLSDSEHCGKMRALERLLSLWALQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNKSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQKRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFQG* >Brasy2G362300.1.p pacid=40067867 transcript=Brasy2G362300.1 locus=Brasy2G362300 ID=Brasy2G362300.1.v1.1 annot-version=v1.1 MQKQANGTSPRQSSCVVNGPIIVGAGPSGLAVAATLRRHSVPFTILERSNGIADLWTNRTYGRLRLHLPKVFCELPHVRFPPDFPTYPSKHDFLRYLHSYAAHFSITPLFGRAVTRARFDEAAALWRVTAVADGGEVTEYVSKWLVVASGENAEVVVPKVKGRERFAGEVLHSSEYKSGERFKGKRVLVVGCGNSGMEMCLDLCEHGAIPFMSVRSGVHVLPREMLWSSTFGIAMKLLRWLPVKLVDRLLLLAAKMALGDTEKYGLKRPKLGPLEIKDVTGKSPVLDVGAWSLIKSGNIKVVAEVESLGCNGARFVDGSEMALDAVIFATGYRSNVPSWLQDAGGFFTEDGKPRARSPGSSNWRGPDGLYCIGFSGKGLLGAGNDALRAAAYITGSWLQEQEQEQAAAGISPV* >Brasy2G202800.1.p pacid=40067868 transcript=Brasy2G202800.1 locus=Brasy2G202800 ID=Brasy2G202800.1.v1.1 annot-version=v1.1 MSTVDPLLLSSSGSAGLPSKYTAPARSSVGSVSCLCRADSVSSSVYEDCETASVNLVEEGDAVPRHCPEESDVSRVAERFQSADSHFFHRLSLECSQNERQRKVSWGGVMEMQRSPSSLEIGAAPSSQEKPNRPPRGRNKSSHFEDLFSSEHEHDPRLIYINDPTRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREACVLQHGDFRLKKWKSIRAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVSMVSNSSYLGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKTSNLESYMNRETLWLSVFLLITCSVVATGMGVWLFKNTKNLDALPYYRKKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSCSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEISTAESSRQHGRKPKLEINVDPVLMAFLNQPLFGEERLAAHDFFLTLAACNTVIPVSTGSSPDLTNEVNEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVLGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILKRGSDDERFGSLDAKIRENTENHLSSYSSEGLRTLVIGSKYLNDEEFSEWQERYEEASTSMTERSAKLRQAAGLVECDLTLLGATGIEDKLQDGVPEAIECLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMQSIIINGSSEFECRRLLVDAKAKFGIKSTGFGLDSEDKEDLYNGDVSKLRSSNGQVLQNFQLTGVVATDKSENSENTPNFKDTELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIQRWVLISHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIARECELLKKLPQQMGSVPESDIS* >Brasy2G202800.4.p pacid=40067869 transcript=Brasy2G202800.4 locus=Brasy2G202800 ID=Brasy2G202800.4.v1.1 annot-version=v1.1 MSTVDPLLLSSSGSAGLPSKYTAPARSSVGSVSCLCRADSVSSSVYEDCETASVNLVEEGDAVPRHCPEESDVSRVAERFQSADSHFFHRLSLECSQNERQRKVSWGGVMEMQRSPSSLEIGAAPSSQEKPNRPPRGRNKSSHFEDLFSSEHEHDPRLIYINDPTRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREACVLQHGDFRLKKWKSIRAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVSMVSNSSYLGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKTSNLESYMNRETLWLSVFLLITCSVVATGMGVWLFKNTKNLDALPYYRKKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSCSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEISTAESSRQHGRKPKLEINVDPVLMAFLNQPLFGEERLAAHDFFLTLAACNTVIPVSTGSSPDLTNEVNEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVLGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILKRGSDDERFGSLDAKIRENTENHLSSYSSEGLRTLVIGSKYLNDEEFSEWQERYEEASTSMTERSAKLRQAAGLVECDLTLLGATGIEDKLQDGVPEAIECLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMQSIIINGSSEFECRRLLVDAKAKFGIKSTGFGLDSEDKEDLYNGDVSKLRSSNGQVLQNFQLTGVVATDKSENSENTPNFKDTELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIQRWVLISHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIARECELLKKLPQQMGSVPESDIS* >Brasy2G202800.2.p pacid=40067870 transcript=Brasy2G202800.2 locus=Brasy2G202800 ID=Brasy2G202800.2.v1.1 annot-version=v1.1 MEMQRSPSSLEIGAAPSSQEKPNRPPRGRNKSSHFEDLFSSEHEHDPRLIYINDPTRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREACVLQHGDFRLKKWKSIRAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVSMVSNSSYLGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKTSNLESYMNRETLWLSVFLLITCSVVATGMGVWLFKNTKNLDALPYYRKKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSCSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEISTAESSRQHGRKPKLEINVDPVLMAFLNQPLFGEERLAAHDFFLTLAACNTVIPVSTGSSPDLTNEVNEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVLGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILKRGSDDERFGSLDAKIRENTENHLSSYSSEGLRTLVIGSKYLNDEEFSEWQERYEEASTSMTERSAKLRQAAGLVECDLTLLGATGIEDKLQDGVPEAIECLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMQSIIINGSSEFECRRLLVDAKAKFGIKSTGFGLDSEDKEDLYNGDVSKLRSSNGQVLQNFQLTGVVATDKSENSENTPNFKDTELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIQRWVLISHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIARECELLKKLPQQMGSVPESDIS* >Brasy2G202800.5.p pacid=40067871 transcript=Brasy2G202800.5 locus=Brasy2G202800 ID=Brasy2G202800.5.v1.1 annot-version=v1.1 MEMQRSPSSLEIGAAPSSQEKPNRPPRGRNKSSHFEDLFSSEHEHDPRLIYINDPTRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREACVLQHGDFRLKKWKSIRAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVSMVSNSSYLGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKTSNLESYMNRETLWLSVFLLITCSVVATGMGVWLFKNTKNLDALPYYRKKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSCSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEISTAESSRQHGRKPKLEINVDPVLMAFLNQPLFGEERLAAHDFFLTLAACNTVIPVSTGSSPDLTNEVNEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVLGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILKRGSDDERFGSLDAKIRENTENHLSSYSSEGLRTLVIGSKYLNDEEFSEWQERYEEASTSMTERSAKLRQAAGLVECDLTLLGATGIEDKLQDGVPEAIECLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMQSIIINGSSEFECRRLLVDAKAKFGIKSTGFGLDSEDKEDLYNGDVSKLRSSNGQVLQNFQLTGVVATDKSENSENTPNFKDTELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIQRWVLISHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIARECELLKKLPQQMGSVPESDIS* >Brasy2G202800.3.p pacid=40067872 transcript=Brasy2G202800.3 locus=Brasy2G202800 ID=Brasy2G202800.3.v1.1 annot-version=v1.1 MEMQRSPSSLEIGAAPSSQEKPNRPPRGRNKSSHFEDLFSSEHEHDPRLIYINDPTRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREACVLQHGDFRLKKWKSIRAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVSMVSNSSYLGLIKCEQPNRNIYEFTATMELNNQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKTSNLESYMNRETLWLSVFLLITCSVVATGMGVWLFKNTKNLDALPYYRKKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSCSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEISTAESSRQHGRKPKLEINVDPVLMAFLNQPLFGEERLAAHDFFLTLAACNTVIPVSTGSSPDLTNEVNEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVLGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILKRGSDDERFGSLDAKIRENTENHLSSYSSEGLRTLVIGSKYLNDEEFSEWQERYEEASTSMTERSAKLRQAAGLVECDLTLLGATGIEDKLQDGVPEAIECLRQAGIKVWVLTGDKQETAISIGLSCRLLTQSMQSIIINGSSEFECRRLLVDAKAKFGIKSTGFGLDSEDKEDLYNGDVSKLRSSNGQVLQNFQLTGVVATDKSENSENTPNFKDTELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIQRWVLISHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIARECELLKKLPQQMGSVPESDIS* >Brasy2G215000.1.p pacid=40067873 transcript=Brasy2G215000.1 locus=Brasy2G215000 ID=Brasy2G215000.1.v1.1 annot-version=v1.1 MMLGLVQLLVGFVVAWEALEIVLRYGLLLSALKLVVVAALVVTASCVAFLLFAKALAWVLRRVAKISIGCHSYGFNYLRGITMNSPKGPLASISIGEIRLGLRKPLTQLGFTILTQGPIVQLRISDLDIVLRQPAKSANKKKPPPRKPTSASPAKPKGKSKGQAKWRFITNVASLLSLSIVELKLKAPKAALGIKDLKIDLSKTGALHPVLNIEIHLIPLFVQALEIDGIDNNTSVFSKLDWWVSGQYCSAMDTSDSSSFLFEDIALSCELHQRDKGIRVKNLDLTCGPIVVNLEEKLFTKKKPSASTVVDQKDGPTVDNKAAAKPEGSKLLSLNKKIDLFPEKVSFNMSKLDLKFLPNDHGLSINNEIDSISMRLMKSQPQNDVGDAATHLWLETDVTGIHLLMDGATSVLEVTKIATVVSANIPTQSTSPIRAEVNIKISGVQCNLIVSRIKPLILLKPAKKKPIVLHENSQQEKAPKEKLALALVLTFSAPEVAVVLYSLDDIPLFHCCLQSTHFSANKLVNQGTELHAKLGELKFLIAAKHQQMIKESISGTLLHISRPTLDMEQNDAGKDSGIDHAKSALSVNISGIGMHFCFHYLELLITTAMSYKGFVKSISPPKKRPAQESSSQKSPKNSKGAQLIKINVEQCSILYVGDMRLEDMSVADPKRVNYGSQGGRVMIIDDANGGPRMAYVNSTSLPDHKHVDFSTSLEINRFVVCLNKEKHSMQVELGRSRLTHKEYQFGDNSAEEVTLFDVQKAKFVKRSGGLNDNAVCSLINVTDVAVWWEPDPCLELLEVATRLKSVLHRMKLQNSVTEVKDETVHMDTLTKKDHTDHGQQEKAQKKRESVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLVEGIAFNFCGAQLLKSSRIQISRIPISVSDSHPDKKVQSAATCDWVIQCRDAYICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSILFPEKKSSSSKKSKSKTTVFRYVRVIVRDLTAEIEEEPIQGWLDEHISLMKNVFNESIVRLDLLDQLDSAKTKDSPKEKLDSSASEKSNDSPDVYVDAPGAHSFEKLREEIHVQAFKSYYQACQKLPVSEGSGACSSGFQSGFKMSTRRASVMSICAKDVDVSLSKIDGGDEGMISFIKSVDPVCAKDDIPFSRLYGSNFTLKAKSLSAYIRDYAFPLFSGTSAKCSGQLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSGTGYTPPMKTYADLPLHFQRGEVSFGVGYEPVFADISYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFSLCLAETIWHLPAATSPYEKLDQLLITTGYIEIRYVDGYVSLSSKCLKVYITSLESLAKKCSLEVPNHTAIPFLETPSFFMDITIEWGCDSGNPMDHYIFALPVEGKPRDKVLDPFRSTSLSLKWSFSLKPSTAEPVERQRKTQAVSNDSPTVNVGAHDLVWLTKWWGLFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQCIRFDANLLQINNMPLQADDPAKGLTLHFTKLRVEISSSRGKQIFTFDCKREPLDLVYMGIDLHLLKVFMNNIPESSTSKESVDNTVCEKAKTKTRSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSETISFKSEFDGGDESDHEQSGSDEDGFNVVVADNCQRVFVHGLKILWSLENRAAILSWVGGLTQAFQPPKPSPSRQYAQRKILEKKQAIKEAEISNDGALSSSPSASHSDPPQQISDPPSSSGPSKLEPASSSETAMKPSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVMVRSFQSIVQVGQEFEKALGSSNVAIGETKPEMTWSRFEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRITRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLLARAPRTKKSNICYPLDNDDGDIAEESDAVVPDGVEEVELAKIDVEVKERERKILLDDIRILSTGSEVSSEPSQSPKSDDATSMVTGPKSMLVKRLKKELVNVRNGRKEAYSLLRSAMQKAAQVRLMEKEKNKSPSCAMRVSMRINKVVWSMLADGKSFSEAEINDIIYDFDRDYKDIGIAHLTTKMVVIRNGLANAKSDTVLAPWNPPSEWGKNVMLRVNARQGAPTDGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKNTSVAETTSPNNQSSSSDRTWEENVAESVANELVSQIQGQSNSQTESQDASKDSKLARPVRSTREEKKPVEPNDVKQSRPQKMMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIVWGVLKSVTGMQGKKFKAKSTSQKEPTTGLIAASDFNLSDSDGDEGGNSDQLPAFLRKPSDGAGDGFATSVKGLFSSQRKKAMHFVLKTMKGDADQDLQGERSENEIEFSPFARQLTITKTKKLIRRHTKKLKSKVPTNAGTQQEHGSDLPPLGPSGHHTDSSSSSSSSDNDEHSPVEMSPKD* >Brasy2G001300.1.p pacid=40067874 transcript=Brasy2G001300.1 locus=Brasy2G001300 ID=Brasy2G001300.1.v1.1 annot-version=v1.1 MASRLVILLLTAIVAALCVMAVCQQDKVMLPLFPTCSTTGNYTDGSLFKKNLDELLSSLPTAAGGINGFYKGMVGGPGPDQVFGLIMCYADRNATQCLDCLDGATAGITTVCPHSRDVRAAYDACVLRYSDVPFASAAADTTIPFYVRVNAPFPVDPAAMARAWLPLMADLTGRAAGSPSRAAQGSTPYDASWQVYGLVQCTRDLNASECSRCLSFVVGQLPQLFQNETGGAVKAYSCYVRYQIGAFDITLPPEPPQPPPPSPPRPGESSLSSREGLLIGISVGTLLFFVILVGFLVCLLLRRRRKQPKITEKAKVREPEEGNFFDDDDPAMEDDFQKGTGPKRFRYSELAIATNNFSNEKKLGEGGFGSVYRGLLEEMNLEVAIKRVSKGSQQGKKEYASEVTIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYSGKSGGAVLPWPVRHEIILGLGSALLYLHQEWEQCVLHRDIKPSNIMLDAFFAAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMNTGRTNAESDVYSFGVVLLEVACGRRPLTVSSHPEGEHMVHLAQLVWGLYGEGRVLDAADARLEGSFDELEMERVMVVGLWCAHPDRSLRPSIRQAVNVLRSEAPLPTLPARMPVATFVYVPLLGDDWTSSSVVTGGVGSSTGTSQGKDDSSRTTEKSMPARSKTAGMVEEEITGR* >Brasy2G345700.1.p pacid=40067875 transcript=Brasy2G345700.1 locus=Brasy2G345700 ID=Brasy2G345700.1.v1.1 annot-version=v1.1 MVAARRSTASTATVLLLLLLLPLLAVSVSVSSTSRRDQEQDRSALLQLKNAIPSAELLRRWSPDTGGTDHCSWPGVTCDARSRVVALVVPSSSPRPRPRRGRAGELPPSVGFLTELKELSLPSRGLFGEIPAEIWRLEKLEVVNLAGNSLRGALPATFPRRLRVLNLASNALHGEIPASLCSCTDLERMDLSGNRFTGWVPGALGGLSKLKRLDLSQNLLAGNIPSGLGNCTALRSLRLFSNLLDGFIPPEIGRLAKLRVLDVSGNRLSGPVPPDLGNCSDLSFLVLSRQFDAVKSHGLNQFNGGIPESVTVLPKLRVLWAPKAGLEGNVPSNWGSCHNLEMVNLGANLVSGVIPRGLGQCRNLKFLNLSSNRLSGSLDKDLYPHCMDVFDVSGNELSGSVPAFGNKGCASQLTLDAMPSGYSSLFMSEAVAELSFGYCDSGECSFVYHNFAKNNFEGRLTSLPLSADRYGNRTMYACILDHNNFTGSVDAILLEQCSKLNGLIISFRDNKISGGLTEEVSTKCQAIRVLDLAENQISGVMPANIGLLSALVKMDMSKNLLVGQIPSSFKDLNSLKFLSLAGNNISGHIPSCLGQLSSLEVLDLSFNSLSGNIPSNLVTPRGLTALLLNNNELSGNIADLTPSASLSVFNISFNNLAGPLHSNVRALSEKDGTPEPENTPTDSGGGGGFTKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRRREVTVFVDIGAPLTYETVVRAAGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHDNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNEYTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY* >Brasy2G361600.1.p pacid=40067876 transcript=Brasy2G361600.1 locus=Brasy2G361600 ID=Brasy2G361600.1.v1.1 annot-version=v1.1 MDQPPPMPSICGLHPGIAVTGFCSACLRERLAGLHPADPAELRRCKSFSYARSAAAYFEPQRRSCDARGAAAFLHQDLPPGHGEDELEDVPPQSTVRPMKDHINQDSSKKTFGGGLGKKWQEWRRKSKLKKQGPAAPAAATAAASRAAIDAHRSFGDTHSEVAVGRRSVDVDSSRLWMDAGRISVDEPPRASWQRLPPTVEDAPIPRSDGQIPVEEDDDAEPGGCSQTRDYYLDSSSSSRRRRSVDRSSFSSRKSFSDTNDLPRVIAAANANARVSPAIGAEFYHYHHAQGQSVLDHNQHWEVQGPNSYSLRDDDMSGSFNSAAFQEGMPVPLPAKKSNKWIKNIWGLIHKKSSTKESQAASIANRSFSETWPELRARGYNGQMLRCNSSVSARSSFSNSGAAVGAVNGRRRSNAEMHVNGLGRTRKDEVLLERNFSARYSTCPVDNGMFLNPVGGSRRHQNGMSGKGRPARSSNSLPRSALGMY* >Brasy2G141700.1.p pacid=40067877 transcript=Brasy2G141700.1 locus=Brasy2G141700 ID=Brasy2G141700.1.v1.1 annot-version=v1.1 MASPRTNPAPYLLLVVLLPIHAALSAAAPSPAYSTHCPALPAAADLLGGNDKDHDDGDSSAHTLQVSSPTLQVSTGYFSGGGERLFGPDPSFRPRSFSLLPSSVLRTADPNLLHVTATLTVSGGRRPFLPPRGGRHLFQVDGQTHRFRPRLPRFVGRRGTLTFELDGYYSSASGDLCMVGSGSGRAADGTPVRPLPAVLRLRFPSPANLTSSFVTGRLQSTDSDSFDPVTLLAYAEEGYAYAESASCPQVTPDARSARDVFDGRNFSCSNLKSALKTAFRLDYGNGGQSAASSLGIHQRYMFVNRIHCAADGAVRAYVAFSNVSDFSMYYFMVGEKAIVAEGFWDQNANRLCLKGCHVVSSGPSRAELAVGECGIGMSFWFPALWSIQERSFSAGLVWNTSLKSEEGITGRSHAVAPNFRGNSAGLKYNYTKIDEAKKYYKESGLNKARKGKFPDSSSYRDLAFRFYLRTGGGSGYASPVTIGSMLYDGNSLVVSNLFSRNATMELKQKVLNVSYDIYYVGNWSTETFSRQHISAEGVYDTETGTLSLVACREVNVSSDCKIMLTAQFATLDAKVRQHVQGKIKSLREKTDLLFFETLDIASYGMYIDKLDESIWRMDLESTMALISMTLSCIFIAVQLFHVKKVPEALPAMSITMLVVLASGYMIPLVLNFEALFKNRNKQTFQFSDGGWLEVNEVIVRIITMVTFLLQLRLLQLAWSGRSVDGSKHETWVAEKKVLWICLPLYIIGGVVASVVHMRSNHRGRMLRQVARIMPVRHAFWEDLVSYGGLILDGFLLPQVILNVFSASKVRALSPGFYIGNTLIRALPHVYDAFRARHFVPSLRPSYIYASSHDDLFSLAWDIVIPCGAVLLSLLLFFQQRLGGAFFLCSKNRKSSEYEMVSTVSS* >Brasy2G231900.1.p pacid=40067878 transcript=Brasy2G231900.1 locus=Brasy2G231900 ID=Brasy2G231900.1.v1.1 annot-version=v1.1 MDFVPFANRSAVVMGAVRAAMEMLPTDMTRDAVVEAASAWVAWFLRHLWAWLVAARAGAVESLPEVAKRAAGSAVEASEPWVEMASTLLLRLYGSLVDAIVASKAGGGADHLQLLALIPVVFLCGAAWALTCRTMKAPGLGGTRVPRAMFEASPKRYYATVRKPRKAARRPGLVPDGSCSWPRRSWLTRPT* >Brasy2G347800.1.p pacid=40067879 transcript=Brasy2G347800.1 locus=Brasy2G347800 ID=Brasy2G347800.1.v1.1 annot-version=v1.1 MAEAMEVDALAGGGERPSDKELFLAAESGDDAPFSSLAPADLTAALSLRNEDGRSLLHVAAASGHPKVVTALVSAGGDAAASALNGKDEEGWAPIHSVASSGNAQILNILLDHGADVDLTTDAGRTALHYAASKGRLNIAETLIAHRANVNKKDKFGCTPLHRAASTGNAELCEYLIEEGADVDAVDKIGQSPLMHAVICEDKGVALLIIRHGADVDIEDKEGYTVLGRASDGFRPALIDAAKAMLEG* >Brasy2G014400.1.p pacid=40067880 transcript=Brasy2G014400.1 locus=Brasy2G014400 ID=Brasy2G014400.1.v1.1 annot-version=v1.1 MARASAAQLALVAIVAALLVSSAVDAAVTCGQVNSAVGPCLSYARGTGSSPSAACCAGVKSLAAAAQSSADKKAACACLKSAAGRMSGLNAGNAAAIPSKCGVSIPYTISPSVDCSRVN* >Brasy2G186100.1.p pacid=40067881 transcript=Brasy2G186100.1 locus=Brasy2G186100 ID=Brasy2G186100.1.v1.1 annot-version=v1.1 MSASPARFTATACANFIRPNPCSPSRSVYTYRIDHAYVYGPYMYPIYPANIWTLSKSCLIIGAEILGMSISH* >Brasy2G438100.1.p pacid=40067882 transcript=Brasy2G438100.1 locus=Brasy2G438100 ID=Brasy2G438100.1.v1.1 annot-version=v1.1 MAAAVLVAAVCGLVAGAWWMTRSWRRPSEAMRGIPGTMGWPVVGETFAFIAAFSNPSGILSFMRDRQKRFGKVFKTYVLGRTTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHRRLRRLIAHPLSVDALKKHFPFINALAIQTLSSWSSGRRILVLNEASSFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKLPGTAFHEGLKARNRMYAMLDDVIARRRRGSADAGAGHDDFLQMLLMKHARGGGGKGDGDEEKLTDAQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPDVLRKLREEHMGIKEELGGEEHLRWSDMNNMPYTNKVMNETLRRATILPWYSRKAAQDFTIDGYQVEKGTSVNLDVVSIHHDAAVFADPDKFNPDRFDGTLKPYSFLGFGSGPRMCPGMSLAKLEICVFVHHLVCRYDWKPMEEDNSVQPTLVRMPKNKYPILATAL* >Brasy2G364200.1.p pacid=40067883 transcript=Brasy2G364200.1 locus=Brasy2G364200 ID=Brasy2G364200.1.v1.1 annot-version=v1.1 MYVGSALIKMYADAGLLDGAREVFDGMDERDCVLWNVMMDGYVKAGDVASAVGLFRVMRASGCDPNFATLACFLSVCAVEADLLSGVQLHTLAVKYGLESEVAVANTLVSMYAKCQCLDDAWRLFGLMPRDDLVTWNGMISGCVQNGLVDDALRLFCDMQKSGLQPDSVTLASLLPALTELNGFKQGKEIHGYIVRNCAHVDVFLVSALVDIYFKCRDVRMAQNVFDATKSIDVVIGSTMISGYVLNRMSEAAVKMFRYLLELGIKPNAVMVASTLPACASMAAMKIGQELHGYVLKNAYEGRCYVESALMDMYSKCGRLDLSHYIFSKMSAKDEVTWNSMISSFAQNGEPEEALDLFRQMIMEGVKYNNVTISSILSACAGLPAIYYGKEIHGIIIKGPIRADLFAESALIDMYGKCGNLELALRVFEYMPEKNEVSWNSIISAYGAHGLVKESVGLLCHMQEEGFKADHVTFLALISACAHAGEVQEGLRLFRCMTEEYHIEPRVEHLSCMVDLYSRAGKLDKAMQFIADMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNCGYYVLMSNINAVAGRWDGVSKMRRLMKDKKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEEIYMSLKNLIIELKQEGYVPRPDVCYTTQPDDITQVMAI* >Brasy2G364200.2.p pacid=40067884 transcript=Brasy2G364200.2 locus=Brasy2G364200 ID=Brasy2G364200.2.v1.1 annot-version=v1.1 MYVGSALIKMYADAGLLDGAREVFDGMDERDCVLWNVMMDGYVKAGDVASAVGLFRVMRASGCDPNFATLACFLSVCAVEADLLSGVQLHTLAVKYGLESEVAVANTLVSMYAKCQCLDDAWRLFGLMPRDDLVTWNGMISGCVQNGLVDDALRLFCDMQKSGLQPDSVTLASLLPALTELNGFKQGKEIHGYIVRNCAHVDVFLVSALVDIYFKCRDVRMAQNVFDATKSIDVVIGSTMISGYVLNRMSEAAVKMFRYLLELGIKPNAVMVASTLPACASMAAMKIGQELHGYVLKNAYEGRCYVESALMDMYSKCGRLDLSHYIFSKMSAKDEVTWNSMISSFAQNGEPEEALDLFRQMIMEGVKYNNVTISSILSACAGLPAIYYGKEIHGIIIKGPIRADLFAESALIDMYGKCGNLELALRVFEYMPEKNEVSWNSIISAYGAHGLVKESVGLLCHMQEEGFKADHVTFLALISACAHAGEVQEGLRLFRCMTEEYHIEPRVEHLSCMVDLYSRAGKLDKAMQFIADMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNCGYYVLMSNINAVAGRWDGVSKMRRLMKDKKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEEIYMSLKNLIIELKQEGYVPRPDVCYTTQPDDITQVMAI* >Brasy2G398200.1.p pacid=40067885 transcript=Brasy2G398200.1 locus=Brasy2G398200 ID=Brasy2G398200.1.v1.1 annot-version=v1.1 MAASAGGSAMLGLAMVVLAAGLVGPAGADFAADRAECADRLMGLATCLTFVEATATARAPTPDCCSGFKTVLGASKKCLCVLVKDRDEPALGLKINVTRAMNLPSACSIPATFSDCPKILNMSPDSKEAEIFKQYAKEHEGKNGVTTTPAAAAATGTTGGKSANAAAASAGAGTQRRMAFVGVASALLASVFVLA* >Brasy2G056000.1.p pacid=40067886 transcript=Brasy2G056000.1 locus=Brasy2G056000 ID=Brasy2G056000.1.v1.1 annot-version=v1.1 MEGADQQQSNPCAICLRGMGAGGGQAIFTAECSHTFHFNCISSSIAHGHLVCPLCNTPWRELPSMRPTPTPVQAQPVPMHILQHRQPPPQQAVDPVIVFDDDDPVPDSGPQAAEAAGASSNGAVVVRTHTEYSAVARDESRDSFAVLVHVRAPGMTIDAEARAPLDLVTVLDVSGSMEGNKLALLKQAMRFVIDNLGPDDRLCVVSFSSGARRETRLARMSDAGKAASMAAVDALAARGGTNIAEGLRTVAKVLDERRHRNAVSSVILLSDGQDNYTMMRRRRGNGGNYEDLVPPSFVSTGAAARSAPVHTFGFGNDHDAAAMHVIAEATGGTFSFIENEAVIQDAFAQCIGGLLSVVVQDARVAVSCVHPGVRVVSVKSGRYESRVDEDGRAASVRVGELYADEERRFLLYLAVPRAEATDGGQTALMKVVFSYRDAAAGGDEVSVAGEDTVVTRPDDPHVAETAERSVEVERERVRVEAAEDIAVARAAAERGAHEEAAEILENRQRAVAQAGDPMAAALGAELREMRGRVMNRQSYARSGRAYMLAGMSSHGQQRANSRMIRSGVRRTSIPAAYNAGGQIVVGAAPTEAAVPEEEEASNEEATMAYATPAMRAMLLRSRMVSAEQGQKQQAEAGQVAKSSEAKVGNGTRKAVGNAWSTGVVYGPVPVTFSYDPTFPPESFV* >Brasy2G342000.1.p pacid=40067887 transcript=Brasy2G342000.1 locus=Brasy2G342000 ID=Brasy2G342000.1.v1.1 annot-version=v1.1 MWSIEAVIARHESIIMEQQQTEQQQMEAAAAAAGSGVAAPGAGGAQPQATAMKVVVAVDASEESLHALSWALDHVVRFHPGASVVILHAQHRADHFVYPVAAHGLAYAPPAALDSVRKDQEEVSSKVVSRALDVCNQKQVNATAVVVEGDPKEAICQGAEVMHADLLVLGSRGLGMIKRALLGSVSDYLSHHARCPVLIVKPLHKAHDK* >Brasy2G392000.1.p pacid=40067888 transcript=Brasy2G392000.1 locus=Brasy2G392000 ID=Brasy2G392000.1.v1.1 annot-version=v1.1 MDGVEEANRAAVGSCTKLVASLAHSGGDPFRPTAAGAETDEAVAMFGKVVTILSNRVGHARARVAGGRKRRSPPLELDPSFLLEYHPPRANNGSRAHGHGSCTPAPPPPPVVTSKHEIKAAEVDYRALFLETPLFDLNGCNATATAPAAAAATASSSMVAMAAPLQQINTSKSPAAAKIQFYLHQQQQGKRVQFEQQKAAPGGGGGEKQQFHMEMAPRSKEPEVISFSFDNNSACTSSASFFTSISSQLISMSDAAGAAPAPRTKAAGCGKKGEDADGRCHCPKKKKPREKRVVRMPAISDKVADIPADNYSWRKYGQKPIKGSPHPRGYYRCSSIKDCPARKHVERCRGDAGMLIVTYENDHNHAQPLDLSTLPANSEA* >Brasy2G065500.1.p pacid=40067889 transcript=Brasy2G065500.1 locus=Brasy2G065500 ID=Brasy2G065500.1.v1.1 annot-version=v1.1 MTVIEILTRVDAICQKYDKYDVEKLNGANVAGEDPFARLYGSVDADISQCVEKAELAKQEKNRAAVVALNAEIRRTKAKLLEEDLPKLQRLALKKVKGLTREELATRTDLVAALPDRIQSIPDGNATATKQNGTWGGASGSRTGGGIKFDSTSDGNFDDEYFKGTEESNKFRQEYEMRRMKQDEGLDVIGEGLATLKNMASDMNEELDRQVPLMDEMDDKVDLANAALKNTNVRLKQTILQMRSSRNFCVDIVLLCVILGIAAYLYNVLKK* >Brasy2G487900.1.p pacid=40067890 transcript=Brasy2G487900.1 locus=Brasy2G487900 ID=Brasy2G487900.1.v1.1 annot-version=v1.1 MQNNFRASNYPSNLQHVNLNSHASATPEIHMPMNNVMSSVNQYETPNVRNFYNMQGSVSSFYSSASDSPSVGTPSGMPMDVGIGHATTSYFAGYSQPSYATPHVTNFSAPYATSDIHYSAPHLHNGYSRIHETSIGAHVPSPSIVAYATFPAHLQSFGSMSLPKETKSIVGQSYAGLDELKDRLLSNFNEFEAIRRQLIERPLDPATIQAYEAYKKRREERNTFKIRVSPPESQSFGNTSLPKEAKSIGGQSCPEKANILEQEHGECSETTVLDFSGCKGAYVLPYEFRAKEIDDHEEAQDLENEEDKALENYPKVGQAIVHVMVPSCSSNVFKEVYLTNNLLVFRFGHNFIVNASISKNFIGFERLIERKHIGQHIVPFRETDTNKLSHPKFFPLLYLNFISTWVTLVVSYLAYIWSQVRHVYYKYFSFRNLKPRLKYFQKADGIIVYSKA* >Brasy2G293400.1.p pacid=40067891 transcript=Brasy2G293400.1 locus=Brasy2G293400 ID=Brasy2G293400.1.v1.1 annot-version=v1.1 MSPVKAEDLVPFPVKEQFGGLDYCITSPPPWITTVIVAFQHYLVMLGTTVIIATILVPLMGGGHEEKAVVIQTILFLSGINTLLQVHFGTRLPAVMGGSYTYIYPAVAIILSPRYALFIDPFERFVYTMRSLQGALIIAGVFQVVVGFFGIWRVFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEIGLPALVLLLIFAEYASHFFAKGSFVFGRCAVLLTVIIVWIYAEILTAAGAYNERNPVTQFSCRTDRSGLIHAAPWVRFPYPFQWGYPIFCAQDCFAMLAASFASLIESTGTLIAVSRYAGATFIPPSVFARGIGWQGISIILNGMCGTLTGTAASVENSGLLAITRVGSRRVIKISALFMIFFSLFGKFGAILASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREFETFYGFGPAHTRSLAFNVIVNVIFSSPATVAAILAYLLDCTHLYWEAHVRKDRGFLWLEKFKSYRHDVRSEEFYALPYGMSKYFPSL* >Brasy2G155500.1.p pacid=40067892 transcript=Brasy2G155500.1 locus=Brasy2G155500 ID=Brasy2G155500.1.v1.1 annot-version=v1.1 MARRQHVTIFTTASLPWMTGTAVNPLFRAAYLAKTGDWEVTLVVPWLSKADQMLVYSNKMKFGAPGEQEAYVRRWLEERIGALPRFNINFYPGKFSTEKRSILPVGDITETVSDAKADIAVLEEPEHLTWYHHGRRWKTKFRKVIGVVHTNYLEYVKRERNGYIHAFLLKHINSWVTDIYCHKVIRLSGATQDVPRSVICNVHGVNPKFIEIGKLKHRQISQREQSFFKGAYYIGKMVWSKGYTELLQLFHKHQKELSGLKMELYGSGEDADEVKASAEKLNLDVRVYPGRDHADSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVKLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPAMPIKQNPSSSQHFMYINPDELKKNMEDASAFFHNTISGIEAARWVFGAIPNSLQPDEQQCKELGWGPQGQ* >Brasy2G187500.1.p pacid=40067893 transcript=Brasy2G187500.1 locus=Brasy2G187500 ID=Brasy2G187500.1.v1.1 annot-version=v1.1 MDGGGVEATTTASWLARHCEMYKRATRHPFTVSIRDGTVDLAAFKRWLGQDYMFVQEFVAFLASVLLKCCKQSESSDMEIILGGLASLSDELSWFKKEAAKWSVDLAGVSPLSSNMEYRRFLQSFGEPEISYTVAITTFWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCQALQQITDRCLANAPSDAVKSAEEAFLRVLELEIGFWDMSSSQS* >Brasy2G006300.1.p pacid=40067894 transcript=Brasy2G006300.1 locus=Brasy2G006300 ID=Brasy2G006300.1.v1.1 annot-version=v1.1 MEMALSAATGALQPVLGKLATLAGDEYKRLKGIRGEIDSLSRELAAMDAFLEKMSAAEDDPDPQDKVWMNEVRELSYDAEDNLDDFMARVAAGDGPDARPDRFMGKIKGLVGRTKARHRIAKAIEDLKRQAVEVSQRNARYRSGEPAASVSNKYKVDRRALAIFEDASKLVGVDGPKEEVIQLLGHDGESKQQPPKVVAIVGSGGLGKTTLANKVYQEIKGGFDCHAFLSVSQNPDIVRVMSNIFSQLNKSYSAAAKEDLPTLITKIRDFLTDKNKRYFIVVDDIWKVETWIDIKCAFPITNSGSIIITTTRINLVAQSCRSSFSGHIYNMRPLDEVHSRQLFYGRLFNSEENCPSHLKRISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGRALRNASDGVMVNIISLSYWDLPRHLKTCLLYLSIFPEDFTINKENLIRRWIGEGFIHKQDGYTLHESGEMCFNELINRSLIQPATLSEIFGEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNSNPRNKVRRLSLQNGGEIPAGLVISSARSLHVFGRNAKIPSLLEFRLLRVLDYEECSQLEDDHLAGIGNLLHLKYLRFNYAGDGLTKLPEQVARLPQLGIDIRDYNKIIEIPSTIWQLKWLSIRYATMPDEVSAMQGLQVLGRLDVYRKSAEFLVGLGQLKNLRKLSLIFDSIGLEYKDAALWGVNPAKMVSSIAELSKAGLKSLKIRINGPADELLKEDWFPESDPPSAYGLQELVVVAKGLWGVPTWMASLVNLEKLRIPLNYVGEEGVKILGGLPGLRHLHIGRLWGRRRINPADDFVATFERAMEAHPNRPTFTHSTIPRLHFTEL* >Brasy2G473700.1.p pacid=40067895 transcript=Brasy2G473700.1 locus=Brasy2G473700 ID=Brasy2G473700.1.v1.1 annot-version=v1.1 MTERELIDKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDIDAWMDFSVAGETDIICDTVSYTDIYRIVKDVVEGPSHNLLESVAHRIAGDTLLKFPQISAVRVKVGKPHVAVQGVVDYLGVEILRYKKDIRGSSSGAPTS* >Brasy2G427000.1.p pacid=40067896 transcript=Brasy2G427000.1 locus=Brasy2G427000 ID=Brasy2G427000.1.v1.1 annot-version=v1.1 MTPRPGLSIHIRTRAAAIRQLTLAKQREIKHFSTGTQAPEIKKIDPASARSATTMEAADDDGLAVPPGYSFSPSDKELTDICLRGKISASDEFAARFEARFFHDADVYSAEPEKLVQPFSPAPGTGTSDKQPPVWYFFSPVRYASKKKKSGRRSRTIDGDGGKKWHTEESQQPLKEGGSAFGGYVQRFSYVVKAKASEGKKEEDEKKRAGWIMMEYGISPEHGGGSLVLCKVYPSTYSHKLTAADSPGINLRKRKAAPGDHPEAPTTTRPRTESETYDGTLDAVANDDVNMCPITRWVTSEQEAAYLPIVYEQGTDGFGIADCTTFDPTPSFSFWQQMEPEVSGGDLATPPYAPPAQPEEDAAEIITGQDQNNSEDGAAGLVIDHQPLSFWQEMEQEFEASYPISNSVMVDGSAGGALVATTTCAPEAQPEEDAAGLVQTISDQDHNNNGALTTTQLDEPAADEVIYGLPCPDFSPEMLRKCMDDTMPWPSPTSLSLLLGV* >Brasy2G414500.1.p pacid=40067897 transcript=Brasy2G414500.1 locus=Brasy2G414500 ID=Brasy2G414500.1.v1.1 annot-version=v1.1 MPEDVQMSEPQAAPPAAATAAAPAPSTLHHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAHRDVSAFLAFALPASTEAYARLTSLLPKEDDTEMDLDATAPATQISIKHGLPEIEIYCYLLVLIFLIDHKKYDEAKACATTSIARLKNMNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAARGFRTQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRTVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSQNPVADAECIVSKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQVAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDYDF* >Brasy2G414500.2.p pacid=40067898 transcript=Brasy2G414500.2 locus=Brasy2G414500 ID=Brasy2G414500.2.v1.1 annot-version=v1.1 MDLDATAPATQISIKHGLPEIEIYCYLLVLIFLIDHKKYDEAKACATTSIARLKNMNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAARGFRTQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRTVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSQNPVADAECIVSKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQVAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDYDF* >Brasy2G132700.1.p pacid=40067899 transcript=Brasy2G132700.1 locus=Brasy2G132700 ID=Brasy2G132700.1.v1.1 annot-version=v1.1 MAAEGGGRTKAGKPQFLKVLFADFMETMPIPARFVRRHLAAEPGLRRATLVSPLKKFWHVDVARDADGNGHGRDDYGDVYFAGGWAEFVRANGLEEENFLVFRYEGNMVFTVKVFDTSGCIKEYCSDQAGAGAAASVEKAARNRYDVAAAATASVEKTAPPRNRYDVSAVAAASVEKTASPRNRHDVVASTTVEKTVPRNWCDGATGCGSQPASRSHGATHAQKNRKIKEGKRTSDDDNQDKKQCKSHRIWMEENNGEQHAMADKCAQADKHPEMAAVAKESEGTLPVYMKNIGKKFCMANGIVTNRLMIIKDSVGRSWPVKLTLTSDQARMKAGWGHIAGHHRIKVGDICVFHLVDPQTFNISIRQAQVEA* >Brasy2G268000.1.p pacid=40067900 transcript=Brasy2G268000.1 locus=Brasy2G268000 ID=Brasy2G268000.1.v1.1 annot-version=v1.1 GQVCLRCKPLFNENLTLNHILKKSQSSRGSVQSRTAMRPCSFHAVRTMASKCRGGAPQKPERGGGRN* >Brasy2G468600.1.p pacid=40067901 transcript=Brasy2G468600.1 locus=Brasy2G468600 ID=Brasy2G468600.1.v1.1 annot-version=v1.1 MSASDPAADVDNSSASYQRRSWDALRRSITGLVNKATAANIRHVLPELLALNLVRGRGLLCRALIDSQAACPAEFTDVFAALAAVVNSKLPSVGRLLLARLVLRIRHSLHGNDKPQLVAAARFVAHLVNQGVEHELLALEILEALLARPTDGGVEVAVGVVRECGAALRDACPRLLDAVFDRLASVLRDGNMVDRRRTEFMIEELFAVRKAQFRAHPPVRPELDLVEPEDQLTHQVEISSRGLDPETHLDVFSLSATFLQDEAAYEGLKRSMLGLGGELSSSEDEDEAEVCSSDDQDNEDMEEEEEETIKDETATDLVGLRRTIYLTIMSAVGFEEAGHKLMSVVRPGQETELCAMLIECCRQERAYTRYYGLLAQRLCLRGGVHHRAAYQAGFEACFARLYGNAHRMGTDELRGTARLYAHLLATDAVSWRRVLADCVRLTEEDTTSSSRIFIKMLFQELSEQLGMPLLSRRLIDEDPAVRDALFPADSAEHTRFAVNFFAAIGLAGVTQSARECLINN* >Brasy2G019700.1.p pacid=40067902 transcript=Brasy2G019700.1 locus=Brasy2G019700 ID=Brasy2G019700.1.v1.1 annot-version=v1.1 MKLKINKACDLGSISVLPPRRTGGSGGGGGGGMGASASAVASQQQHQRSQTMSQQSFSQSVGASFSQGVGGGSASFSQRGGGGGGGGASFSQGGGGSGGASFSQGGGASFSQDVGGGSAPFSQGGSGGGGGGGGASFSQGGGGSGGASFSQAGSGAALLHSQSQLSQASLDENLLSLHRPSPARDQRFGLHDDSSKRMPSFPASSASCVRDESQLQLAKIPSNSIHRWNPSIPDSRCQVSNEDVERKFQHLASSVHKMGMVLDSVQNDVMQTNRAMKEASLDSGSIQKKVGLLENSVQQILKAQDGLMALLEGCSKRQDDLKALVEGITKGQDDFKTPLEGSTKGNPDELSVLNSHTSKLNEISTVLSILPKQVQADLRQLKGDIFRIFTKEMEGIVRAIRSLNGRPVMMPTLADQSCTINGKPVTSQTPVANGSPVMNQRPLADGRPQMKQTPVANVRPHVKQTEVANGSPLVNQRPVANGRPQMKQTPVANGRSQMKQIPEENRRSQMNQIPVTKVLPAPLVYQMKVEQLKPKVEQGKVKASAQKSVGPGSCRLAPKQEEVAIQKINPQVAIKKAPVSITIDSDDDSASCIILNTGTGAGVGELVREEATSEEALQILRRARKRRRREMHAVVLV* >Brasy2G019700.2.p pacid=40067903 transcript=Brasy2G019700.2 locus=Brasy2G019700 ID=Brasy2G019700.2.v1.1 annot-version=v1.1 MKLKINKACDLGSISVLPPRRTGGSGGGGGGGMGASASAVASQQQHQRSQTMSQQSFSQSVGASFSQGVGGGSASFSQRGGGGGGGGASFSQGGGGSGGASFSQGGGASFSQDVGGGSAPFSQGGSGGGGGGGGASFSQGGGGSGGASFSQAGSGAALLHSQSQLSQASLDENLLSLHRPSPARDQRFGLHDDSSKRMPSFPASSASCVRDESQLQLAKIPSNSIHRWNPSIPDSRCQVSNEDVERKFQHLASSVHKMGMVLDSVQNDVMQTNRAMKEASLDSGSIQKKVGLLENSVQQILKAQDGLMALLEGCSKRQDDLKALVEGITKGQDDFKTPLEGSTKGNPDELSVLNSHTSKLNEISTVLSILPKQVQADLRQLKGDIFRIFTKEMEGIVRAIRSLNGRPVMMPTLASCTINGKPVTSQTPVANGSPVMNQRPLADGRPQMKQTPVANVRPHVKQTEVANGSPLVNQRPVANGRPQMKQTPVANGRSQMKQIPEENRRSQMNQIPVTKVLPAPLVYQMKVEQLKPKVEQGKVKASAQKSVGPGSCRLAPKQEEVAIQKINPQVAIKKAPVSITIDSDDDSASCIILNTGTGAGVGELVREEATSEEALQILRRARKRRRREMHAVVLV* >Brasy2G413200.1.p pacid=40067904 transcript=Brasy2G413200.1 locus=Brasy2G413200 ID=Brasy2G413200.1.v1.1 annot-version=v1.1 MPRTVSDGGDDFDGDMSSRTGSSHHRTDSIQHGYKVGFPPKKGLLAEFSDAVKETFFADDPLREYKDQPRSKKLWLSLQHVFPVLDWGRHYTFGKFKGDFVAGLTIASLCIPQDIGYAKLAFLPPHIGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQDEIDPVKNPLEYSRLAFTATFFAGITQAMLGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIVHFTKKSDIISVMESVWGNVHHGWNWQTMLIGASFLAFLLATKYIAKKNKKLFWVSAIAPLTSVIISTFCVYITRADKHGIAIVKDIKQGINPPSLHLIYWSGPYLMKGFRIGVVAGMVGLTEAIAIGRTFASMKDYQIDGNKEMVALGTMNIAGSMTSCYVATGSFSRSAVNYMAGCKTAVSNVVMAIVVMLTLLLITPLFKYTPNAILASIIINAVVGLVDYESAYLIWKVDKMDFVACLGAFFGVIFASVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTFIYRNVEQYPEATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQELKLLKTEFLIVELSPVTDIDTSGIHALEELLKALEKRQIQLILANPGPAVIQKLRSANFTELIGEDKIFMSVGDAVKKFAPKSAENV* >Brasy2G413200.2.p pacid=40067905 transcript=Brasy2G413200.2 locus=Brasy2G413200 ID=Brasy2G413200.2.v1.1 annot-version=v1.1 MPRTVSDGGDDFDGDMSSRTGSSHHRTDSIQHGYKVGFPPKKGLLAEFSDAVKETFFADDPLREYKDQPRSKKLWLSLQHVFPVLDWGRHYTFGKFKGDFVAGLTIASLCIPQDIGYAKLAFLPPHIGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQDEIDPVKNPLEYSRLAFTATFFAGITQAMLGFFRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIVHFTKKSDIISVMESVWGNVHHGWNWQTMLIGASFLAFLLATKYIAKKNKKLFWVSAIAPLTSVIISTFCVYITRADKHGIAIVKDIKQGINPPSLHLIYWSGPYLMKGFRIGVVAGMVGLTEAIAIGRTFASMKDYQIDGNKEMVALGTMNIAGSMTSCYVATGSFSRSAVNYMAGCKTAVSNVVMAIVVMLTLLLITPLFKYTPNAILASIIINAVVGLVDYESAYLIWKVDKMDFVACLGAFFGVIFASVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTFIYRNVEQYPEATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQELKLLKTEFLIVELSPVTDIDTSGIHALEELLKALEKRQIQLILANPGPAVIQKLRSANFTELIGEDKIFMSVGDAVKKFAPKSAENV* >Brasy2G365400.1.p pacid=40067906 transcript=Brasy2G365400.1 locus=Brasy2G365400 ID=Brasy2G365400.1.v1.1 annot-version=v1.1 MAANTSFCLALIMACTLLAGSTCHAARRLADDTTAPAAAAAPAAVPTVTLPSVPSITLPTVPQVTLPPIPSIVVPAVTLPPMPKVTVSPMPAIVVPKVTMAPMPAIVVPKVTLPPMPFVPSVNVPMPTLAPPPSA* >Brasy2G405400.1.p pacid=40067907 transcript=Brasy2G405400.1 locus=Brasy2G405400 ID=Brasy2G405400.1.v1.1 annot-version=v1.1 MMLPASAAAMPEGATALEIPSDRPSFYKSFQPLGVPAAAAAVRLLTPPPPPPPAAAIAQPDPAPAHRVHARSHPGADLLRGAPRTFELLASWYSADATVRFRTPDDREAAVRRQPFELDGATVKLVREGETPDRAHSPTDYVVHVALHGYPAEQRTEEEIAANWCRFGFLCEVDPACFAAPDLATVHVVLRLQHSREIPRELRIEYDDYSTNVVPVEIVRVWHRDFSYDADGQYVPLFQQPPSPAA* >Brasy2G294300.1.p pacid=40067908 transcript=Brasy2G294300.1 locus=Brasy2G294300 ID=Brasy2G294300.1.v1.1 annot-version=v1.1 MLSGDQDIPEGIGMARLAWTRLPTVDGAAALPESSAAASTSTSAAADELFVGAVESLDYEVIENYAYREEQAHRSKFWVPYYIMLKWFFALLIGVGTGLAAIFINLAVENFSGWKFTMTFAIIQHSYFVGFLVYILLNLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLNSRWVQIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPVAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLITSTVSFVLPLLRKCSPCPELENNSGIQCPHPPGTDGNFVNFYCSKDNEYNDLATIFFNSQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMSVVKFYKKLNVDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDAVKNQKVVCLPRVSRVVDIVSVLQSNKHNGFPIVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNTPFPCGPGILNRHHFSDFVKPASSKGKSIDDIHLTDDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRTDLLLEENDNTATMELQSTSVRGHLNGKILGGNTHLDHPLLDNMMVEE* >Brasy2G294300.3.p pacid=40067909 transcript=Brasy2G294300.3 locus=Brasy2G294300 ID=Brasy2G294300.3.v1.1 annot-version=v1.1 MLSGDQDIPEGIGMARLAWTRLPTVDGAAALPESSAAASTSTSAAADELFVGAVESLDYEVIENYAYREEQAHRSKFWVPYYIMLKWFFALLIGVGTGLAAIFINLAVENFSGWKFTMTFAIIQHSYFVGFLVYILLNLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLNSRWVQIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPVAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLITSTVSFVLPLLRKCSPCPELENNSGIQCPHPPGTDGNFVNFYCSKDNEYNDLATIFFNSQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMSVVKFYKKLNVDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDAVKNQKVVCLPRVSRVVDIVSVLQSNKHNGFPIVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNTPFPCGPGILNRHHFSDFVKPASSKGKSIDDIHLTDDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRTDLLLEENDNTATMELQSTSVRSILSEFC* >Brasy2G294300.2.p pacid=40067910 transcript=Brasy2G294300.2 locus=Brasy2G294300 ID=Brasy2G294300.2.v1.1 annot-version=v1.1 MLSGDQDIPEGIGMARLAWTRLPTVDGAAALPESSAAASTSTSAAADELFVGAVESLDYEVIENYAYREEQAHRSKFWVPYYIMLKWFFALLIGVGTGLAAIFINLAVENFSGWKFTMTFAIIQHSYFVGFLVYILLNLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLNSRWVQIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPVAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLITSTVSFVLPLLRKCSPCPELENNSGIQCPHPPGTDGNFVNFYCSKDNEYNDLATIFFNSQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMSVVKFYKKLNVDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDAVKNQKVVCLPRVSRVVDIVSVLQSNKHNGFPIVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNTPFPCGPGILNRHHFSDFVKPASSKGKSIDDIHLTDDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRTDLLLEENDNTATMELQSTSRSPEW* >Brasy2G081400.1.p pacid=40067911 transcript=Brasy2G081400.1 locus=Brasy2G081400 ID=Brasy2G081400.1.v1.1 annot-version=v1.1 METITGSEMLRPAYVDGSAAPHRLAGEKVPLTVFDRASLDIFVPSMLAYPAPAPANDALKDGLRRAVAAYPHLAGRLALDGRGRRYIHVNDDGVLLIEATVGVDLADVLVDGRMVASTDHLYPAIPEENIGVALLQVKLNRYKCGGLVIGITSHHQAADGHSMSTFFTVWARAVREGKGFVAPTPFLDRAATAVPRSTPTPVFDHRSVEFTCGGSKSYAVVPMDRIKNLTVHFTADFVADLKARVGARCSTFQCLLAHVWKKTTAARDLSPAEFTQVRVAVNCRGRANPPVPMDFSGNMVLWAFPRLRARDLLSWSLGAVVGAIRDAVARVDDEYIQSFVDYGGVADAGGEELVATAADAGTMFCPDLEVDSWLGFRFHQIDLGTGPPSAFLPPDLPIEGLMILVPSRNAKGGVDLFMALAEEHVQAFQQICYSLD* >Brasy2G314400.1.p pacid=40067912 transcript=Brasy2G314400.1 locus=Brasy2G314400 ID=Brasy2G314400.1.v1.1 annot-version=v1.1 MGFAQLVIGPAGSGKSTYCSGLYQHCETVGRRIHMVNLDPAAEHFSYPLATDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRHMAPRFGKLNKALAELVDDYSMVNFIPLDLRKESSIQYVLSCIDNCIQYGEDADVRVRDFIPEED* >Brasy2G498300.1.p pacid=40067913 transcript=Brasy2G498300.1 locus=Brasy2G498300 ID=Brasy2G498300.1.v1.1 annot-version=v1.1 MATSCREFTGVPRPAATRLQPRRGSKNELCFAPLQQEGRRRQRKPGRGVKVAAAVSEELPRLASAAAGTKSGAAGRPAAGKVALRAVLTVRRKQKEDLKEAVAGHLDALWDMVGRSVVLELISTKIHPRTKKPVQSGQASIKDWCQKRGAKGEHVVYTAEFTVDSDFGEPGAVVVANRHHREFFLESIVVEGGLPCGTVHFACNSWVQTTGELPAKRVFFSNKPYLPSETPTGLKEAREKELKDLRGDGTGVRKISDRIYDYAMYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLSESRVEKPHRIYVPRDETFEELKQGAFISGRLRAVLHTLIPSLIASISADTHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVSIERLTVFPPVSKLDPAIYGPPESSITERHIAGQLNGLTVQEAMDKEKLFIVDHHDVYLPFLDRINAIEGRKAYATRAIFFLTQAGTLKPIAIELCLPPTQSGEPQPSKVLIPACDATSNWIWMLGKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRCMSAMHPIFKLLHPHMRYTLEINALARQSLINAEGVIESCFTPGPVSGEISSAYYRKHWRFDLEGLPADLLRRGVAVEDATQPHGIRLLIEDYPYANDGLLLWSAIGNWVDSYVQLYYPDAGTVQSDDELQGWYHESIHVGHADLSDAPWWPPLSTPRDLAAVLTTLVWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEHAMFMADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYIGEERDEGAAPWTGDEDALAAHRMFAADVRRAEETIDARNADHGRRNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI* >Brasy2G268400.1.p pacid=40067914 transcript=Brasy2G268400.1 locus=Brasy2G268400 ID=Brasy2G268400.1.v1.1 annot-version=v1.1 MSWLSLPPTAAGRCDPHAAHQPPAARAPVSSFGRRRSPTHRRPRSQIEGRRHHRCPSLHIAASPVDGGAPPPLPPPTVASLPAQLATDSPADGGTRPPLPPPTGASTLARLAGWLYRQGGWEIGRP* >Brasy2G168800.1.p pacid=40067915 transcript=Brasy2G168800.1 locus=Brasy2G168800 ID=Brasy2G168800.1.v1.1 annot-version=v1.1 MCFMCGQFENLAAMTFPCLLVMSRTGTILLPWHLGAWSGGLLSRYLPCAPADPGAGPSHSSLLTLQSDILMYMEEEFLSPKEGLSLRLSCKGMLASLPWVLDCSLTPLLLLVLPAITPCLGLYSPLAGEIFGLESTTGCGYKQITLFSSHQEWVLLKIDDQLCLINLLNNVKKVIPPPSRARHYTLMDLLVNEQGVHIFGISTPDRLNAIIGEYTVGIWTEQSYSSNAGFLLSDHSKPVKIRASYYCLANDGSIGVYTRGSWDIVKMPDKRAFRHSSGYLVPVGQKLRVTLVSQQGRAIIVGFDPMQRRWIDIPHLQGCSIFTGTKQTMLVQTTVDGFKDKVIVPMFQKAPRQQKGLLVKRDNRWFLVGTESRGGIDSKVQSQTIYQAYYRAQNCLLDTSFRFRDHLWIDFVMDDKSAAL* >Brasy2G408800.1.p pacid=40067916 transcript=Brasy2G408800.1 locus=Brasy2G408800 ID=Brasy2G408800.1.v1.1 annot-version=v1.1 MAVSFSELHTADGLKALEAHLAGKTYISGEQISKDDVKVFAAVPSKPSAEFPNAARWYDTVAEALASRFPGKASAVNLSAAGSSSAPAEASKDAEDDDDLDLFGDETEDDKKAAEERAAAKPAKKKEKTWISQQRHEHHRDEETNDGEYYTEEEEEEEVGGLGSGGEEDEEGAGKKRKRALGKSLDGFSKRGVCYLSRVPPHMNPSHVRQMFSKYGEVQRIYFVPEGEGHRKHSNVRAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKNRSSFYYDIWNIKYLRKFKWDDLVGEIAQKTHIREQKLNLEITAAKKQRDHYLSNAEKSRTQKIIRERIKKRQKTEGKESNGVHESKIDRPIPQQTRPVEERGPKTKPKLSKNILAGVFGGSSS* >Brasy2G310600.1.p pacid=40067917 transcript=Brasy2G310600.1 locus=Brasy2G310600 ID=Brasy2G310600.1.v1.1 annot-version=v1.1 MVFKGRFFSSRHKSSESSSPDGSNSPRTPTSAPAASAASPASSSSSRSDKKKPKSETPKKRDKLFGSAAIAVPSPKSAASSATSSPSGDGRKGAASAAQHLRDAGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLARGQSQAGTSAASGGGVGKKSFSSWADSSSGGTSSNRGKGKAAEQPLRSASATGVGVEGKSSAKGFSAAHSTLVCLCACRALPNNCRNCLWKLEPTKPNAFRNHSGDLRTPTHIPETVCAYDTCETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGLYNMKEVLKAIQVRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQSGPHDTTARTSKTDARKSFSQEQHNLKWRRSQEIKPVKLLLPLDTDIKQDMESPTRERMSSWKPFPSPVTKPPRESAPIKEESPNKKADTLPTVISGVELTSPVESISHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICAIADRCDQKGVSVDERLVRVAETLEKMVESYSQKDLPNAVGSPDVVKVSNPSINEESDGPSPKLSDCSRRGSADMLDYLQEPDSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESNDLAQIAELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSNGASEVKQHPFFKDVSWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSHSGSSSCVSNHQDDMGDERGGGLTEFESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDEPPMKSET* >Brasy2G310600.3.p pacid=40067918 transcript=Brasy2G310600.3 locus=Brasy2G310600 ID=Brasy2G310600.3.v1.1 annot-version=v1.1 MVFKGRFFSSRHKSSESSSPDGSNSPRTPTSAPAASAASPASSSSSRSDKKKPKSETPKKRDKLFGSAAIAVPSPKSAASSATSSPSGDGRKGAASAAQHLRDAGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLARGQSQAGTSAASGGGVGKKSFSSWADSSSGGTSSNRGKGKAAEQPLRSASATGVGVEGKSSAKGFSAAHSTLVCLCACRALPNNCRNCLWKLEPTKPNAFRNHSGDLRTPTHIPETCAYDTCETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGLYNMKEVLKAIQVRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQSGPHDTTARTSKTDARKSFSQEQHNLKWRRSQEIKPVKLLLPLDTDIKQDMESPTRERMSSWKPFPSPVTKPPRESAPIKEESPNKKADTLPTVISGVELTSPVESISHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICAIADRCDQKGVSVDERLVRVAETLEKMVESYSQKDLPNAVGSPDVVKVSNPSINEESDGPSPKLSDCSRRGSADMLDYLQEPDSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESNDLAQIAELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSNGASEVKQHPFFKDVSWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSHSGSSSCVSNHQDDMGDERGGGLTEFESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDEPPMKSET* >Brasy2G310600.2.p pacid=40067919 transcript=Brasy2G310600.2 locus=Brasy2G310600 ID=Brasy2G310600.2.v1.1 annot-version=v1.1 MVFKGRFFSSRHKSSESSSPDGSNSPRTPTSAPAASAASPASSSSSRSDKKKPKSETPKKRDKLFGSAAIAVPSPKSAASSATSSPSGDGRKGAASAAQHLRDAGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLARGQSQAGTSAASGGGVGKKSFSSWADSSSGGTSSNRGKGKAAEQPLRSASATGVGVEGKSSAKAKPNAFRNHSGDLRTPTHIPETVCAYDTCETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGLYNMKEVLKAIQVRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQSGPHDTTARTSKTDARKSFSQEQHNLKWRRSQEIKPVKLLLPLDTDIKQDMESPTRERMSSWKPFPSPVTKPPRESAPIKEESPNKKADTLPTVISGVELTSPVESISHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICAIADRCDQKGVSVDERLVRVAETLEKMVESYSQKDLPNAVGSPDVVKVSNPSINEESDGPSPKLSDCSRRGSADMLDYLQEPDSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESNDLAQIAELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSNGASEVKQHPFFKDVSWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSHSGSSSCVSNHQDDMGDERGGGLTEFESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDEPPMKSET* >Brasy2G310600.4.p pacid=40067920 transcript=Brasy2G310600.4 locus=Brasy2G310600 ID=Brasy2G310600.4.v1.1 annot-version=v1.1 MVFKGRFFSSRHKSSESSSPDGSNSPRTPTSAPAASAASPASSSSSRSDKKKPKSETPKKRDKLFGSAAIAVPSPKSAASSATSSPSGDGRKGAASAAQHLRDAGGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLARGQSQAGTSAASGGGVGKKSFSSWADSSSGGTSSNRGKGKAAEQPLRSASATGVGVEGKSSAKAKPNAFRNHSGDLRTPTHIPETCAYDTCETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGLYNMKEVLKAIQVRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQSGPHDTTARTSKTDARKSFSQEQHNLKWRRSQEIKPVKLLLPLDTDIKQDMESPTRERMSSWKPFPSPVTKPPRESAPIKEESPNKKADTLPTVISGVELTSPVESISHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICAIADRCDQKGVSVDERLVRVAETLEKMVESYSQKDLPNAVGSPDVVKVSNPSINEESDGPSPKLSDCSRRGSADMLDYLQEPDSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESNDLAQIAELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSNGASEVKQHPFFKDVSWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSHSGSSSCVSNHQDDMGDERGGGLTEFESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDEPPMKSET* >Brasy2G450800.1.p pacid=40067921 transcript=Brasy2G450800.1 locus=Brasy2G450800 ID=Brasy2G450800.1.v1.1 annot-version=v1.1 MAYAKRVLLASSGDDQVSRGIATSLAKHGCRLVLVGDEGALAATAEEVRRCGGAGAAAAVALDLEACDEAAAGAAVDSAWRCFGDGGLDALVNCYSYQGEVQDCLSVTQDEYKKTIKANVMTPWFLIKAIAKRFRDAGSGGSIVCLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKHKVRVNAVCRGLHLRDKFPVSVGEEKAGEAMPLRRWLDPEKDLASTVLYLVGDESRFMTGTTIFVDGAQSIVRPRMRSFM* >Brasy2G015400.1.p pacid=40067922 transcript=Brasy2G015400.1 locus=Brasy2G015400 ID=Brasy2G015400.1.v1.1 annot-version=v1.1 MAAPARNAMCTVILSLLATLLLAAGSSSAQLSASFYASSCPDLLDTVRSALRPEVARERRVAASILRLFFHDCFVQGCDGSLLLDDASGLKGEKNAAPNKDSARGFEVVDAVKAAVEKACPAVVSCADVLAATAMEGVALLGGPRWEVKMGRRDSTTASFNGAENDIPPPTSGLANLTRLFAAKGLSQKDMIALSGAHTIGLARCTNFRDHIYNDTDIDAGFAGTLQQRCPRATGSGDNNLAPLDLQTPNVFENAYYKNLVAKKSLLHSDQELFNGGAADAQVREYVSSQSTFFADFVDGMVKMGDVTPLTGSNGQIRKNCRRVN* >Brasy2G071800.1.p pacid=40067923 transcript=Brasy2G071800.1 locus=Brasy2G071800 ID=Brasy2G071800.1.v1.1 annot-version=v1.1 MAAAAPSRPEVFSLFRTLLRTARQFSDYNIREYTRRRAADAFRENRAIADAPAAFADGKQQLEVAKRQVLVYSLYAPKAKSVAEMMKVQ* >Brasy2G313700.1.p pacid=40067924 transcript=Brasy2G313700.1 locus=Brasy2G313700 ID=Brasy2G313700.1.v1.1 annot-version=v1.1 MLKYMLAGISHGESDEPVVATRDTFLFELTVANLLCLHLTVSYKGAELSKFKLRTEVIFFFCDMKSLFCPRAYFDPCHLAIQVNQELSGYFPIDLCFR* >Brasy2G463500.1.p pacid=40067925 transcript=Brasy2G463500.1 locus=Brasy2G463500 ID=Brasy2G463500.1.v1.1 annot-version=v1.1 MAEAILMAVTKIGSVLTEEATKAVIAKLSEKVTNLKELPVKIEQIRKQLTMMGNVIRKIGTVYLTDEVVKSWIGEVRNVAYHVEDVMDKYSYHVLQIKEEGFLKKYFIKGTHYVKVFSEIADEVVEVEKEIQEVVRMKDQWLQPCQLVANPLTEMERQRSQDSFPEFVKDEDLVGIGHNRILLTGWLYSEEPEGTVITVSGMGGLGKSTLVTNVYEREKINFPAHAWIVVSQIYTVEDLLRKLLWKIGYTEQPLSAGIDKMDVHDLKKEIQPRLQNKKYLIVLDDVWEPEVYFQIHDVFHNLQGSHIIITTRKDHVAGISSSTRHLELQPLSNRDAFDLFCRRAFYNKKGHMCPKELDAIATSIVDRCHGLPLAIVTIGSMLSSRQQLDFWKQTYNQLQSELSNNIHVRAILNLSYHDLSADLRNCFLYCCLFPEDYFMPRDSLVRLWVAEGFVLGKDKNTPEMVAEGNLMELIHRNMLEVVDYDELGRVNSCKMHDIVRELAISVAKEERFAAATDYGTMIQMDRNVRRLSSYGWKDDTALKIKLPRLRTALALGVISSSPETLSSILSGSSYLTVLELQDSAVTEVPALIGSLFNLRYIGLRRTNVKSLPDSIENLSNLQTLDIKQTKIEKLPRGLGKITKLRHLLADNYTDEKQTEFRYFVGVQAPKELSNMEELQTLETVESSVDLAEQLKRLMQLRSLWIDNISAADCANLFATLSNMPLLSSLLLAAKDENEALCFKDLKPRSADLHKLVIRGQWAKGTLNCPIFLGHGTHLKYLALSWCHLGEDPLEMLAPHLPNLTYLKLNNMHSARTLVLSANSFPNLKTVFLRKMHDVGQLHFIDGALPCIEAMYIVSLPKLDKVPQGIESLRSLKKLWLLGLPKGFKTQWVSSGMHQKILHVPEIRV* >Brasy2G463500.2.p pacid=40067926 transcript=Brasy2G463500.2 locus=Brasy2G463500 ID=Brasy2G463500.2.v1.1 annot-version=v1.1 MAEAILMAVTKIGSVLTEEATKAVIAKLSEKVTNLKELPVKIEQIRKQLTMMGNVIRKIGTVYLTDEVVKSWIGEVRNVAYHVEDVMDKYSYHVLQIKEEGFLKKYFIKGTHYVKVFSEIADEVVEVEKEIQEVVRMKDQWLQPCQLVANPLTEMERQRSQDSFPEFVKDEDLVGIGHNRILLTGWLYSEEPEGTVITVSGMGGLGKSTLVTNVYEREKINFPAHAWIVVSQIYTVEDLLRKLLWKIGYTEQPLSAGIDKMDVHDLKKEIQPRLQNKKYLIVLDDVWEPEVYFQIHDVFHNLQGSHIIITTRKDHVAGISSSTRHLELQPLSNRDAFDLFCRRAFYNKKGHMCPKELDAIATSIVDRCHGLPLAIVTIGSMLSSRQQLDFWKQTYNQLQSELSNNIHVRAILNLSYHDLSADLRNCFLYCCLFPEDYFMPRDSLVRLWVAEGFVLGKDKNTPEMVAEGNLMELIHRNMLEVVDYDELGRVNSCKMHDIVRELAISVAKEERFAAATDYGTMIQMDRNVRRLSSYGWKDDTALKIKLPRLRTALALGVISSSPETLSSILSGSSYLTVLELQDSAVTEVPALIGSLFNLRYIGLRRTNVKSLPDSIENLSNLQTLDIKQTKIEKLPRGLGKITKLRHLLADNYTDEKQTEFRYFVGVQAPKELSNMEELQTLETVESSVDLAEQLKRLMQLRSLWIDNISAADCANLFATLSNMPLLSSLLLAAKDENEALCFKDLKPRSADLHKLVIRGQWAKGTLNCPIFLGHGTHLKYLALSWCHLGEDPLEMLAPHLPNLTYLKLNNMHSARTLVLSANSFPNLKTVFLRKMHDVGQLHFIDGALPCIEAMYIVSLPKLDKVPQGIESLRSLKKLWLLGLPKGFKTQWVSSGMHQKILHVPEIRV* >Brasy2G328000.1.p pacid=40067927 transcript=Brasy2G328000.1 locus=Brasy2G328000 ID=Brasy2G328000.1.v1.1 annot-version=v1.1 GKGETIERFENRRSKGNKKSEDARRRPERRSQAPGNTGLGPGRSKKIRPKKRFGLGRGSPPARASLPAQRCSPGCLLRSTGPIVQRNHGKTNQARHDRESGRERTGTERRRRTPPWCWGPGPPRLLPPAARLLRSPLAGSPAPPGPAPSLNDGSLSSDRRPSAFPDPKS* >Brasy2G486000.1.p pacid=40067928 transcript=Brasy2G486000.1 locus=Brasy2G486000 ID=Brasy2G486000.1.v1.1 annot-version=v1.1 MATIPTTGTSLLPGSSALQRDRRTTSSRPSAARLPGTSRRRGHSAVRASAKDIAFDQASRSALQAGVEKLAAAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVLSLVEELEKKSRPVKGSGDIKAIAAISAGNDDFVGSMIAEAIEKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSIVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVEKTTVEQLGVARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKDSEWEFGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKTPAAAGVPEGM* >Brasy2G050000.1.p pacid=40067929 transcript=Brasy2G050000.1 locus=Brasy2G050000 ID=Brasy2G050000.1.v1.1 annot-version=v1.1 MAAVVSSPAACPKPPLQDSGADPVVSSPAACPKPPLQDSGAVPVDTTSSAPWVTKLLTDYLIPRVRSDQFVPVSFIHECDVYGADPAALTSRYEACCSIDGRPKSWYFFSPLPPMNGKKRKRTVQNSDGWWQSDHARHKVVLRGGETESVIGYRQRLAFSTKDARGGIDRSGWLLHELTVDRDDAAVSLCWVYRRPRRKGDAPAREGEEDAKRLKVLQQQQPIPGMYVQGQAKQIQGQQQMVHGQLEQLQIPGIFQQGTAPVQGQPQGQKLQQQQQNQQGQLGQLQQQQQQGQFIQQAQLGQFQLNQQGYFQLNQQGHQFDIAPFQQQQQLQPHGQQQNQFNQGEGQHVQGIPIQQQPAKRQGGQAQRQAGQQRQHEGQAIDPRYKDLVLVCTHPFSDDLEVDILQNFKCVDFLLKLHRLYGGTHLPANGVSSMSTLQW* >Brasy2G249200.1.p pacid=40067930 transcript=Brasy2G249200.1 locus=Brasy2G249200 ID=Brasy2G249200.1.v1.1 annot-version=v1.1 MGMQSNEGTVMFSSIALLQQRFRELERIKEKREVRLLQILTPREADLLHGVSGNAAAAAAPREAPVRWFFHPELLYPCRPLGGTAALFPVVPATTACECKTIQLRGDSLAMDLWPSKASGEVDVDTSLHL* >Brasy2G294200.1.p pacid=40067931 transcript=Brasy2G294200.1 locus=Brasy2G294200 ID=Brasy2G294200.1.v1.1 annot-version=v1.1 MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKIMLLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNMPLSTAATANFTRGELATALRKNPYSVNIILAGFDEDVGASMYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYRPDMTVEEAVDLVDKCIKEIRLRLVVAPQNFAIKIVDKDGARDYGKRESVSGNPSESTTATTTA* >Brasy2G424900.1.p pacid=40067932 transcript=Brasy2G424900.1 locus=Brasy2G424900 ID=Brasy2G424900.1.v1.1 annot-version=v1.1 MATKKLFSVFLFFLVLAAGEGTPSAIISKTCARASNFSTYGGYDYCVGVLTADPAAASANSTQTLAIVATKLALDNVTSTLLMLEDLVSSIAHCADNYGEMNHTVTTAVEDIRAGHAEAAAGKLSGAASEPDECDSRLSKGSAKKNPMTKENHDAASLSYTAYGIIMEALHAKLLATTDAPSATIMKACAGLSNFTTHADYDFCVGALAADPAAGAAMDAHQLAVVAANLTAANVSSTLLVLHDLLHSLSFCLRNYGEMSKTLPAAAYYIGTGHAHAASQLLLDASNKPEMNCDLLLFQGSAGKNPMMKENDDASHLTYLAYAIAAS* >Brasy2G488900.1.p pacid=40067933 transcript=Brasy2G488900.1 locus=Brasy2G488900 ID=Brasy2G488900.1.v1.1 annot-version=v1.1 MDINVPPETTTTTSSPARWTTPMAMVLVQLFITGMILLSKVSIGGGMFIFTLLAYRSFFGSLFILPFALIYERGKWRDMTWLALRWIFFNAFIGYSVPLSLYYYGLRDTTSSYAVIFINIIPLVTFIISLIFRMETLQITSRAGSLKIASVALSVGGTMLISLYKGKKLHLWSPIFEERNKEKQMEVANNQLRGTIFLVASSFAFACWYIIQSKVNKVYPYKYWSSMATCLIGGFQTALVGLILRRDKSAWELEWDLNLLTIVYSGALATAARYSLNSWAVAKRGPAYPPMFSPLSVVFTVVLASVFIGDDITVGSILGTVTVIAGLYVFLWAKSKEV* >Brasy2G488900.2.p pacid=40067934 transcript=Brasy2G488900.2 locus=Brasy2G488900 ID=Brasy2G488900.2.v1.1 annot-version=v1.1 MDINVPPETTTTTSSPARWTTPMAMVLVQLFITGMILLSKVSIGGGMFIFTLLAYRSFFGSLFILPFALIYERGKWRDMTWLALRWIFFNAFIGYSVPLSLYYYGLRDTTSSYAVIFINIIPLVTFIISLIFRAGSLKIASVALSVGGTMLISLYKGKKLHLWSPIFEERNKEKQMEVANNQLRGTIFLVASSFAFACWYIIQSKVNKVYPYKYWSSMATCLIGGFQTALVGLILRRDKSAWELEWDLNLLTIVYSGALATAARYSLNSWAVAKRGPAYPPMFSPLSVVFTVVLASVFIGDDITVGSILGTVTVIAGLYVFLWAKSKEV* >Brasy2G169900.1.p pacid=40067935 transcript=Brasy2G169900.1 locus=Brasy2G169900 ID=Brasy2G169900.1.v1.1 annot-version=v1.1 MSERKRRGGAAAGSTSKRPRAASAAASYAESLRSKLRPDASILASLRALASASSKSKPAGTSSAAAKALADDDPTSANSSYIVVADQDTTSVTSRINRLVLSVARSILEGRGFSFSVPSRTSNNQVYLPELDRIVLLRRESARPFASVGTARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLIFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDMKVGKEMLEEDFVKQNEGWVKELETMLRTKQKAEIQALSSFGFQYLTEVYLPLKLQQEDWI* >Brasy2G030900.1.p pacid=40067936 transcript=Brasy2G030900.1 locus=Brasy2G030900 ID=Brasy2G030900.1.v1.1 annot-version=v1.1 MAFSSLARGHLTRRLRPSLSHLIASHHDRRENPSSSSSPSAAPAPSLTQPFPPADLRRRSRSPNLTLPLPFGAAYRGFSTSSGQAEVDAAAGGLSDAASSAVPGFPAPFPGEVAAAAAGSFAPSAAMQHAIDAVHSFTGLNWWASIALMPVLIETSLVLASLLLDQLKSTVKSYSMDQRWMQEGKRKLCKLFTNHGANPSAQSNVLNIMLTPSIHLRPSIHLSLFFAIRNMVEKVPSLKGGGAYWFTDLTTPDELYILPVLVQMVLLADLKVNLLGRIEGNPMPQVVKYFIPLFVLSLPLLVFIPKAYLLYWLTWRLFNVGWTGFALRTSAVRYYLNLPPLAPRPMAAQVPKSKSSPAVDSPLLAKGSEQSTSEPSDQTVNLEKKRRASSVITKRLF* >Brasy2G337000.1.p pacid=40067937 transcript=Brasy2G337000.1 locus=Brasy2G337000 ID=Brasy2G337000.1.v1.1 annot-version=v1.1 MYLRPPPKGPEWGGDPEAGSAARPLYPMMLESPQLRWAFVRKVYTILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFGLYIFLIILPFIVLCPLYYYYQRHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTAVVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSMMVYGGLAALVFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS* >Brasy2G169400.1.p pacid=40067938 transcript=Brasy2G169400.1 locus=Brasy2G169400 ID=Brasy2G169400.1.v1.1 annot-version=v1.1 MAGIVWLIGRVFAGLSLLEDLVFLISTSWSSLHVRWLWLQQASPVRAWGHLSIPSDNNGHSIFNAGAKVSISDRSSIFFWPTGGSVTTLLRTLCLSSLSLSHRLLLVTAWSRMRSPITIGLLILKRT* >Brasy2G396600.1.p pacid=40067939 transcript=Brasy2G396600.1 locus=Brasy2G396600 ID=Brasy2G396600.1.v1.1 annot-version=v1.1 MNTAARMVTVLFSWRNAEGRLSYPIHMEYMIQAIDTLAAVDLNGLTKRRRSFFFNNCCLMSGPERVSLYLALHHYRKQLNQNATQTLNWQLGENPQDWLELCGRSPVLFASRSFHLPGGGIINYNPSFLSELDHCRNFLYHLPGNLDAETGELILAAVYGSILPELQDRFLRNYTPHQDAAHLPLNQILRVEKFNHAEPDIQGAPV* >Brasy2G244900.1.p pacid=40067940 transcript=Brasy2G244900.1 locus=Brasy2G244900 ID=Brasy2G244900.1.v1.1 annot-version=v1.1 MITTTHISNPPFPCRPFHSHSNVLPLIRRHRHPHLSKPFSATAAATSPSDLLASVESVASAASVLASIVLVHESGHFVAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFSPDDPDLLRNRPVPDRLLVVSAGVIANLIFAFLIVYAQALTVGVPVQALLTGVLVPDVIAGSAAARAGLLPGDVILAVPGAAPDPSVPALVDLIKASPNKKVLVTVSRTGPGASDRQSLDLTIVPDTSADGTGRIGVQLSPNFRVTRVHPRNLSEATVLAVREFTALGGTVLEGLKQTFLNFSQTAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILLVLMVGIFLIVRDTLNLDFIKENL* >Brasy2G198300.1.p pacid=40067941 transcript=Brasy2G198300.1 locus=Brasy2G198300 ID=Brasy2G198300.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy2G273700.1.p pacid=40067942 transcript=Brasy2G273700.1 locus=Brasy2G273700 ID=Brasy2G273700.1.v1.1 annot-version=v1.1 MSAQIAPAEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLIQSLPVQDHSQESFRAHNISFRENYSDYGSSSKYRMPMMFSTKSDQEHTLHVRPPEKRQRVPSAYNRFIKEEIRRIKTNNPDISHREAFSTAAKNWAHFPNIHFGLGSNEGSKKLDEAIVAPIPQKVQGLY* >Brasy2G273700.2.p pacid=40067943 transcript=Brasy2G273700.2 locus=Brasy2G273700 ID=Brasy2G273700.2.v1.1 annot-version=v1.1 MSAQIAPAEHVCYVHCNFCNTILAESFRAHNISFRENYSDYGSSSKYRMPMMFSTKSDQEHTLHVRPPEKRQRVPSAYNRFIKEEIRRIKTNNPDISHREAFSTAAKNWAHFPNIHFGLGSNEGSKKLDEAIVAPIPQKVQGLY* >Brasy2G367000.1.p pacid=40067944 transcript=Brasy2G367000.1 locus=Brasy2G367000 ID=Brasy2G367000.1.v1.1 annot-version=v1.1 MRRTLFSLSSLTRHLIRLSTAHAKMKLRYEVLKTDVEAALQALNLAIFHKEWTEKEDRGGTADGHEKFWSNLLASRICLLAIDEAHCISEWGHDFRSFLSIAILSCTQAREFLENNTIASRNRGFWLLTIDLIGKVSLRPEDIGDEKVKVLAPLQVHQTIPGIAVLLNRKSTFGFFV* >Brasy2G040500.1.p pacid=40067945 transcript=Brasy2G040500.1 locus=Brasy2G040500 ID=Brasy2G040500.1.v1.1 annot-version=v1.1 MMNHCVRVEGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQRFGMQRALIVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLPDLKGGDPAFNAKVLQDVLAGQRGAIADALVLNAAASLLVSGKVKTLHDGVALAQETQRSGKAINTLESWIKISNSC* >Brasy2G128200.1.p pacid=40067946 transcript=Brasy2G128200.1 locus=Brasy2G128200 ID=Brasy2G128200.1.v1.1 annot-version=v1.1 MSNPRFEMNLGMEKALRRALPYGGLPSNDSPQSAFFDAATEGNLRRLRELASGKDAEERAWLADVSIQGVGPLQAAARLGRVDVCRCMVEELGFDINAGSKIGVTALAAAALDGQMAVVRYLLDHGADPNKKDDAGSVALHCAAKFGHDETARLLLSRGASVDIAYFHGTPLHIAAAYGKTAVMKVLLEHQADPNKVSEVLGTPLVATLHATSEGLPESIALKCVKLLIEILVIFRQLLWIGGSIFYQRAGADVNSSNPDTPLVVATTHGLTDCIKYLLKAGADPNIPNSNCGSMPIQLAASCGRRKDVELLFPSTSPIRTIPKWNVDGIIAHAKAKRSMPRDMQDEKNAKVQLKLCGEKAVKRKDYRGASMFYTEAMELDPNDATLYSNRSFCHLQMTEGNRALLDANICIKLRPEWLKGYYRKGAALMFLKNYKEACDAFMVGLKLDPGNAEMEKALREGLEAMKKDHSEQ* >Brasy2G128200.2.p pacid=40067947 transcript=Brasy2G128200.2 locus=Brasy2G128200 ID=Brasy2G128200.2.v1.1 annot-version=v1.1 MSNPRFEMNLGMEKALRRALPYGGLPSNDSPQSAFFDAATEGNLRRLRELASGKDAEERAWLADVSIQGVGPLQAAARLGRVDVCRCMVEELGFDINAGSKIGVTALAAAALDGQMAVVRYLLDHGADPNKKDDAGSVALHCAAKFGHDETARLLLSRGASVDIAYFHGTPLHIAAAYGKTAVMKVLLEHQADPNKVSEVLGTPLVATLHATSEGLPESIALKCVKLLIEAGADVNSSNPDTPLVVATTHGLTDCIKYLLKAGADPNIPNSNCGSMPIQLAASCGRRKDVELLFPSTSPIRTIPKWNVDGIIAHAKAKRSMPRDMQDEKNAKVQLKLCGEKAVKRKDYRGASMFYTEAMELDPNDATLYSNRSFCHLQMTEGNRALLDANICIKLRPEWLKGYYRKGAALMFLKNYKEACDAFMVGLKLDPGNAEMEKALREGLEAMKKDHSEQ* >Brasy2G279200.1.p pacid=40067948 transcript=Brasy2G279200.1 locus=Brasy2G279200 ID=Brasy2G279200.1.v1.1 annot-version=v1.1 MSAPTSGGGALSPQATAALQEGIRLVFGRWTALQMAVENQWGGRDSRAKADQLAESILSWFCNSKGPYYYDDLENMIYVAISDSFNSDFEDGSIEEVAEQILIMHEGCLQGNYSSIEKLRNTHVQGNAVSLSRQMVTDDDDDSSDDDGEPSMAGNEAVRPDEMVVDEPKPSKPVPDADGFTPVAPRRRRGKN* >Brasy2G188500.1.p pacid=40067949 transcript=Brasy2G188500.1 locus=Brasy2G188500 ID=Brasy2G188500.1.v1.1 annot-version=v1.1 MAATGKFNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGVYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALALKSRETPPKFGSPERQRVIDEIHEQMLSKAPPVPQLLTNGPDEEANKLTPHDASVEHAVKAAEGVKTSDTSSGSAIADLPKPALEAEVAENIVEAQARSDAVTNHSTADQSPRESSQRVATTPQIPAIAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF* >Brasy2G076300.1.p pacid=40067950 transcript=Brasy2G076300.1 locus=Brasy2G076300 ID=Brasy2G076300.1.v1.1 annot-version=v1.1 MVGRKPMRRRRADHPPPPPSQSFGATARPNSPRSPTSASAAAVAADLDELLLTAPPPSASEPRSFSYAVKQQCWEKAEKVPGRDPERWRRDALGNMVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTEISKSELAQRSAYCRVSGRDMDLFELSAFGNVRRGPDSGGCKIQ* >Brasy2G017700.1.p pacid=40067951 transcript=Brasy2G017700.1 locus=Brasy2G017700 ID=Brasy2G017700.1.v1.1 annot-version=v1.1 MNLSLVLGAVNGGCAGPHCPAPASTPGVVCPIDTLKLGVCANVLNLLKLRIGVLGVINLNVPVDLVLLLNYCRKTCPPGFTCPL* >Brasy2G055600.1.p pacid=40067952 transcript=Brasy2G055600.1 locus=Brasy2G055600 ID=Brasy2G055600.1.v1.1 annot-version=v1.1 MGCFLGCFGGAKGKKERRQRRRKRSPAQSPSGRGRHSPRRVDLDGEVVSAAAPLLSTLLELRDSTDDMCLAVVKKKVTFDPNVTAYEAPAIPEGEEEEEEDQAHPAAGGGRDEEQWMLGPECAKSEAFPLNHRYGNCAAGDDDSDYEEEDYDDDDEDEGYEDDEEEDVDGIDECAIDDDEEHCGLLGIARGEEEACESLFLLPMTKTKESTGQQAAGEPPCPTRERGNPVLNSVENFAQWKEAKSDTAAAASKNSEKENIVTLGQENRSTDKPSDPAVPAKKKEWLPVVSSDYSPSTPSKQEVSVDASLSTWLGSAGTPESKSVRSYSPISREERPILGALTVEDIKISSANSSPMRSRSPSPSPDDMPILGTVGAYWNSSGSDSVTRGGFMKTRSRFGQNFA* >Brasy2G380300.1.p pacid=40067953 transcript=Brasy2G380300.1 locus=Brasy2G380300 ID=Brasy2G380300.1.v1.1 annot-version=v1.1 MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKATLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAS* >Brasy2G019500.1.p pacid=40067954 transcript=Brasy2G019500.1 locus=Brasy2G019500 ID=Brasy2G019500.1.v1.1 annot-version=v1.1 MGKRSQRRATRIGQDNDIGCTWGLIRMFYSRRDPKLILDRKQGSRRNPFTGFAGRGHSRNKSVDYDEIDETGDNMEECSSRKPAVKRPMEGELGKLKQSMKIPNDEVQRKLADLGHDVCLDRSSRQNSKLKGITNHNANINIASSSGSMDSGGTKCMKQAEEDDLKLALSDFLGQISRCHDEGPDDDCRNKSELCPELKSLIQTKLNEFNNPVCDLAYEKVLVSEEKEIVDNKHLRSRHVGARLEPKKMLEETNIVEDTKTSNHRELVTKTQSKESSNIFFWKKDKSSRKHTSEKSCQPVNKIVILKPNPRRGFDPTVATTSTYLHQQSCSIQAPEYSATECSKFSIKEVRRRFRIVVGETRKGRPTVNEDDLQRGPSWLRDPVFTIKKDFRQVPPDTSANGGDINDVKPSNSRKKKQINDGLGRTNSIITASKDASSFYKEAKKHLSEILKDRSQTTRYPSPITRSLVGMLSLPQRSTPSSPGGSPRIKECIELSPEDKNICAIHKAEREESENERKKSEKDSVSVECGTGEELDEQADQRRHSKEEATQHGIELDIVCIEEIDKPDHSETICDVHCTPEEQHRYNSPLEMMEGAEPAKEHPEMFLRSHENVIEKLQQEEPKTPRRSESFELISQVPSEDYHEKEEQPSPVSVLDPFFHEDVDSPEKNRMIKCELHQDGLRPQYYPDVGSDQGIFWGDKDVRLGYIKTVLELSELCTYQNLEVWYLEEELVSACLFEELHQGNQTDDLKLFFDCICETITVIQGTYFRNPPCISFPRHNIQAPPMGQNLVSEINKHIEGLLNYQFPSTLNQLVSMDLEDGTWMNLRSEIEEIVMDIWEYLLDELTEDTNFQLSSEHSFRKFTHSI* >Brasy2G019500.2.p pacid=40067955 transcript=Brasy2G019500.2 locus=Brasy2G019500 ID=Brasy2G019500.2.v1.1 annot-version=v1.1 MGKRSQRRATRIGQDNDIGCTWGLIRMFYSRRDPKLILDRKQGSRRNPFTGFAGRGHSRNKSVDYDEIDETGDNMEECSSRKPAVKRPMEGELGKLKQSMKIPNDEVQRKLADLGHDVCLDRSSRQNSKLKGITNHNANINIASSSGSMDSGGTKCMKQAEEDDLKLALSDFLGQISRCHDEGPDDDCRNKSELCPELKSLIQTKLNEFNNPVCDLAYEKVLVSEEKEIVDNKHLRSRHVGARLEPKKMLEETNIVEDTKTSNHRELVTKTQSKESSNIFFWKKDKSSRKHTSEKSCQPVNKIVILKPNPRRGFDPTVATTSTYLHQQSCSIQAPEYSATECSKFSIKEVRRRFRIVVGETRKGRPTVNEDDLQRGPSWLRDPVFTIKKDFRQVPPDTSANGGDINDVKPSNSRKKKQINDGLGRTNSIITASKDASSFYKEAKKHLSEILKDRSQTTRYPSPITRSLVGMLSLPQRSTPSSPGGSPRIKECIELSPEDKNICAIHKAEREESENERKKSEKDSVSVECGTGEELDEQADQRRHSKEEATQHDIVCIEEIDKPDHSETICDVHCTPEEQHRYNSPLEMMEGAEPAKEHPEMFLRSHENVIEKLQQEEPKTPRRSESFELISQVPSEDYHEKEEQPSPVSVLDPFFHEDVDSPEKNRMIKCELHQDGLRPQYYPDVGSDQGIFWGDKDVRLGYIKTVLELSELCTYQNLEVWYLEEELVSACLFEELHQGNQTDDLKLFFDCICETITVIQGTYFRNPPCISFPRHNIQAPPMGQNLVSEINKHIEGLLNYQFPSTLNQLVSMDLEDGTWMNLRSEIEEIVMDIWEYLLDELTEDTNFQLSSEHSFRKFTHSI* >Brasy2G321900.1.p pacid=40067956 transcript=Brasy2G321900.1 locus=Brasy2G321900 ID=Brasy2G321900.1.v1.1 annot-version=v1.1 MHHQPLLYNTPLLYNTCRIPCISACSFPNSPFCCPDYSIGWLRGYTLIMNQETKGTTAEGDKRVEALASEAKITCRQSILLGSVPTLCYRALNQICDCIWHIKTKIERIHAEIVPIWFPS* >Brasy2G333600.1.p pacid=40067957 transcript=Brasy2G333600.1 locus=Brasy2G333600 ID=Brasy2G333600.1.v1.1 annot-version=v1.1 MRSSGAAASAPPAAMYGSYAAPPASSGGYSKIPNYPTPPSAYPNPNLSPFPVWGRPPGTEPAPAPAPIQDPTAPPNSLAKAAELVTRFREQGQALIAARRPWGEVFRSPAFSKPPNVGEAVSRMRRNTAYFRANYALAVLSVVAASLLWHPGTLFVLLALCAAWFFLYFARPAEGGQPLRIFGTEFDDGTVLAVLSGVTVIAMLFTDVGWNVVGSAMIGVALVGAHAALRSTDDLFLTEQEAAGNGLMAAGLSAAGPILPTYVRIG* >Brasy2G420700.1.p pacid=40067958 transcript=Brasy2G420700.1 locus=Brasy2G420700 ID=Brasy2G420700.1.v1.1 annot-version=v1.1 MSAGDSAAVSAVEGKLAEVSTNTDLKSLPKRGKAASGRTLNTAQIQLVARHPEVYEPCDDSFALVDALLSDKAQLLALQPSLCLEVGCGSGYVVTSLAIMLRQLGSGTHYLATDINQHAVETTQATLEAHGIHADVIAADIVSGLDKRLAGMVDVVVVNPPYVPTPEEEIGIKGIASSWAGGLNGRQVIDRILPAVRELLSERGCMYMIALEDNDPLGICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDPTAGTSASPSAKSPGSESSWLSQLPFKSFWQKNSSSS* >Brasy2G341300.1.p pacid=40067959 transcript=Brasy2G341300.1 locus=Brasy2G341300 ID=Brasy2G341300.1.v1.1 annot-version=v1.1 MPSAPCFFGCRSLWSGGSGGGRARGSGGARARRRRRGRGMQDPASSRPVDAMDPARSGLGGRSHGSGRIWREGERTGEAGDGGEEVGASREGGKERGGGFT* >Brasy2G085800.1.p pacid=40067960 transcript=Brasy2G085800.1 locus=Brasy2G085800 ID=Brasy2G085800.1.v1.1 annot-version=v1.1 MQETRPDMEQQIAELRGELRKVREERDRAHRVLEVTEWKALASANDRTTIETLEAQLDASRESETRMLESLSLQTKQLEITKIELEEARLEVASMQETVQRLEAAAPVVAKPRSRYERDLQRVSGELRVALAAEEKSKRAMEELVLALKEVNAELHAARQQTARAQHEAETARLESDRLHVSVKRKDDKIRALSDEVVRLRADAEESFAAWRGKEAGFTACMKSTEAELAECRRENARLLSSQRAGRNEVAKLRDILKQAVKDTKFVKEALEEARSENALLKEVVGNKDNAVKCTKEELECLRVSEAAARDSVKELQSLLVATSSSPTAASVAGKSFDLEDPSSPQLAGMDQLHGGLMENGGSENRLSDAKMKPPDGLALTRRMSENFEGSVYDIFGTIDEQKGELGVFTTMPRLPGRRRVVMRKVGSLFRWKSFNNK* >Brasy2G225300.1.p pacid=40067961 transcript=Brasy2G225300.1 locus=Brasy2G225300 ID=Brasy2G225300.1.v1.1 annot-version=v1.1 MEVLDSTHHRNTSIHDFEKLVPVPADDTPNPSLEIQEDIPHNLAEHQEDLKTHTGHTVASSPETLSHSDPAQEPDDLLTRTYHATSGNKIEVNNISENGSTSESTVLTTETKSNGNSMNHHENIAATPNETGRVSESRYRGLVDTAAPFESVKEAVTKFGGIVDWKAYRTHTLERRRGMQLELEKVQRDIPQLKEDSEATEVEKSHTVEELERTKRLVEELKHKLERAHVEVDQAKQDSELAQLRAQEMEKGIDDEASAVAQTQLAVAKERHQKAVEELKLVKEGLRSTHEQYTTLASERDIAIKRAEEVVSAVKEMEKRVDELTLELIASRESLEAAHAAHHEAEEHRLGAALAKEQDCLTWEKELQQAQEELQQLNEQLLSKTFVESKLGENMRNLLSLNTELAAYLENNSSGEAEVVEVHGSDEDKEISRSIKQALASARMELEDVRANIEKTKNEANLIRVAAEALRSELDKEKASLLTLQQRESMASITVSSLEAELNRTKREIELVYTNEAVNREKMVEIPKMLQKAAQEADDAKVAAHSAQEELRKVKEEAEQTKAAAATAKIRLRASMKEIEASKASKRLALVAAQALLESEEARSVDDSPRGVTIPISEYYTLSKRVHEAEELSNKRVVAELAKIELAKESESRTLERLHEAFKEMDQKKDALNIVLQRANRAEEGKLGAEQQLRKWRSEHEQFRKAHEAAKHPFNPLSSPFAEHKASYQEDKEVLTELKSHISDSSMDGFVSEKKLGKKKSFFPQMYTLLSRKAQAKT* >Brasy2G225300.2.p pacid=40067962 transcript=Brasy2G225300.2 locus=Brasy2G225300 ID=Brasy2G225300.2.v1.1 annot-version=v1.1 MEVLDSTHHRNTSIHDFEKLVPVPADDTPNPSLEIQEDIPHNLAEHQEDLKTHTGHTVASSPETLSHSDPAQEPDDLLTRTYHATSGNKIEVNNISENGSTSESTVLTTETKSNGNSMNHHENIAATPNETGRVSESRYRGLVDTAAPFESVKEAVTKFGGIVDWKAYRTHTLERRRGMQLELEKVQRDIPQLKEDSEATEVEKSHTVEELERTKRLVEELKHKLERAHVEVDQAKQDSELAQLRAQEMEKGIDDEASAVAQTQLAVAKERHQKAVEELKLVKEGLRSTHEQYTTLASERDIAIKRAEEVVSAVKEMEKRVDELTLELIASRESLEAAHAAHHEAEEHRLGAALAKEQDCLTWEKELQQAQEELQQLNEQLLSKTFVESKLGENMRNLLSLNTELAAYLENNSSGEAEVVEVHGSDEDKEISRSIKQALASARMELEDVRANIEKTKNEANLIRVAAEALRSELDKEKASLLTLQQRESMASITVSSLEAELNRTKREIELVYTNEAVNREKMVEIPKMLQKAAQEADDAKVAAHSAQEELRKVKEEAEQTKAAAATAKIRLRASMKEIEASKASKRLALVAAQALLESEEARSVDDSPRGVTIPISEYYTLSKRVHEAEELSNKRVVAELAKIELAKESESRTLERLHEAFKEMDQKKDALNIVLQRANRAEEGKLGAEQQLRKWRSEHEQFRKAHEAAKHPFNPLSSPFAEHKASYQEDKEVLTELKSHISDSSMDGFVSEKKLGKKKSFFPQMYTLLSRKAQAKT* >Brasy2G076200.1.p pacid=40067963 transcript=Brasy2G076200.1 locus=Brasy2G076200 ID=Brasy2G076200.1.v1.1 annot-version=v1.1 MGQCRAAAGGGGDCLFKLFGKTIPVPADSSGGVVDKDLQHSGSSTAEPKVQEDIPRDSTGSPTQPEVVDTDDSSAVKNSSADQEEQSDTANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKKCQRYWTAGGAMRNVPVGAGRRKSKSISAASHFLQRIRVTLPGDPLCTPIKTNATVLSFGSDTSTLDLTEQMKHLKEKLIPITQIKNTDDPSVGSCAEGWAKGEEQNQMNSREKVTADKSTNVAQHPCMNGGTMWPFSCAPSPAYFTSSVAIPFYPAAAAAYWGYMVPGAWNTPWSPQSQSQSSSSPSAASPVSTMSSCFQSRKHPRDGDEERDTNKNGKVWVPKTIRIDDADEVARSSIWSLIGINGDKVGTDDGRGCKFTRVFDPKDEAKTTTHRVNNSLPFLKGNPAALSRSVTFQEGS* >Brasy2G146300.1.p pacid=40067964 transcript=Brasy2G146300.1 locus=Brasy2G146300 ID=Brasy2G146300.1.v1.1 annot-version=v1.1 MPASISMSKLLSPPYYTSYTSLRRLPRDAVPARFARDPGGPRVAQPRSLRPGSRFAGSCHTGASSEISLAEAGGDGASAGGYEDGGLPFVNLSSSIFQTELGLLKDDALPKGSRGEDHLESTPAYPAAMNALYAAFVAGNATEHLWNFTWPAAVAMLHQSLLPVAVLGFFTKLVVFIAGPLLGEFVSSLPRIPTYRSLTVIQTAAHLVSASMIAHAFTMPRASTTMNLLLRPWFAMLVVSTAVDRLSCVSLGIIAERDFVVQLAGTGRPIALARANATLSRVDLICETAGASIFAFLLAKNDPLTCIKLSCIISLSALPVHIFMAGTMNRLAGGAFDQSEQRSSHAASSFDIQRIVEDAWATIRQGWTEYIRQPVLPASLAYVLICFNVALVPGALMTTFLIHHGTTPLVLGAFGVSSALMGILATFVTPGLVKELGLLKAGAAGIIAQSVLLSAAVLVYLTGSISRRGALLAFLGLIVASRLGHMAYSVIGLQVVQTGNPMAKAKLIGATEVAVGSLAELATMAVAVAARDVSGFGAVVVLSAAAVAAAACLYCGWLANPYRRSENALSALMTCE* >Brasy2G146300.3.p pacid=40067965 transcript=Brasy2G146300.3 locus=Brasy2G146300 ID=Brasy2G146300.3.v1.1 annot-version=v1.1 MPASISMSKLLSPPYYTSYTSLRRLPRDAVPARFARDPGGPRVAQPRSLRPGSRFAGSCHTGASSEISLAEAGGDGASAGGYEDGGLPFVNLSSSIFQTELGLLKDDALPKGSRGEDHLESTPAYPAAMNALYAAFVAGNATEHLWNFTWPAAVAMLHQSLLPVAVLGFFTKLVVFIAGPLLGEFVSSLPRIPTYRSLTTAAHLVSASMIAHAFTMPRASTTMNLLLRPWFAMLVVSTAVDRLSCVSLGIIAERDFVVQLAGTGRPIALARANATLSRVDLICETAGASIFAFLLAKNDPLTCIKLSCIISLSALPVHIFMAGTMNRLAGGAFDQSEQRSSHAASSFDIQRIVEDAWATIRQGWTEYIRQPVLPASLAYVLICFNVALVPGALMTTFLIHHGTTPLVLGAFGVSSALMGILATFVTPGLVKELGLLKAGAAGIIAQSVLLSAAVLVYLTGSISRRGALLAFLGLIVASRLGHMAYSVIGLQVVQTGNPMAKAKLIGATEVAVGSLAELATMAVAVAARDVSGFGAVVVLSAAAVAAAACLYCGWLANPYRRSENALSALMTCE* >Brasy2G146300.2.p pacid=40067966 transcript=Brasy2G146300.2 locus=Brasy2G146300 ID=Brasy2G146300.2.v1.1 annot-version=v1.1 MPASISMSKLLSPPYYTSYTSLRRLPRDAVPARFARDPGGPRVAQPRSLRPGSRFAGSCHTGASSEISLAEAGGDGASAGGYEDGGLPFVNLSSSIFQTELGLLKDDALPKGSRGEDHLESTPAYPAAMNALYAAFVAGNATEHLWNFTWPAAVAMLHQSLLPVAVLGFFTKLVVFIAGPLLGEFVSSLPRIPTYRSLTVIQTAAHLVSASMIAHAFTMPRASTTMNLLLRPWFAMLVVSTAVDRLSCVSLGIIAERDFVVQLAGTGRPIALARANATLSRVDLICETAGASIFAFLLAKNDPLTCIKLSCIISLSALPVHIFMAGTMNRLAGGAFDQSEQRSSHAASSFDIQRIVEDAWATIRQGWTEYIRQPVLPASLAYVLICFNVALVPGALMTTFLIHHGTTPLVLGAFGVSSALMGILATFVTPGLVKELGLLKAGAAGIIAQSVLLSAAVLVYLTGSISRRGGIEAGAHGVQRDRAAGGADREPHGEGEADRSNGGRRRQPRGACYDGGGSGRQGCLGVRRRGRALGSCRRRGSLPVLWLVGQSLQTK* >Brasy2G045400.1.p pacid=40067967 transcript=Brasy2G045400.1 locus=Brasy2G045400 ID=Brasy2G045400.1.v1.1 annot-version=v1.1 MAAAPSRFLLVTGAPGVGKTTLVMRVLETLRASHPELTIRGFYTREVRESGERVGFEVVTPDGRSGRLASSRISSPESVRWPTVGKYKVDVASLESLALPELQVKEDTDLYIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPIPRYGRDIPGVARLRNHPGAVAFTLNTGNRDTMREGIYDQLSRLVQKR* >Brasy2G289100.1.p pacid=40067968 transcript=Brasy2G289100.1 locus=Brasy2G289100 ID=Brasy2G289100.1.v1.1 annot-version=v1.1 MLLARAPPPPLLSASKSPAQRVRAFCHRRLAAPRTAAHAATVASSEASSFRGEQKRKQVASVANPLVKHCVKLRDSAAYRRSCGRLLLVGLVPILEVCRSGFAAIDCLLLLDGLAVPEELHELCGDVVYVSATVMKKISGMQSVDSTEAIAVMHMPKYFCDLNGDDGGAALDGLLHSPKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPSCCDPFNEKALRAARGASLQLPIASGNWYDLRAFMTKRDMKMLAGHPESNSDGSDRTQTLSKELADSLMSESLCLVLGSEGNGLSEESVQACELVSIPMEGIFESLNVSVAGGIFLFMLRPKQQIYSRTLTS* >Brasy2G182200.1.p pacid=40067969 transcript=Brasy2G182200.1 locus=Brasy2G182200 ID=Brasy2G182200.1.v1.1 annot-version=v1.1 MDVATGAMDSLLPKLSDLLSDEYKLQKHLRKEVSSLISEMGMLHAVLHKVAQVPRQQVREQVILWASEVRELSYKMEDIIDTLMVCEDGPEAITNSNKLRQFMEKMINMFRKGKTHHDISDAIKDITDQMKDVAARRNKYFLDSIVANPATATSAIDPRLLVLYKNQKELVGIEEARDELIKRLTDGNDDTFRQQLKIFSVFGFGGLGKTTLAKVVYDRLQADFDIGAFVLVGRNPDMKKVFRDILCQLDKPKYMASRAEMLDEEQLIQIIRGLLEDKRYIIVIDDIWHEVALKLIKCSLVCNDYGSRVIITTRIYSVAQLAIDVYKLKPLSCDNSERLLYTILFGAKGKCPNGQAGGVCRNILEKCEGVPSTILTIASLLASKPREDWLKVYNSVDFGYGDSEDVDNMRNIRLFSYYDLPTYLRTCLLYLSTSPKGKLISKDTLIWKWVAEGFIVKVKTGKTLFEAGEIYFNELINRSMIEPVEMYGGVIIGYRVYGSVLDIISFLSKEENFVTVLDSGKHHISSQSNARRLAVQNRVVEQHNSLINMRLSQVRSFNVTMCHISAMPSLSSFQVLRVLAIEDCTFVEGRTYQLEHLGGLLQLRYLGLLNMPIRKLPKEIGNLKFLQILDLRDTEIEILPRAVVLLRRLMCLRAEGGSITVPDGIGNLRSLEELRLNNVSSSPNFLEELRELTELRVLEIRIEGFDGSSIKTLVESLDNLHRIQVLKLMGELSNEEVNLDCFVPPRYLFHLHLEMECSGLPPWIHSSAVPHLSHLWVVVKAVQVQDVEILGKLSQLVSLTLLIPTVVNISLDVTGGGAFPNLRHCYISAPLRFLEGAMPSLESLKFVLQVRALKDTDFDFDDFGGLGNLPSLKKVMIQMDCLGANAMEVEATEAAVKHAVDIHPNSPILEFIKGQQMATKRRSRKQLCSRTYRFNLSMPCIQVVIAEDFYNYLSSYKTSLVYLNPLLQQAQNIYIFFRISTSWFPNIAHKTKKI* >Brasy2G182200.4.p pacid=40067970 transcript=Brasy2G182200.4 locus=Brasy2G182200 ID=Brasy2G182200.4.v1.1 annot-version=v1.1 MDVATGAMDSLLPKLSDLLSDEYKLQKHLRKEVSSLISEMGMLHAVLHKVAQVPRQQVREQVILWASEVRELSYKMEDIIDTLMVCEDGPEAITNSNKLRQFMEKMINMFRKGKTHHDISDAIKDITDQMKDVAARRNKYFLDSIVANPATATSAIDPRLLVLYKNQKELVGIEEARDELIKRLTDGNDDTFRQQLKIFSVFGFGGLGKTTLAKVVYDRLQADFDIGAFVLVGRNPDMKKVFRDILCQLDKPKYMASRAEMLDEEQLIQIIRGLLEDKRYIIVIDDIWHEVALKLIKCSLVCNDYGSRVIITTRIYSVAQLAIDVYKLKPLSCDNSERLLYTILFGAKGKCPNGQAGGVCRNILEKCEGVPSTILTIASLLASKPREDWLKVYNSVDFGYGDSEDVDNMRNIRLFSYYDLPTYLRTCLLYLSTSPKGKLISKDTLIWKWVAEGFIVKVKTGKTLFEAGEIYFNELINRSMIEPVEMYGGVIIGYRVYGSVLDIISFLSKEENFVTVLDSGKHHISSQSNARRLAVQNRVVEQHNSLINMRLSQVRSFNVTMCHISAMPSLSSFQVLRVLAIEDCTFVEGRTYQLEHLGGLLQLRYLGLLNMPIRKLPKEIGNLKFLQILDLRDTEIEILPRAVVLLRRLMCLRAEGGSITVPDGIGNLRSLEELRLNNVSSSPNFLEELRELTELRVLEIRIEGFDGSSIKTLVESLDNLHRIQVLKLMGELSNEEVNLDCFVPPRYLFHLHLEMECSGLPPWIHSSAVPHLSHLWVVVKAVQVQDVEILGKLSQLVSLTLLIPTVVNISLDVTGGGAFPNLRHCYISAPLRFLEGAMPSLESLKFVLQVRALKDTDFDFDDFGGLGNLPSLKKVMIQMDCLGANAMEVEATEAAVKHAVDIHPNSPILEFIKGQQTDGDQEKEQEATVL* >Brasy2G182200.2.p pacid=40067971 transcript=Brasy2G182200.2 locus=Brasy2G182200 ID=Brasy2G182200.2.v1.1 annot-version=v1.1 MDVATGAMDSLLPKLSDLLSDEYKLQKHLRKEVSSLISEMGMLHAVLHKVAQVPRQQVREQVILWASEVRELSYKMEDIIDTLMVCEDGPEAITNSNKLRQFMEKMINMFRKGKTHHDISDAIKDITDQMKDVAARRNKYFLDSIVANPATATSAIDPRLLVLYKNQKELVGIEEARDELIKRLTDGNDDTFRQQLKIFSVFGFGGLGKTTLAKVVYDRLQADFDIGAFVLVGRNPDMKKVFRDILCQLDKPKYMASRAEMLDEEQLIQIIRGLLEDKRYIIVIDDIWHEVALKLIKCSLVCNDYGSRVIITTRIYSVAQLAIDVYKLKPLSCDNSERLLYTILFGAKGKCPNGQAGGVCRNILEKCEGVPSTILTIASLLASKPREDWLKVYNSVDFGYGDSEDVDNMRNIRLFSYYDLPTYLRTCLLYLSTSPKGKLISKDTLIWKWVAEGFIVKVKTGKTLFEAGEIYFNELINRSMIEPVEMYGGVIIGYRVYGSVLDIISFLSKEENFVTVLDSGKHHISSQSNARRLAVQNRVVEQHNSLINMRLSQVRSFNVTMCHISAMPSLSSFQVLRVLAIEDCTFVEGRTYQLEHLGGLLQLRYLGLLNMPIRKLPKEIGNLKFLQILDLRDTEIEILPRAVVLLRRLMCLRAEGGSITVPDGIGNLRSLEELRLNNVSSSPNFLEELRELTELRVLEIRIEGFDGSSIKTLVESLDNLHRIQVLKLMGELSNEEVNLDCFVPPRYLFHLHLEMECSGLPPWIHSSAVPHLSHLWVVVKAVQVQDVEILGKLSQLVSLTLLIPTVVNISLDVTGGGAFPNLRHCYISAPLRFLEGAMPSLESLKFVLQVRALKDTDFDFDDFGGLGNLPSLKKVMIQMDCLGANAMEVEATEAAVKHAVDIHPNSPILEFIKGQQTDGDQEKEQEATVL* >Brasy2G182200.3.p pacid=40067972 transcript=Brasy2G182200.3 locus=Brasy2G182200 ID=Brasy2G182200.3.v1.1 annot-version=v1.1 MDVATGAMDSLLPKLSDLLSDEYKLQKHLRKEVSSLISEMGMLHAVLHKVAQVPRQQVREQVILWASEVRELSYKMEDIIDTLMVCEDGPEAITNSNKLRQFMEKMINMFRKGKTHHDISDAIKDITDQMKDVAARRNKYFLDSIVANPATATSAIDPRLLVLYKNQKELVGIEEARDELIKRLTDGNDDTFRQQLKIFSVFGFGGLGKTTLAKVVYDRLQADFDIGAFVLVGRNPDMKKVFRDILCQLDKPKYMASRAEMLDEEQLIQIIRGLLEDKRYIIVIDDIWHEVALKLIKCSLVCNDYGSRVIITTRIYSVAQLAIDVYKLKPLSCDNSERLLYTILFGAKGKCPNGQAGGVCRNILEKCEGVPSTILTIASLLASKPREDWLKVYNSVDFGYGDSEDVDNMRNIRLFSYYDLPTYLRTCLLYLSTSPKGKLISKDTLIWKWVAEGFIVKVKTGKTLFEAGEIYFNELINRSMIEPVEMYGGVIIGYRVYGSVLDIISFLSKEENFVTVLDSGKHHISSQSNARRLAVQNRVVEQHNSLINMRLSQVRSFNVTMCHISAMPSLSSFQVLRVLAIEDCTFVEGRTYQLEHLGGLLQLRYLGLLNMPIRKLPKEIGNLKFLQILDLRDTEIEILPRAVVLLRRLMCLRAEGGSITVPDGIGNLRSLEELRLNNVSSSPNFLEELRELTELRVLEIRIEGFDGSSIKTLVESLDNLHRIQVLKLMGELSNEEVNLDCFVPPRYLFHLHLEMECSGLPPWIHSSAVPHLSHLWVVVKAVQVQDVEILGKLSQLVSLTLLIPTVVNISLDVTGGGAFPNLRHCYISAPLRFLEGAMPSLESLKFVLQVRALKDTDFDFDDFGGLGNLPSLKKVMIQMDCLGANAMEVEATEAAVKHAVDIHPNSPILEFIKGQQTDGDQEKEQEATVL* >Brasy2G062000.1.p pacid=40067973 transcript=Brasy2G062000.1 locus=Brasy2G062000 ID=Brasy2G062000.1.v1.1 annot-version=v1.1 MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFCGPNESLYQGGAWKVRVELPDAYPYRSPSVGFCNKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALLMRDRAAYEQKVKECCEKYAKPEDAGITPEDNSSDEEPSEEDDDDSGDDEPILGHPDP* >Brasy2G305700.1.p pacid=40067974 transcript=Brasy2G305700.1 locus=Brasy2G305700 ID=Brasy2G305700.1.v1.1 annot-version=v1.1 MERVRTILTHRYPYPHEHSRHFMIAVIAGWLFLISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIIFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWRKQNDDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYERLKRLLKPEFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSSFSYERRFFSPFEDALKPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCREKVGESDSVIVITHEPNWLLDWYWGDKTGKNVTYLICEYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFKECYGNKYETKATYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWGDRVSSFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHASAHITSAVLLMLLMELGIEICIRNHLLATSGYHTLYEWYRKAESEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRNTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSMVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPNWDMETTDPLQMSHSRRFPSKWRAASGWSDPTSVVRVVDQFVIPRTPTDPFIADLDT* >Brasy2G305700.2.p pacid=40067975 transcript=Brasy2G305700.2 locus=Brasy2G305700 ID=Brasy2G305700.2.v1.1 annot-version=v1.1 MERVRTILTHRYPYPHEHSRHFMIAVIAGWLFLISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIIFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWRKQNDDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYERLKRLLKPEFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSSFSYERRFFSPFEDALKPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCREKVGESDSVIVITHEPNWLLDWYWGDKTGKNVTYLICEYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFKECYGNKYETKATYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWGDRVSSFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHASAHITSAVLLMLLMELGIEICIRNHLLATSGYHTLYEWYRKAESEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRNTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSMVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPNWDMETTDPLQMSHSRRFPSKWRAASGWSDPTSVVRVVDQFVIPRTPTDPFIADLDT* >Brasy2G305700.5.p pacid=40067976 transcript=Brasy2G305700.5 locus=Brasy2G305700 ID=Brasy2G305700.5.v1.1 annot-version=v1.1 MERVRTILTHRYPYPHEHSRHFMIAVIAGWLFLISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIIFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWRKQNDDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYERLKRLLKPEFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSSFSYERRFFSPFEDALKPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCREKVGESDSVIVITHEPNWLLDWYWGDKTGKNVTYLICEYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFKECYGNKYETKATYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWGDRVSSFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHASAHITSAVLLMLLMELGIEICIRNHLLATSGYHTLYEWYRKAESEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRNTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSMVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPNWDMETTDPLQMSHSRRFPSKWRAASGWSDPTSVVRVVDQFVIPRTPTDPFIADLDT* >Brasy2G305700.3.p pacid=40067977 transcript=Brasy2G305700.3 locus=Brasy2G305700 ID=Brasy2G305700.3.v1.1 annot-version=v1.1 MERVRTILTHRYPYPHEHSRHFMIAVIAGWLFLISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIIFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWRKQNDDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYERLKRLLKPEFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSSFSYERRFFSPFEDALKPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCREKVGESDSVIVITHEPNWLLDWYWGDKTGKNVTYLICEYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFKECYGNKYETKATYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQATIPSMNGTARRKVNIFLIPRGFALVWNSGLLGSILRVLSTLCLRSTSQRSWQ* >Brasy2G305700.4.p pacid=40067978 transcript=Brasy2G305700.4 locus=Brasy2G305700 ID=Brasy2G305700.4.v1.1 annot-version=v1.1 MERVRTILTHRYPYPHEHSRHFMIAVIAGWLFLISSDNLQNLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIIFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWRKQNDDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYERLKRLLKPEFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSSFSYERRFFSPFEDALKPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCREKVGESDSVIVITHEPNWLLDWYWGDKTGKNVTYLICEYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVHHLLVNGCGGAFLHPTHVFENFKECYGNKYETKATYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQATIPSMNGTARRKVNIFLIPRGFALVWNSGLLGSILRVLSTLCLRSTSQRSWQ* >Brasy2G140500.1.p pacid=40067979 transcript=Brasy2G140500.1 locus=Brasy2G140500 ID=Brasy2G140500.1.v1.1 annot-version=v1.1 MSTQGTQAAAKDDVKDLVSPKPKSATLVYHSFNIAFVLVIILYIVHVVVYYNASEVWWQALVAAAIVSPLFVAPLYYMPMLRDFFVEEYGMGSHDNLLASETLKARPARVHPE* >Brasy2G220400.1.p pacid=40067980 transcript=Brasy2G220400.1 locus=Brasy2G220400 ID=Brasy2G220400.1.v1.1 annot-version=v1.1 MHALGDLPADVLVAILVRLPARSIARVRGVCRAWRSAISDPSFDIAHAQRPATIAKVTTDLGFDVAYDHAVDARRPTALSASGRGFCSVRGSWDGVVCVEVTMTVPCGLLRSHVEQYVLWNPLTMACATVSAPAPAADCGEIIGAYAHPVTRRFHLLHASAETSSPHYGTYFPGTHLMAPTTFRILRVGGGDAVWREIPATLQK* >Brasy2G314200.1.p pacid=40067981 transcript=Brasy2G314200.1 locus=Brasy2G314200 ID=Brasy2G314200.1.v1.1 annot-version=v1.1 MARCGRASLLPLLLLACALVQSSHCSRSPPSAREPQEPGVVDPSSHSPVVHGGAADEPRALGGGRTTGQVRLTEKDEAEATGHRGASADGDVATLAALEEDVAGAGSEQRRKAGAVRAPLRRMMLMSSKLARRRVLGGNAEESAAAGASCRSNNAHITCAPPAH* >Brasy2G420900.1.p pacid=40067982 transcript=Brasy2G420900.1 locus=Brasy2G420900 ID=Brasy2G420900.1.v1.1 annot-version=v1.1 MTKKTMIDCTGGLSRDERAIARRNKRESLISSGSDGRRKVSAKLQKTIDKMTKVAMDCLADKAAEAALLAQLFSLEYPDRVISSTPFQEKEFGDSGSADKALQVIGVTKDITPDLSAIVISLALFDGDKMLFAISGVAVPPVTTKLMLTRFVTSTRLVVEYNKNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTQKSICRVDLDLQTPLPSDGKIIAAARAFESGRLMVTSGRLTGDGLACWTQITEAALGGPLVDHEGKFLGVNLYIDTAGSSLFLPLTALRERLVHFQILTPKTMDFRGYSLPAGVSSIIPSGFWKKFKQLEWLGYPKPPPLVLEFNGKVLNSFEEEFGQLRAWKEYPFDVTNYSSMEYVWSLLPRDVVTKISRSVVKIVSSNGSVRSFACTGLLIKWPGTEGMHPVILTSASLVRSRDDHFKIDNNLKIEVFLPPKQPAKGTLVFYHLNSNIAIISLEEGFHGIRPFDICCKKDDLSKPVVAIGRQIREGFLMATEGEVIRNWIDRDHCLGYRMRVLASTCRIKKAGIGGPLINFDGAFVGMNHYNECEETPFVPRHEIVKILKEEINWRKGRLSMNTLHGVECGIYRSERWYVPEPYYGHGLLDVDKHALLHQHIGRQLQ* >Brasy2G420900.4.p pacid=40067983 transcript=Brasy2G420900.4 locus=Brasy2G420900 ID=Brasy2G420900.4.v1.1 annot-version=v1.1 MIDCTGGLSRDERAIARRNKRESLISSGSDGRRKVSAKLQKTIDKMTKVAMDCLADKAAEAALLAQLFSLEYPDRVISSTPFQEKEFGDSGSADKALQVIGVTKDITPDLSAIVISLALFDGDKMLFAISGVAVPPVTTKLMLTRFVTSTRLVVEYNKNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTQKSICRVDLDLQTPLPSDGKIIAAARAFESGRLMVTSGRLTGDGLACWTQITEAALGGPLVDHEGKFLGVNLYIDTAGSSLFLPLTALRERLVHFQILTPKTMDFRGYSLPAGVSSIIPSGFWKKFKQLEWLGYPKPPPLVLEFNGKVLNSFEEEFGQLRAWKEYPFDVTNYSSMEYVWSLLPRDVVTKISRSVVKIVSSNGSVRSFACTGLLIKWPGTEGMHPVILTSASLVRSRDDHFKIDNNLKIEVFLPPKQPAKGTLVFYHLNSNIAIISLEEGFHGIRPFDICCKKDDLSKPVVAIGRQIREGFLMATEGEVIRNWIDRDHCLGYRMRVLASTCRIKKAGIGGPLINFDGAFVGMNHYNECEETPFVPRHEIVKILKEEINWRKGRLSMNTLHGVECGIYRSERWYVPEPYYGHGLLDVDKHALLHQHIGRQLQ* >Brasy2G420900.3.p pacid=40067984 transcript=Brasy2G420900.3 locus=Brasy2G420900 ID=Brasy2G420900.3.v1.1 annot-version=v1.1 MIDCTGGLSRDERAIARRNKRESLISSGSDGRRKVSAKLQKTIDKMTKVAMDCLADKAAEAALLAQLFSLEYPDRVISSTPFQEKEFGDSGSADKALQVIGVTKDITPDLSAIVISLALFDGVAVPPVTTKLMLTRFVTSTRLVVEYNKNRNLDDKLRIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTQKSICRVDLDLQTPLPSDGKIIAAARAFESGRLMVTSGRLTGDGLACWTQITEAALGGPLVDHEGKFLGVNLYIDTAGSSLFLPLTALRERLVHFQILTPKTMDFRGYSLPAGVSSIIPSGFWKKFKQLEWLGYPKPPPLVLEFNGKVLNSFEEEFGQLRAWKEYPFDVTNYSSMEYVWSLLPRDVVTKISRSVVKIVSSNGSVRSFACTGLLIKWPGTEGMHPVILTSASLVRSRDDHFKIDNNLKIEVFLPPKQPAKGTLVFYHLNSNIAIISLEEGFHGIRPFDICCKKDDLSKPVVAIGRQIREGFLMATEGEVIRNWIDRDHCLGYRMRVLASTCRIKKAGIGGPLINFDGAFVGMNHYNECEETPFVPRHEIVKILKEEINWRKGRLSMNTLHGVECGIYRSERWYVPEPYYGHGLLDVDKHALLHQHIGRQLQ* >Brasy2G420900.2.p pacid=40067985 transcript=Brasy2G420900.2 locus=Brasy2G420900 ID=Brasy2G420900.2.v1.1 annot-version=v1.1 MTKKTMIDCTGGLSRDERAIARRNKRESLISSGSDGRRKVSAKLQKTIDKMTKVAMDCLADKAAEAALLAQLFSLEYPDRVISSTPFQEKEFGDSGSADKALQIDVCLPDNTHMDGFLGLYDKDIAIVTSCGTQKSICRVDLDLQTPLPSDGKIIAAARAFESGRLMVTSGRLTGDGLACWTQITEAALGGPLVDHEGKFLGVNLYIDTAGSSLFLPLTALRERLVHFQILTPKTMDFRGYSLPAGVSSIIPSGFWKKFKQLEWLGYPKPPPLVLEFNGKVLNSFEEEFGQLRAWKEYPFDVTNYSSMEYVWSLLPRDVVTKISRSVVKIVSSNGSVRSFACTGLLIKWPGTEGMHPVILTSASLVRSRDDHFKIDNNLKIEVFLPPKQPAKGTLVFYHLNSNIAIISLEEGFHGIRPFDICCKKDDLSKPVVAIGRQIREGFLMATEGEVIRNWIDRDHCLGYRMRVLASTCRIKKAGIGGPLINFDGAFVGMNHYNECEETPFVPRHEIVKILKEEINWRKGRLSMNTLHGVECGIYRSERWYVPEPYYGHGLLDVDKHALLHQHIGRQLQ* >Brasy2G020300.1.p pacid=40067986 transcript=Brasy2G020300.1 locus=Brasy2G020300 ID=Brasy2G020300.1.v1.1 annot-version=v1.1 MASSSRFFLAMAVLAALFAGAMCAPKVSFTVADGSGEKKLDVEVKYDKEGDSLKELELKEHGSNEWLPLKKCKGDHWEITSEKPLKAPFNFRFLSDKGLKNVFDDVIPESYKVGTTYKPQEYTYKPQEY* >Brasy2G440700.1.p pacid=40067987 transcript=Brasy2G440700.1 locus=Brasy2G440700 ID=Brasy2G440700.1.v1.1 annot-version=v1.1 MKQQPPTTAILLLCVSLAAAMAAAAVVDQAGAPAPATYLVFVDAPPPGVPSRPYHLSILTAALGSEEKAKAAIIYNYRNVVSGFSARVTPPELEAIKKQPQVNRVLPSATLHLMSTDFDDVS* >Brasy2G361400.1.p pacid=40067988 transcript=Brasy2G361400.1 locus=Brasy2G361400 ID=Brasy2G361400.1.v1.1 annot-version=v1.1 MEQAVLSGRVKEEWSPEEEEEARPRPMEGLHETGPPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFIRGQRQLLKMIKRRKPPPYLPSSQQQVLGSCLEVGQFGMDEEIEMLKCDKNALLAEVVKLRHEQQSTRADMRAMEERLHLAEQKQLQMMGFLARAMQNPDLFLQLIEQQDKWKDDASLNKRRRSIDMAPFLSPGRASQNEQHESTILSEQREFVEPNQPGFSELENLALSIQGIGKGTKDDKGCRNQVSGEVELTDDFWEELLSEGMRDEAGMPEPETRRPRYVDA* >Brasy2G361400.2.p pacid=40067989 transcript=Brasy2G361400.2 locus=Brasy2G361400 ID=Brasy2G361400.2.v1.1 annot-version=v1.1 MEQAVLSGRVKEEWSPEEEEEARPRPMEGLHETGPPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFIRGQRQLLKMIKRRKPPPYLPSSQQQVLGSCLEVGQFGMDEEIEMLKCDKNALLAEVVKLRHEQQSTRADMRAMEERLHLAEQKQLQMMGFLARAMQNPDLFLQLIEQQDKWKDDASLNKRRRSIDMAPFLSPGRASQNEQHESTILSEQREFVEPNQPGFSELENLALSIQGIGKGTKDDKGCRNQVSGEVELTDDFWEELLSEGMRDEAGMPEPETRRPRYVDA* >Brasy2G401600.1.p pacid=40067990 transcript=Brasy2G401600.1 locus=Brasy2G401600 ID=Brasy2G401600.1.v1.1 annot-version=v1.1 MKNKKGSRGTRNKVAARRGAEASSGVGVEGARLSMLPDDILVNILERVQTLDALRTCILSKRMLRLSTMLSRFDIRVDGIVSRHKGRARYDNRIARYNDALANVTEKVLYARNREIPISKLWVRFFLRRYEFLTISKAVAHTMATQKVNDAEFVIITEKVFARCIDADLLWYAKLFKTCLADCPAAFAGLTRLWLRNMRFDEPDIPNILNTCKRLESLRLTCCDAGVRLVLQVEHPQLVELAIDSGKFETVVLNCLPKLQHLSYTNWSYQDPLCFESVPQLSKLSLAKMGIRSTKNLEFSQFLADVPSITDLHLDFQSEKIWVLPEHPTLLAPVLGKLQIANLVNLPEGCDIAWTMFILEAAPSLKELHLSVWDHWCNIVTDENFRREHGYCEKANVQWQPCSFDFKHKNLVQLTIYGFQPEINFVQYVRRVMEVAVNLREICLHDREVCEDCGDLDPKIKNKVCPSRYPRTCAEKDMLREEITRELGMSSTAVIHFRS* >Brasy2G334100.1.p pacid=40067991 transcript=Brasy2G334100.1 locus=Brasy2G334100 ID=Brasy2G334100.1.v1.1 annot-version=v1.1 MKQQEESAMVQHLLVAADRYNLERLKLICEDKLCNRIDTSSVATILALAEQHRCHGLKEACLMFLSSPENLNAVTESEALDFLTKSCPNVLKDLIMYKVVPSCLGRRKSREWA* >Brasy2G194600.1.p pacid=40067992 transcript=Brasy2G194600.1 locus=Brasy2G194600 ID=Brasy2G194600.1.v1.1 annot-version=v1.1 MEATVFMELALDQAKFALNNLEVPVGCVIVENGKVISSGSNSTNATRNATRHAEMEAIDVLLREWQSLGLDQTQTAEKFAGCDLYVTCEPCIMCASALSILGIREVYFGCANDKFGGCGSVMSLHENSSLDDLSGGHNPRPRGFKCTGGIMAEEAVALFRNFYEQGNPNAPKPHRPVRVDQQ* >Brasy2G157600.1.p pacid=40067993 transcript=Brasy2G157600.1 locus=Brasy2G157600 ID=Brasy2G157600.1.v1.1 annot-version=v1.1 MEPFLVLLCLFVARAETEAASYGIGGREGKSGGALLLPLRLQAASPPQANRLRFRHNVSLTVPVAVGTPPQNMTMVLDTGSELSWLLCNASRDAPVPPPFDASASSSYAPVPCSSPACTWQGRDLPVRPFCDAPPSNACRVSLSYADASSADGLLAADTFLLGTQMSALFGCITSSSSSSSTDPSEPPTGLLGMNRGGLSFVTQTATRRFAYCIAAGQGPGILLLGGESETPQQQLILNYTPLIEISQPLPYFDRAAYTVQLEGIRVGSALLPIPKHLLTPDHTGAGQTMVDSGTRFTFLLPDAYAALKAEFASQLTRSLDDGIAPLGEPGFVFQGAFDACFRGTEARVSAAAAGGLLPEVGLVLRGAEVAVGGEKLLYRVPGERRGEEEGVWCLTFGSSDMAGVSAYVIGHHHQQDVWVEYDLRNARLGFAPARCGDLAVQRLGLVGAHV* >Brasy2G372600.1.p pacid=40067994 transcript=Brasy2G372600.1 locus=Brasy2G372600 ID=Brasy2G372600.1.v1.1 annot-version=v1.1 MVDSISSSKLELPKYDANSNFFTILRSSAFCCLWIRYGHTFFTLSCHSHSTSMEVCATDVYFLFQMFNYACLRCWSLKLLVSESLFY* >Brasy2G460500.1.p pacid=40067995 transcript=Brasy2G460500.1 locus=Brasy2G460500 ID=Brasy2G460500.1.v1.1 annot-version=v1.1 MILRLHGSIARRSAALLAAAASRDDPLTRSPLSSFGLPRRLHDAAAGTGGSDHGRKPGGPLARYRGLVSQGKLQHDTFQENVASELDSLLRLEQYEMEMEDYHTKLSTWDSTREKERRRLLLEEAEDKQHDGVWIDEKRGFIDKLLSRKRRGNLEPGVGRWVSYLNREKKLDTLVGQKPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHEHMHDVWKTRDDDRSTHSSAFSWISGLPFDAKIKEWLLREEKYKQETQQKHILLAVADKFLVDRQADKCGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLVATSNKAPEDLNQDGMQREIFLELLSKLDETCNKILVGTETDYRRLIPTDGSTQIHYFWPITSDSRSMYEAMWHDVTNQAGRNITAVTIPVMFGRSLEIPQNCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDEMYNHHCRLICLAVSSIDDLFQGTEEGPLFDLESFQFETEAEGIKLRRDVSAEGNVGVGPSTTGLVSMLSGQEEMFAFRRAISRLIEMQTPLYLDRVPHFHTSVLHQQQGAPVFAKDKTISQSAPV* >Brasy2G416900.1.p pacid=40067996 transcript=Brasy2G416900.1 locus=Brasy2G416900 ID=Brasy2G416900.1.v1.1 annot-version=v1.1 MAERALPPPYPVAPEPAASSSAAAFGSPLDPVAAPAVRAGHLKPPPGGTYVVHVQKDQIYRVPPPENAYLAERASAGKPSCAPSSSSCSPGLLRALGAAAGAALLLAAIVSLSVVVLRPDAPSFAVDRLSVRNASTAQRRVVDYDFFLTAVNPNKVTALWYRRGGSARLRHRGTALAKGAVGKAEDGGEDATDFKLLLRGGGQQLPKAVEKGLGGNKGAVALQLAVEIPVQVHVGALGFAARRLTVACEISAAGLRKDVHISSQNCKSRFGD* >Brasy2G436100.1.p pacid=40067997 transcript=Brasy2G436100.1 locus=Brasy2G436100 ID=Brasy2G436100.1.v1.1 annot-version=v1.1 MGIKGLTKLLAEHAPRAATQRRVEDYRGRVIAIDASLSIYQFLVVVGRKGTEVLTNEAGEVTSHLQGMLNRTVRLLEAGIKPVFVFDGEPPDLKKRELAKRSLRRDDASEDLNRAIEVGDEDSIEKFSKRTVKITKKHNDDCKRLLRLMGVPVVEAPGEAEAQCASLCKNHKAYAVASEDMDSLTFGSQRFLRHITDLSFKRSPVTEFEVPKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEEVVQNLNKRFTVPEDWPYQEVRALFKEPNVCTEIPDFQWTSVDKEGLVNFLAIENSFNSDRVEKAVEKIKATRDRYSPGRVKLLTPVANLSGSITKKEPECVLGSSGQGLKSWSALQVCRSSSSDFRYRSYYSSKPLVLGRQSGFHGMPHAFSLI* >Brasy2G482100.1.p pacid=40067998 transcript=Brasy2G482100.1 locus=Brasy2G482100 ID=Brasy2G482100.1.v1.1 annot-version=v1.1 MWRPFLSHLRRRGRCAAACCSRASSRQQILISPRAYSSSSETMAAAPPCNGSADDDLYQIRTPPWSENMHHPVGCRCCSSPPRRRHLYLILDEMRRGYGIYKLDLADLDGGDDSAIAGTHDHEAWSSWRHRQPPRRLPEASLRLSLHTAHFESLGGKIVVTGYGDNGGTTLVYDTSTAKLDLANVHRSCAAGKGASNGRSLISPRAYSNISCPELDTMAGRPPCNGADDDDQIRTPAGLSDNLHHHHHDHPVGCRRRHLYLILDEMRRGYGIYKLDLADLDGGDDEALAGTHDDEAWPSWRHRQPPRRLPEAALRLSLETAHFEHLDHDGGMTLVYDTETAKLDFAEPPPDGDSMWDYHAAGVPAGDRLYTLGGGSPCFLCQEPALPERDDDEIDLRRAWAVFRKKGRTERWAWKTGPSPLPRDFKGRHLGSVQSCAVHPDGRTIFASSSDFTFSLDAGTGVPAARGRWCLPFEGRAFYDAGLGAWVGIRTVWHDDFNRRRSRRSSFHLCCCDVPDDDLLYVYDDAGYVAAPPLPEPKWEACEQELTFRGEEEDDDELQPGRSSGDLRGGLRQRALVHTGRGRFCLVETWPTARSKESPCTCSGDGVEHLLLVTMFRVKHGKKGELVVSPCRPGRSYVVPNYCSDPPVAFWM* >Brasy2G275600.1.p pacid=40067999 transcript=Brasy2G275600.1 locus=Brasy2G275600 ID=Brasy2G275600.1.v1.1 annot-version=v1.1 MKLIAWNCRGLGNGPAVRGLLALQKKEDPDILFLSETKLDGRRMEKFRGMLGLQNMIVKDCEGRSGGIALLWRRGVDISLRWMGRGHIDVEVKELDGFRWRFTGVYGHPQHKETTFRLLRTLHNQSSLPWVCMGDFNEILFSHEKQGGVARTQSCMDMFRDALVFCGLNDLGFEGDVFTWRNNNFRVEGYIRERLDRVVANNSWCQRFPGYRVRNIEPEHSDHRPVVLSVDGGRRRRCRRGGDGVQQAKRFEARWLLEEDCEHVVTNAWNVARLRGGCRASDLLRSVSRDLYMWDRDVLGDLPKRIKELKLLLEECRRADLSSRSVQREQVLRFKLDRLEEQHDVMWRQRAHVQWLEKGDRNTTYFHAAATERKKHNMIKVLRDEV* >Brasy2G442600.1.p pacid=40068000 transcript=Brasy2G442600.1 locus=Brasy2G442600 ID=Brasy2G442600.1.v1.1 annot-version=v1.1 MLLRRRRGPNPLLRKSPPTPARVPPLPTDRLNSPADAPRAPNRRRPPLEPAAYAALLRAASRSRSLPLGKLTHSHMLRAGYRPGLFLRNNLLAVYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSSSSSSSGGGTGCGATPRLALAAFRDARRDGVAVDRFTYAVVLAACGGAGDGRHGRAAHGMVVASGLGETAFLTNSVIDMYAKCGMIDEVRLVFDRAEQRDEASWNLLLTAYVRMGWPEVAVHVLVWMHRSGVKLDSFALGGILKACSELEGSEDVRRMLHGCVVKVGLDLDMFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYSAMIAGFARLGNDPCPEIRIEAIRLFSNLLRMRIKPSRFTFKSVLEVCNLTNAVHCGRQIHAHVIFNGFQDDEFIANVLINLYSKARSVNDSLRCFHMTPKQDVFTWTSMITAFADNENFEKALDLFIELLNVGKEPDQFTLSNVMNACAALSIPVTCKQIHCYTVKSGLDQFTVCGNSQIAMYRNMGDLKASKKTFEQITCLDTLSWSTMVLSYAVHGRENEALVLLQKMKDCRVVINDIAFLAVLIACSQLGLADEGFRHYDSMISEHGCAPNSKHKASVVDLLCRVGKIAEAEDFILRSGSENDPILWHALLRACRIHGDKERGIKTGEKLMELEPFAARSYVVLYNLYMDAGKISLAMRTRGLMRERGMSKETGISWAGFGGSIHCFADGDNSCSQNNAVYTRLEELLIRVKQKTERGGTGIWELGFQSRKVGENSLGRHGELLGVALGLSTLPSSAPVTVMKNQRISWESHETLKLLSEGENREIIVRDPTHFHHFNQGSCSCRDYW* >Brasy2G310800.1.p pacid=40068001 transcript=Brasy2G310800.1 locus=Brasy2G310800 ID=Brasy2G310800.1.v1.1 annot-version=v1.1 MYRRLTTAAALSALRRFSHLRPPPPAPDPRLAFLRSELEDLDLSRPPAPTQPSPREQWQVAEEPVSGGVLTVGRPEAVEIAHPWPEWVALMELLLQRGHLDPSAFAGAAPSKDSNLIRTACLRFGRERPDLIRYLSRWDIQVALRGGCPSIDRKVVNSGKRLRAHVGLDEGEICSQCNLRGSCERAYVRARKEEVGRTVDVMRILLTYGLDIITGNMGNRACLDKTVKESVKKLLNEIVELDSKGPGSRTDKAAPRMSKGQSAVPMKQGDWNCPKCHFLNFAKNIKCLRCDGEFQERYQLLHEDQEHLPLKKGDWICNRCNFLNFAKNTRCLQCHDKPTNRFLNPGEWECVSCNYLNFKRNAFCLRCGWKRPKSLNSQDNIEPHHDLEQNKPPTISFVEDGIQSRRRQILQKKDPLSDEDSDFWSSEERDDDSEDSMLRIHKDYKFLDSFPIVGGRTAISQEPLEREKWKQEMSMGNKGLPTKVSEESNLSSVRVPRSMEMAESDDDDEISSWFSGGTNNRNLKRS* >Brasy2G262500.1.p pacid=40068002 transcript=Brasy2G262500.1 locus=Brasy2G262500 ID=Brasy2G262500.1.v1.1 annot-version=v1.1 MKLLCWNCQGMNKASAVHSLLRLQEQVRPDVMFLSETHLSKVKAERLKRRLKFDEVLVSENDGRSGGLAMFWMSELHLAGMVDFPWLVAGDFNEFLYGYEKDGGSLRSQRCMQLFRNALADCNLDDLGYTGDTYTWRRGIIRERLDRGLADQRWVSMFPHAAVSNEEFGKSDHRPILIDTEAHNGATARPIRKPMKFEARWLCEESIETIIQASWDHACLDGSASLAQKTEEVHADLHRWDKRVLKGPRHRLRELQKDLNDIMSGPLSDAAVARQRDVQLKMENLLEQEEIYWIQRGRTNWIRHGDRNTAFFHGFATERRKKNFVKKLKNDAGVWMDDHDQMAGWAANYFQHLFTSELLMR* >Brasy2G280300.1.p pacid=40068003 transcript=Brasy2G280300.1 locus=Brasy2G280300 ID=Brasy2G280300.1.v1.1 annot-version=v1.1 MVAEAGGFMSRAVDGGMAAELVTRDFLGGCNAADDARDAANVAPGKSPLQKHACPQPTARDLNLFPVSGTAIPAAPTTAPAAAAAASTTTYHSVCTIEKVKTALERFERGKQGHQKHSGAGAGASPSSSSVTTSSVKRRGDGGGSVEQGDGCDSPSASASASGGMVAAACPRCFLYVLISRSDPRCPRCESHVPPAPPQAPAPKKKPRIDLNVGFLGT* >Brasy2G008700.1.p pacid=40068004 transcript=Brasy2G008700.1 locus=Brasy2G008700 ID=Brasy2G008700.1.v1.1 annot-version=v1.1 MASCKLCGHAEETLFHALIECEHAKLFWAALEEQQGIKLPRLHPRSWASDLTMDDQVSKKSKAIFITLIWCIWTSRNRFVHGEETFLPLRSLLLIDELISSLELPLDSAPIPSEPVRWKPPAQGWVMINTDGSLDLGRQTAGSGFVIRDSTGTLLQAGCKMHTGVRDPFVSELIACREGVEAAIKLQHSQDFKLQYLNRSGNLAAHLCAKEALSIVPPTCFVVTPGFLIDAIRSDCNPDSLA* >Brasy2G086300.1.p pacid=40068005 transcript=Brasy2G086300.1 locus=Brasy2G086300 ID=Brasy2G086300.1.v1.1 annot-version=v1.1 MMPLAGIALAPLLVSPLALPPSPRGSVAVAAEAARRPRARGRVRCSAAAASGGGGDAGELSRARLLWRAAKLPIYSVALVPLTVGSAAAYNNAGLFFANRYFGLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRAVTQYAANISLLLGFVGLFWAFAEAGDVRFIFLVICAIACGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSIRSISSGIMLLPLNKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKAGARLVTLGIVTLYTLLVSFGITRCLPSSCIVLGALTTPLGKWVVDYVLKNHDDNSKIFMAKYYCVRLHALFGMALASGLVLARNGVLL* >Brasy2G086300.2.p pacid=40068006 transcript=Brasy2G086300.2 locus=Brasy2G086300 ID=Brasy2G086300.2.v1.1 annot-version=v1.1 MQVGSAAAYNNAGLFFANRYFGLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRAVTQYAANISLLLGFVGLFWAFAEAGDVRFIFLVICAIACGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSIRSISSGIMLLPLNKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKAGARLVTLGIVTLYTLLVSFGITRCLPSSCIVLGALTTPLGKWVVDYVLKNHDDNSKIFMAKYYCVRLHALFGMALASGLVLARNGVLL* >Brasy2G246700.1.p pacid=40068007 transcript=Brasy2G246700.1 locus=Brasy2G246700 ID=Brasy2G246700.1.v1.1 annot-version=v1.1 MAAAVASSPFPASSRLPSKNPIPACPAAPSLLRLAGGSSSRRLRRALRVSAAAEEADVLPGPGVIEREMVSAGLLEELPADPLGGSQLDIGGLAFQGDMTGGFTGGGSGGAGAGTGGDGGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCESLFPFKDWWAVPVKVAFDQTAWSALWNSIYFVVLGFLRFESPATIFSELKSTFFPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISDASTSTDPQDNSR* >Brasy2G129600.1.p pacid=40068008 transcript=Brasy2G129600.1 locus=Brasy2G129600 ID=Brasy2G129600.1.v1.1 annot-version=v1.1 MRLLFAVVLAAILAVASASESDHKYKVDESVKLWVNKVGPYNNPQETYNYYSLPFCLPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVEKGPICTIELDAKKVQQFTDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNVVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLMFLSAVVGIGTQLAALIMLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYHSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYAIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD* >Brasy2G110100.1.p pacid=40068009 transcript=Brasy2G110100.1 locus=Brasy2G110100 ID=Brasy2G110100.1.v1.1 annot-version=v1.1 MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSTKKKKAGSGAASDASTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQLLKNKVEGVAAARGKKGLGDGTVGMFSWLVIAAVAGSINVLLTIPIWVLVTRMQTHTQAERKMIDSKRELLLKEISRSNSMGVSVLKDRLAKLDSEKPRPYGTLQAIREVYRESGISGFWKGLVPTLIMVCNPSIQFMIYETLAKRLRSKQSRKQLPKKNITAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNAMSRYTGTIDAIIKMIRYEGFHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVLLVARSRTLLLTRNRKR* >Brasy2G110100.2.p pacid=40068010 transcript=Brasy2G110100.2 locus=Brasy2G110100 ID=Brasy2G110100.2.v1.1 annot-version=v1.1 MSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSTKKKKAGSGAASDASTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQLLKNKVEGVAAARGKKGLGDGTVGMFSWLVIAAVAGSINVLLTIPIWVLVTRMQTHTQAERKMIDSKRELLLKEISRSNSMGVSVLKDRLAKLDSEKPRPYGTLQAIREVYRESGISGFWKGLVPTLIMVCNPSIQFMIYETLAKRLRSKQSRKQLPKKNITAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNAMSRYTGTIDAIIKMIRYEGFHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVLLVARSRTLLLTRNRKR* >Brasy2G423800.1.p pacid=40068011 transcript=Brasy2G423800.1 locus=Brasy2G423800 ID=Brasy2G423800.1.v1.1 annot-version=v1.1 MAGSDLPSGNGGPSPPPPTTPPRGRTKHKRGDDDASVPKRDTPSSASASASARQDVEEVVNGAVVLARRAAAGTLWTPAKKAATVRGLDRNHVELMRDVICEARLALSVVEPDDADSLYHKKYKVHRSGSRSSGRLACKVSYLSSFPAKRVGRDPVAHLASVPEWKGAPSEKYTDDYKAKTIQKLGTIVCPLSMDISAANEAEKTRKERVDKCNCSLPGSEDCVRVHVKKARSFIKDQLGEEAFKNCGLDAMGEQVGELWTATDKRKLANVDKLIPQNKHQKFMKIALQQLKQKEPKDLGKYYYNVFLPRRLASLTREEPRKGKDVDTNDEESDQDDGNNEHQSRKKSKNFGSSSERCRK* >Brasy2G347700.1.p pacid=40068012 transcript=Brasy2G347700.1 locus=Brasy2G347700 ID=Brasy2G347700.1.v1.1 annot-version=v1.1 MIPLQELVASIDASREKEQPRELPRLLDDEAILCLGALARVTEAALGSLDPGLGEGQRVHRDVGARLEKFLRALGEERVLDLLRLLLRGSLLHLALPERPQDFE* >Brasy2G424500.1.p pacid=40068013 transcript=Brasy2G424500.1 locus=Brasy2G424500 ID=Brasy2G424500.1.v1.1 annot-version=v1.1 METPTAGVVGMTFCPPELELTDLYLRKDIAGHPVRSAAAFLHRADIYSAEPEELVEGLQHALGTDKGNKPHPAWYFLSPVRCVGRQKAQNSSSSGKKMQRAVIQGKTWHMESQKPVLDSAVGGHVKMKTFSNVTSGPGRGRLRLGWIMKEYSISPENGGGDLVLCKIYRTNYGKKSSSAAAVSNSGEINKKRKADSCEHPEAVPSARPKQTHDLENYGAAAALYGATDQLQTHDHSVAAEFYGTTELPPCSAQDGFLQSSSELYTTSWITEELQPPYSTQDGFLPSSSSSDLYETMSAYMPAGGELDLGLPSLTQEQLEPPPLPEGFLAFDDEMQEMMFDMIRNDGTTMDTPGAAEPELTPTEDEQLELSRHGHKNSWRRRWISNSWVD* >Brasy2G376100.1.p pacid=40068014 transcript=Brasy2G376100.1 locus=Brasy2G376100 ID=Brasy2G376100.1.v1.1 annot-version=v1.1 MPVALAQAPCPDRPTLLQSSCSASDGLSPSSPSARHPSPPPFSPWAAHLASFRSPKAAPLPSSIFHIDGAPHLLRLPRGGAPSKENAEEHQSRPLSNHSLLDRPLDDGSLSPASNESCGRG* >Brasy2G230900.1.p pacid=40068015 transcript=Brasy2G230900.1 locus=Brasy2G230900 ID=Brasy2G230900.1.v1.1 annot-version=v1.1 MLCESRARARSPSRNHRSSLAVAAARCLAAGAVPLTKVSPACPRTAGHRPKGVPNSSPWPPRLASPPPPRARRLVTSSPTSRPTSPIATRSPAMLRASATPPGHCPSSLKSFESNVGLSGKEPAPPHARAGQSPAMPAALRSPTRSPAKPHAAARRPQPQKPPTPSTERAARRGETALPPPSLGAPAAALRGRQEGRSWGRRRLGSPRVARGGRRGGGGGGK* >Brasy2G029900.1.p pacid=40068016 transcript=Brasy2G029900.1 locus=Brasy2G029900 ID=Brasy2G029900.1.v1.1 annot-version=v1.1 MAREEEGDEFFDSREVMSPASVFSSPGSSGRHDEGADDCGGGALLEVWVSGPCSSVHERRQRFIRSLGLLDPGARPDEMPCSRPSAAAADEEVEIVLGSPAAELVSAAVTGSADRDEEPGVPADDRAGEVLECVFKNLDDGTVFVVDEVGQDGSFRSLRERRSNRTVTAAEFERISGSSPFIRELMRRADDSDEPSSPEKSAVRGKRRRRRFGWLRRLGIGACVVDMEDDDEMNSTSSSSSRSCSGKSGKVDRVKVRPYKKRSKELSAVYRGQEIKAHKGAIVAMKFSYDGQYLATGGEDGAVRVWRVVEGERPDGLDFAEDDPSCVFFTVNENSELAPVNSSEGTRSKQNKSSKGTADPACVVIPHRAFAISQVPVHEFYGHDDVILDLSWSKNGDLLSASMDKTVRLWQVGCNSCLKVFSHNNYVTCVQFQPTSDNYFISGSIDGMVRIWDVRRRLVVDWANSKEIVTAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVSLNGRKKSPLKRIIGLQYCPSDPKKLMVTSGDSQVRILDGVHVISNYKGLRSSSQGSASFTPDGDHIISASDDSSIYMWNYANQINPITNRVKTVWSYERFFCNDVSIAIPWNALRSRNSISLACNIPSSRQEVSDDFHNIQDSTSRYPAEDSLDSDNLYHLPSGNFTLSNAFFAESAPRGSATWPEEQLPSNSATTSTLRKSQYKFLKASCQNAATHAWGQVIVTGSWDGHIRSFQNYGLPVQV* >Brasy2G485000.1.p pacid=40068017 transcript=Brasy2G485000.1 locus=Brasy2G485000 ID=Brasy2G485000.1.v1.1 annot-version=v1.1 MAATAWPSTSFTHHQAPCSTTTCCALRSGFLGRALTAAGTSRPVRRGTAVSVSVQAAWDGPLSSARLIMQGRNVKLTEKLKEHIEEKVGRAVSNHSHLVREVDVRLSARGGELGKGPKASRCEITLFTKRHGVVRAEEEEESSYASIDVAAAVVKRKLRKIKEKETDVRHLKGSKEWQAAAAAAGEFSESDVEEDEEDELVEVIGAEDEETVLTKVVRTKVFEMAPLTVDEALEQLENVDHDFYAFRNEQTGEVNILYKRKEGGFGLIIPKQDGHVDKETIVDQEHSLAG* >Brasy2G485000.2.p pacid=40068018 transcript=Brasy2G485000.2 locus=Brasy2G485000 ID=Brasy2G485000.2.v1.1 annot-version=v1.1 MAATAWPSTSFTHHQAPCSTTTCCALRSGFLGRALTAAGTSRPVRRGTAVSVSVQAAWDGPLSSARLIMQGRNVKLTEKLKEHIEEKVGRAVSNHSHLVREVDVRLSARGGELGKGPKASRCEITLFTKRHGVVRAEEEEESSYASIDVAAAVVKRKLRKIKEKETDVRHLKGSKEWQAAAAAAGEFSESDVEEDEEDELVEVIGAEDEETVLTKVVRTKVFEMAPLTVDEALEQLENVDHDFYAFRNEQTGEVNILYKRKEGGFGLIIPKQDGHVDKETIVDQEHSLAG* >Brasy2G176400.1.p pacid=40068019 transcript=Brasy2G176400.1 locus=Brasy2G176400 ID=Brasy2G176400.1.v1.1 annot-version=v1.1 MERGGWGPEEAEPPLFHRSRAGYGIPLLGENDRGPANRCDYLHASAKQQTRPISGMAASSLPLGLQHVSLRMTQKHEENRGKGSGQGEATGRSSGSAGSLSGNHRRRHLHARRARDGEEPGRCPPPSPALDAAGFGLPRHGCPRISGLPTPTSPDPCQPNAAQAPDPTFAGSGPAPPPADERGGRRRAATEEMKRAPVVGAVGGVPWIGSSC >Brasy2G094100.1.p pacid=40068020 transcript=Brasy2G094100.1 locus=Brasy2G094100 ID=Brasy2G094100.1.v1.1 annot-version=v1.1 MSPTTTPSPAAAPKPSSGAKRGLMGSLIDATALLRTASFKEDSYVAAALPASDLRALADLRALLATHPDQISIWGVPLNSPPSSDADAPADERADVVLLKFLRARDFRVRDAHAMVLRCAAWRAEFRADAVLGEDLGFKDLEGVVAYMHGWDRDGHPVCYNAYGVFKDRDMYDRVFGDGDRLARFLRWRVQIMERGVRALQLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFVNVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRASDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAADGGYTLCVERTTKVPASADEPVHNAFTAKEAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV* >Brasy2G169500.1.p pacid=40068021 transcript=Brasy2G169500.1 locus=Brasy2G169500 ID=Brasy2G169500.1.v1.1 annot-version=v1.1 MVSACQAATDGPSSYAVRGVGNSAIENGHALKAKEEKEWRSGNDEDLPNGHSAPPGAQQVNEQKEQQGRVIRWERFLPVKTLRVLLVENDDCTRQVVGALLRKCGYEVISAENGLHAWQYLEDLQNRIDLVLTEVAMPYLSGISLLSKIMSHKICKGIPVIMMSKNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSESGIHTQKCSKPKTGDEYENNSGSSHDDDDDDDSDDDFNVGLSARDSSDNGSGTQSSWTKRAVEIDSPQPMSPDQLADSSPDSTCAQVIHPKSEIGSNRWLPTENKRNSNNQKESNGDSMGKYLEIGAPRNSSLGYQSSPNEASVNPIEKQHETLMPQNNSENKVLTESDGINTLDKLAIKTADLISSIARNTKAKQAPRITDAPDCSSKMPHGNDMNNDSLVNMPSQELGLNISKTTGSATEIHDEQNILKRSDLSAFTRYHTSMVSDQGGARFRGSCSPQDNSSEAVKTDSAFKMKSNSDAAPIKQGSNGSSNNNDMGSSTKNAMTTPSADRGPLSPSAIKSNHYTSAFHPVQHQTSPPNVLRNDKADEETVNEVKVDYSREAQQSSVQHHHHVHYYLHVMAQQQPTVYRASDARCGLSNVSDPPIEGHAANYGVNGSISGSHNGSNVQNESSTAPNVTRPNMESGIMGKYGAEGGNGSGSGPSGGSGNDIYQNQLSQREAAVNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVKQSGQEDQAGQAADR* >Brasy2G414000.1.p pacid=40068022 transcript=Brasy2G414000.1 locus=Brasy2G414000 ID=Brasy2G414000.1.v1.1 annot-version=v1.1 MQQQGNRCLCLLLLVVLVAVLPWCGTVSAAGAPGRCTTSAAGKSYAKCIALPTQGATLAWTYDPRNATLDAAFTGTFISPSGWVAWGVNQDAPAMAGARVIAAFSDPSTGALLALPFVLSPDVKLQAKPLVSRPLDIVPLLASSATLTAPGARSVVRDGASVAIAVTIRLSPNRTRLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATESSRAASATMQWAHGSLNALSWGLLLPIGAAMARYLRPCTASVGPAWFYAHAATQAAGYALGAAGFALGLAMGSASPGVSYRLHRGLGIAAATAGSLQTLAVFFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGESFWKLGYCVALASLVGACVALEVNAWVVFCRRQQEEKLMRRDVEDVVAVKDRAAAF* >Brasy2G312900.1.p pacid=40068023 transcript=Brasy2G312900.1 locus=Brasy2G312900 ID=Brasy2G312900.1.v1.1 annot-version=v1.1 MAFLARALRHSKPYLSSRSPAVAASCRWISPTASAGSPEAGAAVAPADPELPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTDMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDAIAVVAEVLHQVKPEEITGVAGKLSDAESMMALKDFVNKMGSEKVLCEGNGPNPPADLRSNYLMNTSIAGLEKADAFLLVGTQPRVEAAMVNARIQKTVRATKAKVGYIGPPADFNYDHEHLGTGPQTLVEIAEGRHPFCSILQSAKNPVIIAGAGLFEREDQGAVFSTIETLAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPTESVKSAKFLYLMGADDINLDNLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAPLPYDSVAAVRNRISTVAPNLVHVDEREPCVISADVKPPVKQQVSSVPFKTVVENFYMTDAITRASKIMAQCSATLLKN* >Brasy2G421300.1.p pacid=40068024 transcript=Brasy2G421300.1 locus=Brasy2G421300 ID=Brasy2G421300.1.v1.1 annot-version=v1.1 MESVSRAGTPPAEQDAVEAGAECDLSPIAKKARTEASERDPYYEFFKKHSPSVVTVAVMVNTSQETHYGTGFILISDYDCTVVFASNTEMLFGYKDKYYIYFENDVKIEADWVAGKGNFLVLVAKDDKERLAVNFSKDPVKHERVTTIGSMGGAKLHANTLVLHTLMGVLGNHVGNVSTESCLSKDAYGIPLKGSGKYFRVECSMLDQIVTLENVDEVEEHTAYQITSSPVFRLTTGEVVGLIFSKSDWVDVKIGLHSADIISYLDGIFGTTWKNGVMKTARRRLGTA* >Brasy2G381900.1.p pacid=40068025 transcript=Brasy2G381900.1 locus=Brasy2G381900 ID=Brasy2G381900.1.v1.1 annot-version=v1.1 MAPKVAVAKKGDAKAQAAKVAKAVKSGSIKKKTKKIRTSVTFHRPRTLKKARDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTADYDALDVANKIGII* >Brasy2G381900.2.p pacid=40068026 transcript=Brasy2G381900.2 locus=Brasy2G381900 ID=Brasy2G381900.2.v1.1 annot-version=v1.1 MAPKVAVAKKGDAKAQAAKVAKAVKSGSIKKKTKKIRTSVTFHRPRTLKKARDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTADYDALDVANKIGII* >Brasy2G055500.1.p pacid=40068027 transcript=Brasy2G055500.1 locus=Brasy2G055500 ID=Brasy2G055500.1.v1.1 annot-version=v1.1 MDRRSFRGSSCNGGGKNPAPAPSPAPAGSRTSAEPAAAGSRTSAAPAAAGSRTSAAPAAGSKEDAGAGERKALLPRHLPGGTARKGQQRGPKRRVQWKDSHGKKLAEVLEFQPSDSSDSDDEYLDTCICTVM* >Brasy2G299700.1.p pacid=40068028 transcript=Brasy2G299700.1 locus=Brasy2G299700 ID=Brasy2G299700.1.v1.1 annot-version=v1.1 MDFQKSPVNSPFDCVLLDLDDTLYPGGTGIGPALRRNIDEFLMARCGLAADTAASLRAELFRTHGSTLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPRLALLLQSIPQRKILFTNSDRAHMKRALERLGVDEACFDDVVCFETMNPHLFGGDGEDRTDVVLKPSVEAILVGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALETIGSLQRAIPEIWGVAAAVDGDLQPDHNVEKNKSMRAELDSVIQPTSIQA* >Brasy2G299700.3.p pacid=40068029 transcript=Brasy2G299700.3 locus=Brasy2G299700 ID=Brasy2G299700.3.v1.1 annot-version=v1.1 MDFQKSPVNSPFDCVLLDLDDTLYPGGTGIGPALRRNIDEFLMARCGLAADTAASLRAELFRTHGSTLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPRLALLLQSIPQRKILFTNSDRAHMKRALERLGVDEACFDDVVCFETMNPHLFGGDGEDRTDVVLKPSVEAILVGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALRWQLVCIERRHGDKVACCRDLA* >Brasy2G299700.2.p pacid=40068030 transcript=Brasy2G299700.2 locus=Brasy2G299700 ID=Brasy2G299700.2.v1.1 annot-version=v1.1 MWIFFLQALGYDVHPDEYHSYVHGRLPYDRIAADPRLALLLQSIPQRKILFTNSDRAHMKRALERLGVDEACFDDVVCFETMNPHLFGGDGEDRTDVVLKPSVEAILVGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALETIGSLQRAIPEIWGVAAAVDGDLQPDHNVEKNKSMRAELDSVIQPTSIQA* >Brasy2G469400.1.p pacid=40068031 transcript=Brasy2G469400.1 locus=Brasy2G469400 ID=Brasy2G469400.1.v1.1 annot-version=v1.1 MRVNQPNLPKDEVQSIFDIIFADGICYLPYVITDMTRKR* >Brasy2G349900.1.p pacid=40068032 transcript=Brasy2G349900.1 locus=Brasy2G349900 ID=Brasy2G349900.1.v1.1 annot-version=v1.1 MAMRCSRHTYLEARKVFVRMFSSGVGGSDVAVDSFDPAKRLCKLIISCRKASGLELELDHSDLRVTPDVAERVLERLDNAGMLAYRFFEWARKQKRGGCNHTVRSYHTVIASLAKIRQYQLMWDVVAIMRREGVANVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNGRFDPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDSLCKTGRVEEAVLVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFENAFRVMDDMEGHGITPNARTWNIILNKLISLGKDDEAYRVFRRMIKRCQPDSDTYTMMIKMFCENDNVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLEFLVDKMKILIQEPLFD* >Brasy2G349900.2.p pacid=40068033 transcript=Brasy2G349900.2 locus=Brasy2G349900 ID=Brasy2G349900.2.v1.1 annot-version=v1.1 MAMRCSRHTYLEARKVFVRMFSSGVGGSDVAVDSFDPAKRLCKLIISCRKASGLELELDHSDLRVTPDVAERVLERLDNAGMLAYRFFEWARKQKRGGCNHTVRSYHTVIASLAKIRQYQLMWDVVAIMRREGVANVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNGRFDPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDSLCKTGRVEEAVLVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFENAFRVMDDMEGHGITPNARTWNIILNKLISLGKDDEAYRVFRRMIKRCQPDSDTYTMMIKMFCENDNVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLEFLVDKMKILIQEPLFD* >Brasy2G192400.1.p pacid=40068034 transcript=Brasy2G192400.1 locus=Brasy2G192400 ID=Brasy2G192400.1.v1.1 annot-version=v1.1 MADASSRTDNSIVVDTDDKNQRMENGQNGAMVPSNSSDPSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKLKGAAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE* >Brasy2G192400.2.p pacid=40068035 transcript=Brasy2G192400.2 locus=Brasy2G192400 ID=Brasy2G192400.2.v1.1 annot-version=v1.1 MENGQNGAMVPSNSSDPSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKLKGAAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE* >Brasy2G401900.1.p pacid=40068036 transcript=Brasy2G401900.1 locus=Brasy2G401900 ID=Brasy2G401900.1.v1.1 annot-version=v1.1 MAGVQVMPAAVDVRAEGGKAMARCVGGSSSSSLFAGDWRRRRPACTVKLRQCRRARRGGLAIVSNLGGQYEVGFGDVDLQLMNYFTYKAVRTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLHLYGKWIKKCDHAKMYEKISEENLELMRERLLETVIWPTDDTNTEKIG* >Brasy2G256900.1.p pacid=40068037 transcript=Brasy2G256900.1 locus=Brasy2G256900 ID=Brasy2G256900.1.v1.1 annot-version=v1.1 MLLRSLAAAARLPAAVRLPAAARRRLLHLGSAGGGGAEEEVESMAYRMSMLRAPSVVRKKGILSCNSCSLIGRLKTPVRPCKGSSEEDPLAYTFLSVRPSSSSSPSSSSNLEVTLSFNGDLANVGLKYLKRNDLVYVFGFLSSYHKVSPWGERHIFYKIHVKELNYVLDHYKKPRNSDDLVDPASTPSADTQIPEENKYKDRLRLWQVFFASPHEWWDNRQSKPHVKYADFKHRDTREKLWLHPDDPPWVRRQLELHDQQIAVSGCRDARRCVDRGWNTQDFSYSDEWHNDEQETQRQANA* >Brasy2G256900.3.p pacid=40068038 transcript=Brasy2G256900.3 locus=Brasy2G256900 ID=Brasy2G256900.3.v1.1 annot-version=v1.1 MLLRSLAAAARLPAAVRLPAAARRRLLHLGSAGGGGAEEEVESMAYRMSMLRAPSVVRKKGILSCNSCSLIGRLKTPVRPCKGSSEEDPLAYTFLSVRPSSSSSPSSSSNLEVTLSFNGDLANVGLKYLKRNDLVYVFGFLSSYHKVSPWGERHIFYKIHVKELNYVLDHYKKPRNSDDLVDPASTPSADTQIPEENKYKDRLRLWQVFFASPHEWWDNRQSKPHVKYADFKHRDTREKLWLHPDDPPWVRRQLELHDQQIAVSGCRDARRCVDRGWNTQDFSYSDEWHNDEQETQRQANA* >Brasy2G256900.2.p pacid=40068039 transcript=Brasy2G256900.2 locus=Brasy2G256900 ID=Brasy2G256900.2.v1.1 annot-version=v1.1 MLLRSLAAAARLPAAVRLPAAARRRLLHLGSAGGGGAEEEVESMAYRMSMLRAPSVVRKKGILSCNSCSLIGRLKTPVRPCKGSSEEDPLAYTFLSVRPSSSSSPSSSSNLEVTLSFNGDLANVGLKYLKRNDLVYVFGFLSSYHKVSPWGERHIFYKLKYPKKTSTKTGFVCGKSSLRALTSGGTTDNPNHTSSTLISSTETLVRSYGFIQMILLG* >Brasy2G133500.1.p pacid=40068040 transcript=Brasy2G133500.1 locus=Brasy2G133500 ID=Brasy2G133500.1.v1.1 annot-version=v1.1 MHPLPLYFITIILLLISWPFNSVLFCAPGSVLAPGMTLTPGRTLTSDQGSFALGFFSAANSTGNTYVGIWFNDIPLRTIVWVANRDNPITDASSVNLGMTSNSSLLLSENNGRHVLWMTNMDIGSGSNSSAKLLNTGNLVVLSSDGATLWQSFENPSDSILAGMPIRTSHNTRPSWRIISWKGPQDPSRGIFSAGNDPDSPLQFFVWNGSVPYWRAAVWNGYISSNMDLQSVSPLMYLTVYKGADGEFYSTFGLSDGSSKVWYKVDYLGRTMLLRWNTSLTGWTPLSRSPAYQCNLYGFCGVYGYCDNKEAIPTCKCLDGFYPTDKTEWARGNFSHGCRRKEALECGGGDGFLTLPAMKVPDKFLRLWNKTFDDCTVECSRNCSCVAYAYANLSTSNIDGDATRCLIWTGDLIDVEKGGVGNEILYLRLAGLSSNDRKSIMVKIIPAISACLLLVLILAGFVWVCKFKGKHDKKGNPKRLIMGDLRITDGPEEESHELPFISFQEIVTATNNFSMSNLLGQGGFGKVYKGNLHGDKVVAVKRLSRGSGQGMIEFRNELVVIAKLQHRNLVRLLSYCVQDEEKILIYEYLSNKSLDAFIFNSEKKLLLNWPMRFKIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGDEHQANTTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLETVSGLRINSIQNDSDEFASLIVYAWNLWREGVAGDLVDPSVVENCSNEEALCCIHVGLLCVQDDPDARPLMSNVVSALESRRSAPLAMPEQPLYFARRRNKVAKSDHYIQKDSVDTEALTIVEGR* >Brasy2G133500.2.p pacid=40068041 transcript=Brasy2G133500.2 locus=Brasy2G133500 ID=Brasy2G133500.2.v1.1 annot-version=v1.1 MTLTPGRTLTSDQGSFALGFFSAANSTGNTYVGIWFNDIPLRTIVWVANRDNPITDASSVNLGMTSNSSLLLSENNGRHVLWMTNMDIGSGSNSSAKLLNTGNLVVLSSDGATLWQSFENPSDSILAGMPIRTSHNTRPSWRIISWKGPQDPSRGIFSAGNDPDSPLQFFVWNGSVPYWRAAVWNGYISSNMDLQSVSPLMYLTVYKGADGEFYSTFGLSDGSSKVWYKVDYLGRTMLLRWNTSLTGWTPLSRSPAYQCNLYGFCGVYGYCDNKEAIPTCKCLDGFYPTDKTEWARGNFSHGCRRKEALECGGGDGFLTLPAMKVPDKFLRLWNKTFDDCTVECSRNCSCVAYAYANLSTSNIDGDATRCLIWTGDLIDVEKGGVGNEILYLRLAGLSSNDRKSIMVKIIPAISACLLLVLILAGFVWVCKFKGKHDKKGNPKRLIMGDLRITDGPEEESHELPFISFQEIVTATNNFSMSNLLGQGGFGKVYKGNLHGDKVVAVKRLSRGSGQGMIEFRNELVVIAKLQHRNLVRLLSYCVQDEEKILIYEYLSNKSLDAFIFNSEKKLLLNWPMRFKIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGDEHQANTTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLETVSGLRINSIQNDSDEFASLIVYAWNLWREGVAGDLVDPSVVENCSNEEALCCIHVGLLCVQDDPDARPLMSNVVSALESRRSAPLAMPEQPLYFARRRNKVAKSDHYIQKDSVDTEALTIVEGR* >Brasy2G133500.3.p pacid=40068042 transcript=Brasy2G133500.3 locus=Brasy2G133500 ID=Brasy2G133500.3.v1.1 annot-version=v1.1 MTSNSSLLLSENNGRHVLWMTNMDIGSGSNSSAKLLNTGNLVVLSSDGATLWQSFENPSDSILAGMPIRTSHNTRPSWRIISWKGPQDPSRGIFSAGNDPDSPLQFFVWNGSVPYWRAAVWNGYISSNMDLQSVSPLMYLTVYKGADGEFYSTFGLSDGSSKVWYKVDYLGRTMLLRWNTSLTGWTPLSRSPAYQCNLYGFCGVYGYCDNKEAIPTCKCLDGFYPTDKTEWARGNFSHGCRRKEALECGGGDGFLTLPAMKVPDKFLRLWNKTFDDCTVECSRNCSCVAYAYANLSTSNIDGDATRCLIWTGDLIDVEKGGVGNEILYLRLAGLSSNDRKSIMVKIIPAISACLLLVLILAGFVWVCKFKGKHDKKGNPKRLIMGDLRITDGPEEESHELPFISFQEIVTATNNFSMSNLLGQGGFGKVYKGNLHGDKVVAVKRLSRGSGQGMIEFRNELVVIAKLQHRNLVRLLSYCVQDEEKILIYEYLSNKSLDAFIFNSEKKLLLNWPMRFKIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGDEHQANTTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLETVSGLRINSIQNDSDEFASLIVYAWNLWREGVAGDLVDPSVVENCSNEEALCCIHVGLLCVQDDPDARPLMSNVVSALESRRSAPLAMPEQPLYFARRRNKVAKSDHYIQKDSVDTEALTIVEGR* >Brasy2G133500.4.p pacid=40068043 transcript=Brasy2G133500.4 locus=Brasy2G133500 ID=Brasy2G133500.4.v1.1 annot-version=v1.1 MHPLPLYFITIILLLISWPFNSVLFCAPGSVLAPGMTLTPGRTLTSDQGSFALGFFSAANSTGNTYVGIWFNDIPLRTIVWVANRDNPITDASSVNLGMTSNSSLLLSENNGRHVLWMTNMDIGSGSNSSAKLLNTGNLVVLSSDGATLWQSFENPSDSILAGMPIRTSHNTRPSWRIISWKGPQDPSRGIFSAGNDPDSPLQFFVWNGSVPYWRAAVWNGYISSNMDLQSVSPLMYLTVYKGADGEFYSTFGLSDGSSKVWYKVDYLGRTMLLRWNTSLTGWTPLSRSPAYQCNLYGFCGVYGYCDNKEAIPTCKCLDGFYPTDKTEWARGNFSHGCRRKEALECGGGDGFLTLPAMKVPDKFLRLWNKTFDDCTVECSRNCSCVAYAYANLSTSNIDGDATRCLIWTGDLIDVEKGGVGNEILYLRLAGLSSNDRKSIMVKIIPAISACLLLVLILAGFVWVCKFKGKHDKKGNPKRLIMGDLRITDGPEEESHELPFISFQEIVTATNNFSMSNLLGQGGFGKVYKGNLHGDKVVAVKRLSRGSGQGMIEFRNELVVIAKLQHRNLVRLLSYCVQDEEKILIYEYLSNKSLDAFIFNSEKKLLLNWPMRFKIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGDEHQANTTNRVVGTYQCTS* >Brasy2G133500.5.p pacid=40068044 transcript=Brasy2G133500.5 locus=Brasy2G133500 ID=Brasy2G133500.5.v1.1 annot-version=v1.1 MTSNSSLLLSENNGRHVLWMTNMDIGSGSNSSAKLLNTGNLVVLSSDGATLWQSFENPSDSILAGMPIRTSHNTRPSWRIISWKGPQDPSRGIFSAGNDPDSPLQFFVWNGSVPYWRAAVWNGYISSNMDLQSVSPLMYLTVYKGADGEFYSTFGLSDGSSKVWYKVDYLGRTMLLRWNTSLTGWTPLSRSPAYQCNLYGFCGVYGYCDNKEAIPTCKCLDGFYPTDKTEWARGNFSHGCRRKEALECGGGDGFLTLPAMKVPDKFLRLWNKTFDDCTVECSRNCSCVAYAYANLSTSNIDGDATRCLIWTGDLIDVEKGGVGNEILYLRLAGLSSNDRKSIMVKIIPAISACLLLVLILAGFVWVCKFKGKHDKKGNPKRLIMGDLRITDGPEEESHELPFISFQEIVTATNNFSMSNLLGQGGFGKVYKGNLHGDKVVAVKRLSRGSGQGMIEFRNELVVIAKLQHRNLVRLLSYCVQDEEKILIYEYLSNKSLDAFIFNSEKKLLLNWPMRFKIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGDEHQANTTNRVVGTYQCTS* >Brasy2G447700.1.p pacid=40068045 transcript=Brasy2G447700.1 locus=Brasy2G447700 ID=Brasy2G447700.1.v1.1 annot-version=v1.1 MADLLHKLMQETPPPSAWLLMLLLFPLSLFVLHYYMLTAKTGRRKQGNNNSVDVRPPPSPPALPIIGHLHLMGSLPHVSLGSLARKYGPDVMLLRLGAVPTLVVSSPRAAEAVLRTHDHVFASRPSTVVADIIMYGSSDIGFAPYGEYWRQARKLVAVHLLSVKKVQSSRGAVADEVSMVMSKISEAAAAGRAVDMSELFYTFANDLACRLVSGKFFQKEGRSKIFRDLIGDSTQLLGGFNMEEYFPALSRVGLLRRAVCAKVERVRNRWADLLDKVIDDHMSKDKSMFDQKDGDFVDTLLSVQHEYDLTREHMKALLTDMFFGATDTSSQTLEYTLAELMRRPHLMRKLQAEVRSTVPQGREIINEVDLSNMTYLSAVIKETLRLHPLAPLLAPHHAMDDCNIDGYMVSAGTRVFVNVWAIGRDSKSWKNAEEYVPERFIDDAYVNFKGNDFQFLPFGAGRRICPGINLAIANVELMLANLMYHFDWELPLGVESKDIDMTEIFGLTVRRKEKLLLIPKLSV* >Brasy2G371600.1.p pacid=40068046 transcript=Brasy2G371600.1 locus=Brasy2G371600 ID=Brasy2G371600.1.v1.1 annot-version=v1.1 MLAACAWRLSQRAVTFCPRVRSQIPNPFSMAAAAASPKRLRIYSSAAADGDSSNGAGNGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDSLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGYGFHTKLSSAGLVYKHFGKEIIAKELELNEDHEDVHRVYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRFNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVMECLLSRGNVDPSGEIMVLDRFCPWKLHLFELEQELKTDPLTKYVLYQDERSKTWRVQAVSVAPDRFESRKALPEKWRGMRDDELSKETGIPGCVFVHMSGFIGGNKTYEGALEMARAAVQC* >Brasy2G343000.1.p pacid=40068047 transcript=Brasy2G343000.1 locus=Brasy2G343000 ID=Brasy2G343000.1.v1.1 annot-version=v1.1 MVSGNSEGNGEETQQDKSKDHEESEEPHAPSAANGEPPAAATEAGVDAIAAHLRFLRVRELDGKISGNSGPGSAAAAAADSKKPSPLSYCSSRSSDELAISSACSFSSSVRILDLCWSSGSAAAAAAADSEKASPLSYSSSRSSDESSSFKKVFPTVPLRDGINSLSDDGVMEEAPANLLAVYSDFRPIRHDENNFYMSFIFSYVEQILDRDDTDEECRVLTAIGRIASQQAHLGRASSFSTSCEDLTILAFHHTLDLFF* >Brasy2G322600.1.p pacid=40068048 transcript=Brasy2G322600.1 locus=Brasy2G322600 ID=Brasy2G322600.1.v1.1 annot-version=v1.1 MAAEATSSMAAGLYGRLNRSSTRGFLAYVAAAGACAAVLACFVVSSADPAAVSNGALRLSSRSARVWPDLEFNWRLVVATIVGFLGSAFGTVGGVGGGGIFVPLLNLVLGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVLDYKLALLFQPMLMLGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGILMWREETRILMETREREAQSKSSCAASDVVLDTSYEEPLLPQPKPTEKSGLETLLFNLRWKNILVLMAVWSSFLVLQILKNNSKTCSTFYWVINILQVPVAVSVFLWKAVQLCRESRARRMNGNLECVCEASIEWSPTQLVFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPYAAYLIFISILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASAVTMGVVGTEKSISMINNHEYMGFLGFCE* >Brasy2G046400.1.p pacid=40068049 transcript=Brasy2G046400.1 locus=Brasy2G046400 ID=Brasy2G046400.1.v1.1 annot-version=v1.1 MLAAQTNGPLRRPASSSFYANPQLRTVSSRPTRETGRRPGMENAVVLREWFDRVDAGRTGNITAPQLQSALAVGNLDFPLSIVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFSTLERGRGFLSLEEVYEALIKLDFSLDSPAFYTVCESFDKSKKGMVHLDEFISICIFVQSARNLFSSFDTSKQGKVILDFNQFVYCTANCRI* >Brasy2G449400.1.p pacid=40068050 transcript=Brasy2G449400.1 locus=Brasy2G449400 ID=Brasy2G449400.1.v1.1 annot-version=v1.1 MAAAGDVPRDPPAMHPKLLMAARLGETDRLKDLLEEASSAVAPPDFAHQLEASAPCAALLLEGATVQGDSALHVVAACGDGNEFLESARMIHGKASHLLAAPNRRGDMPLHCAARAGNARMFSQLITLAKLGADQLEAKEMLRAANKLGQTALHEAVRAGNKDIVVQLMAEDSELASFPKDGASPLYLAILLEEVDVARSLHCMSRGSLSYSGPNGQNALHAAVLRGRVMTEMLLDWNKGLAGQADEDGCTPLHFAASQAQVASRIDVLPLLLLLLRANPSSAYQPDKSGSFPILVAAAVGADRTVSAFLEMLPDNACLRDTKGRTFLHVAVEKRKRGIVELACRTASLEWILNMQDADGNTALHLAVQTGDTKVFFPLLRNRQVRMNLTNNDGQTPRDISLIDIPPGLSYKWNPKQMIHRALARAAANHGVYRWDQFEEENILRPKRADEEKESLKLNNSTQTLGISSVLITTVAFGAAFAPPGGYVADDHARGGTPTLAGSYAFDAFTVANMLAFICSSIGTIGLMYSGITTVDLPIRQKHFLRSLFWVSSALTCLVAAFALGTYTVLAPVAHKTAVAICVVSPAVVLYRSVGRFSRMYALAGPLYARAGFRPLVVLAKDIFTRMLRLYWPFVIIFGWAAYASK* >Brasy2G455400.1.p pacid=40068051 transcript=Brasy2G455400.1 locus=Brasy2G455400 ID=Brasy2G455400.1.v1.1 annot-version=v1.1 MAMAMAMAMSASAPAAASLLPPFSARARSVSFAALPPPPPWAAALRLGLVGARAGARSVRCLAAAGSGGGAMRETLDKVVGAHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAMCS* >Brasy2G164100.1.p pacid=40068052 transcript=Brasy2G164100.1 locus=Brasy2G164100 ID=Brasy2G164100.1.v1.1 annot-version=v1.1 MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLACPKGHTFCKECILECLLAQKKDIKRKLIAHDSQKKQEKEEEEEKLMLQKSKELDAFDQQNHGAVPQYYERSDSQDKNGFHGANSVKVTSFEEEALRTMKAFWLPSATPEATVKVDAPCTDTICPEGQEKLKLKSLFPISFTEENADQRNKKSVEKSYMCPSCKSTLTNTMSLVAISTCGHVFCKKCSDKFLATDKVCLVCNKPCKERNLVPLEKGGTGFAAHDDRLEAKNFKHLGSGSGLGLVKPAPKS* >Brasy2G197000.1.p pacid=40068053 transcript=Brasy2G197000.1 locus=Brasy2G197000 ID=Brasy2G197000.1.v1.1 annot-version=v1.1 MYHHQQQLQRHSQLLSSRQTFPSERHLLLQGGIVPGESGLVLSTDAKPRLKWTPELHDRFVEAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANVGNSRNVVGGTMATEKHSEGNGSPVSHHLGAQTNKSMHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAHETLAKQNTGSASLENAKMQLSELVSKVSTECLHNAFTGFEEIQGSQMLQTMQLGDGSVDSCLTACESQRDQDILSISLSAKKGKEIGGMAFDLQMKEGGHGNLFLDKLSRRPPNHQEGHERTDGFSISCQTTKLDLNINETNDGPQNCKKFDLNGFSWT* >Brasy2G044700.1.p pacid=40068054 transcript=Brasy2G044700.1 locus=Brasy2G044700 ID=Brasy2G044700.1.v1.1 annot-version=v1.1 MEVSRKLLPAALLLALLLLAATDGGQMMLAEARTCESRSHRFRGPCVRASNCRNVCKTEGFQDGKCRGFRRRCFCVKQCHH* >Brasy2G391200.1.p pacid=40068055 transcript=Brasy2G391200.1 locus=Brasy2G391200 ID=Brasy2G391200.1.v1.1 annot-version=v1.1 MAHGGQPRRAPAVRRPKSSASASAPAADRKRKRAAAMKTVTLKNQIRSTERLLRKDLPSEMRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSTLREDLEYVRFFPKTEKYLPLFTGEDSPDLVEKRNVWRKQIKDNLMAAAANGKDLEETASDDDTLDVSDDDFFMGSSSDEEADDELTDKSAKEPASSASGRAASGMSSDEKNQRQRDARVLMPPPRSLPPNRARSMEKRAMSSSGNTSNSTGGNSFKNRRASNLSGDHNSTLSSNSDAHKPRRKRRPKKKRKE* >Brasy2G385500.1.p pacid=40068056 transcript=Brasy2G385500.1 locus=Brasy2G385500 ID=Brasy2G385500.1.v1.1 annot-version=v1.1 MAAPVQHSTSPILLNSSDQCPKFLLRRRQQPSSLSPLVRSPPPPSLKFASGAGRGGRGWAQRPLSSSPPDGSRGGRTQERRRWASQGAAPVSVSAAALVEKEALGWVPRSQPLARPGLWWQLASALWAGSTYWASWALGSDTEWAKDWKPLQLNHDYLISNFIIFYLLV* >Brasy2G385500.2.p pacid=40068057 transcript=Brasy2G385500.2 locus=Brasy2G385500 ID=Brasy2G385500.2.v1.1 annot-version=v1.1 MAAPVQHSTSPILLNSSDQCPKFLLRRRQQPSSLSPLVRSPPPPSLKFASGAGRGGRGWAQRPLSSSPPDGSRGGRTQERRRWASQGAAPVSVSAAALVEKEALGWVPRSQPLARPGTGC* >Brasy2G122900.1.p pacid=40068058 transcript=Brasy2G122900.1 locus=Brasy2G122900 ID=Brasy2G122900.1.v1.1 annot-version=v1.1 MATPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVANVSAFQSEGLRPMMCSRTRAGFTLNVIDTPGLIEGGYINEQAVEIIKRFLLDKTIDVLLYVDRLDAYRMDTLDGQVIRAITNSFGKDIWRRTLVVLTHAQLSPPDGLEYSDFFTRRSESLLRYIRSGAGIGKRELADFPLPLALVENSGRCKTNENGEKILPDGTPWVPNLMKEITVVVSNGSKPIHVDQKLIDGPNPNNRWKMYIPLILAVQYFFVVKGIRRAIHSDISNGKLDDWEQRYRDLVGSGNPVDQRVSSSRNPKA* >Brasy2G036600.1.p pacid=40068059 transcript=Brasy2G036600.1 locus=Brasy2G036600 ID=Brasy2G036600.1.v1.1 annot-version=v1.1 MKLTVDGSIKRNTFCTHYRLAAAGLGTGSFGGASGSTAGRRAGTGPGRRAERPPVPTPEASSLAAAAWRSCALRERNAGTEMIHQGLPRSLNLAVGALSRGGKKQWQRRGRHPRFPEEPAAASWCRRRLRTERGGRPGRRKSGCRGRGGTGALGVRADRSWGQRDRRAAPPDKEVAEQGRPDLLRRAGITAAPFERPEEGGGSSPRSGASREERWQPQGRKEKGSRPSGRRGDRWGRRRRWPERRWAAPGKRGGGGGCSLDMKIRSAVRWRGLGIDRTGASARCPVGASARRPAAASQAVARIAGGAASRRWASTRKGRLRRRLWRIPHRRLNSFGQRPSARSTVVPPPAFSSTHGSGSYSWVSCWYG* >Brasy2G131900.1.p pacid=40068060 transcript=Brasy2G131900.1 locus=Brasy2G131900 ID=Brasy2G131900.1.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.11.p pacid=40068061 transcript=Brasy2G131900.11 locus=Brasy2G131900 ID=Brasy2G131900.11.v1.1 annot-version=v1.1 MQLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.3.p pacid=40068062 transcript=Brasy2G131900.3 locus=Brasy2G131900 ID=Brasy2G131900.3.v1.1 annot-version=v1.1 MGTLHQQEVDTISGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.12.p pacid=40068063 transcript=Brasy2G131900.12 locus=Brasy2G131900 ID=Brasy2G131900.12.v1.1 annot-version=v1.1 MGTLHQQEVDTISGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.4.p pacid=40068064 transcript=Brasy2G131900.4 locus=Brasy2G131900 ID=Brasy2G131900.4.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.2.p pacid=40068065 transcript=Brasy2G131900.2 locus=Brasy2G131900 ID=Brasy2G131900.2.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRGIHLDWQHWTTPSVYRAKPL* >Brasy2G131900.9.p pacid=40068066 transcript=Brasy2G131900.9 locus=Brasy2G131900 ID=Brasy2G131900.9.v1.1 annot-version=v1.1 MQLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRGIHLDWQHWTTPSVYRAKPL* >Brasy2G131900.13.p pacid=40068067 transcript=Brasy2G131900.13 locus=Brasy2G131900 ID=Brasy2G131900.13.v1.1 annot-version=v1.1 MRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.8.p pacid=40068068 transcript=Brasy2G131900.8 locus=Brasy2G131900 ID=Brasy2G131900.8.v1.1 annot-version=v1.1 MRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.16.p pacid=40068069 transcript=Brasy2G131900.16 locus=Brasy2G131900 ID=Brasy2G131900.16.v1.1 annot-version=v1.1 MRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G131900.6.p pacid=40068070 transcript=Brasy2G131900.6 locus=Brasy2G131900 ID=Brasy2G131900.6.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVEMLE* >Brasy2G131900.7.p pacid=40068071 transcript=Brasy2G131900.7 locus=Brasy2G131900 ID=Brasy2G131900.7.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVEMLE* >Brasy2G131900.15.p pacid=40068072 transcript=Brasy2G131900.15 locus=Brasy2G131900 ID=Brasy2G131900.15.v1.1 annot-version=v1.1 MQLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVEMLE* >Brasy2G131900.5.p pacid=40068073 transcript=Brasy2G131900.5 locus=Brasy2G131900 ID=Brasy2G131900.5.v1.1 annot-version=v1.1 MRAASSSSRPGPLMTPHYKKSGKRWVPCISKRLTQSALPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKLFPLLQTKETLTSPEELAQFMRASGTLVQFEGAFI* >Brasy2G131900.10.p pacid=40068074 transcript=Brasy2G131900.10 locus=Brasy2G131900 ID=Brasy2G131900.10.v1.1 annot-version=v1.1 MGTLHQQEVDTISGFGDIFDEDHFIETLRKHVRVVKELPETVSVQFDHNISSIPNMRTKAFSPHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNINALRCLANYEALRFSEPIRTLADNMVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRGIHLDWQHWTTPSVYRAKPL* >Brasy2G131900.14.p pacid=40068075 transcript=Brasy2G131900.14 locus=Brasy2G131900 ID=Brasy2G131900.14.v1.1 annot-version=v1.1 MVDRMIKKSYLTGGKYISVHLRFEKDMVAFSCCIYDGDLKENIAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSNRYMFPLRQLFPLLQTKETLTSPEELAQFMGHSSRLAALDYTVCLQSEAFVTTQGSNFPHFLMGHRRYLYRGHAKTIKPDKRKMVLLFDNPDIRWDRFRHQMQDIRRHSESKGFGLRKKDGSIYNLPMPDCMCQQAEA* >Brasy2G422600.1.p pacid=40068076 transcript=Brasy2G422600.1 locus=Brasy2G422600 ID=Brasy2G422600.1.v1.1 annot-version=v1.1 MYIVHVCFSFQRVYSLPICRATESSMQMLLNDEGFTEPIKKASVSLFCSSFIPECKEKLKPKVGMTFEGLKGRGGVLQILYTSVVNQSWIWARVPGN* >Brasy2G099700.1.p pacid=40068077 transcript=Brasy2G099700.1 locus=Brasy2G099700 ID=Brasy2G099700.1.v1.1 annot-version=v1.1 MGCCTSRSSDSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRTLDLTNNKIVEIPQEIGRIVNMQRLVLAGNLIEIIPADIGYLRNLKILTLDRNRITILPEELGSLSNLQQLTISQNSLLCLPKSVGDLHNMLLLNVSDNKLNAFPESIGGCNSLEELQANGNSIEDMPLSICNLVCLKSISLNRNKIRQLPQGLLKDCKALQNISLHDNPISMDQFQQMDGFEEFEARRRKKFDKQIDSNVMMSSTALDEGVDLR* >Brasy2G034000.1.p pacid=40068078 transcript=Brasy2G034000.1 locus=Brasy2G034000 ID=Brasy2G034000.1.v1.1 annot-version=v1.1 MPGDATTSHRPVRLHGSLDIWIHEARNLPNKDIVSNTMGGLLGFSACSSPTGAPSTSDPYVTVQLASATVARTYVIQDDENPSWSQHFLVPVAHSTPAVSFVVKDSDVFGAELIGAVAIPAELLLAGDRVEGAYLLLEPSGKPCAPCAMLRLSVQYVPVARLTMYHHGVTPGPDFPGVPNTYFPLRRGGRVTLYQDAHVPDDGCLPEIKLGEDRGGAAPMCYRHGQCWRDVYDAVSKATKLVYITGWSVFHTIHLVRDGDVGGEKARSLGDLLKRKSQEGVRVLLLVWDDPTSRSVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRAAGKRHSWVKQQETGTIFTHHQKTVIVDADAGQGKRKIVAFVGGLDLCGGRYDSPRHPLFRTLQTLHKDDYYNPNFAVLDARGPREPWHDLHSKIDGPAAFDVLKNFEERWLKASKRTGGKKLSKSCNDTLLWIERIPEIVAIDDKIYANDSDPERWDVQIFRSIDSNSVKAFPKDPREATSKNLVCGKNVLIDTSIHTAYVTAIRAAQHFIYIENQYFLGSSFNWDSHTDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEIIYAALKEVGLDGKYEPQDYLNFFCLGNREAEDTASTSTGPFSASNPQDQSRKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHMGAVEEGVERPESVECVRRVRGIGEENWRRFVSEEEEVKELRGHLLKYPVGVDRKGKVEPLPGCTAFPDLGGNICGSFLNIQENLTI* >Brasy2G316300.1.p pacid=40068079 transcript=Brasy2G316300.1 locus=Brasy2G316300 ID=Brasy2G316300.1.v1.1 annot-version=v1.1 MGRKPSASAAAAAAADHESLAASAKAAELLAAAANCDGVQGHSLFFDGLVQLISPRFYLSAGDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSVADQEAKGDQDKSQDESNETEDEAGSEDDEDEGEEEEGEEEEEIPMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTSQEFLNKPQKEKGKKVKGTKVKGKDGGMKRKREDGAEDADGADGKKHKKQADEKAPDIMYGNVLVDPKEARRRKKRRVKNKKKELEQAKRMQRAKEDPKKATKMAWDVATRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKDRQKTVDSKRKEKQNTRKENIKERAQQKKMRKIEKREKKLMRPGFEGRKQGYVNE* >Brasy2G120800.1.p pacid=40068080 transcript=Brasy2G120800.1 locus=Brasy2G120800 ID=Brasy2G120800.1.v1.1 annot-version=v1.1 MAISSTPGTSGSGGGGHPPHRHVSKKTMWSEDEDRLLREQVRLHGAQSWDKVAEALEQALPNNRTSKSCRLRWFQHLDPKVDAVKPFTRDEDKLIIHYQAIHGNRWSTIAGFLSGRTDNAVKNRWNSVLKCHARASSSAERPRAPCAAARNAACAELTPGCLPLFPLASGDVRRHGSRPSPGVFMPPSSEEDVSDVETGTCLELFPLAPGDIRSNAGAAASKGMSCGAGDPLTELRLGSAAVVVFDVMPLQAYPM* >Brasy2G186700.1.p pacid=40068081 transcript=Brasy2G186700.1 locus=Brasy2G186700 ID=Brasy2G186700.1.v1.1 annot-version=v1.1 MNGRNKIQLQPVQGHEQTELQRGLIDLRPVPKPPDMSTTTPRGRSSHRRTRCKCAGGSGGHRATPCCFNPIRSLFRCPGRGRSRGRHSRSRTPSRVRDASAAGIGAEHAQQGQEPSFFVYAMPADRSAAAENKNKKKKHRKPPCLPSMSIRACFRRKQNKKKERKAIARRQALTPAPSMVTHPPRSPPASEFTPAATPAASQPPSPPAVPDNGNVSNSPATPGRKLPATPQPADSAWATFPPQQFDGLQIVELETGERLSAHGVGLIEMVGSDDDSAVSSMKSSLEFINAPTPSPRSQPPAKRMVPDSREAAVVKAREPPTVWLNGSAAKAGSSGARFAEPLVVAEANELWAHDIECSRAHADMLAETGLITTGDRDIILEGLDHIEKQIEDGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVDCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAIHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCSEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRCVALCVSKNCQLTELKMDDLKAVHPVFETDVYAYLGVENAVNKFVSYGSTGSKQVKKQLEDWRVQLGINP* >Brasy2G186700.2.p pacid=40068082 transcript=Brasy2G186700.2 locus=Brasy2G186700 ID=Brasy2G186700.2.v1.1 annot-version=v1.1 MAATSQSLLYPAPTSLFRARIASYTRGAVTLRRHPTFPAVAAASASMASSESEEKKESKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIVGSKAHASMLAAQGLITTGDRDIILEGLDHIEKQIEDGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVDCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAIHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCSEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRCVALCVSKNCQLTELKMDDLKAVHPVFETDVYAYLGVENAVNKFVSYGSTGSKQVKKQLEDWRVQLGINP* >Brasy2G106500.1.p pacid=40068083 transcript=Brasy2G106500.1 locus=Brasy2G106500 ID=Brasy2G106500.1.v1.1 annot-version=v1.1 MAGGGFSVSSSAGTEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPAVLRRKHQDKESNYCKYDDQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNSGTSKIHPWGWRLSLSLAGIPAGMLTLGALFVTDTPNSLIERGRLDEGKAVLKRIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVVSTLVSVYCVDRVGRRVLLLEAGVQMFLSQVVIAVVLGIKVTDRSDNLGHGWAVLVVVMVCTYVASFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFLIAQAFLSMLCHLKYAIFIFFSAWVLVMSVFVLFFLPETKNVPIEEMTEKVWKQHWFWKRYMDHDNHHVANGNKNSSVNNAAV* >Brasy2G411100.1.p pacid=40068084 transcript=Brasy2G411100.1 locus=Brasy2G411100 ID=Brasy2G411100.1.v1.1 annot-version=v1.1 MDSALASAAAIADQRQKIEQYRHILASVLSSSPPDIAQAKRFLTHMVSDEVPLVVSRQLLQTFAQELGKLEADSQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSNQEVLNLQYRVCYARILDLKRKFLEAAVRYYGISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMICEDRMRGSIDQVEAVIHFEDDNEGLQQWDQQIAGLCQAVNDILDSMSSKGIPIPV* >Brasy2G411100.2.p pacid=40068085 transcript=Brasy2G411100.2 locus=Brasy2G411100 ID=Brasy2G411100.2.v1.1 annot-version=v1.1 MLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSNQEVLNLQYRVCYARILDLKRKFLEAAVRYYGISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMICEDRMRGSIDQVEAVIHFEDDNEGLQQWDQQIAGLCQAVNDILDSMSSKGIPIPV* >Brasy2G044600.1.p pacid=40068086 transcript=Brasy2G044600.1 locus=Brasy2G044600 ID=Brasy2G044600.1.v1.1 annot-version=v1.1 MEQLPKRAANYVPLSPVGFLPRANAVYGDRTSVVYGRRVRFTWSQTHDRCRRLASSLLALGVRKNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTVNTRLDAKAVAAILSHSEAKLFFVDYEYVRLASDALQLLVSDGAGTAGHVPLVAVIDDLDRPTGVRLGELEYEGLVSRGDPAVQLPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLMSWEMGAEPVYLWTLPMFHCNGWTFTWGVAARGGVNVCIRDARAADVYGAIARHGVTHMCCAPVVFNILLEGAADTSAPNQRQLAAPVHVLTGGAPPPAALLERVERIGFHVTHAYGLTEATGPALACEWRAQWDHLSVSDRARLKARQGVSVLSLADADVINAEDAAMGSVPRDGKSLGEIVLRGSSVMKGYLKNPEANEAAFKGGWFMTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEALFAHPAVADAAVVAMPHPHWGETPCAFVVPRKKDNGDQDVQVCEEEVVDFCRKRMARFMVPRKVVLCEALPRNALGKVEKVKLRDAARKLQPAPAQKTTPKGNTKAPAGKSGGGRRDEQNPVAHVMAMSRL* >Brasy2G342100.1.p pacid=40068087 transcript=Brasy2G342100.1 locus=Brasy2G342100 ID=Brasy2G342100.1.v1.1 annot-version=v1.1 MALMMEPGSEPLTEGEKADLVAIAVIKEEAAREYKEQGNQFVKMGRKHYADAVDCYTKAIAQRSPPSAANPDASVLFANRAHVNLLLGNHRRALDDAEEAIRLSPSNVKAHYRAAKAALALDLLPEAASFCRRGLEQDPANEELKKFLAQLEAQQCERDRQRAKVAQAVSAAKDLAAAIEKRKLRLAKAAYQELTGVKKPKLDEQGMLHWPVLLLYPEVMSSDFIEDFRETDMFSQHLDLMFSANSPPLPWDERHAYTREAIELYCEAGTGTPLSKSEILKHLLEGTIDARSLPENLDGEDGEHDTGKGSTVTSSSKWVKVKEGQTLQQALQHKDYIIPAIPVFYVVSRKTTFYSEFKAGNWSLP* >Brasy2G342100.2.p pacid=40068088 transcript=Brasy2G342100.2 locus=Brasy2G342100 ID=Brasy2G342100.2.v1.1 annot-version=v1.1 MALMMEPGSEPLTEGEKADLVAIAVIKEEAAREYKEQGNQFVKMGRKHYADAVDCYTKAIAQRSPPSAANPDASVLFANRAHVNLLLGNHRRALDDAEEAIRLSPSNVKLGALPGGKGRACSRLVAGGSILLPAGARAGPRKRGAQEISGAIGGATVRARSSKGQGCTGRLCSKEKRKLRLAKAAYQELTGVKKPKLDEQGMLHWPVLLLYPEVMSSDFIEDFRETDMFSQHLDLMFSANSPPLPWDERHAYTREAIELYCEAGTGTPLSKSEILKHLLEGTIDARSLPENLDGEDGEHDTGKGSTVTSSSKWVKVKEGQTLQQALQHKDYIIPAIPVFYVVSRKTTFYSEFKAGNWSLP* >Brasy2G342100.4.p pacid=40068089 transcript=Brasy2G342100.4 locus=Brasy2G342100 ID=Brasy2G342100.4.v1.1 annot-version=v1.1 MALMMEPGSEPLTEGEKADLVAIAVIKEEAAREYKEQGNQFVKMGRKHYADAVDCYTKAIAQRSPPSAANPDASVLFANRAHVNLLLGNHRRALDDAEEAIRLSPSNVKAHYRAAKAALALDLLPEAASFCRRGLEQDPANEELKKFLAQLEAQQCERDRQRAKVAQAVSAAKDLAAAIEKRKLRLAKAAYQELTGVKKPKLDEQGMLHWPVLLLYPEVMSSDFIEDFRETDMFSQHLDLMFSANSPPLPWDERHAYTREAIELYCEAGTGTPLSKSEILKHLLEGTIDARSLPENLDGEDGEHDTGKGSTVTSSICYNSLYSALV* >Brasy2G342100.5.p pacid=40068090 transcript=Brasy2G342100.5 locus=Brasy2G342100 ID=Brasy2G342100.5.v1.1 annot-version=v1.1 MALMMEPGSEPLTEGEKADLVAIAVIKEEAAREYKEQGNQFVKMGRKHYADAVDCYTKAIAQRSPPSAANPDASVLFANRAHVNLLLGNHRRALDDAEEAIRLSPSNVKLGALPGGKGRACSRLVAGGSILLPAGARAGPRKRGAQEISGAIGGATVRARSSKGQGCTGRLCSKEKRKLRLAKAAYQELTGVKKPKLDEQGMLHWPVLLLYPEVMSSDFIEDFRETDMFSQHLDLMFSANSPPLPWDERHAYTREAIELYCEAGTGTPLSKSEILKHLLEGTIDARSLPENLDGEDGEHDTGKGSTVTSSICYNSLYSALV* >Brasy2G342100.3.p pacid=40068091 transcript=Brasy2G342100.3 locus=Brasy2G342100 ID=Brasy2G342100.3.v1.1 annot-version=v1.1 MALMMEPGSEPLTEGEKADLVAIAVIKEEAAREYKEQGNQFVKMGRKHYADAVDCYTKAIAQRSPPSAANPDASVLFANRAHVNLLLGNHRRALDDAEEAIRLSPSNVKDLAAAIEKRKLRLAKAAYQELTGVKKPKLDEQGMLHWPVLLLYPEVMSSDFIEDFRETDMFSQHLDLMFSANSPPLPWDERHAYTREAIELYCEAGTGTPLSKSEILKHLLEGTIDARSLPENLDGEDGEHDTGKGSTVTSSSKWVKVKEGQTLQQALQHKDYIIPAIPVFYVVSRKTTFYSEFKAGNWSLP* >Brasy2G413700.1.p pacid=40068092 transcript=Brasy2G413700.1 locus=Brasy2G413700 ID=Brasy2G413700.1.v1.1 annot-version=v1.1 MAPPLSGPACHTVFCCVAFPGSATVAYIVYAPPVYSALGPTGTANLRAGGEALQDGHEHGHPSSGALGRDEHAAAGHAGAVGVYPPRDPPARGRRGTERELGRAVRGVVIPVEAGPEHGQGAATRERPARRERRVRAATLGRRAGREQQRGRGGYEEEPRQVPWPRHGCSGPARPVLRQAASAAWRATRSVEGAAGSGAGPSFAAGRRGGGARFAFSGGRGADSLAGMARSVEVGAAAPGLGVRIRRRWFSWVAWAAGVLVLGAVQVDANRSAANWARGGCFSGCRAKALLGLGRAGEGWRR* >Brasy2G414800.1.p pacid=40068093 transcript=Brasy2G414800.1 locus=Brasy2G414800 ID=Brasy2G414800.1.v1.1 annot-version=v1.1 MRAMCLDGQVVQQQQRQYYPYYVARPASPPPPREIQPLTQHLHMTRSFWPQQQPQPDPWEASTSSPSGFRFVPQIRSYYQSQSQSAAAALHAERQRQPVPASLRLAPICGGIAELIQHQQHRPGPGPASCPRPYQQYQFPANAAPAPTPYQYQMRPRGRTVEETLRLPDSPDRVVRLLQEGDGRTRQSVLHAVRSRVHAFMDSKEGHEVFIALLHACWERQQDLRAIVQAAVWPSSYGKAPLLHPSKHEHYWEASLKELIMAVARYPNDLCPALLQGLLREGLMEHAKGEHLVKHCFATMDYDQSKILVYYALQHFNFLLKSSIGSKCLVACFENATGNELHTLKQFLLADAVNIATGEYSNYFVQHALEHGSNDAFRQGLIEQLMADVEHLSLNQYGSYVVEKCIKNAGLVYRVLMAFLHLHPDQLANLVMGKYANYVVQSLLRTTSADPVSALLDQFAPCRCLAVNSVICMLPVQRFNSETMMLARNIEMLPENVLENEYAKKVTKMSRKIVLSRGRHHYYG* >Brasy2G196900.1.p pacid=40068094 transcript=Brasy2G196900.1 locus=Brasy2G196900 ID=Brasy2G196900.1.v1.1 annot-version=v1.1 MNISQYACRLHNPSRNFLLQLYESSIYETALIRKASEERISNRWKKHLSLTQSSQQLKRDIILIPFEEELDEVFPANKAKKELILLRMPFLGLHKSKVWNKHMVPFCLLWEARDPERRNKGKSDADKVRGIRAR* >Brasy2G196900.2.p pacid=40068095 transcript=Brasy2G196900.2 locus=Brasy2G196900 ID=Brasy2G196900.2.v1.1 annot-version=v1.1 MNISQYACRLHNPSRNFLLQLYESSIYETALIRKASEERISNRWKKHLSLTQSSQQLKRDIILIPFEEELDEVFPANKAKKELILLRMPFLGLHKSKVWNKHMVPFCLLCLLSLVAIVYLFYTRRIK* >Brasy2G196900.3.p pacid=40068096 transcript=Brasy2G196900.3 locus=Brasy2G196900 ID=Brasy2G196900.3.v1.1 annot-version=v1.1 MNISQYACRLHNPSRNFLLQLYESSIYETALIRKASEERISNRWKKHLSLTQSSQQLKRDIILIPFEEELDEVFPANKAKKELILLRMPFLGLHKSKVWNKHMVPFCLLCLLSLVAIVYLFYTRRIK* >Brasy2G057700.1.p pacid=40068097 transcript=Brasy2G057700.1 locus=Brasy2G057700 ID=Brasy2G057700.1.v1.1 annot-version=v1.1 MRRRSVLPSHHDDLDKGGGKPPQSRLCLLATLCVMFWVLIFYFHFSVLPSDADASTAHPVSVATEARIARSDPAPDRRVSDPDDPLLASPAVVSEPPPATLPKEEEEQEAPTAVVVAQKEAPRREYPFQRALKTAENESDPCGGRYIYVHELPPRFNEDMLRECQRLSLWTNMCKFMNNDGLGPPLGNEEGVFSNTGWYATNQFAVDVIFGNRMKQYECLTKDSSIAAAVFVPFYAGFDVARIAWDFRRLTDQESDWGNKLLFMPAAKNMSMLVVESSPWNANDFAVPYPTYFHPAKDADVFLWQDRMRSLERPWLFSFAGAPRPGDPMSIRGQLIDQCRTSSFCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGIRKGNVSIEEILKSIHPDVVKQMREEVINLIPRVIYADPRSKLETLKDAFDVSVGAIINKVTQLRRDIISNSEDKDFIEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNSSAEAAKGAWKIEQRAFT* >Brasy2G104800.1.p pacid=40068098 transcript=Brasy2G104800.1 locus=Brasy2G104800 ID=Brasy2G104800.1.v1.1 annot-version=v1.1 MDIEHNTSYWRWAHSASHRSLYPIYLTCLFFCFLTEAGASLINRLFGFLGRMRNTTPVAVDGPRSHHIGRSVCITWAPVLTGAPDGACMTNISSCRN* >Brasy2G407200.1.p pacid=40068099 transcript=Brasy2G407200.1 locus=Brasy2G407200 ID=Brasy2G407200.1.v1.1 annot-version=v1.1 MAGTSPDATDLSSHGHGQPGAPLPAAPSSSSPLPPLPWSSQQPAFPSSMAWAPSPWQQPVFPLSLPPLQQPAGSASFWHDPWQPQQHDTMTTPELESFGQFDDAILFRDLLPAAALEQPAIPQHDASTTPEYLESGYDHLDDPSFFRAMTHDLQPDTQTTPFFLNHQLELGLGAPAMAMTMQGLTDQNGYYWGQGPVHWQASAAAAEAPAVHAAPPAEPPNPPTGRRPRGRPRGSTAAKSRTKAKPKSKLATMAAAATPATPEPCQEEPVSTEQPAIAVAGEEEEEAVGGTSGQGAQQQEEEEDEDEAVEYADTNLPGVRFQPTDEEIIGYLRRKYHGRRMPADVVRDFNVFQDHPSTVKENFGDSIDGAWYVFSPRDRRYSRGKRPARSVYKGNHKIGFWKSNTKEESIIVRGKVIGSVNNLTFELGEQPRGEPTPWKIREYRIPDFQRKLGPKQKKLGPKQRYPKERNLDPHPTPLLDDWVIYKLFYKEKKAKRGVPVVAEDQQTGEVGEDADEEGDDKDDDASDGNPPSDGHED* >Brasy2G253600.1.p pacid=40068100 transcript=Brasy2G253600.1 locus=Brasy2G253600 ID=Brasy2G253600.1.v1.1 annot-version=v1.1 MHPYSARQCPDWCYDSDYNGVDDGQIRCPGHDLPAQCRVASYKENSGRRYLGCSQHDDCGFVHWIDPEWSAPLKKRLAVLWNRSILGDSAQEETATLEEEKRKALLEKIKAREEKESAIEEKTKAEIALAKAEREKQ* >Brasy2G049100.1.p pacid=40068101 transcript=Brasy2G049100.1 locus=Brasy2G049100 ID=Brasy2G049100.1.v1.1 annot-version=v1.1 MASFAYNGNGSIKVRPPVADHSHGGLAAPRATMGSRAAPLLLRGLPRGRMIGSGGSARPRRHRHRHRHELCPFDFRPCRDLVGMDQEGEAEVVDVEAGTVLCAANYAPLTPISFIERAAVVYGGRAAVVYGETRRQTWKETRDRCVRVAAALATRLAVARGDVVAVLSRNVPAMCELHFAVPMAGAVLCTLNTRHDAAMVSALLEHSGAKAFFVESSLLDVGRATLKRLADSGTGTGSLPVLVTISDDGAGSGDGDVGSGCAADYEGLIQNAPSGFDIRWPLNELDPIALNYTSGTTSRPRGVVYSHRGAYLNTIATVLAYDITAMATYLWTVPMFHGNGWNLTWGVAMQGGTNICLRRFTAKVIFDNIALHRVSHMGGAPTVLNMIINAPTADRKPLPGTVRVMTAGAPPPQRVIMGMEELGFIVYQMYGLTETYGPATVCTWMPEWDSLPAEERARLKARQGFHHIAMQDVDVKKPDTMESVPSDGKTMGEVMFRGNTVMRGYYKDIDATKESMIGGWLHTGDLAVRNPDGYIQLKDRAKDIIISGGENISSIEVESVIFRHPAVLEAAVVARPDDHWGETPCAFVKLKNGDNSTEAEIIDFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRERARVMGSLTRTDGCKIV* >Brasy2G207500.1.p pacid=40068102 transcript=Brasy2G207500.1 locus=Brasy2G207500 ID=Brasy2G207500.1.v1.1 annot-version=v1.1 MASKASAVAVLVAIAATCAVTAAQTKFGKLVITGVVPCNSGTLIDVATSPAFPDADVELRCGGNVVAGARTNRNGSFAIETDMTNALAALVGACELVVDTPLVKCDGRLPTAGALVSYLQGPLARLLSGIFKLAPAGFSFRMS* >Brasy2G235500.1.p pacid=40068103 transcript=Brasy2G235500.1 locus=Brasy2G235500 ID=Brasy2G235500.1.v1.1 annot-version=v1.1 MGRVRHATTTQPIRRPATVSKSKPNKHLASARCPGAPKIVSTWSSLSPREESAVSRRRRVNAGAVQLHRLNQLPNRKKLIKLLGSKRGTNPMHPSIVGQSGSNF* >Brasy2G210600.1.p pacid=40068104 transcript=Brasy2G210600.1 locus=Brasy2G210600 ID=Brasy2G210600.1.v1.1 annot-version=v1.1 MTAIGNPWVFAVGILGNILSFLVILAPVPTFHRVYKRKSTESFQSAPYAMALLSAMLWLYYALLTADLLLLSINAVGCVVEIAYLAVYLAYAPKRARAFTVKLVSVMNVALYGAMVAFLQLYVRDGDRRVAIAGGLGAAFAFAVFVAPLAIIRQVIRTKSVEFLPFWLSFFLTISAVVWFFYGLLMKTSSCRTCWACFSGLAQMALHLVYKNPKKKGVVSEAGQAVVAADGEKQNQLEHQQQHQQPAAAIIKPDGEVVNDEDASKQQSMTTVVVDIPLPPPEEHPALPPLGAPGADAAAHEDGRRGGLIDRSYMMDVLAD* >Brasy2G295600.1.p pacid=40068105 transcript=Brasy2G295600.1 locus=Brasy2G295600 ID=Brasy2G295600.1.v1.1 annot-version=v1.1 MGKTTLLLLLLTLPSLMAAVALAGDPPFSCGPSSANAQGYAFCDTALPVAQRAADLVARLTAAEKVAQLGDVAGGVPRLGVPGYKWWNEALHGLATSGKGLHFDGAVRSATSFPQVCLTAAAFDDDLWFRIGQAIGREARALYNLGQAEGLTMWSPNVNIYRDPRWGRGQETPGEDPTTASRYAVAFVRGMQGNSTSLLQASACCKHATAYDLEDWNGVARYNFDAKVTAQDLEDTFNPPFRSCVVDGKASCVMCAYTGINGVPACANSDLLTKTVRGDWGLDGYTASDCDAVAIMRDAQRYAQSPEDAVALALKAGLDIDCGSYMQQHAAAAIQQGKITEDDIDKALKNLFAIRMRLGHFNGDPRTNMYGGLGAADICTPEHRNLALDAAQDGIVLLKNDAGILPLDRAAVASAAVIGPNANNPGALIANYFGPPCESTTPLKGIQGYIKDARFLAGCSSTACDVAATDQAATLASSSDYVFLFMGLGQQQESEGRDRASLLLPGKQQNLITAVADAAQRPVILVLLSGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPASGYPGRSYRFYQGKTVYKFGYGLSYSSYSRRLVSSGTSTPAPNADLLASRTTTMPSAENILGSYHVEQIGAEGCEQLKFPAVVEVQNHGPMDGKQSVLMYLRWPNATAGRPERQLIGFKKEHLKAGEKAHIKFDISPCEHLSRVREDGNKVIDRGSHFLMVDKHELEITFGA* >Brasy2G338000.1.p pacid=40068106 transcript=Brasy2G338000.1 locus=Brasy2G338000 ID=Brasy2G338000.1.v1.1 annot-version=v1.1 MLSTSTDYVLSGGNDINGGNGSRPLGRECVSWTEEMSGYLIDALLHQQVIGNRTAEGRFHTTAFDNVINLVSGKFGVNIDRSHIKNRLKHVKDTFHECESLFGKESGIKWNQGTRRFHADPQVWREFIERKPEAKRWMTKTINHYDRLLELFGKDRERSPAVSSPKVNPKQKARIEPSKERPPDKKARVEPPKERSGRQWMPLDGLQLDVAQSSNQPVNAGEVRAEVVTEKNIPRELHLSELCRSENGLVAIPVCANAYGKGLPYAPENWPLDGDEWYWKVGNRSAAGGHWVDRYLIPPSRFRDATGKKTSFTSRLKVEEFIKKDFPDVDPSTFFSKFIWKIPAEESNNQRGTQQVRLHEPESGFPDPAGPCKARNNSCNLEREGFIESSALHDCQICCPEPNFCRECCCILCGRVVDNSFGGYSYIKCKEVVKDNYICGHVAHLDCALRCYMTGTVGGTIGLDVQYYCRWCDKKTNLMMHVEKLLETCRSLESRDEIEPILNMGLCILRGSEQAKAKGLENYMGSALAKIKSGGVDLAEVWKIENGDGMSIPSSGELPPPITGVRLLGAEQKYPYLSDPLAANEAVENLPIFITGDHNVMSAKFENEIDRALLELKRSQEAEFRLAEQRLYSQRDYILSLYRQLESDRSELADPKPLSDSSRYNVLVSNITTLVNQAKREEEKFKAMLKVSKGFGKTPAKVVQEHFGLSAD* >Brasy2G249700.1.p pacid=40068107 transcript=Brasy2G249700.1 locus=Brasy2G249700 ID=Brasy2G249700.1.v1.1 annot-version=v1.1 MATSSPVLALLLFFSAAVIVQGRTSAGAVPTVTPLEQLCGSLGSFYVTPELCTSALCSSPTSPCRSAGNTAQLAALAAGLAAANATAAKASLESALSLLATGTERARQARAPALSAEARKGIRSCLQLYEGAVPALRWAARAVAAGRYRGAREVLEAAQYVASGCAGMAGGGEAAKLMPGENDRFGSMAIVAHAVVASMSAA* >Brasy2G149100.1.p pacid=40068108 transcript=Brasy2G149100.1 locus=Brasy2G149100 ID=Brasy2G149100.1.v1.1 annot-version=v1.1 MGCSMSRLAKAAISVAILALLFMPGAMAAAAASFDATRSQHLQLPRGYLRGPESVAFDGEGHGPYSGVSDGRILRWNGDKIGWTNYAHGPDYSSEMCTASKLRPETVTESRCGRPLGLQFHHKSGNLYVADAYKGLMQVGPAGGEATVLVNQVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPRTNDVTTLQSGLTYPNGVSISHDRTHLVVASTGPCKLLRYWIKGPDVGKTEPFADLPGYPDNVRQDRRGGYWVALHREKNELPFEFGSHLLAVRIGPNGKILEEMRGPKSVRPTEIVERSNGKLYMGSVELPYVGVITHK* >Brasy2G250000.1.p pacid=40068109 transcript=Brasy2G250000.1 locus=Brasy2G250000 ID=Brasy2G250000.1.v1.1 annot-version=v1.1 MNTSAMATRVVAPSFLAVGSVATVALATLPLPSKKGTIASRRSRISAQLGGGDGETKADGKKKFITKEQEPEQYWQTAGERKGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKAPIR* >Brasy2G015800.1.p pacid=40068110 transcript=Brasy2G015800.1 locus=Brasy2G015800 ID=Brasy2G015800.1.v1.1 annot-version=v1.1 MPILSLTGTHERQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPSDTTGLRRVPAISHVYEASLEGVGAEPSVGTSTTYSYTVGALSKQSTSDQSTEFDHKATGENVSNKIVGIPGKSDYPSSSREQSSSYIKEKEKVFHPQAPSTSAFNSTESSDEPSSSSYPPIDSSPEVADMQGMLQQVVQRFQELFSQTKYKEAAELAVEFPQGQLRTPETIAKFKGAPLQLGQVPPVLQYFATLLTRGKLNAYESLELSRLAINQNGKNLLEGWLADDKLQCSEELGNLVKTVDDDLALKIYIKARESPRVVAAFNERREFDNIFLYPKLPEKIRRLEGPGVINYKVYNGEGSIFSNITPGPSSEMADLASEIEALEHILSDTSAQATRLSYALIKSVTNNFSKVIGCGGFSVVYQGDLRNRKVAVKKLSISNGSSDELFVDEIECLMKAKHNNMVRYLGYCADTQGELMEFNGQCVIAEVPKRLLCFEYVPNGSLHRYLKGKPCGDDWQVRYKMIKGICQGLQYLHKIRINHLDLKPGNILLDVGMEPKIADFGLSRCFDEEQSRVFTKNICGTRGYIAPEIIDSGEISFKSDIFALGIIIIKLLTGHNDYDIENWHKSLNADCPQVRRCIEIAQACVDDDQHKRPAIGEIIQKLDELETVVDAPAIN* >Brasy2G015800.2.p pacid=40068111 transcript=Brasy2G015800.2 locus=Brasy2G015800 ID=Brasy2G015800.2.v1.1 annot-version=v1.1 MPILSLTGTHERQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPSDTTGLRRVPAISHVYEASLEGVGAEPSVGTSTTYSYTVGALSKQSTSDQSTEFDHKATGENVSNKIVGIPGKSDYPSSSREQSSSYIKEKEKVFHPQAPSTSAFNSTESSDEPSSSSYPPIDSSPEVADMQGMLQQVVQRFQELFSQTKYKEAAELAVEFPQGQLRTPETIAKFKGAPLQLGQVPPVLQYFATLLTRGKLNAYESLELSRLAINQNGKNLLEGWLADDKLQCSEELGNLVKTVDDDLALKIYIKARESPRVVAAFNERREFDNIFLYPKLPEKIRRLEGPGVINYKVYNGEGSIFSNITPGPSSEMADLASEIEALEHILSDTSAQATRLSYALIKSVTNNFSKVIGCGGFSVVYQGDLRNRKVAVKKLSISNGSSDELFVDEIECLMKAKHNNMVRYLGYCADTQGELMEFNGQCVIAEVPKRLLCFEYVPNGSLHRYLKGKPCGDDWQVRYKMIKGICQGLQYLHKIRINHLDLKPGNILLDVGMEPKIADFGLSRCFDEEQSRVFTKNICGTRGINH* >Brasy2G303600.1.p pacid=40068112 transcript=Brasy2G303600.1 locus=Brasy2G303600 ID=Brasy2G303600.1.v1.1 annot-version=v1.1 MRRVAPAMIGGGGGDDGEGVEALVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGAGAKSYANGDYYEGQWRRNMQDGHGRYVWATGNQYVGEWRGGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDLPAISGTFFPPVGVGGAARKRSSVEGIGEKAAAVPRICIWESEGEAGDITCDIVDALEASMLYREAAPVTGGPTYMRTQRSTRRGANGGPCWSSSAATTPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPIRELALTDFDPREKFWMRFPPEGSKVTPPHSSPDFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYDYSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTADKFEEEIDETTTLKDLDLNFVFRLRRSWYRDLHDQLRRDCEFLESEGIMDYSFLVGVHFCDNVSASKMGLSTFTASPKLSMKRESFQVGGGMPELCFSDNDFDRVPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLSGGGFLAPNQTGELYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG* >Brasy2G076500.1.p pacid=40068113 transcript=Brasy2G076500.1 locus=Brasy2G076500 ID=Brasy2G076500.1.v1.1 annot-version=v1.1 MENLKEGQGAAGVNAWMTVPAFGDWDMKNGAMPDYSMDFSKIREMRKQNKKELSRASLGGDDDLLAQSQQQQQQQQQKPAKAQQPKLGRPANDHRRPLHGRDDSPTGRKRFLSYFQCCIKA* >Brasy2G304200.1.p pacid=40068114 transcript=Brasy2G304200.1 locus=Brasy2G304200 ID=Brasy2G304200.1.v1.1 annot-version=v1.1 MQQRRRAQTWAGVGKTAQAAAAHLALFCFTLLLALRVDGRTAYSWWVIFIPIWLFHGVAARGRFSMPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESLRVRNHPAVDLKIVFLPLLTFEVIILIDNFRMCKALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHRPPTHGEASSSSTAIRYRDWDSGLVLPSLEDHEQEKLCGLPDIGGHVMKIPLVVFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTEANGYNTFSGYPPEVVRKMPKKDLAEEVWRLQAALGEQSEITKSTQQEYERLQNEKVLCRICYEGEICMVLIPCRHRTLCKSCAEKCKRCPICRNPIDERMAVYDV* >Brasy2G304200.2.p pacid=40068115 transcript=Brasy2G304200.2 locus=Brasy2G304200 ID=Brasy2G304200.2.v1.1 annot-version=v1.1 MQQRRRAQTWAGVGKTAQAAAAHLALFCFTLLLALRVDGRTAYSWWVIFIPIWLFHGVAARGRFSMPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESLRVRNHPAVDLKIVFLPLLTFEVIILIDNFRMCKALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSECFAFLVCTRWFNPMIHRPPTHGEASSSSTAIRYRDWDSGLVLPSLEDHEQEKLCGLPDIGGHVMKIPLVVFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSLARLVEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTEANGYNTFSGYPPEVVRKMPKKDLAEEVWRLQAALGEQSEITKSTQQEYERLQNEKVLCRICYEGEICMVLIPCRHRTLCKSCAEKCKRCPICRNPIDERMAVYDV* >Brasy2G489000.1.p pacid=40068116 transcript=Brasy2G489000.1 locus=Brasy2G489000 ID=Brasy2G489000.1.v1.1 annot-version=v1.1 MGEFAQGLGVLLALVGFETGLFFLANGIAVMTNPTITYSIYQNSNNNTSPSNTNGIDPLFGNTTNCHKPGEPKHYTHIANLEFYLAVCMLGLMALIQLIRQAVDAVQDAEKLEIAADIQSTTQIANLAHEESIAARQALEAAEKAAADARADSDQRHAAFQEASRVLVPDAAAVAALEQDAVAAVKRLRDKEDFVISIKKFCDGAQNLYADALAKSNAAGVSKGIARLANPQRKVLRFFFLLANVLSGWCSLLGIWTFTDVIDYKLGCREAFKSPSVIVSLVILGIILVLLHVRLIFVAAKRN* >Brasy2G216600.1.p pacid=40068117 transcript=Brasy2G216600.1 locus=Brasy2G216600 ID=Brasy2G216600.1.v1.1 annot-version=v1.1 MSPAAWRCVWRWPASLSDLWRVTRMAGTSSSRCPSASGWCPRPAARKDQIFTMPETARFYYPVASRVRQLGAAKQRPAYLSDLGRIPQISGASSGPPSAQAMTEAAAWRRPTSPTFSSSRAGWRRRQTTWKDHIYALREPARSFLSRLGQARQFTTSNQSQRQTTKPHLYLVLDDDENSFAIHKLDLDNDLDVDCGSADTPVCLPEPTVLRMEYPTDRQFAQFAALGSHIIAVCPTIDGVPLIKGGGSGATIIFDTKTAMFWLSNILPRNLLFGYEAAIAAGNRLYVFESYTDVNFGINGSDFHGGLHCLAADPSDDEMQWHWQRLFYSSQFSWSWPIYPPKFPFDSKSITAYVVHPRTGTIFLSAFGFDGWGTFSYGSRCSSQWKRLGDWVLPFKGPAHYDKVLRAWVGLHLGSLEKETDGYLCTCRDISGRQPPKWEVGREKLFLEHPHWRHVDVKLVYMGERSKYCLMERLVPEGGDKMNYVIRLTMFIVMYGEDGELRTLAHRPARFYKAPSYCYKFDVQLFCM* >Brasy2G216600.2.p pacid=40068118 transcript=Brasy2G216600.2 locus=Brasy2G216600 ID=Brasy2G216600.2.v1.1 annot-version=v1.1 MSPAAWRCVWRWPASLSDLWRVTRMAGTSSSRCPSASGWCPRPAARKDQIFTMPETARFYYPVASRVRQLGAAKQRPAYLSDLGRIPQISGASSGPPSAQAMTEAAAWRRPTSPTFSSSRGWRRRQTTWKDHIYALREPARSFLSRLGQARQFTTSNQSQRQTTKPHLYLVLDDDENSFAIHKLDLDNDLDVDCGSADTPVCLPEPTVLRMEYPTDRQFAQFAALGSHIIAVCPTIDGVPLIKGGGSGATIIFDTKTAMFWLSNILPRNLLFGYEAAIAAGNRLYVFESYTDVNFGINGSDFHGGLHCLAADPSDDEMQWHWQRLFYSSQFSWSWPIYPPKFPFDSKSITAYVVHPRTGTIFLSAFGFDGWGTFSYGSRCSSQWKRLGDWVLPFKGPAHYDKVLRAWVGLHLGSLEKETDGYLCTCRDISGRQPPKWEVGREKLFLEHPHWRHVDVKLVYMGERSKYCLMERLVPEGGDKMNYVIRLTMFIVMYGEDGELRTLAHRPARFYKAPSYCYKFDVQLFCM* >Brasy2G205800.1.p pacid=40068119 transcript=Brasy2G205800.1 locus=Brasy2G205800 ID=Brasy2G205800.1.v1.1 annot-version=v1.1 MVSLKVQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREHVAPAAAAPAQTAVAPKKAKK* >Brasy2G402700.1.p pacid=40068120 transcript=Brasy2G402700.1 locus=Brasy2G402700 ID=Brasy2G402700.1.v1.1 annot-version=v1.1 MLSSQWRVLTAIIRPPSRSPPPRSTRSLPYRSLSLPRFTNPVVAAAAAAAAMGASATEDVEFVEYDLEDDDDGMDEDDQAAARALPVPHIATPAVARTRGRLLGRSPSILASSRDRFDSVPGPGTSQHGPQRSIEGWIILVSGVKEDAEESDLFDAFRDFGRVRDLHFNLERRTGYGKGYALVEYESFEEAQTAIKAMNGRQLLTKTIHVDWAFNRGPIQKVISTRPSRPRSRTPPRRLAALTY* >Brasy2G473900.1.p pacid=40068121 transcript=Brasy2G473900.1 locus=Brasy2G473900 ID=Brasy2G473900.1.v1.1 annot-version=v1.1 MANKATAATSWRWRLLLLLLLAVAALCWIPPAIAMAAAASTAKGARRSLLGFVEAQGNSSYRCNPSGPCITCQYSEKNDEKYCCSETGYRLPLKCVEVQNGTKDGNTTKQRKVLGDASTSGGAKHYVTYRSCVPLEDEEKLSVLGFEVLMAGMLLISGPFVYFRKRQTILMQGASRIPTNPPRF* >Brasy2G391800.1.p pacid=40068122 transcript=Brasy2G391800.1 locus=Brasy2G391800 ID=Brasy2G391800.1.v1.1 annot-version=v1.1 MGQTTCLTLRAPRWIDFSAQIHVAEVAVKIHAGGRRRGSRQRAGGWSKRGRANTREGESGEEREHGEERPEGERERQEGGARAGRKESFGRQEDAEGVRRRKKGAGPDNGATYGQRTSPPITSRVEEEFGSGASWAERIGPPGRDRAWPLPTPRPAPPLITPPSRDAPRAPPSSQPRPPSRPAAVAVLPHAPRPAVARHGAPAAVALLRPPSPGRHAAAPPLLITPPR* >Brasy2G294600.1.p pacid=40068123 transcript=Brasy2G294600.1 locus=Brasy2G294600 ID=Brasy2G294600.1.v1.1 annot-version=v1.1 MSGMGSRPEGTNLVEPRGQGALPSGMAMQPWWTVSGLGAVSPAVVAPGSGIGMSLSSNPVGDGATKGKTSEDARADSSEDSQRSGEPKDRSFGEEKHHATSRMPALASDYLAPYSQLELNQPIASATYPYPDAYYTGMVGPYGAQAVTHFQLPGLTQSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELERKAIKARKPYLHESRHQHAMRRARGTGGRFLNTKKNENGASNERAEPNKGEQNSEYHRVPPDLQLRQA* >Brasy2G294600.2.p pacid=40068124 transcript=Brasy2G294600.2 locus=Brasy2G294600 ID=Brasy2G294600.2.v1.1 annot-version=v1.1 MSGMGSRPEGTNLVEPRGQGALPSGMAMQPWWTVSGLGAVSPAVVAPGSGIGMSLSSNPVGDGATKGKTSEDARADSSEDSQRSGEPKDRSFGEEKHHATSRMPALASDYLAPYSQLELNQPIASATYPYPDAYYTGMVGPYGAQAVTHFQLPGLTQSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELERKAIKARKPYLHESRHQHAMRRARGTGGRFLNTKKNENGASNERAEPNKGEQNSEYHRVPPDLQLRQA* >Brasy2G294600.3.p pacid=40068125 transcript=Brasy2G294600.3 locus=Brasy2G294600 ID=Brasy2G294600.3.v1.1 annot-version=v1.1 MSGMGSRPEGTNLVEPRGQGALPSGMAMQPWWTVSGLGAVSPAVVAPGSGIGMSLSSNPVGDGATKGKTSEDARADSSEDSQRSGEPKDRSFGEEKHHATSRMPALASDYLAPYSQLELNQPIASATYPYPDAYYTGMVGPYGAQAVTHFQLPGLTQSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELERKAIKARKPYLHESRHQHAMRRARGTGGRFLNTKKNENGASNERAEPNKGEQNSEYHRVPPDLQLRQA* >Brasy2G311100.1.p pacid=40068126 transcript=Brasy2G311100.1 locus=Brasy2G311100 ID=Brasy2G311100.1.v1.1 annot-version=v1.1 MRSPPWRPMARLVLALSLLAAWGAEADLADDARALLAFRAAVGRHLAWNATDLGSACSWTGVTCDGGGRVAMLRLPGRALAGDVPAGSLGNLTALHTLSLRFNALSGSLPADLASATALRNVVLNGNKLSGDFPPAFLALPGLVRLALDGNDLSGPIPPALANLTRLKVLLLNNNRFVGQIPELTAQLQQFNVSFNQLNGSIPASLRSKPREAFLGMTGLCGGPLGPCPGEASPSPAPAGKPTTPATDGENSPNGGENGKKNKKLSGGAIAGIAIGSVLGAALLLFLLICLCRRSGRTKTPALQMPPPSPTSALVAGGRKPPEVTSGAAVAPMNTVGHPQVSLGQSTSGKKLVFFGSAANVAPFDLEDLLRASAEVLGKGAIGTTYKAVLESGATVAVKRLKDVTMSEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSALLHGNRGSGRTPLNWAIRSSIALAAARGLEFIHSTSSSTSHGNIKSSNILLAKSYQARVTDNGLATLVGPSSTPSRTTGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRHQNVEEQMVQLLQLAIDCVAQVPDARPTMSHIVVRIDEIKKASEIAEGIDQQQNALHLADGEDQAESVDGPARFAP* >Brasy2G311100.2.p pacid=40068127 transcript=Brasy2G311100.2 locus=Brasy2G311100 ID=Brasy2G311100.2.v1.1 annot-version=v1.1 MRSPPWRPMARLVLALSLLAAWGAEADLADDARALLAFRAAVGRHLAWNATDLGSACSWTGVTCDGGGRVAMLRLPGRALAGDVPAGSLGNLTALHTLSLRFNALSGSLPADLASATALRNVVLNGNKLSGDFPPAFLALPGLVRLALDGNDLSGPIPPALANLTRLKVLLLNNNRFVGQIPELTAQLQQFNVSFNQLNGSIPASLRSKPREAFLGMTGLCGGPLGPCPGEASPSPAPAGKPTTPATDGENSPNGGENGKKNKKLSGGAIAGIAIGSVLGAALLLFLLICLCRRSGRTKTPALQMPPPSPTSALVAGGRKPPEVTSGAAVAPMNTVGHPQVSLGQSTSGKKLVFFGSAANVAPFDLEDLLRASAEVLGKGAIGTTYKAVLESGATVAVKRLKDVTMSEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSALLHGNRGSGRTPLNWAIRSSIALAAARGLEFIHSTSSSTSHGNIKSSNILLAKSYQARVTDNGLATLVGPSSTPSRTTGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRHQNVEEQMVQLLQLAIDCVAQVPDARPTMSHIVVRIDEIKKASEIAEGIDQQQNALHLADGEDQAESVDGPARFAP* >Brasy2G415900.1.p pacid=40068128 transcript=Brasy2G415900.1 locus=Brasy2G415900 ID=Brasy2G415900.1.v1.1 annot-version=v1.1 MASEARSATAMAWPSLLLIILSALATAVTHVHGATGGVGAPAPAVDCTDALLNLAGCLSYVQEGSTVATPDASCCSGLKDVVKKEVACLCQAFQGSQDYGVTLNMTKALQLPDACKVKTPPFSKCHLSVPGVTGGSPAPAPSSGAPIFGESPSSSTPSAASPAGTGGDATARAPSPSSSAPATFQSSAEVFLAAATVAATLLMP* >Brasy2G415900.2.p pacid=40068129 transcript=Brasy2G415900.2 locus=Brasy2G415900 ID=Brasy2G415900.2.v1.1 annot-version=v1.1 MASEARSATAMAWPSLLLIILSALATAVTHVHGATGGVGAPAPAVDCTDALLNLAGCLSYVQEGSTVATPDASCCSGLKDVVKKEVACLCQAFQGSQDYGVTLNMTKALQLPDACKVKTPPFSKCHLSVPGVTAPAPSSGAPIFGESPSSSTPSAASPAGTGGDATARAPSPSSSAPATFQSSAEVFLAAATVAATLLMP* >Brasy2G273900.1.p pacid=40068130 transcript=Brasy2G273900.1 locus=Brasy2G273900 ID=Brasy2G273900.1.v1.1 annot-version=v1.1 MDVVPCIPCVPLCPAAARPPAPLPPPAPTPSRHNPNPTEASSMVVCAPLIWTSIHCPVR* >Brasy2G154500.1.p pacid=40068131 transcript=Brasy2G154500.1 locus=Brasy2G154500 ID=Brasy2G154500.1.v1.1 annot-version=v1.1 MAAAATTTTSRPLLLSRQQAAASGSLQCRVPRRSGLFAGQASGAASMAPGVRCTAVVDTASAPAAAEAAKRKPSSYEIITLTTWLLKQEQAGTIDGEMTIVLSSISTACKQIASLVQRAPISNLTGVQGATNVQGEDQKKLDVVSNEVFSNCLRSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPADECLAGIGENPTLDEVTEMCVVNVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKSGKIYSFNEGNYALWDDKLKSYMDSLKDPGTSGKPYSARYIGSLVGDFHRTMLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIAEQAGGKGSDGHQRVLDIIPTEVHQRVPLYVGSVEEVEKVEKFLA* >Brasy2G410000.1.p pacid=40068132 transcript=Brasy2G410000.1 locus=Brasy2G410000 ID=Brasy2G410000.1.v1.1 annot-version=v1.1 MPLRVRLKVQTSTASQLSAQRNWGGGGMAGGKMRNRREDANGKGGTGFAGRKKGEKREDHKGGGGNTEQKRWRSGAGSYADAKRGASGVDKRKRKGDHDSWHDGDNDASFSKPRPARRNPSTVTRGKFSAREGDRFKPQSSEEDDFRSMRRSSSKASGISRGDKGRSMICMNSQASKWKKFDKDVRVNRRNDGAANADLNEHVAGSRKSDDLSQITEEKPRPRTTRVLDKTGKKLRVFKKDSVSDSEEIAPPKKRKRMKLDPYDTSNKRIEDSTPKQDVCIAEKIIPEKSTPEETEMSINAKFRDIQPSSSILSYVEDNLLGRRRLIDIKNAGYNAKLSAPLDNVPFSTRTERDRIEDSVFRNKLDFFAAAKISSSFPPPTIPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLDRVCLLVHTKRGMKPLDYELIDLMERSKTPYQIVLTKTDLVFPIDVARRAMEIQESLRKNKSVVKPVMMVSSKTGAGIRNLRGVLGKLARFIKP* >Brasy2G233800.1.p pacid=40068133 transcript=Brasy2G233800.1 locus=Brasy2G233800 ID=Brasy2G233800.1.v1.1 annot-version=v1.1 MISIVDKEGDNVSPSLSNINSLYLSSCNLTKIPGALRYLDKVKDLDLSSNQINGIIPSWVWENWKDHLKSLNLSNNMFTTLEKSPSLVHISHLSVLDLSFNRLQGTIPIPVTSHLSYYVQGVLDYSNNNFSSIAPNFGRYLRKITYLNLSKNRLNGSVPFSICSASQLNIMDLSYNNFSLSIPPCLIETGNLRVLKLRENQFHGMLPANIKEGCNLQTIDLNGNHFEGKLPRSLSNCQDLQLLDVGNNQIVDSFPSWLGALPQLRVLVLRSNQLNGTISDMKGGRTINGRFSSLQILDLASNNFSGNLPKRWFIELKAMMGNADDQGQVLGHATNFRLRKGFYHDTITLTFKGGEFTFTKILSAFNVIDFSNNSFDGPIPESIGRLVSLHGLNMSHNNFEGQIPSQFSNLSELEALDLSWNKLSGEIPQDLTSLTSLEWLNLAYNNLSGRIPRANQFLTFSNSSFDGNVRLCGLPLSKQCDTRASIAPGGVSPPEPNSLWQDKLGAILLFAFVGLGFGVGFALSLVLRLRWHIEGWICKHY* >Brasy2G480100.1.p pacid=40068134 transcript=Brasy2G480100.1 locus=Brasy2G480100 ID=Brasy2G480100.1.v1.1 annot-version=v1.1 MANCFGEAVDNYFLRGQHKYAGATRIGQQDRAAEAFDGITRDHIDQNATMYARNLKALQGNGQSTLCLLYNATGDSLHYVADCDWMGFIGRTPYPTEIKNGQWAAFHHVHNTGRATGSEAAVVYRGKNVDGQDRDYLVAWCTPWWFGSNKAYCEIGDVDSFQGRWGHVYDKLNSSHKHSSAEADGCKIDIDTAGGNSPVCKAKFHL* >Brasy2G113100.1.p pacid=40068135 transcript=Brasy2G113100.1 locus=Brasy2G113100 ID=Brasy2G113100.1.v1.1 annot-version=v1.1 MSSAGGDGGLGVGASSSRGGGTARRGPGHDRRFTVFSPEGRLYQLEYALNAVKLAGITSVAVRGVDSVTVFTQHDKDPIDPLLDRTDPVFSRVFAITERLGMLTTGMAADGRALVHEARNQAAEFRFKWGYEIPPRVLAQWIADRAQIRTQHAGMRPYGVVAMIFGIDGEKGTPELFTCDPAGICLGCKAASAGLKDSEAIKYLEEKMAGNPSLSFESTCEMAADALRHVLGDINSLDIEVGSVEKEEPVLRAFLPAAGQSRTGAEQHRG* >Brasy2G410800.1.p pacid=40068136 transcript=Brasy2G410800.1 locus=Brasy2G410800 ID=Brasy2G410800.1.v1.1 annot-version=v1.1 MAPECKAPLLEGRGTKGCATPAQTLGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAGAGATTFYCMLLLLDCRDKLREQELEEGQRQGQDEERRHGNYTYGDLGERCFGPIGRYFTEAIIILCQTGGTVAYLVFIGQNISSVFPGSVGVSPATVVLAFLLPAEVALSFVRSLSALAPFSILADACTVLAVAAVVREDLALLAGPGAFDGGRSAFAGLWGVPFACGVAVFCFEGFCLTLALEASMADRARFRPVLLQAIAGVSAVYVGFGVCGYLAYGDATKDIVTLNLPNTWSTAAIKVVLCVALALTFAVMMHPIHEIVEARLFGAGGWWARRRAAGAGAGGDALERAALQLSRVAVVAALAGVACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRVVGPAAGAWARAVDCGFLLFGVLFAAHGLYTAFSSP* >Brasy2G149200.1.p pacid=40068137 transcript=Brasy2G149200.1 locus=Brasy2G149200 ID=Brasy2G149200.1.v1.1 annot-version=v1.1 MGGSADPDPSPTPSPSPAKPSPSPPSSDAKRLRRCVQSRLSFGSGKSGGGAGGGGVALPAPAATSAAGKETVEEPETGKRRGRPRKSEAGRKPSSNKETAGLDPGSKDEVILIVESPQKKQRKGRNQDAARKLPNRKCCKVLEPTDGHEICQQLHSSQTEAVLPEASPVSVDIDLISVPSEARQAQVIVDLRSEAKIAAQEIRMLSSGKKIHPFFASRKVNKDADQDVSNIEDTDSLCAIERDPLFWPVHVVYQLEASMPIHWRKCLIVGESFLDTSASDTLQNSVSFCEGFVEPLTIESNCKRIRPYKLVEQNVADHTASRMDLPGFSNLQSESKLSSLNIHFDDESLLAYESSHHFGKHPERILQGCSEVLQKCCQPAYDLWTDKYRPETAVQVCGNMEHVKFLSEWLKGWDERGHKNKQNGVINGSINDGYCQDESDTDCSEEASDFENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDTRNGAYVKQKFEEATKSHGLEKWSQEEVTTPPRNDSLDPASGIPDRTEYNQSISCSVKCYSNSKDEAPKQVMNKTLILFEDVDSVFDEDRGFISTILKIAETTKWPIILTSNKKDPSLPHLLGQLVLDFKYPSSGELLSHVGMICKSEGVNVTASQLKHIINACLGDIRRTTLLLQFRYQGKHQYTERSNKCLSGPFSLDLDAIHTTVPRMLPWDFPCKLSETVCMEIEKTILLADEKKRQLEASEFEALELQIIAPLTRGRSAVKTRKIKKSKLGHGHSTECNDISPCKNDLDDFDDAPDTSRPSDQQRVRNKHGVVLLSESDDDQADGYTAKDARFTVPEGGLPPQSSEVPHIHGQGTSNQFCFPSESRETFEIANSLQNQFESNMAGSISQICDTFMSQGVSCVPESSLMIEGVSASVSSDDLLSSMVSNGLSTFHNDGIGITSITALEDTDNAKDLIAESQKCLEDVVGETCEAYAESFGRNEQASCSTAGYQLMDECSQAESIWLLSGKKTNDCCNVECVQDTWNRLRRCCPVLPRETNHNRAVSGALKLASSVSDLISESDLMLTGCYPLTNDILDPSSTPCAEPDDFSWYDKQLEMGSVYTQHALCVFSRYSQDTDDGFIDLSQELLLAGTTATSLGKLVSSGISSSDGYGNISHMENPTSCISKGRDQLVHLSEVLLPVVPSKLSQSLRGPAFVDYLSSMCQISQLENLRLSENKTVNKQRRCRQSRHYLSSGALSLSPEDVELLAQSGCFGERREKLIEKAIA* >Brasy2G149200.2.p pacid=40068138 transcript=Brasy2G149200.2 locus=Brasy2G149200 ID=Brasy2G149200.2.v1.1 annot-version=v1.1 MGGSADPDPSPTPSPSPAKPSPSPPSSDAKRLRRCVQSRLSFGSGKSGGGAGGGGVALPAPAATSAAGKETVEEPETGKRRGRPRKSEAGRKPSSNKETAGLDPGSKDEVILIVESPQKKQRKGRNQDAARKLPNRKCCKVLEPTDGHEICQQLHSSQTEAVLPEASPVSVDIDLISVPSEARQAQVIVDLRSEAKIAAQEIRMLSSGKKIHPFFASRKVNKDADQDVSNIEDTDSLCAIERDPLFWPVHVVYQLEASMPIHWRKCLIVGESFLDTSASDTLQNSVSFCEGFVEPLTIESNCKRIRPYKLVEQNVADHTASRMDLPGFSNLQSESKLSSLNIHFDDESLLAYESSHHFGKHPERILQGCSEVLQKCCQPAYDLWTDKYRPETAVQVCGNMEHVKFLSEWLKGWDERGHKNKQNGVINGSINDGYCQDESDTDCSEEASDFENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDTRNGAYVKQKFEEATKSHGLEKWSQEEVTTPPRNDSLDPASGIPDRTEYNQSISCSVKCYSNSKDEAPKQVMNKTLILFEDVDSVFDEDRGFISTILKIAETTKWPIILTSNKKDPSLPHLLGQLVLDFKYPSSGELLSHVGMICKSEGVNVTASQLKHIINACLGDIRRTTLLLQFRYQGKHQYTDTSRPSDQQRVRNKHGVVLLSESDDDQADGYTAKDARFTVPEGGLPPQSSEVPHIHGQGTSNQFCFPSESRETFEIANSLQNQFESNMAGSISQICDTFMSQGVSCVPESSLMIEGVSASVSSDDLLSSMVSNGLSTFHNDGIGITSITALEDTDNAKDLIAESQKCLEDVVGETCEAYAESFGRNEQASCSTAGYQLMDECSQAESIWLLSGKKTNDCCNVECVQDTWNRLRRCCPVLPRETNHNRAVSGALKLASSVSDLISESDLMLTGCYPLTNDILDPSSTPCAEPDDFSWYDKQLEMGSVYTQHALCVFSRYSQDTDDGFIDLSQELLLAGTTATSLGKLVSSGISSSDGYGNISHMENPTSCISKGRDQLVHLSEVLLPVVPSKLSQSLRGPAFVDYLSSMCQISQLENLRLSENKTVNKQRRCRQSRHYLSSGALSLSPEDVELLAQSGCFGERREKLIEKAIA* >Brasy2G149200.3.p pacid=40068139 transcript=Brasy2G149200.3 locus=Brasy2G149200 ID=Brasy2G149200.3.v1.1 annot-version=v1.1 MNSLFFVHEGHEICQQLHSSQTEAVLPEASPVSVDIDLISVPSEARQAQVIVDLRSEAKIAAQEIRMLSSGKKIHPFFASRKVNKDADQDVSNIEDTDSLCAIERDPLFWPVHVVYQLEASMPIHWRKCLIVGESFLDTSASDTLQNSVSFCEGFVEPLTIESNCKRIRPYKLVEQNVADHTASRMDLPGFSNLQSESKLSSLNIHFDDESLLAYESSHHFGKHPERILQGCSEVLQKCCQPAYDLWTDKYRPETAVQVCGNMEHVKFLSEWLKGWDERGHKNKQNGVINGSINDGYCQDESDTDCSEEASDFENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDTRNGAYVKQKFEEATKSHGLEKWSQEEVTTPPRNDSLDPASGIPDRTEYNQSISCSVKCYSNSKDEAPKQVMNKTLILFEDVDSVFDEDRGFISTILKIAETTKWPIILTSNKKDPSLPHLLGQLVLDFKYPSSGELLSHVGMICKSEGVNVTASQLKHIINACLGDIRRTTLLLQFRYQGKHQYTERSNKCLSGPFSLDLDAIHTTVPRMLPWDFPCKLSETVCMEIEKTILLADEKKRQLEASEFEALELQIIAPLTRGRSAVKTRKIKKSKLGHGHSTECNDISPCKNDLDDFDDAPDTSRPSDQQRVRNKHGVVLLSESDDDQADGYTAKDARFTVPEGGLPPQSSEVPHIHGQGTSNQFCFPSESRETFEIANSLQNQFESNMAGSISQICDTFMSQGVSCVPESSLMIEGVSASVSSDDLLSSMVSNGLSTFHNDGIGITSITALEDTDNAKDLIAESQKCLEDVVGETCEAYAESFGRNEQASCSTAGYQLMDECSQAESIWLLSGKKTNDCCNVECVQDTWNRLRRCCPVLPRETNHNRAVSGALKLASSVSDLISESDLMLTGCYPLTNDILDPSSTPCAEPDDFSWYDKQLEMGSVYTQHALCVFSRYSQDTDDGFIDLSQELLLAGTTATSLGKLVSSGISSSDGYGNISHMENPTSCISKGRDQLVHLSEVLLPVVPSKLSQSLRGPAFVDYLSSMCQISQLENLRLSENKTVNKQRRCRQSRHYLSSGALSLSPEDVELLAQSGCFGERREKLIEKAIA* >Brasy2G084100.1.p pacid=40068140 transcript=Brasy2G084100.1 locus=Brasy2G084100 ID=Brasy2G084100.1.v1.1 annot-version=v1.1 MHPPAPSTGGDQATAPGAYPRLSPEDVAPPPPPPYHAAAAYSAPSYSGNPYVSGPAAGSVPPPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSIADAAMGRVSQITKVIAEGGYEKIFHQTFDVLPEEKLKKPFACYLSTSAGPVMGVLYLSNVKLAFCSDNPLAYKVGDQNEWSYYKVAIPLAQLRSVNSSTSRTNAAEKYIQVVSVDNHEFWFMGFVYYDSAVKHLQEALQEARILQA* >Brasy2G347500.1.p pacid=40068141 transcript=Brasy2G347500.1 locus=Brasy2G347500 ID=Brasy2G347500.1.v1.1 annot-version=v1.1 MATASPPLTLATAYPPLATATGGGRLVVVGGGVAPRRGPRGLAVRSVASDRDVRGSSASADEELSSALSSIDSSAIASNIKHHAEFTPLFSPDHISPLKAYHATAKSVFDALIMNWNATYNYYNRMNVKQAYYLSMEFLQGRALTNAIGNLELTGQYAEALKQLGQNLEDVASQEPDPALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQIITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTKTTNNLRLWSTTVPSQDFDLGAFNAGDHAKANQAHLNAEKICHVLYPGDESSEGKVLRLKQQYTLCSASLQDIISRFETRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNKAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVDIIETIDGELMNGIISKYGTADISLLKQKLKEMRILDNVDLPDSIAKLFIKPKEKKESPGTSKEKLLVKPLESMAEVEEKLESEEEENILPETAEEKAESEEIADAEKEEPEYELDPFAKSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSTIISKWIGSDEWVLNTDKLAELKKFADNEDLQSEWRTAKRNNKMKVVSLIRDHTGYVVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMDAKDRIKSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNHDPAIGDLLKVVFVPDYNVSVAETLIPASELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGEENFFLFGAEAPEIAGLRKERAQGKFVPDPRFEEVKKYVRSGVFGTSNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTRMSILNTAGSPKFSSDRTIHEYAKDIWDISPVILP* >Brasy2G030200.1.p pacid=40068142 transcript=Brasy2G030200.1 locus=Brasy2G030200 ID=Brasy2G030200.1.v1.1 annot-version=v1.1 MPAGAGLALAHLLSVEVKHLVVPRNGRIKGKEHVFVHAAGPRQRRPAWQASIPQRRRVAHVDIPGAGAVFVPLDDEEQAVAVMLRHAVPVVPKERTAPVPGRADQGRACLEPVVSGEDAGELAREDVRQEEAVGVDHAAP* >Brasy2G304000.1.p pacid=40068143 transcript=Brasy2G304000.1 locus=Brasy2G304000 ID=Brasy2G304000.1.v1.1 annot-version=v1.1 MFPFCDSPSPMDLPLYQQLQLSPPSPKPDPEEDHHHQSSFFYYHSSPAFAGADAAFHHSCYLDPGAATLPSAEIDCSPPPELSLMDQAPPAAGQGEHHGSGSGSGVGALESRAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKSAIREVMAADDIDPARSSECEDDDGSSISLSNMPPPEKKGDRGEGKKPAATGRAARRAANLPKPSRKSGGANAHTIPDKESRTKARERARERTKEKNRMRWVTLASTINLESAARDDELIMASPSNNLNRSSSSSMNTAASAKLEERCSTNGGRTIQEASIASHAIMAGAFGNGGIYGSGGCSSGSNYYYQHQQQLEEQQWELGGVVFANSRLY* >Brasy2G238800.1.p pacid=40068144 transcript=Brasy2G238800.1 locus=Brasy2G238800 ID=Brasy2G238800.1.v1.1 annot-version=v1.1 MEVQWWGEKLGGRRKTRGGDERDQFLRFISFAFLLSCLRGGGQFLDELASVVCGLVLTRGWNRHRAAWGGTGVFVSILDTQDRSFHIWVCTLDNPIVHAHSLVLSLFLSAKLSLLPLSISLHPRAAALLDPSPPAQKSTPCRAPLARAPPCPADAYHRAVEASSDQRQRADSLAASAASLVPCRGGGGGGMAGSQKEERP* >Brasy2G465800.1.p pacid=40068145 transcript=Brasy2G465800.1 locus=Brasy2G465800 ID=Brasy2G465800.1.v1.1 annot-version=v1.1 MLHEAAPCTCGLLYGSCGGGCSMLFATAAPGDYHHHYYSSSGKQCGGDDGGFVNGSSYGGGSVDCTLSLGTPSTRRAAEAAAGMPWEAAAVSSCNGNGRQETIATAPRADHQSTNSASAARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPAAAGLGSDSGMEYAYGYARQQQQQQWGCYGPAVAKAAASYGMFGDAAVAEVDNGPCLPWGLGVMPSSPAFGSVREMPSLFQYY* >Brasy2G076600.1.p pacid=40068146 transcript=Brasy2G076600.1 locus=Brasy2G076600 ID=Brasy2G076600.1.v1.1 annot-version=v1.1 MAHISDIKLIRTDTTLDLSQKAEKGILLRVGLALLI* >Brasy2G111800.1.p pacid=40068147 transcript=Brasy2G111800.1 locus=Brasy2G111800 ID=Brasy2G111800.1.v1.1 annot-version=v1.1 MGLYTLAVVAVVLGALRPLRGNCAGDAVESDLLPVVLVPGYGSSQLEARLTAAYEPPAPRCGARKGEGWFRLWPINHTAMRQNPADAPCFADQMSLVYDAVADDYGDAAGVVTRAPFFASTRGLIGWDRLVRQLEDMGYRDGETLFGAPYDFRYSVAPRYYERLTRLIESASSRNQGRPVVLVAHSQGCALAYQFLLSRPLAWRRRFVKHAVLLSAALGGFAEGMNILAAGMDYGLPNLAPEATIRLARSQQSALWRLPTPIVFGHRPLAVTSNNNMTYTAYDVAEFLEAIGFPEGIRPYLTRVLPLWEALPPPMVPVTSMIGAGVSTPETFVYGGDGFMGRPKVVYGDGDGNINMASLVAVEKEWSGVEGQVLKVVRLPGAHHGDFLTVDSAVKKVVAEIHEVGRSVKLCSKTGMQRRAMQ* >Brasy2G261800.1.p pacid=40068148 transcript=Brasy2G261800.1 locus=Brasy2G261800 ID=Brasy2G261800.1.v1.1 annot-version=v1.1 MEGGKGVVLLNCFVSPFGNRVRIALAKKGVEYEETAENMAAKSPLLLSSNPVHGKVPVLLVAGKPPVCESLVILEFIDEAFADAGEQLLPANPCARAHDRFWAAYVDAKMPECAGKVWKSPKGAAAVEEGKKEMVAVMKTLEGELGEKAYFGGDALGYVDVALVTFAPWFLTYERLAGFSVEEECPVLSAWAARCAAENECVAKSLPDAEAVFQFVCGMRKHFGLE* >Brasy2G480200.1.p pacid=40068149 transcript=Brasy2G480200.1 locus=Brasy2G480200 ID=Brasy2G480200.1.v1.1 annot-version=v1.1 MASFGIPVTRATLTEMSRYRHKHINREDCAREAMRLIHSEQKNMEALNYLLKLKDIYGDGVSTMVLIYNATGDTLEVVEEQMKDWLGGVTSEEPPRQFQNGQWLAFLHAHPTAQAYGSEGARVYRCQDMKGQVRDFLVAWSVPWGKTQNSAYTEVREQDYFQAHWEEIKHSLETSGKIISHKTDDSFTSTISIGGLHTSECIAVLQHKFSPLPDEML* >Brasy2G423600.1.p pacid=40068150 transcript=Brasy2G423600.1 locus=Brasy2G423600 ID=Brasy2G423600.1.v1.1 annot-version=v1.1 MSLDHSPTGSLVEYNNDIEEAKSPHTSVAAVSIKDGDASVYKIIHGFLKQKNNSIIRVAARVARKAASNKISRKTSDVFDTLIQQQQSKWGNRTGPLLSGISYCIASCSMILLNKVVLSSYNFNAGISLMLYQNLISVVILLALELFSVISTEELTWKLIKVWIPVNIIFIGMLVTGMYSLKYINVAMVTILKNMTNILTAMGEIYVFKKGQNKQVWAALLMMIVSAVCGGMTDLSFHLVGYTWQILNCFLTAAYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAVILIIVFSEWEYVYQAEVIREPMFWFFATASGLLGLAISFSSVWFLQETSPTTYSLVGSLNKIPISVAGILLFKVPVSVENLFSIVFGLFAGIFFAKAKMSKS* >Brasy2G423600.2.p pacid=40068151 transcript=Brasy2G423600.2 locus=Brasy2G423600 ID=Brasy2G423600.2.v1.1 annot-version=v1.1 MSLDHSPTGSLVEYNNDIEEAKSPHTSVAAVSIKDGDASVYKIIHGFLKQKNNSIIRVAARVARKAASNKISRKTSDVFDTLIQQQQSKWGNRTGPLLSGISYCIASCSMILLNKVVLSSYNFNAGISLMLYQNLISVVILLALELFSVISTEELTWKLIKVWIPVNIIFIGMLVTGMYSLKYINVAMVTILKNMTNILTAMGEIYVFKKGQNKQVWAALLMMLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAVILIIVFSEWEYVYQAEVIREPMFWFFATASGLLGLAISFSSVWFLQETSPTTYSLVGSLNKIPISVAGILLFKVPVSVENLFSIVFGLFAGIFFAKAKMSKS* >Brasy2G362400.1.p pacid=40068152 transcript=Brasy2G362400.1 locus=Brasy2G362400 ID=Brasy2G362400.1.v1.1 annot-version=v1.1 MRATAQIDPSPSPAALAKSRLKRLFERQVLRVSPAERLPLPSVPAAGGEKEKDDLEPSSVCLDGMVRSFLEDDGGGGGERAAAAAPRCINCFNGGEASDDDDDAAEASAMLDAAETIKGLVHCASLRERNLLADVATLVERHRAAGARKRDLLGLLAASLRATGGGGGYDAALCVSRWEKSPTHPAGEHAYIDVLLPACTDRGEHERVLVDVDFRSQFEVARPTKAYRAVLQRLPGVFVGREDRLRLLVAAAADFARASLRKRGLHLPPWRKPEYMRAKWLSPYEREAPPPPTTPDAPANELADAGEGGGGGQSV* >Brasy2G274100.1.p pacid=40068153 transcript=Brasy2G274100.1 locus=Brasy2G274100 ID=Brasy2G274100.1.v1.1 annot-version=v1.1 MKAGTGGGNCAGVVAVAAVSAAVTLATVRLYRGLESDFRRKIQLQHSAQPSCVRPATVAKKKVRFAEYDVVLEPCSNNEEYRSLRRQRPTAGAAGGGQSHLHAHAQFSF* >Brasy2G436800.1.p pacid=40068154 transcript=Brasy2G436800.1 locus=Brasy2G436800 ID=Brasy2G436800.1.v1.1 annot-version=v1.1 MAFLIRARLSAPDDDDDDYGASASTASSLSSGASSRSPSPPPPLGTPRTVAAVLGAPRVAAQLSSSTDDEGDVFDDAADFGDEVLEEVTNGFFCGVARVPPPQPPSTPSDEGPISSADETPEGAGRGGSASEGSEYFGAVEEEGSSDQQGSPGARSAAVDVFYAGARSGLEGSALEEEEGGVVVGDKGGSVGVEGSLDGSFLSSRSVLDEGSTVGDSVNVSDGSVMKADDKQGNQAVSAEDVNVVVPETSIGDIVDASKGDIVGSEQEFAELPPAANSMEEEDAGKALLNDDSDAEDNMPKNEVVTYVEDTSPEHVATRDAATELAELHTNVDSPHFLSDGGHNKGDRETHDDYEASDDPASVPIFGKEMEDSLPASKGTRFGLDDSDEDQANDAYEEEELGGKEIEHFDYAALAELLRVANRSPAQGKAKVFPVKTSDSVQPSPTVVSIPTANMSSTSVADPASVMTDEEKKLYTKVDMARVKYLRLLYRLGYDTEHQVPIQVLYRLSLVEGFRRIRMANHSSELENAWNRALQLEAEGIDNLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTNAFLPATCSVKEIAGFVGGVKFRVIDTPGLGTTIKDEKSNRKVLSSVKKYIKKCPPDVVLYVDRLDTQRQGADDLSLLQCITSVLGLSIWSKVIITFTHSAADPPEGPSGSPMNYEMVVTHRTHALQQSIRQTTNDPRMENPVALVENHHLCRRNMEGEKVLPNGLIWRRLLLLLCYSLKMVAEIESFSTRRAASASLFGIRIQMPPLPYFLSSLLQSREHPRRVNDRNVDLEELLDEDQEEEEYDYDQLPPFKPLSKSQIANLSKEQQKLYFDEYDYRTKLLQKKQLKEQRRRLKEMKKSEGNRHDAPGNNNHPDDEYDIDRSPMPDWALPSSFDSDDPVYRYRCLEPTPNLMVRAVTNPEGWDHDCGFDGVSLQHSLDVASTYPASLWFQVNKDKREFTIHLESSISAKHGEYASTLAGFDIQTMMDQLAYTLRGETKFKNIKKNAATGGLSMTFVGNTMVTGAKFEDKLSVGNRLTLVANTGAVSMNGDTAYGMNMEANLLEKDYPMGQGLATFGASLVRWHKEWTMAANLDSQFSVGRTSRMAVHVDMNNKLTGRVSIKASSSEHLKITLLGICSTAMYLWNRMHPCVDPRYE* >Brasy2G087700.1.p pacid=40068155 transcript=Brasy2G087700.1 locus=Brasy2G087700 ID=Brasy2G087700.1.v1.1 annot-version=v1.1 MSPEEEETLLFPSSFVFPESFPAQDDTPTSGGEQKKAGRQRRRRKARRAGDGAAGDDAAKKRRLSDEQAQFLELSFMKDSKLETTRKLQLAAELGLDTKQVAVWFQNRRARHKSKRMEVEFDKIRAAHDALVVHNCHLEAELLRLKERLAEADEEKKQLMASSAASAAATTGCGGGGGGGGSSSPSSSSFSTVTQLMGQFGMEEAADQADVTYMSEYDYNSYMMDLAGASGYLGGVYDQFS* >Brasy2G211200.1.p pacid=40068156 transcript=Brasy2G211200.1 locus=Brasy2G211200 ID=Brasy2G211200.1.v1.1 annot-version=v1.1 MIDAFAQSQGISLTTHHFKALFGEGMVDGVPVLLAKPQTYINLSGESAGALAAYYKLPLNRVLVAYDDTDLPCGVLRLQPKGGYGRHNGLKSVISHFRENREFGRLRIGLGRPPGQMDPRAFVLQKFNKTGRERIDSAMKEGVDILKMVVTRGVTEAGRLSNADQKYKHLISDDKES* >Brasy2G211200.2.p pacid=40068157 transcript=Brasy2G211200.2 locus=Brasy2G211200 ID=Brasy2G211200.2.v1.1 annot-version=v1.1 MIDAFAQSQGISLTTHHFKALFGEGMVDGVPVLLAKPQTYINLSGESAGALAAYYKLPLNRVLVAYDDTDLPCGVLRLQPKGGYGRHNGLKSVISHFRENREFGRLRIGLGRPPGQMDPRAFVLQKFNKTGRERIYMLPRKSVELLQVETLQQVKIQFGKLQNGGIVHGLFFDI* >Brasy2G446900.1.p pacid=40068158 transcript=Brasy2G446900.1 locus=Brasy2G446900 ID=Brasy2G446900.1.v1.1 annot-version=v1.1 MIKQILGRFPKKPSKSGDKDPTGRSSPSVSNQPFGPRGAERASNLSGQTPVISSSGLSYGSGLHPGNGNSRVNGNSVSSGFELLPSFKDVPNTEKHNLFVRKLNMCCVTFDFSDPAKSIKEKEIKRQTLLELVDYISSASGKFPDIIMQETTKMVSANLFRTLTTPPRENNISAFDLEEDEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPRERDYLKMILHRVYGKFMVHRPVIRKAINNTFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIGTYHQQLSYCITQFVEKDCKLSDTVIRGLLKYWPITNSTKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERSLFLWNNDHIENLIKQNSKVILPIIFPALERNTSGHWNQVVQSLTLNVCKLFSDHDAGLYNECLRKYEEAKAKEKETKLKQEATWKRLEEIASAKATSGEAVLVSRNLPRQSSAV* >Brasy2G446900.2.p pacid=40068159 transcript=Brasy2G446900.2 locus=Brasy2G446900 ID=Brasy2G446900.2.v1.1 annot-version=v1.1 MIKQILGRFPKKPSKSGDKDPTGRSSPSVSNQPFGPRGAERASNLSGQTPVISSSGLSYGSGLHPGNGNSRVNGNSVSSGFELLPSFKDVPNTEKHNLFVRKLNMCCVTFDFSDPAKSIKEKEIKRQTLLELVDYISSASGKFPDIIMQETTKMVSANLFRTLTTPPRENNISAFDLEEDEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPRERDYLKMILHRVYGKFMVHRPVIRKAINNTFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIGTYHQQLSYCITQFVEKDCKLSDTVIRGLLKYWPITNSTKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERSLFLWNNDHIENLIKQNSKVILPIIFPALERNTSGHWNQVVQSLTLNVCKLFSDHDAGLYNECLRKYEEAKAKEKETKLKQEATWKRLEEIASAKATSGEAVLVSRNLPRQSSAV* >Brasy2G453000.1.p pacid=40068160 transcript=Brasy2G453000.1 locus=Brasy2G453000 ID=Brasy2G453000.1.v1.1 annot-version=v1.1 MSGTTLTPQLDYAELVGLLRRRVDGEPFPESHSFFIHEADAHSAGPADLVRGRVHAPGSDRGDGKGGHWYFCCTAKYRETAAGRPTAQRKRTVAGGEGCWHLESKKQPIQDRDKKSVGAFATSFSYRLKLKNPPGTEPRFTYKGTGWCMTEIELEEKHDAGSQLVLWKVYRSPRADRGTSSAAAGDAPPPIDQMPLCSARVADGVSPAAGGSSGMYEAGDGQRGRADAANMPSPSISAAASEPELGKGWVLCCRSGVAAAAAADTVMKFGGSSVASAERMMEAAKLVLSFPAERPPPVVVLSAMGKTTSKLHLAAEKAVRWSTQNMWEIHELEAIKELHLRTVDQLGIDSSIVSGMYVSLPESLPQIHAGIRTGVSLSLIHCKIGVSEFTLFG* >Brasy2G220100.1.p pacid=40068161 transcript=Brasy2G220100.1 locus=Brasy2G220100 ID=Brasy2G220100.1.v1.1 annot-version=v1.1 MQKQLPPPPTFSPPCDLLRARLPGACATSLLPAGRHCLPPSCDPPLLPAIRRRLPPPWEPPPSSSKPRSSPPLPKTRTRSPSPPSRSLPRSRYGIQTSPPSRSQILEKNRSRRRTPPNTGKPAEMHLTPPILSRPYSLPESRPAAPPEGHSSSVVAATIQSAAGPIQSAADSGVDPVLLGLDLMSSRSSWYSSASIQSAGLLEATRKWEAARAGGA* >Brasy2G153000.1.p pacid=40068162 transcript=Brasy2G153000.1 locus=Brasy2G153000 ID=Brasy2G153000.1.v1.1 annot-version=v1.1 MASGQDSSGTTLMDLITSDPSAASAAGASSQQPSSSGGGGGSLGRPTPAPADRKSKRATLMQIQSDTISAAKAFNPVKALPQRNRKKKPVSYSQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILADNGSQGLSATGGIPTPNWDALADIDTVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSSSEMLEKLNEIVFGILEKVADSKQKRKKGIFTKQGGDKESILRSNLQYASLSALRRLPLDLGNPAFLHRAVQGIEFSDPVAVRHALSIISEIAVRDPYSVAMALGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKASEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGAYDEGANLQAYSDNVESLDSDLNENSQPEATRKAKPPSNGHGGLDTVAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESLDELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSVLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALSLGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDELARDTRQHDNSKQEWSDDELKKLYETHERLLDFVSLFCFVPRIKYLPLGPTSAKLIEIYRNRHNISASVGLSDPAVATGISDLMYESKDAHKEIPTMQSGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSAGYDDMWAKTILETYEADEDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPPSYGASQQTIREEPPSYSTSVLQKRESFENPLVGRGGRSSGGRSSGSHEDSEKSSGNPQSGKALYDFTAGGDDELSLNSGEDVEIEYEVDGWYYVKKKRPGRDGKIAGLVPVLYVSS* >Brasy2G326100.1.p pacid=40068163 transcript=Brasy2G326100.1 locus=Brasy2G326100 ID=Brasy2G326100.1.v1.1 annot-version=v1.1 MQARVASMEDMKEARQAALGQGRVFPTGMLKVFLGFLLLGVGLSALGMYMARHTLAAAAPALFRPCLGGAASGGADEELEGLERWTRPPARARHAMTDEELLWRASFAPRVRPYPFARVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYRANFTSDSVFYHRQIASKVAEWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYEYFQNSSQSFVMVFDDPGPYGRGRYNDNMTPEVEITQWRKGSQWFEVDRELAIEIVKDTRYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSVTWVDWSRGGAHPATFGRGDITEEFLRRVQSKHTCLYNNQNSTMCFLFARKFAPSALEPLLVLAPTVLGYG* >Brasy2G240900.1.p pacid=40068164 transcript=Brasy2G240900.1 locus=Brasy2G240900 ID=Brasy2G240900.1.v1.1 annot-version=v1.1 MAGWPVSFFGPQQNVRRWSGCNLISPCNSLHCTHTEACCWVPTPACVSLLLTVSLFSPPRVPYQMIIWRSHGRARATWQASAPSFSSLWGDSDTGA* >Brasy2G229900.1.p pacid=40068165 transcript=Brasy2G229900.1 locus=Brasy2G229900 ID=Brasy2G229900.1.v1.1 annot-version=v1.1 MEIQSNRPLAIPSWVLMDQIFLYDDPGSFRTDDEASAVAEDTNGEPVRVSFDLHSPPGSSNLRIYCPAERKPSFWDTVVAAHDNTVLFRVEVHFDGLPFTHRYAMDYFIYRAFSSSAPKLSLLPRCYSTYDEIMEAGGVDASWRNKWRMVDSNSIGLLLAGEEDFVVAELKIDYWTAAYNQAAPLEAELFRIRSSSNGGGQWELTPTASRDGKVRFQDILGWETHKVVPFTTYLCWVDYNRGVLFCNVCNKVPDLQYLQFPGNVPSIGSPKLFRTVCVTDSGKTMKFVNVVRSRRDGILSCPNCKRPCDNCRQCSGFTISFWTLKVKNNDMDWVDEVVIRADDLWAMDGYDDELPDFVPQFPLVSMEDPHILYFVLCNLPCPHGQEKTWIVTLDMVNKKILRYDDDKALPSDNIFNGFGFFPSNFSNYLTMHSPRENTVPEKKGKENKNKNMKTKKKHYS* >Brasy2G295500.1.p pacid=40068166 transcript=Brasy2G295500.1 locus=Brasy2G295500 ID=Brasy2G295500.1.v1.1 annot-version=v1.1 MFVCRPLQGSENPFIPGEDAAASGRRGEGEMGSVVLPTLRRKREVDAAIRDTLDMVLVLRFGRAADAACLQLDDILAKSSWDISKFATIALVDMDSEEIQVYVDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAVFRGGMKGKLIVSCPLPSERIPKFQLLFKDV* >Brasy2G368100.1.p pacid=40068167 transcript=Brasy2G368100.1 locus=Brasy2G368100 ID=Brasy2G368100.1.v1.1 annot-version=v1.1 MANLPPSLSIGSSFGAPSPPPPPPPAPSGSSSALPAAGGGRDRKMVSAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPVASNRVCNALALLQCVASHPDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYICATAERFFAVATVLAQMVQALAEQPSARLLKHIIRCYLRLTENQRACAALNSCLPTVLKDGTFNTFLQDDHVTRRWLQQLLHNMTIAGMGGGGNSHAGMGGGGSLAGMGGVPSGMGGGPAGMVGGGSHGSMGGGGPHGGLDHLMGL* >Brasy2G299900.1.p pacid=40068168 transcript=Brasy2G299900.1 locus=Brasy2G299900 ID=Brasy2G299900.1.v1.1 annot-version=v1.1 MRAAARTKRPRPRPRQSPPAAATAHPATRTIPDKAHSPLTFPLKFFKLRLANGPPLAPTAKTFKSYAETCASLLRLCHATTTTSNTALASSSSSSSNNLPLALSLHAHAVRSGVAADRSVASHLLTTYAAFARATERDRVFDDCVATDAASSFTYDFMVSQYVKAGDVVSARRLFDGMPERSIVSYTTMIDALMKRGCVEDAVELYELCPLGSVAFYTAMIAGFVRNELHHNALGIFHKMLSCSVRPNEITFVCMIKACVGAGEFGIAMSVVGLAIKLNFFEKEIGVQNSLITLYLRMGDTAAARRMFDEMKVKDVVSWTALLDVYAEMGDLDGARRVLDAMPERNEVSWGTLIARHEQKGNAAESVKLYSQMLADGCRPNISCFSSVFSGCASLEDFRQGTTIHAHTLKMGCSSNVFVSSSLIDMYCKCKQCIDAQRIFDTLPQKNIVCWNSLVSGYSYNGKMVEAVDLFKKMPARNAASWNTIISGYAQNRQFVDALKSFNAMLASGQIPGKITFLSVLLACANLCSLEMGKMAHAKTIKLGIEESVVIGTAISDMYAKSGDLESSKRIFYQMPERNDVTWTAMIQGLAENGFADESILLFEDMLVTRIAPNEHTFLAILFACSHGGLLEQAIHYFETMQAWGISPKEKHYTCMVDVLARAGRLKEAEDLLMQIPIESEANSWAALLSACNIYRNEEIGERAAKRLQELDKDNTAGYVLLSNMYASCGRWKDAAGMRILMKGITLKKDGGCSWVQVRGQYQGFFSWEAKHPLSLEIHEILDLLMWELTA* >Brasy2G299900.2.p pacid=40068169 transcript=Brasy2G299900.2 locus=Brasy2G299900 ID=Brasy2G299900.2.v1.1 annot-version=v1.1 MRAAARTKRPRPRPRQSPPAAATAHPATRTIPDKAHSPLTFPLKFFKLRLANGPPLAPTAKTFKSYAETCASLLRLCHATTTTSNTALASSSSSSSNNLPLALSLHAHAVRSGVAADRSVASHLLTTYAAFARATERDRVFDDCVATDAASSFTYDFMVSQYVKAGDVVSARRLFDGMPERSIVSYTTMIDALMKRGCVEDAVELYELCPLGSVAFYTAMIAGFVRNELHHNALGIFHKMLSCSVRPNEITFVCMIKACVGAGEFGIAMSVVGLAIKLNFFEKEIGVQNSLITLYLRMGDTAAARRMFDEMKVKDVVSWTALLDVYAEMGDLDGARRVLDAMPERNEVSWGTLIARHEQKGNAAESVKLYSQMLADGCRPNISCFSSVFSGCASLEDFRQGTTIHAHTLKMGCSSNVFVSSSLIDMYCKCKQCIDAQRIFDTLPQKNIVCWNSLVSGYSYNGKMVEAVDLFKKMPARNAASWNTIISGYAQNRQFVDALKSFNAMLASGQIPGKITFLSVLLACANLCSLEMGKMAHAKTIKLGIEESVVIGTAISDMYAKSGDLESSKRIFYQMPERNDVTWTAMIQGLAENGFADESILLFEDMLVTRIAPNEHTFLAILFACSHGGLLEQAIHYFETMQAWGISPKEKHYTCMVDVLARAGRLKEAEDLLMQIPIESEANSWAALLSACNIYRNEEIGERAAKRLQELDKDNTAGYVLLSNMYASCGRWKDAAGMRILMKGITLKKDGGCSWVQVRGQYQGFFSWEAKHPLSLEIHEILDLLMWELTA* >Brasy2G299900.3.p pacid=40068170 transcript=Brasy2G299900.3 locus=Brasy2G299900 ID=Brasy2G299900.3.v1.1 annot-version=v1.1 MRAAARTKRPRPRPRQSPPAAATAHPATRTIPDKAHSPLTFPLKFFKLRLANGPPLAPTAKTFKSYAETCASLLRLCHATTTTSNTALASSSSSSSNNLPLALSLHAHAVRSGVAADRSVASHLLTTYAAFARATERDRVFDDCVATDAASSFTYDFMVSQYVKAGDVVSARRLFDGMPERSIVSYTTMIDALMKRGCVEDAVELYELCPLGSVAFYTAMIAGFVRNELHHNALGIFHKMLSCSVRPNEITFVCMIKACVGAGEFGIAMSVVGLAIKLNFFEKEIGVQNSLITLYLRMGDTAAARRMFDEMKVKDVVSWTALLDVYAEMGDLDGARRVLDAMPERNEVSWGTLIARHEQKGNAAESVKLYSQMLADGCRPNISCFSSVFSGCASLEDFRQGTTIHAHTLKMGCSSNVFVSSSLIDMYCKCKQCIDAQRIFDTLPQKNIVCWNSLVSGYSYNGKMVEAVDLFKKMPARNAASWNTIISGYAQNRQFVDALKSFNAMLASGQIPGKITFLSVLLACANLCSLEMGKMAHAKTIKLGIEESVVIGTAISDMYAKSGDLESSKRIFYQMPERNDVTWTAMIQGLAENGFADESILLFEDMLVTRIAPNEHTFLAILFACSHGGLLEQAIHYFETMQAWGISPKEKHYTCMVDVLARAGRLKEAEDLLMQIPIESEANSWAALLSACNIYRNEEIGERAAKRLQELDKDNTAGYVLLSNMYASCGRWKDAAGMRILMKGITLKKDGGCSWVQVRGQYQGFFSWEAKHPLSLEIHEILDLLMWELTA* >Brasy2G495500.1.p pacid=40068171 transcript=Brasy2G495500.1 locus=Brasy2G495500 ID=Brasy2G495500.1.v1.1 annot-version=v1.1 MSARDRETAEALWRVATALDGAVLGLGTAALAVATLGRYLAASGALRRISEAPSVAIPDLRYSLLAGLGEGESRLAVVRGLVSSPTGCRFLIPPGSNENCVVAKHTQTCLFGEWRGIFGWTFDLHALFFKSLKEQMITSFRWVPFGLVDSANEESEEMVLVKLDEAVQQSLPLTTVYHKLIPVEQNSYTLFQTIVGNGYPIALLNEEKILPIGKEITAIGLCRLNNQSVEISSYPEIPFYLSDLTKGEIEAELGSHARTLFWFTVALGTVSVGLLGYAIYRCWERVKLRREAREARRRFHQADDEDDTGENGSGEDDPGEMGDGQLCVICLRKRRKAAFVPCGHLVCCCNCAKRVELMNEALCPVCRQDIQYMIRVYDS* >Brasy2G286000.1.p pacid=40068172 transcript=Brasy2G286000.1 locus=Brasy2G286000 ID=Brasy2G286000.1.v1.1 annot-version=v1.1 MAQRPPPPAAAPPRPEREREVWLACAGPYARLPDTGSRVYYFPRGHADQCRGARLPVQPVDEAMPCIVSAVELLYRAPTDDPYAIIILLPDQGQAQAQGQPSSDHQHQANPTGSRSAYFVKEISSVNDKEAFIVPKACAESLFPSLNHNNEKQTLHLLDVQRKELEFGHIGDDCLTTGWGQYFRDKQLKHMDAVVFIRSANDQLLIGSRRGITCHHRAREQVQDVVKAAEASVAGGGPFTVTYYPRQSWPFVVPREEVDAANARNINWKPGMKVRIACPVDYHELESSRLLAKRRDFYDGKVESVNNTTWGKLEVTWRRSWSQNAPTINDLPRRQVNVWQVQFQGEPQPSSTKKRKMSRRHNFAAGHPSSKSKVPRLMPGATTAQYMVGSPMAAGVQGGRQGSLTDVPSPSSTQVSETPFGAEMAPAPAPGVSTQNIASSSTARSIKLFSVKMAPGVAPVDTTPKDDNPTKNPPAKDDDQNPDESA* >Brasy2G129800.1.p pacid=40068173 transcript=Brasy2G129800.1 locus=Brasy2G129800 ID=Brasy2G129800.1.v1.1 annot-version=v1.1 MRWLVAVVVRQRQSSIAGAVDPLGIEWRTMGLSSDGRRECSDGGARVRAAAGARLQAGAREGCGGRERRRGGEMRRRAREAARGAGCGGGRPRPREASWGQRAREAAWGREIREDARKKSQKDEEVCGIGIADVGRQSSRT* >Brasy2G171900.1.p pacid=40068174 transcript=Brasy2G171900.1 locus=Brasy2G171900 ID=Brasy2G171900.1.v1.1 annot-version=v1.1 MNTTQKVEPAANVAQQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINNYDYKRIPKPNENK* >Brasy2G097900.1.p pacid=40068175 transcript=Brasy2G097900.1 locus=Brasy2G097900 ID=Brasy2G097900.1.v1.1 annot-version=v1.1 MAMVPNGLLPNASAGVTRRLDPERWAVAESRTAELIARIQPNAHSEGRRLAVYNYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKDTWANLVRDALEHEEKSENAEFCVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEIDNLINHNHLFKRSIILVKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNSFTGPLEVLYRFLEFFGNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDTGELLLGKPFLDNCNQAYGVMPRTQETQGQPFVSKHFNVIDPLRTNNNLGRSVGKGNYFRIRSAFCFGAKKLAKLLECPKEDIITEVNQFFTNTLTRHGSGNRPDAPTPNLVPEHTLKVAPAEASSSHRSAMMPKNKVVKTKLRANQDTLPEGSHGYPEPISQPPQRSDLHSRNSPSIVNPGVAQQLQVYVTQPNAKVSEQLERNRSGGSMQSERDRRMPNVLFVNGRNGQNRSPFARTRSSPELTDHSVEGYSRGRRTRVVETEKSVKNDCNSRIPEVSSNHSTKSSQDESMPSMNSSSHPSAKAASDSNSVSSSYHEDNGFMVNEELPSVSEALEMQHEEHALVNLMASAKLHGFNGQVQLPMQQIPSHMSVASLPLLPPAAFPQKHFAGIPPGSPWLNHMQFLQGFAPPPMIHYTHNPTFAQNNDDDNDCEKAVTPDLNLDDGSNQYEYIVQLSRNFNNEGRDTRVHHFDGKNRSSSPNCVSRAPLERQAEYTVEHSGAAEENYTNMFQNQISREVSLNVPMSSGNVRTTSQPSSSKSKAPPESSWDEITAQASRASRDKWGKRPTCTAPATTTLSISKTGWQSGNSTEHMPTEVDEVARNGVVIPTIRNEGSDIIAGSISSETSRTSQVPNDFNLSRIGMHNPMFAPFLIGSPQQRKADNSGLVFFPTGPPVPFVLPNFPGNSDRSVPQFEGNEGIDQFPVNVAVQNFGSPNDVHQPDTSATSTASSRSVADPSVEHKPDILNSDFVSHWQNLQYGRLCQDARPLAPVLYPFAVPPAYLQGHAPWDGPGRPASPNVNWTPMVGPGQRVFPVMPIQPASERATGITQHYGEHAPRYRGGTGTYLPNPKVPFRDRERPSSSRYYRGSYNSDRGDSSDKEGSWANSRQRNPGRTYGRSQSERLGLRSDRQMADESQFDRQRRSHRNDSHRHEAGAQYLVQGQSFGSTNSNRRPGNMAHGVYSSPYIAPNGVGASDQSYFMVYPYEPGANHVSSSEPLEFGSLGPIHMADGGDLPQPTRQVMANGYYGQRHTAFRVSSSHSSPDRPSSPQPRR* >Brasy2G010700.1.p pacid=40068176 transcript=Brasy2G010700.1 locus=Brasy2G010700 ID=Brasy2G010700.1.v1.1 annot-version=v1.1 MLDSQKRKKKKQSASQEMESLPVPSVQAMVAATGGGDVPPRYLRPEAAADAVAGDGEDQIPIIDYQRLLLDPGEESALLHRACQDWGFFQLINHNVPDDVVEGLKANIQGFFQLPAETKKQFAQERGQLEGYGQLFVVSEDQKLDWADILYLNTQPPEDRNMRFWPDQPANFRSTLDKYSTAAKDIADCLLATMAKNLGLEPGVLADKCIGGLQSVRMNYYPPCAQADKVVGFSPHSDADLLTLVLQVNHVQGLQIKRNGSWFPVKPVEGAFVVNIGDIFEIFTNGRYRSIEHRAVVDTEEERLSVAAFHSPNIHGMIGPLKEIAAREGEAYRTLDHENFMKLFFATKLEGKSFLDRMKLKRS* >Brasy2G319900.1.p pacid=40068177 transcript=Brasy2G319900.1 locus=Brasy2G319900 ID=Brasy2G319900.1.v1.1 annot-version=v1.1 MDLLDRSEDEERSSHYGGASGSGSFSGRGSRFGARRLKPRSHSHHQLLLMDSVGNGDCRAPLEEEAEAVPLPEYERLSQSARLPDDPDPKDPLLPPPSAKQSASPRQRQQQKPAAWRLIEYVRSRHRSGSSDGDSRSSDGEKEKDDGGGEECGKEASEEDKKTATKKKKRSSWLPDPDRRWPVQGFY* >Brasy2G168300.1.p pacid=40068178 transcript=Brasy2G168300.1 locus=Brasy2G168300 ID=Brasy2G168300.1.v1.1 annot-version=v1.1 MEGLIRQINALIDRRADEGRGKTQAFLRAFEQIAAPSSRRGGSVRCWATQHAWLVMADPVSLHASLWSPEDEVRVDLPRLTNSNSLPRNCRCLLSHEKPTSHGCVLLVADMDRPVFQYCRTDGGQQRHRWYSHEYSFPGFQVVESIAAAQGDFYFAVSLTELLRMELTCYEFSPEPRFTAVEHGKVWLPHGTEWEQASRHLVGSGGELLMVVQYCLLHDGTFQKQDVYRMDFINRRWADVSDLRGRVLLLGPSRFAASFQANELGLVGDSRVYIVSPEMPMYVHDVKAQMNQLFYRRPADQILSSMHTLAANACGFLSG* >Brasy2G009400.1.p pacid=40068179 transcript=Brasy2G009400.1 locus=Brasy2G009400 ID=Brasy2G009400.1.v1.1 annot-version=v1.1 MDRKRINDALDKHLRSERSSPSTSRAGAAKLSSASRAGAANEQLDSDTENSASDGDDAFWITWFCNLRGNEFFAEVDDDYIQDDFNLCGLSNQVPYYDHALDLILDLEPVQGDVFAEDQNGLIEASADMLFGLIHARYILTTKGLAAMLEKFKNCDFGRCPRVSCGGQPCLPVGQSDIPRSSTVKIYCPKCEDLYHPRSKYQVNTDGAYFGTTFPHLFMMTYSHLKPQKPSHQYIPRIFGFKVHKKT* >Brasy2G017600.1.p pacid=40068180 transcript=Brasy2G017600.1 locus=Brasy2G017600 ID=Brasy2G017600.1.v1.1 annot-version=v1.1 MPLFPSPSSSPPATSGWIRRPFPVTFQSATSLCVSVLVVVLGAWFKDWSSLNKPVRCLDLQALKVFSSSSSCCRGGGWGKGGRKLKDLLRSGISCQEIPIWMSMMVTLFSFRHIWNSILVSMRLMQISTEARRMKYLGAKLTSFGGPLSGLGA* >Brasy2G418200.1.p pacid=40068181 transcript=Brasy2G418200.1 locus=Brasy2G418200 ID=Brasy2G418200.1.v1.1 annot-version=v1.1 MSGATGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIVPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVVIGLDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDEAISCELGMRALSLAIGKLKLKESADYSVTMEKFQSSMGPDPDEDGVLRRGGNLVIKFLENEDVPGFSRFCKEKFKKVSLLRPKATRSSSREIYLICEGLR* >Brasy2G383000.1.p pacid=40068182 transcript=Brasy2G383000.1 locus=Brasy2G383000 ID=Brasy2G383000.1.v1.1 annot-version=v1.1 MFIQGEELPICLWISLEFIHFGEYSMWIWDNQNRANQLEIGGTLPQMILPVQTKRSSLHFAVSPHLSGSWQRSNKRGKEQGETVRACVGERQHLHFQLKEMDDCLPDMNASSNAVLSEQLSFNSRRQSTRSRPPTARALEALAGGFMGTKQKGGEANFPSSSRSSRPVRRPRRSTDVLAPFSSDGEGCSSHFTDPAIVLNECHMSNPQYQTVHSTPSDKSSAKETHELFRADKSTDKGTHEIVWHAVDGMKSSKEFHAQQLR* >Brasy2G247100.1.p pacid=40068183 transcript=Brasy2G247100.1 locus=Brasy2G247100 ID=Brasy2G247100.1.v1.1 annot-version=v1.1 MTQIQPYAAAALPHLPSPPPLGSTPIPPHHLTTMDDSLAAAAAAAASTEEAPKEDPQTIARRYQLEALERALCGNTVAFLGTGSGKTLIAVLLLRAYAHRVRSPARDFAVFLVPTVVLVDQQARVIEAHTDLRVDKFSGDMNVDYWDGPTWRRVVDDAEVLVMTPQILLNNLIHSFFRLQDIALLIFDECHRAKGNHPYACILKEFYHAQLNSRPQDPLPRIFGMTASPVNTKNLQPDTYSKRISQLENLLNSKVYTVDNESALSEYIQYATTRIVHYDDSIIPSNSYNHVMSCLNRLKEKHLEVLKANLYGSSLKVAKKRISRLHRTFLYCTADLGIWLASKAAEVQSSNEQFLSFWGEQIEKDVEGFIRNYSEEVYRELSCCSKTGHIGEDLEANLQDGLLTRKVHCLVQTLLEYRHKQDLRCIVFVERVITSVVLKSLLFTINQMSGWIVKYMTGGISGLHSQSRKERTEILDSFRRGEVHLIVATQVLEEGLDVPSCNLVIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAAALSKTEKYLASGQLMREESLRLASTMCQPLENPLCEEDYYRVESTGAIVTINTSISLIYLFCSKLPSDEYFKPLPRFIINKASCTCTLHLPKSSPVQTVSTEGEVDVLKKAVCLKACRELHAIGALTDSLLPELGFPCEEEPDIVVEKYQHEQPAYFPEELVDNLLSFSCLGIYYCYMISLEGCLQTTAPTDIILAMKCDMGSDFVSRSFKLYGAQDYVTVAIKYMGIIHLNQEQVIMSRRFQTSILSLLINNAIKYYHEMQRSIGIVYLLLPLVSGKIDWCTMKFSAPQEVNNKDVRHCHSCKEVDLLQTKDGLLCRCMLRNSIICTPHNSRLYAITGFLDLNAKSLLHLRDGSILTYITYFKTRHGLRLTNENQPLLAASKLGQVRNFLHKRNYKNEKVSSSSYAVELPPELCRVVMSPVPADILYSFSIIPSVMYRIHGMLLSAKLKVQLGPRMQQFSVPDLKILEALTTKECQEEFSQESLETLGDSFLKYVTTQHLYTKYKLHHEGTLTKMKKNLISNAALCQLACNNNLVGYIQGEVFNPKGWLIPGLGYDTSGNKSFCLSSNGMFSLRKISIKSKRIADTVEALIGAYLSAAGEQAAFLFINSLGMDIGFHSEIPVERNIVIKPEEFINIRSLEIILGYNFKDPLLLIEALTHGSYQIAGPTACYQRLEFLGDAVLDHLFTVYYYNTYPDCTPALLTDLRSASVNNNCYAHASVKAGLNKHILHSSSELHKKMAYYLQNFGQAFTGPSHGWEAGIGLPKVLGDVIESIAGAIYLDSKYDKEMVWRSMKPLLEPLATPETVERDPVKELQEFCDRRSYSRSYTKTHEAGVSSVVAEVQVEGTIYSATRSGLDKTVAQKLAAKSLLEDLKAAAAANDPI* >Brasy2G247100.2.p pacid=40068184 transcript=Brasy2G247100.2 locus=Brasy2G247100 ID=Brasy2G247100.2.v1.1 annot-version=v1.1 MTQIQPYAAAALPHLPSPPPLGSTPIPPHHLTTMDDSLAAAAAAAASTEEAPKEDPQTIARRYQLEALERALCGNTVAFLGTGSGKTLIAVLLLRAYAHRVRSPARDFAVFLVPTVVLVDQQARVIEAHTDLRVDKFSGDMNVDYWDGPTWRRVVDDAEVLVMTPQILLNNLIHSFFRLQDIALLIFDECHRAKGNHPYACILKEFYHAQLNSRPQDPLPRIFGMTASPVNTKNLQPDTYSKRISQLENLLNSKVYTVDNESALSEYIQYATTRIVHYDDSIIPSNSYNHVMSCLNRLKEKAAEVQSSNEQFLSFWGEQIEKDVEGFIRNYSEEVYRELSCCSKTGHIGEDLEANLQDGLLTRKVHCLVQTLLEYRHKQDLRCIVFVERVITSVVLKSLLFTINQMSGWIVKYMTGGISGLHSQSRKERTEILDSFRRGEVHLIVATQVLEEGLDVPSCNLVIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAAALSKTEKYLASGQLMREESLRLASTMCQPLENPLCEEDYYRVESTGAIVTINTSISLIYLFCSKLPSDEYFKPLPRFIINKASCTCTLHLPKSSPVQTVSTEGEVDVLKKAVCLKACRELHAIGALTDSLLPELGFPCEEEPDIVVEKYQHEQPAYFPEELVDNLLSFSCLGIYYCYMISLEGCLQTTAPTDIILAMKCDMGSDFVSRSFKLYGAQDYVTVAIKYMGIIHLNQEQVIMSRRFQTSILSLLINNAIKYYHEMQRSIGIVYLLLPLVSGKIDWCTMKFSAPQEVNNKDVRHCHSCKEVDLLQTKDGLLCRCMLRNSIICTPHNSRLYAITGFLDLNAKSLLHLRDGSILTYITYFKTRHGLRLTNENQPLLAASKLGQVRNFLHKRNYKNEKVSSSSYAVELPPELCRVVMSPVPADILYSFSIIPSVMYRIHGMLLSAKLKVQLGPRMQQFSVPDLKILEALTTKECQEEFSQESLETLGDSFLKYVTTQHLYTKYKLHHEGTLTKMKKNLISNAALCQLACNNNLVGYIQGEVFNPKGWLIPGLGYDTSGNKSFCLSSNGMFSLRKISIKSKRIADTVEALIGAYLSAAGEQAAFLFINSLGMDIGFHSEIPVERNIVIKPEEFINIRSLEIILGYNFKDPLLLIEALTHGSYQIAGPTACYQRLEFLGDAVLDHLFTVYYYNTYPDCTPALLTDLRSASVNNNCYAHASVKAGLNKHILHSSSELHKKMAYYLQNFGQAFTGPSHGWEAGIGLPKVLGDVIESIAGAIYLDSKYDKEMVWRSMKPLLEPLATPETVERDPVKELQEFCDRRSYSRSYTKTHEAGVSSVVAEVQVEGTIYSATRSGLDKTVAQKLAAKSLLEDLKAAAAANDPI* >Brasy2G247100.3.p pacid=40068185 transcript=Brasy2G247100.3 locus=Brasy2G247100 ID=Brasy2G247100.3.v1.1 annot-version=v1.1 MTQIQPYAAAALPHLPSPPPLGSTPIPPHHLTTMDDSLAAAAAAAASTEEAPKEDPQTIARRYQLEALERALCGNTVAFLGTGSGKTLIAVLLLRAYAHRVRSPARDFAVFLVPTVVLVDQQARVIEAHTDLRVDKFSGDMNVDYWDGPTWRRVVDDAEVLVMTPQILLNNLIHSFFRLQDIALLIFDECHRAKGNHPYACILKEFYHAQLNSRPQDPLPRIFGMTASPVNTKNLQPDTYSKRISQLENLLNSKVYTVDNESALSEYIQYATTRIVHYDDSIIPSNSYNHVMSCLNRLKEKHLEVLKANLYGSSLKVAKKRISRLHRTFLYCTADLGIWLASKAAEVQSSNEQFLSFWGEQIEKDVEGFIRNYSEEVYRELSCCSKTGHIGEDLEANLQDGLLTRKVHCLVQTLLEYRHKQDLRCIVFVERVITSVVLKSLLFTINQMSGWIVKYMTGGISGLHSQSRKERTEILDSFRRGEVHLIVATQVLEEGLDVPSCNLVIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAAALSKTEKYLASGQLMREESLRLASTMCQPLENPLCEEDYYRVESTGAIVTINTSISLIYLFCSKLPSDEYFKPLPRFIINKASCTCTLHLPKSSPVQTVSTEGEVDVLKKAVCLKACRELHAIGALTDSLLPELGFPCEEEPDIVVEKYQHEQPAYFPEELVDNLLSFSCLGIYYCYMISLEGCLQTTAPTDIILAMKCDMGSDFVSRSFKLYGAQDYVTVAIKYMGIIHLNQEQVIMSRRFQTSILSLLINNAIKYYHEMQRSIGIVYLLLPLVSGKIDWCTMKFSAPQEVNNKDVRHCHSCKEVDLLQTKDGLLCRCMLRNSIICTPHNSRLYAITGFLDLNAKSLLHLRDGSILTYITYFKTRHGLRLTNENQPLLAASKLGQVRNFLHKRNYKNEKVSSSSYAVELPPELCRVVMSPVPADILYSFSIIPSVMYRIHGMLLSAKLKVQLGPRMQQFSVPDLKGYIQGEVFNPKGWLIPGLGYDTSGNKSFCLSSNGMFSLRKISIKSKRIADTVEALIGAYLSAAGEQAAFLFINSLGMDIGFHSEIPVERNIVIKPEEFINIRSLEIILGYNFKDPLLLIEALTHGSYQIAGPTACYQRLEFLGDAVLDHLFTVYYYNTYPDCTPALLTDLRSASVNNNCYAHASVKAGLNKHILHSSSELHKKMAYYLQNFGQAFTGPSHGWEAGIGLPKVLGDVIESIAGAIYLDSKYDKEMVWRSMKPLLEPLATPETVERDPVKELQEFCDRRSYSRSYTKTHEAGVSSVVAEVQVEGTIYSATRSGLDKTVAQKLAAKSLLEDLKAAAAANDPI* >Brasy2G247100.4.p pacid=40068186 transcript=Brasy2G247100.4 locus=Brasy2G247100 ID=Brasy2G247100.4.v1.1 annot-version=v1.1 MTQIQPYAAAALPHLPSPPPLGSTPIPPHHLTTMDDSLAAAAAAAASTEEAPKEDPQTIARRYQLEALERALCGNTVAFLGTGSGKTLIAVLLLRAYAHRVRSPARDFAVFLVPTVVLVDQQARVIEAHTDLRVDKFSGDMNVDYWDGPTWRRVVDDAEVLVMTPQILLNNLIHSFFRLQDIALLIFDECHRAKGNHPYACILKEFYHAQLNSRPQDPLPRIFGMTASPVNTKNLQPDTYSKRISQLENLLNSKVYTVDNESALSEYIQYATTRIVHYDDSIIPSNSYNHVMSCLNRLKEKAAEVQSSNEQFLSFWGEQIEKDVEGFIRNYSEEVYRELSCCSKTGHIGEDLEANLQDGLLTRKVHCLVQTLLEYRHKQDLRCIVFVERVITSVVLKSLLFTINQMSGWIVKYMTGGISGLHSQSRKERTEILDSFRRGEVHLIVATQVLEEGLDVPSCNLVIRFDPPATVCSFIQSRGRARMQNSDYVLLVRRGDAAALSKTEKYLASGQLMREESLRLASTMCQPLENPLCEEDYYRVESTGAIVTINTSISLIYLFCSKLPSDEYFKPLPRFIINKASCTCTLHLPKSSPVQTVSTEGEVDVLKKAVCLKACRELHAIGALTDSLLPELGFPCEEEPDIVVEKYQHEQPAYFPEELVDNLLSFSCLGIYYCYMISLEGCLQTTAPTDIILAMKCDMGSDFVSRSFKLYGAQDYVTVAIKYMGIIHLNQEQVIMSRRFQTSILSLLINNAIKYYHEMQRSIGIVYLLLPLVSGKIDWCTMKFSAPQEVNNKDVRHCHSCKEVDLLQTKDGLLCRCMLRNSIICTPHNSRLYAITGFLDLNAKSLLHLRDGSILTYITYFKTRHGLRLTNENQPLLAASKLGQVRNFLHKRNYKNEKVSSSSYAVELPPELCRVVMSPVPADILYSFSIIPSVMYRIHGMLLSAKLKVQLGPRMQQFSVPDLKGYIQGEVFNPKGWLIPGLGYDTSGNKSFCLSSNGMFSLRKISIKSKRIADTVEALIGAYLSAAGEQAAFLFINSLGMDIGFHSEIPVERNIVIKPEEFINIRSLEIILGYNFKDPLLLIEALTHGSYQIAGPTACYQRLEFLGDAVLDHLFTVYYYNTYPDCTPALLTDLRSASVNNNCYAHASVKAGLNKHILHSSSELHKKMAYYLQNFGQAFTGPSHGWEAGIGLPKVLGDVIESIAGAIYLDSKYDKEMVWRSMKPLLEPLATPETVERDPVKELQEFCDRRSYSRSYTKTHEAGVSSVVAEVQVEGTIYSATRSGLDKTVAQKLAAKSLLEDLKAAAAANDPI* >Brasy2G279300.1.p pacid=40068187 transcript=Brasy2G279300.1 locus=Brasy2G279300 ID=Brasy2G279300.1.v1.1 annot-version=v1.1 MGQLGKSLMVLWSEQVKPFSHKQIVQPQIFIIPSHFPCSRPLLQSHRPCIWLFHHCSSASDDSLTFFLSKNNQGQPNRI* >Brasy2G035900.1.p pacid=40068188 transcript=Brasy2G035900.1 locus=Brasy2G035900 ID=Brasy2G035900.1.v1.1 annot-version=v1.1 MQLQLAPVYIWHHLRRLHEQPLRNRVRVVHAQVHFAGLVRARTCRCQTEHRILRFHARLLEPTPTSWHCPWMTAAQMRWSRPCRPVRHGEGGGGAIHHGALQHAHARTDAWTFYAAG* >Brasy2G035900.4.p pacid=40068189 transcript=Brasy2G035900.4 locus=Brasy2G035900 ID=Brasy2G035900.4.v1.1 annot-version=v1.1 MQLQLAPVYIWHHLRRLHEQPLRNRVRVVHAQVHFAGLVRARTCRCQTEHRILRFHARLLEPTPTSWHCPWMTAAQMRWSRPCRPVRHGEGGGGAIHHGALQHAHARTDAWTFYAAG* >Brasy2G035900.2.p pacid=40068190 transcript=Brasy2G035900.2 locus=Brasy2G035900 ID=Brasy2G035900.2.v1.1 annot-version=v1.1 MQLQLAPVYIWHHLRRLHEQPLRNRVRVVHAQVHFAGLVRARTCRCQTEHRILRFHARLLEPTPTSWHCPWMTAAQMRWSRPCRPVRHGEGGGGAIHHGALQHAHARWMI* >Brasy2G035900.3.p pacid=40068191 transcript=Brasy2G035900.3 locus=Brasy2G035900 ID=Brasy2G035900.3.v1.1 annot-version=v1.1 MQLQLAPVYIWHHLRRLHEQPLRNRVRVVHAQVHFAGLVRARTCRCQTEHRILRFHARLLEPTPTSWHCPWMTAAQMRWSRPCRPVRHGEGGGGAIHHGALQHAHARWMI* >Brasy2G085000.1.p pacid=40068192 transcript=Brasy2G085000.1 locus=Brasy2G085000 ID=Brasy2G085000.1.v1.1 annot-version=v1.1 MVGSGGSQGCRMKTAAKNNNKKFSGLPNIRKLKQLWSSISKTSSCFLCYTGVQFI* >Brasy2G083300.1.p pacid=40068193 transcript=Brasy2G083300.1 locus=Brasy2G083300 ID=Brasy2G083300.1.v1.1 annot-version=v1.1 MRTSSSSSFFLLVAVAGIMIYGATGCGEPFKQEPEQLSVGAWEPILNLNDPSIRELGAWAVAEYGSHANCRLKFNKVVSGRKQLVAGVTYELFIDASPELVAAGGGGGSSTFKAVVYEKAGANSRKLVSFVKANL* >Brasy2G438800.1.p pacid=40068194 transcript=Brasy2G438800.1 locus=Brasy2G438800 ID=Brasy2G438800.1.v1.1 annot-version=v1.1 MRAPQAHPSMARGGGGWRRKVTPNLGADQEGTRTLNLTVLRRLDPAVADILIIAAHVTAYSFDECTNQWSRKGVEGSLFVVKRNTQPRFQFIVMNRRNTENLVEDLLRNFEYQVEVPYIMYRNALDEVIGIWFYDPQECEEVAHLFSRIHKAFSRASPEAMVSAVKSFSDFEEPEVASDVPSTEDTVISALITAAACVGAPTGGTGAVQPNQSVRTIASSRHASPSAMSSHPPVALHSLPPSRTPSAPVMPQDAHRSTSAPAIEPASLTKPLLFPPITSSETTTAPAPFSSSVPPLHPPLAIQHQRSAPWQQPFPPTAPPPLHPQHRQSAPLLQPFPPPPPAASLPPPYGAPILQPFPPPNPYPLLAPTACHGPLLSRDSVRGAMLKLVQNDDFIDMVYREIVKGQQYL* >Brasy2G438800.2.p pacid=40068195 transcript=Brasy2G438800.2 locus=Brasy2G438800 ID=Brasy2G438800.2.v1.1 annot-version=v1.1 MRAPQAHPSMARGGGGWRRKVTPNLGADQEGTRTLNLTVLRRLDPAVADILIIAAHVTAYSFDECTNQWSRKGVEGSLFVVKRNTQPRFQFIVMNRRNTENLVEDLLRNFEYQVEVPYIMYRNALDEVIGIWFYDPQECEEVAHLFSRIHKAFSRASPEAMVSAVKSDFEEPEVASDVPSTEDTVISALITAAACVGAPTGGTGAVQPNQSVRTIASSRHASPSAMSSHPPVALHSLPPSRTPSAPVMPQDAHRSTSAPAIEPASLTKPLLFPPITSSETTTAPAPFSSSVPPLHPPLAIQHQRSAPWQQPFPPTAPPPLHPQHRQSAPLLQPFPPPPPAASLPPPYGAPILQPFPPPNPYPLLAPTACHGPLLSRDSVRGAMLKLVQNDDFIDMVYREIVKGQQYL* >Brasy2G404400.1.p pacid=40068196 transcript=Brasy2G404400.1 locus=Brasy2G404400 ID=Brasy2G404400.1.v1.1 annot-version=v1.1 MPIKPSGLPEYPGVRRLRHRRLLTYLRLQGLYPAFQSYAPLPPPTLLSSSVPTAQLIKASVVNVVFLQSFGTFTNIVAGANCGAVTASAHRHDICIGMGMSRNAKLFAILDGMLKSPKFRDSLNWELVRFKASAIARELAREAPELRRRMQLPDDPLAPHDVLPIGFVTSDNLNHSL* >Brasy2G231300.1.p pacid=40068197 transcript=Brasy2G231300.1 locus=Brasy2G231300 ID=Brasy2G231300.1.v1.1 annot-version=v1.1 MAGMDAKIAVLLSLLAVSFLARTLLIHQPRLDATRRLLSSTFDLRLVELVNRRNMILLCHAILLLILNDAGLLTAPARHRTTANCSEPQPKSSTCAQDKREHRRSWSKTTTTTRLRRRIPLLPSQDTAVQEIDLLEVEKPSFQCCFNFDHADGNENEIGVASDNISSMHFEPVAEDEGSRGKVEEMAPQETEAEDVDEMNKRFEDFIAKMRTKMQLESMQLVKV* >Brasy2G098800.1.p pacid=40068198 transcript=Brasy2G098800.1 locus=Brasy2G098800 ID=Brasy2G098800.1.v1.1 annot-version=v1.1 MAAVWSGCSTSRGSFSQELPRRSGEGAGTRPRNGGYARRVSSSMVMARGVCVCRAAPCVLESDVTGKKEEVGLGIWGMGDERPGTGSSDDHKRHGLRRRPVRPAAVEKDPVRARSVPPASVLGSPDKFVHEGSRLHFLEERDEEILSRRLINLSKSNKVRSATELFDSMRASGLQPNAHACNSLLASFVRRGYSTDAMKMFEFMKGKGLATGHTYTLILKAVASTEGYVSALQMFSEIEEGKESRGILDVIVYNTMISACGRAKDWRQVEKLWRRLVENSISGTLMTYDLLVSTFVQCGQSELAIAAYQEMLLNGLNPSEDIMKAIIASCTKEGRWEFALSTFRRMLSADMKPNIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLEPDQYTWSALLSALYRSGRCWDALELFQGIKSKHSSVLNSYLYNIALMSCERLGQWEHALQLLWMMEKSGLQISVVSYNHVIRACEVACEAKVALKVYQRMTHERCSPDTFTHLSVIRACIWGSLWNKVEDILEEVEPDSSIYNTVIQGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQHIAGAE* >Brasy2G161600.1.p pacid=40068199 transcript=Brasy2G161600.1 locus=Brasy2G161600 ID=Brasy2G161600.1.v1.1 annot-version=v1.1 MAMCRAPSMCLLLVAMVNLLPWGTAMESIGSVCGGTAYTGSGKAGVSTVLADLVAKGSTTGGGFATSHAGKPGSPDDDVYGLAQCRGDVSVSDCSACLADAARQLPALCGYGSDARIWYDYCFLRYLNTNFAGQPDTGAGVVYVNVQAAADNPRAFAKTVGKAMRRATAQAPGSSSGGLGRAKQLHTPFVAVYGLAQCTRDLAPLACAQCLSETLSRFGDYCGGGAQLGCQINYSSCRLRYEIYPFYFPLDDDSARAGFRGATDTANYTKIIIVHD* >Brasy2G161600.2.p pacid=40068200 transcript=Brasy2G161600.2 locus=Brasy2G161600 ID=Brasy2G161600.2.v1.1 annot-version=v1.1 MAMCRAPSMCLLLVAMVNLLPWGTAMESIGSVCGGTAYTGSGKAGVSTVLADLVAKGSTTGGGFATSHAGKPGSPDDDVYGLAQCRGDVSVSDCSACLADAARQLPALCGYGSDARIWYDYCFLRYLNTNFAGQPDTGAGVVYVNVQAAADNPRAFAKTVGKAMRRATAQAPGSSSGGLGRAKQLHTPFVAVYGLAQCTRDLAPLACAQCLSETLSRFGDYCGGGAQLGCQINYSSCRLRYEIYPFYFPLDDDSARAGFRGATDTANYTKIIIVHD* >Brasy2G157300.1.p pacid=40068201 transcript=Brasy2G157300.1 locus=Brasy2G157300 ID=Brasy2G157300.1.v1.1 annot-version=v1.1 MAAARSLSLLLPLAPPAIPPPALFFSRPAAYRRASNAGGGCGGATGRLRLTRLRPAAAVAEEVEQTEAMMRVAADDDSITATVVSALLTIAFVGLSLLTIGVIYLAVQDFLQKRESEKFAREEEERQKEEARKKRAKARGKKRNRQ* >Brasy2G398300.1.p pacid=40068202 transcript=Brasy2G398300.1 locus=Brasy2G398300 ID=Brasy2G398300.1.v1.1 annot-version=v1.1 MASAVKSSRSRPSGHSGVFPVSAAAAAGADGGVQLADKLKIFKTDKFDPDSYVQSKCRTMNEKEIRHLCSYLQDLKKASAEEMRRSVCANYAAFIRTSKEISDLEGELLSVRNLLNTQSALIHGLSEGVQIDSLTTGLEGATEESKSSLEDQEPSEIQKWHADFPDMLDVLLAERRVDEALDALDEAEQITADAIQKQALATADILALQRVISENRQKLSDQLAEAACQSSTCGIELRAAASALKRLGDGPRAHSLLLSAHSQRLESNIQTTHPSSTAYGGAYTASLAQQVFSVIAHALNDSAEVFGDEPAYASELVTWAAKQVLSFALLVKRHALASCAAAGGLRAAAECVQIALGHSSLLEARGLSLSAVLMKQFRPSVEQAIDSNLRRIEESAAALAAADDWVLSYPPTGIRTFGRSSAGNFSLQPKLSSSAHQFNSMVQDFFEDVGPLLSLQLGGSALDGILKTFSTYVSLLMSALPGSMDDEANFESLGSKIIRIAETEEQQLALLANASLLAEELLPRAAMKLSSVNQSGIDSMRKRGPDKPNRSTEQREWKRKLQRMVDKLRDSFCRLHALDLIFTEEGDTRLSAETYINMDNNAEEIEWVPSLVFQELYAKLNRMAGIAAEMFVGRERFATLLMMRLTETVVLWLSEDQSFWEEIEEGARALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILDIIHRAMTAFSATGIDPDSVLPSDDWFVDVAQETISRISGKARPANTEREVNSPTASVSAQSVSSVRSHGSS* >Brasy2G354300.1.p pacid=40068203 transcript=Brasy2G354300.1 locus=Brasy2G354300 ID=Brasy2G354300.1.v1.1 annot-version=v1.1 MDFALVDGESFSPSCSTLVMPALSIGNVGQLAVDLLIPSSKARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEEYESPSHGLAFIQQRSPIITGMMVSFAKNIANFISSIGKEHVVILSSLDSGKRRVIDASSDMLYYLSSCNEDGSDPEHEKLGWKKLEEYDPSQRRWKCLASLVQGGVLSEDVDDDPEEMTASDYYASLPFVALFLACKAKGLKVSCVLCYCSEGDNMPESFHLAEAVCKLQGQDPEQFHGNGPNGWTIPLSWRSVYGPPPDMSIF* >Brasy2G354300.2.p pacid=40068204 transcript=Brasy2G354300.2 locus=Brasy2G354300 ID=Brasy2G354300.2.v1.1 annot-version=v1.1 MDFALVDGESFSPSCSTLVMPALSIGNVGQLAVDLLIPSSKARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEEYESPSHGLAFIQQRSPIITGMMVSFAKNIANFISSIGKEHVVILSSLDSGKRRVIDASSDMLYYLSSCNEDGSDPEHEKLGWKKLEEYDPSQRRWKCLASLVQGGVLSEDVDDDPEEMTASDYYASLPFVALFLACKAKGLKVSCVLCYCSEGDNMPESFHLAEAVCKLQGQDPEQFHGNGPNGWTIPLSWRSVYGPPPDMSIF* >Brasy2G354300.3.p pacid=40068205 transcript=Brasy2G354300.3 locus=Brasy2G354300 ID=Brasy2G354300.3.v1.1 annot-version=v1.1 MDFALVDGESFSPSCSTLVMPALSIGNVGQLAVDLLIPSSKARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEEYESPSHGLAFIQQRSPIITGMMVSFAKNIANFISSIGKEHVVILSSLDSGKRRVIDASSDMLYYLSSCNEDGSDPEHEKLGWKKLEEYDPSQRRWKCLASLVQGGVLSEDVDDDPEEMTASDYYASLPFVALFLACKAKGLKVSCVLCYCSEGDNMPESFHLAEAVCKLQGQDPEQFHGNGPNGWTIPLSWRSVYGPPPDMSIF* >Brasy2G319200.1.p pacid=40068206 transcript=Brasy2G319200.1 locus=Brasy2G319200 ID=Brasy2G319200.1.v1.1 annot-version=v1.1 MEKALVERLEAAVARLEAAAASGASFSSAPRDFGEVLATSDPAIVAYDEFVAQAIGRLTAAAEKIGGKVLDSTKVLAEAFTVAKDLLIQAKQLQKPASMANAQDFFKPLNDVVGKAIAMTEGRRPDYFNHMKSVADSLAALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKELYVPGLRDYVKKYYPLGPVWGPVGGAPVSQPKAAAPTPKAPAVNAPPPPAPPSAPLFSTEKSPKSAKPKEGMSAVFQEISSGKPVTSGLRKVTDDMKTKNRADRSGVVNSTAATAPVPEKTSRGASFSFKSGPPKLELQMGRKWVVENQVGKKDLAIDDCDSRQSIYVYGCKDSVLQVNGKVNNITVDKCAKFGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKHSLGASITSAKSSEMNVLVPSGVTDGDWVEHALPQQYIHSFKDGQFVTSPVSHSGA* >Brasy2G135000.1.p pacid=40068207 transcript=Brasy2G135000.1 locus=Brasy2G135000 ID=Brasy2G135000.1.v1.1 annot-version=v1.1 MVRKCFDFTKDQVAAVKRACGSVSTFCALTAHVWQCVCVARRLPADATTLLTFFANIRSRMSPPLPDTYFGNGVIMMGSSCEVREITSEAVASVAERIKGVIHRMDDELVRSAIDYLELDIGNSPPQAGREGGIPGTGLSVASWRGMGMYDADFGWGKPLGMTSMRVGEDRTGYVYLLDNGGDRDGGVRVLVFLEAAFLDDFQRLLCADFL* >Brasy2G444000.1.p pacid=40068208 transcript=Brasy2G444000.1 locus=Brasy2G444000 ID=Brasy2G444000.1.v1.1 annot-version=v1.1 MDSAALLASRALNAPPRRHRHHLTPRAQCPTSAPAPMPRRRRLSTAARLQAVAADEIPADPSSPVDLPGVAAERAEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHGRAGGAAHSFDVKGFHFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVHVPEGQFLSRIGPTDFLKDLETYVGVDATREWQKLLDAVLPISAAAMALPPLSIRGDLGVLSTAAARYAPSLLQAFLKMGPQGALGATKLLRPFSEIVDSLELKNPFVRNWIDLLCFLLAGVKSDSALSAEMVYMFAEWYKPGCLLEYPLGGSGAIIDALVLGIKKFGGRLALNSHVEKILIENGRAVGVKLRGGQIVRAKKAVVSNASMWDTLDLLPPDAVPKSYQDKVKETPQCDSFMHLHLGFDAENAREDLGIHHIVVNDWNKGVDGEQNVVLISVPSVLGRGLAPPGKHILHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI* >Brasy2G258200.1.p pacid=40068209 transcript=Brasy2G258200.1 locus=Brasy2G258200 ID=Brasy2G258200.1.v1.1 annot-version=v1.1 MHLETRPDTMNPANRNPLFKPSRHPHGFAHTTLSRDRVRTTEELDHRSRASAMGGLSMDQAFVQAPEHRPKAALAEAAGVPLIDLSPLSAADDEAGLEAVAAEVGKASREWGFFVVVRHGVPEEKVARALEAQRAFFALPADRKVAVRRDEGAPLGYYESEHTKNVRDWKEVFDLVPHEPPPPAAVADGELVFQNKWPEDDLPGFRGAFEEYAEAMEELAFKLLELIARSLGLRPDRMHGFFGDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDVGGLDVRRRSDGEWARVKPVPDSFIVNVGDIVQVWSNDRYESAEHRVSVNSDKERFSMPYFFNPGSGTMVEPLEELVSDESPARYHAYNWGNFFSTRKNSNFRKLDVENVQIAHFRKDLPVA* >Brasy2G464000.1.p pacid=40068210 transcript=Brasy2G464000.1 locus=Brasy2G464000 ID=Brasy2G464000.1.v1.1 annot-version=v1.1 MADLVVGLAKSVVEGALTKAQSAIEEEAKLRQSAQRDLVFITGEFQMMQSFLNVADAERLGNPVVRTWVRQIRELAYDVEDCVEFVVHLDKRSLWWRRMLPASFLPRAAASQLDDAVGELEHLKARVEDVSARNARYSLISDSGSKPAKKPKASAAAAAGGGGAAAAAFSLLIEARDASKRQQGIGDLTQFLTKKDEDLQLQVISVWGTAGDLGAASLIRKAYNDPEIHPAFKRRAWVKIMHPFDPLQFIRSLMAQFHANSCDKEDAIVGVEVLRKMESSSQDQLLQEFEEQVKTMKYLVVLEDVCTIAEWDTIRSFLPDRKNGSWIIVSTQQFEIASLCIGHAYQVLELKQFSSKHSVCAFFKEGSQEDDDQVEETDKASTSNNEIPVSRDLSKAGGSCNLSKAGGSSDLSKAGGSSDLSKAGGSCDLSTYKKILSSKRSEAGDWMQKFPLVGRESEMNKLHGHAAKAHLKAWNVISVWGIAGIGKSALVKNFYCENMCQKDQLFNKFSWVEVTQPFNLRDFCRSLLWDFRSESLQAKDTAYHGAMSSKNPIHECCKLLKNYQCLVVIDDMQYIEDWDLIKAELISRHSKSVIVVITSEAKIATHCSDNEELVVNVKGLEADAAFELFKLEVHRKNESCPLNSREKELEELILKCGGLPKVIVEIARLLAMKTVTLMETASALNLRFMHELETNPEFDSLRGLFVWMQSYFRTCPDFLKPCIFYLSIFPLDHRIRRRRLVRRWIAEGYARDSDEKSAEENGEDYFSSLLDLSIIQQPPQSVPTNLNDTRMILCQVNGFVREYIVSRRMEENLVFELGGSCSLTTQRTGRHLIILESWDRDKIVFNSIDFSRLRSMTVFGKWKSFFISDTMKILRALDLENAEEVTDDDLHQMVKLLPRLKFLSIRGCREISHLPSSVGDLRQLQSLDVRDTSIASLPANITNLPKLQYIRAGNTTTSEEASTPCLPVSMFSKLCRPGVEVPGGIGKLTALHTLGVVNVSASGGKAILKELKVLTQLRKLGVSGINRKNRDEFCSAISSHSHLESLSVWLDKGSEHCLDDISLPLKNVQSLKLYGLADKLPMGIELLSKLTKLELAMDTLKEDGIAVLGDVPKLFTLRVNQCQDGNLNFCVEKNGRELRTYQKVRILEIACSSSLQVAFGSETMQNLEQLKVDCCNGSSVKFPNLENLSQLKEVVLKGCRDEKLTEDLQGQLLRHPNKPALKLEYIRPGALNGHCPSLH* >Brasy2G044300.1.p pacid=40068211 transcript=Brasy2G044300.1 locus=Brasy2G044300 ID=Brasy2G044300.1.v1.1 annot-version=v1.1 MEAAAAAAGVQLGTTKPQIATQAEMAEARIPIPYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHSYEKCQYELVMERMLQMQKIREAQGGAKVKGGATIGVPLIPSTAKLA* >Brasy2G358800.1.p pacid=40068212 transcript=Brasy2G358800.1 locus=Brasy2G358800 ID=Brasy2G358800.1.v1.1 annot-version=v1.1 MHPDATSPSHRIARVAAHLNPLPPQRPQMEEAGLRPAACRAKGAAPGFKVAVLGAAGGIGQSLSLLMKMNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPQQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGIAKCCPNAIVNLISNPVNSTVPVAAEVFKRAGTYCPKRLLGVTTLDVARANTFVAEVLGVDPREVSVPVVGGHAGVTILPLLSQVRPPCSFTPDEISYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRGMRGDAGIVECSYVASEVTELPFFATKVRLGRGGAEEILPLGPLNDFERAGLEMAKKELAESIQKGVSFMNK* >Brasy2G057500.1.p pacid=40068213 transcript=Brasy2G057500.1 locus=Brasy2G057500 ID=Brasy2G057500.1.v1.1 annot-version=v1.1 MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDKMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEETRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNNASGIPVEDIKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESVFIPLDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYCVKPLRFDAIFKLRRVMILGRTVDLRSLITQRMNKLFRENIDFLLERFENGDLCAVVELQQLLDILELTHQLISRFLELDSYSLMLSEMQENLSLVSYSSRISSQMWNEMQSDFFPNFILCNTTQRFVRSLKGAHHNSQRSNISAGKPYFYCGSHDLTMAYQGLAGLYLDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKIEVLHDLKEIGSALYWMSLLDIVLRQMDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPLTTLLSAATNAVASSPACPNPSSFLAMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDNITNPSKKREMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIEIVTVSLYQSADKSKSPIFLQKGYEAILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTISAFETLPQRVNS* >Brasy2G057500.2.p pacid=40068214 transcript=Brasy2G057500.2 locus=Brasy2G057500 ID=Brasy2G057500.2.v1.1 annot-version=v1.1 MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDKMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEETRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNNASGIPVEDIKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESVFIPLDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYCVKPLRFDAIFKLRRVMILGRTVDLRSLITQRMNKLFRENIDFLLERFENGDLCAVVELQQLLDILELTHQLISRFLELDSYSLMLSEMQENLSLVSYSSRISSQMWNEMQSDFFPNFILCNTTQRFVRSLKGAHHNSQRSNISAGKPYFYCGSHDLTMAYQGLAGLYLDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKIEVLHDLKEIGSALYWMSLLDIVLRQMDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPLTTLLSAATNAVASSPACPNPSSFLAMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDNITNPSKKREMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIEIVTVSLYQSADKSKSPIFLQGYEAILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTISAFETLPQRVNS* >Brasy2G057500.3.p pacid=40068215 transcript=Brasy2G057500.3 locus=Brasy2G057500 ID=Brasy2G057500.3.v1.1 annot-version=v1.1 MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDKMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEETRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNNASGIPVEDIKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESVFIPLDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYCVKPLRFDAIFKLRRVMILGRTVDLRSLITQRMNKLFRENIDFLLERFENGDLCAVVELQQLLDILELTHQLISRFLELDSYSLMLSEMQENLSLVSYSSRISSQRSNISAGKPYFYCGSHDLTMAYQGLAGLYLDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKIEVLHDLKEIGSALYWMSLLDIVLRQMDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPLTTLLSAATNAVASSPACPNPSSFLAMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDNITNPSKKREMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIEIVTVSLYQSADKSKSPIFLQGYEAILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTISAFETLPQRVNS* >Brasy2G057500.4.p pacid=40068216 transcript=Brasy2G057500.4 locus=Brasy2G057500 ID=Brasy2G057500.4.v1.1 annot-version=v1.1 MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDKMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEETRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNNASGIPVEDIKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESVFIPLDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYCVKPLRFDAIFKLRRVMILGRTVDLRSLITQRMNKLFRENIDFLLERFENGDLCAVVELQQLLDILELTHQLISRFLELDSYSLMLSEMQENLSLVSYSSRISSQDLTMAYQGLAGLYLDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKIEVLHDLKEIGSALYWMSLLDIVLRQMDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPLTTLLSAATNAVASSPACPNPSSFLAMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDNITNPSKKREMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIEIVTVSLYQSADKSKSPIFLQGYEAILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTISAFETLPQRVNS* >Brasy2G057500.5.p pacid=40068217 transcript=Brasy2G057500.5 locus=Brasy2G057500 ID=Brasy2G057500.5.v1.1 annot-version=v1.1 MLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEIPPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDKMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQHSLHQETEETRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNNASGIPVEDIKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESVFIPLDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYCVKPLRFDAIFKLRRVMILGRTVDLRSLITQRMNKLFRENIDFLLERFENGDLCAVVELQQLLDILELTHQLISRFLELDSYSLMLSEMQENLSLVSYSSRISSQMWNEMQSDFFPNFILCNTTQRFVRSLKGAHHNSQRSNISAGKPYFYCGSHDLTMAYQGLAGLYLDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKIEVLHDLKEIGSALYWMSLLDIVLRQMDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPLTTLLSAATNAVASSPACPNPSSFLAMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDNITNPSKKREMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIEIVTVSLYQSADKSKSPIFLQKGYEAILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTISAFETLPQRVNS* >Brasy2G227100.1.p pacid=40068218 transcript=Brasy2G227100.1 locus=Brasy2G227100 ID=Brasy2G227100.1.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.11.p pacid=40068219 transcript=Brasy2G227100.11 locus=Brasy2G227100 ID=Brasy2G227100.11.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.12.p pacid=40068220 transcript=Brasy2G227100.12 locus=Brasy2G227100 ID=Brasy2G227100.12.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.13.p pacid=40068221 transcript=Brasy2G227100.13 locus=Brasy2G227100 ID=Brasy2G227100.13.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.7.p pacid=40068222 transcript=Brasy2G227100.7 locus=Brasy2G227100 ID=Brasy2G227100.7.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.5.p pacid=40068223 transcript=Brasy2G227100.5 locus=Brasy2G227100 ID=Brasy2G227100.5.v1.1 annot-version=v1.1 MEASDKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTAKTVPVTSGPDKSDNEADRIRVCNFCFKQWEQEQTNVFNQMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.2.p pacid=40068224 transcript=Brasy2G227100.2 locus=Brasy2G227100 ID=Brasy2G227100.2.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.3.p pacid=40068225 transcript=Brasy2G227100.3 locus=Brasy2G227100 ID=Brasy2G227100.3.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.6.p pacid=40068226 transcript=Brasy2G227100.6 locus=Brasy2G227100 ID=Brasy2G227100.6.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.10.p pacid=40068227 transcript=Brasy2G227100.10 locus=Brasy2G227100 ID=Brasy2G227100.10.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.8.p pacid=40068228 transcript=Brasy2G227100.8 locus=Brasy2G227100 ID=Brasy2G227100.8.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.14.p pacid=40068229 transcript=Brasy2G227100.14 locus=Brasy2G227100 ID=Brasy2G227100.14.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.9.p pacid=40068230 transcript=Brasy2G227100.9 locus=Brasy2G227100 ID=Brasy2G227100.9.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G227100.4.p pacid=40068231 transcript=Brasy2G227100.4 locus=Brasy2G227100 ID=Brasy2G227100.4.v1.1 annot-version=v1.1 MSRGTSGCLTTVAESVMTVTHSSPYSTAGIIVVVAGVFSVMQPMLSPSLSEASLFSTKSTITVNSVSTPAGCYSTGKYQHNMARASNICPPKISQEKASHGTESTHVPEKNMQSISNRDDSSSVQFDYYRNRSDDEDEEYSYFSDRQVQHQQHNNQYCHSDEFDEFDASYNSTISRAIEENVISKELSSYVVDQGLPNTLPITKVEDDPEPDNSSDCGAASSIYALETTDTNPVDFEKNDFFWIPPEPEDVEDEVEADLFDDDYDDDEPVSDGGRWRIRSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALQEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLAGGHCSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHSMTSDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVTLPDKRSAADNSISTVPGFTIDISDSQQAIDSFGHLGTDSIMSTDPGGTAVVEPPVDTECPSSPKTNSHSIRPWYTSNSRNDAKLEKDTTTDVLIDHSHIYSTVEEERMYSGDYHEGYLTRSDGKTVRADSTNTNCSYNQNTSVINTNHTSCSNHKEPLDGSIPLADVRISNTNDMVVVRPVASPAVQNQETSQGYEITSTKEEVVPSDHQSILVSLSIRCVWKRTICERSQLFRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLPNVVLPGERDGKIWMWHRCLKCPWSDGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLDFTHQPLDWIQKEANEVIDRAKVLFDEILRSLHVISEKKAHSSSLNVECPNYITDLEGMFRKEKLEFEGCLNKVFKKEAQKCQPDILEINRLRRQLLFHSYLWDQRLRFAARSDRSRHELSNIRQGDKEMIHPVDSVAGPNATDQPQKEISGTEVANKDVKYVEKLQESICRQNCAAVDASNSYCNLDQQIATCESDSLQRSIQTPLYSSVSVNGDTVPLEPDLVARRTLSEGQFPSILDVSNALDAKWTGENDPITSKATVPDSIASSEDSEEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVHISFLRAMERQVGPKFLFPIGINDTVVGVYDDEPTSIISYALTSHEYHLQLSDELDKETTETSPPLCDLRSVSLSESIDETSSEFLRSVVSVEDNARSISGNKNPSTSDPLVHGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFKYLLESIGTGSPTCIAKILGIYQVKSLKGGKETRMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPSKQVSESGQDNDQFLSTGS* >Brasy2G040300.1.p pacid=40068232 transcript=Brasy2G040300.1 locus=Brasy2G040300 ID=Brasy2G040300.1.v1.1 annot-version=v1.1 MGSRVGLVLVCLLFLLIWEVSAVAETEVGDVRLAREAPHGKLEAAGRRVSVYTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGMAAGVMLAASFDLVQEGQMYGSGGSWVVFGILSGGIFIWLCKKLLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMLWSIITSLPQPIVAVPSFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPAQVASAGTLAVAFMETLSTVLQELTDGQGSEDTSGFLVSLVFGLGPLFGGIILVAFSLAFSMPHSLLTGVASGIAFRLATWRPLQLVMSSKMGLFTTLILLIGGSVFYHVVTSSILRVVNRKKSSVSVITSSSGLSLSFLTLQSLLACGSVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGSVFRRSLRLDIRKSVCGLLIGFGFASLCLMSTRFICLHTPYCNSAPEAVT* >Brasy2G040300.3.p pacid=40068233 transcript=Brasy2G040300.3 locus=Brasy2G040300 ID=Brasy2G040300.3.v1.1 annot-version=v1.1 MGSRVGLVLVCLLFLLIWEVSAVAETEVGDVRLAREAPHGKLEAAGRRVSVYTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGMAAGVMLAASFDLVQEGQMYGSGGSWVVFGILSGGIFIWLCKKLLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMLWSIITSLPQPIVAVPSFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPAQVASAGTLAVAFMETLSTVLQELTDGQGSEDTSGFLVSLVFGLGPLFGGIILVAFSLAFSMPHSLLTGVASGIAFRLATWRPLQLVMSSKMGLFTTLILLIGGSVFYHVVTSSILRVVNRKKSSVSVITSSSGLSLSFLTLQSLLACGSVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGSVFRRSLRLDIRKSVCGLLIGFGFASLCLMSTRFICLHTPYCNSAPEAVT* >Brasy2G040300.2.p pacid=40068234 transcript=Brasy2G040300.2 locus=Brasy2G040300 ID=Brasy2G040300.2.v1.1 annot-version=v1.1 MGSRVGLVLVCLLFLLIWEVSAVAETEVGDVRLAREAPHGKLEAAGRRVSVYTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGMAAGVMLAASFDLVQEGQMYGSGGSWVVFGILSGGIFIWLCKKLLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMLWSIITSLPQPIVAVPSFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPAQVASAGTLAVAFMETLSTVLQELTDGQGSEDTSGFLVSLVFGLGPLFGGIILVAFSLAFSMPHSLLTGVASGIAFRLATWRPLQLVMSSKMGLFTTLILLIGGSVFYHVVTSSILRVVNRKKSSVSVITSSSGLSLSFLTLQSLLACGSVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGSVFRRSLRLDIRKSVCGLLIGFGFASLCLMSTRFICLHTPYCNSAPEAVT* >Brasy2G040300.4.p pacid=40068235 transcript=Brasy2G040300.4 locus=Brasy2G040300 ID=Brasy2G040300.4.v1.1 annot-version=v1.1 MGSRVGLVLVCLLFLLIWEVSAVAETEVGDVRLAREAPHGKLEAAGRRVSVYTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGMAAGVMLAASFDLVQEGQMYGSGGSWVVFGILSGGIFIWLCKKLLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMLWSIITSLPQEATPAQVASAGTLAVAFMETLSTVLQELTDGQGSEDTSGFLVSLVFGLGPLFGGIILVAFSLAFSMPHSLLTGVASGIAFRLATWRPLQLVMSSKMGLFTTLILLIGGSVFYHVVTSSILRVVNRKKSSVSVITSSSGLSLSFLTLQSLLACGSVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGSVFRRSLRLDIRKSVCGLLIGFGFASLCLMSTRFICLHTPYCNSAPEAVT* >Brasy2G080200.1.p pacid=40068236 transcript=Brasy2G080200.1 locus=Brasy2G080200 ID=Brasy2G080200.1.v1.1 annot-version=v1.1 MKAPPGAGDSLIKSPVLSGLRATAIVKLNAAFIAFFLLAYMALLLHPKYSYLLDRGAASLVSCTFRDACPSQSPLSRKLGGAAAANKVAMSTTTEIRIENAGRAPAMFAELRGRLRMGLVNIGRDDLLPLGVEGDAVAVDFDRVSDTFKWSDLFPEWIDEEEEDGVPSCPEIPMPDFSRHRGDEVDVVVAALPCNRTSKGWNRDVFRLQVHLVAAHMAARKGRRVRSGRVRVVLRSECEPMMDLFRCDEAAGREGDWWMYMVDVTRLEEKLRLPVGSCNLAMPLWGPTGIHEVFNASSSAVATGTGSNPKREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALRALSAAGWIPRRIRRIRNPRAARGAYNEYNYSKFRLWQLTEYARVVFVDADILVLRSLDALFGFPQLTAVGNDGSLFNSGIMVIEPSRCTFDALVRGRRSIVSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTQERALKERLFGADPAEVWAIHYLGLKPWRCYRDYDCNWNVGDQRVYASDEAHRRWWQVYDDMGDVMRGPCGLSERRKIDIAWERHVAQELGYDDQHWKVNITDPRKWE* >Brasy2G482200.1.p pacid=40068237 transcript=Brasy2G482200.1 locus=Brasy2G482200 ID=Brasy2G482200.1.v1.1 annot-version=v1.1 MREEDCHLSTEQDRLDFYAFKRKMVPALRFIATADPEDICFFPKVYSDTVEERLTAEDLEAQVLAKYPNRRPGQQAKDFAELALAHYNEKTMHKFEMATTLLSSCFSELSGATYGHVNFTAVPQKKTVAQPASNTKRWMP* >Brasy2G274600.1.p pacid=40068238 transcript=Brasy2G274600.1 locus=Brasy2G274600 ID=Brasy2G274600.1.v1.1 annot-version=v1.1 MEQVAAADLLPDDLLADVLGRLEPRWLAASRCVCKDWRATVDASRLLRADLLPLSLASIIISFDMLHVSDFFDRPSSTGRPAISAKIHGCLPSSTAEKSRVRDHCNGLLLLHNDWVVNPATRRAVLLPPCPARRATTETEADFYDMYLVYDPTVSTHFEVFRVPGFYDHRRVNHVSEESEWPPSLYTMHVYSSRSGHWEERSFVREGDAAGTVSDSRLYWRKRYAVCWQGALYVDCQNHFLRIYLSNSKYQVIKPPVGLGSGWAFCREKYLGKSEKGVYYASLDHHLLSIWILNESSNQMEWDVNYESYFPNDEKKAQVEENFEWSSDDDDALNNENRVKKYDPAGIGILGFHPRKEIIFLSKSVETGYAYHFKSSRIQALGNIYPTRYQDILLPHEHNIRESFPYTPCWMRGFPEKN* >Brasy2G159900.1.p pacid=40068239 transcript=Brasy2G159900.1 locus=Brasy2G159900 ID=Brasy2G159900.1.v1.1 annot-version=v1.1 MVFPSVPAYLDPPNWNNQQGQQPRASGGGGGSGDAAEHMPVGPTAAALASSSAAGNEAAGQQQARPNPHSMTERARIARAPHPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGALRSVPVGGGCRRNKRSSNKSSKPSSSSANRQQLPGGASSSASILPSTAPGSGVPSGGMIPPVGLGSSMAHHVPFLGSMQQHPPGAGPNLGLAFSVGLPPLGIGMHQQQHNMDGVDQSSFPLASGGAAATFGASLEQQWRVQQQQPHQQQFPFLELPPGPPMYQLGALQGNRAAGSSAAAPSGMFTLGQTAASATATAARHERSVKQAADDDSKGGQEMSLQRQYMEALRQQGDQGVWGGSGAATDDGNNGSGSWTMNLPGFHSSSTGGHGGGLL* >Brasy2G341900.1.p pacid=40068240 transcript=Brasy2G341900.1 locus=Brasy2G341900 ID=Brasy2G341900.1.v1.1 annot-version=v1.1 MPRRPAGGRAGGCAANRPRPQHLYLVTEDWIDGYSIRKVDLTEDHGAAATDPERLPPVVFRLEAPHDGPHHFALHNTPRRYVPVFDVRTRCLTFGPPMRGGIPARPIYIPLGDNLFRLDYGRFEMLPPPPPPEQPPRWQLSWNDIGLEEWSWQSVSVPFPPYKQRRVTSHAVHPDGRTIFVSVSVDGRATGDTLTFDTATEHPWPRWTPHREWQLPFKGRACYDGELGAWVGLAADPATLGHICSCQAPSTEDVGWQPPAWKLSREKLFCRDPAEKHAGATLVYLGTGHKSRFCLVECVSLDPPRRGHALALLRLTTFSLKYGKNGDLRTTGRRREVGRDSGFLKNPVAFWL* >Brasy2G274200.1.p pacid=40068241 transcript=Brasy2G274200.1 locus=Brasy2G274200 ID=Brasy2G274200.1.v1.1 annot-version=v1.1 MDAETVVPVASAADLQDSPMRPQVSKYYYKKRLTGHSRNGKDNNSQDPKIQCPSSPLSRQSLSTSAVPSFHAGGFYEIDHERLPPKSPIHLKSVRVVQVSEYTSLDVTVSFPSLLALRSFFSSFPAPSTGPELDERFVMSSNHAARILRRRVAEQEIQSEMHQDSFWLVRPCLYDFSASSHPALLHDALSSPEAPPAPAASSCLLTTLKCDGAGWGVRRRVRYIGRHRDASKEPTIDGYETEASVKEQQRPAQEDKRSSINGKRKRDVAESIKHTPSNERKKRKKSYKSPQKEKKRRVEAKDGDPRRGKDRWSAERYAAAERSLLEIMRSSGARFGAPVMRQALREQARKRIGDTGLLDHLLKHMAGRVPDGSTERFRRRHNADGAMEYWLEPADLAEVRREAGVSDPYWVPPPGWKPGDDVSPAVGDLLVKRQVEELAEELNGVKRQMEQLSSNMVQLGKEAKSESDRAYSSWKEKYHKVVKANEKLEKQVLSLKDTYENMVHKNGKLKKEVRSLKDKYELVVDKNDKLEEQMASLSSSFLSLKEQFLSPRNGDKLKMGIDEVAVAHKDGKQAIVCIDGGDETSQRADGTVVQAGEKRTARKSSFRICKPQGTFLWPSMGSGTAISGGGSSGISFGVGPDQQLPRSGSSCPTVGPSSRAPVEVVIASPMDEHALLGDFSTPPSASSTNKAIAKLLPLPSPRSPLQPQPLFAAATTPGFTVPALHSFSGLTLRHTDSSAPCGAREMMAMFNAEAGGITTVGTDLALATPSYC* >Brasy2G054000.1.p pacid=40068242 transcript=Brasy2G054000.1 locus=Brasy2G054000 ID=Brasy2G054000.1.v1.1 annot-version=v1.1 MSGKPSDDMTGQVRPEGDVSEVKVEIANQNKGAEMPSPLEEEAAIKKKYGGVLPKKSPLISKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASADNEEGNSPTEATSQNQDSTEDKDENKE* >Brasy2G054000.2.p pacid=40068243 transcript=Brasy2G054000.2 locus=Brasy2G054000 ID=Brasy2G054000.2.v1.1 annot-version=v1.1 MSGKPSDDMTGQVRPEGDVSEVKVEIANQNKGAEMPSPLEEEAAIKKKYGGVLPKKSPLISKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASADNEEGNSPTEATSQNQDSTEDKDENKE* >Brasy2G100800.1.p pacid=40068244 transcript=Brasy2G100800.1 locus=Brasy2G100800 ID=Brasy2G100800.1.v1.1 annot-version=v1.1 MAVCKRPAADLHAGQAVQETCCCKRRRLRIGSTADYDEMCCLGEGSFGFVVKARHRATGKIVAIKLLRSPVEINGEPPAAANELLHEARFLEAASSGNTYVVASHGLVRDLRTKNLCLAMEYVGPSLHAFLHQSPRLPESTVRSFMLQLLTGAEKMHERNIVHRDIKPANILVGEDGKIVKMCDLGLAMSLKTEPPPYTEAGTLPYMAPEMLLGKPDYDARVDTWSLGCVMAELITGETLFKEGRDENGQLLDIFHVLGSLDEKTWPEFTSQPRAAMVMLLLELEEREGRRHKRTLGDLFSEEMLSDEGFQVLEGLLTCNPDKRLTAAAALKLPWFAPPTVKPIKTVPPAEPPKKKNVLLIKRNIPRAISKEKTKKNVLRIPLAMWKKAQNNNIPPAATLKKNKKNVVRIRLVMWNKAQRV* >Brasy2G444500.1.p pacid=40068245 transcript=Brasy2G444500.1 locus=Brasy2G444500 ID=Brasy2G444500.1.v1.1 annot-version=v1.1 MAESPMSPASRVDFYGFLDRMRRPAAADLFRSIKSFLASLSLDEPSAEADGARVQAFFTAMETTIREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFVSSAEDAAADAEVSEKIGLLQQFLRPCHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCIMSCCQVINNLLLNVSMSNDRSPSGADEFLPILIYITIKANPPQLHSNIKFVQLFRREAKLVSEVEYYLTNLISAKMFIVNVNGHSLSMEESEFQKHMESAKLGTQISAASPSTPQGLGTSTRGPQKQTDMEGSVFPYMESETESLTAAELKQLHGLYRQVVTRYTLLSKALRKLSIDEERLLASVDDP* >Brasy2G444500.3.p pacid=40068246 transcript=Brasy2G444500.3 locus=Brasy2G444500 ID=Brasy2G444500.3.v1.1 annot-version=v1.1 MAESPMSPASRVDFYGFLDRMRRPAAADLFRSIKSFLASLSLDEPSAEADGARVQAFFTAMETTIREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFVSSAEDAAADAEVSEKIGLLQQFLRPCHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCIMSCCQVINNLLLNVSMSNDRSPSGADEFLPILIYITIKANPPQLHSNIKFVQLFRREAKLVSEVEYYLTNLISAKMFIVNVNGHSLSMEESEFQKHMESAKLGTQISAASPSTPQGLGTSTRGPQKQTDMEGSVFPYMESETESLTAAELKQLHGLYRQVVTRYTLLSKALRKLSIDEERLLASVDDP* >Brasy2G444500.2.p pacid=40068247 transcript=Brasy2G444500.2 locus=Brasy2G444500 ID=Brasy2G444500.2.v1.1 annot-version=v1.1 MAESPMSPASRVDFYGFLDRMRRPAAADLFRSIKSFLASLSLDEPSAEADGARVQAFFTAMETTIREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFVSSAEDAAADAEVSEKIGLLQQFLRPCHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCIMSCCQVINNLLLNVSMSNDRSPSGADEFLPILIYITIKANPPQLHSNIKFVQLFRREAKLVSEVEYYLTNLISAKMFIVNVNGHSLSMEESEFQKHMESAKLGTQISAASPSTPQGLGTSTRGPQKQTDMEGLFSIPLHGVRD* >Brasy2G444500.4.p pacid=40068248 transcript=Brasy2G444500.4 locus=Brasy2G444500 ID=Brasy2G444500.4.v1.1 annot-version=v1.1 METTIREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFVSSAEDAAADAEVSEKIGLLQQFLRPCHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCIMSCCQVINNLLLNVSMSNDRSPSGADEFLPILIYITIKANPPQLHSNIKFVQLFRREAKLVSEVEYYLTNLISAKMFIVNVNGHSLSMEESEFQKHMESAKLGTQISAASPSTPQGLGTSTRGPQKQTDMEGSVFPYMESETESLTAAELKQLHGLYRQVVTRYTLLSKALRKLSIDEERLLASVDDP* >Brasy2G444500.5.p pacid=40068249 transcript=Brasy2G444500.5 locus=Brasy2G444500 ID=Brasy2G444500.5.v1.1 annot-version=v1.1 METTIREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFVSSAEDAAADAEVSEKIGLLQQFLRPCHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCIMSCCQVINNLLLNVSMSNDRSPSGADEFLPILIYITIKANPPQLHSNIKFVQLFRREAKLVSEVEYYLTNLISAKMFIVNVNGHSLSMEESEFQKHMESAKLGTQISAASPSTPQGLGTSTRGPQKQTDMEGSVFPYMESETESLTAAELKQLHGLYRQVVTRYTLLSKALRKLSIDEERLLASVDDP* >Brasy2G124800.1.p pacid=40068250 transcript=Brasy2G124800.1 locus=Brasy2G124800 ID=Brasy2G124800.1.v1.1 annot-version=v1.1 MRRSRSFVSALKHAVLFLVSWMVHCGNELVAAVPPDGWYDYSAYTDCRGQPEPALYNGGILKYGNSDDPTGYHTTETGVLSPAFVVFNLNKTTMYTFSSWVRLEGSDSALITARLAPDNSGTRCIGTVLARNDCWSFLKGGFVLDWPTQTSVIFYQNADKTPMKITVARGSLQPFTTDQWAMHQKDTIRKRRKRMATIHVADPQGSRVVGASVSVQQTAKDFPFGSAIASTILGNEAYQKWFVDRFNAAVFEDELKWYSTEPASGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQEATPRWVKGLSPEDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNATVEFFSVAQDADPLATLFMNEYNVVETCDDVSSTVDAYVARLKDLRAGGAVLEGIGLEGHFSKPNIPYMRAVLDKLATLGLPIWFTEIDISNRLDAQTQAVYLEQVLREAYSHPAVSGVMLWTALHQNGCYQMCLTDWDLKNLPVGDVVDRLLQEWQTGQAAGNTDAHGAYSFSGYLGEYVVTVSSGNSSAQSTFSLSPGDETRHITLHI* >Brasy2G096200.1.p pacid=40068251 transcript=Brasy2G096200.1 locus=Brasy2G096200 ID=Brasy2G096200.1.v1.1 annot-version=v1.1 MAKSTEDEMVFLWKWRNHLLLLATLVASVTYVAGLNPPGGVRSEELTADAPAPAPVLRSAMLLDLLALMAAFAAGRCRSVPGSAYVSALFAVVFHRTRSQRGSLSRARTAAPGVEDVAAARGVAEVAGAGAWRRPRRRGAAGRGRRGAWQRAQATAATRGVAEVAAARVARGRAGRGGGRSGAGGGGDHGVSGSSSFGRASAHQDAPGGREEGLKTTPLPHHTDTGAWEIDDTPSTGVTNNLHALRKLLSSLISSSSQLINLLASCSRGCPAVFSAFVRERAAAARERERVAAAATIGESSGSRKKKRSSA* >Brasy2G175300.1.p pacid=40068252 transcript=Brasy2G175300.1 locus=Brasy2G175300 ID=Brasy2G175300.1.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIRDLLKMLFQNQAKTAPLQFLFQQIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE* >Brasy2G175300.7.p pacid=40068253 transcript=Brasy2G175300.7 locus=Brasy2G175300 ID=Brasy2G175300.7.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIRDLLKMLFQNQAKTAPLQFLFQQIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE* >Brasy2G175300.8.p pacid=40068254 transcript=Brasy2G175300.8 locus=Brasy2G175300 ID=Brasy2G175300.8.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIRDLLKMLFQNQAKTAPLQFLFQQIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQVDLMKVAGKHFKN* >Brasy2G175300.2.p pacid=40068255 transcript=Brasy2G175300.2 locus=Brasy2G175300 ID=Brasy2G175300.2.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE* >Brasy2G175300.4.p pacid=40068256 transcript=Brasy2G175300.4 locus=Brasy2G175300 ID=Brasy2G175300.4.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE* >Brasy2G175300.6.p pacid=40068257 transcript=Brasy2G175300.6 locus=Brasy2G175300 ID=Brasy2G175300.6.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKTYASKSE* >Brasy2G175300.5.p pacid=40068258 transcript=Brasy2G175300.5 locus=Brasy2G175300 ID=Brasy2G175300.5.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQVDLMKVAGKHFKN* >Brasy2G175300.3.p pacid=40068259 transcript=Brasy2G175300.3 locus=Brasy2G175300 ID=Brasy2G175300.3.v1.1 annot-version=v1.1 MMREGDPCVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTERKIMWKKLENSATSNFSKEKQFVHNNDDLKEAMDLNNSACYSRQQFPRAPLVKSRSRRVPNPPPSPTCIQPTMKAKKEGCMAPHPPPPPPLPSKLLKSTKAVQRVPEVVELYRLLIRREGKNDAKAGPMGIPVATNSRDMIGEIENKSAYVIAIKSDVENQGEFINFLAKEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIIKQLKSASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQVDLMKVAGKHFKN* >Brasy2G406800.1.p pacid=40068260 transcript=Brasy2G406800.1 locus=Brasy2G406800 ID=Brasy2G406800.1.v1.1 annot-version=v1.1 MASAISAGPTPPSATASSVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQLCTGEYRKTQQPQGYKGCQFHRVIKDFMIQGGDFLKGDGSGCISIYGTKFDDENFIAKHTGPGLLSMANSGANSNGSQFFLTCAKCEWLDNKHVVFGRVLGDGLLVLRKIENVATGPNNRPKLACVISECGEM* >Brasy2G232300.1.p pacid=40068261 transcript=Brasy2G232300.1 locus=Brasy2G232300 ID=Brasy2G232300.1.v1.1 annot-version=v1.1 MYAASCCQQHPYNLYAWADSSSSQRSASCVLCCPGAVGRPFSECILCRFELHTCRLQFGSDGRFVRHVSAACVSFSSRLCSRSSCSVLVPLLEGFRPRARCCFRLSLCRDLLFAVALSLLRFATWGSVLPYLLVVGSQSRLCWCELSVRCGRLRLSVPLSLLSAVRSSVAS* >Brasy2G317600.1.p pacid=40068262 transcript=Brasy2G317600.1 locus=Brasy2G317600 ID=Brasy2G317600.1.v1.1 annot-version=v1.1 MVNRAQAGTGCGRPPHSTAHTPLKNKHGVCRVGHNRRKGRRDDDVFSGAGPRSGFSSCTNFDILSRQAKLSARRSAAPSKTWTVAGRSPRSSSVDRTVVLAPSPSLDDMPEGAVEQAGVREVVVRQFVGEVHASEVDGDDAAVELLSDETLGHKQGAAEFALELADALLEADPIDPKGASARVDAVNRAALAGAFGRTLPQLECWMRRRQWEGVAGGELTELAERAAGRRPEARQSCRSAVAAPRRL* >Brasy2G138100.1.p pacid=40068263 transcript=Brasy2G138100.1 locus=Brasy2G138100 ID=Brasy2G138100.1.v1.1 annot-version=v1.1 MEVREMAAAAAAASSGGGGGFVWLPPPNPSLPHREDFWSEGETAALVDAWGSRYVELNRGNLRQKHWQEVANACKNRVHTIKKKYKEERVCSAPSSWSFFDELDRLVGPTLNGAASKRPSPALAPHLALPVHISSAARKYPSPSSSPSPPTPMVPSLPNYRRGAPLPSAAFIQQEAAAAAAASVSDSEDSGDHPRDNNNNNNNNNNNLLRSPSRSVSSSRSGGRNKRRVRRETGGDGDSSVGELARAIETFAETYERVESAKQKHSLEMARGRIDFTTGETYFAGGHGPPAKAPLPAAFRACFLKQLEVKRMESFVDAHEKLAGASDGTSGVELISSVAALPFLSNSNYL* >Brasy2G262200.1.p pacid=40068264 transcript=Brasy2G262200.1 locus=Brasy2G262200 ID=Brasy2G262200.1.v1.1 annot-version=v1.1 MGTSKQELELELELELELELELPLLFDFIFFSMYSQAKENHCPFVTSLVKQIVHQMYTSDRVLCVASKLQLLSFIAITVAVTLLFHPCASVEFQHELSSWSGGIATWYGDANGAGSEGGACGYQYAVDQPPFSSMIAAGSPFIYDSGDGCGSCYRVMCGGNEACSGIPVTVVITDQGPGGGPCLSELVDGQCQNEAAHFDMSGTAFGAMAKPGQADQLRGAGLLQIQYTRVQCDWTGVGLTFKVDTGSNPNYLAVLVEYEDSDSDLAAVDLMPSSTGATSAWIPMQQSWGAVWKLNSGSTLQGPFSIRLTFRSGKMLVAGNAIPAGWNPGVAYQPGGIAVRDGHRASGGCRGYGLYHYLLLFAILLQL* >Brasy2G012400.1.p pacid=40068265 transcript=Brasy2G012400.1 locus=Brasy2G012400 ID=Brasy2G012400.1.v1.1 annot-version=v1.1 MADFERWWACFCKISVDAFQSEDLDRLAGDVGNTEKGGFIGYDAKKGVEEERSCFEREETETVNTFSSSSSCARNRGGESMAMTAAEQRVVVMRHGDRLDHAEPMWPANKPRPWDPPLTDAGILRAWNVGKRIRAQAAADGFRLHRVLVSPFFRCLQTAAQAVAALCAVPDDAALVTVDSSANVPLDTSRVKVSIEYALSEMMNVEAMGSIVGQVAPTVDKWFPDLSELEAVLPPGTIDHSVEPLYPEVPRWGESVREARIRYGSVIKALADKYPNENLLLVTHGEGVGSSVACFGAGLEIYEVEYCAYSMLERRRQQMEGDEGESSLLKLLTDRSGPTTGIRYLVT* >Brasy2G416100.1.p pacid=40068266 transcript=Brasy2G416100.1 locus=Brasy2G416100 ID=Brasy2G416100.1.v1.1 annot-version=v1.1 MTESLVRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPSKGPSAIALFLTTFGAFSWGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKNVPGWKVGESVYNSGKWMPPATGELRPEVW* >Brasy2G383100.1.p pacid=40068267 transcript=Brasy2G383100.1 locus=Brasy2G383100 ID=Brasy2G383100.1.v1.1 annot-version=v1.1 MATPTETAAAASSALTVKDVAPHDFNKKYAAHLKRSGKMELPEWVDIVKTARFKELPPNDPDWYYIRAASIARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGIIDVDPKGGRIITSQGRRDLDQVAGTVAPEV* >Brasy2G056300.1.p pacid=40068268 transcript=Brasy2G056300.1 locus=Brasy2G056300 ID=Brasy2G056300.1.v1.1 annot-version=v1.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCAEEFRLRKVKNDQLKAEAKARGEVISTKRQPAGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY* >Brasy2G390300.1.p pacid=40068269 transcript=Brasy2G390300.1 locus=Brasy2G390300 ID=Brasy2G390300.1.v1.1 annot-version=v1.1 MATTARPLVSVKALEGDMATDSAGIPMPHVMRAPIRNDVVRNVHKLLSCNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVGGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVRLRRVAVASAIAATAVPALVLARGHRIESVPEFPLVVSDSAESIEKTAQSIKILKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLVVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGSLETPSLKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKRREARKNPLKNAAAVLKLNPYFGTARKMAVLAEAARVKARKEKLDSKRTKLSADESSKIKAAGKAWYKTMISDSDYTEFDVFSKWLGVSQ* >Brasy2G119600.1.p pacid=40068270 transcript=Brasy2G119600.1 locus=Brasy2G119600 ID=Brasy2G119600.1.v1.1 annot-version=v1.1 MASPPQQPPASTPMPAVIPAHPATASQPLPTAFLGTSAPQRAAAATPPPAPPFVFTARPLNPNPSHASPAHGILYPVATSSTAAANQRRAPHFAVGCPRANAVAVPVAPSQQPLVLTQSRLPAAAPRAVVAGVTPSPRPELPPRGVPIAPQPQPKVNPVPAVALTPSPELQEQSNAKERESTKEDSATMVINGRKVNFSDSESGSLYALCRSWVRNGVRHEIQPSFVRNVAPLPPPLPASVVDSRMSGKDKEAENEEPKEGKNDTGEYTTAGLLKEHVDRAKKIRAQLRVERQSRIERYKQRLGFLLPPQSLPPSEPGKQDRRL* >Brasy2G399600.1.p pacid=40068271 transcript=Brasy2G399600.1 locus=Brasy2G399600 ID=Brasy2G399600.1.v1.1 annot-version=v1.1 MGFIGDQVESIRSVQIRQVLTQIITLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLRMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAQGQLWLQRHHIMGRAVGYLPYVGWVTIVMTEQPMIKYLLIGALGLLVITSKD* >Brasy2G448500.1.p pacid=40068272 transcript=Brasy2G448500.1 locus=Brasy2G448500 ID=Brasy2G448500.1.v1.1 annot-version=v1.1 MAQKVLSTATRLRPSSSSSSFSSPIYTALARLTKRNSSSHRLLSSSRNTTSKAKSKPLLLLLVLFLLIAVVVTVLLFPALTMSSSSAVAAGPLENPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFSGHKGTIRTGDVQWMTAGRGIVHSEMPASDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIEGEGVFGSEKAAPASAHHCLVLGASGDGLSVWNKSGAPLRFALAAGQPLKEPVVQQGPFVMNTRAEIQQAMEDYYYGKNGFEKASQWSSST* >Brasy2G448500.2.p pacid=40068273 transcript=Brasy2G448500.2 locus=Brasy2G448500 ID=Brasy2G448500.2.v1.1 annot-version=v1.1 MAQKVLSTATRLRPSSSSSSFSSPIYTALARLTKRNSSSHRLLSSSRNTTTLTMSSSSAVAAGPLENPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFSGHKGTIRTGDVQWMTAGRGIVHSEMPASDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIEGEGVFGSEKAAPASAHHCLVLGASGDGLSVWNKSGAPLRFALAAGQPLKEPVVQQGPFVMNTRAEIQQAMEDYYYGKNGFEKASQWSSST* >Brasy2G411300.1.p pacid=40068274 transcript=Brasy2G411300.1 locus=Brasy2G411300 ID=Brasy2G411300.1.v1.1 annot-version=v1.1 MSKDAAAAAAEAPAKRAPLNKYALACAILASMNSILLGYDVSVMSGAQIFIKKDLKVTDTQIEILAGIINIFSLVGSLAAGRTSDWIGRRYTMVLAAVIFFAGALIMGLAPSYAVLMVGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYISNYAFHGLPLRVGWRAMFLAGAVPPVFLAVAVLAMPESPRWLVMQGRIADARKVLDKTSDTPEEAVARLEDIKNAVGIPEGVSDDDEVAAIARNSKRSHGEGVLKELLLHPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFEKAGIKTDANTLGATISVGASKTLFILVATVLLDRVGRRPLLLTSAGGMVASLLTLASALHVIGRADGGATPALSGVSIASVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFYSLSKKITLAGSFFLYAGVATAGWVFMFFFLPETRGRSLEDTERLFGGGGDGVKEDGHGQNKSTELSTQ* >Brasy2G120900.1.p pacid=40068275 transcript=Brasy2G120900.1 locus=Brasy2G120900 ID=Brasy2G120900.1.v1.1 annot-version=v1.1 MAFPTSILYISFAVIVFLVAAATTAVARTPTSSSPAVGIVTGNATAANGTVALSSSVADNSNEYICYLCFKRNTMMIKRCPLYKDDCHIACLSSPSSSPTTSFLSRRALQPPGPDGRRPDNAGDWPGAEDCYVMKLYPDGSWKIVSVVDCYAVAGCQLACSYYDDDATITASNKDNGAAAVVTTRRTPPRVAEFERCGDQ* >Brasy2G139200.1.p pacid=40068276 transcript=Brasy2G139200.1 locus=Brasy2G139200 ID=Brasy2G139200.1.v1.1 annot-version=v1.1 MSASNSGDSMEWGRGRSSPGSRRGKKATGGAADKPRQPQRGLGVAQLEKIRLQSEMAEYLHHPPPGGINLEDARSSNSVSSSSPSHSFHANINVSSSYPIHPNFLMAYNGGRSGDRRYGESPSTPFIRSPPNYHGAAIYGAPYHPSSSGVTLPLFEPEDSVYFRGHYDLNQSVPVDSSSPSMNSDDQQDVDLELKL* >Brasy2G242700.1.p pacid=40068277 transcript=Brasy2G242700.1 locus=Brasy2G242700 ID=Brasy2G242700.1.v1.1 annot-version=v1.1 MGSKRLPFGLMSGEYSCSKQYEWQHLTLCQATREQPHVGANLNYPVDAPSRTERGSPSFDSVCDYAKGADGHIHATVGRCKDFPFNRGSSTQTRYYQEDIYLPTYFLGGHFSEIDEAKHRAYMDSFHTKSKPDHTSAGQLCHRDEVSKPLTKKKPFGELHTIDPGRLELPPIKDFHCDLDVSQNCRKACHGKMSRTKQARQSSQKNSTNRACVSRHGRSSRQKRYGDQLSVQEDEKSRQKRYGDQLAGEKAKKHMTRKEQKPPLHHEVHHGGSDVTRNDNWEGNAETASEEGHNGAKGIFHPTKNILTAATCLGSKKSNENSELVSPKYSSKSIASSNKPKQSEGSSNEKLGSDKRLSVVGCTRKLESEKRPSVVGCTKKLQKERTREFSMDNLEKIVHREKSNDNSPSELLRECLEIWRRRRLRKASDAEAEKLVQTDQTVIVRHGRSATSGSSESADENDVELENSEKCGSATSPDGVQKHGQGRAKRNSKQSFRCPSGSNCKKNMQNRMAKQGLICNLEVPPALEPNPCEIRQQKEQNELSTAHQDAMRQTSQNSCSDTSMIQTSLNICSDSSKGDQAAASRPDSSAYQKVTQHRETHDHLDARRKYKLGVCCEINKKAEGDGVCEQMASLITDPTLLGQESVAVCSPHDGNVKVYGSDCSNQGIETTTSFSALKLDKGTKNNCQKKPVNWSSGSDCRDIQNCSKAGDCGGIQQDTMNCKTDKQVASSLVEPENNIYKKMINEDHQPHQVVEVTSNQQTSHQCVARPADRTSGFVIPDLNCLPSMISDEEFEPWEEVINQATGHISKPQDPSHILSAFSEAAVQEEQLKEPENEFVGGTCGKEVVNVSMISDEEFESSEEVINQSTGHISKPQDPSHILSAFSEAAVQEEQLKEPEKNEFVGGTCGKEVANVSRISDSHSGPPKRTTIEESSTSTHEFKFALGEFVKNILRPLWEDGLLSRDVHKIIVKKAVEKVTVTLGPKVPRTQAAICRFLAEEAQSVEKLVQGYLDVYLGKQVLKRNMRSST* >Brasy2G489300.1.p pacid=40068278 transcript=Brasy2G489300.1 locus=Brasy2G489300 ID=Brasy2G489300.1.v1.1 annot-version=v1.1 MCHAKSTQTYSSNCWLWADGLQMFVAPTVKKATVDNQNAMAVINVHSGGITAEQLVDAFKRMFQWGWAWSARAYAPGSYLMKFPSVQKILELNQFNNFWLVGERAEVSVARWAPENLATFKLTSVWVKASGVPDSLLHYAGFCMVGSLLGTVQELDMVTYRKHDVIRIKVGVMDHTKIPEWAPLTVDPFIYKIYFQLEKVVEIGGPMLGGIPIKRGAGMNSSDQLIAADREQKRLKSFADKPRSSSGNIQDNSARCENVEEEDEEMVPSSQPEIDALDISGSKGVRFTADQSKVMDGKHGSFLEDVNRKFAKDADMIDNIECTESDSEEYKSQSATQFAKGCGLDTHQINEIKTPDNSPENENIVHIGTQEVPVGGQQQVTPRRAYADVVGGSGQKGDEKHTGRPKKQVPVDDGNRRKSARNQ* >Brasy2G407400.1.p pacid=40068279 transcript=Brasy2G407400.1 locus=Brasy2G407400 ID=Brasy2G407400.1.v1.1 annot-version=v1.1 MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS* >Brasy2G441700.1.p pacid=40068280 transcript=Brasy2G441700.1 locus=Brasy2G441700 ID=Brasy2G441700.1.v1.1 annot-version=v1.1 MSLPGCPNKCGDVLIPYPFGIGEHCAATSLSSYFNLTCNSTINPPRPMVGDNDTEVEIADISLEHGEMRVLSPVNHICFTSNTTFSKFVGGYELEPTPFLPSPSRNRFTVIGCNTLGLIGGFKGASSQYVAGCYSFCDGVNNTSDGAPCAGMGCCEAAIPANLTSYGVMFEMNQSKVWGFNPCFYAMVAEVGWYNFRQQDLFGSLGFINDRAQRGAPIVADWAIRNGSCPEKGKDRPNDYACISVNSYCIAANNGPGYLCQCSKGYEGNPYLLNGCQDIDECTLRKQDPKYEDLYPCTKGVCHNTPGSYLCKCKRGTRPDGTNYGCKSRYSPADKLVIGLSVSATVVMASACILLMQFQRKRHKREKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKQIKRATDNYDEDRIIGCGGHGMVYRGTLDDQKEVAIKKSKVISDDWREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFVPGGTLSEFLHGADHTSPIPLDLRLKMATQSAEALAYLHSSTSRTIIHGDVKSANILLDDQLNVKVADFGASALKSMDETEFIMFVHGTLGYLDPESFISHRLTDKSDVYSFGVVLVELMTRKKAIYTDNFNGKESLSFSFPLMFHQKGHQLMLDLDIIDDAVMVVLENMAELAVHCLSPRGCDRPTMKEVAERLQVMRRLQLHATSAHENKNYAHNYGGSLWVEDPSEETTHGSIGMSELVEELAR* >Brasy2G202500.1.p pacid=40068281 transcript=Brasy2G202500.1 locus=Brasy2G202500 ID=Brasy2G202500.1.v1.1 annot-version=v1.1 MVPLPTMCSCAPLPHPPFKPAPPAPSNLNPKPNPTSAHGSTPLRRALVARAAARDGAPPSSFDFLALKRELEEEEAAAVVALEPDDGGGSGGDGIVSEGSGEREAKRSGSSGRRTRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWVVALVEVRPSLLSGEAENFLFEDIYQVGDVVLVEDESVFENEFKLAGLHSLVGYNVITSRRRNVGKVRGFTFDVNSGAMESLELDSFGLTIVPASLVSTYCLFVEDVLDIVSDTIVVHEDAVSRVQRVTQGIWGTQNIQGPGDQMGENSRYRRRRTRTVKRQNSQRKSMDRELHRKTRDPEDEWELPMEY* >Brasy2G202500.2.p pacid=40068282 transcript=Brasy2G202500.2 locus=Brasy2G202500 ID=Brasy2G202500.2.v1.1 annot-version=v1.1 MVPLPTMCSCAPLPHPPFKPAPPAPSNLNPKPNPTSAHGSTPLRRALVARAAARDGAPPSSFDFLALKRELEEEEAAAVVALEPDDGGGSGGDGIVSEGSGEREAKRSGSSGRRTRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWVVALVEVRPSLLSGEAENFLFEDIYQVGDVVLVEDESVFENEFKLAGLHSLVRGFTFDVNSGAMESLELDSFGLTIVPASLVSTYCLFVEDVLDIVSDTIVVHEDAVSRVQRVTQGIWGTQNIQGPGDQMGENSRYRRRRTRTVKRQNSQRKSMDRELHRKTRDPEDEWELPMEY* >Brasy2G194400.1.p pacid=40068283 transcript=Brasy2G194400.1 locus=Brasy2G194400 ID=Brasy2G194400.1.v1.1 annot-version=v1.1 MSPVPSPHQSHLLGHGTRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDHSDPPLYQVTYYNEHSCNSAFLALTPTEFQLQTASGKAVSICFDSSGAQEPAANASSPSSSAAPRGTPSENKNQTLRLSEAHSSWGPGVIEQKQVCTELQSCSTECQDAYSAGTISEDIDAGRFGSIRFFHFL* >Brasy2G091900.1.p pacid=40068284 transcript=Brasy2G091900.1 locus=Brasy2G091900 ID=Brasy2G091900.1.v1.1 annot-version=v1.1 MPMYRRETMCFFPLRRPDCQCQAHPRAYDRAQFRDQPLVVAQKSVGTAEDQGRIDLGRCYRSARPEPGESSAPPHHNRFLGPAGAIFICDLWTTFLSQPSQNGHGAWCRDLTHWRRVH* >Brasy2G469700.1.p pacid=40068285 transcript=Brasy2G469700.1 locus=Brasy2G469700 ID=Brasy2G469700.1.v1.1 annot-version=v1.1 MAPALLAVASATPFLLHGAPGGSSSSRRPLVAAVAPGRRAASALRVRAALNCDPSKVAPQSDRVLVRLEQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTEEKHCFCRESDLLAVVA* >Brasy2G359000.1.p pacid=40068286 transcript=Brasy2G359000.1 locus=Brasy2G359000 ID=Brasy2G359000.1.v1.1 annot-version=v1.1 MAALLARQAALALRARQTAHLGPSATAMQGHLRTYMNAGAPKRFKEDEEKEQLAKELAKDWNAIFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYDSGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLKSEALYR* >Brasy2G426200.1.p pacid=40068287 transcript=Brasy2G426200.1 locus=Brasy2G426200 ID=Brasy2G426200.1.v1.1 annot-version=v1.1 MSVRVAAPLPASEKTGTMPTAAAPAAWPYVEYMARWERQVERRQLFLRSYHFSRDADLSPRARTRRVVWAGARRLRRAAATGLRRLRARLRLCFSWATLRRRRSQYLGGFRYGRLPRAGKATKPAASVCFW* >Brasy2G268700.1.p pacid=40068288 transcript=Brasy2G268700.1 locus=Brasy2G268700 ID=Brasy2G268700.1.v1.1 annot-version=v1.1 MNSNEDEAAGGAREEDKSADLIPPRNRRNQPAVQIPPAEIAVAAVPEVDNVLQGGSRCLCACRCQLMPRFKVGVPGAQGKSCFFEE* >Brasy2G447300.1.p pacid=40068289 transcript=Brasy2G447300.1 locus=Brasy2G447300 ID=Brasy2G447300.1.v1.1 annot-version=v1.1 MSHGGGDGGGSSSSGSCMSLSLGKFIRRALRGGKQKRLKQDDKPAAAGRRRRAADGYYCASSIELLATSSSSSWTPATASSSSSPAAAAVVRVVLWSGVVEVYTGVVLARAVIQNHPPGLCLAHPDVFRNPHGAMVRPLEPLFPGQKFFLLPESTIRKLQRSIPESSVGAFDDDEDDEYETSSAEIEISESEEETGAVPGCCARDYFVDRERWAEWQFKRMVARGVAVEQDGRSAGAGEKDKKKKRRRKKQRKELLRLPPPPPCRMRAVRAWEPSLPSVVEEASPLAAAAATPDLRAGRPADQEARTDHETP* >Brasy2G182600.1.p pacid=40068290 transcript=Brasy2G182600.1 locus=Brasy2G182600 ID=Brasy2G182600.1.v1.1 annot-version=v1.1 MATLSSPLLRSLHRHLPIRFSPLRCPTAAFPRGFDSLQPFSSCRPRHTFVRSFSTAPTSAAPEATEEAAQTEIDEEEEEARVVLPTNESSERLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRRDLPLVREEVSREEAQKRIKQLNEPYKLEILERIKEEPITIYHIGEEWWDLCAGPHVDSTGQIVRKAVELESVAGAYWRGDENNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDFWKQIHLQCGYDLLYTPHVAKADLWKISGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKMHSYRDFPIRLAELGTVYRYELSGALHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEVNLSTRPEKSVGSDDIWEKATIALKDALNDKGWEYTVDEGGGAFYGPKIDIKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNTEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTNNELQYCNEVASELKLRGIRAEVCHGERLPKLIRNAETQKVPLMAVVGPKEVESKTLAVRSRHSGEIGNMPVDDFISRIESAVFKKSSL* >Brasy2G263200.1.p pacid=40068291 transcript=Brasy2G263200.1 locus=Brasy2G263200 ID=Brasy2G263200.1.v1.1 annot-version=v1.1 MALRLPVRRRPRRYTLQQYHPPEDPLLPLQVVRRKAGEAELDPRVRGFRCSFRCGFWSTLLVLVQDIHIAIFSMLQSTTEATES* >Brasy2G417300.1.p pacid=40068292 transcript=Brasy2G417300.1 locus=Brasy2G417300 ID=Brasy2G417300.1.v1.1 annot-version=v1.1 MVDGSREERRGASARGHCSSSVVAAASLGARTAAEKRRSPARWCASADLGWSRASASALEHISGIDKIWRLRVLGDISGSCRTHPLFIFFRHQHVLQLWLMNLRRFPAGNDGKLMDRVLCIMQFCVIFFLVAMLLFFAVQVFYKLLPSGSDRFQPSLLEGIRTVNSFVDGPTDYEVFSQPDLDWNLYQP* >Brasy2G381300.1.p pacid=40068293 transcript=Brasy2G381300.1 locus=Brasy2G381300 ID=Brasy2G381300.1.v1.1 annot-version=v1.1 MGNNCVGPNAPGRNGFLASVAIWRPAAARPDPAAPAPADAPPQTTSSDKAPEPVTIPASEHSSHHSSRAADPPPPKAAEPQPAQAPAPAAKKPVPKVKRVQSAGLQADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHSNVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQNEDSPLKAIDFGLSIFFKPGQMFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFSTDPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKSGLQRVGATLMDSEIDALMEAADIDNSGTIDYGEFIAATMHINKVDKEDKLFTAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDVDKDNDGRIDYNEFVEMMQKGNNPLGRKGQQSNVNFGLGDALKLR* >Brasy2G412400.1.p pacid=40068294 transcript=Brasy2G412400.1 locus=Brasy2G412400 ID=Brasy2G412400.1.v1.1 annot-version=v1.1 MSAKRAAASAMAACVDKWNPTYSSCRLSDAICYRFMPDCAMVDSPVSAADADVSGVCRDSGGGACDDVWDELRAEARADADAEPLLGMFYADLVLSRPSLESALAAHLAAKLCIPGALPQDALRDLLAGALAAHPEAIRDTRADLLAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWAEGRAAPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGETAVVGRDVSILHAVTLGGTGKASGDRHPKVGDGVLIGAGASVLGNVRIGDGAKIGAGAVVLRDVPCGTTAVGNPAKAIGKKAAPPRRPEEQPGVTMEQRWSDYVI* >Brasy2G412400.2.p pacid=40068295 transcript=Brasy2G412400.2 locus=Brasy2G412400 ID=Brasy2G412400.2.v1.1 annot-version=v1.1 MAACVDKWNPTYSSCRLSDAICYRFMPDCAMVDSPVSAADADVSGVCRDSGGGACDDVWDELRAEARADADAEPLLGMFYADLVLSRPSLESALAAHLAAKLCIPGALPQDALRDLLAGALAAHPEAIRDTRADLLAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWAEGRAAPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGETAVVGRDVSILHAVTLGGTGKASGDRHPKVGDGVLIGAGASVLGNVRIGDGAKIGAGAVVLRDVPCGTTAVGNPAKAIGKKAAPPRRPEEQPGVTMEQRWSDYVI* >Brasy2G479200.1.p pacid=40068296 transcript=Brasy2G479200.1 locus=Brasy2G479200 ID=Brasy2G479200.1.v1.1 annot-version=v1.1 MKKKQQEPFIHPMALTATVSHASGALPRRRRYRRAPASSTRLITSASSPATATLDRVLADLESNPRLLTPALLSPLLAALPLHHSPRRRLAVLRGLLPVSLLRRHPDLSLRLLHLHASLGLLAYTHHIFDHLLPARTRRDEAFPWNCLIAGYAHLGRHADALAVYLQMDEEGVPRDRFTFLCALRACAGVGAGVAAEIGRAVHRDAVRAGLADEVSVCDALVDMYAECGDLEMARKVFDAMPQRDRVSWNVLLAGCLRHGPLLRATEVWRRMLAEGHEPDSVALSTMLSLSSLRPGNGGKQGWEVHAWVIRHGLETELSVANALVEMYSRKNELGHAVSVFESMAVRDLVSWNAIISAHRRNFGVLMVFRRMVDSGTRPDETTFAAVLSACEDLGLVEGGTRLFSEMENEYRIQPALEHYTCVVNMLGKAGLVNEAYEFISKRRPLSREPTILKALLNVSSVHGNIRIREIAAKMLSDLEADNVHNFVTDGNL* >Brasy2G402600.1.p pacid=40068297 transcript=Brasy2G402600.1 locus=Brasy2G402600 ID=Brasy2G402600.1.v1.1 annot-version=v1.1 MRDLHLPLNQTQRVRLEAALHELQSLAPAAASAASVTVADNILVNQEDNILKGHGTSDHDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLQRGQLLTVSAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGQHVLVRENTELKEDQQKLSDEVDTFTPIETRKHICRLANAVRVLSALGFTLTIELIIQTAEASLSSNVEINDMLGAEFYVQTAEREARRRADLLRKKNGAR* >Brasy2G329300.1.p pacid=40068298 transcript=Brasy2G329300.1 locus=Brasy2G329300 ID=Brasy2G329300.1.v1.1 annot-version=v1.1 MRPYIPKATFAFCHSLLSSRLLPSAASPAAPLLPVQALLTTAGLSPRHPDLSLVALNSLLRLLSRGASSQASPLLALRLLLLMLSPSSPLPYPDHLSFPFALSAAAAAPVTPPPGPQLHALLVKNALFPSDHYVTTALLQLHAPRPEEARKVFDELPRREAIHYDLVIGAYARAGMASEGLALFRAMFLDGVAPDAVVLTTAIAACAQSGVLECGEWVHRYVERSAPGLLADAFVGSALVSMYAKCGCLQEAVKVFEGMPERNEYVWGTMVGAFAVHGMAREAVACLERMAKEDGVRPDGVAVLGVLSACAHAGKVEDGLSLLKGMRRRYGVPPGHEHYSCTVDMLCRVGRLEDAVGLISTMPMTPLASVWGSLLAGCRMYGNVELAEVAAAELAKLGAGADEGVYVQLSNIYLDANRKDDARRIRKLIGSRGLKKVAACSAVEVDGEVSSFVADDQVHPHRFEIWDVLGLLADQMGQKPDEEEEETTLYMSENQARAIAWGGRAVAPPLTSNEY* >Brasy2G364800.1.p pacid=40068299 transcript=Brasy2G364800.1 locus=Brasy2G364800 ID=Brasy2G364800.1.v1.1 annot-version=v1.1 MYSQRGRNHPVPLLLPKLCAEPSKIMGYDVPAGTTVFVNVSAIGRDQDSWTADADDFRPERFETEAVDYSGTDFRFLPGGAGRRMCPRMMFGVSNIMIALASLLYHFDWKVPGGKSPEKLDMAESYGITERRKTELLLEATPFVP* >Brasy2G411400.1.p pacid=40068300 transcript=Brasy2G411400.1 locus=Brasy2G411400 ID=Brasy2G411400.1.v1.1 annot-version=v1.1 MMSTGTDTAAAVPVAVAPAKRPPINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGLTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGFAVLAMPESPRWLVMRGRIDDARRVLQRTSDSPEEAEERLLDIKRVVGIPADATDADDVVAIVRANEAARGQGVWRELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFEQAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMTIFLFTLATSLLMMDRRPESESKALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRLMSGATTMSFLSLSHTITIAGSFYLYACVAAAGWVFMYFFLPETMGKSLEDTGKLFGKDTDDDVDVGAAVVAVRHERKKSTELTAQQ* >Brasy2G170900.1.p pacid=40068301 transcript=Brasy2G170900.1 locus=Brasy2G170900 ID=Brasy2G170900.1.v1.1 annot-version=v1.1 MTMLGQPPVPVQAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDGTPVINGRRANCNLASLGAKPRTQQQPHLLRPSPPTTPAPPHMAAPHHGHHPHQPAPAAIVAPGSRGMSPVPWYYHPSTTPPPPQPAHYGHGAHPQHQYHGVLPFYPAATNYGYSPNYVADLSYNAKLGQAAAAPGAAGSYMQGHFPYPAAAAAAQGGMLAPNGMMPVYPYYQYHYHGSQGLGVPAAHFFPPVSAAAVPTVPAIISKPTVMVPPKVEQVAGCS* >Brasy2G170900.2.p pacid=40068302 transcript=Brasy2G170900.2 locus=Brasy2G170900 ID=Brasy2G170900.2.v1.1 annot-version=v1.1 MTMLGQPPVPVQAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDGTPVINGRRANCNLASLGAKPRTQQQPHLLRPSPPTTPAPPHMAAPHHGHHPHQPAPAIVAPGSRGMSPVPWYYHPSTTPPPPQPAHYGHGAHPQHQYHGVLPFYPAATNYGYSPNYVADLSYNAKLGQAAAAPGAAGSYMQGHFPYPAAAAAAQGGMLAPNGMMPVYPYYQYHYHGSQGLGVPAAHFFPPVSAAAVPTVPAIISKPTVMVPPKVEQVAGCS* >Brasy2G158600.1.p pacid=40068303 transcript=Brasy2G158600.1 locus=Brasy2G158600 ID=Brasy2G158600.1.v1.1 annot-version=v1.1 MGSKDQDLAASSGGGGGFFSSIAAGVRSWGTAVHKSVNGMVSYEGLEVINPDGGTEDAEAEAMRGRWKQEDRDSYWKMMSKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRLVYASIWAVSVYPAYQRMWKPFNPILGETYEMVNHQGITFIAEQVSHHPPMGVAHCENDHFTYDITSKLKTKFLGNSVEVYPVGRTRVTLKKSGVVLELIPPLTKVNNLIFGRTWVDSPGEMIMNNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYSAAEEPKMMVTGKWNKFMSYQPCDQEGEPLPGTELKEIWRVAPTPPSDKYQYTYFAHKINSFDTAPKKLLPSDSRLRPDRYALEKGDMSKSGAHKSRLEEQQRAEKRTRDTKGEQFTPKWFNMTDEISPTPWGELEVYEYNGKYTEHRAAVDSSNVTEEKDISSIEFNPWQYSDSPSQ* >Brasy2G487100.1.p pacid=40068304 transcript=Brasy2G487100.1 locus=Brasy2G487100 ID=Brasy2G487100.1.v1.1 annot-version=v1.1 MARESWLTKVRSAMTSSGKSSSSSSSGAPPPGGGKRKASSSNVGILAFEVSSLVSKLLHLWRAVGDAAVARLRHEIVHLDGVRKVVSEDDAFLLRLAAAELVDALRSAADAIAALAAARCADPCLREFRAAFMEFADAGLDRHRWAAAPSWKEMDGRARKMEKQVASTAALRRAMEELADAEHGLRRFLRSDAVVVVSGSGGHHRRSMSASKISAASEQQQAIFSKKQEVKQLKQTSLWGCSFDAVVSSLARTAFTVLARIKLVFVFPGAGGQDHQRPLHRSLTLSSAVHPSSAETPPPLPPSRKSMSMEAAPPFDLDVRGSFLEQSAAALVPAAGTLGASALAPRYAGLVAAIERMARRRPGLLVTDDQERDELYGMLPASVRAELRARLRGASVHRPDPGLAGEWRAALAGILEWLAPMAHATVRWQAERSFEQRKTTTAAMETMQKPRLVGGNTFLLQTLEFADRGKVEAAVAELLVGLNYVWRFEKEMSCRALFAVDRQFLQERGAGVVDDDDRGNGNATVSSCA* >Brasy2G312400.1.p pacid=40068305 transcript=Brasy2G312400.1 locus=Brasy2G312400 ID=Brasy2G312400.1.v1.1 annot-version=v1.1 MDDRNTSHQRNSSLFSHLIFVHPRECQQKEKGKGGCGSDAATGTGRQAAGRRRTGGHQPPTIQHRLIDPVAFVPSLARSCVLLFFIFLCNLCGKMSSTKYLLLVVTKGRKKKTS* >Brasy2G446400.1.p pacid=40068306 transcript=Brasy2G446400.1 locus=Brasy2G446400 ID=Brasy2G446400.1.v1.1 annot-version=v1.1 MEVDSAPVEEELAFAAKQHEDDDDGEDVSPGSKELVAMVEAAAESIELDVAADNRGAAPDGDDRTPRDGMVFKSYEEVLNFYKRYALRSGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWGDGLLHLTDVSLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSTSGGDTEAPMFDDWGRLKIREGDVQAINGFFAEMQAKQPNFFYVMDFYVEGNLRNVLWADARSREAYQYFSDAIWVDTSCLRNKFDVPLVLFLGVNHHGQLVLLGCGLLSDESTESFLWLFKSWLTCMKGQLPNAIITDECVAIKAAVREVFPKTRHRISDWHIVRSISEKLGELPEYESIKTELEAIIYDSLKDDEFEARWKNSIDRFGLQDNEWIIFLYENRHLWVPSFLKDALWAGLSVNHRENPGAFFDDSVSRETTLVSFLSSYMILVQSKYKMEQQDDFESLSSSRVLVSKFPMEEQLSKIYTLNMFVKFQDELKSTMQCQVQLDGSTSSFIVIDLAEPGTEMVNKKYEVVHCMETNRMECNCGLFQFSGIVCRHALSVLKWQQVYDIPPCYVLNRWRSDFKQLHVLDNPLKDLVSSNHVERYDHISLQCLRLVEIGMVSDEKYQHALKLISDIKRTLLDDNLCRELEHKLLPSERAIANGDSHAQPGSSEAGPSKKRRGRPPKKSKEISMESVSNQYGNKDSLLVSSDASQKDAFHSTSTASNLGTHVRPHGADDLMEEVNPNELSFESRYGVQSSHPHHYGDQLHPGNTLQFGQQTPSAEQSRGVQWVYPNIFQDDQAPYGRRTS* >Brasy2G112000.1.p pacid=40068307 transcript=Brasy2G112000.1 locus=Brasy2G112000 ID=Brasy2G112000.1.v1.1 annot-version=v1.1 MGLWLVGGRGGSRFLWSDLCSSRLGRVFGARVCPSCLSAPAATVAGFNKAHLHLGQTGWFSPASVYGSGSGAGVSKRWRRGSGGGIAVDFCSPCSAPSVRASAPMPAGGRGEMYMSVLAAAVWFYGGVAGPRAKTAVQERLVGLLVAASVPVPDLVGGMSCSWISRSCTGRCPSRRATSSTDSSRAAVFSIDSQSQRRRWCCLDFGEEECRRLQSPRTSLCCFCSSRVFSAFSPRRVFSRVSSGRCCVFACISSAW* >Brasy2G491100.1.p pacid=40068308 transcript=Brasy2G491100.1 locus=Brasy2G491100 ID=Brasy2G491100.1.v1.1 annot-version=v1.1 MRSPPLLLLVSALLLVQAPARVRRVGSGGPAQWGDPAGGAVRGDRVRPRPRRRPDVRGRGARRDGAAAGGTGRHHVLPPGVVAAAKADGSRAHYECLVSGVAGSSLGTCGSSAGSGRCKVIADEQGRAVWSRRTYVRLRVC* >Brasy2G356900.1.p pacid=40068309 transcript=Brasy2G356900.1 locus=Brasy2G356900 ID=Brasy2G356900.1.v1.1 annot-version=v1.1 MPTPSESRDHPIPLFQLADKIITDRFSRKENSRDTKKTTPFFFLRKIVWIEQTNQQLPKVPSLQKGAGITNVWRRTHGVFPGTRGGEKRKEKLWLDQAPTTPCTQ* >Brasy2G067800.1.p pacid=40068310 transcript=Brasy2G067800.1 locus=Brasy2G067800 ID=Brasy2G067800.1.v1.1 annot-version=v1.1 MENLVKLYHGWEIQLLVLLSFAIQIFLFFTGGLRRRSINMLLRLSIWVAYLGADMIAVYALGYLSQHEGATSGRDTITGTHPLAFFWAPFLLTHLGGQDTITAFAMEDNNLWLRHLLNLMVQVILALYVFWKSIGRHSVEFLVSGIFLFIAGILKYGERTWSLKCGSNLESSTGDHCKHQFPELIDGDVGYSNAVCTGLRSMLDVFNFFAGRTLFVGSQMRFGREGLGAQLPNQALKVLGIELGMMYDDLYTKALVLRTRSGLIIRCISQISALVAFILFFVGNKHGYSRADVAITYSLFVGGFFLEVCAVFIFMMSPWTWAWLKAQKYDRLARFSWSLFSSGLIGWPEKGPLWSNAMGQYNLRCRFEGSDQPNSCGQRVMSMTSKLAKSVGAKKEKIFWLSKLLDTEYVKADKVMECLEETVVRFVCEPHEFEKIREWPNLDSLLRYAQVFYIADFGFAIVFMHMFTEQHLSKYPCSDVEADVAADIEVLVEVCRKLSRYMMHLLVTLPSLLPLNASAVGTLDQWQADMLENDIMTELKGFEPQPGKEALEEIKEVWVRLVIYAAAKSQPEIHAAQLARGGEALSFVWLQLAHYNCGDFGFSRMELTRDRSKHSVFYVLQLQEVVMEGTGFPG* >Brasy2G067800.2.p pacid=40068311 transcript=Brasy2G067800.2 locus=Brasy2G067800 ID=Brasy2G067800.2.v1.1 annot-version=v1.1 MENLVKLYHGWEIQLLVLLSFAIQIFLFFTGGLRRRSINMLLRLSIWVAYLGADMIAVYALGYLSQHEGATSGRDTITGTHPLAFFWAPFLLTHLGGQDTITAFAMEDNNLWLRHLLNLMVQVILALYVFWKSIGRHSVEFLVSGIFLFIAGILKYGERTWSLKCGSNLESSTGDHCKHQFPELIDGDVGYSNAVCTGLRSMLDVFNFFAGRTLFVGSQMRFGREGLGAQLPNQALKVLGIELGMMYDDLYTKALVLRTRSGLIIRCISQISALVAFILFFVGNKHGYSRADVAITYSLFVGGFFLEVCAVFIFMMSPWTWAWLKAQKYDRLARFSWSLFSSGLIGWPEKGPLWSNAMGQYNLRCRFEGSDQPNSCGQRVMSMTSKLAKSVGAKKEKIFWLSKLLDTEYVKADKVMECLEETVVRFVCEPHEFEKIREWPNLDSLLRYAQVFYIADFGFAIVFMHMFTEQHLSKYPCSDVEADVAADIEVLVEVCRKLSRYMMHLLVTLPSLLPLNASAVGTLDQWQADMLENDIMTELKGFEPQPGKEALEEIKEVWVRLVIYAAAKSQPEIHAAQLARGGEALSFVWLQLAHYNCGDFGFSRMELTRDRSKHSVFYVLQLQEVVMEGTGFPG* >Brasy2G257600.1.p pacid=40068312 transcript=Brasy2G257600.1 locus=Brasy2G257600 ID=Brasy2G257600.1.v1.1 annot-version=v1.1 MDAEGGNGKEEAKKTGLGGLPLLGGSHGSVRSAGSDLQLRQMLDSLKSSKTPAVINYGASWCSVCSQILPPFCRFSNEFKNFTFIYTDIDGCPETTQNIRYTPTFHFYRDGERVDEMLGAGEERLHDRLWLHS* >Brasy2G489400.1.p pacid=40068313 transcript=Brasy2G489400.1 locus=Brasy2G489400 ID=Brasy2G489400.1.v1.1 annot-version=v1.1 MHRPWHDLELPISDSDMELFSAFTKTVGDGRIISFWKDAWLEGARPMDLAPALFTCSVRKNRSLLQAISNDCWIHDLKGELSLEAFHQFVDLFQLVSSTQLDPNCPDSIRWKFTASGLYSASSAYHAQFHGLVRQQHTSLFWNCRAPEKCKFFGWLLVHERIPTSDVLQKKGIDNDKWCPHCTNIAENAVHLFIECPFARHVWNLVGGRYHLQPFCPTTWSNYISIKQWWIDRLDAVSVNRAAAKIKGATSLFLLTLWNIWNERNRRIFKHRRKSAEETLALIQEEAYLWSLADSLGIGALLSGDDDVP* >Brasy2G027500.1.p pacid=40068314 transcript=Brasy2G027500.1 locus=Brasy2G027500 ID=Brasy2G027500.1.v1.1 annot-version=v1.1 MQQQPTAEMPPFSPAVWRGPAGAPSPISSRPPAPAQQQQMAAAVADELGGAAASASGSGSLDGEEGGSSSAGNRWPREETLALLKIRSDMDAAFREAALKGPLWEEVSRRIGEMGYRRSGKKCREKFENVDKYYRRTKDGRAGRAHGKTYRFFSELEALHSISTSSAHPPLVAAVAPQPTKLPAPSPPPPLPTAAMAAAPAPGASLSSLGGSDGSETDDDEIAAEGAKKRKRGSSWSDITTTSGGGGGGMKKQATMRLFEGMMRQVMERQEAMQQRLLDAIERRDQERLAREESWRRQETARLAREQDALAHDRAAAAAREAAVVSFIHRVTGQMAVPPPPETKTKAMLKPPPAPPLQPTTPRNQPQQPHVAMPMSAPASARVETPQAQSKEVASVGADPAAAAASRWPKAEVHALIELRTEMEARYGNGGGGGHEAPNKGPLWEDIAAGMRRLGYARSSKRCKEKWENINKYFKKVKESSRSKQRPVDSKTCPYFHQLDKLYQTKAQHAAASQSPTAQAAAVTVLAAVPLSSQTPAPHIVEHGLGNNCTNGLQVKASNDNAAERFFPSVLDSAGRNGTPANKLESKQDGTIAKETTEQQQPAGIPMAPVNNLHNYDNGRTVIIDDDDMDDSDSMDDDFDSDDDEDVVGGTRNAQVQSYDIRFQSHQQQNTNGGGGGNPPAGPAPPASAAATSSGAPAPFLAMVQ* >Brasy2G027500.2.p pacid=40068315 transcript=Brasy2G027500.2 locus=Brasy2G027500 ID=Brasy2G027500.2.v1.1 annot-version=v1.1 MQQQPTAEMPPFSPAVWRGPAGAPSPISSRPPAPAQQQQMAAAVADELGGAAASASGSGSLDGEEGGSSSAGNRWPREETLALLKIRSDMDAAFREAALKGPLWEEVSRRIGEMGYRRSGKKCREKFENVDKYYRRTKDGRAGRAHGKTYRFFSELEALHSISTSSAHPPLVAAVAPQPTKLPAPSPPPPLPTAAMAAAPAPGASLSSLGGSDGSETDDDEIAAEGAKKRKRGSSWSDITTTSGGGGGGMKKQATMRLFEGMMRQVMERQETARLAREQDALAHDRAAAAAREAAVVSFIHRVTGQMAVPPPPETKTKAMLKPPPAPPLQPTTPRNQPQQPHVAMPMSAPASARVETPQAQSKEVASVGADPAAAAASRWPKAEVHALIELRTEMEARYGNGGGGGHEAPNKGPLWEDIAAGMRRLGYARSSKRCKEKWENINKYFKKVKESSRSKQRPVDSKTCPYFHQLDKLYQTKAQHAAASQSPTAQAAAVTVLAAVPLSSQTPAPHIVEHGLGNNCTNGLQVKASNDNAAERFFPSVLDSAGRNGTPANKLESKQDGTIAKETTEQQQPAGIPMAPVNNLHNYDNGRTVIIDDDDMDDSDSMDDDFDSDDDEDVVGGTRNAQVQSYDIRFQSHQQQNTNGGGGGNPPAGPAPPASAAATSSGAPAPFLAMVQ* >Brasy2G459300.1.p pacid=40068316 transcript=Brasy2G459300.1 locus=Brasy2G459300 ID=Brasy2G459300.1.v1.1 annot-version=v1.1 MGTRIYDPLLRTSVLQQPATTLLSRCQSHACKVWEEIESVICMGVAVGLGWAVWRYYERKSSPRTYGRYITGKTAGPVVGREDEIDRVTSILCRKTKNCAALVGAPGVGKTAIAEGLAQRIAAGKVPPELKGARVLEVDIGAMLAGTVLRGMFERRLKNVIKAAEDSGGKIILFIDEMHMLLGAGNSRGSFDAANMIKPALARGRIRCLGATTFDDYRKYVEGDGALERRFQKVHIGEPSTQETIAILRGLKQQYEQHHGLGIQDSALVAAAQLAGRYITGRQFPDKAIDLIDEACATVKKMRRQEEEVDAMRSSANAVKEAIVAPNHVAQVVSRWTGIPVTALAQEEKDRLIHLADRLHERVVGQDEAVNAVAQAVLRSRAGLDQPGQPIGSFLFLGLTGVGKTELAKALAEHLFDSEEMLVRIDMSEYVGKASVRRLIGAPPSYIGYEDGGQLTEKVRRRPYCVILFDEVEKADPAVLDVFLQLLDYGVLTDGKGQNVDFKNTIIIMTSNLGAEHLAAGMAGKKTMEAARDLVMKKVRRCFKPEFINRLSEIVIFEPLLHDQMKEIVKIQMKSVIAKVADKGISLFVRDAALDVILAESVNPMYGARPIRRWVQKKVMTTLSQMLVKGEVSEGSTISIDSTEDKKGLKYEVSKKVV* >Brasy2G305200.1.p pacid=40068317 transcript=Brasy2G305200.1 locus=Brasy2G305200 ID=Brasy2G305200.1.v1.1 annot-version=v1.1 MTARLAPTGPWADLPPELLSDVSSHLHEATDFVRFHAVCKSWRHSAASSQATAFLPWLLAPCKGQILHSVVRFSEPRIPNSEAATADDYTYTYNDVVLAPRLHHLLTGAVTRLPRLPDDGRGAARQLMEDPHAIVYGDGTVFLYRFVSYQLGPPIWKPAFMAAVLHPGDASWTVLEMRLEVWPHGRPVAACHDGRVLLLEYVQCQHWVSRCLPVPAQGQGGDDGDQIAEGVMLGAPRDAGLERECGCEECHALESRGELMWAPVMAERGWRTRYAGDTLPPSALHVTVHALLEAGPEDGGGGKMRWVARDGRSLADRVLFLGCPASFALDAAPLGVPGGCAYFVFVRGVYRYNLVDGEAEIVPWVRPRWGGAAETCVWLRPQPAIAPIEEIRERLAGGSKQEQGSGH* >Brasy2G380900.1.p pacid=40068318 transcript=Brasy2G380900.1 locus=Brasy2G380900 ID=Brasy2G380900.1.v1.1 annot-version=v1.1 MEPTSYAGAGGKIRRRPPPRAAASTPYDRPPAAAAAHRLAAAAAAAGAFQGPGTGEGEGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAQASPARLEAPTSAPPGRGAEPKQDLPESTRIGSTPVLKDSVEKGKNPAGTSDDKALSEVEHLLMRKTFTRK >Brasy2G291300.1.p pacid=40068319 transcript=Brasy2G291300.1 locus=Brasy2G291300 ID=Brasy2G291300.1.v1.1 annot-version=v1.1 MASRRFFTYDPYDYYYPTPYDHPYSYYPHIQRTEPAARAATRRSPYDLFPDVESTEAPMRASGYPGVYYNQRPAASARAPARDNGALFPDADCAEPAVKEARRSVSVPVHFAGPELGPERETAAAAEVAIPKKRAPSAEQAVVRLQASARGFLARRMVREVRAVERDAEVVAARLAAEAEALRGNTRARVGVGEELMRLLLRLDAVRGVREYRRRVTKRVLALQDAVDALEIKQAPAPVEMSEENGMATEAAEQGLRIESEEQGVRIEPESAVDSPVTETVAEMDMAIDGGIATSDERDIGEAELMMDGEKAEEAQGENAEEAEGEWEMVAEEDAVDGENPAPKEQKQEPAAEEKEKKAEGGGAADGLDARKVMEMVAAMCERSAQQCAVIGALAERVDALERAVRRVELADRRRRRNKKLKKDGKAARSCYSD* >Brasy2G100700.1.p pacid=40068320 transcript=Brasy2G100700.1 locus=Brasy2G100700 ID=Brasy2G100700.1.v1.1 annot-version=v1.1 MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKIIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWLRAILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVAQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIGLFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSRNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYKKFSGNFLVNLLGKWQESEYSGHSIPVGGLAYYVTAPSSLADIVANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLREQQMVMPGHREASLERELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKAGELGFFGF* >Brasy2G047500.1.p pacid=40068321 transcript=Brasy2G047500.1 locus=Brasy2G047500 ID=Brasy2G047500.1.v1.1 annot-version=v1.1 MREEKAKVLVVYRRRVARFSPLRRHRHLAQVDLPAVDEDRLVLDRLDAVNGEKGGLDWKSEGRGSRTWCILLILRWKNSMKRDFTINSLFFNPFNYRVYDYVNGVNDVRKNKVCAVIPAHVSFKEDPARILRGLRIAARLGFQFSSETSTAIRDLSSSIIDIDKSRLAMEMKYMLSHGAAESSIRLLGKYGLLDILLPFQAAYLSHQIKDQSSDRNLMLMKLLANLDKLTSADRPCHCSLWQKLSTDESPPLLCESALPRRPASSSFYANAQLRTVSSRRPGMENAVVLREWFDRVDAGRTGNITAPQLQVSLSLHSEILFLTEPREEGRVFLQFIKKNKASPFIRETEPKTAHFEIPTTRI* >Brasy2G379300.1.p pacid=40068322 transcript=Brasy2G379300.1 locus=Brasy2G379300 ID=Brasy2G379300.1.v1.1 annot-version=v1.1 MGMAGISQKRPVFSWNNPRCKSLTPPFSSRARLVPLLDTRLPLCSYFSSSPRGRASASPLSWSSPSCSLSPPPRSSSPPLAALQLPFPLFCAPLAKNPVAPRPAPVAERPDPVVAWPDPVAERTDPAAAGGKDDLLQG* >Brasy2G360400.1.p pacid=40068323 transcript=Brasy2G360400.1 locus=Brasy2G360400 ID=Brasy2G360400.1.v1.1 annot-version=v1.1 MKLSLPSLSLLCLRRAAAQGRRTLEHRSTAPLHPFWTHSPAGARGRRRRRLDLPLPAGIGHRPPRFADALQWRRPSVTSCTSREVPDGPLAAWTTTPGGAPAGRPPVFWAPPPPARRLLGSPVCLSLTQNPAGIRRERAAVHRDGRAGAGRREGALGRWIEGRRVRCAHSARSRCHSSSRRGASSSSTASSRCKAAAAHQSSK* >Brasy2G442000.1.p pacid=40068324 transcript=Brasy2G442000.1 locus=Brasy2G442000 ID=Brasy2G442000.1.v1.1 annot-version=v1.1 MADYAAARHLRRPVGAGWCCSFAAGVPQSPEHRHRPELTPSAAAAEVGFGAAEKAPPPPSKSPPSFHSSPSSSKLAGLIYPRRILSPGRVSPIDSDGSPAAAAPMAVDEQQQHQAALAPSVALREEEEEDGLLDLRLCLRGRDGRRVAMELDSAVLCESSAFFAAMAPDAAAGRGKRIEVDGVENVAAFRDAVELMFEADAPRWLARAGVSRAIALLEVASSIMFDRGIRSCLEYIEAVPWNENEEEKLKNLFARCTFEEALSKDVLARLQTQDRSSSEDMTVQLIQSVASSTNNVARKDMQSLINGLLSKSSVYQKGLSGLNKGGLYQVCCSCMNLLVELFMEDLEPKRSTDRTRNVLESKPMIERVSKQSENLNWLFEILVNNDMAENFVELWAKQEDLIRIHEKASPMYRYELSRISASVFIALGTGRVQCPSDLRSQLFHGWFAPMLTDFGWLQRCSKGLDVRVLEDSLGQALLTLPLQQQHSLLEEWFQCFSSRGTECPNLSRAFEVWWRRSFVRSSVPVRR* >Brasy2G456300.1.p pacid=40068325 transcript=Brasy2G456300.1 locus=Brasy2G456300 ID=Brasy2G456300.1.v1.1 annot-version=v1.1 MAGDTPAPKRPKLEKEDYESAYWPRPSPANGSAPKPPNEAPPAKADDMPEEAALAIIAHHERDIEHCKLKLIQYQSMLDKAESKLVDAQSRLALYKDRARDGKAPAPPDRRTPPPLGQRESKPKIPAQDTAAAARPQLVIPGPNSRQAPRPAPRPDPLPGPKKAAAGPSPSSSSTPPDRLRTEEKKKPKRKTCFTEEKEHQNLIQSMKKSSATVLRFHGGTLVSSQHKRKLRCLELCPANDQLVVTSALDGIVTLWQVQSKGPSISLLSTTNFFSPKQRWPEDVAWHPDGDTIFAVYSADGGDSQVSMMNLNISGQKKVTFLPAKPHTKGIINNINFMPWSDAGFMTAGSDHSVILWQEKDDSWSHKKVHKELHSSAVMGVAGLQQRKTIISVGADKRIISFDLSAERAEYKNLIDSKCMSVLLNPCDFNLYMVQTASPGRQLRLFDIRLRQTEVHALGWKQESSESQSALINQAWSPNGWYLSSGSADPVIHIFDIRYQGENPCQSVQAHQKRVFKAVWHQTFPVLTSISSDLNVAIHKYS* >Brasy2G456300.2.p pacid=40068326 transcript=Brasy2G456300.2 locus=Brasy2G456300 ID=Brasy2G456300.2.v1.1 annot-version=v1.1 MAGDTPAPKRPKLEKEDYESAYWPRPSPANGSAPKPPNEAPPAKADDMPEEAALAIIAHHERDIEHCKLKLIQYQSMKAAAGPSPSSSSTPPDRLRTEEKKKPKRKTCFTEEKEHQNLIQSMKKSSATVLRFHGGTLVSSQHKRKLRCLELCPANDQLVVTSALDGIVTLWQVQSKGPSISLLSTTNFFSPKQRWPEDVAWHPDGDTIFAVYSADGGDSQVSMMNLNISGQKKVTFLPAKPHTKGIINNINFMPWSDAGFMTAGSDHSVILWQEKDDSWSHKKVHKELHSSAVMGVAGLQQRKTIISVGADKRIISFDLSAERAEYKNLIDSKCMSVLLNPCDFNLYMVQTASPGRQLRLFDIRLRQTEVHALGWKQESSESQSALINQAWSPNGWYLSSGSADPVIHIFDIRYQGENPCQSVQAHQKRVFKAVWHQTFPVLTSISSDLNVAIHKYS* >Brasy2G486500.1.p pacid=40068327 transcript=Brasy2G486500.1 locus=Brasy2G486500 ID=Brasy2G486500.1.v1.1 annot-version=v1.1 MAPPPSAPNHHDQQHQKQQQQQEHQKKESEAADGQYHGWAAAVASEARLQRGIALPLIGMNLTWFAKLAVTTAFLGWLGDLELAAGTLGYSFANATGFAVLTGLCGAMDPICGQAHGARNAALLRRTLLMATAMLLAASAPVALLWLRVGAVLRRFGQDEDIAEVARVFVLWLLPDLVMTALLAPMKAFLSSQGVTLPTLFCSAVGLAVHIPATAWLARARGVQGVAAAVWISDLAVTLLLAAFVLASTNNTNGGDKKTKKNGGDNDWPSLEKKTMPAWAEWARLLRLAIPCCLNTCLEWWCYEILVLLTGRLPDPRRAVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNSLGANDPSAARRATIVSVSGSVLAGIIGGLLMLALRRPWARLYTRGEEVRAGVGEAMEVMAALEVVNFPLNVCGGVVRGTARPAVGLYAVVGGFYAVALPVGVALGFKARLGIRGLLAGFIVGVAASLAVLFVVIVRMDWKAEADKARARAAAGDEDEELELELRVVVSGTGSGKDAPPSARS* >Brasy2G079800.1.p pacid=40068328 transcript=Brasy2G079800.1 locus=Brasy2G079800 ID=Brasy2G079800.1.v1.1 annot-version=v1.1 MGDVSLDRPIKAEPAAGGIGKGNQITDLMSAGWTDESHTRYISSMEASFIDQLYNHGHNENRNDSSDNGFKVLRRGVWEKLKFERTNACARVGGEYRLPASPWIRHYRPHDCSSNKRDHGEETSAGDHESGIRTVRGKTPLSHGRDLGACKGENFLDENTEVSDQNFADDEVEVDAESSKAYKKRRLSSTSTYCAQMI* >Brasy2G256700.1.p pacid=40068329 transcript=Brasy2G256700.1 locus=Brasy2G256700 ID=Brasy2G256700.1.v1.1 annot-version=v1.1 MQQMALSAFVATPSSSCSSTLSGLSTCRSQRWHKRRMCGQVRAQAQGQLQYQKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDHGINILDTAEMYPVPPKQETQGRTDLYVGRWMQSKPRDKVILATKVSGYSERSSYLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVAIFGVFGYDSTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVQAAKLQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLSGKYLDANSGDTKRSRLNLFPGYMERYNASLAKEATNEYVKLARKHGLTPVQLALGFVRDRPFTASTIIGATTMVQLKENIEAFTSAPRPLPPQVLDGIETLFKKYKDPAIL* >Brasy2G256700.3.p pacid=40068330 transcript=Brasy2G256700.3 locus=Brasy2G256700 ID=Brasy2G256700.3.v1.1 annot-version=v1.1 MQQMALSAFVATPSSSCSSTLSGLSTCRSQRWHKRRMCGQVRAQAQGQLQYQKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDHGINILDTAEMYPVPPKQETQGRTDLYVGRWMQSKPRDKVILATKVSGYSERSSYLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVAIFGVFGYDSTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVQAAKLQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLSGKYLDANSGDTKRSRLNLFPGYMERYNASLAKEATNEYVKLARKHGLTPVQLALGFVRDRPFTASTIIGATTMVQLKENIEAFTSAPRPLPPQVLDGIETLFKKYKDPAIL* >Brasy2G256700.2.p pacid=40068331 transcript=Brasy2G256700.2 locus=Brasy2G256700 ID=Brasy2G256700.2.v1.1 annot-version=v1.1 MQQMALSAFVATPSSSCSSTLSGLSTCRSQRWHKRRMCGQVRAQAQGQLQYQKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDHGINILDTAEMYPVPPKQETQGRTDLYVGRWMQSKPRDKVILATKVSGYSERSSYLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVAIFGVFGYDSTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVQAAKLQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLSGKYLDANSGDTKRSRLNLFPGYMERYNASLAKEATNEYVKLARKHGLTPVQLALGFVRDRPFTASTIIGATTMVQLKENIEAFTSAPRPLPPQVLDGIETLFKKYKDPAIL* >Brasy2G493600.1.p pacid=40068332 transcript=Brasy2G493600.1 locus=Brasy2G493600 ID=Brasy2G493600.1.v1.1 annot-version=v1.1 MQVQKQSPHSKKQSHDSDGATTVHLNEQSSGQLIFHHHHRQRQHGHHGKTTKTRACILNPPSGACSYASLYSTRDPGN* >Brasy2G419500.1.p pacid=40068333 transcript=Brasy2G419500.1 locus=Brasy2G419500 ID=Brasy2G419500.1.v1.1 annot-version=v1.1 MALPICEDMEDCWAWHYETKGQFSVSSAYRMLREHDCLHAVGSSTKVDMFQWNELWKSACPGKIDCDTLCPLCRRQDEDGGHLFLRCKRVKPVWRGLGLEAQRLQLLECSGAKEMLQTVFSMADPDRGRCIALLWDWWSSRNKVNSERHANELHVFFTKSPKRSLPPAKWVKPPSDLIKFNIDGSFDANEKAGGWGVVARDEQGDVVFSGAGRIKHAFDPLQTEAEACIKTLDLAQQWGMTKVVIEPDAVLLFQAFQHCDRACNGVVDALAAAGAKMVHPSQVVWPDGVPTFVQDPVASDLLGTV* >Brasy2G090500.1.p pacid=40068334 transcript=Brasy2G090500.1 locus=Brasy2G090500 ID=Brasy2G090500.1.v1.1 annot-version=v1.1 MSSLSIPPPLLRASPSLPAAAKWRKGAVRVRVRTSVPALDGDGGCAGSGMEQHHHHQGDASGSAVREKPVMSNIGKSTNILWHDCPIGQPERQKLLGQKGCVIWITGLSGSGKSTLACALSRELHCRGHHTYVLDGDNLRHGLNRDLSFKAEDRTENIRRVGEVAKLFADAGTICIASLISPYRRDRDACRALLPDSRFIEVFMDLPLEICEARDPKGLYKLARTGKIKGFTGVDDPYESPVNSEIVIKMEDGECPSPKAMAKQVMCYLEEKGYLQA* >Brasy2G363900.1.p pacid=40068335 transcript=Brasy2G363900.1 locus=Brasy2G363900 ID=Brasy2G363900.1.v1.1 annot-version=v1.1 MYATIGKEQKMVVQEFTVDLNKPLVFQVGHLEEHYQEWVHQPIVSKEGPRFFRNDVMEFLTLTKWWAVPVIWLPVVCWLFVKSIQMGHTIQEVILMALFGVFVWTLIEYSLHRFLFHIETKSYWSNTAHYLLHGCHHKHPMDSLRLVFPPAGTAILCVPFWNVVAFFATPSSTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSSLWDAVFGTLPSSKISVKHS* >Brasy2G363900.3.p pacid=40068336 transcript=Brasy2G363900.3 locus=Brasy2G363900 ID=Brasy2G363900.3.v1.1 annot-version=v1.1 MVVQEFTVDLNKPLVFQVGHLEEHYQEWVHQPIVSKEGPRFFRNDVMEFLTLTKWWAVPVIWLPVVCWLFVKSIQMGHTIQEVILMALFGVFVWTLIEYSLHRFLFHIETKSYWSNTAHYLLHGCHHKHPMDSLRLVFPPAGTAILCVPFWNVVAFFATPSSTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSSLWDAVFGTLPSSKISVKHS* >Brasy2G363900.4.p pacid=40068337 transcript=Brasy2G363900.4 locus=Brasy2G363900 ID=Brasy2G363900.4.v1.1 annot-version=v1.1 MVVQEFTVDLNKPLVFQVGHLEEHYQEWVHQPIVSKEGPRFFRNDVMEFLTLTKWWAVPVIWLPVVCWLFVKSIQMGHTIQEVILMALFGVFVWTLIEYSLHRFLFHIETKSYWSNTAHYLLHGCHHKHPMDSLRLVFPPAGTAILCVPFWNVVAFFATPSSTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSSLWDAVFGTLPSSKISVKHS* >Brasy2G363900.2.p pacid=40068338 transcript=Brasy2G363900.2 locus=Brasy2G363900 ID=Brasy2G363900.2.v1.1 annot-version=v1.1 MVVQEFTVDLNKPLVFQVGHLEEHYQEWVHQPIVSKEGPRFFRNDVMEFLTLTKWWAVPVIWLPVVCWLFVKSIQMGHTIQEVILMALFGVFVWTLIEYSLHRFLFHIETKSYWSNTAHYLLHGCHHKHPMDSLRLVFPPAGTAILCVPFWNVVAFFATPSSTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSSLWDAVFGTLPSSKISVKHS* >Brasy2G238300.1.p pacid=40068339 transcript=Brasy2G238300.1 locus=Brasy2G238300 ID=Brasy2G238300.1.v1.1 annot-version=v1.1 MASRSTADYFSFNPLGDSADTSRRPPSILLGQHAYIAVNKNEITATAKSRGDHIPPPSSDSGDADIEVEPRVVGAEGRFFLIRTRFAFGDGEDEYFMYKGDAKSPSLESIPLPDDDSLDAIRVFSIMPSGDGRHYLLVSLCGASWGASHYQHAIYSSEDRAWRTEELHNPCPGVNTIVAEKVVMLGEGILGWVDFFEGMPVCDLRQIPHDVTCTNGVVKFIEMEHRVTIQQESREIAPDTLTDPSYKDVLYDSELIIRENRKDMNIKPKKMCLMNGWRAVTRTRELGSNYWLRGRIVDVDDIVVADSTNSVLLSGQRDNTDGDDLLYLKSLLKLPDLDGWMVVVDLAKKTLKAEAHGVGANSLGKYVPSLQMCRPCKLSNYLNMTPGIKVPVFCQIMQLGSTANDPSNKACTKWTSPP* >Brasy2G461000.1.p pacid=40068340 transcript=Brasy2G461000.1 locus=Brasy2G461000 ID=Brasy2G461000.1.v1.1 annot-version=v1.1 MARKVFDAMPDRDGVSWNVLLAGFLRHGPLPSVRAMEVWRRMLGEGHDPDPVSLSTMLSLSSVQPGNCGKLGPEVHAWVIRHGLETELSVANALVEMYSKKNELGHAVSVFESMAVRDLVSWNAIISAHRRNFGVLMVFRRMVDSGTRPDETTFAAVLSACEDLGLVEGGTRLFSEMENEYRIQPALEHYTCVVNMLGKAGLVNEAYEFISKRRCNDRKA* >Brasy2G127600.1.p pacid=40068341 transcript=Brasy2G127600.1 locus=Brasy2G127600 ID=Brasy2G127600.1.v1.1 annot-version=v1.1 MATAAQAAATFISFLSSSHYHTAPSSAAVSFLVSPVLPASLRAAAAGAQILASRCRGRRVAAAVAQLPTMNPELASGEKKIRWSSRSVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLTVRDAAVEVLGKSEMFYFSPMHPPLTESAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKVLASLGFDDEKAALLAKTGKLCPLYTRCATRGGVIHCIPG* >Brasy2G127600.2.p pacid=40068342 transcript=Brasy2G127600.2 locus=Brasy2G127600 ID=Brasy2G127600.2.v1.1 annot-version=v1.1 MATAAQAAATFISFLSSSHYHTAPSSAAVSFLVSPVLPASLRAAAAGAQILASRCRGRRVAAAVAQLPTMNPELASGEKKIRWSSRSVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLTVRDAAVEVLGKSEMFYFSPMHPPLTESAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKVLASLGFDDEKAALLAKTAGDEATMSPR* >Brasy2G127600.3.p pacid=40068343 transcript=Brasy2G127600.3 locus=Brasy2G127600 ID=Brasy2G127600.3.v1.1 annot-version=v1.1 MATAAQAAATFISFLSSSHYHTAPSSAAVSFLVSPVLPASLRAAAAGAQILASRCRGRRVAAAVAQLPTMNPELASGEKKIRWSSRSVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLTVRDAAVEVLGKSEMFYFSPMHPPLTESAQRALDWAVNEKLKSGEDGEVTANHLLLGIWSDKESAGHKVLASLGFDDEKAALLAKTAGDEATMSPR* >Brasy2G360900.1.p pacid=40068344 transcript=Brasy2G360900.1 locus=Brasy2G360900 ID=Brasy2G360900.1.v1.1 annot-version=v1.1 MRSASYATICILLLATLLAAHVAPTVSTDGEWGPIPGVDDHGILFIANWAVQKHARLSGETLTFDKVLNGSELSQGPVFTFRLLISALNANGQSGSYNVEVLDGPWTNRRVLNSFAPAS* >Brasy2G207900.1.p pacid=40068345 transcript=Brasy2G207900.1 locus=Brasy2G207900 ID=Brasy2G207900.1.v1.1 annot-version=v1.1 MARGVAAATKGGVSAGKKKGSVSFVIDCAKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVSRDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRSVYELRYFNIAENDGEEED* >Brasy2G052300.1.p pacid=40068346 transcript=Brasy2G052300.1 locus=Brasy2G052300 ID=Brasy2G052300.1.v1.1 annot-version=v1.1 MAPLYTLTLLGALLLLHTPQCSSATTANGDTLTAGQALTVGDNLVSKNGKFALGFFQFQAASSSISKSKNTTTSPNWYLGIWFNKIPIFTTVWVANREKPITDPKSNLTQLTISRDGNLVILHHAVVIWSTKIVVTNRTARTKRINTTSVVLMNSANLALTESPSSSDAPLWQSFDYPTDVVLPGAKFGWNKLTGLNRRCISKKSLIDPGLGSYSVELETSGNGIVLKRRKPSVVYRNYAPESSSILKLMPRIIKLLQLDPLSKVLIVPHYHNDTEEEYYMYTSPDESSSTFLSLDVSGQIKLNIWSQDKQSWQPILADPIDVCTPVATCGPFTVCNGNAQPPCDCMESFSKKSPWDWQLEDRTEGCIRNTPLYCTNNKNTTSSTDTFHPIARVTLPYDRQSIEAAATQSKCEEACLGSCSCTAYSYNNSICSVWHGKLLGVSLNDGLEINSEDILYLRLAAKDLPTSLQKDKRKPNVGVVTAASIASFGLLMLMLFLVVWRNKLWCCGSPLYGNQGTSGIIAFRYTDLAHATKNFSEKLGGGGFGSVYKGVLSDATTIIAVKRLDGTRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDDRLLVYEHMKNGSLDGHLFKSNADVLSWNIRYQIVIGVARGLSYLHQSCRECIIHCDIKPENILLDASFSPKVGDFGMAAFVGRNFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLLEIITGRRNTPEAYDGSGDHVEYFPVRAISNLHGGDVQSLVDPQLHGQFDLEQAKLVAKVALWCIQDDEFNRPTMSEVVRVLEGLQEIDMPPMPRLLAAITERPGSALM* >Brasy2G006500.1.p pacid=40068347 transcript=Brasy2G006500.1 locus=Brasy2G006500 ID=Brasy2G006500.1.v1.1 annot-version=v1.1 MIPCLKDDLAFGKKYEEDPSMGIEWPPSPCKMHVFSSRSPGGWEERSFVREGDAAGTLGKQLAHVLWSNGAAVYLRATLYVHCMSDYIMRISLADNTYRVIKTPVDTTEEGYIQLDMGRSEKGVYFAWIRDGWLRVWILQESCTGEAEWILKHENDLNPMLRPYFSDRYRSVHGHGPWVLENINYNLFRSPHSPKDDKKTIVQENLEREQDNGHDGVKSEDMVEVLYSEDNEKAIVMENVNLNFINDHTLGKGDMVEDCCLLDDTEKAIVQKNSEWNSNIDNSLDNGDHKAKEYCYWETEILGFHTYKEIIFLSEPFQTGLAYHLNSSKMEVLGNIYPHEYGSFKELINEMENFQSSFVYTPCRIEEFHDSR* >Brasy2G061700.1.p pacid=40068348 transcript=Brasy2G061700.1 locus=Brasy2G061700 ID=Brasy2G061700.1.v1.1 annot-version=v1.1 MGAAYFRCFGQQGAEHRDDPKAMMGTAPSSSSSSSLDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPGTGTGSLHNTAASKQRLSSYRLFAEHLLEPDQAAVTAALAASGNGTTTKGLLSAYYAETAAASFLCSHLLRDIEQVRLRFHRPLKTSLRSNNNKLAAVGVSVSGTAALATLAATQGRLGDARASSADLLGALDAGRKKARRRIRRLARLRHALSASFVTAVATVAVVGACVGVHVLAAFAAFPMMMASSPAPWKTTGVFSGRSARRALVQLEAAAKGTYIVNRDMDTISRLVERVRDEGEHMLALLQLCVEQQEQEGKGSRLVQEVLRQLGKNQDSFRLQLDELEEHLFLCFMTINKARSMVMKFMVAGTGR* >Brasy2G061700.2.p pacid=40068349 transcript=Brasy2G061700.2 locus=Brasy2G061700 ID=Brasy2G061700.2.v1.1 annot-version=v1.1 MMGTAPSSSSSSSLDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPGTGTGSLHNTAASKQRLSSYRLFAEHLLEPDQAAVTAALAASGNGTTTKGLLSAYYAETAAASFLCSHLLRDIEQVRLRFHRPLKTSLRSNNNKLAAVGVSVSGTAALATLAATQGRLGDARASSADLLGALDAGRKKARRRIRRLARLRHALSASFVTAVATVAVVGACVGVHVLAAFAAFPMMMASSPAPWKTTGVFSGRSARRALVQLEAAAKGTYIVNRDMDTISRLVERVRDEGEHMLALLQLCVEQQEQEGKGSRLVQEVLRQLGKNQDSFRLQLDELEEHLFLCFMTINKARSMVMKFMVAGTGR* >Brasy2G477500.1.p pacid=40068350 transcript=Brasy2G477500.1 locus=Brasy2G477500 ID=Brasy2G477500.1.v1.1 annot-version=v1.1 MGTHLSTPKTEKYCADGENDRLRYGLAAMQGWRTTMEDAHAALPRLDDCTSFFGVYDGHGGKAVAKFCAKHLHMQVLRNEAYASGDLATSVQKAFFRMDEMMKGQRGWRELAELGEKGQKFAGMLEGIIWSPKGGDSDKLGDDWAEEGPHSDFSGPTCGSTACVAIIRNDQLVVANAGDSRCVISRKGQAHNMSTDHKPELETEKERILNAGGFVVAGRVNGSLNLARAIGDMELKGNEHLPAEKQIVSAEPEVNTVKLSEDDEFVILACDGIWDCMSSQEVVDFVHEKLNTEDSLSAVCEKLLDRCLAPESGGEGCDNMTVILVQLNKPRKSAATSSAGQSASTTEEIRPNEPDDPKSPSE* >Brasy2G477500.2.p pacid=40068351 transcript=Brasy2G477500.2 locus=Brasy2G477500 ID=Brasy2G477500.2.v1.1 annot-version=v1.1 MGTHLSTPKTEKYCADGENDRLRYGLAAMQGWRTTMEDAHAALPRLDDCTSFFGVYDGHGGKAVAKFCAKHLHMQVLRNEAYASGDLATSVQKAFFRMDEMMKGQRGWRELAELGEKGQKFAGMLEGIIWSPKGGDSDKLGDDWAEEGPHSDFSGPTCGSTACVAIIRNDQLVVANAGDSRCVISRKGQAHNMSTDHKPELETEKERILNAGGFVVAGRVNGSLNLARAIGDMELKGNEHLPAEKQIVSAEPEVNTVKLSEDDEFVILACDGIWDCMSSQEVVDFVHEKLNTEDSLSAVCEKLLDRCLAPESGGEGCDNMTVILVQLNKPRKSAATSSAGQSASTTEEIRPKI* >Brasy2G455500.1.p pacid=40068352 transcript=Brasy2G455500.1 locus=Brasy2G455500 ID=Brasy2G455500.1.v1.1 annot-version=v1.1 MEGSLDAAIESLLNVEKQCRLAGDVAGTRKAAVDIVELCHKEGAWKTLNDQIVVLSKRRGQLKQAITAMVQKAMEYIDVTPDVDTRIELIKTLSSVAAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNMVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYDIPSIKDDPAKWIPVLRKICWYLVLAPHDPMQSSLLNATLDDKNLSEIPNFRLLLKQLVTMEVIQWTKLWEFFKDEYANEKNFLGGPLGTKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGIVSFRTAQDSNGVLNSWASNLEKLLDLVEKSCHQIHKETMIHKVVLKA* >Brasy2G308000.1.p pacid=40068353 transcript=Brasy2G308000.1 locus=Brasy2G308000 ID=Brasy2G308000.1.v1.1 annot-version=v1.1 MLAGCSLPRLCVQTPATGSSRAPRGRLQHSAGSQVHAAAAAEQSNPAPLKTRPLRSESRLRVCCFLFHQKRDTAARSSIPLARTSRRRINKSAHGPGAMGTVLDSNFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFVIIAVLTLALKGTWHFRQIVLTVLVVIWGLRLAVFLLMRILQWGEDKRFDEMRGNLGKLAVFWIFQAVWVWTVSLPVTIVNASNRNPSIEARDIIGWIMWVVGLSVEAIADQQKLKFKNSPSNRGKWCNVGLWSYTRHPNYFGEIFLWWGVFVASAPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRFGQSEEYRAYKKSTSPLIPLPPVVYGALPDWFKVAFLLELPLYNPGPERESISVS* >Brasy2G211800.1.p pacid=40068354 transcript=Brasy2G211800.1 locus=Brasy2G211800 ID=Brasy2G211800.1.v1.1 annot-version=v1.1 MPSFTVSADSDVRRPNPQLPFLAILGNRPKSYSTSSRGYTTGVCRSSMKILSSPIFLARQQQQQRQKPDLEGRSPRQEHRPPMDTELDADAARHGFGKMGFGCEHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRRAVESVICLVCDTEQPVAQVCNNCGVCMGEYFCSACKFFDDDVDKEHYHCKDCGICRVGGKENFFHCQKCGSCYSVTLRDKHRCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFTCPMCSMSIFDMEKFLRALDAEIEASSLHMGKGWIVCNDCRDTTRVYPGAAAGQRKCCHCQSYNTRRVAPPVLPA* >Brasy2G211800.2.p pacid=40068355 transcript=Brasy2G211800.2 locus=Brasy2G211800 ID=Brasy2G211800.2.v1.1 annot-version=v1.1 MPSFTVSADSDVRRPNPQLPFLAILGNRPKSYSTSSRGYTTGVCRSSMKILSSPIFLARQQQQQRQKPDLEGRSPRQEHRPPMDTELDADAARHGFGKMGFGCEHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRRAVESVICLVCDTEQPVAQVCNNCGVCMGEYFCSACKFFDDDVDKEHYHCKDCGICRVGGKENFFHCQKCGSCYSVTLRDKHRCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDK* >Brasy2G448900.1.p pacid=40068356 transcript=Brasy2G448900.1 locus=Brasy2G448900 ID=Brasy2G448900.1.v1.1 annot-version=v1.1 MLASSSPSAVAPMAAALCLPRGRSPLLLPRRARLLASAATLRRAVRRAGRLARCSAAPPETPDGTTTVESPARRKCSPLLESALLPGGNDLAVYEWKAVPDIWKTAAEKYADRVALVDPYHDPPSELTYKQLEQQILDFSHGLRAVGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFTLRINARFVVLLWGDKSSLNSKAVKDMPVYDYNDITQLGRENRNAVHDSHEQGRQGVFEAITPEDVATLIYTSGTGGTPKGVMLTHRNLLHQINNLWDIVPAVPGDRFLSMLPPWHAYERSTEYFIFTCGIQQVYTAVKYLKEDLQRYQPHYVISVPLVYETLYSSIQRQISSSSTARKTLALALIKISLQYMEAKKIYEGTVLSNNPVEPSLIVYMVNCLWARIVVALLWPFHNLAKLLVYKKIHSSIRISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDIETGEVLPDGSKGIVKIKGPPVMKGYYKNPSATNKALDQEGWFNTGDIGWLAPQHTTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAELEEAASRSNLIQQIMVIGQDRRRLGAIIVPNNDEVLAAAKRKLSLDGDNELAKATIMNLLYDELRTWMAGCSFQIGPILIVDEPFTIDNGLMTPTMKIRRDKVAAKYQREIEELYK* >Brasy2G241100.1.p pacid=40068357 transcript=Brasy2G241100.1 locus=Brasy2G241100 ID=Brasy2G241100.1.v1.1 annot-version=v1.1 MAASGGGRVVWVNGPIVIGAGPAGLSVAACLRERGVPSVVLDRADCVASLWQHRTYDRLRLHLPRRFCELPGMPFPDHYPEYPDKAQFVAYLEAYAARFGVRPRFNKSVTSARYDGAAGLWRVHAQAQDEEDGVVTEYIGRWLVVATGENAERVLPEIRGMEDFDGPVSHVSEYKSGAPYRGKRVLVVGCGNSGMEVSLDLFHHNALPAMVVRDSKVHVLPREMLGVATFSVAVFLLRFLPLWLVDRILVVLAWMFLGNLEKLGIRRPSGAGPLELKNARGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVAADAVIMATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVASDAVKVAQDIARAWKHQTATR* >Brasy2G241100.2.p pacid=40068358 transcript=Brasy2G241100.2 locus=Brasy2G241100 ID=Brasy2G241100.2.v1.1 annot-version=v1.1 MAASGGGRVVWVNGPIVIGAGPAGLSVAACLRERGVPSVVLDRADCVASLWQHRTYDRLRLHLPRRFCELPGMPFPDHYPEYPDKAQFVAYLEAYAARFGVRPRFNKSVTSARYDGAAGLWRVHAQAQDEEDGVVTEYIGRWLVVATGENAERVLPEIRGMEDFDGPVSHVSEYKSGAPYRGKRVLVVGCGNSGMEVSLDLFHHNALPAMVVRDSVHVLPREMLGVATFSVAVFLLRFLPLWLVDRILVVLAWMFLGNLEKLGIRRPSGAGPLELKNARGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVAADAVIMATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVASDAVKVAQDIARAWKHQTATR* >Brasy2G317800.1.p pacid=40068359 transcript=Brasy2G317800.1 locus=Brasy2G317800 ID=Brasy2G317800.1.v1.1 annot-version=v1.1 MFDNGGSGQPESEFCAQLSVVSRLKSEHFTQLLGYCLELNNRIALYEFATEGSLYDILHGKKGGAQQGPSGPALTWAQRARVALGAAKGLEYLHEKAQPPVIHRDVRSSNVLVFGGHVGKIADFNLSNQSADSAARLHSTKVLGTFGYHAPEYAMTGQLTHKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVGARPGGDQH* >Brasy2G220300.1.p pacid=40068360 transcript=Brasy2G220300.1 locus=Brasy2G220300 ID=Brasy2G220300.1.v1.1 annot-version=v1.1 MGGEGAGVGRKARNFATFRLFPRDGAADPNDRVFVRMDNNPYSIPGFGDDEDPSLSPTAAADQFSSSASGPLPDHVRQQILELGLPDDGYNYLLHLRELRPTAAASSFVPSHIARPEPLPLDVKAYDASKVRVASGKVEEELDEGRTMCKVAAKTAPVRRVEKAVDPDVARLLDESDDEGLEEDFVIMANQAEGGEVDDEEEEEEHGVFSDMENDLESEDEEGEPKQRVPRLLDEQFDLLALEEYGDSDDDTGGVRDGESELPTQVIDELKLFHSQSVYVDEVYRTPGEFVHGKLDSSTAEEAEESAKLIQKCAEYAEGYLNGTAEEDVMLVSESSDESEVWDCETIVSTYSNLDNHPGKIHTPGNPRNRLPKVFPGETARTKDIIKLQGKEKLPVDYLPQRKRNSEKEKKAKPAEVEATGDEYFKKVVQKETKDEKKARKSAVKEEKRQARKAKKELKGLYKFETQKAQKVAAVTGPSSIRLL* >Brasy2G496700.1.p pacid=40068361 transcript=Brasy2G496700.1 locus=Brasy2G496700 ID=Brasy2G496700.1.v1.1 annot-version=v1.1 MREIWSNSPLTIHERFTPSRFVNSRLTRASPVDFVNSRLTRAVELQPISRRFRYRSRTGVELSGPGNSTFQVPTLLPSPPSSAKPVLFLLSRRWARAAAASKHQPAATAVAAAPQYSPEEVLWIRSPPPPPSLYFRGRHDPHPLPRCPDPMVDQLAAPAKLIVEPYPNQPLDLEQF* >Brasy2G439500.1.p pacid=40068362 transcript=Brasy2G439500.1 locus=Brasy2G439500 ID=Brasy2G439500.1.v1.1 annot-version=v1.1 MKNKKGARRRPNKAATHLKGEAASVGAGGDRLSMLPDDILLNILERVDTLDALRTCILSKRMLKLPTMLSRFDINVGNLVHHHDVAAHGYKVGHVIQYNNAVAGVTEKILSARNLEIPIRKIRLRFYVGRDGCLSISRAFAGAMATQRVEHAEFVLLTDRSCLKCTHDDLLYYAQHFNNCLGDSPAAFAGLTHLWLRGMRFGELDIPNILSTCKRLVSLRLSCCDAGVRSVLHIEHAELVELYIEQGKFGAVQLNGLPKLQHVNFVGWCYPDPLNFGSVPQLSKLRLEQMGISSTKNLQLSQFLHNVPWISDLDLDFLSEKIWVLPESPKLLAPVLGKLQIVNLDNLPEGCDMAWTMFILEAAPSLRELCITVWDHWCKIVKDQEFRRKNGYCEKANVEWQPSAFNLKHKNLVKLTIYGFQPDEIFVQYVRRILKVAVNMGEVSLHDRKACEHCVDLDPEIKVCPSRYPRTIEEKDELKVEITKELGMASHAVIHFRS* >Brasy2G367500.1.p pacid=40068363 transcript=Brasy2G367500.1 locus=Brasy2G367500 ID=Brasy2G367500.1.v1.1 annot-version=v1.1 MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMFTLFVHELKFYLTTYTMHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGTIIGTEHLSDLVEKEHGAHYHDNGHEHHDEEKKPEHTFNEDADKMVKSVRQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIYVAEKIFEGSSHVNVSHVIHELSFGLKYPGIHNPLDDTTRILHDTSGTFKYYIKVVPTEYRYLSKQVLPTNQFSVTEYFVPIRPADRSWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRIIESVSSSKPRSVLR* >Brasy2G367500.2.p pacid=40068364 transcript=Brasy2G367500.2 locus=Brasy2G367500 ID=Brasy2G367500.2.v1.1 annot-version=v1.1 MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMFTLFVHELKFYLTTYTMHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGTIIGTEHLSDLVEKEHGAHYHDNGHEHHDEEKKPEHTFNEDADKMVKSVRQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIYVAEKIFEGSSHVNVSHVIHELSFGLKYPGIHNPLDDTTRILHDTSGTFKYYIKVVPTEYRYLSKQVLPTNQFSVTEYFVPIRPADRSWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRIIESVSSSKPRSVLR* >Brasy2G367500.3.p pacid=40068365 transcript=Brasy2G367500.3 locus=Brasy2G367500 ID=Brasy2G367500.3.v1.1 annot-version=v1.1 MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMFTLFVHELKFYLTTYTMHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGTIIGTEHLSDLVEKEHGAHYHDNGHEHHDEEKKPEHTFNEDADKMVKSVRQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIYVAEKIFEGSSHVNVSHVIHELSFGLKYPGIHNPLDDTTRILHDTSGTFKYYIKVVPTEYRYLSKQVLPTNQFSVTEYFVPIRPADRSWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRIIESVSSSKPRSVLR* >Brasy2G454500.1.p pacid=40068366 transcript=Brasy2G454500.1 locus=Brasy2G454500 ID=Brasy2G454500.1.v1.1 annot-version=v1.1 MEEKKKMMKPLPFLLLCLLCLQPTGGSPDPTPTPWPPQFHAKLLMTHRGNLSIADLWYDWPGGRNLHVIRYQLASDSPYYDAEWNNGTSFFYTPARGSCRSAQVGVGILRPDWLSSPAGAAAYLGRFPNVDGGFDCHVWSKADFITYYEEVGTRRPVKWVFYTGRTAHVMSFEAGAVLEDAEWQAPDYCFSEEDGTAKDTLVSEPQGHGESLWVCLRCPVLPTFSRA* >Brasy2G003000.1.p pacid=40068367 transcript=Brasy2G003000.1 locus=Brasy2G003000 ID=Brasy2G003000.1.v1.1 annot-version=v1.1 MDTAPWRLVLLLPLTLVISCSLVDVAVNPYCSTTGYTADGQYERNLLALFGDLPSKAINNRGFYNASVGEAPDEVFGLLGCYADRSWTQCRKCLYAAAVGIQSTCPFSREMKAAYEACVLRYSNVSSFVSSGLADLSVAFITWDYSYLAPRAARSELRFANGTVPYAKTTMYGLTQCTRDLVASECYRCLAKFVSDLSSKFPNNTYGGIKGYGCYVIYSTRESILITVPPPPPSNLPPPLAQMPPPSNVPPPEYPPQVDPDQTGSRMPLVAGVSAVGSILQKHMSREQEVDTFDDEPLEYEFKQGIGPKRFRYSDLAMATRCFSKEEKLGEGGFGSVYRGFLKELKLEVAIKRVSKSSKQGRKEYISEVKIISQLRHRNLVQLIGWCHGGGELLLVYDLMPNGSLDTHLYSSENILSWPVRYRTILGLGSALLYLHQDREQCVLHRDIKPSNIMLDASFNAKLGDFGLARLVDHGRGPYMTGLAGTMGYMDPECMVTGRTSAESDVYSFGVVLLEIASGKRPAVARQESEHLIHLVQWVWDSWGGGRTLDAADAHLNMEFDEREMECVIVVGLWCAHPDRNLRPSIKQAVNVLRFESPLPSLPPKMPVATFKPALESFVSASQLTGGR* >Brasy2G424300.1.p pacid=40068368 transcript=Brasy2G424300.1 locus=Brasy2G424300 ID=Brasy2G424300.1.v1.1 annot-version=v1.1 MAGERRGGRHLLVGALLLALVVSAHCLDSHHSRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHVLGWLRGQRPDIDGLTGKESNRLNASDPSSPEIFVTDKAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMPQNVMSGFTPDSVPVYASLAEEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLINGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLDAWRGKLPNYAVIEQRYFDCKEFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFERLGVRVPSFLISPWIEKGTVIHEPNGPTPTSQYEHSSIPATVKKLFGLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVLKSLRPFGPDEDKSLSEFQVELIQLASQLNGDHVLNTYPDIGKTMTVVEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRAAMSTGLSAEL* >Brasy2G019300.1.p pacid=40068369 transcript=Brasy2G019300.1 locus=Brasy2G019300 ID=Brasy2G019300.1.v1.1 annot-version=v1.1 MAVGKNKRISKGRKGSKKKIVDPFTKKEWYDIKAPLIFSTRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQADEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATSCDLKELVQKFIPEVIGKEIEKATSSIFPLQSVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGMKVDRPAEGDEAIIPGAEDVAAAE* >Brasy2G196000.1.p pacid=40068370 transcript=Brasy2G196000.1 locus=Brasy2G196000 ID=Brasy2G196000.1.v1.1 annot-version=v1.1 MMGGGLVMDQGMVFSGVHNFVDLLQQNGGDKNLGFGSLMPQTSSGDQCVMGEGDLVDPPADHFPDAGDDDSDDDVDDIEELERRMWRDRMKLKRLKELQQSRGKEQAGGGGGGMGADGGLKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGSESDLGSGTASPHSLQELQDTTLGSLLSALMQHCEPPQRRFPLEKGVSPPWWPSGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYMRLHPGARPPMPSGGIASAISFNASSSEYDVDVADDCKGDEAGTHKMAMADPTAFNLGAAILNDKFLMPELPMNMKEEAADVEYVQKRGSATTEPELMLNNRVYTCNNGQCPQSDYGYGFLDRNARNSHQYACKYNDPLPPTVAVENKPPPPPAQQVFPTAYNQPNQALNNLDLDFSVPMDGQRSITELMNMYDNNFMANKSTSNDDVTIIERPNALTPRMQMDDGFFGQGNGIGGNNGGGMFDDVGNMMQQQQQQQQQPHAQAPQQFFIRDDNQFGNQMGNINGSSDFRFGSGFNMSGAVDYPHQKNDGPNWYY* >Brasy2G350600.1.p pacid=40068371 transcript=Brasy2G350600.1 locus=Brasy2G350600 ID=Brasy2G350600.1.v1.1 annot-version=v1.1 MIDAPSRERPPLSFVAPTAAAVFLAALASFFIALAPRHAPAAAESRPNMSKARVYSDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYLYELLKALDHCHSQGIMHRDVKPHNVMIDHDLRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLKKYHIELDPQLEHLVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFVQVRAAENSRTRPQ* >Brasy2G176100.1.p pacid=40068372 transcript=Brasy2G176100.1 locus=Brasy2G176100 ID=Brasy2G176100.1.v1.1 annot-version=v1.1 MEWPPPPPRLLLLFLVAVVSWSLDGAGIGGFGVGAEGLSSNGASFSRRRLLQIGGENRGVQLLSSHVQAPSSKSMFHRRAPTSRPVSAPSPSPLISPPEGSPSPFSSQSTPHQPPSRHRPSVVHPHRVMPRPATQEVDHVHSVQTPFRSVHKHSWTTYAFVAAGIAAFLIISAASAFYCRAKKVGTVKPWVTGLSGQLQKAFVTGVPALKRSELETASEDFSNIIGSTSSCMMYKGTLSSGVEIAVASSLVTSAKNWSKECESQYRKKITNLSKVNHKNFMNLLGYCEEGHPFTRAMVFEYAPNGTLFEYLHVREAEKLDWRTRIRISMGIAYCLEHMHQLRPPVVPRSFDSTTIYLTDDFAAKVSDLEFSNDGRRPNSTNSDSDSSSELENAVHQYGIVLLEILTGRVPCSDEDGPLEKWAFGYFNGEMRLVDLIDLSIGSFSEEAARALCEVARSCIDPDPKRRPTMAEVAAQLKEITALGPDGATPKVSPLWWAELEIMSSES* >Brasy2G176100.2.p pacid=40068373 transcript=Brasy2G176100.2 locus=Brasy2G176100 ID=Brasy2G176100.2.v1.1 annot-version=v1.1 MEWPPPPPRLLLLFLVAVVSWSLDGAGIGGFGVGAEGLSSNGASFSRRLLQIGGENRGVQLLSSHVQAPSSKSMFHRRAPTSRPVSAPSPSPLISPPEGSPSPFSSQSTPHQPPSRHRPSVVHPHRVMPRPATQEVDHVHSVQTPFRSVHKHSWTTYAFVAAGIAAFLIISAASAFYCRAKKVGTVKPWVTGLSGQLQKAFVTGVPALKRSELETASEDFSNIIGSTSSCMMYKGTLSSGVEIAVASSLVTSAKNWSKECESQYRKKITNLSKVNHKNFMNLLGYCEEGHPFTRAMVFEYAPNGTLFEYLHVREAEKLDWRTRIRISMGIAYCLEHMHQLRPPVVPRSFDSTTIYLTDDFAAKVSDLEFSNDGRRPNSTNSDSDSSSELENAVHQYGIVLLEILTGRVPCSDEDGPLEKWAFGYFNGEMRLVDLIDLSIGSFSEEAARALCEVARSCIDPDPKRRPTMAEVAAQLKEITALGPDGATPKVSPLWWAELEIMSSES* >Brasy2G014100.1.p pacid=40068374 transcript=Brasy2G014100.1 locus=Brasy2G014100 ID=Brasy2G014100.1.v1.1 annot-version=v1.1 MGFCSYQVKDHIFVLTLDARDGHNYLTLESARDLLVKLREIRLKGEHRRSFKGLITNGAAGGAFTDGVDYEHTPPAVVTELTYLMAEAVHELYNMPFATVAAVTGAARTSLAMALVLAHDEIAAAKDAVFEVPEVRGGRLLPPYFASLLRGRAQYAGMRSSMVLRAKAMAVPDMTNWYMFECQIDGDDDADGVKIGSSVIKGSFAAGDGKAFVTTRKSFYPEAWKAVCVFLAGPLSQTKG* >Brasy2G172800.1.p pacid=40068375 transcript=Brasy2G172800.1 locus=Brasy2G172800 ID=Brasy2G172800.1.v1.1 annot-version=v1.1 MAMGAAAAACFSSGVVSRSRVRAQATSWAGGAEELVRSGKVRPVRPREAAGVMGAEGFRLLDVRPAWEHGRAAVRGSAHVPLFVADDDMGPVTLLKKWVHLGYIGLWTGQSFTKMNDRFLDDVAAAVAGKDAKLLVACGEGLRSLIAVRMLHDDGYKNVGWLAGGFSKSVDGDFPDEEGESKLRYATIGGVSYIFLQILLLLRVVK* >Brasy2G014300.1.p pacid=40068376 transcript=Brasy2G014300.1 locus=Brasy2G014300 ID=Brasy2G014300.1.v1.1 annot-version=v1.1 MNPLAHRRRPVAVAVRKKYACAVTAATGSARPATRRSTGAAPTHTKPTAASKLRRQTRAPKATPPRRIRRPRTSPLPDPDTESELSLGGTSLAKGGMWRSCTTTPSRRKNDVHGRRCRRPRHRRCRAFTRSRQPLRAPVKLAERHAPKPPRAPARKTAGPWKAVPFQPIPAPYENKPPPYENSCTPRRQWIPSQNLHRTAPSK* >Brasy2G386100.1.p pacid=40068377 transcript=Brasy2G386100.1 locus=Brasy2G386100 ID=Brasy2G386100.1.v1.1 annot-version=v1.1 MEYRSAVPPRAVMPGAPRPVLRRPALRCPPLPRPVLRPRAPLTRALPSRALSPDVDPDVAAAVDGDTGPGRGHGQRGVRWAPGRILRQEARAT* >Brasy2G100600.1.p pacid=40068378 transcript=Brasy2G100600.1 locus=Brasy2G100600 ID=Brasy2G100600.1.v1.1 annot-version=v1.1 MGIKRPGRCDCATAAELLALLLLVILGASSLTGCIAASGGGLTRGSFPKGFVFGTASAAYQYEGAVKADGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWARILPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYNGWLDRQIVDDFAGYAETCFAAFGDRVRHWITVNEPHTVSVQGYDAGLQAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHAAASRIYRSKYRAKQAGELGMAFDVMWYEPMTGRAVDVEAAKRAQEFQLGWFADPFFFGDYPATMRKRVGERLPRFTAEEAELVKGALDFVGINHYTTYYTRQNDTNIIGKLFNDTLADTGTISLPFRNGKAIGDRANSIWLYIVPRGMRSLMNYVKDRYNSPPVYITENGMDDSNSPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYHDNLKRYPKNSVQWFKSLLSSS* >Brasy2G100600.2.p pacid=40068379 transcript=Brasy2G100600.2 locus=Brasy2G100600 ID=Brasy2G100600.2.v1.1 annot-version=v1.1 MGIKRPGRCDCATAAELLALLLLVILGASSLTGCIAASGGGLTRGSFPKGFVFGTASAAYQYEGAVKADGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWARILPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYNGWLDRQIVDDFAGYAETCFAAFGDRVRHWITVNEPHTVSVQGYDAGLQAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHAAASRIYRSKYRAKQAGELGMAFDVMWYEPMTGRAVDVEAAKRAQEFQLGWFADPFFFGDYPATMRKRVGERLPRFTAEEAELVKGALDFVGINHYTTYYTRQNDTNIIGKLFNDTLADTGTITFRNGKAIGDRANSIWLYIVPRGMRSLMNYVKDRYNSPPVYITENGMDDSNSPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYHDNLKRYPKNSVQWFKSLLSSS* >Brasy2G100600.3.p pacid=40068380 transcript=Brasy2G100600.3 locus=Brasy2G100600 ID=Brasy2G100600.3.v1.1 annot-version=v1.1 MADMGMDAYRFSIAWARILPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYNGWLDRQIVDDFAGYAETCFAAFGDRVRHWITVNEPHTVSVQGYDAGLQAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHAAASRIYRSKYRAKQAGELGMAFDVMWYEPMTGRAVDVEAAKRAQEFQLGWFADPFFFGDYPATMRKRVGERLPRFTAEEAELVKGALDFVGINHYTTYYTRQNDTNIIGKLFNDTLADTGTISLPFRNGKAIGDRANSIWLYIVPRGMRSLMNYVKDRYNSPPVYITENGMDDSNSPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYHDNLKRYPKNSVQWFKSLLSSS* >Brasy2G102300.1.p pacid=40068381 transcript=Brasy2G102300.1 locus=Brasy2G102300 ID=Brasy2G102300.1.v1.1 annot-version=v1.1 MSASNGASPAVPASGGQGSAAAAGGSSSTPHRPRFGRAAVEMTEEARAREQAEKAELEKNIARTKEEIVALKAALAETYAAGPGEPKP* >Brasy2G087900.1.p pacid=40068382 transcript=Brasy2G087900.1 locus=Brasy2G087900 ID=Brasy2G087900.1.v1.1 annot-version=v1.1 MEVSDELRSLEATGIYRLADSRAAFLDPVRLLNESYRRFRLVPSAYYSRSFGPSHQGGDAESERTVPSPNRKKRKRKRQPNPRELNAVERIADARHQEARPLLIGAHDSLLKANDLLEFLPEMIKGEECLLDVETNLENNFFDLGSSWRAPFYEMTVCFQKTQDNKEGFCHDQRRSIPLFNRIINGDENDDTEGEFQSRRYILPNRSCFLMTDFRHVRDLIPDNSEQGYNLIVIDPPWENGCVRQKEAYPTLPNRYLLYLPVQELAHPDGALLVLWITNREKLRTFVEDELLPSWGVKDPTVFYWLKVKSDGSLIGDLDLFHHRPYECLLLGYKNVNREAELKQKFKFLEGSQVIMSVPGAHSRKPPLQKILSEYIPGPKPPRCIELFARELSSGWTSWGNEALHFQDSMYFSEK* >Brasy2G402300.1.p pacid=40068383 transcript=Brasy2G402300.1 locus=Brasy2G402300 ID=Brasy2G402300.1.v1.1 annot-version=v1.1 MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANSDETSGDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAIEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELASWPEQSTRRRTWLTVPEAVSQCRYQWMQEALVTGFSNWHDNWSKGGGGKDCDSV* >Brasy2G050400.1.p pacid=40068384 transcript=Brasy2G050400.1 locus=Brasy2G050400 ID=Brasy2G050400.1.v1.1 annot-version=v1.1 MWAAWISWADQTRGEGRRPLPLPPAASSGGVAWGWGRTPPRKHLLCHHRTDGTTHLLLTHMVCSRWRNARAGSGNSWSYICKAW* >Brasy2G056500.1.p pacid=40068385 transcript=Brasy2G056500.1 locus=Brasy2G056500 ID=Brasy2G056500.1.v1.1 annot-version=v1.1 MATGEQQTTAQISSSSNSSGRPVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEVQDGGNMVVLEVGCGAGNTIFPLLSTYPDIFVHACDFSSRAVDLVKKHKDFRPDRVNAFACDITSEQLTEGMEPSSVDIVTMIFMLSAVAPDKMPLVLQNVKRVLKHGGHVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSECGFTLEEICVHNKKVENRSLDLVMNRNWIQATFTLNPAGPVDTNNQHNHHSCEGKEDKLAGAMSQKKSPSEEIDLSMDFSSMFGTSHYLDELNSDQKQQQSTALLLNRAQTITIKAKGHNFKIKMLTKEYQHTCKSTGLMLWESAQFMCSLLAENPSIVAGKRVLEIGCGSAGICSMVAASFARFVVATDGDAESLDLLRQNTSSNLEADLHNRILIRKLFWGDEDDMKEVRELSGDCGVFDCIIGTDVTYNPDAILPLFRTARKLISNKSNGNSEAALILCYIQRRVDEDSILSIATAQGFRLVDKWINGVHESNGIISSWFCGNDVCSAFRNVTLSILYFEA* >Brasy2G056500.2.p pacid=40068386 transcript=Brasy2G056500.2 locus=Brasy2G056500 ID=Brasy2G056500.2.v1.1 annot-version=v1.1 MATGEQQTTAQISSSSNSSGRPVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEVQDGGNMVVLEVGCGAGNTIFPLLSTYPDIFVHACDFSSRAVDLVKKHKDFRPDRVNAFACDITSEQLTEGMEPSSVDIVTMIFMLSAVAPDKMPLVLQNVKRVLKHGGHVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSECGFTLEEICVHNKKVENRSLDLVMNRNWIQATFTLNPAGPVDTNNQHNHHSCEGKEDKLAGAMSQKKSPSEEIDLSMDFSSMFGTSHYLDEAQTITIKAKGHNFKIKMLTKEYQHTCKSTGLMLWESAQFMCSLLAENPSIVAGKRVLEIGCGSAGICSMVAASFARFVVATDGDAESLDLLRQNTSSNLEADLHNRILIRKLFWGDEDDMKEVRELSGDCGVFDCIIGTDVTYNPDAILPLFRTARKLISNKSNGNSEAALILCYIQRRVDEDSILSIATAQGFRLVDKWINGVHESNGIISSWFCGNDVCSAFRNVTLSILYFEA* >Brasy2G056500.3.p pacid=40068387 transcript=Brasy2G056500.3 locus=Brasy2G056500 ID=Brasy2G056500.3.v1.1 annot-version=v1.1 MVVLEVGCGAGNTIFPLLSTYPDIFVHACDFSSRAVDLVKKHKDFRPDRVNAFACDITSEQLTEGMEPSSVDIVTMIFMLSAVAPDKMPLVLQNVKRVLKHGGHVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSECGFTLEEICVHNKKVENRSLDLVMNRNWIQATFTLNPAGPVDTNNQHNHHSCEGKEDKLAGAMSQKKSPSEEIDLSMDFSSMFGTSHYLDEAQTITIKAKGHNFKIKMLTKEYQHTCKSTGLMLWESAQFMCSLLAENPSIVAGKRVLEIGCGSAGICSMVAASFARFVVATDGDAESLDLLRQNTSSNLEADLHNRILIRKLFWGDEDDMKEVRELSGDCGVFDCIIGTDVTYNPDAILPLFRTARKLISNKSNGNSEAALILCYIQRRVDEDSILSIATAQGFRLVDKWINGVHESNGIISSWFCGNDVCSAFRNVTLSILYFEA* >Brasy2G264800.1.p pacid=40068388 transcript=Brasy2G264800.1 locus=Brasy2G264800 ID=Brasy2G264800.1.v1.1 annot-version=v1.1 MPGNQARTPRIYKLHHAASVSSPLARPSPPPPPSLSSRSFLLAGEMVFVKTQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKCRDLDQEYEGNVEATGEDFSVEPSGERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDSEVHRKYIYGGHVADYMRSLADEEPEKYQSHFSEYIKKGISADDIESVYKKVHAAIRADPTLVKSTKEPPKTHKRYNLKKLTYEQRKASLVERLNALNSSAGAADDEDDDE* >Brasy2G364700.1.p pacid=40068389 transcript=Brasy2G364700.1 locus=Brasy2G364700 ID=Brasy2G364700.1.v1.1 annot-version=v1.1 MLHPCGHHTREAQAHEILINLCPTRPEASSRPMVDAGAGPHAIPHRRPAAPGPSRPGPAARPGHAPPAGPLVLSSPEAARAALKAPHDAAFAGRPMHPTASIITYGGENIAFARHDSRHWKALRTLCTVELLSPGRVRSFRPVREQEAAWLVRSVLAAGAGGSAVNVSEVLKVMMNDVIMRVSVGDRCRQRAAYLEELDRMLDLMSGFNLTDLFPASRLARVLGGGSLKAAWAVQRRMHSIMEDMIRDHKRAMDTELEELEATGHDADEREDILTTLLRFQRDGGIGGIALTNENVSGVLSDVFSAGSETTATTVIWAMSELMRSPRIMATAQSEVRRVLDGKTAVTEADIDSGRLPYLEMVIKETFRLHPPVPLLLPLMGYDVPAGTTVFVNVWAIGRDEDSWTTDAGEFKPERFESEAVDYGGTDFRFLPGGAGRRMCPAMMFGVSNIMIALASLLYHFDWKLPGGKSPKKLDMAETYGITARRKTDLMLEASPFVP* >Brasy2G384900.1.p pacid=40068390 transcript=Brasy2G384900.1 locus=Brasy2G384900 ID=Brasy2G384900.1.v1.1 annot-version=v1.1 MPPCHDIVPRVAPHLYNLVKEHGNVCITWFGPILRVIIAEPELVKDILSNKSGHFEKFTNKRLGKLIALGLANYDGEKWAKHQRILNPAFHLEKLKGMLPAFSTCCTELIGSWENKLANSGGSVEIDIWQEFQNLSGDVISRTAFGSSFMEGRRIFQLQAEQAERIVKAFQYIYILGYLFFPTKNNRRMREINREIEGLLRGIIEKRERAIKSEGHEHDLLGLMLQSNKASGTSSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRARKEVLSVFGKNKPSFDGLNRLKTVTTILYEVLRLYPPAVTLNRKTSKEVEIGGVSYPAGVVVELPVILLHHNPNIWGKDVLEFKPQRFAEGISKATNDRLAFFPFGSGPRICIGQNFALLEAKMALSMVLQHFEFRLSPSYAHAPYTVITLHPQHGAQIIIKSLE* >Brasy2G429500.1.p pacid=40068391 transcript=Brasy2G429500.1 locus=Brasy2G429500 ID=Brasy2G429500.1.v1.1 annot-version=v1.1 MPNPLLAPLDFLSSSLLRLHRSSSSSSSGRARSTTQTPTLQIATTAPNPSPPPHPVRCCASPPPPPPPPPPPPSPLPSIPTPLRPLRPKARES* >Brasy2G076400.1.p pacid=40068392 transcript=Brasy2G076400.1 locus=Brasy2G076400 ID=Brasy2G076400.1.v1.1 annot-version=v1.1 MEGFYQLPTVDAAATRRVATSTTAAASPTAPTAATTGTLRFPAWVLLRKEAHYDDYDNATTAEVETSTGRNVKVTFFPFESPAVSYFCVHGPELEDKDFDAEPEVVFSEKGLLLLRFAFTVGPRSTCFNRHLAEYFVYKAGRGKPSLTPIPPDDRPDETKSFASILPCDDDDGDFLVADLAMTLNIGHYVLHIFSSKTNKWISRPLELQFSPAVMEDLPGVPQKVIALGSRTIGWVDLWRGIVVCDVFDPEPVLRFIPLPKPEFNLHGEGDPQEIRDVTCCNGVIKFVEMQHYETPVESKQKLNFKTTKDLDNVNVIYDSELFLVNDEDLVEKEPTYHPDSWKIRTCYRHTSWNHWSKGRTVHVDDILVKNPRQYTMLSELWDGSAGKCTLRKLTTSCPVISIHQDDVVYLSSKVGVYDEKAWVVGVDLRTKTVEVLEPYSAEGTSLYQIPFIACTFSEQLNTNPRLCAQEDSHAQNSVLNDHLSSGYNNENNLRPQQNMLNVGSYYGSLYEHGDTTHPGCHNSHQPIQLQPQFVLPHSFPQAPQSNLTSSGSGYLHPVNAQSTYPVPVLNTDAYGQLWLSMPLDNRFVAHLPRTVAPRPAVPFNTPMTPQVWMRAPTAVPFTQPQSSFLPPSTAAYGFPNYW* >Brasy2G076400.2.p pacid=40068393 transcript=Brasy2G076400.2 locus=Brasy2G076400 ID=Brasy2G076400.2.v1.1 annot-version=v1.1 MEGFYQLPTVDAAATRRVATSTTAAASPTAPTAATTGTLRFPAWVLLRKEAHYDDYDNATTAEVETSTGRNVKVTFFPFESPAVSYFCVHGPELEDKDFDAEPEVVFSEKGLLLLRFAFTVGPRSTCFNRHLAEYFVYKAGRGKPSLTPIPPDDRPDETKSFASILPCDDDDGDFLVADLAMTLNIGHYVLHIFSSKTNKWISRPLELQFSPAVMEDLPGVPQKVIALGSRTIGWVDLWRGIVVCDVFDPEPVLRFIPLPKPEFNLHGEGDPQEIRDVTCCNGVIKFVEMQHYETPVESKQKLNFKTTKDLDNVNVIYDSELFLVNDEDLVEKEPTYHPDSWKIRTCYRHTSWNHWSKGRTVHVDDILVKNPRQYTMLSELWDGSAGKCTLRKLTTSCPVISIHQDDVVYLSSKVGVYDEKAWVVGVDLRTKTVEVLEPYSAEGTSLYQIPFIACTFSEQLNTNPRLCAQEDSHAQNSVLNDHLSSGYNNENNLRPQQNMLNVGSYYGSLYEHGDTTHPGCHNSHQPIQLQPQFVLPHSFPQAPQSNLTSSGSVNAQSTYPVPVLNTDAYGQLWLSMPLDNRFVAHLPRTVAPRPAVPFNTPMTPQVWMRAPTAVPFTQPQSSFLPPSTAAYGFPNYW* >Brasy2G082200.1.p pacid=40068394 transcript=Brasy2G082200.1 locus=Brasy2G082200 ID=Brasy2G082200.1.v1.1 annot-version=v1.1 MSWRIPLFGSSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082200.2.p pacid=40068395 transcript=Brasy2G082200.2 locus=Brasy2G082200 ID=Brasy2G082200.2.v1.1 annot-version=v1.1 MSWRIPLFGSSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082200.4.p pacid=40068396 transcript=Brasy2G082200.4 locus=Brasy2G082200 ID=Brasy2G082200.4.v1.1 annot-version=v1.1 MSWRIPLFGSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G082200.3.p pacid=40068397 transcript=Brasy2G082200.3 locus=Brasy2G082200 ID=Brasy2G082200.3.v1.1 annot-version=v1.1 MSWRIPLFGSQQQQPEPNFQDIPTQSWCPPSVVGSSSHPSTPSSSSTSPHQRASDHSQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRGELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLADLERQKDEIMKSYSPAALLDKLQSSMAKLDEESEELHQKFLEKDMDLPTFVQKYKKLRAAHHKCALLHLSGKASLR* >Brasy2G180500.1.p pacid=40068398 transcript=Brasy2G180500.1 locus=Brasy2G180500 ID=Brasy2G180500.1.v1.1 annot-version=v1.1 MASTNSWTHEIESPVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGSIGSVRQFNFTSVMPFSFMKERLDLVDADKCECKSTLVEGGGIGVAIETATSHIKVEPSANGGSVVKVDSTYKLLPGVEVKDEITKAKDSVTAIFKAAETYLVANPDAYN* >Brasy2G479300.1.p pacid=40068399 transcript=Brasy2G479300.1 locus=Brasy2G479300 ID=Brasy2G479300.1.v1.1 annot-version=v1.1 MGTRIYDPLLRTSVLQQPATTLLSRCHSHACKAWEEIESVVCMGVAVGLGWAVWRYYERKSSLRTYGRYITGKTAGPVVGREDEIDRVTSILCRKTKNCAALVGAPGVGKTAIAEGLAQRIAAGKVPPELKGARVLEVDIGAMLAGTVLRGMFERRLKNVIKAAEDSGGKIILFIDEMHMLLGAGNSRGSLDAANMIKPALARGRIRCLGATTFDDYRKYVEGDGALERRFQKVHIGEPSTQETIAILRGLKQQYEQHHGLGIQDAALVAAAQLAGRYITGRQFPDKAIDLIDEACATVKKMRRQEEEVDAVRSSANAVKEAIVAPNHVAQVVSRWTGIPVTALAQEEKDRLIHLADRLHERVVGQDEAVNAVAQAVLRSRAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEHLFDSEEMLVRIDMSEYVGKASVRRLIGAPPSYIGYEDGGQLTEKVRRRPYCVVLFDEVEKADPAVLDVFLQLLDYGVLTDGKGQNVDFKNTIIIMTSNLGAEHLTAGMAGKKTMEAARDLVMKKVRRCFRPEFINRLSEIVIFEPLLHDQMKEIVKIQMKSVIAKVADKGISLFVSDAALDVILAESVNPMYGARPIRRWVQKNVMTTLSQMLVKGEVSEGSTISIDSTEDKKGLNYEVSKKVV* >Brasy2G175800.1.p pacid=40068400 transcript=Brasy2G175800.1 locus=Brasy2G175800 ID=Brasy2G175800.1.v1.1 annot-version=v1.1 MAKENLKDEHICEEDKEEEEEYILLELDDCLYSDIQPNAQYILSGLDTLTPTLVIGDGLKMIGEYEETVGTCYLFSEGDAPPKPICDEAALAKGNKDKQASISKGGMKEVLPKEVKHLASAQKILKFRSINEDYQQHRA* >Brasy2G175800.2.p pacid=40068401 transcript=Brasy2G175800.2 locus=Brasy2G175800 ID=Brasy2G175800.2.v1.1 annot-version=v1.1 MAKENLKDEHICEEDKEEEEEYILLELDDCLYSDIQPNAQYILSGLDTLTPTLVIGDGLKMIGEYEETVGTCYLFSEGDAPPKPICDEAALAKGNKDKQASISKGGMKEVLPKEVKHLASAQKILKFRSINEDYQQHRA* >Brasy2G175800.3.p pacid=40068402 transcript=Brasy2G175800.3 locus=Brasy2G175800 ID=Brasy2G175800.3.v1.1 annot-version=v1.1 MAKENLKDEHICEEDKEEEEEYILLELDDCLYSDIQPNAQYILSGLDTLTPTLVIGDGLKMIGEYEETVGTCYLFSEDAPPKPICDEAALAKGNKDKQASISKGGMKEVLPKEVKHLASAQKILKFRSINEDYQQHRA* >Brasy2G175800.4.p pacid=40068403 transcript=Brasy2G175800.4 locus=Brasy2G175800 ID=Brasy2G175800.4.v1.1 annot-version=v1.1 MAKENLKDEHICEEDKEEEEEYILLELDDCLYSDIQPNAQYILSGLDTLTPTLVIGDGLKMIGEYEETVGTCYLFSEDAPPKPICDEAALAKGNKDKQASISKGGMKEVLPKEVKHLASAQKILKFRSINEDYQQHRA* >Brasy2G334800.1.p pacid=40068404 transcript=Brasy2G334800.1 locus=Brasy2G334800 ID=Brasy2G334800.1.v1.1 annot-version=v1.1 MPLQRAATGTATEANRRTGDVRDGAGGTGLTVATRDREGPAAAESRRRRAPSLRCQSRPGLQPPEFLGGGVGLGGTRWGGHRAWEGNEGDGGTGRGGRRSGVVDGVGRPPAPEWRRRRRGP* >Brasy2G085100.1.p pacid=40068405 transcript=Brasy2G085100.1 locus=Brasy2G085100 ID=Brasy2G085100.1.v1.1 annot-version=v1.1 MARFAVVAAIVALLAVTAAAQAPGAAPIPAPKMAPLPAPPSRSPATAPAPVATPPTAAAPSSPLSSPPAPTPAAADAPSAMTPSAVSATPAGAPSGTPASSAVYTSTVSFVAVAGAVAAALVF* >Brasy2G190800.1.p pacid=40068406 transcript=Brasy2G190800.1 locus=Brasy2G190800 ID=Brasy2G190800.1.v1.1 annot-version=v1.1 MSAPPSLKPAATAAFLAAPRVPAILRAAALGATAPPPPRLRCSASTAARDSAEMSAPLDWAVRSVEALEQAKDLDTFCMMALSPLDGRYFRFVKDLMPFFSEFGLIRYRVLVEIKWLLKLSQIPEIEEVPPFSEEAQLFLDSIIHDFSIDDAKEVKQIEKITNHDVKAVEYYLKQKCSSNPEVAKVLEFFHFGRTSEDINNLSYALALKEGVNTVMSPAMINVCSAICSLATENAQVPMLSRTHGQPASPTTLGKEMANFAARLSDIGKSFAEVNILGKFAGAVGNYNADVVAYPEIDWPKMTEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNIVLTDFDRDVWSYISLGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNFSVSNGTLHTLSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATMNGIKKLEVNKVRLDEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEEARSSLLKLTPHSYTGEAEKLARNIVNVVDLRSGFKIK* >Brasy2G028200.1.p pacid=40068407 transcript=Brasy2G028200.1 locus=Brasy2G028200 ID=Brasy2G028200.1.v1.1 annot-version=v1.1 MEVSYYVVFGALAAVVAGLELGKSGKDRVATTTAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQYALSSSAAADLDSITYIWIYKARPLVIAR* >Brasy2G372000.1.p pacid=40068408 transcript=Brasy2G372000.1 locus=Brasy2G372000 ID=Brasy2G372000.1.v1.1 annot-version=v1.1 MAVQAQYPSNLFFHDRGEPERKEMDLPKPSQLAGVSPAVYFASGGASGNRRKRGREAMAPPPPVKEEYINLFALQPQPQQQSTPFYNMPQFHHNNRVASSSPSPAPMTRVSTGLRLALDEQQQQQQQSRQINSLCYAPSPSPLASFSDELAGQMKQQAEELDRFIRGQGEQLRRAMADRVRHHNRALLVAADKAASRRLREKAAEAEREALRGAELEERLARLRSEAAAWQAKALSEQAAAVALHAQLQQAAAAARASCEELLLADGGDAGPAESSSSAYVDPRRAGPEHRACRACRSRPASVVLLPCRHLSLCSDCQIAGDMDVSSGPLACPVCHCVRTGSVEAILC* >Brasy2G086400.1.p pacid=40068409 transcript=Brasy2G086400.1 locus=Brasy2G086400 ID=Brasy2G086400.1.v1.1 annot-version=v1.1 MCFVDARKSNSIPTTTPPPRHAGNKRPLELTVYDPAAAAEAEQRANAASSSSSSEPINAIPLAAFAPEDRAEPWWLRKELFPHRNLRFDLPVHFIAHKSITVTDLDPHQNRFRLPSDAVMRNLRLLLSPLELAAANMLHDDHVPRPRPPKKQLQQLALQAAPGEQEQEQQGMKKKKKKKRKGKKHGGLPVLVVDSSGNIRELQMSRWESSRGIIIKGEGYMNFLANSSFQVDDVVEIWAFKERVFRLFGSDVCADGPLYLLITKKG* >Brasy2G423700.1.p pacid=40068410 transcript=Brasy2G423700.1 locus=Brasy2G423700 ID=Brasy2G423700.1.v1.1 annot-version=v1.1 MASVGFGTSMAVAPAVAGGRRLRRTQAAATRGQAAPKKQEKGILDFIVGAIVKDEQLLIETDPLLNKVDTPAPSPASGTVSKKKPAADDGGGGGGFSLGGLFAKKG* >Brasy2G105400.1.p pacid=40068411 transcript=Brasy2G105400.1 locus=Brasy2G105400 ID=Brasy2G105400.1.v1.1 annot-version=v1.1 MARPSGDVVRWTKHISALARSGRAAEAVAAFARMDAAPNALTLASVLPACARLRSLALGQAIHGFWLRRGGVPGANPILDNAVLDVYAKCGALASAHRLFDGMPDRDVFSWTTMAWALARSGCPQDAVAMFRAMMLSDDGEPNEATVVSVLHAVASTGSLACGKLLHSYAIKRGLSGGERVIVGNALIDVYGKCGEARLAFEVFDQLPDKDMVSWGTVVRAMAVHGRCKEAMQLFSMMMRRGVRPDGAVFLGLLYACFHAGMVAQAMHFLGAMKRVYGIAPGRDHYTCVLDACGRAGRFDDAGEIFRRMPVEHDRKVLGAYWSYASASNGDRVAGEQLREWFLDGRMDAGGGTYALVSKSLADAERWDDACAVRGRMAARRISKPVACTWIEV* >Brasy2G134800.1.p pacid=40068412 transcript=Brasy2G134800.1 locus=Brasy2G134800 ID=Brasy2G134800.1.v1.1 annot-version=v1.1 MDWESQEFTANTITWQQIYRVYSRIPFKFVSLVQIFLDSNKFGGHLPPSLGNLQMLQTFSIFNNNFTGGVPKEIFRIPTLYDIDLSYNNLVGQLPTDIGNARQLINLALSSNKLSGDVPNTLDNNLTGPIPAYLGSLKLLEKLDLSFNHLVGEVPKKGIFSNATAIKIDGNQGLCGGIQELHLLACSVMPSSSSRHKLSFVLKLVIPVVSMVPVTMVIVLLLFWRRKQKKKSLPLPSYAREFPKVSFIDLARATDGFSTAKMIGRGTYGSVYQGKLFPDENYVAIKVFNL* >Brasy2G382400.1.p pacid=40068413 transcript=Brasy2G382400.1 locus=Brasy2G382400 ID=Brasy2G382400.1.v1.1 annot-version=v1.1 MGSLGKAASASTGETVVLAVNGARHEEAGVAPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPDTDEVTEFSASSCLTLVGSVNRCSVTTSEGIGNTRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKPKPGAEGEPAAAAPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGCADVAKLPEYSAGSVCTFPEFLKSEIKSAIPQTAVTGDDGGWYHPKSIGEFHSLFDSDWFDENSVKVVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGVEIGAAVSIAKAIEVFSHGTPVFSKISDHLSKVASPFVRNTATIGGNLVMAQRLDFASDIATVLLAAGSTVTLQMASKKMLCLTLEEFLEQPPCEVKTILLSVFVPEWGSENVIFETSRASPRPFGNAVSYVNSAFLARTSGDAASGGPLIEDIRLAFGAYGVNHAIRARKVEDFLKAKLVSAPVILEAVRLLKDAISPSEGTTHPEYRVSLAVSFLFSFLSSLSNSLDAPANAITPNGSCGTGIANGNAESSPEKRLEVASDYLPIRWRQEMVFSDEYKPIGKPVIKAGVELQASGEAVYVDDIPAPKDCLYGAFIYSTNPHAYVKGFNFKSSLASEKVITVSSAKDIPSNGANVGSGFPMFGEEALFGDPIAEFAGQNIGIVIAETQKYAYMAAKQVVIDYSTENLEPPILTIEEAIQHNSYFHTPPYFTPNQVGDFDQGMSEADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQMPEVTQGIIAKCLGIPYHNVRVITRRVGGGFGGKALKGSHVACAVAVAAFKLRRPVRMYLDRKMDMIMAGGRHPMKAKYSVGFKSDGTLTALRLDLGINAGISPDSSPVMTMAVIGALKKYNWGALSFDVKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHVASVLGADTNAVRRKNLHGIDSLKVFYGDAAGEEPTYSLVTIFDKLAASPEYKQRAAAVERFNGGSRWKKRGISCVPITYEVQLRATPGKVSIMNDGSIVVEVGGIEIGQGLWTKVKQMAAFGLGPLCPDGEGPLDMVRVIQADTLSMIQGGFTGGSTTSENSCEAVRLSCAELVERLMPIKKSLEATSGTPPSWTALIAQATMASVNLAAHAYWKPDPSFVSYLNYGAGVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVINDGTWTYKIPTVDTIPKQLNVELINSAPDHKRVLSSKSSGEPPLLLASSVHCAMREAIRAARKEFAVDSPLTFQMDVPATMATVKELCGLDVVERHLESLSAAGKA* >Brasy2G146200.1.p pacid=40068414 transcript=Brasy2G146200.1 locus=Brasy2G146200 ID=Brasy2G146200.1.v1.1 annot-version=v1.1 MGYLQEARENHVKKKVEEALRSKMKQKALKECDILCSKYAECARGRTFSVVWQCRKEAKELNNCLHQFTNDAILEDMKKAYMEQESKEKKQ* >Brasy2G146200.2.p pacid=40068415 transcript=Brasy2G146200.2 locus=Brasy2G146200 ID=Brasy2G146200.2.v1.1 annot-version=v1.1 MKQKALKECDILCSKYAECARGRTFSVVWQCRKEAKELNNCLHQFTNDAILEDMKKAYMEQESKEKKQ* >Brasy2G027800.1.p pacid=40068416 transcript=Brasy2G027800.1 locus=Brasy2G027800 ID=Brasy2G027800.1.v1.1 annot-version=v1.1 MAKRQMGSENTCTHRTMVKRKGSGLQLPSLPTDILRNILSQLPIKEAVRTSILSGEWRHAWCCHSNLAFTHHRFEQGFTEGVESVLQQHSGLVDSIQFVGPFGDEQSDQVERWVNFATASEAKQLILDFSPAHPKKLEPCGLDLQLLDESNSLHLRAIKLCRVSLKMPPVFKGFRNLKWIYLADMDITDEGLRSLISNSTVLEFLGIAGITGLRTLQISSDTLQHLQVYDCHRLGEMELNIVGLVKLEYRGPRVLLSPPGTLLTTSIRMELVDTCSLECIFIDLGNDVPRLETLAVKCTEWKRPELPRNLHSFVHLKHLRMEVNIAGNLWNRKADILDFAVLLVAAPFLEKLEFHMFVGCEHLRYSTDEGDLRSPPYSEPHIHLRTVEITGFYGQKDQLELALHILENSVVLEEMEINPSPAVLAADRPWLMGVPAYVMDGCQVALQFLRGRDHRNVVRVVTGSG* >Brasy2G162100.1.p pacid=40068417 transcript=Brasy2G162100.1 locus=Brasy2G162100 ID=Brasy2G162100.1.v1.1 annot-version=v1.1 MAAAPESEVAAAEEMMAGRDQEPAPAPAPAAVSEEAPPAAAAGTNATVPALYVGDLHEDAQEEHLFDAFSKVGAVTSVRVCRDTATSSSLRYGYVNYFSQADAMTALEKMNHSLILDKPIRVMWSNRDPDARRSGAGNVFVKNLNDHIDNVILQELFSKFGDILSCKVARNDDGTSRGYGFVQFAAQESADIAIENLNNSHFEGKQLHVAHFIKKSERSANNDDKYTNLYMKNLDDDMTEELIKLKFSQFGPLISVKIMKRDDGTSKGFGFVSFKNPDSAKKAKEAMNGMPLGSKSLYVARAQKKAERKQYLQLLHEEKRNEIITKSNASFTFLSFGSNVYIKNISDRVDDDTLRERFDEFGNITSVKIMRDDKGISKGFGFVCYSTPDEAKCAVSSMRGVMFYGKPLYVAIAQRKEDRKARLEQRFAELATMVGAASPVIPTGYPHVYFAHPSTHFPQGPSRQGFMYPPMGLGQEWRQNVFPSPHNIQQIHAPLMPNTPRQYRNNRRMTGNMMTFPHTVNYVSHAQTAKDFMSRQQFGHAKYIPADVMTSGLAIHHSDPVSSVNDPFISLLAAAPPDQQRNMLGNRLYPLVERYHPELASKITGMLLDLDSSDVVLLICSPDMLSAKINECAQLLQGQQAAKTKPEDQEALHPGFLLDSAGVNAN* >Brasy2G289000.1.p pacid=40068418 transcript=Brasy2G289000.1 locus=Brasy2G289000 ID=Brasy2G289000.1.v1.1 annot-version=v1.1 MAACPAATTARVGAHGGPCRLWSGSDRSPNVLAGSATSLLPPLSGRRSARATRTRASASELQQAPGAAAPAATIPTHKVTVHDRERGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELREKGYALLCVGYPSSDVEVETQDEDEVYWLQFGRYFARGPVDRDDYALELAMGDE* >Brasy2G013900.1.p pacid=40068419 transcript=Brasy2G013900.1 locus=Brasy2G013900 ID=Brasy2G013900.1.v1.1 annot-version=v1.1 MRATAVVVLFLAAAAMAGADSIINATCSSLGAYPGYSYCVNVLSSDPSSANAKDARDLTIIAANATSHNITQTAHTIDELLVDLQRCSADYSHYMGDLVASALADLVAGRHPRDKLFYASGVGPDSCNVEFDQNQEWGFTKELLTDEDAQNAALTIFTANVALLSLNKSLALPPSPSPASIINATCYALPDLYEAFNRTLDLAVIAIHAVQRNITSAVELIDGLVSDLAGCKAAYGAMAQKVGGALDDLVARRDHVGAANKLADASHDPVSCDAGMSRRRGTQKDVLFHENARNFLLAHFASNIASSLGQTS* >Brasy2G246100.1.p pacid=40068420 transcript=Brasy2G246100.1 locus=Brasy2G246100 ID=Brasy2G246100.1.v1.1 annot-version=v1.1 MPIFPPPTPCNINSTSSPARDLFDAIPHRNAKPVPCRRRTRRHGGRTTISSSSPTSSAARDRIADDPTPRLFSALFKAASSASPSMGAQLHAQAVVRGFLDDAGSIILPTAILNFYASCREPDLARRVFDAMTCTNAVTWNALIKGYAQAGRREEALELFRAMRRQSHVAPDKYTFPALLSGIGREQGGGCMQELGGAVHGQAIKSGLNRDPFVGASLVSMYAARGALEEVKVVFDDVDTLDPVVWSSLISAYVNCKEEEGALLTFYRMLCRDIKPRQFVYSSLFAVCGSMSMLEMGRQVHAHSLKNNTDKDAAMTNALLTMYSDCGCIRDALRVFNSNDIVDVVSYNSIILALGQHGHPKEATELYRQMNRAGLMPDEVTLLNLLSAFNHAGLVHEGLRIFNSMLDMEGVKPTYQHYACVADMLARSGQIGEAMKTIYEMPFEAEAPLWRIILGACSKHRDIRTGTKIAEMLFEMEPYEATNYILLGNIYTRLGRWTEAEKFMLKKLLCITHQE* >Brasy2G086700.1.p pacid=40068421 transcript=Brasy2G086700.1 locus=Brasy2G086700 ID=Brasy2G086700.1.v1.1 annot-version=v1.1 FAKGFSFSKRAASLTTCRPYTILGKSLLGTARSSLFLSMYCASAWAWTCFLFRTFEKCNTPLVVLGTFPAGLALTIEKKSRRTEISLYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPFPADDDENKKYSASSDGVKKEIFSIG* >Brasy2G205300.1.p pacid=40068422 transcript=Brasy2G205300.1 locus=Brasy2G205300 ID=Brasy2G205300.1.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVAVNIDSTFKLGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSGAHTIGQAACTNFRSRIYGESNINAAYAASLQANCPQSGGDGNLAPLDVATPNTFDNAYYGNLVSQRGLLHSDQQLLNGGSTDALVNTYVSSAAQFSADFAAAMVSMGNIGVLTGSQGQIRLNCAKVN* >Brasy2G205300.2.p pacid=40068423 transcript=Brasy2G205300.2 locus=Brasy2G205300 ID=Brasy2G205300.2.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVALGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSGAHTIGQAACTNFRSRIYGESNINAAYAASLQANCPQSGGDGNLAPLDVATPNTFDNAYYGNLVSQRGLLHSDQQLLNGGSTDALVNTYVSSAAQFSADFAAAMVSMGNIGVLTGSQGQIRLNCAKVN* >Brasy2G205300.3.p pacid=40068424 transcript=Brasy2G205300.3 locus=Brasy2G205300 ID=Brasy2G205300.3.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVAVNIDSTFKLGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSASRLPGSSHSACCSPADSGVATRGPAAWHAPLAHKLHDPV* >Brasy2G205300.4.p pacid=40068425 transcript=Brasy2G205300.4 locus=Brasy2G205300 ID=Brasy2G205300.4.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVALGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSASRLPGSSHSACCSPADSGVATRGPAAWHAPLAHKLHDPV* >Brasy2G205300.5.p pacid=40068426 transcript=Brasy2G205300.5 locus=Brasy2G205300 ID=Brasy2G205300.5.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVAVNIDSTFKLGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSADFRVPATLHAAHQQTLV* >Brasy2G205300.6.p pacid=40068427 transcript=Brasy2G205300.6 locus=Brasy2G205300 ID=Brasy2G205300.6.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVALGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSADFRVPATLHAAHQQTLV* >Brasy2G205300.7.p pacid=40068428 transcript=Brasy2G205300.7 locus=Brasy2G205300 ID=Brasy2G205300.7.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVAVNIDSTFKLGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSEK* >Brasy2G205300.8.p pacid=40068429 transcript=Brasy2G205300.8 locus=Brasy2G205300 ID=Brasy2G205300.8.v1.1 annot-version=v1.1 MGFSGGGVVPPAVATAILLLLALAAAASAQLSATFYDASCPSALATIKSGVTAAVSKEPRMGASLLRLHFHDCFVQGCDASVLLADTANFTGEQTAFPNANSIRGLDVIDTVKAQVEAVCNQTVSCADILAVAARDSVVALGGPSYTVPLGRRDSTTASLALANSDLPPPTSDLADLVGNFSRKGLSTTDMVALSEK* >Brasy2G483000.1.p pacid=40068430 transcript=Brasy2G483000.1 locus=Brasy2G483000 ID=Brasy2G483000.1.v1.1 annot-version=v1.1 MASVATAPAGKRPASGGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDIIRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAEIPQFSPDVCIVESTYGVQQHQPRHVREKRFTDAIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHVEPLNSIDNFHDVGPSVVMASPGTLQSGLSRQLFDKWCTDKKNTCVIPGFVIEGTLAKTIINEPREVTLANGLTAPLHMQIFYISFSAHADFPQTSAFLDELRPPNIILVHGEANEMGRLKQKLITQFDGTNIKIVSPKNCQSVEMYFSSDKMAKTIGRLAEKVPEVGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESCPEEPDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMILNIGREGPKVVPVEEAEKTQEETEKVARKVVYALMVSLFGDVKVAEGGKFVISVDGDVAHLDGSSGDVECENAALKERIKTAFRRIQGAVRPIPLSSS* >Brasy2G398800.1.p pacid=40068431 transcript=Brasy2G398800.1 locus=Brasy2G398800 ID=Brasy2G398800.1.v1.1 annot-version=v1.1 MEASYGAGEQELEIVVFPWLAFGHMIPFLELSKRLAARGHAVAFVSTPRNLARLPPAHGVRFVPLPLPRVDGLPDGAESTADVTSGNDEHLKKAFDGLAAPFAAFLAEETAAGRKPDWIVHDFSHHWMAPIADQHKVPCAAFLIVYPGFVAFLGPRWANAAHPRLVTEDFTVPPKWMIPSVSAVAYRGHEAGWLAGAFHANASGLSDMDRTWRMFENSRLTIYRSCDEVDPGMFDLLTDLLRHPAVPAGILLPPDLITAAGADREEKSLAEGRHEALRWLDGQPPKSVIYVALGSEAPLTEKNLRELALGLEQAGVRFLWALRKPTGMLSAEDGGKVLPAGFKERTRTRGLVSVGWVPQVEALAHGATAAFLTHCGWGSTVESFALGHPLVMLPFTVDQPLVARAMAEKGIGVEVARDESDGSFHRDGVAAAVRLVMVEEEGKVFAGNAKKLQAVLADQGRQERYIDELVEHLRRCKDGGW* >Brasy2G261600.1.p pacid=40068432 transcript=Brasy2G261600.1 locus=Brasy2G261600 ID=Brasy2G261600.1.v1.1 annot-version=v1.1 MAAAVARRGAALALALARRGMCSATAPAAAALSSEDLIRMERDCSAHNYHPIPMVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLKALIEQAERLTLSSRAFYNDKFPVFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKNIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGDRICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIDDEIQTGIARTGKMLACDWEDVRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAIASLKAVKDEGLVERAAKLGQEFRDQLQKVQQKFPNIVREIRGRGLLNAVDLSNKALSPVSAYDICIKLKERGILAKPTHDTIIRLAPPISISHEELAQASKALSDVLEHDLPQMQKQIKKPQSEAKIPVCDRCGRDL* >Brasy2G239600.1.p pacid=40068433 transcript=Brasy2G239600.1 locus=Brasy2G239600 ID=Brasy2G239600.1.v1.1 annot-version=v1.1 MATAEDSGKTTLESGHAAAASAPPVSLFSADLVLRLLLFAASLSALVVLLTAKQTAIVPVVLTPPFRLAPVAAQFKDSPALIYLLVALCVTCLYSLLSAACSLKSISSSVCLVKTLFLLILLDVFYAAIMASATGTAGAVAWVGLKGNSHTRWNKVCNVYDKFCRHVGASTFLAIVTSLILILLTVLNAYSLYRRSR* >Brasy2G142400.1.p pacid=40068434 transcript=Brasy2G142400.1 locus=Brasy2G142400 ID=Brasy2G142400.1.v1.1 annot-version=v1.1 MGFISGIMMGIIVGVALIAGWAHTMARRAAKRSAKAADINSLGSLDREDVKKICGDNIPEWISFPEYDQVKWLNRLLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSRLSLGTVPPKIEGIRVQSFKKGQITMDIDFKWGGDPNIILAVDTLVASLPIQFKNLQVFTIIRTVFQLSDEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDISDLELKPQGKVTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTRVIDDNLNPEWNETFELIAEDKETQHVVLEVFDEDNLKQDKRLGIARLPLSDLEAGAVQEINVQLLPSLDTKVKDKKDRGALILKVLYHPFTKEEALEALEMEKKTVEERRKAREGTAAVSGAADAGGGVASTVTNVQAGPGVAAVAGPGPGGPGAGAGHGGTGIGALGTSITKAGKFVGRTVTGPFSSARRSGSTVPTIDE* >Brasy2G142400.2.p pacid=40068435 transcript=Brasy2G142400.2 locus=Brasy2G142400 ID=Brasy2G142400.2.v1.1 annot-version=v1.1 MGFISGIMMGIIVGVALIAGWAHTMARRAAKRSAKVKWLNRLLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSRLSLGTVPPKIEGIRVQSFKKGQITMDIDFKWGGDPNIILAVDTLVASLPIQFKNLQVFTIIRTVFQLSDEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDISDLELKPQGKVTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTRVIDDNLNPEWNETFELIAEDKETQHVVLEVFDEDNLKQDKRLGIARLPLSDLEAGAVQEINVQLLPSLDTKVKDKKDRGALILKVLYHPFTKEEALEALEMEKKTVEERRKAREGTAAVSGAADAGGGVASTVTNVQAGPGVAAVAGPGPGGPGAGAGHGGTGIGALGTSITKAGKFVGRTVTGPFSSARRSGSTVPTIDE* >Brasy2G305600.1.p pacid=40068436 transcript=Brasy2G305600.1 locus=Brasy2G305600 ID=Brasy2G305600.1.v1.1 annot-version=v1.1 MAAILRRTTPKAAAAAGVAGPLLRAWSSDRFARFLGSGVSAASCDSGIAAAAEFVPWGKGGGVTHRAASVDPTAVVEAGAVVHSGAVLGKEVVVGSGTVVGPSVSVGHSTRIGYNVVLSNCSVGEFCTIHNGACVGQDGFGFFLDGDGQIKKKPQVLYARIGDNVEIGANTCIDRGSWRDTLIGDDTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSLVTKDIQKPGDYGGFPAVPINEWRRQTVNLRLFSKKHSSRR* >Brasy2G119900.1.p pacid=40068437 transcript=Brasy2G119900.1 locus=Brasy2G119900 ID=Brasy2G119900.1.v1.1 annot-version=v1.1 MEYSAGRDRRSRLCCLLGVVGAVLLCLGTAASGELTDDFYDDCCPNLDSIVRARVSAAMKAEPRMGASLLRLHFHDCFVNGCDGSILLDGSNSEKLAAPNLNSARGFEVVDAIKADIERACPGHVSCADVLALAAKYGVLLSGGPDYDVLLGRRDGLVANQSGANSNLPGPDDSISEITKRFKDVGLNTTDMVVLSGGHTIGRSRCALFSNRLANFSATNSVDPTLDSALASSLQQVCRGGDGNQTAALDDGSADAFDNHYFKNLLAKKGLLSSDQILFSSADAAAATKALVQAYGADSQRFFCDFGNSMVKMGNIAPLTGSAGQIRKKCRAIN* >Brasy2G149400.1.p pacid=40068438 transcript=Brasy2G149400.1 locus=Brasy2G149400 ID=Brasy2G149400.1.v1.1 annot-version=v1.1 MLPLTRPLVLLKCKTLCAKHRDRCQVTIGQPGERGIPKSPITPSDFIPTDEGPTATN* >Brasy2G449900.1.p pacid=40068439 transcript=Brasy2G449900.1 locus=Brasy2G449900 ID=Brasy2G449900.1.v1.1 annot-version=v1.1 MKDRRGTGFPFSIGCMSQSAVAVADPLEKKQPQADPPSSSTTSNTTTTTHGAGVEGGSGSEEKAVAPGIVATGVQRLIKGIKMSLSQMFATDDDGEEEEEEEREIVIGYPTDVQHVGHIGWDGLNKVGGMGMVSAFSLPSSLSLRQLEIAMEGST* >Brasy2G158400.1.p pacid=40068440 transcript=Brasy2G158400.1 locus=Brasy2G158400 ID=Brasy2G158400.1.v1.1 annot-version=v1.1 MRVQFQNSVLVATQMQLPVFGIPPRDHNFTTPKRTCEMMFGGLYAAVGRLSDGPLMIEMGHSDVVSAQIISNIVDDRNRRGWRARWWRLGPSPRPHRI* >Brasy2G355900.1.p pacid=40068441 transcript=Brasy2G355900.1 locus=Brasy2G355900 ID=Brasy2G355900.1.v1.1 annot-version=v1.1 MAEFKVGSLDARATKLRNVPIAVTPEGFWCCPSQTVLQKTMKNQSQQAKSKVGASPPASKASSVQRAPSISLERRNHSTPTRPRTNSDEQRCLSADNATSNPPKVVSDRSQKQHKISVGFGQIEMSDLRVVLHGKDGVAVKMSVHKNILAENSTLFADKLSSQSPMSSIEVSDCEDVKIYVETVGLMYCSDVKQRLIKQSVPRVLRILKVAELLDYLEAVPWTGEEEANVVSSVRHLQSENYGVTPVLKRVCSDLTTSPPNDTFARIIELVLKSSDDRGRREMKSLVLKLLKENSSCASSSADLCVETLYASCRNCLESLLTLFRQASDNDFSEKSLELKEPVFRQIALEADNLLWLTEILSDRNSADEFAVMWSHQCELAGLHSKLPTKSCHLVSCVTTRLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGSRSFDRKVAEEGIGSTILTLPLEDQQAILLSWLGSFLKVGNSCPNLQKAFEVWWRRTFVRPYV* >Brasy2G111100.1.p pacid=40068442 transcript=Brasy2G111100.1 locus=Brasy2G111100 ID=Brasy2G111100.1.v1.1 annot-version=v1.1 MSVAEGNGASGGAVVGFSEEKEALVLKSWAIMKKDSANLGLRFFLKIFEIAPSAKELFPFLRNSDAPLETNPKLKTHAMSVFVMTCEAAAQLRKAGKITVRETTLKRLGGTHVKYGVADGHFEVTRFALLETIKEALPADMWSPEMKNAWGEAYDQLVAAIKQEMKPSA* >Brasy2G073100.1.p pacid=40068443 transcript=Brasy2G073100.1 locus=Brasy2G073100 ID=Brasy2G073100.1.v1.1 annot-version=v1.1 MAAAGWPAVLVMLLAAAVCVLRAARADMSADRSECADQLVGLAPCLQYVQGQARSPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGININASLALALPSACGATKANVSHCPELLHLPPNSKDAAIFSPGGDKGPAATPGKDNTTSTTNSRAQQSANGAAAPSTATGGVALAALLAGYLALLLPREFPAAASF* >Brasy2G229400.1.p pacid=40068444 transcript=Brasy2G229400.1 locus=Brasy2G229400 ID=Brasy2G229400.1.v1.1 annot-version=v1.1 MDEDFARAVEDGLKLSKRLVLPGSAPPPRPPVGMDRSAAWDAAPLLPTAPMAYAVVTDPGTVDSPDVPSYQPHVYGRLNPPALIPLQMREIDLRVDCVAGCATAEVTVRARWWVHCITRSRDCDCRVVVPMGEQGSLLGAEVTVGKRSYNTHVIDVEDNSAVSIAKTESGALLKSHFFSLTIPQVLGGEDIFATIRWSQKLLYDNGQFSVDIPFRFPQYVNPLPKLFTKREKIQLTVNSGVSKEVLLQGTSHPLKEKSRQGDKLSFLHEAIVESWSIKDFTFAYSVYSADLSGGVLVQRSTLRDYDDRDMFCIFLLPGNNQNRKVFRKAVVFIVDTSGSMQGKPIENVKSAVSTALSELVQGDYFNIVTFNDELHSFSSCLEQVNDKTTENAIEWMNLNFVAQGGTDIMHPLSEALALLSNSHDTLPQIFLVADGSVEDERNICHTVKTQLTNRGPMSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGLIEGRMLQWFRKASSTIVTNISIDATKDIQEFEVYSEYIPDVSANYPLFVSGRYRGKLPETLSAKGYLADKSEISIELKVQHIKDIPLGKVLAKQQVDLLTSKAWLSANKQLEQKVAKLSIQNSIPSEYTRTVLLQTILEKVDPAQQGKQKPKKHGSADEPSATPLNGLTLGFGNVAATMENLTSGFGDTKAPDKFEMFGKTVGGCCSRVADCCCCMCFINACSKMNDQCAIVMVQLCAALSFLGCFECCSELCCGGGGSD* >Brasy2G384300.1.p pacid=40068445 transcript=Brasy2G384300.1 locus=Brasy2G384300 ID=Brasy2G384300.1.v1.1 annot-version=v1.1 MSTPARKRLMRDFKRLQHDPPAGISGAPHDNNITLWNAVIFGPDDTPWDGGTFKLTMQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRKVREIVEQSWTAD* >Brasy2G233900.1.p pacid=40068446 transcript=Brasy2G233900.1 locus=Brasy2G233900 ID=Brasy2G233900.1.v1.1 annot-version=v1.1 MTSSKHGLHVLLLLLICSNSTIDAADKHNTTLLCHPDEASSLLQLKRSFIDVDENLASWRAGSDCCHWEGVICDMASGRVISLDLGGFDMQGRHLDPALFNLTSLRNLSLAFNDFHHAQLPSYGFERLTNMIHLNFSQTNFLGQIPIGISHLKNLVTLDFSYDNELYLQEPSFETFMANLSNLRELRLDYVDISNSGSTWSVVLAESVPQLQTLSLFDCGISGPIHPSFSRLRSLTEIDLQNNDELTGMVPEFFAEYSSLGILKISSTSFEGQFPAKIFLMKSLRKLALSRNPSLSVCLPDFPVGSNLETLLLAGSNLSCGPGEASTVLDRQSYTQLTSLGLDGYDFSRLAPSWIGNLASLTNLYIKNCNFSGPIPHQIGNLTNLALFYFMECNYNEQPLPSWIGNLTKLTNFMLFGCNFSGAIPSVLGNLTRLEFLDIRLVGRERRATEREQQQQQQSQPRRRRHGQAARVPCGRLGRRRGRRCHYAHLRPILQRIPRSICHHIFPGLARHQSAEKVNFSVDLHARHLPTHFLFLFFGKII* >Brasy2G266500.1.p pacid=40068447 transcript=Brasy2G266500.1 locus=Brasy2G266500 ID=Brasy2G266500.1.v1.1 annot-version=v1.1 MKISVHSSKAVKPDYGSGPAPAATDDVITLTVLDKANFDAYISVIYAFHPPSPPNAALEAGLAKCLAEHREWAGRLTLTTGARGRRGIVLNDAGARFVEATADVALGSVMPLQPTPEVLALHPRVGEDEPGPELMLIQVTRFACGSLVLGLGFTAQHVVSDGRATGNFFVAWSQATRGVPLVPAPVHDLASFFSPSSVPSKVQHQHRGVEFKPAPLGNGTVVHEDDEVVVSKVHFSRDFISKLNGPTAPLQCLVAHLWRRITKARGLLPHEPTSVSIAVDGRARIVSPRPVPEGYTGNVVLWARPTSTARDLVAQPVSHAAELVNKAVGRIDDGYFRSFVGFAGSGAPKEMVLGPKIEVDSSLRMPFYDVDFGAGRPFFFMPNYPPVDAYVPLFSRHVDTFVSCCHTLEQ* >Brasy2G282000.1.p pacid=40068448 transcript=Brasy2G282000.1 locus=Brasy2G282000 ID=Brasy2G282000.1.v1.1 annot-version=v1.1 MDPLSVLRDYAARDELDKIIFSGDDILFGSDYSFPANVPTAFTSKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPVSLPDRKTFLDFLQFGDNSLPSEPLLPSSLPPFSQEAQPPPPEESIADEASTAHIRSLERPLKDRNAILDARGRDFLAIYHSVLRREEERVRNKDSAPPSGRHEPSVTAVALANPKVEKVVGDGFVPIILVPSASQTLITIYNVREFLEDFVFVPSEERMRAMKGSPKPECVTVQKKHRGERMGAAGGPVSFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVDIFNKVIGFYIRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRPAALEVWERLEEFVRARS* >Brasy2G282000.2.p pacid=40068449 transcript=Brasy2G282000.2 locus=Brasy2G282000 ID=Brasy2G282000.2.v1.1 annot-version=v1.1 MDPLSVLRDYAARDELDKIIFSGDDILFGSDYSFPANVPTAFTSKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPVSLPDRKTFLDFLQFGDNSLPSEPLLPSSLPPFSQEAQPPPPEESIADEASTAHIRSLERPLKDRNAILDARGRDFLAIYHSVLRREEERVRNKDSAPPSGRHEPSVTAVALANPKVEKVVGDGFVPIILVPSASQTLITIYNVREFLEDFVFVPSEERMRAMKGSPKPECVTVQKKHRGERMGAAGGPVSFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVDIFNKDDSVEAAKVVKQWNVKIISISKNKRHQDRPAALEVWERLEEFVRARS* >Brasy2G282000.4.p pacid=40068450 transcript=Brasy2G282000.4 locus=Brasy2G282000 ID=Brasy2G282000.4.v1.1 annot-version=v1.1 MDPLSVLRDYAARDELDKIIFSGDDILFGSDYSFPANVPTAFTSKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPVSLPDRKTFLDFLQFGDNSLPSEPLLPSSLPPFSQEAQPPPPEESIADEASTAHIRSLERPLKDRNAILDARGRDFLAIYHSVLRREEERVRNKDSAPPSGRHEPSVTAVALANPKVEKVVGDGFVPIILVPSASQTLITIYNVREFLEDFVFVPSEERMRAMKGSPKPECVTVQKKHRGERMGAAGGPVSFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVDIFNKGLAAP* >Brasy2G282000.3.p pacid=40068451 transcript=Brasy2G282000.3 locus=Brasy2G282000 ID=Brasy2G282000.3.v1.1 annot-version=v1.1 MDPLSVLRDYAARDELDKIIFSGDDILFGSDYSFPANVPTAFTSKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPVSLPDRKTFLDFLQFGDNSLPSEPLLPSSLPPFSQEAQPPPPEESIADEASTAHIRSLERPLKDRNAILDARGRDFLAIYHSVLRREEERVRNKDSAPPSGRHEPSVTAVALANPKVEKVVGDGFVPIILVPSASQTLITIYNVREFLEDFVFVPSEERMRAMKGSPKPECVTVQKKHRGERMGAAGGPVSFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVDIFNKDKQK* >Brasy2G007000.1.p pacid=40068452 transcript=Brasy2G007000.1 locus=Brasy2G007000 ID=Brasy2G007000.1.v1.1 annot-version=v1.1 MKKKKGVVMTICIPWRGSVVDSDVWRSRCVRKKKGETKWQGISSGDDHQMFSPPSSIECSGEQVAIHNVLVA* >Brasy2G172600.1.p pacid=40068453 transcript=Brasy2G172600.1 locus=Brasy2G172600 ID=Brasy2G172600.1.v1.1 annot-version=v1.1 MSPVGQTDLDAPLYFKPEGKPNQRHHQKEIPNRHDDETASSSSKRHEDEEEVMPAAAPPKRADPRALRLKLLNLIHSYLEALSRLPTAQLWSTHARGVLVAGHCYGPLSPVDNILINAIWYDAAFPLRPTADFHFGDVLEIAPENMTRIAHRSLEGLIACLLHVCPSLSRDDALWHLDLSRADLSQAIATASGSGSGSAALAPTKAAYLAAAEAAHHPMALFASSVLPKVEHDAGMLLGTNQVLSTAVLDRLSALLVPSPLPEDLCPPPPLVTPWTAAIIKCRVENAKDSQETSRQLMETALCKYARQTGKHYELHFITGTNVLIHLKAYWHINILARPKDAASELPI* >Brasy2G431700.1.p pacid=40068454 transcript=Brasy2G431700.1 locus=Brasy2G431700 ID=Brasy2G431700.1.v1.1 annot-version=v1.1 MPPFRAATTASATSHLALLLLFSSSSLFFLYKSISLRRLRRSPSPTASPTPTLLYASATGTSKALAARLSRRLADAGVDARPTDAAAFDPDDLPSVPLLLLVLPTHDAGAPPPAAAFLARWLAESAADFRAGALLLSRLRFAIFGVGSRAYGDTFNAAARSFSRLLRELGAVEVIPVGEGDVDDGDLEIVFEEWCGKVLRVANGEEVEDGAKGESGGFDELELEGEESDYDDDDDEEEEEEVVNGEVDMEDLAGKATARRQNGKVVGLPNGAENGAREMVTPIIRTSLEKQGYKIVGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNTAIDEHRKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINTLVDDLHRRHISTFLVTNAQFPEKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLNDKDQRTVYRLTLVKGWNAEEIDAYANLLNLGQPDFIEIKGVTYCGSSATSKLTMENVPWHADVKEFSEVLASKSGGVYELACEHVHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSCDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGTAALKG* >Brasy2G122500.1.p pacid=40068455 transcript=Brasy2G122500.1 locus=Brasy2G122500 ID=Brasy2G122500.1.v1.1 annot-version=v1.1 MYGDSDGSKDPGAAPPASDQPFPNRELTLSSYLCDKPTLASAAAAEAAAGAGAGAGPSSPPNPAPPSTDAADAANAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLPSDGPAQPSSQPQSQLPSLLPSAPAADGDLRGGSTGAPAPPPRRTYSATTGRTRSINSDDMSYSYSMFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPMKEGTSSFFPTELPAAKMAAAAAAASAGGSFDGGRSRTQPSRSDRILQDIVSDSVASMAHVLQDLPSESLEVLREAVRSMVDAPEKRDELASLQRRLERRSDLTAEALGRANRTQLEILVAIKTGMAAFVTGKGRVPSSELVEMFLMTRCRNLNCKSMLPVDDCECKICSTKKGFCSACMCPVCHKFDCAANTCSWVGCDVCGHWCHAACGLERNLIRPGPTLKGPMGTTEMQFQCLGCSHASEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAASDDFEGKGLHAKAEEVLSMLAKKSISLLDATNNMLQFFKYGVTDCSVTGSKSKGILAAQASQSTIPLLTPTIAPQKSFNFKATTSILDTQIDALKSIPKPLSIESHFGTSSKPLTIESHFGASPKPLTIDSHFGASPKPLSIEPHFSTSSKDDDASTLETIVKCKEAEAKLFQKLADDARKEVDNYRQIVRSNTQKLEEEYIARLAKLCFQETEEKRRKKMEELKTLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV* >Brasy2G163100.1.p pacid=40068456 transcript=Brasy2G163100.1 locus=Brasy2G163100 ID=Brasy2G163100.1.v1.1 annot-version=v1.1 MSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGESDDDEEDGEDGGLSDGEVSGEAKRRRMLAREESKLEKEIVRMVLAGDGDTLKPNSGQSVAVGDHHVCVGFHDDTGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKDLSSGLRDLIVGDGDSVNGLKLNGNGGGHRVVRRNVVNAPSAPARF* >Brasy2G020400.1.p pacid=40068457 transcript=Brasy2G020400.1 locus=Brasy2G020400 ID=Brasy2G020400.1.v1.1 annot-version=v1.1 MASSSSSSSTSTLVLAASILATLAMSAQGIPKVPPGPNITATYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKDVDKAPFSGMTSCGNTPIFRDGRGCGSCFEVKCTKPDACSGDPVLVHITDDNEEPIAAYHFDLSGHAFGSMAKKGKEQDLRSAGEVEIQFRRVKCKYPDGTKVTFHVEKGSSPNYLAILVKYVGGDGDVVAVDVKEKGKDEWVPLKESWGAVWRLDTAKPLKGPLTVRYETDGGTKAEAEDVIPEDWKPDTMYESK* >Brasy2G189100.1.p pacid=40068458 transcript=Brasy2G189100.1 locus=Brasy2G189100 ID=Brasy2G189100.1.v1.1 annot-version=v1.1 MAMRLFSSSPPPPLLSGPNTTALFSLRLRRARPLVAASTTAAAAGVPERDGGRYDAEAVGVGPFDRRMEEIAKKVPLFEPAMGEPAAATDRPLPINLELWLHRAKVHTRKYEFADAEKLLDKCMLYWPEDGRPYVALGKLYSKQSRFDKARAVYEKGCQATQGENPYIWQCWAVLESRGGNPRRARELFDASTVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLSWAQVEMRAENNVMARKLFEKAVQASPKNRFSWHVWALFEANQGNTDKARKLLKIGHAVNPRDAVILQSLALLEYNCSSPNVARVLFRKASQIDPKHQPVWIAWGWMEWKEGNERTARSLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWLTWAALEEEQGDPVRAEEIRDLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPFGPGRQDNVRSATDQGSTTTRTSAAGELPGGFTAEGSSTPDLNGVDDSQAVGNTREAESDFDVDSFIRRRLAVDPAELDALLEGSDPRGVVSERRTRRLTRKPLPLLPVP* >Brasy2G293800.1.p pacid=40068459 transcript=Brasy2G293800.1 locus=Brasy2G293800 ID=Brasy2G293800.1.v1.1 annot-version=v1.1 MERLMEQEGNNMAHNIPAGDDDETDPTRYFENRVGMLDSLRVAGMDPYPHKFEVRISIADYVAKYNSLGAGEHLSAVIESLAGRVMSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSMVMELTGSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKVLFLSIGSLLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.2.p pacid=40068460 transcript=Brasy2G293800.2 locus=Brasy2G293800 ID=Brasy2G293800.2.v1.1 annot-version=v1.1 MERLMEQEGNNMAHNIPAGDDDETDPTRYFENRVGMLDSLRVAGMDPYPHKFEVRISIADYVAKYNSLGAGEHLSAVIESLAGRVMSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSMVMELTGSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.3.p pacid=40068461 transcript=Brasy2G293800.3 locus=Brasy2G293800 ID=Brasy2G293800.3.v1.1 annot-version=v1.1 MERLMEQEGNNMAHNIPAGDDDETDPTRYFENRVGMLDSLRVAGMDPYPHKFEVRISIADYVAKYNSLGAGEHLSAVIESLAGRVMSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSMVMELTGSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.4.p pacid=40068462 transcript=Brasy2G293800.4 locus=Brasy2G293800 ID=Brasy2G293800.4.v1.1 annot-version=v1.1 MERLMEQEGNNMAHNIPAGDDDETDPTRYFENRVGMLDSLRVAGMDPYPHKFEVRISIADYVAKYNSLGAGEHLSAVIESLAGRVMSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.5.p pacid=40068463 transcript=Brasy2G293800.5 locus=Brasy2G293800 ID=Brasy2G293800.5.v1.1 annot-version=v1.1 MSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSMVMELTGSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKVLFLSIGSLLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.6.p pacid=40068464 transcript=Brasy2G293800.6 locus=Brasy2G293800 ID=Brasy2G293800.6.v1.1 annot-version=v1.1 MSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSMVMELTGSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G293800.7.p pacid=40068465 transcript=Brasy2G293800.7 locus=Brasy2G293800 ID=Brasy2G293800.7.v1.1 annot-version=v1.1 MSKRVSSSKLFFYDLYGDGVKVQVMAGASSSEVSETEFSKYHSVVKRGDIVGIIGYPGRSSRGELSVFAKSLVLLSPCLHMLPNQRTGRCTASGTTARARGTADCWVPGMERNIEAYVLRDQETRYRQRYLDLMVNHQVRHIFRTRSRVISLIRKFLDGHNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRVAPELHLKQLVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSSSTKIRYHAHGAGNPPIEIDFAPPYRRIDIMQELKSIAGIDIPVDLSSNEANQYLAATCTEHGVKCSPPQTTARLLDKLVGHFLEATCVNPTFIINHPEIMSPLAKWHRSELGLTERFELFINKHEVCNAYTELNDPLVQRQRFEAQLKNRQSGDDEAMALDEAFCTALEYGLPPTGGWGLGIDRITMLLTDSQNIKEVLLFPTMKPS* >Brasy2G318800.1.p pacid=40068466 transcript=Brasy2G318800.1 locus=Brasy2G318800 ID=Brasy2G318800.1.v1.1 annot-version=v1.1 MEPKAPAPPHGDGSPAEPPRRRGGGGKRKAAGSSFTPSKRHAKERNAFHASPHVLHSGPLTRAARQSPHKHSGAPPDATPVAAGASGSGKGEGDVIRLDGEQAPAEDTPLVDEAFEAVRSRDAGVHVVPTFAGWFSWKEVHSVEKQTLPSFFNGKSEKRTPEIYSEIRNFIMMKFHANPQLQLESKDLAEMSIGEVDARQEVFEFLDRWGLINFHPFPPAGLEENKPEESQSNSHNEEKASLVEKLFKFEPIQSYMIPLPKKGEVETPAPLPSFLPDPLLVEDVIAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSDCYNEGKFDAGMSKTDFILMDSAEVSGARGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKTQCMLHFLQMRIEDHFHGGENLQQNIQESTKQALAEKGTAEVPEKMEVEEKVEQKDTEDEKPAEKTDTNHAETEVEDGSIVENKNTKNSGGVDSVTSPNTDEPKTSCDTEQAKENSVNPDTSGENASNVAINTSGENAPCNAIDILKSAFEAVGHFPGDQGSFADAGNPVMTLAAFLAGLVEDDSATTSCRSSLKAISEDSPSLQLASRHCYILEDPPSDLKDIFVSVSNTDKDGDQTKDEDMIVDSTGIEKKDIDDKEENTLPVEKQNTPSISAEDHQESENKGVSCDEAPSVEPKSNNAKGSGDVIPLVDESAPDATKEVIAGSTTGTTSPELVKDKPSSEVEPHDDSSLQGKIELSKTEDAVATPTIIQEHEKSQTLGDGKMEGTLCTESLTLSMKPVKKPSSTENIPVDAEKGSTVTAKHNDSITRLKRAAATAISAAAVKAKFLAEQEEDQIRRLAALVVEKMLQKTEAKMSLFADVEHVALRTREYTEKTRKKLLMERNAIIAARMGAVSSRANQPGIAGNRLPAGYGGPAVRPPNAMPRPSS* >Brasy2G318800.3.p pacid=40068467 transcript=Brasy2G318800.3 locus=Brasy2G318800 ID=Brasy2G318800.3.v1.1 annot-version=v1.1 MEPKAPAPPHGDGSPAEPPRRRGGGGKRKAAGSSFTPSKRHAKERNAFHASPHVLHSGPLTRAARQSPHKHSGAPPDATPVAAGASGSGKGEGDVIRLDGEQAPAEDTPLVDEAFEAVRSRDAGVHVVPTFAGWFSWKEVHSVEKQTLPSFFNGKSEKRTPEIYSEIRNFIMMKFHANPQLQLESKDLAEMSIGEVDARQEVFEFLDRWGLINFHPFPPAGLEENKPEESQSNSHNEEKASLVEKLFKFEPIQSYMIPLPKKGEVETPAPLPSFLPDPLLVEDVIAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSDCYNEGKFDAGMSKTDFILMDSAEVSGARGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKTQCMLHFLQMRIEDHFHGGENLQQNIQESTKQALAEKGTAEVPEKMEVEEKVEQKDTEDEKPAEKTDTNHAETEVEDGSIVENKNTKNSGGVDSVTSPNTDEPKTSCDTEQAKENSVNPDTSGENASNVAINTSGENAPCNAIDILKSAFEAVGHFPGDQGSFADAGNPVMTLAAFLAGLVEDDSATTSCRSSLKAISEDSPSLQLASRHCYILEDPPSDLKDIFVSVSNTDKDGDQTKDEDMIVDSTGIEKKDIDDKEENTLPVEKQNTPSISAEDHQESENKGVSCDEAPSVEPKSNNAKGSGDVIPLVDESAPDATKEVIAGSTTGTTSPELVKDKPSSEVEPHDDSSLQGKIELSKTEDAVATPTIIQEHEKSQTLGDGKMEEPSSTENIPVDAEKGSTVTAKHNDSITRLKRAAATAISAAAVKAKFLAEQEEDQIRRLAALVVEKMLQKTEAKMSLFADVEHVALRTREYTEKTRKKLLMERNAIIAARMGAVSSRANQPGIAGNRLPAGYGGPAVRPPNAMPRPSS* >Brasy2G318800.2.p pacid=40068468 transcript=Brasy2G318800.2 locus=Brasy2G318800 ID=Brasy2G318800.2.v1.1 annot-version=v1.1 MEPKAPAPPHGDGSPAEPPRRRGGGGKRKAAGSSFTPSKRHAKERNAFHASPHVLHSGPLTRAARQSPHKHSGAPPDATPVAAGASGSGKGEGDVIRLDGEQAPAEDTPLVDEAFEAVRSRDAGVHVVPTFAGWFSWKEVHSVEKQTLPSFFNGKSEKRTPEIYSEIRNFIMMKFHANPQLQLESKDLAEMSIGEVDARQEVFEFLDRWGLINFHPFPPAGLEENKPEESQSNSHNEEKASLVEKLFKFEPIQSYMIPLPKKGEVETPAPLPSFLPDPLLVEDVIAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSDCYNEGKFDAGMSKTDFILMDSAEVSGARGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKTQCMLHFLQMRIEDHFHGGENLQQNIQESTKQALAEKGTAEVPEKMEVEEKVEQKDTEDEKPAEKTDTNHAETEVEDGSIVENKNTKNSGGVDSVTSPNTDEPKTSCDTEQAKENSVNPDTSGENASNVAINTSGENAPCNAIDILKSAFEAVGHFPGDQGSFADAGNPVMTLAAFLAGLVEDDSATTSCRSSLKAISEDSPSLQLASRHCYILEDPPSDLKDIFVSVSNTDKDGDQTKDEDMIVDSTGIEKKDIDDKEENTLPVEKQNTPSISAEDHQESENKGVSCDEAPSVEPKSNNAKGSGDVIPLVDESAPDATKGSTTGTTSPELVKDKPSSEVEPHDDSSLQGKIELSKTEDAVATPTIIQEHEKSQTLGDGKMEEPSSTENIPVDAEKGSTVTAKHNDSITRLKRAAATAISAAAVKAKFLAEQEEDQIRRLAALVVEKMLQKTEAKMSLFADVEHVALRTREYTEKTRKKLLMERNAIIAARMGAVSSRANQPGIAGNRLPAGYGGPAVRPPNAMPRPSS* >Brasy2G318800.4.p pacid=40068469 transcript=Brasy2G318800.4 locus=Brasy2G318800 ID=Brasy2G318800.4.v1.1 annot-version=v1.1 MEPKAPAPPHGDGSPAEPPRRRGGGGKRKAAGSSFTPSKRHAKERNAFHASPHVLHSGPLTRAARQSPHKHSGAPPDATPVAAGASGSGKGEGDVIRLDGEQAPAEDTPLVDEAFEAVRSRDAGVHVVPTFAGWFSWKEVHSVEKQTLPSFFNGKSEKRTPEIYSEIRNFIMMKFHANPQLQLESKDLAEMSIGEVDARQEVFEFLDRWGLINFHPFPPAGLEENKPEESQSNSHNEEKASLVEKLFKFEPIQSYMIPLPKKGEVETPAPLPSFLPDPLLVEDVIAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSDCYNEGKFDAGMSKTDFILMDSAEVSGARGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKTQCMLHFLQMRIEDHFHGGENLQQNIQESTKQALAEKGTAEVPEKMEVEEKVEQKDTEDEKPAEKTDTNHAETEVEDGSIVENKNTKNSGGVDSVTSPNTDEPKTSCDTEQAKENSVNPDTSGENASNVAINTSGENAPCNAIDILKSAFEAVGHFPGDQGSFADAGNPVMTLAAFLAGLVEDDSATTSCRSSLKAISEDSPSLQLASRHCYILEDPPSDLKDIFVSVSNTDKDGDQTKDEDMIVDSTGIEKKDIDDKEENTLPVEKQNTPSISAEDHQESENKGVSCDEAPSVEPKSNNAKGSGDVIPLVDESAPDATKGSTTGTTSPELVKDKPSSEVEPHDDSSLQGKIELSKTEDAVATPTIIQEHEKSQTLGDGKMEGTLCTESLTLSMKPVKSKQTISSNTLSN* >Brasy2G387900.1.p pacid=40068470 transcript=Brasy2G387900.1 locus=Brasy2G387900 ID=Brasy2G387900.1.v1.1 annot-version=v1.1 MPELVSLSPCMGYMSGNATAPGPGTACCSAVSGVLASSPGCLCAVLGGTAATLGVALDGARATQLPGACRVQAPPASQCNALGVPMPSPANPEAAGSTPATPSDPDVAPAGSGSKATPTAQYSGGNTNEAGRTLAFAVGVAAVALIHGVA* >Brasy2G104700.1.p pacid=40068471 transcript=Brasy2G104700.1 locus=Brasy2G104700 ID=Brasy2G104700.1.v1.1 annot-version=v1.1 MASLPICPSSPSSFLSWPHRPVALSFQPKNPSSSSPTAAHVSFQESPPQDPPRPPGPGQNPKNSSDNINTRFLWVNPNSPRAAELARARAGSARRARLGAAAAALGACEPAEAPVAAALAAAFPEPPSEQDAVIVLNTVVGNPATAVLALRWFLGNAEVRNKGILYNVVLKALRKRRRWSDTEALWDEMLRDGVQPDNTTFSTVISCARACGLPRKAVEWFEKMPGLGCSPDMLTYSAVIDAYGRAGDADMALRLYDRARDEKCQLDPVICATVIKVHSTSGNFDGALNVFEEMKAVGVKPNLVVYNTVLDAMGRAMRPWVVKTIHREMVSQQVQPNRATYCCLLQAYTRARYGEDAMVVYRMMKDEVMGIDVVLYNMLLSMCADIGYIDEAEEIFRDMKAHTDTRFKPDSWTYSSMVTLYSCTGNVLGAEGILKEMAEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFGMLEDLKITPDDRFCGCLLTVAADTPVEELGKVVDCIDRSNAQLGTVVKLLADRNASTESFREAARGILSGVRGVVKVPYCNCLMDLCVNLGQTEKACALLDVALQLDVYSNVQTKTQTQWSLHLRGLSVGAALTTLHVWMNDLRTTLQSGEELPPLLGIHTGEGRNMYSDKGLASAFESHLKEIDAPFHAAPDKAGWFLTTSVAAKHWLKAKESSELVAV* >Brasy2G385700.1.p pacid=40068472 transcript=Brasy2G385700.1 locus=Brasy2G385700 ID=Brasy2G385700.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy2G102700.1.p pacid=40068473 transcript=Brasy2G102700.1 locus=Brasy2G102700 ID=Brasy2G102700.1.v1.1 annot-version=v1.1 MAAKSVTPDALAIILANESPESPEDVPEIVVQVVDLKPLGPSGTRFTFIASDGKAKMKAMLPTNFASEVHSGNLQNLGLVRILHYTCNKIPQLPDRCLIITKCEVVSQALDAEINSEVKREEEPVVLAKPKEEPVVLTKRTEEAEIMPRQTGGGPSADVPKPREHVKSASQIISEQRGNAAPAARLAITRRVHPLISLNPYQGNWVIKVRVTSKGNLRTYRNARGEGHVFNVELTDEDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRVANKQFKTVQNDYEMTLNENAVVEEAEGESFIPPVQYNFVKIDHLGPYVGGRELVDIIGVVQSVSPTLSIRRKIDNETIPKRDIVVADDSNKTVTVTLWNDLATTIGEQLLDMVDSAPVIAVKSLKVSDFQGVSVSTVGKSTLVINPELPEADKLRTWYESEGKGTSMASVGADMGASRIGGSRSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISHIKPDQTMWYRACKTCNKKVTEAVGSGYWCEGCQKNYEECMLRYIMAIKVSDPTGEAWLSLFNDQAERIVGCSADELDRSRKEEGDDSFLLRLKEATWVPHLFRVSVSQNEYMGEKRQRITVRSESAVDYAAEARFLLEEIAKLTSS* >Brasy2G434600.1.p pacid=40068474 transcript=Brasy2G434600.1 locus=Brasy2G434600 ID=Brasy2G434600.1.v1.1 annot-version=v1.1 LRLFDLGYNGPAYTWTNKRFTSHPVYQRLDRCLANSDWCANFPNTNVYNLPIILNDHAPIFTMTESTFKKPKLNFKFENW* >Brasy2G359800.1.p pacid=40068475 transcript=Brasy2G359800.1 locus=Brasy2G359800 ID=Brasy2G359800.1.v1.1 annot-version=v1.1 MASSSAGGALAAMLESIVLRSVRAALRREIALAAGLLRIFFHDCFPQGCDASVYLKGQGTEQSMGPNTTLQPKALQLIEDIRTKVHAACGPVVSCADISALATRDAVIVSGGPNYTVPQGQLDSLTPAAEDVVNGLPSPGTSSVSALATAFRGKGLTKLADLVALSGAHTVGRTGCPFFSDRAERMDDAFSRRLAANCSRQPALKQNLDVVTPDLFDNGYYMALVNGQGVFTSDMALIKDRATAPIVKKFARSKKAFFKQFAKSMAKLASSPRPGGNVGEIRRSCFSRNDPARVIETNAGDDDQEGFADSA* >Brasy2G337400.1.p pacid=40068476 transcript=Brasy2G337400.1 locus=Brasy2G337400 ID=Brasy2G337400.1.v1.1 annot-version=v1.1 MRRCCRVILSPPPKGNRIALSFWTAGSELPDWFRNPKKDGDSFDDDDDTNDDFVLPIKSDPVVERSHGSISKPLSILPGCPAPASHEEAEFEADIDEVSRILSSHFASPEAIVIAMDCCPVRVSGRMVDKILRRFGSDWVAAFGFFMWAGAQEGYCHHADSYNLMVDILGKFKQFDLMWGLIRQMDEIGCLVSLATMMKVMRRLAGANRWTDAIDAFNKMDQFGVVRDTKAMNALLDTLCKEKSVKRARGVFQELRGLVPPDESSFNTLVHGWCQARMLNEARDTMKEMEEHGFSPSVITYTSLIEAYCMEKDFQTVYAILNEMCSKGFRPNVITYTIVMHALGKTGRTQEALDVFDKVKRDGCVPDASFYNSLIYILGRAGRLEDANSVFDEMCRTGVSPTVAIFNTMISAACDHSQAETALKMLVKMEEQSCKPDIKTYTPLLKLCCKRQWMKILPFLMCHMFRKDISPDFSTYILLVSWLCRNGKPAQSCLFLEEMVLKGFMPKQETFDLVMEKLDKGNLHSAKKKIQRLKLQAAALKHTGSSYSRKDGDAGQRCAVLSNNCGRES* >Brasy2G236200.1.p pacid=40068477 transcript=Brasy2G236200.1 locus=Brasy2G236200 ID=Brasy2G236200.1.v1.1 annot-version=v1.1 MAIGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYCGRRRSDGAAVALKEVHDALSAQREVDALLAVASESSPHVVALLDHFPGGDHDDDVLVLEWLPLDLAAVVRDGRRAGGVPAGQLKRWMLQVIQGVAACHRAGVLHRDLKPGNLLISEDGVLKIADFGQARILQEQPSHELEIPAAQEPETLTAADYLHEIDQLRAKFTHGDADRLSLQDGNTSCLATCSTADIDDDPFRASYSYDAEDMGGEESGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLNLEPIFPGQSDIDQIGRIIGVLGNITEESFPGCSNLPDYNKIFFNKVEKPVGLEACLPNRSASEVGMIKRLLCYDPARRASASDLLNDLYFTEEPLPVPMEGLQVPTSKGDDDDSSAEEWGNYKDDNSDSDIDEFGSMNFTKTEKGFSIRF* >Brasy2G270200.1.p pacid=40068478 transcript=Brasy2G270200.1 locus=Brasy2G270200 ID=Brasy2G270200.1.v1.1 annot-version=v1.1 MENQGTSGNRRVSMLIKRTVMLYSSYVNLQYIVLAPSSFGRSTIWREPRDLQAGAKAFIGSSAASLPSRNHQSKRKHNKSYNQYGGNGGGAGPRVDKEPRL* >Brasy2G281100.1.p pacid=40068479 transcript=Brasy2G281100.1 locus=Brasy2G281100 ID=Brasy2G281100.1.v1.1 annot-version=v1.1 MESFLQSLLADCNRRQGSCGKFCTLLSSFPSRLPGSRSSASPSVLDMLGGHGLERAHEGRPQHQTRRRPDGDRKAVCGGGGPSGCGGGRG* >Brasy2G236900.1.p pacid=40068480 transcript=Brasy2G236900.1 locus=Brasy2G236900 ID=Brasy2G236900.1.v1.1 annot-version=v1.1 MHMQAVHVSIPFANFPRQLAASNFRAPCPLRQPPQPRAAAQCPRRGRAPSPPPTGSNRASSLPPTGSAPAAAERRPCRPGAHLLQAPKVHNPHVLHCIIFAFFRPIRLPWGSSRRGEAAAAGKMNEGRGPAEGKMDDTGGAWLQGRWRKGWARPMGKNGGGEGRHQCGRRVRGATARGARGGAAVDASALVSAAAALGGDEGRGMGKRRAGARP* >Brasy2G326600.1.p pacid=40068481 transcript=Brasy2G326600.1 locus=Brasy2G326600 ID=Brasy2G326600.1.v1.1 annot-version=v1.1 MAQPPGKLMDTIRSPVTAASSLHQSAAKHLQPLVTLAQRNGIGRRYLLTLLASAAAIPEAGESRKALLQEYVKKSKENKEKNDKERLDDYYRRNYQDYFGFMEGSVREKKEEELTELEKGILAWLDKNK* >Brasy2G306800.1.p pacid=40068482 transcript=Brasy2G306800.1 locus=Brasy2G306800 ID=Brasy2G306800.1.v1.1 annot-version=v1.1 MLTAMAPFSRSRRPWLLLLLLSALIHSCSASSRLSFQSTAEEENDPTILQTMAPRFHRWKAEHGRLYATPDEELRRLRVYARNVRYIEATNEAAAGLTYELGETAYTDLTADEFTALYTSSPAALSADDDGVEAAADMMMITTKAGPVDAGGQQVYFNVSTAGAPASVDWRDKGAVTEVKNQGRCGSCWAFSTVAVVEGIHQIRTGNLISLSEQELVDCDTLDYGCDGGVSYHALEWIASNGGIATEADYPYTAKDGACVANKLPLHAAAISGFARVATRSEPSLANAVAAQPVAVSIEAGGANFQHYVKGVYNGPCGTRLNHGVTVVGYGEEGDGEKYWIVKNSWGKKWGDGGYFRMKKDVAGKPEGLCGIAIRPSFPLV* >Brasy2G165600.1.p pacid=40068483 transcript=Brasy2G165600.1 locus=Brasy2G165600 ID=Brasy2G165600.1.v1.1 annot-version=v1.1 MQLLRRWLVGLKETERATAATREPHQADDPNQPAPVLDQYVDYEKPNEPMNFFHVFLYSQALECVVLSMILDAPTEEEGSLLLEIFGMCLNGGKDMHSTILSSIHDLAGLFRSYNDEVLAKRDELLQFAQCAISGLKINAEIARLDNEIMQLQQEINATDALRANSTNKRNKASQTVPAEDFKTAVAEVRLCSRMEDLVLKKKSINSGDSLETHFQKVDKLKVLSESLANSSAKAERRMMENRIQKEESLIFRVTKTNEVSTAEKELVNEISGLEKQKYQLEAELNKVNSKLNTAVVKLKKTREERDQFDEASNQIVQHLKAKEDELSRSIASCKVEASTVRAWINFLEDTWKLQSLHEEIKEKQANDELDRCGICFVNLIKHHVSACVEELSTSIDRIKTFVDNLNIFSDRLAEEGDHGLSKQSNPRKYLEQEYLETEKKVVAAFSLADNIRALTFPNPERKTRRDDPEVKKLFASIDKLRVEFESIPRPVLQIEIKEKEEKSRRTRSLSFKGTGTPSHSRSESPIADQLRTRLPSESDSELEKFDEEYKEYSADDISGWEFDELEDDLRSGQL* >Brasy2G234900.1.p pacid=40068484 transcript=Brasy2G234900.1 locus=Brasy2G234900 ID=Brasy2G234900.1.v1.1 annot-version=v1.1 MREAFTAAIPEAIEAAGVTPETCGASALGLPAGSGRTTRREGGTQVRFGGDVVYAGISREDFRRQAEAIADLAERDEKVIEKIRQPGKVELPTGFEDLRARFSDLALAVIGRRRRSPKLTSPLWRRTWSATTTLEFSRCSAHVGLKPCGALLLAAKMTMLSHLMAV* >Brasy2G152600.1.p pacid=40068485 transcript=Brasy2G152600.1 locus=Brasy2G152600 ID=Brasy2G152600.1.v1.1 annot-version=v1.1 MISCRLVPRAASSLLVPVHPVAPPRAYSTLLLPPTSPRCTCLLAALGHSPAPPRAFWSRGREGARPGPPPLATGPEQIFSASSVAASLERRRLGLCRAHAQPSRSRRDLFFFFFLL* >Brasy2G006000.1.p pacid=40068486 transcript=Brasy2G006000.1 locus=Brasy2G006000 ID=Brasy2G006000.1.v1.1 annot-version=v1.1 MGPKSWPWWRAGRAPASLTKAAAESLGLGNKARDWRERAESPRAGAHHIYLSLFQIIKGGSLPSSSSSACQLAFYPFYFSRINPQADGFSAIVPCLSLQLSPSSYWLPPRPPPLRTVVCSCAACRRRCWLTSARRCSLTPLLDHICSPPSSTACCPATRPLLPRRSLPKCGRPPLRPPAPSSKLFFSLRATPPPPPCACPLPSGKDKRRPRVRSHHAAPSLSPPRAPTPRRAHPPKATSSGGLATSVSLIPPHASSPRSLRPPPHDFCTIHIPPLTGPCGSASTICQAGGHVAHDVGEGWWLDGGGGGAST* >Brasy2G309000.1.p pacid=40068487 transcript=Brasy2G309000.1 locus=Brasy2G309000 ID=Brasy2G309000.1.v1.1 annot-version=v1.1 MSSPAKISLVLSTVLAFVPTLSIAVAVAPERSVVAVAPALTAAVAPERSSRPIQISGQTRSGPPSRWGLTPRPLPAATFLLLRLAAQTRLLVGALPPDDAGLLQTCRHMREQSPRSLAEQQQPHP* >Brasy2G027400.1.p pacid=40068488 transcript=Brasy2G027400.1 locus=Brasy2G027400 ID=Brasy2G027400.1.v1.1 annot-version=v1.1 MGCSINMSMAALLLLLLVAAADLTTVTRSAWVGDYASNHGCGETAVAELCDPDDESANRACSDACHYNGCGGGRCVFLPSSSGGASRGCHCRS* >Brasy2G327700.1.p pacid=40068489 transcript=Brasy2G327700.1 locus=Brasy2G327700 ID=Brasy2G327700.1.v1.1 annot-version=v1.1 MLARFNQIGWSLRALAGLLARCHDVSLHLIEPCQYRKLLCLAACTARIERLLLRSGHQYIRVFSAIYNDMDGMPSHVVVDSFLSTRWWKKACHVGNKKVIDGLRDY* >Brasy2G257700.1.p pacid=40068490 transcript=Brasy2G257700.1 locus=Brasy2G257700 ID=Brasy2G257700.1.v1.1 annot-version=v1.1 MRIAEAKPFQPTKQAKVTRHTTSLPLSIPIYSQLSRTTQLCTRDSSSFTGFKIFRPTTYCKSFAS* >Brasy2G485900.1.p pacid=40068491 transcript=Brasy2G485900.1 locus=Brasy2G485900 ID=Brasy2G485900.1.v1.1 annot-version=v1.1 MPSGSSSTPAAMVVREQQDPDVVRWGLHHLLQGGGGASPYCAHHPQAATTDYAAAYPQVQHALLDAGDGIEAPSSSSAPVEIRVERVAAHKDDAVANDEVIAYALQEELAELALAEASSRGAAAADHGGQHATVLAQQWFRPEIVPSAPPYQEEAEGREPFSSCSSPGDNYNNAHDGRGCSIELVDDFSALDGEVGKRLNDMVPVPHVPKTNGEIPSVDEAFSDHQRLLDRLVLYGLVELKVTGDGNCQFRALSDQFYRTPDHHRFVRQEVVKQLESHPEIYAGYVPMDYREYLKKMPKSGEWGDHVTLQAAADLYGVKIFILTSFRDTCYIEILPVIQKSNRVICLSFWAEVHYNSIYPEGELPVAENKKKRWWHF* >Brasy2G496600.1.p pacid=40068492 transcript=Brasy2G496600.1 locus=Brasy2G496600 ID=Brasy2G496600.1.v1.1 annot-version=v1.1 MEDEHKLLYKGSDTIRDSAQKAIGTISGKKVGLFDAVYLMGPYQDEVMIKKKAGLTREHYDMISSWTHPNAIPVLAYYSEGTNMGRYVIPKIHDSFPPWFELRGKSVFFDQEGKMTSIFRTFIVDICDVVESLQEKNIFLDGIAAEDLYIKFSSDGTPKFLLLITKVKRSANPKLDVLVTLQSIRDLIYNCCRGCDIELDSCRSSFVDFLASQSFTVNKLRLYPDTWDWTTKGEYLMALKCSDHRHLKSLVLISKIKWPNPVPRALEIILENSEAQNFIYDKNVPLDYLRVLWDAYKHFGKLYLHVDFGDHEGLINEIEVWTPRLWTEVYDIIGWP* >Brasy2G071900.1.p pacid=40068493 transcript=Brasy2G071900.1 locus=Brasy2G071900 ID=Brasy2G071900.1.v1.1 annot-version=v1.1 MDPTSCKRQKHEIEHDSSPGNQSQATIISHNRSVRLRFLEQFNDLKCATNDYKAVNAKMHELLSTLQKLKEVPIKLPYVSPVLKTSDARLHSATQSGSNFSSDNIIDLDPDNVGDHTYPNMENTGAHKTTYLVDSDDEDLIKSIRDGNPSGCMQNINFTQECSLAEQPGQYQDIIMLGNENRNSEDQAVAKQGNDNMDIDNELKESVLFDGHSSSESPELIEQGHGDISIYNENHDEQKEKREGEGEDVQSEGSIENNSVPVVDSYDISCEVIQSESTENGNYNRYDSNDSPVDELEYLWRDRSLALACSKTIGSDNSIVPTENTCGEVVDDCHHDFLMRDDLGLVCCVCGLIKKPIDTIIECQWKKPKQSYRTYPSGHRNSNDLDTPINPSRNILQMSPDALSIHPQHLQRMKPHQLEGFNFLVKNLADEKNPGGCILAHAPGSGKTFMLISFVQSYLTKYPEGRTLIVLPVGILATWRTEFLRWQIEDIPLYDFYSSKANNRSDQLKVLNLWEENRSIMLLGYEHFARIVSEHTYDTETVKCRKKLLKVPSLVILDEGHTARNKETDLLTSLATIETPRKVVLSGTLFQNHVSEVFNILNLVRPNFLKMERSRAIVKRILSKVDMFGKSAWSKNTSDKCFYDVVEENLQKDANDKIREMIIENLRELAANVLHYYQGELSEELPGLVDFTVFLNMTTKQEESIKSFVGQNKFSKRSNCNAVSLHPCLKDIKNICEKNENTAYKKFFLRNHEQISSMMSGIDINDGAKLKFIHNLLSLSESAGEKVLVFSQYVCSLLFLEMLFTRMKGWKPDMHMFMIHGGSAQRDKTIERFNHSPDAKVLFGSIKACSEGISLVGASRVVILDVHENPSVMRQAIGRAFRPGQTKMVYCYRLVAADSPEEEDHKTAFRKERVAKLWFEWNEVCGNDDFELTDVDVSESEDIFLESPAMRQDIKSLYRRRIPVASANQ* >Brasy2G284600.1.p pacid=40068494 transcript=Brasy2G284600.1 locus=Brasy2G284600 ID=Brasy2G284600.1.v1.1 annot-version=v1.1 MTVGGERRWAAPWSRWEDVGGGGMGDAGRTAESGGRSGHGWRRQRWLWMAATDGGIHGGGRLQPQRGRRRRWRGRAAAQRKGRGGGAETACGGAEERCGSDHGGGAGGEKGDSYRATERGGGGELTSGDWAARSRGGGALVGRQ* >Brasy2G170700.1.p pacid=40068495 transcript=Brasy2G170700.1 locus=Brasy2G170700 ID=Brasy2G170700.1.v1.1 annot-version=v1.1 MASMTCPRLPFLPARTRSASAAVASPSSSSPSPSPRHSLKCSSATNSNSNSNSAPLSSAPRPRPTVADGVGSADLKGLRAPPIPVVADSPVPVYRDPHGLPRPLTNADLMETSGEGLKVAYQGFPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVENWIVDRAVLPLENTLGGSIHRNYDLLLRHGLHIVGEVRLAVRHCLLANRGVKIGNLSSAMSHPQALAQCEHTLTELGIEHRQAVDDTAGAAKFVAEQMLQDTGAIASSLAAELYGLDILAENIQDEKVNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKKRPFRIADDTLSTPIKYFDYLFYVDLDASMADPKTQNALGNLKEFATFLRVLGSYPTDVSEA* >Brasy2G170700.2.p pacid=40068496 transcript=Brasy2G170700.2 locus=Brasy2G170700 ID=Brasy2G170700.2.v1.1 annot-version=v1.1 MIQGFPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVENWIVDRAVLPLENTLGGSIHRNYDLLLRHGLHIVGEVRLAVRHCLLANRGVKIGNLSSAMSHPQALAQCEHTLTELGIEHRQAVDDTAGAAKFVAEQMLQDTGAIASSLAAELYGLDILAENIQDEKVNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKKRPFRIADDTLSTPIKYFDYLFYVDLDASMADPKTQNALGNLKEFATFLRVLGSYPTDVSEA* >Brasy2G381600.1.p pacid=40068497 transcript=Brasy2G381600.1 locus=Brasy2G381600 ID=Brasy2G381600.1.v1.1 annot-version=v1.1 MASSSPPHVVEDLPPFLQLLSDGTVIRDRSAEYSILPTPRPPGQPDVQWKDVVYDAGRGLKLRVYKPPPSSSGKNNKLPVVVYFHGGGYVICSFDLPNFHSCCLRLAGELPAVVLSADYRLAPEHRLPAAIHDAASVLSWVRAQATATGTENPDPWLADSADFGRVFVAGDSAGGGIVNQVALRLGSGQIDLGPLRVAGHVMLFPLFGGEQRTASEAEYPPGPHISLPVLDKGWRLALPVGATGDHPLANPLGPGSPALELVAGALPPMLVVVGGLDLLRDRAVGYAARLKAMGHAVELVEFEEQQHGFFAVEPYGEAGDELVPIVKRFVYGSAAD* >Brasy2G051000.1.p pacid=40068498 transcript=Brasy2G051000.1 locus=Brasy2G051000 ID=Brasy2G051000.1.v1.1 annot-version=v1.1 MSAPVTVYGPMISPAVARVAACLLEKDVPFQLQPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNRTLLGREEDGAVGRAAIEQWIESEGQAFNPPSLAIAFQLTFAPLMGRATDMAVVEQNEAKLAKVLDVYEQRLGESQYFAGDEFTLADLVHMPNTDLLVSKTNMAGLITERKNLARWWDEVSVRPSWKKVVEMQSVPRPSKST* >Brasy2G358500.1.p pacid=40068499 transcript=Brasy2G358500.1 locus=Brasy2G358500 ID=Brasy2G358500.1.v1.1 annot-version=v1.1 MAGVHSLAWHAGLQARLEPRDFPGSKFRGIPRGIKSWNHSKFTDSLARKQIQLPGGTLYKEQRRKRT* >Brasy2G186300.1.p pacid=40068500 transcript=Brasy2G186300.1 locus=Brasy2G186300 ID=Brasy2G186300.1.v1.1 annot-version=v1.1 MAAERDIDELPKNAANHTALTPLWFLERAALAHPGRASLVHGSLRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAIYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIADQKESSFKRPLLIVIGDHTCDPSALQNALRKGAIEYEKFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGVLVWGMNEGAIYLWTLPMFHCNGWCYTWALAAICGTNICLRQVTAKAIFSAIASQGVTHFCAAPIVLNAIINAPPADTILPLPRVVDVMTAGAAPPPSVLASMSKLGFRVAHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIRYVGMEGLDVVDPKSMAPVPADGSTLGEIVMRGNAVMKGYLKNPKANAEAFENGWFHSGDLGVRHPDGYIEVKDRAKDIIISGGENISSLEVEKAVYLHPAVLEASVVARTDEQWGESPCAFITLKDGVDGSNEAALAGNIMSFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM* >Brasy2G431100.1.p pacid=40068501 transcript=Brasy2G431100.1 locus=Brasy2G431100 ID=Brasy2G431100.1.v1.1 annot-version=v1.1 MLLITVYGLDTNQRAITIAWINLYLNALDDNGLPVYDREGKTLLDRVEFHESDLLSYCRENKIELDHIVGCIPQILNPNPKAMSEILTENSSEEFLYSLGNCRALQGFFEDQFGLALIARAVEEGIAVIKPMGTMIFSMGGRPGQAICERLFLRRGLRIRKLWQTKIMQDANTDISALVEVEKNSPHLFEFFMDLVGDQPVSARTAWAYIKSGGRVSHSLSVYSCQLRQPSQVKKLFEVLKDGFHEVSSSLDLSFNDDSVADEKVPFLAYLASYLKENKSNSYVPPAGCLNFRNLVAEFMTSYHHILLTSDHIIIFPSVAVAIENILLSFSPALAIVGERLTRHLPKQWLTSSVIEGSAGCNSHAEDTVAVIEAPCQSDLLIELIRKLKPQVVVTGIAQFEAITSAVFVNLLSTTKDVGSRLFLDISEHLDLSNPPSSNGVLKYLAKNTLPSHAAILCGLKNQVYSDLEVAFAISEDTAVNKALSQTIELLEGHTSVISQKYYGCLFHELLVSQIGDRHAQQERQAAQVIPQNMIGFSNSAMSTLEETEFFVPDSKESGIIHMDLDRSLLPVPSVVKASIFESFVGQNITDSETNVGSIIEKRMESKYGFPGPGCSDKIPGFSKEIIFGSTCLALFNKLVLCCMQEHGTFFFPLGTNGHYVSAAKFVNANTLTIPTNSGSGFKIKPEDLEAALADVRGKKVTRPWVYIPALQLILLVCCIVMTR* >Brasy2G214300.1.p pacid=40068502 transcript=Brasy2G214300.1 locus=Brasy2G214300 ID=Brasy2G214300.1.v1.1 annot-version=v1.1 MAKKKERAVNVSGKPRHSLDVNRPNDKKGAGAGAGGRRSAATVRRLQMYKVRPKRNRGGKIIKNEFQSEELPNTRIEPDRRWFGNTRTVGQKELELFRDELGTRLANNYSVILKARKLPMALLQDHQKQARAHLLETEPFEHAFGPKGKRKRPKLSSLDYESLMKKADDSQDVFEEKHASSKLPKDEEEDGLRDLVRHNMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLIPAWATKGWLRTLSRDYPTLAFHASINKSFGKGSLLSVLRQFARLRSDKQAISVGFVGYPNVGKSSVINTLRSKTVCKVAPIPGETKVWQYITMTKKIFLIDCPGVVYQNNDSETDVVLKGVVRVTNLDDASEHIGEVLRRVKKEHLQRAYKIQDWANDNDFLVQLSKMSGKLLKGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQNEEGPSEIAEPVEGSGEEVVSSDRTAAAMKAIKGIITSQQTMNVPCQKEFGTNKEDSELAEQSE* >Brasy2G460600.1.p pacid=40068503 transcript=Brasy2G460600.1 locus=Brasy2G460600 ID=Brasy2G460600.1.v1.1 annot-version=v1.1 MRSNLLLLWWRCWDLRNDIIFAKGDMSVSESEGFLLSFAQDHQHPLNICSQAGKGKVHAEGRAKGFKSQPTGLAWNPPPTGTVLIQTDASFVKEDRTGAWGAVAFNNDRMVLCSSWGWIPIADSAEETEAIACREGVRLATKLQHSDFFLETDSLEVVRALNDQGCCRSGHFDIIQET* >Brasy2G241000.1.p pacid=40068504 transcript=Brasy2G241000.1 locus=Brasy2G241000 ID=Brasy2G241000.1.v1.1 annot-version=v1.1 MAAITSPSPPFGCTAPPQHPRPYPQANALSSLPISPPPLLLPWFSFPLMRTSHMLIHPQHLQPHYKDLSQELVHANLIIRNDGPSMNERDKHWSMITHGDEVSKRPRPAAPACPRHRIRANMVRCTAPFQNLHRVNVDSLPGRRAVRKLSSLIKL* >Brasy2G338800.1.p pacid=40068505 transcript=Brasy2G338800.1 locus=Brasy2G338800 ID=Brasy2G338800.1.v1.1 annot-version=v1.1 MLALSANFATFLSSSLAWSIAGCHSDRECAISTIAEVAG* >Brasy2G468900.1.p pacid=40068506 transcript=Brasy2G468900.1 locus=Brasy2G468900 ID=Brasy2G468900.1.v1.1 annot-version=v1.1 MALAFDEFGRPFIILREQESKSRLRGLDAHKANVAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYEMASRIAFDHLEHISNKFEFTATNIEPLVQTCMTTLSSKIVNRCKRMLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIAVDKDMSHPQMPKRIEDANIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEEAKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVDAAADRYAGVEQYAIRSFADALDGIPLALAENSGLPPIDTLTAVKSQQVKEGNTHCGIDCNDVGTNDMREQNVFETLIGKQQQLLLATQVVKMILKIDDVITPSEF* >Brasy2G136000.1.p pacid=40068507 transcript=Brasy2G136000.1 locus=Brasy2G136000 ID=Brasy2G136000.1.v1.1 annot-version=v1.1 MQTDASSNATGGGANAARPTLPPPVTAAPAASSGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCVAKEGGGDFACKSIPKRKLLCREDYEDAWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKTTDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPTISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTEHEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACHEFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGATGRRTMRNSLHLNLGDILNPSNN* >Brasy2G444600.1.p pacid=40068508 transcript=Brasy2G444600.1 locus=Brasy2G444600 ID=Brasy2G444600.1.v1.1 annot-version=v1.1 MHAAIHGRPRSPVLIRPPKSYNTLPNPTLSPSVLARFLIAALPLLSSAPNDTPNRAEPMERLLNLAMDLVIPPATMVTLAFAWPTLTLLRAAEWAVKTLTQEDVRGKVVLVTGASSAVGEQVAYEYARRGAHLVLAARREQRLFAVRDRARALGAGRVLVVAADVVRDDDCRRLVADTVAYFGQLDHLVNAVSLGHDFFFEDAGDTAAFNHLMDINFWGNVYPTYAALPYLRWSRGRVVVNAAVDTWMPMLRMSLYSAAKAAVVDFYETLRYEIKDDVGITVATHGGWDSGSTVSGAGEDQYWKQQGAEGQQREAAAAVPILLPVQALAQAAEEARYARAVVDGACRGDARVRRPASWRDVFLVFRAFAPDVLAWTFRLLLSTPPHAAASPAAPLAAPPLAAALPAPPVRPLIEYPTAAAAGGLIWRPAGAQLHMLE* >Brasy2G223100.1.p pacid=40068509 transcript=Brasy2G223100.1 locus=Brasy2G223100 ID=Brasy2G223100.1.v1.1 annot-version=v1.1 MGGGRGGEDRRDGETSGSQSRRWTAGARDGAREDGEVAAWRRRGRGVETSGERRGGGDGGQRRGRGVETARARTGATADGAGAASARGRGGLRPAGEGSWARLAEARPRGRGEPRRRGGGCGLSGREGGGGCASRGRGRRRRRTETEKRFGRLKDSPAGWNFSLNG* >Brasy2G193200.1.p pacid=40068510 transcript=Brasy2G193200.1 locus=Brasy2G193200 ID=Brasy2G193200.1.v1.1 annot-version=v1.1 MQADLACFPFKIPGAKRREHLGRWTPIYSAVKSSFLDMPAYPTCHFGQRPHAPTLACIRGAARGQQAFRFLPLGKRLEPESTCSRLTQPLNHVRRTQLRKSVCLSIRCCRAGSTAVFHSISPNMHRLLVVVSHGQKLTISLDRSSMSCAFLFHFLDSSQVRHVNRDRPFLLRAWARDNEQARPAGPPAQAKILFSLSFSTKLLYWSLLIVRLVLAL* >Brasy2G059800.1.p pacid=40068511 transcript=Brasy2G059800.1 locus=Brasy2G059800 ID=Brasy2G059800.1.v1.1 annot-version=v1.1 MSAKRENEPDGDELGAAEGAGSAEGGSPPSPLSAAPVVCFVRSAGDFARGAFVGSIVGYGHGLITQKGFKGSFSSAGSSAKTFAVLSGVQSVVVCLLRKLRGKDDIVNAGIVGCCTGLALSFPGAPQTLLQSCATFAAFSCIMEGLNKQQVAMAHTLDGNALAFAQGGVLPPFMLPPILDASDALASCCRALVKPKHKTAS* >Brasy2G059800.2.p pacid=40068512 transcript=Brasy2G059800.2 locus=Brasy2G059800 ID=Brasy2G059800.2.v1.1 annot-version=v1.1 MSAKRENEPDGDELGAAEGAGSAEGGSPPSPLSAAPVVCFVRSAGDFARGAFVGSIVGYGHGLITQKGFKGSFSSAGSSAKTFAVLSGVQSVVVCLLRKLRGKDDIVNAGIVGCCTGLALSFPGERLKHCFRVAPPLQRSLA* >Brasy2G061600.1.p pacid=40068513 transcript=Brasy2G061600.1 locus=Brasy2G061600 ID=Brasy2G061600.1.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPGEWIRLPASSEIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLITGRVTHIIWPPSKIGRLERKWPEECYYVHRLN* >Brasy2G061600.4.p pacid=40068514 transcript=Brasy2G061600.4 locus=Brasy2G061600 ID=Brasy2G061600.4.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPGEWIRLPASSEIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLITGRVTHIIWPPSKIGRLERKWPEECYYVHRLN* >Brasy2G061600.2.p pacid=40068515 transcript=Brasy2G061600.2 locus=Brasy2G061600 ID=Brasy2G061600.2.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPGEWIRLPASSEIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLITGRVTHIIWPPSKIGRLERKWPEGRISPF* >Brasy2G061600.3.p pacid=40068516 transcript=Brasy2G061600.3 locus=Brasy2G061600 ID=Brasy2G061600.3.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPGEWIRLPASSEIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLITGRVTHIIWPPSKIGRLERKWPEGRISPF* >Brasy2G061600.7.p pacid=40068517 transcript=Brasy2G061600.7 locus=Brasy2G061600 ID=Brasy2G061600.7.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPGEWIRLPASSEIIKIPEGHCWVEGDNAARSWDSRSFGPIPLGLITGRVTHIIWPPSKIGRLERKWPEG* >Brasy2G061600.5.p pacid=40068518 transcript=Brasy2G061600.5 locus=Brasy2G061600 ID=Brasy2G061600.5.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPDPFRSHYGEGDPHHLAAVKDRPTGEKMA* >Brasy2G061600.6.p pacid=40068519 transcript=Brasy2G061600.6 locus=Brasy2G061600 ID=Brasy2G061600.6.v1.1 annot-version=v1.1 MGRTRSHLWPLAKGSVAGALIGFTISDRYLTVVPTKGESMHPTFTASDSALRGDVVLAERGCLQTYKFSRGDVVLFKCPRNHTELFVKRLIALPDPFRSHYGEGDPHHLAAVKDRPTGEKMA* >Brasy2G437400.1.p pacid=40068520 transcript=Brasy2G437400.1 locus=Brasy2G437400 ID=Brasy2G437400.1.v1.1 annot-version=v1.1 MFPWGWEWKAKPYATGSFLVKFPSVRRITELQRYEYLGLAGHKAAVKVARWTNASMAKYKMYVVWVRVSGLPESLLHYEGFCEVGSPLGVVKEFDMSLYRKQEIIRMKIAVRDPSLIPGSHDIEEDGYIYDIFYEIENIVEMGGMMKNGVLLSNEERDAYVRARAEYDRMQKRQRNTDQGGGNNGGNAGETSHAGGGQGQHSLGRCTQLPSVKIAKTVAIADQNSIKGETDKAPSEIHIQKLAGEPASQDTIPKNGLKVQKPLEVVETGINKTTPATSPFVSVNAEVVVPAVNKSFHATSQSDNPATPLGNGIKGKVFEQNKEGDRKSKSVQFVGVSPGVRAVEEINRKHNKDCQMLEQYADDDKEIEEEEEEQVDFGDENDPDSFARKLGFNTQEISTMQKEFEAGLEGGDEDGEVNVVDSEEDKENLSPGVVIPTDTPFSELGKFNVNESKPVTAYADMVRNGGGAIQKAGRGKYKHVIAEGHRRKSERNRQADDVPL* >Brasy2G353600.1.p pacid=40068521 transcript=Brasy2G353600.1 locus=Brasy2G353600 ID=Brasy2G353600.1.v1.1 annot-version=v1.1 MTVFHFLNCAILTFGPHAVYYSATPLSEYDTIGTSVKAAVVYLGAALVKLVCLATLLKVPDANDSFDPYQELMKILIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLIPIIYACALLLATMPSITSYLRRSLEWQTPKLVGFELFSSLVMAFISWQLFSACQRPM* >Brasy2G287500.1.p pacid=40068522 transcript=Brasy2G287500.1 locus=Brasy2G287500 ID=Brasy2G287500.1.v1.1 annot-version=v1.1 METYDGVQLCGTLEVSKEPVDWQNSKPKIKVCRWFESGISTPCTLRDFNRCTFFSDPKNDPSQTMNQRMLHEFLCISGYSANLPSNFIGSVDD* >Brasy2G287500.2.p pacid=40068523 transcript=Brasy2G287500.2 locus=Brasy2G287500 ID=Brasy2G287500.2.v1.1 annot-version=v1.1 METYDGVQLCGTLEVSKEPVDWQNSKPKIKVCRWFESGISTPCTLRDFNRCTFFSDPKNDPSQTMNQRMLHEFLCISGYSANLPSNFIGSVDD* >Brasy2G017400.1.p pacid=40068524 transcript=Brasy2G017400.1 locus=Brasy2G017400 ID=Brasy2G017400.1.v1.1 annot-version=v1.1 MPRHLLITACNLFFLPILLFFSSPVVILTAAAVPVPDPCAGRRIHIRRASTRTSWATAPPPSRSPTTRPRRPPAPRSPTMASAPRTHNRTRSWHRTDGRLLEPFFHRRVLDLPCLAAYAVFLPYYASLDALPFVLEPAMLNFSANPRRAARSPPESESRLWGTTSILRRPEFVNFTFLTLESRAWPWQEHAIPRPTAFHPPTLPRLQAWIARARRSRRTSLMLYAGGVSRPSKPNIRGSILAECANRTDTGVCAVVDCSGGACALDPAHYMIPVLRSKFCLQPPGDTPTRRSTFDAVVAGCVPVFFEHASARTQYGWHLPPLRYDEFSVTIPKDSVVLGGVLIAETLAAVPETEVARMRARLLEMAPRVVYRRHGTSTAGDMGMDAVDIAVEGVLRRIRSIVLNNGRVKALRDGQAEAIYSMDDDDPEIM* >Brasy2G120400.1.p pacid=40068525 transcript=Brasy2G120400.1 locus=Brasy2G120400 ID=Brasy2G120400.1.v1.1 annot-version=v1.1 MPMSRFRLVMGKTPFPGSTNGLMALLLKRLPCPIQYSIARLLDHQGDGSVHFRGHPILKHNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHQGNVSTARNFTTWQGTCYLTPLIGATVADSYWGKYKTIAVFSTIYFLGMAALTLSASIPSLQPPQCIGSFCPQANLQQYLIYFIGLYMIALGAGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFSISTGSLISGTVLIWVQENCGYSIGFGIPTFFIALAIGSFVLGSEIYRFQVPGGSPLTRACQVVVAAIRKQNVDLPVDSSLLYERHGKMSAIEGSCKLEQSSEFSFLDKSAVILWSECGGCHNPWRLCTVTQIEELKILIRMFPIWATSIMFFTVYAQNSSMFIEQGMVLNNQVGSFKIPPATLSSLDTISVVVGVPIYERLIVPVARRLTGKERGFSELQRMGIGLFVSTFAVIVAALVEVKRLENARTEGLIHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDSMRSLCSAFALLTVSLGSYVSSFILTLVSYFTTRGEQMGWIPDNLNEGHLDRFFWLVAGLSSLNSLAFIYFAQRYKCKKASVL* >Brasy2G178800.1.p pacid=40068526 transcript=Brasy2G178800.1 locus=Brasy2G178800 ID=Brasy2G178800.1.v1.1 annot-version=v1.1 MAMVTADASPAIEAATKPPDAENTYSSYNGASSAGAGGSRARGGGGVVDSVLARGRREEMLDKCPLALHAAAAAFAFVALVLVASNQHGDWMQFDRYQEYRYLLAIVALALLYSLAQALRHAHRMRGGADPVSASSGRLFDFVGDQVVAYLLMSALSAAIPITNRMRTAVINNFNDATAAAISMAFLAFVSLALSAIVSGYKLSKQTYM* >Brasy2G008200.1.p pacid=40068527 transcript=Brasy2G008200.1 locus=Brasy2G008200 ID=Brasy2G008200.1.v1.1 annot-version=v1.1 MQSGSASPGKAPVPPKDLPAAAAAAEKEAMAEARGEEVKEDGAKTDAERGGARPRGRPRGRPRRQQPAAEESESGVVKVKRENLAPLITCPLCQRYLREATTICECLHTFCRKCIYKKLAVEELNHCPVCKIDLGCAPVEKLRADHSLQDLRSKLVPVKGKKINAEVESPVTAPVKIKERSISSLVVDPPRVTTGLTGRRTRAVTRKAAAALRGLGPILDPVGKDNDNTNKHADNISLLDSLSKVPQTRRKASQAETSSHHSSKDKADHGKDLDKAELWKPLNCLVDAASKTKSFRPSPQSSAVKGAPSNESPSNGHASREKSGEHLRKSIFQGDKKDVPLSAMMLKRKGSGRGRPATSVAATTQKVPDLTALSAVWFSLIASFEQKGVPNLPQIPAHYLRIRDGSIPASTIQRYIMQKLSLQSESEVELTCCGQSVNPAQPVRNLIDRWLRFGPSRPLQTVVGSSGGEYVMVISYGRPK* >Brasy2G363200.1.p pacid=40068528 transcript=Brasy2G363200.1 locus=Brasy2G363200 ID=Brasy2G363200.1.v1.1 annot-version=v1.1 MTAQPKKRTVVESGDGGLGLGLAAFIANGEDLGPIIRHGFESGKPEALTHNLKNIGKKKEVEIEELCRLHYEDFILAVDELRGVLVDAEELKSLLSGENSHLQDVSTALLLKLDELLELYSVKKNVGEAMTKLKICVKVIGLCIECNGYIAEAKFRPALKTLDLIEKGCLQNIPVKLLKKVVGRQIPLIKLHIEKKVCSEFNDWLVHIRRMAKQIGQVSIGQASLARQKDEGMRTRQREAEEHSHAGPDEHMYTLDVENTDEETTLDFDLTPVYRAHHIHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPFLAQVAGFFIVEERVLRTADGMLTESQVESTWETAIAKITAILESQFSRMGAASHLLLIKDYITLLAAVLKKYGYQTMQLIEVLDKSRNKYHQLLLAECRKQVDEVLANDSYEQMVIKKEYEYNMNVTAFHLEPSEVIPDFPYVAPFSASVPDVCRIVRSFVEDSVNYLSYGGDMNLYDVVKGYLDRLLIEVLNDCLLNRMYARSLAMSQMMQLAGNIAVLEQACDLFLLHCAQQCGIPKRVAERSRASLTARAVLKASQNAAYNALINMANSKIDEFMMLLDDVNWIVEETPDNANDYMNEVLIYLETLVSTAQEILPLEALYKVVSGAVSHISDSIMTTLLSDGVKRFTASAVVGLDMDLNLLEAFADEKFHVTGLADLGKETTFRDCVVEIRQLVNLLLSSQPENFMNPVIRGKNYGSLDYKKLAIICDKFKDSADGLFGSLSNRNTKQNARKKSLDVLKRRLKDFG* >Brasy2G324600.1.p pacid=40068529 transcript=Brasy2G324600.1 locus=Brasy2G324600 ID=Brasy2G324600.1.v1.1 annot-version=v1.1 MASTSLVASLVCSSNTSSSTRRASASRSFPLISKNNYRPALSKPLSLRSSFILRSSLKEQDQEKKETNMSGSSIAVAPDEQKEEEEKKAPSQNAGEGGEPEDHEGGCDDLEKKSRDEQQEVDWRSDEEFKRFMGNPSIEAAIKLEKQRADRKLRELDREPDASPVAALLRGFIRDTLQREKQRLEEAERTFKALDLNKLKSCFGYDTFFATDVRRFGDGGIFIGNLRKPIEEVRPKLEKKIAEAAGTEVTLWFMEERISEDVTKQVCMVQPKAEMELQLEVASLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGASLDDYVSDVLPLFGGFLSILGVSEVATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAVSAFIADGSFNGGDNALFIRPEFFYNNPLLSFVQQVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGTAALLSFGTSLLLGVGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGSERYAWGFVLAVVCLLTLFPNGGGTYSSSFLGEPFFRGGI* >Brasy2G040200.1.p pacid=40068530 transcript=Brasy2G040200.1 locus=Brasy2G040200 ID=Brasy2G040200.1.v1.1 annot-version=v1.1 MSSHQECCGTMFWMYLAFCVLLVLFAGLMSGLTLGLMSLSLVDLEVLEKAGTPQDRINAARILPVVRNQHLLLCTLLIGNALAMEALPIFLDSLVPSFGAVLISVTLILAFGEIMPQAICTRYGLRMGAKAAPIVRVLLVVFFPVAYPISKLLDWLLGKGHFALMRRAELKTLVDMHGDAAGKGGELTHDETTIITGALEMTQKTAKDAMTPITETFSLDINAKLDRHTVCMIMTKGHSRIPIYSGRPSNIIGLILVKNLLTCRPEDEVPTRQVTIRKIPRVPDDLPLYDILNEFQKGHSHMAVVVKRSKEVGASAEKINGATAEYKINHKHVHADSLSPSHVDIPGSRRNNLEKGDLRSHSKKFERKRDNILDFNTDPLPSYSMDEEAVGIITMEDVMEQLLQEDIFDETDEYVDVHNKIKINMLPPGKSLSPLISPSGGPLSQGLRKTPMASPLSPYRNGGSILRSPVSNHAQSSGIYSTTISPGRSPMSQKPVSSSPTSSWVSRNAYRKT* >Brasy2G040200.2.p pacid=40068531 transcript=Brasy2G040200.2 locus=Brasy2G040200 ID=Brasy2G040200.2.v1.1 annot-version=v1.1 MPQAICTRYGLRMGAKAAPIVRVLLVVFFPVAYPISKLLDWLLGKGHFALMRRAELKTLVDMHGDAAGKGGELTHDETTIITGALEMTQKTAKDAMTPITETFSLDINAKLDRHTVCMIMTKGHSRIPIYSGRPSNIIGLILVKNLLTCRPEDEVPTRQVTIRKIPRVPDDLPLYDILNEFQKGHSHMAVVVKRSKEVGASAEKINGATAEYKINHKHVHADSLSPSHVDIPGSRRNNLEKGDLRSHSKKFERKRDNILDFNTDPLPSYSMDEEAVGIITMEDVMEQLLQEDIFDETDEYVDVHNKIKINMLPPGKSLSPLISPSGGPLSQGLRKTPMASPLSPYRNGGSILRSPVSNHAQSSGIYSTTISPGRSPMSQKPVSSSPTSSWVSRNAYRKT* >Brasy2G268900.1.p pacid=40068532 transcript=Brasy2G268900.1 locus=Brasy2G268900 ID=Brasy2G268900.1.v1.1 annot-version=v1.1 MAHSAFAGRRRPACVRGRRPAWASTAVAPPARGRTRPRVLGGGRRVEECVCGGGGAAWARTAAATPARGGAWPRVLGGGRRVEERVRGGGGARTAAATPARGRVCWMAASGGADGGGPAGEGRRVCWVAAGGGEDGGGPGYFLF* >Brasy2G208100.1.p pacid=40068533 transcript=Brasy2G208100.1 locus=Brasy2G208100 ID=Brasy2G208100.1.v1.1 annot-version=v1.1 MELKNMFRFILVLIHVSSCLGRSGKMFSPGFISVSKSLPSWPIFSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFLIGLIMMVPVYAVQSFFSLLNSKVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGQFTPSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGADFYHAVKIGIVQYMILKPICAVLAIFLELLGIYGEGKFAWTYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVYNAAVMSDYASLGAPDPEEEREIDNITIMQTARPNSSDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINQTLHQISENVKQIEKQKRKAKDDSHLIPLESWSEEFSEAHDHVSAGSFSDSGLARKRYNKFRRLRKWF* >Brasy2G208100.2.p pacid=40068534 transcript=Brasy2G208100.2 locus=Brasy2G208100 ID=Brasy2G208100.2.v1.1 annot-version=v1.1 MELKNMFRFILVLIHVSSCLGRSGKMFSPGFISVSKSLPSWPIFSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFLIGLIMMVPVYAVQSFFSLLNSKVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGQFTPSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGADFYHAVKIGIVQYMILKPICAVLAIFLELLGIYGEGKFAWTYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVYNAAVMSDYASLGAPDPEEEREIDNITIMQTARPNSSDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERSFTKINQTLHQISENVKQIEKQKRKAKDDSHLIPLESWSEEFSEAHDHVSAGSFSDSGLARKRYNKFRRLRKWF* >Brasy2G065900.1.p pacid=40068535 transcript=Brasy2G065900.1 locus=Brasy2G065900 ID=Brasy2G065900.1.v1.1 annot-version=v1.1 MGEGGEGEGKKKDDAGEAPPVVVLGVELHCAGCAKKVRKSIRHLPGVLSVVADAAANRVVVNGTADAAALKARIESKTKKPVEILSAAAGTSPSKPAPAVPKKNSDKGVVGDEKKSSDKDGGGDKGDKVQAGGAQSPPKEKEEKKQPPEEGKPKEAETVLLKIRLHCDACADRIRRRIYKIKGVKDVVLDGNAKDEVKVTGTMDVAAMVSYLREKLNRAVEAVAAPAKKDAGGGDDKGLDKKKDKAAGGDEVKKDKGKGIEEVVGPSTAAAAASMAPAPAAASTHHVSPFGNVAYPQMQGPPPAGYYSYYGGVGNANAGGYYSNHPSSDAGAGAGYYQHPGADAGSYYQQQHPGTDHIPNAQPYQPYPYNFDMAPAPQMFSDENPNACSLM* >Brasy2G381200.1.p pacid=40068536 transcript=Brasy2G381200.1 locus=Brasy2G381200 ID=Brasy2G381200.1.v1.1 annot-version=v1.1 MAGAPAYAGAAVLLLFVLALSASAADTWPGEPTLPSSTSAVDSAAGTAVVNGSGAGISSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPSRHPAGSWPASAAHPTLSGEELELAAGSNGSMRVSHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVLPGAPPVLPVWDAMAPGPSIAPAPAPGPNSGKLHFDGHSQVKDFIQTLVLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLLPEDDSKKTSTTTPAAPARKAPAVTGKSKNKLRRGKLMEATCHMAGIFGQRSRLARCQ* >Brasy2G388000.1.p pacid=40068537 transcript=Brasy2G388000.1 locus=Brasy2G388000 ID=Brasy2G388000.1.v1.1 annot-version=v1.1 MEQGQGEAARPAKPAVEVTLRPFSLADVDAMMAWASDPQVAAPCRWEPYESTEPLLAFIKDTVLPHPWFRAICLGSGDGDDGRPVGALSLSPTEDACRAELGYVLARAHWGKGVATAAVRRALGTVFGEIEGLERVEALVDARNAASQRVLEKAGFTREARLRRYCVLKGDVKDMVIYSFVSTDPLPPAAA* >Brasy2G059100.1.p pacid=40068538 transcript=Brasy2G059100.1 locus=Brasy2G059100 ID=Brasy2G059100.1.v1.1 annot-version=v1.1 MSTLALLLLVYSRLSLLVACPLLFVRYRLLWPLSEAALSLPASGIRQFRVKPHWISGEKKKKVRFFFCKTIGETKGLCKKIALGTLHHHAGSSGAHLI* >Brasy2G435700.1.p pacid=40068539 transcript=Brasy2G435700.1 locus=Brasy2G435700 ID=Brasy2G435700.1.v1.1 annot-version=v1.1 MAAPAFFLFFCTLLVLISYGSSEARKTPPQPGSALVAAEEASPSSSSPLRRRELAWATTARDVFAPVTNPVTVPATNPAGGGNGAVVTVPSTNPGGFTGSANPNLPPLYPDTTPAGSTTPFTTNPVTAPVTNPATTPAYPGSAPAVTNPATTYPGGGGAVVGTPAPATTFPPAMPTTAPAVGTVFPSPATTVAPAVGTGTAGGSGAWCVAKAGVMEAALQSGIDYACGMGGADCTALQPMGSCYNPNTLQAHASYAFNAYFQRNPSAASCDFGGAGMLVSTNPSSGACMYQTSSSGATSGAGYSPAAPMTGTTPAGMTPGYGMPGYGPVGTGTGPAVSGGGSGSTVLNANNNPGGTSMYGPDNTTGFTGGDAATAAASLSCGWVLSLVWIFTFAYVKEKV* >Brasy2G236600.1.p pacid=40068540 transcript=Brasy2G236600.1 locus=Brasy2G236600 ID=Brasy2G236600.1.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKQGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.2.p pacid=40068541 transcript=Brasy2G236600.2 locus=Brasy2G236600 ID=Brasy2G236600.2.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.3.p pacid=40068542 transcript=Brasy2G236600.3 locus=Brasy2G236600 ID=Brasy2G236600.3.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKQGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.4.p pacid=40068543 transcript=Brasy2G236600.4 locus=Brasy2G236600 ID=Brasy2G236600.4.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.5.p pacid=40068544 transcript=Brasy2G236600.5 locus=Brasy2G236600 ID=Brasy2G236600.5.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.6.p pacid=40068545 transcript=Brasy2G236600.6 locus=Brasy2G236600 ID=Brasy2G236600.6.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.7.p pacid=40068546 transcript=Brasy2G236600.7 locus=Brasy2G236600 ID=Brasy2G236600.7.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.8.p pacid=40068547 transcript=Brasy2G236600.8 locus=Brasy2G236600 ID=Brasy2G236600.8.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.9.p pacid=40068548 transcript=Brasy2G236600.9 locus=Brasy2G236600 ID=Brasy2G236600.9.v1.1 annot-version=v1.1 MAKRGAAGAAGDPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMVRIIQKGDAISVYSRASTLQGWLVDGKRADPMACAGAAQCLGEIYCLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKSYIVRVAAARCLKAFAIIGGPGLGMAELDTSMSCCVKGLEDNLSAVRDSFAEALGAILALAVNPDAQVKKGGKKQNASGKKFDDGLQKHLILPFVKANGANAKKLRIGLALSWVFFLQMIHLKYGTPDSELQNYAIQAMEILQENGSPDPHSLACVLYVLRVGFADQMTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.10.p pacid=40068549 transcript=Brasy2G236600.10 locus=Brasy2G236600 ID=Brasy2G236600.10.v1.1 annot-version=v1.1 MTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQSRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G236600.11.p pacid=40068550 transcript=Brasy2G236600.11 locus=Brasy2G236600 ID=Brasy2G236600.11.v1.1 annot-version=v1.1 MTEPTQREFLVFLGRKLESSNYTAPRRVATLRILSYLLRSLGEVPSEFKDVLDNTVIAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKSLDLELDSLHGQATVLATLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATIEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVSPTIATANGGILLNPVLAYLGGALSLISLLSTKQLPNVKSALNLFTTRTLMAYQSLSNPMVYKSEHEQMLQLCSTPFSDPSGCEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDDTAKVKLLNNLDQCLKAGKKYSWFMFLVSNACVALLSGLKELLTLRGAQSLPTDILSMVQSIFKGILLESEISTAQRRAACEGLGLLARTGNDIFTARMARSLLGELTTPVDLSYTASVAFSLGCIHRTAGGMALSSLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLCYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNETATLLESVRFAQQLVLFAPQAVPVHSHVRSLIPILYSRQPSHRYLAVSTLHHLIERDPAAMINENIEENLFSMLDGETDSEIATMVRTTIMRLLYTSCPLRPSRWLSVLRNMVLATSVTRNTSEHLTSSGHDSLDSTSENDVQYGEDEDNMISGPKQDQVNWSAPIMSQFPRRNKHLRYRTRLFAAECVSHVPVAVGIEPAHFDLLLARSAMAKGISLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMTVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATKVMTSSIIGGDRVALNRLFLLISRPVSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQHLAPLLANSSTLLGKYWVGALKDYISISFGLHSRLNHKPFLDGIQSLLVSSKVQQYLDEVWTLILQATALDAAPVEFGTDDSEDVHAHTFIAGFSMVKLERSEFQFLWGLSVLVLFHARKSMVNSSVKMKLDHSKEKKFGDIIFHGLDNPRPCDQVLPVLLSLTTEVFFSKDFLSVDICQELLQVLTYVDCSSAPILSLFTQIVRLCPANFFEAEAFVFATLELYSHCLAMILQRDGSSLEWSSKTLLPALSFVTEAMGSRMKNKHLWKLMMVLLSTLYQSFQQVSTDLCMSNIISFLQNIMPFMKKCFRERVEPSDVHSDLKDALGALICLVAYFCTECENRISMLANKISDSYRLFAKILFFCLGEGIALGKLVHEICYVAENGTNNCGHMWGSFRHCIHIIQGSLCSTSIQVQMLGIHVLRTCAQKELTEVLQTKTNSFMMLLGELLGDVVSVMQTTLKNCSTKESVNIIDECLKLLFLFHTLAQSQKYQQDAIILLLDALLMVFYLSSDNGSQELAELNTISKKLFSHFIQIPSAAIQIKDIMLSAPPTKRQQLQDMIRASVSHGQIMVPMNMSAQSEQNFQDSSSEPGSIARLSDAVAVEEKDENGVSDDDWDDDWDTFQSLPATAAKDDADSAIVVSPVTEQGSIASPHQEQISQENTNQYIGDMDDAASATEDRTSLDKEFGEPSASQSTCPEPQVTKDFLETSYEDCEEVSTVDCAEPSTHVLMKEKTGSELQQDHDIDNQFGCEDRKSDDLDLPKGHDPESKDNKGVFGGTQRSEGDALDENPSDVMVDEFKRGSDTVSVGDNKSLISSNDCGEELAPSSHVVNTMSGNVTSTSKANEHPDNANTKRESSVGESSES* >Brasy2G350300.1.p pacid=40068551 transcript=Brasy2G350300.1 locus=Brasy2G350300 ID=Brasy2G350300.1.v1.1 annot-version=v1.1 MVLWLLLGIFLGSGCWPWSRPCSRTAQAGAAVPLMARACSSSPPLHDRSNLLASGDHLLWGFLDLLNGRKRWMLWERKVLGLAIWGRGVSNVLSSPI* >Brasy2G220800.1.p pacid=40068552 transcript=Brasy2G220800.1 locus=Brasy2G220800 ID=Brasy2G220800.1.v1.1 annot-version=v1.1 MGRSYDYSPSPPRGYRRRGRSPSPRGRYEGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYTQEPRGFGFIQYYDPEDAADAQYHMDGQILLGREVAVVFAEENRKKPFEMRTRERTSSRGRSYDRRSRSPRRGRSMSPGYSDRSRSRSQSKSPAPKRKRHSRSPAHRERSFSRSPADSRSRSGSPSKDRGSRSPHIPRTTEINAVK* >Brasy2G220800.3.p pacid=40068553 transcript=Brasy2G220800.3 locus=Brasy2G220800 ID=Brasy2G220800.3.v1.1 annot-version=v1.1 MGRSYDYSPSPPRGYRRRGRSPSPRGRYEGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYTQEPRGFGFIQYYDPEDAADAQYHMDGQILLGREVAVVFAEENRKKPFEMRTRERTSSRGRSYDRRSRSPRRGRSMSPGYSDRSRSRSQSKSPAPKRKRHSRSPAHRERSFSRSPADSRSRSGSPSKDRGSRSPHIPRTTEINAVK* >Brasy2G220800.2.p pacid=40068554 transcript=Brasy2G220800.2 locus=Brasy2G220800 ID=Brasy2G220800.2.v1.1 annot-version=v1.1 MGRSYDYSPSPPRGYRRRGRSPSPRGRYEGRGRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRLKDIYLPRDYYTQEPRGFGFIQYYDPEDAADAQYHMDGQILLGREVAVVFAEENRKKPFEMRTRERTSSRGRSYDRRSRSPRRGRSMSPGYSDRSRSRSQSKSPAPKRKRHSRSPAHRERSFSRSPADSRSRSGSPSKDRGSRFYGPSSYHRCASDE* >Brasy2G026800.1.p pacid=40068555 transcript=Brasy2G026800.1 locus=Brasy2G026800 ID=Brasy2G026800.1.v1.1 annot-version=v1.1 MSDPADPCGYDGPAEALRPLGSYSRNPWPFDVPDEMAEFYLEAARRLPIAGIPVLGRFLSNNGLAVGLFHPVTNIILTTIKSFATWPADGPQYCSLSAPAAVWRSRGKATFAAAAGRSRTGLVHFMLCYFRFLSVAQALAFLDMAQQDLLHAVCLVEYGIRGDCPGLLPRTAEVITPRTKTAFEHAACSIHSSMQDFLSQLMTSRYPCRLLNVIHHLGKGDVLTADSVYKICDLLREPWSPPPPTLTPGTFLDRSGHTTVINNIGEDLFFIATTFKGRCHGQDRFPGSSSIRYFV* >Brasy2G134600.1.p pacid=40068556 transcript=Brasy2G134600.1 locus=Brasy2G134600 ID=Brasy2G134600.1.v1.1 annot-version=v1.1 MKVTTIIRLLVMVLMAACAHVVTCSSLFGNEIDRLSLLEFKKAVSLDPQQALMSWNDSTHFCNWGGVHCRMKNPHGVTTLHLANQGLVGQISPSLANLTFLKTLILSDNSFTSEIPPSLGRLRRLRYLFLSNNTLQGRIPSFSNCSSLKVLLLNGNHLAGQIPIGWPLKLEDMNLSANNLTGILPASLANLTMLNDFRCLFNNIEGVIPNEFAKFRRLHIFYVGINRLSGRFPQAILNLSTLVDLSLTQNSFTGEVPSNIGNYLPKLQRLFLASNFFQGHIPPSLVNASKLSQIDISRNNFTGVVPDSIGKLSKLYWLNLELNKLEAHENQDWEFMHSLANCSHLRVFSLNGNVFKGHVPSSLGNLSVKLETLNLGANKLSGSFPSGIVNFLNLIHLTLGINQLTGVVPGWIGSLKKLQSIDLSDNNFTGFIPTSLSNLSLLGNLYLSYNNFDGPIPPSLGNLQMLQAFYASNNNLHGRIPKEIFIIQTIFAIDLSFNNLDRVPVDFGNARQLLYLSLSSNKQSGDIPNTLGSVESLQYIMLDSNIFSGSIPTSLVNIRGLNFLNLSNNNLSGSIPMSLGNLQLLEQLDLSFNHLEGEVPTKGIFKNVTAVWLGENQGLCGGILELHLQACSIMHSNSTKHKLFVVLKVVIPMVFMVSLAMVILILLSRGKQKTKSMFLPSFHREFPKVSFLDIVRATEGFSPSNIIGRGRYGSVYKGKLFEDGNFVAIKVFNMETRGSTKSFITECNALRGARHRNLVSILTACSSIDSSGNDFKALVYKLMPRGDLHRVLYSTQDYEESSGLIRMTVPQRLSIVVDVADALEYLHHNNQGTIVHCDMKPKNILLDENMTAHVGDFGLARFKVDSAVSSSDDLYSTSSVAINGTIGYIAPGMSNCLIITSFDTNTGIKLLLACEIQSVACRRRSGGAKLAIAPAGGGGEETGRAQPRRLQSSPCIRPVQIWAFRPFINGLVG* >Brasy2G414300.1.p pacid=40068557 transcript=Brasy2G414300.1 locus=Brasy2G414300 ID=Brasy2G414300.1.v1.1 annot-version=v1.1 MVTSEAPSRSGLPGGGGSPRAPNPAEIVAASARGTHGSRAARSRTAAGCGEEDESRGGCAGFGGDSGRARLSARGGSWANLGRRRRLRRGEETPEGGLPHTAPREAAVPGEGFSVGLGEEVERGQGMRGRSEEKGKGNNVRGRRAGLEDIAGARGPHPAFGGSPPHRGAPMAYGGLAGAQMGVVAGK* >Brasy2G007200.1.p pacid=40068558 transcript=Brasy2G007200.1 locus=Brasy2G007200 ID=Brasy2G007200.1.v1.1 annot-version=v1.1 MLEWEGQSCRRSGEEGNTRVVDLREDTSSRRLIKIRRWRAGQGRCTGSDGGFRRGRAGRGAGGWDPAVEGGAGPLHWIRRQLPAVEGGAGPLHWIRRRLPAGEGGEGRRGMGSGRGGRGGAPAVTCTDGEGPAGNPRRRRRVPCAREKERRGRVLGRERSGGGTVDL* >Brasy2G188900.1.p pacid=40068559 transcript=Brasy2G188900.1 locus=Brasy2G188900 ID=Brasy2G188900.1.v1.1 annot-version=v1.1 MGKVPMEQRRRLTLEDYIFFFGSHSGEGLTIDLLNQIVFMHGFVKFHRSTKPAILDALNSVELLRPRRSTVSINAVAPPPGAAAPSAAALSTEDVKRDISDLGWRECPIGSLLSVSAPSPVPLATILPGSGAVQRVSPQSALTSPLPPAAPALAGKRKWAWASTGQGKDAVRRRRKKSMGELLADA* >Brasy2G173200.1.p pacid=40068560 transcript=Brasy2G173200.1 locus=Brasy2G173200 ID=Brasy2G173200.1.v1.1 annot-version=v1.1 MPVGRPLTRLAATRLLRPAAAHVSPAAAARAPPAAARRGERTEGRDREGAAAALARSRCPRAHRRCPPAAARPSPPGARRLPAARRCLAPPHKGDRGSAAGRCSPRAACCPVRLAAAPRLPPARAAAAASRLLPRIAAATRPGPPGAATIMTWHLTIRSRA* >Brasy2G009300.1.p pacid=40068561 transcript=Brasy2G009300.1 locus=Brasy2G009300 ID=Brasy2G009300.1.v1.1 annot-version=v1.1 MSMALMLNPALGVVGVAAVSVGKKKPASIGIRGRRRRGSAVVAMADILGDFGARDPFPEEIESNFGEKTLGNVDTLHRILIPTLSVLSLSRVPLEPSPAPLSHQDARKLLHKVVGWRLQDGDRTLECVWKVRDEACGQELIARIDRALQGAGHAPTTLAFRPPNQVLAQLSSPDVGGLTVNDFIIAAKIDQVKTQDLIPKKRVWA* >Brasy2G326000.1.p pacid=40068562 transcript=Brasy2G326000.1 locus=Brasy2G326000 ID=Brasy2G326000.1.v1.1 annot-version=v1.1 MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHAMLYGKNVLPHEESTPFWKLVLKQFDDLLVKILIAAAVVSFLLARLNGETGLTAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELDSTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGELQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKSYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFRKISVLDFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNHDGSSVPLTMDIRNELEAKFQSFAGKDTLRCLALALKRMPEGQQSLSYEDETNLTFIGLVGMLDPPREEVCDAVQSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFTGYSYTASEFEGLPPLERANALRRMVLFSRVEPSHKKMLVEALQSHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLVTIAGFVWWFVYSENGPRLPYSELVNFDSCSTRQTSYSCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMLLHMSVLYMEPLSALFSVSPLSLAEWKVVLYLSFPVILIDEVLKFFSRSSRARVSPLRLWRREILPKEARDN* >Brasy2G326000.2.p pacid=40068563 transcript=Brasy2G326000.2 locus=Brasy2G326000 ID=Brasy2G326000.2.v1.1 annot-version=v1.1 MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHAMLYGKNVLPHEESTPFWKLVLKQFDDLLVKILIAAAVVSFLLARLNGETGLTAFLEPSELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELDSTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGELQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKSYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFRKISVLDFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNHDGSSVPLTMDIRNELEAKFQSFAGKDTLRCLALALKRMPEGQQSLSYEDETNLTFIGLVGMLDPPREEVCDAVQSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFTGYSYTASEFEGLPPLERANALRRMVLFSRVEPSHKKMLVEALQSHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLVTIAGFVWWFVYSENGPRLPYSELVNFDSCSTRQTSYSCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMLLHMSVLYMEPLSALFSVSPLSLAEWKVVLYLSFPVILIDEVLKFFSRSSRARVSPLRLWRREILPKEARDN* >Brasy2G134300.1.p pacid=40068564 transcript=Brasy2G134300.1 locus=Brasy2G134300 ID=Brasy2G134300.1.v1.1 annot-version=v1.1 MKVTAIIRLFVMVLMASCANVVTCSSLSGNETDRLSLIEFKKAISLDPQQALISWNDSIPFCNWEGVRCTMKNPRRVTSLDLTNRGLVGQISPSLGNLSFLQNLLLPKNAFTADIPPSIGHLRRLRYLYLTNNTLQGRIPNFANCSHLKVLWLDRNNLVGQIPTEWPPNLQELELANNNLSGTIPPSLANITTLESFHCTLNNLEGNVPDSFAKFSRLKYLLVSANRLTGRFQQAILNISTLVDLGLSANQITGELPSNLVNRLPNLQRLMLGDNLFQGHIPNLFMNASKLTLLDMSRNNLTGVVPSSIGKLTKLSWLNLELNKLETHNKQDWEFMDSLANCTELRLFSIHGNRLEGHVPASLGNLSVNLRRLHLGKNELSGNFPAGLATFPNLSLLGLERNHFTGVLPEWIGNLKNIQSMQLQDNKFTGFIPESISNLSLLGLIYLDSNKFGGHLPPSLGNLQMLQTFSIFNNGFTGGVPTDIFRIPTLYDIDLSFNNLVGQLPTDIGTAKQLVNLALSSNKLSGDVPNTLGNCESLENILLGSNIFSGSIPISLGNLRGLKVLNFSDNKLTGPIPAYLGNLKLLEKLDLSFNDLEGEVPKNGIFRNATAIKIDGNHRLCGGIQELHLLACSVVPSNPSRHKLTFVLKLVIPVVSMVSLAMVIVLLLFWRRKQKTKSPSLPSYGREFPKVSFIDLARATDGFSTAKMIGRGTYGSVYEGKLFPDGNYVAIKVFNLETTGSQKSFITECNALRSARHRNLVHVLTACSSIDSNGNDFKALVYEFMPRGDLHKLLYSIQDESTSQLSHITVSQRLSIVVDVADALEYLHHNSQGIIVHCDMKPSNILLDDNLTAHVGDFGLARFKVDSAVPNPADSYSTSSIAIRGTIGYIAPECATGGHFSSASDVYSFGIVLLEIFIRKRPTDDMFKDGLNIAKFVEMNFPARIAQIIDPELLQDPAATKGSYWEFLVSVLNIGLCCTKLSPNERPMMQEVAPRLHEIKESYLRGNQAP* >Brasy2G134300.2.p pacid=40068565 transcript=Brasy2G134300.2 locus=Brasy2G134300 ID=Brasy2G134300.2.v1.1 annot-version=v1.1 MKVTAIIRLFVMVLMASCANVVTCSSLSGNETDRLSLIEFKKAISLDPQQALISWNDSIPFCNWEGVRCTMKNPRRVTSLDLTNRGLVGQISPSLGNLSFLQNLLLPKNAFTADIPPSIGHLRRLRYLYLTNNTLQGRIPNFANCSHLKVLWLDRNNLVGQIPTEWPPNLQELELANNNLSGTIPPSLANITTLESFHCTLNNLEGNVPDSFAKFSRLKYLLVSANRLTGRFQQAILNISTLVDLGLSANQITGELPSNLVNRLPNLQRLMLGDNLFQGHIPNLFMNASKLTLLDMSRNNLTGVVPSSIGKLTKLSWLNLELNKLETHNKQDWEFMDSLANCTELRLFSIHGNRLEGHVPASLGNLSVNLRRLHLGKNELSGNFPAGLATFPNLSLLGLERNHFTGVLPEWIGNLKNIQSMQLQDNKFTGFIPESISNLSLLGLIYLDSNKFGGHLPPSLGNLQMLQTFSIFNNGFTGGVPTDIFRIPTLYDIDLSFNNLVGQLPTDIGTAKQLVNLALSSNKLSGDVPNTLGNCESLENILLGSNIFSGSIPISLGNLRGLKVLNFSDNKLTGPIPAYLGNLKLLEKLDLSFNDLEGEVPKNGIFRNATAIKIDGNHRLCGGIQELHLLACSVVPSNPSRHKLTFVLKLVIPVVSMVSLAMVIVLLLFWRRKQKTKSPSLPSYGREFPKVSFIDLARATDGFSTAKMIGRGTYGSVYEGKLFPDGNYVAIKVFNLETTGSQKSFITECNALRSARHRNLVHVLTACSSIDSNGNDFKALVYEFMPRGDLHKLLYSIQDESTSQLSHITVSQRLSIVVDVADALEYLHHNSQGIIVHCDMKPSNILLDDNLTAHVGDFGLARFKVDSAVPNPADSYSTSSIAIRGTIGYIAPECATGGHFSSASDVYSFGIVLLEIFIRKRPTDDMFKDGLNIAKFVEMNFPARIAQIIDPELLQDPAATKGSYWEFLVSVLNIGLCCTKLSPNERPMMQEVAPRLHEIKESYLRGNQAP* >Brasy2G323900.1.p pacid=40068566 transcript=Brasy2G323900.1 locus=Brasy2G323900 ID=Brasy2G323900.1.v1.1 annot-version=v1.1 MAMQPRRSPSLVGGLRGLELSGGMESSGASAARLPCLSDISSNPGDSGSGIVSVEHSGDPAIPSAVSCSKAPQVSRLLAVADEDGSVILHDTRRRLPSSLDKSADDNAVFEAHSPRRGRQTRSRAKGVSTGFPSVLYLKDDISIATSVQRARSLSSPGLFDSVQRTRPRVEEVGSSKADMASTLPDDLLLEVFKRLPPPATIFRCAAVCRRWRRVVSGASACCLPAPPRHFGFFRNYGPSPRPPFVPMAGIDLDLSFLPVSPSCGAILVDCRGHRLLLRELGAGFATGLRLLVCNPLGKTFARLPPLPVGRHKVSCYAVVPGEGDAFRVVIVLFGAASPNFYVLVYSSASSAWEAATGPLKQPLIPHQGPSVVVGDVVYRLQCEDKYIMAVNTKKMSLSALPLPNAGMLLYVGNNWIGKTEDDRLCFFAIREPLVLVKWVLEAPGKWVQQEPLALWPLLNPATVGDLHGMKLSAKIADQLNGCKLVSFGGFCEGSGTLFFIMADCVVALNLKTLKMEKLWSNTDESRPLGDVFPYEMVAWPPAIKDSAKALLLDEA* >Brasy2G323900.2.p pacid=40068567 transcript=Brasy2G323900.2 locus=Brasy2G323900 ID=Brasy2G323900.2.v1.1 annot-version=v1.1 MAMQPRRSPSLVGGLRGLELSGGMESSGASAARLPCLSDISSNPGDSGSGIVSVEHSGDPAIPSAVSCSKAPQVSRLLAVADEDGSVILHDTRRRLPSSLDKSADDNAVFEAHSPRRGRQTRSRAKRARSLSSPGLFDSVQRTRPRVEEVGSSKADMASTLPDDLLLEVFKRLPPPATIFRCAAVCRRWRRVVSGASACCLPAPPRHFGFFRNYGPSPRPPFVPMAGIDLDLSFLPVSPSCGAILVDCRGHRLLLRELGAGFATGLRLLVCNPLGKTFARLPPLPVGRHKVSCYAVVPGEGDAFRVVIVLFGAASPNFYVLVYSSASSAWEAATGPLKQPLIPHQGPSVVVGDVVYRLQCEDKYIMAVNTKKMSLSALPLPNAGMLLYVGNNWIGKTEDDRLCFFAIREPLVLVKWVLEAPGKWVQQEPLALWPLLNPATVGDLHGMKLSAKIADQLNGCKLVSFGGFCEGSGTLFFIMADCVVALNLKTLKMEKLWSNTDESRPLGDVFPYEMVAWPPAIKDSAKALLLDEA* >Brasy2G438700.1.p pacid=40068568 transcript=Brasy2G438700.1 locus=Brasy2G438700 ID=Brasy2G438700.1.v1.1 annot-version=v1.1 MSSSSSGLGRELLSEAGEPGFAAWMRGVRRRIHRHPELAFQEHRTWALVRAELDALGVPYAWPVARTIISGPGPDPTAGPVFALRADMDALPIQELADCEFRSQEPNRMHACGHDAHVARLLQSRKNDLRGTVKLVFQPAEESHGGARGRGGSGGVDAIFAVHVDTRLPVGAVGSKPGPFLAGSARFKATVTGKGEHGAMPHGAVDPVVAAASAVLSLQQLVARETDPLQGAVRGETFNVIPESVAIGGTFRSMTTEGSSYLMKRIREVIEGQAAVGLCTAAVDFMEEELATVNDEAVAEAMLGEANVRLSPQIMAAEDFGFYAQKIPVAFFGVSVRSGEDGELYQVHTPHLVVDEGALHAAVAIKFLNKHSTNPVS* >Brasy2G236300.1.p pacid=40068569 transcript=Brasy2G236300.1 locus=Brasy2G236300 ID=Brasy2G236300.1.v1.1 annot-version=v1.1 MKGDAGWYYQSASQNDWDLNAVVRYACGGRVSQPRPSDDPFASFLPPAPAPAPVVTTMGAGPSSLLPELTPRNYDDPLAVVDELSIAYFVTQSQLALQPPPPPPQPPQPPVAVPDDAAPVPPPVAQITVGQASGVERPRSKKQKRHAKKIVKKRVAVGAASEADPWAWRKYGQKTIKDSPYSRSYYRCSTAKECGARKMMELCPTDPDTLILTYTGADHNHPPPLHRNSLAGTTRNRQQRRHHLPSSPPRQHADRQSPGPSTSPSTEMEMEDQDDDDADDLLKLLNEATDTGGHDGEWR* >Brasy2G446600.1.p pacid=40068570 transcript=Brasy2G446600.1 locus=Brasy2G446600 ID=Brasy2G446600.1.v1.1 annot-version=v1.1 MAAMQAKLLPAPASHGRPRRRVEPPEVVQDCKRLDRLMKSGRLADALDLFDRMPRKNIVAWTSAVSGLTRNGRPEAAMAAFADMVASGVTPNDFACNAALAACADAGALRAGEQVHSLAVRAGFAGDPWVGSSLVELYSRCGDLGAAKGVFDRMESPDVVGYTSLVSAFCRSGEFELAVDALHQMLRQGVEPNEHTMASILGSCCPLVLGEQVHAYIIKAMGSQSQSMYASSALIDFYSRNSEFDMAKAVFNNLHFKNVVTWCSMMQLHIRDGRPEDALQVFEDMISEGIVEPNEFAFSIALGACGSIALGRQLHSSAIKRDLTSDLRVSNALLSMYGRICHVQELEAVLKDIENPDIVSWTTAISANFQNGFSEKAIALLSMLHSRGLMPNDYAFSSALSSCADLALLDQGRQFHCLALKLGCDSKICTGNALINLYSKCGQIAPAKLAFDVMDHRDVTSCNSLIHGYAQHGDASMALQVFGEMRSIRGTKPDESTFLGVLVACNHAGLVNEGVALFRAMASHSQHGAAPSPSHYACVVDMLGRSGRFDDALRLVKEMPFRPGTLIWKTLLASCRLHGNLETGKLAAERLMELSEGGEDRDSASYVLMSGIHAMRGEWRDASRVRRRMDEAGVKKEAGCSWVEVHNEVHAFVARDKSHPDSASIYQMLWELFDSMGDTACDAEDAELFDLHMQI* >Brasy2G144800.1.p pacid=40068571 transcript=Brasy2G144800.1 locus=Brasy2G144800 ID=Brasy2G144800.1.v1.1 annot-version=v1.1 MEDDDGAAMTEHAKTGAGCQIAAALVSGSTESVKLWKDLETFRQSLLPILEETNFVFLHFINFC* >Brasy2G357600.1.p pacid=40068572 transcript=Brasy2G357600.1 locus=Brasy2G357600 ID=Brasy2G357600.1.v1.1 annot-version=v1.1 MENPRFFLLACLLLFLGSGVANVHGADGNNEQFVYSSFGGANASLSLDGTAVIEPSGILELTNGTAQLSGHAIHRTPLRLRRSPGAEVRSFSASFVFGIIPPYADLSGHGIVFFLANTNNFTGALPSQYMGLLTSANDGNATNHVFGVELDTIQSKEFNDPNDNHVGIDVNSLKSIAVHPAGYYDDDNTGAFHELLLISSKAMQVWVDYESESTQINVFLAPLNVKSGTPKPSRPLVSAKHNLSDVLVEPAAYAGFSSSTGTVRSRHYVLGWSFATDGPAPPIDIGRLPRLPVEISKARSRVLEIVLPIGTAAFVLAVVTVVVLLVRRRSKYAEVREDWESEFGPHRFTYKDLFRATEGFKSKTLLGFGGFGRVHKGVLPKSKMEVAVKKVSHESRQGIKEFVAEVVTIGRLRHRNLVQLLGYCRRKNELLLVYDYMSNGSLDKYLYGSSSSSSCSTTRLDWAQRFRIVKGVASGLLYIHEDFEQVIIHRDVKASNVLVDAEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVACGRRPVEQAMDDGRLMLVDWVLEHWQKGSLLETVDARLKGDYDAEEVTLALKLGLLCSHPLPGARPSMRQVVQYLEGDMPLPELTPMQMSFSMLSLMQGEGFDSYVFSGSHPSSASATMMTMGTISGLSGGR* >Brasy2G188300.1.p pacid=40068573 transcript=Brasy2G188300.1 locus=Brasy2G188300 ID=Brasy2G188300.1.v1.1 annot-version=v1.1 MASSSSKISDSSSHRDGPKGLGVDASGLSALHGKLKQLKCQVEFVRLASIKEMMEANRRVLQRHTCGLFDVAAAAEAASRGTEGGNVLSQRSAEGHSRIVGWDLASGSGEREVVHVQEENQSAVGTLVLSSSGGSAVQRIVVRLVNLPLVDKIPSYTTWTFLDKNQRMADDQSIVGRRRIYYDSVGNEALICSESDEEIPEPEEEKHVFTEGEDQLIWKVTQEDGSGQEVVSAICQFVTASPSEIKDRSKFLCVKNDKYSGFSDKTESHLDKTVDMVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPCGFELGENKTPCGDQCYLQIGDTRPDFKKSGGNPLDDPKNKISNKNSGGGSPTSTTEDAAIESSNKESAAKNLLSSSREDTLSRWSTLERGLYLKGVEIFGKNSCQIARNTLAGLKTCMEVASYMYNNGAAMAKRHLLGKSISSDFAAEQDDMEQEVVARTRICRRRGRIRKNKYTSKSSGHPAVRKRIGDGKKWETQYTPCGCQQMCTKGCPCMDNVTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAANRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCENMKLLLKQQQRILLGRSDVAGWGAFTKNPVQKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDANRKGDKLKFANHSYNPNCFAKVMMVAGDHRVGIYAGERIEASEELFYDYRYGPDQTPRWAKRPEGSKKEEPSVSHRRAHKVAR* >Brasy2G373900.1.p pacid=40068574 transcript=Brasy2G373900.1 locus=Brasy2G373900 ID=Brasy2G373900.1.v1.1 annot-version=v1.1 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVSCAFKFVVEAVALFKSYFGGTFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKLANRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC* >Brasy2G217700.1.p pacid=40068575 transcript=Brasy2G217700.1 locus=Brasy2G217700 ID=Brasy2G217700.1.v1.1 annot-version=v1.1 MPLPFFANNHRLLLSWLHIWRLLLSWWRSLAEIGRRWNVEIDRLRARRCVAMLRSGGGGRGRRGAASMRSGSGGIVGSRCYEPLPLTSLEGGGSGAGGGMSRTAPARRERVAARRRSVRRARRHRWVIREESAREEY* >Brasy2G133200.1.p pacid=40068576 transcript=Brasy2G133200.1 locus=Brasy2G133200 ID=Brasy2G133200.1.v1.1 annot-version=v1.1 MKVGSAAAGEGLAALSAGLARRLADKDAYGTNLVFSPLSIYSPLSLVAAGARGATLDELLCVLGARSQSELAEFLPRAAALMRDRSATGGPRVASACGVWSDLSCPLKPGFVEAVSASENTEIAAVDFRGGARGACRRINAWAKRVTHGLVDGVLGPGSVTKDTLVVLGNAVYFKGKWDQPFDKRRTVVRPFRRLGGAGKVRVPFMRSRKPQFVAVHRGFKVLKLRYKMADSGASSSGDPDRTQFSMCIFLPDAGDGLPSLMESIASRPSFLHEHLPRRRVDVSKFRVPRFKLSFHDSLVAVLRQLGLVLPFSNVANFSDMAGCPIKLDEVVHKAVLEMNEEGTKAAAVTMFRGLRGCAPRAKPPPPPRVDFVADHPFAYFIVEENTGTVIFAGHVVDPSGEK* >Brasy2G197300.1.p pacid=40068577 transcript=Brasy2G197300.1 locus=Brasy2G197300 ID=Brasy2G197300.1.v1.1 annot-version=v1.1 MRPDRPFLPPPHPSLPQATAADMELPARLPSPILKHSPSVSAMTSRSLRDTSSVTFAADSIRSRSSKPEFISTSPSSFESESFRTARTSRPSASVSRSSTRRSASERADFGGSQRDLRDEDARFVYINDAPRTNAPPATFPDNSVRTTKYSVLTFLPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPAASVMPLAIVLSVTAVKDAYEDWRRHRSDKKENNRTACVLDAADGVFRPKRWKEMLAGDVVRVVADETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQDTMLRAPPDEALAGAVIKCEKPNRNIYGFLATVDLDDGRGRAVSLGASNIMLRGCELKNTAWATGVAVYTGRDTKVMLNSSGAPSKRSRLDLHMNRETVALAVVLVVLCSVVSLLAGIWLGDHDDMLGVIPFFRKYDYSQRQGVGRYNWYGTGAQVAFTFMSAVMLFQVMIPIALFISMEIVRVVQAYFMVQDKRMFDEQRQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGRDFSDADGGQEDGRAVLADGVVLRPKTAVKTDEKLLAMLKDGTGAKAGRARDFFLALATCNTIVPIVQDAADHPAAAKLVEYQGESPDEQALVYAAAAYGHTLVERTSGHIIVHVFGTRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADSSMFGIIDKTLNPDVVQATEKHLHSYSSVGLRTLVIGVRELTQTEFLEWQMAYERASTALLGRGNLLRSVAANIERNMRLLGASGIEDKLQDGVPEAIEKLRQAEIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSNSRESCRRSLDDAISMVHKLRSLSTDSQSRVPLALIIDGNSLVYIFDDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREENYNLRLFIYIMMDSIWQSLAVFFIPYLAYRKSAIDSASLGDLWTLSVVILVNIHLAMDVIRWTWITHAAIWGSIVATWICVIVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFAAKAIREHFIPNDIQIAREMEKLKDSHEVTHPEVQMSTFAQS* >Brasy2G197300.2.p pacid=40068578 transcript=Brasy2G197300.2 locus=Brasy2G197300 ID=Brasy2G197300.2.v1.1 annot-version=v1.1 MSEDHGSSRNMSMSMSHKELGDEDARAVRVGDAARTNERLEFAGNAVRTAKYSPFTFLPRNLFEQFHRLAYVYFLAIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRADRAENNRLAAVLSAAPGAGAAQYVPTKWKDVRVGDIVRVAANESPPADMVLLATSDTTGVAYVQTLNLDGESNLKTRYAKQETLTTRVEHLVGAAVIRCERPNRNIYGFQANLELQGESSRIPLGPSNIVLRGCDLKNTAWAVGVVVYAGRETKAMLNNAGTPTKRSRLETRMNRETLFLSGILIVLCSLVAALSGVWLRTHATQLELAQFFHKKDYLNSDKENSNYNYYGIAAQIVFNFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDARLYDASSDSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASIDGVDYSDITRQRPVEGDPAWVPNVPINVDREVMELVRNGGATEQGRYTREFFIALATCNTIVPLILDGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADSSMFGIIDKTLNPDVVQATEKHLHSYSSVGLRTLVIGVRELTQTEFLEWQMAYERASTALLGRGNLLRSVAANIERNMRLLGASGIEDKLQDGVPEAIEKLRQAEIKVWVLTGDKQETAISIGYSCKLLTRDMTQIVINSNSRESCRRSLDDAISMVHKLRSLSTDSQSRVPLALIIDGNSLVYIFDDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREENYNLRLFIYIMMDSIWQSLAVFFIPYLAYRKSAIDSASLGDLWTLSVVILVNIHLAMDVIRWTWITHAAIWGSIVATWICVIVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFAAKAIREHFIPNDIQIAREMEKLKDSHEVTHPEVQMSTFAQS* >Brasy2G313900.1.p pacid=40068579 transcript=Brasy2G313900.1 locus=Brasy2G313900 ID=Brasy2G313900.1.v1.1 annot-version=v1.1 MKNSSVYTHVHLGLQPSITGGASKAADGWSHTNDRAMCPCLLLPRVSFRTTSEYPPSAGRRRVSPASSEAEGEAAGLHPPAVAVAVLAGRRALQLQGSVDEEEDGD* >Brasy2G214100.1.p pacid=40068580 transcript=Brasy2G214100.1 locus=Brasy2G214100 ID=Brasy2G214100.1.v1.1 annot-version=v1.1 MSGGRQSYWCFQCRQRVRPRGREMECPYCDSGFVAEMDDVDAVMSQFVGMDTDFHRDPRFGIMEAMSAVMRHGMGGMNRDVDVRGRPSILTDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVIVNGRRGVGMRRANIADYFVGPGLDDLIEQLTHNDRRGPPPASQSSIDAMPTVKITPRHLTGDSHSHCPVCKDKFELGSEAREMPCKHLYHSDCILPWLEQHNSCPVCRYELPTQGSTGASCSRSRSTNQNHNSSSSSTSGRAGVRQRRRNPFSFLWPFRSSSSSSSSR* >Brasy2G055100.1.p pacid=40068581 transcript=Brasy2G055100.1 locus=Brasy2G055100 ID=Brasy2G055100.1.v1.1 annot-version=v1.1 MMEGNEKRGRRFRRVFRRMAMQCLCPGEQMNEVVRSNGEIELQGNNVDIEEAQLSLQEGGSLNYEEARALLGLGRVEYQQGHFQEALRVLDRINIPALLPKVKLFISRLARADPYSTYPSMPLLNLTMETAYLKTVALRDLGKFKEAAQECSTILDVVESVLPKGLPANFGDSNLNATICSAVELLPELWKLGDFPPEALSSYRRALLSNWNLDAKTIGRIQKEHAIFLLYSGCEACTPTLRSQLDGSFVPRNNLEEAILLLMILLLKFNLKRLERDPTVMHHLTFALSMSGRLKPLASQFEKLLPGVLHGTEWLYNVALCYLAEEDDLTALNMLKRILKFGEDSNCLRELLLASKICSENSAYAEEGVSYARRALANLDGSCDQLEVVADLFLGISLSSQAIYASNDTERACWQREALQVLNVARKKMQCRDFRVLYNLSLENAEQRKLDAAALYAKKLLKLEAGSELKSWLLIARIMSAQKRFEDAESIVNAALDQTGKWCQSELLQTKAKILATKGQVKKAIETYTQLLSVIQLRTKSSGAGIFVLQGTKIDRNMETETWYDLALLYLSLSEWRDVELCISKIKAINPYSPLACHATGKLHEAKGFLREALGAYSRALDIESKHVPSLISAAVVLGQLGGRSLPAARCFLAEALRLERTSHVAWFNLGLTYKDEEGSSAAIEAAECFQAAAHLEETAPSESFR* >Brasy2G055100.2.p pacid=40068582 transcript=Brasy2G055100.2 locus=Brasy2G055100 ID=Brasy2G055100.2.v1.1 annot-version=v1.1 MMEGNEKRGRRFRRVFRRMAMQCLCPGEQMNEVVRSNGEIELQGNNVDIEEAQLSLQEGGSLNYEEARALLGLGRVEYQQGHFQEALRVLDRINIPALLPKVKLFISRLARADPYSTYPSMPLLNLTMETAYLKTVALRDLGKFKEAAQECSTILDVVESVLPKGLPANFGDSNLNATICSAVELLPELWKLGDFPPEALSSYRRALLSNWNLDAKTIGRIQKEHAIFLLYSGCEACTPTLRSQLDGSFVPRNNLEEAILLLMILLLKFNLKRLERDPTVMHHLTFALSMSGRLKPLASQFEKLLPGVLHGTEWLYNVALCYLAEEDDLTALNMLKRILKFGEDSNCLRELLLASKICSENSAYAEEGVSYARRALANLDGSCDQLEVVADLFLGISLSSQAIYASNDTERACWQREALQVLNVARKKMQCRDFRVLYNLSLENAEQRKLDAAALYAKKLLKLEAGSELKSWLLIARIMSAQKRFEDAESIVNAALDQTGKWCQSELLQTKAKILATKGQVKKAIETYTQLLSVIQLRTKSSGAGIFVLQGTKIDRNMETETWYDLALLYLSLSEWRDVELCISKIKAINPYSPLACHATGKLHEAKGFLREALGAYSRALDIESKHVPSLISAAVVLGQLGGRSLPAARCFLAEALRLERTSHVAWFNLGLTYKDEEGSSAAIEAAECFQAAAHLEETAPSESFR* >Brasy2G268800.1.p pacid=40068583 transcript=Brasy2G268800.1 locus=Brasy2G268800 ID=Brasy2G268800.1.v1.1 annot-version=v1.1 MSGVQTPSPRQDNRKNNGNGIELSHDPQGGLSKEEHEWRCVQAERIHQRVPEALRKLHAESEKVRHHFCDDPVALEIWNEYIDDIHLAASRNLTYSLSSYAQKSALYEKLMRSKAQSNTSVHMLSGGYDVGILSRGYDKLTRTTKKMVPIAGVVGAVGVAAFAAGLVGSGLWKDQEAKEN* >Brasy2G268800.2.p pacid=40068584 transcript=Brasy2G268800.2 locus=Brasy2G268800 ID=Brasy2G268800.2.v1.1 annot-version=v1.1 MLISLMVQSHDPQGGLSKEEHEWRCVQAERIHQRVPEALRKLHAESEKVRHHFCDDPVALEIWNEYIDDIHLAASRNLTYSLSSYAQKSALYEKLMRSKAQSNTSVHMLSGGYDVGILSRGYDKLTRTTKKMVPIAGVVGAVGVAAFAAGLVGSGLWKDQEAKEN* >Brasy2G164500.1.p pacid=40068585 transcript=Brasy2G164500.1 locus=Brasy2G164500 ID=Brasy2G164500.1.v1.1 annot-version=v1.1 MVFLGGRPLVCSKEDDGGDGIGGAWSSACEGGGGSEEGVGGADEGGAVADAGGGADPCGGVNPRRRRDFFADGAAEGVGGPFPRGSGGAAMAGGAEGK* >Brasy2G411000.1.p pacid=40068586 transcript=Brasy2G411000.1 locus=Brasy2G411000 ID=Brasy2G411000.1.v1.1 annot-version=v1.1 MSAVGISKLEDNEDVLTEILLRLPVKSALRVRAVSKHWRRVATSPYFLTAYSLRRPQEIIVFHYFDEPVVLPKSGVAVANEICAAPIVRDDDGSKVTFRHLGLNGDPGVVDCRDGLLVMSRGDDRLLVVNPATGQGTVLPSPIPSRCALLRPSGFYPHHSGEYRVLCYAEEEQKEVFSAGNGNWNGNYYILSTGAAEARRLADDAGMKCPEPPSMPGDVLLHGVLHWLILLTHPTDKSGAASDEKLVVVDKMLAFDTVSETFRLMAPPPVPTGSGGYQAPNLFEMRGELAASAVRDPPHVDVWALEDYYYGGSSWLPARERWSWRLRVTVPQVSGIYSLALTASALGVIGGDVLVVQNAGLILLYDMAAKSTRTWIDLRMWKGRSALWLPGFYRESLAPVTSPPTKV* >Brasy2G013100.1.p pacid=40068587 transcript=Brasy2G013100.1 locus=Brasy2G013100 ID=Brasy2G013100.1.v1.1 annot-version=v1.1 MKEFVPSKEVYHDFESKLVQLRKLLLIFESIKRLSSRCVSIGNTAFGMWCQRKDLTTIFVQKRAFLTRFCEAEQTLKGKIPALEKQLNEHQLKIDNLRKSYDEKLSCLPEVPSYTFQKMIGNSDFSWRFRKYNGRNILPPVRTQGRGECTSVATVAGTESLVKKEQSVLSPYKGRLFQPMEFTLKLSHNHLQDLVTQFYANNKRKGNEKLTVCLEQMQDQGVVAEEGYNNGNANVEDFQRYRINGFEKLHKAAFGDVCKILEGGNVLIGNFRITTDYHRLGPDDIYQITDNSVYETNSAGQICSHCVVIVGFGVTPDGLCYYIFQNSYGPDWGSGGYGRVEAASMQFLYSATVAGATHFYPVLVT* >Brasy2G473100.1.p pacid=40068588 transcript=Brasy2G473100.1 locus=Brasy2G473100 ID=Brasy2G473100.1.v1.1 annot-version=v1.1 MGGATKGVGRKRRLRDGEKGKSRERMKEMGDMEEIREGATAARRAGEGERRAYADLRNDPTTVGAGAAAGIAAGGGCMPGRARRQCPACGGRQEAWRRQQAGGEGS* >Brasy2G132600.1.p pacid=40068589 transcript=Brasy2G132600.1 locus=Brasy2G132600 ID=Brasy2G132600.1.v1.1 annot-version=v1.1 MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTTATEILAAPASAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPTLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWARLAELALDSADAVAAAAFDALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVESFRVTVFPLVHAAKMVASGTVNTLRQIAKPGDATIADTVEASAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLSLADAPGGKPEWASGAIIAILTLWDRQEFSSMRETIVRAVVANLHLLDLGMQVSLFKRLLQMVKNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCHGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKTGASQDQILNETRLRNLQLQLIRDLREVHTSRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKTLFNTDSSATTSNRIQDVQAVLICAQRLGARNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYVTKNPDNRWVGAGDATGDYPFSHHKLTVQYSEAAAAQDRKLEGLVHKAIQELWRPNPSQLTLLQTKGIGALHKDLPKAGTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYKGDYAEEDSQMTRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSIYSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDDSITREIEADLQGWLDDITDGAVEYMPEEEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEEKKKMSEELELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKALKAQ* >Brasy2G290600.1.p pacid=40068590 transcript=Brasy2G290600.1 locus=Brasy2G290600 ID=Brasy2G290600.1.v1.1 annot-version=v1.1 MASLPDHPALVRLRAAYEDEDAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRALHAAGVVHRDLKPENFLYKGKSEDAQLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARSILRGVVDFQREPWPRISDSAKSLVRQMLEMDPKKRLTARQVLEHPWLQDAKKAPNVPLGDIVRARLKQFSVMNRFKKKAMRVIAEHLSAEEVEVIKEMFALMDTGNNGRVTLQELKAGLTKVGSKLAEPEMELLMEAADVDGDGYLDYAEFVAITIHLQRLSNDEHLRTAFLFFDKDSSGYIERQELADALADDSGQTDHAVVDHVLQEVDTDKDGRVSFEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSISMAR* >Brasy2G483600.1.p pacid=40068591 transcript=Brasy2G483600.1 locus=Brasy2G483600 ID=Brasy2G483600.1.v1.1 annot-version=v1.1 MAFDAFTDKTAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAFHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWSEGGKADSKYTSRAAELYRQILQKEVAKSSTTNNVLPSSPDAASHPANPADDFPDFKLADAPEENTNGKHEPVATNTKEPAPKAPTHPTYVSSVKKPLGAKKIGAKTGGLGVKKLTTKPNESLYDQKPEEPKPALPTLTTTNKAAKSGPSLQSRFEYVENEPSTDSKTGGSHMTGHVAVPKSTNFFQEYGMDNGFQRKTSTAASKAQIEETDEARKKFSNAKAISSSQYFGNTDREQKEAQLSLQKFSGSSSISSADLFGRGTDDSDLDVSAADLINRISFQASQDLSSLKNMAGETGKKLTSIASNFITDLDRIL* >Brasy2G485600.1.p pacid=40068592 transcript=Brasy2G485600.1 locus=Brasy2G485600 ID=Brasy2G485600.1.v1.1 annot-version=v1.1 MNKHAKTRKEEEDGGGGTTIQQIPEECLAKAISLTSPADACRAAAVSAAFRSVADSDAVWERFLPPDCGAVLERAVHLVDSSSKKELFLELAQEHALLDDGKMSFGLQRWTGAKCYMLSAARLSIHWISVDLYWRLRSDQDSRFSEVAELMSVCWLRIAGWINAKELTPGTHYAGYLVFKLAHGASGLSSPSQVSFVEAGGQQVGPLRRASFHPCSRPSCTMMIAAGAGAGKGPHEHEEEEEGGGEGCVVITRYPRQRAEEDGWLELEIGDFHTIAGDDDDTDISMGVREIEELQWKKGLIVEGIEIRPKN* >Brasy2G083700.1.p pacid=40068593 transcript=Brasy2G083700.1 locus=Brasy2G083700 ID=Brasy2G083700.1.v1.1 annot-version=v1.1 MGSDSKSAVCCMCGDHGLLPELFRCAACSVRSQHTYCTDRYPKAEAYGTCNWCLRTGQSAATGAASPSSPASSTVKPVAIRPAPAAHCSGSVPQPVNKVVAARGDFSAELNKPIKKQQRRRKLLLRRSASDLGSGRGGAGPPSSPGPGVVARGRPRVRRYKLLEEVISS* >Brasy2G129100.1.p pacid=40068594 transcript=Brasy2G129100.1 locus=Brasy2G129100 ID=Brasy2G129100.1.v1.1 annot-version=v1.1 MAPGLKRFTDIAGDGTPLLDGASGEEFVRVERAATVALGPRAPEAPGTLFVTTRRVIWLSEAEKGKGYSVDFLDITLHAVSRDPEAYPSPCLYTQLEAESGSDEEAGNLDSEALGELQLAKISEMRIILSDASQLDSLFDVFCQCAGLNPDPNVDQNEENSWFHGEDMADGGWIHGDEHMADAINPEFFTANPIGQNDGYDLNSSVLELQINDQRFEDADEEQVPRENGH* >Brasy2G311200.1.p pacid=40068595 transcript=Brasy2G311200.1 locus=Brasy2G311200 ID=Brasy2G311200.1.v1.1 annot-version=v1.1 MQEPARVEIWLNLKNQGVDEPSPCIPEAKKEEGEASGQGKAGEARGIWRRVRARHSAAGLRDGRGGTKSTRRRQTRRRVGTRADESAAAGWGKAGGFGGCGGREDSVGQQAREGRGWGRQTRRRCVMEWRRGWTADVGGQMRRARRRRVMRRALEGGRVRALGGGGGRARRGRAAKVAAG* >Brasy2G101000.1.p pacid=40068596 transcript=Brasy2G101000.1 locus=Brasy2G101000 ID=Brasy2G101000.1.v1.1 annot-version=v1.1 MALMVADDGGLGIAEADDWVLYLWSRDVSDAGDAQWVWYREIYLQNPGSLLPAPALSRWEAPIIMGFAEEAITIFMGTTAGIFVIDLQSGCVKKVCDHKFSFDILVPIVSLGHTLPAPQREYHYPPSPNSSEEEEEEEVVVWW* >Brasy2G359300.1.p pacid=40068597 transcript=Brasy2G359300.1 locus=Brasy2G359300 ID=Brasy2G359300.1.v1.1 annot-version=v1.1 MHVEEMVAMFPHILAHDEKNHAIRRYKSKVKILKKQLSYVLEIMQNGSGFGWDDEKMVTGDRETYMAGQRSGEQEVAEDESPKDDQPTSQPTEENVAQPHDNINLSGGSKHGRKRTYPDDDTLESGLLVVYNSIAKYMEAEQENAKTMNSLHLMHEAEVHEQTSAYRTKLLDVLQNLHGLTLEVVMAARVIDRDAGQTELFLHTHDK* >Brasy2G327600.1.p pacid=40068598 transcript=Brasy2G327600.1 locus=Brasy2G327600 ID=Brasy2G327600.1.v1.1 annot-version=v1.1 MAPQRRHPAPTAPTACCFLLLLALCLAPPPAHGARVQPAATTSKAPPAPAPAPPPPPASNATATAPSESDEFLAPHNKARAAVGVAALRWSAGLAAAAAKTTSQQQRQSGCAFADMSASAYGANQGWASYRARPGEVVGSWVAQARYYTHANNTCAAGRQCGTYTQVVWRRTTDVGCAQATCGTGATLTLCLYDPHGNVQGESPY* >Brasy2G418600.1.p pacid=40068599 transcript=Brasy2G418600.1 locus=Brasy2G418600 ID=Brasy2G418600.1.v1.1 annot-version=v1.1 MAKEGAGGGPDWKGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQANTIDVVSRMKEITQVMKTPDDVLQSHGVSPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFRSDPSTTARTKALGAISSLIRNNQPGLAAFRLGNGYAALKDALGSDDARLQRKALHLMQYLLDNKADRSAASELGLPNLMMHLASSDDAGVREAALGGLLELAQDKTPAAGNTLPDQDKLKDVLRSRIEGISAMDADDLHAAREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAFAAARPAPSEGSDSGSGKKDPPLLLGP* >Brasy2G159000.1.p pacid=40068600 transcript=Brasy2G159000.1 locus=Brasy2G159000 ID=Brasy2G159000.1.v1.1 annot-version=v1.1 MGKSDRVTSSVPPVLHSLGPARHSPQQKRGRRWYCPRLVDWLRPCVLIAIILR* >Brasy2G072800.1.p pacid=40068601 transcript=Brasy2G072800.1 locus=Brasy2G072800 ID=Brasy2G072800.1.v1.1 annot-version=v1.1 MDRDLIPRPRTRARSSSSWRWAETPGGGGLVRSREAAAEGGGRRPGANRRSQRAEGDRPANHAVRSVRAKADAASVSSSWKKTAARAHSRIGVVGRERAAAALGCERPAVRCHNGGLGCERAAGSEQPQQRIELLLAALLPEPGGELDAVVRLTAWTSTAGRASHSSRASPRAWKLQKLGRSRARKRSIP* >Brasy2G394400.1.p pacid=40068602 transcript=Brasy2G394400.1 locus=Brasy2G394400 ID=Brasy2G394400.1.v1.1 annot-version=v1.1 MYPDLAEQGSTNTSTQAQVVVLGMAPEQFEMAGVSGSEGCSCGDNCKCNPCNC* >Brasy2G391000.1.p pacid=40068603 transcript=Brasy2G391000.1 locus=Brasy2G391000 ID=Brasy2G391000.1.v1.1 annot-version=v1.1 MAGQPPQASEDDFLDQFFSLTNSLSAGGRPSGDQPFSLALSLDAAADASGSRDRLGDADDVGKSERDTVQLSGLFPPVFGGGGQPPHLRPSPPAQMFHAQQPKQGGSVVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSNQQIWEKWSTDGTERQVAKLMDEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNSHS* >Brasy2G391000.2.p pacid=40068604 transcript=Brasy2G391000.2 locus=Brasy2G391000 ID=Brasy2G391000.2.v1.1 annot-version=v1.1 MAGQPPQASEDDFLDQFFSLTNSLSAGGRPSGDQPFSLALSLDAAADASGSRDRLGDADDVGKSERDTVQLSGLFPPVFGGGGQPPHLRPSPPAQMFHAQQPKQGGSVVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSNQQIWEKWSTDGTERQVAKLMDEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNSHS* >Brasy2G222100.1.p pacid=40068605 transcript=Brasy2G222100.1 locus=Brasy2G222100 ID=Brasy2G222100.1.v1.1 annot-version=v1.1 MEAAGNGRRDAALGGLAVLPDELLCAVVDLLPPTDIGRLACVSSVMYILCNEEPLWMSKYLSVGGRLEYKGSWKKTTLSRLSLCSQNNEIEQKARHFDGFHSLYLYRRWYRCFTSLSSYSFDNGHVERKDDLSLDQFRSQYDGKGPVLLSKLVETWPARTKWTMQQLVLDYGEVTFRISQRSPQKIIMTLKDYVSYMELQHDEDPLYIFDDKFGESTPALLEDYSVPHLFQDDLFDVLDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFEHVCLDMAPGHCHKGVCRAGLLAVPGKSIRDTENHPPGTVSKWNHTDMTRTEKRLKGSGPVRASNSVDQCSSFEFSDVHESLDNQLFSYNIDFLSQFLEKEKDHYTSVWSPTNPIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYACLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSAHGLGTELEFYDLLQKVGSPLINHIPEIIASGFLVYEDGSCRTVPWDGKGMPDVLAKYYPLELSYANGCFPLGLWSKQQFGIDSSPDVSNKPIWPYMVTRKCKGDIFARVRDTLSKTDLLNLASSLGVQMRNIHLLPLPLPHVELLPQSGDSNVKVNDPPEWKHVISTLDGRKKNIKKHLADWGVPSRQF* >Brasy2G196500.1.p pacid=40068606 transcript=Brasy2G196500.1 locus=Brasy2G196500 ID=Brasy2G196500.1.v1.1 annot-version=v1.1 MEEEPDATYCRYYCHMCSLVVSPELGIQEVKCPRCDSGFVEEMLAADAHAGGRIRTSSSALTGATDEAGARSSDSSELAVPPWPPILMDLLGVSYAGDGGSGDLTALARRHYRHLAFLQLLNALREGDADADAYGNAAPDSGGLEQLVLVSPTDAHAMMLMEERGGGGGGSNNGGVNAAGRVGPGLTLGELILGPGLDLLLEYLAETDPMGRQGPLPARKEAVAAMPTVRVREGSAATCPVCLDEFAAGAEAKEMPCKHWFHGECIVPWLEAHSSCPVCRYQLPTDEAAEPPGNGIGNGNGNSGGEIADAFSGNARGGGGGDGDGGSSGRRRWLARPFGRLFSRRSDGSSSSSR* >Brasy2G145000.1.p pacid=40068607 transcript=Brasy2G145000.1 locus=Brasy2G145000 ID=Brasy2G145000.1.v1.1 annot-version=v1.1 MLAHGLATNPVWATRCPMNSRVSSAPLGLVSSLGFDRGRKKKVKLFINVDRYTKYSAPFSTQQFSRMTPLASASFGDTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLISKYAGHKPSVDAIESAHDKIIMQSFFDRKKPKMDLKKKFRELSQSRPVKAVQGRFQTPSSKVIWKTAITFVLLGVLTLVFPTEEGPTLQVLVSCAANIYFLYQRLRSGWKAFFYGFGSFFASWLLATFLMVSVIPPILPGPRNLEVSTACVAYALLFVSSTFLK* >Brasy2G211600.1.p pacid=40068608 transcript=Brasy2G211600.1 locus=Brasy2G211600 ID=Brasy2G211600.1.v1.1 annot-version=v1.1 MSTPVPMDRASERMWRERGQDPRDLEALVGRILSYIHVVLPRPPSSAHGPALLAALLPHDDLDRLSLLPDELLCNVVSRLPVADAARTGALSRRWRGVWRSAPLVLIDSHLLRSGGAQDDPGLQVARADARRVTSAVSRILASHPGPFRCVHLTTSYMEEFRGLLARWLQLLAVKGVQELVLANRPWPLDLDLPAAFFGMPTLTRLYLALWKFPETAGLPRAAGFPNLRELGLCTVVLEARHMDFILARSPVLQILCLQGNLLMDRLTLRSHSLRCVQMISASDLEIALEDAPHLERLIIWSAMGSLREGTTGSCKRVTIGHAPTLSIIGYLEPELHTLVVGNTIIKAGTKAIPTTMVPTVKILGFKVRFGVRKEAKLLPCLLGCFPNVERLHIESKKTSEPTGKLSVKFWEESGAIECVQSHINLLIFRDFRGERSELSFLKFFLERAQKLKRLVIVFGKGTFTSMSEAKSKVKPLYDAKWASKCCSLLLFECFFAEGQGVELANFERGSNFSVRDPFAVIVKA* >Brasy2G422100.1.p pacid=40068609 transcript=Brasy2G422100.1 locus=Brasy2G422100 ID=Brasy2G422100.1.v1.1 annot-version=v1.1 MDMRTRGGKMTKMRTEHSAKTTVRPDNLGRKWVASGQKKKTDWSVHLAQNRQMHRPAVDGLKTERPEMHQDAHSEKTVIVRLGLRLRVYAQLCPQDDGPNPPQLRRRRQRRASHLNNSTPQAVRSAAANSKKGSWRVFFSFSPSSRMEKDMRKSILKEVRRSVVRIFFNWQPEKEGYECMVIAGVVASINKDGFATIIADPVFFLQLKEKECSVRFPKEGGYENESTFSCSSVIHQDKLCTFLLKPKEQGYIKPVTFELTGPEGCEEVYSFVFPRKSYVTPAGFSKGNIIDSTQVREKDVQILFHDCPMHDFGYLGSPVFNKNGNLVAISYLDQGYIQAWSVHRIQYEVLSKLDGISYALTDS* >Brasy2G072600.1.p pacid=40068610 transcript=Brasy2G072600.1 locus=Brasy2G072600 ID=Brasy2G072600.1.v1.1 annot-version=v1.1 MLSSSKFQRVYPVRLAVLQRARPPAYPPERAFHREVDSVHPLAPQLRRAPVPPVHHPRGHLQHALPHPPHLRLRHGGQSLGMQPARIASNVDHLVVALPAPGACFMSSSLPPFSGSLPEAAASTSTATLLPDADTPYKLSSSSPGPWAAALRRDLRRQVGRREPD* >Brasy2G242900.1.p pacid=40068611 transcript=Brasy2G242900.1 locus=Brasy2G242900 ID=Brasy2G242900.1.v1.1 annot-version=v1.1 MEAQHPLSSVRVARLGLRNLAVTRVTDVNYRASFLLTTLDGAAASNLEGGRTDLGDAHAGNHDGPSGHVHIGIQEGDGLKPCEGKRLNHVMSSGSEKMGINPCCHLGEQNANIAIPGLLARQGLDNGEMSNGVSCDLDQEAGRAECNSNGDECFRFDKMKIYEHAANGQVQHSSETGMTNKVNGDLPHPSVQNTSEYHMPAICNCKSVCNGNAEVGGAIEQGWTVENMDRCSIAYTEKELRIDIERSTKPKIRWLSRGPLGQRAVSSGFTVTKIQKKKSKLTGEVSELEEFSITIPPHSALLFPCQRAISIDASDCQVKHLIVLDGTWAKAQRMYHENPWLQLLPHVNLETDRVSLYSEVRHEPKAGCLSTIESIVVAMKKLGEDDKGLDDVLDVFESMIIDQRRCKDENWKPKLKS* >Brasy2G495600.1.p pacid=40068612 transcript=Brasy2G495600.1 locus=Brasy2G495600 ID=Brasy2G495600.1.v1.1 annot-version=v1.1 MAPTDGAKGSSREMLHKKAPTVIRGGKGAARSGSTGEKKAAAAASSRRVPAVPVDTGSMPPMKTTTASSGGSTHARKDKTGLRTEKMPWNSSTKVKKPDIIRSGGQTSITAKDSEESAANHRTTKGKPRTGTVSSAKTPQKLRTRGKAGTGTKAEVDTGSSGETLRGHPAKEESLDAPEIRLLIEELDGLGENISDEGCVDYIHQLARKPRLGPKFEMEDEDKDKQDCALALYRFKYYKYKLSQQVSKKKLHDDKLKDDYPVNLLEEEEEEEGTRLEKDCIQDIAEKDCSAEFLRMQSYFQPFEKDSTLGWFFHPDYIYRTNLNDYQRLVIRNYGNYEYANWSDYHEALNSYETEREYVKYYEKLSEELKWMENYIHIERSSLKMSFKAALEEVYNLNKFPFRQSSMKRALQFDITLKEFEQKFNTFMASITPDVKKDKAPQEWIAEAVKKRVDKPKTYDQYIRKKIDIAHTIGIPGIEAII* >Brasy2G234200.1.p pacid=40068613 transcript=Brasy2G234200.1 locus=Brasy2G234200 ID=Brasy2G234200.1.v1.1 annot-version=v1.1 MSKRIAKNGATDGAATKKACTGTAGDSQTKPAQVEEEQANNVFSHELDTLQCDICFVPFESEVYSCKNGHAACGNCCVKLDRKCPSCNESIGDIRCRAMEKILAGMTRLCKYKKLGCKHILRFTEIRAHEEETCRYAPYPCPFDGCTNTVTRLRDHMVEYNHGPFFELDMFGGSVLTLEKSTPFCVLLHRDGESVFLLLNGRDVPRGRSLSVVRLYPRPDEDGAGARAQNYAMIVKGDRSAGTLSLNGLLSLNCAAVQFVRRTEGKYSLHNREFLFVPDACWGSSDTVTVDVTVHP* >Brasy2G386700.1.p pacid=40068614 transcript=Brasy2G386700.1 locus=Brasy2G386700 ID=Brasy2G386700.1.v1.1 annot-version=v1.1 MKLTAPLLLVLALAILSLCLSASSASDWDTERERGGQSAGRQGEEGEGRYSSSGRPYHYGEESFKHRTTTRHGLFRVLERFTHELLRDSPVGDRRVAVLEAAPRAFLQPSHYDADEVFYVREGEGVVVMLWKGKRQSFCVREGDVMVIPAGATVYSANTHDSRWFRVVMLLNPVSTPGLFGEFFPVGGERQESFFGAFSDDILQAAFNARKEEVEKVFEERSEGEITQAPEEQIRALSKSCSRGSGSGSGSRSEQDFKPTSISSKKPRYSNNHGWLSEITGEECPHLRRLDMEVGLANITRGSMMAMSYSTRATKIAVVVEGNGYFEMACPHMSGSGRRSERREREQGSEGRRERERGSEEERRERQEREHEQSSEEERRREREQGQGEQKSRGYRQVGANIKEGSVIVLPAAHPATFVAGGEGNLAVLCFGVGAERDEKVFLTGKSSPLRQMVEEDEAARKLVFGERAKQAEKLVRGQDEDVFVRGPRQRQSGVADM* >Brasy2G049400.1.p pacid=40068615 transcript=Brasy2G049400.1 locus=Brasy2G049400 ID=Brasy2G049400.1.v1.1 annot-version=v1.1 MSAAMLGTRLDLAPLSPSPSPTSGFRVRASTLPAAAVGTRALGPRAVQAAAAAAATAVASKPAVATPLSADRTVVRLGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPQVPNLEVWFQRPTDIVRKLCSGDLDLGIVGYDIISEYGQGNEDLVIVHDALEFGHCRLSLAVPKEGIFENINTLEELVNMPEWTEERPIRVVTGFGYVGAKFLKEKGFKHVKFLAGDGALESYPAMGMADVIVDLVSSGTTLRENKLKEIEGGVLLESQATLVASRKSLNRREGVLEISHEMLERLEAHLTASGKIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCRLDGKVAVDYYAINVVVPQKSLYKSIQQLRSIGGSGVLVSKLTYIFDEETPRWRTLLSELGM* >Brasy2G120300.1.p pacid=40068616 transcript=Brasy2G120300.1 locus=Brasy2G120300 ID=Brasy2G120300.1.v1.1 annot-version=v1.1 MASLKEKLNLEEPLLEDLVVHRGDRIVDIEGTPTPGTKHRTGSWKACTFILVTECFEELAYYGIQFNLVTFLKTVLQESNVSAARNYTNWQGTCYIAPLVGAVVADSYLGRYLTTLAFFAVYLAGMAAMSISASFPACTGLDCRQEGSPSSQSAVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSVPSERLKKSSFFNWFFFSIYIGSFASGTVVVWMQDNLGWVVGLWVPTLFIALAMASFLLGSGSYRVQKPLGSPLVRVSQVLVAAVRKRNAGLPKDASLLYELPEGASMADGTKKLQHTPVLSSLDKAAVIASTEELCSSNPWRLCTVTQVEELKAVIGMLPIWATGIVYFAVLAQFSSTFLEQGRMMDAAVAVGGRSFSIPPASLASFDAVSVILFVPVYDRVLVPAARRLTGNDRGLSELQRFGAGLFLSVLVMAAAATVETFRLQAVAAGGAKKMCILWQVPQYLLVGASVVFACVGQSEFFYNEAPESMRSLCAALGLLTVSLGSYLSSLLVTVVSAVTTRGGREPGWIPDDLDEGHLDRFFWLVAAHSVVNLAVFLCCAVRYKCK* >Brasy2G120300.2.p pacid=40068617 transcript=Brasy2G120300.2 locus=Brasy2G120300 ID=Brasy2G120300.2.v1.1 annot-version=v1.1 MASLKEKLNLEEPLLEDLVVHRGDRIVDIEGTPTPGTKHRTGSWKACTFILVTECFEELAYYGIQFNLVTFLKTVLQESNVSAARNYTNWQGTCYIAPLVGAVVADSYLGRYLTTLAFFAVYLAGMAAMSISASFPACTGLDCRQEGSPSSQSAVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSVPSERLKKSSFFNWFFFSIYIGSFASGTVVVWMQDNLGWVVGLWVPTLFIALAMASFLLGSGSYRVQKPLGSPLVRVSQVLVAAVRKRNAGLPKDASLLYELPEGASMADGTKKLQHTPVLSSLDKAAVIASTEELCSSNPWRLCTVTQVEELKAVIGMLPIWATGIVYFAVLAQFSSTFLEQGRMMDAAVAVGGRSFSIPPASLASFDAVSVILFVPVYDRVLVPAARRLTGNDRGLSELQRFGAGLFLSVLVMAAAATVETFRLQAVAAGGAKKMCILWQVPQYLLVGASVVFACVGQSEFFYNEAPESMRSLCAALGLLTVSLGSYLSSLLVTVVSAVTTRGGREPGWIPDDLDEGHLDRFFWLVAAHSVVNLAVFLCCAVRYKCK* >Brasy2G120300.3.p pacid=40068618 transcript=Brasy2G120300.3 locus=Brasy2G120300 ID=Brasy2G120300.3.v1.1 annot-version=v1.1 MAAMSISASFPACTGLDCRQEGSPSSQSAVFFLGLYMMAIGAGGIKPCVSSFGADQFDDSVPSERLKKSSFFNWFFFSIYIGSFASGTVVVWMQDNLGWVVGLWVPTLFIALAMASFLLGSGSYRVQKPLGSPLVRVSQVLVAAVRKRNAGLPKDASLLYELPEGASMADGTKKLQHTPVLSSLDKAAVIASTEELCSSNPWRLCTVTQVEELKAVIGMLPIWATGIVYFAVLAQFSSTFLEQGRMMDAAVAVGGRSFSIPPASLASFDAVSVILFVPVYDRVLVPAARRLTGNDRGLSELQRFGAGLFLSVLVMAAAATVETFRLQAVAAGGAKKMCILWQVPQYLLVGASVVFACVGQSEFFYNEAPESMRSLCAALGLLTVSLGSYLSSLLVTVVSAVTTRGGREPGWIPDDLDEGHLDRFFWLVAAHSVVNLAVFLCCAVRYKCK* >Brasy2G017500.1.p pacid=40068619 transcript=Brasy2G017500.1 locus=Brasy2G017500 ID=Brasy2G017500.1.v1.1 annot-version=v1.1 MGLCYYGMRDTDAAYAVNFLNLTPIVTFVLAVILRAEKLAFNNWPSMVKLVSTFVCVGGTMVVSLYKGKLLNLWHVHLLKSHITNAGAINPHHNMVIGSLFLCGSCLSFSLWYIAQARLARMYPSKYVSTTLTCLLGSLQSVVVGLLITTDKSAWMLKWDVKLLAVVFAGVFSSGATYVLNMWAIARRGPIYPVMFSSLSLIMTTILDSVLLGTSIYLGSVLGTAMIILGLYAFLWGQGKELAAAKVAVAGQNQNQLICLERSTSTRAIRET* >Brasy2G234300.1.p pacid=40068620 transcript=Brasy2G234300.1 locus=Brasy2G234300 ID=Brasy2G234300.1.v1.1 annot-version=v1.1 MSKRSAKKGATDGAATKKACTGTAGDSQTKPAQVEEEQANNVFSHELDTLQCDICFMPFESHVYMCKNGHAACGNCCVRLDRKCPSCNESIGDIRCRAMEKILAGMTRPCKFKKLGCKRILRFSEIRTHEEETCLYRPYPCPFDGCAYSVINLRDHMVESNHGPFFQLDCLGRFTLTLQKSTPLCVLLHPDGASVFLLLNGRDVPGGRSLSVVRLYPRPDEDGAGALAQQYPMVVEGEQSGSLSLKFATVHSREFLFVPDACWGPNSNTVSVDAIVYP* >Brasy2G123600.1.p pacid=40068621 transcript=Brasy2G123600.1 locus=Brasy2G123600 ID=Brasy2G123600.1.v1.1 annot-version=v1.1 MRVNVGYSDWSHAALEDITNRYTHSSNSTQDVHEKKNQARRERDKARRDKQTPEERAAINARRRERDRACRDKQTTEEKEASSARRRERRRACRDNQTPEEKEASSARRRELDRSKSASRNAKLAARRGTPCPESIALPCPDQSANGLASSAPASHTSQPGPSSPTFTIRTDGNDKIYGLLLFLGFLDCCSLTRITTHVTKTPS* >Brasy2G159200.1.p pacid=40068622 transcript=Brasy2G159200.1 locus=Brasy2G159200 ID=Brasy2G159200.1.v1.1 annot-version=v1.1 MGNCAGVQGNAEINPSFSAPNSSGTNSKNSSKNATDTNTFSKGSSSSVPPTPRSEKEILQSSNLRKFTFNELKGSTRNFRTDSLLGEGGFGSVFKGWMDERTLTPVKPGTGMIVAVKKLKLDSFQGHKEWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLEHHLFRRAPHFQPLSWNLRMKVALEAARGLAFLHSDEAKVIYRDFKTSNVLLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYTYGVVLLELLTGQRALDKNRPPGQHNLVEWARPYINSKRRVIHVLDPRLGSQYSLPAAQKTASLAMQCLSMDARCRPDMDQVVTALEKLQETKKTGK* >Brasy2G440500.1.p pacid=40068623 transcript=Brasy2G440500.1 locus=Brasy2G440500 ID=Brasy2G440500.1.v1.1 annot-version=v1.1 MRALIPPAAPLLPQIPNPAASPSSIPRGAALSRRRRASGGRAVVAASAAAAATGGHWGANDDDHHRQQSQYRGGGRRGAGGPSVQCDVDVVSWRERRVFASVAVAADVDTVWRIITDYERLAEFVPNLVHSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLREVPDAVNGRELHFSMVDGDFKKFEGKWSVRSGPRSASAILLYEVNVIPRFNFPSIFLERIIRSDLPVNLKALAFRSEKLYLENLKRGSTKFTGANPKPLNFRSSTVENDNIFSSKFAEAPPSSCFGAVLASPSPELNSKWGVYGNVCSLDRPCVVDEIHLRRFDGLLEHEGAHRCVVTSITVKAPVREVWNVLTAYEKLPEIIPNLAISRILLRDNNKVRILQEGCKGLLYMVLHARVVMDLREKHEREISFEQVEGDFFSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYVEKAEAERGNSTMHSDAPTNPDTIANDYAEERRSVQTSVHCSSSSTKQRPKVPGLQKDIEVLKSELGSFISKYGQIGFMPKRKHLRTHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGIDPSYMPSRKSFERAGRYDIARALEKWGGIQEVSRLLSLEPRRPRRRADSDSEKQPESPRELTNKHPSKPDEPSVPPDAQKWLLKLKDLDVNWVEY* >Brasy2G098000.1.p pacid=40068624 transcript=Brasy2G098000.1 locus=Brasy2G098000 ID=Brasy2G098000.1.v1.1 annot-version=v1.1 MSPSTAGMQPERSNPEAELLKIECPNSKLTRIQESRKKSWTKVLQVAQHGGCIGVSDACHQIEKQRTAARLHHPPSPAPSKQQSTHTHTRVRPRHAQVPHKPNTDPHGKSPSSPDLNPPFLHSPPPRRPLPIPSSPTQPPPPLHLLRGRTDPSPG* >Brasy2G048600.1.p pacid=40068625 transcript=Brasy2G048600.1 locus=Brasy2G048600 ID=Brasy2G048600.1.v1.1 annot-version=v1.1 MAACSRGPVAPPFDLAARWATTAAAPWPRRPMRAIRCCCYARLEPPRSRLTQAAAAASPERAEEWRADGSKPAAARGRRRVGLTATPSLPFPSPRSRRQPKQHDFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDVGENGYRSRWARMGGQTHDGSIEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVLHQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGSVLKWTDKWAETDLGTRWGDKWEEKFFAGIGSRQGETWHASIGGDRWSRTWGEEHYGNGKVHKYGKSTTGESWDLVVDEETYYKAEPHYGWADVVGDSTQLLSIQPVERPPGVFPTIDFSSSPQRTDDPPGTPPSSSME* >Brasy2G041200.1.p pacid=40068626 transcript=Brasy2G041200.1 locus=Brasy2G041200 ID=Brasy2G041200.1.v1.1 annot-version=v1.1 MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLTENCGIGYEEQSEWYFFSFKDRKYPTGTRTNRATMAGFWKATGRDKAVHERSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRAAYPSRGMGMGMAMAERWDSNYSSPYHDAASAMAGSPAAFADPASYARSARFKAEDDGAAQLLRYTSSHLVELPQLESPSAMPALPPATKKKTKNNRKLPEEEEDRDQDEAGGGKVTADWRALDKFVASQLSPGGAAQLDQAAPAAGANSQAELERGDQDDMAALLFLNSDERDEMERWTGLLASASGAGAGVDGDLGICVFDK* >Brasy2G279700.1.p pacid=40068627 transcript=Brasy2G279700.1 locus=Brasy2G279700 ID=Brasy2G279700.1.v1.1 annot-version=v1.1 MRQLIRRLSRVGDDSSSPPPSPGRKQRRGGKAAPVPEGHVPVHVGDGSEAERFLVRAELLGRPALAELLGRAAQEYGYHHQGPLRIPCSPDAFRRALASVAAAGDCR* >Brasy2G487300.1.p pacid=40068628 transcript=Brasy2G487300.1 locus=Brasy2G487300 ID=Brasy2G487300.1.v1.1 annot-version=v1.1 MSSTAPPRETPSPVGVAVSPEVEAALACGGAVVALESTIICHGMPYPKNLQTAMEVEAIVRENGAVPATIAILDGVPHVGLNSEQLERLAMSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLVLLGLLHFLWEGQVNIAIQAGPYETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.3.p pacid=40068629 transcript=Brasy2G487300.3 locus=Brasy2G487300 ID=Brasy2G487300.3.v1.1 annot-version=v1.1 MSSTAPPRETPSPVGVAVSPEVEAALACGGAVVALESTIICHGMPYPKNLQTAMEVEAIVRENGAVPATIAILDGVPHVGLNSEQLERLAMSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLVNIAIQAGPYETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.2.p pacid=40068630 transcript=Brasy2G487300.2 locus=Brasy2G487300 ID=Brasy2G487300.2.v1.1 annot-version=v1.1 MSSTAPPRETPSPVGVAVSPEVEAALACGGAVVALESTIICHGMPYPKNLQTAMEVEAIVRENGAVPATIAILDGVPHVGLNSEQLERLAMSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.4.p pacid=40068631 transcript=Brasy2G487300.4 locus=Brasy2G487300 ID=Brasy2G487300.4.v1.1 annot-version=v1.1 MSSTAPPRETPSPVGVAVSPEVEAALACGGAVVALESTIICHGMPYPKNLQTAMEVEAIVRENGAVPATIAILDGVPHVGLNSEQLERLAMSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.5.p pacid=40068632 transcript=Brasy2G487300.5 locus=Brasy2G487300 ID=Brasy2G487300.5.v1.1 annot-version=v1.1 MSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLVLLGLLHFLWEGQVNIAIQAGPYETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.6.p pacid=40068633 transcript=Brasy2G487300.6 locus=Brasy2G487300 ID=Brasy2G487300.6.v1.1 annot-version=v1.1 MSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLVNIAIQAGPYETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G487300.7.p pacid=40068634 transcript=Brasy2G487300.7 locus=Brasy2G487300 ID=Brasy2G487300.7.v1.1 annot-version=v1.1 MSGRQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGRTPVAVVSAGVKSILDIARTLEYLETQGVTVAAYRTNEFPAFFTEISGCKVPCRVDSPEECAKIIYANKNMHLASGILIAVPIPKQHAASGNVIESAIQQALKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALSGAKIAVALSDLRKRASDGFRRSAL* >Brasy2G327800.1.p pacid=40068635 transcript=Brasy2G327800.1 locus=Brasy2G327800 ID=Brasy2G327800.1.v1.1 annot-version=v1.1 MALTNFIVTVAAVGAAVLLFTTDVRKSGAVFRRNARQIRLWLEEDTASAASKSAKEAVPPPKKPDVEIPKDKPKDH* >Brasy2G327800.2.p pacid=40068636 transcript=Brasy2G327800.2 locus=Brasy2G327800 ID=Brasy2G327800.2.v1.1 annot-version=v1.1 MALTNFIVTVAAVGAAVLLFTTDVRKSGAVFRRNARQIRLWLEEDTASAASKSAKEAVPPPKKPDVEIPKDKPKDH* >Brasy2G011000.1.p pacid=40068637 transcript=Brasy2G011000.1 locus=Brasy2G011000 ID=Brasy2G011000.1.v1.1 annot-version=v1.1 MERFCKGRVDTATGLLGTHHMDEEQGLASMVIPPPQTPMEPMEYLSRSWSVSAEEISKAILLSGSNKRTFFAATDRLMLQPPQTAAIPETETPVLVVVPAAASGHHQIQQQHLDATRNSISCHHQHANSVSRWFPRKETTRRAKCGRKEKARADKAHVHAMVSVARVSAAVAAVTAATSYDNQNSKIATAMASATELLASHCAEVAQIAGAGHGQVSSAVRSAVDVTSPGDLITLTAAAATALRGAATLNKRVQLETRSNASVLPCEKAPSWSPDIWCKEGKLLKRTRKGNLHKRRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYSDLPEWTELGQSSPETCCFGLSTAQGLIEFKCQSSTNKQNWVHGVQNLLQQVDVADQVGHRLETLKLNWCG* >Brasy2G279000.1.p pacid=40068638 transcript=Brasy2G279000.1 locus=Brasy2G279000 ID=Brasy2G279000.1.v1.1 annot-version=v1.1 MATLQLNHIARETADVRGLAAFYEEVLGFERVPSPNYSGFQVAWLRLPGSPDVALHIIERDPAVAVSSPAAVGTSPPPPAQLPRRHHLAFSVADYDGFVTGLRTRGTEMFEKTQPDGRTRQVFFFDPDGNGLEVTSSNKSDK* >Brasy2G136600.1.p pacid=40068639 transcript=Brasy2G136600.1 locus=Brasy2G136600 ID=Brasy2G136600.1.v1.1 annot-version=v1.1 MGFCEYTFQDGIFILTLDAGDGGHNYLTLQSAAELVAKLKEIRRRARTSTKGLITNSAAGGSFSDGVDYAGSTPEAAVVDELAYLVAEAALELYNMPFPTVAAVTGDVRSSLAMAVVLAHDEIAATRGAVFEVAEVRDGRAAGLLPPPYFASLLRDRAAFPRMRSAMVLCSEAMTLADMNRWEVFDPSIGDDDAGGVKAASSVIKASFGNVRDGQAYITTRKSFFPEAWKAISDFLSPQA* >Brasy2G497300.1.p pacid=40068640 transcript=Brasy2G497300.1 locus=Brasy2G497300 ID=Brasy2G497300.1.v1.1 annot-version=v1.1 MARPGWSALFGCFSSHAAAAAAAAGSTKKKSNKKKKKTKTKTKVAASSGGGSSGKKSGGRSLHSRMSFSDLSLGGMVSPEDLSISLAGSDLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDEKVKPGLRAQPVAVKLLDLEGNQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAENPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEEDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPPREQNLVDWARPYLNDPRRLDRVMDPNLAGQYAGRAAQKAAAVAYRCVSLNPKSRPHMSAVVDALEPLLALEDDGLVGPFVYVAPPDNGAGSREDGRRRRRSGAERRRSRDAAAVAVVVERE* >Brasy2G281700.1.p pacid=40068641 transcript=Brasy2G281700.1 locus=Brasy2G281700 ID=Brasy2G281700.1.v1.1 annot-version=v1.1 MPHPDIKRCPDCIIDSAGHGFLAGAIGASAYHFPKGLYNSPDGQRLAAGARAVRVNAPRIGGSLAAFSGCLEAFRCVMLSARKKDDFWSYVLPGLAAGICLPLGRGLRAVATSALTGLSCGVLSYGGTLLHRTHQRRSVLSAAAP* >Brasy2G096500.1.p pacid=40068642 transcript=Brasy2G096500.1 locus=Brasy2G096500 ID=Brasy2G096500.1.v1.1 annot-version=v1.1 MDDGNGLCFPYDVLLEILRRLPVPALARSQSVCRASDAAPAPPSSPLPPPTRPHPPFRRPVFRHDWARVEHCSNGLLLLDVKERWAGRYHVCNPATARCAPLPRPPLPSMYDVEAAYLAFDPAVSLHYHVFLLNTIRQEAWIDLVEQVFLPRLFGEEEEPGSDELELLPTTKESREKAVVPSLVFSSRTGRWENREFTPGRRAPRRLYDAAVMWPRWYKEALYWRGSLYVHCYTDVLMILRTLEGTYDMVKLPGEPCQHKSVLLASYERGIRRMLIESADGHLGWTLAHEADLNPYGHAIYPLAIQPRVTWGLVRTSDQLASLFESGYNVHNDQIGDDDNDGVEEEDGDEEEELTDKDGSEHSWNSDEDNFIDVGVHLEPPERGQYIPGSSGSIRTRMRSSSPVTTRWLDTSRMQYLGDTAGLTKDRGPEIGVRYVDGWFPYRPCYVDVLPAGKLSSSSSY* >Brasy2G161900.1.p pacid=40068643 transcript=Brasy2G161900.1 locus=Brasy2G161900 ID=Brasy2G161900.1.v1.1 annot-version=v1.1 MAETLDMTLDDIIKNNKKSNPSSGGAGRRREGRRGSAAGGSGGAGAAGGAGGVGPNRRAFKRSGNRAAPYQPPKAPESAWQHDMYSDVPAGRGGSGRVSAIETGTKLFITNLEFGVSTEDVKELFSELGDLKRCSIHYDRSGRSKGTAEVIFARRGDAVAAMKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGSYPRNAAQNAPWVASAGLQQNRPRSRGGRGRRGGGGGGGSSGSGGRRGKERSKPRSAEELDAELEKYHADAMQT* >Brasy2G283900.1.p pacid=40068644 transcript=Brasy2G283900.1 locus=Brasy2G283900 ID=Brasy2G283900.1.v1.1 annot-version=v1.1 MATLTSSTAISSPRPSSAPFCGGRSGSGWPRTQRRPSSSPSSGCGRPLDRVAGWVGGGIAAAFFASLERCSCVNVRTDDDLDDEQRDSVAPLMLDDGNGVDVGSRRGRGGSMRNGKGRRSGGCYGDFNV* >Brasy2G064600.1.p pacid=40068645 transcript=Brasy2G064600.1 locus=Brasy2G064600 ID=Brasy2G064600.1.v1.1 annot-version=v1.1 MLRRAASASEAAMVCAAGYRQWGACTESLGSESGDVGAEDIDQQQQLPAAGAGGEEEEEEGVEAQDWLGKRRHTPRRLPPAMPRPPGAFMRAERRGGRLVLTEVVRPVERPRGVLRASRADGRLRLRFAAAEDDDGGEEQEQQQEEEEGEAPDQRNDAVVGGCGGVAGFREMAAAGAGRRVEIGAVMGI* >Brasy2G226400.1.p pacid=40068646 transcript=Brasy2G226400.1 locus=Brasy2G226400 ID=Brasy2G226400.1.v1.1 annot-version=v1.1 MATDAITGLAALLLATAFVMVTADTNSDDVTALNTLYTTLHSPWQLTSWVSQNGDPCGQSWLGITCSNSRVIAIKLPGMGLGGTLGYNMNILTALTELDMSNNNLGGNDIPYNLPPNLERLNLENNNFTGTLPYSISHMAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKQLRPMNTVSPAGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G226400.2.p pacid=40068647 transcript=Brasy2G226400.2 locus=Brasy2G226400 ID=Brasy2G226400.2.v1.1 annot-version=v1.1 MATDAITGLAALLLATAFVMVTADTNSDDVTALNTLYTTLHSPWQLTSWVSQNGDPCGQSWLGITCSNSRVIAIKLPGMGLGGTLGYNMNILTALTELDMSNNNLGGNDIPYNLPPNLERLNLENNNFTGTLPYSISHMAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKPGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G226400.5.p pacid=40068648 transcript=Brasy2G226400.5 locus=Brasy2G226400 ID=Brasy2G226400.5.v1.1 annot-version=v1.1 MSNNNLGGNDIPYNLPPNLERLNLENNNFTGTLPYSISHMAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKQLRPMNTVSPAGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G226400.6.p pacid=40068649 transcript=Brasy2G226400.6 locus=Brasy2G226400 ID=Brasy2G226400.6.v1.1 annot-version=v1.1 MSNNNLGGNDIPYNLPPNLERLNLENNNFTGTLPYSISHMAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKPGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G226400.3.p pacid=40068650 transcript=Brasy2G226400.3 locus=Brasy2G226400 ID=Brasy2G226400.3.v1.1 annot-version=v1.1 MAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKQLRPMNTVSPAGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G226400.4.p pacid=40068651 transcript=Brasy2G226400.4 locus=Brasy2G226400 ID=Brasy2G226400.4.v1.1 annot-version=v1.1 MAALKYLNLGHNQVSNVNVEFNQLTNLTTLDLSYNTFSGTLPESFRSLTTLTTLYLQNNRFTGTLGVLSDLPLTDLNVANNQFSGWIPDKLKSIGNLQTSGNSFSNSPATPQATPPQRPSPTRNPTDSNNSPSNGSKNNPSIGSSGGNVGVSDGSKRKVGGGGVAGIVISLVVLGAMLAFFVIKWKSMRRQQGEDLEKNVPLTHLASGKFKPGNEGLQRTVSMNLKPPSKIGFHKPSDENDHLNKSVETNKTNLSSIRATAYTVADLQMATESFSANNLIGEGTFGRVYRGQLSNQKVLAVKKINSSTLPSNPSDFFVYLVANISKLNHPNLSELKGYCSEHGQCLLAYDFYRNGSLHDFLHLSDGYNEPLSWNSRVKIALGSARALEYLHETCLPSVIHKNFKSANILLDTELNPHLSDCGFADLIPNQELQESDENSGYRAPEVAMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSRPWSQQWLARWAAPQLHDIEALEQMVDPALEGLYLAKSLSRFADAIALCLQAEPEFRPPMSEVVQSLLRLVQRSGVRAVLKE* >Brasy2G445900.1.p pacid=40068652 transcript=Brasy2G445900.1 locus=Brasy2G445900 ID=Brasy2G445900.1.v1.1 annot-version=v1.1 MAMDDSRPFLVLGSTVSSYEPQQEQWQPVECSTKKAAHGCGPLGEHLLHGVSLLARLGADDDPELHPGLCVVVDDGAFARVAAEIPDELAEHSGRGVGLAFSVAYAAGGDAVAIDLSFVASHYLPTAFVSYFLVYSSGDKSLTLLPSKPDPLCPLLRRHGGGGGEAMAMILMAVDLEPRCRDDGGPWGDNKPVPRLWPRKAADGGGLHVDAVFSCHGMAFWADLGEGLLHCPASGLLDAGAADVELGFVELPAELRIRHYEETVMETPISVYRSMGCAGGSAVWFVHIRRPATRKAGGCVGDTRVEVWALDLLKKKEDYRWEKKKELALRSIWELEGFREKRLPETAVPMYPFLRQQDDGVLYLLLPDYQSPAGGSHLLGIHMGIGSGSSSVRLVSCRSLALQFVFCGPVVLPPDFFSTPRH* >Brasy2G314500.1.p pacid=40068653 transcript=Brasy2G314500.1 locus=Brasy2G314500 ID=Brasy2G314500.1.v1.1 annot-version=v1.1 MRLRSSSIHRLFLPGLPSLRGRQPGQRKLLLPSSRSGHRRRPREERLVPPPSRRRQVLAAPPHVGIHDAGSLSEITSANALLFRGAAAADSSPGRRLRPQAEPLLIRPPAAAGPPPHEGHAAVRAAGPPPHEAAAVLLLAAGSQHSRGLHLLRNQIDFHSTSSLSCSSAQTTSSCST* >Brasy2G182000.1.p pacid=40068654 transcript=Brasy2G182000.1 locus=Brasy2G182000 ID=Brasy2G182000.1.v1.1 annot-version=v1.1 MFRSLTAGGKYLVRAIFGYGDYDKLNRLPTFDLYFGVNYWTTVTIVSSSTAYIFEIIAVSPADFLQICLVNTGSGTPFISGLDLRTLTANLYPEANVTQSMVLLSFFRDTVGFGPNRYHFGTNYQHIRFSDDPYDRIWQRYEDIATWTDLPNKSNGEIQNPPNDTYDAPSAVMRSASTPLNASTMDLSWSSDSSMSVGVNPTYILVLYFAELDAGQDLRQFDIFVDNNQLASAFSPKFLLTTVLSEIVRGSGEHSISLVATSNSVLDPLISAMEIFMVRPVNVSATDSVDAWTMMTIQTKYSVKRNWVGDPCVPTSLAWDGLNCSYTPSSAPRITGLNMSSSGLVGEIDASFGQIVLLQRLDLSHNSLSGSIPDFLGQLPALKFLDLSSNNLSGSIPCNLLEKSQNGLLALRVDNLNRRGDDTCSPSGSKNKIKLVLEIVLPVIAAMALLLVAVLVFVFVILPGRKKIPGVDPSANLFENRRFSYKELKRITDNFNTVIGRGGFGFVYLGKLENETQVAVKMRSDTSSQGDTEFLAEAQHLARVHHKNLVSLIGYCKDKKHLSLVYEYMDGGNLQDRLRGQELLTWLQRLKIARDSACGLEYLHKSCSPPLIHRDVKTGNILLTTNLEAKLSDFGLTRALSSEAVTHITTQPAGTLGYLDPEYHATSHLSEKSDVYSFGAVLLVLITGCPAFITVSESEGITIARWVEGRLSEGDIEGVIDQRIQGDCDVNSVWKVAELALRCTRHAARDRPTMTEVVEGIGESLLLETSSRSMRCSSVGTGGSAFADGDSIGALETEVMGETSAR* >Brasy2G384500.1.p pacid=40068655 transcript=Brasy2G384500.1 locus=Brasy2G384500 ID=Brasy2G384500.1.v1.1 annot-version=v1.1 MDDDDAGGGGDASPRHAGAVVEPGAAPRDVAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVISTMDYSRPHTIEVPESDIGYHFGTLLDTEEGADVIFSVAGEKFHAHKLVLAARSSFFRSEFFDSESDEEKNEVDISNEIKEIVIDDMEPKVFKAVLHFMYRDNLVSDDELSASSSGCSIFDTLAGKLLAAADKYELQRLRVLCESYLCKQISVNSVATTLALADQHQATELKSVCLKFAAENLSAVIRTDGFDYLKDHCPALQSEILRTVAGCENECSSGGKSQSVWGQISDGGDTSGRRVRPRV* >Brasy2G363500.1.p pacid=40068656 transcript=Brasy2G363500.1 locus=Brasy2G363500 ID=Brasy2G363500.1.v1.1 annot-version=v1.1 MAFMRTSSNASSGMGVAPNIRETFLDLQMKKAFRYVIFKIEEKQKQVIVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWAPATSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPTEVELQVLRDRAH* >Brasy2G380000.1.p pacid=40068657 transcript=Brasy2G380000.1 locus=Brasy2G380000 ID=Brasy2G380000.1.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIPVGRAAAVDHNPGGGHWFAAGLSPQVVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRSDRLFHAPSLGENNLSADRTTYHSYNHANYSQQNFPGRHVLPFQRYNHMNSTYMRPIGSLQNGQSVWPNYSVAEPPPSSMDEGPWGGRGGHSFATGGLPPPFGRKVFGRIY* >Brasy2G380000.2.p pacid=40068658 transcript=Brasy2G380000.2 locus=Brasy2G380000 ID=Brasy2G380000.2.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIPVGRAAAVDHNPGGGHWFAAGLSPQVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRSDRLFHAPSLGENNLSADRTTYHSYNHANYSQQNFPGRHVLPFQRYNHMNSTYMRPIGSLQNGQSVWPNYSVAEPPPSSMDEGPWGGRGGHSFATGGLPPPFGRKVFGRIY* >Brasy2G380000.6.p pacid=40068659 transcript=Brasy2G380000.6 locus=Brasy2G380000 ID=Brasy2G380000.6.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRSDRLFHAPSLGENNLSADRTTYHSYNHANYSQQNFPGRHVLPFQRYNHMNSTYMRPIGSLQNGQSVWPNYSVAEPPPSSMDEGPWGGRGGHSFATGGLPPPFGRKVFGRIY* >Brasy2G380000.3.p pacid=40068660 transcript=Brasy2G380000.3 locus=Brasy2G380000 ID=Brasy2G380000.3.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIPVGRAAAVDHNPGGGHWFAAGLSPQVVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRRKGRSLFCNRRTATAVWEKGFRKDILRQDSGGMPSN* >Brasy2G380000.4.p pacid=40068661 transcript=Brasy2G380000.4 locus=Brasy2G380000 ID=Brasy2G380000.4.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIPVGRAAAVDHNPGGGHWFAAGLSPQVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRRKGRSLFCNRRTATAVWEKGFRKDILRQDSGGMPSN* >Brasy2G380000.5.p pacid=40068662 transcript=Brasy2G380000.5 locus=Brasy2G380000 ID=Brasy2G380000.5.v1.1 annot-version=v1.1 MEESGREKDAAGPPWAPSNSTAFRPLPATVGDPAEAPPARGNGVAVGSSNLRAVRKRPLVARLTRDIIQTFENCNPEFSYSDSLNPKRFLTSPAVPVHNNGLDNANSDLILYVNMELVNEKSYRRYIVEEILGQGTFGQVAKCRDAETDNYVAVKVIKNQPAFYQQSLTEVSLLSTLNRTFDPHDEHHIVRMLDFFASKNHLCISFEMLGQNLYELLRQNSLRGLQMKLVRSFSKQILDALIVMKGAGIIHCDLKPENILVTQNAKTDTEVKVIDFGSACMEGKPIYSYIQSRYYRSPEVILGYPYTTAIDMWSFGCIVAEMYIGIPLFPGASEYDVLRRMIEIVGVQPPDDLLREAKNTKKFFKHIGSIYPGNEGHDSLGSPYRILTEEEVEARESQKPKVGRWYFPRRRLDRLISGYPWKNLEEGNLPETEKADCLALVDFLRGLIEFDPNKRWSPLQASYHPFITGEVFTGPYDPIQETPIIVGCINRSLPFNNALSPNMPFSYGSSCGSFGSHGSFNDNVGPASSYGSYDVNNVNMYHSPLGPGFNLQSQAGGSFVGFSPDIRRRSHLSHSGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPTSGTAKYVATSPARGSHGSSLGKSAAVGQFNRRRNLGHPPVSMPPHEYTSQLIQGHQGDGVSSAFSRGHSGYSHGALPNSGHYNWRPQIGIPTGLSANPSSNHGYFQPSGYNDFPPLHKSNVSADTLASTSSIPDPADWDPNYSDESLLQEENSLTAELRGLHLRDTSGQTIQSSRLPNIQSHDTASSNPLSMNQRRKGRSLFCNRRTATAVWEKGFRKDILRQDSGGMPSN* >Brasy2G388500.1.p pacid=40068663 transcript=Brasy2G388500.1 locus=Brasy2G388500 ID=Brasy2G388500.1.v1.1 annot-version=v1.1 MSLIAGEEFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELTPEELDRLMHVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR* >Brasy2G084900.1.p pacid=40068664 transcript=Brasy2G084900.1 locus=Brasy2G084900 ID=Brasy2G084900.1.v1.1 annot-version=v1.1 MARFAVVAAIVALLAVTAAAQAPGAAPIPAPKMAPLPAPPARSPTIAPAPVATPPTAAAPSSPLASPPAPPTDAPTGAPSAVTPSAVSATPAGAPSDTPASSAVYASSVSFVAVAGAVAAAIVF* >Brasy2G154400.1.p pacid=40068665 transcript=Brasy2G154400.1 locus=Brasy2G154400 ID=Brasy2G154400.1.v1.1 annot-version=v1.1 MSLIRRGDVFDPFSLDLWDPFPFGSGSGSIFPRTGSETASFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDGNVLQISGERSREHEEKSDTWHRVERSSGKFLRRFRLPDNAKTEQIKAAMENGVLTVTVPKEEAKKTDVKPVQITG* >Brasy2G425200.1.p pacid=40068666 transcript=Brasy2G425200.1 locus=Brasy2G425200 ID=Brasy2G425200.1.v1.1 annot-version=v1.1 MIRTPKEKNVVKPTSAAHTYGTARNSGRPLQSGVGAVVGVLGKRLGRRKRRARRRASLPSLGKRHADALLGVGDILRDPFDLDARAAAARQAQATGTGAFAQPITPPCLSRYREAMGGRGAVRSRGCGEHRRRRIRNGTPSRHRGGCRVEKPSRASGGGETGRPRWWRRNGAADTSGGGGRRRRRTSLATAEEKNRPGGGAWGCVEDEIGSRKWGTGWDWWVGLVRGMGDGVGLVGWISAWYIYGL* >Brasy2G304800.1.p pacid=40068667 transcript=Brasy2G304800.1 locus=Brasy2G304800 ID=Brasy2G304800.1.v1.1 annot-version=v1.1 MEVVVRAVPGVRSCFAALPLPVIQALERTAPSGSLPAVLALELRTPDGACWRLAWAGAVSASPDAVEVSQQFARCLLLADNTKAALSAVPVLPKAKFVSVEPICEDDWEVLELNSELAEEAILKQVGIVYDGMKFPLWLHNHNIVEFLVVSSSPSNSIDDVNSRKCTHKQDVLKEQRHKKALLRVQEAGGKYAHQFEYNGIQLGVFVTYLVKIHPDTATNLSLSNLQLVSITPKLSPKESTENGKGSDQQIKGSVPVGKSTRRIVVHIILSDSVAKEHIMLPQSIRCYIGTGAHSWVYVQRFSPIINKNIPSVTISPVHFKMLDRNADADDTCAFDSQEQDIYQSGEMPSADCPPLDKISMLCEFNDITATANREESSKLKQARSFFKSWIVGQLKEISSQITHFGLNTVLLPSETLLHFQVIDHTRGSPVDLVYLLNITSDFGVKHKKIELAMASEYGTDNFEHALQQLELNKAISLDSTMERTRTFFDRRDFSLSWLEVEFSDITKRLSVLLSLKSLKLLSRINCPCPGNVLIYGPPGSGRTTLCKAVARHFEEHKKLLAHVVYVSCSKLAIENKNQLRAIADYIYEAIVHSPSIVVFDDLDSLISFSQDIQKSQSSHSSAIMKYLVDVLDDYRDKSHGMCGYGPVAFVASVKSLKCLPQELTSSGRFDLHVQLPGFSVPARIEILKQTVDKLHIRCSEEIVSDIASKCNGYDAYDLEILVDKAVLCASDRVLGSSSVNLMDEDFLEAMMNFSPVAMRDISKFSPESSSGWEDVGGLSEVVNVIKETIELPLKYPKFFAGAPVRDTFAKAAAAAPCLLFFDEFESLVPQRGKHGTQVTDRVVNQFLTELDGVEALSGVFVFAATNKPREIDAALLRPGRFDRLVFCDFPQWDEHLGILSVLSKELPLAGDADLEPLASMTEGGADLKAILTDAGLQAAKEAMQWCESGDASSNMPQEPPVITRETLMSVASEARPSTPEEDRTSLREMFSHFSTSRKSCISTQRKDPNGQIQRVAVTGS* >Brasy2G255700.1.p pacid=40068668 transcript=Brasy2G255700.1 locus=Brasy2G255700 ID=Brasy2G255700.1.v1.1 annot-version=v1.1 MRRYGRCSSSRMMMRLLLALVLAVAASAATELRATYIVHMAKSAMPAEYTEHGEWYGASLRSVSAAKMIYTYDTLLHGFSARLTEREAGSMAAMDGVLAVNPETRYQLHTTRTPEFLGLAGNEGLFPQSGTAGDVVVGVLDTGVWPESKSYDDAGLGEVPSSWKGECTGFNSSSCNRKLIGARFFNRGYEAAMGPMDTSRESRSPRDDDGHGTHTSSTAAGAPVAGANLFGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMEAAVADGCGVLSLSLGGGSADYSRDSVAIGAFAAMERDVLVSCSAGNAGPGSATLSNVAPWITTVGAGTLDRDFPAYVVLGNGKNYTGASLYAGKPLPSIPIPIVYAANASNSTSGNLCMPGTLLPEKVSGKIVVCDRGISARVQKGFVVRDAGGAGMVLANTAANGQELVADAHLLPAAGVGEKEGSAIKSYVASDPKPTATIVVAGTQVDVHPSPLVAAFSSRGPNTVTPEILKPDVIAPGVNILAAWTGKAGPTGLAADTRRVEFNIISGTSMSCPHVSGLATLLRGARPEWSPAAVRSALMTTAYSTYSGHGAPILDAATGAAATPFDYGAGHVDPTRAVEPGLVYDLGARDYVDFLCALKYTPAMIAALARGKSYACAGNKTYSVSSLNYPSFSVVYSTANSDAGDSAPTTVTHTRTVTNVGAAGTYKMDTPVSIPGVTVEVKPTELAFTAVGEKKSYTVSFTAAKSQPSGTAAFGRLVWSDGKHTVASPIAVTWT* >Brasy2G495700.1.p pacid=40068669 transcript=Brasy2G495700.1 locus=Brasy2G495700 ID=Brasy2G495700.1.v1.1 annot-version=v1.1 MLHKKAPTAVRSGGVPSPRRVTGAARSDSNRGILPKKATGGVSVSVPTTHPGKVPMDKGSTGEMPPMKTMASSGGRAEEKMKLEPGVPSRIGPRAPGIKGVGTSTALPAAGGHKPTKSKRADMALNSGAMLLKPSTKVKSVGSGGQTVHKCRAKESGSHASMDNHNARTTAAKDCEEPVANYRTMKGKARTASSAKMSQKLCSKDKADTGLSGGMLLQKQSSKVAADMGLKALDVQEQEARLLIQELDELHMGESISFKEFNSYIGQLAPNPPWIDRNVKLEDDELLHQQDCLRGLYRFRYYKYKLSQQVSKKELHGDKLKEDYPEEDGSTWKEEFLQDSCEEDCSLEFLEKYGFFERFEKDGTLDWFFHPDYIYCASLDDYQRLVLLNYGGYEYARWRDYHHYLHTYKIEREYLKYYEKLSKDLKWIENYRHTEQSSHKWGIICSRGAYQAIKIAATDFTEISATFAYIGYHELDGLYFEIWQRLTQPLDTKDKAPQEWIAEAVKKQFETPKTYEQYIRKKIGIACTIGIPGIEAIE* >Brasy2G404200.1.p pacid=40068670 transcript=Brasy2G404200.1 locus=Brasy2G404200 ID=Brasy2G404200.1.v1.1 annot-version=v1.1 MAGGTGAHQFLTAALSQRGPSALPYAEDAKWLIRNHLVALADAFPSLRLRAAQAQFDHGRLLLQAIGTIPILHAGASYNLPAVVWLPERYPRCPPLVFLSPTRGMVVKPHHPLVDHRRSSGSGLAAVDAPCLRSWVFPSSNLLDLVRSLAHLFGLDPPLLAAAEEDEDPAAAEIYRSDAAAMACADADALRAASEAEVDALFAVQAELRRRGRAVADGALREAGEEVEKFERRLQDLTVAAYAMEDWVAGNARTVAAHDDGGDAAGRFRPADVVSRQKLECAAMDLAIEDTIYALDKAVQEGAVPLDGYLRSVRVLAREQFFQRALCSKLCC* >Brasy2G366300.1.p pacid=40068671 transcript=Brasy2G366300.1 locus=Brasy2G366300 ID=Brasy2G366300.1.v1.1 annot-version=v1.1 MLARAAELDTVGFGVFVKNVTRRDGFDEALQLVETVHHRGDWINKSVVAAMVVDGLCREGRIEDAWRALEDLRLRGWKPDFIAYRIVSEKFREAGRVEEEEKILKQKRKLGVAPRKVDYREYLLALLSDRQISEAKEMGEAIVLGDFPIDDDVLNVLICSVSEIDADAAVMFCKFMIEKDRLPSTEMLVQLCENLCKNRKGDEMWEIFRVLLDRGYCTSEREYHLVVSFLGKAGKVREAYSVLKEIKGKRLDPGISSYNSLMVALCRNDLLRPAKKLWDEMFTSGCSPNLQTYNVLITKFAEIGESQEVQQLFDHMLQKGVAPDGATYTSVITVMCQEKKYKQAEEIFNKSVVQDPELASSVLTVFILALCKQGSFKTALSVMSGVPSNIESSNSHVILLKCLTDVEEIEMAFEHIKWIMGHCSSTFHNIMNELMASLSTSASLQPVTKLVHYLHSQGLADEVGPWMKLIEDVYT* >Brasy2G366300.2.p pacid=40068672 transcript=Brasy2G366300.2 locus=Brasy2G366300 ID=Brasy2G366300.2.v1.1 annot-version=v1.1 MLARAAELDTVGFGVFVKNVTRRDGFDEALQLVETVHHRGDWINKSVVAAMVVDGLCREGRIEDAWRALEDLRLRGWKPDFIAYRIVSEKFREAGRVEEEEKILKQKRKLGVAPRKVDYREYLLALLSDRQISEAKEMGEAIVLGDFPIDDDVLNVLICSVSEIDADAAVMFCKFMIEKDRLPSTEMLVQLCENLCKNRKGDEMWEIFRVLLDRGYCTSEREYHLVVSFLGKAGKVREAYSVLKEIKGKRLDPGISSYNSLMVALCRNDLLRPAKKLWDEMFTSGCSPNLQTYNVLITKFAEIGESQEVQQLFDHMLQKGVAPDGATYTSVITVMCQEKKYKQAEEIFNKSVVQDPELASSVLTVFILALCKQGSFKTALSVMSGVPSNIESSNSHVILLKCLTDVEEIEMAFEHIKWIMGHCSSTFHNIMNELMASLSTSASLQPVTKLVHYLHSQGLADEVGPWMKLIEDVYT* >Brasy2G298500.1.p pacid=40068673 transcript=Brasy2G298500.1 locus=Brasy2G298500 ID=Brasy2G298500.1.v1.1 annot-version=v1.1 MPKHYRPAGKKKEGNAAKYITRTKAVSYLQISLAVFRKLCILKGVFPREPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAIAKKNRDLADRLLNRPPTYKLDRLIIERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTNALRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPILDPRLEALAAELYALSRYMSSGRLPGNPESNGLIKDKETENNEEGSKTVESELRLAQLQHQLPANEPGALMHLVEESTADDTEDSTVKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDQDITHQIVDRPTQSHVFLSRQYVQPQWIFDCVNNCIVLPTEYYVVGRVPPPHLSPFVDNDEVGYTPEFEEKILKRLRAASKDKVLPLPGIGDEDQDNSMVDARSEYNEVAEKKRKLDMLEKQYHDELKMEIVGEIFSNLANKKADNPTHVVDDDAHAFNDQEDDPVEQAKKDEADMSMAFVSNRIKDLAEAIEINKERKKSNVELLKERKRKASSSASAKRK* >Brasy2G425100.1.p pacid=40068674 transcript=Brasy2G425100.1 locus=Brasy2G425100 ID=Brasy2G425100.1.v1.1 annot-version=v1.1 MEKEVEDHRVQLADTNNKLLEVQKLLEIHKEYGVGGSQNSQEESEEQVDYTASQEKEMEDYDLEDIEGVEEVENTNDKEVVPNPRRCGRLKGKEHKKVEALAIERAQARNSMGQDKGGKSKPAPVGDKAN* >Brasy2G469200.1.p pacid=40068675 transcript=Brasy2G469200.1 locus=Brasy2G469200 ID=Brasy2G469200.1.v1.1 annot-version=v1.1 MAAPAESGGIEDYRSLGDARVDLDLEAARGSGEGGRARGFAVCFWLYLSGPARPSSVLLHQMTTGADNKLPFLVLSEQNKLLLFPLLRLHREAPSLSSFYPWTDTTNLSAVNECPLEKWIHIGCEVTENVMRLHIDGSLVVEACLCSLSREPDNQDDAHQICLVGSNNMVEGYLYNIQVLPVLGTIHEQYPKNPPSKLSIDSSCYDGIEVGDDGVWCIVGGKPSCRRNVILEVVLTNAFGEPVNKDIQIVASLVYADTGAVVEKSRDDAEAPLLISCEGLEYPATSKPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHVQKYPFLEASSRPIRCISRGRPIRPLGPGKRTNSSTMHEIHLVNNAQGFGRVGKVIDSSQYFDQSSVVHLHPSKFLKVEGDGTETQKTQTRKMVVEAQSVTRTDPAASDSDSMDARSSCSGSDRDEVETFSDAIIFRYCLEGTSQRSTFLRGAAASINVDDLITLANQVSLYSGCSHHSNQIIISKQLLEEGADTWSIISKNNERTLWSSAVPEIMAKFMVIAHSTNRGLSEQDLEVLRVIAGCGDDLGRDEFDRLWNWLYPVAVSLSKDKINNLWCCTEPVWIEGLITTEEAENALRSSSELLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVGFDNSIHHRLLSLDASDSRAGSLQDLLLQEPELLQLGRIDRLPTAMRN* >Brasy2G469200.2.p pacid=40068676 transcript=Brasy2G469200.2 locus=Brasy2G469200 ID=Brasy2G469200.2.v1.1 annot-version=v1.1 MAAPAESGGIEDYRSLGDARVDLDLEAARGSGEGGRARGFAVCFWLYLSGPARPSSVLLHQMTTGADNKLPFLVLSEQNKLLLFPLLRLHREAPSLSSFYPWTDTTNLSAVNECPLEKWIHIGCEVTENVMRLHIDGSLVVEACLCSLSREPDNQDDAHQICLVGSNNMVEGYLYNIQVLPVLGTIHEQYPKNPPSKLSIDSSCYDGIEVGDDGVWCIVGGKPSCRRNVILEVVLTNAFGEPVNKDIQIVASLVYADTGAVVEKSRDDAEAPLLISCEGLEYPATSKPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHVQKYPFLEASSRPIRCISRGRPIRPLGPGKRTNSSTMHEIHLVNNAQGFGRVGKVIDSSQYFDQSSVVHLHPSKFLKVEGDGTETQKTTRKMVVEAQSVTRTDPAASDSDSMDARSSCSGSDRDEVETFSDAIIFRYCLEGTSQRSTFLRGAAASINVDDLITLANQVSLYSGCSHHSNQIIISKQLLEEGADTWSIISKNNERTLWSSAVPEIMAKFMVIAHSTNRGLSEQDLEVLRVIAGCGDDLGRDEFDRLWNWLYPVAVSLSKDKINNLWCCTEPVWIEGLITTEEAENALRSSSELLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVGFDNSIHHRLLSLDASDSRAGSLQDLLLQEPELLQLGRIDRLPTAMRN* >Brasy2G469200.4.p pacid=40068677 transcript=Brasy2G469200.4 locus=Brasy2G469200 ID=Brasy2G469200.4.v1.1 annot-version=v1.1 MAAPAESGGIEDYRSLGDARVDLDLEAARGSGEGGRARGFAVCFWLYLSGPARPSSVLLHQMTTGADNKLPFLVLSEQNKLLLFPLLRLHREAPSLSSFYPWTDTTNLSAVNECPLEKWIHIGCEVTENVMRLHIDGSLVVEACLCSLSREPDNQDDAHQICLVGSNNMVEGYLYNIQVLPVLGTIHEQYPKNPPSKLSIDSSCYDGIEVGDDGVWCIVGGKIVASLVYADTGAVVEKSRDDAEAPLLISCEGLEYPATSKPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHVQKYPFLEASSRPIRCISRGRPIRPLGPGKRTNSSTMHEIHLVNNAQGFGRVGKVIDSSQYFDQSSVVHLHPSKFLKVEGDGTETQKTTRKMVVEAQSVTRTDPAASDSDSMDARSSCSGSDRDEVETFSDAIIFRYCLEGTSQRSTFLRGAAASINVDDLITLANQVSLYSGCSHHSNQIIISKQLLEEGADTWSIISKNNERTLWSSAVPEIMAKFMVIAHSTNRGLSEQDLEVLRVIAGCGDDLGRDEFDRLWNWLYPVAVSLSKDKINNLWCCTEPVWIEGLITTEEAENALRSSSELLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVGFDNSIHHRLLSLDASDSRAGSLQDLLLQEPELLQLGRIDRLPTAMRN* >Brasy2G469200.3.p pacid=40068678 transcript=Brasy2G469200.3 locus=Brasy2G469200 ID=Brasy2G469200.3.v1.1 annot-version=v1.1 MNALLKNGSTLDVSLVVEACLCSLSREPDNQDDAHQICLVGSNNMVEGYLYNIQVLPVLGTIHEQYPKNPPSKLSIDSSCYDGIEVGDDGVWCIVGGKPSCRRNVILEVVLTNAFGEPVNKDIQIVASLVYADTGAVVEKSRDDAEAPLLISCEGLEYPATSKPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHVQKYPFLEASSRPIRCISRGRPIRPLGPGKRTNSSTMHEIHLVNNAQGFGRVGKVIDSSQYFDQSSVVHLHPSKFLKVEGDGTETQKTTRKMVVEAQSVTRTDPAASDSDSMDARSSCSGSDRDEVETFSDAIIFRYCLEGTSQRSTFLRGAAASINVDDLITLANQVSLYSGCSHHSNQIIISKQLLEEGADTWSIISKNNERTLWSSAVPEIMAKFMVIAHSTNRGLSEQDLEVLRVIAGCGDDLGRDEFDRLWNWLYPVAVSLSKDKINNLWCCTEPVWIEGLITTEEAENALRSSSELLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVGFDNSIHHRLLSLDASDSRAGSLQDLLLQEPELLQLGRIDRLPTAMRN* >Brasy2G019600.1.p pacid=40068679 transcript=Brasy2G019600.1 locus=Brasy2G019600 ID=Brasy2G019600.1.v1.1 annot-version=v1.1 MEDLKALVPDAEKIMAERGFTLESYRQLGNLDDGILRADRELLAEIRQECETKGYAEMEVTDDDEEEEMRAAPLGKGRRRFRPGVAKKRGGGGGVSKFN* >Brasy2G038300.1.p pacid=40068680 transcript=Brasy2G038300.1 locus=Brasy2G038300 ID=Brasy2G038300.1.v1.1 annot-version=v1.1 MRTCSLLLPLAVLVGIAMVSPVTTHAEQPWEPIKSIDKAFILELGTFALAEHVKQAHDGLCCENVARAEVRNRKYYHLFLTARNVVFISWMCNKRAVMSSPTILSLRQDSAICIIKQLNEVIKQFQSSVLNSFVGINLNFSC* >Brasy2G000300.1.p pacid=40068681 transcript=Brasy2G000300.1 locus=Brasy2G000300 ID=Brasy2G000300.1.v1.1 annot-version=v1.1 MDTVLLLFFLVFSLPHETFSADDYPCNRECGDTKVPYPFGFSFGCPIQLSCEAATSTPLLFPLPGGNATTAAATRCSVVSFNSSASTVVVSLLPYCKLTVSEAKAALSGLNYGVSSSTGLFLRGCRASPNATACSVPASIMDTAANCGGVDVVCIVSAAAPNATLGFLRWEQAEKAGCDDMLASAVSNDLYKAELGWWLDGPCAGGAQRCAPNAMCSDVRTPNGTTGHRCACETGMDGDGFFAGDGCYHKTKSLHFIRVLVFTIFIITLLSPCIAICFNKRKKLRNARKMMKEIHDARNRTTLYLTELGDDELEQGTAGPRRFSYHELAATTNQFNDDMVLGRGGFGSVYRGFHGGMNREVAVKRVSETSQQGWKEFVSEVRIISRLRHRNLVQLIGWCHGGKELLLVYELMHNGSLDTHLYATDSLLAWPVRYQIALGVGAALLYLHEDTEQRVVHRDIKPSNIMLDASFTAKLGDFGLARLINDSRRSHTTGAAGTMGYMDPECMLAGRTSIESDVYSFGVVLLEITCGRRPAVVSEDEEVVHLVQWVWDFYSGGDTLNAADTRLSSDDIDGREMERVMVVGLWCAHPDRGLRPSIRQAMNMLRFEAPLPSLPARIPVATSRPPINSLGSGTHVMSSVSGR* >Brasy2G209400.1.p pacid=40068682 transcript=Brasy2G209400.1 locus=Brasy2G209400 ID=Brasy2G209400.1.v1.1 annot-version=v1.1 MTTTGDPAAQAAAQAQQQQAAQLQAQQQIEAQTKAATTMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQTTQCTLPQENELLCTASVSV* >Brasy2G349500.1.p pacid=40068683 transcript=Brasy2G349500.1 locus=Brasy2G349500 ID=Brasy2G349500.1.v1.1 annot-version=v1.1 MKLHTTTTTMSLLLFLLLASSFLQVSMAGSAFCDSKCSFRCSKAGRHDDCLKYCGICCAECNCVPSGTSGNKDECPCYRDKTTGKGSRKRSKCP* >Brasy2G163400.1.p pacid=40068684 transcript=Brasy2G163400.1 locus=Brasy2G163400 ID=Brasy2G163400.1.v1.1 annot-version=v1.1 MTDQINSYFSAKSESANHLETRITKAKDVYASGVRCMNELAKTLRQQSITDSEQMKLNIASHAIAVDNFLAVMVSEAEQVLTEVLRSTSDLKEVLAFSAEQHEVGLQRALSSAQAMSKTSIDFFNDIGAHVFRLIKLMEQSQRGSSSQLVEFEKDFKEFAIREEQAALDKITEFSEGILAGLTARKTTMVSEYVGQLNEKYSEEQKHFTLEMSNLQQISDNGKKEAASYAGKIERQFQEDTSVHAKTKDQLGDILDQCLKRSDRSVSYWSQTQSCLEYLNKSSVVEADDFIEDRRNGNESIIKEMLLLSSQNDAGFHAITADILTASENSQLLDHETRKRMETVSASLSNHLGLLNEKHTQGTESIRIIASNCIEKDYMTNSPVRHPPRELLTSDYSLESIEQLRASVPDLVLKFKSENKLDELEKGKGYSDQRMRAPRSPLMPVNHYH* >Brasy2G088500.1.p pacid=40068685 transcript=Brasy2G088500.1 locus=Brasy2G088500 ID=Brasy2G088500.1.v1.1 annot-version=v1.1 MLGWHHGELVAEDCSGHSGKGFCTLVKTAGAASVRIFWALWNTRNNACFRNDRLDTPFGIIKLLCFWINLWSILQIKEASRSPLQWGTRLIERVAQEVFDAAKGWNNITRKIEG* >Brasy2G011200.1.p pacid=40068686 transcript=Brasy2G011200.1 locus=Brasy2G011200 ID=Brasy2G011200.1.v1.1 annot-version=v1.1 MELEAPSPTRYLLGAAIMMAGVVLPLAYMIFRSKRSPSSSSSSASSSSIASAAPSSSFSKQTNKGLF* >Brasy2G249100.1.p pacid=40068687 transcript=Brasy2G249100.1 locus=Brasy2G249100 ID=Brasy2G249100.1.v1.1 annot-version=v1.1 MAASALHQTTSFLGTAPRRDDLVRSVGDFGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFIEGYRVGGGPLGEGLDKIYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPVENLFDHVADPVNNNAWAFATNFAPGS* >Brasy2G214600.1.p pacid=40068688 transcript=Brasy2G214600.1 locus=Brasy2G214600 ID=Brasy2G214600.1.v1.1 annot-version=v1.1 MVPGPTPYVGTSHVDLEVEDVASSKSEVFECHAGVPIGGKVAKKRAMMYSPNPTPSKRRTDRNSRLRRILDLVEKEISNSSVTSTSQVSIDPVRKEMQEMVKMVVDDGAKPGSDEHFYATHLFMEKKYRDVFITLKTPNVRLAWLKTTWEERERH* >Brasy2G015900.1.p pacid=40068689 transcript=Brasy2G015900.1 locus=Brasy2G015900 ID=Brasy2G015900.1.v1.1 annot-version=v1.1 MPSVPPARMLSSSSSKVLRGWLLGGGDKAVHNVDSSLPGEVLPPQLEVMPISRYMSDPGLLLGRQIAVNRTYIVYVLKPGKIRVINVNTALRSLLHGHTQRVTDMAFFNEDVHRLASASVDGWIYVWRIVEEPDEENRPQITGNIEIAMQIVDDAKSYHPRICWHSHKQEYLFVGIRNCVLKIDTNKLGRGRDSFLRVGQPFKFRLGKMIDGVRLVGKHDGDVTDLSISQWMTTRLASGSKDGMVKIWDGRSSVPISILKPHDGQAVYSVDFLAAPDRPHHINLITSGP* >Brasy2G072300.1.p pacid=40068690 transcript=Brasy2G072300.1 locus=Brasy2G072300 ID=Brasy2G072300.1.v1.1 annot-version=v1.1 MAPAPPKKLVYSFVARGTVVLADHSEVSGNFASVAAQCLQKLPPSNNRHSINCDGHTFNYHIHDGFTYCVVATEAAGRQLPIGFIERVKEDFTKKYSGGKAKTASANGLKREYGPKLKEHLRYCDQHPEEIDKLAKVKAQVSEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFKKQGTKIRQKMWWENMKIKLIVFGIIVALILLIVLTVCNDIRCW* >Brasy2G424400.1.p pacid=40068691 transcript=Brasy2G424400.1 locus=Brasy2G424400 ID=Brasy2G424400.1.v1.1 annot-version=v1.1 MATQQLASIFLFFFLLAAAEGTPSAIISKTCARASNFSTYGGYDYCVAVLTADPAAASANSTQTLAIVATKLALDNVTSTLLVLEDLASSIAHCADNYGEMNHTVTTAVEDIRAGHAEAAAGKFSRAAAEPVVCDSALSKGSAKKNPMRKENHDAGSLSYMAYGITMEALHAKLLATTEAPSATITKACAGLSNFTTHADYDFCVGALAADPAAGAAKDGRTLAVVAANLTAANVSSTLLVLDDLLHSLSGCLSTYEDMSKTLPTAAYYIGTGHADAASQLLLNAYTDPDSCDILFFEGSAKKNPMMKENDDAKHLTYLAYAIAAS* >Brasy2G477400.1.p pacid=40068692 transcript=Brasy2G477400.1 locus=Brasy2G477400 ID=Brasy2G477400.1.v1.1 annot-version=v1.1 MASGGCCTFLEILLAIFLPPLGVFLHYGCCSMEFCICLLLTILGYIPGIIYAIYVLVALDSEERHREYYTLA* >Brasy2G289200.1.p pacid=40068693 transcript=Brasy2G289200.1 locus=Brasy2G289200 ID=Brasy2G289200.1.v1.1 annot-version=v1.1 MGMGPLENAAPAAAAEGAAPVTAKAAAGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEEGERWQVYKAVRGELPAEAEAAGFDGFVISGSCSDAHSDEPWILALVDLIRRQHAAGKRVLGVCFGHQVLCRALGGKTGRSCKGWDIGVSCMHPTTAAARLFAPLKLPVHMPIIEFHQDEVWELPPGAEVLARSDKTSVEMFRLGDHAMGVQGHPEYSKDILMSIADRLLQRDLILDCQVDVAKASFDVRQPDKELWKKVCRGFLKARLQSQQQQKQHKLL* >Brasy2G260900.1.p pacid=40068694 transcript=Brasy2G260900.1 locus=Brasy2G260900 ID=Brasy2G260900.1.v1.1 annot-version=v1.1 MGSVMNMEFRRRKECHEVSDAWSSSMALFLVRLAESEKETGFCDTGCSCKMGFLLLYPRLHLFWTHLF* >Brasy2G358400.1.p pacid=40068695 transcript=Brasy2G358400.1 locus=Brasy2G358400 ID=Brasy2G358400.1.v1.1 annot-version=v1.1 MPSIRAPASRQTATLQVAVKCRPLTDSERRRSRHIIQVIDDKNVAVLDPDLCKGYLDLIQNRTKERRYSFDHVYAPGCSNTDVYKNISSTILGVVQGLNVTVFAYGSTGSGKTYTMVGSHNDPGLMVLSFRTIFDQIKKDDSSDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIIVAGLRRIKVHSADKILELLNIGNSRRKTDSTEANSTSSRSHAVLEITVRRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMIATVSPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQRMIENLQVEVSQLKKELADKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFEIEETNKRNRMELQHLDGAIARPQVNEKDYTVFQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRRRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQRESLRSLWNILYGTGLNQKQILKLAAKQGLTIEGCPLPSQSPDVPTTPSFPPHGRLPPFMSFPSPQSQSSPSSYFFQQGFSTMSVLKNQHETPTICRQEHLSSYYLTSDCSPHASDGKQWASGRSTPYFSTPGKPREMYGFSPGMNSEHSPCSGEHPISYSANKDFSLQRKDSCSRER* >Brasy2G119100.1.p pacid=40068696 transcript=Brasy2G119100.1 locus=Brasy2G119100 ID=Brasy2G119100.1.v1.1 annot-version=v1.1 MTGQLNQKSNVYSFVEACNLGRASHRFPVASCCNLLPHLRKDKRKQCIDPKLGNNDYPPKAVAKVMMQVGQCLQCSRRFCSLWSCILLSLSPDSYAVLENSLTPEGQIQRHQLETHTIYFQAAAC* >Brasy2G071600.1.p pacid=40068697 transcript=Brasy2G071600.1 locus=Brasy2G071600 ID=Brasy2G071600.1.v1.1 annot-version=v1.1 MGRNKRNPPPNRAPPPPPPAGAPVRLPVVTVEEGGDIAAAMRAYCEKALACLQRGNEPKALRLMKEALACHVEGSPLLLRAHATVHACAADVLSDPAAGARHHRAALQATHRAVNLAPDSVELAHFHATLLFETASSVHDYEKSAAECKRGLNIEAPSDPASHSLLLPARSIEQIKSQLHALMEKAHVASVSKWLKSIGEDDKLAQLRLADKTIEPDLVPAGTTEDIKTLHKYFTEKVDLVQEGYKDPEERRMEIEVQVTAMRLLEQHQQQHNVVGATSSSPPYSPEDEGPSTSSRFHV* >Brasy2G035200.1.p pacid=40068698 transcript=Brasy2G035200.1 locus=Brasy2G035200 ID=Brasy2G035200.1.v1.1 annot-version=v1.1 MDYARIHDPLPRQSGGFSPAKLRAMLLGLEKQQHDGEDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAQDEDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTANRTGRVANATVIAPKKSAMALPDHGACLPAVAKVVAEAPRNTGTLLKSSVGSTQPDLFKPAESAPIIDEPEHVVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRSAEFGVGKMDSSKMDMSEEELQFNTRKEILDLGERLGKTTIAAWASKEERATANFTNVTADKAVEIDRETRAADWQEAEKAKYLARFQREEVKIQAWENHQQEKIDAEMKRIEAKMERKRAREHDRLARKMAAARHRAEARREAAEARMSQEAARTEEHAAQIRKTGHIPSSFSCWCWCL* >Brasy2G035200.2.p pacid=40068699 transcript=Brasy2G035200.2 locus=Brasy2G035200 ID=Brasy2G035200.2.v1.1 annot-version=v1.1 MDYARIHDPLPRQSGGFSPAKLRAMLLGLEKQQHDGEDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAQDEDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTANRTGRVANATVIAPKKSAMALPDHGACLPAVAKVVAEAPRNTGTLLKSSVGSTQPDLFKPAESAPIIDEPEHVVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRSAEFGVGKMDSSKMDMSEEELQFNTRKEILDLGERLGKTTIAAWASKEERATANFTNVTADKAVEIDRETRAADWQEAEKAKYLARQRWKGSELASTIGLPGKWQRPGTGRRRGGRPQKRG* >Brasy2G000800.1.p pacid=40068700 transcript=Brasy2G000800.1 locus=Brasy2G000800 ID=Brasy2G000800.1.v1.1 annot-version=v1.1 MNIICAELQKNAHREVVRLGAQLASETVLFSRQDGWRLIYRSNVKAPSEHGHRFQLCTNSNSINAKTKTPKAHAKKSSCRYRYVSIHPIL* >Brasy2G343400.1.p pacid=40068701 transcript=Brasy2G343400.1 locus=Brasy2G343400 ID=Brasy2G343400.1.v1.1 annot-version=v1.1 MGDRMTTVTSPSNLEYIRDVADIDLQEYKGVENIAFYQVPTNSGSGLSMESERSLRIHICTDRNGVNFLLEFLHHLVESKESYRNVTNLLFHCIEWHPEEIRLLCSYLGSGSNVKQVEFQKNLFNTKSAVTLVPFSEMLQRNNIMKAVVFNDCRVGATGVTLLASSLANNRCVEEFQVWEDSIGFKGAEELSKMIEVNYMLKLLIILDNSCIAAAPLISAVLARNRRVEAHIWGRNRGARGSTNSCKIVEFLPETGNMRIYNNINSTGLQRVACALAWNTTVTTLDMTGVPLNSMWTKELRGVLDRNKSLKAIKLSRCCLRDKAVVYIAAGLFKNKYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQTQANSTLKVLCFGGERTNVGRYGVAAILQMLETNQTLIQLAICDDASLRSNDVIKIFTSLERNATLRILSLRGCKGVEGEAVLQTIMNTLQVNPWIEEIDLHATPLHVAGKTDQIYEKLGQNGSLPLPNDLLDLPQSAPTCCRVFLCGQESAGKSTLRSSMNQCLNTMKLAHVDVIRTPKTPSEQVTFTDENKMSSIFDGSTKLTMCNLGGHEGSFALHDFMSVVHSDPSFFMIVSSLVGKLTTKYPKGIDEIEWELIYWLRFLISNYRRKVSHSFQPCVTIVFTHYDKVSHLPEGLQPYATVVQRLRKDFHSHAEIYPTVFAVDSRSLVSVSKLTHHLRKTTKTIIQQVPQVYEVCNDLIKILDDWRSKNNKTVIRWAEFCEICQLNLPALRLRSRRDNVEKVDKRRRAVAKSLHTLGEIVFFEELGLLVMNCEWFCRDVLCQLATLKSIKIENSGGFVHKEDVVKILQEKLRNQISRSNWRTGASLQASDIINILLELELCYEQDPGNPHTLLLLPGILEENKEGTEKWQLTVPECRFVGRHLECEDVHMLLTSDFFPRLQVRLRNKIMCLGEQGAVYNLEKNLIYTVVSGVHVKVELGMEFGSFIDVLACSGKNVTDVVRLLHKLVIPTILNLSPNMTFKESILRPDCVKYLIPQRFRATQQLPLKKIKQVLLSLPAESIYDYQHTWSAVESSKRLLLRAGSDHARDLLSDDDFHEVLHRRYYDLQYLATELAVTPDNLQQPETIHEADVVDPSILGIARGVEMVLQRLKIIEQGIRDLKEEIASLRYYEYHLVTELHRKMDYVMNYSVQLEERKVPQMFYLVSLNNRSKKLVTRILPGMQSLRVHMLCEFRREMHVVEDQVGCDLIQVDNQAVQCLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGAATSAASLGALGAAAMYGRARNNRTSDMGEDMKAARQWLVDFLRGQGVLTGMDIAQRFGLWRVRHRDDGHIAWVCRKHMAARGDEVFELPL* >Brasy2G277700.1.p pacid=40068702 transcript=Brasy2G277700.1 locus=Brasy2G277700 ID=Brasy2G277700.1.v1.1 annot-version=v1.1 LVRPAHRKRGSFVPLLPAATEPYGAAASLPRPPPPIHAPALPHRRRRRRLRSEILPPGPAGLLQQPHHLRLPRDGRGRRRRPPFRRRRPGVRAALQQDVHHVGAAGLGGAVQRRVPLGVGRLEVGAAADEVAHRVGAPFERGAHQRRPPVRVPPFHGRAPVGGAAHGAGLPLAGRGQDGLAQAQVVHDPPVVSFLGGRLVGRLPLGVAHGRRPRRPVRQDEARHGLVPAPRRRVERRAAVRAAPRVGARPRREERLHRVPAPGLRRQAEGCLPVSARRVDGRERAGEGSGGGGGGSGGLLSEEQLEDVAVSRGGGLVERVGAGGRGAVGEEELRDEALPGRRGVLERGPAPALLVSVGAVLEEHLDDVGAAEAHGEVEQRAPGLVDGGVRGAPRGVAPVEHLPERLHGARGHQARRHRGAHEAGPDAAVDEHLLLPRREPLRVHRVQRRHGLPHGRAWRHHAVQQERVLPTAAAGALRRRRGHVEHLHGHRGEGRKDPRVRPNREAAPHRRLEPERHRHGVHRVPQRQLPPRRPPGLHPHHHLVRRLRPNTATSSLAQRCPWLSAWWKKKPSKGVRALKGSYVAAMAWPARRGVVPHGGCKQQGGLVLYDGRGKRDCFRLF* >Brasy2G277700.2.p pacid=40068703 transcript=Brasy2G277700.2 locus=Brasy2G277700 ID=Brasy2G277700.2.v1.1 annot-version=v1.1 LVRPAHRKRGSFVPLLPAATEPYGAAASLPRPPPPIHAPALPHRRRRRRLRSEILPPGPAGLLQQPHHLRLPRDGRGRRRRPPFRRRRPGVRAALQQDVHHVGAAGLGGAVQRRVPLGVGRLEVGAAADEVAHRVGAPFERGAHQRRPPVRVPPFHGRAPVGGAAHGAGLPLAGRGQDGLAQAQVVHDPPVVSFLGGRLVGRLPLGVAHGRRPRRPVRQDEARHGLVPAPRRRVERRAAVRAAPRVGARPRREERLHRVPAPGLRRQAEGCLPVSARRVDGRERAGEGSGGGGGGSGGLLSEEQLEDVAVSRGGGLVERVGAGGRGAVGEEELRDEALPGRRGVLERGPAPALLVSVGAVLEEHLDDVGAAEAHGEVEQRAPGLVDGGVRGAPRGVAPVEHLPERLHGARGHQARRHRGAHEAGPDAAVDEHLLLPRREPLRVHRVQRRHGLPHGRAWRHHAVQQERVLPTAAAGALRRRRGHVEHLHGHRGEGRKDPRVRPNREAAPHRRLEPERHRHGVHRVPQRQLPPRRPPGLHPHHHLVRRLSDELGIYSGRRSSCLSLHTISNFTNLLQGKYNIRLSL* >Brasy2G400200.1.p pacid=40068704 transcript=Brasy2G400200.1 locus=Brasy2G400200 ID=Brasy2G400200.1.v1.1 annot-version=v1.1 MGWLHSLFSPVRKLLVRAHSARRNRRGMRILYKDVKSCQDEDVHVLWSILVDSHRHPAMVKLKL* >Brasy2G237700.1.p pacid=40068705 transcript=Brasy2G237700.1 locus=Brasy2G237700 ID=Brasy2G237700.1.v1.1 annot-version=v1.1 MEVEPPASALLLKCGIRSGGGGELLPPKAAADAAGIPHQPREPDAAGSAATTTTTTTPASLRRGLAAARARRRAGLSTPSPSWKLEPSPPRPEESPADAAGRRNSSAASARQLGATLWEIQDVIRVAGAGRRIRRRARRGAAASPRRDDGADRPQNSGGCVGNLSTPMMEHDELHEDRCHSRQTLSPASYTSSIGAATINLVSPTRSLNFRDRSRETGCNLKTSTELLKVLNRIWSLEEQHVVDVSAVKGLKLELQNTQAHVQELMQERQQYHYKTNSLVKQVTEDKMARKNKEQEKLRASLYSLQGELEAERHLRKHSESIHRKLGKELSAMKTAFLKAVKDLEKQQKATRLLEDLCDEFALGIRNYEEEVRVLKQRHAKQYEQKFDKLVVHISEAWLDERMQMQNAEARGDSEGKTSITERLSSEIQSFLHGRRSSNSYGVDIHIANEKGNASLCRQSLESVHLNGATSAPRLPEDDDGNSVSSDLHCFELNMHGGAVRNHDLPGTQRTVTSSMYSPMRRLDFSNGVSVEGSSISSARPCSKKDKVKSSSSRTQRNASTPEISSQNGDKIYPIDEQNETVMTQVSRRLHDDLLKIKIEAPQHAFLDRKSHDHHHRTSQFREGATSGDLHNLHSPARQLKNQRVCLNYQISECATTGDLCNLRSPAHQLKNQHTLLDYQLSECANSGGLHNLHSPSQELKNQHASLDHEISESSPTQSLGTKDNTLKAKLLQARLEGQHARIRASGYPLISTRRK* >Brasy2G237700.2.p pacid=40068706 transcript=Brasy2G237700.2 locus=Brasy2G237700 ID=Brasy2G237700.2.v1.1 annot-version=v1.1 MRSEICTLRLCLTFQPQNSGGCVGNLSTPMMEHDELHEDRCHSRQTLSPASYTSSIGAATINLVSPTRSLNFRDRSRETGCNLKTSTELLKVLNRIWSLEEQHVVDVSAVKGLKLELQNTQAHVQELMQERQQYHYKTNSLVKQVTEDKMARKNKEQEKLRASLYSLQGELEAERHLRKHSESIHRKLGKELSAMKTAFLKAVKDLEKQQKATRLLEDLCDEFALGIRNYEEEVRVLKQRHAKQYEQKFDKLVVHISEAWLDERMQMQNAEARGDSEGKTSITERLSSEIQSFLHGRRSSNSYGVDIHIANEKGNASLCRQSLESVHLNGATSAPRLPEDDDGNSVSSDLHCFELNMHGGAVRNHDLPGTQRTVTSSMYSPMRRLDFSNGVSVEGSSISSARPCSKKDKVKSSSSRTQRNASTPEISSQNGDKIYPIDEQNETVMTQVSRRLHDDLLKIKIEAPQHAFLDRKSHDHHHRTSQFREGATSGDLHNLHSPARQLKNQRVCLNYQISECATTGDLCNLRSPAHQLKNQHTLLDYQLSECANSGGLHNLHSPSQELKNQHASLDHEISESSPTQSLGTKDNTLKAKLLQARLEGQHARIRASGYPLISTRRK* >Brasy2G422500.1.p pacid=40068707 transcript=Brasy2G422500.1 locus=Brasy2G422500 ID=Brasy2G422500.1.v1.1 annot-version=v1.1 MFSKYDDFSLQPPPLRLKPGGCDANGPSDEILLENFAYILAERNHTTAASKTRDGKDVQVTFFLTPPPRVSYFSCVCTERANKFASEPKIIAVEGNLALIVLSLGSCCGRGRTEHDYYVYRGPVLRDGGTPPDPDIFLHGRPYYFHDDEVGLLRYLDKNRDDYEFMVAAVCARRTEPEQYDLYTYDSITQDWARESTALPEEPDRERLSGHRCERVITVGGEAGTMGWVDFGRGIILCDLLRKETGPKHLRYIKLPDLIERDNRLRCTSQWYRDIACVQGRIKFIELQMDIWRSRDGGPYVRTGWTAVQWSRTAEDDGSDWCLDKELSASEIIVPADVQEMLPRLLPDNGGKAGTVLERLHVKYPRLSLLPGGDDVVCFMAKLDDDSWVISLDMKKNTLLGVAPFATQRGFRTFRKTTISKYLTTIGGSTKHNMRRPGGIMIDKWKEQVKAWMIWT* >Brasy2G363600.1.p pacid=40068708 transcript=Brasy2G363600.1 locus=Brasy2G363600 ID=Brasy2G363600.1.v1.1 annot-version=v1.1 MAPSSADIADDGFSAKHLFSQGVSYTYDDVILHPGFINFPADVVDLSTRFSRRVSLSIPCVASPMDTVSESAMAAAMASLGGVAVVHCNSEPHIQASVVRAAKSRRLPFVSSVPFFSPASAPSPNDFAGYDYAVVTERGDSLSKLVGVAVAADATSSGAPVPVSEYMRPAPRSASASFDFEQAAAFLADEGLDYAPLVSEEGEVIDLITSKDVERIRSYPKLGKPTLGADGKFVVAASIGTREDDKQRLEQLVQAGVNAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVYAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYANNQNVPVIADGGISYSGHIVKALALGASTVMMGSFLAGSHEAPGAYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDFGASSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKSF* >Brasy2G400800.1.p pacid=40068709 transcript=Brasy2G400800.1 locus=Brasy2G400800 ID=Brasy2G400800.1.v1.1 annot-version=v1.1 MAMATQASAATRHLIAAAWSPSSSSTKPRSLAFPSTSRGPAPLHAAAPETPAAPVAEPAPAGFVPPQLDPSTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGNRLRSKYKIAYQFYRVFPSGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDV* >Brasy2G205500.1.p pacid=40068710 transcript=Brasy2G205500.1 locus=Brasy2G205500 ID=Brasy2G205500.1.v1.1 annot-version=v1.1 MMSRERKKAAALQEKLQILRSITHSHALNNTSIIMDASKYIKELKQKVVMLNQEIACAQDSRSKQSAYPTVTVETLGHGSFLVNVFSDKSCPGLLVSILEAFDDLGLGVLEATAACADTFRLEAIGGENLVENVDEHVVKQAVLRAIRACSSQSQSAGAEHDDEQI* >Brasy2G458800.1.p pacid=40068711 transcript=Brasy2G458800.1 locus=Brasy2G458800 ID=Brasy2G458800.1.v1.1 annot-version=v1.1 MFNAIGENGLSFDGGIPLGVLQLEGVASITRGALLLTNSDPQKSGQAFSKRPFDSITSFSTTFVFLIIPPDGNGGVSAHGLAFALSSTMDFVSDAHPGPYLGLTNIKSNGNGSNQVFAVELDTIKNPQFADIDDNHVGIDVNSMVSVNSNTAGYYTSNAGKFSPLRLASGEPMQVWVDYNGISHNINVSLAPYLEREPRRPLLSSSVNLTSMLANNSFYAGFSSSTGLLISRHYIIGWSFNTTGKARSLNYTALSQVIEDVKRKARNRSAIPRAILVPVVTLAALIVLVIPAVIYVLRKKAREDGEWEIEAGPPSFTYKELATATRGFSDTMLLGEGGFGKVYRGVLQNASKQNVAIKRVSPESKQGMKEFIAEIMILGHLRHRNLVQLLGYSRHKNELLLVYDCMPNGSLDRVLYGQDGQAGLDWVYRFNIIKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDTEMNARIGDFGLARLHNHGTDAQPTHLAGTRGYIAPELARLGRATKATDVFAFGVLMLEVACGRHPIEVNDSGEPVLLPDWVLHAWESGAVLTAVDPRLEDYILEEVDLVLKLGLLCSHSVASARPSMRLVLQYLEKDAPLRDLQPSFFNLTSRDEGFDQYILSCLSIGPTMSGLSGGR* >Brasy2G038500.1.p pacid=40068712 transcript=Brasy2G038500.1 locus=Brasy2G038500 ID=Brasy2G038500.1.v1.1 annot-version=v1.1 MLQGGDHQLLGGEGGGTMEMGSFGGGGGECSSSSAAAAAAAVTAAAEAEERQLLKGEMAVHPLCEQLVAAHVGCLRVATPIDHLPIIDAQLAQSGGLLHSYAAHHRPFLSPHDKQDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEEEPQTIMEAAAAMDMSSNGHDMMGFGPLVPTDSERSLMERVRQELKIELKQGFKSRIGDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR* >Brasy2G046000.1.p pacid=40068713 transcript=Brasy2G046000.1 locus=Brasy2G046000 ID=Brasy2G046000.1.v1.1 annot-version=v1.1 MDPYKYRPSSSFNGPMWSTNSGAPVWNNDNSLTVGSRGPILLEDYHLVEKIADFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATKDLYDSIAAGNYPEWKFYIQTIDPDHEGRFDFDPLDVTKTWPEDIIPLQPVGRLVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGTMNFMHRDEEVDYFPSRFDPAKHAPRYPIPSSTCNGRREKMVIEKENNFKQPGERYRSMDPARQERFINRWIDALSDPRLTHEIKSIWLSYWSQADRSLGQKLASRLSAKPSM* >Brasy2G310500.1.p pacid=40068714 transcript=Brasy2G310500.1 locus=Brasy2G310500 ID=Brasy2G310500.1.v1.1 annot-version=v1.1 MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKAIEGKETRYAVTDEDIRRELKIEPFVILKSAPKMDVIVQRAVVDETCPKCGHPQLEYHTKQLRSADEGQTVFYECPECRHNFSVNT* >Brasy2G219700.1.p pacid=40068715 transcript=Brasy2G219700.1 locus=Brasy2G219700 ID=Brasy2G219700.1.v1.1 annot-version=v1.1 MYKAPSFPPTQVHLFTMASPLSLALIPLLVLAVSWLWDYIIVRLIWRPYTIAKKLREQGIHGPPYKFFKGCNEEVKRMKEEADGLVLDVHDHNYIERIAPHYLKWRTQYGEPFLYWFGSKPRVCIFNYELARQILSTKSGHFVKNDAHPTVLTLIGSMGLVLVEGADWVRHRRVISPAFAMDKLKMMTKTMVSCAQNMVQEFEEQASKNKHGEIRMEFDKPAQELTADIISHTAFGTSYKLGIEAFQAMKELQENFMASALDVQIPGFKYLPTERNRQKWMLEKKLRNTLMHVIESRLASKGSVWK* >Brasy2G481200.1.p pacid=40068716 transcript=Brasy2G481200.1 locus=Brasy2G481200 ID=Brasy2G481200.1.v1.1 annot-version=v1.1 MQGAFNCSSRSQLMHMNKLQDLTPAPSMTIPMTHSSRPTLGFPLGTALLLIVIFSLSGVFSCCYHWDRLRSLWSRHPAMLQEGQHTVVSIGAAPSKAASDRKNEKSGKECGLPVIMPGDNIPKFFARPCPHETCLPAAAEKDEVEVQVKCSVS* >Brasy2G158200.1.p pacid=40068717 transcript=Brasy2G158200.1 locus=Brasy2G158200 ID=Brasy2G158200.1.v1.1 annot-version=v1.1 MGAKCLALLVFIGTSLLLPHLLLAGTTRYYTFNVTMKKVTRLCNTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKHNVTIHWHGVRQLRTGWSDGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHVSWMRATLYGPIVILPKLGVPYPFPKPYKDVPIIFGEWFNVDPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSSRDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTIVDVDAAYVKPFDTDVVLVTPGQTTNVLLHAKPDEGCPPATHLMLARPYATSRPGTYDNTTVAAILEYSPAGHIKSRPLFRPTLPAFNDTLFAANYSAKLRSLASPEYPANVPRRIDRPFFFAVGLGTTPCPTHQGCNGPTNDTKFSASMNNVSFNMPTTALLKAHYDGNTAGVYTADFPSMPAQPFNYTGAPPNNTNVSNGTKVVVLPYNASVQVVLQDTSIQGAESHPLHLHGFDFFVVGQGVGNYNASMHPATFNLLDPVQRNTVGVPAGGWVAIRFYADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPDQKLMPPPSDLPKC* >Brasy2G043000.1.p pacid=40068718 transcript=Brasy2G043000.1 locus=Brasy2G043000 ID=Brasy2G043000.1.v1.1 annot-version=v1.1 MESRAKLKPSWLQAEAADIQTSPVAPTAHVEGKEARLRRRLFPCLFCDKTFLKSEALGGHQNAHREESHRLAAASDPYGAAEGRSSDPIIASHGADADAVAGQDGELLAPRRGSTLPDGGPAVVRRQRASSVVVGDGDELDLELRL* >Brasy2G482800.1.p pacid=40068719 transcript=Brasy2G482800.1 locus=Brasy2G482800 ID=Brasy2G482800.1.v1.1 annot-version=v1.1 MGVRRFVNLIMKNRERGIYSLRRFDLSRNDFFYATPEELASHGRPLPLQRSNQRYRYREKPANSKRKKKNQLAASEVVPIRLPPPLFNMRPAPSPASLLGQCKVDVSAVTESKIVFSDGHCRVLSYDAGAGCALTMPSLHGPKFDPLAVTILAGVTIPHGRDGGEGRIYIIERHLLPEAEKSFQFEAVVSDRLHAGRYYPFGTWECQALPLPPVSSEDKRAFVCSAAAVGDAICVSLSGTGTYCFDMASHAWSHAGDWMMPFFGVAEHVPELGLWFGISSRDVHLPCAADLSPVARGQPPEPGLFWGHDGHLPEEWYYSLGSPSQIVSLGSGRFCILRFLETRIPSLDPDSVHVGKSYAVFTGLEVLPGKGKHGIRMVSHKYRRCRNRPDQTNTIRCLL* >Brasy2G453900.1.p pacid=40068720 transcript=Brasy2G453900.1 locus=Brasy2G453900 ID=Brasy2G453900.1.v1.1 annot-version=v1.1 MSNLELLGLLQRRVTQGSFPASTNGGITIHEADVYSAAPADLVGGTGDGSTRYYFSPAEYHPKSGKRKREVGGGAAGGDKCCWHSERKSTILRHGKQAGGYARHLSYVTKTPRSDTKRKSSGWCMIEIALEQQQHRDGADQIVLCKVYKSNQADQGTASISAGAAADHQAVSAPVGQRPAISLQPDGPGISEEAQDGKHDSAALDLPGGDSDSDISRELAAPPDGGKAATVVMKFGGSSIASPEKMKEVAELVLSLDAAGERPVVVLSAMGEITNNLILASEKAAISCDIQQARLIYELSVVRELLLRTIKELGLDTSAVSGSLDELEKLLDSVAMTRRLTPTTRHRLVSLGERMSTEIFSAYLNKHGKRARQVQFDPFDVGSEDFTDADILQATYPAFSKKLQGDWIDDPNIPIVTSFVAKVSKSGAITTLGSDLTATIIGKALGSREIQMWKDLDGVLTDFPNAILAPCLTSDEATELAYAGAQVLHPQSMRLATGGGITVIIKNSCNPKAPGTMITQTRDISKSTLSSIVLKSNVLMLDITSKRMPARTDFQAQVLSIFKDSSVSADCEANWEGKILLTLHPSKLYSPKWMRKELDNVVKELEKIAHVYRPEPKSIVSLIGNVQKSSFILGKVSKALEGIGVNVQFARRVPKNQVLSVALEFSDDCEARRCVQVLHSEFLEDGFLPKADGAENEWPPPVNNSSARRGRSQSDDGFPESAPGVRQARTDAVPIAPELEEMERLLMSDNDDFMATDAWVPTDCFFGDILGDAAVPPLPDGVDPVPMDDGDPTEFFAGVVDDKLTPVQVDDGRVSARMVTPDLGDDEGGSLSAGVLPDADDELAPVEFDDGGYIPAGAWPVDGEAQQQGLDLDAEAGGGLDLDALLAGLREWTSTSCLAPPPPFDS* >Brasy2G292600.1.p pacid=40068721 transcript=Brasy2G292600.1 locus=Brasy2G292600 ID=Brasy2G292600.1.v1.1 annot-version=v1.1 MLSLINSATSVPQSRSPRKAERKSQTPTTMSPLALLIVAVAVAAAPLASAADGASANGAANDLLPKYGLPKGLIPDSVASYSFDETTGDFEIHLAGTCYVWFGDHLVYYEKTIRGCLSSGKITSLSGIQAKKLFLWVSVSGIVAHPKDGTLEFQVGFVSEELSASLFDKVPVCGASAGAQLRGVAGVIRELGLLPVAED* >Brasy2G004200.1.p pacid=40068722 transcript=Brasy2G004200.1 locus=Brasy2G004200 ID=Brasy2G004200.1.v1.1 annot-version=v1.1 MAGPTNQGGGRIVIDWAEVFKEPNPDDVSFAAPPTPPAAAKGKKGMRKRDAALDDFTTPWAAAEGKKGMRKSEAVAFDDSPAPWAAAKGKRMRTRQEALGVELSDDGLRWEIARMTDEELQEQMAAIPRPPFSTLLGESRHRNRRLLPLLEAEMHNRRIDTPMRSSKVGADCNRGSARSQASIVYDFDTDDELAEDVARKYNKPSPIRSTNENYGPLGVNTRRSVKQTGRRAPIPPDSMYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSSDDPEAVELSGSDIKCLNPGVYLSSPVINFYILYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEVPGQNNAYDCGIFMLYYIKQFIRQAPERFTRDNLGMFSRSWFRSEDASDLRKRIRELLLEHFESEMVDDAISEAATSDGSDEGGVIKEGQSEVVTTCDSSEMLVGGGDASTSDEGIVEVGSLEEAPSIRECVLSEAVMFSDATKDDVDTVNLDSVSPKAKPWNEIFPSGGSKNNEVFVHRAPSPDIYSDSDIEITGVRNRRHDRPTCCSG* >Brasy2G004200.4.p pacid=40068723 transcript=Brasy2G004200.4 locus=Brasy2G004200 ID=Brasy2G004200.4.v1.1 annot-version=v1.1 MAGPTNQGGGRIVIDWAEVFKEPNPDDVSFAAPPTPPAAAKGKKGMRKRDAALDDFTTPWAAAEGKKGMRKSEAVAFDDSPAPWAAAKGKRMRTRQEALGVELSDDGLRWEIARMTDEELQEQMAAIPRPPFSTLLGESRHRNRRLLPLLEAEMHNRRIDTPMRSSKVGADCNRGSARSQASIVYDFDTDDELAEDVARKYNKPSPIRSTNENYGPLGVNTRRSVKQTGRRAPIPPDSMYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSSDDPEAVELSGSDIKCLNPGVYLSSPVINFYILYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEVPGQNNAYDCGIFMLYYIKQFIRQAPERFTRDNLGMFSRSWFRSEDASDLRKRIRELLLEHFESEMVDDAISEAATSDGSDEGGVIKEGQSEVVTTCDSSEMLVGGGDASTSDEGIVEVGSLEEAPSIRECVLSEAVMFSDATKDDVDTVNLDSVSPKAKPWNEIFPSGGSKNNEVFVHRAPSPDIYSDSDIEITGVRNRRHDRPTCCSG* >Brasy2G004200.3.p pacid=40068724 transcript=Brasy2G004200.3 locus=Brasy2G004200 ID=Brasy2G004200.3.v1.1 annot-version=v1.1 MAGPTNQGGGRIVIDWAEVFKEPNPDDVSFAAPPTPPAAAKGKKGMRKRDAALDDFTTPWAAAEGKKGMRKSEAVAFDDSPAPWAAAKGKRMRTRQEALGVELSDDGLRWEIARMTDEELQEQMAAIPRPPFSTLLGESRHRNRRLLPLLEAEMHNRRIDTPMRSSKVGADCNRGSARSQASIVYDFDTDDELAEDVARKYNKPSPIRSTNENYGPLGVNTRRSVKQTGRRAPIPPDSMYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSRYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEVPGQNNAYDCGIFMLYYIKQFIRQAPERFTRDNLGMFSRSWFRSEDASDLRKRIRELLLEHFESEMVDDAISEAATSDGSDEGGVIKEGQSEVVTTCDSSEMLVGGGDASTSDEGIVEVGSLEEAPSIRECVLSEAVMFSDATKDDVDTVNLDSVSPKAKPWNEIFPSGGSKNNEVFVHRAPSPDIYSDSDIEITGVRNRRHDRPTCCSG* >Brasy2G004200.5.p pacid=40068725 transcript=Brasy2G004200.5 locus=Brasy2G004200 ID=Brasy2G004200.5.v1.1 annot-version=v1.1 MAGPTNQGGGRIVIDWAEVFKEPNPDDVSFAAPPTPPAAAKGKKGMRKRDAALDDFTTPWAAAEGKKGMRKSEAVAFDDSPAPWAAAKGKRMRTRQEALGVELSDDGLRWEIARMTDEELQEQMAAIPRPPFSTLLGESRHRNRRLLPLLEAEMHNRRIDTPMRSSKVGADCNRGSARSQASIVYDFDTDDELAEDVARKYNKPSPIRSTNENYGPLGVNTRRSVKQTGRRAPIPPDSMYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSSDDPEAVELSGSDIKCLNPGVYLSSPVINFYILYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEFSRSWFRSEDASDLRKRIRELLLEHFESEMVDDAISEAATSDGSDEGGVIKEGQSEVVTTCDSSEMLVGGGDASTSDEGIVEVGSLEEAPSIRECVLSEAVMFSDATKDDVDTVNLDSVSPKAKPWNEIFPSGGSKNNEVFVHRAPSPDIYSDSDIEITGVRNRRHDRPTCCSG* >Brasy2G004200.2.p pacid=40068726 transcript=Brasy2G004200.2 locus=Brasy2G004200 ID=Brasy2G004200.2.v1.1 annot-version=v1.1 MAGPTNQGGGRIVIDWAEVFKEPNPDDVSFAAPPTPPAAAKGKKGMRKRDAALDDFTTPWAAAEGKKGMRKSEAVAFDDSPAPWAAAKGKRMRTRQEALGVELSDDGLRWEIARMTDEELQEQMAAIPRPPFSTLLGESRHRNRRLLPLLEAEMHNRRIDTPMRSSKVGADCNRGSARSQASIVYDFDTDDELAEDVARKYNKPSPIRSTNENYGPLGVNTRRSVKQTGRRAPIPPDSMYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSSDDPEAVELSGSDIKCLNPGVYLSSPVINFYILYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEVPGQNNAYDCGIFMLYYIKQFIRQAPERFTRDNLGMVSASSLCVFSSV* >Brasy2G004200.6.p pacid=40068727 transcript=Brasy2G004200.6 locus=Brasy2G004200 ID=Brasy2G004200.6.v1.1 annot-version=v1.1 MYSSKSSSTTSYGNKQRVRAIDPEEHDNEKCPPSENNYTSNWTKRRKTHHQYTSPLHFQEVHDVVLLDDEDVQPEEPVDCGVPDKWIEKKIYYPSSDDPEAVELSGSDIKCLNPGVYLSSPVINFYILYIKRERFQIEDGRGRFHMFNTYFYSKLQEALSGKGEFSKLRRWWKGVNIFQRGYIILPIHGTSHWSLVIICIPAKESNSGPIILHLDSLGMHPSAEIFETVGRYLEAEWSHLRKNPPSDISISETIWEDLPRNIHKEKVEVPGQNNAYDCGIFMLYYIKQFIRQAPERFTRDNLGMFSRSWFRSEDASDLRKRIRELLLEHFESEMVDDAISEAATSDGSDEGGVIKEGQSEVVTTCDSSEMLVGGGDASTSDEGIVEVGSLEEAPSIRECVLSEAVMFSDATKDDVDTVNLDSVSPKAKPWNEIFPSGGSKNNEVFVHRAPSPDIYSDSDIEITGVRNRRHDRPTCCSG* >Brasy2G074700.1.p pacid=40068728 transcript=Brasy2G074700.1 locus=Brasy2G074700 ID=Brasy2G074700.1.v1.1 annot-version=v1.1 MLRKSGAQRRQPPLWRRCRSLRQIKQVHALMVLRGFLSDPSALRELLFASAVAVRGAIAHAYLVFDQIPRPDHFMYNTLIRGAAHTAAPRDAVSLYARMARRESGGGVRPDKITFPFVLRACTAMGAGRTGAQVHAHVVKAGCESDAFVKNALIGMHASCGELGVASALFDGRAREDAVAWSAMITGCARRGDIVAARKLFDECPVKDHVSWNVMITAYAKRGEMAPARELFNRIPERDVVSWNAMISGYVRCGSHACALELFEQMQRMGQKPDVVTMLSLLSACADSGDIDVGRRLHSSLSEMFLRTGFTVVLGNALIDMYAKCGSMESALEVFWVMRDKDVSTWNSIIGGLALHGHVLESIDVFKKMLKEKVRPDEITFVAVLIACSHGGMVDRGREYFNLMQQQYRIEPNVKHYGCMVDMLGRAGLLKEAFEFIDTMKVEPNSVIWRTLLGACRVHGEIELAEHANRQLLKARNDESGDYVLLSNIYASAGEWSESEKMRKLMDDSGVNKEAGRTVVDGSAKDLMQSFG* >Brasy2G376700.1.p pacid=40068729 transcript=Brasy2G376700.1 locus=Brasy2G376700 ID=Brasy2G376700.1.v1.1 annot-version=v1.1 MITVAAPEVQQQQPHAAAAESVSSVPEETIFRSKLPDIDIPSHLPLHEYCFARAASLPDAPCLIAAATGRTYTFAETHLLCRKAAAALHGLGVGHGDRVMVLLHNSVEFALAFFGASFLGAVTTAANPLCTPQEIHKQLAASGARLVVTQSAYVDKLRHECFPRISAKSTTGDGDDETLAVITIDDAPDDECLSFWGIVASADESRVPEAAISADDAVALPYSSGTTGLPKGVVLTHGGLVASVAQQVDGENPNLHMREGTDVVLCVLPLFHIFSLNSVLLCALRAGAAVLLMPRFEMGAMLEGIERWRVTVAAVVPPLVLALAKNPAVERHDLSSVRIVLSGAAPLGKDLEDALRRRVPQAVFGQGYGMTEAGPVLSMCPAFAREPTPAKSGSCGTVVRNAQLKVVDPDTGFPLARNLPGEICIRGPQIMKGYLNDPEATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVSQKDDAAGEVPVAFVVRAADSDVTEEAIKEFVSKQVVFYKRLHKVYFTHAIPKSASGKILRKELRAKLASPATA* >Brasy2G269000.1.p pacid=40068730 transcript=Brasy2G269000.1 locus=Brasy2G269000 ID=Brasy2G269000.1.v1.1 annot-version=v1.1 MCGWVSADLYGSLLSSEVRTCPVSSRESLSSVSSLSSPSCISSSTSSSRCYPDLAISFLSSPSCTSLWPLDAGPCSSRRRPPASSSLGSLPVQVRFSTPWTGPGLAAPPPTPRRTADRRRCHKAPSRCWPRSRPPPPLCCRPRRPWTQARLPGFPIARIWYFLIL* >Brasy2G177600.1.p pacid=40068731 transcript=Brasy2G177600.1 locus=Brasy2G177600 ID=Brasy2G177600.1.v1.1 annot-version=v1.1 MSKYCLLYEHLLRSGVRGTEHQEMRPSRQNPMPPQAPALPLLLLLLLAVAPPPAAAVGVNWGFAASHPLPAAQVVHGLLLPNSVTRVRLSAASPDALSALAGTGVAVTVGVPNELLRPLAASRKAAAAWVHDNVTRYASAVLFEYIAVGDDPFLLNHGQQFQPFVVHAAVNIQRALDDAKLSKKMKVVVPCSSDAYQNTSTLPSKAYFRPDVNKTMVELLQFLANHSSPFMVELNPILSFQQKKNISLEYYTFQLMSHPIIDGHNKYENYFDASIDALITALTKAGFTNMGIIVGRAGWPTDGAANATPAIAQSFMTGLVNHLSRKSGTPLRPKFVPTETYLYSLSDEDQYSIASGTYERHYGIFTFDGQAKYYVDLGQGAKALKNAPDVDYLPSKWCVVDNNKDMSNISSSFSAACSNADCTVLSPGGSCAGVGWPGNVSYAFNNYYQQHDQSEESCTFNGLGLITTVDPSVDNCLFALAIRSSAAASFHPSLTTLWILVLWFCIYRLA* >Brasy2G177600.2.p pacid=40068732 transcript=Brasy2G177600.2 locus=Brasy2G177600 ID=Brasy2G177600.2.v1.1 annot-version=v1.1 MSKYCLLYEHLLRSGVRGTEHQEMRPSRQNPMPPQAPALPLLLLLLLAVAPPPAAAVGVNWGFAASHPLPAAQVVHGLLLPNSVTRVRLSAASPDALSALAGTGVAVTVGVPNELLRPLAASRKAAAAWVHDNVTRYASAVLFEYIAVGDDPFLLNHGQQFQPFVVHAAVNIQRALDDAKLSKKMKVVVPCSSDAYQNTSTLPSKAYFRPDVNKTMVELLQFLANHSSPFMVELNPILSFQQKKNISLEYYTFQLMSHPIIDGHNKYENYFDASIDALITALTKAGFTNMGIIVGRAGWPTDGAANATPAIAQSFMTGLVNHLSRKSGTPLRPKFVPTETYLYSLSDEDQYSIASGTYERHYGIFTFDGQAKYYVDLGQGAKALKNAPDVDYLPSKWCWLAWECVICLQQLLSAA* >Brasy2G177600.3.p pacid=40068733 transcript=Brasy2G177600.3 locus=Brasy2G177600 ID=Brasy2G177600.3.v1.1 annot-version=v1.1 MSKYCLLYEHLLRSGVRGTEHQEMRPSRQNPMPPQAPALPLLLLLLLAVAPPPAAAVGVNWGFAASHPLPAAQVVHGLLLPNSVTRVRLSAASPDALSALAGTGVAVTVGVPNELLRPLAASRKAAAAWVHDNVTRYASAVLFEYIAVGDDPFLLNHGQQFQPFVVHAAVNIQRALDDAKLSKKMKVVVPCSSDAYQNTSTLPSKAYFRPDVNKTMVELLQFLANHSSPFMVELNPILSFQQKKNISLEYYTFQLMSHPIIDGHNKYENYFDASIDALITALTKAGFTNMGIIVGRAGWPTDGAANATPAIAQSFMTGLVNHLSRKSGTPLRPKFVPTETYLYSLSDEDQYSIASGTYERHYGIFTFDGQAKYYVDLGQGAKALKNAPDVDYLPSKWCWLAWECVICLQQLLSAA* >Brasy2G053700.1.p pacid=40068734 transcript=Brasy2G053700.1 locus=Brasy2G053700 ID=Brasy2G053700.1.v1.1 annot-version=v1.1 MAATTMSLSSSAFAGKTVKNLPSSTLFGEARVTMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVQFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEEFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK* >Brasy2G291600.1.p pacid=40068735 transcript=Brasy2G291600.1 locus=Brasy2G291600 ID=Brasy2G291600.1.v1.1 annot-version=v1.1 MAAERASGVSGASATAPARLRLMCSHGGRFLPSGPDGAIRYAGGETRVLVVPRDASFRDLAAKLAAMAGGERTVVSAVRYRLADDEDVLVSATCDEELAYMRDEYDRLKATRPSAIFRLFFSASGVQVQRRAAASSGRPPLPPKIRRVQSEQSVRPCSAAGPVPMRRVQSAHQLAGCSRFQPCCYRRLDQYAPVPAPPTSKNARGARPAGQEEPASEVSGAKPADRVISTTEAAMEKISGEAEVEEPNRRAFWEFE* >Brasy2G288300.1.p pacid=40068736 transcript=Brasy2G288300.1 locus=Brasy2G288300 ID=Brasy2G288300.1.v1.1 annot-version=v1.1 MSDAAAAQEADADMRDADAAAPAPSEDDAGEEEEGDEEGDEELALAEEPPVSALVPALPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGTGEIPPDLAGMVLRSQHENRGYDDLLQRTDIPAKRVASLIRFREKRKGRNFDKKIRYAVRKEVALRMQRRKGQFAGRANLEGESPSPGCDPASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCLKAKVEAPMVAIEQSNAVVSQCQIGIDIKALTSQNNDNVAPGNVEAIANSAHANVAVIEGAPKAQSE* >Brasy2G288300.2.p pacid=40068737 transcript=Brasy2G288300.2 locus=Brasy2G288300 ID=Brasy2G288300.2.v1.1 annot-version=v1.1 MSDAAAAQEADADMRDADAAAPAPSEDDAGEEEEGDEEGDEELALAEEPPVSALVPALPGNPNQLTLLFQGEVYVFESVTPDKGYDDLLQRTDIPAKRVASLIRFREKRKGRNFDKKIRYAVRKEVALRMQRRKGQFAGRANLEGESPSPGCDPASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCLKAKVEAPMVAIEQSNAVVSQCQIGIDIKALTSQNNDNVAPGNVEAIANSAHANVAVIEGAPKAQSE* >Brasy2G152500.1.p pacid=40068738 transcript=Brasy2G152500.1 locus=Brasy2G152500 ID=Brasy2G152500.1.v1.1 annot-version=v1.1 MPLAWSSRTASSPSTPSPLRCLESPSSRLLDQAPPCRSSSLPPSSALPTRIPSLPRCRHHTPDPPSSVRIHWETRRRPRTSTAGPRIPRASSIWSSHADASVRRCPPQPRRPSVAALLSRAVRPSPPSPALPQPPLISQIWTHIEQGGIDGGSLSAGQGWRRHESGIRPGGVCSVSLPSYRLRTHSTAGSSNSVISDDATDNKLKQGHESRTYVSGNP* >Brasy2G216500.1.p pacid=40068739 transcript=Brasy2G216500.1 locus=Brasy2G216500 ID=Brasy2G216500.1.v1.1 annot-version=v1.1 MSSSSSRATSRFEIPARMEMPIFLCPRCRADVVRRISRTPKNTNRPFYVCSEKGVKCFFLWVDVLAQTLMNELLEEHEEWLPILPQTAAAAARAPAEETEGGARIDREVAVELRRLNQKIMKLEDQSQICNYIWAFVGMVIALGVMLKLYGKA* >Brasy2G225600.1.p pacid=40068740 transcript=Brasy2G225600.1 locus=Brasy2G225600 ID=Brasy2G225600.1.v1.1 annot-version=v1.1 MWHEARRSEKKVHDLMDAARRRAQRRAVYLARRRGDPHQSLQVAGARCRVHRDDALYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDPSSRHFRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVAQELEAKAILPFSFEKSQSSQAAASKGTYSQVGYSYKGDGNEDSEDLNSDDEEEEEDEDEDDKDFSSDDSSDERMENLAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKASQSEREREREAVRSVGRAPYRDPYREQRRSPSYEAYSRGRRSRSRSPSYSKRHARGTHAESNYRSKPKPPRVEYITEFGGSDDTSDLKVSGISPPSSPIRVDVHNRSSGVQILEALHSDPASSLSVEQERSAKNLKPPTSTSSALLKLSKGAPGGAGKTPLTEKKETPQERLKRIMSKQLNKQIRKDTAAETAKKREQERQRQEKLAEVGRYKCRSRSRSLSRSPPRKRHYSRSRSRSPRRRRSSSLSHSSSPSRSPRYRSRSRH* >Brasy2G209800.1.p pacid=40068741 transcript=Brasy2G209800.1 locus=Brasy2G209800 ID=Brasy2G209800.1.v1.1 annot-version=v1.1 MGFRFARLAAGVARATASHRGPHPSSTSARRVLALLASPGEPSGGYWFVPVRGHGGHSHHHGEASEKIFRLGLASDVVLTIGKAVTGYLSGSTAITADAAHSLSDIVLSTVALLSYKAAKAPRDKDHPYGHGKFESLGALGISSMLLVTAGGIAWHSFEVLQGVMSSAPDIIGSTSHINHNHGSGGHNHGIDLEHPVLALTVTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGVPLLDPLAGLVVSGMILKAGVQTGYESILELVDAAVDPSLLEPIKETIVKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHHIQKAHNQVAEVFIHIDPSYSVGTDMDKENILENLERRNSDDIPRQQNAEAIVSDIVLSHFSKKMSLEHLMLHYLQGRVLLQVQVSMPPEILIRDAMDIAKQAEEEILKADASISQVSIQLRLGQQIQKLQLATSKAGAKDLQAEKH* >Brasy2G209800.2.p pacid=40068742 transcript=Brasy2G209800.2 locus=Brasy2G209800 ID=Brasy2G209800.2.v1.1 annot-version=v1.1 MGFRFARLAAGVARATASHRGPHPSSTSARRVLALLASPGEPSGGYWFVPVRGHGGHSHHHGEASEKIFRLGLASDVVLTIGKAVTGYLSGSTAITADAAHSLSDIVLSTVALLSYKAAKAPRDKDHPYGHGKFESLGALGISSMLLVTAGGIAWHSFEVLQGVMSSAPDIIGSTSHINHNHGSGGHNHGIDLEHPVLALTVTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGVPLLDPLAGLVVSGMILKAGVQTGYESILELVDAAVDPSLLEPIKETIVKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHHIQKAHNQVAEVFIHIDPSYSVGTDMDKENILENLERRNSDDIPRQQNAEAIVSDIVLSHFSKKMSLEHLMLHYLQGRVLLQGCNGHRKTS* >Brasy2G209800.3.p pacid=40068743 transcript=Brasy2G209800.3 locus=Brasy2G209800 ID=Brasy2G209800.3.v1.1 annot-version=v1.1 MGFRFARLAAGVARATASHRGPHPSSTSARRVLALLASPGEPSGGYWFVPVRGHGGHSHHHGEASEKIFRLGLASDVVLTIGKAVTGYLSGSTAITADAAHSLSDIVLSTVALLSYKAAKAPRDKDHPYGHGKFESLGALGISSMLLVTAGGIAWHSFEVLQGVMSSAPDIIGSTSHINHNHGSGGHNHGIDLEHPVLALTVTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGVPLLDPLAGLVVSGMILKAGVQTGYESILELVDAAVDPSLLEPIKETIVKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHHIQKAHNQVAEVFIHIVTVVNYDCETHNWNI* >Brasy2G209800.4.p pacid=40068744 transcript=Brasy2G209800.4 locus=Brasy2G209800 ID=Brasy2G209800.4.v1.1 annot-version=v1.1 MGFRFARLAAGVARATASHRGPHPSSTSARRVLALLASPGEPSGGYWFVPVRGHGGHSHHHGEASEKIFRLGLASDVVLTIGKAVTGYLSGSTAITADAAHSLSDIVLSTVALLSYKAAKAPRDKDHPYGHGKFESLGALGISSMLLVTAGGIAWHSFEVLQGVMSSAPDIIGSTSHINHNHGSGGHNHGIDLEHPVLALTVTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGVPLLDPLAGLVVSGMILKAGVQTGYESILELVDAAVDPSLLEPIKETIVKVDGVKGCHRLRGRKAGTSLYLDVHIEIPHIQWVPTWTRKTFWRT* >Brasy2G010600.1.p pacid=40068745 transcript=Brasy2G010600.1 locus=Brasy2G010600 ID=Brasy2G010600.1.v1.1 annot-version=v1.1 MMCVSTCPPQSDGTGRRRAFSFTHTHTATTRSSIATVFQDTTSTQASILPVQGMSSLFVLICSSIWRERNERILRDKESSFRQIITFIKDEAQAWAFAGARALRKLLWEPP* >Brasy2G348600.1.p pacid=40068746 transcript=Brasy2G348600.1 locus=Brasy2G348600 ID=Brasy2G348600.1.v1.1 annot-version=v1.1 MADRRGDAMRQQQQQPFGSGQERVFDGGGPAFGGEYDHASSSSYMALLGTGVNPQPLQQPAAAWVVEEVTSATTINLTPQFSMANYAPPSSSSYQQNPASFASPFAATNLHNPYQPPSTTYNFHADPPPQWPPRAPSSSLPLPRGNNFALQHEQQQSMQMQLLRALGRPQAAPAAAIEQPGKDGYNWRKYGQKQLKDAESPRSYYKCTREACPVKKIVERSFDGCIKEITYKGRHTHPRPGPDQPRRGGDSVAAAGSGSAAAAAAGVEEEEYGDQLPSDDEDDGEEGQDRAAGGGAAAGQRVVKKHKIILQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTAENCNVRKQIERASGNPSCVLTTYTGRHSHDPPGRASGGAAGGSSAVLTPRQLKEENRD* >Brasy2G090200.1.p pacid=40068747 transcript=Brasy2G090200.1 locus=Brasy2G090200 ID=Brasy2G090200.1.v1.1 annot-version=v1.1 MAAPSVSLRASAAALSSSVAGSRDPAKVSCVRSTGSAHFGCSFPSIAASSSSARSIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRGDIEVVAVNDPFVDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKITITSKRDPADIPWGNFGAEYVVESSGVFTTIEKASVHLKGGAKKVVISAPSADAPMFVVGVNEMSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIKAH* >Brasy2G090200.2.p pacid=40068748 transcript=Brasy2G090200.2 locus=Brasy2G090200 ID=Brasy2G090200.2.v1.1 annot-version=v1.1 MSVSCVRSTGSAHFGCSFPSIAASSSSARSIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRGDIEVVAVNDPFVDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKITITSKRDPADIPWGNFGAEYVVESSGVFTTIEKASVHLKGGAKKVVISAPSADAPMFVVGVNEMSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIKAH* >Brasy2G415000.1.p pacid=40068749 transcript=Brasy2G415000.1 locus=Brasy2G415000 ID=Brasy2G415000.1.v1.1 annot-version=v1.1 MRPPRTAQETLRLPESPERVVRLLEDGDKRTRQSVLYAVRSRVHAYMDSEEGHEVFVALLRACWDRPDELKAIVDAAVPPRPNYSSYGKASLLRASKHEYYWDASLKELITAEARYPDDLCPTLLEGLLREGLLEHARGEQLVQHCFATMRYEDTRILLRAAHYQFNSLLKRFHLMLCLFLKCPNKYAKG* >Brasy2G144300.1.p pacid=40068750 transcript=Brasy2G144300.1 locus=Brasy2G144300 ID=Brasy2G144300.1.v1.1 annot-version=v1.1 MAAVPTSHQVAAGAPWSSLPRGGFRAFMDSAPASVRFSVGRRRASRLEVKAAGNIFGDYFQVATYGESHGGGVGCVISGCPPRIPLTEEDMQADLDRRRPGQSRITTPRKETDTCKILSGTFEGMTTGTPIHVFVPNTDQRGGDYTEMAKAYRPSHADLTYDLKYGVRSVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHKVVLPEDAVDYETVTMEQIESNICRCPNPEYAQKMIDAIDEVRLKGNSIGGVVTCIARNVPRGLGSPVFDKLEALLAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEGGNVRTRTNRSGGVQGGISNGETIYFKVAFKPTATIGKKQNTVSRDHEDIELMTRGRHDPCVVPRAVPMVETMAALVLMDQLMAHVAQCEMFPLNLALQEPIGSANNTPALAPDLA* >Brasy2G414100.1.p pacid=40068751 transcript=Brasy2G414100.1 locus=Brasy2G414100 ID=Brasy2G414100.1.v1.1 annot-version=v1.1 MNLFCRARALPCLARCALGCAVGFILLGSTRFRPKFAVGDAIRPRLGRLTPAGCDSSPESTTPVHHSDMYFGPPPLLAGCDCERFSAAQICRYGHQISGLGVLFSFLFEAGGANGGASGGATDKLESKDRDASHAPPEPAGGETLGLAWPVEAAAVVASRGKPDGYQQAGSEGYY* >Brasy2G007400.1.p pacid=40068752 transcript=Brasy2G007400.1 locus=Brasy2G007400 ID=Brasy2G007400.1.v1.1 annot-version=v1.1 MELSGSIACSKAAAAAAAAAAAQARLLRPVPPGHLRRLASPRYISCRSFAVRNELARAMPSMSSSFQPRMLQPATQKKKHIVTCYQRQEAPQIEAKSMEEVYDALAEHLLSVLKDVDHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMHWSKKHAKDGSLISNEDIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNPSLFLKCLKTLRKEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLDENIWRDIRDMFNEKWFIEIDIDVSMQRVLQRHISTGKEPDVAAWRISYNDRPNAELIMQSRKDADLVIRSVDFSS* >Brasy2G007400.2.p pacid=40068753 transcript=Brasy2G007400.2 locus=Brasy2G007400 ID=Brasy2G007400.2.v1.1 annot-version=v1.1 MELSGSIACSKAAAAAAAAAAAQARLLRPVPPGHLRRLASPRYISCRSFAVRNELARAMPSMSSSFQPRMLQPATQKKKHIVTCYQRQEAPQIEAKSMEEVYDALAEHLLSVLKDVDHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMHWSKKHAKDGSLISNEDIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNPSLFLKCLKTLRKEGSVYAPSFDHGVGDPVENDIFVKPQFIEIDIDVSMQRVLQRHISTGKEPDVAAWRISYNDRPNAELIMQSRKDADLVIRSVDFSS* >Brasy2G335500.1.p pacid=40068754 transcript=Brasy2G335500.1 locus=Brasy2G335500 ID=Brasy2G335500.1.v1.1 annot-version=v1.1 MLARGRRLQVSKLAALRGPLGLPDDYLLRVLPAHTDLFRLANPYPHRRNAAELELLRWVPSLAVSAVEAAASASNSPPRFTCSLPPSWAKSHDKMEEFNSTPYISPYSEEWAVPGNDTDAEKRAMAVVHELLSLTLWKKISVMKLEHFRWEFGLPEDTTRMLHRHPCLFYVSNRYKLHTVVLREGYVGSELRVKDPLVAAKDRLGELMQEGLHEYNERRRAANLEKKRRKGEVDVKKEEDELEDESMARLDSAEKREERRRFYKVLFGDGNH* >Brasy2G092300.1.p pacid=40068755 transcript=Brasy2G092300.1 locus=Brasy2G092300 ID=Brasy2G092300.1.v1.1 annot-version=v1.1 MPPATAIALFLAQLVLCGCVAPAESGDVGARPRRVLHQPLFPIEWTPPPPPAPDFTSDPSPPASTTPDAPPSGDFFPPAPPAGSSGGTTATSPTTIAADVSNPPSGVTAARGPKKATIVAAGAAAAAAIALLGFACAFLITGRARRRCDSQKLLGPDGGPAHHRRAAAASSSATDFLYVGTVEPATPSQQQHRGPTDADLAGSPYRKLRSDRARRAVAEAATTDHPSPELRPLPPLRRVTTMGSSDDDAAYYTPRQRSGGSGSGGALGEACGETWSEASASSPPTTTTASRRSLPSMTSDFFPPVAALPPPPAARSRRTRTPPRTRFSTGSAPDVKQVISPSPRPLQPSNPPPRPPPPPPPPPPPAKFQTAPKPPPPPPPPRMASNNSLPKPAEPPSGPTSRRRLLKPLPPEGPRIAMPMPITAATNNSGSTSMRAGAADDDDAAGDRSGLPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLDEDMIEALFMNNSMPAAPPRDAGRKPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEEVKLRDYSGDLSKLGTAERFLKTVLDIPFAFKRVDAMLYRANFETEINYLRKAFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEMIRSEDAKSDKENAMITSSKDEQFRKHGLKVVSGLSSELGNVKKAASMDFDVLHGYVSKLETGLEKIKSVLQLERQCTQGQRFFMAMQSFQKEAEKEIERVRGEEKMALGRVKDITDYFHGDAAKEEAHPLRIFMVVRDFLSTLDHVCREVGRMQQDRTVVGSARSFRISATTTLPVLNMYGQRRENNSDDEDSLSS* >Brasy2G218200.1.p pacid=40068756 transcript=Brasy2G218200.1 locus=Brasy2G218200 ID=Brasy2G218200.1.v1.1 annot-version=v1.1 MGKVAATLVVHALLMAVAFCQAAGYYEPPSPGKCGLTVGYYHESCPHAEDIVKGVVAEAVRRNPGIGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDILEKACPGVVSCADVVAFAARDASFFLSKRGVAFQMPAGRLDGRVSIASRALDLLPPPTFGLGQLVASFAAKGLSAEDMVVLSGSHTVGRSHCSSFVPDRLAVPSDIDAALGASLRGQCPANPSPADDPTVVQDVVTPGKLDSQYYKNVLAHRVLFTSDAALLASVETAKMVLDNANIPGWWEDRFEVAMVKMASIEVKTGRGDGEVRRNCRVVNY* >Brasy2G499600.1.p pacid=40068757 transcript=Brasy2G499600.1 locus=Brasy2G499600 ID=Brasy2G499600.1.v1.1 annot-version=v1.1 MTRSCVFPSSTHPPSLPVPDAATSGRSGSSPEMVLLDTKAYITDDRSNGTTATASTRDGHVVKVSFWLADPPAVSHLCVHCPALKVTDFVDEPFVVCSEKNIAILLLSFNFPPSDDQGELGFSDFFVYRAHPEHPSLDRLPSPHLRFLDTQEVGLLPCLGDEGDDEFVLAALSPRLNPREYDLHMYVSKTERWSTKLAQLESPPPNSRDALLLHRTDNAITLEGGSMAWVDLWRGALLCNVFEENPVVRYIRFPRPANGNKANSTEKCAQLYRDVTYSDNLLKFIEVEPYKRPDICQGLVQPDINVFSDEDYEDDLDTTATRTWYGWRASLWSRKASSTAWYRDFTVDVDEIVISDPTHSGLLPELTVQYPGKSTLQNLVTSSPILSNQGDDVVYLMSRVNFEDEKTWVIGVDMKTKTLRGVASFSTDRCVYSRPAYLPCSLSGYLDMTSNSSDAGCNTKGRNDVMNVGNDTTIVVTGLDPCITEDDLRQVFEPFGEVQYVKIFGQNVHLSWGCNTLEQPPQHDVNQWNGDSYYVPLQPPQHDVNQWNGDNYYDHSHCYGAQRYSSPTVEDPDVRAYGTSPYYSNNQEQPLAQQNISQWNGGSHQFYTQAYGRCQFRPECQYCWPAIQNPNVYAHCTYPAGYGNYQQQQKLPPVQHVPSRLWQLSAAATTTSAAQLPPKQCVRKGQGQQSPMETRR* >Brasy2G434500.1.p pacid=40068758 transcript=Brasy2G434500.1 locus=Brasy2G434500 ID=Brasy2G434500.1.v1.1 annot-version=v1.1 MSLISSTTWRIAQEPHSMVAQILKAKYHPGTTIWRANRAVPKSAFWASSLKVWHHIESSRFYQIMDGNISIWSTPWRDIWKNIYDHLITQNSDFVYPAVVKDLWYPGMKTRNANLIHSLFNHPVAVVILNTPIMQSSGDDVLCWKHNSSGLCTSKSSYRVTLQEVVAGQSNGPIISPHDLSLSLSLSSFGLPSLLYPEFNSLLGDLLERLFPQVRELGNTLGTLTIVGLLGNCQPASR* >Brasy2G378900.1.p pacid=40068759 transcript=Brasy2G378900.1 locus=Brasy2G378900 ID=Brasy2G378900.1.v1.1 annot-version=v1.1 MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHSNIVKLKEVIRENDTLYFIMEYMECNLYQLMKDRVKPFSESDVRNWCFQIFQALAYMHQKGYFHRDLKPENLLVSKDILKLADFGLAREVSAAPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLNPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAEAMKYKFPQVKGNQLSEVMTSASSEAINLVSSLCSWDPSKRPKAAEVLQHAFFQDCTYVPASVRPRVAGPPKTPPCVGVKGVSGHYIARRYSTGALSTTKPSSSIVKSDSLNKIGVQRKLQMDRQAPQKSTRTTESNSRLNTNRVQARNSPGNPVLRHSRSLPETGRGTMQKVSTITDKLSHMSVTSRTRTTVKPPVPLLKAGHVKADFLGKSDEIPPAKRLTRKLVS* >Brasy2G378900.2.p pacid=40068760 transcript=Brasy2G378900.2 locus=Brasy2G378900 ID=Brasy2G378900.2.v1.1 annot-version=v1.1 MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKECNLYQLMKDRVKPFSESDVRNWCFQIFQALAYMHQKGYFHRDLKPENLLVSKDILKLADFGLAREVSAAPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLNPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAEAMKYKFPQVKGNQLSEVMTSASSEAINLVSSLCSWDPSKRPKAAEVLQHAFFQDCTYVPASVRPRVAGPPKTPPCVGVKGVSGHYIARRYSTGALSTTKPSSSIVKSDSLNKIGVQRKLQMDRQAPQKSTRTTESNSRLNTNRVQARNSPGNPVLRHSRSLPETGRGTMQKVSTITDKLSHMSVTSRTRTTVKPPVPLLKAGHVKADFLGKSDEIPPAKRLTRKLVS* >Brasy2G129000.1.p pacid=40068761 transcript=Brasy2G129000.1 locus=Brasy2G129000 ID=Brasy2G129000.1.v1.1 annot-version=v1.1 MATAMSTRLLRLGFRHVPSLLLRGPLFPAPILGLGVGRVGLVRLRCSAAEAGDGRGKKVSARLALAQQVMRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVEKAHWLGERIKERILQTEKNRINKDGELVMSSTKTRTQKGNIEDALQKIQAIIDAAAYVPPPPSEEQKKKIEKIAAAAERNRIQNKKVLSQKKEFRRNRTSWD* >Brasy2G123000.1.p pacid=40068762 transcript=Brasy2G123000.1 locus=Brasy2G123000 ID=Brasy2G123000.1.v1.1 annot-version=v1.1 MALLARRARRSAAASTKAAAPAVAVELSIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRAAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANSSRGVERIPTPKIPLTPVQASELLFDLADSRDTAAAVARVRALARDSERNRRCLASIGTGRVLAAALDSLAADCETPGVALEDVLAALVCMTPLDDEAARILATPSSLASLVAIAENGSLAGRLNAVLVIKEIVSCIQLTGNVVEELMDALAKVIKAPICPQATKAAMVATYHLASSSERAAARAAGLVPVLVESLVGADKSAAEKALALLDAVLASEEGRASARGHALAVPVLVKKMFRVSDLATELAVSAMWRLGRGTESEEGEVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKTTELLKMLNKHKGVGECVDAMDFRGINRLS* >Brasy2G187200.1.p pacid=40068763 transcript=Brasy2G187200.1 locus=Brasy2G187200 ID=Brasy2G187200.1.v1.1 annot-version=v1.1 MVPRQRPAGAPCRRATTEEERRRNRMTSNRLSAQRSRMKRQQREEDLAAQASCLKLENEAMRAAFGIRQQQCRLLQQENRVRAAHARELYAVLQLRNSQLRMLGQAADLPLDVPEVSAHLTQLYGGGPPAVVPPLSPEIYQMLQFQPPLERQIDQMLFQPPLFARD* >Brasy2G024000.1.p pacid=40068764 transcript=Brasy2G024000.1 locus=Brasy2G024000 ID=Brasy2G024000.1.v1.1 annot-version=v1.1 MLMPILAKISQSTRPVASCFGQHHRHTRYPGRYCTWWGARSKVILSPCSVFGPTNGTPSGEIVKKRKIVEHIILLRGKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIERPNTENFTHAVFMRFQQKEDIAKFQSSTYYSKVLDEHVKPVSYGLVSVDFESEVEDDITPLFRRGEDFNYGVEFMLLLSFPETVSGEAVEDALAHLQKLIIQCSSFIVQATSGCCLNLMESRYSHAAVIRFPSFDDFKLFRESVEYKDMWASKLDPVVDRSLELHFTVDPVGSQLM* >Brasy2G157900.1.p pacid=40068765 transcript=Brasy2G157900.1 locus=Brasy2G157900 ID=Brasy2G157900.1.v1.1 annot-version=v1.1 MRTMADELLAVASLPCSPDHARKLFDKMSGKLFFWYGGCDWVHTPPYNQKFAGAPP* >Brasy2G418500.1.p pacid=40068766 transcript=Brasy2G418500.1 locus=Brasy2G418500 ID=Brasy2G418500.1.v1.1 annot-version=v1.1 MDESFRATPPPIYPDDLGPPPGSILLDVLGYFSSRTNATTAEGFTRTNKRIKVTFWAAHPPRASYFTFCSEGLELTTSVEPSIEAKIINTDDDLVLLQLPTCYPSGVPDLYCKDCEYFVYHAGTKNKGPSLERIPAPPFIHFFDCQVGLLRCRARDMYFVAILTWAALPEARDKYDLHLYSSDTETWSTKLMTCLDSRPKSLLYMYSDKVITIGGELGSVGWADLRRGILICDVLLDNRELRYIPLPSPAVPRPFWLPAGACRDTIVLEGYLKRDSWKNWQKHRVIKVSVGLEAETKDQPTLKAYSGFPALSLHDAGVVYIMDRDDVMDKEVLVIAVDMRNQTLKGVADYVQRIPLAFSIYCGSGISKHLRWTSSAR* >Brasy2G080600.1.p pacid=40068767 transcript=Brasy2G080600.1 locus=Brasy2G080600 ID=Brasy2G080600.1.v1.1 annot-version=v1.1 MASRGFHAAASSGSEDEEEEAGLSRSYFLAKEKEPSGKKRARAAAGKLSDLNIVDEQVLRASLAEIPPKHEKEVDALTKSYKDQYRNWLFELRCGFGLLMYGFGSKKLLLEDFASTTLTDFTVMVINGYLPSINMKQVMVTIAELFWVQTKLKRKHKSGTQSQPQSFASQSIDDIISFLNNQASEGDDHVCLLIHNIDGPALRDAESQQCLAQVSCCPQVQIVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILVSGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELA* >Brasy2G080600.2.p pacid=40068768 transcript=Brasy2G080600.2 locus=Brasy2G080600 ID=Brasy2G080600.2.v1.1 annot-version=v1.1 MASRGFHAAASSGSEDEEEEAGLSRSYFLAKEKEPSGKKRARAAAGKLSDLNIVDEQVLRASLAEIPPKHEKEVDALTKSYKDQYRNWLFELRCGFGLLMYGFGSKKLLLEDFASTTLTDFTVMVINGYLPSINMKQVMVTIAELFWVQTKLKRKHKSGTQSQPQSFASQSIDDIISFLNNQASEGDDHVCLLIHNIDGPALRDAESQQCLAQVSCCPQVQIVASVDHVNAPLCMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELA* >Brasy2G146100.1.p pacid=40068769 transcript=Brasy2G146100.1 locus=Brasy2G146100 ID=Brasy2G146100.1.v1.1 annot-version=v1.1 MGFFTKSTSKQTAKLKSLVKIAVARLAVVRRPRVGRRSIARSDVAQLLAIGHLDRALVRAEQVIEEDHMLEVLDIIELYCKILIEQAAQLDKPKECSEEIKAAAAGLMFASARCGELPELLDARAILADKFGRDFARAAKEGSPGVVDPTLVRRLSGERASVEQQRRLAREIAAENDILLEFPENPIGIHQSKQNGQARIVPAEEFVEQVEVRMEPHKVQERRTLADDKVNPQKPDLAQLSAQQMALRESKKYDDVRRAAEAAFESASFAAMAARAAVELSRSESEGKRSRGGGGHDKVHTMPNSGATEQQETRPSGKPQKPRSPSPSPSWSDKSTASSVWSDPPQKGKGIVFDQSDEEDDDVVEELLWTPQPRRAPYRRTVSTTGIDVGGRDTQQGAWGADSGEAGTDPRPIQNGVGVHNGSQQARPTHRRHASELAGGNNALREAPDQRGQYRAPPYRRNATGTGEAAGAAPRAKEEEEDLDGGRPRRPQGGMGNGGAARAAEDLDGRRRPRPQDGGAYESSAYVARAPYARIASALEGSNGHIARHEEVRRIGTDARVLQEKVYGAAPGSGGPGRVPLTPERRAVSVRTRR* >Brasy2G146100.2.p pacid=40068770 transcript=Brasy2G146100.2 locus=Brasy2G146100 ID=Brasy2G146100.2.v1.1 annot-version=v1.1 MGFFTKSTSKQTAKLKSLVKIAVARLAVVRRPRVGRRSIARSDVAQLLAIGHLDRALVRAEQVIEEDHMLEVLDIIELYCKILIEQAAQLDKPNEEIKAAAAGLMFASARCGELPELLDARAILADKFGRDFARAAKEGSPGVVDPTLVRRLSGERASVEQQRRLAREIAAENDILLEFPENPIGIHQSKQNGQARIVPAEEFVEQVEVRMEPHKVQERRTLADDKVNPQKPDLAQLSAQQMALRESKKYDDVRRAAEAAFESASFAAMAARAAVELSRSESEGKRSRGGGGHDKVHTMPNSGATEQQETRPSGKPQKPRSPSPSPSWSDKSTASSVWSDPPQKGKGIVFDQSDEEDDDVVEELLWTPQPRRAPYRRTVSTTGIDVGGRDTQQGAWGADSGEAGTDPRPIQNGVGVHNGSQQARPTHRRHASELAGGNNALREAPDQRGQYRAPPYRRNATGTGEAAGAAPRAKEEEEDLDGGRPRRPQGGMGNGGAARAAEDLDGRRRPRPQDGGAYESSAYVARAPYARIASALEGSNGHIARHEEVRRIGTDARVLQEKVYGAAPGSGGPGRVPLTPERRAVSVRTRR* >Brasy2G233500.1.p pacid=40068771 transcript=Brasy2G233500.1 locus=Brasy2G233500 ID=Brasy2G233500.1.v1.1 annot-version=v1.1 LKRSFIDVDENLASWRAGSDCCHWERITCDMASGRVISLDLGGFDMLSRRLDPALFNLTSLRNLSLAFIDFHRAQLPSYGFERLTNMIHLNFSETLFLGQIPIGISHLKNLVTLDFSYNYWLYLQEPSFQTFMANLSNLRELRLDYSVVLVESVPQLQTLSLVQCGISGPIHPSFSRLHLLREIDLQNNELTGKVPEFFAEFSSLSILQISGTSFEGQFPAKIFQMKSLRTLRLSWNPNISVCLPDFAVGSNLETLSLEGSNLSCGITPSFINLKYLKILGVSTIGVSKELSLIHKLPSLNTLWLHGHALEKQVLSWTGNLTQLTSLWLDGYDFSQLVPSWIGNLTSLTSLLLWNCNFSGPIPHQIGNLTNLAIFNSMDCNYDEQPVPSWIINLKKLTTLNLNNCNLSGPILSTLGNLTRHEELDLEHNKLSAEIDPFHSGLKRLAFSIRM* >Brasy2G319100.1.p pacid=40068772 transcript=Brasy2G319100.1 locus=Brasy2G319100 ID=Brasy2G319100.1.v1.1 annot-version=v1.1 MAGRRQTPLLLLLLLLGFWQIIHFPFAAPQSFIGINYGDVADNLPPPSSTARLLKSTTIGKVRLYRTDPAVVSAFAGTGISLLLGAANGDIPSFASSPSAAAAWVAAHLPSTPSPSITGISVGNEVLFSDDATLASQLVPALQNIHDALPPNSSIKVSTVNAMDVLASSDPPSSGAFKPGLAPALDPLLAFLSKTGSPFLVNPYPYFAYQSDPRPDTLAFCLFQPNAGRPDAGSGLTYTSMFDAQVDAVRAALDAKGYKDVEVVVAETGWPHAGGADEAGASVENARAFVGNLVAHLRSMVGTPRMPGKSVETYLFAVYDENLKPGKPSEQSFGLFQTTALAEMYPTGLMRNGTAGLAPAPAPTLQPASSATVPGKQPQAQPGTAAPTGLCAPGSANSSTAACSRSTRSAAESSRTISVLNLVACFWFMSLIV* >Brasy2G307100.1.p pacid=40068773 transcript=Brasy2G307100.1 locus=Brasy2G307100 ID=Brasy2G307100.1.v1.1 annot-version=v1.1 MRTDSYAYYARGLGRRPRALAAAGLNEFVVVGCNVQAALYYRSIADGSVVAVARCASPCSVHGSDLDVSNHDKAATCSGMGCCSAPIVVPTGRARAFNLALGLGVETVPVLLDWVLPYHTAPARNVCQSTHSVWIDKGRGYSSRCQHGYTGNPYLAHGCLDIDECTRQLDYGCFGECTNTEGSFECQCPPGYTTPGGCIKSVTTRTGLIIGISVAISATCLLVSVLGTVFFIIRKIKKHKATRMKRKRMIIPLEELEKATNNFDRARELGGGGHGIVYKGILSDLHVVAIKKSKIVVQREIDEFINEVAILSQINHRNAVKLYGCCLETEAKDASEIGKALAYLHSAVSIPIIHRDVKSANILLDDALTAKVADFGASRHIPADQSVITTAVQGTIGYLDPEYYYTGRITEKSDVFSFGVIFVELLTRKMPITYRSSTGRGLVEQFVTLVAEGNLVHILDPQVVEEGARVVEEVATLAVSWVGLRGEGRLTMRQVEMALEGLCQAPMEPVQNNLEARRNEEHYTASYQTTSNGRRNCEGASRQYSMEEEFLLSAKYPR* >Brasy2G456500.1.p pacid=40068774 transcript=Brasy2G456500.1 locus=Brasy2G456500 ID=Brasy2G456500.1.v1.1 annot-version=v1.1 MSSASRVFRNLRLRRRRRPLLVSQATSLRLHGSSANHPDDSTRLPAHHSDAAEAAIDGTPTPRHRDPSSSSSSYAVMVAAHLGSRGRDLPRAEALYRGAPETARGPALDAVMLAGYAKAGRVDRARRLFDGMPTRGVVAWTCMVDAYCRAGRVGEARELFDAMPERSVVSWTAMVHGYARAGLLREAREMFDGMPKRNVVSWTVMVKAYAGEGRVQEAMELFDRMPQRNTYSWNTVISGSFRAGRVDEAVRLFERMPHRNVVSWTAMVTGLAQNGRVSMAREFFDVMPCKDITAWNAMITAYANNGQMNEARRLFDSMPAKDLVSWNTVIDGYAKKELKDEASGLFLHMLRSAASPNSTTLISVLVISESMVEVVQIHGLATTLGLLSETSLGNALLTMYSRIGDLPSAWLAFKRLEEKDVITWTSMIQAFANHGHASYALQAFAQMLQHGNNPSSTTFIALLSACSHAGLVEKGQSIFRSIRHVYGLERTIEHYTCLVDILGRAGHVREAMDVVAAMPPDMCDDAILRTLLGACMLHKEVDAAREVGEVLAKSDPSGSGGYYMALANVLASGGLWDEMAGVWKAMKGSNVRKTPGVSQITVDARNHAFFSRDQTHPQCAEIYEMLDHKLVPEMKKIVETVC* >Brasy2G106700.1.p pacid=40068775 transcript=Brasy2G106700.1 locus=Brasy2G106700 ID=Brasy2G106700.1.v1.1 annot-version=v1.1 MAMEKVDAKDREKIEAVRKIMRKQAPLSGKQAKYCDDACVERFLRARGESVKKAAKHLRAALSWRETIGADHIIADEFSAELAEGMAYVAGHDDESRPVVVFKIKQDDYPKYHPQKSFVRFLVFTLEVAVASMNRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVMWKGVRPFVELAAATAAVCSLDFEDSLEDASFTAYPRTASLRCEPSSGAAVLTTTGAGNKVGSASSRFAFSVSDNALKPWYLSTTAPTPTPRSAVVPSSSPSLVGASPLSARSFSFASPAARTPPSAPAPHIIAQRAPSEAAKGSSGHNRTPLRPLPQQQQQFPRTPRPSFLQSPSTLFTFRKDGQASRGERERESFLPFLRFYRRPYDEICYRAKMRPPLGGLIAIVADEKFRPRPPVQPPVRRHAGLHHHQYQQHQHHNQHHQRI* >Brasy2G106700.2.p pacid=40068776 transcript=Brasy2G106700.2 locus=Brasy2G106700 ID=Brasy2G106700.2.v1.1 annot-version=v1.1 MAYVAGHDDESRPVVVFKIKQDDYPKYHPQKSFVRFLVFTLEVAVASMNRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVMWKGVRPFVELAAATAAVCSLDFEDSLEDASFTAYPRTASLRCEPSSGAAVLTTTGAGNKVGSASSRFAFSVSDNALKPWYLSTTAPTPTPRSAVVPSSSPSLVGASPLSARSFSFASPAARTPPSAPAPHIIAQRAPSEAAKGSSGHNRTPLRPLPQQQQQFPRTPRPSFLQSPSTLFTFRKDGQASRGERERESFLPFLRFYRRPYDEICYRAKMRPPLGGLIAIVADEKFRPRPPVQPPVRRHAGLHHHQYQQHQHHNQHHQRI* >Brasy2G205400.1.p pacid=40068777 transcript=Brasy2G205400.1 locus=Brasy2G205400 ID=Brasy2G205400.1.v1.1 annot-version=v1.1 MAVAAAAATTSLWLLRRPFSSTILARYANPRFMLTPLCFSHSASAPALASSTPAPPAPPLTTCSGDGGAGLRWESTRKKRVVLRVGYVGSEYRGLQKQRELSADSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALANFINSNLPNNIKVFSILPAQRSFDVRRECLYREYFYLLPAEIIGIKSGCSSEEVEEHLIEFNNILKGFEGNHPFHNYTARAKYRKVLAGAHRRSKETSSTINSIPSELVVDQSPNDDGTTSDADDEHLNILSVVDSSLPENDWNKDNPKHHENHVQIQARWLHEPNENDRLSASHFRDIITCSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAAAVKRGLLPKEIIELSLAKFSRIVLPIAPSEVLILRDNSFCTRNKQGSVVRPGIQSINESKEVKKGVMEFYKAALVPELAKYLDASKPPWEEWVENLDHFTSIPDSQLDEVRTAYAAWRADYIRAKTARKSSGGG* >Brasy2G205400.2.p pacid=40068778 transcript=Brasy2G205400.2 locus=Brasy2G205400 ID=Brasy2G205400.2.v1.1 annot-version=v1.1 MISLKMEIPDRAWENDPDGIALANFINSNLPNNIKVFSILPAQRSFDVRRECLYREYFYLLPAEIIGIKSGCSSEEVEEHLIEFNNILKGFEGNHPFHNYTARAKYRKVLAGAHRRSKETSSTINSIPSELVVDQSPNDDGTTSDADDEHLNILSVVDSSLPENDWNKDNPKHHENHVQIQARWLHEPNENDRLSASHFRDIITCSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAAAVKRGLLPKEIIELSLAKFSRIVLPIAPSEVLILRDNSFCTRNKQGSVVRPGIQSINESKEVKKGVMEFYKAALVPELAKYLDASKPPWEEWVENLDHFTSIPDSQLDEVRTAYAAWRADYIRAKTARKSSGGG* >Brasy2G205400.3.p pacid=40068779 transcript=Brasy2G205400.3 locus=Brasy2G205400 ID=Brasy2G205400.3.v1.1 annot-version=v1.1 MISLKMEIPDRAWENDPDGIALANFINSNLPNNIKVFSILPAQRSFDVRRECLYREYFYLLPAEIIGIKSGCSSEEVEEHLIEFNNILKGFEGNHPFHNYTARAKYRKVLAGAHRRSKETSSTINSIPSELVVDQSPNDDGTTSDADDEHLNILSVVDSSLPENDWNKDNPKHHENHVQIQARWLHEPNENDRLSASHFRDIITCSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAAAVKRGLLPKEIIELSLAKFSRIVLPIAPSEVLILRDNSFCTRNKQGSVVRPGIQSINESKEVKKGVMEFYKAALVPELAKYLDASKPPWEEWVENLDHFTSIPDSQLDEVRTAYAAWRADYIRAKTARKSSGGG* >Brasy2G167500.1.p pacid=40068780 transcript=Brasy2G167500.1 locus=Brasy2G167500 ID=Brasy2G167500.1.v1.1 annot-version=v1.1 MAHLLLGCAFSKAVLHAVLSRAGALCCLPRPSENLVDWLSAGARRLPSNAKAVRSLINLSLWRIWKLCNACVFEGASPDVSRLVEDIFVEADLWRAAGARQLDRLPLHTRPPDVGLRSFPV* >Brasy2G285000.1.p pacid=40068781 transcript=Brasy2G285000.1 locus=Brasy2G285000 ID=Brasy2G285000.1.v1.1 annot-version=v1.1 MAAQLGMCGLSSEHAEAMKRVIASSDVDRDHAMRMVEDMERNESDSTGSLEMEDFGPLHEAASTGRMETCKYLVEQLGFDINADANDDSGMTPLACAVLHGKAIAVRYLLDRGADLDKQDNKGFTPLHYGTKEGKDGLVRLLLSKGASVDVSSCEGTPLHIAASYGKCSIMEILLQHNADPNRVSADLGTPMAVVLCVAFTPGQMSESVALKCLKLLVKGGAHLNCRNPDTPLVIATSRNLTECVGYLLEAGADANIPMNHGGMTPIEIAADSGRRKLVEILFPFTSPIQSVSNWSVEGIMIHAKSSNPKNKGKQSDKEKATQKEDTGPSKSSSKGGDKDKKAELKLLGAQAVEGKDYAGASKFYNEAIKVDPADAALYSNRSLCLLKSGEAHAALLDANDCIRLRPDWPKGYYRKGAAFMALKEYKEACDAFMAGVKLDPASVELHEAFWEAVEAMKEHCDEQSVDSSD* >Brasy2G116900.1.p pacid=40068782 transcript=Brasy2G116900.1 locus=Brasy2G116900 ID=Brasy2G116900.1.v1.1 annot-version=v1.1 MATEGKVPTLAEEYSLSPKEVPVENSAEGKSAISTETSPAEKTDAVVEEKSESPEVEETNPATEESDEAAEETTDEKPEVKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECEKFAKYYRSLCPSEWVERWNEQRENGTFPGPL* >Brasy2G334900.1.p pacid=40068783 transcript=Brasy2G334900.1 locus=Brasy2G334900 ID=Brasy2G334900.1.v1.1 annot-version=v1.1 MATQAAFLVKFPQLAHAQPSRGQSWAPAPAPARVGVAVAAGKAAAPRGVRCRAGGLIEPDGGRLVELVAPEEGGRRAALRREAAALPHRVRLGRVETEWVHVLSEGWASPLRGFMRESEFLQALHFNAVRGDDGKLVNMSVPIVLAVDDAQRRAIEASGATRVALVDDHDRPVAVLSDIEIYKHNKEERIARTWGTIARGLPYVEEAIANAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSVRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPIEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQNKMNFFDPSRKDDFLFISGTKMRSLAKNRESPPDGFMCPGGWKVLVEYYDSLTPPEGSSKLREAVAA* >Brasy2G339500.1.p pacid=40068784 transcript=Brasy2G339500.1 locus=Brasy2G339500 ID=Brasy2G339500.1.v1.1 annot-version=v1.1 MAEAPAASRRAEEAGSRRRAEEEMEAAAAAEGEEGDSSDYTSEDEGTEDYRRGGYHAVRAGDSFKHGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILRQIADGDPDDSRCVVKLLDHFKHAGPNGSHVCMVFEFLGDNLLSLIKYTDYRGIPLPMVKEICRHVLIGLDYLHRELSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVMPAAKTDQPPPKAPATSVNGGLTKSQKKKIRKKAKRAAASTSEGSGAAASADTDESDDRGDLSTANEGSPSQDGDRKRGTGGHRRGSKGTRKRMAMQADLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELASGDVLFDPHSGDNFDRDEVSVQDRYCESQDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDAAAMAEFLVPVLDFVPEKRPTAAQLLQHPWFDAGPLRRQPKILPEPAENSVEDAPENHHRKENDERDAMATELGNIAIDGASSSKTVKNLQASSKQTKANAMPSKK* >Brasy2G339500.2.p pacid=40068785 transcript=Brasy2G339500.2 locus=Brasy2G339500 ID=Brasy2G339500.2.v1.1 annot-version=v1.1 MAEAPAASRRAEEAGSRRRAEEEMEAAAAAEGEEGDSSDYTSEDEGTEDYRRGGYHAVRAGDSFKHGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILRQIADGDPDDSRCVVKLLDHFKHAGPNGSHVCMVFEFLGDNLLSLIKYTDYRGIPLPMVKEICRHVLIGLDYLHRELSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVMPAAKTDQPPPKAPATSVNGGLTKSQKKKIRKKAKRAAASTSEGSGAAASADTDESDDRGDLSTANEGSPSQDGDRKRGTGGHRRGSKGTRKRMAMQADLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELASGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDAAAMAEFLVPVLDFVPEKRPTAAQLLQHPWFDAGPLRRQPKILPEPAENSVEDAPENHHRKENDERDAMATELGNIAIDGASSSKTVKNLQASSKQTKANAMPSKK* >Brasy2G094600.1.p pacid=40068786 transcript=Brasy2G094600.1 locus=Brasy2G094600 ID=Brasy2G094600.1.v1.1 annot-version=v1.1 MGILDELSEMCLCAAVRPRRRLKRRKQMTTVEMKVRIDCEGCERKIRKAVESMEGVTGVEVVPKQNKVAVTGYVDPAKVMRRVAYKTGKRVEPWPYVPYDVVAHPYAPGAYDKKAPPGYVRNVVADPNAAPLARASSTEVKYTSAFSDENPNAACTIM* >Brasy2G485100.1.p pacid=40068787 transcript=Brasy2G485100.1 locus=Brasy2G485100 ID=Brasy2G485100.1.v1.1 annot-version=v1.1 MSKQARTTTTQSCKKEEDENGGGTTIQQIPEECLAKAISLTSPADACRAAAVSAAFLSAADSDAVWERFLPPDCDAILERAVHLVDSSSKKELFLELAQERALLDDGKTSFGLQRSTGAKCYMLSSAQLRIAWVLTDLYWRQMSDPDSRFSKVAELMSVCWFCIFGVIDRRELTPGTHYAGYLVFKLAHGAAGLSSPTQVAFVEIGGQRRLSTPATAIPAP* >Brasy2G094900.1.p pacid=40068788 transcript=Brasy2G094900.1 locus=Brasy2G094900 ID=Brasy2G094900.1.v1.1 annot-version=v1.1 MAAGDTARRLVRPPPYPQPAPPFPRSRRRVGTEAAPLHPNSNIVSQALPPPRWATVPASSSPAPRGRDLRVEADHALLRPPERPMAAASPDCTAAEIDSDLGEDPLGATSTG* >Brasy2G118200.1.p pacid=40068789 transcript=Brasy2G118200.1 locus=Brasy2G118200 ID=Brasy2G118200.1.v1.1 annot-version=v1.1 MITRAKLVEQLREHQIRSAQTYSAAVAVFSPNPHISSRRDLKVALLYAFLFCFLMVSCYMALYLKWFRLSSLFVLFGILLQVSLKISRHRRLKRKRERRLLLPLSM* >Brasy2G073000.1.p pacid=40068790 transcript=Brasy2G073000.1 locus=Brasy2G073000 ID=Brasy2G073000.1.v1.1 annot-version=v1.1 MRAMVTRTTKLLVMEVLAEPQRVLVRDKGDRPSVSHYRDNLLKGNGCTSKNRDLGVEWDVHRGFVLKHLFDELGVYSPTNMSLGWESIHITFLYLL* >Brasy2G461300.1.p pacid=40068791 transcript=Brasy2G461300.1 locus=Brasy2G461300 ID=Brasy2G461300.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNNGPFKYIPRGYITCQNKFVTFPGLPEENVASDSYDFYNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGVYDNEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G080800.1.p pacid=40068792 transcript=Brasy2G080800.1 locus=Brasy2G080800 ID=Brasy2G080800.1.v1.1 annot-version=v1.1 MPLRACLAAAPLQATARGAAAMGLCRRPAAFAVAPAAACLPRRRACRPPPPCCLRRCRRPAAFVVAPTPACLPRRRACRLLPRAGPQALPCPPPSARRHRPSPRPPLPAKSPSGTGGAARGGGCTAARQYPEYDALVPREADRRRPLPSHAPRVRRLRRM* >Brasy2G071300.1.p pacid=40068793 transcript=Brasy2G071300.1 locus=Brasy2G071300 ID=Brasy2G071300.1.v1.1 annot-version=v1.1 MTVKARARSREFSRQFWSVLCHALSECFLIVMLLVLAVVSYTATKFAHICRIKSPCMLCSRLDQVLHGKAWFSEELICAAHSKLAHSDDLCEKCFLSCSESVRKPGNLKNMSVKEKVNSRSRSRHTQLCSCCSKPFKKKCDAHRLSEVVSDMFPDDSMSKVKERSIAMASVGCSSDDDVDQLPHGGYRKLSADHDSESEIRISDDDDGCFAMRYEAKQRTRDKSCADSTIQTMLMSTTPLVPLDTAEGTGNAAKSSDPAISHGLEEINWGQPNVNNNNLDVQLNTVPEQVCQEVQKEKRSPDEEATKIFATSAYDGTSSTADPRIDCNNSIKNTNSRTQEEVKTFLSQLSCARCFDGPWSEVAASPRISIQIDEYSQSDATGSRQFLDLEPTDAHVTSEDEGEISLELSKKKLSVLYKELEAERSASAVAASEAMAMINRLQQDKAAVHMEAMQYLRMMEEQADHDQEAIEKLNDLLTEREKEILDLEAELDNYQRKYSDESFDVRKFDATDGDMAFEALDSSDFAKILESLSRLEETLGMPSGNRFHMGGTNDNLQNGTLRDHPTGDGQYVENSELESQCSQAKKIFDSSRLDDDQISSITSIRQEISLLNSRFKALEADQKFLKRILGSLKCSSDGVQYMQEITSRLRELRRMMTTEQRDRTVL* >Brasy2G338600.1.p pacid=40068794 transcript=Brasy2G338600.1 locus=Brasy2G338600 ID=Brasy2G338600.1.v1.1 annot-version=v1.1 MPAPPSPMSLQLGKCYCCSPSPSPKQQAGRTEQAAAYPAGGALLLSRRAHALSLLAGAAVAPARRARAGEEKDGGGGGVVGAITSLFDPNEETKAGKVLPKAYLKAAREVVRTLRESLEGEDGGGDVAKFRRGADSAKASIREFIGGWRGQQAVAKEESYVALESAIKSLAEFYSKAGPFASLPGDVRNKILDDLDTADAYL* >Brasy2G264600.1.p pacid=40068795 transcript=Brasy2G264600.1 locus=Brasy2G264600 ID=Brasy2G264600.1.v1.1 annot-version=v1.1 MFGRAPKKSDNTKYYEILGVPKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVIHPLKASLEDLYNGTSKKLSLSRNVLCSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQVQHACNECKGTGESINEKDRCQGCKGEKVVQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVVQQKEHPKFKRKGDDLFYEHTLSLTEALCGFQLVLTHLDNRQLLIKSNPGEVVKPDSFKAISDEGMPMYQRPFMKGKLYIHFTVEFPDSLVPEQCKALEAVLPPKPVPKLTDMELDECEETTLHDVNNMEEEMRRKAQAAAQEAYDEDDDMPGGGAQRVQCAQQ* >Brasy2G197100.1.p pacid=40068796 transcript=Brasy2G197100.1 locus=Brasy2G197100 ID=Brasy2G197100.1.v1.1 annot-version=v1.1 MEGSSNSPDGGQSSGGSPPEERGRSGGAGGGRGDQPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAANNNTSSPAAASATIGVGGLPSMAIVSGSACQYEQQASSSSSSGSTGGSSSLGLFAHGAAGVPSGGPGGVGYGQLLQQQQQAASCGASLSALANSGLMAGDVGDGGGSDDLFAISRQMGFVDHSPVGSSSSSAAPSTAVQQQQQYYSCQLPTATITVFINGVPMEVPRGPIDLRAMFGQDVVLVHSTGALLPVNDYGILIQSLQMGESYFLVARQT* >Brasy2G468200.1.p pacid=40068797 transcript=Brasy2G468200.1 locus=Brasy2G468200 ID=Brasy2G468200.1.v1.1 annot-version=v1.1 MNDADVSKQILQMVRFIRQEAEEKAGEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLNVSSNHHEYRHLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKANVHEPEILVDHSVYLPPSPSHHDTHGQFCHGGVVLASRDGKIVFENTVDARLEVVFRKKLPEIRKLLVAA* >Brasy2G473300.1.p pacid=40068798 transcript=Brasy2G473300.1 locus=Brasy2G473300 ID=Brasy2G473300.1.v1.1 annot-version=v1.1 MPTFFNLFFSNMAIITTPAPLSVLVKTKEGTSPCAMEEGRSLLWPLLHRLLLAIVAFLFFAAQCGARVLTIDELLDQYSSSSNTPTLPPYGDAFTPPYPPPSPPPGSPGCGPATSPPPVIPVPSFAYLSPPPPSTPLYSPPPPEVTPSPPEIAPLPPVVAPSPPEIAPYPSPPEVAPSPPEIAPYPSPPEVSPSPPEIAPSPPEVTPMPPIVYPSPPEVTPSPPEVTPFPSPPEVAPSPPDITPFPSPPEVTPSPPEIVPSPPEIVPSPPEVTPFPSPPEVTPSPPEIVPSPPEVTPFPSPPEVTPSPPEYAPEPPVYAPEPPGVTPSPPEYAPEPPGLTPGPPENAPPGGSFQPPVVLPPAFGPPPSPAGGHSEWCVAKPSVPGPIVQQAMDYACASGADCDALQSDGACFRPDTMTAHASYAFNSYWQRAKSSGATCDFGGTAMLITKDPSYDNCHYIVM* >Brasy2G409200.1.p pacid=40068799 transcript=Brasy2G409200.1 locus=Brasy2G409200 ID=Brasy2G409200.1.v1.1 annot-version=v1.1 MAAVHKSAAAALLVLLPLILSPTASSARHRFPIPPTEPMPIIHYPPPPPPPPAASGTPPRPSSDTYCVFSMNNDGVVSKHCPPPPPMMPAAADDEGVLRRVPSGPNPMHNKRRPPPPAPGSASHDAGEDVKAVPRPVPSGPNPQHHKRRHPPPPASGSASHDAGEGVNAVPRPVPSGPNPTHHKRRHHPPPAPGSASNQAS* >Brasy2G271800.1.p pacid=40068800 transcript=Brasy2G271800.1 locus=Brasy2G271800 ID=Brasy2G271800.1.v1.1 annot-version=v1.1 MDDDGSLGMKNWGFFDPPTRNNLGLQLMSSMPTDRRDTKQLLSTGPFVHQHHHVHPHAPQHTHHHPHPHPHPRDGCGGVSSGAPGDSTYIPVNFVRNEAWLHPAHHPREPKILHAITAGHSGHVVHAAHHEPAGYGIIPGTHGMHTLQMMQKAEPQPPPPPKDECISPPLVEENAGVVNELPPPKKKQQRRQPKSPKPKKPKKANAPCEDGAPKPAPRKRGPKKHVGMVINGIDLDLSRIPTPVCTCTGAQQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRPSLIIMKGPNTTCVFNLNVFCFFVVLPKLTLNLAVNDEDTLHS* >Brasy2G271800.2.p pacid=40068801 transcript=Brasy2G271800.2 locus=Brasy2G271800 ID=Brasy2G271800.2.v1.1 annot-version=v1.1 MDDDGSLGMKNWGFFDPPTRNNLGLQLMSSMPTDRRDTKQLLSTGPFVHQHHHVHPHAPQHTHHHPHPHPHPRDGCGGVSSGAPGDSTYIPVNFVRNEAWLHPAHHPREPKILHAITAGHSGHVVHAAHHEPAGYGIIPGTHGMHTLQMMQKAEPQPPPPPKDECISPPLVEENAGVVNELPPPKKKQQRRQPKSPKPKKPKKANAPCEDGAPKPAPRKRGPKKHVGMVINGIDLDLSRIPTPVCTCTGAQQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR* >Brasy2G149800.1.p pacid=40068802 transcript=Brasy2G149800.1 locus=Brasy2G149800 ID=Brasy2G149800.1.v1.1 annot-version=v1.1 MTTQSNGDHHGNGPYSYPQRRPHYGGAPPSYGSSASFRGCCCCIFMLVIFLALLALAVALVVVLAVKPRKPQFDLNQVSVQYLLVAPPSPTASPSTAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQSDAQDLVRDAAMRDRVDLRITGDVAAKILVLGFSSPKVQVSVDCAIAISPMKQSVTYKQCGVDGLSV* >Brasy2G307400.1.p pacid=40068803 transcript=Brasy2G307400.1 locus=Brasy2G307400 ID=Brasy2G307400.1.v1.1 annot-version=v1.1 MFSAVVLRTPANNLPRRAVSFERVEGKERKKGRATLHPLRLPLLPPSLRQSQHNPAVKVPVPED* >Brasy2G212800.1.p pacid=40068804 transcript=Brasy2G212800.1 locus=Brasy2G212800 ID=Brasy2G212800.1.v1.1 annot-version=v1.1 MSRLTPGLPRPATTTNARRLPHTRHHYSPRISSSTMAALLLFSRLPATTAPASTSAPRLTPHFLSFSTPAKPCRRRSRLLASSAPPPPVPSLAAAQPFRSLSPSETTVLVTGATGYIGRFVVRELLRRGHRVLAVARPRSGLRGRNSPEEVVADLAPARVVFSDVTDPAALLADLSEYGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQASRSLGAAHFVLLSAVCVQKPLLEFQRAKLKFEDELAAEAARDPAFTYSIVRPTAFFKSLGGQVETVKKGQPYVMFGDGKLCACKPISEEDLAAFIANCIFDEDKANKVLPIGGPGKALTPMEQGEMLFRLLGREPKFIKVPIQIMDGVIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDEKTPSYGTDTLEQFFDKVIREGMAGQELGEQTIF* >Brasy2G212800.2.p pacid=40068805 transcript=Brasy2G212800.2 locus=Brasy2G212800 ID=Brasy2G212800.2.v1.1 annot-version=v1.1 MSRLTPGLPRPATTTNARRLPHTRHHYSPRISSSTMAALLLFSRLPATTAPASTSAPRLTPHFLSFSTPAKPCRRRSRLLASSAPPPPVPSLAAAQPFRSLSPSETTVLVTGATGYIGRFVVRELLRRGHRVLAVARPRSGLRGRNSPEEVVADLAPARVVFSDVTDPAALLADLSEYGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQASRSLGAAHFVLLSAVCVQKPLLEFQRAKLKFEDELAAEAARDPAFTYSIVRPTAFFKSLGGQVETVKKGQPYVMFGDGKLCACKPISEEDLAAFIANCIFDEDKANKVLPIGGPGKALTPMEQGEMLFRLLGREPKFIKVPIQIMDGVIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDEKTPSYGTDTLEQFFDKVIREGMAGQELGEQTIF* >Brasy2G212800.3.p pacid=40068806 transcript=Brasy2G212800.3 locus=Brasy2G212800 ID=Brasy2G212800.3.v1.1 annot-version=v1.1 MSRLTPGLPRPATTTNARRLPHTRHHYSPRISSSTMAALLLFSRLPATTAPASTSAPRLTPHFLSFSTPAKPCRRRSRLLASSAPPPPVPSLAAAQPFRSLSPSETTVLVTGATGYIGRFVVRELLRRGHRVLAVARPRSGLRGRNSPEEVVADLAPARVVFSDVTDPAALLADLSEYGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQASRSLGAAHFVLLSAVCVQKPLLEFQRAKLKFEDELAAEAARDPAFTYSIVRPTAFFKSLGGQVETVKKGQPYVMFGDGKLCACKPISEEDLAAFIANCIFDEDKANKVLPIGGPGKALTPMEQGEMLFRLLGREPKFIKVPIQIMDGVIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDEKTPSYGTDTLEQFFDKVIREGMAGQELGEQTIF* >Brasy2G124000.1.p pacid=40068807 transcript=Brasy2G124000.1 locus=Brasy2G124000 ID=Brasy2G124000.1.v1.1 annot-version=v1.1 MASATNTKQQSLYPEVAQSHPDHNTAFHSNPPATDASLYPTVDPRELAEDLFPADAAEDAAPAPPTVEETLVAVPGSQLHLVDPDRSLDLGAGTLSIVRLRQGDHAVAVLARLTPDKSQQRRGLFRFLSGGGRSSEAQEPVQWPLARDVAAVKLDAAHYFFSLHVPHTDHPDDAEEAAEAEKDAADGEAALSYGLTVAGKGQEKVLEELDTVLKEYTTFSVKQVDAVANGESEVMDTRAVTEITPEEAVGDKKELMEEQSAAFWTTIAPNVDDYSSSVARLIAKGSGQLVRGIIWCGDITAGGLKCGEAVLKKSVGPSGKPAQVKPSSLRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMQTSSVVTTSVVTHRYGDQAGEITQDYLHATGNALGVAWAVFKIRKALDPKGNLKKSSMASAAAHAVAKESIGRQKRK* >Brasy2G180700.1.p pacid=40068808 transcript=Brasy2G180700.1 locus=Brasy2G180700 ID=Brasy2G180700.1.v1.1 annot-version=v1.1 MDQVATYFWECCQHGEPVLLLVSLDLEMPPKSSRRGEEGDSFFFLRKHCLQIGMSISPWWLVKPSDNVL* >Brasy2G298000.1.p pacid=40068809 transcript=Brasy2G298000.1 locus=Brasy2G298000 ID=Brasy2G298000.1.v1.1 annot-version=v1.1 MAAGASAAVLPDDFSVEEALFALRQRLDDFSVAPDYTTAAAISLLLDSAADNIAGWSLEDESHVYEVAMIAQMMLRQVYRFLTSPSCNQIAVDSLSLGLPNSVAKLGVVCWDFSAARDIIQRFASKCSPRDNVLILCEALDKHSSIRVVNSSSYFIILVDGIGKVLPLIQRHRAHNIKIAMRAVIGCVKYTISVLDEHHGRNAIALFNAALVFSNTVQDMYKIMVDEEKEELTALLGLFALHNIACISQSKQDHILSACGPLAIGYSQVGYGETGFLFLGYGRCTSSSFMDDQILQ* >Brasy2G087000.1.p pacid=40068810 transcript=Brasy2G087000.1 locus=Brasy2G087000 ID=Brasy2G087000.1.v1.1 annot-version=v1.1 MAGAESSTFAVLRTHDHLFASRPSNALLDHSMAALTLASCPAARYVTTHLLAAAKVHSPSRGPSATAAKVHCPSLPPPWCAAAAADATSAEEGIGWICSSWTSKGEAG* >Brasy2G103500.1.p pacid=40068811 transcript=Brasy2G103500.1 locus=Brasy2G103500 ID=Brasy2G103500.1.v1.1 annot-version=v1.1 MSNLSEPSKDDGSTDVSVAFQKSGAWNNALSTFMHHASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDGLNLWTMWRFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLPPTMPIIQDMAITFHYTWWKCDLCFHRQPVHCDCLQLGNRLSSEYVC* >Brasy2G120100.1.p pacid=40068812 transcript=Brasy2G120100.1 locus=Brasy2G120100 ID=Brasy2G120100.1.v1.1 annot-version=v1.1 MWSALADMYAKCGRLDDARKVFDVMPVRDVVSWTAMVERYFDAGRVEEGFMLFLHMLGPAGVRPNEFTYAGVLRACAELAVESLGRQVHGRMLKSSIGDSCFAESALLHMYSKCGDMGSVECVFEEMTKPDLVSWTAMICGYAQNGQPEEALHYFDMFLRSGIRPDHVTFVGVLSACAHAGLVDKGLEVFHLIKDEYGILHTADHYACVIDLLSRSGQFERAEEMINKMPAKPNKFLWASLLGGCRIHKNVCLARRAAEALFEIEPENPATYVTLANIYTSVGLFDEVEGVRRIMESKGIRKVPASCWEKSACVSGC* >Brasy2G089300.1.p pacid=40068813 transcript=Brasy2G089300.1 locus=Brasy2G089300 ID=Brasy2G089300.1.v1.1 annot-version=v1.1 MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLGGIPLLVIGNKIDRPEAFPKQSFTEAMGLKAMTDREVACFMISCKNSTNIDSVIDWLVKHSKKKN* >Brasy2G453100.1.p pacid=40068814 transcript=Brasy2G453100.1 locus=Brasy2G453100 ID=Brasy2G453100.1.v1.1 annot-version=v1.1 MMCHLISGTLIQMTKMRLRILPPLSTTCWSRGEWQPFTAYDVHCSFCDKRAKHDFLSVLQHSENYRPKGSNRGNKKGKHKALAWFLKENIPDGLELMESW* >Brasy2G158000.1.p pacid=40068815 transcript=Brasy2G158000.1 locus=Brasy2G158000 ID=Brasy2G158000.1.v1.1 annot-version=v1.1 MGEVENKLKDYEVKKEGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFVSKRKEEHEAQMNKRNKSQKKGNHSETSVVNEEAVLTSQHDEMDVVHEKEPNQATDEIEDPSKEATKTPSWKLTRELKAPIVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVEACKRNIKFNGASAVSKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQAVADGGLLMCTATDLAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCAGCDSFHLQCLGRTVTKNNSVKYAPGIGPAVPQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTDVRSMKDRYPAYNKITSVLTTVSEELHDIPLFFSLHSISGTVKCTSPSAVMFRSAVINAGYQISSSHVNPLGLKSDAPWDVIWDIMRYWVKNHPIKEQPRDSPGTAILSKSPKLEASFSRAVAALSKAQAKKVKRFLPNPESHWGPKVRAGRKITSKHISLLGAEAINGAISHEDGNGVMTEKPASDTGETVTDEEREPSTKRQKTGDGELASEP* >Brasy2G158000.2.p pacid=40068816 transcript=Brasy2G158000.2 locus=Brasy2G158000 ID=Brasy2G158000.2.v1.1 annot-version=v1.1 MSIAVLRTFVSKRKEEHEAQMNKRNKSQKKGNHSETSVVNEEAVLTSQHDEMDVVHEKEPNQATDEIEDPSKEATKTPSWKLTRELKAPIVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVEACKRNIKFNGASAVSKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQAVADGGLLMCTATDLAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCAGCDSFHLQCLGRTVTKNNSVKYAPGIGPAVPQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTDVRSMKDRYPAYNKITSVLTTVSEELHDIPLFFSLHSISGTVKCTSPSAVMFRSAVINAGYQISSSHVNPLGLKSDAPWDVIWDIMRYWVKNHPIKEQPRDSPGTAILSKSPKLEASFSRAVAALSKAQAKKVKRFLPNPESHWGPKVRAGRKITSKHISLLGAEAINGAISHEDGNGVMTEKPASDTGETVTDEEREPSTKRQKTGDGELASEP* >Brasy2G336100.1.p pacid=40068817 transcript=Brasy2G336100.1 locus=Brasy2G336100 ID=Brasy2G336100.1.v1.1 annot-version=v1.1 MYPFDGIVKEEEFDFAGAYAAEDGGSLSSWAGACAGASELPRPMDGLGEAGPTPFLTKTYDVVSDHSTDTVVSWSVGGNSFVVWDAHAFSRVLLPRYFKHGNFSSFVRQLNTYGFRKVDPDRWEFAAEGFLRGQKELLKTIRRRRPLSSSSSAQQQQGPAAGCLEVGQFGHEGEVHRLKRDKGVLISEVVKLRQEQQATRAQMQAMEARIVATEQKQQQMTAFLARAMKSPGFLQMLIDRQQGHLGLGQAAQRRRELEDALSKKRRRPIDYLLPRNGDTSAASYSAAAAAAARDYGAGLADGPARAGDGRSGGGEDSESFWMELLSLGLEEKQGGGAGGSGGTGDGSGAETDNEVDDEVDVLVQSLYHLSPGGAHNPE* >Brasy2G197400.1.p pacid=40068818 transcript=Brasy2G197400.1 locus=Brasy2G197400 ID=Brasy2G197400.1.v1.1 annot-version=v1.1 MATTQAVAKGSVVSPCGNRAAGFLGRRRGAVAARMSSAPATILIGRKTPFLGGRLAVVPRRSKFVARNLVASPVQMNLAFAKAIKWWEKGLQPNMREVESAQDLVDSLANAGDRLVVVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHNPDRCSIGPTRGLEESELLALAANKDLQFTYTKQPEPVPSGGAEVIAPESPRLPAPAKPLVRQGSGERSLVSSGR* >Brasy2G313800.1.p pacid=40068819 transcript=Brasy2G313800.1 locus=Brasy2G313800 ID=Brasy2G313800.1.v1.1 annot-version=v1.1 MGSVHRRPTCRRCCPSCRRRRTSLATRAAARAPTSSLDAQALPLPMATEHVRRQSTAAAAQPIHRRPNRVHRLALCRSSTSRRHPPIRCPSAPTRFLITAARGLAASLLPHAQGTIPHPHRGSRGYRGASGFEPAALPFPPPPTPLLRFASLP* >Brasy2G351800.1.p pacid=40068820 transcript=Brasy2G351800.1 locus=Brasy2G351800 ID=Brasy2G351800.1.v1.1 annot-version=v1.1 MRSTPDDIIVVTTNNWNYPIIVCRTGKPAAWHAQGGDENVYEDEEGQDEEDDDDHEELEVLSNADEDDEQEEKHEQGNEDSGDIVLTCDDPLDELQDGDDEVPNGIEYVDDCHEENSDPCEPKDSIRISRYLIESNGKLLMVRQQRHISPYSRAYNVKVEILNADMEACSWNPVVADDGKCALFVSDRFSESASVGVKAGKGYTCYFVDDHDVTNRSQSFDEIIGRKSTWFFPYDRACSLVVGAPIQNFFSNSVNLNTCSTNSRARSY* >Brasy2G175400.1.p pacid=40068821 transcript=Brasy2G175400.1 locus=Brasy2G175400 ID=Brasy2G175400.1.v1.1 annot-version=v1.1 MEKRLATAAHSSLRSLQGGEPPSLGRPPPRTERLAWAPAALPMCFRPHLLLVLRLQDIPVSIALLWRPHLTVERGAAPRNRDIDALASFRHLPSFSSLFYAAAMATAASSGGVPDHLFVSVKLESPRLAALDLAPHLFGSHPVASSWDPSKALPMERAAASIWELSCVLPSQHETLDFKLVLKQKGDSSECIVEEGANRQLGCGSNEVKMTDAVFKLSEKDDLDCKVWVETEMLSPFDLAASWRAHQENLQPSRVRGTYDVVMNAEIESRPKNGFASGLELDLEKYVVPTPNMGSGVVYAANLTENPRSLLDHGVSSNNDTTKGTLHNLFKGDDAPPNHYANTEKGVDGRHAPSQEEQRAVFVDRGVGSPRLSRPTNETISMGNMKQDFEAKVVYHTTPCFVNYSSVLKKKGMPAAEGAVAAAAVADQMYGPKEDHKLVIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTSQTADFFRGDNKEGVEARNEVAALAMEDMLSWMVEGGQVGICDATNSTRSRRNMLMKMAEGKCKIIFVETICNDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGNGGQLQINNISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSEAGGVYSRKLASFVEKRLKSERTASIWTSTLQRTILTGHPIIGFPKIQWRALDEINAGVCDGMTYDEVKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVSGVEEKRYKLMDAVNPTAEI* >Brasy2G175400.3.p pacid=40068822 transcript=Brasy2G175400.3 locus=Brasy2G175400 ID=Brasy2G175400.3.v1.1 annot-version=v1.1 MEKRLATAAHSSLRSLQGGEPPSLGRPPPRTERLAWAPAALPMCFRPHLLLVLRLQDIPVSIALLWRPHLTVERGAAPRNRDIDALASFRHLPSFSSLFYAAAMATAASSGGVPDHLFVSVKLESPRLAALDLAPHLFGSHPVASSWDPSKALPMERAAASIWELSCVLPSQHETLDFKLVLKQKGDSSECIVEEGANRQLGCGSNEVKMTDAVFKLSEKDDLDCKVWVETEMLSPFDLAASWRAHQENLQPSRVRGTYDVVMNAEIESRPKNGFASGLELDLEKYVVPTPNMGSGVVYAANLTENPRSLLDHGVSSNNDTTKGTLHNLFKGDDAPPNHYANTEKGVDGRHAPSQEEQRAVFVDRGVGSPRLSRPTNETISMGNMKQDFEAKGMPAAEGAVAAAAVADQMYGPKEDHKLVIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTSQTADFFRGDNKEGVEARNEVAALAMEDMLSWMVEGGQVGICDATNSTRSRRNMLMKMAEGKCKIIFVETICNDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGNGGQLQINNISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSEAGGVYSRKLASFVEKRLKSERTASIWTSTLQRTILTGHPIIGFPKIQWRALDEINAGVCDGMTYDEVKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVSGVEEKRYKLMDAVNPTAEI* >Brasy2G175400.2.p pacid=40068823 transcript=Brasy2G175400.2 locus=Brasy2G175400 ID=Brasy2G175400.2.v1.1 annot-version=v1.1 MEKRLATAAHSSLRSLQGGEPPSLGRPPPRTERLAWAPAALPMCFRPHLLLVLRLQDIPVSIALLWRPHLTVERGAAPRNRDIDALASFRHLPSFSSLFYAAAMATAASSGGVPDHLFVSVKLESPRLAALDLAPHLFGSHPVASSWDPSKALPMERAAASIWELSCVLPSQHETLDFKLVLKQKGDSSECIVEEGANRQLGCGSNEVKMTDAVFKLSEKDDLDCKVWVETEMLSPFDLAASWRAHQENLQPSRVRGTYDVVMNAEIESRPKGVDGRHAPSQEEQRAVFVDRGVGSPRLSRPTNETISMGNMKQDFEAKGMPAAEGAVAAAAVADQMYGPKEDHKLVIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTSQTADFFRGDNKEGVEARNEVAALAMEDMLSWMVEGGQVGICDATNSTRSRRNMLMKMAEGKCKIIFVETICNDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGNGGQLQINNISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSEAGGVYSRKLASFVEKRLKSERTASIWTSTLQRTILTGHPIIGFPKIQWRALDEINAGVCDGMTYDEVKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVSGVEEKRYKLMDAVNPTAEI* >Brasy2G346300.1.p pacid=40068824 transcript=Brasy2G346300.1 locus=Brasy2G346300 ID=Brasy2G346300.1.v1.1 annot-version=v1.1 MKEATTSGGASTGANDPRQPTTARPYAPPKLSSQDLPVDYAGFLAVVFGVIGVMLRYKVCSWIAIIFCAQSLANMKNFENDLKQLSMAFMFAVMGLVTNYFGPPRPGTTKP* >Brasy2G284700.1.p pacid=40068825 transcript=Brasy2G284700.1 locus=Brasy2G284700 ID=Brasy2G284700.1.v1.1 annot-version=v1.1 MSRAPVLLLLALLLCAAGAVAVAAKAVPKFREAPHFTNSPAARCPPPLPPASSGADAACSPDAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESVYFHFLASSSAGSTSPASLAGAVRGSFPSLAFRVYPFADESRVAGLISASIRGALDRPLNYARSYLATTLPHCVRRVVYLDSDLILTDDIASLAATPLPADAAVAAPEYCGANFTAYFTAGFWASPSLSSTFRARGRRACYFNTGVMVLDLPRWRRAGYTAQIEAWMELQRRVVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPDAAGIESL* >Brasy2G324900.1.p pacid=40068826 transcript=Brasy2G324900.1 locus=Brasy2G324900 ID=Brasy2G324900.1.v1.1 annot-version=v1.1 MTMEPWRLLILLLVPIAAIGMGYLLRRRRSQDGNSHDDKLPLPPPGGMGSWCRAALGIETLSFLAANSSGRGFYDFVRSRGARHGACFRTALFGRTHVFLLSPLSRAAAPALLLDTHPHLAKRYVRTVADLLGEHSLLCASHDAHRRMRRAVAALFASAPTAAFVQSFDRLVTHHMQKLMLLSRGEEEDHGRRAVVVVLDAALEVTFRAICEMLIGAQDEDKLARLQSDVMDVTRAMLALPLRLPGTRFYKGLQARKRIMDALRQEISTRRQNDGLKLDHRKDFLQTLLLKSQTHSAEEALTDQQILDNVLTLIIAGQVTTATAITWMVKYLADNTELQDTLRSVQVDIASKHHLDSPLTLQDLNTMDYAYKAVKESLRMATIVSWFPRVALEDCQVAGFHIKKDWIVNVDARSIHYDPAIYPNPTVFDPSRFTNEDMKTRYSFLVFGAGGRTCLGMNLAKIMMLIFLHRLVTNFRWEMADQDTSLEKWAMFPRLKNGCPIRLTSIHKEKLY* >Brasy2G067300.1.p pacid=40068827 transcript=Brasy2G067300.1 locus=Brasy2G067300 ID=Brasy2G067300.1.v1.1 annot-version=v1.1 MSSSTGEIAREMDLQVKGKLGPHEVRCVQRNVLLQALEEELPAGTIRYSSKIVSIDDEGGDAKKTLHLADGSTLRAKVLIGCDGINSVVAKWLGLAKPSESGRTATRGTPSTRRPRVRAQVPAVRREGFRAGMVPCSDTDVYWFFTWSPSPSNGTGGVGQSPSATKQFVLSKLRSAKVTPQVLEAVERSEMSDVLAAPLRFRAPVSLLFASISKGSVCVAGDALHPTTPDLAQGACTALEDGVVLARCLGDAIVGQSCARDQEKERIEAALRKYAGIRRWRSAR* >Brasy2G106400.1.p pacid=40068828 transcript=Brasy2G106400.1 locus=Brasy2G106400 ID=Brasy2G106400.1.v1.1 annot-version=v1.1 MKRQRSSSHVDDLDDDRRRFYDRGPAPPPPRSLAKYESDGFDRRSKGFGGGFYDHHRYREPPSPSAYGGDRSMRRSEPRREFHKDNKGFRSERDRSRRDGGSSSSAWRRPGHGWTDSDGFAAHRSVSRQQALSPPRRSPTESSRRLDGAKVDKLRKQNCGVGEIEEGEVAPDPEAKARRSAAEHRKQVESGHPKGKRTERGELKKVDSSGIRPRVDLRTQGKGATGASAADNLGKEEGKSTDGVVAEAGKVTDIRLEKSASDAAEEVGGGDESAGAVDQVGQSTSSSMSRERLHEEVDTRANTANAADVVGQSTPSSIQKESTQKEVTTQDETAIALDEVGQGTSCSIQKEGLQEAAMALDETTNAVDVVLKGGSLSMLHEVHLEEAVDGNANVGDGVGTCTSSSIPQEVMQEEVKILDVAANIVESQGQISYTGILKEGIHEEDMMIDGSGTADAINVVVQSSSSSMLEEAAHGTVTTQEGTDDALVRAEKSRSSTVLQIEVQGEGMTSLCQEVLEIKEAEVESMADRKIGETTESVAPQPVEEALKRYGCENSIELDEAKIVEEEAAAEHEVVANQVKHVDLEPSLEAKLVGSNVFLQPPEDHTGDGNQEGAALHLIMGKPGAGDKRKGIAFDVLSKAGGGSLVGRSLDIGLQPDIDKKELSKSTRTTSVKEEADTHEIRKLDLSLSLSGGLQNPEFKCSIPRPDSLAHGPCSQPLPSSSSFRTNSDGITTFISLASSQTFVHNPSYSLTQQPLDNCDHSINSKPFFQGVDKVSDTTGWQAPSSLTRSTQKGDSTPILQRVSQNGYLSYNTLVGVNTQNNGISGMLSPAHNLGSHDAGLEHDRHGSQFTREQLVLNGSGVVERVISKIVLEPLNQTGRMLQEMTGKSRTYLREAISEIIVDADKRGQIVALQEALKKRSDLNSEILRRCPRVLLEILVAIRTGLPDFIKKSSTITTFDLVDIFLNLKCRNFSCQRVLPAFDCDCKICQQKTGFCSSCMCVICMKFDMASNTCSWVGCDVCLHQCHTDCGLRHSLIRKGESGSRPYGTSEMQFHCTACGHPSEMYGFVKEVFRTCAKQWGMETLIRELQYVKRIFSASDDARGKRVRGFVKQMLIKLEKKAYYSEIVKYVIAFFSDDNPNLGCGPSVPLKGIPCSIAEGVDGIPSSSRKATWLPSVTLEGVPFLEKAGILSATGRSSMPITFGETEFQAVNNKPVIDELDGLVKLKQAEANMYQERANDARNEAESLKHITLLKYAQIEEHYATQMATLHINELQEIRKQKVEELQVIERSHHQFLSMKTRMQANIRELLLKMEATKQNLSS* >Brasy2G020100.1.p pacid=40068829 transcript=Brasy2G020100.1 locus=Brasy2G020100 ID=Brasy2G020100.1.v1.1 annot-version=v1.1 MIEGVRKRPPRRFRGNRGRRFAEYEISIRMIFCDLQGPARAGGALRRGDGGARAGAEAFRRRRGGEAGRRRSGRGRRPKQSGGGGGDAEAKRGGGARAWAEAFRRRSGWGQAAGAVTRRRSGAAARTRSQATASLSVWVGPGTMILD* >Brasy2G262800.1.p pacid=40068830 transcript=Brasy2G262800.1 locus=Brasy2G262800 ID=Brasy2G262800.1.v1.1 annot-version=v1.1 MPLLPRRPAALYVPVRGLLEARVPWGRDRALDHVVERERHLVPFLLTKDALLTAAPPPHAVPLHALPSSIPFPFRPLRFLRLYGSAFELSPHPVAVSPTHRLAALHLDEAQAIDSTRADAADRLLRLLMLAPSRALPLHLVARVRLDLGLPSDFPRSLLPHYPDYFALSSDGRLLELVCYRKDLAVSDVQSYAQRTGGYKVGDPIAFQLSFPRGFELDKNVRKWLDEWQRLPYISPYEDGSHLAPRSDITEKRTVAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVIARHPGIFYLSHKLRTQTVVLREAYRRHMLMIKHPIMGVRYQYLHLMHMGKEEAGKAKGKERKTMRGEQTIGDEYGADGENDEDEDEYDDEEDEEDEGENIEAGVASEDEESDDEGAENIGHPAEGETGEPQPETSGRIQSYHPTPLQPLSLLWLALYHISAHERAILMAISWPWFMVCCSPKYTLGMALPCKCHLHEAAKTIRRKIINQAHLSIPWY* >Brasy2G005200.1.p pacid=40068831 transcript=Brasy2G005200.1 locus=Brasy2G005200 ID=Brasy2G005200.1.v1.1 annot-version=v1.1 MGKAGRWLRSFLTGKKGKDKGPGKGDGPPPPPAAKEKRRWSFRRAPAATASAASTTSRGQLASTSSPHCFSEAARVAAAQPEEDRHDASAAAADKIAAAVKIQSAFRSHLAKKALCALRGLVKLQAMVRGQLVRRQAGATLRRIEALVAAQRRARAAHLRLRLLLDDDAGAGTPPRRRRSPQHQQQQPRRNSSCCSTPPGAKGMPMPMPAASREEEDASARTCSGRLDDDEQHYSASASALSSSEAVSGGPRRASYMANTESSRAKARRSQSAPRQHHHRPPFASAAGAGFSPSPSRRASLDPRELLIAAGEPRAPSPGRSSSDERACPWAARLDMGAPIPGNGNGNGNGSECGSTSSTVVTSAARIAR* >Brasy2G265700.1.p pacid=40068832 transcript=Brasy2G265700.1 locus=Brasy2G265700 ID=Brasy2G265700.1.v1.1 annot-version=v1.1 MFVLTLSFGEYRQVPGGYSSEEYAQTDLIIDNINVLLYMSSIQIAVYM* >Brasy2G382700.1.p pacid=40068833 transcript=Brasy2G382700.1 locus=Brasy2G382700 ID=Brasy2G382700.1.v1.1 annot-version=v1.1 MDVEAGRNDRKKGVYQDLVLAYKTLGVVFGGLEQDYLGIFSIMFWTLTLIGVVKYLCIALNTDDHGEGGTFAMYSLLCQHANIGVLLSKKIYVEEAEGRLASAQPAAGVVTGRPNRLRRFIEGSIVARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGVNGLSAAILVGLFLLQKHGTSRVSFMFSPIMAAWTFTTPIIGAYSVWRYYPGVFKATSPHYVVMFFMANRRRGWQLLGGTALCITGAEAMFADLGHFSKRSIQIASLTGVYPSLVLTYAGQTAYLIKNADDFGDGFYKFVPRAVYWPMFAVATLAAIVASQSLISATFSVVKQSVALDYFPRVRVVHTSRGKEGEVYSPEANWVLMLLCVGVVVGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVALVALYFVPFLAMEGAYVSAVCAKVLRGGWLPFAVSVALATVMFAWYYGRQRKAEYEMANKVTLERLGELLAGVPRVPGLCFFYSNVPARQGWLTPVLAHYVRSVRSLHGVTVFLTLRYLLVAKVDAKDRVAVVRRLGPAAGGVYGCTMQYGYADRLDFEEDDLVGQVVSALRELMETEGEEEVARLDEARAAGVVHVRGKMRFHFLHGACRSALPALGVPLQQRVEIGMLCKA* >Brasy2G253300.1.p pacid=40068834 transcript=Brasy2G253300.1 locus=Brasy2G253300 ID=Brasy2G253300.1.v1.1 annot-version=v1.1 MSSSSLASSSYRRRILDSKSTASHALYASRFPARSRQPAHTRIGATTTTRAHGVETSIISVLTMHRWETLNHMAYKFGKLDKGHGKLALKILSSIVQRSGLERITHIYCMAAHILTQAKMHSQAMSLLKHLAMTGFSCSAVFSSLLCTISRCDSNPLVFDLLVNAYVKERKVVDASMAILFMDNCGFKASARACNAVLNALVEIGESKHVWFFLKDGLARKFPLDVTTCNIVLNSICIEDNLKRAKHMIQKMKSRSLPNVITYNTILYWYVKKGRFKAAMCVLEDMEKNGVEADIYTYNIMIDKLCKMKRSTHAYLLLKRMRGDNLAPDECTYNTLIKGFFDESKMTLAIHIFNEMLRQSLKPSLATYTTLIDGYCRNGTIDEALRVLYEMQVAGVKPSEVTYSAMLNGYCKASMLGHALNLIEVLKSRGTAINRTMYTILIDGFCQLGEVSKAKEIFKSTLADGISPDVVTYSALINGMCKMGKLDETKEILSRMQKTGVLPNEVLYTTLVCYCSKAGYAKEAMKYFVDIYRRGLAANSFIHNALLCALYREGMVTQAEQFKEYMSKMKITFDVASLNCIIDSYCSRGRVHEAFSVYDNMGKYGCSPDIYTYRNLLRGLCKGGHLVQAKEFMSCIVQIPSAIDQKTFNALLLGICKHGSLDEALDLCEKMVTSNFIPDIHTYTVLLSGFCRKGKIVPAVILLQMMLEKGLVPDIVTYTCLLKGLIKEGQVKAASYLFQEIICKEGMYADCIAYNSMMKGYLKAGKIHKVEMTICDMHQNKVYPNPASYNILMHGHIKKGHLSRSIYLYKDMVRKGIKPNNVTYRLLILGLSKHGMIEIAVKFLDKMVLEGIYPDRLSFDVLINAFSEKSRMSDALQLFNCMKWLYMSPSSKTYSAMINGLIRKNWLQHSCDVLRDMVESGLEPKHTHYIALINAKCRLGDINGAFRLKEEMTALGIVPAEVADSSIVRGLSKCGKVEEGIIVFCSIIRAGMVPTIATFTTLMHGLCKEAKISDALHLKSLMGSCGLKVDVVTYNVLITGLCKIQCVSDALELYEEMKSKGLRPNVTTYTTLTEAIYRTGRTLEGEKLLNDIEDRGLVPSYTDQCPEWRMENAMDRLNMIRNCRKGTAFNNDSKVLHADHKSIHKAAED* >Brasy2G126200.1.p pacid=40068835 transcript=Brasy2G126200.1 locus=Brasy2G126200 ID=Brasy2G126200.1.v1.1 annot-version=v1.1 MAAAAAPFGLVSRLSPAARLPIRAWRAARPAPLGITSTGRTRPLSVASAAQENRDNSSVDVQVSQNGGNQQGNAVQRRPRRAGFDISPFGLVDPMSPMRTMRQMLDTMDRLFDDTVGFPTARGRSPAASEARMPWDIMEDDKEVKMRFDMPGLSREEVKVSVEDDALVIRGEHRKEAGEGGEGAEGGDGWWKERSVSSYDMRLALPDTCDKSQVRAELKNGVLLVTVPKTETEHKVINVQVQ* >Brasy2G368300.1.p pacid=40068836 transcript=Brasy2G368300.1 locus=Brasy2G368300 ID=Brasy2G368300.1.v1.1 annot-version=v1.1 MSSEADKPLRRIGASFEELAAVAKQQPPAAMVVGDFSRACSHVSVLFGCLGIAFKFAEMDYVAKVDDLLDASKSISTLPSMVELDIQKGTVRQAGSHTRNLLRVKRGIDMVKVLFEQMLVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVGAGMYALPSKSQLLKKLNEDENSARAQMQSFVRTSGPVILYVEGLFTSRNLGIDW* >Brasy2G041400.1.p pacid=40068837 transcript=Brasy2G041400.1 locus=Brasy2G041400 ID=Brasy2G041400.1.v1.1 annot-version=v1.1 MNLNLKLNPWLVRICSDVEARLEAHYSDELAALATPLEQNLRERDRGERELREGNITEREKELTGGNGVDDGQIWRLRRGGARIRRVEAGWRRRDSASSFEGSDGDGKEEPREGRRRPDPDSPSSDPSREEAWPSALGQGGGVAAVARGRNLAAGGSIVLANSAGGSTSREGKRRAARAGSGKRSSAGLRQRGGGGSGLGEKGHRGEEAGGERRLGEMGIQENKSGGGDGVFYTTPENPRPTGPAQLNAYDQTKHQWRA* >Brasy2G187700.1.p pacid=40068838 transcript=Brasy2G187700.1 locus=Brasy2G187700 ID=Brasy2G187700.1.v1.1 annot-version=v1.1 MSSLSILHRACLRVALFPVAPLRPPRLPRPLRLPHRSAMSSAASRLSHIATAASGAAGESNETAAAASGLAQEDDDLSSGAMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGHSNTRSRMSFYTGIGIHKLMDNGTLGPEKEVHGYPEGARINFVTWSHDGRHLSFSVRVDEEDNKSGKLRVWVADVESGEARPLFKSPEIYLNAIFDSFVWINNSTLLVCTIPLSCGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDADLFDYYATSQLMLASLDGTVKPMGPPAVYTSIDPSPDDKYLMLSSIHRPYSYIVPCGRFPKKVELWTADGKFIRELCDLPLAEDIPIATSSVRKGKRSIFWRPDKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEVVNGEQPEILHKLDLRYGGISWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVNKQDKNTYILLNGMGATPEGNIPFLDLFDINTGSKERIWESDKEKYFETVVALMSDKIDGELPLDQLKILTSKESKTENTQYYLQIWPEKKQVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTAKLYLPPGYDASKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFSGIGATSPLLWLARGFAILSGPTIPIIGEGDVEANDSYVEQLVTSAEAAVEEVVRRGVVHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGVQSRLVILPFESHGYSARESIMHVLWESDRWLQKYCVNSTSKADSESAADCKNETLSASGGGAACEGLSSDELSSIPRSLL* >Brasy2G016600.1.p pacid=40068839 transcript=Brasy2G016600.1 locus=Brasy2G016600 ID=Brasy2G016600.1.v1.1 annot-version=v1.1 MLAAAILVMTLLAVAGDQLAAAGDTVTPIINATCTTFGTTFGGTYPDYSYCMHVLSSDPSAAAAAKDRHDVTIIAANATSQNVKLTVKLIDGLGSESGLAECKVAYGGMGEKVNGALADLVAGRSHVVAANTLSDASYDPIKCDVALSKGTKGAFDKDSLYQENARNFVLAHFASTIAMRFSGN* >Brasy2G276900.1.p pacid=40068840 transcript=Brasy2G276900.1 locus=Brasy2G276900 ID=Brasy2G276900.1.v1.1 annot-version=v1.1 MADLLLVVHLPPCIPAVGYAYLRSPGTLAPISFTFPRAPDPSRRHGRPHCRQALLVKPANRAHLHRGRPSFFRSICCLRSITWMRRLRRPCRCRPTSPWTCFAEPCRAALTASTRSW* >Brasy2G225500.1.p pacid=40068841 transcript=Brasy2G225500.1 locus=Brasy2G225500 ID=Brasy2G225500.1.v1.1 annot-version=v1.1 MVEIWWITDDDHFWIKEKDPLSGGSSTRWTDEKHMLYISSLELSFVTQLYDGEISSNGLLCWSPSVWRNKAHNGNHANRQVDQQVYWGMVEADGAESRVSQAEHTGSPSYYGDQEDRNAYYMNDDASTSEPRQERIGHYARRKNSGGSSASHFHLHGHSLFGTELSDQNFVDDDTEVSRERSRGCCNKRLKHGADTTNSLAATSANANLQLEVIHHVEVDYSGSSSDFEVGLLKAEVSSGKSQGQRRWSV* >Brasy2G472100.1.p pacid=40068842 transcript=Brasy2G472100.1 locus=Brasy2G472100 ID=Brasy2G472100.1.v1.1 annot-version=v1.1 MALNGAYVRTIQSPIYTILKIRTVPFLHTRFRRLSNFLADALSFAHPSPSRPAGPNPSASPSRLLPPSPSRSPLPPAVLPRSVLRILLSRIRLRLRTACLGRRRLLASSRTASLAAAATCCSIRGWRGKWPSLEEDAAAKAAWNGVPAALAPRIGGRTAMVMTPRGGASSQRRPGVCLHATSTTRLAFLKLNLNLLFT* >Brasy2G004800.1.p pacid=40068843 transcript=Brasy2G004800.1 locus=Brasy2G004800 ID=Brasy2G004800.1.v1.1 annot-version=v1.1 MARMSPNNPMRKYSWWWDSHICPKNSKWLQENLTDTDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHRKMAEAFPDEYQLDLDDDLPSETASSETDTETRDMTPFFRSFINTGDSKKRSKDDQDHEKLQKEISSLSQENQDLKKKISSVLEKSESAESEVRCLKEALAQQGSEKEAAVSQCKQSSDRLQNLKSEILLTQEEFRKLKEEMQNGLQNLSTAEEQCLQLERANQDLHLELDKLKYASKEKHEELNGKYIELEKLSVSIQEEQLKSMQAEMARLSLEKQLAQVQEKLRLLSLEKHGEASKFKDIEASKLMLQKELEKIREENRKLDDQNHTSTSVIVRLQDEIISLKNAQRRLEEEVSRHVEEKKVLQHELSHIKNDRGDVERKHFSIKEQIQVVNFNVESLQALAQEMRDGNVELKETIKNHDGMKALYVENLMQLERTLEKNAHLERSLSAAATEVAGLRENKIALEESCKHLNSKISSHQSERTMFITRIEGISRTMEKLSENNVFLENMLSENSTELEIHRRKLKDLEESAQALRNQNSVLRSDKRTLVHEVDSMNGALLDLETQYAELEGRHLDLQQEKNKVLNEVIKIQELLRLEREKSKELTHSDKTQFSAIQKQIALLLDDGRHKEKQLQEQEHKIIEAQTEIFVLQRCLGDMAEANCDLSGELWKQQEARKILEEKLAFSSQNSKQLTEGIGSVMEVLQFDEKYGSLDLMKLDVVVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQSEKHDLLKISCELRKDMEARNRKVDEMKSEAKFLVRQLSELQESRQSLQAEIIKLIEENSSLAGKLNDSREKEKSFEDDFSTLIGEAVRTDILGVIFRSLHDERTSELQSLHDDFGSLHAAGNELYQEIRLMNKKLGDLQLENNYLEKELSRTISICDGSSPENGSGRRRTMRRDTKLLKSGRKSQDSMVSIEQRKEVDSAGLEKSNEMLREELQKLKSKMRVLKNNEQTVIDVKSCDAEITKLLSNMQIATANAALFKEKVLELIVTCESCEISEIVQKEVLKEEITRRNSYVDALKDKLNAVEIENRRLKVDLNGDFTVLDALQTEVNALERQTLSLAKDCVPSNKLRKEEFQLSPQLSKIAVKPSDDQNSTKLVKDMELQKLHGTIKALQKVVTDTGVVLEQERLDFSSNLQDARKQIEMLKLKDVLDSDTSDANYERMLKDIQLDLVQTPSRRAIGSHRQKKKIAAQPDEKMLALWSVVRTSSGSGRYDDLRPPQSEASSEKDKGKRSSSELMLVKDLGVDKQELPRSVVTTEPHREWKKKVIERLSSDAQRLRDLQSILQELRASVEASGEAELESVRAQMVESEAAISQLIDTNGKLLKKAEEFTSVDGLDGENVDLRSRSQRKILERVRKMSEKVGRLELEMQKFQQVLLKHEEERASRRASKTMQGHQQRRSRVQLVEYLYGKRRGDAASQRRTKRGPSCCMRAKAMDD* >Brasy2G070000.1.p pacid=40068844 transcript=Brasy2G070000.1 locus=Brasy2G070000 ID=Brasy2G070000.1.v1.1 annot-version=v1.1 MAALLLLLGVFSLLFAAIYPVQSTPASHSHVHIVYLGHNNGLSPSLTARFHLRLLSRVFAEPDEARQAILYNYSYGFSGFAAVLNSTQADTLSETEEVISVFRSRMLQLHTTRSWDFMGLSLHLQMEQSSSQVHLKYGADVIVGILDTGVWPESESFRDDPHLGPVPSSWRGTCVAGQQFDPATACNHKLIGARYYLAGFESDLGPLDTSGGAEYRSPRDRVGHGTHTASTAVGSVSPNASYFGGLGRGAARGGAPGARLAVYKVCWFKDMTGRCSDADILAAFDDALHDGVHVISASLGSPPPLSPLFETSTEVGSFHAMQLGVSTVFSAGNDGPDAAMVQNVSPWTLTVAASTIDRRFPTVITLGNNASFVGESLVVDDMKMPLVESSSVFTDGTCTFDQLVNRTAASGKMVLCFSTMGMVSSEGAALAVYAGGGSGVIFADTITRKSTQDNFLPTVHVDLRQGTQILDYIHARSRQPASVHVSPSRTVVGRTPAPAVAYFSSRGPSSISPNILKPDVTAPGVNILAAWPPKSPPTVLPLDKRSTHWNFDTGTSMSCPHVSGIVALLRSAHPSWSPAAVKSALMTTAYMRDSTGDAMQAGGTLKPADAFDAGAGHVDPLRALDPGLVYDADARDHVRFLCGLGYTSAQVRRMVLPSPSLNATCPSGSSASTEAADLNYPAIVIADLGGTRTVKRTLTNVGPRRDVVYWASAVVSPQGARVEVWPRELAFCAGRDWAEYYVTVTPGKMSRGRYDFGEIVWSDGYHRVRTPLVVSVANLPDAGDGYGARSMDGHGAADPLSQAAA* >Brasy2G372300.1.p pacid=40068845 transcript=Brasy2G372300.1 locus=Brasy2G372300 ID=Brasy2G372300.1.v1.1 annot-version=v1.1 MAMDSFPPPAPASTGDPLAVNIPPSLPSPSSPPSSSLNLSPSLLIIAALLAFVFFASVSIHFLLRCLSRSPSAPGLLPRAVHRAASSSSTSDDGGEPTAAAAASSVRPAAATASEEVVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFLADAELRLLPACRHAFHAACVDAWIRTTPSCPLCRAAISLPHPPLPTAAAAAGAGAGLQEPLDSRSSTNSRSFRVEIGSISNRRSSAAGGDDHRRTYSLGSFDYRVDEEVEAVVSRIISRPAAAAAAAKSTAGAAPQGPADALAEAAGSRGWLRDYVDRLASSASSLSGRWSARWSQSHHSAHRQEELWQWDPEAAAAMSSAPRAADEDDAAGLMGLYRWIVGV* >Brasy2G067000.1.p pacid=40068846 transcript=Brasy2G067000.1 locus=Brasy2G067000 ID=Brasy2G067000.1.v1.1 annot-version=v1.1 MAYKKLSELTTKRQSWNIKVKVMRIWNSINPRTDELISIDMILMDAEGEVIHAIIWKNLADTFIPKIKESCIYVFNNFKVDESTKYRPVNNDLKIIMLSDTKVKEVKGLSDRFQEYYFEFASKETLLERQEKDEYCSDVIGLLTQIKPIESRITRAKTDNPRTADMREIEILIPEGDKVRVTLWGNLAHSLRDDVVGKQTVLIITSTMVQKFNGLSLKSTSATRLYENLDIPESLELQDSYSTEDILPKMMEIDKSTQGTLEQQMFYNRKTLREITEIRHEDPINQDLVYTALATIDRLQENIQWWYRIRLQISDPTATTSCTLFDEETNRILNKSVTDLLDSLIGKSEEIPKIIQELCGKRLIFRFKLNKQNLTLGTPNYAVKRTFVPDENLKGNTSTMKLRR* >Brasy2G325800.1.p pacid=40068847 transcript=Brasy2G325800.1 locus=Brasy2G325800 ID=Brasy2G325800.1.v1.1 annot-version=v1.1 MPFKLLQTHRAAGQCHLLLLLNLVPGLLLLPLCLSAAAQKVVTHLPGFSGPLPFYLETGYVSVEEETGTELFYYFVESERSPATDPVILWLTGGPRCSAFSGLAFEVGPVKFVLAPYSGRLPQLVQNPLSWTKMASIVFLDSPVGSGFSYARDPKGYHVGDHSSSLQVQRFLNKWFTDHPQYLSNPFYLGGDSYAGLVIPLIAHIISEGIEKRPQPLINLKGYLVGNPITDRKFDGNFRIPSAHGFGIISDQIYEAARKHCKGDYVNPANRMCAEVLRTINSLISEVPDGHILYKKCVVAVPKPFDDGSGRNFLLEESIQLNKPPGRPTVDCFTYGYYLSYFWMNNNLTRYALGVKEGTTSEWIRCVKGLPYTFDLPSSIPYHLKLTRRGYRALVYSGDHDMTVPLLGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIAYANNLTFATIKGGGHTASEYQPEECFAMARRWLDIEPL* >Brasy2G388300.1.p pacid=40068848 transcript=Brasy2G388300.1 locus=Brasy2G388300 ID=Brasy2G388300.1.v1.1 annot-version=v1.1 MEEQGAPAAGTPEPEPERADKVVTLRPFDLADADAVFAWASDPVVTASMPWASDPCPRSESLLAFLRDGDSAPPHPWVRAVCLGSGSGGAVVGAVAVTPTDDWCRAEIGVVLARARWSVALAAAAMRRAVAEVFVDGGAPRGGTLEGVERVEAVVDGEDASRRALEEAGFRREAVLRSYRAVEGQLRDMAIYSFISTDTLLLD* >Brasy2G119300.1.p pacid=40068849 transcript=Brasy2G119300.1 locus=Brasy2G119300 ID=Brasy2G119300.1.v1.1 annot-version=v1.1 MGSPSAVPVAVLSLALCAFLAAVSRAQQLSPAYYDGSCPHVYDTARRVIQEARVADPRILASLVRLQFHDCFVNGCDGSLLLDDSPAVRSEKNAAPNNSSARGFPVVDDIKAALEHACPGVVSCADILALAAEISVELAGGPYWRVMLGRRDATTANFEGADNLPGPTDALGVLREKFASLGLDDTDFVALQGAHTIGRTQCRFVQDRLTEQPDPTLDRDFLSALRQFCPASAGVDERLNNLDPATPDAFDNSYYVNILRNRGLLRSDQAMLSAPEGAAAATAPIVGRFAASQADFFRSFATAMVKMGNIAPLTGDMGEVRRSCRVVNRS* >Brasy2G216100.1.p pacid=40068850 transcript=Brasy2G216100.1 locus=Brasy2G216100 ID=Brasy2G216100.1.v1.1 annot-version=v1.1 MATASEHVLVSNPDKLVLLAELTGMDSCDREPTVMLRLVVELCSGYGAGLEDVDTMEDLTCRVPLRGLGCQGAAERAFGELVASLNNPMLRPDVTAATEEAAARVRARCGDPAAVDELSSTGFLLRVMFIDAWDESVSDDESGSDMEFGEFSRSGMEFGEFINEWEDDYEVEDDDDDGSGVHFSVRRYNGGFARGGGGWSESATPGFGACSDGTELTDQHELTALQSVRQPQSKLPSAMFPMRARF* >Brasy2G435600.1.p pacid=40068851 transcript=Brasy2G435600.1 locus=Brasy2G435600 ID=Brasy2G435600.1.v1.1 annot-version=v1.1 MALQKGCSWLSCSCHVHACTSRVPSGIICSDLIYAGMKLPWLSEP* >Brasy2G195400.1.p pacid=40068852 transcript=Brasy2G195400.1 locus=Brasy2G195400 ID=Brasy2G195400.1.v1.1 annot-version=v1.1 MEAGRLPGVPVVRLSNRLHLSAFFPSSSRGGGRRGSAGSPPALMADVRVVIRRHFPAGPANRIMVEKVAKDISLRRQPSRKLRRPERVERALAADVLPLVEHPFDRNAVVAAGKEISAYVSGACADPRIAKSGVQILVLLETFATPMIRVPRKLVEMQSGEAREKLAAKTTSPRLEFESVCVPEKKKVRSFGAIGDEARPAVDAKTGWSAAVGTIGDRRLKLQEERFKGWLPW* >Brasy2G169100.1.p pacid=40068853 transcript=Brasy2G169100.1 locus=Brasy2G169100 ID=Brasy2G169100.1.v1.1 annot-version=v1.1 MRWLELLPLGVVSDGGEVWRRDTYGFAVRPQHLQRFREYAKIYKEEEDERGHRWKDFLDRLAESLDVPSTASILPSTDAAAAAGDGDGGAESAEKGGEEEEHETDGAEKSNKSECLKEVDVNEEFRDPNGEPEDSKDVSGNSGKLEDDSSSRGAHCNEEEEDAAAERNAKLEYVEEVDGNNEFREANGSPEDFKDMSGSSEKLKEDSTANSVEFKKASEELKEMSEGLEQIKDVNGGSEEFKDQNGGSKILREVNDDNLEKFEETPFGKGLLDELEPMKVESWKRMRASLSVIEKMMSSRVVKRNDTADATCGKVATQLASIDEERTVEENHEGAPAEESYDAKKLDQSQDGAPSDSTNVTFEGVDEGSYFPWREELESLVRGGVPIALRGEMWQAFVGVGARKITGYYKKLLHERTEVLDEKDLEDQLANGQKSSPKKLPKPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGIIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHTDFLGVQVTWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVKEMGLRELRKKHRPEIIAAMEERSKDRKSWKDKKGLATKLYSFKHDPSSLCPQVDSKEGADGLQVIGDSGSTNLENLLSSSALESELDEGIDLQDQVTWLKGELCKLLEEKRSAELRSEELETALMEMVTQDNRRMLSAKVEKLEAEVSELRKTFADKQEQEQAMLQILLRMEQEQKVAEDARVAAERDAAEQKYAAHLLQEKYEAATAALSQMEKRAVMAETMLEATKQYQAGQVKANQTFAPKSPHADLGKANQDLNQDTPNRKLGLLSRGLGWLEKSKGKSNSNETAEG* >Brasy2G079100.1.p pacid=40068854 transcript=Brasy2G079100.1 locus=Brasy2G079100 ID=Brasy2G079100.1.v1.1 annot-version=v1.1 MCGGAILAELIPTAPARRVTAGHLWPGKGGKNQQQKRRNGADDFEAAFREFDEDSEEEQQQEQVEMVMAEEAEEVAESKPFAFNASKKSSSGGRPGRRGGSRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDDAALGIRGPRAKLNFPPSSSSDAANAGLGTRKRARAADPAAKATPVVDLVHEDAAVDVLRSSGMKLEAAESSESSGSALPDFSWQGMSASADDAEAHPEIEQLGGGAAKRPRAEDTDEASDPDSDALFDGLYFAQDPFAFFDGGAYASLDCLFSGDAVQSNAAAADETVGLWSFDDDCLVDESSLSF* >Brasy2G215600.1.p pacid=40068855 transcript=Brasy2G215600.1 locus=Brasy2G215600 ID=Brasy2G215600.1.v1.1 annot-version=v1.1 MERKKKGWFERIKRLFVSEPKQKPKPEKKAKSKRWLVGKLKTQQSFALPAPEPATDQVQIRQAENEQSKHAVAVALATAVAAEAAVAAAHAAAEVVRLTGPPAPRRPAPSGGHEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLVKIQARQRGTRAAPDHPDDDGMDALLRRGRELYAAALQEQQQQNSSSSRGWDGSTLSKEEMGAVARNREEAAIKRVRALQYASLQNEKIGIRRQPMSRDEMETLNQRWSWLEEWVGSQPAPFDKDVPVAHQSPCRDVAVDSIARQQPAPPRSRDSLACLGGDDDDDDDYDGGSRRLGYSSRRSFVRARRTPGRASGCADDALQHACSPAAFPGYMASTASAKAKFRSMSTPKERFAVPSDAYSEQCFPSFADRLMSPIPSMSPMPSVASDMGFARSGRPPVAQRSPRVKGPMTPTRSRSRRSPSRHSFGSEAALHQLQMEQYTPIR* >Brasy2G215600.3.p pacid=40068856 transcript=Brasy2G215600.3 locus=Brasy2G215600 ID=Brasy2G215600.3.v1.1 annot-version=v1.1 MERKKKGWFERIKRLFVSEPKQKPKPEKKAKSKRWLVGKLKTQQSFALPAPEPATDQVQIRQAENEQSKHAVAVALATAVAAEAAVAAAHAAAEVVRLTGPPAPRRPAPSGGHEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLVKIQARQRGTRAAPDHPDDDGMDALLRRGRELYAAALQEQQQQNSSSSRGWDGSTLSKEEMGAVARNREEAAIKRVRALQYASLQNEKIGIRRQPMSRDEMETLNQRWSWLEEWVGSQPAPFDKDVPVAHQSPCRDVAVDSIARQQPAPPRSRDSLACLGGDDDDDDDYDGGSRRLGYSSRRSFVRARRTPGRASGCADDALQHACSPAAFPGYMASTASAKAKFRSMSTPKERFAVPSDAYSEQCFPSFADRLMSPIPSMSPMPSVASDMGFARSGRPPVAQRSPRVKGPMTPTRSRSRRSPSRHSFGSEAALHQLQMEQYTPIR* >Brasy2G215600.2.p pacid=40068857 transcript=Brasy2G215600.2 locus=Brasy2G215600 ID=Brasy2G215600.2.v1.1 annot-version=v1.1 MERKKKGWFERIKRLFVSEPKQKPKPEKKAKSKRWLVGKLKTQQSFALPAPEPATDQVQIRQAENEQSKHAVAVALATAVAAEAAVAAAHAAAEVVRLTGPPAPRRPAPSGGHEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLVKIQARQRGTRAAPDHPDDDGMDALLRRGRELYAAALQEQQQQNSSSSRGWDGSTLSKEEMGAVARNREEAAIKRVRALQYASLQNEKIGIRRQPMSRDEMETLNQRWSWLEEWVGSQPAPFDKDVPVAHQSPCRDVAVDSIARQQPAPPRSRDSLACLGGDDDDDDDYDGGSRRLGYSSRRSFVRARRTPGRASGCADDALQHACSPAAFPGYMASTASAKAKFRSMSTPKERFAVPSDAYSEQCFPSFADRLMSPIPSMSPMPSVASDMGFARSGRPPVAQRSPRVKGPMTPTRSRSRRSPSRHSFGSEAALHQLQMEQYTPIR* >Brasy2G254200.1.p pacid=40068858 transcript=Brasy2G254200.1 locus=Brasy2G254200 ID=Brasy2G254200.1.v1.1 annot-version=v1.1 MSRRRNPPLFLHSSPGLGGIPKRPGSRRSSAPTHLHPAPQWTTPAWKTATVPPRVSTAGSAIPRTPARGKRAPHLRRDAATQETAAPPRCSTGATKAKERVAAPKTHTDGATSSTAPPAKRTPACAVVHGVVHPPVVEPPELLPLGEDADGVRVRGGLVGVGRDGNPSGAVVVVDGLQVARVVPVKLLHGQVLPHLLLRHLRVVDAHHGLVPEQSPADVDGGRLARVPGVLLEGEPEHGHLLPRHRVEHGRHHPVHEPRLLVVVDPDHLPPVVRRLEEPVALADVHQAEDVLLEAGTPEPHAGGQEPGPDAGVPADGLRHLRRVGARGLAQRRDGIHGRDPLRQERVGGQLGQLRGPEVCRDDPVLGHPSSVHVLQGLDRGPALGRLAAPDEDAVGAEEVLDGRALGEELRVGEDLVLDAPLRPAVVREDLLDGLGRLDVHRGLLDHDLVGTGDVGDHAGRALPVGEVGGLAGAEAARLGGGVDGDEHDVRLAHVPPNVRAEEEVPPAAAPDHVVQAGLVDGEPLAVPPADARLRDVHHHHRQVRALERDHRHRRTAHRMRKSESERTRKSGRAAASRADPAPPGRADGLPPLDPPSPLLLPRRRPLRRPTAAEERASGGVPSRSASSASPSSSPDPEEMRRRPDPARGGAAPAATPLMVALLLPLLPFLLS* >Brasy2G175700.1.p pacid=40068859 transcript=Brasy2G175700.1 locus=Brasy2G175700 ID=Brasy2G175700.1.v1.1 annot-version=v1.1 MDITEVLLATQSHDGQIRNAAEGNIKNFEEQNFPHFLQALSAELSDDNKPPVSRRLAGILLKNSLDANDSKRKEICAQRWINVDPAIKSQVKASLLMTLGSAVFEAQRSSSQVIAKVASIEIPLQGWPELIISLLSNMTKHDAPSSLKQATLDAIGYVCEEISPSDLEQDQVNTVLTAVVQGMNHVENSPEVRLAAVKALYNALDFADTNFQNESERNYIMKVICETAISKEADIRKAAFECFVSIASTYYDLLEPYMQTLFELTANAARADEEPVALQAVEFWSTICDEEVAIQEDAEESGDVSSACHFHFVEKALPLLVPMLLETLLKQEEDQDEDDGIWNISMAGGTCLGLVATAVKDAIVPLVMPFIEGNITKPDWRSREAATFAFGSILEGPSVEKLAPLVHAGFDFLLNATKDQNNHVRETTAWALCRTFEFLHSPTRGFSVVTNANLPHVIEVLLTSIKDSPNVAEKVCGALYFLAQGYENAGSMSSVLTPYLGQLVSALLTTADRSDSNNSRLCASAYETLNEIVRCSSTAETMNMIVLLLQEVLKKLNQTFEFQITSSEDKEKQSDLQALLCGVVQVILQKFSNFDDNSVIVKFADEIMVLFLRVFSCDSSNVHEEAMLAIGALAYATGPEFVKYMPEFHRYLEMGLQNFGAYQVCCVSVGVVADICRALDDKVIPYCDSIMGALLKDLSSPELHRSVKPPILSCIGDIALTTGGLFEKYVPYTMPMLQGAAELCFRMDHSDDDTVEYQDELRRSIFEAYSGILQGVKSSKSELLVPYVGNIFQFTEAVLRDASRDDGVTKAGVALLGDLADTLGPSIKLLLQDSNFHSELLGRCSQSDDEQLRETASWVQGVISRALVA* >Brasy2G175700.2.p pacid=40068860 transcript=Brasy2G175700.2 locus=Brasy2G175700 ID=Brasy2G175700.2.v1.1 annot-version=v1.1 MDITEVLLATQSHDGQIRNAAEGNIKNFEEQNFPHFLQALSAELSDDNKPPVSRRLAGILLKNSLDANDSKRKEICAQRWINVDPAIKSQVKASLLMTLGSAVFEAQRSSSQVIAKVASIEIPLQGWPELIISLLSNMTKHDAPSSLKQATLDAIGYVCEEISPSDLEQDQVNTVLTAVVQGMNHVENSPEVRLAAVKALYNALDFADTNFQNESERNYIMKVICETAISKEADIRKAAFECFVSIASTYYDLLEPYMQTLFELTANAARADEEPVALQAVEFWSTICDEEVAIQEDAEESGDVSSACHFHFVEKALPLLVPMLLETLLKQEEDQDEDDGIWNISMAGGTCLGLVATAVKDAIVPLVMPFIEGNITKPDWRSREAATFAFGSILEGPSVEKLAPLVHAGFDFLLNATKDQNNHVRETTAWALCRTFEFLHSPTRGFSVVTNANLPHVIEVLLTSIKDSPNVAEKVCGALYFLAQGYENAGSMSSVLTPYLGQLVSALLTTADRSDSNNSRLCASAYETLNEIVRCSSTAETMNMIVLLLQEVLKKLNQTFEFQITSSEDKEKQSDLQALLCGVVQVILQKFSNFDDNSVIVKFADEIMVLFLRVFSCDSSNVHEEAMLAIGALAYATGPEFVKYMPEFHSIMGALLKDLSSPELHRSVKPPILSCIGDIALTTGGLFEKYVPYTMPMLQGAAELCFRMDHSDDDTVEYQDELRRSIFEAYSGILQGVKSSKSELLVPYVGNIFQFTEAVLRDASRDDGVTKAGVALLGDLADTLGPSIKLLLQDSNFHSELLGRCSQSDDEQLRETASWVQGVISRALVA* >Brasy2G275800.1.p pacid=40068861 transcript=Brasy2G275800.1 locus=Brasy2G275800 ID=Brasy2G275800.1.v1.1 annot-version=v1.1 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPREKAKAETRDWLNSVVSDLENQIDNFEAELEGLSFKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLIKGVAAVSTTAVLSTKSPVATSPTQATVSTTSQSTSQDQAEETASLESNPELVPQTPPPKGGNLGPSVPVVPTVISTSVAAVSVPADTISSPGPVRLTVPPTVPAIFSASATVRSAPESIPAVTSIPANASSALKDEDSMNFPPRRSSPAITEIGLGRGITRGLTSQTLGAAPISVVPVPVPGNGSISAVPAINDLSKRNILSTDERVNSGGLSQQLISPLGNKVQPQLVPRTNDATNSDSNNQSESAMLGGRVFSPPVVSGVQWRPQAPAGFQNQSETGQFRGRPELADQREKYLQRLQQVQQQQGNLLNVSNITGINQKQFSTQQPNSLLQQFNSQNSSISSQAGLGLGVQGQDNVHTKSEEQQGMTEDISVESAATTGANKTTNEDDTKTPYSNPSASIAEGTQLSRDSDLSPGQPLQPGMPSSGVGVIGRRSVSDFGAIGDNLSGTSVASGHDQLYNLQMLEAAYHRLPQPKDSERVKNYIPRHPSVTPASYPQIQAPIVTNPAFWERIGSDALATDMLFFAFYYQQNSYQQYLAARELKKQSWRFHRKYNTWFQRHVEPQVTTDDYERGSYVYFDFHLSDDGNGWCQRIKNDFTFEYNFLEDELSVQPN* >Brasy2G275800.2.p pacid=40068862 transcript=Brasy2G275800.2 locus=Brasy2G275800 ID=Brasy2G275800.2.v1.1 annot-version=v1.1 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPREKAKAETRDWLNSVVSDLENQIDNFEAELEGLSFKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLIKGVAAVSTTAVLSTKSPVATSPTQATVSTTSQSTSQDQAEETASLESNPELVPQTPPPKGGNLGPSVPVVPTVISTSVAAVSVPADTISSPGPVRLTVPPTVPAIFSASATVRSAPESIPAVTSIPANASSALKDEDSMNFPPRRSSPAITEIGLGRGITRGLTSQTLGAAPISVVPVPVPGNGSISAVPAINDLSKRNILSTDERVNSGGLSQQLISPLGNKVQPQLVPRTNDATNSDSNNQSESAMLGGRVFSPPVVSGVQWRPQAPAGFQNQSETGQFRGRPELADQREKYLQRLQQVQQQQGNLLNVSNITGINQKQFSTQQPNSLLQQFNSQNSSISSQAGLGLGVQGQDNVHTKSEEQQGMTEDISVESAATTGANKTTNEDDTKTPYSNPSASIAEGTQLSRDSDLSPGQPLQPGMPSSGVGVIGRRSVSDFGAIGDNLSGTSVASGHDQLYNLQMLEAAYHRLPQPKDSERVKNYIPRHPSVTPASYPQIQAPIVTNPAFWERIGSDALATDMLFFAFYYQQNSYQQYLAARELKKQSWRFHRKYNTWFQRHVEPQVTTDDYERGSYVYFDFHLSDDGNGWCQRIKNDFTFEYNFLEDELSVQPN* >Brasy2G476400.1.p pacid=40068863 transcript=Brasy2G476400.1 locus=Brasy2G476400 ID=Brasy2G476400.1.v1.1 annot-version=v1.1 MVNWVQVQRKYLLCRLAKNAGLRQHAVAVDDNAGTVVNFWLPKHKAPAKRKNATTTDAENNRGEETEEKQRRHAVVLVHGFAGDGLMTWAFQMGPLGRRYDVYVPDLVHFGGSSTSPESTAADRSSAGFQAASIAAALGKLGVERCTVAGFSYGGLVAFKMAAARPGLVRSVVVSGSVAAYTGAMIDALLARLGALNTRDLMLPESVAGVRRLFSAALHMKMWMPARFHNDFLKVMYSNRKERAEMLESSVIKDNEVPILTFRQDILLLWGESDKFFPIEDAKRLKEELGEKATLRSIRKAGHLAHLERPCVYNRCLKEFLARVNAVSAS* >Brasy2G069100.1.p pacid=40068864 transcript=Brasy2G069100.1 locus=Brasy2G069100 ID=Brasy2G069100.1.v1.1 annot-version=v1.1 MASAAAARSYLDFEPPHNVVEGTDKQTLVINLPGFRKEHLRVQIDHYGKLGISGERQLEQGSSKWSRFRKEFHVPEGCDPTGVRARFEKDGVLRITMPRLSPVDAPKIPTMAADATTAQDQSAAAAGGAAPAQPAAAAEEKKQHEEEAAARMRPGEEDERTSEDEGRRAEAATARRRPDYGFVRDRGGMVRRLLLAVALALVGVAGLYAGYRLMGGPSVEPAPADDTAVVGLSDY* >Brasy2G198900.1.p pacid=40068865 transcript=Brasy2G198900.1 locus=Brasy2G198900 ID=Brasy2G198900.1.v1.1 annot-version=v1.1 MEGGVAGNVELDSAVFQVSSTKNRYEAIACTEECTELVASGPFDQLVLHLEEAKKFHSCSSSGSFKLLLAGDAKCSPWFTKFTLERFLHIINSPDASKTANGILQEMSQLEETRKFHDSLYSKEQKSLMGGALTGGFFSTISISQQGNTGPNSSVATKNELLRALDLRLSALKEEILVLLSQAVGSNLSNKEILELSAFVQHFGTSDFSWLMRCLLLIPDCQPSVLPPRHASTAEKNGSSLETHHISSQDNTQKPITSNISPAKLAQVERKMSMESDDSSESSDEDEVVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTPIAIKSLSYFPPSQRIALDKDDESSSCHGETDQPPRKSDNNVRRMSVQDAISLFENKQKNENLDSESKKAGLVATKSVLRRWSSGMGESFKSTSEEKTSQCKANDMASDIEKNEAELQVEADAVPSIVVAPEVGSLHADGHGITVPEMDKVLSSNTHISAEQTNAVQEENSDRAMASAEWNRQKEEELNQMLMKMMEVLPGKFAGAHASAPGLISANEKKGGQQREKRDTKVRAEKGGRRPAKEASTKLLKESAGQSKAAIAPKTGTATEKRNSPIPQRARRNSSPPVLPKEVTSKTPAKKSSPKPSPAPATRSSWSGGSLTKATTSTAQKTKNSSGTVSTSTPTSRRRTVTTPSSSQPIPKVERTLPPVKNKKETMTVTKSAIKGLEEKKTRTVTKTSRVAKSSPASEEKSSAVTKSSLHSKVPKKSSVVPLESKPVKKATGIGQGVGSGAAKTKVPLLSDSSNDSGTVNQAEDKEQSSVTTEPTTKVLEADLAQSAHDVDENLEISIDNDLNVETTEKLVSSLAAPVPEMGSSDQVEPSIVEVKPLEEDMDISSSAWVEVEHQEGTDVGESVTCEDVTSPAIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDSSSNGWASPSVVSEGEDEQEESGAGDGVNSSRRTFDGSKSNSILSAQTTSSFNATSSDRLRDRPGAAPSTKASRSFFSLSNFRSSKTNESKLR* >Brasy2G198900.2.p pacid=40068866 transcript=Brasy2G198900.2 locus=Brasy2G198900 ID=Brasy2G198900.2.v1.1 annot-version=v1.1 MLSLVHKIHLREEMSQLEETRKFHDSLYSKEQKSLMGGALTGGFFSTISISQQGNTGPNSSVATKNELLRALDLRLSALKEEILVLLSQAVGSNLSNKEILELSAFVQHFGTSDFSWLMRCLLLIPDCQPSVLPPRHASTAEKNGSSLETHHISSQDNTQKPITSNISPAKLAQVERKMSMESDDSSESSDEDEVVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTPIAIKSLSYFPPSQRIALDKDDESSSCHGETDQPPRKSDNNVRRMSVQDAISLFENKQKNENLDSESKKAGLVATKSVLRRWSSGMGESFKSTSEEKTSQCKANDMASDIEKNEAELQVEADAVPSIVVAPEVGSLHADGHGITVPEMDKVLSSNTHISAEQTNAVQEENSDRAMASAEWNRQKEEELNQMLMKMMEVLPGKFAGAHASAPGLISANEKKGGQQREKRDTKVRAEKGGRRPAKEASTKLLKESAGQSKAAIAPKTGTATEKRNSPIPQRARRNSSPPVLPKEVTSKTPAKKSSPKPSPAPATRSSWSGGSLTKATTSTAQKTKNSSGTVSTSTPTSRRRTVTTPSSSQPIPKVERTLPPVKNKKETMTVTKSAIKGLEEKKTRTVTKTSRVAKSSPASEEKSSAVTKSSLHSKVPKKSSVVPLESKPVKKATGIGQGVGSGAAKTKVPLLSDSSNDSGTVNQAEDKEQSSVTTEPTTKVLEADLAQSAHDVDENLEISIDNDLNVETTEKLVSSLAAPVPEMGSSDQVEPSIVEVKPLEEDMDISSSAWVEVEHQEGTDVGESVTCEDVTSPAIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDSSSNGWASPSVVSEGEDEQEESGAGDGVNSSRRTFDGSKSNSILSAQTTSSFNATSSDRLRDRPGAAPSTKASRSFFSLSNFRSSKTNESKLR* >Brasy2G475100.1.p pacid=40068867 transcript=Brasy2G475100.1 locus=Brasy2G475100 ID=Brasy2G475100.1.v1.1 annot-version=v1.1 MAHRRPPPVARLAVILSIVAAVVVAKTDKDDVEALNVLFTSMNKPSELSGWKADGGDPCDDNDEWKGIDCSGSDVTEIDLSGLGLSGTLGYKLSSMKSVTKLDVSNNNLNGDIPYSLPPNLVQLNLQGNSFSGGLPFSISKMSDLETLNLGKNHLNGQLTDIFLDLTKLSTINLICVIRDLSFNRFSGNLPHSFQFLTGIKTLNLEDNQFSGHIDVLAKLPLDDLNLQNNKFTGWIPGKLKDIDSLKTGGNLWSSGSAPPGMMKGSSAGSSSSGGNDGGINGFAIGAMVIAVLLAALILLSVLKRKRSSPVSSHYYMDDSGHSSTIDMKSLENSSSMDCRTPPAVPRKSINDNEFENKLNNSRRISDPISPVTYLSSDLQTATGSFHSSRLIGQGTTGRVYKAKYADGRVLAVKKFDPLIFSGSSDFMEIVNSISKLRHANICEIVGYCSEPGYYMLVYNYQSSGSLYEFLHLSDDYSKPLTWDTRVRIALGTARALEYLHEACSPSVIHKNVKSSNVLLDADLNPRLSDCGLTFFYEDTSESLGPGYSAPECTRSSGYVMKSDVYSFGVVMLELLTGRKAYDSSKPRAEQCLVKFVTPQLHDLDALGTLADPALRGLYPPKALSRFADVIARCVQSDPEFRPSMSEVSQTLTGCVQRTASNRRIGGPLSTSQSSDTSDW* >Brasy2G353500.1.p pacid=40068868 transcript=Brasy2G353500.1 locus=Brasy2G353500 ID=Brasy2G353500.1.v1.1 annot-version=v1.1 MASLSVATLPQLTAPAAKKRSGVTYVEGMNAYSGLKGLNKVTMLGVRKSADYKFARIVASLSPAGKRRGGTFGAQCNAAGEIFRIAVVMNLLTLVGVAVGFVLLRAEAAYEESEE* >Brasy2G353500.2.p pacid=40068869 transcript=Brasy2G353500.2 locus=Brasy2G353500 ID=Brasy2G353500.2.v1.1 annot-version=v1.1 MASLSVATLPQLTAPAAKKRSGVTYVEGMNAYSGLKGLNKVTMLGVRKSADYKFARIVASLSPAGKRRGGTFGAQCNAAGEIFRIAVVMNLLTLVGVAVGFVLLRAEAAYEESEE* >Brasy2G353500.3.p pacid=40068870 transcript=Brasy2G353500.3 locus=Brasy2G353500 ID=Brasy2G353500.3.v1.1 annot-version=v1.1 MASLSVATLPQLTAPAAKKRSGVTYVEGMNAYSGLKGLNKVTMLGVRKSADYKFARIVASLSPAGKRRGGTFGAQCNAAGEIFRIAVVMNLLTLVGVAVGFVLLRAEAAYEESED* >Brasy2G353500.4.p pacid=40068871 transcript=Brasy2G353500.4 locus=Brasy2G353500 ID=Brasy2G353500.4.v1.1 annot-version=v1.1 MASLSVATLPQLTAPAAKKRSGVTYVEGMNAYSGLKGLNKVTMLGVRKSADYKFARIVASLSPAGKRRGGTFGAQCNAAGEIFRIAVVMNLLTLVGVAVGFVLLRAEAAYEESED* >Brasy2G022500.1.p pacid=40068872 transcript=Brasy2G022500.1 locus=Brasy2G022500 ID=Brasy2G022500.1.v1.1 annot-version=v1.1 MASHNALRCARVALEGGAPLCCRPADPDARHRYGFTPLHRVAETFSVDMARLLFRHGGGGPQQWQGFCVSVNGVDIVKNRIGDDLDALHREILVMVKEGRNGKALRQLKDKKEVLTTRVLAGTVHNDGKAAEAFLTAHEVSLNGFDIVKNRIDEALDTLHRKWLAMVKEGKNGMALKKLKDSKEALLTARALAGIVYKAGAALERYTQTHSQVPHDEILEHVSSILKSSGIVPVGKGIDTRNLECYHYGVKMAIDNSGSQRVDCGETSEADKSSSSLKDEASKRLVQFIYFVIVCTHHSNLELCLPQFAENDEVIWDKVSMEIILGKQPRKGLAIKNVRNMFFPYWKSVLSSRSPVKIVPICQQSRKDSLATATRKRGKSITNKSMENLGSMGWPQVPSNYECRRMLSVVASMSRKVFKRA* >Brasy2G067500.1.p pacid=40068873 transcript=Brasy2G067500.1 locus=Brasy2G067500 ID=Brasy2G067500.1.v1.1 annot-version=v1.1 MEAVEDIVIAGAGLAGLATALGLHRKGVRSVVLESSATLRASGYAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEAAAKVSLKMQGKSGPHEIRCVERNFLLETLEKELPEGTIKYSSKIVAIEEEGDVKILHMADGSIIKAKVLVGCDGVNSVVAKWLGLPKPVLSGRSATRGMAAYPDGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDKVAEESVAKMRQHVLAKLRAAKIPAEALDVIERSEMSEVVSSPLRFRSPLALVRGSISRGGVCVAGDAFHPMTPELGQGGCAALEDGVVLARCLGDAFAHGYACEAVKAGLEKYADERRWRAIRLVTAAYVVGFVQQSSNTVVRFLREKFLSGLLAKVMVDMADFDCGEL* >Brasy2G067500.2.p pacid=40068874 transcript=Brasy2G067500.2 locus=Brasy2G067500 ID=Brasy2G067500.2.v1.1 annot-version=v1.1 MEAVEDIVIAGAGLAGLATALGLHRKGVRSVVLESSATLRASGYAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEAAAKVSLKMQGKSGPHEIRCVERNFLLETLEKELPEGTIKYSSKIVAIEEEGDVKILHMADGSIIKAKVLVGCDGVNSVVAKWLGLPKPVLSGRSATRGMAAYPDGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDKVAEESVAKMRQHVLAKLRAAKIPAEALDVIERSEMSEVVSSPLRFRSPLALVRGSISRGGVCVAGDAFHPMTPELGQGGCAALEDGVVLARCLGDAFAHGYACEAVKAGLEKYADERRWRAIRLVTAAYVVGFVQQSSNTVVRFLREKFLSGLLAKVMVDMADFDCGEL* >Brasy2G172500.1.p pacid=40068875 transcript=Brasy2G172500.1 locus=Brasy2G172500 ID=Brasy2G172500.1.v1.1 annot-version=v1.1 MAKDFPVPPVVFNPSTPTHRRHPIPGTGASPPPAFAPPRPSTSSAANPLPFMSFDIGPAPSSSSPPLFTGPIGMGNGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF* >Brasy2G272500.1.p pacid=40068876 transcript=Brasy2G272500.1 locus=Brasy2G272500 ID=Brasy2G272500.1.v1.1 annot-version=v1.1 MAPSSPSSAAAAAVPARASSRKRTASAKAELDQEATKRPRKAAASSRKKKVTKPKAKAEKAPRKKKEVEVLGEDEVCAEEPDEEELALGEEDESAASGEQQPEEPAAAARKRVAQPIRARNQAGGDKDHGFVGQPFPAGEARSKWPQRYQPAKPRRPEEEEEDPKARCHYRSSKVDETVYNLGDDVYVMAGENEPHYIGRITEFFEGIDKKCYFTCRWFFRPEDTVISTAKFVNDHKHDPKRVFLSEERNDNVLECIIEKVNIVHVDPNMDSEAKAQLVSDSDLYYDMSYSVAYSTFANITSDTNENSGISSDVDSEAASPVRTAALLDLYSGCGGMSTGLCLGSALAGLKLETRWAVDLNSFACKSLKYNHPGTEVRNEKAEDFLALLKEWAILCDTYVHGNNSDPASPSEDEEEDDEPLGKDEFVVEKLLEICYGGSGREKAIYFKVQWKGYGPEEDTWEPIGNLSDCPLKIKEFVQEGHKRNILPLPGDVEVICGGPPCQGISGFNRFRNRKEPLKDEKNQQMVTFMDIVSYLKPKFVLMENVVDILKFADGYLGRYALSRLVSLNYQARLGIMVAGCYGLPQFRMRVFLWGALPAMVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQKPTLKKALLLGDAISDLPKVDNFQPHEVMEYGAQPKTEFQSYIRLSRKDMLDYSFGDNTCPEEGKLLDHQPLRLNQDDYDRVQQIPVKKGANFRDLPGVKVGANNIVEWDPEVQRVYLKSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYRMNGPIKEKYIQVGNAVAVPVARALGYSLGRAYQGEMEGSNPLFTLPDSFTNVGQTVVLARASSVGTPAGEVVEQ* >Brasy2G272500.2.p pacid=40068877 transcript=Brasy2G272500.2 locus=Brasy2G272500 ID=Brasy2G272500.2.v1.1 annot-version=v1.1 MAGENEPHYIGRITEFFEGIDKKCYFTCRWFFRPEDTVISTAKFVNDHKHDPKRVFLSEERNDNVLECIIEKVNIVHVDPNMDSEAKAQLVSDSDLYYDMSYSVAYSTFANITSDTNENSGISSDVDSEAASPVRTAALLDLYSGCGGMSTGLCLGSALAGLKLETRWAVDLNSFACKSLKYNHPGTEVRNEKAEDFLALLKEWAILCDTYVHGNNSDPASPSEDEEEDDEPLGKDEFVVEKLLEICYGGSGREKAIYFKVQWKGYGPEEDTWEPIGNLSDCPLKIKEFVQEGHKRNILPLPGDVEVICGGPPCQGISGFNRFRNRKEPLKDEKNQQMVTFMDIVSYLKPKFVLMENVVDILKFADGYLGRYALSRLVSLNYQARLGIMVAGCYGLPQFRMRVFLWGALPAMVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQKPTLKKALLLGDAISDLPKVDNFQPHEVMEYGAQPKTEFQSYIRLSRKDMLDYSFGDNTCPEEGKLLDHQPLRLNQDDYDRVQQIPVKKGANFRDLPGVKVGANNIVEWDPEVQRVYLKSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYRMNGPIKEKYIQVGNAVAVPVARALGYSLGRAYQGEMEGSNPLFTLPDSFTNVGQTVVLARASSVGTPAGEVVEQ* >Brasy2G063500.1.p pacid=40068878 transcript=Brasy2G063500.1 locus=Brasy2G063500 ID=Brasy2G063500.1.v1.1 annot-version=v1.1 MGGSVWMRYAPHNMMILVQLSYTLMYFVTEDAFNRGLNPYVYVTYRHLLVALLLWPFAYYHEKKLRPKMTLMLFLEIFVLSLLGVTLTLNMYFASLKYTSPTFVTAMANTVASMTFAIAIVLRMEIVDVQSPRGLAKVAGTAVSFAGVTTMTFYKGAAVASPWKAPVHIHGSSAVHGSWIKGSLLAVASCVCWSVWYIMQAGSVWRGTRRSCR* >Brasy2G397500.1.p pacid=40068879 transcript=Brasy2G397500.1 locus=Brasy2G397500 ID=Brasy2G397500.1.v1.1 annot-version=v1.1 MATARTLPPWVVLDKLVHLDGSDGSSPRATLELECLRSEAIGVNVADSVVGGFTLTARLVDPPGLSFLCIRSSEQVTQALGPVQSALLVTAEEGVLVMTISFNCDFSRGYYLVYDSINLSLVLTPFLSKNAILHTHLLRPFRRGDKTYGLVNMIMCNFFEREDTEGGTEDTKGWKQKRKELLSLWLPSSSTPKPSSSPTLPWWTIPCSSLVQSSTSTLPWEFKEPIYPYAMPSPFATGFEVDFVFSFKGRSFWADQFRGLIYCKQSDLLSDHHVRFNFLPLPEGCHITDKDEEELPNIYRAVGCSGESVKFVSITYSEDLADRKVTTWVLMPDTGLWKRDSQLCVGDIWEQQEFKRAGFPASATPILPMLSPHEDNVIYLMMADFCHMGTFANCSELHMLRLNIHEKLLLSSTRLPSWDHIRALRFLGSDFSKHLPLAPEKLKGKGKKSRWS* >Brasy2G218800.1.p pacid=40068880 transcript=Brasy2G218800.1 locus=Brasy2G218800 ID=Brasy2G218800.1.v1.1 annot-version=v1.1 MLPMVDDPSAAAGAAASSSFPDANAYGNGDSEDIDFPVDPIPNPPFSSSATVPAPSPTAAAAVGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTEPFYEEMRRRLQLDFSKSQLAEKLRRLKRKYRNCVERLRGSGASFTFRSPHEQAVFEIARNIWRPSSDKHGRDPSAGDSDDDATVTATNAGANGDAKSPSSSRAQRRVRRRRTADCTADATEAPQPYTPSTMPVKTEESLPAFFPQVSMDGAEHVVPPAAQLAANTENSVLTPLFKEMVRAMLGMGGCPSPLGLGAKVPEPPSAILGIPMEGEKWRQQRILELEVYLRRIDLLQDEVKSALEELKATPAAT* >Brasy2G390500.1.p pacid=40068881 transcript=Brasy2G390500.1 locus=Brasy2G390500 ID=Brasy2G390500.1.v1.1 annot-version=v1.1 MMGWRWAPRRICAVVLIWLAAAAAGDPDPDELDRAFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAVVAVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWTWGAPIEMDVDGTKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGGDIAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGNKYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDKELDPLYVERREQLKHVVGSIIKPKLVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKVYKEKMDGFRLPVSVDKLQQNHELANDQARMLFDKQHFGKHHAAQSVLKLDDEIKKVYRNFLLANEYQSSKLCESRFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRTFVLDCVGPAKERYERRMSKMLLKSRALFIKEYNNKLFNWLVTFSLVMVVLGRFVIKFLLLEIAAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIALLLSFWLLYWRCFGRRKRGGRSLLPLYKNSHKNSSRPRSD* >Brasy2G234000.1.p pacid=40068882 transcript=Brasy2G234000.1 locus=Brasy2G234000 ID=Brasy2G234000.1.v1.1 annot-version=v1.1 IFFENTAANGGITTHCLPDHAASLLQLKRSFFHNPNLSSWQHDTDCCHWEGVGCDRDSGRVITLDLSDRNLQSISGLSPALFNLTSLRNLSLCGNDFGLTSLPNFGFERLIELRSLDLFNTRLFGQIPIGIAHLENLLTLDLSGNYMHLREPMDISSSGSTWSVALADSVPLLQSISLSYCALNDNGFSGKVPEFFAEFSLLSGLDLSYNNFEGPFPTNIFQPKNLRYLLYSFVHLNSLKFLSISNIGSPEQPTPSIANLTSLDTLWLSGCGIQKPMLSWIGRLENLTELMLDDYNFSGPIPWWIKNCTSLTSLSLFDCGLSGAIPSWIGNLAELSYLDLSMNSLSGVIPKSLFALPALRIIILNSNQLYGPLEDIPYPLSSPLSLIDLGFNQLTGPIPKSIYQLKHLEHLSLDSNRLSGTLELSSFWRLRSLTFLSLSNNMLSVVMDEEGVNVILPPPNINSIYLESCNLTEFPGQLKYLDKVSKLDLSNNKIKGVIPSWVWFNRLQGTIPIPVSSFPGIVLDYSNNNVSSIEPDFGKYIRNFTYLNLSTNKLNGFVPSSICSASELSVIDLSYNNFNGPVPSCLIENGKFMVLNLRQNKCRCIMPENIREGCKLQTLNLNGNQIEGKLPRSLSNCKDLELLDVGNNQIVGSFPSWLGILPQLQVLVLRSNLLNGTIRGNKGDDYISNHFSSLQILDLASNNFSGNLPKGWFDEMTAMIDNINDFYEKDGDTQVLEHSTRSSRGFYKDTITLTFKGGELNFTKILSTFNVIDLSNNSFRGHIPESLGRLVSLHGLNMSYNNFLGQIPSELRALSRLESLDLSWNQLSGEIPHDLTYLTSLECFNLSYNNLSGRIPQDNQFLQFPNSSFEGNFELYLY* >Brasy2G414600.1.p pacid=40068883 transcript=Brasy2G414600.1 locus=Brasy2G414600 ID=Brasy2G414600.1.v1.1 annot-version=v1.1 MVLDYYKYDYEFAEPPRVTSLQNVVPLATFSDFGDDGYFVADQRGYEAVVYYLAGQYLKADESGNIVDPRLQLNKVVREISYSGSGVTVKTGDNMVFKADYVMVSTSLGVLQSDLIQFKPKLPTWKVLSIYQFDMAVYTKIFVKFPKKFWPEGTGKEFFLYASSRRGYYGVWQEFEKQYPDANVLLVTVTDEKSRRIEQQSDNQTKAEIVVVLKSMFPGVDVPDATDILVPRWWSDRFYKGTFSNWSIGAPVGRVYFTGEHTSEHYNGYGIDSTDILIKCAQKKMCKYHVAGKYD* >Brasy2G486800.1.p pacid=40068884 transcript=Brasy2G486800.1 locus=Brasy2G486800 ID=Brasy2G486800.1.v1.1 annot-version=v1.1 MGTTTKMITKGGQWQLQKFLMAVAIMAAVVSGRDLNQTTTTTTMATDKATKVQGGGGRRPMVSALIVFGDSIVDPGNNNNLPDTRMKANHAPYGKDFTNHVATGRFSNALLPSDIIAQRLNLKPLLQPWLNVEHTPEDLLTGVSFASGATGFDPLTPQLVRVFTMDQELEFFDAYRRTLVSIAGEPEASRIISNAFFFVCAGTDDFANTYFMSPYRAGDYDIPAYVSLLVSGAESFLRNASARGARKMAFTGMPPIGCVPSQRTIGGGTRRRCEARRNYAALMYNKALQELIDKLNGEPGFGTLVVYFDIYDIIEELAVHGDRYGFTEMTHGCCGSGLIEVTMLCDTRYMGVCDDVDKHVFFDSYHPTQRAYEIIVDHMFKNYVPLMHL* >Brasy2G389300.1.p pacid=40068885 transcript=Brasy2G389300.1 locus=Brasy2G389300 ID=Brasy2G389300.1.v1.1 annot-version=v1.1 MYAECGDARAARTMFSRVDGECVVSYNAMITAAVRSSRPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHEYVRKVQLDSLVKVNTALIDMYGKCGSLEDAINVFQGMESRDRQAWSVMIVAYANHCYGREAISLFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREFGLIPGIKHYGCVTDLLARSGQLDRAYEFIDELPIKPTAILWRTLLSACGSRGDADLGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNRVRKLMNEKGVVKVPGCSSIEIDNRVHEFFAGDGRHPQSLDARRMVDGVIEQLKLAGYVPNTSHVFHVEMGEEEKAISLRYHSEKLAISFGLLNTSPGTTLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW* >Brasy2G281000.1.p pacid=40068886 transcript=Brasy2G281000.1 locus=Brasy2G281000 ID=Brasy2G281000.1.v1.1 annot-version=v1.1 MAMLTTSSSSVLRILPFFLLVAFTHAATFTIANKCQFTVWAAAVPSGGGTQLDPGRTWTVNMPASTRGGRVWARTGCNFDASGRGVRN* >Brasy2G182900.1.p pacid=40068887 transcript=Brasy2G182900.1 locus=Brasy2G182900 ID=Brasy2G182900.1.v1.1 annot-version=v1.1 MGSYHYSSTSQFFYAAAGDSNPNPNPNPSPSIHKPATTVRIPVTSPPAPVRSPDAAAAAAKIQAAFRAHLVRRHVAAVRSADAEASRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYDPAVREARRAVSRRVVGLQEVFDSVLAAPEADTCGVPASLDQVLEGIWGPREAPPAAAVVVEEEEARTSGTCWGRFFGVA* >Brasy2G182900.2.p pacid=40068888 transcript=Brasy2G182900.2 locus=Brasy2G182900 ID=Brasy2G182900.2.v1.1 annot-version=v1.1 MGSYHYSSTSQFFYAAAGDSNPNPNPNPSPSIHKPATTVRIPVTSPPAPVRSPDAAAAAAKIQAAFRAHLVRRHVAAVRSADAEASRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYDPAVREARRAVSRRVVGLQEVFDSVLAAPEADTCGVPASLDQVLEGIWGPREAPPAAAVVVEEEEARTSGTCWGRFFGVA* >Brasy2G141100.1.p pacid=40068889 transcript=Brasy2G141100.1 locus=Brasy2G141100 ID=Brasy2G141100.1.v1.1 annot-version=v1.1 MVVISTAVETAPQDRLAQLLRLLMRPRCLQAPAPTPTNCSRTRAAPCRHALPLSATAPPLRAARPRPSHPAVAAPPPALNMPRPPQHTRRRRRRQVVPSIGPIRRHGSPACSSPASSEAPSPAAPTRVVAGTFLVSRPVELYSLSGLPRDALRAFRLPLPAPPQRLRLQRRALVRFPRAGDLDAALQLLDETPEPNVVSWHTVVVALARSDRRADEALELRDRMLREGLVPTPRASHSPACSALRGPSATRSAATGPWSRSGLRATSSWRTARSIKCGSVADVAGSAADALRLFARMSRSRVRVDPVAVSSVFGACARAWPVRSKDNVAARAFRLGQSIHALIARKGFGSDQHVGYSSIDMYAKCNQMHVALKGARDVSSARAMFDKTVTTWSTLLSGYCQGEPHQDKVELFRRMQHNVQSDRTTLVVILSSCSRLGILELVMQVHSASARLLLHNDMFVAGGGGHVFILPRAFQQDGRERCGVLELHYIRLDYQFFEQTSL* >Brasy2G378400.1.p pacid=40068890 transcript=Brasy2G378400.1 locus=Brasy2G378400 ID=Brasy2G378400.1.v1.1 annot-version=v1.1 MDAGSRSISSEKSRAAVQRPPLQEAGSRPYMPSLSTSSRDPSAKCYGDRFIPNRSAMDMDMAHYLLTEPKKDKENMAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENTLAADTVSSHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLMDWGSSNVLSIALGDTMYLWDASSGSTSELVTVDEDNGPITSVSWAPDGRHLAIGLNSSDIQLWDTSSSRLLRTLKGVHESRVGSLAWNNNILTTGGMDGRIVNNDVRIRDHAVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEVAKPAAKASSHTGMFNSFNHIR* >Brasy2G201100.1.p pacid=40068891 transcript=Brasy2G201100.1 locus=Brasy2G201100 ID=Brasy2G201100.1.v1.1 annot-version=v1.1 MAAASPPEEEQQPLAAEEEAAAPATRRTRLPRACNSRPKVPPPPAAPPRRPERPRQMEKEEEETPPQCRVVTPLVAEPLEPAELPRWRLRGMWELASVLNFLHVFRSLLNIAVEFTAEELEDAIIMPNNTLEDLHVPLLKSIPPETRMAMGHGKWITVLCRKMKNWWHQVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDLRAEQEDIRNFVDNCLKKGYQLHSFRKERIGGDSHGISYWYEEDPILGHRLYRGIRQVEYVKEQTKKSKGKSVSSVAVVSYKWETVATNFDEFEAAAEKLFSSRNRTEVSLGKKLKIDYLPEIEKIHKRKKGLLKKQQREALLLNSYMTFDGFTSGRSRRERKRVSYTFDDYDRSIDEAIKTTKKSEKSIGVVTTINRGLLDLTREESSNGRLSGPSPVCKGFHGEYPLKPHGHQASAGGEKAETLHRRCRQRKRSQGSTPDFVESVLYIDPNVDSDDSIMGEAVYDEEYLRSKKQPRASTSEGDGAFRLEQVTADSVDQEEYSLSSEDEERSQWYKRSPTRSPQGTELRTMDEIQTGVRRSKRSTRPHMKHQQYGLSGTDTEQGHPGKPNASDPDVGSDAPNNVEISARSPDQEERLLHLVKMHTPGRESKGVGRRFLHLNELVPVGGLDVAPFQS* >Brasy2G201100.2.p pacid=40068892 transcript=Brasy2G201100.2 locus=Brasy2G201100 ID=Brasy2G201100.2.v1.1 annot-version=v1.1 MAMGHGKWITVLCRKMKNWWHQVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDLRAEQEDIRNFVDNCLKKGYQLHSFRKERIGGDSHGISYWYEEDPILGHRLYRGIRQVEYVKEQTKKSKGKSVSSVAVVSYKWETVATNFDEFEAAAEKLFSSRNRTEVSLGKKLKIDYLPEIEKIHKRKKGLLKKQQREALLLNSYMTFDGFTSGRSRRERKRVSYTFDDYDRSIDEAIKTTKKSEKSIGVVTTINRGLLDLTREESSNGRLSGPSPVCKGFHGEYPLKPHGHQASAGGEKAETLHRRCRQRKRSQGSTPDFVESVLYIDPNVDSDDSIMGEAVYDEEYLRSKKQPRASTSEGDGAFRLEQVTADSVDQEEYSLSSEDEERSQWYKRSPTRSPQGTELRTMDEIQTGVRRSKRSTRPHMKHQQYGLSGTDTEQGHPGKPNASDPDVGSDAPNNVEISARSPDQEERLLHLVKMHTPGRESKGVGRRFLHLNELVPVGGLDVAPFQS* >Brasy2G201100.3.p pacid=40068893 transcript=Brasy2G201100.3 locus=Brasy2G201100 ID=Brasy2G201100.3.v1.1 annot-version=v1.1 MINASSSALQTTIYQEDIRNFVDNCLKKGYQLHSFRKERIGGDSHGISYWYEEDPILGHRLYRGIRQVEYVKEQTKKSKGKSVSSVAVVSYKWETVATNFDEFEAAAEKLFSSRNRTEVSLGKKLKIDYLPEIEKIHKRKKGLLKKQQREALLLNSYMTFDGFTSGRSRRERKRVSYTFDDYDRSIDEAIKTTKKSEKSIGVVTTINRGLLDLTREESSNGRLSGPSPVCKGFHGEYPLKPHGHQASAGGEKAETLHRRCRQRKRSQGSTPDFVESVLYIDPNVDSDDSIMGEAVYDEEYLRSKKQPRASTSEGDGAFRLEQVTADSVDQEEYSLSSEDEERSQWYKRSPTRSPQGTELRTMDEIQTGVRRSKRSTRPHMKHQQYGLSGTDTEQGHPGKPNASDPDVGSDAPNNVEISARSPDQEERLLHLVKMHTPGRESKGVGRRFLHLNELVPVGGLDVAPFQS* >Brasy2G210000.1.p pacid=40068894 transcript=Brasy2G210000.1 locus=Brasy2G210000 ID=Brasy2G210000.1.v1.1 annot-version=v1.1 MEAKMDSLGIEDNSEDQPSLEASLASDVIYDDAPICPCIGSEHQAEIPHLLTVDERRRFMTSSLESMVAGYDYYPVMIGLPIPIMWAPSEVHKEEESQTHHSLETEARISSRGEDSQVTSVYPTSNNMSDHDLTWHGPHSVVPVDQIEAGSNQAHHENFDSCSTQEGLNFTNKPMEQQREIDQFTPLPASSSCLWSGIEAECFLLGLYIFSKNLRLVSKFLGNNLGDVLSYYYGKFYKSDAYNRWSECRKARTRRCILGERIFTGWRQQEIICRLKSEVPKEAHDSLVEMFKSFSNEQTSLEDFVFTLKSTVGTKAFIEAVGIGKGKHDLTGFVLDPSKPNQILSVHPDMPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLARGWHSEQPKDVRSTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKRVAADPVLLELEVGGMDNDGTPELNGYTDMKVNQDDPLDDYQEVPKFTIIDTTLVQGEEPFCVRELRKLPADANVRFVPSRYSHNTVIVSSSEEQDVDDRSSDDQEDRGQVTAPVNDIEIISASNPVDSFQNMIPASSSSFPVNGHSSNGSSNKADLTYSFGTKTKTERRKYLSPVSKRRRLSSCSNDQHSRRSFSFSKADGLEKEKTKPLSTSLKPAVVDAGGNFQSKTIARCSTKEKPCEQIIDASNSRTNDRSNEKINMTNLNENRSFECKVDVAPKVHPKFPFTEAKIAKEGVQVSSLAGQTKPVTPLDDKTSISVCATSSEDHGSMKAAEAPSIPNSKCVRGVPEATGGPASAQPESQVNSRRHGTRNRPPTAKALEALASGFFGGKRKGEPKSPGTSRPPQRARKSSKDSVCTPTSSDTDKSSMDADAQR* >Brasy2G210000.2.p pacid=40068895 transcript=Brasy2G210000.2 locus=Brasy2G210000 ID=Brasy2G210000.2.v1.1 annot-version=v1.1 MDSLGIEDNSEDQPSLEASLASDVIYDDAPICPCIGSEHQAEIPHLLTVDERRRFMTSSLESMVAGYDYYPVMIGLPIPIMWAPSEVHKEEESQTHHSLETEARISSRGEDSQVTSVYPTSNNMSDHDLTWHGPHSVVPVDQIEAGSNQAHHENFDSCSTQEGLNFTNKPMEQQREIDQFTPLPASSSCLWSGIEAECFLLGLYIFSKNLRLVSKFLGNNLGDVLSYYYGKFYKSDAYNRWSECRKARTRRCILGERIFTGWRQQEIICRLKSEVPKEAHDSLVEMFKSFSNEQTSLEDFVFTLKSTVGTKAFIEAVGIGKGKHDLTGFVLDPSKPNQILSVHPDMPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLARGWHSEQPKDVRSTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKRVAADPVLLELEVGGMDNDGTPELNGYTDMKVNQDDPLDDYQEVPKFTIIDTTLVQGEEPFCVRELRKLPADANVRFVPSRYSHNTVIVSSSEEQDVDDRSSDDQEDRGQVTAPVNDIEIISASNPVDSFQNMIPASSSSFPVNGHSSNGSSNKADLTYSFGTKTKTERRKYLSPVSKRRRLSSCSNDQHSRRSFSFSKADGLEKEKTKPLSTSLKPAVVDAGGNFQSKTIARCSTKEKPCEQIIDASNSRTNDRSNEKINMTNLNENRSFECKVDVAPKVHPKFPFTEAKIAKEGVQVSSLAGQTKPVTPLDDKTSISVCATSSEDHGSMKAAEAPSIPNSKCVRGVPEATGGPASAQPESQVNSRRHGTRNRPPTAKALEALASGFFGGKRKGEPKSPGTSRPPQRARKSSKDSVCTPTSSDTDKSSMDADAQR* >Brasy2G360000.1.p pacid=40068896 transcript=Brasy2G360000.1 locus=Brasy2G360000 ID=Brasy2G360000.1.v1.1 annot-version=v1.1 MKNLFKSKIKWQHRSNDPAAAAPQQDQPSASTSPASSPSGASPAPTLSSAAPPPTASSSSASASPSPSAPTAVAGGDDYMYSEEEFQMQLAMALSASNSDCAGDRDGDQIRKAKLISLGGGHRFPAQRDDSHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLEDLQTGIGDLGFEVIVINRAIDTALQEMEQVAQCILLDFPVANIALLVQRIADLVTDNLGGPVKDANAMLARWLETSTELRTSLHTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDDDDAVNIIKVDKDREFLVDLMAAPGTLIPADVLSWKGSSLNPNRKLGHNQIAESSSTIHPNLGSSASSLEHKGAQLPLFNGDDWLSSSQSGYEKEAAIASSQTSSGGTSSVTAANLFDDNWTLVSREQSDKPSTSAGTLSQQKVVLPGREHPQNGNIKLTPGLQENAESKNLFAELNPFGGIESKKASVPLNVPDNRNNELQRRRENVVPSTGRPQQRLVMKNWSPYNDVSNNKQYNYVQDSFARRNVGDNAVSSPQVPRSAANNVNLNVGMRNDTSYAASAHNYDSIMAGSSAMNMTSTAGIGKVPEKVLRGGLDRGPINSRLQDQPPFEIHKWGNSTERKIPTNAIQNQANERKDNYGGKQDNKKLAPDPKKSPLDRFMDTSMPSRNPESISPSSSRSHRLDSMFDDVSECEILWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPSCQIDEKRRIKMAIDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHPETQGPPVPQEIWVNSSTP* >Brasy2G320100.1.p pacid=40068897 transcript=Brasy2G320100.1 locus=Brasy2G320100 ID=Brasy2G320100.1.v1.1 annot-version=v1.1 MFRRKHTPHFNSDDSEKRQAKINELRTALGPLSARAKKYCNEACLARYLEARNWNVDKSRKMLEESLKWRTSHRPEDIRWPDVSVEAETGKMYKATFPDREGRTIVIMKPAKQNTSSHEGQLRHLVYALENAILSLPEGQDKMVWVVDFTGWTLANATPIKTARESANILQNHYPERLSVAFLFNPPKVFEAFFKVVKVFLDPRSIQKLNFVYKENEESMKTMYKHIDPEVLPIEFGGKNNVVYNHEDYSKLMTKDDIKTASFWAADVNHERNGHLVPEITPQSSLVVAKAS* >Brasy2G320100.2.p pacid=40068898 transcript=Brasy2G320100.2 locus=Brasy2G320100 ID=Brasy2G320100.2.v1.1 annot-version=v1.1 MFRRKHTPHFNSDDSEKRQAKINELRTALGPLSARAKKYCNEACLARYLEARNWNVDKSRKMLEESLKWRTSHRPEDIRWPDVSVEAETGKMYKATFPDREGRTIVIMKPAKQNTSSHEGQLRHLVYALENAILSLPEGQDKMVWVVDFTGWTLANATPIKTARESANILQNHYPERLSVAFLFNPPKVFEAFFKVVKVFLDPRSIQKLNFVYKENEESMKTMYKHIDPEVLPIEFGGKNNVVYNHEDYSKLMTKDDIKTASFWAADVNHERNGHLVPEITPQSSLVVAKAS* >Brasy2G320100.3.p pacid=40068899 transcript=Brasy2G320100.3 locus=Brasy2G320100 ID=Brasy2G320100.3.v1.1 annot-version=v1.1 MFRRKHTPHFNSDDSEKRQAKINELRTALGPLSARAKKYCNEACLARYLEARNWNVDKSRKMLEESLKWRTSHRPEDIRWPDVSVEAETGKMYKATFPDREGRTIVIMKPAKQNTSSHEGQLRHLVYALENAILSLPEGQDKMVWVVDFTGWTLANATPIKTARESANILQNHYPERLSVAFLFNPPKVFEAFFKVVKVFLDPRSIQKLNFVYKENEESMKTMYKHIDPEVLPIEFGGKNNVVYNHEDYSKLMTKDDIKTASFWAADVNHERNGHLVPEITPQSSLVVAKAS* >Brasy2G138500.1.p pacid=40068900 transcript=Brasy2G138500.1 locus=Brasy2G138500 ID=Brasy2G138500.1.v1.1 annot-version=v1.1 MSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAAEPKARWTKEIDWLLSVADHIVEFVPSRQVSEDGSTMEIMITQQRKDLQMNIPALRKLDGMLLSYLDSFSDKQEFWYVSKDADESEKGDAPKQDNKWWLPTVRVPPNGLSDSSRRWLLHQRELVGQVHKATMAINANVLMEMDVPEAYMESLPKNGKSSLGDSMYKLITDEYFDPEELIASVDLSAEYSIVDLKNRIEASIVIWQKKMTQKDGKMWGHGVSHEKRGRFEGRAENVLLLLKHRFPGISQSALDISKIQYNRDVGSAILESYSRTLESLAFTVMSRIEDVLRADSLAQDPKNAEAMRMPSLSSDDTDTVVQDAKDEVERLGRMEPLNSTLFDYVGPRDGDIGTMMSDSQEPRGAKLSKVSSIATKRFSYLDKLENLGGTRSPISRH* >Brasy2G475500.1.p pacid=40068901 transcript=Brasy2G475500.1 locus=Brasy2G475500 ID=Brasy2G475500.1.v1.1 annot-version=v1.1 MDATAVAGCFRDKIILVTGSTGFLGKLLVEKILRVQPDVKKLYLLVRAPDAASAEQRILSQVLGKDLFNTLREKHGLAGFQKLVKEKIVPLAGDIGDRNFGLDSSRANALYKEIDVIVNGAATTSFYERYDVSLASNALGAKYACEFAKKCMNLKLLLHVSTAFVAGTQEGLLLEKTLQMGETLRQGYYLDIEAELQLAEKVKTELKTSKSGSSDQLERTAMKELGLKRACHFGWPNVYTFTKAMGEMLLAEQWGDLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALIVAYDEQAFPCFVGDRKDTMDAVPADMVVNATLVAMAVHWNEKGKVVYHVSSALQNPLTGYVLEDACWDYFSIHPRVLENGKPLQNRRPYVFKRFAYFRAYLILVYKLPLEILHAVNLLSCGLFSQYYNKHNRRYSFLMLLVKLYAPYAFFEGCFDDTNLTRLRKEVKMDGSDGSIFNFDPKSIDWHTYLLNVHVPAVLKYGRKKKGSA* >Brasy2G093700.1.p pacid=40068902 transcript=Brasy2G093700.1 locus=Brasy2G093700 ID=Brasy2G093700.1.v1.1 annot-version=v1.1 MGHWWDRVVLPVRRVWLGVASRFGVRQSGLWRLRQEVSTCEYEDVQVMWEMLSRTTPAATTRRPHAHSRFRQPRPWAGSLRLCRDI* >Brasy2G337800.1.p pacid=40068903 transcript=Brasy2G337800.1 locus=Brasy2G337800 ID=Brasy2G337800.1.v1.1 annot-version=v1.1 MEAVDEYSPVVVRVSCECCGLEEECTAEYIGGVRAYFAGRWLCGLCSESVKYEAGRKRSGGGGSTEDAVRAHMAFCRMLRRRDPAERVAEGMCQLLRTASGKKNNSRAGASLASSSSMPRPAPAPAVAAGCGRGAPPPPRVGGAAVDRALI* >Brasy2G066300.1.p pacid=40068904 transcript=Brasy2G066300.1 locus=Brasy2G066300 ID=Brasy2G066300.1.v1.1 annot-version=v1.1 MATAAVPSAGYGADGVYRSLRPAARIESDPGISAVDLLLRRAAACPSALACLRSAILSTAVALSSRAGVRPGDSVLLVAPNCVLYPVCFFAVTALGAVASTANPLYTPREIAKQASDARTKLVITVSDLLPKVADLRLPVILLDAASPPPGSTVTLYSDLVSGVDEANYRRPPTKQSDTAGLLYSSGTTGESKGVVLTHRNFIAAATMVTSDQDDRGEGPNVFLCFLPMFHIFGLSVITYAAARKHRRRHVRFALDTVMSAVQQHRVTHLFCVPPVMIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEAVAKNFPDALICQGYGMTETCGIISLEYPEKGQVRQFGSTGTLVTGVEAKIVDVETLKLLPPNQLGEICVRGPHIMQGYFNNVQATEFTIRQGWLHTGDLGLFDDEGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSPDSSLTEVDVQKFIETQVTYYKRLKRVTFVSSVPKSASGKILRKNSSPKSDRPSCSESASTPISEQCPPSRYF* >Brasy2G444900.1.p pacid=40068905 transcript=Brasy2G444900.1 locus=Brasy2G444900 ID=Brasy2G444900.1.v1.1 annot-version=v1.1 MPSAPAPPFLVLDFIVRDYRPTVNRRTGDWAQIHCQHTAAHGCGPLGDDIVNGLSLFARLGADGDPDGFPALRLGATEDVFRMTSYVVSVSVSGGGAMVCSLSFAADNNKPLLSYYLVFVSGAGNGKSSLSLLPEHCETAFNCVPIAVRDLSGGDYALALLARRPTGPAQIAPRPVICLASLGISSSSAPWGADGRSFRLEDAFLFKGKAVWSDLMKGILYCDSSDLLQNQNENAMVDLKPVLLPDEYRKPQDLMDLTAFHCYRAIGGVGERSVWFVCITPSSEHYCLGDETVFKVLSVDILSESESESESEESESVVEWKEHREFTLRSVWELEGFRERGLPVCSEPIFPFLRQEDDGVVYFTLADPKRGGGCHVVGVDVRDGGELRLASCRYIANTGILRPVVLPADFFSPRSETDA* >Brasy2G381000.1.p pacid=40068906 transcript=Brasy2G381000.1 locus=Brasy2G381000 ID=Brasy2G381000.1.v1.1 annot-version=v1.1 MSSRYAREPQASSLRSRVFLDNRAEASNTGYYRNSGAPLVQELNDFNNENPGLPLNGHVASGLRGRSAICRMSRSPFFKGPTSSSDMNASAFSSSHTRSQSLASGGRQVLKRRGGDFENELGSIGPIRRIRQKSNMMSPFRDARASPPGNFLPSRTIGSDFNEGSSERLLLGTGQSLRTVEKHKNIEHGKSSSDIVPPVPHQSNKMAEKIFEQLDIIAPSPKEKSGQQSFAGNPSRSMSKQPVWQDNGPKSVCDPSSSQKIQDFNAVNRPHDPDLNGSPLNKDKLTKDGSSKVPSYAFQDSGNKDNKSNHVAIFDKPAISDNSVSATASRTPGFKMAVFEDLYEFDDDQEAPVPSKNSTFETGVKTIEQKLGSKINERRVGPNIFERKDESSLLKEKVINSPAKEQPIKYTSKDVSASGLFSSNVPEKKASPYTSAENNVGFSFPHVPPGGLPETTVSALPLASNKDDKQTCASSFTFGLKPASAPDSETTKTAGVKIEGRLGDSVTKPAALDSGNLERGDGRERAGDIHKSSEKVLPTAAPTLTPPSHFASAASTPASLSNGLSHTSSPKLSNAAPTDRPSVSSVPSTPIASFAVSSSSPPISSSTPAFPAINFGTSTPTVPAVKSESTSTEAKPASTLVFGGAVGTTAETKSLAPESASKTSSNLNTAPFFSSNATTFSSSPVTASSTFSSAATFLSSPIASSSAGITSTSSAPSTTGSTGVQSASTAPFAFSSAENSIFGSSAPAQFTGVSTSVGGSTSQSSAASTVFGSKLPQPQTSQSSATQFTSSFPTVAIGATVSSSGPGNVSFGAGASPSGPGTFLFGAGASSSGPGTVSFGAGASSSGPGTVSFGAGASSSGPGTVSFGVGAQSASPGSVSTGVGASSGPGLFSFGGGASSSGPGTASFGAAPSSSGPGTVSFGVTASTAGSGFGNSPFGSGAAFAGSGSGFAFSSPSPSAGSSLPVASTSMFNSSSTASSAPAFANPFGSTVSPPNSFTFGQSASSGGAFSFGAQPAPAFSSQAPPVFSFTSANTGMNSSTPQPAFGTANANTAFAMGSPGNDQMNMEDSMADDTNQAAQPQVTAPVFGSSLFGQPASSPAVPVFGAPAVQPAGGFQFGGQQGTVQQNPTFPPGGSVEFQGGTFSLGSNGVGGDKSNRRIIKAKRTQKKR* >Brasy2G206000.1.p pacid=40068907 transcript=Brasy2G206000.1 locus=Brasy2G206000 ID=Brasy2G206000.1.v1.1 annot-version=v1.1 MGKHGKKRQQHQQSGSTHGRPVLVTFYVTRPRRKDGGSVSAATASSRNVHRHHHDVHHHHHHHHHHLRGGSSDEVRRGTENPRSYDRRLDLLEYSRQLRATAATPPPHPKPAPPRHSTKTTVGYGDQVVPQPKPPAPAADGRRQLVRRRLERASSQHISQSCLGGNWSWKRVLTLVLPCHSSSSTHQSSTGTRSRTNRRDDKDGKDNSSKAKSWPAAELVSKLKVDRRRSRSKRSSSEDNGLVKKLFSMFRQQSRSTR* >Brasy2G144200.1.p pacid=40068908 transcript=Brasy2G144200.1 locus=Brasy2G144200 ID=Brasy2G144200.1.v1.1 annot-version=v1.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPQLGAHGPFQPAPTPVAPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAMLKHPRTPSTANPAMDYPSGDSDHLSKRPRPIGLSEEVNLPVNMMPVTYPQNHSYPQEDFHKAVARTLSQGSTPMSMDFHPIQQTLLLVGTNVGEIGLWDVGSKERLVVRAFKVWEVAKCSMVLQAALVKEPCISVNRIIWSPDGTLFGVAYSRHIVQIYAYQGGDDIRQHLEIEAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMSYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFVIKIWDMDNTSLLTTIDAEGAYLPRIRFNKEGTLLAVSTADNGIKVLANADGVRLLRTLENRSFDASRSASETVTKPLINPLTAAAVASAAAAAATSSGTAAPAAITAMNGDSRSLVDVKPRIADESMDKSKVWKLIEIADTTQCRSLKLSDSVRTTKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDPHDNSPEDAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWNTDGWERQRSRFLQIPSGRTTSNILDTRVQFHQDQLHCLVVHETQIAIYDASKLEPMKQWPVRENSAPITHATFSCDSQLIYASFLDATVCIFSASSLRLQCRILPASYLPPNISSSVHPVVVAAHPSEANQFALGLTDGTVYVMEPLESERKWGNPPPVENGSTSNLSTPPNGASSSDQPER* >Brasy2G478500.1.p pacid=40068909 transcript=Brasy2G478500.1 locus=Brasy2G478500 ID=Brasy2G478500.1.v1.1 annot-version=v1.1 MGTTCSQIQSIPQRLVPPPPTVGIERQNKTPLPPSLLSPNPSLPHLSLSLSLSCPDTRSRPHLLESSTRGRRRRGKQASKLAAAMGYTYTPTYYSGLQDTIATLCKSIFTRPGRRLTADQAAARRHADALKWQQDSFHRILHLSALHREGIVPASDVHAFRASLLAALAAPPTPQNPDLPPILRDKLLFLQELLRAKCISPAEYNSTKRPLVQRLAALGIVVDCPDADAAGGVAAGSTRSSAEEWSEIDLRDPAPATAASDKPKHKAFVSPWKSRGKKEQDSRPPLAQVDQNHASVLMAESSPSEAAPSGKAEKGKRRHLTAMFHNGGENKEPAAEEGAVEKGGIKSRKKSSWGFDGLKKWKKAGGGSNEDATAAGEGAPPRSSHSDCRLEASPAVAASPDAKRAKKKLHPASTGGNVSAADLANDKVLVEETKKELSRIQAELSSTNRNLNFSHQQIEAISTRLPVDKSDLKTFFPKAWCDQHGDGVIDAAQKEFKGHVEEIEKQREENITGSEGWEAAFGDSHEEDNFNPRAFSQDQSAAKGEKVHESLGSEHFTNPFYDDKSNPFWNQSYN* >Brasy2G081100.1.p pacid=40068910 transcript=Brasy2G081100.1 locus=Brasy2G081100 ID=Brasy2G081100.1.v1.1 annot-version=v1.1 MLERIACSTSAPAAGDHHALTFDHHSLPRIILSAATSSSSHGGAAVTVSALHAAATKLGVLPSSLPVSNALISAYSLSGLLPSSLRAFSLIPYPSTASYTCILSALSRHGRALEAMSLFASSAVSPDVELLSCLVSCCRRASSFLPARAAHAFGIKNVPMLAFYASAGPALVALYAKHGKVVAAKRVFGFSDGEDVVSWNAMIGGFATAGREGEAWDCFRDMRSQGVRGNARTAVAVLGACDLESGRQVHGYIMRIHGGGSKPILWNALLSMYSRAGHVRDAERVFLEIEGKDVVSWNVMIGAFAKNGHGERALELQDMMVHFGMQPDSLTFTTVLMACCHCGLVDEGLAIFQRFVAGIGLTPSMEQCACIVDLLARAGRFEEAVEFTGQMPERPNAIVWGALLSASRMHDNVEFAQIAFEQLVQAEPENPGNFVTMSNIYANAGMVEDAKRVRMMIDREELVKPSGQSCIEAV* >Brasy2G226800.1.p pacid=40068911 transcript=Brasy2G226800.1 locus=Brasy2G226800 ID=Brasy2G226800.1.v1.1 annot-version=v1.1 MSVTWTSVAAAATMLAVAVTVFTIFVACFYFCSKRYRRAVPSIGGGPDAVGRGRPIFVFGRGRGLDEAAIAALPQREVAEGDPPADCAVCIAELAPGETARLLPLCGHAFHVLCVDMWLRSHSTCPLCRRAVVDEKVVVVVQQPEADPESPNFPTNVLFFGSSAQPQDAATTRGAPVPRAPPQPAQGPIAGVAAVVEAARAAAFRRLLGRRQTVTPPPPPRQADRDMERLNSGEGCSHCHVPTKPQPGS* >Brasy2G271000.1.p pacid=40068912 transcript=Brasy2G271000.1 locus=Brasy2G271000 ID=Brasy2G271000.1.v1.1 annot-version=v1.1 MAQFVSRFAEPGDQEYAPPVPTCETKAEKKDRIQKFKLEQGAAKVAEDLLKYDPQSDPNTTGDPYKTLFVARLNYETSENRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRISGQNADQKPSAREQPSAGRPRSEEPKRDDRRVDRDREKSRERVRERDRDEKPRERSHDRTRERDPREERHHHRDRDRTRDRDRGRDREKDHGRDRDRDRRDRDRDRDRGRDHDREKDRGRSHDRHRERGRDRERDHERPSHERERSHLHERDADYANGEPKHERKLSDYGQDYGYNQHEQHRSHEAYSYGQDGRGHETERSKRHEHEYYQVQPNTEPEGPEEGEAYEEGDYQYHQAAEET* >Brasy2G271000.2.p pacid=40068913 transcript=Brasy2G271000.2 locus=Brasy2G271000 ID=Brasy2G271000.2.v1.1 annot-version=v1.1 MAQFVSRFAEPGDQEYAPPVPTCETKAEKKDRIQKFKLEQGAAKVAEDLLKYDPQSDPNTTGDPYKTLFVARLNYETSENRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRISGQNADQKPSAREQPSAGRPRSEEPKRDDRRVDRDREKSRERVRERDRDEKPRERSHDRTRERDPREERHHHRDRDRTRDRDRGRDREKDHGRDRDRDRRDRDRDRDRGRDHDREKDRGRSHDRHRERGRDRERDHERPSHERERSHLHERDADYANGEPKHERKLSDYGQDYGYNQHEQHRSHEAYSYGQDGRGHETERSKRHEHEYYQVQPNTEPEGPEEGEAYEEGDYQYHQAAEET* >Brasy2G178100.1.p pacid=40068914 transcript=Brasy2G178100.1 locus=Brasy2G178100 ID=Brasy2G178100.1.v1.1 annot-version=v1.1 MYTARKKIQKDKGVEPSEFEDSVAQAFFDLENNNQDLKSELKDLYINTAIQMDVVGNRKAVVIHVPYRLRKAFRKSHVKLVRELEKKFSGKDVVFIATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGSKIIKIFLDPKERNNTEYKLETFTAVYRRLCGKEVVFEYPVTESA* >Brasy2G206200.1.p pacid=40068915 transcript=Brasy2G206200.1 locus=Brasy2G206200 ID=Brasy2G206200.1.v1.1 annot-version=v1.1 MDDGAGGGVAPFPSPLPVAGGGHGHVNNRASSAVAAASVALILLYLFWKFMWQCRKDVAPRRDDNAASPSSSAQRPEPWPPCGTTADPERGENKTRMPVPASPVRVDDAPSDSGDAADKAECAVCLVEFGRGGEAGRFVPGCGHGFHAACIEAWLRVRPTCPLCRAAVVVPGLPEPNPGRLERS* >Brasy2G291800.1.p pacid=40068916 transcript=Brasy2G291800.1 locus=Brasy2G291800 ID=Brasy2G291800.1.v1.1 annot-version=v1.1 MLTDITGRYERRAMRSMVASVKSLLASGASRRATRLSLEVFAMASPSCIARLIVDAIDSWGIRDLELVATPTGLIAYQPPVCSFPPSTSISAKPAESRLQCLKLANCLPPSLEGFTALTTLVLQGLPESTPVAVYQTVLAACPQLQVLHLISCRYTSKNFRLVFNAPNSQIRELVIDGPLMIVELSSLPKLQSLTVLHSALVLSSATAAPCLTHVSFVFSVGPLEGNSLSFHYRNELDHIFRVLMRFFERAISMTDLALRFTGPGMWVGLKNPVCVMASLRRLLVAEVPSSRDVSGPLLLIEAAPLLETIHVHVDPKSQEEPGQEIPPWQPSTARHCRLKELVVVGFQTTARHLGFVKYTVEASMALSRVALFKHGHVKDKGPCGWEMVTQQSKWSKEEKLAVLDGISCSAAKIEVTLG* >Brasy2G331400.1.p pacid=40068917 transcript=Brasy2G331400.1 locus=Brasy2G331400 ID=Brasy2G331400.1.v1.1 annot-version=v1.1 MSDESPAPTPTPAATTAEAEKSQPAEQAGGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQEAAPGGGEEKGKELEGEEVGEKDELRKATLDKLENASEDSLLGQGLKVFDTSVENFTTGTWQALGSAWKSGSLIVQKLETSATSLAETIQQGELPAKASVIAPTILETGKSFTAKGMEMLERVSKETMELIIEETGMELDKGTDEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKAKLVAEQKTYYDGKVKEIQQIFTLSTKTEEDGPESDKGKKIEAADTDGDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNDIIKRTTDRLETIHSEGVHRLSEICCLAVSQLVVLGKSVISAANKSKNEDENDVKIDWPEDIISKAEIIRWKAHSIAVDIEKVSTSFATGISDVAEAYAAAIQNSLTDKQGDVPHQSVQEKAKYISSHLKSDQTSAVGKLQDALQYLAYVVVCTSMPSV* >Brasy2G402400.1.p pacid=40068918 transcript=Brasy2G402400.1 locus=Brasy2G402400 ID=Brasy2G402400.1.v1.1 annot-version=v1.1 MPLLSMAPPLAPSFPRLPLAPLPLPPAMISLPDRAPPPPPQLVVRPQAGGKQKAVVVLGATGTGKSRLAIDLALRFGGEVINADKMQLYAGLDVATNKVTPRECSGVPHHLLGVVGCPDDDFSAHDFRREAGRAAASVAARGRVPVLAGGSNSYVEELVEGEGAAFRERFELCFLWVDVQLPVLKGFVSRRVDEMVRRGLVREVAAAVDPDIVDYSRGLWRAIGVPELDAYLRRLRAGAGEDEGMLEAAVEEIKGNTCALAARQRGKIQRLERMWRGVRRVDATEVFVQQQRSGGRRGADEAWQRLVAGPCFDAVRAFLMEDQECSFVVPGSGAGGNKVPVFASGKASSSVFAASPAAVATAVV* >Brasy2G430400.1.p pacid=40068919 transcript=Brasy2G430400.1 locus=Brasy2G430400 ID=Brasy2G430400.1.v1.1 annot-version=v1.1 MHTTCSRNCLSELLRRLGHVHVQGQIRSPSQLSPARFMTRGRSVKERSKKKRVNDLEVVIERCKVISKVLTVVDALKMEEEHVTPLKRLEILRPQLGLTKPHKVAHFVYRSPQLFEVCRDSRGVTWAGLTPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVERRLAVDKIAHFRRDMGLPHDFRTRWVHMFPELFRLVRLEDVDYLELVSWSPNWAVTEHQKNVAAMTSDANTSSIAGTPGELSLPFQMKFPPNFKSYYKFRGKAHHYVKTGNTEQFQKTTYLSPYAEARELTPGSQEFDKRAVAVMHEILSFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGVFYVSERGKRLSVFLTEAYDGTELLKKAPLVRWKEKVLQLTGYRGKNKNIGKHHESSDSEDYLFGGGGGGDDDDNDAVLDVESEDSEGVLDHGTLTDDSEMDLGDLSDYTEGGSVTSS* >Brasy2G430400.2.p pacid=40068920 transcript=Brasy2G430400.2 locus=Brasy2G430400 ID=Brasy2G430400.2.v1.1 annot-version=v1.1 MHTTCSRNCLSELLRRLGHVHVQGQIRSPSQLSPARFMTRGRSVKERSKKKRVNDLEVVIERCKVISKVLTVVDALKMEEEHVTPLKRLEILRPQLGLTKPHKVAHFVYRSPQLFEVCRDSRGVTWAGLTPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVERRLAVDKIAHFRRDMGLPHDFRTRWVHMFPELFRLVRLEDVDYLELVSWSPNWAVTEHQKNVAAMTSDANTSSIAGTPGELSLPFQMKFPPNFKSYYKFRGKAHHYVKTGNTEQFQKTTYLSPYAEARELTPGSQEFDKRAVAVMHEILSFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGVFYVSERGKRLSVFLTEAYDGTELLKKAPLVRWKEKVLQLTGYRGKNKNIGKHHESSDSEDYLFGGGGGGDDDDNDAVLDVESEDSEGVLDHGTLTDDSEMDLGDLSDYTEGGSVTSS* >Brasy2G027100.1.p pacid=40068921 transcript=Brasy2G027100.1 locus=Brasy2G027100 ID=Brasy2G027100.1.v1.1 annot-version=v1.1 MPDMEESAQPKPADPSEPEHPNGAGEPVPEPEPEPVSPVAEAPGAAEEVAVVEEEVPTGSASAKDAETNAEGWRPYTMGELLGEAAEAAAAGRSAFAEENGVGSAAADGSSQDNLRLSSHNDVAMDLINSVTGVDEEGRSRQRILTFAAKRYISAIERNPEDPDAYYNWALVLQESADNVDPDSDSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWHQAIMNYDKAVQLSWNSPQALNNWGLGLQELSAIVPARDKQSIIKTAINKFRSAIRLQFDFHRAIYNLGTVLYGLAEDTSRSGGPDASPSDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPADDPIAPHKHWERSQFILNHEELQQANASESSPAKSLGHVEKSKRFIKVNVADIISVSTCSDLTLPPGAGLCINTTHGPVYLVADTWDSLDGWLDAIRLVYTIFARGKSDVLAGIITG* >Brasy2G027100.2.p pacid=40068922 transcript=Brasy2G027100.2 locus=Brasy2G027100 ID=Brasy2G027100.2.v1.1 annot-version=v1.1 MPDMEESAQPKPADPSEPEHPNGAGEPVPEPEPEPVSPVAEAPGAAEEVAVVEEEVPTGSASAKDAETNAEGWRPYTMGELLGEAAEAAAAGRSAFAEENGVGSAAADGSSQDNLRLSSHNDVAMDLINSVTGVDEEGRSRQRILTFAAKRYISAIERNPEDPDAYYNWALVLQESADNVDPDSDSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWHQAIMNYDKAVQLSWNSPQALNNWGLGLQFRSAIRLQFDFHRAIYNLGTVLYGLAEDTSRSGGPDASPSDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPADDPIAPHKHWERSQFILNHEELQQANASESSPAKSLGHVEKSKRFIKVNVADIISVSTCSDLTLPPGAGLCINTTHGPVYLVADTWDSLDGWLDAIRLVYTIFARGKSDVLAGIITG* >Brasy2G495900.1.p pacid=40068923 transcript=Brasy2G495900.1 locus=Brasy2G495900 ID=Brasy2G495900.1.v1.1 annot-version=v1.1 MWLNSNPGARLTTATLPLLHLPREPPVHTCSSSTRRVPWPPPPLSSSTATQPERLGTSGPYPGETGLWTLSIDSMATAASTPLLHVRAPAPNANPLFVQRFRRSGSAFRRLYLAPRGLPAATPRATAEPSASTAPDTVSVAGEGAGASVLLDVSGMMCGGCAARVRSILAADARVENVAVNLLAESAAVRLRSPAPGAGEELAVRLTECGFPSAARRGGAAAGGAESARKWKDMATRKAELLTRSRGRVAFAWTLVVLCCGSHASHLLHSFGIHVAHGTFLDLLHNSYVKCGIAMVALLGPGRDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELAWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLVITPSSDEPPSDGIVNSSAITVEVPVEDVRVGDSILVLPGETIPVDGNVVGGSSFVDESMLTGESLPVAKEIGCAVFSGTVNWDGPLKINATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYFMGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSMGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGKPVVTSIASLAYKEMEILRLAAAVEKTALHPIANAITKETERLKLDIPTTSGQLTEPGFGCLAEVDECLVAVGTLDWVHNRFQIKASPTELRDLRNRLEFLSCSEASSSKQSKSIAYIGREGEGIIGAIAISDVLRDDAKSTVDRLQKEGITTYILSGDRKEAVESIGITVGIRTENRKSSLSPQEKAGIISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAACDAASVVLLGNRLSQVVDALSLSKATMAKVHQNLAWAVAYNIVAIPVAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTRPDLKYRSK* >Brasy2G412300.1.p pacid=40068924 transcript=Brasy2G412300.1 locus=Brasy2G412300 ID=Brasy2G412300.1.v1.1 annot-version=v1.1 MFMLLTLLIVSHGKLAPKQKIRIKLRSYWVPLIEDSCKQIIEAAKTTNAKTMGPVPLPTKKRIYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL* >Brasy2G050800.1.p pacid=40068925 transcript=Brasy2G050800.1 locus=Brasy2G050800 ID=Brasy2G050800.1.v1.1 annot-version=v1.1 MAAGLQVFGQPASTDVARVLTCLFEKDLEFELVRIDTFKKEHKLPEFIKLRDPTGQVTFKHGEKTLVDSRDICRYVCTEFPEDGNRGIYGAGSLERASIEQWLQAEAQGFDAPSSELVFHLAFAPQLADHGMAFTPDEARVAENERKLQRILAVYDEILGKSRFLAGDEFTLADLSHLPSSHYIAGSERGRKLFTARRNVARWYGEISGRESWKQVVKMQSEHPGAFE* >Brasy2G444400.1.p pacid=40068926 transcript=Brasy2G444400.1 locus=Brasy2G444400 ID=Brasy2G444400.1.v1.1 annot-version=v1.1 MLDAPPAATTRRSIFLEFMAKVALFEELAGGGRRFLVSFQQELEYFRRPQVPSGSDIMSEIVRSNCTDRMKSYLEAGCSSHCQSISNLNQLHSCEGELEDHVNKVNALLKELQCLVEDAYDAALTANKMSSGSFIMEEKEQQLGHQLDSDSSLVTMMILVHNMLKLDYAMQEKIVRTLSLKSSSPELEGYCLMWELRPFVDDDLMNLAWKMC* >Brasy2G444400.2.p pacid=40068927 transcript=Brasy2G444400.2 locus=Brasy2G444400 ID=Brasy2G444400.2.v1.1 annot-version=v1.1 MLDAPPAATTRRSIFLEFMAKVALFEELAGGGRRFLVSFQQELEYFRRPQVPSGSDIMSEIVRSNCTDRMKSYLEAGCSSHCQSISNLNQLNALLKELQCLVEDAYDAALTANKMSSGSFIMEEKEQQLGHQLDSDSSLVTMMILVHNMLKLDYAMQEKIVRTLSLKSSSPELEGYCLMWELRPFVDDDLMNLAWKMC* >Brasy2G013300.1.p pacid=40068928 transcript=Brasy2G013300.1 locus=Brasy2G013300 ID=Brasy2G013300.1.v1.1 annot-version=v1.1 MLEMRREGHRPVKMHMVPTPPGWDHTLKDGEVFVPEFAGLETWEDPIPRPSEVTFEELKDKFVAGCMARLSLGRGMPCREERARRRQERDHHSLLIALNVYAEENNIHSSEFELVEVKERSRIDEYMKEYMHYNFLVKRSGGTPVLFFAEVHPDCKGKEDVYLCTPLQDTDNNSGECFGCNYGEKELLHPTSGGYLGGHVDARCATDSEDDCFID* >Brasy2G130700.1.p pacid=40068929 transcript=Brasy2G130700.1 locus=Brasy2G130700 ID=Brasy2G130700.1.v1.1 annot-version=v1.1 MAAAASYGFNQPGLSPEHKFDPTDDDLVIHYLLPKALGLPGPHEHAIIEADPGGLPPWEVLERHGIDMFASGGADQAFFFGPVPDAAHNAGRMVRRVQGGGFWQGQSGDDGAVVLLRGDGSEVDVRFKSSNLTFYESKDAPTSSGFVMHQFVIVDPPLPGAMLTRIRFDKARERRAGKLAKESAAADDCGPEESVRAGKQPVADGGGGGGGDALFIDGGYGGEYSGAPLGVVFPGSGEFSGGGCFVPDDLDTQLEQSMGYTQAEYDEFCQQQYQEFLLFQQYQMEQQYYQTGDPSNGGEGYSGGGGGSDHGSEVGTSSTISHCGDGAAA* >Brasy2G473600.1.p pacid=40068930 transcript=Brasy2G473600.1 locus=Brasy2G473600 ID=Brasy2G473600.1.v1.1 annot-version=v1.1 MPPVNGDAAGSSSGRDGPVGASTTKRNKPRYHAFTQQELPACKPILAPHMVIPVLAFVGLIFIPIGLACIVSSNKVVEVVYRYDTKCVPGNMLHNKVAYIQNASIDKTCTKTLKIPRDMKRPIYIYYQLDKFYQNHRRYSTSRSDAQLRDTKAAGEVAEFCKPEAYAANGRPIVPCGLIAWSLFNDTYSFARRGRPRGGPPPLTVIKRGISWPSERERLFGKNVFPRNFQNGSLVGGAQLDPRKPLSEQEDLMVWMRTAALPRFRKLYGRMEADLVAGELITVTVRNSYNSYSFDGGEKAVVLSTAGWLGGRNGFLGRAYVVVGMACFLLSMLLTLLCVVFPMKEEHLLLR* >Brasy2G473600.2.p pacid=40068931 transcript=Brasy2G473600.2 locus=Brasy2G473600 ID=Brasy2G473600.2.v1.1 annot-version=v1.1 MVIPVLAFVGLIFIPIGLACIVSSNKVVEVVYRYDTKCVPGNMLHNKVAYIQNASIDKTCTKTLKIPRDMKRPIYIYYQLDKFYQNHRRYSTSRSDAQLRDTKAAGEVAEFCKPEAYAANGRPIVPCGLIAWSLFNDTYSFARRGRPRGGPPPLTVIKRGISWPSERERLFGKNVFPRNFQNGSLVGGAQLDPRKPLSEQEDLMVWMRTAALPRFRKLYGRMEADLVAGELITVTVRNSYNSYSFDGGEKAVVLSTAGWLGGRNGFLGRAYVVVGMACFLLSMLLTLLCVVFPMKEEHLLLR* >Brasy2G473600.3.p pacid=40068932 transcript=Brasy2G473600.3 locus=Brasy2G473600 ID=Brasy2G473600.3.v1.1 annot-version=v1.1 MVIPVLAFVGLIFIPIGLACIVSSNKVVEVVYRYDTKCVPGNMLHNKVAYIQNASIDKTCTKTLKIPRDMKRPIYIYYQLDKFYQNHRRYSTSRSDAQLRDTKAAGEVAEFCKPEAYAANGRPIVPCGLIAWSLFNDTYSFARRGRPRGGPPPLTVIKRGISWPSERERLFGKNVFPRNFQNGSLVGGAQLDPRKPLSEQEDLMVWMRTAALPRFRKLYGRMEADLVAGELITVTVRNSYNSYSFDGGEKAVVLSTAGWLGGRNGFLGRAYVVVGMACFLLSMLLTLLCVVFPMKEEHLLLR* >Brasy2G049200.1.p pacid=40068933 transcript=Brasy2G049200.1 locus=Brasy2G049200 ID=Brasy2G049200.1.v1.1 annot-version=v1.1 MASCGCLVLEKVEDHGGGDAVAAAARGSGKGRAGCRCGSCAAEWWSRRSFSETMFPIYVMGSSRATATAAARGILDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNDVDIRRSIRLDAQAFKGRDPSCAQYSWALLYLKGYHSLQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVIGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKHVPPHSMAVGNPAKVVGYMEKEDPSLTMKHDARRDYFEHVAGSFSDDRSNGSVVK* >Brasy2G166100.1.p pacid=40068934 transcript=Brasy2G166100.1 locus=Brasy2G166100 ID=Brasy2G166100.1.v1.1 annot-version=v1.1 MRSCLPGFALRAGEGVALRAEYVRLVGPGETCVGVVGFGGKSSPKKHNFRSPPRHVTDPRHPSSPILHHQKASTSNHLLPLFRYLFAAPDQPAPLPAPTLTPEFNADLSFLFGTVILNGRKANLSFGAAVLQCSLPPFPAESIALQ* >Brasy2G287000.1.p pacid=40068935 transcript=Brasy2G287000.1 locus=Brasy2G287000 ID=Brasy2G287000.1.v1.1 annot-version=v1.1 MLRCLADIAAKGVKTDKGFKEIHIAQAAKALTQLVGYDVTTTQVTNHLRKWKIRYQRIDKLRLLSAALWNDAQKMIVLEDQHYLGHTQETPKDAEFLNTPLVNYEYMEACFADKLATGKFTMGSNEPLGKPIEVDGPENPIDLEGQVPFDFGVQGLGASAPSPSGSTNNKKRKRVLSDEDAIQVNNMSDALRDVAGAINNTCHTETHPDLCKTVMDLTNFDMDQRLAVLDYLTEHKGKGLNFMKMEADVREASFKRIIEKKS* >Brasy2G399000.1.p pacid=40068936 transcript=Brasy2G399000.1 locus=Brasy2G399000 ID=Brasy2G399000.1.v1.1 annot-version=v1.1 MRSSWADSVANAEESAPATAAASAPVANHQNSRPTRSSYVPPHLRGRSPGPPSENHAPGLAPAPAGIPPSGAVQPSGYAAVVGGGSRWAAPPAGGGTGVGVGVVRQGGGRGGGGGGGGWNSRPGLDRRDREPNPFGDVEPPAEVDFESQANTGINFDAYEDIPVETSGHDVPTPVNTFAEIDLGDALNENIRRCKYVRPTPVQRHAIPIVIGGRDLMACAQTGSGKTAAFCFPIISGIMKSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEAKKFAYQTGVRAVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVNYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLIHAQKANSVPGKQSLTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGTTPILVATDVAARGLDIPDVAHVINFDLPNDIDDYVHRIGRTGRAGKSGVATAFFNEGNMSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDRGAGGYGGGGGGGGGGGGYGGGGYGGGGGGYGGGQGASSSWD* >Brasy2G167100.1.p pacid=40068937 transcript=Brasy2G167100.1 locus=Brasy2G167100 ID=Brasy2G167100.1.v1.1 annot-version=v1.1 MATPLSSPFSVTTLRAAPLRHGRLHALPLPPPRATSGDDAPAGPEPSANTATTATTTTGDDEFEQRVLRMKSRVGPKKRGSAGARKRKAAAESKAVTLPPVPLREPRSALGLPVEFGFTGYSERLNGLLATVGLTALLLVELASGKSLVKYHQAPTLFLQMYTIVAAGTVFVKYEKERISVWPGPAPPKTTVGGE* >Brasy2G086100.1.p pacid=40068938 transcript=Brasy2G086100.1 locus=Brasy2G086100 ID=Brasy2G086100.1.v1.1 annot-version=v1.1 MALSMYPVCSNNENGSPHWWDHLHCAMSHYKFVLAIENTQTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKALANDPVAYAEYHAWRRCGILGNFGRTREMSLDTLPCRLCELVSKRGGRSADSF* >Brasy2G221500.1.p pacid=40068939 transcript=Brasy2G221500.1 locus=Brasy2G221500 ID=Brasy2G221500.1.v1.1 annot-version=v1.1 MEEGGGRDVEVPVSAEVKKTAATANIWCGAGGMKVERLALPLGMVMVQVFTVVTMVLSKVALNTGMHPLVLLVYRNLIGAAAVAPLAVVFEWYMRKKMNLRVMGWISINGTFGVLLAMGLYYWGLRITSAAYAVNFLNLIPVATFIIAVALRVEMLVLSNWAGRMKLLGAVVGVAGTMVVTICKGKLLVHPSPSHHFLQPSHSFAAAASPLHQNNNTVAGTLFLCGSCLSYALWFIIQARVAKLFPSRYWATALTCASGSLQSALVAAAAAAMGFTSDQGMSWRLGWDLQLATVVYSGVFNTGATFLLVSWAVARRGPIYPPMFNSLSLVATAVVDSVLLGTDVYLGGVLGTVLVVMGLYAFLWGKGKELAAVAAASAKPDDDDIA* >Brasy2G278000.1.p pacid=40068940 transcript=Brasy2G278000.1 locus=Brasy2G278000 ID=Brasy2G278000.1.v1.1 annot-version=v1.1 MCSMVPTCLRVTVLRPILSLLYPHHGKRCLDPKWRSLVHITCLHGTVKHSQFKETTLISQRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGHGTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLVGSILPIYKEVIAELKAAGASWIQFDEPTLVKDLDAHQLAAFTSAYADLESSLSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGTKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEDVVGKDKLVVSTSCSLMHTAVDLVNETKLDGEIKSWLAFAAQKVVEVNALGKALAGQKDEAYFAANAAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATTVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVTYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVKPALTNMVSAAKLIRTQLASTK* >Brasy2G278000.2.p pacid=40068941 transcript=Brasy2G278000.2 locus=Brasy2G278000 ID=Brasy2G278000.2.v1.1 annot-version=v1.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGHGTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPETKFSYASHKAVSEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLVGSILPIYKEVIAELKAAGASWIQFDEPTLVKDLDAHQLAAFTSAYADLESSLSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGTKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEDVVGKDKLVVSTSCSLMHTAVDLVNETKLDGEIKSWLAFAAQKVVEVNALGKALAGQKDEAYFAANAAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATTVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVTYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVKPALTNMVSAAKLIRTQLASTK* >Brasy2G336400.1.p pacid=40068942 transcript=Brasy2G336400.1 locus=Brasy2G336400 ID=Brasy2G336400.1.v1.1 annot-version=v1.1 MSGDMVDSFLQKSPPLFLSSPRASFPAEPPPSPHTPASRSRPSDLSSKLACLPCPTVAARTRSPVLLLVACTQATRPRFSSPRPVSRHRSRSGSPRPSSPLHHARKMRVDAESSPAPSPAPPVPRNSPASELLRRRRKVVVAGLLLHLPVEDDATVRFAYSSLGLLHLRLDLSQPKAVFFPTAGVAPPLPICGWEESSSRKPSGGGAPGARLGSRGSAASARRKMSSSAASSRRRIVEILRASSAAWRMAAARNAKEEGKEVGGFRREPRRSKTDVECHVGGDAQRLMVSAEFTLRWPACGGERRQSRGSRRSDGRYQLTSGMAGSSRCRRRTAAAGRSGRRKGLTCGVRRDVLNLLESVSLAIERPREEQSLAGFAW* >Brasy2G165400.1.p pacid=40068943 transcript=Brasy2G165400.1 locus=Brasy2G165400 ID=Brasy2G165400.1.v1.1 annot-version=v1.1 MPGTDLKAINRSGETPLDTAEKMGNGEVSGALAEGGVQSARDLNPAGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDADSLGPGQELGEANIAHETPFIIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGRAERWLAVAVTIMGATILVTTIGTMLYWVIAHRIEARRLRNIKRSSMSRSRSQSGSGGLSESEWIEEECKKMYAI* >Brasy2G038200.1.p pacid=40068944 transcript=Brasy2G038200.1 locus=Brasy2G038200 ID=Brasy2G038200.1.v1.1 annot-version=v1.1 MAAEEDQTPRAQANPDKSKKRKKPKKDKWGQPISAAAAAHEPAVEQQETREEPAAAAAAAGEEEEGAESYECGKVVASGMPYTTTEDEIRELFERFGPIRSLQLSRFPDSGNFRGLAFVTFESDEVAMKSLELDGHKIGYRFMRVERCRITASSKRQKKSEFQTDPEKPDGCLSAYVGNLSWNVTEKDLRDFFKSSRIASIRFAIDKRTGDSRGFGHIDFEDDESLEKAVEMNQSELRGRPVKIAYAISNRG* >Brasy2G038200.2.p pacid=40068945 transcript=Brasy2G038200.2 locus=Brasy2G038200 ID=Brasy2G038200.2.v1.1 annot-version=v1.1 MAAEEDQTPRAQANPDKSKKRKKPKKDKWGQPISAAAAAHEPAVEQQETREEPAAAAAAAGEEEEGAESYECGKVVASGMPYTTTEDEIRELFERFGPIRSLQLSRFPDSGNFRGLAFVTFESDEVAMKSLELDGHKIGYRFMRVERCRITASSKRQKKSEFQTDPEKPDGCLSAYVGNLSWNVTEKDLRDFFKSSRIASIRFAIDKRTGDSRGFGHIDFEDDESLEKAVEMNQSELRGRPVKIAYAISNRG* >Brasy2G340400.1.p pacid=40068946 transcript=Brasy2G340400.1 locus=Brasy2G340400 ID=Brasy2G340400.1.v1.1 annot-version=v1.1 MEALTMPHRRKSGTRTKPVNRAAGDLAAPARGWTSLFNGGRRRPALPLPVHRSVEQSAAWKICEIPIGCVILEEIMQYTLSYLHIIQKSLRYWNSRARGSNSQHIYFMIFERGPRAFFEATCQSLTRLTGSGGPSQSLLDSAEDIISTNIDVLESMERCLAAFLAELYSKSDICKEGLTGSRDESLHALFIVLNHVFIKLEEVLGGAVEEQALLFTHSGNPSELRFGRLPEVGTESPQWTETLSTYGLRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWFLRHSSLMGSSDLDSWIGHAKESLAGFWNEHAEKPIRSVGDEPSESFKRTARSLMEKKEARQTEESLQRILHSFCEQTSKEKSGEAPMDSQSHEKEWMHRIRNLFGAMRFKNQKKDPDILHSILELDQVVKANILDLQEAILGFSPVLEAIKLNFALLALGIPLLLLLSARARAVHVQHAERRKRIAWRIIVAEQRLLEFQQSNVRGKECEARWKFGLTLYSLDRLYQAVELHANGTDAWSTRLKEHIFYLAKPGIEKDDQRDVLLRLKDMYDCSPSLRGYPTLQ* >Brasy2G401700.1.p pacid=40068947 transcript=Brasy2G401700.1 locus=Brasy2G401700 ID=Brasy2G401700.1.v1.1 annot-version=v1.1 MAKLVSSALLVLSMFSTINAATARYSTPQLSSASTTTAPAATGSTTTRRRWPRHGPPPAPLPALPSCSFPRVSPRSRSHWSEDNRRHWIVLHGIDGLTVTGGGNINGNGELWWKNSCKTNKNLPCKQAPTALTFHLCSNLTVESLKIVNSQQINMSVEDCSDVQLARLSITAPGTSPNTDGIHITRSKDVQVRDCVIRTGDDCMSIEDGTHNLRVTKVVCGPGHRISIGSLGDDNSRAEVSGIYIDTVQLYGTTNGARIKTYQGGSGFDKDIVFQNIIMDNVQNPIIIDQNYCDSAKPCKNQESAVEISNVVFKNIRGTTVSKDAIKLNCSNSVSCSDIVLENINLKMEGGEGKTESTCQNAKWRKSGNVSPLPCKNKN* >Brasy2G477900.1.p pacid=40068948 transcript=Brasy2G477900.1 locus=Brasy2G477900 ID=Brasy2G477900.1.v1.1 annot-version=v1.1 MEKHGDGDGLHHHHAIRVPPQGPEVAAMEEQDSEITSEPNVSLRQEAAEITAATMAAYRSKPFSFWALLFLSGGAMLTAFPASSLLSRLYYNSGGQSKWILSWSAVAGWPIPALLLLPYYLAGKASPTPPTAKLCLWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLVFSALFGRLIVKNRIGLAAVNAIVVITAGVAIVALDSGSDRYPGVTGPQYALGFVLDVLASALHGLIFALSELAFAAHLGGGGGSNKGGSSGSGSFHVVLEQQAAVSLCGFAFTSAGLAAFGEGFGAMAREAAGFAGGGKAAYGMVMAWSAVTFQVGVLGATGVVFLASTVLAGVLNAVRVPVTSVAAVVWFHDPMSGFKILSMVITVWGFGSYMVGQSSSSSADAKERSRGSYRNTRPEIRS* >Brasy2G477900.2.p pacid=40068949 transcript=Brasy2G477900.2 locus=Brasy2G477900 ID=Brasy2G477900.2.v1.1 annot-version=v1.1 MEEQDSEITSEPNVSLRQEAAEITAATMAAYRSKPFSFWALLFLSGGAMLTAFPASSLLSRLYYNSGGQSKWILSWSAVAGWPIPALLLLPYYLAGKASPTPPTAKLCLWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLVFSALFGRLIVKNRIGLAAVNAIVVITAGVAIVALDSGSDRYPGVTGPQYALGFVLDVLASALHGLIFALSELAFAAHLGGGGGSNKGGSSGSGSFHVVLEQQAAVSLCGFAFTSAGLAAFGEGFGAMAREAAGFAGGGKAAYGMVMAWSAVTFQVGVLGATGVVFLASTVLAGVLNAVRVPVTSVAAVVWFHDPMSGFKILSMVITVWGFGSYMVGQSSSSSADAKERSRGSYRNTRPEIRS* >Brasy2G472400.1.p pacid=40068950 transcript=Brasy2G472400.1 locus=Brasy2G472400 ID=Brasy2G472400.1.v1.1 annot-version=v1.1 MTAMAASVAGAATGAVRRAADRALAGVRAARRAASRFAPGASAFSAAANAEAAAVRAVRNLRTFAPHYAALQWALLLAALSAAGHRSCVLALMAASKGVLLLLGCLKLSFPGSALLRRRILLILAALVFAGLAAAGAVSSVMAALGVGVPLVVLHASFRVRDDLEAPSPEAEEEEEEAAVVGEKKEDGDVEAGPTRRSTAVMAPRSPK* >Brasy2G126800.1.p pacid=40068951 transcript=Brasy2G126800.1 locus=Brasy2G126800 ID=Brasy2G126800.1.v1.1 annot-version=v1.1 MAPSWVLLRPELPFTHDAGLFSEASQQSKGWMSEGRPPRPEETRGAITAYLESLKPDAHFADPPDISYIRLLRPTESVPPLCEVVDSAWISSIDKHLLALYAGPYRPGSDIYVRKGGYLIYDARKNSLSPIPEIPYHMSQSAIGYETTVVVSFDGDGAGEGAGYVLAELTKKERLQSRTLLVAVL* >Brasy2G454300.1.p pacid=40068952 transcript=Brasy2G454300.1 locus=Brasy2G454300 ID=Brasy2G454300.1.v1.1 annot-version=v1.1 MTLAPSLDSSSSSVQPVFPVLKPEISVQCVWQNLVSSLLPDILAKTAPLSLCVPVPPLSFKRNWSLAFDEVSFMVQVVWSELPTPSSPDRRVVLPSRKVPFSV* >Brasy2G438400.1.p pacid=40068953 transcript=Brasy2G438400.1 locus=Brasy2G438400 ID=Brasy2G438400.1.v1.1 annot-version=v1.1 MNPVACLPLRASAATPPFPSRCRAAPLPPRSVSRLPPRASASTAGSSGVPCQRMTMTQQQQLHTSFTGVSLHRRWQQRKPSSARPSSSVVAMAMASRPAIQFIQGTDEQTVPDVRLTKSRDGTNGVAVFTFDQPSVFDSSAELGDITGFYMIDEEGTLTSVDVSAKFVNGKPAAVEAKYVMRTPRDWDRFMRFMERYSQANGLQFLKK* >Brasy2G463800.1.p pacid=40068954 transcript=Brasy2G463800.1 locus=Brasy2G463800 ID=Brasy2G463800.1.v1.1 annot-version=v1.1 MDSQSIVTRSDLEGMLCDETAEPKAMPLSLLAEITNGFSDKQQIGQGGFSIVYQGILDNGKVAVKRLSNTYMYEKEFHREVECLMMVKHKNVVRFLGYCADTQGRMERFNGKLDMADVQQRFLCFEYLPKGSLDKYITGRIIGMQSFF* >Brasy2G431200.1.p pacid=40068955 transcript=Brasy2G431200.1 locus=Brasy2G431200 ID=Brasy2G431200.1.v1.1 annot-version=v1.1 MDPSKKNPGRRPPVKSSSNSRSDSPSTATKPPRAQGAGAGHSVPARAQRPSKYVDDQEREQDANNIEGGDDADEDSENDEMSDHSRGQDNKEEEDDVVEITETQMSTTKVAAGKRKKKSGVAAVAKKKTRVKRAECWKYFKLVKAVSKKKPDEVVEKAKCLGCFSLLCYQGGTTSLNRHRDSCYQIQNKKARALRQGTIAFDPEKPGASLIDDRT* >Brasy2G399400.1.p pacid=40068956 transcript=Brasy2G399400.1 locus=Brasy2G399400 ID=Brasy2G399400.1.v1.1 annot-version=v1.1 MKCSGVQGVEVTGVIGCSLSACGHAIRGGGQRGWRRWRQREGAAGKSSDGTGGCGGGGAGKPRARQGGGGWSCEVAGGRIPSRRPTGLNPGLFRHYHTRSGGGEGLGRGGLGVRGGDRAGGAVELGGGGESEGRSTRREAADAFGFFPSCGACAIGFFPVRGACAWGSDDVGPSSVRRLSAHGREPPTPTPSPHFLDPRRSKPNLENPPPPSVPNPMPQPSLLRAVQPAALHQFFLAPLTNPDPGGGRRSRCSATAAAMASWRRCPGFDVERIWPWRHEATAPARPAGQAASPYFALELSPLPPRATPLSPSSPAVARCSRSPNNAASPPRLALALHPSGKETEAFLSSSSLALVAFWPPPTASASASSLTSFSARPVSPYSPFKIAAHRCACGMEGLPTLSQPGHSSLVAHDGAIAQP* >Brasy2G477800.1.p pacid=40068957 transcript=Brasy2G477800.1 locus=Brasy2G477800 ID=Brasy2G477800.1.v1.1 annot-version=v1.1 MAAAAVLLLFLLRLAVAAAAPAACRHTNLTAGFAADLTMLQHQLRGTVRLDPSGGCALRLTRFDLLAASPSARFWAADGATLADLEAGRPFSPLPLNTTFRNATLRLPFSSPLPPLLALFDPDTSSDLGHVFLSANGSSNATTAPTMFDNCLPLSDTYRLRWTLNASAGEVEIGLEAAVGSEYYLAFGWSDPKANSPAMIRSDIVVAGFTEEGMPFAEDYYITDYSECSMGRDDSPVSGVCPDSAYDEGRNDSRLVYGHRRDGVSFVRYRRKLDSEDAKYDVPVVAAEEMAVVWAMGKLRPPDTLRPHYLPQNHGGQRDTTFGFARVNLSESVDSCIGPLDAENKQDQERIITDGKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKAERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPDYHGVPATPTELVWLPDRNTPDLVYYQSVYEPKMGWKVQVVDGGLSDMYNNSVLLDDQQVTLFWTLSSDSDSISIAARGEKKSGYLAIGFGSGMVNSYTYVGWVGNDGVGRVKTYWIDGKGATGIHTTSENLTYVRCKSENGIITFEFTRPLKPSCSGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVAALLLAGFQPLNAYFRPKRPANGEVLSRNRVIWGYLHVITGRSAIVVGIVALFTGMKHLGHRYDSENVEGLTWALMLWVLSAIVIALSLEYKEVKRKGRDRSSSGHWVLGNSEEDDTVDLLHPDSSSRSSESSPSGAMEVQLEPLNR* >Brasy2G052400.1.p pacid=40068958 transcript=Brasy2G052400.1 locus=Brasy2G052400 ID=Brasy2G052400.1.v1.1 annot-version=v1.1 MSLSFPKSPRRPGHSRHKPPPHLLLRRAAPALALLRPRLPSAPASPLPPHIRLSPHELATGRRGPCKLLPELSSAAPSTSSLPRVTLLLASDLWRQGAGFMAAAPCGRGSGRVWA* >Brasy2G330100.1.p pacid=40068959 transcript=Brasy2G330100.1 locus=Brasy2G330100 ID=Brasy2G330100.1.v1.1 annot-version=v1.1 MASFTLASHFLPSLPTPNHKRLSLRLPARRLPVASPAAPSGPAAAAAARERRRFLERYGLNPDDYEEDVEPDPREERRRERRMRRSGRGDDEAAVAPVKAVERRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDASDIHMLRVETFLDNAEKSKGRYPSFDYISVTPPYVEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGKLIKIADRKFGRTNLLIYGPTWSEKKKKALR* >Brasy2G245100.1.p pacid=40068960 transcript=Brasy2G245100.1 locus=Brasy2G245100 ID=Brasy2G245100.1.v1.1 annot-version=v1.1 MPGQARWPPGASHAFCQSQALSPGSPAISPDCPAPRAAAAATCKPVPRFRCRVATEFPTSNSDAPSCAHANGDSGRSSGVAIAAPSRFCSQPRGLAPPRRLGWRCTRARSKHRLAGSPVDQEPGQPKENGYAVVDAACCGLGSKNSMFSCTPLSSLCDNRKNHIFWDFVHPTEITAQKLMALAFNGSSPLATPINVGQLVRAT* >Brasy2G372900.1.p pacid=40068961 transcript=Brasy2G372900.1 locus=Brasy2G372900 ID=Brasy2G372900.1.v1.1 annot-version=v1.1 MAGDGGSNQEEVQIQIAGSSEAASSSTNEAPARSSPVKPWQWWLMVTLNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIAQFLFRPKSPSTQTTTSNPEASVTKITLIYIVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYVLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTNDISQGKHILGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTAFVATLASLVGLFASGEWKTLEGEMHVFSSGKLSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGIKIIAMMMAIWGFMLYGYQLYVDDKKSRKTSASVEENS* >Brasy2G225000.1.p pacid=40068962 transcript=Brasy2G225000.1 locus=Brasy2G225000 ID=Brasy2G225000.1.v1.1 annot-version=v1.1 MAEANSYEEQRRRKVEENKRKLEELRLHHLSAAVREAATKPKQKRKAPAQRDAGKYAPRRRSNRIANIPERPNYRDNAIYSQKQKELKPDHAYAITKAEELQDELGSDYPTFVRPMTQTLSNLHIPSQFSTEHLPEHDARISLVDDEEDEYHMFYRPHTSSLNRGWREFAVDHELVDGDCLVFQLIKKAFFKVYIFRASSYYEDDH* >Brasy2G315400.1.p pacid=40068963 transcript=Brasy2G315400.1 locus=Brasy2G315400 ID=Brasy2G315400.1.v1.1 annot-version=v1.1 MTASAGKTDPVIGRDDEIDRVVSILCRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGAVPAALAGASLLELDLGELVAGTVYRGMFERRMKNVLNRAEASNGKVILFIDEMHMLLGAGACEGGCTDAANMLKPALARGRVRCVGATTLDEYRRHVEKDAALERRFQKVQVEEPSMEATLAILQGLKKRYEEHHGLEIQEAALVAAAQLAGRYITGRQFPDKAIDLIDEACAAATKKNMSRIDNQERQVNTAQSCSANAVKEAIVGPDHVAEVVSRWTGIPVVALNQEEKDKLIGLADRLHERVVGQDEAVNLVAEAVLRSRAGLAEPSQPMGSFLFLGSTGVGKTELAKALAEQLFDNEKMLVRFDMSEYSGNGSVLRLIGAPPSYRGYEDGGQLTEKVRRHPYSVILFDEVEKADPSVFHVFLQILDDGRLTDGKGQTVDFKNTIIIMTSNLGAEHLTTGMVRENTTGAARDLLMKQVHKHFKPELLNRLSEIVIFEPLSHGKLKEIVKIQMKSVIARVADKGISLFVSDAALDYILSESYFPMYGARPIRRWVQKNVMTKLSKMLVKGEAGEGSTICIEVDNKKGLEYEVVKKVADRSTRSEVCHGTSQ* >Brasy2G025500.1.p pacid=40068964 transcript=Brasy2G025500.1 locus=Brasy2G025500 ID=Brasy2G025500.1.v1.1 annot-version=v1.1 MEVSVTYQLCTLQLSHKLISWSFQVHTISKTFDRGTDALFSSVASIAANSSQKFATAAGDIYREDGANYTAYGLAQCLVEMTTADCARCLESLWFLYSYRAALSEEDGDFGRGYRWAALAWVILRRKRQSSRQKQESSSGKFDSLGCIYEFHGPDSGCGHNGLSKILVRTTRHVCQRIKESFMVPVAN* >Brasy2G036800.1.p pacid=40068965 transcript=Brasy2G036800.1 locus=Brasy2G036800 ID=Brasy2G036800.1.v1.1 annot-version=v1.1 MAAPLQPQTLPHSITAVTNSAPQILQAICREASCWSQAKPFVFGDSIFGQFSEVVAEWRDNVIFFLFCPWGV* >Brasy2G486100.1.p pacid=40068966 transcript=Brasy2G486100.1 locus=Brasy2G486100 ID=Brasy2G486100.1.v1.1 annot-version=v1.1 MRRLAVRLARGAVPLVRTASTTANSAGPAAGLKVGDALRSHGQRRRFTEADVAAYAALSGDRNPVHLDDAFARGKAGFPRGRVVHGMLVASLFPALIASHFPGAVYARQSLRFAAPVHVGDEVVAEVQALQIKATGARHIVKFATKCFTNAGDGETLAIDGEAMAFLPTLQLSPEDD* >Brasy2G038700.1.p pacid=40068967 transcript=Brasy2G038700.1 locus=Brasy2G038700 ID=Brasy2G038700.1.v1.1 annot-version=v1.1 MEKKLPMPLAAHGGHEGLWARPWRWAKTAFFLVSMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAHPSHHYTLLDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAAACSVGSLFYVLAKAVAVFGVAAAGDGLELQGKGQLVAVEAMFLISLALAAAHLAMAYRASCRERRRLHVVYRIDIEAVRLKGGHTPKSLKKCIV* >Brasy2G441000.1.p pacid=40068968 transcript=Brasy2G441000.1 locus=Brasy2G441000 ID=Brasy2G441000.1.v1.1 annot-version=v1.1 MLPALPFLAATESAAASAFFPSVTCNLVTTSNVNFAKKKHGRRLNIQPDIQRGTTQGPSTVHKKWRAPSSDPAQAVVGDAGDSKTWEECKQILTSLSFSTEDAEKMLKKAFGWIHSPYWTEERKKEVPSVDLVSGVLDYIRGLGLSDADLYKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKTLRSVLLRNPKVLGYNVDCRGDCAAQCTRCWVRF* >Brasy2G219900.1.p pacid=40068969 transcript=Brasy2G219900.1 locus=Brasy2G219900 ID=Brasy2G219900.1.v1.1 annot-version=v1.1 MAKQSCCHKKRLRRGLWSPEEDEKLMNHIAKYGHGSWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSMLGNKWSQIASQLPGRTDNEVKNFWNSYIKKKLRERGIDPATHKPLAEAPTSPPSTTACRAVFSDAELNPTPSQVEQMLEGLKMPLEEDWPNGMSDQCYQVPPGLQAAGPCAFDMGALQQQHCGAGCAFPSASSSSTLTGQQSPGASLPWLELGPTDGALGDELRWSDYFDGGACFQAAAPTTTQGAQQQGQYCAYDTGKDDDEAQFDLHGLSNWC* >Brasy2G457600.1.p pacid=40068970 transcript=Brasy2G457600.1 locus=Brasy2G457600 ID=Brasy2G457600.1.v1.1 annot-version=v1.1 MAMDYLHHEHYELILHCDLKPSNVLFDEDMTAHVADFGIARLLLEDNSMISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGAQLTLRQWVHQAFPAELVQVMDDQLLQGSTLSSHSLDSGFLASVFKLGLLCSEGSPDQRMTMHDVVVALKKIMAEYTKQTAMTLLT* >Brasy2G022300.1.p pacid=40068971 transcript=Brasy2G022300.1 locus=Brasy2G022300 ID=Brasy2G022300.1.v1.1 annot-version=v1.1 MESRPTRRRRIRRSPPPLPGDEDDRISALGDDRLVEILARLRCTRAAARTSLLSRRWRNLWTRLPDLTFRSLAAAEVGAALALVPRPAAVSLLDIRLEAPPPPRKLGADKAAAARARSLLRAAARLSPRELVFVVFPWRSHHDIRGAQFVLPASLRRATSIELDTLLLRVKPPPPSGDLPALERLSLTGIIVGIGDFLDRCPRLRELRVTFRAVSLAAVEAELATIQARRGLAVSLIGIEHITVGKRRLHEDNRRLSPLLRAAARLSPQELVFRHSSCTSPDLPCFPEATSIELSLYGAHLSLPPASEFSALETLTIWWCSSSLATLVSRCSRLRVLRVINSSTDGSIRISSASLQELDVIARDYGNAGRSWYYIDIVTPVLRTLKLNLRANEDMSVSISAPMLDKVSWCQFYTDRALVFGSWRLGQTNLETVESSSGEDNSGCSLLHVLRLDMSAECYSGGIEINFEQQMKQLPFTDFSALELHLTTRGHVFRAFVLRLLEMHHFQAATRLKVVLVATSQVTRACPENCCHSDEPKNLGSQSILLTHLEEVEIDGFEGGDRELDFLTHNQMRADA* >Brasy2G202400.1.p pacid=40068972 transcript=Brasy2G202400.1 locus=Brasy2G202400 ID=Brasy2G202400.1.v1.1 annot-version=v1.1 METCRPAACAAPRSSRAPCWPAARPCRPPRARPLARAALPPALLPAALRATACAPRGSMARKLSAISGTTFTPPTASAMKTSAQERKYPQLKVEEDEGMRKKKEKDNGSN* >Brasy2G189000.1.p pacid=40068973 transcript=Brasy2G189000.1 locus=Brasy2G189000 ID=Brasy2G189000.1.v1.1 annot-version=v1.1 MILLGGDHASACSGEREACRGGWAQHGRTNGIDSFICSARVSRSQRCGASRAGWPWQGQKGRHRRAREAAERSGRREEPGGEGRRVIDWTGESKAMGRRQTREIHGGVLTLEKYHRFFVDPWGTRVTIDHLNDIIYMHGFSRLHRHNKKDIMGLLVGQVDLEPPRRSTLHCAPPAAPPSAAVILAAEAKADIDAIGWEECPVGCVAAFAASGDTPEPVEPTPPPADRVLALAVRRARSKRTRRSAYHRRVASSCCANKVNEEVREEEEMWSPPPSPPPLSMRSPTPPPPPPSPPRQETLAPSSPCSPPPGFGSSAAPCWSRPTLAPIPSAPPGFGSTPCWSGPPPGFGSPQVTSPPPHLCWGLPLPVAPPPSCTGAPTYTQHLQPAGPPPCWRSPTAPPSYPAPVWGARPELLPRQVPWCWPPPPPPHWLPPPVLQQRPPPPHWSCIGPSVPPTLQQRPPPMRPHFQGQHTPPPPPPGVCWGKPVS* >Brasy2G378800.1.p pacid=40068974 transcript=Brasy2G378800.1 locus=Brasy2G378800 ID=Brasy2G378800.1.v1.1 annot-version=v1.1 MGKVVFGAYLLQCKLFISEETFDWRVLARGNTQLFSLVNCT* >Brasy2G225100.1.p pacid=40068975 transcript=Brasy2G225100.1 locus=Brasy2G225100 ID=Brasy2G225100.1.v1.1 annot-version=v1.1 MLVPVHTLTTWFIRPAEQQNRQQVGYAADGELEDDAPKQRPFVARPAGALRIPQPSRDQVGHAARVPHDDGGFGRIKLSIPLFSGTREDPEATMSRFFNGLNIEVQDRVKMVSYYDIQDLVHQAERAEQQFKRRQAVAPANSWRRSPTEAAGSSAKTTPSSRSNHVSHNEAPKSGVSKAASSTQSTSNIECFTCGGRGHMRRDCPNTKRVILTQDGYVSASDDDKVDVPSSVEFEDHDNFDVYPEDAAPNCTDLMVQRKRHVLQPMLDKDIKVATFAVKKKIQQAKSKPRTVSSQVGGDDEGRILLASAISPTPYILKFGSFCVEVPPKDEVKPNFRTPPAL* >Brasy2G281600.1.p pacid=40068976 transcript=Brasy2G281600.1 locus=Brasy2G281600 ID=Brasy2G281600.1.v1.1 annot-version=v1.1 MTPDVEFKDAGLAQALDLEVSAFLDALKLLEEMHKRETFKTMPQIFTMLTYGARACRLFDELELCGVIPDHGVHNVQRRRDPKGTAAGSKGDGSSGWTVEVAREKAELERVLGEPSNASWKDN* >Brasy2G184600.1.p pacid=40068977 transcript=Brasy2G184600.1 locus=Brasy2G184600 ID=Brasy2G184600.1.v1.1 annot-version=v1.1 MESASREERLPPALPLATLIGRELRGGGSERPLVRYGHSGFAKRGEDYFLVKPDCFRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEDVMSAVPQGISREDWLQVLPRALVAGFVKTDIDFQRKGEMSGTTATLVVVDGFTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQQVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDAVSSETAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDYCSTPPPLSPNKNQNKLRSLLFGRRSHSSVGKLGNRKKSASFGFVEELFEEGSAKLEERLGRNSPSKAKFPPFRCAICQVDQVPFEDLMTDNGGGYCSAPSTPLAGPYLCSGCKKKKDAMEGKRSSPSTACS* >Brasy2G429200.1.p pacid=40068978 transcript=Brasy2G429200.1 locus=Brasy2G429200 ID=Brasy2G429200.1.v1.1 annot-version=v1.1 MTPRPGLSIHIRTHAAIRQLTLAKQREIKHFSTGTQAPEIKKIDPASARSATTMEAADDDGLAVPPGYSFSPSDKELTDICLRGKISASDEFAARFEARFFHDADVYSAEPEKLVQPFSPAPGTGTSDKQPPVWYFFSPVRYASKKKKSGRRSRTIGGDGGKKWHTEESQQPLKEGGSAFGGYVQRFSYVVKAKASEGKKEEDEKKRAGWIMMEYGISPEHGGGSLVLCKVYPSTYSHKLTAADSPGINLRKRKAAPGDHPEAPTTTRPRTESETYDGTLDAVANDDVNMCPITRWVTSEQEAAEGAHLPVYFDFEQGTTDCFGIDEPASDHPEAPTTSAQPLILTSDADDMAKWDNIYSELLEQEGTTAADQGTTEPQPETVAAGTGLDHQPFSFWQEMEQEFEALYPISNSVMVDGSGGDLATPPCAQAAQPEEDAAGLVQTISDQDQNNDGSLTTTQLDEAADANVIYGLPCPDFSPEMLRKCMDDTKPWPSPTSLSLLLST* >Brasy2G396200.1.p pacid=40068979 transcript=Brasy2G396200.1 locus=Brasy2G396200 ID=Brasy2G396200.1.v1.1 annot-version=v1.1 MAAAQLLGEDGRGYELARRLEACGAWRAWLGDGAHASLAQHLASPSTWDAFLSPAPPSSSSNSAAPPRQLLLLQLRVRALLFDKASAALIPRGSASPAGPHSVNASYLQLHGDDIYFSLEDEQEDTAQHQMQSGTAFSPSRESVMLSQRNMRQDELPGSWYKQYAEKFRTRHGKYRSDDKEIPKRTPEGMSNYLKACSVHKRKRIVFMDDHSPNMMLENGPSLQSKVAGEFSNLADDTFIPEIRFPSDCVPESAVPRESGISRSNKIEVNGVLDNLPAPVSRNTAMLERFGMMPEYYKTGNKYRGKIGSKVEGKTLSQEQALLITRKLVARYLANAGFESGTAVAVDVLSEVIIKHISKLGRNLKLLSDSYRKQFSSIELLRMFLQTVGYSNIGPLMEITKMGNRVVNHQIHQDAQVQNQNNLLHAQQLPRQFAPQMSIQTQNLTPQQQQLLQQQQLLQQQQWLRRNQMTSPRGALTMAEKNQAMVNVKLENTMDSQIDGSYGSLTRQPQQMQQLRQQQLLHQQQQQQLHQQQQQQLHQHHQQQQQQHLQQQQQQQQQQLQQQQQKQLQQHHQQQQQQLQQQQQQQQQQLQQQLAMSGNQNAQLAQQFKQAPQSMGSYGMRMPPVKVEAFHELVSGDSSSDTSKLTSPK* >Brasy2G396200.2.p pacid=40068980 transcript=Brasy2G396200.2 locus=Brasy2G396200 ID=Brasy2G396200.2.v1.1 annot-version=v1.1 MAAAQLLGEDGRGYELARRLEACGAWRAWLGDGAHASLAQHLASPSTWDAFLSPAPPSSSSNSAAPPRQLLLLQLRVRALLFDKASAALIPRGSASPAGPHSVNASYLQLHGDDIYFSLEDEQEDTAQHQMQSGTAFSPSRESVMLSQRNMRQDELPGSWYKQYAEKFRTRHGKYRSDDKEIPKRTPEGMSNYLKACSVHKRKRIVFMDDHSPNMMLENGPSLQSKVAGEFSNLADDTFIPEIRFPSDCVPESAVPRESGISRSNKIEVNGVLDNLPAPVSRNTAMLERFGMMPEYYKTGNKYRGKIGSKVEGKTLSQEQALLITRKLVARYLANAGFESGTAVAVDVLSEVIIKHISKLGRNLKLLSDSYRKQFSSIELLRMFLQTVGYSNIGPLMEITKMGNRVVNHQIHQDAQVQNQNNLLHAQQLPRQFAPQMSIQTQNLTPQQQQLLQQQQLLQQQQWLRRNQMTSPRGALTMAEKNQAMMQQLRQQQLLHQQQQQQLHQQQQQQLHQHHQQQQQQHLQQQQQQQQQQLQQQQQKQLQQHHQQQQQQLQQQQQQQQQQLQQQLAMSGNQNAQLAQQFKQAPQSMGSYGMRMPPVKVEAFHELVSGDSSSDTSKLTSPK* >Brasy2G315900.1.p pacid=40068981 transcript=Brasy2G315900.1 locus=Brasy2G315900 ID=Brasy2G315900.1.v1.1 annot-version=v1.1 MVKICCIGAGYVGGPTMAVIAIKCPAIEVVVVDISKPRIDAWNSDHLPIYEPGLDEVVKECRGRNLFFSTEVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKCDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKEVYAHWVPEDQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVASYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEQQIQWDLAMNKFDWDHPTHLQPTSPTAMKQVSVVRGDAYEATKGAHGICILTEWEEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA* >Brasy2G108800.1.p pacid=40068982 transcript=Brasy2G108800.1 locus=Brasy2G108800 ID=Brasy2G108800.1.v1.1 annot-version=v1.1 MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSEIHRAWATVDNSFYVWRFDKWDGQCQEYHADEQAICAVGLARAKPGIFVEAIQYILVLATPVELILVGVCCSASADGADPYAELSLQPLPEYMISTDGVTMTCITCTDKGQIFLSGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSSWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGANGDGPLKKITEEKNLVDPRDAPYGGRRPNAPRAVRSPKPSIVCISPLSAMESKWLHAVAVLSDGKRLFISTSGGSSSSVGLNNGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFASASRSSRALRETVSALPVEGRMLCASDVFPLPDAASIMQSLYADVECFSAFRKPSEKASIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEASAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQLNGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPVWELPVMVVRGLVGPNDNGDGVVVCRLSTGAMKVLESKIHSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIIGVGVRNNGKSPYSADQSASNKKPRSLYTSAELAAMEVRAIECLRRLLRRSGEALVLLQLICQHNVARLVQTLGSDLRKKFVQLTFHQLVCSEDGDQLAMRLISSLMEYYVGPEGRGTVDEISTKLREGCPSYFNESDYKYYSAVEFLERASMTNNHDERDVLARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTISAQRVQCYEIVMNALRTLKGAGRSGAPGPVIALDPASRSKCIKQIIQLSVQWPDTVFHEHLYRTLIELGLDNELLEYGGPDLVAFFQSAGRKHQEEVRGAPRPADLGAPISTTQTKYLELLARYFVLKGEHIAAARMLLILAERQCSNAEEAPVLDQRYQYLSNAVLQAKSAGIAADSSRNPVDSSTVDLLEGKLAVLRFQMQIKQELESMASQLETIPGSSESASDPFPRDNILADAESAKVAKDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQTLTRGGVAEACSVVKRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGDKLVGDEDVARALLGACKGLAEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVIAHKLGTTTAGASFFQDGTFSLNQKGSLNQGVRDKIISLANRYMTEVRRLNLPQNQTDNVYRGFRDLEEKLLTPY* >Brasy2G109800.1.p pacid=40068983 transcript=Brasy2G109800.1 locus=Brasy2G109800 ID=Brasy2G109800.1.v1.1 annot-version=v1.1 MPARGSLLRRLSTRRDPNLATLLAVLRSPQPPSTPLPHALSRAFPSPSDAFPLRTLPGLLPLLPSPLHSLQFLLWRMPPSPPLPSPHTLSSLAASLPDLPTAVPLLLSSSPHPLPLPHYALLLGISAHAGLFPASVAVLRHMRSSRLTPDAASFHSALRAARSPGDVSVVLDIMSGSGVDPTVPLIVTAVHKLASAGDFQDARRLIDKMPDFGCVANVVVYTAVLDGMRSFGDVDAVVGLLEEMEDGGLGAWCVPNVVSYTCLVKCLCEKGRVAEALSVLDRMIARGVMPNRVFLRTLIDGFCADRRVGLVAKAYDVVERVVGDGTLSSEQCYNVLLVGLCGAGMAGEAEGLAERMMKKEVQLSPLAASAMVRELCRRKRWLDACHWLGMMEKNGVLCDSDVYAGLLLGLCEEGHVLEASALGRKVIERGIHMEASCADSLVQLLKQHEEEKRFVLVEARTMFVTE* >Brasy2G109800.4.p pacid=40068984 transcript=Brasy2G109800.4 locus=Brasy2G109800 ID=Brasy2G109800.4.v1.1 annot-version=v1.1 MPARGSLLRRLSTRRDPNLATLLAVLRSPQPPSTPLPHALSRAFPSPSDAFPLRTLPGLLPLLPSPLHSLQFLLWRMPPSPPLPSPHTLSSLAASLPDLPTAVPLLLSSSPHPLPLPHYALLLGISAHAGLFPASVAVLRHMRSSRLTPDAASFHSALRAARSPGDVSVVLDIMSGSGVDPTVPLIVTAVHKLASAGDFQDARRLIDKMPDFGCVANVVVYTAVLDGMRSFGDVDAVVGLLEEMEDGGLGAWCVPNVVSYTCLVKCLCEKGRVAEALSVLDRMIARGVMPNRVFLRTLIDGFCADRRVGLVAKAYDVVERVVGDGTLSSEQCYNVLLVGLCGAGMAGEAEGLAERMMKKEVQLSPLAASAMVRELCRRKRWLDACHWLGMMEKNGVLCDSDVYAGLLLGLCEEGHVLEASALGRKVIERGIHMEASCADSLVQLLKQHEEEKRFVLVEARTMFVTE* >Brasy2G109800.2.p pacid=40068985 transcript=Brasy2G109800.2 locus=Brasy2G109800 ID=Brasy2G109800.2.v1.1 annot-version=v1.1 MPARGSLLRRLSTRRDPNLATLLAVLRSPQPPSTPLPHALSRAFPSPSDAFPLRTLPGLLPLLPSPLHSLQFLLWRMPPSPPLPSPHTLSSLAASLPDLPTAVPLLLSSSPHPLPLPHYALLLGISAHAGLFPASVAVLRHMRSSRLTPDAASFHSALRAARSPGDVSVVLDIMSGSGVDPTVPLIVTAVHKLASAGDFQDARRLIDKMPDFGCVANVVVYTAVLDGMRSFGDVDAVVGLLEEMEDGGLGAWCVPNVVSYTCLVKCLCEKGRVAEALSVLDRMIARGVMPNRVFLRTLIDGFCADRRVGLVAKAYDVVERVVGDGTLSSEQCYNVLLVGLCGAGMAGEAEGLAERMMKKEVQLSPLAASAMVRELCRRKRWLDACHWLGMMEKNGVLCDSDVYAGLLLGLCEEGHVLEASALGRKVIERGIHMEASCADSLVQLLKQHGNEELASYVLGLRTRE* >Brasy2G109800.3.p pacid=40068986 transcript=Brasy2G109800.3 locus=Brasy2G109800 ID=Brasy2G109800.3.v1.1 annot-version=v1.1 MPARGSLLRRLSTRRDPNLATLLAVLRSPQPPSTPLPHALSRAFPSPSDAFPLRTLPGLLPLLPSPLHSLQFLLWRMPPSPPLPSPHTLSSLAASLPDLPTAVPLLLSSSPHPLPLPHYALLLGISAHAGLFPASVAVLRHMRSSRLTPDAASFHSALRAARSPGDVSVVLDIMSGSGVDPTVPLIVTAVHKLASAGDFQDARRLIDKMPDFGCVANVVVYTAVLDGMRSFGDVDAVVGLLEEMEDGGLGAWCVPNVVSYTCLVKCLCEKGRVAEALSVLDRMIARGVMPNRVFLRTLIDGFCADRRVGLVAKAYDVVERVVGDGTLSSEQCYNVLLVGLCGAGMAGEAEGLAERMMKKEVQLSPLAASAMVRELCRRKRWLDACHWLGMMEKNGVLCDSDVYAGLLLGLCEEGHVLEASALGRKVIERGIHMEASCADSLVQLLKQHGNEELASYVLGLRTRE* >Brasy2G391100.1.p pacid=40068987 transcript=Brasy2G391100.1 locus=Brasy2G391100 ID=Brasy2G391100.1.v1.1 annot-version=v1.1 MHGWAKLRAVVRRHIKERANTAAPTAVVMGSENESSNKKNRKNLQVGKMARQKRQQMGQSSKSAGHMLGKRGNADWTTDLGDGTENRKKGRRAETTASSGVDVAGQVIEQEGATSHGAAGELTGSTDRVRQEK* >Brasy2G335600.1.p pacid=40068988 transcript=Brasy2G335600.1 locus=Brasy2G335600 ID=Brasy2G335600.1.v1.1 annot-version=v1.1 MQASEEEGHARAEEAYEQEDVDGEEYEEDEEEYEFGDAAVATQCVEMAAGDRVIPTIRDFEAMEALLGKRKAVTGEQPQWDASPKRRKHGELSEAESANLFDQLMEGFGLRRKRRSKNGKKRGRTKGRRNKCGPEVIKKLGDATLLFTENRFKEAIPILHEIVRIAPNLPNSYNLLGSIYKENGEIDKAINFVMLAAYVSPKDVSLWKKLIDLALKKEDAALARHCALKAMRADPEDAGLKFDCANIYRALHDYQKAAEIYEQIVRIYPSNIVTRKAAAQMYRDSSQIDKAISLLEDFVNARTTNIDWNLLDLLISLYLRNNSHGEALRQIEKAHQVLGSQHKLPVNLQAKELICQAYLGDMKHAEMFLQEVCLERSKESTDLVKEVASALENMGQYEYAIKFYLMIEDVTVQNDGSPDLDLARCYMVIGDKRKAIPYFEKALERMKDNVDVRITLCSLLVDEGKSTEAIDLLKPPKNSESLSANIPDKQKPWWLDGKVKMKLAKLYYNNGKLEDYVETIFLPILETLDIEYANRKVKPTKKLPDDVLRERAKVLGEERPDSIIQGCRPIASPAEVLKANRAKKTLEKRAASNEDTIKDDTRRAKQIPPLPGLLADVENHQLVLDLCRTLTLLQRYWDALQIIDRTLRLGNDALSDDNKEELRSLGAEIAYRAPDPRPGFDYLRYVVQQHPDSLSAWNSYYKVTSRTEDKISRISRHLKFLLKTKKDNPKCVPPIIIHGHRFTAHSQHQSAAQEYLEAYKLDPENPLINLCVGSSLISLALGFRLQNKNQCILQALAFLFRCLRLGGNQQEALYNIARAYHHVGLNTLAVTYYEKVLAMEVKDCPIPKLPFEEDSDPCGQQDLRPGYCDLRREAAFNLHLIYKKSGATDLARRILKTYCSI* >Brasy2G091600.1.p pacid=40068989 transcript=Brasy2G091600.1 locus=Brasy2G091600 ID=Brasy2G091600.1.v1.1 annot-version=v1.1 MAVLRKRPAAVLNRDHATATATQASAASTRCKRSRVTVGTTEDYEDSTCIGQGGFGAVFRARHRVTGKIVAIKHLASSTDKTAGAGELLREARFLKLCSGNPYVVGFESLARDPRTGNLCFVMEYVEAPSLNGFLWDTRHGPRLPEPTVRAFMWKLLNGAKMMHERHVVHRDIKPANILVGAEQEEGGDRLLKMCDLGLAINMTDSPPYNQAGTLTYMAPEMLLEKTDYDALVDTWSLGCVMAELVTGEALFEDEEEDEDDDDESESESLNRLRSIFSVLGVPSEKSWSEFKSLPLAGEALRALPAMVRPHHSKLREMFPEETLSADGFKVLEGLLTCNPAKRLTAAAALKLPWFAPLRPAAAAAAKINAPALPTKKPQKINVIPPAIPEKKPLRIKIIPFLPAIPAEKKPLRIKIIPPTMPDTRIC* >Brasy2G411800.1.p pacid=40068990 transcript=Brasy2G411800.1 locus=Brasy2G411800 ID=Brasy2G411800.1.v1.1 annot-version=v1.1 MSAPWWDSDWKDRSGPEFRVHVGNLSWGTDERSLKDAFADHRPLGAEIVYDRETGRSRGFGFVNFDDSKSMSDAIQGKNGQELDGRSITVNQANQRGRKWRA* >Brasy2G459100.1.p pacid=40068991 transcript=Brasy2G459100.1 locus=Brasy2G459100 ID=Brasy2G459100.1.v1.1 annot-version=v1.1 MGTRIYDPLLKTTVLQPVATTLLSRCQSHVCQVWKEIEPAVCIVVAGGLCWAAWRYYERKTCLRTYGRYMTGKQAGPVVGREDEIDRVTSILCRKTKNCAALVGAPGVGKTAIAEGLAQRIAAGEVPPELMGARVVEVDIGVMLAGTVLRGMFERRLKDVVKAAEDSDGKIILFIDEMHMLLGAGNCDPRGTCDAANMIKPALARGRLRCLGATTLSDYSKYVETDAALERRFQKVHIEEPSTKETIAILRAIKQQYEKHHGLEIQDAALVATAQLAGRYITGMLLADGLEFLSLHLLKMRRIYRLIHLADRLHERVVGQDEAVNVVAQAVLRSRAGLDQPGQPIGSFLFLGPTGVGKTELAKALAEQLFDSEKMMVRIDMSEYVGSGAVTRLLGAPPCYVGYEEGGQLTEKVRRRPYCVVLFDEVEKVDPAVPNIFLQLLDDGLLTDGKGQHVDFKNTIIIMTSNLGAEHLIAGMAGKGTMEAARDLVMKKVRRNFKPEFVNRLSEIVIFEPLSQEQMKEIVKIQMKSVIDKVADKRISLFASDAALDVILSESFNQMYGARPIRRWVQKNVMTTLSEMLVKGEVGEGSTISIDATEDKKGLKYEVSKKVV* >Brasy2G193000.1.p pacid=40068992 transcript=Brasy2G193000.1 locus=Brasy2G193000 ID=Brasy2G193000.1.v1.1 annot-version=v1.1 MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK* >Brasy2G054300.1.p pacid=40068993 transcript=Brasy2G054300.1 locus=Brasy2G054300 ID=Brasy2G054300.1.v1.1 annot-version=v1.1 MPEANAAARGGGGDARTAEQRSNSKNSEEMGFFSEYVDASRYKILEIIGKGSYGVVCSAIDQETGDKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTETISRIRNEKARKYLSSMRRKQPIPFSEKFPNADPSALKLLERLLAFDPKDRPTAEEALAHPYFKRLARVEREPSCQQPISKTEFEFERRKFTKEDIKELIFREILEYHPKLLKDYMNGSEKTSFLYPSAVDNFRRQFANLEIDGGKSGAADRKHFSLPRTTTVHSAPILPTNGPTSQVPQRIPTARPGRVVSSAIPTDNPSVTDRHNGRRVARDPAVPPAAAYHLKSDYSDRQHQQEFEKDRVRSDKQRHQELEKDRMQYRPGHHSMDAEVAPEISPYMRSSPYYIPPFNGIAAVASGYSKVAAVTRMY* >Brasy2G054300.5.p pacid=40068994 transcript=Brasy2G054300.5 locus=Brasy2G054300 ID=Brasy2G054300.5.v1.1 annot-version=v1.1 MGFFSEYVDASRYKILEIIGKGSYGVVCSAIDQETGDKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTETISRIRNEKARKYLSSMRRKQPIPFSEKFPNADPSALKLLERLLAFDPKDRPTAEEALAHPYFKRLARVEREPSCQQPISKTEFEFERRKFTKEDIKELIFREILEYHPKLLKDYMNGSEKTSFLYPSAVDNFRRQFANLEIDGGKSGAADRKHFSLPRTTTVHSAPILPTNGPTSQVPQRIPTARPGRVVSSAIPTDNPSVTDRHNGRRVARDPAVPPAAAYHLKSDYSDRQHQQEFEKDRVRSDKQRHQELEKDRMQYRPGHHSMDAEVAPEISPYMRSSPYYIPPFNGIAAVASGYSKVAAVTRMY* >Brasy2G054300.3.p pacid=40068995 transcript=Brasy2G054300.3 locus=Brasy2G054300 ID=Brasy2G054300.3.v1.1 annot-version=v1.1 MGFFSEYVDASRYKILEIIGKGSYGVVCSAIDQETGDKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTETISRIRNEKARKYLSSMRRKQPIPFSEKFPNADPSALKLLERLLAFDPKDRPTAEEALAHPYFKRLARVEREPSCQQPISKTEFEFERRKFTKEDIKELIFREILEYHPKLLKDYMNGSEKTSFLYPSAVDNFRRQFANLEIDGGKSGAADRKHFSLPRTTTVHSAPILPTNGPTSQVPQRIPTARPGRVVSSAIPTDNPSVTDRHNGRRVARDPAVPPAAAYHLKSDYSDRQHQQEFEKDRVRSDKQRHQELEKDRMQYRPGHHSMDAEVAPEISPYMRSSPYYIPPFNGIAAVASGYSKVAAVTRMY* >Brasy2G054300.2.p pacid=40068996 transcript=Brasy2G054300.2 locus=Brasy2G054300 ID=Brasy2G054300.2.v1.1 annot-version=v1.1 MPEANAAARGGGGDARTAEQRSNSKNSEEMGFFSEYVDASRYKILEIIGKGSYGVVCSAIDQETGDKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTETISRIRNEKARKYLSSMRRKQPIPFSEKFPNADPSALKLLERLLAFDPKDRPTAEEALAHPYFKRLARVEREPSCQQPISKTEFEFERRKFTKEDIKELIFREILEYHPKLLKDYMNGSEKTSFLYPSAVDNFRRQFANLEIDGGKSGAADRKHFSLPSF* >Brasy2G054300.4.p pacid=40068997 transcript=Brasy2G054300.4 locus=Brasy2G054300 ID=Brasy2G054300.4.v1.1 annot-version=v1.1 MGFFSEYVDASRYKILEIIGKGSYGVVCSAIDQETGDKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTETISRIRNEKARKYLSSMRRKQPIPFSEKFPNADPSALKLLERLLAFDPKDRPTAEEALAHPYFKRLARVEREPSCQQPISKTEFEFERRKFTKEDIKELIFREILEYHPKLLKDYMNGSEKTSFLYPSAVDNFRRQFANLEIDGGKSGAADRKHFSLPSF* >Brasy2G259900.1.p pacid=40068998 transcript=Brasy2G259900.1 locus=Brasy2G259900 ID=Brasy2G259900.1.v1.1 annot-version=v1.1 MDSSDDLEVMRIRLRYAERQVLIARDEIAVLESKLQATSDREDFLLGELVKLSSDLRETVPEPHAEAERVRNHLNRIVYSGPATPIFWSNHDRGYTLALLQDRVARAVTCLKSCEHCLSLVHSNLFPDLPSPGDLKGLIQRFCDGAVVKGVSQEQMVEGAVVALAFVRLRYPSLDLEMLHIVPSSVPEDLPLGPVYDAADHVARQLLADVVLE* >Brasy2G108700.1.p pacid=40068999 transcript=Brasy2G108700.1 locus=Brasy2G108700 ID=Brasy2G108700.1.v1.1 annot-version=v1.1 MAADALTIIPGAVLRNLADKLYEKRKNAALEIEGIVKQLSTAGEHEKISAVIGLLSTDFTYSPQANHRKQGGLIGLAAVTVGLTSEAAQHLEQIVPAVLSSFMDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSSDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGLKADQTEGFDIGAILSIAKRELNSEHEATRIEALHWFSSLLVRGRAEFLAYLDDIFDPLLNALSDPSDAVVLLVLEVHARIAEEYHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYRQFSTILESEGDLDFASTMVQALNLILLTSTELADLRSLLKKSLVDSCGKDLFLTLYASWCHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTPNNNAINFSSRLQQFESMQQQHRNHLKNQLQSQKSASAVILSQEIQRYEEARSSIPEMSRPPSRPSRVVS* >Brasy2G433000.1.p pacid=40069000 transcript=Brasy2G433000.1 locus=Brasy2G433000 ID=Brasy2G433000.1.v1.1 annot-version=v1.1 MAKRKRMDAGEQSATAAGATAEMDLGSSHGSGVPSFEFAFNSANFSDRSLRIEVVASDDAGGGSAADCARHGEEKGDKGQSIDSSSTDVCTPVLREKTIYINSAILAARSPFFLKLFSNGMKESDQTHPILRIVDQENALMEFLSFMYSGKVTATEPTLLLDILMAADKFQVVSCMRQCSQLLTSLPMTTESALLYLDYPCSISMAGEVQALRDAAKEFLANKYKDLAKFRKEVMNLPLAGIEAIFASSDLQVESENFVYDFLLKWACAQYPIFEDRHKIFCSRLLPLVRFKHMSLMKLREVLKCVDNNIDHEEVTKCITDALLYEAYPSSQQSILAADAAICCQFAERAYKYKPVKVVVFDQPCPQAIVYMDLKHNEYSRLFPSGRSIRATCEVNEEDNQEYSFGFYLVVQKKPRNATCLMVDLEFAARISWSGKFGYGLESDYTLTEGTVGYNNFFDTPWPSFVADDSLFINGVLHLRADLRVAEEQPELET* >Brasy2G433000.2.p pacid=40069001 transcript=Brasy2G433000.2 locus=Brasy2G433000 ID=Brasy2G433000.2.v1.1 annot-version=v1.1 MEFLSFMYSGKVTATEPTLLLDILMAADKFQVVSCMRQCSQLLTSLPMTTESALLYLDYPCSISMAGEVQALRDAAKEFLANKYKDLAKFRKEVMNLPLAGIEAIFASSDLQVESENFVYDFLLKWACAQYPIFEDRHKIFCSRLLPLVRFKHMSLMKLREVLKCVDNNIDHEEVTKCITDALLYEAYPSSQQSILAADAAICCQFAERAYKYKPVKVVVFDQPCPQAIVYMDLKHNEYSRLFPSGRSIRATCEVNEEDNQEYSFGFYLVVQKKPRNATCLMVDLEFAARISWSGKFGYGLESDYTLTEGTVGYNNFFDTPWPSFVADDSLFINGVLHLRADLRVAEEQPELET* >Brasy2G231700.1.p pacid=40069002 transcript=Brasy2G231700.1 locus=Brasy2G231700 ID=Brasy2G231700.1.v1.1 annot-version=v1.1 MPDSDNDSGGPSNTGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASTGTPQQLPEMPRNANAAGSYAGYGGASPGTVGPAAGGMMMMMMYGSPPPPQQQQQQHQMTRAGFGHQGSTGAGGSSSSSGLGRQDRV* >Brasy2G276700.1.p pacid=40069003 transcript=Brasy2G276700.1 locus=Brasy2G276700 ID=Brasy2G276700.1.v1.1 annot-version=v1.1 MLHEKPVQHGPPGFHEDGTSRPWTGEKRRRKCLPPSSSDSPATAQDHPDLISHDATDMEDIIQRGQDHKLWVPGEDELWGPEVDISEEDAISYIERLAPDDPDDLYPRCMMTCAEFEDVRERIARYRIAYYKKVAKPESARELKDPEKYYRDELWKEKFFEHLEDDESFEGYFLREHSMLHDLDDYQRLVVSYTAHGSPGSKFLFWEDYCARYHTYDMDDVYVNYYQELSKRIKWLEGYWNFNGKSEEWEKMNVRAWRQALKIALSFPKMTLRLVSFAFDEYIWELKRDVSVKDLDLVYFEIWRLVAKQNRSFKKALEEVYAMDKFHSQKQRMAAELEGALFIWSMEDMFKNLKSGGHISVETEEHEARTLFRNIVYKHKHMNMAAYARQKMEIAALMGLAPRFQDQE* >Brasy2G276700.2.p pacid=40069004 transcript=Brasy2G276700.2 locus=Brasy2G276700 ID=Brasy2G276700.2.v1.1 annot-version=v1.1 MLHEKPVQHGPPGFHEDGTSRPWTGEKRRRKCLPPSSSDSPATAQDHPDLISHDATDMEDIIQRGQDHKLWVPGEDELWGPEVDISEEDAISYIERLAPDDPDDLYPRCMMTCAEFEDVRERIARYRIAYYKKVAKPESARELKDPEKYYRDELWKEKFFEHLEDDESFEGYFLREHSMLHDLDDYQRLVVSYTAHGSPGSKFLFWEDYCARYHTYDMDDVYVNYYQELSKRIKWLEGYWNFNGKSEEWEKMNVRAWRQALKIALSFPKMTLRLVSFAFDEYIWELKRDVSVKDLDLVYFEIWRLVAKQNRSFKKALEEVYAMDKFHSQKQRMAAELEGALFIWSMEDMLLNILSSSVPFSSLKISSLEVTYLLKLKNMKPGHSSEI* >Brasy2G260700.1.p pacid=40069005 transcript=Brasy2G260700.1 locus=Brasy2G260700 ID=Brasy2G260700.1.v1.1 annot-version=v1.1 MNSKKQRDEECIINSLPVDIIERIFFRLPVSTLLRCIGVCKQWLNFIRDPQFVKSHLQHAHHCALIFFPPGLIKGEPYPADAILIDEAWSPSTCAVPVIGPDDFLFGSCNGLLGLYTKTSIKIANLATGECLHLEKPMKNLKGDHFSLYTFGFHPVTKEYKITHFLGDFVEGRPNSKDIFSVIQVYTLGDEKWKDIRIPEALRLKCAKNSGVLNVDGTLYWLTEDMTASWQHAVMSFDLKGESFTPIQLPDVLLDSAHHGPRQYLIRELDGKVCVATAQTSNFMTRMLDGQLQIWILDNKVDQTWSLKYSIQGTPVPDYIPGPYFYHGDKIMTPSRNDNLYSYELLGESPEITLGNSVTLLFDFSPRKQYRVQSYICVKSLVSLDVYKKAGIVHRPKQRDDWKLKKWEAWNQELDVIEDVWNNILKLEQDISEMIEELGNAEQMLQHEPREVFARISMEISEVLRPKPDGAVQLRSLRRLNWVAQKRDKKKLVARSHEIDETIKSIKQARHNISSIVQGYMVDQGISIADVSSQRR* >Brasy2G211300.1.p pacid=40069006 transcript=Brasy2G211300.1 locus=Brasy2G211300 ID=Brasy2G211300.1.v1.1 annot-version=v1.1 MAAQAGTPATPLLSPQVIGSVFVEQYYRIQHATPDQVHKFYQDISRIGRASSDGAMRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESVADPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKASPIYINENKVSVEEKRTTTRVVNGVVTNASRGGRFQSGRAAHRGDNFRGQGGGYVNNGNYQGGDNFRRNDGDNFNRRDDSDNFNRPNEFRNRNEFTGRGRGPLHVNGYHHNGNGFHQPRPLQNENGRYTYTRVNVPKQTSVAA* >Brasy2G211300.6.p pacid=40069007 transcript=Brasy2G211300.6 locus=Brasy2G211300 ID=Brasy2G211300.6.v1.1 annot-version=v1.1 MAAQAGTPATPLLSPQVIGSVFVEQYYRIQHATPDQVHKFYQDISRIGRASSDGAMRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKASPIYINENKVSVEEKRTTTRVVNGVVTNASRGGRFQSGRAAHRGDNFRGQGGGYVNNGNYQGGDNFRRNDGDNFNRRDDSDNFNRPNEFRNRNEFTGRGRGPLHVNGYHHNGNGFHQPRPLQNENGRYTYTRVNVPKQTSVAA* >Brasy2G211300.9.p pacid=40069008 transcript=Brasy2G211300.9 locus=Brasy2G211300 ID=Brasy2G211300.9.v1.1 annot-version=v1.1 MRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESVADPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKASPIYINENKVSVEEKRTTTRVVNGVVTNASRGGRFQSGRAAHRGDNFRGQGGGYVNNGNYQGGDNFRRNDGDNFNRRDDSDNFNRPNEFRNRNEFTGRGRGPLHVNGYHHNGNGFHQPRPLQNENGRYTYTRVNVPKQTSVAA* >Brasy2G211300.10.p pacid=40069009 transcript=Brasy2G211300.10 locus=Brasy2G211300 ID=Brasy2G211300.10.v1.1 annot-version=v1.1 MRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKASPIYINENKVSVEEKRTTTRVVNGVVTNASRGGRFQSGRAAHRGDNFRGQGGGYVNNGNYQGGDNFRRNDGDNFNRRDDSDNFNRPNEFRNRNEFTGRGRGPLHVNGYHHNGNGFHQPRPLQNENGRYTYTRVNVPKQTSVAA* >Brasy2G211300.7.p pacid=40069010 transcript=Brasy2G211300.7 locus=Brasy2G211300 ID=Brasy2G211300.7.v1.1 annot-version=v1.1 MAAQAGTPATPLLSPQVIGSVFVEQYYRIQHATPDQVHKFYQDISRIGRASSDGAMRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESVADPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKL* >Brasy2G211300.8.p pacid=40069011 transcript=Brasy2G211300.8 locus=Brasy2G211300 ID=Brasy2G211300.8.v1.1 annot-version=v1.1 MAAQAGTPATPLLSPQVIGSVFVEQYYRIQHATPDQVHKFYQDISRIGRASSDGAMRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKL* >Brasy2G211300.2.p pacid=40069012 transcript=Brasy2G211300.2 locus=Brasy2G211300 ID=Brasy2G211300.2.v1.1 annot-version=v1.1 MAAQAGTPATPLLSPQVIGSVFVEQYYRIQHATPDQVHKFYQDISRIGRASSDGAMRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQDKAIRFSSRACLSILLLKWLRKSLRDLVESSQVASKLGTTSLIGSALDLLNLNPSNLCKQQLRHLRSILMRTK* >Brasy2G211300.3.p pacid=40069013 transcript=Brasy2G211300.3 locus=Brasy2G211300 ID=Brasy2G211300.3.v1.1 annot-version=v1.1 MRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESVADPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKL* >Brasy2G211300.4.p pacid=40069014 transcript=Brasy2G211300.4 locus=Brasy2G211300 ID=Brasy2G211300.4.v1.1 annot-version=v1.1 MRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQVAEITQADMNVTKNSTSHDGQGYSIFIKGLPFNSAVEMVEEEFKRFGGIKPGGIQVRNNKFDRFCFGFVEFESQQSMQAAIKL* >Brasy2G211300.5.p pacid=40069015 transcript=Brasy2G211300.5 locus=Brasy2G211300 ID=Brasy2G211300.5.v1.1 annot-version=v1.1 MRYVTTLPEINKKIMSMDFSQYLTEIETADSVLSHNGGVLIVVTGSLTSSDVCQRFTQSFFLAPQESGGYFVLNDILRFISARSEGNERNQKAGSVTESDPTPAVMVEHMIPDSVVVESNVADGEVLKPAVSGPAVENNRGVSGPATENNRSVSGPVAENNRSVSGPAAENNRSVSGPAVENNPTVNGTTVENNVNNPTVNGTTVENNVSVESPVKFTKKEDPKKTRIAASTPPPNQIDVTKKTYASIVKFTKEGPPIPFAKPKPPPKPVTKPLTKAVEASDKPSVKAPQDKAIRFSSRACLSILLLKWLRKSLRDLVESSQVASKLGTTSLIGSALDLLNLNPSNLCKQQLRHLRSILMRTK* >Brasy2G006600.1.p pacid=40069016 transcript=Brasy2G006600.1 locus=Brasy2G006600 ID=Brasy2G006600.1.v1.1 annot-version=v1.1 MNGSKFSKKKSTPLLGKYELGALLGRGTFAKVYLSHAVADGAPVAVKVIDKEAAAAAMAGGAAGVAAVLREVSAMRRLRHHPGVLRLHEVLASRARIYLVMELATGGDLLSWLAATLPRLRGGRLPEHAVRRVFVQLVAALAHCHARGVTHRDVKPQNILLDGDGNVKLADFGFAALDVVPDSGGLLQTACGTPAYAAPEVILRRRGGYDGAKADAWSCGVVLFVLLAGRLPFDDANVPDMCRKARRREYGELPAWVSPPARRLVHRLLDPNPATRVAVEALAATHPWFKPRSLSLDNSQLGGGCGQPEPECAPASKLNAFDIISMSPGLDLSGLFGGSRSSRERRFTTTASPERTMEQLGCAGGKLGYAVMAAGKKKGVDQCLNLISKAGMAMSVEMSELAPPLMLVEMRLEMDDGGREYGEGFGWEELRLELGDVVRSWHSSEDLQQV* >Brasy2G118400.1.p pacid=40069017 transcript=Brasy2G118400.1 locus=Brasy2G118400 ID=Brasy2G118400.1.v1.1 annot-version=v1.1 MGVRGWQWHGAAVVIFRLLLLHGAFLFHLATCESFIGVNYGTIADNLPPAASTASLLASTSIGKLRLYEPQPDLVAALAAVGSGISLLLGVPNADVPTLASSPAAAAAWAAANIPATVPVSAISVGNELLSSGDPTLAPQLLPAMQNLLAALPAGASATTKISTVHSMAVLASSDPPSSGAFHADLAATLDPVLDFLNQNGAPFMINPYPYFAYASDTRAETLAFCLFQPNPGRVDAGSGLAYENMFDAQLDAIRAALDAKGYGGLDIVIAETGWPYKGDAGEAGATPENARAYNGNLVAHLKAGAGTPRTPGKSVDTYLFALYDEDLKPGAASERSFGLYKADLTPNYDIGLAKGSNGTSTSGQIGVITPAPPQVTTQPGSGVTPTGFCVTAGAVPGSTQQVQQSSSCYAPAGAGSRRAHAGVRQLVWFGVLLGMAIVVAK* >Brasy2G170600.1.p pacid=40069018 transcript=Brasy2G170600.1 locus=Brasy2G170600 ID=Brasy2G170600.1.v1.1 annot-version=v1.1 MDGAPVAEFRPTMTHGGRFLLYNIFGNQFEITAKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNNMDAKRTLREIKLLRHLDHENIVGLRDVIPPAIPQSFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRAPLFPGRDHMHQMRLITEVIGTPTDGDLGFIRNEDARRYMRHLPQFPRRPFPAQFPRVQPAALDLIERMLAFNPLQRITVEEALEHPYLERLHDIADEPVCTDPFSFDFEQHPLTEDQMKQLIFNEALELNPNFRY* >Brasy2G432200.1.p pacid=40069019 transcript=Brasy2G432200.1 locus=Brasy2G432200 ID=Brasy2G432200.1.v1.1 annot-version=v1.1 MLIDTLYDMNDSSWKVDIADPRSKSKVKILKKQLSYVLEIMQNGSGFGWDDEKMVTGDRETYMAGQRPFVNFDKICEVYASDLAKGPGEQEVAEDESPKDDQPTSQPTEENVAQPHDNINLSGGSKHGRKRTYPDDDTLESGLLVVYNSIAKYMEAEQENAKTMNSLHLMHEAEVHEQTSAYRTKLLDVLQNLHGLTLEVVMAARVIDRDAGQTELFLHTHDK* >Brasy2G295000.1.p pacid=40069020 transcript=Brasy2G295000.1 locus=Brasy2G295000 ID=Brasy2G295000.1.v1.1 annot-version=v1.1 MGRLFLMHLDGNVYSCKHCDTHLGLASDIISKAFHSKHGKAYLFNKVVNVTTGVKEDRVMMTGLHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERFKVSGPDGSHYWVTHDAHVGGSDADDL* >Brasy2G168600.1.p pacid=40069021 transcript=Brasy2G168600.1 locus=Brasy2G168600 ID=Brasy2G168600.1.v1.1 annot-version=v1.1 MGASAKDQESTASGGSSTGELNGAAGFFGGVVDGVPAEGGGRQRGSVADGVGKVDEVLELTVDFSPRQLRAPWGFAVGRCWDLGGPLHVARHVSMD* >Brasy2G292800.1.p pacid=40069022 transcript=Brasy2G292800.1 locus=Brasy2G292800 ID=Brasy2G292800.1.v1.1 annot-version=v1.1 MRDVNGGSFNGATHPADNHHRKPRSSELPKGNSENGVRSSVSHEDVVSVSGDNSPNGGGRILDDCGLLPNNCLPCMASAVGVNEKKRALSTSPTHSMKMPSLKLSFKKKSGEAHPPSTLLSTKDFLERPLAGSQVQLCLMEKKVLNSWSHVDPGTFRVRGSNYIRDKKKELAQNCAAYYPFGVDVYLSPQKLNHISRFVKLPDTQISSKLPPLLVVNVQVPLYPASLFQNETDGQGMSFVLYFRLSEGYSKELPPLFIENIRRLVDDDVEKIKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMNAYNEKPVLSRPQHQFFLGDNYFEVDIDMHRFGYISRKGFETFLDRLKICMLDVGLTIQGNKPEELPEQVLCCVRLNGIDYAKYQSLMTHGA* >Brasy2G419100.1.p pacid=40069023 transcript=Brasy2G419100.1 locus=Brasy2G419100 ID=Brasy2G419100.1.v1.1 annot-version=v1.1 MATSGYLPLSFATSATAVRGITSRITTSHRRRCLTRSQRRLHPLGRSPAAMDALHVSSTQASEPLLHSAAAHRRLRVRTHAQGAEESPSTDAGDGAAAEAGYSLASIILPFVFPALGGLLFGYDIGATSGATISVHSADLSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTAAALYISGALVTGFAPNFVVLIIGRLLYGVGIGLAMHGAPLYIAETCPPKIRGTLISLKELFIVLGILFGYLVGSFEIDVVGGWRYMFGFSAPLAAIMAVGMWSLPPSPRWLLLRAVQGKVPLENNKKKAFLALRRLKGVSPSDMVLKDEIENNLVSIKAAYAEQGSGGNILEIFEGASLKAFIIGGGLVLFQQITGQPSVLYYATSILQTAGFSAASDAAKVSILIGLFKFVMTGVAVFKVDDLGRRPLLIGGVSGITVALFLLAAYYKILSGFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQGYLGPANIFLLFGAISLLALVFVILNVPETKGLSLEDIESKILK* >Brasy2G466500.1.p pacid=40069024 transcript=Brasy2G466500.1 locus=Brasy2G466500 ID=Brasy2G466500.1.v1.1 annot-version=v1.1 MEDRDPDPAENLPESPDDVVGGGEGEEEEGGGFTFPILAADACVVPVYPIFGRPPSPPPPGAPLEEPETATVRMPLGRLLLEERDFRARQRDDVEGGELEGVAPESYCLWAPGQSAPASPRRCRKSGSTGSVLRWRRISDRLVGRSHSDGKEKFVFLTAGSGPPTKQQDEREDEQGGRKGDGVVGVSDRGWSYYGRGGGSGGAGRRKSYLPYKQELVGLFANVGGLRRSYPPF* >Brasy2G227300.1.p pacid=40069025 transcript=Brasy2G227300.1 locus=Brasy2G227300 ID=Brasy2G227300.1.v1.1 annot-version=v1.1 MQERAKHKEAFQGPSARRSTPRINMDWMEQVNNCGLIPPKNLPTPAPFSAISSTQGSCKRFPRDYDAVPMKIKLICNFGGRFLPRPSDGELRYIGGDRHLIKIRRDISWQELICKTTKLIRRAHTIKYHLPGEQVSMLISITSDDDLRHMVDECTVLERTKEMVTMYLFSGKDDERHVHFVVQSSSDVEKEAQFIALINGLVRPGDEWRTQSIDRSSVHDLDQLMPGLSLLGLPAARTEEVSLYIKSKPSQSTTVPLKTASGQSEKRMTNQDYRAQSNEGNMISAAKMMSKASVSGGTTLRQQQGGGLAVSRHQQQTAKETLGKSHQATGAQETRSSPRKQLPTPLDNSSVKKTQPSNSSNRNPMPHTSPTVHFEMPAFLSRGPQKTVNQQGSSDENKLKSRRCGTQEENMFHSAEHPLRKNDKVEISEPDRESGTPGCNLHYVEQSVATNSIQMQQPAVPCAFTAMDTTINLQPNILVRASSERIQERPSSPRLDENASKITRFRSVGTDGINPQTGIPSQEEDKDNNTSLPISEPEVLDTKGSEQPLPANAVLGRDLISNVQIISNEDLEDLREMGSGAFGTVFHGKWRGTDVAIKRIKNSCFSYPSSQEDKLKTEFWREAAIISKLHHPNILALYGVVKNGPGGTLGTVTEFMVNGSLKKVLNRKDKYLDWRKRILVAMDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSSTKVSEKVDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNPEWRKLMEQCWSTEPERRPSFSEVATCLRGMLQASKSQSLS* >Brasy2G227300.2.p pacid=40069026 transcript=Brasy2G227300.2 locus=Brasy2G227300 ID=Brasy2G227300.2.v1.1 annot-version=v1.1 MQERAKHKEAFQGPSARRSTPRINMDWMEQVNNCGLIPPKNLPTPAPFSAISSTQGSCKRFPRDYDAVPMKIKLICNFGGRFLPRPSDGELRYIGGDRHLIKIRRDISWQELICKTTKLIRRAHTIKYHLPGEQVSMLISITSDDDLRHMVDECTVLERTKEMVTMYLFSGKDDERHVHFVVQSSSDVEKEAQFIALINGLVRPGDEWRTQSIDRSSVHDLDQLMPGLSLLGLPAARTEEVSLYIKSKPSQSTTVPLKTASGQSEKRMTNQDYRAQSNEGNMISAAKMMSKASVSGGTTLRQQQGGGLAVSRHQQQTAKETLGKSHQATGAQETRSSPRKQLPTPLDNSSVKKTQPSNSSNRNPMPHTSPTVHFEMPAFLSRGPQKTVNQQGSSDENKLKSRRCGTQEENMFHSAEHPLRKNDKVEISEPDRESGTPGCNLHYVEQSVATNSIQMQQPAVPCAFTAMDTTINLQPNILVRASSERIQERPSSPRLDENASKITRFRSVGTDGINPQTGIPSQEEDKDNNTSLPISEPEVLDTKGSEQPLPANAVLGRDLISNVQIISNEDLEDLREMGSGAFGTVFHGKWRGTDVAIKRIKNSCFSYPSSQEDKLKTEFWREAAIISKLHHPNILALYGVVKNGPGGTLGTVTEFMVNGSLKKVLNRKDKYLDWRKRILVAMDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSSTKVSEKVDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNPEWRKLMEQCWSTEPERRPSFSEVATCLRGMLQASKSQSLS* >Brasy2G227300.3.p pacid=40069027 transcript=Brasy2G227300.3 locus=Brasy2G227300 ID=Brasy2G227300.3.v1.1 annot-version=v1.1 MQERAKHKEAFQGPSARRSTPRINMDWMEQVNNCGLIPPKNLPTPAPFSAISSTQGSCKRFPRDYDAVPMKIKLICNFGGRFLPRPSDGELRYIGGDRHLIKIRRDISWQELICKTTKLIRRAHTIKYHLPGEQVSMLISITSDDDLRHMVDECTVLERTKEMVTMYLFSGKDDERHVHFVVQSSSDVEKEAQFIALINGLVRPGDEWRTQSIDRSSVHDLDQLMPGLSLLGLPAARTEEVSLYIKSKPSQSTTVPLKTASGQSEKRMTNQDYRAQSNEGNMISAAKMMSKASVSGGTTLRQQQGGGLAVSRHQQQTAKETLGKSHQATGAQETRSSPRKQLPTPLDNSSVKKTQPSNSSNRNPMPHTSPTVHFEMPAFLSRGPQKTVNQQGSSDENKLKSRRCGTQEENMFHSAEHPLRKNDKVEISEPDRESGTPGCNLHYVEQSVATNSIQMQQPAVPCAFTAMDTTINLQPNILVRASSERIQERPSSPRLDENASKITRFRSVGTDGINPQTGIPSQEEDKDNNTSLPISEPEVLDTKGSEQPLPANAVLGRDLISNVQIISNEDLEDLREMGSGAFGTVFHGKWRGTDVAIKRIKNSCFSYPSSQEDKLKTEFWREAAIISKLHHPNILALYGVVKNGPGGTLGTVTEFMVNGSLKKVLNRKDKYLDWRKRILVAMDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSSTKVSEKVDVYSFGVVMWEILTGEDPYDGMHYGGVIGMHTGEF* >Brasy2G092100.1.p pacid=40069028 transcript=Brasy2G092100.1 locus=Brasy2G092100 ID=Brasy2G092100.1.v1.1 annot-version=v1.1 MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLGKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGLAPQSEYTVPADVKAAGYGICAEELSSVVESHDLKKLKAHGGTEALISKISTSESDGLSTSKGKLASRQEIFGINKFAETEARSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIAVQVTRSGYRQKLSIYDLLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLTESLFRRKIMDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDNSSETKSLFSELPDSAMTMLSQSIFNNTGGDVVINQDGKREILGTPTETAILELGLSLGGDFQAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAFRAHCKGASEIILASCSKYINDQGNVVPLDSATVAHLNATIDSFANEALRTLCLAYIEVEGDFSANDPIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGLAIEGPDFRIKSAEELNDIVPKIQVMARSSPLDKHTLVKHLRTTLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACMTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNIMGQAFYQFLVIWYLQTEGKWLFGIKGDNSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFKGILNNNVFVAVLGSTVIFQIIIVQFLGDFANTTPLSFKQWFTCIVIGFIGMPIAAIVKLIPVGSS* >Brasy2G092100.2.p pacid=40069029 transcript=Brasy2G092100.2 locus=Brasy2G092100 ID=Brasy2G092100.2.v1.1 annot-version=v1.1 MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLGKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGLAPQSEYTVPADVKAAGYGICAEELSSVVESHDLKKLKAHGGTEALISKISTSESDGLSTSKGKLASRQEIFGINKFAETEARSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIAVQVTRSGYRQKLSIYDLLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLTESLFRRKIMDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDNSSETKSLFSELPDSAMTMLSQSIFNNTGGDVVINQDGKREILGTPTETAILELGLSLGGDFQAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAFRAHCKGASEIILASCSKYINDQGNVVPLDSATVAHLNATIDSFANEALRTLCLAYIEVEGDFSANDPIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGLAIEGPDFRIKSAEELNDIVPKIQVMARSSPLDKHTLVKHLRTTLGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEGVLPLLLSSCSGST* >Brasy2G002700.1.p pacid=40069030 transcript=Brasy2G002700.1 locus=Brasy2G002700 ID=Brasy2G002700.1.v1.1 annot-version=v1.1 MASWHLLLQLLTASLRCAAVRGQGGGKPVLPAAPACSTANNYTDGSQYKKNLDELLSRLPAAALGNGWFYNGTAGAPGSADQVFGLMTCYADRNASQCQDCLTGAPAAVTTPAVCPGSRRARAAYDACVLRYSPAPPFAGTADLDVPFFVTAVAPGGHARAPSRVANGSTPYNGDRSSPVYGLAQCTRDLNATECSRCLSALVGQLRTRFTNETGGAIKAYSCYVRYQLGAFDITLPPPPSSPLPGGSSSSSRTGLAIGLSVGSVLLLIILGTLICLSLRRRRRQRRQAKILEGAREQQLEEGDFFDDDPAMEDDFEKGTGPKRFRYGELAIATDNFSDEKKLGQGGFGSVYRGFLKDMDLEVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGGGKNAVLSWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNVMLDTSFAAKLGDFGLARLVDHGRGSHTTVLAGTMECMITGRTSADSDVYGFGVVLLEIACGRRPLVTPQDEEEDTIHLTQWVWDWYGKGRVLDAADEQLKGEFDPREMECVMVVGLWCANPDRSLRPSIRQAVNVLRFEAPMPSLPERMPVATFMPPVGAYTSSAVITGGSSSTGTSSVVTGVSSSSTGTTTLSAGSAETSTLLK* >Brasy2G002700.2.p pacid=40069031 transcript=Brasy2G002700.2 locus=Brasy2G002700 ID=Brasy2G002700.2.v1.1 annot-version=v1.1 MASWHLLLQLLTASLRCAAVRGQGGGKPVLPAAPACSTANNYTDGSQYKKNLDELLSRLPAAALGNGWFYNGTAGAPGSADQVFGLMTCYADRNASQCQDCLTGAPAAVTTPAVCPGSRRARAAYDACVLRYSPAPPFAGTADLDVPFFVTAVAPGGHARAPSRVANGSTPYNGDRSSPVYGLAQCTRDLNATECSRCLSALVGQLRTRFTNETGGAIKAYSCYVRYQLGAFDITLPPPPSSPLPGGSSSSSRTGLAIGLSVGSVLLLIILGTLICLSLRRRRRQRRQAKILEGAREQQLEEGDFFDDDPAMEDDFEKGTGPKRFRYGELAIATDNFSDEKKLGQGGFGSVYRGFLKDMDLEVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGGGKNAVLSWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNVMLDTSFAAKLGDFGLARLVDHGRGSHTTVLAGTMGTWTQNA* >Brasy2G486900.1.p pacid=40069032 transcript=Brasy2G486900.1 locus=Brasy2G486900 ID=Brasy2G486900.1.v1.1 annot-version=v1.1 MEPAPGSPDPSAHKEQHHNHSPAPAPSPAKRSAWKQPASASNGVHLVDAPPPGVMDADHWPALADAANSKAKTVPPATAATPPSVDSPKPAPPENPSPAAVAAPSSAVANPSNSPRHGPGPHHGRHRPGRRGGAGGNGDHSPRDHHDRGNNSGWDHGSGSGGGRGGQRSHHHNNGGGAGGRRGGGNGGGGPSGGVPHHGGFGGRRRGGFEGFYRGPSMGIGPYMRGVPPPPPPIGVAPPFMGPPPPPMRAFAGPMMFHEMPSPVSPVPPLYYVGPPPPPEALRGMAFQTTMVGPPAYPYFQPPAEPEPEPEPELEPEPEAEPEDEQTKLMRQIEFYFSKDNLCTDVWFRQQMDAEGWVDIPLIATFKKVRAFNTTLQYIKEAIQSSSILEMQGDKVRRENDWKKWLIPRADIPSSSASGPSPNVSNLTAHLGGVVLHESAGPSSKVDENRPEVVPNGSASSNNQAEVVEDGAGHR* >Brasy2G486900.3.p pacid=40069033 transcript=Brasy2G486900.3 locus=Brasy2G486900 ID=Brasy2G486900.3.v1.1 annot-version=v1.1 MEPAPGSPDPSAHKEQHHNHSPAPAPSPAKRSAWKQPASASNGVHLVDAPPPGVMDADHWPALADAANSKAKTVPPATAATPPSVDSPKPAPPENPSPAAVAAPSSAVANPSNSPRHGPGPHHGRHRPGRRGGAGGNGDHSPRDHHDRGNNSGWDHGSGSGGGRGGQRSHHHNNGGGAGGRRGGGNGGGGPSGGVPHHGGFGGRRRGGFEGFYRGPSMGIGPYMRGVPPPPPPIGVAPPFMGPPPPPMRAFAGPMMFHEMPSPVSPVPPLYYVGPPPPPEALRGMAFQTTMVGPPAYPYFQPPAEPEPEPEPELEPEPEAEPEDEQTKLMRQIEFYFSKDNLCTDVWFRQQMDAEGWVDIPLIATFKKVRAFNTTLQYIKEAIQSSSILEMQGDKVRRENDWKKWLIPRADIPSSSASGPSPNVSNLTAHLGGVVLHESAGPSSKVDENRPEVVPNGSASSNNQAEVVEDGAGHR* >Brasy2G486900.2.p pacid=40069034 transcript=Brasy2G486900.2 locus=Brasy2G486900 ID=Brasy2G486900.2.v1.1 annot-version=v1.1 MEPAPGSPDPSAHKEQHHNHSPAPAPSPAKRSAWKQPASASNGVHLVDAPPPGVMDADHWPALADAANSKAKTVPPATAATPPSVDSPKPAPPENPSPAAVAAPSSAVANPSNSPRHGPGPHHGRHRPGRRGGAGGNGDHSPRDHHDRGNNSGWDHGSGSGGGRGGQRSHHHNNGGGAGGRRGGGNGGGGPSGGVPHHGGFGGRRRGGFEGFYRGPSMGIGPYMRGVPPPPPPIGVAPPFMGPPPPPMRAFAGPMMFHEMPSPVSPVPPLYYVGPPPPPEALRGMAFQTTMVGPPAYPYFQPPAEPEPEPEPELEPEPEAEPEDEQTKLMRQIEFYFSKDNLCTDVWFRQQMDAEGWVDIPLIATFKKVRAFNTTLQYIKEAIQSSSILEMQGDKVRRENDWKKWLIPRADIPSSSASGPSPNVSNLTAHLGGVVLHESAGPSSKVDENRPEVVPNGSASSNNQAEVVEDGAGHR* >Brasy2G180100.1.p pacid=40069035 transcript=Brasy2G180100.1 locus=Brasy2G180100 ID=Brasy2G180100.1.v1.1 annot-version=v1.1 MWAVERVVGERRMREIQRFARNAKLTVLCLLLTVVVLRGTLGAGRFGTPQQDLIELRHRFISHPQRALAEHHDARSMASNSGAGKVADRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPESPPFLNDVKPRVMLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRIHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEVEFLWWMDSDAMFTDMAFELPWERYGPYNFVMHGWDEMVYDDKNWIGLNTGSFLVRNCQWSLDFLDTWAPMGPKGPVRIEAGRVLTKHLKDRPVFEADDQSAMVYILATQREKWGNKVYLENGYYLHGYWGILVDRYEEMLENYKPGLGDHRWPLVTHFVGCKPCSKFGDYPVERCLKQMDRAFNFGDNQVLQMYGFEHKSLASRRVKRIRNETSNPLEVKDDLGLLHPSFKAMKTAT* >Brasy2G259300.1.p pacid=40069036 transcript=Brasy2G259300.1 locus=Brasy2G259300 ID=Brasy2G259300.1.v1.1 annot-version=v1.1 MEESGAWSDDSSSLQTSFPSHSHSHSEVQLQVVEYAGPATHGNCNPVQVFEKAAQVFCDDYLSSMEMKMHKFPPSLIGVGSWYTRPLTVAIGPYHHQLQAPDHQHAGAPIIPDVFEAENVKHVAANNCISDCGISVQEMYDAVFSVSHVARSLYHQDAVASMGDDVFLPMMFFDACFLVQFMRIYCEREPEMDEALFSYFIANEDCICTDIMKLENQIPWVVVKAVLSFMPAPSPLEKFVTAMRRRLKNRTFNSVTEDIVLDPKYEPPHLLGLVRFYIVGNNNTNKHLRLPGGDKPMSLSISVAELANVGVNLVPKEDAAGLVDMCLQDNEKWRMFGDLVVPPLFLTEANAAWLINMAAFELCKTPDFVSDRIDDEDSAVCSYLHLFAMLLDQEQHVHELRENKVIEGGGLTSKEALEFFTCIGKNMRLGKCYLDIIIKIEKFKHKRSVLLKCYLFLTRNRNKIITVLSVFAAVVGVLSSLQALKPAR* >Brasy2G197700.1.p pacid=40069037 transcript=Brasy2G197700.1 locus=Brasy2G197700 ID=Brasy2G197700.1.v1.1 annot-version=v1.1 MIMSDPAMLPPGFRFHPTDEELILHYLRNRAAESPCPVSIIADVDIYKFDPWALPSKANYGDREWYFFTPRDRKYPNGVRPNRAAGSGYWKATGTDKPIRSSATSESVGVKKALVFYKGRPPKGVKTNWIMHEYRLAAADAHAGNTYRPMKFRNTSMRLDDWVLCRIYKKTSQMLPMAVPPLSDHEHDEPCAYGGASSAGMVMQGGAAYQGHALAGTQRMPKIPSISELLNEYSLAQLLDEGGAGDIAARPDQHAAFLGHPIMNQYLVTSSGGNNNNNMSQLAQTAAGGEGAAGKRKRSEHGGDNGLTGQQQAADKKPNGSCFGATTFQIGSNALQGSLAPGNQRLLHF* >Brasy2G212500.1.p pacid=40069038 transcript=Brasy2G212500.1 locus=Brasy2G212500 ID=Brasy2G212500.1.v1.1 annot-version=v1.1 MANNDSDDSDSEFDSYDPEVLAANGIYDPPIGDAGDRMTLKWWIYRMKFSCNLRHRMIVPPLGVLKYPDLLDKAWGWWTLLPVYTCLDTSANTTSEILLNPLIELSLLPEKRVWCCNLHGQIRRAASLIPLARLCLEMEAKFMTLCQERKEKLTGNEIDPSNKIKTRSYEIINGVGKYFGAYAAAASLVCITKETELMCEWLIHGQYDSFGSNCIESNQIRKHALSLMFYKGSGSIAAAAGAAMVGNYYVC* >Brasy2G160500.1.p pacid=40069039 transcript=Brasy2G160500.1 locus=Brasy2G160500 ID=Brasy2G160500.1.v1.1 annot-version=v1.1 MGTMGKGDGPAIGIDLGTTYSCVAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDSWRLVGDAAMNQVAMNAINTVFDAKRLIGRRFSDASVQADIKLWPFKVISGANARPMIVVQYKGEQKQFAAEEISSMLLVKMREAAEAYLSTTVKNVVITVPVYFNDSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLNKMSGSTELKTVLIFDLGGGTLDISIINIDKGIFAVKATSGDTHLGGEDLNSRMVGHFVQDILKKHKTDIRNNPRALMRLRTACERAKRMLSSTAQAKFEIDSLHEGIDYYGIITRARFEELNMELFRKCIEHIEKCLGDAKMDRSQIHEVVLVGGSSRIPKVQQLLQDFFSGKMLCKSINPDEAVAYGAAVQAAVLSGECDQKVQDLLLLDVTPLSLGIEVVGGIMNVVIPRITTIPFKKDRIYTTIYDNQTAVSINVYEGEGALIKDNNLLGKFTLCGIPPAPRLVPKINVTFEIEANCILTVSAQDMTTGIKNSITITADKGGLSKAEVERMVQDAKKYKSDDSKRKIKKEKDEGWLSKEEFERMVRDAKKRKCEDDKKQIKKIKTESGGP* >Brasy2G035300.1.p pacid=40069040 transcript=Brasy2G035300.1 locus=Brasy2G035300 ID=Brasy2G035300.1.v1.1 annot-version=v1.1 MSSNTVSSALSSFLYCCFHPGGGGHHHRAGAYYHSSHPTSANTLYYNDGVLSGRRMGRSRPLSLQTVELKVRMCCAGCERVVRHAVTRLRGVDSVEVEVEMEKVTVTGYVDRHRVLKEVRRAGKKAEFWPNPDQPLRFTTAKDYFRDEESFRQSYNYYRHGYNGDKHGRLPEPQRGSDPVSNMFNDDDVNACSIM* >Brasy2G457300.1.p pacid=40069041 transcript=Brasy2G457300.1 locus=Brasy2G457300 ID=Brasy2G457300.1.v1.1 annot-version=v1.1 MLLHQSESTMRLGFIERLGIMLDVSMAMDYLHHEHYELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTEAMFGAEVTLRQWVQQAFPEELLQVVDDQLLQGSSLTSHNLDSGFLVSVFELGLLCSGDSPDQRMTMHDVVVALKKIKAEYTKRTATTSRSAVQ* >Brasy2G079700.1.p pacid=40069042 transcript=Brasy2G079700.1 locus=Brasy2G079700 ID=Brasy2G079700.1.v1.1 annot-version=v1.1 MDTGCLSSMNITGANQVRSFVGQLHTQRGFTSSSVQALKSSRRASFSLKSPVLRNKGKGLRRGLGVLQVVCQDFPRPPLESTINYLEAGQLSSSFRSSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEYSRFDFPETLPAPLNGVWAILRNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLTVSEWMEKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIQSLGGEVRLNSRIQKIELNPDGTVKHFALSDGSNITGDAYVFAAPVDIFKLLVPQEWKEISYFKKLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVACKEYYDPDRSMLELVFAPAEEWIGRSDNEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDSKMLSRRSQKSLQAEVPVAS* >Brasy2G149000.1.p pacid=40069043 transcript=Brasy2G149000.1 locus=Brasy2G149000 ID=Brasy2G149000.1.v1.1 annot-version=v1.1 MLPCRTCEKGCCPQKRARKEKRKLSGCVGNRGDDRMGDFFLAAEAFWFFWGGWCGGAEEETKRGVVTLTRLVSCVVAPGPRAFLRSGQPGARRPRRAHLSPAQLRCAVPVSESGGLEWPAVLACAQANGRRICLRPSRPHAPRPTLRRGTGQRKPSNPRCGRRHLRPRLPPPPLLSISPRSRPLLLYGRFRLGGRDYSSTRRDARCPRFRKRPGSILVERASCRRRARSSGGHVARASPGGHSASAASSRRGLPSGGGGDLQEAVWALWDVAGDAPKYHDLLV* >Brasy2G462900.1.p pacid=40069044 transcript=Brasy2G462900.1 locus=Brasy2G462900 ID=Brasy2G462900.1.v1.1 annot-version=v1.1 MAMASVAYSIRGPIKLAVGHGPFALCRGWRPPASYAVSRASSANISSNAVRHVVRQSHLIAPNLERRRSNTKASAVDGSIVAEERITVLVIGGGGREHALCYALNRSPSCESVLCAPGNVGIAQSGDATCVSDLDISSSDDVISFCHKRGVGMVVVGPEAPLVAGLANDLAKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFMDPVEAKQYVNDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDCMLVQGSFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKRMVMESIIIPTVKGMAAEGSKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACKGELGQVSLTWSPEIAMVVVMASEGYPGSYKKGTIIKNINNAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGVTAKGKDIEEARERAYAAADVIDWPEGFFRRDIGWRALKHKQMAN* >Brasy2G067900.1.p pacid=40069045 transcript=Brasy2G067900.1 locus=Brasy2G067900 ID=Brasy2G067900.1.v1.1 annot-version=v1.1 MEYYRVLAMLFALAASCGLAPVAKAGWSQGTATFYGGSDASGTMGGACGYGNLYNTGYGTATAALSTALFNDGASCGQCYLVMCDGSKSNWCKGNGATVTITATNLCPPNWALPNDNGGWCNPPRPHFDMAQPAWLQIGVYKAGIIPVLYQQVRCWKQGGIRFTIGGFNSFELVLITNVGGPGSVRAVSIKGERTDWIQLTRNWGANWQCTAALAGQALSFAVTSTNGETLYMYNVAPSWWQFGTTFTSNNQFSY* >Brasy2G082900.1.p pacid=40069046 transcript=Brasy2G082900.1 locus=Brasy2G082900 ID=Brasy2G082900.1.v1.1 annot-version=v1.1 MMAAAPTEMALSSAADGSADEDEDQCRICRFPAEPDRPLRRPCACSGSIRFVHDDCLLRWLATRRQSRCEVCQREIALTPLYAPHAPARLPVSEFMLGLANKVMGWTAILLCLLIALFVWEFLMPFTTLWTWRLAFARSFAQLRHLLSVRLSVTSILADGLYRFRFMPSVDAILACVSLRRTFLRELHNVRQLNGLARIAADAVAPLALWVARLEAHLQNRFGGLDTLQVLALHTVEASLMVVIADIAVACIFGFVPFSLGRIILWCISCFSFGNVDEVNSYTSTAAMLLIGYGFIFSLGVTFTGMHTFHQYSRGEHLMIAIFFKSLTNGICWLLSPFRRLPDIHAMVCRTFSFCVKLFRGIVTLITVANISLNLMITLIISPLLFGWSLDICTSEMFGTTVYQRFKLLWASSFSSIALHWLIGFSLLKLHSMLSRLLPLILRPGVSIPFVHLAEVKPGMCEPFYKFSFKKLPGLFVGIIYVGMVIFVPVQIAGRLAPKVFPLEITFFDPPTKGTSFWQAPRNYAELLSGALLLRFLICNTLKYLEPGTLVEKVLRYWFLTTGQALGLLDLLIVQSDRACGHEVGNSITPKDQHGSIYEAKVKRRFAAVRVLLLVFLAWLTVLIFHSAVLIFPVSLGRALLFAIPQMPVAGGLKSNDLFAFAVGFCIISTIIAASRDSFVYMTSGRTHLLASIICKWGITALKSSPLLLIWIVIIPILIGLLVDFLLISPFMFLVDFLLMSPFIVPADDIPVLDLFSIWFLGLLLLKFWTTLAHWTRDTPFLAHFIDVRWEWKLTRAREDGFAGMRAMWVLQDVLMPITTKLASALGVPYVLARCFFPRFGYSVAVNTTVYRFAWLGGLALCGLCYLAKVFCRVLVKLHDSIRDDRYLIGQRLQDYPDDV* >Brasy2G264000.1.p pacid=40069047 transcript=Brasy2G264000.1 locus=Brasy2G264000 ID=Brasy2G264000.1.v1.1 annot-version=v1.1 MMRARDRTEDFKESVRVAALAHGYTEAQLAALMSAFIIRKPNPKSPFTNAAIKTLESIRELDKFIVKHRKDYVDTHRTTEPERDNIEHEVGVFVKACEEQIDILKNRIHEDEENRSAKAWLSTRDEGSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQEAVNRAMPRKKIKKKLEIKPAESSKSNLVLQSDVSRVGDQELSAAPLRLQEQLLDDETRALQVELGNLLDTVQETETKMIEMSAINHLMSTQVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFILLFFFVLTFSVLFLDWYKN* >Brasy2G084000.1.p pacid=40069048 transcript=Brasy2G084000.1 locus=Brasy2G084000 ID=Brasy2G084000.1.v1.1 annot-version=v1.1 MHEGHGNSWLPLHVRAGTHIDQSSQYILSQSRPPVDRASCKRQQPFFFSNRMAGVERGDCLSHARENNREKSYLFIST* >Brasy2G298300.1.p pacid=40069049 transcript=Brasy2G298300.1 locus=Brasy2G298300 ID=Brasy2G298300.1.v1.1 annot-version=v1.1 MADRDRGSYMGQHGGHHQYGQQHGRPVGEQMKGMLPDKGPTASQALTVATLFPLGGLLLVLSGLALAGSVVGLAVAAPVFLLFSPVLVPAALTIGLAVTGFLTSGALGLGGLSSLTCLANTARQAFQRTPDYVEEARRRMAEAAAAAGHKTQQAGQAIQSKAHEAGAGGGDRGATGGGATGGGGGHGNKASS* >Brasy2G323400.1.p pacid=40069050 transcript=Brasy2G323400.1 locus=Brasy2G323400 ID=Brasy2G323400.1.v1.1 annot-version=v1.1 MGASESVLARQPQQQPRSRWADEITTVSDGRRDSADEDPLLRRIRSLTIAPPVLSGQPAAGSETETSITDILVRKPSSSSCATSGNMNPNVMFELFSMYREWQEEMAKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCDALCKRISLEGPESLRASVQPFTTDRVEAGGGSFVEKES* >Brasy2G323400.2.p pacid=40069051 transcript=Brasy2G323400.2 locus=Brasy2G323400 ID=Brasy2G323400.2.v1.1 annot-version=v1.1 MGASESVLARQPQQQPRSRWADEITTVSDGRRDSADEDPLLRRIRSLTIAPPVLSGQPAAGSETETSITDILVRKPSSSSCATSGNMNPNVMFELFSMYREWQEEMAKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEEWHGDVGTIFIDIAL* >Brasy2G323400.3.p pacid=40069052 transcript=Brasy2G323400.3 locus=Brasy2G323400 ID=Brasy2G323400.3.v1.1 annot-version=v1.1 MGASESVLARQPQQQPRSRWADEITTVSDGRRDSADEDPLLRRIRSLTIAPPVLSGQPAAGSETETSITDILVRKPSSSSCATSGNMNPNVMFELFSMYREWQEEMAKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEGGSW* >Brasy2G249600.1.p pacid=40069053 transcript=Brasy2G249600.1 locus=Brasy2G249600 ID=Brasy2G249600.1.v1.1 annot-version=v1.1 MRSHFLLLVLLALLAAAGSSGGVATDTVAESCYAIRDFVDYAFCAAALHSAGHNASTADRHEHLLLAADLAASRGASAGDAASAMARSELGGGGQDDARDGLEACGMLYGATSVPALRLMRGYAAARAWEQARALLPLTGQAGIGCEAALGDTEARRRMATANREFDQLTTMATALLNKLGG* >Brasy2G283600.1.p pacid=40069054 transcript=Brasy2G283600.1 locus=Brasy2G283600 ID=Brasy2G283600.1.v1.1 annot-version=v1.1 MEPGAVTACRTVAFAWEHEPGVSKLQSPVVEAEIKPSAGGRTPASCRTKKEEAQAPKHRLRVPPPPGGPASPVARSRPSRRAVRPEEDPFLAAYIACTASGRTAGRGHQEAQKLLGWAGLRIRLGLGVGLGLSCKSSCGVAEESVVRLGEIPEVDDR* >Brasy2G272900.1.p pacid=40069055 transcript=Brasy2G272900.1 locus=Brasy2G272900 ID=Brasy2G272900.1.v1.1 annot-version=v1.1 MAADLQGSGVPSRVLCLEPGAGRWTDVSVRVLPYLQHAVARGCPVTRIPLVGGHFHVYDFPLMRRYVEAQPTSSVPLAWYDEGGSAYFPPGPASGVPVAASAESCTVSAHDAVSIVRSWTLDMAAVTGAEISVPGKSALRMFREKEMVMGLNGVKLGWYGASPGDVRMASAGLFRSPNWHLLGAQRAHGRGLHFSPLRFPHLR* >Brasy2G103400.1.p pacid=40069056 transcript=Brasy2G103400.1 locus=Brasy2G103400 ID=Brasy2G103400.1.v1.1 annot-version=v1.1 MALPASLRARLSCANGIVKAIGATGVGNDVSKAVKAIGYGANFGNNVRRFSADAGNSSSTKPVIGGFTALQILAGCLGTAGWSYALVSLAEKDLDKKLANLEDKTKVDLRRHVDSKFSEADRKVNSRAANEKISTDCTQEIAKLRREVRDIDWKQLNSRAANERVSAECTREIAKLKQQVRDIDRKVSEGKRNSAECSCSQDIAKLRQDLGNTDRKLNAGLFLTNSRAAVGSDEVAQLRQEVRDSSRRTTAGMLGRIQVLADWVG* >Brasy2G103400.2.p pacid=40069057 transcript=Brasy2G103400.2 locus=Brasy2G103400 ID=Brasy2G103400.2.v1.1 annot-version=v1.1 MALPASLRARLSCANGIVKAIGATGVGNDVSKAVKAIGYGANFGNNVRRFSADAGNSSSTKPVIGGFTALQILAGCLGTAGWSYALVSLAEKDLDKKLANLEDKTKVDLRRHVDSKFSEADRKVNSRAANEKISTDCTQEIAKLRREVRDIDWKLNSRAANERVSAECTREIAKLKQQVRDIDRKVSEGKRNSAECSCSQDIAKLRQDLGNTDRKLNAGLFLTNSRAAVGSDEVAQLRQEVRDSSRRTTAGMLGRIQVLADWVG* >Brasy2G043500.1.p pacid=40069058 transcript=Brasy2G043500.1 locus=Brasy2G043500 ID=Brasy2G043500.1.v1.1 annot-version=v1.1 MASSARRLLQTNSGQFPTAGADPPGSTTLAALLCFLGLAAVDSSRADAASKGLEKEALRALPKLADEDAVAVAAAFAAREEIRVLPQCGHGFHAACVDAWLRARPSCPSCRSVLTRLD* >Brasy2G253100.1.p pacid=40069059 transcript=Brasy2G253100.1 locus=Brasy2G253100 ID=Brasy2G253100.1.v1.1 annot-version=v1.1 MASLWHIFVIILVLLEGSDANPAAPPHLDKKPLQTFRPYNIAHRGANGEIPEETSAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDDTTNIAEHAEFAGRKRTYEFEGANMTGWFVVDFTLSELKSLRVNQRFKFRDQQYNGKYNIITFDEYILIALYADRVVGIYPEIKSPIFVNQHVKWSDGKKFEDKFVDTLLKYGYKGEYMSENWLKQPLFIQSFAPTSLIYISKMINSPKLFLIDNTTARTQDTNQSYNEITSNGYLSFIRKYVIGIGPWKDTIVPPENNYYLGPPTDLVARAHALNLQVHPYTFRNENKYLRFDFHQDPYDEYEYWLSEIGVDGLFTDFTGSLHKYQEWSTPFQKKEKMDHGY* >Brasy2G253100.2.p pacid=40069060 transcript=Brasy2G253100.2 locus=Brasy2G253100 ID=Brasy2G253100.2.v1.1 annot-version=v1.1 MASLWHIFVIILVLLEGSDANPAAPPHLDKKPLQTFRPYNIAHRGANGEIPEETSAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDDTTNIAEHAEFAGRKRTYEFEGANMTGWFVGKYNIITFDEYILIALYADRVVGIYPEIKSPIFVNQHVKWSDGKKFEDKFVDTLLKYGYKGEYMSENWLKQPLFIQSFAPTSLIYISKMINSPKLFLIDNTTARTQDTNQSYNEITSNGYLSFIRKYVIGIGPWKDTIVPPENNYYLGPPTDLVARAHALNLQVHPYTFRNENKYLRFDFHQDPYDEYEYWLSEIGVDGLFTDFTGSLHKYQEWSTPFQKKEKMDHGY* >Brasy2G253100.3.p pacid=40069061 transcript=Brasy2G253100.3 locus=Brasy2G253100 ID=Brasy2G253100.3.v1.1 annot-version=v1.1 MASLWHIFVIILVLLEGSDANPAAPPHLDKKPLQTFRPYNIAHRGANGEIPEETSAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDDTTNIAEHAEFAGRKRTYEFEGANMTGWFVGKYNIITFDEYILIALYADRVVGIYPEIKSPIFVNQHVKWSDGKKFEDKFVDTLLKYGYKGEYMSENWLKQPLFIQSFAPTSLIYISKMINSPKLFLIDNTTARTQDTNQSYNEITSNGYLSFIRKYVIGIGPWKDTIVPPENNYYLGPPTDLVARAHALNLQVHPYTFRNENKYLRFDFHQDPYDEYEYWLSEIGVDGLFTDFTGSLHKYQEWSTPFQKKEKMDHGY* >Brasy2G253100.4.p pacid=40069062 transcript=Brasy2G253100.4 locus=Brasy2G253100 ID=Brasy2G253100.4.v1.1 annot-version=v1.1 MTGWFVVDFTLSELKSLRVNQRFKFRDQQYNGKYNIITFDEYILIALYADRVVGIYPEIKSPIFVNQHVKWSDGKKFEDKFVDTLLKYGYKGEYMSENWLKQPLFIQSFAPTSLIYISKMINSPKLFLIDNTTARTQDTNQSYNEITSNGYLSFIRKYVIGIGPWKDTIVPPENNYYLGPPTDLVARAHALNLQVHPYTFRNENKYLRFDFHQDPYDEYEYWLSEIGVDGLFTDFTGSLHKYQEWSTPFQKKEKMDHGY* >Brasy2G257500.1.p pacid=40069063 transcript=Brasy2G257500.1 locus=Brasy2G257500 ID=Brasy2G257500.1.v1.1 annot-version=v1.1 MPPARRRRRIAPSTGADEFVPSSSSDLLALAATLLPTSTDAATLRAPPHLKHVVHSLPDSHPVLLSLPQTLAPALSADSDSGSPRAAAVLLHLLLTHPSHPPRWDDLLRPLALLHDRLAVLATDDPPLAAFAASCFELAWRAAAPGREALVAQTLPYLLSQALTSGSNARPLLRRLFALREALPLLDYTDESISDFKMLLLRCFVSPQFLKAEEGRKLLALVLGVSEGLAREGLELMRVQVGMMQGRRAAVVAYGEVVFRAWKDGGWVRGEIGEGFLQGMVEAAVHADNKEVAKAARRVLWAFVEQKAVAGVENLVFRLSEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLLDKLLMDDCPEIRAVAVEGLCRILNQFWEVIPSPTISKFLSKIVDNMSKDSCNDVRLSTLNGLIYLLDNPQSHDILKVLLPRLSDMVSDPALSVRAAAVDLLLAIRDLRSFQYNKVVGLGTLLSSLADDHPRVAQKITKLLIPSYFPSKLSLKEACARCIALIKRSPTAGARFCEYALSEGSSPRSLVELIKVSITLTLSPSGSGMNSEQIDGLVIASAKLIKGLSQEGSSLVPLREFFANGKLKLLLKTAVSDGAQAALLSIVPVLSPDDLSVLHAECMDIVVSAAVTSKQEERQEALLAAHKLIHLNGCSDEMFEALINILQSKASGFARTYGIESPLCPVASSKRKKGKSLKKTPARSDGVVGNGSSTSVILNNEELAAVAGTSWQINEILKAEEMRNAFLQSYAEIAFSSLKVVSQVYIEQCLHIDSLDLTPVLAYLSLTTYSALQDIDQTDIGCSESTTVNQSLDHLLKCYDKLLNGSVAVSANSSSTLNTNKKSAKHKHRQHHVHEGNAVKGTINVIMLGTSLLKFIVDTTTIKLVNDSKARCVKFASSYTKYAVSAMERHHESSSFMGDDLKDILILIRSSFSYAAKLLHLVLASSTESSSPPEEAFLLANNLLDLVPSVESFAGSRFALTLVSVVKQWLPVLILGLGCCCSIGSQNEMTNNLCSLRDFDLPLWVAALAKNELLDAEEARQDDQSEQGSEHEDSQSTRKIAEMMVILLKKGSSRILDSVGGILLSILQLALQRAEYGMVLGLTRFVCTRLLGSNSLASEKLQLTRGSLRENFYDIDRHSRDDLADDEGSRQQLESAKELIRSVISDL* >Brasy2G147100.1.p pacid=40069064 transcript=Brasy2G147100.1 locus=Brasy2G147100 ID=Brasy2G147100.1.v1.1 annot-version=v1.1 MEAAAAATTGQTAPAPAPTASVASKVGAMADTTFGSIGDVLKLLPTSTVIVYEVLNPIVTNAGACGVGNRAATAVLLALCAASCAFSAFTDSYLGADGKPRYGIVTPRGLLPFAAGGGDDGTGRDFSRYRLRLADFAHAAFSVAVFAAVALLADANTVACFYPALRAQQKQLVMALPVVVGALASGFFAVFPSRRHGIGYPPAKPAAISLASQTS* >Brasy2G253200.1.p pacid=40069065 transcript=Brasy2G253200.1 locus=Brasy2G253200 ID=Brasy2G253200.1.v1.1 annot-version=v1.1 MKAAGLFAGFIFVMRNFGEPDGHLRLRVFVEKGRISVIVLVLLGGSNANPAAPPRHTRPLQTFRPLNIAHRGSSGEIPEETTAAYLIPTPSNPKFDILEIMSRLLLVCYTRTRAIEEGADFIETDILASKDGHLICFHDVTLDATTNIAEHKELAGRKRTYEVEGANMTGWFVVDFTLSELKSLRVNQRAKFRDQQYNGKYKIITFDEYILIALFADRVVGIYPEIKNPIFINQHVKWSGGKKFEDKFVETLLKYGYKGEYMSEHWLKQPLFIQSFAPTSLIYISNMTNSPKLLLIDNTTVRTQDTNQSYKDITSNGYLSFIRKYVIGIGPWKDTIVPPKDNHLGPPTDLFFDIQRASPPVHPYTFRNENVFLHFDFHQDSYAEYEYWLSRIGVDGLFTDFTGSLHRYQEWTTPYRNK* >Brasy2G253200.2.p pacid=40069066 transcript=Brasy2G253200.2 locus=Brasy2G253200 ID=Brasy2G253200.2.v1.1 annot-version=v1.1 MAPSPLEKLKSIWNSQVMDEEQWALNYKVMKAAGLFAGFIFVMRNFGEPDGHLRLRVFVEKESSFGTRGQQVERAIEEEHKELAGRKRTYEVEGANMTGWFVVDFTLSELKSLRVNQRAKFRDQQYNGKYKIITFDEYILIALFADRVVGIYPEIKNPIFINQHVKWSGGKKFEDKFVETLLKYGYKGEYMSEHWLKQPLFIQSFAPTSLIYISNMTNSPKLLLIDNTTVRTQDTNQSYKDITSNGYLSFIRKYVIGIGPWKDTIVPPKDNHLGPPTDLFFDIQRASPPVHPYTFRNENVFLHFDFHQDSYAEYEYWLSRIGVDGLFTDFTGSLHRYQEWTTPYRNK* >Brasy2G253200.3.p pacid=40069067 transcript=Brasy2G253200.3 locus=Brasy2G253200 ID=Brasy2G253200.3.v1.1 annot-version=v1.1 MKAAGLFAGFIFVMRNFGEPDGHLRLRVFVEKESSFGTRGQQVERAIEEEHKELAGRKRTYEVEGANMTGWFVVDFTLSELKSLRVNQRAKFRDQQYNGKYKIITFDEYILIALFADRVVGIYPEIKNPIFINQHVKWSGGKKFEDKFVETLLKYGYKGEYMSEHWLKQPLFIQSFAPTSLIYISNMTNSPKLLLIDNTTVRTQDTNQSYKDITSNGYLSFIRKYVIGIGPWKDTIVPPKDNHLGPPTDLFFDIQRASPPVHPYTFRNENVFLHFDFHQDSYAEYEYWLSRIGVDGLFTDFTGSLHRYQEWTTPYRNK* >Brasy2G424600.1.p pacid=40069068 transcript=Brasy2G424600.1 locus=Brasy2G424600 ID=Brasy2G424600.1.v1.1 annot-version=v1.1 MADGTRGRGRGRGRNSGQGNRGGTLGGGPSAGVGGGGAWRPPPPQQQPLQQQFAAPPLQQLTAPPTALPPLQQPAAPPPAPQPLQFALPPPQQQHFYGFQGPSWGYYPGQVPPNQWINPQGTWAVQAPPPPQFQAQPFPQQQLQQQGIPLHQPSQQPLPAAAPTQHQQFAQPRPQYSQIREVDQYTFLVKFPPHISVDSIATIPHIGLAKEGVTLNVEVWQGDLDLFEELHPVWIQLRGLKPKWCEWFILDQVASVFGLLQEVDWAGMLMGFYEVVRVKILCKAPQEISPEKLFEFEGKLYPIKVRASLYLQQQPKGSWSLRRGLDLQC* >Brasy2G019900.1.p pacid=40069069 transcript=Brasy2G019900.1 locus=Brasy2G019900 ID=Brasy2G019900.1.v1.1 annot-version=v1.1 MELSLASSRGALLAPAASAGKRPLSSLSLSPHPSLQIQNHIYSMPTLHYKAHSTVGCQASLATKYTETSEIVDLDWENLGFGLVHTDFMYVAKCGPDGNFSKGEMLPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSVLLFRPEENAIRMRDGSDRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLIGSGEILGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDNFHRAAPGGTGGVKTIGNYASVLKAQKIAKQKGYSDVLYLDAVHNKYLEEVSSCNIFVVKGNTISTPAIEGTILPGITRKSIIEVAQSKGFKVEERPVSVDELLDADEVFCTGTAVVVSPVGSITYLGKRVEYKGNQGVGALSQQLYTSLTSLQMGLAQDRMGWTVQLN* >Brasy2G162400.1.p pacid=40069070 transcript=Brasy2G162400.1 locus=Brasy2G162400 ID=Brasy2G162400.1.v1.1 annot-version=v1.1 MAPKAEKKPAEKKPAAEDKAEKAEKAPAGKKPKAEKRLPASKTAKEGGEKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN* >Brasy2G322800.1.p pacid=40069071 transcript=Brasy2G322800.1 locus=Brasy2G322800 ID=Brasy2G322800.1.v1.1 annot-version=v1.1 MPIELPRGLPIAVDTWTPASALKRHRFLTHAHRDHLTGVTTTSSITAVYASRLTILIVRHIFPQLGPDVFVEMELSTPVLVQDPDGDFTVTAFDANHCPGAVMFLFEGTFGNVLHTGDCRLTPDCIQGLPLRYINAEGSGSSQVPPSCRIDYLFLDCTFARCPLQFPAKEASIRQVINCIWEHPNAPTIYLVSDMLGQEEILIEVSRAFGSKIYVDKDKNSECYHTLSLVAPEIVTQDASSRFQVIAFSRLSERAVEMLALARAKQQPEPLIIRSSSQWYAHYEQPESLPKQKLSLMEPMRDEFGVWHVCFSMHSSREELDQAVQFLQPKWVVSTTPPCLAMDLAYVKKHCFLSRLGPDDPLWKLLRMTDVNPIVTGSPQAVQTAIEAIKGSEGEDTSSAVCSQVLQDEEPAIDDFEIKVVPPVTLFGRARFGLPEDCELLKVEYGSVCVAEEVKFEVEEQNSGTESLETWKDVNSIKGAIDVIDSNQVAPKELHDSAKEFDLNRESNKDLEVSNITEGEVQYRAQPWKDAKCDEGMKRTWGARFEVKEESSIAKTEMREIYKPTECPEFIVEEACKGDKLEATEKTLSKDRVVLTEIGNKLEGLGSDRAGTSMVGSSKVLNAKLRRLYRSMNVAVPRPLPSLVELMGASKRPKVSSLVLHP* >Brasy2G322800.2.p pacid=40069072 transcript=Brasy2G322800.2 locus=Brasy2G322800 ID=Brasy2G322800.2.v1.1 annot-version=v1.1 MELSTPVLVQDPDGDFTVTAFDANHCPGAVMFLFEGTFGNVLHTGDCRLTPDCIQGLPLRYINAEGSGSSQVPPSCRIDYLFLDCTFARCPLQFPAKEASIRQVINCIWEHPNAPTIYLVSDMLGQEEILIEVSRAFGSKIYVDKDKNSECYHTLSLVAPEIVTQDASSRFQVIAFSRLSERAVEMLALARAKQQPEPLIIRSSSQWYAHYEQPESLPKQKLSLMEPMRDEFGVWHVCFSMHSSREELDQAVQFLQPKWVVSTTPPCLAMDLAYVKKHCFLSRLGPDDPLWKLLRMTDVNPIVTGSPQAVQTAIEAIKGSEGEDTSSAVCSQVLQDEEPAIDDFEIKVVPPVTLFGRARFGLPEDCELLKVEYGSVCVAEEVKFEVEEQNSGTESLETWKDVNSIKGAIDVIDSNQVAPKELHDSAKEFDLNRESNKDLEVSNITEGEVQYRAQPWKDAKCDEGMKRTWGARFEVKEESSIAKTEMREIYKPTECPEFIVEEACKGDKLEATEKTLSKDRVVLTEIGNKLEGLGSDRAGTSMVGSSKVLNAKLRRLYRSMNVAVPRPLPSLVELMGASKRPKVSSLVLHP* >Brasy2G058100.1.p pacid=40069073 transcript=Brasy2G058100.1 locus=Brasy2G058100 ID=Brasy2G058100.1.v1.1 annot-version=v1.1 MAGGGHVDKDAAALAAQDLPGLNVFFDQTGLETAAGQGEDEEEELEWLSNKDAFPSVETMAVEAAPAAARPAVVGPRTKGVRRRRRVTAPWNVLTPAVLPPPARRAPAAAGPRRKCTHCASEETPQWRLGPDGPRTLCNACGVRFKTGRLVPEYRPAKSPTFSPLLHSNSHRRVLEMRRRNQDDDGETPRATAAARRAERAAARLSAKAAADAPAQTA* >Brasy2G323800.1.p pacid=40069074 transcript=Brasy2G323800.1 locus=Brasy2G323800 ID=Brasy2G323800.1.v1.1 annot-version=v1.1 MVSKVADEPQMLSKKAGCNSHGQDSSYFLGWEEYEKNPFDPVTNPSGIIQMGLAENQLSFDLVEDWLEKNPDALGLRREGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYKVAFDPSNIVLTAGATSANEALMFCLADQGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSGNGFRVTRAGLDDAYRRAQKRRLRVKGVLITNPSNPLGTAVPRADLEMILDFVAAKGIHLVSDEIYSGTAFVPDSFVSVLEVLPSTRAMADRVHVVYSLSKDLGLPGFRVGAIYSSNPGVVSAATKMSSFGLMSSQTQYLLAALLGDKDFTRRYLAENKRRIRERRDQLVDGLKEIGIACLDSDAGLFCWVDMGHLMTTQHGRSLEGEMALWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMQRLRAFVETTTKGGGALRRAAAAPARSMSCPLAMNMKWALRLTPVSADRKAER* >Brasy2G484700.1.p pacid=40069075 transcript=Brasy2G484700.1 locus=Brasy2G484700 ID=Brasy2G484700.1.v1.1 annot-version=v1.1 MARNKEGLVLLLDVGPSMHGALQEVENVCSTLLRKKLVYNRSDEVGIVLFGTKETCNDLAKELGGYKHVVVKHDIKVVEEGTKDALQNLPRGTAPGDFLDAIVVGLDMLIKRFGNTKGKHRLCLITDAQHPLRDPPEGTKEDQVDTIAEQMKKHDIKMDCIVFRESGVQHNSVMDENDQLLYRFRDRSVAKVVQVDTPTSLLGALKTRNVLPVTIFRGDLEVSSNLKIKVWVYKKTSEEKFPTLKKYSDQAPPSDKFASHEVKVDYEYKSILEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFADRSSIPRHYFMKDVNSFVPEPGNKKATVAVSAIARAMQEMNKVAILRCVWRQGQGNVAFGVLTPSISSVNNVPDSFYFNILPFTEDIREFQFRSFSSLPSSSQPTEEQQEAADSLVKMLDLAPPGREEILRPDFTPNPMLERFYSFLDLKSKEPDANAPPLDKCLRRITEPDPDIIDYQAPLIQNLGKAFELKDNPKRKKARTQERLNYTAADDQTKKSADPYAEKAKAIEVLYPSAEKVGKIGDLNPVQDFEAMLTERSSSIWVQKAIEEMKKYTTALIENSPQGDYYQKALECIVALRKACIIEQEPNEFNEFLTKLYKRLKNVDDVAKFFQLLSSKNVSLISKEEAPDSDVTEEMATSFYLKPEASSQ* >Brasy2G196800.1.p pacid=40069076 transcript=Brasy2G196800.1 locus=Brasy2G196800 ID=Brasy2G196800.1.v1.1 annot-version=v1.1 MAMNPLFTVTFDLKSSETYGSFIDDLRRRLGKRGHFSHNRPVLPPFDQTVPPRWWFHVVLRTTPTTSLTLAIRADNLYLEGFRSSDNTWWELTQGFIPGATYVGFGGSYSDLLGQTDAMVRVELGPRQMTEAVNALAGRRGADKGSEAKQKQAGKMLATLLLMVNEATRFLTVSAFVAGLMHPKVAGGITALMKEQVNGWSDLSAALLRTDARPPVGFVGEKGGLTKAKAEKMGVDTEEKAANTVGVVLFAEDKKVEGMMTKANALQLFPVERLADQ* >Brasy2G337900.1.p pacid=40069077 transcript=Brasy2G337900.1 locus=Brasy2G337900 ID=Brasy2G337900.1.v1.1 annot-version=v1.1 MDERETAKPMARRAGTPTATPTNVTPCSTASSCSSNSDPSAARTPPPSIVVPWAGAGADGGGCYYPGCRKDANCACEICLASINATRDLLPPEAASARRCFAAAVRNRRPGSRPLFLGRDTGTPGSAVTEPWTPPMRSTAKSRRPWQAVREAAAVGERKGMGSPSPDWALYAATVLGFLLLLWVDTGLVPEVAARGFGPKLSPEAVAQMGAEARLAPAGLGHALRVLERRVGQLVGAERISNCSLPDSVWRLEQNDQHVFHWRCAVYKSTAEEVSVWGSPLRASGLLPFALSARHLTLLSGMITEWSDGKVWPTVRASNGSSWSYRGRRAAAVQLEPETWMLEYQRSALFEGTRLLPATMELLMSRCSTMAKRAQRKLAKRRFYGGPSGIQANPT* >Brasy2G420100.1.p pacid=40069078 transcript=Brasy2G420100.1 locus=Brasy2G420100 ID=Brasy2G420100.1.v1.1 annot-version=v1.1 MGDLVPYLPGRGLRGIRKPRGLGQWPTLHLVGVLAYHLPKRESGRHNLW* >Brasy2G061500.1.p pacid=40069079 transcript=Brasy2G061500.1 locus=Brasy2G061500 ID=Brasy2G061500.1.v1.1 annot-version=v1.1 MDGSKCSAEEDICHVIGDSRSPKIPRRSPDDSKDTRGRGREDKNDRDSSRSETSADRTDTRDGGYSSEHSKHTSANKMIHDHRNESGESKKGVYSSSLSGGMSGCIGDGNRSTDQSHQIGLHFLKDGRHSEPGPHDLRMHGTKSGEKEEVLEHQMEYTHQNKGALENKETSPKLDNHGWESSGVGSNREARQAQLSQISDKLGRYQSENNEYGQGHADLDNERTTGTKDETRVDAHRDESDKGRDNSWNDKTREVEGSKENLRSHQWRDPKEVNDSEWRSTQERSDGASSHGRAVFRRDSRGRSESVRGPSTYGIRYESSDSIEIRPNSTFDFGRDGPVSGKRSDVESRRDLIPAANDDKCANHPEADQSGSTTMVSPFSQQGPRGDRPSRGGRGRPNGRDSQRIVPVPLMPLPSFGALTLPPGPMQHMGPNIPHSPGPPLLPGVFVPPFPGPMVWPGAQGLDVNMLSIPPNLPIPPLVAADHRFNPSIRGGPGHNIHLNQIGTGPTNVPGLGFNQMSTQGREMPHDKLSAGWLPHRNNGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRKHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNVDEIMNLKIEAIADTPSFLFLWVGDGVGLEQGRQCLKKWGYRRCEDVCWVKTNKKNASPGLRHDSRTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSYSNFNKEAYNKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN* >Brasy2G061500.2.p pacid=40069080 transcript=Brasy2G061500.2 locus=Brasy2G061500 ID=Brasy2G061500.2.v1.1 annot-version=v1.1 MDGSKCSAEEDICHVIGDSRSPKIPRRSPDDSKDTRGRGREDKNDRDSSRSETSADRTDTRDGGYSSEHSKHTSANKMIHDHRNESGESKKGVYSSSLSGGMSGCIGDGNRSTDQSHQIGLHFLKDGRHSEPGPHDLRMHGTKSGEKEEVLEHQMEYTHQNKGALENKETSPKLDNHGWESSGVGSNREARQAQLSQISDKLGRYQSENNEYGQGHADLDNERTTGTKDETRVDAHRDESDKGRDNSWNDKTREVEGSKENLRSHQWRDPKEVNDSEWRSTQERSDGASSHGRAVFRRDSRGRSESVRGPSTYGIRYESSDSIEIRPNSTFDFGRDGPVSGKRSDVESRRDLIPAANDDKCANHPEADQSGSTTMVSPFSQQGPRGDRPSRGGRGRPNGRDSQRIVPVPLMPLPSFGALTLPPGPMQHMGPNIPHSPGPPLLPGVFVPPFPGPMVWPGAQGLDVNMLSIPPNLPIPPLVAADHRFNPSIRGGPGHNIHLNQIGTGPTNVPGLGFNQMSTQGREMPHDKLSAGWLPHRNNGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRKHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNVDEIMNLKIEAIADTPSFLFLWVGDGVGLEQGRQCLKKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSYSNFNKEAYNKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN* >Brasy2G404300.1.p pacid=40069081 transcript=Brasy2G404300.1 locus=Brasy2G404300 ID=Brasy2G404300.1.v1.1 annot-version=v1.1 MHANSCLFAACLLLQTNLLENWSSSHWSGQLILS* >Brasy2G318400.1.p pacid=40069082 transcript=Brasy2G318400.1 locus=Brasy2G318400 ID=Brasy2G318400.1.v1.1 annot-version=v1.1 MSGYYGLHSAHGRVGPHAHKQPTASNYIAAAPNTVLSAAKSRSLCLPPSRPPHAGRPRQRAPEEMASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRLSIERHSRKLDSMKSTSSGGEGASSQPAGSSSSSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLLGSDPTDCAMVFLYFLCSMSIRTNLQKLLGFTPPRAAAAAGGGLFPMPDPKVN* >Brasy2G402900.1.p pacid=40069083 transcript=Brasy2G402900.1 locus=Brasy2G402900 ID=Brasy2G402900.1.v1.1 annot-version=v1.1 MAISPLAVSGAAVATLAVLGLAVFACRRWRRGSPPASPPPTSSQDDDMTRPLMSDNSDDYSGPSNNIRSSNAGESRIWTNRSSTSPRTHGLVSQGMIYPTEPHPIEGEIHVIDVTNGTMEEHHLSSTLKRTSEVSGTIPEMKHTRRRSGENNNGGVPVKDITIGSHLALEVIAGPSHGINRYLQSGNTSMLPMTLGRVPQNDLILKDNEVSGKHARIDWNANTLKWELVDMGSLNGTFLNSQSINHPDVGSRRWGEPAELADGDIITLGSSSKISVQISLQNKQVPVGVGMASDPMIARRTGKKLPMEDISCCQYPLAGVKQFGLFGIFDGHGGDGAAKAASRILPENVANILSQQDTIERVLTCGNASDVLKCAFALTEAALDHQYEGCTATVLLVWFDQNKDCFAQCANLGDSACIMNVDGKPIAMTEDHRVVSTTERARIAKSGHPLRDGESRICGLNLCRMFGDKFLKEQDSRFSSEPYVSPVVRITKSCSAFALIASDGLWDVISAKRATQLVVEHKERNKDHKTSADRVADHVLSEARNLRTKDNTSVIFVDFDLMRIAP* >Brasy2G221400.1.p pacid=40069084 transcript=Brasy2G221400.1 locus=Brasy2G221400 ID=Brasy2G221400.1.v1.1 annot-version=v1.1 MCSRGGGPPIFSSAAKPLPSSAQHHNDGHHTAARPRTTPTHLSSNYTATRLLHLPSAAPPPLLRPPVQREVHGRTAAVGRSRGWRGPWRRTGRESEEEAVGAEEPVGVNDRDLLLVTGFSASPSGRARRGAASPGREAGSRGRPGWSPPRAARCEPGAARGLWPYIE* >Brasy2G084600.1.p pacid=40069085 transcript=Brasy2G084600.1 locus=Brasy2G084600 ID=Brasy2G084600.1.v1.1 annot-version=v1.1 MDTTRRSGQWRGDGEEEEGRRVGLLNGDAKKQDWQVVSTAGADEDGAKLGTRVWEESKKLWEIVAPAIFSRVVTYSMNVITQAFAGHIGDLELAAISIANTVVVGFSFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLFACAVLLLPMYFFAEEVLLLTGQPPELSAMAGRVSIWFIPLHFSFAFLFPLNRFLQCQLKNFANAAASGVALCVHVFVTWLFVSRLRFGLVGIALTLNFSWWATGAMLFAYASCGGCPDTWHGFSFEAFADIWEFVKLSMASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIMSLHDKFALIFTSSAVVLDAVNNLAILLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYFVGIPMGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIITIRCDWEKEAMIASTRMDKWSEVR* >Brasy2G084600.2.p pacid=40069086 transcript=Brasy2G084600.2 locus=Brasy2G084600 ID=Brasy2G084600.2.v1.1 annot-version=v1.1 MASALETLCGQAFGAKKYHMMGVYMQRSWIVLFACAVLLLPMYFFAEEVLLLTGQPPELSAMAGRVSIWFIPLHFSFAFLFPLNRFLQCQLKNFANAAASGVALCVHVFVTWLFVSRLRFGLVGIALTLNFSWWATGAMLFAYASCGGCPDTWHGFSFEAFADIWEFVKLSMASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIMSLHDKFALIFTSSAVVLDAVNNLAILLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYFVGIPMGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIITIRCDWEKEAMIASTRMDKWSEVR* >Brasy2G049800.1.p pacid=40069087 transcript=Brasy2G049800.1 locus=Brasy2G049800 ID=Brasy2G049800.1.v1.1 annot-version=v1.1 MSASASPSLSCGSSRRPDAPQGSPRRPTGRAPPAPGSSRTSSPSPTTHNPHAAPVPGAGGAQGGNDVVRDARAVRGEGVDLPGREQVRRGHAPERALVRAVLREADGAVEHEAVGGVVHGPE* >Brasy2G260000.1.p pacid=40069088 transcript=Brasy2G260000.1 locus=Brasy2G260000 ID=Brasy2G260000.1.v1.1 annot-version=v1.1 MTNPTAAELQLYSSCLLKARGDLFKEKQDLEQAKKQNDEARARRTIREDTPSDSTHDSPPRRNPRHGSRINGVPERNRRTTRNLESSFLSIDDHGDMIPETPEAAILAENTYLRSIQPPENDSRSDVHKQILAGLSKAGASCINRDPSNQRAPRDRTPPLNVTRQEHRSPRPGRNERDSRRARETPPRGDPRDYSSESDLDSDVCAHLVSVAASAAPGCRRGSSHLLI* >Brasy2G444700.1.p pacid=40069089 transcript=Brasy2G444700.1 locus=Brasy2G444700 ID=Brasy2G444700.1.v1.1 annot-version=v1.1 MATARRRPFLILLHNLGEDYSGGVGESGGWAAVECATKASYGCGPLGHHVVQGVSLLARLAGDDDDDKQHLRVHATDDLLRRVGSETDMAAAAGIRFVARVEAVEATGGVIVVVMSVWFSASNNGKDRGSYYLVYDSGAGGSLSLLPRSLLPHGHDCSRGPPLPVRRHGHGDHYSLVLMSSLRNSDPDDDGQPVFCLWSPTTDLAGPWRMLPWGRRVEATPPGARFTGGEVQAFSCGGKAVWADPAQGVLCCDMDALQLAADSADSTTKPVEFSLVALPEQYRIPQLQTKHRAIGPSAAASGTIWFVSVDSHRHPGDTTVAVWSLDLSGPRRWDKHRELSLLSIWAAEGFVRAGLPRRVPWSPFFWTDQRDSGGGGVIYFLLPPADDKDPGAHVIGIDMRNSCGEEVRVLPLGRLARLFRQRPMLLPRDFLCRQRHRKEEEEASIKHIYS* >Brasy2G358900.1.p pacid=40069090 transcript=Brasy2G358900.1 locus=Brasy2G358900 ID=Brasy2G358900.1.v1.1 annot-version=v1.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQTSGDKTIGGGDDAFNTFFSETGAGKYVPRAVFVDLEPTVIDEVRTSAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVSEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDGEEDDDY* >Brasy2G491800.1.p pacid=40069091 transcript=Brasy2G491800.1 locus=Brasy2G491800 ID=Brasy2G491800.1.v1.1 annot-version=v1.1 MMMAAPYHQPTTLEEVRTLWIGDLQFWADEAYLYNCFAHTGEVQSVKIIRNKVTNLPEGYGFIEFISHEAAEKVLQSYNGAQMPGSEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYSSVRGAKVVTDPNTGRSKGYGFVKFADENEKTRAMSEMNGVYCSTRPMRISAAIPKKSSGSQLQYGTAKAMYPAAAYAVPQAQPVLPDSDLTNTTIFIGNLDPNATEEELRQLCVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQVVRLSWGRSPANKQDQSAAWTQQADPNQWTGAYYGYGYDPYGYAQDPSYAYGAYAGYSQYPQQVEGATDMASAAGSHVPGMEQKEEVYDPMNIPGVEKLNASYMDVHGSAMLGRHLWLKTSPPSQAA* >Brasy2G491800.2.p pacid=40069092 transcript=Brasy2G491800.2 locus=Brasy2G491800 ID=Brasy2G491800.2.v1.1 annot-version=v1.1 MMMAAPYHQPTTLEEVRTLWIGDLQFWADEAYLYNCFAHTGEVQSVKIIRNKVTNLPEGYGFIEFISHEAAEKVLQSYNGAQMPGDLAPDVTDYLLQETFRVNYSSVRGAKVVTDPNTGRSKGYGFVKFADENEKTRAMSEMNGVYCSTRPMRISAAIPKKSSGSQLQYGTAKAMYPAAAYAVPQAQPVLPDSDLTNTTIFIGNLDPNATEEELRQLCVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQVVRLSWGRSPANKQDQSAAWTQQADPNQWTGAYYGYGYDPYGYAQDPSYAYGAYAGYSQYPQQVEGATDMASAAGSHVPGMEQKEEVYDPMNIPGVEKLNASYMDVHGSAMLGRHLWLKTSPPSQAA* >Brasy2G159600.1.p pacid=40069093 transcript=Brasy2G159600.1 locus=Brasy2G159600 ID=Brasy2G159600.1.v1.1 annot-version=v1.1 MGYRSTAPPPPPPASCFLLVQATPWTGPDPAALGPAGAGSTRPAPAHRPPPPASEAAAALLAGHTRGRGRSSVLLHQSANREQQVVHVAGQEISFLERCEVLGLGTEASWCMCCCIDPSTGSSRFPRFSIFLSSPISMVYPRVWFPLFSLFTFGAAGFHVFSICSLSAYLDLSI* >Brasy2G294400.1.p pacid=40069094 transcript=Brasy2G294400.1 locus=Brasy2G294400 ID=Brasy2G294400.1.v1.1 annot-version=v1.1 MAKTQPRASAGEPLLPSASPPPYLDHHSPVGAGADSYVYLVPVRLRRLRRGCRCHWLAPLLASLTLLALGFLLWPADPDVSVARLRLAHISVVARPAVALNISAALKVRVRNPDLFALDYSRLDIAIGYRGAPLGTVTSGGGRVRARAVSYIDADLHLNGIRVVEDAIYLLEDLARGSIPFDTVVEVEGHLHFFFISIPVKGRIACVVHVNPHDQTIVHQDCYPK* >Brasy2G093000.1.p pacid=40069095 transcript=Brasy2G093000.1 locus=Brasy2G093000 ID=Brasy2G093000.1.v1.1 annot-version=v1.1 MCFHIATEIYFASIFFSLQTRQASRVVVTNLRCRRWYGSVAAWTPASTQAAADWLVYRLRKPRLWTLEELSIYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFSGRDASRAFVSGNFSGDGLTDSLKGLSSMEVNSIVDWRKFYFERYTFNGKIVGRYYDSQGNPTKYLRGVEIKAKRGAQLLEKQKSEEAKIPNCNSKWSQQEGGEVWCDTGYPRLVRRPGDIALTGQVSQRCACFLEDELGRPGLVVYEGCDHLSTSCKVK* >Brasy2G093000.2.p pacid=40069096 transcript=Brasy2G093000.2 locus=Brasy2G093000 ID=Brasy2G093000.2.v1.1 annot-version=v1.1 MALGARLLLGLALLAALIAVLLQVYRLRKPRLWTLEELSIYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFSGRDASRAFVSGNFSGDGLTDSLKGLSSMEVNSIVDWRKFYFERYTFNGKIVGRYYDSQGNPTKYLRGVEIKAKRGAQLLEKQKSEEAKIPNCNSKWSQQEGGEVWCDTGYPRLVRRPGDIALTGQVSQRCACFLEDELGRPGLVVYEGCDHLSTSCKVK* >Brasy2G070700.1.p pacid=40069097 transcript=Brasy2G070700.1 locus=Brasy2G070700 ID=Brasy2G070700.1.v1.1 annot-version=v1.1 MACKTTFVVASIFILALLMSCDMVHCECKDDRTNVSCHDSATCDQHCHLEGNNRGYCDDGGFCHCVDCGW* >Brasy2G456900.1.p pacid=40069098 transcript=Brasy2G456900.1 locus=Brasy2G456900 ID=Brasy2G456900.1.v1.1 annot-version=v1.1 MAIPSSSYAPIHIFILVLFSAISSSSSSPRPNNANGSDSDLAALLAFKAQLTDPLGILAGNWTTGTSFCHWVGVSCSRRRQRVTTLSLPEMPLIGSVAPHVGNLSFLSVLNLTYTNLTGSIPAELGRLHRLRYLNLGGNSLSNTIPTALGNLTRLEFLILALNQLSGQIPPEILLHMHNLRKISLFRNDLNGQIPPHLFNNTPSLTFVSFGNNSLSGPIPHGFVSLSMLEFMNLQVNQLSGLVPQAMFNMSRLQVMALAGNGNLTGTIPSNQSFNLPMLQYIGIFDNKFTGLFPSGLASCQYLQEIYLGGNSFVDVVPTWLAKLPHLEKLSLGLNELVGSIPSALSNLTGLTDLDLSNDNLKGEIPPALGLMQELLYLDLQGNHLIGKIPDSIGNLSKLHFLALGFNQLSGQVPTTLGESAALNNLDLSYNNLEGNLYFLSALSNCRQLQFLGMEGNYLTGTLAGHVGNLTTQLITFAAGYNKLTGGLPREFSNISSLEEIDFPCNLFTEPIPQSIAMLENLVWLDLSRNDMFGAIPTQMGMLGSLEQLFLEENKFLGSIPGNFGNLSLLENINLSNNQLSSMIPVGLFHLNKLIKLDISHNSFSGALPIDVSGLSQTYQMDLSSNFLIGNIPESFGQLNMLTYLNLSHNSFEGSIPGPLKKLKGLASLDLSFNNLSGTIPIFLANFTYLTTLNLSFNRLEGQIPEGGVFSNLTLQSLIGNAGLCGAPRLRFSLCLDIPHSTNRHLLQFLLPTLILAFAAIAVCVYLRFGKKLKKGQDNASIDPTDAIGHQIVSYHELVRATNSFNEDNILGSGSFGKVFKGQLSNGLLVAIKVLDMQLEQAIRSFDAECRVLRMAALVLPYMPNGSLEMLLHRAERTLHLGFVERLGIMLDVSMAMDYLHHEHYELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMINASMPGTIGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGTQLTLRHHSLDSSFLASVFKLGLLCSGDSPDERMTMHDVVVALKKIKVEYTKQTAMTSQQSQAFRNGQD* >Brasy2G151400.1.p pacid=40069099 transcript=Brasy2G151400.1 locus=Brasy2G151400 ID=Brasy2G151400.1.v1.1 annot-version=v1.1 MESLAATAFSPSRLAAHPAPAAAAAPVPFRARAGGRRSRRGSSGVRCCAASASVSPLINGSAAAKAEEEDRRRFFESAARGSGQGNLVPMWECIVSDHLTPVLAYRCLVPEDDMDAPSFLFESVEQGLEGTTNVGRYSILGAHPALEIVAKENKVTIMDHEKGVVTEQVVDDPMQVPRSIMEGWHPQQIDQLPEAFSGGWVGFFSYDTVRYVEKKKIPFSGAPEDDRNLPDVHLGLYDDVLVFDHVEKKVYVIHWVSLDRHASTEDAYQDGKSRLKQLLSKVHNANVPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVIQAKEHILAGNIFQIVLSQRFERRTYATPFEVYRALRIVNPSPYMAYVQARGCILVASSPEILTKVQKGKVINRPLAGTTRRGKTESEDKLQEEQLLSDQKQCAEHIMLVDLGRNDVGKVSKSGSVKVEKLMNIERYSHVMHISSTVSGQLDDQLQSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGLGGISFDGDMQIALALRTIVFSTAPSHNTMFSYKNSDRRREWVAHLQAGAGIVADSIPDDEQKECENKAAALARAIDLAESAFVDKE* >Brasy2G212200.1.p pacid=40069100 transcript=Brasy2G212200.1 locus=Brasy2G212200 ID=Brasy2G212200.1.v1.1 annot-version=v1.1 MVDPSLPFLPSGTRILFVDCCNGLLLCRCFKMPSLSRSYYVVCNPATEKWTVLPDTKAMQGFYTMRLGFDPAVSSNFRVFLLVQSGEGRFDILVNGVQIYSPETGEWTYRQSGWGDKSAVFTDTMSVLHNGVMHFTSTGSSVLTVDMEGKTWGEILTPVPAFSSFLGRSKGYLYFGRIDRSKDPQLSIWMLKDYGSKQWILMHTVRTLELFEPRHLTFHWYNKMIAMHPEHNLIFFTVGTQRNLISYNMDSRIVHVICALGDYCVDTYLPYVPCFLEWLSDEC* >Brasy2G349100.1.p pacid=40069101 transcript=Brasy2G349100.1 locus=Brasy2G349100 ID=Brasy2G349100.1.v1.1 annot-version=v1.1 MDNAYIAALSLAFVFLLPYLLKGKRSNGGNLPPSPPAIPILGHLHLVEKPLHAALWRLAARLGPVFSLRLGSRRVVVVSSPELARECFTEHDVALADRPQFPSQLLVSFGGTALATACYGPHWRNLRRVAAVHLLSAHRVAAMSSGVISAEVRAMARRLFRAADADGSGGARVQLKRRLFELSLSVLMEAIAQTKATRAEADADTDMSVEAQEFKKVVDEIIPHLGTANLWDYLPVMRWFDVFGVRNKILAAVRRRDAFLGRLIEAERRRLEEGGGGDQGEKTSMIAVLLTLQKTEPEVYTDTMITALCANLFGAGTETTSTTTEWAMSLLLNHPQVLKKAQAEMDASVGTSRLVTADDVAHRLPYLQHVVSETLRLHPAAPMLLPHQASADCEIGGYTVPRGTMLLVNAYAIHRDPAAWGPRAEEFRPERFEDASNKGEELPLMLPFGMGRRKCPGEALALRTVGMVLGTLVQCFDWERVGGVEVDMTQGSGITMPKAVPLEAVCRPRAAMRDVLQKL* >Brasy2G240000.1.p pacid=40069102 transcript=Brasy2G240000.1 locus=Brasy2G240000 ID=Brasy2G240000.1.v1.1 annot-version=v1.1 MLCLTPCAPCPHRPHARAATSAGAGDNAHRVTLRRLRTPGAVRRVKFQSCAAAAPAPPAGRPIKPFPPVPARTTAERWGSLREMRRVWWVCGVGYWVQGFRCFPWLALNFHLTRGLGLNPAALQLVQNAGNLPLVAKPLFGVLSDAVYIGRAHRLPYISIGALLQLVAWGTLAIMPVTGDTFPTQMVCILIGNLGASVTEVVSDAVVTEFSRTQKTGVLQSYAFIGLAGGSLLGNLSGGYVLLRTQEPKTMFTAFSILLGLQLALSVSTKETLPSSHENSKNLLIRSSLAANFRKQFSNLLTAIHEERIFYPLAWIMTSFAVVPILSGTMFCFQTQYLKLDSSIIGLSKVMGQVLVLSLTVLYNRHLKRIPLRQLVSGVQIMYALAVLSELILVKQVNLMLGIPNEIYVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGVYGVGLATLIGLSAGDYSKLPLGIVLQTLAALLPLGWISLVPENWTADDKVVKQTLTSDRAQT* >Brasy2G435100.1.p pacid=40069103 transcript=Brasy2G435100.1 locus=Brasy2G435100 ID=Brasy2G435100.1.v1.1 annot-version=v1.1 MSSYAEMRCKCVDVRGTVNLFLNPNQARVTDLKTQSNRSSTRSEKGCCVVRSGQATVIARAGVDMCSNQQPSKYTMLIVLQILLLLSSETNCATLSDNSGSTDVISLLDLKAANDQLAGALSSWNSSLNHQQQHCSWKGVTCSLKHPGRVTALDLAGMNLSGSVPPSLGNLTFLRLLDLSGNGFSGELPPVGCFHRLEILNLSCNSFQGSIPHSLINCSSIGVLDLSRNSLVGEVLPRVGRLSNLSVFRLSWNNLTGIIPPTLGNITRLKLIRLSFNNFTGSIPDGLGQLPNISSLLLGGNSLSGKIPRDIFNSSSLQILHLVSNNLTGSLPFDIGDSLPNIQRLYLGLNMFYGPIPDSLSNASGLEWIDLSGNLFTGPVPSSLGKLSKLSLLNLERNKLGAKDIQSLGFVHALRNCTVLEVLTLYQNHLQGAIPNSIGNLSINLKYLLLGANNLSGIIPPSIGNLRGLVKLGLGDNNLSGTVGEWIGQLTKLQGLLLQANNLSGSIPSSVGNLTWLSLLSLKHNNFQGNIPPSVGRLQSLELLDLSYNNLQGNIPIEIGNLSQVANISLSTNMLTGLIP* >Brasy2G345800.1.p pacid=40069104 transcript=Brasy2G345800.1 locus=Brasy2G345800 ID=Brasy2G345800.1.v1.1 annot-version=v1.1 MTNFVVVFLETIYATVVDLFRRDGITRGGSSTVELWVVTTTLLLMGKFAAGSIGPRLFSRRSMSPVVQLMKILNTGALNYTLGLMEPSSSSSCGGVGDGHRTMDSFFQVWAVLLVAMNYSVSLGRPYRGSKDLTLVDLLSSLWSASLLRRSNTPLRLRLPLWLIWSLNAWRIIRYYIDAKRAAEASEANMKLVGDYMASAASDDVAACSPATMTGYRYLVLGEERQEMEIVLQRPLEPSTTRRRRRSFTVQLNETRPEELVTLEKVWRLRESGDGLLGRDADGDNRFKDVCLSFALYKLLRRRFYDFPMPEAHDSAAIRRLVCEAILEDANGYERAFRVTEVELSLLHDFSYTKHAVVFSAGFPVQRLLLSLLMAAAVSYLAYAVRDIPSSTDKALARISHGVSITRWEVWEMVIYVFSQWTKVLIICHYVKLPRQQRVRRWLTEKLARAMFRGIRRGHWDQEIRQYNLLLATAGCSQACGMLRRTAVKLDAEVKKALFVSLKALDPQQGRPLGSYLERAFPGDSRIDHLLGELKGETHKVLVWHVATCLCQIDLLEKAGSPFVGGSGQTQAPPQYTVAVSLSNYCAYLVTQGLVPDNGLVARKVFKAVRGEARDALRRCSAKNEIYDALVVPARQRVEHRSPAVSIVEIGAELSVMLASTYNKSNGGGDDDELWDRLGRFWAGYLLQLSAWTRAAKHQIHLRGRGELTTHLWALLSHAGFFGPLHGHQLLDQVDQS* >Brasy2G053000.1.p pacid=40069105 transcript=Brasy2G053000.1 locus=Brasy2G053000 ID=Brasy2G053000.1.v1.1 annot-version=v1.1 MGQYPPGPFGAGASNSPSSPVGCMPFLGSDGGSSRRDESSPIGVDSPISPPYPTHFDPAVNNEEGSEISPDEIEKKGKSKNWSKHEDELLISAWLHNSCDPIDGNSKREENYWKEVAAEFNKYATKEEQKTVMQCKNHWTKTTKKVTKFNHWHQCFILSFLDK* >Brasy2G362500.1.p pacid=40069106 transcript=Brasy2G362500.1 locus=Brasy2G362500 ID=Brasy2G362500.1.v1.1 annot-version=v1.1 MDSRELLRTVKQASMNHARQLYHSLLARLPHILFLTLLLLLFTPPLVPSTLSLAALWQEARANAAMLVAASAVLAAATHAYAASRPRPVYLVDLAGYKPGPAHELTRSQALKQFGLAGGFDDESMAFQRRMMERSGLGEATHFPASLLSVPVDMCLRTAREESEAVVFGVVDDLLARTPWVSAQDVGIVIVNSSLYSPTPSFASLVVNRYGLRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHRDTYALVVSTENITLNAYLGNNRSMLVTNTLFRVGGAAVLLTNRRSDRPRAKYQLIHTVRTHRGGASDRSYACVTQEEDDTGRHVGVSLSKELMSVAGDALRTNITTLGPLVLPLSEQLRFLATVVLTRVFGVRARGMGGKPARVPDFAMALDHFCIHAGGRGVLDELERSLRLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVDASEIRGSPWAQDLHRLPVHVPKVAPIDDDEDGDGASCKVPKPEANSY* >Brasy2G296300.1.p pacid=40069107 transcript=Brasy2G296300.1 locus=Brasy2G296300 ID=Brasy2G296300.1.v1.1 annot-version=v1.1 MINTAEGKFCSRHRGLSSTVRRRMKWSLRRATLAAKCQLARDWIPMPLIMESDCSGLIEERSSG* >Brasy2G347300.1.p pacid=40069108 transcript=Brasy2G347300.1 locus=Brasy2G347300 ID=Brasy2G347300.1.v1.1 annot-version=v1.1 MARRTSTDGPSILGPFHVRFPRPAGARDRPGSSHHQASCHRIVESGLPLPAHLHAQTLRAFPAGAVMWWVCAQPVQSRPKFDLTQVPNRGSEESQNDPNLVPTTPNSQVPTHGTPPIQYVPGESRRRRLGRSTPSAQRLHTSSSPLRNIQVKPQSTNQPINPASQPWEEGEEEEPGADGSGEGGGSRDGGGAVAQHGGHGGREGGRAARSVALEEGPGGRDGPHGRRRRPRPQPAAAAVEARKGGAAARKGGGGGRDPGPQGRRRRPRPQPASAGWAGIGSPGFPMGAEIVRET* >Brasy2G498500.1.p pacid=40069109 transcript=Brasy2G498500.1 locus=Brasy2G498500 ID=Brasy2G498500.1.v1.1 annot-version=v1.1 MMKSDPEEQHLSEIAASSSSELREWSDLPDLVISGVLRRLVPCLRSLSAFAATCRPWRRFFVATASTDILPRIPPLILQARRYTDRSARVLALSSHVLDTPAVAVSMPAFRSTLLSSSRGHLVLLAEPREAVVVVDALTGAERLSVPLPSPWAYPPCQYATLTRNHLLIFFDYAHEFVSFPFPFPPGRNPLPESEWTRHPLPSGASSISAVIDFHGRVLGVTDRAELLEFRLEGDHQAVAELLPATGIPEDATFKRWQLGPRLAAAGERLLLLLVMTDRPEEHRMVRRLGRVVKVSVHALDAAAMRWEELDGVGDYSLLVDCAGRTAVACVDTGEGCGVVPVPNRVYFLVSRHNSQKNWARLRGHTHHDHQRFVPRPNLPPT* >Brasy2G149300.1.p pacid=40069110 transcript=Brasy2G149300.1 locus=Brasy2G149300 ID=Brasy2G149300.1.v1.1 annot-version=v1.1 MEPAAERKAAEQEDHLRPEHARSEDTPAPAVEEEDEAEAEENERRNRELKAGLHPLRRKLVLWFTRRTPGTRSQSYEDNIRKIVDFSTVESFWVCYCHLSRPSALPSPTDLHLFKDGIRPLWEDPANRNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSCRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHTYLMEYKPHDASLRDNSSYRNTWLRG* >Brasy2G043600.1.p pacid=40069111 transcript=Brasy2G043600.1 locus=Brasy2G043600 ID=Brasy2G043600.1.v1.1 annot-version=v1.1 MAPPPRLAALLLLLLAGVFASAARADLVISRADRKVDLTSHIVRVLTSLKVENVGSEPVSKVLLAFPNIQAKNLAAIRAFGTEGKVKGPSAVLPIETVQPSGVPPELTFFSVSLHKPLQKGKILHLDVLTVFTHSLQPFPEEITQAESQLVVFQDSSHYLSPYPVKVQTLSIRLPGGRVESYTKYANTKLVDSELKYGPFEDLPPFSYSPVIVHFENNNPFAVAKELVREIEISHWGNVQITEHYNIAHGGARLKGEFSRIDYQSRPYVRGVSSFRHLIARLPPTAHSIYYRDEIGNISTSHLWSDSKKTQLEIEPRFPLFGGWQTTFTIGYGLPLQDFVFTSDGKRFLNITFGSPMEEILIEKLIVKVVLPEGSKDIDVSVPFPTKQWQEVKYSHLDIAGRPVLVLEKPDVIPEHNLHFQVYYKFSNISLLIEPMMLITGFFLLFVACIAYMHTDMSISKNSPSYLAKLQWDEVQATVQQMQSIFGQCLAVHDKLEVSLHDLSRTGDTKSCKAARKAADGQFKELAKELKPLLISLQSSTQSHQIWPKVEDLVAKEREMQEKLMARHSTVVDSFEKKLRGQDIENRIASQQQKIAVLRQEVESLLEYLSEI* >Brasy2G252100.1.p pacid=40069112 transcript=Brasy2G252100.1 locus=Brasy2G252100 ID=Brasy2G252100.1.v1.1 annot-version=v1.1 MDIDDVLCNLRVVGVPTKSAIYIWGYNQSGQTARKGKDRHLRIPKSLPPKLFKCRDGENLRWIDIACGREHTATVASDGSLFTWGANDFGQLGDGTEESAKEPKKVNAIETEFVKSVSCGAHCTAAVAEPRENDGTISKSRLWVWGQNQGSDYPRLFWGAFAPNTVIQQVSCGAVHVMALSEDGVLQAWGYNECGQLGRGCTSEGLQGARVLNAYARFLDDAPELVKIVRVSCGEYHAAAISENGEVYTWGLGSMGQLGHRSLQSGDKELIPRRVVALEGMVARDVACGGVHSCAVTEGGTLYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVRLVTCGHSHTLISMKDGRIYGYGYNSYGQAANEKSTYAWYPSPVDWCVGEVRRLAAGGGHSAVLTDASSLKELCEFKLAETVNVSNAQLIEDVASRTGADSLARLCERLREHLVEQGDCEFLEKHMVEEIEAKAG* >Brasy2G249000.1.p pacid=40069113 transcript=Brasy2G249000.1 locus=Brasy2G249000 ID=Brasy2G249000.1.v1.1 annot-version=v1.1 MPSLLCRTSGRRAAASTAATRGAARGNDAAPSSSAPTAAASAHGVDGGPQKRGPTRRAQGRARVEGGARRHRGGRDRRMGLRRRTWGELGVGRLSPAHEQQRSCVWRRRGLTSSSGRGRGQRGRAAWAGAAAAAARGRAAAAAAGGASASSTRSGRRREHA* >Brasy2G427600.1.p pacid=40069114 transcript=Brasy2G427600.1 locus=Brasy2G427600 ID=Brasy2G427600.1.v1.1 annot-version=v1.1 MAGSSGTAAAAATSSSRNHLKHDRFYYPPHRRQHQQQQQGLQSRRPPSPSPSPRSARREAAPADADCRADSDDSSSTSSKSSVESTAAKVNVASPGAAEEAGNLDRFLASTTPSVPVCYSRKASTRLWKSGDAMDSPPYFCLDDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPDRAAAGTRHPGDESDGESMDTSSESSSETYVDRLRVSSMEATHRLENGGLQSDDGEAYASASFPIFEHLERDSPYGRVPLTDKVSVLANRFPALKTFKSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPSKDSHPTTLACPGFEGINHCPNAMGKLSLPAFGLASYKLRSSIWASNGAPEEESVTSLMQKADNWLRCIHVDHPDFRFFVSHFSTTWR* >Brasy2G433500.1.p pacid=40069115 transcript=Brasy2G433500.1 locus=Brasy2G433500 ID=Brasy2G433500.1.v1.1 annot-version=v1.1 MDSAPAAVPAARRLGLLGRDRGGEGRHRRGRGAWAATALGALGGAAGMSRTAIFRRRTGKGERPSHGNRRRVGAGGSRV* >Brasy2G277500.1.p pacid=40069116 transcript=Brasy2G277500.1 locus=Brasy2G277500 ID=Brasy2G277500.1.v1.1 annot-version=v1.1 MAGIIHKIEEKLHMGGGSDHKDEHKKEGEHKKEEEHKKKDGEHKEGMMEKIKDKITGEHGDGDKSSGDHKEKKDKKKKKEKKHGEGHKKEDGHSSSSDSDSD* >Brasy2G277500.2.p pacid=40069117 transcript=Brasy2G277500.2 locus=Brasy2G277500 ID=Brasy2G277500.2.v1.1 annot-version=v1.1 MAGIIHKIEEKLHMGGGSDHKDEHKKEGEHKKEEEHKKKDGEHKEGMMEKIKDKITGEHGDGDKSSGDHKEKKDKKKKKEKKHGEGHKKEDGHSSSSDSDSD* >Brasy2G015100.1.p pacid=40069118 transcript=Brasy2G015100.1 locus=Brasy2G015100 ID=Brasy2G015100.1.v1.1 annot-version=v1.1 MDGVTESIIVSSNNNKKELEQEHFVLVHGAGHGAWCWYRLLALLRRSGHRVSCVDLATSSSSSVVASFEEYTAPLVDLMEALPDGEKVILVGHSAGGLSLTHAMHLFSDRIKQAIFIAATMLPFGFQTEQDIKDGIPDLSELGDVYELTFGLGDDHPPTGAALREEFQRRTMYQQSPLEDCALASILLRPWPTALSGARFGGVGVDGKGEGSAIDEVRRVYITTAEDHMIKPEQQESMIRRWPPSEVVAMDTDHSPFFSAPEQLFQLILKSI* >Brasy2G488400.1.p pacid=40069119 transcript=Brasy2G488400.1 locus=Brasy2G488400 ID=Brasy2G488400.1.v1.1 annot-version=v1.1 MVMQPVLFDAAVLRGRADIPSQFIWPADESPTPDAAEPLDVPLIDIGGIVASGSGDRAAAVASVARLVGDACSRHGFFQVVNHGIDPELLADAHRCVDAFFTLPLAEKQRALRRPGESCGYASSFTGRFSSKLPWKETLSFRSSPSRPDLPLDFILSNLGQEHRRLGEVYARYCEEMSRVSLEIMEVLGESLGVGRSHYRAFFEGNDSIMRLNYYPPCQRPYETLGTGPHCDPTSLTILHQDAVGGLQVHVDGRWRAIAPRQDAFVVNIGDTFMALSNGRYKSCLHRAVVNSKTPRKSLAFFLCPEMDKTVAPPGSLVDEANPRVYPDFTWRALLDFTQKHYRADMKTLEVFSGWVLQQQKQQLGS* >Brasy2G332300.1.p pacid=40069120 transcript=Brasy2G332300.1 locus=Brasy2G332300 ID=Brasy2G332300.1.v1.1 annot-version=v1.1 MRDSDGEGAGGGLPRSHPSNIPLPMPHSDPNYSGTDDECSNRQSSSSATGGASPGFYNDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASSMAAPATMAPGTSLISSLVREEGHIYSLAAKGDALYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRSALWIRHSDAVSCLSPTDPSQGLLYSGSWDRTFKVWRISDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKREVQGKGTKHSAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNCWEGERHLVHGGVLRGHKKAVFCLAAAGALLFSGSADNTIFVWRRDAGVHSCLSVLTGHTEPIRCLAVVEYNKENVAAAANESGDSSNGASRWIVYSGSLDKSIKVWRVSDEAPDPMFQGPGGGDGPQMFDRYPGDPFGAGSSSSFR* >Brasy2G343500.1.p pacid=40069121 transcript=Brasy2G343500.1 locus=Brasy2G343500 ID=Brasy2G343500.1.v1.1 annot-version=v1.1 MSSLKSPVAAEEAMGGKQQGEVAGERYPVEEVALVVPETDDPSTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPVGRFMASVLPDREVSLLGGRLGTFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFLCALLIVLSTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHETKEGGKPSKGPSRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWVWPRSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAVGFVMFIYLIVPLCYWRFNAFDARKFPIFSNQLFTGAGQKYDTTKVLTRDFDLNVAAYESYGKLYLSPLFAVSIGSGFLRFSATIVHVLLFHGADMWRQSRSAMGAAKTDVHAKLMQRYRQVPQWWFLLLLAGSVAVSLLMCFVWKEQVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGQHGLYRNLVWLFVVGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTVFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLATCPTAPGIAVKGCPVF* >Brasy2G335800.1.p pacid=40069122 transcript=Brasy2G335800.1 locus=Brasy2G335800 ID=Brasy2G335800.1.v1.1 annot-version=v1.1 MKWSTLLSKVVFAAPQPPAPPPPPASPLHQQGDQADPDSATPRLSSASTSGSGAGDDGGFQAAFDAASGNSPSSATSSVRGKNELESDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKQHSSVAQLVTKLVEAHVFAFVIGRAFVTDVEKLRVHSKGRSLHVADVIGFFSEITELGICPGSNLLYAVEVLVTETIDKQPLLDSGILCCLIYILNSLLSPHESFKKSSPVGGEESASEKNKDWDPMQSRRLEIEASVVHIMKALASHSSAAPSLIEDDALQLLFHMVANGSGSVFSQFREGLVPLHTIQLHRHAMQVLSLLLSNDNGASAKYIRKHQLIKVLLMAVKDFKPQSGDAAYTIGIVDLLLECVELSYRPEAGSIRLREDIHNAHGYQFLVQFALTLCSLHKNQAHQSLTKLASEEDGLDTSGTLEQDTFPCDLSPQLSRLLDVLVNLSQTGPYEDCVGKSMKSSHGKGAGHSRSRTPSADKFADEVLEISSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTNLKHTVLSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFSGDEQQSKIFYSPEIRPNTDDFQKTVDNKDSILSPKLMSSGSTKFPMFDDEGTITVAWDCLFYLLKRADTNQQSFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSLGSQYKLDNDAKCDTFGALWRILGANSSAQRVFGEATGFSLLLTTLHSFQNEGENEETEQSLFTHMKIFGFLMRAMTAAVCNNAVNRIRLHTILSSHTFYDLLSESGLLCVDCEKQVILLLLELALEIVLPPTSNLQVEGFLSETSEDESGFLSATSFGLSRLDGERAYNASAVVVLIRSLLIFTPKVQLELLRFIEKLASAGPFNQENLSSVGCVGLLLETINPFLEGSSPILNHALKIVEVLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRQGDISLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFRNFFRSHSKEADKTSKGAYGKRSGQVLRIFSVGTVDDANTLYAELYLHDNGVFTIATSNSSSLSFPAIEMGEGKWHHLAVVHSKPNALAGLFQASVASIYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRGKVSDLSWQLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLEVEVTAPSSSQRIDSSMKQVNSRLESSGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGMPVVLALVEAAETRDMLHMALELLALSLLQSHQNVKDMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNINRTASYASGISPEASLDDLSLPKFSDDVSSVGSHGDLDDFSGQKDSFSSHLSELENAELAGETSEFIVLSNADMVEHVLLDWTIWVGAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHIIVRNMLLEMLIDLQVTINTEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLTSSTTFALKFRTSGGFQGLNHVLPSFYDSPEIYYIIFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTVIAMAKATFDSFIMKSMLAHQNNNLSHLNGTLVADLVEATSDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLAKTCPPFSAVCRRHDFLDSCVDLYFSCVRSDCALKMAKDLTTAATDEKNMNDDDNESSKDTFSCLPQDQEQSSKTLSAASFPQEHKSTSSESTDMQNSSDNGEVKADSSPSEELSTKFVNGEAKQVFKNVHDQGQLPAVRSNGIADSHQLADSPSPVSMINIGSPVLSERSTHKTASTPTASPMAPFTSWAGSTGSYTDGRHLTASPSMSSTISAMDLDSSPDLKTNIQGSTAVNSFFPINSKLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILESAPLFVDVDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSVNLDPLCYMIVDRVYIGCFPTPLGVLRTLEFLMSMLQLANQDGRIEDAVPSGKGILSIARGTKQLDPYIHAILKNTNRMIMYCFLPTFLKNLGEDDLLANLAFLTETGRNLASKPPQEDYSVDICTILQLLIANKRLVLCPSNVDTDLMCCFCINLMALLRDERLTAQNLAVDLLKYLVVHRRPSLEELLVCKPNQGQQTDILHGGLDKLLTGSTSVFFEWLQNSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKEMGRKSREIIKLDGRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPIRQLSLEPEWQLCAVEGPYRMRKKLENSKFKIDTIQNVLSSSLGFDDFTMSKKDDGDMLMTSGSDTMSGLNLVTYDTEQREIDAAEFTSFKDDDDIFKGGSTMSPPIGWTDDKSSINEQSLHSATDFGAKSSSFSYHMTESVQGKSEFNSPRQPPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGDLCLYIIENFYIDDSNCICEKGDQDELSVIDQALGVKKDIMGSIDSQQKSPSPWGATAKILLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLTNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVRDTWVSAAGKSNTSDVKELIPEFYYLPEFLENRFSLDLGEKQSGEKVGDVVLPPWAKGSTREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKAHPQRRTDRKVPPHPLRYSAYLTHQEIRKTVSSVSQIVSYNDKILIASANSLLKPVTYSEYISWGFPDRSLRILTYDQDRLQSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGIRRLLRMEKALCAHTAKITCVYVSQPYSLIVSGSDDCSVILWDLTSLVFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWSINGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCTSDEAANNKSKSPPTSYGGPGLNGQTLEYRLLLQKVLKSHKHPVTALCLPPDLKQLLSGDASGRLFSWSLKDDSFKGS* >Brasy2G376500.1.p pacid=40069123 transcript=Brasy2G376500.1 locus=Brasy2G376500 ID=Brasy2G376500.1.v1.1 annot-version=v1.1 MKFVVGEEERQSRANSGEMGKGGELWDDSALVDAFDHAVSTFKAMHGKNNLAASSEKQESEDVTASVDAAAGEESVSEKKESEHVTASADEQLEKADNLTNLPADQTEAPQHPCEEIKTIEQAPLQATDLDKEANVSESKTYSDITDTERRDSSNQQTWDYNELLRKYYELEVQSQKVLEQLHQTNYWNYQIPGQSSAYQQQQVPAYSATAPDPNYSTAHPPCCSLNVPMVSVSCCSTGQQSADSTSMPPSGGCSISLTCDQCPGASATYPTGATFMQFPTKVSANDDQVGKAAMMTAEGAMNFIKSSISGDPGSFASETGKQNTNVGMTPNFDTTGADSDLAVVLNAWYTAGFHTGRYVMQQSMKNSRQN* >Brasy2G142800.1.p pacid=40069124 transcript=Brasy2G142800.1 locus=Brasy2G142800 ID=Brasy2G142800.1.v1.1 annot-version=v1.1 MDPVELVPHEHTAQWAAQVEGSLSGRGRRSFVTRACPIQLETSEMALRSRDYGLRTAARRKLENGATLYTYSGNKEAVYCGYKRRQDNSSDLEMYMLCTFTKTHTA* >Brasy2G101200.1.p pacid=40069125 transcript=Brasy2G101200.1 locus=Brasy2G101200 ID=Brasy2G101200.1.v1.1 annot-version=v1.1 MRTSSRLLLLAVVTIVAVVYPVTTSAQQPWHLIDGEDIDKPFYQNLGGWAVTEHVKQAHDGLKFNKVFSGERHDLSTSVKYHFVIIASNGGGKTGRYDAELIEGNPRRLISFAPAN* >Brasy2G282900.1.p pacid=40069126 transcript=Brasy2G282900.1 locus=Brasy2G282900 ID=Brasy2G282900.1.v1.1 annot-version=v1.1 MDMKQQQGSGVVMERSGQQQEGDQDASRNMSAAAGAMTLATTVREYRRGNWTLPETMVLIEAKKRVHSERHPADQGLARWRWVEDYCWRAGCRRSQNQCNDRWDNLMRDYKKVRAHEQQQQHAAAPAATSYWAMARADRKERCLPSNLLREIYEAMGEIVESRRRLSCYAGSGSGSGSGVLFLGAPLTTTTNVADIPAMQASPLAQVPPRPFEQGTHCSASESPERKRLRQSLDGQPGSSTPAAASAGIHGGDQHREEPQDEDESSDEEDEDVLGGAIGRCAAILSGALESREAAEERRHRELMAVEERRSVSRQARREAGDQCVAGLAAAVSQLAGSMLALAAKRKGPAAPK* >Brasy2G344700.1.p pacid=40069127 transcript=Brasy2G344700.1 locus=Brasy2G344700 ID=Brasy2G344700.1.v1.1 annot-version=v1.1 MPTLTKLYSMKEAALHNTPDDCWVVVDGKIYDVTKYLDDHPGGADVLLAVTGKDGTEEFEDAGHSKSAKELMQDYFIGELDLEETPDIPEMEVFRKEQETDFAGKLVTYAVQYWAIPVAAVGISAVVAILYARRK* >Brasy2G407500.1.p pacid=40069128 transcript=Brasy2G407500.1 locus=Brasy2G407500 ID=Brasy2G407500.1.v1.1 annot-version=v1.1 MAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPYRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDPLTEAMFLTDLELNTVDYVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVIIQNPEATLQELLECMPGPDFPTGGIIMGNDGILDAYRTGRGRVIVRGKTDVETIDEKSKRTAIIIKEIPYQTNKATLVQRIAELVEDKVLEGISDIRDESDRSGMRVVIEVKRGANPEIVLNNLYRHTALQSSFSCNMVAILDGHPKLMGLKEILQAFLDFRSSVIERRAKFKLSQALERKHIVEGIVIGLDNLDSVIQMIRETSNHSKAKEALANEYGLSEKQAEALLDITLRKLTSLERKKFIDESNSLSEDISKLNELLSSKKLMFQLIEQEAADLKNKFATPRRSFLEDSANGEVDDMDVIPNEEMLLIVSEKGYLKRMKPNTFNLQHRGTIGKSVGKMRTNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRNAAGTPLVQLLSLSDGERITSIIPVSEFGEDQYLVMLTINGYIKKVPLNVFSSIRPTGIISIQLVPGDELKWVRLCGDDDLVALASQTGMVIVNTCNKLRALGRKTRGVVAMKLKEDDKMASMDIIPATMHKMPEKYDSRVKDLSPPWLLFIAENGLGKRVPLNAFRQSSFNRRGLHGYKLPEDCRLAAVFVVGVSLTDDGGSDEQVVLISHGGTVNRIKVKDISIQGRGAKGVILMRLEHAGKIQSASLMSATVAEEIMDD* >Brasy2G393100.1.p pacid=40069129 transcript=Brasy2G393100.1 locus=Brasy2G393100 ID=Brasy2G393100.1.v1.1 annot-version=v1.1 MSSEQQSPPKKKARPLPITTITTTISALHQDTLREIFLRLPSLPSLVRAAFACRTFLDAVRSSPAFRRRFSALHHPPILGAFHKTDVPRFVPLHGRSDPDLAAAADFFLTILPVPDDDEDKDAGSAWYICDCRDGFVLLDNWRTNQLAVYHPLTRALDLIPILPDEHRNCYFNEFHILSSEEDHGSFRVLCVCPERYGASAIVFSSDTRKWQIFPWAEINGLCPRCGMLVNGCIYWIYGTEARVLNIATMQFSRMDLPPRWIGDVRLRAGETKDGRLCMVCEPLWSLGPELSLVVWFWRADDDGVEKWMLDKSLPLQELAEIVQCEFHDNVEVRLNVVTIIDGFVYLFAFCGVCRRPPSTRWFLSVCLETAVLNKLGRIRYAPPYPYIMAWPRSLVQSKISSSSK* >Brasy2G354400.1.p pacid=40069130 transcript=Brasy2G354400.1 locus=Brasy2G354400 ID=Brasy2G354400.1.v1.1 annot-version=v1.1 MATATYGLSLTSPSPASAGARRQRHARASASATDRHEVVSPKRRLPLRKVPGDHGPPLVGALKDRLEYFYGPGGRDAFFASRVRAHRSTVVRLNMPPGPFVAKDPRVVALLDAASFPVLFDTALVDKTDLFTGTFMPSVDLTGGYRVLSYVDPAEPNHAPLKSLLFHLLTHRREHVIPTFREVYGDLFALMEGELARAGKADFGERNDDAAFGFLCRALLGQDPRDSPLRDEAPKLITKWVLFQISPLLNLGLPKLVEDGLLHSFRLPPALIRNDYARLADFFRDAGKAVIDEGEGRLGVAREEAVHNILFAMCFNSFGGMKILFPSLIKWLGRAGGRIHGRLATEVRDAVRANGGEVTMQALAEMPLVKSAVYEALRIEPPVAMQYGRAKKDMVVESHDYGYEVREGELLFGYQPMATKDPRVFARAEEYVPDRFLGEDGARLLRHVVWSNGPETASPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGSSPLGSSVTITSLKKATF* >Brasy2G008100.1.p pacid=40069131 transcript=Brasy2G008100.1 locus=Brasy2G008100 ID=Brasy2G008100.1.v1.1 annot-version=v1.1 MARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPKFRGQVLDGNQLWDIIEGLEENELLHYTHLLTGYIGSVSFLNTVLQVVDKLRSVNPDLIYVCDPVLGDEGKLYVPQELISVYHQKVVPVASMLTPNQFEVELLTGLRIISEQDGLKACNTLHSAGPRKVIITSALIEDKLLLIGSYKKTEGPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRKTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQVTCNAVKYS* >Brasy2G479800.1.p pacid=40069132 transcript=Brasy2G479800.1 locus=Brasy2G479800 ID=Brasy2G479800.1.v1.1 annot-version=v1.1 MAWGLLTLKIEGIHFRLVLLYFGKGALLLTNSDPQKSGQAFSKRPFDSITSFSTTFVFLIIPPDGNGGVSAHGLAFALSSTMDFVSDAHPGPYLGLTNIKSNGNGSNQVFAVELDTIKNPQFADIDDNHVGIDVNSMVSVNSNTAGYYTSNAGKFSPLRLASGEPMQVWVDYNGISHNINVSLAPYLEREPRRPLLSSSVNLTSMLANNSFYAGFSSSTGLLISRHYIIGWSFNITGKARSLNYTALSQVIEDVKRKARNRSVIPRAILVPVVTLAALIVLVIPAVIYVLRKKAREDGEWEIEAGPPSFTYKELATATRGFSDTMLLGEGGFGKVYRGVLQNASKQNVAIKRVSPESKQGMKEFIAEIMILGHLRHRNLVQLLGYSRHKNELLLVYDCMPNGSLDRVLYGQDGQAGLDWVYRFNITKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDTEMNARIGDFGLARLHNHGTDAQPTHLAGTRGYIAPELARLGRATKATDVFAFGVLMLEVACGRHPIEVNDSGEPVLLPDWVLHAWESGAVLTAVDPRLEDYILEEVDLVLKLGLLCSHSVASARPSMRLVLQYLEKDAPLRDLQPSFFNLTSRDEGFDQYILSCLSIGPTMSGLSGGR* >Brasy2G091200.1.p pacid=40069133 transcript=Brasy2G091200.1 locus=Brasy2G091200 ID=Brasy2G091200.1.v1.1 annot-version=v1.1 MGGGGGSPTASGSSSSEDDGDASWRAAIDSVATTGFGYPLSNGVAKAASGGGGGEANHGLEQQLLEEKVQAPGLKLYQIKVRNMLDDMLEKNLEIVRTPCLNLADPMEIDGGIKLFKKAPPGIRMDDTDKYHVQLKRPRILPGSEIDEKSKKFRYMLQSVVVDGDDVLVSAKEASQISLAKLEARETAAKAKAKRDEERVKELKKARGEKWLPSIARQMKKEKALEQRK* >Brasy2G091200.2.p pacid=40069134 transcript=Brasy2G091200.2 locus=Brasy2G091200 ID=Brasy2G091200.2.v1.1 annot-version=v1.1 MGGGGGSPTASGSSSSEDDGDASWRAAIDSVATTGFGYPLSNGVAKAASGGGGGEANHGLEQQLLEEKVQAPGLKLYQIKVRNMLDDMLEKNLEIVRTPCLNLADPMEIDGGIKLFKKAPPGIRMDDTDKYHVQLKRPRILPGSEIDEKSKKIYASVCCC* >Brasy2G303200.1.p pacid=40069135 transcript=Brasy2G303200.1 locus=Brasy2G303200 ID=Brasy2G303200.1.v1.1 annot-version=v1.1 MALVSLPLLLPASPNASSTSSRLILPSIGGGSSRRALAGGNGGLAVPASRRGVEWRAPVSSFSFLPSFLTGNNRKKKEEEDAKKAETLKEELLAAIAPLDRGAEATPEDKDRVDQIAQQLEEVNPAKEPLKSDLLNGKWELLYTTSTSILQPQRPKFFRPFGTIYQAINADTLRAQNMETWPYFNQVTANLVPLNSRRVAVKFDYFKIFSLIPIKAPGRGKGELEITYLDEELRVSRGDKGNLFVLKMVDPMYRVPLQ* >Brasy2G144900.1.p pacid=40069136 transcript=Brasy2G144900.1 locus=Brasy2G144900 ID=Brasy2G144900.1.v1.1 annot-version=v1.1 MCSPLLQLSGLIGQQSRTLKPVTRSHTKPAGMQRRVILTRLCYRTGGALLLLDY* >Brasy2G266100.1.p pacid=40069137 transcript=Brasy2G266100.1 locus=Brasy2G266100 ID=Brasy2G266100.1.v1.1 annot-version=v1.1 MENVLVDRSAGGDAFRRSAGMRGCRRMLPGSMPAPPYPVGYGSLSPYSSSLPPNPFLYAPYDFLCYRSPHQSLPPLPSHGLPPLPPAAVAKAKHASLPHLRSATTLLAPPRKTVGESSYKRKKPRTPDQEPAAALPRAARRRKPLQRAAPLPAAPAVVEALDDLEREVTRGFVEDLVHALAPPPSSLPLPTFSLVRAAAAAKAAPPSCAV* >Brasy2G266100.2.p pacid=40069138 transcript=Brasy2G266100.2 locus=Brasy2G266100 ID=Brasy2G266100.2.v1.1 annot-version=v1.1 MENVLVDRSAGGDAFRRSAGMRGCRRMLPGSMPAPPYPVGYGSLSPYSSSLPPNPFLYAPYDFLCYRSPHQSLPPLPSHGLPPLPPAAVAKAKHASLPHLRSATTLLAPPRKTVGESSYKRKKPRTPDQEPAAALPRAARRRKPLQRAAPLPAAPAVVEALDDLEREVTRGFVEDLVHALAPPPSSLPLPTFSLVRAAAAAKAAPPSCAV* >Brasy2G424800.1.p pacid=40069139 transcript=Brasy2G424800.1 locus=Brasy2G424800 ID=Brasy2G424800.1.v1.1 annot-version=v1.1 MATKQLFSVFLFFLLLAAGEGTPSAIISKTCARASNFSTYGGYDYCVGVLTADPAAASANSTQTLAIVATKLALDNVTSTLLMLEDLVSSIAHCADNYGEMNHTVTTAVEDIRAGHAEAAAGKLSGAAGEPDECDSRLSKGSAKKNPMTKENHDAASLSYTAYGIIMEALHAKLLATTDAPSATITKACTGLSNFTTHADYDFCVGALAADPAAGAAMDAHQLAVVTANLTAANVSSTLLVLHDLLHSLSFCLRNYEEMSKTLPAAAYYIGTGHADAASQLLLDASTGPDSCDILFFQGSAKKNPMMKENDDASHLINLAHAIAAS* >Brasy2G300400.1.p pacid=40069140 transcript=Brasy2G300400.1 locus=Brasy2G300400 ID=Brasy2G300400.1.v1.1 annot-version=v1.1 MMAAHKIPLEVAHTLVEIAEVARYAYEHRSGRSPAPDDTTAPPVDAVDGAEAARLRAENAILRARLADDLALLRELHGAPFVSQECPPDLHNRLVASVNNGNFLAHLEKVRDEPLHQHTELFPGDMAEVEVGDIPYSKGDGKNGSWLLVSCDNAGGNLEEISGIDDENYVIVNDDDIVDAISTFVARCILEDPKAKTLSSADLQKAVAMALGSMTDRKKWISFWEAGKVIYILATWGITIAGLYRSRAVLKMAAKGAVVSAKFVMKAM* >Brasy2G273500.1.p pacid=40069141 transcript=Brasy2G273500.1 locus=Brasy2G273500 ID=Brasy2G273500.1.v1.1 annot-version=v1.1 MAAPPSTSSSPSGEIAVERSPSSFGGGDAAPSRLELLSMVKKHSHLIGWTVVEAEDDASDVEMDDKFWHEMLDLFFVRGRVSKSREEDDLVFFVNSMKTHGFEDPPPFFVRRWAPKLEKLININASEIDWERSFYLNLVAHTSYTVTVAICSIGDLRNRADKSKRLPPVYKVSKTVYASPSRVNFRLDRRKAVETVPAYPNICFSVDDFDDPFDAVVLSDPEHCYCVILNAHDGAAFPEETKSKNDSSNIQCGANSGSNQENPPKRTLFSGYVSYQNVREAYDAGKSKFGSFLSLGQDNTKPDKLFMRGPEGRGEVEVAVSGIADQSHERSKKDPGDNFRVLVHRAASAASKLAKHAYEAASANKRLDDELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDF* >Brasy2G273500.2.p pacid=40069142 transcript=Brasy2G273500.2 locus=Brasy2G273500 ID=Brasy2G273500.2.v1.1 annot-version=v1.1 MAAPPSTSSSPSGEIAVERSPSSFGGGDAAPSRLELLSMVKKHSHLIGWTVVEAEDDASDVEMDDKFWHEMLDLFFVRGRVSKSREEDDLVFFVNSMKTHGFEDPPPFFVRRWAPKLEKLININASEIDWERSFYLNLVAHTSYTVTVAICSIGDLRNRADKSKRLPPVYKVSKTVYASPSRVNFRLDRRKAVETVPAYPNICFSVDDFDDPFDAVRTLFSGYVSYQNVREAYDAGKSKFGSFLSLGQDNTKPDKLFMRGPEGRGEVEVAVSGIADQSHERSKKDPGDNFRVLVHRAASAASKLAKHAYEAASANKRLDDELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDF* >Brasy2G391300.1.p pacid=40069143 transcript=Brasy2G391300.1 locus=Brasy2G391300 ID=Brasy2G391300.1.v1.1 annot-version=v1.1 MEIIDAELRLGPPGSSSSGTMFAAAKKRSATAAAAAKSEASGTGDADAAPASKVQVVGWPPVGAYRKSTVQSSAAAAREKGVGGLYVKVSMDGAPYLRKVDLRTYGGYGELRDALAKLFGACFGSDKAGGGGGGFAVAYEDKDGDLMLAGDVPWDMFICSCKKLRIMRGSEAR* >Brasy2G391300.2.p pacid=40069144 transcript=Brasy2G391300.2 locus=Brasy2G391300 ID=Brasy2G391300.2.v1.1 annot-version=v1.1 MEIIDAELRLGPPGSSSSGTMFAAAKKRSATAAAAAKSEASGTGDGAGGGVAAGGGVQEEHGPVFSGGGEREGRRGAVREGEHGRGAVPEEGGPEDVRRVRGAQGRAGQALRRLLRLRQGRRRRRRIRRRLRGQGRRPHARRRRPMGHVHLLLQEAEDNERLRSEMINI* >Brasy2G143800.1.p pacid=40069145 transcript=Brasy2G143800.1 locus=Brasy2G143800 ID=Brasy2G143800.1.v1.1 annot-version=v1.1 MEMQFAAVLASLALGGALLVLFFGKWWQPLADADKRVKELDDAVEALLQLRAAVLKQLDGAPESEQTRAWLRRAQEAQDEVASIKARHDAGQLYVIRLLQYFLAAGAVAAGTLAEKQLKIVRAIQEQGAALLEAALATPQAPPPLLLQPEELELPAPATTATRACLNEALRFLGDCDAALGVWGAGGVGKTTLLKQVRDVCGRVAPFFDHVFLVAASRDCTVANLQREVVAVLGLREAPTEQTQAAGILGFLRDKSFLLLLDGVWERLDLERVGIPQPFGVVAGRVRKVIVASRSEAVCADMGCRKKIKMECLNEDDAWNLFEANVGEEAVRWDTQIFTLARQVSAECKGLPLCLAIVGRAMSNKRTPEEWSNALDKLKNPQPSSGKSGPDESTHALVKFCYDNLESGMARECFLTCALWPEDHNISKDELVQCWIGLGLLPINLAAEDDVEEAHRLGHSVLSILESARLLEQGDNHRYNMCPSDTHVRLHDAVRDAALRFAPGKWLVRAGVGLREPPRDEALWRDARRVSLMHNAIEETPAKAAAAGLSDAQPASLMLQCNRALPRKMLQAIQHFTRLTYLDLEDTGIVDAFPMEICCLVSLEYLNLSRNRILALPMELGNLSGLKYLHLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSVADDYVAPVIDDLETSGASVASLGIWLDNTRDVRRLAGLAPGVRVRSLHLRKLDGARSLELLSAQHAAELGGVQEHLRELVVYSSDVVEIVADAHAPRLEVVKFGFLTRLHTMEWQHGAASCLREVAMGACHALTHITWVQHLPCLESLNLSGCNGMTRLLGGAAEGGSAAEEIVTFPRLRLLALLGLAKLEAVRDGGGECAFPELRRLQTRGCSRLRRIPMRPASGQGKVRVEADRHWWNGLQWAGDDVKSCFVPVLL* >Brasy2G307600.1.p pacid=40069146 transcript=Brasy2G307600.1 locus=Brasy2G307600 ID=Brasy2G307600.1.v1.1 annot-version=v1.1 MAYSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVAGGMVDFALGIDSVGGVRLPGGYCGVLAFRPSHAVIPNSGVIRVAPSLDTIGWFARDPIVLRRVGHLLLRLSYTDIRLPRNFYIADDCFEISKIPARRLTQVVTKSAEKLYGRQVLSHVNLGNYLASRMPSLRNYSNGQKNGDSKSSSLLALSSAMQLLHKHEFRDQHNEWINSVKSAVDACIVGNLTDNGDSTIGLCQDVRKEARSALNALLKDDGILVIPTALGCPPKVNSRELSSASYNAETLCLSSLSSMSGCCQVTIPVGTHDKCPVSVSFIARHGGDRFLLDTTQAMYGTIQEQVEILAKSNASSKQAMLEEAAEAAKEKGNIAFKEKQWQKAINLYTEAIKLNDKVATYYSNRAAAFLELASYRQAETDCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGIAINRLKKLFS* >Brasy2G307600.2.p pacid=40069147 transcript=Brasy2G307600.2 locus=Brasy2G307600 ID=Brasy2G307600.2.v1.1 annot-version=v1.1 MAYSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVAGGMVDFALGIDSVGGVRLPGGYCGVLAFRPSHAVIPNSGVIRVAPSLDTIGWFARDPIVLRRVGHLLLRLSYTDIRLPRNFYIADDCFEISKIPARRLTQVVTKSAEKLYGRQVLSHVNLGNYLASRMPSLRNYSNGQKNGDSKSSSLLALSSAMQLLHKHEFRDQHNEWINSVKSAVDACIVGNLTDNGDSTIGLCQDVRKEARSALNALLKDDGILVIPTALGCPPKVNSRELSSASYNAETLCLSSLSSMSGCCQVTIPVGTHDKCPVSVSFIARHGGDRFLLDTTQAMYGTIQEQVEILAKSNASSKQAMLEEAAEAAKEKGNIAFKEKQWQKAINLYTEAIKLNDKVATYYSNRAAAFLELASYRQAETDCTSAIDIDPKISAMPLF* >Brasy2G307600.3.p pacid=40069148 transcript=Brasy2G307600.3 locus=Brasy2G307600 ID=Brasy2G307600.3.v1.1 annot-version=v1.1 MAYSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVAGGMVDFALGIDSVGGVRLPGGYCGVLAFRPSHAVIPNSGVIRVAPSLDTIGWFARDPIVLRRVGHLLLRLSYTDIRLPRNFYIADDCFEISKIPARRLTQVVTKSAEKLYGRQVLSHVNLGNYLASRMPSLRNYSNGQKNGDSKSSSLLALSSAMQLLHKHEFRDQHNEWINSVKSAVDACIVGNLTDNGDSTIGLCQDVRKEARSALNALLKDDGILVIPTALGCPPKVNSRELSSASYNAETLCLSSLSSMSGCCQVTIPVGTHDKCPVSVSFIARHGGDRFLLDTTQAMYGTIQEQVEILAKSNASSKQAMLEEAAEAAKEKLPPS* >Brasy2G199500.1.p pacid=40069149 transcript=Brasy2G199500.1 locus=Brasy2G199500 ID=Brasy2G199500.1.v1.1 annot-version=v1.1 LVFSLLLPCLIFTQLGRAITVQKVLDWWYIPVNIVLGTVSGSLVGLVVAWIVQPPRPYFKFTIVHIAIGNNGNMPLVLVAALCRDPLNPFGDPNKCKQDGNAYVSFGQWVGAIILYSYVFQMLALPPGETYDGSRVKKLPSKVVADGRTPEQVPLLTSAAPEQAVIHASKCSQGMGILKFFVEKFKLKQLLQPPVIASAMGILIGTSPTLKHFILANDAPLFFFTDACIILGEAMIPCILLALGGNLVDGPGAGSKKLGLRTTVAIIFGRLVLVPPAGMGVVTLAERLGLFPKGDTMFKFVLLLQHSMPTSVLSGAVANLRGCGEESAAVLFWMYVCATFSVAGWMVLYIRMLF* >Brasy2G428000.1.p pacid=40069150 transcript=Brasy2G428000.1 locus=Brasy2G428000 ID=Brasy2G428000.1.v1.1 annot-version=v1.1 MPAAVAAAAAAATSGAALVVYLLITCRPQPPRGAEGAAAAAEEEEEESLLARHAETGSTGGGAEEEDKAWPHSPPASCCEAAAVAARTARRAWELTVGRWGLHGLIFGINSHMNRQGNLQHEYSGNDCLQLKGHEVHTEVAYLLEYLKLCMFYSKKRFSEFLKFGGYSQKDVLFHKCRARLMRPSFAIVRDQNSKCFLLFIRGAISTKERLTAVASVEVPFHHIVLNEGEIDNVILGYAHCGMLASARWIANLAIPLLHSAVREFPDYQLKVIGHSMGAGIGSILTYILREHYDFTSCTCLAFAPPACMTWELAESGKGFITSLVNRNDMVPAFSKVAFESLRSEVMVSSKLDDLQDQDHLSLFAKISQRVALAKSHMLSISHSVGKTADSGPSISEPLLKQAPEIIAPEANGLNVDCRQQQADVAANLEHDFSAVSVTTSEEKIILVNKDDFASAKTVTESCCASQGVVDSNQVLDTEEEASLERKEEAPSLTQNGAGKEKQKEPPSGPGSRQLFPPGRIIHMVAQLPPDPNPGEGTSSNEIVSIYETPRDLYSKIRLAPNMIDEHYMTSYISTMESLLEQLQSEDTVSTAPDEL* >Brasy2G424100.1.p pacid=40069151 transcript=Brasy2G424100.1 locus=Brasy2G424100 ID=Brasy2G424100.1.v1.1 annot-version=v1.1 MNPLTQVKRTQVINQKEAALGLSEDASWHAKFKGSAYVFVGGVPYDLTEGDLLAVFAQYGEVVDVNLVRDKATGKSKGFAFVAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVDKYKKKEEEDEEELQKKREERGVCYAFQKGECNRGDACRYSHDEQRNANTGWGSKEDSNPKWEHDKHRDPPNKGEFRGICYAFQKGECSRGDSCRFSHDEQVAVQARGICYAFQKGECNRGASCRFSHDEERNADAGRGSKEDRNARRDQDRHLDPPKSHKNLPSSAADQRFPDRTEEESRSVNREGQSSRSDVYRDRDSRVKHGDRSTKDSGRRHEKSPERSRGERHRSDDRYMQEREERSESKRSRHDRDSGGRYERKGDEEAERYGKSRR* >Brasy2G424100.2.p pacid=40069152 transcript=Brasy2G424100.2 locus=Brasy2G424100 ID=Brasy2G424100.2.v1.1 annot-version=v1.1 MNPLTQVKRTQVINQKEAALGLSEDASWHAKFKGSAYVFVGGVPYDLTEGDLLAVFAQYGEVVDVNLVRDKATGKSKGFAFVAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVDKYKKKEEEDEEELQKKREERGVCYAFQKGECNRGDACRYSHDEQRNANTGWGSKEDSNPKWEHDKHRDPPNKGEFRGICYAFQKGECSRGDSCRFSHDEQVAVQARGICYAFQKGECNRGASCRFSHDEEMLVGVLRRTGMQDGTRTDTLIRQKAIKICPQVPLTKGSLTGLKKSRDR* >Brasy2G074900.1.p pacid=40069153 transcript=Brasy2G074900.1 locus=Brasy2G074900 ID=Brasy2G074900.1.v1.1 annot-version=v1.1 MVVWKDLVDKVLDAAEKCPRTASLIYTMSTGEEREDELAVPDMALFKQEELKDLRDGASLVSEARRPEVLDVDRILKVLDVNCMTEDSPSANLLGNNGVVNCGVGLWVLPSFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFPYFDVLVPVGKRREAARAWGFECRCDRCRFEAEDAILRQELVRSENELVNGEGDMGALVVRLEDKMRKSMVKERRKAFLRASFWRAYSALFDNGRLVRKWGRRVPSESVVAASVADAVGTNENVLRAMLRGANDGNGCGNRLEVEDKVVRIGRATYGKVVKRQAMRALFRLALDGDNNKCL* >Brasy2G006100.1.p pacid=40069154 transcript=Brasy2G006100.1 locus=Brasy2G006100 ID=Brasy2G006100.1.v1.1 annot-version=v1.1 MDQTARLSGDEVAEILRRVPPRWLAASRCVCKAWRAAIDGHGLLRADLLPLSVAGLFFHFNEHLYPEFLSSPSGGPAVSGRLDFLRRANPRFSGDPDKFGHWCVDEAADFYDYIVEHHCNGLLLLEHWVVNPATGWWITLPPSPAKEDPENDVWYYARFLVYDPTVSPDFEVLMVPCLMLRDMDDDAHDKLVTMGLEGLEWPPSPCKMHVFSSRSGRWEERSFVREGGTAGTLEKLRTPVDTRGKEGYIQLDMGRSEKGVYFTWIREGWLRVWILKESCGEVEWILKHENDLKPMVEPYLSDRPVHGHGPWVLEDINYNLFRFPKDENKTIAQEKLEWEQGNGYDGVESEDMVENSYDDYIENHDTDHHDGVESEVMVEDFYLEDNENRDTGHDGVKSEDMVEDIYLEDNEKAIVVENVNSNFFNDGTRGNGDMVEDCCLLDDMEKATVQKKSEWNSNNDNSLDDGDRKAKEYFYWDTNILGFHPFKEIIFLSESFQTGLAYHLNSSEMEVLGNTYPKEYVSFKELANEHESFHSSFVYTPCWIDEFRDSRR* >Brasy2G147800.1.p pacid=40069155 transcript=Brasy2G147800.1 locus=Brasy2G147800 ID=Brasy2G147800.1.v1.1 annot-version=v1.1 MVCCCRRGQRPAAGGLLGSWLLIAVLVSSSFFGSTTVFLAVDAARTSAFMAMAPLPMAMAPSPSGLGGSKRVVPTGANPLHNR* >Brasy2G133300.1.p pacid=40069156 transcript=Brasy2G133300.1 locus=Brasy2G133300 ID=Brasy2G133300.1.v1.1 annot-version=v1.1 MMKGWANLPDGPAGLIAERLLAHDVADYIRFRAACPWWRLCAPDPRGHQGLDRRFHPRRWVMLRERRWEQLAAHHRLRFLNVSTGECIQAVLPELHGHEVLAVTPEGLLLLLRQRKDVRLLNPLTRHLIELPPVTALLPPEHHYSYKYFEGHLAAWGSGIADDDSTVVLCFRDLSMLAVAKPGDQTWTLLEFSKTASPMTPLWRPTSPVMLAGRFYCVSAGAVMVLEINPRRFDTAAKFHMQISRWDSVHLVDNGGDLIMVHRKSLRVVEDQSWITLYRVDLESGTLLPINSLGGGRALFMGRRCSLSVPIEVFPSGSISGDTIYLTSIKDKASDRSCRAYHVPDRSITPAASYNLDGSVAQPHTLVECLALCTTVGYQDD* >Brasy2G133300.2.p pacid=40069157 transcript=Brasy2G133300.2 locus=Brasy2G133300 ID=Brasy2G133300.2.v1.1 annot-version=v1.1 MMKGWANLPDGPAGLIAERLLAHDVADYIRFRAACPWWRLCAPDPRGHQGLDRRFHPRRWVMLRERRWEQLAAHHRLRFLNVSTGECIQAVLPELHGHEVLAVTPEGLLLLLRQRKDVRLLNPLTRHLIELPPVTALLPPEHHYSYKYFEGHLAAWGSGIADDDSTVVLCFRDLSMLAVAKPGDQTWTLLEFSKTASPMTPLWRPTSPVMLAGRFYCVSAGAVMVLEINPRRFDTAAKFHMQISRWDSVHLVDNGGDLIMVHRKSLRVVEDQSWITLYRVDLESGTLLPINSLGGGRALFMGRRCSLSVPIEVFPSGSISGDTIYLTSIKDKASDRSCRAYHVPDRSITPAASYNLDGSVAQPHTLVECLALCTTVGYQDD* >Brasy2G133300.3.p pacid=40069158 transcript=Brasy2G133300.3 locus=Brasy2G133300 ID=Brasy2G133300.3.v1.1 annot-version=v1.1 MMKGWANLPDGPAGLIAERLLAHDVADYIRFRAACPWWRLCAPDPRGHQGLDRRFHPRRWVMLRERRWEQLAAHHRLRFLNVSTGECIQAVLPELHGHEVLAVTPEGLLLLLRQRKDVRLLNPLTRHLIELPPVTALLPPEHHYSYKYFEGHLAAWGSGIADDDSTVVLCFRDLSMLAVAKPGDQTWTLLEFSKTASPMTPLWRPTSPVMLAGRFYCVSAGAVMVLEINPRRFDTAAKFHMQISRWDSVHLVDNGGDLIMVHRKSLRVVEDQSWITLYRVDLESGTLLPINSLGGGRALFMGRRCSLSVPIEVFPSGSISGDTIYLTSIKDKASDRSCRAYHVPDRSITPAASYNLDGSVAQPHTLVECLALCTTVGYQDD* >Brasy2G133300.4.p pacid=40069159 transcript=Brasy2G133300.4 locus=Brasy2G133300 ID=Brasy2G133300.4.v1.1 annot-version=v1.1 MMKGWANLPDGPAGLIAERLLAHDVADYIRFRAACPWWRLCAPDPRGHQGLDRRFHPRRWVMLRERRWEQLAAHHRLRFLNVSTGECIQAVLPELHGHEVLAVTPEGLLLLLRQRKDVRLLNPLTRHLIELPPVTALLPPEHHYSYKYFEGHLAAWGSGIADDDSTVVLCFRDLSMLAVAKPGDQTWTLLEFSKTASPMTPLWRPTSPVMLAGRFYCVSAGAVMVLEINPRRFDTAAKFHMQISRWDSVHLVDNGGDLIMVHRKSLRVVEDQSWITLYRVDLESGTLLPINSLGGGRALFMGRRCSLSVPIEVFPSGSISGDTIYLTSIKDKASDRSCRAYHVPDRSITPAASYNLDGSVAQPHTLVECLALCTTVGYQDD* >Brasy2G141000.1.p pacid=40069160 transcript=Brasy2G141000.1 locus=Brasy2G141000 ID=Brasy2G141000.1.v1.1 annot-version=v1.1 MKTSVEEPLLATGYDKEDRENAVATEAKRLLWLAGPLVSSGILRSALQMVSVMFVGHLGELPLAGASLATSVTSVTGFTFFIGMSGSAMVALTLACVPIALIWACASQILVFLGQDPAIAAEAGAYARWLIPSLVPCVPLVCHIRFLQAQSIVVPVMASSAVTALGHVLVCWALVHKAGMGSKGAALSNAVSYCRDLETPRHRGYRVPPFVVRGHWCDTYCVNMAILAIYVRVSGACKRTWTGFSMEAFKELPRFAELALSSAMMVCTRVSNELGAGHPQAAKRATNIVLCMALSEGLVIAMTMFLLRNYWGYVYSNEEDVISYIARMIPILAISYLIDGLHSFLLGVLTGCGKQKIGARVSLGAFYLAGIPMAVLLAFIFRLNGMGLWLGILCGSMTKLFLLMWITMCINWEKEATKEKEMVLRSSLPVA* >Brasy2G041800.1.p pacid=40069161 transcript=Brasy2G041800.1 locus=Brasy2G041800 ID=Brasy2G041800.1.v1.1 annot-version=v1.1 MDSRAAKLKQQQGMQPLSLPMATSFAAEAAAAKPRAAGLPPTPPFSAGGGSGQQRDVCMEDSSSSAAAAHRRSRSDVPYGYSPSMASGSMAMGRLQPKTEWGQQHLVENNGINGNGNGMGGDDLFNAYMNLEGLDALNAAASSSPDSRGSSVKAESSENEGYGSEEGAVRGNKRSAAAAGAIGEPAAANVARHARSLSMDSLMGRLNFASGAGASAAAAATNGGAGGSVFSLEFGSGEFTPVEMKKIMADERLAEMALADPKRVKRVLANRQSAARSKERKMRYIVELEQKVQMLQTEATTLSAQLTLLQRDSAGVATQNNELRFRLQAMEQQAQLRDVCYNAQGENTTNACQKLNRALNDALTGEVQRLKIATAEIGVGDSCSSSGMVQQNQMFQLQQHQGQPTPRPFYQLQQQQHNGNHEPRE* >Brasy2G041800.2.p pacid=40069162 transcript=Brasy2G041800.2 locus=Brasy2G041800 ID=Brasy2G041800.2.v1.1 annot-version=v1.1 MDSRAAKLKQQQGMQPLSLPMATSFAAEAAAAKPRAAGLPPTPPFSAGGGSGQQRDVCMEDSSSSAAAAHRRSRSDVPYGYSPSMASGSMAMGRLQPKTEWGQQHLVENNGINGNGNGMGGDDLFNAYMNLEGLDALNAAASSSPDSRGSSVKAESSENEGYGSEEGAVRGNKRSAAAAGAIGEPAAANVARHARSLSMDSLMGRLNFASGAGASAAAAATNGGAGGSVFSLEFGSGEFTPVEMKKIMADERLAEMALADPKRVKRVLANRQSAARSKERKMRYIVELEQKVQMLQTEATTLSAQLTLLQRDSAGVATQNNELRFRLQAMEQQAQLRDALNDALTGEVQRLKIATAEIGVGDSCSSSGMVQQNQMFQLQQHQGQPTPRPFYQLQQQQHNGNHEPRE* >Brasy2G041800.3.p pacid=40069163 transcript=Brasy2G041800.3 locus=Brasy2G041800 ID=Brasy2G041800.3.v1.1 annot-version=v1.1 MDSRAAKLKQQQGMQPLSLPMATSFAAEAAAAKPRAAGLPPTPPFSAGGGSGQQRDVCMEDSSSSAAAAHRRSRSDVPYGYSPSMASGSMAMGRLQPKTEWGQQHLVENNGINGNGNGMGGDDLFNAYMNLEGLDALNAAASSSPDSRGSSVKAESSENEGYGSEEGAVRGNKRSAAAAGAIGEPAAANVARHARSLSMDSLMGRLNFASGAGASAAAAATNGGAGGSVFSLEFGSGEFTPVEMKKIMADERLAEMALADPKRVKRVLANRQSAARSKERKMRYIVELEQKVQMLQTEATTLSAQLTLLQRDSAGVATQNNELRFRLQAMEQQAQLRDGAFVCPLILSLSLSYHLVVFAR* >Brasy2G300300.1.p pacid=40069164 transcript=Brasy2G300300.1 locus=Brasy2G300300 ID=Brasy2G300300.1.v1.1 annot-version=v1.1 MATKSHKKKKVSSPNPSIQAKAPSADQKPNPPKPAEERDTASASAKKPKKKPNPPKLAEERDTASASAKKPKKQKVTNEIDDIFQAAKSDKKRKSQQEEEADGGKKPKKGRAEGASKKSKKESRGKGREPENLEGEEKRPRRRTNDGLTIYSADELGFGKADAGGTALCPFECDCCF* >Brasy2G477200.1.p pacid=40069165 transcript=Brasy2G477200.1 locus=Brasy2G477200 ID=Brasy2G477200.1.v1.1 annot-version=v1.1 MFGSRVQDEVEMQRRTTNRIFPDERQDQYKLPYQAARADRFGANRIDGKNLEKHKLLSEGNKSWHHRILDPGSSMLLTWNRVYLMACLFALFIDPFFYYLPLVRKETSESDSISCVTKDQRLSVTITVLRSLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVVDLKKIQQRYFRTDFCIDLLAAVPLPQITVGLVLPAINRSDYNVQTTTFALVIVIQYVLRMYLIYPLSNQIIKAAGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSIERQITCWNQQCLAESSNTSCNLGFISCDNAGSRSYPGWKSKTQIFYYCDPTANSSVLRLNYGMFSNALTKGAVSTSFLEKYFFCLWWGLLQLSSSGNPLQTSAFIVENVFAIVIGAVSLILFAQLIGKMQTYLQSVSKRLEEWRLRQRDMEEWMRHHQLPSYLQERVQRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFAEMDDQLLDAICERLVSFLCPEGTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSILLRPGDFAGEELLTWALLPRTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSSWWSEDHPAADKPKQEGTSSGTRTTAEGAIAQMHKLASASRRFRADDTMIRRLQKPDEPDFSADHFD* >Brasy2G117500.1.p pacid=40069166 transcript=Brasy2G117500.1 locus=Brasy2G117500 ID=Brasy2G117500.1.v1.1 annot-version=v1.1 MARSMADAAPTFLLVVLLFLLATATCSTAAGSSPSIASLEAAALVNLSAALADPSGYLSAHWTPVTPLCSWPRLSCDAAGSRVVSLDLSALNLSGPIPATALSSLTHLQSLNLSNNVFNSTFPERLIASLPNIRVLDLYNNNLTGPLPAALPNLTNLVHLHLGGNFFSGSIPGSYGQWSRIRYLALSGNELTGAVPPELGNLTTLRELYLGYFNSFTGGIPPELGRLRELVRLDMASCGISGKIPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFVSLKNMTLLNLFRNRLAGEIPQFVGDLPSLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNKLTGVLPTELCAGKRLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFSLQNLTQIELHDNLLSGELRLEAGEVSPSIGELSLYNNRLSGPVPAGIGGLSGLQKLLIAGNKLSGELPPAIGKLQQLSKVDLSGNRISGEVPPAIAGCRLLTFLDLSGNKLSGSIPTALASLRILNYLNLSNNALDGEIPASIAGMQSLTAVDFSYNRLSGEVPATGQFAYFNSTSFAGNPGLCGAFLSPCRSSHGVATSSAFGSLSSTSKLLLVLGLLALSIVFAAAAVLKARSLKRSAEARAWRITAFQRLDFAVDDVLDCLKDENVIGKGGSGVVYKGAMPGGAVVAVKRLSAGLGRSGSAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLHGSNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVQWVRMVTGSTKEGVMKIADPRLSTVPIQELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTTSMSLPRPDLEGKEENQPQEQQQGEPHDSPAQQDLLSI* >Brasy2G023100.1.p pacid=40069167 transcript=Brasy2G023100.1 locus=Brasy2G023100 ID=Brasy2G023100.1.v1.1 annot-version=v1.1 MPLTYNFSGFPRWRRHGDGERRKARGIVVTMTPSPSCGRETNRRPWLPTFSPASSPSSLYMVRDRNFIHALLRLDHAAGGVTSSGERQAAGSLTGSPCTTPASARSSGISSSMRTAPSQ* >Brasy2G228200.1.p pacid=40069168 transcript=Brasy2G228200.1 locus=Brasy2G228200 ID=Brasy2G228200.1.v1.1 annot-version=v1.1 MDAAPGAAGCGGRWCVVTGGRGFAARHLVLMLLRSGEWRVRVADLSPAIALDRDEEEGILGAALREGQAVYASADLRDKAQVAHAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVIRANGRDGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCADKTLSSEDGAKRAAGKAYFITNVEPIKFWEFMSLILEGLGYQRPSIKIPVSVMMPVAHVVELTYKTFCKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYSHLQAQNQRSISKASILLGNGNIAKTLLWEDTKQTMTVLLLLAVIYYQLFTCGYTIITAMAKLFSLTALFLFIHGMLPANVYGLLFGHKIEKLEPSNFHISQMEAHHVACSVRSSWNSLVGMLKSLCRGNDWPLFFKVVFFLLIVSILSSMSSQAAFKIGIPLIFIGFKAYEKFEDTIDSLVGDACSFILQFGPTQNSSRPKQM* >Brasy2G228200.2.p pacid=40069169 transcript=Brasy2G228200.2 locus=Brasy2G228200 ID=Brasy2G228200.2.v1.1 annot-version=v1.1 MDAAPGAAGCGGRWCVVTGGRGFAARHLVLMLLRSGEWRVRVADLSPAIALDRDEEEGILGAALREGQAVYASADLRDKAQVAHAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVIRANGRDGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCADKTLSSEDGAKRAAGKAYFITNVEPIKFWEFMSLILEGLGYQRPSIKIPVSVMMPVAHVVELTYKTFCKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYSHLQAQNQRSISKASILLGNGNIAKTLLWEDTKQTMTVLLLLAVIYYQLFTCGYTIITAMAKLFSLTALFLFIHGMLPANVFGHKIEKLEPSNFHISQMEAHHVACSVRSSWNSLVGMLKSLCRGNDWPLFFKVVFFLLIVSILSSMSSQAAFKIGIPLIFIGFKAYEKFEDTIDSLVGDACSFILQFGPTQNSSRPKQM* >Brasy2G488700.1.p pacid=40069170 transcript=Brasy2G488700.1 locus=Brasy2G488700 ID=Brasy2G488700.1.v1.1 annot-version=v1.1 MRGGHSRGRGFGGAGAHNNNNNNSGNGRYRNPCLTMHQPWASLLVHGIKRVEGRSWPSPVTGRLWIHAASKVPDPDTVAAMEDFYREIHAVDGVAHIEFPQHYPVSRLLGCVEVVGCVRSEELVCWEDVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVKFPLPDPRNPFSLKPGSLNFDSSRSAPVKTPSVSAAIAGARAAATQYSRKDHSAAPSREIETREKSRENHGDGSSGSGSLPSVAQNSPSYSQIQNPESIVQSSQSYSQNQNASSIVQNIPSYSPNQNQSSIVQNSPSYSQSQIPSSIVQSSQSYSQNQKASSVVQNIPSYSPNQNQSSIVHNSPSYLPNQIPLSNVQDRPSYMHNYSPSFIVLNSPTYLQNQIPSSNVHNSPSYSQNHNLFSTVHNSPTYLHSQNPSSNVQTSPSCSQNHNPSFIVQHSPSFLQNHNTSFSVQNSPSLLQNHSPSFIVHNSPSFLQNNNPPFTAQNSPSFLQNQNSEPRRSPRLQGGASNRLVAVALRELKQLSFSERGEQSVPKS* >Brasy2G488700.2.p pacid=40069171 transcript=Brasy2G488700.2 locus=Brasy2G488700 ID=Brasy2G488700.2.v1.1 annot-version=v1.1 MRGGHSRGRGFGGAGAHNNNNNNSGNGRYRNPCLTMHQPWASLLVHGIKRVEGRSWPSPVTGRLWIHAASKVPDPDTVAAMEDFYREIHAVDGVAHIEFPQHYPVSRLLGCVEVVGCVRSEELVCWEDVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVKFPLPDPRNPFSLKPGSLNFDSSRSAPVKTPSVSAAIAGARAAATQYSRKDHSAAPSREIETREKSRENHGDGSSGSGSLPSVAQNSPSYSQIQNPESIVQSSQSYSQNQNASSIVQNIPSYSPNQNQSSIVQNSPSYSQSQIPSSIVQSSQSYSQNQKASSVVQNIPSYSPNQNQSSIVHNSPSYLPNQIPLSNVQDRPSYMHNYSPSFIVLNSPTYLQNQIPSSNVHNSPSYSQNHNLFSTVHNSPTYLHSQNPSSNVQTSPSCSQNHNPSFIVQHSPSFLQNHNTSFSVQNSPSLLQNHSPSFIVHNSPSFLQNNNPPFTAQNSPSFLQNQNSEPRRSPRLQGGASNRWH* >Brasy2G488700.3.p pacid=40069172 transcript=Brasy2G488700.3 locus=Brasy2G488700 ID=Brasy2G488700.3.v1.1 annot-version=v1.1 MGVAARARHQARRGPLLAVPGHRPPLDPRRVQGPRPGHRRRHGGLLQGDPRRRRGRPHRIPPALPRLAPARSWWFRLRCGVTRVFTIWREGVASQIYEGAVRGLSPVQGPLPVKFPLPDPRNPFSLKPGSLNFDSSRSAPVKTPSVSAAIAGARAAATQYSRKDHSAAPSREIETREKSRENHGDGSSGSGSLPSVAQNSPSYSQIQNPESIVQSSQSYSQNQNASSIVQNIPSYSPNQNQSSIVQNSPSYSQSQIPSSIVQSSQSYSQNQKASSVVQNIPSYSPNQNQSSIVHNSPSYLPNQIPLSNVQDRPSYMHNYSPSFIVLNSPTYLQNQIPSSNVHNSPSYSQNHNLFSTVHNSPTYLHSQNPSSNVQTSPSCSQNHNPSFIVQHSPSFLQNHNTSFSVQNSPSLLQNHSPSFIVHNSPSFLQNNNPPFTAQNSPSFLQNQNSEPRRSPRLQGGASNRLVAVALRELKQLSFSERGEQSVPKS* >Brasy2G488700.4.p pacid=40069173 transcript=Brasy2G488700.4 locus=Brasy2G488700 ID=Brasy2G488700.4.v1.1 annot-version=v1.1 MRGYQGVYNLERRIYEGAVRGLSPVQGPLPVKFPLPDPRNPFSLKPGSLNFDSSRSAPVKTPSVSAAIAGARAAATQYSRKDHSAAPSREIETREKSRENHGDGSSGSGSLPSVAQNSPSYSQIQNPESIVQSSQSYSQNQNASSIVQNIPSYSPNQNQSSIVQNSPSYSQSQIPSSIVQSSQSYSQNQKASSVVQNIPSYSPNQNQSSIVHNSPSYLPNQIPLSNVQDRPSYMHNYSPSFIVLNSPTYLQNQIPSSNVHNSPSYSQNHNLFSTVHNSPTYLHSQNPSSNVQTSPSCSQNHNPSFIVQHSPSFLQNHNTSFSVQNSPSLLQNHSPSFIVHNSPSFLQNNNPPFTAQNSPSFLQNQNSEPRRSPRLQGGASNRLVAVALRELKQLSFSERGEQSVPKS* >Brasy2G488700.5.p pacid=40069174 transcript=Brasy2G488700.5 locus=Brasy2G488700 ID=Brasy2G488700.5.v1.1 annot-version=v1.1 MRGYQGVYNLERRIYEGAVRGLSPVQGPLPVKFPLPDPRNPFSLKPGSLNFDSSRSAPVKTPSVSAAIAGARAAATQYSRKDHSAAPSREIETREKSRENHGDGSSGSGSLPSVAQNSPSYSQIQNPESIVQSSQSYSQNQNASSIVQNIPSYSPNQNQSSIVQNSPSYSQSQIPSSIVQSSQSYSQNQKASSVVQNIPSYSPNQNQSSIVHNSPSYLPNQIPLSNVQDRPSYMHNYSPSFIVLNSPTYLQNQIPSSNVHNSPSYSQNHNLFSTVHNSPTYLHSQNPSSNVQTSPSCSQNHNPSFIVQHSPSFLQNHNTSFSVQNSPSLLQNHSPSFIVHNSPSFLQNNNPPFTAQNSPSFLQNQNSEPRRSPRLQGGASNRWH* >Brasy2G461400.1.p pacid=40069175 transcript=Brasy2G461400.1 locus=Brasy2G461400 ID=Brasy2G461400.1.v1.1 annot-version=v1.1 MLPLAGRSDARDSPLFVLPGGHTTHQRQDHPTHPCALPPHPPKDESRRAGASVHCPTSTVRSSLVFLASASNRPARRRRLLFQSPRQHPAVKPLRRRALPRPSVLRRAWTPVILSRSEGPDESRCFGGGLRGWAGQGAAAADRRFRDLPPIDHGLPPAEKPPPPAARPCCCRRCSCAAAAEAGCGRSRGRRARQRLRDAGLSGPANFLNRSRVTTPSSQQQSSVIISCC* >Brasy2G461400.2.p pacid=40069176 transcript=Brasy2G461400.2 locus=Brasy2G461400 ID=Brasy2G461400.2.v1.1 annot-version=v1.1 MLPLAGRSDARDSPLFVLPGGHTTHQRQDHPTHPCALPPHPPKDESRRAGASVHCPTSTVRSSLVFLASASNRPARRRRLLFQSPRQHPAVKPLRRRALPRPSVLRRAWTPVILSRSEGPDESRCFGGGLRGWAGQGAAAADRRFRDLPPIDHGLPPAEKPPPPAARPCCCRRCSCAAAAEAGCGRSRGRRARQRLRDAGLSGPANFLNRSRVTTPSSQQQSSVIISCC* >Brasy2G461400.3.p pacid=40069177 transcript=Brasy2G461400.3 locus=Brasy2G461400 ID=Brasy2G461400.3.v1.1 annot-version=v1.1 MLPLAGRSDARDSPLFVLPGGHTTHQRQDHPTHPCALPPHPPKDESRRAGASVHCPTSTVRSSLVFLASASNRPARRRRLLFQSPRQHPAVKPLRRRALPRPSVLRRAWTPVILSRSEGPDESRCFGGGLRGWAGQGAAAADRRFRDLPPIDHGLPPAEKPPPPAARPCCCRRCSCAAAAEAGCGRSRGRRARQRLRDAGLSGPANFLNRSRVTTPSSQQQSSVIISCC* >Brasy2G106900.1.p pacid=40069178 transcript=Brasy2G106900.1 locus=Brasy2G106900 ID=Brasy2G106900.1.v1.1 annot-version=v1.1 MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVAKDAFNTFFSETGSGKHVPRALFVDLEPTVIDEVKTGLYRQLFHPEQLISHNEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNAVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNSAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGEDDEDQGDEY* >Brasy2G115300.1.p pacid=40069179 transcript=Brasy2G115300.1 locus=Brasy2G115300 ID=Brasy2G115300.1.v1.1 annot-version=v1.1 MERPAPVRKSHTNTADLLAWPEGAQQLADGATPPPNRRPHQPSEAIHKVVFGGQVTEEEAESLNKRKQCSAPKWKEMTGSGIFAAGGEDEEDESGNASATPIRTASKNYQAISTISHISFAVEESVSPKKPTSIAEVAKQRELSGTLQSEDDSKLKKQISNAKSKELSGHGIFAPPEDLRPRNSENGSTSQTPGQNAQVSSFKFGEADTDSVVKTAKKIPTKKFNDLTGNDIFKAADDAAAAAAAEKQLSEAKLKEMSGSNIFADGKAPSRDFLGGIRKPPGGESSIALV* >Brasy2G403500.1.p pacid=40069180 transcript=Brasy2G403500.1 locus=Brasy2G403500 ID=Brasy2G403500.1.v1.1 annot-version=v1.1 MSSRRPVVEEPTESPAPPATRPSFDGPPPATSVYLNIPPSVYQAVQAQNFKFYLDLDHLTPDPELEYQERRLAYGYITPAEAPYRADPGPFVRRVFRTLALDLPQTFELHQPSCFSDIEVRFRIPEDREAAMSRQLFVLDGVTVKLVREGETPNVRKLKDDYLVHVALRDYPIEQRWEKKIKDNCCSFGRVREIHPDCFAAPDLTTVHVVVQLEHPSEIPHELLIEYYDGSGSIVPVEIVRVWHRDHSYDADGQYVRIFPAPAPAA* >Brasy2G181300.1.p pacid=40069181 transcript=Brasy2G181300.1 locus=Brasy2G181300 ID=Brasy2G181300.1.v1.1 annot-version=v1.1 MPNNGAIIGASTILVVAVVAAVCVVSFKGGSDDKSDQELSTSVKSIKSFCEPVDYKEACESTLEKTAGNATSTTELAKAIFKATSERIEKAVRESSVLNELKHDQRTAGALNNCKELLDYAMDDLKTTFEQLGGFEMTNFKHALDDLKTWLSSALTYQETCVDGFENTTTDAAAKMKKALNASQELTENILSIVDEFGDTLANLELPNLSRRRLLGVPGWMSDAKRRLLQAKPSEPEFEPDITVASDGSGDCKTINEALGKVPLKSPDTFVMYVKAGTYKEYVSVARNVTNLVMIGDGAGKTIITGDKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGAKNHQAVALRVQSDQSVFYECRFDGYQDTLYTHTSRQYYRDCTVTGTIDFIFGNAQVVFQNCLIQVRRCMDNQQNIITAQGRKEKHSAGGTVILNCTIEPHPEFKEHAGRLRTFLGRPWKEYSRTLYIQSEIGGFIDPQGWLPWLGDFGLNTCYYAEVDNHGPGADMSKRAKWRGVKTVTYQQAQHKYTIEKFIQGQTWIPKYGVPYIPGLLPQEQSDRVH* >Brasy2G345500.1.p pacid=40069182 transcript=Brasy2G345500.1 locus=Brasy2G345500 ID=Brasy2G345500.1.v1.1 annot-version=v1.1 MVSGSGVCARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYADTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKTAEEKLGTQLEILAPIKY* >Brasy2G188400.1.p pacid=40069183 transcript=Brasy2G188400.1 locus=Brasy2G188400 ID=Brasy2G188400.1.v1.1 annot-version=v1.1 MFVPRTPCATRFSSRAAPCPAALPKPPRAPRRALPALRCPATPVRAAGCRPALRYPRRGAAPAGPVRAAPCCPAPTAAGRRPTLAAPAAPGRGCTRAGPAAPVLRCPCLGAGARSPPRSPSLPSLGMAIWDR* >Brasy2G445800.1.p pacid=40069184 transcript=Brasy2G445800.1 locus=Brasy2G445800 ID=Brasy2G445800.1.v1.1 annot-version=v1.1 MAARRSDGSIAAVAAGAARGGGWGSSRSRLGKLACGGACSRLRRLGQPVEETGQRALAAVAPGAARRGGGASDSPRRRPDGARSRWWRQGQPAAVAAAPGAARGGAVGAARARGGGAWCSRRRRRRGGGGGNPRRRLRPGQPAEEAGRWGGVCSRASALGGVCSAAREEKNSKASHKETNKRTWLLKRLESRSAATWSLFLTVCCHRPC* >Brasy2G407800.1.p pacid=40069185 transcript=Brasy2G407800.1 locus=Brasy2G407800 ID=Brasy2G407800.1.v1.1 annot-version=v1.1 MGYGIREHMSYLAMTPEQKKRHRKGMGVVITLYAGIWILIGGIWMAARGNSTDCIRFLQWSLIPIGLTLLAIFFVGFYGVRNDEGCLQGLYIFSLFFAVLAFVAFMLFTFVAIGVTMEDEPVREYRLSDYGGWLRGRLADTQYWATVSACLRDRHACEGMKHLVRDPDTSLLVPQNADLSPIQSGCCKPPSSCAFTYVNGTMWTTTQSAPAVVTNDVDCNRWHDDQQMLCFQCNSCKAGVLEDIKKAWSKLAIPYIPFVMFPLVFVYPFEVMDYME* >Brasy2G140000.1.p pacid=40069186 transcript=Brasy2G140000.1 locus=Brasy2G140000 ID=Brasy2G140000.1.v1.1 annot-version=v1.1 MVTSLALTGVSDAPLHSPPRHRRHRRKVHIYNAGALHLLLDQRHPHRHLAPAPRRASSSRLSSAASAGAGAGCAVGCSHCDGAVTERHEDSVKWVKVKEGQTLQQALQHKDYIIPAIPVFYVVSRKSTFYSEF* >Brasy2G150400.1.p pacid=40069187 transcript=Brasy2G150400.1 locus=Brasy2G150400 ID=Brasy2G150400.1.v1.1 annot-version=v1.1 MDVTMEDVVGDLEISGCSSSTATSSPSSSSLVDDDMGLYAWNALSPVADWGPFCSDDGGQDLHGLIESMLSDDALVGVDPASLFHQAGPCYSNGSDPSSTTTTNPGTPVYDDPAQAQAECSPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKDMVSGTSDAAASNMERLAAHFTDALQGLLDGSHPLSSAGKQQAAMAASSLQHHYNASDVLTAFQMLQDMSPYMKFGHFTANQAILEAVAGDRRVHVVDYDLAEGIQWASLMQAMTSRPDGVSPPHLRITAVTRGGGGGARAVQEAGRRLAAFAGSIGQPFSFGHCRLDSDERFRPATVRMVKGETLVANCILHQAAATTTVRRPTGSVASFLTGMAALGAKVVTVVEEEGESSEKESDEEEEAAAGGFVGRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILGPNIAGAVSRAYRGGMDGGRGGWGEWMRGSGFKAVPLSCFNHSQARLLLGLFNDGYTVEETRPNKIVLGWKARRLLSASVWAPPPLSVPSSPADAVFQPMGMAMAPASGFGRTEFDYIDSFLVEPAYAIV* >Brasy2G011400.1.p pacid=40069188 transcript=Brasy2G011400.1 locus=Brasy2G011400 ID=Brasy2G011400.1.v1.1 annot-version=v1.1 MRRFRVRPPWQLPAALRFPPSRRLHASSTNSGDSAARQGKFLLPGASAAALLMLGVLHAKRMYDDKKLVERKEKGIEPEFSPDLKASFLRLLPLRSMSRLWGSLMEVEVPVFMRPVIYKAWARAFHSNLQESALPLEEYPSLQAFFIRSLKEGSRPIDPDPNCLVSPVDGKVLRLGQLRGPGTMIEQVKGFSYPVSSLLGASSSLHDTTEKDFSEEQTEENVSEGSNAKSWWRVSVASPKLRDRTRLSPKRGIFYCVLYLHPGDYHRVHSPVDWSISKRRHFSGNLFPTNERAVRTIRNLYVENERVVLEGQWKEGFVAVAAVGATNVGSIKLFIEPELRTNSSPGSRILQSQPPDERFYGPEGTGVMVKKGQEIAGFNMGSTVVVVFEAPLSKKAMEGGMATSAFGFCVKAGDRIRVGEAIGRWS* >Brasy2G011400.2.p pacid=40069189 transcript=Brasy2G011400.2 locus=Brasy2G011400 ID=Brasy2G011400.2.v1.1 annot-version=v1.1 MSRLWGSLMEVEVPVFMRPVIYKAWARAFHSNLQESALPLEEYPSLQAFFIRSLKEGSRPIDPDPNCLVSPVDGKVLRLGQLRGPGTMIEQVKGFSYPVSSLLGASSSLHDTTEKDFSEEQTEENVSEGSNAKSWWRVSVASPKLRDRTRLSPKRGIFYCVLYLHPGDYHRVHSPVDWSISKRRHFSGNLFPTNERAVRTIRNLYVENERVVLEGQWKEGFVAVAAVGATNVGSIKLFIEPELRTNSSPGSRILQSQPPDERFYGPEGTGVMVKKGQEIAGFNMGSTVVVVFEAPLSKKAMEGGMATSAFGFCVKAGDRIRVGEAIGRWS* >Brasy2G011400.3.p pacid=40069190 transcript=Brasy2G011400.3 locus=Brasy2G011400 ID=Brasy2G011400.3.v1.1 annot-version=v1.1 MIEQVKGFSYPVSSLLGASSSLHDTTEKDFSEEQTEENVSEGSNAKSWWRVSVASPKLRDRTRLSPKRGIFYCVLYLHPGDYHRVHSPVDWSISKRRHFSGNLFPTNERAVRTIRNLYVENERVVLEGQWKEGFVAVAAVGATNVGSIKLFIEPELRTNSSPGSRILQSQPPDERFYGPEGTGVMVKKGQEIAGFNMGSTVVVVFEAPLSKKAMEGGMATSAFGFCVKAGDRIRVGEAIGRWS* >Brasy2G301100.1.p pacid=40069191 transcript=Brasy2G301100.1 locus=Brasy2G301100 ID=Brasy2G301100.1.v1.1 annot-version=v1.1 MCTKAREASKGAQRLRKRWWEGAATCAGSRLPYLQYREMRRTEEGAAAYAGRRVASAACNTERRGVRREGAGAGENHKREGKGVGVDHRHRRRRRAGGYQRRRRAGGRRRCSDAPLRRDGKGG* >Brasy2G189800.1.p pacid=40069192 transcript=Brasy2G189800.1 locus=Brasy2G189800 ID=Brasy2G189800.1.v1.1 annot-version=v1.1 MARPLPLLLRILLLLVAVAAPAWAAARREAFRRDPGHAQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKLEQFLKTSFPLHRPSCLETGEPIDIEHHIMYNVIAAGQPELISLEKSLKDAMVSAGTARESEYGREFPLFEVEATVVEPIFERLYSFIFDVEPGHSATEMDRPVPVAIFVVNFDKVRMDPRNKEVDLDSFKYGKIGGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLSNIIFPRGLAAPSASSTQDIFIGHLGSLISTTIEHVIAPDVRFETVDMTLRLLVPIIVLQNHNRYNILQAGHNNSIDVQAIEREVKKMVHTGQEVIVISGSHALHEHEKLAVAVSKATRSHSIHETKTDGRFHVRTKPYLDGAILKEEMERSADVLSAGLLQVADPSLSSRFFLKQHWMDEQDNSQDSIKHRPIWESYMPRNKKEKRGAGKKKHGSLYRTYGTRVIPVFVLSLADVDAELMMEEESLVWTSKDVVIVLEHNNKMIPLSYVSETTRQFAYPSLAQRHILAGLASAVGGLSAPYERASHIHERPIVNWLWSAGCHPFGPFSNSSQISQILQDVALRTTIYARVDAALRKIRGTSESVQSFASAHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHDLVERLEEYLDRLEGQLVDLSSLLYDHRLVDASQNSSDILQSTMFTEQYVERVLSAERDKMKCCTIEYSHPKQSSQTFVYGGILLAGFLVYSLVIFFSSPVR* >Brasy2G189800.2.p pacid=40069193 transcript=Brasy2G189800.2 locus=Brasy2G189800 ID=Brasy2G189800.2.v1.1 annot-version=v1.1 MARPLPLLLRILLLLVAVAAPAWAAARREAFRRDPGHAQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKLEQFLKTSFPLHRPSCLETGEPIDIEHHIMYNVIAAGQPELISLEKSLKDAMVSAGTARESEYGREFPLFEVEATVVEPIFERLYSFIFDVEPGHSATEMDRPVPVAIFVVNFDKVRMDPRNKEVDLDSFKYGKIGGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFETVDMTLRLLVPIIVLQNHNRYNILQAGHNNSIDVQAIEREVKKMVHTGQEVIVISGSHALHEHEKLAVAVSKATRSHSIHETKTDGRFHVRTKPYLDGAILKEEMERSADVLSAGLLQVADPSLSSRFFLKQHWMDEQDNSQDSIKHRPIWESYMPRNKKEKRGAGKKKHGSLYRTYGTRVIPVFVLSLADVDAELMMEEESLVWTSKDVVIVLEHNNKMIPLSYVSETTRQFAYPSLAQRHILAGLASAVGGLSAPYERASHIHERPIVNWLWSAGCHPFGPFSNSSQISQILQDVALRTTIYARVDAALRKIRGTSESVQSFASAHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHDLVERLEEYLDRLEGQLVDLSSLLYDHRLVDASQNSSDILQSTMFTEQYVERVLSAERDKMKCCTIEYSHPKQSSQTFVYGGILLAGFLVYSLVIFFSSPVR* >Brasy2G048100.1.p pacid=40069194 transcript=Brasy2G048100.1 locus=Brasy2G048100 ID=Brasy2G048100.1.v1.1 annot-version=v1.1 MGCCRSSLRDGTHPEKLPEKAAGAQPNWPSFSVNPHQAAAPSSEAAAGGRGGEVAAFKEFSLAELSAATGGFARENIVSESGEKAPNFVYKGRLRSTRRAIAVKKFTKMAWPDSKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVSYHIAEALDYCCNEGRPLYHDLNAYRVLFDKNGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLIDLLSGKRIPPSHALDMIRGNNIQLLMDSHLEGNYSTQEATALVDLASQCLQYEPRDRPDTKKLVTVLEPLETKSEVPSYEMLGIPKHEEEAPPPQHPPSAMAEACSRMDLTAIQQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDLAFRDKDFTAAIECYTKFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAKDMLKEASELEEKKQNNSRGP* >Brasy2G221700.1.p pacid=40069195 transcript=Brasy2G221700.1 locus=Brasy2G221700 ID=Brasy2G221700.1.v1.1 annot-version=v1.1 MATLSFSSPPSFAGDNSGALTSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDRSARPSHTGGGCRARGATSHAAAASAAASATAAAEVGAIPNAKLAQPSAAALAERTLLGSDASLSVHAGERLGRRIATDAITTPVVNTSAYWFSSSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSTLVPAGGHIVTTTDLYRKTRIYMETELPKRGIMMTVIKPADMNALQDALDKNNVSLFFTETPTNPFLRCIDIELVSNMCHSKGALLCIDSTFASPINQKALLLGADIVVHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNASYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVNGDFDSTRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSVGVEDFDDLKNDIVQALDKI* >Brasy2G330400.1.p pacid=40069196 transcript=Brasy2G330400.1 locus=Brasy2G330400 ID=Brasy2G330400.1.v1.1 annot-version=v1.1 MNILNQPIHPAAAKEIGGGVQLMPASVRFDGATTAPAGRSPRWQAQTLRRASSYVGADEAAAAVKSASSSTAGAKQQGPMVAPFQPVTLNFLRSLLDRESMTSIAADEDGGGEGGAASPPMHALRVAVSSAVALDARQTELIARKMRRITGFVNLTIENVVDPSLIAGFVVCYGLGDSHAIDLSVKGRLAALKSRVDSFDRDATALS* >Brasy2G118000.1.p pacid=40069197 transcript=Brasy2G118000.1 locus=Brasy2G118000 ID=Brasy2G118000.1.v1.1 annot-version=v1.1 MPEAEKDLLEAAVGRGTARRRLAAARHARQGRQAARGHSRARRLRSRALSGSWGNRGAHGRVLEVGEATEKRIGSGGAPAGVQEKGARSGPSGVRGSTRVAVE* >Brasy2G260500.1.p pacid=40069198 transcript=Brasy2G260500.1 locus=Brasy2G260500 ID=Brasy2G260500.1.v1.1 annot-version=v1.1 MILTMASSKINSKKKRDEGCIINSLPGDIIERIFFRLPASSLLRCTGVCKQWLNFIRDPQFVKSHLQHAHHCALLFFPPGMIKGEPYPADAILVDEAWSRSTCAVPVIGPDDFLFGSCNGLLGLYTKTSIKIANLATGECLHLEKPMKNLKGDHFSFYSFGFHPVTKEYKITHFLGDCVEVLLNNKDTFSVIQVYTLGDEKWKDIRIPEALRLKCVKNSGVVNVDGTLYWLTEDMAASWQHAVMSFDLKGESFAPIQLPDILLDSAHHGPRQYLIRELDGKVCVATAHTSNYMTRMLDSQLQIWILDNKVDQTWSLKYSIQDTPDYLPGPYFFHGDKIMTPRRDSNLYSYELLGENLEIKLGNSVMLLYDFSPRKKYSVQSYICVKSLVSLDVYKKAGIARRPKQREDWKLKKWEAWNRGLDVVEDIRNKVVKVEQDISEMIEELDSVKQMLQHKPREVFARVSMEINEVLPHKPVGAVQVRSLRRLNWVEQKRDKKELVARTNEIGETIKAIKQAQHNISSILQGYMLDQGISMSDVSSQ* >Brasy2G161400.1.p pacid=40069199 transcript=Brasy2G161400.1 locus=Brasy2G161400 ID=Brasy2G161400.1.v1.1 annot-version=v1.1 MASKGGSNNKGEGPAIGIDLGTTYSCVGVWQHDRVEIVANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKLWPFKVVPGAGDKPMIVVTYKGEEKKFSAEEISSMVLTKMREIAEAFLSTTINNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFRRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKTQIHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEQVRQKVEARNALENYAYNMRNTVKDDKIASKLPAEDKKKIEDSIEDAIRWLDGNQLAEAEEFEDKMKELENICNPIISKMYQGGPAGMDEDVPSGGAGAGTGGGSGGAGPKIEEVD* >Brasy2G454100.1.p pacid=40069200 transcript=Brasy2G454100.1 locus=Brasy2G454100 ID=Brasy2G454100.1.v1.1 annot-version=v1.1 MATRAALLILPLRLAPLHPLPRPPSSSNHRNRKLPPLRPLLAAPLARAGTRLGAAGDRAGPLSADHTAVYNGVYGPWSVDDADVREVLLYRSGLVTAAAAFLVAASGAFLPEGNPAGDAVRQSADLLYAAGAAGLGLSLVLIHIYVTPIKRFLQALWLAGVVGSVGTYAVAARPLDEGLVRYVLEHPAAMWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPTLLLGHLSGLMDDGAKLGLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQKLEAAPTEQTLE* >Brasy2G001700.1.p pacid=40069201 transcript=Brasy2G001700.1 locus=Brasy2G001700 ID=Brasy2G001700.1.v1.1 annot-version=v1.1 MRRCSVLLFAVVLGLLDGGSCRCGLIGLMRPARSAAASSSCRPRHLLPLRAAAATSLLPPPPPSQPVSWSRRLLPSLPPPPRPSASWSRRCSKKVSGRSLALLPPHPPPPNPVRKAPPRGAAAAIHGCRRGGEDECGAAGGSRRRTADRA* >Brasy2G468100.1.p pacid=40069202 transcript=Brasy2G468100.1 locus=Brasy2G468100 ID=Brasy2G468100.1.v1.1 annot-version=v1.1 MEAPDEEAGLGLPEDERLLEVTIISAQGLKPPSGLRRRLQAYAVAWVDAAHRLQTQPDCSGGVDPAWHERFLFRVHEAALAEDSRAAVTVEIYAAPNGAWHIGGDSLIGSARFLLGDNCLLSRPVGSPAMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAASHALSCCPAVSLSGLPPAVSISSLSTAPVSGRVLRVLNRAHPTPPPSPKVLTPKKPPAAVKPNNKGAENQQVTVKPINKHGDAASDQDGEEEETGYMGGVMFCGPCVLPFPRKIHTSPSDENLQAFAGIFSGGVGIARRSPSPRH* >Brasy2G114300.1.p pacid=40069203 transcript=Brasy2G114300.1 locus=Brasy2G114300 ID=Brasy2G114300.1.v1.1 annot-version=v1.1 MAASTRPGEKASSFAVACSLLSRYVRQNGAAAAELSLGFNKVSGEAETQKEAAMKSSLPVVEVEESGRKKETMELFPQSAGLGGVQDAATPDAIAREQEKRQLTIFYGGKVLVFNDFPADMAKNLIQLASKGNPVVQNTTVPTPVIDSNKAETVVQAPASSLPGVQTDAPKPARPNAADLPIARKASLHRFLEKRKDRLHANAPYQASPSDATPVKKEPENQTWLGLGPNAAAKPEL* >Brasy2G114300.2.p pacid=40069204 transcript=Brasy2G114300.2 locus=Brasy2G114300 ID=Brasy2G114300.2.v1.1 annot-version=v1.1 MAASTRPGEKASSFAVACSLLSRYVRQNGAAAAELSLGFNKGEAETQKEAAMKSSLPVVEVEESGRKKETMELFPQSAGLGGVQDAATPDAIAREQEKRQLTIFYGGKVLVFNDFPADMAKNLIQLASKGNPVVQNTTVPTPVIDSNKAETVVQAPASSLPGVQTDAPKPARPNAADLPIARKASLHRFLEKRKDRLHANAPYQASPSDATPVKKEPENQTWLGLGPNAAAKPEL* >Brasy2G216200.1.p pacid=40069205 transcript=Brasy2G216200.1 locus=Brasy2G216200 ID=Brasy2G216200.1.v1.1 annot-version=v1.1 MMTISSTRSPTRAARADNERAGGQRAVQKLDPAAAARAATYHASLACAAVPNEPRLPARQGRLLIRPSPSLAASCWCVPLAAAAGCCRPEPAPHAATTAAAIPAIRRGSSAAAPPIVGRCSPMAAPLPPPRAPPSGAATTRSRAAWAAASSPLRAAMLLPCGRALPSPRRPLLRAAPATAPSSSAGRCPDRSPEPPVGRCPAAATSPGGGRCSTAPACYPSQP* >Brasy2G285900.1.p pacid=40069206 transcript=Brasy2G285900.1 locus=Brasy2G285900 ID=Brasy2G285900.1.v1.1 annot-version=v1.1 MAQPPPRAELEREVWLACAGPYARLPEIGSRVYYFLRGHADQCLGGGHPGVPVVTKDTAFPCTVSAVELFYHAATDDPYAIITLLPNDGQGQAQAQPSDHQANPTDSKSAAYFVKQLPYEEAFVVPKACAESLHLSLNHNKEKQTLDLLDVHRRELQFGLSSDGRLTTGWDQYIRDKELNHMDAVVFIRSANGQLIIGWRRGSLCRYHASEQMQDVMTASKAARLAGGGGPFSVRYYPRQGWAFVVPREEVDAANARNIDWKPGMKVRMVCPVDGHELESSRGQRREGFYRGTVAAVKNKSATWCKLEINWQTSWRSPSPEIQVPTWRVGLQRDPSPTNKRKVSQSHDFDADHPSSKSKVPRLMPGATTAQYMAGSPIAAGVQGGRQGSIPDALSSSSTPTSETLFGEEIAPTSGVPTQSIASSSTTRSIKLFSVKMAPGVAPVDATPKDDNPAKNPPAKDDDQNPDESA* >Brasy2G471300.1.p pacid=40069207 transcript=Brasy2G471300.1 locus=Brasy2G471300 ID=Brasy2G471300.1.v1.1 annot-version=v1.1 MASSSCPSLQLSFRSTHRKGLLDCFMASAISATCALLMTDVLPKEMYTTDLPGCASSHER* >Brasy2G485800.1.p pacid=40069208 transcript=Brasy2G485800.1 locus=Brasy2G485800 ID=Brasy2G485800.1.v1.1 annot-version=v1.1 MEYVVAARAPMSTTPMSRRTRHPHHHQHQFVDLHPAPPPAPDPHPPQKEPNVQFQTTAGIGGNGTQQKIRRADLENEVLELKQQLSNEQTVHSILERALHPTDSSSARSVVLNIPAFIPSKAKELLAELVLVEEEIARLESQIVAMKQDRLLAQQERRQPSSSTMATAAAVQQEHRPSSMAATAAAPEIKSMFFISQAMSMNAEYLNRHIAAADATKSPKDHHHATASISPKFNANIFGGLPPRNSLEKQPNHRSTDADQKPSKPHQEHSPTATSTKREEQSSSSKIQPNKLSERIVKCLVCIFIRMLRSSRAAEMEKSGSLARSGKNSSLQGSFRIDTGLNVAAGVAKEKDRRGQQDHYGIFAIQDSVVRDIGPYKNLVRFTSSSFDPRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNTYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQMFNALVIENFILRQPSSVKEEFWKCHVDVEEQQVRGLYGLHSSEPNILFALCCGIRSSPALRIYRAERVIMELEKAKLDYLQASLVVASSSRKVMIPGLLHSNMHDFGKDMESLLRWVCEQLPTSWSLRKSMVDCLRGQSNLKVEDVVEVIPCDYEFQYLLPM* >Brasy2G485800.3.p pacid=40069209 transcript=Brasy2G485800.3 locus=Brasy2G485800 ID=Brasy2G485800.3.v1.1 annot-version=v1.1 MEYVVAARAPMSTTPMSRRTRHPHHHQHQFVDLHPAPPPAPDPHPPQFQTTAGIGGNGTQQKIRRADLENEVLELKQQLSNEQTVHSILERALHPTDSSSARSVVLNIPAFIPSKAKELLAELVLVEEEIARLESQIVAMKQDRLLAQQERRQPSSSTMATAAAVQQEHRPSSMAATAAAPEIKSMFFISQAMSMNAEYLNRHIAAADATKSPKDHHHATASISPKFNANIFGGLPPRNSLEKQPNHRSTDADQKPSKPHQEHSPTATSTKREEQSSSSKIQPNKLSERIVKCLVCIFIRMLRSSRAAEMEKSGSLARSGKNSSLQGSFRIDTGLNVAAGVAKEKDRRGQQDHYGIFAIQDSVVRDIGPYKNLVRFTSSSFDPRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNTYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQMFNALVIENFILRQPSSVKEEFWKCHVDVEEQQVRGLYGLHSSEPNILFALCCGIRSSPALRIYRAERVIMELEKAKLDYLQASLVVASSSRKVMIPGLLHSNMHDFGKDMESLLRWVCEQLPTSWSLRKSMVDCLRGQSNLKVEDVVEVIPCDYEFQYLLPM* >Brasy2G485800.2.p pacid=40069210 transcript=Brasy2G485800.2 locus=Brasy2G485800 ID=Brasy2G485800.2.v1.1 annot-version=v1.1 MEYVVAARAPMSTTPMSRRTRHPHHHQHQFVDLHPAPPPAPDPHPPQTTAGIGGNGTQQKIRRADLENEVLELKQQLSNEQTVHSILERALHPTDSSSARSVVLNIPAFIPSKAKELLAELVLVEEEIARLESQIVAMKQDRLLAQQERRQPSSSTMATAAAVQQEHRPSSMAATAAAPEIKSMFFISQAMSMNAEYLNRHIAAADATKSPKDHHHATASISPKFNANIFGGLPPRNSLEKQPNHRSTDADQKPSKPHQEHSPTATSTKREEQSSSSKIQPNKLSERIVKCLVCIFIRMLRSSRAAEMEKSGSLARSGKNSSLQGSFRIDTGLNVAAGVAKEKDRRGQQDHYGIFAIQDSVVRDIGPYKNLVRFTSSSFDPRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNTYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQMFNALVIENFILRQPSSVKEEFWKCHVDVEEQQVRGLYGLHSSEPNILFALCCGIRSSPALRIYRAERVIMELEKAKLDYLQASLVVASSSRKVMIPGLLHSNMHDFGKDMESLLRWVCEQLPTSWSLRKSMVDCLRGQSNLKVEDVVEVIPCDYEFQYLLPM* >Brasy2G485800.4.p pacid=40069211 transcript=Brasy2G485800.4 locus=Brasy2G485800 ID=Brasy2G485800.4.v1.1 annot-version=v1.1 MEYVVAARAPMSTTPMSRRTRHPHHHQHQFVDLHPAPPPAPDPHPPQVLELKQQLSNEQTVHSILERALHPTDSSSARSVVLNIPAFIPSKAKELLAELVLVEEEIARLESQIVAMKQDRLLAQQERRQPSSSTMATAAAVQQEHRPSSMAATAAAPEIKSMFFISQAMSMNAEYLNRHIAAADATKSPKDHHHATASISPKFNANIFGGLPPRNSLEKQPNHRSTDADQKPSKPHQEHSPTATSTKREEQSSSSKIQPNKLSERIVKCLVCIFIRMLRSSRAAEMEKSGSLARSGKNSSLQGSFRIDTGLNVAAGVAKEKDRRGQQDHYGIFAIQDSVVRDIGPYKNLVRFTSSSFDPRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNTYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQMFNALVIENFILRQPSSVKEEFWKCHVDVEEQQVRGLYGLHSSEPNILFALCCGIRSSPALRIYRAERVIMELEKAKLDYLQASLVVASSSRKVMIPGLLHSNMHDFGKDMESLLRWVCEQLPTSWSLRKSMVDCLRGQSNLKVEDVVEVIPCDYEFQYLLPM* >Brasy2G485800.5.p pacid=40069212 transcript=Brasy2G485800.5 locus=Brasy2G485800 ID=Brasy2G485800.5.v1.1 annot-version=v1.1 MKQDRLLAQQERRQPSSSTMATAAAVQQEHRPSSMAATAAAPEIKSMFFISQAMSMNAEYLNRHIAAADATKSPKDHHHATASISPKFNANIFGGLPPRNSLEKQPNHRSTDADQKPSKPHQEHSPTATSTKREEQSSSSKIQPNKLSERIVKCLVCIFIRMLRSSRAAEMEKSGSLARSGKNSSLQGSFRIDTGLNVAAGVAKEKDRRGQQDHYGIFAIQDSVVRDIGPYKNLVRFTSSSFDPRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNTYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQMFNALVIENFILRQPSSVKEEFWKCHVDVEEQQVRGLYGLHSSEPNILFALCCGIRSSPALRIYRAERVIMELEKAKLDYLQASLVVASSSRKVMIPGLLHSNMHDFGKDMESLLRWVCEQLPTSWSLRKSMVDCLRGQSNLKVEDVVEVIPCDYEFQYLLPM* >Brasy2G239200.1.p pacid=40069213 transcript=Brasy2G239200.1 locus=Brasy2G239200 ID=Brasy2G239200.1.v1.1 annot-version=v1.1 MGALNEVKQKKPSTLTHLELCTYEVLKHGRYDIRLKLFGTSSFQEYIFANLGVSSWYLVLSSYYYLLDQWDVRKNLLLARVGASYEHESGCLCIWTP* >Brasy2G206900.1.p pacid=40069214 transcript=Brasy2G206900.1 locus=Brasy2G206900 ID=Brasy2G206900.1.v1.1 annot-version=v1.1 MEESDERLRALRAFDDTMAGVKGLVDAGVTAVPSIFHHPPDYSEYPHAPRFTVPVIDLAAGAVPRAEVVSSVRAAAETVGFFQVVNHGVPREAMSGILASVRRFHEGEAAGKRAYYNRDVAGRRVRYQSNVDLFRSPAPANWRDTLFLQELIAPPPTGPAPEEEIPPACRGVAAEYAALVRALGGALLGLLSEALGLPRGHLEEQEDAMCLEALSLGCHYYPPCPEPHLTLGTAAHSDPCFLTVLLQDTIGGLQALVDLDDAAGVRSSAWVDVPPVDGALVVNIGDFLQLMSNDRFRSVEHRVLASAVGPRVSAACFFRTHAAAASARVLRPIVLGPGGGGAWYRSATVEELLRQHYRVKTGLHGRSALQHFRL* >Brasy2G258700.1.p pacid=40069215 transcript=Brasy2G258700.1 locus=Brasy2G258700 ID=Brasy2G258700.1.v1.1 annot-version=v1.1 MTVLIYKTTLVMIPQYQEKNDFPDLDGVVAQGMFEKTAKSTSFGESESHSQYDSFESDDHHSTPGPDFGLSLGSCLSGVQYEKVIALMQRIQPETAVFVAIMRLCDVQLPSPLLFIPEEHATAHLPHESASITLQRPEKSKKWHPRFYMTKDRSEYMLTGHWLDFVCDNKVQEGDICIFVPAKGWTRSKFTVHLLHGETTCSRGGAGGLQRGGSNNAKTNRKRASPAQKEYADGSLKKHS* >Brasy2G258700.2.p pacid=40069216 transcript=Brasy2G258700.2 locus=Brasy2G258700 ID=Brasy2G258700.2.v1.1 annot-version=v1.1 MTVLIYKTTLVMIPQYQEKNDFPDLDGVVAQGMFEKTAKSTSFGESESHSQYDSFESDDHHSTPGPDFGLSLGSCLSGVQYEKVIALMQRIQPETAVFVAIMRLCDVQLPSPLLRPEKSKKWHPRFYMTKDRSEYMLTGHWLDFVCDNKVQEGDICIFVPAKGWTRSKFTVHLLHGETTCSRGGAGGLQRGGSNNAKTNRKRASPAQKEYADGSLKKHS* >Brasy2G258700.3.p pacid=40069217 transcript=Brasy2G258700.3 locus=Brasy2G258700 ID=Brasy2G258700.3.v1.1 annot-version=v1.1 MTVLIYKTTLVMIPQYQEKNDFPDLDGVVAQGMFEKTAKSTSFGESDDHHSTPGPDFGLSLGSCLSGVQYEKVIALMQRIQPETAVFVAIMRLCDVQLPSPLLRPEKSKKWHPRFYMTKDRSEYMLTGHWLDFVCDNKVQEGDICIFVPAKGWTRSKFTVHLLHGETTCSRGGAGGLQRGGSNNAKTNRKRASPAQKEYADGSLKKHS* >Brasy2G405900.1.p pacid=40069218 transcript=Brasy2G405900.1 locus=Brasy2G405900 ID=Brasy2G405900.1.v1.1 annot-version=v1.1 MSAAAAVSSSSAPRRLEGKVALVTGGASGIGEAIVRLFRQHGAKVCIADVQDEAGQQVRDSLGDDAGTDVLFVHCDVTVEEDVSRAVDAAAQKFGTLDIMVNNAGITGDKVTDIRNLDFAEVRKVFDINVHGMLLGMKHAARVMIPGKKGSIVSLASVASVMGGMGPHAYTASKHAVVGLTKSVALELGKHGIRVNCVSPYAVPTALSMPHLPQGEHKGDAVRDFLAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLVVDGGFTSVNPNLKAFED* >Brasy2G405900.2.p pacid=40069219 transcript=Brasy2G405900.2 locus=Brasy2G405900 ID=Brasy2G405900.2.v1.1 annot-version=v1.1 MSAAAAVSSSSAPRLEGKVALVTGGASGIGEAIVRLFRQHGAKVCIADVQDEAGQQVRDSLGDDAGTDVLFVHCDVTVEEDVSRAVDAAAQKFGTLDIMVNNAGITGDKVTDIRNLDFAEVRKVFDINVHGMLLGMKHAARVMIPGKKGSIVSLASVASVMGGMGPHAYTASKHAVVGLTKSVALELGKHGIRVNCVSPYAVPTALSMPHLPQGEHKGDAVRDFLAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLVVDGGFTSVNPNLKAFED* >Brasy2G417400.1.p pacid=40069220 transcript=Brasy2G417400.1 locus=Brasy2G417400 ID=Brasy2G417400.1.v1.1 annot-version=v1.1 MAVLDRWIRRRQRWWEATTDPPTTTFNQLRSRTHGNTLTAKVFSVLNALDKGKAASPLLLLIRVDDCLVGGHTSTVIFTARNQQVSQALPSSSSTRSKLDVHQRQKLASS* >Brasy2G188600.1.p pacid=40069221 transcript=Brasy2G188600.1 locus=Brasy2G188600 ID=Brasy2G188600.1.v1.1 annot-version=v1.1 MATTTASSSSLTAPLLGPKANPNPGPRSLPLLRNRRCARPVVAAAGGGGGVGSYGAAQRRGIWSIRDGLLMPRSPYFPIESAGQDRGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANVIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSGGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLPASS* >Brasy2G281800.1.p pacid=40069222 transcript=Brasy2G281800.1 locus=Brasy2G281800 ID=Brasy2G281800.1.v1.1 annot-version=v1.1 MYPRFRPARIYTHTHINEPFTLHNHRIATRSPKSPVRSRIIGSMARRQQSSLSSVLSLALAVMAAICAASSTVTAQQQLQPPATVPVPVPSCPPVQASLSPCVSYFIGNSSSPSDACCSQMQAMFQSQAPCLCAAVAAVPAQLGSVIGQVLPTACNLPPNACSAVTGTSGSAPAGGSTAPSAGTPTDAAAPVTGPAGATPSGGGVKSVPGLVDSTAECRRISAAAVLAMAASFLAAYVF* >Brasy2G281800.2.p pacid=40069223 transcript=Brasy2G281800.2 locus=Brasy2G281800 ID=Brasy2G281800.2.v1.1 annot-version=v1.1 MYPRFRPARIYTHTHINEPFTLHNHRIATRSPKSPVRSRIIGSMARRQQSSLSSVLSLALAVMAAICAASSTVTAQQQLQPPATVPVPVPSCPPVQASLSPCVSYFIGNSSSPSDACCSQMQAMFQSQAPCLCAAVAAVPAQLGSVIGQVLPTACNLPPNACSGTSGSAPAGGSTAPSAGTPTDAAAPVTGPAGATPSGGGVKSVPGLVDSTAECRRISAAAVLAMAASFLAAYVF* >Brasy2G258800.1.p pacid=40069224 transcript=Brasy2G258800.1 locus=Brasy2G258800 ID=Brasy2G258800.1.v1.1 annot-version=v1.1 MGCPFVLCPGLAHGHFFPRTSFSSSRTGFPSFPNPPVAFPVRSSPTPSSPMDGKRRLNPTVPRRPPSPPRARAAMDDAPDLAQKGLQFRKHCTRVWRPRHRLAPCPQPSAHVAGSSTAPTSSSQAPPPRRPRPHRLLHRADPDLAVAGPPRAPPPRPRHHRHSWSSTALTWPSPAIVDAGTQCRTPPPTRCLVFVPNKLSW* >Brasy2G473800.1.p pacid=40069225 transcript=Brasy2G473800.1 locus=Brasy2G473800 ID=Brasy2G473800.1.v1.1 annot-version=v1.1 MFRRLLPLRRRFSTSAASNAAPTLYSGGSNPVSILSWGRGASGQLGGGKEERRLYPSPVAHLLLPDPYPLVPPTPGRLPAAAETSSGLEVGISCGLFHSALLVDGGTWVWGKGDGGRLGLGDESSAFVPRANPNLSDLRVLALGGIHSAALTASGDVFTWGYGGFGALGHYVYHRELLPKKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTIALTSEGQLWSWGANSNFELGRGSNSSDWRPQLVPSLKNVRVIQVACGGYHSLALTDEGEVLSWGHGGHGQLGHPAIQNHRVPLAIKALSGERIVYIACGGSTSAAISDKGDLYMWGNARDCQLGVPDLPEVQPLPIKVNFLADGDEDPSPPHVISVAIGASHAMCLLSRQKIEK* >Brasy2G272600.1.p pacid=40069226 transcript=Brasy2G272600.1 locus=Brasy2G272600 ID=Brasy2G272600.1.v1.1 annot-version=v1.1 MDSSSNQWLTELENVELVENLDLVDEISMQQLAESLAEELIPRDDQRHHKSLMPSLAAGISFTGGFNNSTESIPTGANSVFSFSDGSSVSSLNFSTPLEPPTTGGSYYCPSPSSEKRLSGRRTSLSIQEHVASERRRREKMHHQFATLASIIPDIAKTDKVSLLGSTIQYVHKLEEKLKALKEHQSTASTAESAPMFDVHCCIGNTGDGEEDGSENDESSSVRPKVEVNVRGTTVLLQIACREKKGVLIMVLTELEKHGLSIMNTSVVPFGDDDLSSLNIIITAEIENGSCTTAELLKNLNLALRNF* >Brasy2G272600.2.p pacid=40069227 transcript=Brasy2G272600.2 locus=Brasy2G272600 ID=Brasy2G272600.2.v1.1 annot-version=v1.1 MDSSSNQWLTELENVELVENLDLVDEISMQQLAESLAEELIPRDDQRHHKSLMPSLAAGISFTGGFNNSTESIPTGANSVFSFSDGSSVSSLNFSTPLEPPTTGGSYYCPSPSSEKRLSGRRTSLSIQEHVASERRRREKMHHQFATLASIIPDIAKTDKVSLLGSTIQYVHKLEEKLKALKEHQSTASTAESAPMFDVHCCIGNTGDGEEDGSENDESSSVRPKVEVNVRGTTVLLQIACREKKGVLIMVLTELEKHGLSIMNTSVVPFGDDDLSSLNIIITAEIENGSCTTAELLKNLNLALRNF* >Brasy2G272600.4.p pacid=40069228 transcript=Brasy2G272600.4 locus=Brasy2G272600 ID=Brasy2G272600.4.v1.1 annot-version=v1.1 MDSSSNQWLTELENVELVENLDLVDEISMQQLAESLAEELIPRDDQRHHKSLMPSLAAGISFTGGFNNSTESIPTGANSVFSFSDGSSVSSLNFSTPLEPPTTGGSYYCPSPSSEKRLSGRRTSLSIQEHVASERRRREKMHHQFATLASIIPDIAKTDKVSLLGSTIQYVHKLEEKLKALKEHQSTASTAESAPMFDVHCCIGNTGDGEEDGSENDESSSVRPKVEVNVRGTTVLLQIACREKKGVLIMVLTELEKHGLSIMNTSVVPFGDDDLSSLNIIITAEIENGSCTTAELLKNLNLALRNF* >Brasy2G272600.3.p pacid=40069229 transcript=Brasy2G272600.3 locus=Brasy2G272600 ID=Brasy2G272600.3.v1.1 annot-version=v1.1 MDSSSNQWLTELENVELVENLDLVDEISMQQLAESLAEELIPRDDQRHHKSLMPSLAAGISFTGGFNNSTESIPTGANSVFSFSDGSSVSSLNFSTPLEPPTTGGSYYCPSPSSEKRLSGRRTSLSIQEHVASERRRREKMHHQFATLASIIPDIAKTDKVSLLGSTIQYVHKLEEKLKALKEHQSTASTAESAPMFDVHCCIGNTGDGEEDGSENDESSSVRPKVEVNVRGTTVLLQIACREKKGVLIMVLTELEKHGLSIMNTSVVPFGDDDLSSLNIIITAEIENGSCTTAELLKNLNLALRNF* >Brasy2G138200.1.p pacid=40069230 transcript=Brasy2G138200.1 locus=Brasy2G138200 ID=Brasy2G138200.1.v1.1 annot-version=v1.1 MEVREMAAAAAAAAASSAGGGGGGFGRLPPPNPNLPYREDCWSEGETAALVDAWGSRYVELNRGNLRQKQWQEVANAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERVRSAPSGWSFFDELDRLVGPTLNSAANKRPSPSLAPHLALPVHPSSAAAARKYPSPSSSPSPPPPMALPLPSYRRGAPLPAAAFIQQEAAAAAAAAVSDSEDSGDHPGGNNNNNNHDNLQRSPSRSVSSARSGGSNKRRFRRETGGDGDSGVSELARAIEAFAEMYERVESAKQKHSLEMERERIDFLKQLEVKRMENFVDAHVKLARVKRTKSSGAAASNGTGGVELISSVAALPFLSNSNYL* >Brasy2G417600.1.p pacid=40069231 transcript=Brasy2G417600.1 locus=Brasy2G417600 ID=Brasy2G417600.1.v1.1 annot-version=v1.1 MVSLQSALLPEAKRPPCLSFVDTSVVASTATSKKRKQRDAEGDLEEEEECGIELNFDAASLPLEWQRCLDIKSGQIHYYNTRTHKRTSKDPRRQSAAPAPAVLAEEDEAANYCGPPGLDLDLNLAFEPRRRTTQPVVAREKKAAIVIKPAASEAKPAAAADAEAGMEMVAVVCMRCHILVMMSRACPACPNCKFLHPMMTTSGRGGSSSPTPASPEPAPLKLGLQLLCCRD* >Brasy2G389400.1.p pacid=40069232 transcript=Brasy2G389400.1 locus=Brasy2G389400 ID=Brasy2G389400.1.v1.1 annot-version=v1.1 MTTMSSAAALLVLVVVFVASPLLVSSQLAPTMAPTMAPSMAPTAAQTATNNSRLEKAYVALQALKHAITDDPKKLTENWCGPDVCSYRGVYCATAPDDPCARTVASVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFCGALPDSLRSLHLLHEIDVSNNQLSGTFPMQLLCLPNVQYVDIRFNNLCGEVPKAIFEKKIDALFINNNHFEFTLPENFSNSTASVIVLANLPRLGGCLPSSIGDMAGTLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNSIAGKLPDTIGNMRALEQLNIAHNMLAGDIPESICALPHLKNFTYSHNYFCGEPHRCLQVPRIDDRQNCIAGRPDQRSGEQCIEFMHRPPVHCDTHGCFAAPSPPPPVYAPPPPVY* >Brasy2G200500.1.p pacid=40069233 transcript=Brasy2G200500.1 locus=Brasy2G200500 ID=Brasy2G200500.1.v1.1 annot-version=v1.1 MRSPVRSGRRASASAAAAAAILFGVLILMSLVAERPSPPSIAAAAIGGRRALWRGGAGEWRRTLEDFGADDPSLQDSKRRVPNGPDPIHNRGAGESGRSPGRA* >Brasy2G200500.2.p pacid=40069234 transcript=Brasy2G200500.2 locus=Brasy2G200500 ID=Brasy2G200500.2.v1.1 annot-version=v1.1 MRSPVRSGRRASASAAAAAAILFGVLILMSLVAERPSPPSIAAAAIGGRRALWRGGAGEWRRTLEDFGADDPSLQDSKRRVPNGPDPIHNRGAGESGRSPGRA* >Brasy2G287800.1.p pacid=40069235 transcript=Brasy2G287800.1 locus=Brasy2G287800 ID=Brasy2G287800.1.v1.1 annot-version=v1.1 MEVKIESSKNVKPLYEHGTAPPPGEWSPLSVFDKVTYAEHVAPIYAFRPPSPPNSDLELGLAKALAVYREMAGRLGDGPDGLGRSVHLNDAGARFVEASVDGPLAASLPLLVRPSPALKRLHPRSIDDDGRPAEELVLVQLTRFSCGSLVLGFAGHHQIADGQAAGNFLVAWGLATRRVSPVSPHPVCDRATRFAPRDPPLVAFPHRETEYKPPPPQAKKRREANGNGDDDEEFGAAAAHEKVKVHKMHLSKEFVARLKARAASSSRGYTTFQSVVAHLWRAITAARGLGAGVATKVRISVSGRTRMPPPPVPRDGYYFGNLVLWAFPRADAGDLVARPLGHAAELVRAAVAAVDDAYFRSFVDFASSGVVEAEGLVPTADIGQTVLCPDLELDTWLGINFCDLDFGGGCPFYFMPTYFPMEGSLFLAPSFLGDGGMEAYVSLFDNHLEEFKKICYKIA* >Brasy2G181700.1.p pacid=40069236 transcript=Brasy2G181700.1 locus=Brasy2G181700 ID=Brasy2G181700.1.v1.1 annot-version=v1.1 METLGLANPPSPSPNPMPRPSRRPGRPSLAAPRHPSSPILCSLLCAIHPAASCALCRRRPRHRGGPRAAAPAGGLRSERGRLCVSSSSYAHQARVTSPAPPRLRPLPATLPPTRPRNKRLSSWPAPASSRHRLGVVSVSTQQRRPPPTRAWCCERSARMGSCHMRSGCSSPPRSHLTKTPEWRSSTSASGAAPRGTGFAHATFGPRLGNAMLSMLVLFGESWHAWSVFVKMPDRDVSRGRHVECSRDYVFHVW* >Brasy2G092700.1.p pacid=40069237 transcript=Brasy2G092700.1 locus=Brasy2G092700 ID=Brasy2G092700.1.v1.1 annot-version=v1.1 MAPKMVGAFLLVLLTLSASNAQVLPTPCCRFDCCDGKPECCDPGYVATPPIAAAAPGPAVKVGPAELGGVTRKGSAGN* >Brasy2G062400.1.p pacid=40069238 transcript=Brasy2G062400.1 locus=Brasy2G062400 ID=Brasy2G062400.1.v1.1 annot-version=v1.1 MRGKKPLKELKLSVPAQETSVDKFLTASGTFKDGELRLNQRGLRLISEEENGDEHQSTNMKVEDVQLSMDDLEMIQVIGKGSGGVVQLVQHKWVGTFYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVSCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLAGSIGQRDTFVGTYNYMAPERISGSSYDYKSDVWSLGLVILECAIGRFPYTPSEGEGWLSFYELLEAIVDQPPPGAPADQFSPEFCSFISACIQKDPAERMSASELLNHAFIKKFEGKDLDLRILVESLEPPMNVPE* >Brasy2G062400.2.p pacid=40069239 transcript=Brasy2G062400.2 locus=Brasy2G062400 ID=Brasy2G062400.2.v1.1 annot-version=v1.1 MRGKKPLKELKLSVPAQETSVDKFLTASGTFKDGELRLNQRGLRLISEEENGDEHQSTNMKVEDVQLSMDDLEMIQVIGKGSGGVVQLVQHKWVGTFYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVSCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLAGSIGQRDTFVGTYNYMAPERISGSSYDYKSDVWSLGLVILECAIGRFPYTPSEGEGWLSFYELLEAIVDQPPPGAPADQFSPEFCSFISA* >Brasy2G181900.1.p pacid=40069240 transcript=Brasy2G181900.1 locus=Brasy2G181900 ID=Brasy2G181900.1.v1.1 annot-version=v1.1 MMTIQMKFAVKRNWMGDPCAPISFAWDGLNCTYTPDGPPRITALNLSSSGLVGEIDTSFGQLTLLQRLDLSHNNLSGSIPYVLGQVPSLTFLDLSSNDLSGPIPMNLLQKSQDGFLTLRINNNPNLCGSPPCNQNSKKKNKEKFILQIVIPVIAAVALLLVALLVLVILPRRKKSPDVPRSANPFTNWRFKYKELKLITNNFDTLIGRGGFGPVYFGRLENGTPVAVKMRSETSSQGNTEFFAEAQHLARVHHRNLVSLIGCCKDKKHLSLVYEYMDGGNLEDRLGGSEHLNWLQRLKIALDSAYGLENLHKSCCPPLIHRDVKTGNILLTANLEAKLSDFGLTRAFSSETRTHLTTQPAGTVGYLDPEYYATSHLSEKSDVYSFGVVLLVLITGQPAIITISDSERNNITLWVQDRLSEGDIESVTDPRIRGDCDINSVWKVAELALRCTEKVGRDRPTMEEVVEVIREGLQLETLSRTMRCSSVGTGSSAFNDSGSVSALETELIGETSA* >Brasy2G427200.1.p pacid=40069241 transcript=Brasy2G427200.1 locus=Brasy2G427200 ID=Brasy2G427200.1.v1.1 annot-version=v1.1 MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKIVNIGGASAPSQLLATLTGHYGPVWRVGWAHPKYGSILASCGYDGRVIVWKEGATGQWSQAHVFNNHKSSVNSIAWAPYELGLCLACGSSDGSISVMSMRPDGGWDTATVEWAHPVGVTAVSWAPATALGSMVGSDQLVHKLVSGGFDCVVKVWEFVNGGWKLESALVSDMHAECVRDVSWAPVLGLAKSTIASASQDGKVVIWTKGKGGDKWEGKMMRDLEAPVWRVSWSLTGNILSVAAGEGAITLWKEASDGQWEQLWTKASGEPQEQATEEVIAAQ* >Brasy2G109000.1.p pacid=40069242 transcript=Brasy2G109000.1 locus=Brasy2G109000 ID=Brasy2G109000.1.v1.1 annot-version=v1.1 MTAAARGLQSVSRAAFSWQPTGRAQQTLAAAVSRSGVGLHSGARATATLLPARAGEGRYFVVEREETRVAAEVGNAEAQSPLCTTLRNGGGAARVRTVEHLLSAMEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKLQIGEHQMAKQITGGMFCTKITSLVMETSLSKIFASTACSLIFLNSIF* >Brasy2G109000.2.p pacid=40069243 transcript=Brasy2G109000.2 locus=Brasy2G109000 ID=Brasy2G109000.2.v1.1 annot-version=v1.1 MTAAARGLQSVSRAAFSWQPTGRAQQTLAAAVSRSGVGLHSGARATATLLPARAGEGRYFVVEREETRVAAEVGNAEAQSPLCTTLRNGGGAARVRTVEHLLSAMEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKAGHALHTNFLRHLSGSITADQEKLA* >Brasy2G109000.3.p pacid=40069244 transcript=Brasy2G109000.3 locus=Brasy2G109000 ID=Brasy2G109000.3.v1.1 annot-version=v1.1 MTAAARGLQSVSRAAFSWQPTGRAQQTLAAAVSRSGVGLHSGARATATLLPARAGEGRYFVVEREETRVAAEVGNAEAQSPLCTTLRNGGGAARVRTVEHLLSAMEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKLQIGEHQMAKQITGGMFCTKITSLVMETSLSKIFASTACSLIFLNSIF* >Brasy2G109000.4.p pacid=40069245 transcript=Brasy2G109000.4 locus=Brasy2G109000 ID=Brasy2G109000.4.v1.1 annot-version=v1.1 MTAAARGLQSVSRAAFSWQPTGRAQQTLAAAVSRSGVGLHSGARATATLLPARAGEGRYFVVEREETRVAAEVGNAEAQSPLCTTLRNGGGAARVRTVEHLLSAMEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKAGHALHTNFLRHLSGSITADQEKLA* >Brasy2G109000.5.p pacid=40069246 transcript=Brasy2G109000.5 locus=Brasy2G109000 ID=Brasy2G109000.5.v1.1 annot-version=v1.1 MEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKLQIGEHQMAKQITGGMFCTKITSLVMETSLSKIFASTACSLIFLNSIF* >Brasy2G109000.8.p pacid=40069247 transcript=Brasy2G109000.8 locus=Brasy2G109000 ID=Brasy2G109000.8.v1.1 annot-version=v1.1 MEALGVDNCRVEVSGGDEVPLLDGSAQEWVEAIRSAGLCVAEDTCGQKLEKMAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKAGHALHTNFLRHLSGSITADQEKLA* >Brasy2G109000.6.p pacid=40069248 transcript=Brasy2G109000.6 locus=Brasy2G109000 ID=Brasy2G109000.6.v1.1 annot-version=v1.1 MAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKLQIGEHQMAKQITGGMFCTKITSLVMETSLSKIFASTACSLIFLNSIF* >Brasy2G109000.7.p pacid=40069249 transcript=Brasy2G109000.7 locus=Brasy2G109000 ID=Brasy2G109000.7.v1.1 annot-version=v1.1 MAPKIDEPVYLRKDDCFVFAFPSSQIHITYGIDFPKAPAIGCQWFTTFLDANIYSSKIAPARTFCIFEEVEKMRSAGLIKGGSLESAMVCSMTRGWLNPPLRFEDEPCRHKVLDLIGDFSLLAQNGNQGFPIAHVVAYKLQIGEHQMAKQITGGMFCTKITSLVMETSLSKIFASTACSLIFLNSIF* >Brasy2G404500.1.p pacid=40069250 transcript=Brasy2G404500.1 locus=Brasy2G404500 ID=Brasy2G404500.1.v1.1 annot-version=v1.1 MGNCCGAPSSSSQGGGKNRRKQKANPFTVAYNRGAATPARPGLVVLRDPTGRDLEARYVLGGELGRGEFGITYLCTEAATGARLACKSISKRKLRTPVDVEDVRREVEIMRHMPPHPNIVSLSAAYEDEDDVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRNGVIHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPRVSEPAKDLVRRMLDPNPIMRLTAAQVLEHPWLHDSKKNPDIPLGDTVRARLQQFSAMNKLKKKALRVIAEHLSLEEVADIKKMFDGMDVNKNGKLTFEEFKAGLRKLGNKMHDSDLQMLMDAADLDKNGTLDYGEFVTVSIHVRKIGNDEHIQKAFSYFDRNDSGYIEIEELREALTDEFEGPADEDIINGIIHDVDTDKDGKISYDEFSAMMKAGTDWRKASRQYSRQRFSNLSLKLHKDGSITDDRQ* >Brasy2G404500.2.p pacid=40069251 transcript=Brasy2G404500.2 locus=Brasy2G404500 ID=Brasy2G404500.2.v1.1 annot-version=v1.1 MGNCCGAPSSSSQGGGKNRRKQKANPFTVAYNRGAATPARPGLVVLRDPTGRDLEARYVLGGELGRGEFGITYLCTEAATGARLACKSISKRKLRTPVDVEDVRREVEIMRHMPPHPNIVSLSAAYEDEDDVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRNGVIHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPRVSEPAKDLVRRMLDPNPIMRLTAAQVLEHPWLHDSKKNPDIPLGDTVRARLQQFSAMNKLKKKALRVIAEHLSLEEVADIKKMFDGMDVNKNGKLTFEEFKAGLRKLGNKMHDSDLQMLMDAADLDKNGTLDYGEFVTVSIHVRKIGNDEHIQKAFSYFDRNDSGYIEIEELREALTDEFEGPADEDIINGIIHDVDTDKDGKISYDEFSAMMKAGTDWRKASRQYSRQRFSNLSLKLHKDGSITDDRQ* >Brasy2G406100.1.p pacid=40069252 transcript=Brasy2G406100.1 locus=Brasy2G406100 ID=Brasy2G406100.1.v1.1 annot-version=v1.1 MAMATALSTSLPHLPPRHRLPSSHPASVSLPSRGVRRRETPRLAAVAAASEVLDSTNGAVPSPSSGVDTGRQYGREYFPLAAVVGQDAIKTALLLGAIDREVGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSISNADPNIPEEWEDDLADQVQYDADGNVKSEIVKAPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPLSFDDRVAAVNIATQFQESSKDVFKMVEEETEVAKTQIILAREYLRDVAISTEQLKYLVTEAIRGGCQGHRAELYAARVAKCLAAMEGREKVYVDDLKKAVELVILPRSIISDNPQDQQNQPPPPPPPQPPQNQDSAEDQDEKEEDEEKEEEKEDDDEENEQQDDQIPEEFVFDAEGGLVDDKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRREKDLNKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSNDPEAAAASDAPRPSTQELKDEILEVSAKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALADLKS* >Brasy2G247900.1.p pacid=40069253 transcript=Brasy2G247900.1 locus=Brasy2G247900 ID=Brasy2G247900.1.v1.1 annot-version=v1.1 MADGEGTAALAEKLAGLSADGAEEPQLSKNAKKREEKKKKQEEERRLKEEEKKNKAAACGKPQKVAAADDEDMDPTQYYENRLKTLDSLKAMGANPYPHKFPVNISIPGYIEKYKNLSEGEKHVDITECLAGRIMNKRTSSSKLFFYDLYGDGVKVQVMADARTSELEETEFSSFHSGVKRGDIVGICGYPGKSNRGELSVFPRKFVVLSPCLHMMPRQKSEGSAVPTPWTPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDEREFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEAMISGMVKELTGGYKIKYHANGVDNPPIEIDFTPPFRRIDMIEGLEAMAKLEIPKDISSDETNKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRTGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMMLTDSQNIKEVLLFPAMKPQD* >Brasy2G042200.1.p pacid=40069254 transcript=Brasy2G042200.1 locus=Brasy2G042200 ID=Brasy2G042200.1.v1.1 annot-version=v1.1 MAEEFELPEFNPRERAKQQISVPFLWEVKPGAPKRDWVISMPVSSVFSCSSPAKLVVSVPFQWEEKPGKPLQEASPLHVPSDHASFSVSSYSLNPFVAEGEEEYSLGFDLEAFGFPDDKKAPGTAEFTDESGRHGNWYSFSDSEDYNNSSGDTSAREFQFPRAPSEQSWEVANDDDQLTNLWSPPRSAFTLEELMMLSRKLCFEQGFPVDVRKKSLSSLSSVELIKKFLIVCS* >Brasy2G304400.1.p pacid=40069255 transcript=Brasy2G304400.1 locus=Brasy2G304400 ID=Brasy2G304400.1.v1.1 annot-version=v1.1 MAAATPAPAPPTRPWADLLPDLLLQVSARLHDAADFVRFHAVCKPWRHSTPLPLSPATATKRPAFLPWLLASCDGRILRSRVNYSRRASSAASSHHPSCASLGGDEGDRNWVARADGTAAWLFFPADADEQHPSPRLVDLLTGAVTALPQRWRTPDGTVYGDGTVFLYSFTPTDVPWQHDFTAAVLRPAGGATWAVTRTTLMNLEEPPHSSAAYHDGTILLCAHPGKLLRGGSSSLAAGDDPSPALSVNVHALKLQGGGAKARFAADAAQLGVSGGSVYFFFRGHMLTYNLVKRKAELVERLPPGWGADGAYVWLSPQPTIASI* >Brasy2G426400.1.p pacid=40069256 transcript=Brasy2G426400.1 locus=Brasy2G426400 ID=Brasy2G426400.1.v1.1 annot-version=v1.1 MAASLLTLPSSLSLSTASAPSHRLRAAFRCWALGRRWAGTAAVASANSVLSEHAFKRLQLGSDDEDDEDAYGSDDQEGGVAGEEGFQGDEEELAVARLGLPDQLVATLEKRGITHLFPIQRAVLIPALEGRDMIARAKTGTGKTLAFGIPMIKQIIEQDEGRSLGRGRIPRALVLAPTRELAKQVEKEIMESAPKLSTVCVYGGVSYNIQQNALSRGVDVVVGTPGRLIDLINGGSLQLGEVRYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTVTSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTASIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGNAILMFTNNQRRTVKSLERDVGCRFEFIGPPTMEEVLDSSAEHVIATLRGVHQESVQYFVPAAERLSEELGPNALATALAHLSGFSQPPSSRSLISHEQGSVTLQLTRDPAYARGFFSPRSVTGFLSDVSPAAADEVGKIYLIADERVQGAVFDLPEEIAKNLLSMELPPGNTLTKVTKLPALQDDGPATDSYGRFSNSDRGSRSRRGSRAGGPRGRGGWDSDGEGSGRGGRSFKPDNDIWSDDDFSGGARRSNRSSSSRGRSSSYGGRGGSSSFGDRSSSFGDRSSSYGGRGGSSFGDRSSSFGSRDRSFSGACFTCGQSGHRASDCPNK* >Brasy2G148400.1.p pacid=40069257 transcript=Brasy2G148400.1 locus=Brasy2G148400 ID=Brasy2G148400.1.v1.1 annot-version=v1.1 MALTVFETAEQRLPCHVVEHADGGGSKAVATEQAVPLQETDHGGNKEDEQPRPERDDVWNMIQSQTKPAASPAVRQQQGAAYVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGAGSERSSPESDDDDAASEEVAANLKMPARAFPPPLPSLARRTVASTLQMRQHRRDGRLVVEAVPVLSTTLFRAQRRGGRLLLCFADTASDEEKNRGQEPEHEQQAEQETHQEDVVEEEEDEEVEVVDRGTVVEVKVVSTQPQAHSSGSGARVHRSSLVINKFVGAEPVNACEINDAAAPLKVPTPPDTTTEAVAAAAASALSATQVEQLPEEGDDGNTSVGKPSEGKLLMTTRRRRSKQELLNHMRRCGQLSGQLFIWEPRVATSS* >Brasy2G053900.1.p pacid=40069258 transcript=Brasy2G053900.1 locus=Brasy2G053900 ID=Brasy2G053900.1.v1.1 annot-version=v1.1 MSMSLRLALPSSAPVLPPRVPLCAGNAARPSPSPVLAAALRTGALRGCASPPQPQPLGVMITGAGAGRRGSAAVCRASSAYLSPTAMQWVSAAATAVLLLAKGTGIHKSFLVPLFALQAPGSVVSWIKSDYGLWTAFLALLVRLFFSIPGELELPLSAMLLLNVAPYQMMNLRGTQGGAIVSLALAAYLAFQHFTRAGGLGRAFDQGSIIATLAIICVTVINVILLF* >Brasy2G155900.1.p pacid=40069259 transcript=Brasy2G155900.1 locus=Brasy2G155900 ID=Brasy2G155900.1.v1.1 annot-version=v1.1 MPCLTDAALIINSVTETHYTAHGQQHGPRPKRQQLHGYRCRTCYSNISRCYSGEPYPRGISLSAAAAIRCALPVPQHFLKAAVRVQCLFSRTTSLLSKVKSIVANDASRTTPIMPQQGIQEGVEVFPDTDLFA* >Brasy2G239500.1.p pacid=40069260 transcript=Brasy2G239500.1 locus=Brasy2G239500 ID=Brasy2G239500.1.v1.1 annot-version=v1.1 MTEQSSSSRKKKRLRSPNDNDEHPLGRIETSTRLEDNLTFSDTLIALQLMRTQFPKLEKVVTRPFILRSQLYSSVKDRTQVDRDLESLKKDRVLRVFKLNTGQDDHAIMFMDDYLKQIEFSVRRSTGKNQDGNEVFEWFEKYVVRSKLDVSIDHLELYSHLSHGGDASDKHITLLMNAGLIIRQLIDPNMYWFAIPSIGPILKGLSQGRKEILSLLNRRKYKEMLLCSLENTRLRLSPLDVRFHLRDLIGSGHIKTVQTPTGLLARISKD* >Brasy2G239500.2.p pacid=40069261 transcript=Brasy2G239500.2 locus=Brasy2G239500 ID=Brasy2G239500.2.v1.1 annot-version=v1.1 MTEQSSSSRKKKRLRSPNDNDEHPLGRIETSTRLEDNLTFSDTLIALQLMRTQFPKLEKSLKKDRVLRVFKLNTGQDDHAIMFMDDYLKQIEFSVRRSTGKNQDGNEVFEWFEKYVVRSKLDVSIDHLELYSHLSHGGDASDKHITLLMNAGLIIRQLIDPNMYWFAIPSIGPILKGLSQGRKEILSLLNRRKYKEMLLCSLENTRLRLSPLDVRFHLRDLIGSGHIKTVQTPTGLLARISKD* >Brasy2G239500.3.p pacid=40069262 transcript=Brasy2G239500.3 locus=Brasy2G239500 ID=Brasy2G239500.3.v1.1 annot-version=v1.1 MRTQFPKLEKVVTRPFILRSQLYSSVKDRTQVDRDLESLKKDRVLRVFKLNTGQDDHAIMFMDDYLKQIEFSVRRSTGKNQDGNEVFEWFEKYVVRSKLDVSIDHLELYSHLSHGGDASDKHITLLMNAGLIIRQLIDPNMYWFAIPSIGPILKGLSQGRKEILSLLNRRKYKEMLLCSLENTRLRLSPLDVRFHLRDLIGSGHIKTVQTPTGLLARISKD* >Brasy2G173300.1.p pacid=40069263 transcript=Brasy2G173300.1 locus=Brasy2G173300 ID=Brasy2G173300.1.v1.1 annot-version=v1.1 MKCGHHRPHLRGLFLIGQTKQDAAAAAAHAKDKLMERQEKLARIAQESAELQSESENFASLARQITKSMESKMWWRP* >Brasy2G237400.1.p pacid=40069264 transcript=Brasy2G237400.1 locus=Brasy2G237400 ID=Brasy2G237400.1.v1.1 annot-version=v1.1 MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRGKYESTARSWTQKYAMG* >Brasy2G237400.2.p pacid=40069265 transcript=Brasy2G237400.2 locus=Brasy2G237400 ID=Brasy2G237400.2.v1.1 annot-version=v1.1 MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRGKYESTARSWTQKYAMG* >Brasy2G235400.1.p pacid=40069266 transcript=Brasy2G235400.1 locus=Brasy2G235400 ID=Brasy2G235400.1.v1.1 annot-version=v1.1 MAIRCYAAATVAVCSRARGPDLSSYLPALPSAHPRRSSYRRAAVRAMGATPSSPSPSGQAPAHICTGKGDKASLSDEDLKERLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCICCDTPLFESPTKFDSGTGWPSYYRPVGDNVKNKLDLSIIFMPRTEALCAVCDAHLGHVFDDGPPPTGKRYCINSLSLKLKPQ* >Brasy2G235400.2.p pacid=40069267 transcript=Brasy2G235400.2 locus=Brasy2G235400 ID=Brasy2G235400.2.v1.1 annot-version=v1.1 MAIRCYAAATVAVCSRARGPDLSSYLPALPSAHPRRSSYRRAAVRAMGATPSSPSPSGQAPGKGDKASLSDEDLKERLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCICCDTPLFESPTKFDSGTGWPSYYRPVGDNVKNKLDLSIIFMPRTEALCAVCDAHLGHVFDDGPPPTGKRYCINSLSLKLKPQ* >Brasy2G231500.1.p pacid=40069268 transcript=Brasy2G231500.1 locus=Brasy2G231500 ID=Brasy2G231500.1.v1.1 annot-version=v1.1 MKNINPHFVQTKYVNIFLHTRFRWIDSSILMPPTCFLLAPMATTGLLFHLHPTAFSSARPQNASSRLHSHCPPQGIHAAGRRCLLRAKSSNGHPQIGASFSDGVLDGKVPAGGPPEQEGNTVSITVVGASGDLAKKKIFPALFALFYEDWLPKHFTVFGYARSKMSDEELRNMISMTLTCRIDQRENCSDKMEQFLQRCFYQSGQYNSEEGFSELDRKLTEKEAGKLPNRLFYLSIPPNIFVDVVRSASRTASSPSGWTRFIVEKPFGRDYESSGELTRSLKMYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFQPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRRLKLEDVVVGQYKGHTRGGKSFPAYVDDPTVPSGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRGNVGTDLDMATNELVLRVQPDEAIYLKINNKVPGLGMRLDSSNLNLFYSERYHREIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLKELEDKRVAPELYPYGSRGPVGAHYLAANYNVRWGDISSDGSF* >Brasy2G275300.1.p pacid=40069269 transcript=Brasy2G275300.1 locus=Brasy2G275300 ID=Brasy2G275300.1.v1.1 annot-version=v1.1 MLRLRPGEQLGDARARLRLQRRRAQILRSGHPRARRRRIRPSSSPRRLVTAGSGHPAPRPCRNRPSAIPRLVPAGTGHPAPRPRRNRPSRASSPPDPTIPCLVTAGSGHPAPRPRRIRPSRASSPPDPANTASTLVPAAGMLSHPVLVSYLSLSFTPAERCRGDEISARSAVVTSTTPTAASTSTKSRR* >Brasy2G209100.1.p pacid=40069270 transcript=Brasy2G209100.1 locus=Brasy2G209100 ID=Brasy2G209100.1.v1.1 annot-version=v1.1 MAMASSHTSLMLLLLVACSCRASLEQVVDPACPSPAAAVAAEDCGGPALCESPAPHTPVAVFPYDVDPMQFALNLEFTEAEFFLHAAFGVGLDQIAPNLTLGGPPPTGAMRAKLDEVAWRVIAEFGLQEIGHIRAIEKTVGGIPRPKIDLSPQNFARVMDEAFGHPLNPPFDPYIDSLNFLLATYVIPYLGLNGYTGTNPIIDGYATKRLLAGLLGVEAGQDAVIRALLFERRQETVPPYKGITVAEFTDRISAARNRLGRCGIKDVGLTVPPELGADHRVCTSVLAADQDSLSFARTPAQLLRILYLTGNEHVPGGFYPEGANGKIARQFLQKPMKNNQHV* >Brasy2G348900.1.p pacid=40069271 transcript=Brasy2G348900.1 locus=Brasy2G348900 ID=Brasy2G348900.1.v1.1 annot-version=v1.1 MPTFAILAESMVEWKRVPMFLILFILSITGVATTNAIASKIDQFVPQDNYLLSCGASAAVPLDDGRTFRSDPDSVSFLSTPTDIKIAAKASLASASPLSPLYLTARVFSDISTYSFFISQPGRHWIRLYFSPIPESQYNLTTATFSVSTDSMVLLHDFSFIASPPTPILREYLVAVQGDNLKIVFTPKKNSVAFINAIEVLSVPPSLIPNTTTRMGPQDQFDISNNALQVIYRLNMGGALVTSFNDTLGRTWLPDAPFLKIEAAAEAAWVPPRTIKYPDDKTITPLIAPANIYSTAQKMASANITDARFNITWEMAADPGFRYLIRLHFSDIVSKTLNSLYFNVYINGMMGVSNLDLSSLTMGLAVAYYKDFIADSSSIINSTLVVQVGPSTTDSGNPNAILNGLEIMKISNEASSLDGLFSPKTSSQVSKRTLTGIGLALVVTAALAVVICCRRSHRPEWQKTNSFHSWFRPLNSSHSSFMSSCSRLSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFAEIQKATKNFEEKGVIGVGGFGKVYLGSIEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEYMSNGPLRDHLYGDTNIKPLSWKQRLEVSIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWARSWHRKGELNKIIDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVEGSNDGIPMKSLEMSNVDNMEKSANVIPSYVQGR* >Brasy2G480400.1.p pacid=40069272 transcript=Brasy2G480400.1 locus=Brasy2G480400 ID=Brasy2G480400.1.v1.1 annot-version=v1.1 MALTATVSHSSGALPRRRRHRRAPASSTRLITPSASSPATATLDRVLADLESNPRLLTPALLSPLLAALPLHDSPRRRLAVLRGLLPVSLLRRHPDLSLRLLHLHASLGLLAYAHHIFDHLLPAATRRDEAFPWNCLLAGYAHLGRHGDALAVYLQMDEEGVPRDRFTFLSALRACAGAGAAEIGRAVHRDALRAGLADDVAVSDALLDMYAECGDLGMARRVFDAMPERDGVSWNVMLAGCLRHGLVSHALEVWRRMLGEGNEPDSVVLSTMLSLSSLLSGNGGKLGPEVHAWVIRHGLETELSVANALVEMYSKKNELGHAVSVFESMAVRDLVSWNAIISAHRRDFGVLMVFRRMVDSGTRPDETTFASVLSACEDLGLVEGGTRLFSEMENEYRIQPTLEHYTCVVNMLGKAGLVNEAYEFISKRRPLGREPTILKALLNVSSVHGNIRIGEIAAKMLSDLEADNVHNFVTDENL* >Brasy2G023500.1.p pacid=40069273 transcript=Brasy2G023500.1 locus=Brasy2G023500 ID=Brasy2G023500.1.v1.1 annot-version=v1.1 MEERRENTKRMRAATGTQSNEEDAASQGSAGVVAAAASSMIAAATETDGEGTSIVAAGSAEMEEHIQRILLAIDNFTRKVSEMLESGRAMFKDIAADFEDRLCTIHRERVERWEEEIRELRARDAANEQTRAVIHNAQLQLFHVRG* >Brasy2G013400.1.p pacid=40069274 transcript=Brasy2G013400.1 locus=Brasy2G013400 ID=Brasy2G013400.1.v1.1 annot-version=v1.1 MHASREPPSGGVVGVVFLRGDERERTGDIMNSNEDEAAGGIHEEDKSADLIL* >Brasy2G029200.1.p pacid=40069275 transcript=Brasy2G029200.1 locus=Brasy2G029200 ID=Brasy2G029200.1.v1.1 annot-version=v1.1 MDQRRRRRGRRAHTADEAAAVLRKAWCRMRLSARDPARVAPWDAVVLTAASPEQAALYDRQLARARRLGRFPASAAAIAVPDPDAARIGSGAATLHAVASLARHLISQASKEEIAEFLPEANASSADDIPLASLVRFMATKHVLLLHAGGDSKRVPWANPMGKAFLPVPYLAGDNPDGPVPLLFDHILAVSSSARQAFKNEGGVFIMTGDVLPCFDASSLVLSDDAACIVTVPTTLDVASNHGVVVASKDETEGENYSLCLIDNLLQKPTVNELVEGQAILDDGRALLDTGIIAVRGKAWQELVGLAYSSSETMVKELITSRKELSLYEDLVAAWVPAKHEWLRNRPFGKELIAALGRHKMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSIPETTSCDIAATTVVLCSKISAGVSIGEDSLVYDSSLSGRVRIGSQSIVVGVNIHELHGDSPQIIRSSRCFTLPDRHCLWEVPLVSSMGRVMVYCGLHDNPKVSIKSDGTFCGKPWRNVLEDLNIQETDMWDSGNHDQCLWNARLFPIMSLPEMLIVGMWLMGSACDLDGKVACMWRKSKRVSLEELHRSIDYHQLCMDSNKHQADLAAAIAKTCMTHGLLGRNLFQLCEEMLENNNSSLEVCKELLSLSPSHGDEYSGVLPKSRGFQVKMDLLRASGDLSTASMVEEKVWASVASETASAIKYGSKEPPSNATISSNGYLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAICLEGTLPVVAVIETTEGHHGVLIEDDADRKVYIDDLSSISCPFKENDIFRLVKSALIVTGILGHKILSKSGLKIRTWANVPRGSGLGTSSILAAAVVKGLFQLMDDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLQVVPLMASSQLIQELEQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLTELAKTGREALMNGEIDELGAIMLEAWRLHQELDPFCSNRFVDELFAFADPYCCGYKLVGAGGGGFALLLAKDPSCAQELRRALHDSAAFDVKVYDWNVAMPRC* >Brasy2G029200.2.p pacid=40069276 transcript=Brasy2G029200.2 locus=Brasy2G029200 ID=Brasy2G029200.2.v1.1 annot-version=v1.1 MATKHVLLLHAGGDSKRVPWANPMGKAFLPVPYLAGDNPDGPVPLLFDHILAVSSSARQAFKNEGGVFIMTGDVLPCFDASSLVLSDDAACIVTVPTTLDVASNHGVVVASKDETEGENYSLCLIDNLLQKPTVNELVEGQAILDDGRALLDTGIIAVRGKAWQELVGLAYSSSETMVKELITSRKELSLYEDLVAAWVPAKHEWLRNRPFGKELIAALGRHKMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSIPETTSCDIAATTVVLCSKISAGVSIGEDSLVYDSSLSGRVRIGSQSIVVGVNIHELHGDSPQIIRSSRCFTLPDRHCLWEVPLVSSMGRVMVYCGLHDNPKVSIKSDGTFCGKPWRNVLEDLNIQETDMWDSGNHDQCLWNARLFPIMSLPEMLIVGMWLMGSACDLDGKVACMWRKSKRVSLEELHRSIDYHQLCMDSNKHQADLAAAIAKTCMTHGLLGRNLFQLCEEMLENNNSSLEVCKELLSLSPSHGDEYSGVLPKSRGFQVKMDLLRASGDLSTASMVEEKVWASVASETASAIKYGSKEPPSNATISSNGYLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAICLEGTLPVVAVIETTEGHHGVLIEDDADRKA* >Brasy2G263600.1.p pacid=40069277 transcript=Brasy2G263600.1 locus=Brasy2G263600 ID=Brasy2G263600.1.v1.1 annot-version=v1.1 MVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDTNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAASAAATDIQAGRVPGRYAYNDDRLRQAAPESAPDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLNKGVDYLVEASAAEAEAISATLAAVKARQVNGETDHLSDKEQSPDSTSSSKHSSLIKPDNALSNMTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSIPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMSLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDHIEDTWMQELNANRPATPTRGRPQAAANDRGALAWI* >Brasy2G088200.1.p pacid=40069278 transcript=Brasy2G088200.1 locus=Brasy2G088200 ID=Brasy2G088200.1.v1.1 annot-version=v1.1 MDAVCAGVRESPNPNPHGLACPPSRRRAPRIGVGHGCWFLFRLGSCLMFGAWAPSSTPWLLVPLPRSPTTALPRPRPSCQVLRSARRCLDEARRHAVGGQTVLCSVTPA* >Brasy2G449500.1.p pacid=40069279 transcript=Brasy2G449500.1 locus=Brasy2G449500 ID=Brasy2G449500.1.v1.1 annot-version=v1.1 MERYKVIREIGDGTCGNVFRAYDIETNEIVAVKKMKRKFYHWEECISLREVKALQKLNHPNIVILKGVTMENHELFFIFEHMECNLYDVIREKRAPFSEEEIRKFMVQILQGLVYMHNNGYFHRDLKPENLLVTNNIVKIADFGLAREVCSSPPYTDYVSTRWYRAPEVLLQASAYTPAIDMWAVGAILAELFTLSPLFPGETETDQLYKICTVLGSPDHSVWPEGMNLPRSNRFQFFQIPPRNLWELIPNASLEAIDLIQQLCSWDPKRRPTAEQSLHHPFFNVGKWVPRPLHDASYPRTNESGASPRLELNLWGFGTEPDDLDLTLSLKPSSVTGLSKPAPKHAEEEILPHLGFENPPVQPGLWPLMSSSHRPMAMGDVPAMPSWQRAYMIDSQSTLPAVGGFSGSPFGLSPLQPNLLERNPSWAPIRHVNFF* >Brasy2G341500.1.p pacid=40069280 transcript=Brasy2G341500.1 locus=Brasy2G341500 ID=Brasy2G341500.1.v1.1 annot-version=v1.1 MSSSEFRAKPRDELDQAKPARLGVHPELQPRCKKRPFGLHRAAARRPRRPSAEALSPSPGLSLLILSDLSSSIGCVVHRWVCINYHEIILNKLPTWRISN* >Brasy2G445600.1.p pacid=40069281 transcript=Brasy2G445600.1 locus=Brasy2G445600 ID=Brasy2G445600.1.v1.1 annot-version=v1.1 MAMDSRPFLVLADTVSDFGFLSDDQQEQWGKVEVRRKEVYGCGAIGKHILHGVVLLTNLGAGADDDPDLHPSLAIDVAEDVFSLVGAEIDDEAADLYGRSISLSCRVYVVVGDIMVIDLSFVASHESCAFRSFYLVYDSAARSLTLLPTLPQAFPAVCTTASRPLPLRRQDGEYSMILTAYRQKPTTLPRRLDIPVFCVWSRPPSGEDAPWLQPPPPPKSGGRGPPGIKHPVGVRGDDFNVNWVFVCKDRAVWCDFGQGIQYCKCSPLVNGQGPLGYTDLLLPKEFRIPPDVEIMMDEPIHVHRTVGCVGDSIWFVDIQQPSQEGCVGDTKVEVWTVDILSDEKRNEWKKHREFRLRSIWELDGFNDKLPKTAPRFPFLRQQDVGILYLILPNNPGIGAHLVGIDLRSKSSGMHLVSCRRLAMPSVHRPIVLPPDFFGTDILA* >Brasy2G454800.1.p pacid=40069282 transcript=Brasy2G454800.1 locus=Brasy2G454800 ID=Brasy2G454800.1.v1.1 annot-version=v1.1 MAARALLAAAVLVVAVAAAVVVAASSPAPASAFSFTEHDLASEEALSALYERWRAHHKNHMPRRHRHRHAGAGDDDDGEKARRFSVFKEKALFVHEFNNNNHGGLRRRPYKLGLNLFSDMSSEELKAAGYGGCAYPYYNNNKLPLHNKGNNNNNNNVSAAVELEPPAQRDWRVKGAVTEVKNQNPCGSCWAFTAAAAVESLVFLKTGSLVPLSEQQLIDCDTSSPNNGCNGGSVAKAFIYIAGTGLTSQEHYPYERSQGPCEAEMDTPVFARISGFAAVPPFDGNAMLRRVAVQPVAAIIAVNENLLTAYTGGVFTGPCGSPTVWHAVTVVGYGTHDDDGDGTSLNYWVVKNSWGPSWGEGGYIRISRDEGGNDGVCRILFNPLYPLWGKVSS* >Brasy2G193700.1.p pacid=40069283 transcript=Brasy2G193700.1 locus=Brasy2G193700 ID=Brasy2G193700.1.v1.1 annot-version=v1.1 MLLTRRFSSVLARSPFLARCPLPPRGVPATAPASRLPPRRLMSSSSSGWHHSSPPPPPPPPPPSSSSDKDQLFRGLEAALGTTFSSDPLAPPPEPMIIIISGPSGVGKDAVIKRLQEEREEIHFVVTATSRAMRPGEVDGMDYHFVTKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGHDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTEAPDMLLVRIATAREEVRRMKHFDYVVVNAEGKLEDAVKQVESIIDAEKAKIHKRRLRI* >Brasy2G422400.1.p pacid=40069284 transcript=Brasy2G422400.1 locus=Brasy2G422400 ID=Brasy2G422400.1.v1.1 annot-version=v1.1 MAVWLLTAPCCSSLRQQVHKRGDAGSGDSLMRGGGGLIRWQPRSSNGRRRQGGGGVRRRGEPRGSRGRGRWRSGWRRAGAVVEVGVPDPYLALPPAGLGPKALSRRGTDLGFSASLPPSPRSLSICRPNSCGGALVATMLLHLLRPGARLRHDAAQPPVPSSTPTSCASPPPFTHRPPESRAPPMASCSLRHLPRSRSCPRSSSRIRFAAYHTNTLLSSPGARCRPLPRRARPPPPLAGSLYGCSRTGCRRARWPSWSAQCLAPVGARTGLCTLWTRWPSSSAPCLALVGGQGPAFAPFEEITISR* >Brasy2G114800.1.p pacid=40069285 transcript=Brasy2G114800.1 locus=Brasy2G114800 ID=Brasy2G114800.1.v1.1 annot-version=v1.1 MTKHTAFAAEDAVAPAPAQAGRHFSSLPPRDCRKAALGRMDLAASGPLRAGSLVDSMRASSPRHAKPAAADAEYLDWIEEHPSALEGFESVLAAAKGKQIVMFLDYDGTLSPIVQDPDSAVMAEDMRDAVRSVAQHFPTAIVSGRGSDKVFNFVKLEELYYAGSHGMDIWAPKTGSNLKANGDGILCQPAIDFLPVIQEVYQTLTVKMESIPGAMVENNKFCLSVHFRCVAEEEWNALGEQVRAVLEGYPDLRLTKGRKVLEIRPSIKWDKGNALEFLLEALGFAGRRDIFPIYIGDDRTDEDAFKVLRNTGQGIGILVTESPKETGGSYSLREPDEVKEFLRKLVKSGVTKG* >Brasy2G238900.1.p pacid=40069286 transcript=Brasy2G238900.1 locus=Brasy2G238900 ID=Brasy2G238900.1.v1.1 annot-version=v1.1 MARTRSMRARAAQRQWQRRAARRARQRRRPCPRQMPRQRLWPAHKAVAAAVARHCGVRATSKGRTAGALRAVAWDGSRHGACGVAGGEAQNPTRSTLACQDAMLALTGGPMKPGPARLARHGTVSPIKRGGEEVLRLRPSGGRAAVGQRGRDLGVRRWSAGGREEVEGRPRRATGSGGAGCDKAGDGVRWRGSQRGEGAGGAGGTDGVGAGGAGGREEVEGRRRGGRRGQATVAAGWTGGAVGGGFPLGLFEKRDSARRAYRAGPARAVPVAEPGP* >Brasy2G241500.1.p pacid=40069287 transcript=Brasy2G241500.1 locus=Brasy2G241500 ID=Brasy2G241500.1.v1.1 annot-version=v1.1 MRTRIQFKGSLFIKVFITAAWHVWKQRNNLVFYTVAPTISNWFRCFSQGMLTHSIRLKEDHSLVHALS* >Brasy2G201500.1.p pacid=40069288 transcript=Brasy2G201500.1 locus=Brasy2G201500 ID=Brasy2G201500.1.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.19.p pacid=40069289 transcript=Brasy2G201500.19 locus=Brasy2G201500 ID=Brasy2G201500.19.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.6.p pacid=40069290 transcript=Brasy2G201500.6 locus=Brasy2G201500 ID=Brasy2G201500.6.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.13.p pacid=40069291 transcript=Brasy2G201500.13 locus=Brasy2G201500 ID=Brasy2G201500.13.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.7.p pacid=40069292 transcript=Brasy2G201500.7 locus=Brasy2G201500 ID=Brasy2G201500.7.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.14.p pacid=40069293 transcript=Brasy2G201500.14 locus=Brasy2G201500 ID=Brasy2G201500.14.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.2.p pacid=40069294 transcript=Brasy2G201500.2 locus=Brasy2G201500 ID=Brasy2G201500.2.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.3.p pacid=40069295 transcript=Brasy2G201500.3 locus=Brasy2G201500 ID=Brasy2G201500.3.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.20.p pacid=40069296 transcript=Brasy2G201500.20 locus=Brasy2G201500 ID=Brasy2G201500.20.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.17.p pacid=40069297 transcript=Brasy2G201500.17 locus=Brasy2G201500 ID=Brasy2G201500.17.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.9.p pacid=40069298 transcript=Brasy2G201500.9 locus=Brasy2G201500 ID=Brasy2G201500.9.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.8.p pacid=40069299 transcript=Brasy2G201500.8 locus=Brasy2G201500 ID=Brasy2G201500.8.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGAEGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.21.p pacid=40069300 transcript=Brasy2G201500.21 locus=Brasy2G201500 ID=Brasy2G201500.21.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.18.p pacid=40069301 transcript=Brasy2G201500.18 locus=Brasy2G201500 ID=Brasy2G201500.18.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.15.p pacid=40069302 transcript=Brasy2G201500.15 locus=Brasy2G201500 ID=Brasy2G201500.15.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.16.p pacid=40069303 transcript=Brasy2G201500.16 locus=Brasy2G201500 ID=Brasy2G201500.16.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.10.p pacid=40069304 transcript=Brasy2G201500.10 locus=Brasy2G201500 ID=Brasy2G201500.10.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKARMAPIVHDGLLKTASGKSACLGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.11.p pacid=40069305 transcript=Brasy2G201500.11 locus=Brasy2G201500 ID=Brasy2G201500.11.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.12.p pacid=40069306 transcript=Brasy2G201500.12 locus=Brasy2G201500 ID=Brasy2G201500.12.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKGHVIVAPGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.4.p pacid=40069307 transcript=Brasy2G201500.4 locus=Brasy2G201500 ID=Brasy2G201500.4.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G201500.5.p pacid=40069308 transcript=Brasy2G201500.5 locus=Brasy2G201500 ID=Brasy2G201500.5.v1.1 annot-version=v1.1 MALSQESKSLCLKRKLVDDCLSKECKSRRVKVDNGPSADPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDSWYNFPELIMKSLIEEFKGGKSSVVAVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDTGKGFFPSLFFDEQADEMANVTSSNVEGSAQGIMLDKVVNSPPEVVKQVVLESSPPVPQNPSTADVLRKKITSVERGTEDFLFVQDLFLSGLGPFATPNNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKTDIVRILIHGFGTTGKPTEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLCRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVPVDVSTLQPIMGGTGEAPTSPWISFKVLFAMIQDNISSVARELLFHHYEELKENEISREEMVKNMIVIVGEKLLLETLKKLHYCPSLWYKSSIEVISSDPVRSAAEDPARTTKGQISLDQKTRNCALTLRNLGDSHAPNALAGSSTALSNKGCDALAAGMVLQSYDSLTPSGVPETSTSAGAICRASSNLEPKGLSPEGSESLGPSLALGNSKSRGVKGSSSVPRRTPEGQEFLSLSIAPQSQGPVLHSVKGHGDLNSGVAAPVHAPGHVNSPPLSTECCDSLALSIASKGHDPTTSSSNKETKCHRAPTTHTVSESQHSQVPSAATKGHTAPTPITGEPKNQSAEFEQRNKSAGPILEPGSNIAQAADILIALSTPREKGN* >Brasy2G226000.1.p pacid=40069309 transcript=Brasy2G226000.1 locus=Brasy2G226000 ID=Brasy2G226000.1.v1.1 annot-version=v1.1 ERPLPGLGARHAGRILRFRSGRFVCGNGRMASSVAVGGRSSFVTSVLPHAPLLGLLPCGSLEFPVGGQKVEAFGWRLHMRVKILPDLSVQRTAAAPYRRTLPEGVIVFSALLERFVLSR* >Brasy2G316500.1.p pacid=40069310 transcript=Brasy2G316500.1 locus=Brasy2G316500 ID=Brasy2G316500.1.v1.1 annot-version=v1.1 MFFYPSWRAIRYRPDVQDHSNRPCGTRRPGVAIASSTGCCYSRTQRNNESRRRRRRRRQLLSRRYKASPLAASGVADAMPPLPRILHRHLALPLARRYSPCHPWPPAAHAFLSRGVASSSSSAAAAAGREKSSRRTLGYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTPSREIIIQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMDGVNNIVLSYTFFKVKE* >Brasy2G453400.1.p pacid=40069311 transcript=Brasy2G453400.1 locus=Brasy2G453400 ID=Brasy2G453400.1.v1.1 annot-version=v1.1 MSNLELLGHLKLRVDQGSFPAGTNGGITIQEADVYSAAPADLVGGTGDGSTRYYFSPANYRKSGKRKRKVGGAGGDKCCWHPERRSEILRDGKPAGGYARHLSYVTKTPGSATKRKSSGWCMIEIALEQQQQRDGADQIVLCKVYKSNQADQGTASISAGAAADHQAVSAPVGQRPAISLQPDGPGISEEAQDGQHDSAALDLPVGDSDSDISRELAAPPDGGKAATVVMKFGGSSIASPEKMKEVAELVLSLDAAGERPLVVLSAMGETTNNLILASEAAISCDIQQARLIYEHSVVMELHLKTIKELGLDKSVVSGSLEELAKLLDFVAMTSELTPMTRHYLISLGERMSAAILSAYLNELGRRARQVQFLAFDVDSEDFSNVDILEATYPVISKKLQGDWIDDPAIPIVTSFVGKVRKSGAFTTLGSDLTAAIIGEALGLGEIQIWKDVDGVLTGDPNICANAILVPCLTFDEATELANAGAQVLNSRSMRLAMRGGIPIIIKNICNPGAPGTMITQTRDMSKSILISIVVKSNIFMLDIKSKRMPGRCDFQAQVFSIFKDSSISIDYEANRGDNISLTLYPSKLYGPELMQQELDNVVEELEKIAVVHRRELKSIISLIGNVQKSSFMVGKALTALAGIGVNVQKISQSWPKNQVLSVSLVVADCEARRCAQVLHSEFLEDGFLPKVDGAENEWPPPVNNSSARRGRSRQSDDGFPESAPGVRQARTDAVPIAPELEEIERSLMSDINDDFMDTDTAVPPLPVGVEKEDPIPVGDGDPTEFFAGVVDDNLTPVRVDDDRVMARMITPDVGDNFMLPIGADDEGGSFSAGVLPDADDDLAPVEFDDGGFIPAGAWLVDGEAQQQGLDLDAEAGGGLDLDALLAGVDIDELLGPAAAV* >Brasy2G228400.1.p pacid=40069312 transcript=Brasy2G228400.1 locus=Brasy2G228400 ID=Brasy2G228400.1.v1.1 annot-version=v1.1 MPRRAACIVLHLCFLQELHITSRVSPLPAPRGDSFGWWPGKVAGDSRSFAQVVRDPAPMADQSARFNRGHRLDGVGAGRQGRGAGRQDRGRARPYVWQRDSPEQQSSSTTGGTTAAGRWEAAAAQGSRDGERVGAQPDRWAMAAMGGQDPRSTQGDVC* >Brasy2G445700.1.p pacid=40069313 transcript=Brasy2G445700.1 locus=Brasy2G445700 ID=Brasy2G445700.1.v1.1 annot-version=v1.1 AVGKASFAARSLSSSPPGPISPLLRRWTAPPEGKLPDDSPPSLSPPPPQEPPLALPPLPHAADYAVRPKPSAADLLPAMATPPLPRASRLPPIRVCVILLGAIWFYSIHRINLRRSPTRLIRGIRRAPPLAGLSWFLDWRRRVHMALDIARGMNYLHHYSPPTIHRVFKSSDLLVDTNSTVKFHVCSPCYEKSKANAVQKAPDG* >Brasy2G266600.1.p pacid=40069314 transcript=Brasy2G266600.1 locus=Brasy2G266600 ID=Brasy2G266600.1.v1.1 annot-version=v1.1 MAHGSSKAWQCGGASPREGSGGPAKKSGDASLAQAGSPTAPSRGGKGPRRRRGPKAPSEAAGPAGGGKKWVAKGSTVPPPAALEPTPEPAAIAEPADVVPVADSGKIPTDLAVMAKVFGTEYSVLAAAPPAVVGLASVAAAAGVGYLKGANMFPGRVEGRFGNERVRFIIGKNKTGESSGLNLADDEQAAFVGNSKGQHVRE* >Brasy2G068800.1.p pacid=40069315 transcript=Brasy2G068800.1 locus=Brasy2G068800 ID=Brasy2G068800.1.v1.1 annot-version=v1.1 MTEPTLPIYLKFAEVKFSVAVKGTPREILSGISGSACPGEVLALMGPSGSGKTTLLSMLGGRPTGAGAAEGCVSYNDEPFGKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKRERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLHDIAETGKTVMTTIHQPSSRLFHKFDKLILLGKGSLLYFGKTSEAMPYFQSIGCSPLIAMNPAEFLLDLANGNTNDISVPSELDDKVHMENQNLQNTNSKIDYRPSALDVHEYLVDAYESRVAYKEKKKLLEPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGLKERRHDYLSWMRITQVIATSVILGLLWWRSDPTTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKASATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILMTSVPLDNGQTEVGALVVMIIGYRVLAYLSLRRVKSSSS* >Brasy2G068800.2.p pacid=40069316 transcript=Brasy2G068800.2 locus=Brasy2G068800 ID=Brasy2G068800.2.v1.1 annot-version=v1.1 MTRQQKRERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLHDIAETGKTVMTTIHQPSSRLFHKFDKLILLGKGSLLYFGKTSEAMPYFQSIGCSPLIAMNPAEFLLDLANGNTNDISVPSELDDKVHMENQNLQNTNSKIDYRPSALDVHEYLVDAYESRVAYKEKKKLLEPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGLKERRHDYLSWMRITQVIATSVILGLLWWRSDPTTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKASATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILMTSVPLDNGQTEVGALVVMIIGYRVLAYLSLRRVKSSSS* >Brasy2G038100.1.p pacid=40069317 transcript=Brasy2G038100.1 locus=Brasy2G038100 ID=Brasy2G038100.1.v1.1 annot-version=v1.1 MSGGIARGRLAEERKAWRKNHPHGFVAKPETLGDGTVNLMVWHCTIPGKQGTDWEGGYFPLTLNFSEDYPSKPPKCKFPQAFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPLV* >Brasy2G124500.1.p pacid=40069318 transcript=Brasy2G124500.1 locus=Brasy2G124500 ID=Brasy2G124500.1.v1.1 annot-version=v1.1 MAMAYKMATEGMNIKEECKRWFTEMKWKKVHRFVVYKIDERTRAVMVDKVGGPGEGYDELVAALPTDDCRYAVFDFDFVSVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRVLDGVHYEVQATDPSEMGFDVIRGRAQ* >Brasy2G051200.1.p pacid=40069319 transcript=Brasy2G051200.1 locus=Brasy2G051200 ID=Brasy2G051200.1.v1.1 annot-version=v1.1 MATMMLARLTQTTPAGRSDACVRASSIHGVFLIEPCQYLSALSCISKLSERSPAAPNASLDHFLRPIRSPWRRPAFRYIQAEHKIISTSVTGTWPRQGC* >Brasy2G236400.1.p pacid=40069320 transcript=Brasy2G236400.1 locus=Brasy2G236400 ID=Brasy2G236400.1.v1.1 annot-version=v1.1 MRWTMPSHRVAAACTESTSTSLVSASHGLGAAATSLTNRGRQMVGGFGDHGRR* >Brasy2G209500.1.p pacid=40069321 transcript=Brasy2G209500.1 locus=Brasy2G209500 ID=Brasy2G209500.1.v1.1 annot-version=v1.1 MVKPELLEERIFNSITWAPRIWRPWDNPFDCRVLFRSKDIRGGRFVRPIMIFRTKRYWILFRIGPERRRKAEMPTDLCLFSNSAEPIVPVFGTSSAKVTEWVSHQSNPFDKSGVILDIIFYIYRNIIE* >Brasy2G431600.1.p pacid=40069322 transcript=Brasy2G431600.1 locus=Brasy2G431600 ID=Brasy2G431600.1.v1.1 annot-version=v1.1 MPERDAVSWTVMVVGLNRVCRFGEAIEMFLDMVTDGLSPTQFTLTNVLSSCAATEARGVGRKVHSFVVKLGLSSCVPVANSVLNMYGKCGDAGTARAVFERMPERSVSSWNAMVSLDAHLGRMDLALALFESMPDRTIVSWNAVIAGYNQNGLNAKALWFFSRMLSNSTMPPDEFTITSVLSACANLGMVSIGKQVHAYILRSRMPYIGQVTNALISMYAKSGSVGNARGVMQQAVVADLNVISFTALLEGYVKLGDMKHAREIFDVMSNRDVVAWTAMIVGYEQNGHNDEAMELFRLMIRSGPEPNSYTLAAVLSVCASLACLEYGKQIHCKAIRSLQEESSSVSNSIVTMYARSGSLPWARRVFDRVRWRKETVTWTSMIVALAQHGLGEDAVGLFEEMLRVGVKPDRITYVGVLSACTHVGFVDEGKRYYQQLQDKHGIVPEMSHYACMVDLLARAGLFSEAQEFIQQMPVEPDAIAWGSLLSACRVHKNADLAELAAEKLLSIDPDNSGAYSALSNVYSACGRWNDAAKIWKRRKDKAVKKETGFSWTHIGNRVHVFGADDVLHPQRDTVYRTAAKMWDDIKKAGFVPDLQSVLHDVDDELKEEMLSRHSEKLAIAFGLISTPEKTTLRIMKNLRVCNDCHTAIKFISKVADREIILRDATRFHHFRDGFCSCKDYW* >Brasy2G466100.1.p pacid=40069323 transcript=Brasy2G466100.1 locus=Brasy2G466100 ID=Brasy2G466100.1.v1.1 annot-version=v1.1 MSSPMGLYTTDHTPMHGEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNMIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDKLEEAREMARETARQAREMARETARQAREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDQK* >Brasy2G249500.1.p pacid=40069324 transcript=Brasy2G249500.1 locus=Brasy2G249500 ID=Brasy2G249500.1.v1.1 annot-version=v1.1 MSTAAAMASSLFPHLHQRSPLSMAATSPSTSSPQAAPGHGRSSAAVSHSLLLLAKRKKPGWRRHRLLPDLLVCEPVIRRYRLIPSMEDQGLKHHRCVGVFLHDCGRRGPTTWSGRIIDTMSRFTVVCVLCHEYAGVSGNVGTARAFMFERGWRGKIGWYAKKQAPYRPRIHLQLQGKQPLSFLGSAGGSLFWAIQGGSSSWLAFNFRSVNEFAFVPLPDHIRGLPVRVIDGEDFYGMVRAVCLQGNNLQIFSTTRYGSHDWELQKSLQLREATRGLPGYKEEYFRLTPKIVTTSMRSIVLASAENAWRFSVDLETMEVAKCEKHSNCYADASMSYPYELPWLPAMHACMVRCTRWGRGRCSNICVC* >Brasy2G026900.1.p pacid=40069325 transcript=Brasy2G026900.1 locus=Brasy2G026900 ID=Brasy2G026900.1.v1.1 annot-version=v1.1 MLWYPVLACFTVRRREDRDRGEGFRHQGRGLLAGALRQASPGSAPSMETRRRSRTSTAGPRIPGGRQRRRDDGKGAIKRLGEFVREFRNKELKLVRMRTRLKARTLRPADDLNSTQLLFAIRIPGAEPRRCPGPLRHLDPRS* >Brasy2G395600.1.p pacid=40069326 transcript=Brasy2G395600.1 locus=Brasy2G395600 ID=Brasy2G395600.1.v1.1 annot-version=v1.1 MLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLTPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDAESINDAVEFVLQNFIEMNKLWVRMQHQGPVREKDKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKENVLPRISEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSNYAATSPEVLPEFLQVEAFAKFSSAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQTIEALSCVPCPELSLRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITALHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVSKGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQNDSNASDPSAEAFFASTLRYIEFQKQKGGTIGEKYEQIKAT* >Brasy2G398600.1.p pacid=40069327 transcript=Brasy2G398600.1 locus=Brasy2G398600 ID=Brasy2G398600.1.v1.1 annot-version=v1.1 MFLLAVQSDQTLYVPHVLLQPQREIKPVRTMEEAKHTLAPA* >Brasy2G320500.1.p pacid=40069328 transcript=Brasy2G320500.1 locus=Brasy2G320500 ID=Brasy2G320500.1.v1.1 annot-version=v1.1 MPPGGNLRCAPRLSVDREAFLRRFRGRCFRCLSSDHRRADCRDPPRCIDCWQWGHLASSGRCKAGEPAPHRLPVHQRLRFPAPPPTAAMLSRAITKPAPRRSGFSHSIVMASRSIEQQVFSLRCCGVLVKAVTPLHSATPILVGKEIERALNIPSHAHRVTKHLPEDFYIHFDTPAHRDRAVALGRIDIDGTTFLLRPWRESEHGTLQTYPLHVRVVIEKMSLHLWSVEGAESVLGKDVIVDRLDSRTFAKEDTKLFSCWVWCWSLDCIPIAQTFTVFPAGAGRVEEMHGYSPPRRQVASPPEERPAVFELGGVTTVPGHPALHVVPGRGRWRGAQSERPPPPPRRLPSSPARRPP* >Brasy2G278200.1.p pacid=40069329 transcript=Brasy2G278200.1 locus=Brasy2G278200 ID=Brasy2G278200.1.v1.1 annot-version=v1.1 MDTRGISRTFEDYAPHVEWSHAADASTVKIIVPGFKREEIRVLVDNHGHLRTRGERPLEGSKWSRFQKDFQLPSDCNVDGIRAKFENEALTITLPKKNPSPAAIPMPMPMPAKPEPVRPSPASAAPLPPRRPPPALPERRPSLPRKPSAQEPAWPAPAPAPEAVMESQIPNKYNGGAAAAATAELQKKKQAEAVAAEEEEMRRREREARGKMEEDNRKMMEERKLANEAEEERRMMMGEMEMAQQRRRPATSTASRGLLVNVAVAVVVLVGITVYVWHNLTSAAGGGGHGNGSYGDEM* >Brasy2G028500.1.p pacid=40069330 transcript=Brasy2G028500.1 locus=Brasy2G028500 ID=Brasy2G028500.1.v1.1 annot-version=v1.1 MTRSELTWREIESSMTTAAIRGFNRFVERRADLPHLVPPPPHLAGHRRSLHPDRRPAPSPLPLTRHPQNLPRKRRSCHLSGSAAAFPNQELVALSISPDPPNGTSPTLAPGAKLETTPEERAAARLLRVVVAGGRRQRIGGGRGGAGSGATSGKDPSSASAVRDGLGLYRHGWDNEQTRESSRGLEEGPLRLPPSRRSPPLTPSRPPSRPPADALTTPPDPATSTYLTANAPLTISRDPQTPPYHLSGSAAAFPNQELVADGPALLSPPRLLPHGILRTLPPPPPHQEQVQELVAGRPSRPSLTTGAVRFAEERCGCEPVVIFLHCFPSSPSSTTCPCELRMAQASH* >Brasy2G035600.1.p pacid=40069331 transcript=Brasy2G035600.1 locus=Brasy2G035600 ID=Brasy2G035600.1.v1.1 annot-version=v1.1 MASQNAGSGPLDVVIVYAFDSTTSTPAWIKVNGEVYWLVHEKLTRFAGSCLGYIYVMSTPNNYTCEMKLVDPAETEATGYKGSSLWRRAPCVKNMASGLYEAQKLITDRGQSNGIILFFSDGLINQGDFFGGVENFVSKFPVHTFTVGGDAYNNGLRTIAANSPGGTFNPLPVPDKAKQSSHFSQLLDSILAGTVNSGEELNFSNLYLYQTYGGPKPNQSTLVNSNGATGLGTLAVNNWAIYEGLGPNAILVAHAQGLHIYAGDWHHTFSIVFENERFKGSTLQVMGNSVEGSEWAIVGGTGKFARATGVIYKNVQEKRSDGNVIGLTIHGYCPALKGSQSLPMATPKKLGPWGGYWSGTPKDITETPRHLESITIYNERNVPSIEFSYIDQTGRSRTSGVWGSTGMTKSTQINLSPSEVLLEVSGTYTSDVLSSLKFVTNNATYGPYGTISGTPFRAPVPAGSIVVGFFAVAGPDLGQIGVYIMENARL* >Brasy2G035600.2.p pacid=40069332 transcript=Brasy2G035600.2 locus=Brasy2G035600 ID=Brasy2G035600.2.v1.1 annot-version=v1.1 MASQNAGSGPLDVVIVYAFDSTTSTPAWIKVNGEVYWLVHEKLTRFAGSCLGYIYVMSTPNNYTCEMKLVDPAETEATGYKGSSLWRRAPCVKNMASGLYEAQKLITDRGQSNGIILFFSDGLINQGDFFGGVENFVSKFPVHTFTVGGDAYNNGLRTIAANSPGGTFNPLPVPDKAKQSSHFSQLLDSILAGTVNSGEELNFSNLYLYQTYGGPKPNQSTLVNSNGATGLGTLAVNNWAIYEGLGPNAILVAHAQGLHIYAGDWHHTFSIVFENERFKGSTLQVMGNSVEGSEWAIVGGTGKFARATGVIYKNVQEKRSDGNVIGLTIHGYCPALKGSQSLPMATPKKLGPWGGYWSGTPKDITETPRHLESITIYNERNVPSIEFSYIDQTGRSRTSGVWGSTGMTKSTINLSPSEVLLEVSGTYTSDVLSSLKFVTNNATYGPYGTISGTPFRAPVPAGSIVVGFFAVAGPDLGQIGVYIMENARL* >Brasy2G366600.1.p pacid=40069333 transcript=Brasy2G366600.1 locus=Brasy2G366600 ID=Brasy2G366600.1.v1.1 annot-version=v1.1 MDLATGAMGALLPKLVELLQEEYKLQTGVRKDVEFLEREMRSMDAALRKVARGPRDQLDEQVKIWADDVRELSYEMEDLVDSFLVRVEGSKPVVDPDSFKGLLKKMANLFKKGKTRHQIADAIKDIKDQVQEVAARRDRYKVDDAAAATKAGTTTVDPRMLALFKDQRELVGIEEPRDELIKRLMVGDGEEQLKILSIYGIGGLGKTTLAKSVYDKLQGGFVHSAFVSVGQNPNPKKVLMDILRQLDKGSYLNATMLDEGQLIEDLRDLLEEKRYLIVVDDIWDESSWEIIKCASIDSKCGSRIIITTRILRVADVADNVYKQEPLSLNRSKELFYKRLSIGKGKNSYDQSMEISEKILQECGGVPLAIITIASLLAGKEREDWSNVYNSIGFGNGDNIHVGNTRKILLNSYYDLPCHLRTCLLYLSIYPEDHEIQKDTLIWKWVAEGFIHEKPGTRLFELGEIYFNELINRSMIQPVQHPHQGIIYACRVHDLVLDMIYSLAKEENFVVVLGNNEQGTCPQSNARRLATQKRVIEQQDPIFDTQMPQVRSFSATFCHVSIMPWLLSFRGLRVLAIEGCTFRNYRADHLEHLGRLLQLRYLGLSCMSVTELPSKIGDLSFLQTLNLNETRIKELPPSVGMLKQLKCLRLPDGFIGASLWIGNLTSLEELFLKTVYPDFVKELGKLTELRDLRITLKTSDAKLFKDLMESVGNLRKLQAIKVTLNPYKYADWENCQGYSLPRHLRDLDLGGVVFPRLPEWIESSRLPHLAHLSLVVGAVEARDVEILGRFPELITLKLWTNSVVFPDLVGGGAFPKLRYFLEPLRFIGKMEESCRDRIFFL* >Brasy2G163300.1.p pacid=40069334 transcript=Brasy2G163300.1 locus=Brasy2G163300 ID=Brasy2G163300.1.v1.1 annot-version=v1.1 MAQTPNPSRRSWVGPAPLPFLTPRPERRELRWAEAGSHSSVRRSGVGAGSVGGGDEGGCEANVQVVLRCRPLTEVEQKVNIQGAVSCNDTKREVTVLNSLFKQADKTFTFDKVFGPKSQQRAIYDQAVAPIVDDVLEGYNCTVFAFGQTGTGKTYTMEGEMMQQVGELPATAGVMPRAVRHIFDILEARKADYSMKVTFLELYNEELTDLLASEDQSRFPEDRQKRPTISLMEDGKGGAVIRGLEEIVVYSPGDIYSLLEQGSARRRTADTALNKQSSRSHSVFSIYINVKVTTTRNQEVMKCGRLNLADLAGSESIARSGAKEVRAREAGELNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVTPSIHCLEETLVTLDYAYRAKSIRNKPEVNQKVCESVMLKDLYQEMEKMKQDVKAAREKNGIYIPNERFVLEEAEKKTMREKLEHLELSLEKQSRELEKFKSLYIAEQEHKLILESQNKKLKINIESCKGEFLDLQEAHSRANISLKEKDFIISNLLHTEHLILERAKSMCGTFENKSGDIANLQNKLERR* >Brasy2G029500.1.p pacid=40069335 transcript=Brasy2G029500.1 locus=Brasy2G029500 ID=Brasy2G029500.1.v1.1 annot-version=v1.1 MASNSASSDKPISESSSRCLTECLTTAHNFEIIRFSMLEGMGAGKFLSSSKFSVGGHDWNIRIYPDGWKEEDKAAYMSVFLCFCSGATGVKVKYTFSLLDKGGYWGSDKFIEKPKLQELRSRNDDCFTIRCVLTVIKEPRTEDSNLHTHFANMLKGGEGVDVTFSVGDKLFSAHRYVLAARSPVFKAELFGQMKETTMKCLKIDGMEPSVFEALLHFIYTDSLPSNNSDVDQNAGLQHLLVAADRYGLDRLRAMCEAKLCQSIDVQTVATTLALAEQHNSVQLKNACLGYLCSQDVLRVVKETDGFKHLIASCPWIMMDILEKVGLPSRV* >Brasy2G095300.1.p pacid=40069336 transcript=Brasy2G095300.1 locus=Brasy2G095300 ID=Brasy2G095300.1.v1.1 annot-version=v1.1 MASRDLAESLLPGGGGGTSSSHDEYEERAYDSDDKVSISISDSEADDDDAGDARPAFSWRKLWRFTGPGFLMCIGFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVVTGKHLAELCRDEYPTWATRALWVMTELALVGADIQEVIGSAIAIKILSGGVVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIATMAISFAIMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTKKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGSEQADNIGLENAGQYLQEKYGTALFPVLYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRALITRSFAIIPTMIVALFFDTEDPTMDILNESLNVLQSIQIPFALIPLITLVSSEQLMGSFVVGPITKVISWIVTIFLMLINGYLILSFYTTEIRGAFVRSSLCVVLAGYLAFIIYLIVRNTTVYSRLRSSMAKSS* >Brasy2G302400.1.p pacid=40069337 transcript=Brasy2G302400.1 locus=Brasy2G302400 ID=Brasy2G302400.1.v1.1 annot-version=v1.1 MKSLNFDAYRFSISWSRIFPDGEGRVNEEGVAYYNNLINYVIKKGLIPYVNLNHYDIPLALQKKYDGWLSPKIVNIFSDYAEFCFKTYGDRVQNWFTFNEPRIVAALGFDNGIDPPNRCTKCAAGGNSATEPYTVVHNILLSHATAVARYRNKYQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLVNGQYPKTMQDIVKERLPSFTSEQSKLVKGSADYFGINQYTASYMADQPTTQQAPTSYSSDWHVSFIFQRNGKPIGPLANSNWLYIVPTGMYGCVNYIKEKYKNPTIIISENGMDQPGNLTREEFLHDTVRVEFYKNYLSELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFETLKRYPKDSAYWFKDMLHASGTGTTKDGTDLSNSTQAGTGVSSKNSAAGSATSSSPWVLLTLLVSLYLVLPSISMFSWN* >Brasy2G009600.1.p pacid=40069338 transcript=Brasy2G009600.1 locus=Brasy2G009600 ID=Brasy2G009600.1.v1.1 annot-version=v1.1 MLPFEEQVAADLLEDPNGGLVVLSSGLPLASLVATLLLHLHHQSSSDSSVGGGGGCFLILSATDTLKSQIRRLLLQSQLLQVHDVPPDLPANHRRALYSSEKGDGLALFLSPRVLAADLLTSHLLPSRVQALLLLSAHRSSDTSSDAFIARLLRQHRLLPVYAFSDRPHAMVAGFAKAERTMKSLYIRRLHLWPRFHVLAAADLERSPPEVIDIRVPMSHPMMGIQASILEAMDACLKELRRTYKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLLRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVVRPDGTKVSTSNKDTPTKKRKATHSSSKKGKETENEDSAPNKDDAQKVNAEVSILLEEVLEEAPKWKVLRELLQEIAEEQRKGDGVVPEVESNESGIVLLACKDERSCLQLQECISKSPHQVMREEWEKYLLGKAELHGLHKKKKRQSQQPKGFGVLDGEFPIGSSESSGLVSIGNLETNALLAAASGIKKLTKEADAKDDSIPSCSKRGSVKGKGKGVSKKIMTKRQASNRKNKSTTENDNCQGADVEATGKTDKQSEINVSKVSAEDASGPVSASGNAEGLIDDKMLPPVQFYALDSDQHVLDIWKPSVIIVYHPDITFVRQIEVYKAENPSRKLRVYFLFYEESSEVQKFESSICRENEAFESLIRQKSLMMIPVDQSGRCVGPTLANEPEPVLSQNAVTRKAGGRKLPEKDMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVETMVRYYKIPVLLIEFSQDKSFSFQSASEVGDDVSQTNIISKLSLLVLHFPRLRIVWSRSLHATADIFTSLKTNQDEPDENKAMRVGVPSEDGVVKDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCNSLAELALLPVERSGFLESIWNSCVSLFSLGRAERLDAYDILSLYFSALKSGQQYAVLGADQVQNFDLRNVTEFWDELRRGLVDKDSLVRKRAFYILKISLSIFSFGNDENQQCSARSPAALPVQAKSNTAVTKKERWANKEAKSLGVEEITQSGEHCSSGQDQWKVFVLLYEMLQEFGTHLVEAAWTHQVVLLFESTPQGDYLNHISYRAFHAQMESVEGIFHWMTVLWERGFNHDNPQVRCLVMQSFLDIAWERYKGYTQMIPRGFVLGPLIRGLNDVVHHKDFGVGGVYNSQTIKGAEKFFSNYARELMTSDRLHLVWNLASAAKQDSFGRAGLMTLAFCVASCACQSDTQYLSCDSAVKESTKCNGDISIAVNTTDLLDALWILSERSKQHFNPKYRLKVCEQVIKAAASLISAADIPLNQLLNFISTIPREGTDCFGPLRATVQKWFVQKNDCSERNTLLELINFPTTFIKHIGVAGSYLYDDEDVGAWEAEARRWARALLLVTSEEQHFKQILMFLEKCGNKLSEHSPIRESVQVKFFIIIFSLIEELEVQQKKFVHQNNIITDGGSERTNGVEHCALNEMLAKSLLSVLGNTVAFSKQSCSVFWLRSTDIMDLPCSVKGKLGGPSQRRLATSITSSVLQCVWSMRCISSVVSWCKHYTSDVSLHSAFSFLWEFCWKVIQHCTNATETGAELHLAAYEALAYVLAALSTAPISEFLDFVEPKQINRASKFSWDHLATTFLGNINSLLTNGVLTRSRRAVLMCWKWLCVDSLLSVSCCCDENESQLKRLGPIFSDSTLRSIFLDITESLENAGENSVVSILRCVRSVLGLLHFNMINGNLSSLGISYEMMMQLVKSSWVLHLSCNKRRVAPIAALLSAILHPSIFPNLEMHQANEKGTGPLKWFVENLLNEGSKSPRTIRLAALHLGGLWLMYPKTLRFYMEELKLLALYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFRQLWKQINEKSRLETEEALQSGKLFLLKLLDSAVNDNDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDIVKEVTSNVHTCLYRNNLPAVRQYLETFAILIYLKFPTLAEEQLVPIFHDNGMRQQALSSYVFIAANVILHSREPVVQRNHLNQLLPPIIPFLTSHHHSLRSFTQLLVHCVLSKLWPILQLESSEDPFIERRCFQDLKKYLAENTDCARLRVSIEGFLDVFDPNTSGTPSGIFTARPESSGFECVPVSVLERVNDFLNDVREELRQSMMKDSVTMKNEDLAVGKHEMEKIIASQDFQKKIIPQRGRDCCEEGLSNGAVMGNNDISRLLFEIEEDDGVFNLAVESRKEAAETVRRSQQELIVVASLVERIPNLAGLTRTCEIFKAGGLVVADKSVAEDKQFRLISVTAEKWVPMMEVPVESVKVFLEKQRSEGYTVVGLEQTANSKPLDEFCFPKKTVLVLGREKEGIPVDIIQQVVDVCVEIPQLGVVRSLNVHVSAAIAIWDYTRQQRLQAPPQQY* >Brasy2G184400.1.p pacid=40069339 transcript=Brasy2G184400.1 locus=Brasy2G184400 ID=Brasy2G184400.1.v1.1 annot-version=v1.1 MEGELGYLSPAAMSPCVSAEGFGSPISGSPREKLLDSSPSSCVSDDRGGGCRLRSPTSGSSLEMQQLDSPSSCVSDGRGGSHDSPLGASAEQVREAERLLRAISDRYDDCFIRLRDAMAELSDLRLERLRLRAENVHLSLLLEDLEAYQRSQAFPVAATLPLKPAEEAAARGGAPKSISIRSKSYLAEKHTEAQRLRVRAAPAMEEAGEDEEKGDGEVEVDAYRQGSHKTELCNKWERGACPFGGRCRFAHGLQEMRPVIRHPRYKTQPCQMMAAASGCPYGHRCHFRHSPKPAAADCY* >Brasy2G492000.1.p pacid=40069340 transcript=Brasy2G492000.1 locus=Brasy2G492000 ID=Brasy2G492000.1.v1.1 annot-version=v1.1 MAFVVSVNKMVYLDCQKNHATALGRHCVDGCMEYVPPAGNSLNCEACGCHRDFHRSLVVKVRVPVSVSQPPQPQPMPAAVLPGVDSDDDESSAITSAVTTNAPSASTASSSAHPGAAMDGPASVNGSSSPQSK* >Brasy2G427900.1.p pacid=40069341 transcript=Brasy2G427900.1 locus=Brasy2G427900 ID=Brasy2G427900.1.v1.1 annot-version=v1.1 MADVAAGVNKAQVAREVCAASAAFASCTHHRGPRRRPAAPFVDWYLVLAIGEAASEEAIRRRYRHLALQLHPDKNRHPKAEVAFKLVSEAHACLTDKARRRAFDTERATAFCAACHQDRSRTTSTAPSTAAATTTSGSSNPSSKKTSTACSSAQQHCPVPKPRCGGGGGGVRMSAQALREVQNRLRDEWRVIDGCLRANNSNARRQSFPLFDPSDHRRRIPDYPHARPAPPQHAGFPPTPFEGQDQSWCRGVGVGGGGGGGICESPVYQISTAPERAARTKRPW* >Brasy2G374400.1.p pacid=40069342 transcript=Brasy2G374400.1 locus=Brasy2G374400 ID=Brasy2G374400.1.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G374400.5.p pacid=40069343 transcript=Brasy2G374400.5 locus=Brasy2G374400 ID=Brasy2G374400.5.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G374400.2.p pacid=40069344 transcript=Brasy2G374400.2 locus=Brasy2G374400 ID=Brasy2G374400.2.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G374400.3.p pacid=40069345 transcript=Brasy2G374400.3 locus=Brasy2G374400 ID=Brasy2G374400.3.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G374400.6.p pacid=40069346 transcript=Brasy2G374400.6 locus=Brasy2G374400 ID=Brasy2G374400.6.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G374400.4.p pacid=40069347 transcript=Brasy2G374400.4 locus=Brasy2G374400 ID=Brasy2G374400.4.v1.1 annot-version=v1.1 MSRGTGARQQKISSSSHLLSLLSFPSPPYPRRPPLPSAALDLSPSPPCPAVPEPRRRPSLLPSPRRLLRATLPPFSLTVSAPSYLGLPAAPVFLPHLHKPDPEVPSYSLFRFLLPMGRSASCDHDD* >Brasy2G166500.1.p pacid=40069348 transcript=Brasy2G166500.1 locus=Brasy2G166500 ID=Brasy2G166500.1.v1.1 annot-version=v1.1 MGKGGQDEARRPDGSGSEPAVPAFPVWARTPSECLAELGVSADRGLSSEEAAARLQKYGPNELERHAPPSVWKLVLEQFNDTLVRILLAAAVVSFVLALYDGAEGGEVRATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVVGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETSSVNKTSHKIDLEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMATEIGKIHSQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICILVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRDFKVDGTTYDPSDGKIHEWPSLEMDENLQMIAKIAALCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNDAKRVGTLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSAYIQLLDGSVVLLDEGAKALILSRLSEMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIENNMIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGPSENISSRSFAGKEFMALPDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGTATVGIFVIWYTHGSFLGIDLAGDGHTLVSYSQLSNWGQCPSWEGFNVSSFTAGSRTFEFDANPCDYFQGGKIKATTLSLSVLVSIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQIFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQLGKRKEE* >Brasy2G215900.1.p pacid=40069349 transcript=Brasy2G215900.1 locus=Brasy2G215900 ID=Brasy2G215900.1.v1.1 annot-version=v1.1 MSSSKYRNRSSARIACSSKRPSPLIACSSSSNNKRARRASAAAISDASPWPSLCEDLVRLIGWTLLAGDLRDYVRFRAVCRRWRSGSVCPRGRGIVDPRFYPRRWMMLPEGRGLHPGHGKLGGYIRFFNLSTGAFVRAKLPLFRNHCILDSVDGLLLLQRDQDTAIRLLHPFTGDIVDLPPLATLPRLPGVHYHMKDTCSYFRSVSATSFSVSADGVISVVIAISVSLLAFATSRDQQWHLGGCRLSRVWRPISFQGKLYMLHNATHPSNSGEIQIFQVDPPQLDGMGLALSPLPPPKLIATCPTHKKTHAPFYLAECDSEILLIGYSGALFGHILVYKLSDLILDRVVPLTSIGNNVILIDERTLSVSRKVLPSIVGDSIVTMHPMEVYLGQYHLITGTWSPAADGCITNYGAELGPYSLIYHVFTCCHRASWNKGAIRFQVKGQLLWKVKRKWRCGA* >Brasy2G042600.1.p pacid=40069350 transcript=Brasy2G042600.1 locus=Brasy2G042600 ID=Brasy2G042600.1.v1.1 annot-version=v1.1 MTSLSRTLSRGGPIQPPGPRRILRTQTAVNLGEPIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENVPTLTGRAQKSDAREIQTFYRHYYKKYIQALQNASDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILETADKVKEKTKIYLAFNILPLDPDSGNQEVMKFPEIKAAAAALRNIRGLPMPKNYERKVNEDLLDWLQAMFGFQTDNVSNQREHLILLLANVHIRRNPKTDEHSKLDDNALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEVFLKKVVTPIYSTIAKEVERSKREKGNHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFSQPLNPPDERNESTSRADKQKGKVNFVELRSFWHIFRSFDRMWNFFILALQIMVILAWSEGGSLGNIFDPVVFKETLSIFITSSILNLGQATVDIIFNWRARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWKNPKGIIRAIKHWFGNGQDHPSLFVIAVVVYLAPSMLAAVLFVFPILRRKLEGSDFKPMRLIMWWSQPRLFVGRGMHESAFSLFMYTMFWVALLLTKLVFSYYVEIKPLVEPTKDIMKFPITHFQWHEFFPSAKGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPFALNDCLVPVEASGVRRKRGLKSYLHNRSNEMKNADKEKLAARFAQMWNEIVSSFREEDLIDNREKELLLVPYVADQGLDVMQWPPFLLASMVPIAVDMAKDSDGKDRDLKKRLENDYYFRCAIKECYASFKNIINDLVQGEQEKGVINIIFVEVEKCIAEDKVITDLNMNSLPDLYNKFVELVKFLKKNDDKDRVAVIKIFQDMLEIVTRDIMEDQLPSIVESSHGGSYRRTEGTTTWDQEYQLFQPSGAIKFPLQFTDAWTEKVNRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPEAPKVRNMLSFSALTPYYNEHVLFSIKDLEEENEDGVSTLFYLQKIYPDEWKNFQERVGWKEEHNENEELKEDLRLWASYRGQTLTRTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAAESISAEEWKSLFAQCEALADMKFTYVVSCQQYGNDKRSALPNAQDILQLMRTYPSLRVAYIDEVEDRVGEKKIETAYYSTLVKVALTKDSESADPVQTLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRHPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASRSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSTQRKFSHNHALQVALASQSLVQLGFLMALPMMMEIGLEKGFGKALSEFIMMNLQLASVFFTFSLGTKTHYYGRMLLHGGAQYRSTGRGFVVFHAKFGENYRLYSRSHFVKGIELMILLIVYELFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWAKILDDWSDWNKWISNRGGIGVSPEKSWESWWEIEQEHLKYSGTIGIFVEIILSLRFFIYQYGLVYHLNITNNKSILVYLISWLVILVALLIMKAVSVGRRRFSANFQPFFRLLKFLIFVSFAAILVVLIVLVCFLAFLPTGWGILLIAQACKPLVRLVGLWGSVRALARAYEVIMGVLLFTPITVLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKEQASRNID* >Brasy2G204800.1.p pacid=40069351 transcript=Brasy2G204800.1 locus=Brasy2G204800 ID=Brasy2G204800.1.v1.1 annot-version=v1.1 MDLEFGRGMRSPLQRDSWKTTMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLDRNPETTEKTRVKVWLEKHKNLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHHEYAVIPITCVILAFLFALQHYGTHRVGFIFAPIVLAWLLCMSALGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIMLCWHKPPLLALGFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLVWHFTTIKKYEFDLQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHKVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDFHQDVDSFETELIESLATFIKLDASYRCSEASEQQLEEREPGLTVVGSNLLQDHSGYDFQDSVQHSAASVEMRPADSPSGTESELTAEANSAKQVRFFIDSLVASPEADKHVTEELEALSAAREAGTAFILGHSHVQCKPGSSVVKKLTVVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL* >Brasy2G204800.2.p pacid=40069352 transcript=Brasy2G204800.2 locus=Brasy2G204800 ID=Brasy2G204800.2.v1.1 annot-version=v1.1 MDLEFGRGMRSPLQRDSWKTTMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLDRNPETTEKTRVKVWLEKHKNLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHHEYAVIPITCVILAFLFALQHYGTHRVGFIFAPIVLAWLLCMSALGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIMLCWHKPPLLALGFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLVWHFTTIKKYEFDLQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHKVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDFHQDVDSFETELIESLATFIKLDASYRCSEASEQQLEEREPGLTVVGSNLLQDHSGYDFQDSVQHSAASVEMRPADSPSGTESELTAEANSAKQVRFFIDSLVASPEADKHVTEELEALSAAREAGTAFILGHSHVQCKPGSSVVKKLTVVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL* >Brasy2G204800.3.p pacid=40069353 transcript=Brasy2G204800.3 locus=Brasy2G204800 ID=Brasy2G204800.3.v1.1 annot-version=v1.1 MDLEFGRGMRSPLQRDSWKTTMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLDRNPETTEKTRVKVWLEKHKNLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHHEYAVIPITCVILAFLFALQHYGTHRVGFIFAPIVLAWLLCMSALGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIMLCWHKPPLLALGFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLVWHFTTIKKYEFDLQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHKVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDFHQDVDSFETELIESLATFIKLDASYRCSEASEQQLEEREPGLTVVGSNLLQDHSGYDFQDSVQHSAASVEMRPADSPSGTESELTAEANSAKQVRFFIDSLVASPEADKHVTEELEALSAAREAGTAFILGHSHVQCKPGSSVVKKLTVVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL* >Brasy2G100000.1.p pacid=40069354 transcript=Brasy2G100000.1 locus=Brasy2G100000 ID=Brasy2G100000.1.v1.1 annot-version=v1.1 MCPEALSSAAKPKRTKRKRPVAGLMRHRGGQCSKRPRRAVEEEWDEELPFVVKRRSRQALSPVAKPRRSKRKRLEADLKRHGVGERSKRPRRMGTEEKLPIVVKWRMREEEESAGAVPEAEEEKKVEIGQQVALESQPAPDDEKGQHPKEYSYILDLEKKEEEHMRLQNSSAYVAVDSNKFSSVPKELFDNVKGQTFSNSYYSSAYEAVASNKSGSMPKELFENVMGKLDKQEYIMDLEKEEEEHKRVQKFILYAADLKRLTPMFLKFVFFCAPYQFFSFSSLAKHYHKHVQKIKDSSAYVAVASNRFGSMPKELFENMEEKVDKQHPTQIFVDIFGSHVSMQEDLGKLSVKSLIQTAFRRIGVNTNDFYSTCSGKYLDEDRILSHCQILKDSTVKVHHRLRAGR* >Brasy2G078500.1.p pacid=40069355 transcript=Brasy2G078500.1 locus=Brasy2G078500 ID=Brasy2G078500.1.v1.1 annot-version=v1.1 MPQSFSLSACVLLLAASTLPIISYSTSLQPIPISTAISQHRSSPMAPWSPEEDARLTAMVMKHGAERWSYISTGVPGRTGKSCRRRWCNHLSPAVQNPRAPFTAEEHAFGIREARAGAAAQFAHTNAAASAAAAAAEKGKAVVIDVEEDEAYAGVKVNNEQPPPAKRQRVSNEGGGSASAAGGDADDAWLTLTLGLPQQQPVEQVITRQAPMAPPVAAETPAVMAAMREMVREEVERQAGQLVASVFMAARAGQL* >Brasy2G179400.1.p pacid=40069356 transcript=Brasy2G179400.1 locus=Brasy2G179400 ID=Brasy2G179400.1.v1.1 annot-version=v1.1 MPLSRHTVANEYSLGGRDLYKRADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEAMTTSARGHGLVLRVQQLEAELPLLEKDSCQRDYLYVASDRGIDWHSNPRVDHGLVTRGHTPRFIMNSIKRCHGPPRLFMLDKYDIGGEGTCMKRYSDPAFFKTDSACSSMLQEGIRRERRPLRAMEIRPNLQNSEIFIPPNSDSKSETDLSVEALDEAPTRRQRLKNRQLNGSVFRSFRPQMQDLYEKASSPEEKTFSMDQSEAQISFTDSPDTNAEERDIMVDTSSSMDRGKEGHHTVMHKNRSMCEEALSRSSDARSEGSSSKGYNSEIDIFVDALTTMVSEVETDTEHRDHGKRASSPALSAKACSDAHGAVLSNSSSFSKEEDSPMSYSSDVASANGGNPEDEDITVHVPQAKPVMGEHERTSSLEELFEQEKPVSCEHERTSSLEELLTGNVLVSEPDMKELATESNTNGLVSNATSDRAVDPTKKAKENNISTISFKKTASKRLKCVESMEIFASKVGILPRKLSKKHDPFSDSLRNMAKELLELKCDGTQDTDLYDFEANGEEFNVKCREMSHHPVEIKEESVRQRISSESPQNHVSSRECQSEANKESDHDVPPTDSPQDLVFDENGFQHTSIYLTDITSPSPQEGCAGATSDEHLSAGMLNLALELMQEKIENIHTEDVSENASYVGEELKEVCICEEQVNVEDTKECGESDEYKSEDDEITEYIEDHVVPDGVISSPTSSKLSDDLSQATPFGLTDADGAMASEGANNYIPEMEHTTLLETITETEVPKLVPESVISSEASVPDDEQCYLHPETSFAQDTVLRSCEVIGPNDQLQQCSSSMMAKTPDPTVHELHQEQPNPFISSTEIFADQMAPDARYVPLPNISSFDWMLNGAMQQSLNVLPAPSTNGFLQEIPSSEDTEDAPPLPPLPPMQWRATKLQTGSTALFAKIGKPPRPKPAVKQNENERNSSLDEMNQEDQNLQETILQKGFTLLQKEMTPTTVSDEVQTNPLLDMDSQENHLQEEYKDYDMQAPNLFSASEVKSAACLVSVEGDNLHTLPELIVIPEEAWSELVDIEPMLQQEEKRKEELRNRVSDSSDMHTAGLPIEKTNLEYEISDQKVKESDQKEKELPAADRNTIADSEENKPEGLPCQDDTQNPDFSVQREDGEHGSSVDKAREFSLALEEELAKLPPHPVPEPPKCPLLQVTSHDRSMLRKAPTLVQPSSKLSDEKNTVLEQIKNKSFNLKPVIVKRPNVIGGPRTNLQVVAILERANLIRQAVADDDDDDSWSE* >Brasy2G179400.2.p pacid=40069357 transcript=Brasy2G179400.2 locus=Brasy2G179400 ID=Brasy2G179400.2.v1.1 annot-version=v1.1 MNSIKRCHGPPRLFMLDKYDIGGEGTCMKRYSDPAFFKTDSACSSMLQEGIRRERRPLRAMEIRPNLQNSEIFIPPNSDSKSETDLSVEALDEAPTRRQRLKNRQLNGSVFRSFRPQMQDLYEKASSPEEKTFSMDQSEAQISFTDSPDTNAEERDIMVDTSSSMDRGKEGHHTVMHKNRSMCEEALSRSSDARSEGSSSKGYNSEIDIFVDALTTMVSEVETDTEHRDHGKRASSPALSAKACSDAHGAVLSNSSSFSKEEDSPMSYSSDVASANGGNPEDEDITVHVPQAKPVMGEHERTSSLEELFEQEKPVSCEHERTSSLEELLTGNVLVSEPDMKELATESNTNGLVSNATSDRAVDPTKKAKENNISTISFKKTASKRLKCVESMEIFASKVGILPRKLSKKHDPFSDSLRNMAKELLELKCDGTQDTDLYDFEANGEEFNVKCREMSHHPVEIKEESVRQRISSESPQNHVSSRECQSEANKESDHDVPPTDSPQDLVFDENGFQHTSIYLTDITSPSPQEGCAGATSDEHLSAGMLNLALELMQEKIENIHTEDVSENASYVGEELKEVCICEEQVNVEDTKECGESDEYKSEDDEITEYIEDHVVPDGVISSPTSSKLSDDLSQATPFGLTDADGAMASEGANNYIPEMEHTTLLETITETEVPKLVPESVISSEASVPDDEQCYLHPETSFAQDTVLRSCEVIGPNDQLQQCSSSMMAKTPDPTVHELHQEQPNPFISSTEIFADQMAPDARYVPLPNISSFDWMLNGAMQQSLNVLPAPSTNGFLQEIPSSEDTEDAPPLPPLPPMQWRATKLQTGSTALFAKIGKPPRPKPAVKQNENERNSSLDEMNQEDQNLQETILQKGFTLLQKEMTPTTVSDEVQTNPLLDMDSQENHLQEEYKDYDMQAPNLFSASEVKSAACLVSVEGDNLHTLPELIVIPEEAWSELVDIEPMLQQEEKRKEELRNRVSDSSDMHTAGLPIEKTNLEYEISDQKVKESDQKEKELPAADRNTIADSEENKPEGLPCQDDTQNPDFSVQREDGEHGSSVDKAREFSLALEEELAKLPPHPVPEPPKCPLLQVTSHDRSMLRKAPTLVQPSSKLSDEKNTVLEQIKNKSFNLKPVIVKRPNVIGGPRTNLQVVAILERANLIRQAVADDDDDDSWSE* >Brasy2G104100.1.p pacid=40069358 transcript=Brasy2G104100.1 locus=Brasy2G104100 ID=Brasy2G104100.1.v1.1 annot-version=v1.1 MFHHSDSSKLHVLHLSFWTFSFSSPPFLCCRNLRFLFLNHCKDGQETAQDQERRHGRRSGRGEACWCPFRRPRRCPASGAEPGGASRGQTSYSNPGSAPVRRPFPVTALPPVRSYLWQSTVLLPSCGAMSACCRLIGMDGGYPAAGHAVPWLCQGTGSGSLPHCSFSSSRSQAEDKPAPCLAAVLISLPLCSTAVLISLPLCSPGGGGRGRGCHGEGRGCRWRCCGCGRGARRRVRGPCPSSSRCSGLPCRAAAYTGCVCFWIFLNIVILLAFGSFDFFRTCVP* >Brasy2G285500.1.p pacid=40069359 transcript=Brasy2G285500.1 locus=Brasy2G285500 ID=Brasy2G285500.1.v1.1 annot-version=v1.1 MSNYYSSPGNERDSQTMYSPDSGSASYPVSSAALGNLIYSNNASSGPYTEFNGIIQSHQNFMELSGHPSEISHHSSSTEPNMVTSLTDQHSFGPAKDMRNEMLTHFMDGAHSSGGDLLHNDTHNSAQLEFGLLNSHNSTSVPSAPGQGLSLGLNTHILAPSYPYWSMKPDLMAAQSYQGDHNIMKDMQSEASRAIRNSKYLKAAQELLDEIVSVWKIIKQNAQKNQVETGKVDGKEADGVSKSEGLSSNPQEATANAAAEISAAEKQELQNKMAKLLAMLDEVDRKYKHYYHQMQIVISSFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQVNVIRKKLGEEENSSGREGKLTRLRYIDQQLRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDAELDSNSSSENVPRSKDKVASSEEKEDLKCSMLAESKANFGMVDLTGAPASFHNEVNSDDSFMNLLLKDQRPGESDGSLLHDAIAHHSDESARFMAYHLAELGGNGNSNVSLTLGLQHTENSLSAPNTHQPGSVAVREEDIYNTTAPPGVATASTDYESTNQMDQRQRFEQSPLLHDFVA* >Brasy2G285500.2.p pacid=40069360 transcript=Brasy2G285500.2 locus=Brasy2G285500 ID=Brasy2G285500.2.v1.1 annot-version=v1.1 MSNYYSSPGNERDSQTMYSPDSGSASYPVSSAALGNLIYSNNASSGPYTEFNGIIQSHQNFMELSGHPSEISHHSSSTEPNMVTSLTDQHSFGPAKDMRNEMLTHFMDGAHSSGGDLLHNDTHNSAQLEFGLLNSHNSTSVPSAPGQGLSLGLNTHILAPSYPYWSMKPDLMAAQSYQGDHNIMKDMQSEASRAIRNSKYLKAAQELLDEIVSVWKIIKQNAQKNQVETGKVDGKEADGVSKSEGLSSNPQEATANAAAEISAAEKQELQNKMAKLLAMLDEVDRKYKHYYHQMQIVISSFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQVNVIRKKLGEEENSSGREGKLTRLRYIDQQLRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDAELDSNSSSENVPRSKDKVASSEEKEDLKCSMLAESKANFGMVDLTGAPASFHNEVNSDDSFMNLLLKDQRPGESDGSLLHDAIAHHSDESARFMAYHLAELGGNGNSNVSLTLGLQHTENSLSAPNTHQPGSVAVREEDIYNTTAPPGVATASTDYESTNQMDQRQRFEQSPLLHDFVA* >Brasy2G224000.1.p pacid=40069361 transcript=Brasy2G224000.1 locus=Brasy2G224000 ID=Brasy2G224000.1.v1.1 annot-version=v1.1 MDKRSRPLALLSLLCLSLLRAGCAASVTAGSSDGSELWGFVEVRPKAHLFWWYYKSPQRVSTPSKPWPTVLWLQGGPGASGVGLGNFLEIGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDRLLVTTDLQAATDATTLLKALTKELPALQQGSPFFLVAESYGGKYAATLGVSIARAVRAGDLKLNLAGVALGDSWISPEDFTLSYAPLLLQVSRLDDNAGDAAKQKAATVKEQIAAGQLAAAQGSWGELLDFIGSKSASVDVYNFLLDSGMDPVAAVDLPASSSSSPTSTQVMKYSTYLGSSQPDSNTIGGIMNGVIKEKLKIIPKNLEWHEQSDPVYNALVNDFMKPRIDEVDELLSYGVDVTVYNGQLDMICSTIGAEAWVQKLKWNGLKNFLSLQRQPLQCGASKATKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMIGSITQSPAS* >Brasy2G022200.1.p pacid=40069362 transcript=Brasy2G022200.1 locus=Brasy2G022200 ID=Brasy2G022200.1.v1.1 annot-version=v1.1 MMMRVIRRCSDNKDGGAASSVVALARAAALLVVVMQLHAGAAQQMPSPGYYPSSTVRSMAFSQGYTNLWGPQHQSLSPDQTSLTLLMDRSSGSGFKSKKSYRSGYFGVSIKVQPGYTAGVNTAFYLSNSQLYPGQHDEIDMELLGTVPGEPYTLQTNVYVHGTGDSTPLIGREMRFHLWFDPTADFHHYAILWDQNQVVFLVDDVPIRRYQRTAAAALFPGREMWAYGSVWDASDWATDGGKYRADYKYAPFVARFREFKLAGCEVGGPDACRPLPAGPGGGGLSGKQEEAMRWAQQRSMVYYYCTDRSKNRANYPEC* >Brasy2G088000.1.p pacid=40069363 transcript=Brasy2G088000.1 locus=Brasy2G088000 ID=Brasy2G088000.1.v1.1 annot-version=v1.1 MSVSLLLCSNLHYPQRPPRPSLLRGIQWGLKPLCASLPPPARHLCLAAAAPGDSGERRLVEKRGVRVAAAASDSGGGGDVGGGSGTGITAAAAATVMLAVMNRVLYKLALVPMKNYPFFLAQVLTFGYVIVYFSILFIRYHAGIVSKEMLALPKSHFILIGLLEALGIASGMAAGAMLPGPSIPVLSQSFLVWQLILSVVILGRKYRANQIFGCLLVTTGVILSVVSGANGGPFLSDVKLFWPAVLMASSACHAGASVIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFVFLLLPLLSNLKGIPLAELPAYVNHGAACFLNIGGNLNDCHGAPLLPLLFITMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYMPGGTSLSTSFLVGAAVLVLGLLLYNLPQNSSHGQ* >Brasy2G229600.1.p pacid=40069364 transcript=Brasy2G229600.1 locus=Brasy2G229600 ID=Brasy2G229600.1.v1.1 annot-version=v1.1 MRSICAMTALLSVCSSTSLRSSAFIPRAPFLSLPFRPDLSSSKLRLARSISTAAAAAVKARTGGGRPLMEVRAAQSGEIHVIVGPMFAGKTTALIRRVQAEAATGRTVALIKSDKDNRYGLDSAVTHDGTKMACWALPKLSSFQDKLGIEAYDKVDVIGIDEAQFFDDLYDFCCKAADHDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGHRAFFTLRKTQETRTEFIGGADVYMPVCRQHYLDGQIVIEATRIVMEVERSTVTHALK* >Brasy2G182800.1.p pacid=40069365 transcript=Brasy2G182800.1 locus=Brasy2G182800 ID=Brasy2G182800.1.v1.1 annot-version=v1.1 MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRESGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLRTYLNLQAKVTTKEENGGGGTGARKNSSSFLKSTITTLLHNLNQAEKSSYVAHINTYLGEDQFLKKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPETSPETTLETKDPDQRAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGFTSDAKQVTLTQTASRDDVLVSREERAFRMWINSLGVETYVNNVFEDVRNGWVLLEVLDKVSPGSVSWKLASKPPIKMPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKEITDADILNWANSKVKASGRTSRIESFKDKSLSNGVFFLELLGAVQPRVVNWKVVTKGENDDEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQRQPQPQSETPEPSEPSSLASDATSDVGSEDGASTVAPSEGEEVNSLSESISNLTTDDAISNAPPAENGNDAAGS* >Brasy2G182800.2.p pacid=40069366 transcript=Brasy2G182800.2 locus=Brasy2G182800 ID=Brasy2G182800.2.v1.1 annot-version=v1.1 MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRESGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLRTYLNLQAKVTTKEENGGGGTGARKNSSSFLKSTITTLLHNLNQAEKSSYVAHINTYLGEDQFLKKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPETSPETTLETKDPDQRAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGFTSDAKQVTLTQTASRDDVLVSREERAFRMWINSLGVETYVNNVFEDVRNGWVLLEVLDKVSPGSVSWKLASKPPIKMPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKEITDADILNWANSKVKASGRTSRIESFKDKSLSNGVFFLELLGAVQPRVVNWKVVTKGENDDEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQRQPQPQSETPEPSEPSSLASDATSDVGSEDGASTVAPSEGEEVNSLSESISNLTTDDAISNAPPAENGNDAAGS* >Brasy2G214800.1.p pacid=40069367 transcript=Brasy2G214800.1 locus=Brasy2G214800 ID=Brasy2G214800.1.v1.1 annot-version=v1.1 MSAMVASSLNPEAPLFIPAAFWQVEDFSPQWWDLVKTTPWFRDYWFHQHQQLDDMADSLLAFDADDDVAGLLPDAIDDDLFYGHTVAVEAAQPPVPLKTDAVLKALSLASPKGGDALRGFVEKPRHSEKPTKYAGSPRSGGSAPRFIHQPR* >Brasy2G119700.1.p pacid=40069368 transcript=Brasy2G119700.1 locus=Brasy2G119700 ID=Brasy2G119700.1.v1.1 annot-version=v1.1 MAAWRASRGWDDGGCAGRDSGWAAGLRGARAAWREGLDWDSGDEGRGGMRPCRLDGDRVGLGRRRHGPGQDMALTAAGWGLDGGHAGRGGFCDGGPVAGARRRRAGTAASRERGARAGRRRL* >Brasy2G083800.1.p pacid=40069369 transcript=Brasy2G083800.1 locus=Brasy2G083800 ID=Brasy2G083800.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy2G476300.1.p pacid=40069370 transcript=Brasy2G476300.1 locus=Brasy2G476300 ID=Brasy2G476300.1.v1.1 annot-version=v1.1 MAGSTTPLKFTVRRTPAERVPPAGPTPRELKLLSDIDDQDGLRFHIAAIYFFRRRDDAGDPAAVLRDAVARALVHYYPLAGRLRELEGRKLAVDCTGQGVPFTEADADVRLDQFGQDLQPPFPCLDELLFGFDDDVPGTGILGAPLLRFQVTRLACGGFVFGVRVQHAVADAPGTVQFLGAVAELARGAAAPTVRPVWSRELLMARHDDLTQRRFAHREYDEVPQDTTNGAMVVPLDEMTHRSFFFGPREVAAARSHLPPALRRSATTFELLTGCLWRCRTVALAPGADEEMRVICIASIRGRRGGGAIPSGYYGNAFAFPVAVSSARDLRANPVGYAVELVRNAKREVGLEYVRSVAGLMVRRGRPHFAVARAYLVSDVSKAGFRDLDFGWGKAAYAGPAKGGVGAIPGFASFFIAGVNGKGEDGVVVPVCLPAPAMDKFVEEMGKLLRPVVPAVGVQEPVPVPPLMIHSAL* >Brasy2G187100.1.p pacid=40069371 transcript=Brasy2G187100.1 locus=Brasy2G187100 ID=Brasy2G187100.1.v1.1 annot-version=v1.1 MSGFWAKLPLLRSMMLAHPEAEFLWWVDSDAVFTDMLFELPWGRYAGHNLVLHGWEAKVFDEKHWVGINTGSFLIRNCQWSLDLLDALAPMGPRGPVRDMYGELFARELAGRPPFEADDQSALVYLLVTQRGRWGDKVFFENSYDLNGFWEGIVDRYEEMRGRGRGDGQWPLVTHFVGCKPCRRYVDSYPAEKCRLGMERAFNFADDQILKLYGFAHESLNGTAVRRVGNDTGGPLDADDGELARLLHPTFRAAVPT* >Brasy2G329600.1.p pacid=40069372 transcript=Brasy2G329600.1 locus=Brasy2G329600 ID=Brasy2G329600.1.v1.1 annot-version=v1.1 GKEAHVETTRVNMIGSRRPDRQTQGSVPRAQYGGAHQEDRPVHKRAGEGAGRASPSTLTTYKVRFIEVCRTKTEGSGSLRQRQATR* >Brasy2G152200.1.p pacid=40069373 transcript=Brasy2G152200.1 locus=Brasy2G152200 ID=Brasy2G152200.1.v1.1 annot-version=v1.1 MESGAKSEANQGEEGSGAAGSSGGGSKVYHERQRMQFCLLHALNNLMQENEPFTRAELDGIAENLVLTDPNKDRWTPLSLIFKPHHNALTGNYDVNVLIAALETRKTKVVWHDHRKGASSIDLDAGALVGLMINVPVRRLRGLWTGRHWVAIRSIDGNWFNLDSDFSSPKQFQGKEQLIEFLDSILSQGGELMIVLRDE* >Brasy2G464600.1.p pacid=40069374 transcript=Brasy2G464600.1 locus=Brasy2G464600 ID=Brasy2G464600.1.v1.1 annot-version=v1.1 MQPTEQQQQRKKGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIRHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSRAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLSSMRKKQPVSFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLGKVEREPSCQPITKMEFEFERKNVTKADVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSAVDNFRRQFANLEENGGKGGAVIPSDRKHVSLPRSTTVHSTPIPPKDQKYSQVPQRIPTGRPGRVIGPVIPFENSSAMDPYSQRRVARNPVLPAAATNLSAYAYHRNSDNSERELQQELEKDRMQYQPMQRFMDAKMVSPDLRSTSYYMPKGVPKADVAERSALQSNMMQGIAPFSGIATVGGAYNKVSAVQYGVSRMY* >Brasy2G164900.1.p pacid=40069375 transcript=Brasy2G164900.1 locus=Brasy2G164900 ID=Brasy2G164900.1.v1.1 annot-version=v1.1 MVDVNWELQGCCRHNQVVFIAAIGVSTVVILALWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILSSTHLLATRIAAGCFILALIIVLFVAKNWFLRWLCIGFIVFIGVVWVIQEFTTFRVLKYVILFIGVMNSLFSVYDIYDDTISRRVNSSDAEKFAEICPCPCNGVGWGVIWGFISFIFLCASIYLGLVILS* >Brasy2G164900.2.p pacid=40069376 transcript=Brasy2G164900.2 locus=Brasy2G164900 ID=Brasy2G164900.2.v1.1 annot-version=v1.1 MVDVNWELQGCCRHNQVVFIAAIGVSTVVILALWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILSSTHLLATRIAAGCFILALIIVLFVAKNWFLRWLCIGFIVFIGVVWVIQEFTTFRVLKYVILFIGVMNSLFSVYDIYDDTISRRVNSSDAEKFAEICPCPCNGVGWGVIWGFISFIFLCASIYLGLVILS* >Brasy2G210500.1.p pacid=40069377 transcript=Brasy2G210500.1 locus=Brasy2G210500 ID=Brasy2G210500.1.v1.1 annot-version=v1.1 MTAIGNPWVFAVGILGNILSFLVILAPVPTFHRVYKRKSTESFQSAPYAMALLSAMLWLYYALLTADLLLLSINAVGCVVEIAYLAVYLAYAPKRARAFTVKLVSVMNVALYGAMVAFLQLYVRDGDRRVAIAGGLGAAFAFAVFVAPLAIIRQVIRTKSVEFLPFWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALHLVYKNPKKKGVVSEAGQAVVAADGEKQNQLEHQQQHQQPAAAIINADGEVVNDEDASKQQSMTTVVVDIPLPPPEEHPALPPLEHPAPMPPPMRTAVEVV* >Brasy2G053300.1.p pacid=40069378 transcript=Brasy2G053300.1 locus=Brasy2G053300 ID=Brasy2G053300.1.v1.1 annot-version=v1.1 MSGGGAGGGGGGKGAAAAGPVPQASRKLVQSLKEIVNRPDAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRAANNATNRGVRGAPDRGGRNSFAHTSSIDNATSRSSVSGPGMLSTNSIQKQTVPSSSVNKTMVADGPSTQPQPSSGFQHGWSGTPGQLSMADIVKMGRPQAQGKPSAKTVVTADKGYAGQYPSLPSTVNQNLKQSASSVPPTEFDQGLPSAQDVDLVKDHSHPAADNKPKYDNDWSPQDDPLSGNLSSLPETSGDPSFYEAALHPSTLVADEVYSHENSYLDGNISASLRSVNASERHLDHYGGNSEYNDESLQNSNTYLPQKHSRIQDDVEEEPNADLPSSANFQGLSLHDEESSTTKFAEDNPAVIIPDHLQVANTGCAGLSFGSFESGAFSGLLPSKSIDNNVELPVVEESEPVDQIDTRDQDYYDSAAVNLSTNENLDNIIVANTENLDVPSVPQPDVPRQEILDDPSGVQYNLPSVSSHAYSNPAQPNAMEAMQGNNQAHSFNHLSSLLHTNTLHSNLLGSNMSPLRDLDFSLSPLLAAQSMGTRYNSAAPTTTGQAISMQEPLKSGVFSNNQSTQNLPSTSIQTGPPLPQQLTVHPYSQPTLPIAPFTNMMGYPYLAQNYPAYLPSAFQQAYSSNGQFHQSAAAVPGAGMKYAMPQYKGNLSATNLQQQQPSSVLGYGGFGSSSNLPANFNLNQNATSASTNLGFDEALSAQYKDANQYMAALQQQGDNSAMWLHGAGSRTAAALPPTQFYGYQGQSQQGAFRQAQQQQPQQPSQFGGHGYPAFYHSQSGMTQEHHPQNPSEASLNGYQAAPSQQSHQSWQQHTNY* >Brasy2G097700.1.p pacid=40069379 transcript=Brasy2G097700.1 locus=Brasy2G097700 ID=Brasy2G097700.1.v1.1 annot-version=v1.1 MASYDDEGDSARRRPPQQQHRPSGGGSGDLAASAKLVAEAAKAALQDHNLGKVDKGRTAEAAADLLHAASLYGKLEGKPMGGYIDKAEEYLHKFGAKEGGSAGGKHQQGGHGGSGGGGKYQEDEYKKKPSGGGRYEEDDEYRKKPSGGGRYEEDDEYKKKPTGGSGYGGGDEYKKKPSSGSGGYGGGRYEQEDDYKRPPSGGGYGGGRYEEDDGYKKKPSAGGYGDGGRYEDEYKKKPTGGHGGGRYEEDDEYKKPSGGRYEEDDYKKKPAHSGGRYEEDEGYKKPSGHGGGRYGKEENEEDNKKKHGGRHDSGKDESEGGGIGDYVKLAQGFMKKQDGEGGSGGGGMGDYLKLAEGFLKKR* >Brasy2G127800.1.p pacid=40069380 transcript=Brasy2G127800.1 locus=Brasy2G127800 ID=Brasy2G127800.1.v1.1 annot-version=v1.1 MPKTSSSHSPTVSPMNPLLPSSSYLKSHHPPDPDPSSPNPSPCSYLLHVDADDEALIQIHGPNPSSGVASSLALPHVDPTPHISSQFYTFTAASHALMLRCLLAGRPAAAEEVRAATAPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRYLQFKSNPAQRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIRQSWTVGAKFYGIPESFVRVCVAACPVCKAAPAGLPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSNGNAASSSANASEAKKTRGLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYQEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGTFEFDPDIYDVNEEADPNFTIKGDIYVDIDDSHQAVLQQVRDLRAEVVSLEGKVVKMQPELLGSLSSELSEILHRIRKFNLDGNAYQPEETLMIGNEVVGGWGTDDVSHHLDHHDGAFCKDDEILDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKDNAGDFDEKSILNCGDDDGVEDSKIIKPLMHDETMVTDSSLLGLHVDGFYSVPKWYDSPIGLDSSGDAGDASFRHGLV* >Brasy2G170800.1.p pacid=40069381 transcript=Brasy2G170800.1 locus=Brasy2G170800 ID=Brasy2G170800.1.v1.1 annot-version=v1.1 MTAKGGASPSPGGAGAAANGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRIVPMQLVRSRVQLAKISALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATLFMEDNVVGVTIELAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYTLTVIGVILYSESKKRSKP* >Brasy2G337700.1.p pacid=40069382 transcript=Brasy2G337700.1 locus=Brasy2G337700 ID=Brasy2G337700.1.v1.1 annot-version=v1.1 MEDVVVEEAEVSGAAEFAPALVAAHPLGHSVAVAVGPELRVFDLKGGCAVSMSDDSGGSSHSDAIRAISFSADGILFASAGADKLVKIWKTDSWRCIRTITSEKRVSAVAISKDGLYVTFADKFGVIWLVTVGEDGEGLVSADSKPVSIFGHYCSIITSMKFSPDGRYIATADRDFKIRVTVFPKNPIKGAPEIQSFCLGHTEFVSCIAFTHLSGGLSFLLSGGGDSTVRLWDYINGCLLDTCHVKGKVGELAEPNETEDSNLAVADICMTNDGSRAAVAIQSLNGVMLLRCDHVDKKLSFLKVITMENSYIPTSLASSSSADLLWTVMGASNMPNQAASQLLTRVRIIPHFKKDLLISADHSPAFLEDSEVPHGEKLLLALQGSLDVSKQEEVLASVLAALKVSMHKMLVKKNYSEERREQRKRGRNDKKIKK* >Brasy2G124600.1.p pacid=40069383 transcript=Brasy2G124600.1 locus=Brasy2G124600 ID=Brasy2G124600.1.v1.1 annot-version=v1.1 MVLESTMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIVFIGSPVKYDKKVLETIGKKLKKNNVALDVVDFGETDDEKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGATGYDFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGENKDHASSSNSDSVMAEAEPAANASADDKRDLPKEDEDAQLLQQALAMSMDEGASGAAAVADAAMAEAGADDDELALALQMSVEDAQMSGQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLNGQGEQEEKKDNSDKPNNPEDGKN* >Brasy2G393300.1.p pacid=40069384 transcript=Brasy2G393300.1 locus=Brasy2G393300 ID=Brasy2G393300.1.v1.1 annot-version=v1.1 MSPSAPAKLLRPRKRTLKAYTIKGSDVVIKPGDSVLLKAPDSSKSPYVARVEAIEAAGSRGTNVRVKVRWYYRPEDSIGGRQAFHGAKEVFLSGHQDVQSVDAIEGKCKVYSFQDYIKFDVVNDEDYFCRFKYNEVTGTLVPGTITVYCKCAMPCNPDKLMIQCEECTDWFHPACIGKTIIEAKKLEHFSCGSCAAEK* >Brasy2G129700.1.p pacid=40069385 transcript=Brasy2G129700.1 locus=Brasy2G129700 ID=Brasy2G129700.1.v1.1 annot-version=v1.1 MNLPEDLIAQVLRLLSPRHLAACRSVCKDWRAIADARGMLLAVAHLVPQGPRGIFLNFRGKENHSFFSRAGGAAPADGALRFLPNIWRRRSRVLDHRNGLVLCDIADKVYVCNPATRRWSKLPRPPKAFRVAWLHRRMYVMFDPTVSLHYEVLFFPDAPFMPDPAPCLRKRTSAGFVLYPGIESSAVRENYEQEVNTVGAMEWPPCLYRVQVFSSRTGQWEERHFIREAAAGDSVATTTASDVWSGPWALGHYAVYWRGAFYLHCQGGFIIRLLLLESTYLVIQTPNYLEAFSKRPALHSSKYDEENDATIIPYAYLAKSKQGVYFTAFYLYRLCVWVLHETSESCRSPKWELKHQADLKPAFVRHYSEHQREETGKSWIIDPYEKESLSEDGPNHTWDSDDDSNAIDAQEGIEDGKASTIYYCGMDFLGYHSYKEIAFVGNRHNAFAYYLGNSKLQYLQSLYPTNLIDFPRQAPTLESFIYTPCVDDLLPARS* >Brasy2G461700.1.p pacid=40069386 transcript=Brasy2G461700.1 locus=Brasy2G461700 ID=Brasy2G461700.1.v1.1 annot-version=v1.1 MLKKKERFFLFFLPSLPPISCPPGPPVSCSRGRSSASNAPTLRNWRRHPIPNSGASPDPQLRGASPPHESARRLIAMLRRRERGWPRASMPSSASCDVTTGGCSPPHVRDRPCHPPTPPPASLPTSRPLQHIRA* >Brasy2G200100.1.p pacid=40069387 transcript=Brasy2G200100.1 locus=Brasy2G200100 ID=Brasy2G200100.1.v1.1 annot-version=v1.1 MLPTPPIPTSTCKTPSISCSPTSSSRIKPPSPQPTIISSNNNSSTSRRPSPPWLYPKPYLQHPAPPAQPCAPATPPRLTRAGPAAAAAAAQPARGAGEGAGGGEKGAGRARAGRRGCHRVEGGPGGAAGAKGGLVGVPWRPAP* >Brasy2G193300.1.p pacid=40069388 transcript=Brasy2G193300.1 locus=Brasy2G193300 ID=Brasy2G193300.1.v1.1 annot-version=v1.1 MSPAPPSSGRIRPWLVVGDLVLAALWVSVGALVKLFVYSVLGLGGRPEAEAAKVSLSVVYMFLFAWLESVTGGASYNPLTALAGALASRGGLALYLFTVFVRIPAQVIGAVLGVTVIQEAFPKVGKGAVLSVGVHHGAVVEGLATLMVVMVSVTLKKKQMNGFFMKTWISSIWKNTIHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTFLTKPKKIKDNKKDQ* >Brasy2G217400.1.p pacid=40069389 transcript=Brasy2G217400.1 locus=Brasy2G217400 ID=Brasy2G217400.1.v1.1 annot-version=v1.1 MVVDDLLSGSKMVAASCRRPAAAPYAPPRPSPCLREETRRRSGGSLGWHGRPPAFTTPGGRACAARYISTSRSHLRAGEHGLGREGAPGIGKEAADGGAWWESCGGTRKKISTLVHDDTQRWPDLAPAVEAGTAAEAGRPDPVGTSGRRRCAGGGTLGAWGDGAGGAAEAETPRGPAAVVGEAGAGMAATAGGESSGGQQEGGRGLH* >Brasy2G049900.1.p pacid=40069390 transcript=Brasy2G049900.1 locus=Brasy2G049900 ID=Brasy2G049900.1.v1.1 annot-version=v1.1 MRVMSCWGRRTGATTSRGWRGSTRRASLMPWVNRFVHGIIKEHRGKAMTAGTGEAQPRDFVDILLSLQESEGLANADIAAVLWEMIFRGTDAMAVTMARLVLHPGVQASVHRELDEVVGKSSHVTESAMTSLPYLQALLKEALRVHPPGPLLSWRHRAMWDTYGHLVPAGTTAMVNQWAMSRDPDVCAEPLKFRPERFLPGGEAGPGVSVVGSDGRLVPFGSGRRSCPGKSLAMTTVAAWMATLLHEFEWMPAKTGAAVDMSEVLRLSCEMATPLQVRVRPRRGV* >Brasy2G244000.1.p pacid=40069391 transcript=Brasy2G244000.1 locus=Brasy2G244000 ID=Brasy2G244000.1.v1.1 annot-version=v1.1 MAAAAASPVSIKAAASSAGSARPARIGAPSMVGFGGRLSGGGRKKSSAAVRASLFAPKPAAAKDARPTKVQELYVYELNERDRESPAYLRLSAKQSENALGDLVPFTNKVYNGSLDKRIGITAGICILIQHVPERNGDRYEAIYSLYFGDYGHITVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLHQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKAAEPHACLNNFTN* >Brasy2G245000.1.p pacid=40069392 transcript=Brasy2G245000.1 locus=Brasy2G245000 ID=Brasy2G245000.1.v1.1 annot-version=v1.1 MGANGVVPCCSVATFMAALFLLATAAASASVPALYVFGDSLADVGNNNHLLTLLKADFSHNGMDYPGGKATGRFSNGKNSADFLAENLGLATSPPYLAISSSSNANYVNGVNFASGGAGVSNSTNKDQCITFDKQIEYYSGVYASLARSLGQDQAMSHLAKSIFAITIGSNDIIHYAKANTATRAQNPSQQFVDTLIKSLTGQLQSLYNLGARKVLFLGTGPVGCCPSLRELSSSKDCSALANAMSVQYNKAAEAVLSGMSTRHPDLHYALFDSTAALLRYINQPAAYGFAEAKAACCGLGDMNAKIACTPLSNYCSNRSDHVFWDFYHPTEATAQKLTSTAFDGSAPFIFPINIKQLSEI* >Brasy2G188200.1.p pacid=40069393 transcript=Brasy2G188200.1 locus=Brasy2G188200 ID=Brasy2G188200.1.v1.1 annot-version=v1.1 MERQRSGSSPNHLLSPKSLILLSFASSSLLFSFLFSLFALRFGRPLHLPFAVSIGGNGSAIAGLPVLRGGGAGVEVEEGAFLGGGGLGDDSAVEGDRRGRAGDLPVDSAVEVEEAVLGGGNGENPADGTLLEGADVTVAGNYSLTGLDSPMEVKGAVPVGGDGEKLAKGSVVEKPISVEGENLSKDDAGSAMRARSSLGNDSAPQGAAASLGKLERPTSAEAVNFSSMDASGPALGTKGEFLHPGRVGGNGDSYVQGAYASQQMAQWKISNHSTTNNNSKEAPINRTKQDANLTQEAIPGKMDLARSKTSQCDVYDGRWVFDDSYPLYTSNSCPFVDEGFSCEANGRTDKSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSLSRNQWESMMCLLREAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKSRIGQKRTRTLRIDTIDRSSSRWRGANVLVFNTAHWWSHHKTKAGVNYYQEGYIVHPHLDVSTAFHRALTTWASWVDRYINPQKTQVFFRSSSPSHFSGREWNSGGHCKESTTPLNDTRARPVPERNAIFEQVAKQMRTPVTIMNITNLSGLRIDGHPSIYGRKAGDLTTSSSQDCSHWCLPGVPDTWNELLFYHLVSSQEINVTS* >Brasy2G263700.1.p pacid=40069394 transcript=Brasy2G263700.1 locus=Brasy2G263700 ID=Brasy2G263700.1.v1.1 annot-version=v1.1 MFFFPSFPTPLPPPRPSFVPLRPPVRLLRSNQNQTTPRFAPCPPGTPRIPRSIPPPRRAPPGRPPEFPLSLQISRGGRLGARSLSPPPSRAPGDRIRVRPDKRDPTNRAVPRQPESRCPARGESRVPAANSHGSGGGGRGGPRLVGRAPARGGDLEACQP* >Brasy2G147200.1.p pacid=40069395 transcript=Brasy2G147200.1 locus=Brasy2G147200 ID=Brasy2G147200.1.v1.1 annot-version=v1.1 MQIFVRSICSNKTITLDVESGDTVDSVKAKIQDVEGVPPEEQRLVAAGLAQLEDGRTLADYNIVHDESWLELALRLRGGCLEPSLKALARQYNANKLICRKCYARLAPKATNCRRCRSNQLRPKKTFGHHY* >Brasy2G066100.1.p pacid=40069396 transcript=Brasy2G066100.1 locus=Brasy2G066100 ID=Brasy2G066100.1.v1.1 annot-version=v1.1 MPRRAGTGAPRLTAPVLSAAPDRAPGSPYCIARIRDLTNGRPPPAPRLRAARRRGAAGLRGRSQPRLLQVLLPRRREDRHRHHREEDQGGARHLRRPPPPPLPRLLRQWLRRVHPDRPAVEPERGEGSRPNISVRGFEIIDDIKKELESKCPNTVSCADIVALSARDAVKLAGGPSYELPTGRRDSLVSNREEADNNLPGPDIPVPKLIMDFVDKGFTAEEMVALLAGGHSIGQVRCIFIEPDATPMEPGYHAAISKLCDGPNRDTGMVKMDETTPNVVDGGSYFDLVLAKKMPLTIDRLLGLDSKTMPIIKEMSSKPDQFVPLFAKAMEKLSALKVITGKDGEIRKTCSEFNNPVASDSGASVIRISSVNPEDLEGLSAGGTHVSDGVSHGTQEPVQGGSDAGAAPAGKGAGEGKKHHKGKGRGHLKLRGGG* >Brasy2G467800.1.p pacid=40069397 transcript=Brasy2G467800.1 locus=Brasy2G467800 ID=Brasy2G467800.1.v1.1 annot-version=v1.1 MACNKFKWSPLLPVLLLAATAGISRAGNIAVYWGQNGGEGTLAEACNSGYAYVMVSFLSTFGNGQTPALNLAGHCDEASGTCARFSSDITACQANGVKVLLSIGGGSGGYGLSSTDEAQSLATYLWDNFLGGSGSGTSRPLGDAVLDGIDFDIETGNPAHYDELATFLSQLGAQGGKKVYLTAAPQCPYPDASLDRALQTGLFDNVWVQFYNNPPCQYASGSGDASSLLSAWNTWTSSVRVSGSFYLGVPASKDAAPSGGYVPPGELTSTVLPGVKGAGNYGGIMVWDRFNDVQNSYTSQVKDSV* >Brasy2G235300.1.p pacid=40069398 transcript=Brasy2G235300.1 locus=Brasy2G235300 ID=Brasy2G235300.1.v1.1 annot-version=v1.1 MIQLLFTVLGAEAGLAAALLFKTPLRKLAMLALDRLKRGKGPVMVRTVAATVLVVLASSLHSMAKIHGHASGGELDAPGALTPTDQVLLARHLLEASLMGYSLFLALIIDRLHRYIREMRGLKKNLEAVSKQNKTLEEVKLGTSDGSKPYQKDIASLNEEIKMLRLELKEKADEVKDAEARAVAAQTQSEGLMLKYDRLLEDKKHLHDQLQSGDIALSRSDGKKNT* >Brasy2G235300.2.p pacid=40069399 transcript=Brasy2G235300.2 locus=Brasy2G235300 ID=Brasy2G235300.2.v1.1 annot-version=v1.1 MIQLLFTVLGAEAGLAAALLFKTPLRKLAMLALDRLKRGKGPVMVRTVAATVLVVLASSLHSMAKIHGHASGGELDAPGALTPTDQVLLARHLLEASLMGYSLFLALIIDRLHRYIREMRGLKKNLEAVSKQNKTLEEVKLGTSDGSKPYQKDIASLNEEIKMLRLELKEKADEVKDAEARAVAAQTQSEGLMLKYDRLLEDKKHLHDQLQSGDIALSRSDGKKNT* >Brasy2G183300.1.p pacid=40069400 transcript=Brasy2G183300.1 locus=Brasy2G183300 ID=Brasy2G183300.1.v1.1 annot-version=v1.1 MRKRERENPCGICGHYHKCEEGEICGVCGHRPAAAEAVPPAKLDSAFPTEVVKDFLFLGSYDNASRSEVLKTLNITHILNTVPDCHNLYRNSFTYHCLQDDKTLDFDGATQFLEQCEREKSRVLVHCMSGKNRSAAVVTAFLMKSRGWRLAQCFQWVKDRRPQVLLTEASQGQLVEYEQKLFGPNAGILAQTVVLTESFPSLGFGFPKPSGDIQVPSFSQSPVPSIFERAGPNNVPSNFAFGEGIMEVNPSNSGTVTPTSCDNQMDSS* >Brasy2G303700.1.p pacid=40069401 transcript=Brasy2G303700.1 locus=Brasy2G303700 ID=Brasy2G303700.1.v1.1 annot-version=v1.1 MAPDGFGYGYSSTIPAPEDPTLNRYPYPWSGRKFYHTRHGRDGLGIGVVGVVSRQQKRCGGREVEETGRHWSALVVVETVGWRRRDEGRSEAVEEVGRARWDCGRRSWSWSVGDWRRGGAGAAADRGIRGFGD* >Brasy2G296500.1.p pacid=40069402 transcript=Brasy2G296500.1 locus=Brasy2G296500 ID=Brasy2G296500.1.v1.1 annot-version=v1.1 MEALKDQAGLCCWGYALRSLHQRALSETATAAGASRPASSSAAGTEDVRLHKAHHLVCEHAVVHAPPDPSGLSTTESGLFGPKKPPSRILAREGEAGPQDRGEEVEENTAEVRRARRR* >Brasy2G500300.1.p pacid=40069403 transcript=Brasy2G500300.1 locus=Brasy2G500300 ID=Brasy2G500300.1.v1.1 annot-version=v1.1 MAGQPVVPHILSSFAHRYIASVTSDSIFLVRARAIDDVYPDRRGRQALQRLLHHDEVYRSIGNISSASSSEHADSPEPLDDTWTSNDIRTATGFAVRRNGGRMLILTAAHIIRPVFAHRSIVTNDCTDLNMAFRFDVMCVHQERNLLNTATVDQNIGAMERIFTLASVVAMDSDLDLLALQVTEDELCIFNQGQYLPCLRHDRVIPVDDRTAPDSEEVLLQSWPELRSDSTYWTRSSYPERRYHTLTSSNPYHYRMRLLELPGVKCPDGCSGGPVVNDSLCAVGVYHGVLGNYGYAISSRDIRNFLQRYHLDGSGVQG* >Brasy2G438900.1.p pacid=40069404 transcript=Brasy2G438900.1 locus=Brasy2G438900 ID=Brasy2G438900.1.v1.1 annot-version=v1.1 MEASAGLVAGSHNRNELVVIRRDGEPGQRPLKQHNSRACQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEGADDLENEFNWRDRDADSQYVAESMLHAHMTYGRGGDIDGVPQPFMPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQTRNDGGKDWGGDGDDADLPLMDEARQPLSRKVAISSSLINPYRMIIIIRLVIVGFFFHYRVMHPVNDAFVLWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPMKEPPIVTANTILSILAVDYPVDKLSCYVSDDGAAMLTFEGLSETSEFAKKWVPFCKKYSLEPRAPEFYFQQKIDYLKDKVEPNFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYVNNSKAVKEAMCFMMDPLVGKKVCYVQFPQRFDAIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCFCCFCFGNRKNKKKVTKPKTEKKKRFFFKKAENQSPAYALSEIDEAAAGAETEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLRSASPASLLKEAIHVIGCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLNQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNLASVWYMSLFICIFVTGILEMRWSHVAVDDWWRNEQFWVIGGVSAHFFAVFQGLLKVIAGVDTSFTVTTKAGDDGEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASILSLLWVRVNPFLAKNDGPLLEQCGLDCN* >Brasy2G077400.1.p pacid=40069405 transcript=Brasy2G077400.1 locus=Brasy2G077400 ID=Brasy2G077400.1.v1.1 annot-version=v1.1 MEEGVQRRVQVDHERVGDAPSNHDERRAQVEHEQAGDARVAADTPRNPIVAKGNKPKKSPKKNSGDALVGVMHRFVDIKEKEANKEDGNEFKITRCMAELRTLEGITPDEKLIALELFRIADNREMSVNLVADKDDTALLWIRRHIAKIA* >Brasy2G311500.1.p pacid=40069406 transcript=Brasy2G311500.1 locus=Brasy2G311500 ID=Brasy2G311500.1.v1.1 annot-version=v1.1 MILLWDPATAIIPPRPRRLRHRITTPSPPHSRSLHHHRLLASSSPAPRPTRARLRRRLGAQPADMVLFTVTKKDTKPFDGQKPGTSGLRKKVTVFQQPHYLANFVQSTFNALPADKVQGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQDSLLSTPAVSAIIRERIAADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPALESVTDKIFSNTKTITEYLISDDLPDVDISVVGVTTFSGPEGPFDVDVFDSATDYIKLMKTIFDFESIKKLLASPKFSFCFDGLHGVAGAYAKRIFVDELGASESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSTSNVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLGGDKLVTVEDIVLQHWGTYGRHYYTRYDYENVDAEAAKELMANLVKMQSSLSDVNKLIKETQPHVSDVVSADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRIYIEQYEKDSSKTGRESKDALSPLVDVALKLSKIQEYTGRSAPTVIT* >Brasy2G166300.1.p pacid=40069407 transcript=Brasy2G166300.1 locus=Brasy2G166300 ID=Brasy2G166300.1.v1.1 annot-version=v1.1 MDQTGLPDLPDDALADILRRLPPCGLAASRCVRKAWRAVVDDRRLLLPHSLHGLFINYIDYKRPHLFARPSGNRPAINGNFLPGYPYDCVCDPVVDHCNGLVLYDAFRGFCVANPATRRWDNLPRRRDDSRNCHACLVFDPAVSPRYEVFSVPDLPAEQVVDPHDFMEWPPSLWKLQVFSSSTKQWQERSFVRQGEAAGTVKILQLGATCGSRGPDVALRCVPARIALRALPWLICREVIFAGCQVPSNKKPDRYCGK* >Brasy2G183100.1.p pacid=40069408 transcript=Brasy2G183100.1 locus=Brasy2G183100 ID=Brasy2G183100.1.v1.1 annot-version=v1.1 MYSAVSATSQSHRPVAAAAAGLLFLAVAMQQHIAAGAAPTAGAAVHHVVGGDPGWDVASDVLAWALGTHFAVGDTLWFKHEAADGGGVAEVGGEAEFEACEAGNPIRMYTDGLSRVALDGQGARYFVSADPAKCRTGLKLRVDVAAPGASPRAEEEDRAAAAKAPAPSASSGAPGVAPVAPLPMRRVLLLLVLVWCFLFLGV* >Brasy2G395900.1.p pacid=40069409 transcript=Brasy2G395900.1 locus=Brasy2G395900 ID=Brasy2G395900.1.v1.1 annot-version=v1.1 MELFAHAKAVRLKSRHDKFLYADEDELHVTQDRNGSSPNARWAVEHVPGAPGCVRFRSRYGRYLAASSEPFLLGMTGRKVLQAAPAPGGRPDSSVEWEPVRDGFQARLKARQGGNYLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGPAEHAASAPASVLAPESPPAPKLRPPPTPQEAHHRPTKSYAAATPPPEYAPPPPPPKRTEYAAAPPPPRPDPRLSKLESSNSFSAPLHKVEGRAIHYLIADDKGNVDDDVERHSFTFNGSNLEELTHKLQEETGLDDLIICTRSPINGKLTPLLLQLPPNNAAMHIVLVRESSKVAKTFPWPYGS* >Brasy2G322000.1.p pacid=40069410 transcript=Brasy2G322000.1 locus=Brasy2G322000 ID=Brasy2G322000.1.v1.1 annot-version=v1.1 MATQWFSNMVMEEPSFFHQWQSDATLEQYTEQQIAVAFGQGELDHATAALMQQQQQYGGGEHQHRPRKAAKVNTSWDSCITEQGSPAENSSSPTILSFGAAFANNKPNQAHYGPAGPGPVKLPKQELDAPLIQPKRTYEAAMAEPVPTAPATRPASQNQDHILAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVKTLQDQVKGMEESARLRRPVEAAVLVKKSQLVPEEDDGSSSSCDENFEGAAEAGGLPEIEARMSDRTVLVKIHCENRKGALIAALSQVESFGLTIMNTNVLPFTASSLDITIMATAGEDFSLSVKDIVRKLNQAFKSSSP* >Brasy2G322000.2.p pacid=40069411 transcript=Brasy2G322000.2 locus=Brasy2G322000 ID=Brasy2G322000.2.v1.1 annot-version=v1.1 MATQWFSNMVMEEPSFFHQWQSDATLEQYTEQQIAVAFGQGELDHATAALMQQQQQYGGGEHQHRPRKAAKVNTSWDSCITEQGSPAENSSSPTILSFGAAFANNKPNQAHYGPAGPGPVKLPKQELDAPLIQPKRTYEAAMAEPVPTAPATRPASQNQDHILAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVKTLQDQVKGMEESARLRRPVEAAVLVKKSQLVPEEDDGSSSSCDENFEGAAEAGGLPEIEARMSDRTVLVKIHCENRKGALIAALSQVESFGLTIMNTNVLPFTASSLDITIMATAGEDFSLSVKDIVRKLNQAFKSSSP* >Brasy2G079300.1.p pacid=40069412 transcript=Brasy2G079300.1 locus=Brasy2G079300 ID=Brasy2G079300.1.v1.1 annot-version=v1.1 MAPIKNTPSVLCIVLAFAAVFAALQPCQAAQSPASIFGGTQDIACDDMPGCKPAICSHHCRSQGLREIGSYCQIKDSRELCCCMTVKIPPSDG* >Brasy2G431400.1.p pacid=40069413 transcript=Brasy2G431400.1 locus=Brasy2G431400 ID=Brasy2G431400.1.v1.1 annot-version=v1.1 MHPLYASRSSPWLDLKVFYVRVSNCVVDESAPERLTLNHIPLSPDTVIEVNGRRSSMHTEFISSSLRRDRIDTKTEEATYVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCNANGVVGESKNSSRNWNIKCQSSASSSGFLKGKMSTGPDSVHPVVEVYVAGTFGGTPIILTKTIQFISRRKSQMKLKLDSIPENEATEQQKENSHEDSLKVSEFQDPKSDTDVDVDYNSLYSRHDFLEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP* >Brasy2G015600.1.p pacid=40069414 transcript=Brasy2G015600.1 locus=Brasy2G015600 ID=Brasy2G015600.1.v1.1 annot-version=v1.1 MADLASEIEALERIFSDASLQPTKLSYALIKSVTNNFSKVIGRGGFAVVYQGVLRNGKIAVKKLSLSTVFSDELFVDEIECLTKAKHNNVVRYLGYCADTQGELMEFDGRCVIAEIPKRLLCFEFVPNGSLHRYLKGKPRGDDWQVRYKIIKGICQGLQYLHKIRINHLDLKPGNILLDIGMEPKIADFGLSRCFDEEQSRVFTKHIYGTRGYIAPEIINSGEISFKSDIFALGILIIKLLTGRDDYDIENWHKSLNADCPQVRRCIEIAQACVDDDQHKRFVIDEIIHKLDELETIMNSPAIN* >Brasy2G480700.1.p pacid=40069415 transcript=Brasy2G480700.1 locus=Brasy2G480700 ID=Brasy2G480700.1.v1.1 annot-version=v1.1 MQFFRGVGGGGGGGGAVGTMAWEVVRRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQILADHGPLTVGNTWNHAKDASIDGLNSKTHMKILLKWMWGRRIIKLSCTQAGNTKKFLYSPFTAADSEAAAEEPSPPEPQQPKKKQGGKHGKGQPKKQRAAAA* >Brasy2G480700.2.p pacid=40069416 transcript=Brasy2G480700.2 locus=Brasy2G480700 ID=Brasy2G480700.2.v1.1 annot-version=v1.1 MQFFRGVGGGGGGGGAVGTMAWEVVRRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQILADHGPLTVGNTWNHAKDASIDGLNSKTHMKILLKWMWGRRIIKLSCTQAGNTKKFLYSPFTAADSEAAAEEPSPPEPQQPKKKQGGKHGKGQPKKQRAAAA* >Brasy2G299200.1.p pacid=40069417 transcript=Brasy2G299200.1 locus=Brasy2G299200 ID=Brasy2G299200.1.v1.1 annot-version=v1.1 MLLHGFWDKVTGKNKEAWKEGRIRGTAVLVKKDVLDLGDFHASLLDGVHKILGCEDGVAFHLVSATAADPNNGERGKVGKAAHLEELVVTMKSTAAGESVFRVAFEWDDSQGIPGAVIVRNTNRSEFLLKTLTLEGVPGRGTVVFVANSWIYPAAGDRVFFANDTYLPSKTPALLVQYRQDELSNLRGDSKAGPYEEHDRVYRYDYYNDLGEPDKGEDHVRPMLGGSQEHPYPRRGRTGRRPTKKDPKSESRLPLLNLKKALNIYVPRDERFGHLKLSDFLGYSLKAITEAVVPIIRTYVDTTPKEFDSFQDIMNLYDGLLEVPHSPALAEIKKKIPFDFIKSILPVAGDDFLNLPFPHVVKSDRSAWRTDEEFAREMLAGVNPVCIRRLMEFPAKSTLDHSVYGDHTSKITEDHIQHNLEDGLSVKMALESNRLFILDHHDNFMPFLDRINKLEGNFIYASRTLLFLKTDGTLKPLAIELSLPHPDGQQHGAESKVYTPAVEGVESQIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINAGGIFELTVFPGKYALEMSSVVYKDWKLTEQGLPADLMKRGVAVPDPSSPYNVRLLIKDYPYAVDGLVIWWAIETWVKEYLAIYYPNDGVLRGDEELQKWWKEVREVGHGDLKDADWWPKMDTVQELAKMCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGTKEYAQLERGGKEADKVFIHTITSQFQTILGITLIEILSKHSSDEVYLGQRDTPEWTSDAKALEAFRRFGSRLIEIEKRITEMNGNPALKNRNGPVKMPYMLLYPNTSDVTGEKGLGLTAMGIPNSISI* >Brasy2G299200.2.p pacid=40069418 transcript=Brasy2G299200.2 locus=Brasy2G299200 ID=Brasy2G299200.2.v1.1 annot-version=v1.1 MKSTAAGESVFRVAFEWDDSQGIPGAVIVRNTNRSEFLLKTLTLEGVPGRGTVVFVANSWIYPAAGDRVFFANDTYLPSKTPALLVQYRQDELSNLRGDSKAGPYEEHDRVYRYDYYNDLGEPDKGEDHVRPMLGGSQEHPYPRRGRTGRRPTKKDPKSESRLPLLNLKKALNIYVPRDERFGHLKLSDFLGYSLKAITEAVVPIIRTYVDTTPKEFDSFQDIMNLYDGLLEVPHSPALAEIKKKIPFDFIKSILPVAGDDFLNLPFPHVVKSDRSAWRTDEEFAREMLAGVNPVCIRRLMEFPAKSTLDHSVYGDHTSKITEDHIQHNLEDGLSVKMALESNRLFILDHHDNFMPFLDRINKLEGNFIYASRTLLFLKTDGTLKPLAIELSLPHPDGQQHGAESKVYTPAVEGVESQIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINAGGIFELTVFPGKYALEMSSVVYKDWKLTEQGLPADLMKRGVAVPDPSSPYNVRLLIKDYPYAVDGLVIWWAIETWVKEYLAIYYPNDGVLRGDEELQKWWKEVREVGHGDLKDADWWPKMDTVQELAKMCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGTKEYAQLERGGKEADKVFIHTITSQFQTILGITLIEILSKHSSDEVYLGQRDTPEWTSDAKALEAFRRFGSRLIEIEKRITEMNGNPALKNRNGPVKMPYMLLYPNTSDVTGEKGLGLTAMGIPNSISI* >Brasy2G261000.1.p pacid=40069419 transcript=Brasy2G261000.1 locus=Brasy2G261000 ID=Brasy2G261000.1.v1.1 annot-version=v1.1 MSSQTNEKYCSIPIPPRYLPSFPVPCTPALQTFVLLPCNRLAGLACTHKRRCTPVGAPTPFGLLKHPDPELPIVVSATPLQGRPGPAPIFTGFTGPRPGQARLAGKARLRPVRHLYARPPGSSPSRRPTCPVPDSPPPPTIFSLSASKRVGGTTPNPASAGGGRQRLAYSSSRRSSTPSFRPPFSTGWRKT* >Brasy2G155200.1.p pacid=40069420 transcript=Brasy2G155200.1 locus=Brasy2G155200 ID=Brasy2G155200.1.v1.1 annot-version=v1.1 MTGIGGNLEDEVNALRRGLWWANPSERSLEPPGHVPSFFYSKTPSLFVCNKSYIFE* >Brasy2G284200.1.p pacid=40069421 transcript=Brasy2G284200.1 locus=Brasy2G284200 ID=Brasy2G284200.1.v1.1 annot-version=v1.1 MVGLGIPRAFTSPSAQFAAKTRTVSPPAIAAALNPHTMPKKKAAPTVAAASKYWLLKTEPGEWSWSDQAGAPGGVAPWDGVRNRQAMNNLRAMRRGDRCLFYHSGAGAASRRVVGVVEVAREWYEGEEGEATAGGAVDVRAVGEFQKPVALGEVKKAAGEVEGMKDFALLRQARLSVMPVPEKVWDWICEMGGGFVQDGEEEEED* >Brasy2G459500.1.p pacid=40069422 transcript=Brasy2G459500.1 locus=Brasy2G459500 ID=Brasy2G459500.1.v1.1 annot-version=v1.1 MAEQIAKDPSFSEMVEQLQRTVAPQPSSSPAPAPAAAALDPQKYVSTMQQLKQNPQFVAMAERLGSALMQDPAMSSMLGGLTGAGSGAAQRQQLEARVARMKEDPSLRPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSSSAAGAGEEEAAAGGEEEEGEEEEYESESAIHKAASVGDVEALKAALQEGGGKAADEEDAEGRRGLHFACGYGELACAQALLDAGAAADAVDRNKNTALHYAAGYGRKGSSSTTAPPSACRTLQNLDGKTAIDVARLNSQEEVLNLLEKHAFV* >Brasy2G375400.1.p pacid=40069423 transcript=Brasy2G375400.1 locus=Brasy2G375400 ID=Brasy2G375400.1.v1.1 annot-version=v1.1 MLAARRRTTPSQPHRPLPTRTQNGPSNSSDTSTPQHHRKKPELSHQPTLRPPPDGQSRRAQIQPEPGQDSRLHAAASSRAIAAPPRSAATLSCSRHHHQLMPHAPDNKQAPPQQAEVPSPRPHMAAAATTRPPSASPRRPRSPTRIQI* >Brasy2G161300.1.p pacid=40069424 transcript=Brasy2G161300.1 locus=Brasy2G161300 ID=Brasy2G161300.1.v1.1 annot-version=v1.1 MGESLLTALSMDTATAHHPHQGPSTFLSMDTASHDDFDLFLPPPGPFQRCLHAAAAAPPDINLPLAADPSPPPPALQTNNLHESNVDMLDVGLGCPQLYDSDSPAAAGVASAPVSTTTIVPVSHTKSSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSADKGGKSKAATAGGNGNNAMLDAFLVQHDMENMYMWVFKDRPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRTPNLVGVPEADLKRWFELTGRDANFSIPTEAGDFESWRNLPSTEFELERPATAAPAKSTAHGHHKKLLNGSGLNLSTHASKHGSGDGLDISAVCHKRRKDSSPSAMEEDCSNSNSDKVQDMDVSHSFEPSWMNDFSGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQKVKVTWKNTPTNGIVKISCTSVGRMPVLKRHDRTFKLADSSPEHCSPGDFIREVTLPTRIPEDATLEAYCDETGTGLEIIVPKYRVGPEEHEVHVSLRPPSSWCQ* >Brasy2G455300.1.p pacid=40069425 transcript=Brasy2G455300.1 locus=Brasy2G455300 ID=Brasy2G455300.1.v1.1 annot-version=v1.1 MAAAAVLRNAGTRRVLAYPNLRAAVISGPAALPDASAAAGTQTAPPAFAVGGGLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGSAKAVAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRDLLSFYKFPGDDIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRVLDKHFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLSETGPLKTTVTGVEMFKKILNHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGSVKTYKKFEAEIYVLTKDEGGRHTAFFSNYSPQFYFRTADITGKVELPQDVKMVMPGDNVTAIFELISPVPLEPGQRFALREGGRTVGAGVIAKVMS* >Brasy2G112700.1.p pacid=40069426 transcript=Brasy2G112700.1 locus=Brasy2G112700 ID=Brasy2G112700.1.v1.1 annot-version=v1.1 MEVNMTDEQMEVLRKQISIYATICEQLVEMHRAVTAHQDSIAGMRLRNLYGDPLMVHGGHKITARQRWTPTQMQLQILEIIFDQGNGTPNKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASLLPYQITLDRRPRRMRSPRPRRSLSQMDHFTRTFGRSFTSY* >Brasy2G112700.3.p pacid=40069427 transcript=Brasy2G112700.3 locus=Brasy2G112700 ID=Brasy2G112700.3.v1.1 annot-version=v1.1 MEVNMTDEQMEVLRKQISIYATICEQLVEMHRAVTAHQDSIAGMRLRNLYGDPLMVHGGHKITARQRWTPTQMQLQILEIIFDQGNGTPNKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASLLPYQITLDRRPRRMRSPRPRRSLSQMDHFTRTFGRSFTSY* >Brasy2G112700.2.p pacid=40069428 transcript=Brasy2G112700.2 locus=Brasy2G112700 ID=Brasy2G112700.2.v1.1 annot-version=v1.1 MEVNMTDEQMEVLRKQISIYATICEQLVEMHRAVTAHQDSIAGMRLRNLYGDPLMVHGGHKITARQRWTPTQMQLQILEIIFDQGNGTPNKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASLLPYQITLDRRPRRMRSPRPRRSLSQMDHFTRTFGRSFTSY* >Brasy2G026500.1.p pacid=40069429 transcript=Brasy2G026500.1 locus=Brasy2G026500 ID=Brasy2G026500.1.v1.1 annot-version=v1.1 MACRPLSSVLFLDFCVALGTLSPSARLPFPGPNLISPFSLPLLVLAPPGCPVYLYPAANARITGGEADRAVEIPVGVSSSISKLNRPRGGCDWYISEASWPFGLDRRHDSCDMVFFLLLTSSLCLLAARCVLRLPLPAGSRGGHGVRSSSAVRNHTNK* >Brasy2G045200.1.p pacid=40069430 transcript=Brasy2G045200.1 locus=Brasy2G045200 ID=Brasy2G045200.1.v1.1 annot-version=v1.1 MPCDDKCGCIVPCPGGAACRCAGKQSSGGVAVPVTTAAAGHATCSCGEHCSCSPCSCGRLGTGDGKGKAGGCTCGPTCNCATCAAA* >Brasy2G246200.1.p pacid=40069431 transcript=Brasy2G246200.1 locus=Brasy2G246200 ID=Brasy2G246200.1.v1.1 annot-version=v1.1 MHAKHNITWLNTGLALVLRNLYLYYCRRSYVAPGITADGTATESSAAQRTHTSSAFAASLHSPPSHGAIERSRPARRGPRRRSLQVSLELRQNLGGKADQDRCDVHGINSFFWHIVLCY* >Brasy2G389100.1.p pacid=40069432 transcript=Brasy2G389100.1 locus=Brasy2G389100 ID=Brasy2G389100.1.v1.1 annot-version=v1.1 MQMAFRFQLSPPTPPLPNAAAAASASAASSSSFLVPAQQRRPPEPRRRYRCRCGRSPEVTSSSSGDRESHEEDDSATAAGRPVQPDGGTVSGDREIGSAAPRSQSTSSEGDNSTNGNLEGLQKNQLSTNGFSSSMSKSGYSKAFEVDLSPDNVAVATVYFVQGVLGLSRLAVSFYLKDNLQLDPAETAVIYGFSALPWLVKPLYGFISDSIPLFGYRRRSYLILSGLLGAISWSLMATIVDDKYSATLAIILGSLAVAFADVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEQRLPSRERSISVSGSGLIESSKQQIMQIWSAVKQPNIFLPTLFIFLWQATPQSDSAMFYFVTNKIGFTPEFLGRVTVVTSVASLLGIGIYNSFLKAVPLRKIFLVTTILGSVLGMTQVLLVTGLNRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAARLCPMGVEATLFATLMSISNAGGVAGGLVGAGLTQLLGVTKDGFDNLALLIVICNLSSLLPLPLLGLLPDESPDTEAKVD* >Brasy2G207400.1.p pacid=40069433 transcript=Brasy2G207400.1 locus=Brasy2G207400 ID=Brasy2G207400.1.v1.1 annot-version=v1.1 MLKAHLPHPATAFFPPVRGVVFLPSPLRQPALSYLQIKRLSYGVFCTSTSSPRRPTSRTSLIGQPPPRGAPPPGRRAAAPSVAAHHQAPPSPSHVVPEARMRPHRAAVRGAHRAPPRRRRLRLPPALGASEVGAGQEGGCAREVGARRRRGRRKKDALGVGGAPATGAEEGGVRSGVGERRRRRGRKKERASGVGHAGGGGGRAHRRLQGRPGVGSLRRLRWSRGGRRAILGEETQWEGEREECVVCVPVDKV* >Brasy2G264900.1.p pacid=40069434 transcript=Brasy2G264900.1 locus=Brasy2G264900 ID=Brasy2G264900.1.v1.1 annot-version=v1.1 MGPNLALVLEIAAVVALALLIVIVAVVFSPGGACDGAGGGDAAGAGRVHAADVENALGGLTLMTYEQVAARKGTATEKEEERERCAICQGEYGEGGALVRMVPACGHFFHAECGIDRWLRKSRTCPICRGRVLLLPRMMMPPLECPPMPPRITASS* >Brasy2G370500.1.p pacid=40069435 transcript=Brasy2G370500.1 locus=Brasy2G370500 ID=Brasy2G370500.1.v1.1 annot-version=v1.1 MDRAPPRGATRDAVGQRWLAVFAFQAALSAAASVIHLAASPRRRHPRLGVPPALLLAMHPLLSCAATGLLALAFLISASPHPRPPPVPLRALAASFLAAAGALFVGAAASLVPEDAGWAAVAGLGFRGAVLGAVFAAHYFGRGRWLLQFPVVQRPLFYGLKMGLLPSIKRALKVSIQAFCLSFALTFILPWQFRIGGSIGGQIITQISIFVLTTGVSFCWEISHHFLQVVHTRRCSFTPPQSTAAAETNPTDYILETLELSDPRSLMQYLAFQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSDKPELLSQQSKLFWAFNDSQICSWCARTLATLTARSRREDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPVHSLGPASIRWANFSTGKKGNATAIASTQRGGLHTKAYLMADVLRTSIYQMVSAFLDDMRANAKASSLEKNWISEGRKPIFGSQAVLVQKLSLLIEYRAV* >Brasy2G315000.1.p pacid=40069436 transcript=Brasy2G315000.1 locus=Brasy2G315000 ID=Brasy2G315000.1.v1.1 annot-version=v1.1 MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDCSLRIYSPPTPADGEIRKDGPYALERQEPRLWRRAPSAMEASASRDLLLSLSEWVALHRLPGLETVAVVSKTKGANVFAWDDRRGLLAAGRQRRLTVFRLDSGREFVEVKEFGVPDMVKSMAWCGDNICLGIRRDYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLLQDGRIIWSDTPASVVIHRPYAVARLPRHIEIRSLRAPNVLVQMVVLRDVQKLVQTDNCILAALSNSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHMRYGHSLFDNGSYDEAMEQFSNSHVDITYVLSLFPSLVLPQTHIIGEHDKLQDLPELARESSDVTDEMESYSMQLHESDDKSPSENKKMSNNALIALAKYLQKKRNGIIDRATSEVTEEVVSGAVHHSLILSEPYKSKKPNKKRPQTHRSSVARETATVLDTSLLQALILTKQSSGAIELLKGLNYCDLKINEEFLKERSDYMVLLELYRSNDMHREALQLLNQLVEESKSDMVNTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKDEGNWTEKTYTPTRNKLISTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLHMPDRAVAYCDRVYDEGAQQPSKSNIYFNLLQIYLNPRKVQKEFEQKVIPVASQYPGMQRVSSATKVRGGRMGRKVVEIEGADDIHFSPSGTDSGRSDGDGDDVGDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYMVIKNLILRANLQVKEDLYKRRQAVVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAGGTNSVKRR* >Brasy2G315000.2.p pacid=40069437 transcript=Brasy2G315000.2 locus=Brasy2G315000 ID=Brasy2G315000.2.v1.1 annot-version=v1.1 MVHSAYDAVELVAGVPGRIEAVASHAGKLLVAASDCSLRIYSPPTPADGEIRKDGPYALERQEPRLWRRAPSAMEASASRDLLLSLSEWVALHRLPGLETVAVVSKTKGANVFAWDDRRGLLAAGRQRRLTVFRLDSGREFVEVKEFGVPDMVKSMAWCGDNICLGIRRDYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLLQDGRIIWSDTPASVVIHRPYAVARLPRHIEIRSLRAPNVLVQMVVLRDVQKLVQTDNCILAALSNSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHMRYGHSLFDNGSYDEAMEQFSNSHVDITYVLSLFPSLVLPQTHIIGEHDKLQDLPELARESSDVTDEMESYSMQLHESDDKSPSENKKMSNNALIALAKYLQKKRNGIIDRATSEVTEEVVSGAVHHSLILSEPYKSKKPNKKRPQTHRSSVARETATVLDTSLLQALILTKQSSGAIELLKGLNYCDLKINEEFLKERSDYMVLLELYRSNDMHREALQLLNQLVEESKSDMVNTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKDEGNWTEKTYTPTRNKLISTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLHMPDRAVAYCDRVYDEGAQQPSKSNIYFNLLQIYLNPRKVQKEFEQKVIPVASQYPGMQRVSSATKVRGGRMGRKVVEIEGADDIHFSPSGTDSGRSDGDGDDVGDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYMVIKNLILRANLQVKEDLYKRRQAVVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAGGTNSVKRR* >Brasy2G260400.1.p pacid=40069438 transcript=Brasy2G260400.1 locus=Brasy2G260400 ID=Brasy2G260400.1.v1.1 annot-version=v1.1 MAASVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAVLEQLIEESSDQEECTITLSDIPGGTKSFELVARFCYGVKIELSSANVVYLRCASEHLQMTEEISEDNLIAQSEMFLNQVVLRNWKDSVKALETCDDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSDWWYDDASALSFPMYKRLISAMESRGVRPETIAGSLAYYARKYLPGLNRRNSMGIAPPAATLSEVEQKNLLEEINTLLPVQKGLASTKLLLGLLRIAMIQRASSTCISDLEKRVGMQLDQASLEDLLLPNFSYTMETLYNVECVHRILEHFLAMDQANGGASPCMDDVMASPSMIPITAVAKLIDGYLAEVASDVNLKPPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWTTAVRENQVLKVGMDNMRMRLAELEKECSDMRQEILKLGRGKSGGWTSHVPKKFNLKIKSQMCSAQEGSVSEQQKSMSAKIDKLQAKLSKQKKQLSADA* >Brasy2G037500.1.p pacid=40069439 transcript=Brasy2G037500.1 locus=Brasy2G037500 ID=Brasy2G037500.1.v1.1 annot-version=v1.1 MKMKISLLLVAAAMVLLLTVATVQGIRLDAEIHAALSNQELNLQRSGDEGAVSSLDAVSEEKERARHREPENDIHVDYYGPRGHIPSHN* >Brasy2G018900.1.p pacid=40069440 transcript=Brasy2G018900.1 locus=Brasy2G018900 ID=Brasy2G018900.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDAPDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEADLQD* >Brasy2G189700.1.p pacid=40069441 transcript=Brasy2G189700.1 locus=Brasy2G189700 ID=Brasy2G189700.1.v1.1 annot-version=v1.1 MAAPSPDSGDLILVEPARPGSQVAVVTINRPEALNALTRSMMISLAAAFRRLGADDGVAAVVLAGRGRSFCSGVDLTAAEEVFKGDVTDTTANPVAQMELCRKPIIGAVAGFAVTAGFEIALACDLLVAGRSAKFLDTHAKFGIFPSWGLSQKLSRLIGPNRAREVSLTCMPVTAEMAEKWGLVNHIVDDSQVLSKAIEVAEAIARNNHNLVVLYKSVINDGLQLDMEHARALEKERAVNYYNGMTKEQFANMQKFIQGRSSKAPSKL* >Brasy2G189700.2.p pacid=40069442 transcript=Brasy2G189700.2 locus=Brasy2G189700 ID=Brasy2G189700.2.v1.1 annot-version=v1.1 MAAPSPDSGDLILVEPARPGSQVAVVTINRPEALNALTRSMMISLAAAFRRLGADDGVAAVVLAGRGRSFCSGVDLTAAEEVFKGDVTDTTANPVAQMELCRKPIIGAVAGFAVTAGFEIALACDLLVAGRSAKFLDTHAKFGIFPSWGLSQKLSRLIGPNRAREVSLTCMPVTAEMAEKWGLVNHIVDDSQVLSKAIEVAEAIARNNHNLVVLYKSVINDGLQLDMEHARALEKERAVNYYNGMTKEQFANMQKFIQGRSSKAPSKL* >Brasy2G210300.1.p pacid=40069443 transcript=Brasy2G210300.1 locus=Brasy2G210300 ID=Brasy2G210300.1.v1.1 annot-version=v1.1 MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYAVLCREYEHSKDDIFEFLLQCAEQLPHKIPFFGVLIGLINLENEDFAKGIVDTTQANLQDALHNENRDRIRILLRFLSGLMCSKVISPDSIIETYETLLSSAATILDEEAGNPSWQPRADFYVYCVLASLPWGGSELFENALMSSNTQQVPDELERVLVGIQSYISIRRHFDDIAFSVFETDEGDSPNKKDFMEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVVGKSHRFPPVSCPPPTFTLSASEILKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEEYILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPAAFPSVVVAAVHALFDRISNMDTECRTKLILWFSHHLSNFQFIWPWQEWASVKGLPKWAPQRVFVQEVLEREVRLSYFEKIKQSIEDATELEGLLPPKAGPNFRYHTDDSKESTEGHRLSKELVGMVRGRKTTRDIISWVEEQIVPANGAKFAIDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPDEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSPANVDQFHVSDRPWEILRNTVSKTYNRVSDLRKEIQTLRKSIQVAKEASAKASRELEEAKSVLEIVEGQPAPSETPGRLRRLQGFADRAKEEEVATEESLEAKEALLARGLEEGKELLRLLFKSFVDVLTERLPPVSANGDVPNLRAGDQDVTFAAADPEVATMEIDNENGADNNSQLNGKKTKVGYSMGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDEEVFVGNIHPLIRKAAFSGLCRPISEGSNL* >Brasy2G210300.2.p pacid=40069444 transcript=Brasy2G210300.2 locus=Brasy2G210300 ID=Brasy2G210300.2.v1.1 annot-version=v1.1 MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYAVLCREYEHSKDDIFEFLLQCAEQLPHKIPFFGVLIGLINLENEDFAKGIVDTTQANLQDALHNENRDRIRILLRFLSGLMCSKVISPDSIIETYETLLSSAATILDEEAGNPSWQPRADFYVYCVLASLPWGGSELFEQVPDELERVLVGIQSYISIRRHFDDIAFSVFETDEGDSPNKKDFMEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVVGKSHRFPPVSCPPPTFTLSASEILKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEEYILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPAAFPSVVVAAVHALFDRISNMDTECRTKLILWFSHHLSNFQFIWPWQEWASVKGLPKWAPQRVFVQEVLEREVRLSYFEKIKQSIEDATELEGLLPPKAGPNFRYHTDDSKESTEGHRLSKELVGMVRGRKTTRDIISWVEEQIVPANGAKFAIDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPDEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSPANVDQFHVSDRPWEILRNTVSKTYNRVSDLRKEIQTLRKSIQVAKEASAKASRELEEAKSVLEIVEGQPAPSETPGRLRRLQGFADRAKEEEVATEESLEAKEALLARGLEEGKELLRLLFKSFVDVLTERLPPVSANGDVPNLRAGDQDVTFAAADPEVATMEIDNENGADNNSQLNGKKTKVGYSMGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDEEVFVGNIHPLIRKAAFSGLCRPISEGSNL* >Brasy2G210300.3.p pacid=40069445 transcript=Brasy2G210300.3 locus=Brasy2G210300 ID=Brasy2G210300.3.v1.1 annot-version=v1.1 MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYAVLCREYEHSKDDIFEFLLQCAEQLPHKIPFFGVLIGLINLENEDFAKGIVDTTQANLQDALHNENRDRIRILLRFLSGLMCSKVISPDSIIETYETLLSSAATILDEEAGNPSWQPRADFYVYCVLASLPWGGSELFEQVPDELERVLVGIQSYISIRRHFDDIAFSVFETDEGDSPNKKDFMEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVVGKSHRFPPVSCPPPTFTLSASEILKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEEYILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPAAFPSVVVAAVHALFDRISNMDTECRTKLILWFSHHLSNFQFIWPWQEWASVKGLPKWAPQRVFVQEVLEREVRLSYFEKIKQSIEDATELEGLLPPKAGPNFRYHTDDSKESTEGHRLSKELVGMVRGRKTTRDIISWVEEQIVPANGAKFAIDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPDEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSPANVDQFHVSDRPWEILRNTVSKTYNRVSDLRKEIQTLRKSIQVAKEASAKASRELEEAKSVLEIVEGQPAPSETPGRLRRLQGFADRAKEEEVATEESLEAKEALLARGLEEGKELLRLLFKSFVDVLTERLPPVSANGDVPNLRAGDQDVTFAAADPEVATMEIDNENGADNNRFGLTLRCWMRKFSLGIFTL* >Brasy2G128100.1.p pacid=40069446 transcript=Brasy2G128100.1 locus=Brasy2G128100 ID=Brasy2G128100.1.v1.1 annot-version=v1.1 MMSFPLKDTNSQTIFKWPWRSESPLSTQLLIDIPPEIELSDYRRLPSSGNESPSGLLRGEGIKEEPISDLDIFFERLYEYFCAKGLRCIVTKWIIEFLNVLFMVCCIGFFFLYVDWNALGHLKCGVEALESGEKPCDLMEAIKHNPLVPFTFPKMITVGSMVILATYGLINFLKFFVQLKSTLNVRDFYYNSLKITDLEIQTISWPRIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWLPGAGPTVKSHVHDRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVIVGIAMLILSPCLVIFPLVYVILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIVAKFVSFVSGGLAGALIIMGFLGESILEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCLVVQQTHYMPKRWRGKESSELVRREFETLFQYTIVMLVEEMASIFITPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFNFGRHGNRNYGSPFDASKSLRSSQGKMEKSFLSFQSVYPSLVPHADGKQFLHNLQKFKERQIRQQAIAQYQAMEASGFVDSTGQRDDIFHQLLPSVIRNHADAIPPPAYNLGPLGFLDTDQRTQPYILDWYYTCHSPDLDRIEAPHFDEASPETGPNTNPLARGTSEIEEAGNYYSDLYGRTQSHIGTSTSSALFGHAPVKHYGKEDNFTGNWWETAPASSPGPQGSFLEPPEFGKHIMTGSSHSSHQSGDMSEGSVGGLEQSHSRSSSSWRNPQALSKTRYMDDSDMEDELGLHFADAPRNYEDDRPRVPDAFDRTPAGVTVRIIPRSSDPV* >Brasy2G276400.1.p pacid=40069447 transcript=Brasy2G276400.1 locus=Brasy2G276400 ID=Brasy2G276400.1.v1.1 annot-version=v1.1 MNERTFRGLLARRASPRVLPRAPPRCLSPAHFSSVPAAAALPKPPPCTPPGAAGSALPSHPRARRRLPPPRCATPAAALPWPPPCAPPVPVPALRYPRRGAAPAGPIRAAPRCPAPTAAALALPPPCCAAPASAGRGRCHGAPAAAVALPRLLAPAAAGATECRRRRTLPPRSPSLPSRAVLSSTFFFAGRLVDMIRVAEDCARWLDARPPCYIVYASWEEEVTEMAHGLASTGVVALPVGVASALRRGAGNSCATGVAKFIHYEWQF* >Brasy2G209000.1.p pacid=40069448 transcript=Brasy2G209000.1 locus=Brasy2G209000 ID=Brasy2G209000.1.v1.1 annot-version=v1.1 MSRVPLALFRCRWVHNQTLGFTNPPLSSRAINSFHPAPSAAAAPDSLRRPAASLQRTSRCCGIFSPAATMQNEEGAMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRAQGDADGSLDRLWQKKKAEVKQL* >Brasy2G116400.1.p pacid=40069449 transcript=Brasy2G116400.1 locus=Brasy2G116400 ID=Brasy2G116400.1.v1.1 annot-version=v1.1 MALATNSAAAAAAALSNGGAAQPPRPRAAFLPLKRRSSISAVHAADPARGTGSVPAAAKTSSPTVAPETAAASPAASWKVDSWKAKKALQLPEYPSKEELDTVLQTIETFPPIVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFNANNIRDTFRVLLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDNLEERDGVKLPSYRGDNVNGDAFDVKSRTPDPERMIRAYAQSVATLNLLRAFATGGYAAMQRVIQWNLDFMDHNEQGDRYRELAHRVDEALGFMTAAGLGIDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPAELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSIMNEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELAFIIAERLRKRRMQSGLTNNLPLPPLAF* >Brasy2G332600.1.p pacid=40069450 transcript=Brasy2G332600.1 locus=Brasy2G332600 ID=Brasy2G332600.1.v1.1 annot-version=v1.1 MLGRVIFCVAIAAAVLAVVLLATVSPVPHRSADHGKSKSSPPAAPGTGSSTRSITVYIHPAASASAPGPGTQQRGAHGEQQRQQAASARASALVFRHRMTAGPDATSRTVGAASGFALPAGHGHSSAATMMSAFDTVHLAFDGAMPGSICVEAAAAGSSEGDKGMMRVVGGTGAFAFARGHAVLRAARTPAASPLRLELSVASAG* >Brasy2G226900.1.p pacid=40069451 transcript=Brasy2G226900.1 locus=Brasy2G226900 ID=Brasy2G226900.1.v1.1 annot-version=v1.1 MSSSSRGGEAEEDQNASRTRLLVGGISVFLLIGVVAGTAAFFLTEKADEDTQESKRNMSTTMRTVDLFCAPTDYRATCQETLEKTLERSKDPSDQTHAAAAAAITAVGRELGKGFNRSSLLDAVRQSNDTLVHEALLDCKMLLDDCAADVTRALDNVANRGVDGPAQDLQAWLSAVITFQGSCIDMFPKGEIRDQIKEIMEKAREISSNAIAIIQQGAALAAMLEIDQGESVTVENVKDAADDDDSNNGVTPQNNNNDRRLRESSLVFPPWVPHEDRMLLDAAQEGDGEEEHKGGLTPNVTVAKDGSGNFANISAALDAMPQNHSGRYVIYVKEGVYDESVNITNGMANITLYGDGAKKSIITGSKNVADGVRMWRTATLAVDGDRFMAVKLGIQNTAGDEKQQALALRVKADRAIFFNCRIDGNQDTLFAQAYRQYYRSCIISGTIDFIFGDAAAIFQRCVILVKAPLPGKPAVVTAHGRRDRQQTTGFVLHRTRIVAEERLAETSSTVKTFLARPWKEFSRTIVIESIIDGFVHPQGYMPWEGKDNLGTAFYGEFGNVGKGSNVTARQEMKGFHVLDKEKAMQFTVEHFVNGAEWIPETGTPVRLGLFG* >Brasy2G031000.1.p pacid=40069452 transcript=Brasy2G031000.1 locus=Brasy2G031000 ID=Brasy2G031000.1.v1.1 annot-version=v1.1 MAFSARRSLASSLSGHLTRRLHPSLSHLIPSHYDHSENTSSSSSPPPSTQQPQHFPSADLHRCSRSQNLTLPLPFGAQLAGHRNFSSSSSGAAEIDAAAGVLSDGATGDIDVAAGVLSDAASSVAPAFPAPFPGEVAAAAADSFPPVAALQYVIDAVHSFTGLNWWASIALTTVLIRTATIPLLVNQLKSTMKLNAMRPEIDAINMEMQNSMDPGSRSMLEGKKKLGELFTKHGVNPLTPLKGLFIQGPIFMSFFFAIQNMVEKVPSLKGGGAYWFTDLTTPDELYILPVLTSVTFLATVELNMQEGMEGNPMLQTMKKFSRVLAFMTIPFTMHFPKAIFFYWVTANLFSLGYGFALRKPAVRGYLNLPHIVPQPVPAQLSTFNLFEGPKSMPAVDSPSAVKGSEDEQSKSVLSSRIVDLEKKIAELERKAKSRGESQD* >Brasy2G397300.1.p pacid=40069453 transcript=Brasy2G397300.1 locus=Brasy2G397300 ID=Brasy2G397300.1.v1.1 annot-version=v1.1 MPLLRMVCQQFIKQLFPRSMLLSTISFLRNSANPFIMIGEMKQGRGGWGVASYKAFAVEGKVKASIRRGIRWIKTKCSHIVHR* >Brasy2G397300.2.p pacid=40069454 transcript=Brasy2G397300.2 locus=Brasy2G397300 ID=Brasy2G397300.2.v1.1 annot-version=v1.1 MPLLRMVCQQFIKQLFPRSMLLSTISFLRNSANPFIMIGEMKQGRGGWGVASYKAFAVEGKVKASIRRGIRWIKTKCSHIVHR* >Brasy2G370600.1.p pacid=40069455 transcript=Brasy2G370600.1 locus=Brasy2G370600 ID=Brasy2G370600.1.v1.1 annot-version=v1.1 MGTQMDAYLLFRRAAEPKIAAYDEQDEDIGSASESESTSRSSAASSSSELADDATSSSSSSSSFGSADHFELSALMTQLPFKRGLSKFFDGKSQSFASLAAVGSLEDLAKPARKRLKPSRSCGGGLDAHRGRFLSPRRHCPKAARKAATRAALSVLGTSPRRPPLAAVAATARLGINALVVS* >Brasy2G381700.1.p pacid=40069456 transcript=Brasy2G381700.1 locus=Brasy2G381700 ID=Brasy2G381700.1.v1.1 annot-version=v1.1 MVLLGGPGDPLTPGWAATGGAERLGFDHEAVKRRFPTIPSMPVSGDTASAIVRSLGGPALPAEWRAPLGLGEDVGGIGPGPTLVNFTYQEDRKMATIKDIFATIEGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDVARRFGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLGDLHSKAVAYLNVDCAVQGMGLFAGSTPQLDKLLVDVTKQVKDPDVEGKTVHDTWSTTNGGINIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G381700.2.p pacid=40069457 transcript=Brasy2G381700.2 locus=Brasy2G381700 ID=Brasy2G381700.2.v1.1 annot-version=v1.1 MATIKDIFATIEGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDVARRFGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLGDLHSKAVAYLNVDCAVQGMGLFAGSTPQLDKLLVDVTKQVKDPDVEGKTVHDTWSTTNGGINIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G381700.3.p pacid=40069458 transcript=Brasy2G381700.3 locus=Brasy2G381700 ID=Brasy2G381700.3.v1.1 annot-version=v1.1 MLIVLCKAWGFLPVLLPSWTSSWSMLQNRLRIRMLKERQFMIHGVPRMVASILQIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G381700.4.p pacid=40069459 transcript=Brasy2G381700.4 locus=Brasy2G381700 ID=Brasy2G381700.4.v1.1 annot-version=v1.1 MLIVLCKAWGFLPVLLPSWTSSWSMLQNRLRIRMLKERQFMIHGVPRMVASILQIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G381700.5.p pacid=40069460 transcript=Brasy2G381700.5 locus=Brasy2G381700 ID=Brasy2G381700.5.v1.1 annot-version=v1.1 MLIVLCKAWGFLPVLLPSWTSSWSMLQNRLRIRMLKERQFMIHGVPRMVASILQIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G381700.6.p pacid=40069461 transcript=Brasy2G381700.6 locus=Brasy2G381700 ID=Brasy2G381700.6.v1.1 annot-version=v1.1 MGLFAGSTPQLDKLLVDVTKQVKDPDVEGKTVHDTWSTTNGGINIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFPGIADAISRSRNQSAKQRQAAVRHEMWRVSMAIQRAAGVLRGGFSQQNEPFNLSFSVVP* >Brasy2G098700.1.p pacid=40069462 transcript=Brasy2G098700.1 locus=Brasy2G098700 ID=Brasy2G098700.1.v1.1 annot-version=v1.1 MSSGEEYNTAFAATIAATAYAIAMREEKIAAQKKHIPVKGPTAALPVQPPIKKGESMRKPTGSSKISSWFSGKEPAEDDYEGPVNVSVRRPLKPAERKPEGTGSDKKVPLPLPLPPKMFDSATTVKKASSSSRKSPEKKGSKRFEQDQAIQKAPSAVRPATSYQSRRNDDGTAGVAAIAGTQTKADAWEKAKLARIREEYEKMIDTIAEWETEKKVKAKRQKEQKEIELDKKRAKVLEEYNQEMARVNKIAGGARSMAEERKYDDEKKIRDKAKKIRSTGKLPRGCCF* >Brasy2G028300.1.p pacid=40069463 transcript=Brasy2G028300.1 locus=Brasy2G028300 ID=Brasy2G028300.1.v1.1 annot-version=v1.1 MIVTLTVLLLYTGLQVAETTGTNVSEYIPIQSLKLVDLNDYAVEFKRCRQCYCSSGGNISLCCYQFRCNQRDDPIGSCHIERLSCTCDYNTCG* >Brasy2G374900.1.p pacid=40069464 transcript=Brasy2G374900.1 locus=Brasy2G374900 ID=Brasy2G374900.1.v1.1 annot-version=v1.1 MPAADTLPDDVILEILARVPDAAALLRCAAVCRCWRALVANPSFLRRRWPDSAYSLLGLFVPRKLNRGDEVISFLPGPRSALAEADGHRLLSSFVPDAAGLPADCVCPLTSHDGLVLLSLRLFSRSLGRPRALAVCSLPAGTCHVLPPFEWYLCCAGHAVLTSADCRQLPPSSSSSSFFKVLMIVQGMDGTGYDLHTFVSGQPSWDAPAKCSSRAAPGFVMHGDAVVCQGAAHWLFCASSSFHVLAVDAETGRVSSTKLTSPVSPWPDHADLNVQRSGCRLATTTDGKLLSLCLYDAGLQRVEIWTRPAAAGHGQDWPRTGVIDLKPILDQQPQQGRSVCLGGRCGKLLIMLAHRHGYIANLQTGTIQEVDDSLSSSRAVTSAVVCMEIDWTKFFMARLA* >Brasy2G282100.1.p pacid=40069465 transcript=Brasy2G282100.1 locus=Brasy2G282100 ID=Brasy2G282100.1.v1.1 annot-version=v1.1 MTWASDDRVMRHLKRPLCATREQAVARIRDTVPGHLRFRAICVPVAGIPVPVGQVSVWPYPDEGGHRANLGYALAHQHWGRGIAAAAIRMVVGKVFEELPRLERLEAMTEVGNARSQRALEKAGFQREGMLRRYIVRRGAGGNEAMDAAVYSFISSDRARLT* >Brasy2G332800.1.p pacid=40069466 transcript=Brasy2G332800.1 locus=Brasy2G332800 ID=Brasy2G332800.1.v1.1 annot-version=v1.1 MSSGEGGSSGGRDTDALEGVRAIVLKPSESLDESRFTRIAGADFNDAGLGLAGLLGSLASTGFQASHLGDAIDVVNQMLDWRLSHEKPSEDCDEADLDPKYRESVKCKVFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENIWTPSKVIARLGKEINDETSYLYWAYKNNIPVYCPSLTDGSLGDMLFCHAVRNPGFIIDIVQDIRLINGEAIHANPRKTGVIILGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARRFHGANSTN* >Brasy2G405500.1.p pacid=40069467 transcript=Brasy2G405500.1 locus=Brasy2G405500 ID=Brasy2G405500.1.v1.1 annot-version=v1.1 MLPAGTGTAAAADASMPESATALDIPSDSARPPFRHAYACITPADSPFRADPGPFVRRVFRTLALDLPQTFELLTPSPLGDVKVRFRTPGQREAAMRRQPFALDGATVRLVREGGARAAPVVFTRAPADLAALVALRGYPVEQRTEKKIRDYCWMFGLLREVDPACFAAPDLAPVRAVLQIEDARQIPREIWLDYFDGSTSVIPVEIVSVWHRSFSYGADGRYVRLFQQPPAAAA* >Brasy2G202200.1.p pacid=40069468 transcript=Brasy2G202200.1 locus=Brasy2G202200 ID=Brasy2G202200.1.v1.1 annot-version=v1.1 MRPASSPVPAMLAAPSAASVAKPLPAPRSNNNTAQAASRRDVLAGTTGLGAALLLCVAPVPPQARAASDDEYTSETKEVIGKVRSTISMDKADPKVADAVTELRELSNSWVAKYRREKSLLGRQSFRDMYSALNAVSGHYISFGPTAPIPNKRRTRILEEMDAVEKSLSRGR* >Brasy2G129200.1.p pacid=40069469 transcript=Brasy2G129200.1 locus=Brasy2G129200 ID=Brasy2G129200.1.v1.1 annot-version=v1.1 MAPQLTGAPGSAAAAAGAAAVKSQFHHYHNHRLPPRHHHSSATSLLSKLAFWSVCSLSLLLAFLLLSPSSAPAPRASPDSPRRSLHASPSATWGGAAWEKKVRASARVRRTNGRGLSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVAPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAADPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESSGGGTHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKMLKVKAVRRVVKMPRNGDVPYTHANISLAQRELGYQPSTDLQTGLKKFVRWYLEYYMPELAVKLKQHGSSNGKGLRGRNGSTSSAR* >Brasy2G152800.1.p pacid=40069470 transcript=Brasy2G152800.1 locus=Brasy2G152800 ID=Brasy2G152800.1.v1.1 annot-version=v1.1 MADQDAAELVPSAWPPWTSLLLQAMSKRRTWVALFLAVYAALLSSSWSLLGSVRAWYYAAVGGAHPAAAAAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVAEGRRVTADIARLALRVLLREGNAVAALCAAASFTALLLGRRDDGEAAAAGS* >Brasy2G070200.1.p pacid=40069471 transcript=Brasy2G070200.1 locus=Brasy2G070200 ID=Brasy2G070200.1.v1.1 annot-version=v1.1 MGNCWFKGNPYFNRVSSNATKSGSPKLRTPSERTTEKEEEGPLPSNPKEVEALRRRDAARKNPLIAFTFEELKTITCNFRRDSLLGGGGFGRVYKGHVAGDLREGLATALGEEPLPMQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDDHRVLVYEYMPSEAWNRIWVMLPLPWSTRMKIALGAARGLAFLHEAERPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDRSRPVREQALADWALPLLAQRRKVLGVVDPRLNADGFSAKAVHKTAMLAYHCLSRNPKARPLMRDIVATLEPLQERRVDRLELASSGGDGFGQCLVRRPPTPSTGRQLRFLLPSTLTKNNRSINATNYSHATASLPRIVRPSSPLYSLRAVSLPRLHPATSSTLRRRRHLPPLHRRRLPPSRKPLPPPYSVRAAVRDPTRSAPTAATASLLRSLHRRRRSRPGAAALRWRRGGSLSHRRGPQSWRWLRSSRRGPPRPSWRRRTRNNPACHRLYDTVLSQDPDLRLVTLAFLPLLSSPDLCLATLCLPPALPSSLSDTTTV* >Brasy2G433900.1.p pacid=40069472 transcript=Brasy2G433900.1 locus=Brasy2G433900 ID=Brasy2G433900.1.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.2.p pacid=40069473 transcript=Brasy2G433900.2 locus=Brasy2G433900 ID=Brasy2G433900.2.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.6.p pacid=40069474 transcript=Brasy2G433900.6 locus=Brasy2G433900 ID=Brasy2G433900.6.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.10.p pacid=40069475 transcript=Brasy2G433900.10 locus=Brasy2G433900 ID=Brasy2G433900.10.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.3.p pacid=40069476 transcript=Brasy2G433900.3 locus=Brasy2G433900 ID=Brasy2G433900.3.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.9.p pacid=40069477 transcript=Brasy2G433900.9 locus=Brasy2G433900 ID=Brasy2G433900.9.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.4.p pacid=40069478 transcript=Brasy2G433900.4 locus=Brasy2G433900 ID=Brasy2G433900.4.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.8.p pacid=40069479 transcript=Brasy2G433900.8 locus=Brasy2G433900 ID=Brasy2G433900.8.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.5.p pacid=40069480 transcript=Brasy2G433900.5 locus=Brasy2G433900 ID=Brasy2G433900.5.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G433900.7.p pacid=40069481 transcript=Brasy2G433900.7 locus=Brasy2G433900 ID=Brasy2G433900.7.v1.1 annot-version=v1.1 MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEALECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQVFLNLRPLNDRDRVKLKKALRGVRVETNHQQDQIRRYKITGITPILMNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLHNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >Brasy2G049300.1.p pacid=40069482 transcript=Brasy2G049300.1 locus=Brasy2G049300 ID=Brasy2G049300.1.v1.1 annot-version=v1.1 MAARARLVGNDADHCVLCPSHLLEDWKHLFFSCNCNSSARIWSFLQIGWEDGPSVDAIVRRAARSFGHPFFMEVLLGCFTHDISMLVYRVSPAVTHSLLSWINSLLYSR* >Brasy2G157100.1.p pacid=40069483 transcript=Brasy2G157100.1 locus=Brasy2G157100 ID=Brasy2G157100.1.v1.1 annot-version=v1.1 MRGTTSSWMKQLTSSSRQGHHGHALHLFFTRLSLQASIRGTVDPYPAAVPTALRACAHLADAASGRLIHALVHTRPALASDEVVSTALLDMYAKCGLVYSARQVFDEMPVRDLVVWNALLAGYARHGLPEHALALAVKMRGLGLNPDLVTWNAAVSGFAMAGDDGMASDLVCAMQQDGLQPDVVTWTSLVSGSVLNFQYGRARTLFKGMVAGGARVRPSSATISSILPAFATVGDMKRGKEVHGYAVVTGVEQELTVRSSLIDMYAKCGLVHEARHLFDNMAGRSTVTWNSMIFGLANYGHCGEAVGLFDWILREGAKPDHLTFTAVLTACSYGGMVEKGKGLYRVMREEYGMEPRLEHYACMVHLLGRAGRLAEAHDFIKAMPVEPDRFVWGALLGACRSHGNVALAEVAASRVLTVEPGNAASYLLLSGALANAGKQTDVFKIKRLVKRRRLKRLDGCSWLETS* >Brasy2G434800.1.p pacid=40069484 transcript=Brasy2G434800.1 locus=Brasy2G434800 ID=Brasy2G434800.1.v1.1 annot-version=v1.1 MQKSPIQRGKGIADRLEARGAKLLYNRKRITVAPSVIHRSQVKPQSQRSPKTNQTTSGDRSMAQSAAGGGGDELVPALSSLEPVYGAGEEEEARVRFGRLGDRFRALYSASPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVANVEDKYATCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYGRSKGIDMGEPVGLDVVVDGTVPLGSGLSSSAAFVCSATIAIMGILEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIKATDVQLPRGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTNKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEEPYTTEEIEKITGKSLASAFKSSPTSLDVLRAAKQFKLFQRAAHVYSEARRVYAFRDTVLSKLSEEDMLKKLGNLMNDSHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKESIVPQFILSLKEKYYKSRIDRGVVKQSELGQYVFASKPSSGAAILKL* >Brasy2G110200.1.p pacid=40069485 transcript=Brasy2G110200.1 locus=Brasy2G110200 ID=Brasy2G110200.1.v1.1 annot-version=v1.1 MFSPAIRKPHHLQRRDKGGANPSPPPAPVHSPSPGGVALSDRPATGTPAPWTSSSLLARISTSKQTDRAGDSDQIQPVRVAEFPQVVRNAQDNLIQKNFSGKTMLAGGIDKETSLAWMICGNELFIWSYLASVAKDCRVLELPSSLMGTKDARPLCGNQWTLCIIRCCSSDPSTENNGDMLHRRSSIGVILCNRRTEAIAYWPDIYDSSKSPALSLFGCNVASASDGTSGCYTFNSLIATSIPGRIQECIVIASEPTGNLWLFQCSPVEIHRRKVHQDTSEDNGTDHSQRNNGGRSLAWLPCNVSSEADERKFFLLTGHGIQCWCISLLHDINVKKLGSQEIVGSDGELGIKKDIAGQKNIWLLDMQIDEHGKEFNILSATFCKDRVSGSNYTQYSLLTMLYKSNQKFSSQENVAKCERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASIIPSADDRDEGAWVVLTEKAGVWAVPEKAVLVGGVEPPERSLSRKGSCNEAIAEEKRRSQAFSASVVPRRVSSEAWTAGERQRPALTGIAQQVVVDEEAEMLLNRLFHDFILSGAAHEALQKLRASGAFEKEGEMNVFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLAEKQQKHEKFLQFLVLSKCHEELSSKQRAAMLSVMEHGEKLCGVIQLRELQNVLSQQRSSTHLSPQSKTQTTGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLQYIITGEEHPSVQMQHALELSNACVTLGQAALHYREEHKDWYPSPEGLITWNSQPVVRSGIWTLASSVMELLREPGAAGMSMKSNLCSQLEGLTDMLLEGYIGLLTAKFERGEDHGVLAQEYCERRDKLLGALYDLAKQIVEAKYQDSREGDDNLDLKESIFREVTSPILATAKRHEGYQTLWQICYDISDTVLLRNLMHDSVGPRGGFGFYVFEQLTNSRQYAKLLRLGEEFQEMLASFLKDRADLLWLHEICLNQFSAASETLRTCALLSTPRENADLTSNRKPLSFVERRRLLFLSKIAATAGKDEDYEVIVAGIDADIRILKLQEEIIQHDPEYAQGKYTNKLLRPSELIEMCLKRGRDLSLKAFEVFAWTSSSFRSSNRGLLEDCWMNAANQDDWVKLSQSSTSQGWSDEVIQESLHGTVLFNASRLCYGPDAVVLGGSFEEVLPLRKEDVHARGLEGKCFSVEEVLMQHDVFPDAGRLMMTAVVLGKELSFAVPADEPVEMDS* >Brasy2G110200.2.p pacid=40069486 transcript=Brasy2G110200.2 locus=Brasy2G110200 ID=Brasy2G110200.2.v1.1 annot-version=v1.1 MFSPAIRKPHHLQRRDKGGANPSPPPAPVHSPSPGGVALSDRPATGTPAPWTSSSLLARISTSKQTDRAGDSDQIQPVRVAEFPQVVRNAQDNLIQKNFSGKTMLAGGIDKETSLAWMICGNELFIWSYLASVAKDCRVLELPSSLMGTKDARPLCGNQWTLCIIRCCSSDPSTENNGDMLHRRSSIGVILCNRRTEAIAYWPDIYDSSKSPALSLFGCNVASASDGTSGCYTFNSLIATSIPGRIQECIVIASEPTGNLWLFQCSPVEIHRRKVHQDTSEDNGTDHSQRNNGGRSLAWLPCNVSSEADERKFFLLTGHGIQCWCISLLHDINVKKLGSQEIVGSDGELGIKKDIAGQKNIWLLDMQIDEHGKEFNILSATFCKDRVSGSNYTQYSLLTMLYKSNQKFSSQENVAKCERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASIIPSADDRDEGAWVVLTEKAGVWAVPEKAVLVGGVEPPERSLSRKGSCNEAIAEEKRRSQAFSASVVPRRVSSEAWTAGERQRPALTGIAQQVVVDEEAEMLLNRLFHDFILSGAAHEALQKLRASGAFEKEGEMNVFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLAEKQQKHEKFLQFLVLSKCHEELSSKQRAAMLSVMEHGEKLCGVIQLRELQNVLSQQRSSTHLSPQSKTQTTGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLQYIITGEEHPSVQMQHALELSNACVTLGQAALHYREEHKDWYPSPEGLITWNSQPVVRSGIWTLASSVMELLREPGAAGMSMKSNLCSQLEGLTDMLLEGYIGLLTAKFERGEDHGVLAQEYCERRDKLLGALYDLAKQIVEAKYQDSREGDDNLDLKESIFREVTSPILATAKRHEGYQTLWQICYDISDTVLLRNLMDLAGDLVSTFLNS* >Brasy2G101700.1.p pacid=40069487 transcript=Brasy2G101700.1 locus=Brasy2G101700 ID=Brasy2G101700.1.v1.1 annot-version=v1.1 MAQAGRPSLRDPSVAAAFRSAPAPPASRPDAPPRAKDATCPISGGDRDHSGQYRKVPGHVMHKSSGGTYSKPDARVKLIPAEDITYVRRGKPFSKTIGSAKLQKKHCRRSVTPPPSSRKVSLARQIVSLNRPSPLCRAVQRDAIPPAVLLKQAAVNPKSPSSVHVLPAHSSKVPEAHSCKVPLRTKAEPTVKPSLLSPTSVLSKRTTEVGPDTRSNPSSGFDGKCKLVYPQPETSIPQAKSPQTTTPVPCDELSNDFEAVPSFKNCSRNETLPNQEASISCNMSSEIAGRKVCQNVHPASFTQGAPVLLHTKLYKKPNHPETCWKGKFEVIGELTHTCDGIEAHFPPEIFIKVYEATKQMPEILKLEALPLSCVWPKIFKMEPPDGQDIGLCFISSLQRSDRNFDHLLECMSSHIGLRTNIGATELLIYSSKLLTKDDQTKDGKFYFWAVFRKRVSRIPEDIGMNLDMTEGIDTEGDKQTERVKCKSGPKLELTGDKETNRINKCLAMRKTADSNAAVCNAAPAVSFFTGSCSPDSTDCPCKSTTRAPASGDLVLDSPPGFLDIPPGFTRAHYGIRAGETAESYIDSSSSLVLDTPGCALDIPPGFTSAHRWSHMNATITSPGSENGISTPFSEKKPQIKFSLNVPRPVQIDAPPGFAELLKVIKARPGLPAPYKATEKLTPIGKANEIKFKHDKANVELQESSEEREFRKTKRMSDLLGFSSPSSSNNTSPSSSTPRKCPEVSVSAAPSASKFQEKAPPEKQSHGWKRGQPEPEPAGPCAAEPEATKRLKVNGRMALNRCVGHRGL* >Brasy2G101700.2.p pacid=40069488 transcript=Brasy2G101700.2 locus=Brasy2G101700 ID=Brasy2G101700.2.v1.1 annot-version=v1.1 MAQAGRPSLRDPSVAAAFRSAPAPPASRPDAPPRAKDATCPISGGDRDHSGQYRKVPGHVMHKSSGGTYSKPDARVKLIPAEDITYVRRGKPFSKTIGSAKLQKKHCRRSVTPPPSSRKVSLARPSPLCRAVQRDAIPPAVLLKQAAVNPKSPSSVHVLPAHSSKVPEAHSCKVPLRTKAEPTVKPSLLSPTSVLSKRTTEVGPDTRSNPSSGFDGKCKLVYPQPETSIPQAKSPQTTTPVPCDELSNDFEAVPSFKNCSRNETLPNQEASISCNMSSEIAGRKVCQNVHPASFTQGAPVLLHTKLYKKPNHPETCWKGKFEVIGELTHTCDGIEAHFPPEIFIKVYEATKQMPEILKLEALPLSCVWPKIFKMEPPDGQDIGLCFISSLQRSDRNFDHLLECMSSHIGLRTNIGATELLIYSSKLLTKDDQTKDGKFYFWAVFRKRVSRIPEDIGMNLDMTEGIDTEGDKQTERVKCKSGPKLELTGDKETNRINKCLAMRKTADSNAAVCNAAPAVSFFTGSCSPDSTDCPCKSTTRAPASGDLVLDSPPGFLDIPPGFTRAHYGIRAGETAESYIDSSSSLVLDTPGCALDIPPGFTSAHRWSHMNATITSPGSENGISTPFSEKKPQIKFSLNVPRPVQIDAPPGFAELLKVIKARPGLPAPYKATEKLTPIGKANEIKFKHDKANVELQESSEEREFRKTKRMSDLLGFSSPSSSNNTSPSSSTPRKCPEVSVSAAPSASKFQEKAPPEKQSHGWKRGQPEPEPAGPCAAEPEATKRLKVNGRMALNRCVGHRGL* >Brasy2G101700.3.p pacid=40069489 transcript=Brasy2G101700.3 locus=Brasy2G101700 ID=Brasy2G101700.3.v1.1 annot-version=v1.1 MAQAGRPSLRDPSVAAAFRSAPAPPASRPDAPPRAKDATCPISGGDRDHSGQYRKVPGHVMHKSSGGTYSKPDARVKLIPAEDITYVRRGKPFSKTIGSAKLQKKHCRRSVTPPPSSRKVSLARAVQRDAIPPAVLLKQAAVNPKSPSSVHVLPAHSSKVPEAHSCKVPLRTKAEPTVKPSLLSPTSVLSKRTTEVGPDTRSNPSSGFDGKCKLVYPQPETSIPQAKSPQTTTPVPCDELSNDFEAVPSFKNCSRNETLPNQEASISCNMSSEIAGRKVCQNVHPASFTQGAPVLLHTKLYKKPNHPETCWKGKFEVIGELTHTCDGIEAHFPPEIFIKVYEATKQMPEILKLEALPLSCVWPKIFKMEPPDGQDIGLCFISSLQRSDRNFDHLLECMSSHIGLRTNIGATELLIYSSKLLTKDDQTKDGKFYFWAVFRKRVSRIPEDIGMNLDMTEGIDTEGDKQTERVKCKSGPKLELTGDKETNRINKCLAMRKTADSNAAVCNAAPAVSFFTGSCSPDSTDCPCKSTTRAPASGDLVLDSPPGFLDIPPGFTRAHYGIRAGETAESYIDSSSSLVLDTPGCALDIPPGFTSAHRWSHMNATITSPGSENGISTPFSEKKPQIKFSLNVPRPVQIDAPPGFAELLKVIKARPGLPAPYKATEKLTPIGKANEIKFKHDKANVELQESSEEREFRKTKRMSDLLGFSSPSSSNNTSPSSSTPRKCPEVSVSAAPSASKFQEKAPPEKQSHGWKRGQPEPEPAGPCAAEPEATKRLKVNGRMALNRCVGHRGL* >Brasy2G101700.4.p pacid=40069490 transcript=Brasy2G101700.4 locus=Brasy2G101700 ID=Brasy2G101700.4.v1.1 annot-version=v1.1 MYICFALLLQDATCPISGGDRDHSGQYRKVPGHVMHKSSGGTYSKPDARVKLIPAEDITYVRRGKPFSKTIGSAKLQKKHCRRSVTPPPSSRKVSLARQIVSLNRPSPLCRAVQRDAIPPAVLLKQAAVNPKSPSSVHVLPAHSSKVPEAHSCKVPLRTKAEPTVKPSLLSPTSVLSKRTTEVGPDTRSNPSSGFDGKCKLVYPQPETSIPQAKSPQTTTPVPCDELSNDFEAVPSFKNCSRNETLPNQEASISCNMSSEIAGRKVCQNVHPASFTQGAPVLLHTKLYKKPNHPETCWKGKFEVIGELTHTCDGIEAHFPPEIFIKVYEATKQMPEILKLEALPLSCVWPKIFKMEPPDGQDIGLCFISSLQRSDRNFDHLLECMSSHIGLRTNIGATELLIYSSKLLTKDDQTKDGKFYFWAVFRKRVSRIPEDIGMNLDMTEGIDTEGDKQTERVKCKSGPKLELTGDKETNRINKCLAMRKTADSNAAVCNAAPAVSFFTGSCSPDSTDCPCKSTTRAPASGDLVLDSPPGFLDIPPGFTRAHYGIRAGETAESYIDSSSSLVLDTPGCALDIPPGFTSAHRWSHMNATITSPGSENGISTPFSEKKPQIKFSLNVPRPVQIDAPPGFAELLKVIKARPGLPAPYKATEKLTPIGKANEIKFKHDKANVELQESSEEREFRKTKRMSDLLGFSSPSSSNNTSPSSSTPRKCPEVSVSAAPSASKFQEKAPPEKQSHGWKRGQPEPEPAGPCAAEPEATKRLKVNGRMALNRCVGHRGL* >Brasy2G101700.5.p pacid=40069491 transcript=Brasy2G101700.5 locus=Brasy2G101700 ID=Brasy2G101700.5.v1.1 annot-version=v1.1 MYICFALLLQDATCPISGGDRDHSGQYRKVPGHVMHKSSGGTYSKPDARVKLIPAEDITYVRRGKPFSKTIGSAKLQKKHCRRSVTPPPSSRKVSLARPSPLCRAVQRDAIPPAVLLKQAAVNPKSPSSVHVLPAHSSKVPEAHSCKVPLRTKAEPTVKPSLLSPTSVLSKRTTEVGPDTRSNPSSGFDGKCKLVYPQPETSIPQAKSPQTTTPVPCDELSNDFEAVPSFKNCSRNETLPNQEASISCNMSSEIAGRKVCQNVHPASFTQGAPVLLHTKLYKKPNHPETCWKGKFEVIGELTHTCDGIEAHFPPEIFIKVYEATKQMPEILKLEALPLSCVWPKIFKMEPPDGQDIGLCFISSLQRSDRNFDHLLECMSSHIGLRTNIGATELLIYSSKLLTKDDQTKDGKFYFWAVFRKRVSRIPEDIGMNLDMTEGIDTEGDKQTERVKCKSGPKLELTGDKETNRINKCLAMRKTADSNAAVCNAAPAVSFFTGSCSPDSTDCPCKSTTRAPASGDLVLDSPPGFLDIPPGFTRAHYGIRAGETAESYIDSSSSLVLDTPGCALDIPPGFTSAHRWSHMNATITSPGSENGISTPFSEKKPQIKFSLNVPRPVQIDAPPGFAELLKVIKARPGLPAPYKATEKLTPIGKANEIKFKHDKANVELQESSEEREFRKTKRMSDLLGFSSPSSSNNTSPSSSTPRKCPEVSVSAAPSASKFQEKAPPEKQSHGWKRGQPEPEPAGPCAAEPEATKRLKVNGRMALNRCVGHRGL* >Brasy2G494500.1.p pacid=40069492 transcript=Brasy2G494500.1 locus=Brasy2G494500 ID=Brasy2G494500.1.v1.1 annot-version=v1.1 MDMNSGWLGFSLSSSSSAAPGYGGGGGEGAGSGRGGDGSCSSPVAAAAVGDSPVVAMQSLHPAGSVQYDGPDWRHAEAKGPKLEDFMSVGYINNNYKSSPGIYDGTHADELVHHAFPAASYNFHGHGHGGNSGGGIALDINMNAPPPCTGFPDPRALAIAPQDQHHFLPAHHGAYSLCPPNNPGPMYSGAAAAGFEGSSMSISGIKSWLRQSMYMPPEGSPQAAAVALPAPASEPAPPVPVHRKLAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDIGKYDVKRISASSHLIGGDLACRRSPTRGAPDAPGTIDIGAPEAASSADAGGSDTRGAHLLHGLQYAHAMKYEAGESSVADGAAAGSWIAAAARAPPLPAAGMHPLPVFALWND* >Brasy2G226300.1.p pacid=40069493 transcript=Brasy2G226300.1 locus=Brasy2G226300 ID=Brasy2G226300.1.v1.1 annot-version=v1.1 MAGWTAVFRALVVLCAATVSAEALAANWGTRAHRPIPGDVTVRLLKDNGFDKVKLFEADPNALEALGHSGIQVMLGLPNELLASVAGNVNAAERWVLQNVSAYVSRHGVDIRYVAVGNEPFLKSYKGQFEAATLPAVRNVQAALVKAGLSRQVRVTVPINADVYESLDGRPSSGDFRPDITGLMAGLVRFLLDNGGVLAINIYPFLSLDADPNFPRDYAYFPAPGAPPSQASVQDGDVLYTNVFDANYDTLVAALEKHGLGNITVVVGEIGWPTDGDANANVASAQRFNQGLFDRIVAGKGTPRRPAMPDVYVFALLDEDAKSVDPGNFERHWGIFNYDGSPKYALRLANGRGIVPAKGVRYLSKQYCVLRPDASAADPAVVSAVSYACQYADCTSLSPGSSCGGLDAKGNVSYAFNEFFQSAGQQKGSCAFNNLSVITTTDPSRGTCRFKIMIDTGRHDLNHASAAARTAAAWSAVLVLALLALVA* >Brasy2G191800.1.p pacid=40069494 transcript=Brasy2G191800.1 locus=Brasy2G191800 ID=Brasy2G191800.1.v1.1 annot-version=v1.1 MVLASFFPTKKEEAQHPVHSDAHMAIRPCHNRSRPPHLVTISENTFRHFPLLPFLLHLFLLLVFSPFPSSPTQPLRQRHHAGSCRLLALLFRFLAPPSPIPPWLWFPPPPPARRGLIDWLHVLIPGVLRVARGIYHLGDSDPRRGKGDLETYMMPGFGTNSDMSPASLPARVDYSTSNGFSAEYIYDPGLSYPATNGYAYYAGFEPPVGWSENANFWGVDGQYLQLPNDNLSYVYCTPGYGFSYSPQDQYTYMPGMFMGVDGSAVGSQQYFVSPYQPPDSPAGYYPIFIQPTTDLSSTASFEPPVFSTGTSVASRPANTSIKDRLQISGNTAASQSVPSGSPASGFFHHAYQNRSTNKPCDLPGANATRRDKPSTSHLTNPVDASSTDKNLQVTSGPSSSGDRGPRTVRLEVPSVGTTADEDAQTKAVSSSTVENIVIHPDQYNKANFPSDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAQGRSPWKCPIFLFFSVNTSGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPYKSGINMLALFKSSPMTTSILDDFPFYEGRQKAMLEQKRRQLGRNFNGLNYVPALVAEKSVVVEGGPSEVGKGQFCSKYPHSGKTGQDSGTCEQAGKTSQMKDGVARATQVLKREGVTVVEQPEHVKTECHSLDARDHQQTEHCSGSASPENVGKKPAFFSERVKSNGKGCNGRKAHPVINTSEPSCSSCQKGPSQEFGGHVTSDCMKDGAPGIAGDIKSAKLLKKPEGLSTNQVDRQAKGIVNETKGITPTDVVKVGSVHIKLNVTGYSSSEVIGDGIGSLEPRGEEHTEQAISTKLS* >Brasy2G191800.2.p pacid=40069495 transcript=Brasy2G191800.2 locus=Brasy2G191800 ID=Brasy2G191800.2.v1.1 annot-version=v1.1 MVLASFFPTKKEEAQHPVHSDAHMAIRPCHNRSRPPHLVTISENTFRHFPLLPFLLHLFLLLVFSPFPSSPTQPLRQRHHAGSCRLLALLFRFLAPPSPIPPWLWFPPPPPARRGLIDWLHVLIPGVLRVARGIYHLGDSDPRRGKGDLETYMMPGFGTNSDMSPASLPARVDYSTSNGFSAEYIYDPGLSYPATNGYAYYAGFEPPVGWSENANFWGVDGQYLQLPNDNLSYVYCTPGYGFSYSPQDQYTYMPGMFMGVDGSAVGSQQYFVSPYQPPDSPAGYYPIFIQPTTDLSSTASFEPPVFSTGTSVASRPANTSIKDRLQISGNTAASQSVPSGSPASGFFHHAYQNRSTNKPCDLPGANATRRDKPSTSHLTNPVDASSTDKVTSGPSSSGDRGPRTVRLEVPSVGTTADEDAQTKAVSSSTVENIVIHPDQYNKANFPSDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAQGRSPWKCPIFLFFSVNTSGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPYKSGINMLALFKSSPMTTSILDDFPFYEGRQKAMLEQKRRQLGRNFNGLNYVPALVAEKSVVVEGGPSEVGKGQFCSKYPHSGKTGQDSGTCEQAGKTSQMKDGVARATQVLKREGVTVVEQPEHVKTECHSLDARDHQQTEHCSGSASPENVGKKPAFFSERVKSNGKGCNGRKAHPVINTSEPSCSSCQKGPSQEFGGHVTSDCMKDGAPGIAGDIKSAKLLKKPEGLSTNQVDRQAKGIVNETKGITPTDVVKVGSVHIKLNVTGYSSSEVIGDGIGSLEPRGEEHTEQAISTKLS* >Brasy2G191800.3.p pacid=40069496 transcript=Brasy2G191800.3 locus=Brasy2G191800 ID=Brasy2G191800.3.v1.1 annot-version=v1.1 MMPGFGTNSDMSPASLPARVDYSTSNGFSAEYIYDPGLSYPATNGYAYYAGFEPPVGWSENANFWGVDGQYLQLPNDNLSYVYCTPGYGFSYSPQDQYTYMPGMFMGVDGSAVGSQQYFVSPYQPPDSPAGYYPIFIQPTTDLSSTASFEPPVFSTGTSVASRPANTSIKDRLQISGNTAASQSVPSGSPASGFFHHAYQNRSTNKPCDLPGANATRRDKPSTSHLTNPVDASSTDKNLQVTSGPSSSGDRGPRTVRLEVPSVGTTADEDAQTKAVSSSTVENIVIHPDQYNKANFPSDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAQGRSPWKCPIFLFFSVNTSGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPYKSGINMLALFKSSPMTTSILDDFPFYEGRQKAMLEQKRRQLGRNFNGLNYVPALVAEKSVVVEGGPSEVGKGQFCSKYPHSGKTGQDSGTCEQAGKTSQMKDGVARATQVLKREGVTVVEQPEHVKTECHSLDARDHQQTEHCSGSASPENVGKKPAFFSERVKSNGKGCNGRKAHPVINTSEPSCSSCQKGPSQEFGGHVTSDCMKDGAPGIAGDIKSAKLLKKPEGLSTNQVDRQAKGIVNETKGITPTDVVKVGSVHIKLNVTGYSSSEVIGDGIGSLEPRGEEHTEQAISTKLS* >Brasy2G191800.4.p pacid=40069497 transcript=Brasy2G191800.4 locus=Brasy2G191800 ID=Brasy2G191800.4.v1.1 annot-version=v1.1 MMPGFGTNSDMSPASLPARVDYSTSNGFSAEYIYDPGLSYPATNGYAYYAGFEPPVGWSENANFWGVDGQYLQLPNDNLSYVYCTPGYGFSYSPQDQYTYMPGMFMGVDGSAVGSQQYFVSPYQPPDSPAGYYPIFIQPTTDLSSTASFEPPVFSTGTSVASRPANTSIKDRLQISGNTAASQSVPSGSPASGFFHHAYQNRSTNKPCDLPGANATRRDKPSTSHLTNPVDASSTDKVTSGPSSSGDRGPRTVRLEVPSVGTTADEDAQTKAVSSSTVENIVIHPDQYNKANFPSDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAQGRSPWKCPIFLFFSVNTSGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPYKSGINMLALFKSSPMTTSILDDFPFYEGRQKAMLEQKRRQLGRNFNGLNYVPALVAEKSVVVEGGPSEVGKGQFCSKYPHSGKTGQDSGTCEQAGKTSQMKDGVARATQVLKREGVTVVEQPEHVKTECHSLDARDHQQTEHCSGSASPENVGKKPAFFSERVKSNGKGCNGRKAHPVINTSEPSCSSCQKGPSQEFGGHVTSDCMKDGAPGIAGDIKSAKLLKKPEGLSTNQVDRQAKGIVNETKGITPTDVVKVGSVHIKLNVTGYSSSEVIGDGIGSLEPRGEEHTEQAISTKLS* >Brasy2G002300.1.p pacid=40069498 transcript=Brasy2G002300.1 locus=Brasy2G002300 ID=Brasy2G002300.1.v1.1 annot-version=v1.1 MGTAPWRLVSLLLLLILVISSSLVHVAVAAGQTYWAWHPYCSTTGNYTGDSQYRRNLLALFGDLPSKALSNRGFYNASVGEAPDEVFGLLGCYADRSWTHREMKGAYDACVLRYSNVSSFVSSGVADLDVAFYTLNDSYVDDQVGMNQTRWKLLVDQLAPRASRSELRFANATVPYAKTTMYGLAQCTRDLIAGECYRCLTKSVAKLSSMLPNNTYGGIKGYSCYAIYSTRESIPITFPPPLAQMPPSSNVPPPG* >Brasy2G005300.1.p pacid=40069499 transcript=Brasy2G005300.1 locus=Brasy2G005300 ID=Brasy2G005300.1.v1.1 annot-version=v1.1 MNGPGRGTGSGGGEPRWPPERDPLEFLSRSWSASAADVSRALASAASPLTAGAIAEDAAGELDDGAATGAAGSSFSFASAATSQLIMDRIMSQSQEASPLTSGRLSHSSGPLIGGGSLSDSPPVSPDLDDSKFYRAVGTPKPLPPARGGSKTVGRWLKDRKERRKEETRAHNAQVHAAVSVAAVAAAVAAMAASATGTGRRRDDMAVASAATLVAAQCVEAAEAMGAERDHLAAAVASAVSARTPGDIATITAAAATALRGAATVRARVAKEAWNVAAVIPVEKGAMGAHNRSHSHIHMHGSQRQQLQQHHNVGSSFSDDLPPSLDQDVDEETSFLGIGCQELLARGTELLKRTRKGSLHWKVVSVYINRNGMVMLKMKSRHVAGTITKKKKSVVVDVCRDVAAWPGRHLLQGGEHRRYFGLRTAEHRVIEFECASQRDHEMWTKGVARLLTIVNGRKRFA* >Brasy2G005300.2.p pacid=40069500 transcript=Brasy2G005300.2 locus=Brasy2G005300 ID=Brasy2G005300.2.v1.1 annot-version=v1.1 MNWMLSGGGKLQFYRAVGTPKPLPPARGGSKTVGRWLKDRKERRKEETRAHNAQVHAAVSVAAVAAAVAAMAASATGTGRRRDDMAVASAATLVAAQCVEAAEAMGAERDHLAAAVASAVSARTPGDIATITAAAATALRGAATVRARVAKEAWNVAAVIPVEKGAMGAHNRSHSHIHMHGSQRQQLQQHHNVGSSFSDDLPPSLDQDVDEETSFLGIGCQELLARGTELLKRTRKGSLHWKVVSVYINRNGMVMLKMKSRHVAGTITKKKKSVVVDVCRDVAAWPGRHLLQGGEHRRYFGLRTAEHRVIEFECASQRDHEMWTKGVARLLTIVNGRKRFA* >Brasy2G005300.3.p pacid=40069501 transcript=Brasy2G005300.3 locus=Brasy2G005300 ID=Brasy2G005300.3.v1.1 annot-version=v1.1 MNWMLSGGGKLQFYRAVGTPKPLPPARGGSKTVGRWLKDRKERRKEETRAHNAQVHAAVSVAAVAAAVAAMAASATGTGRRRDDMAVASAATLVAAQCVEAAEAMGAERDHLAAAVASAVSARTPGDIATITAAAATALRGAATVRARVAKEAWNVAAVIPVEKGAMGAHNRSHSHIHMHGSQRQQLQQHHNVGSSFSDDLPPSLDQDVDEETSFLGIGCQELLARGTELLKRTRKGSLHWKVVSVYINRNGMVMLKMKSRHVAGTITKKKKSVVVDVCRDVAAWPGRHLLQGGEHRRYFGLRTAEHRVIEFECASQRDHEMWTKGVARLLTIVNGRKRFA* >Brasy2G005300.4.p pacid=40069502 transcript=Brasy2G005300.4 locus=Brasy2G005300 ID=Brasy2G005300.4.v1.1 annot-version=v1.1 MAASATGTGRRRDDMAVASAATLVAAQCVEAAEAMGAERDHLAAAVASAVSARTPGDIATITAAAATALRGAATVRARVAKEAWNVAAVIPVEKGAMGAHNRSHSHIHMHGSQRQQLQQHHNVGSSFSDDLPPSLDQDVDEETSFLGIGCQELLARGTELLKRTRKGSLHWKVVSVYINRNGMVMLKMKSRHVAGTITKKKKSVVVDVCRDVAAWPGRHLLQGGEHRRYFGLRTAEHRVIEFECASQRDHEMWTKGVARLLTIVNGRKRFA* >Brasy2G278600.1.p pacid=40069503 transcript=Brasy2G278600.1 locus=Brasy2G278600 ID=Brasy2G278600.1.v1.1 annot-version=v1.1 MAAPAAISTFSSSNSSSASPRPKHRLLAKPVSGRSPRTLQPPPRTVASSRAPLVVSHPPPTPAPAPGHPKVDRSGRFCSPRAARELALMVAYAACLEGADVVRLFDRRVAARREPGYVFNKASLQSYNFMSFCGGPLEVATEEEAEKLMSQNEKDSANEAEVLSAPPRLVYNNFVLRLAREMLVAVASGWDQHVEIINKIIPQNWKDEPVARILELCILHIAMAEMTSKETPHKVAINEAVDLAKRFCDGGAPRVINGCLRTYVKDHMDIGTGKPAESKE* >Brasy2G257400.1.p pacid=40069504 transcript=Brasy2G257400.1 locus=Brasy2G257400 ID=Brasy2G257400.1.v1.1 annot-version=v1.1 MHNGVLCVCLFARPLQLAFSSLPGAASPPAPQALPCRRIPPNPWARPGFGATTVTLRRPCRRKPCGGAESSDRRFPFAAAGELSNSNRAQHEKPRFSVFASKGAVQLQNGISLQNEYVVPEDVKAAGFRIDPAELTSIVESRDTKRLTAQGQLAGIADKLATSLTDGISTDEDLLNQRQEIYGVNKFAESEVRGLWEFVSEALQDTTLIILTACAFVSLAVGIATEGWPNGSHDGIGIVASILLVISVSATSDYQQSLQFRDLDREKRKILVQVTRNGFRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVDVNEGKPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLNGVANIIGKIGLFFAVLTFIVLSQGLIGQKYHDGLLLSWSGDDVLEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMKKMMNDKALVRQLAACETMGSSTVICSDKTGTLTTNRMTVVKACICGNTLEVNDLLIPSSLSSKIPGIAVQTLLESIFNNTGGEVVINQDGKPDILGTPTEAALLEFALSLDGKYKQKRQETKIVKVEPFNSTKKRMSVILELPGGGYRAHCKGASEIVLAACDKFIDDRGSIVPLDRKTAHKFNGIIETFSSEALRTLCLAYKALEDGFNHEEISLQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTARAIARECGILTDGLAIEGAEFREKTPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRTGKFITNVMWRNILGQSLYQFTVIWYLQTQGRYILGLEGSEPDIVVNTIIFNTFVFCQVFNEVSSREMEEVNVLKGLSENSIFIGVLTGTIIFQFILVQFLGDFANTTPLTQQQWLLCVLFGFLGMPIAAAIKLISVQPRKESLFM* >Brasy2G257400.3.p pacid=40069505 transcript=Brasy2G257400.3 locus=Brasy2G257400 ID=Brasy2G257400.3.v1.1 annot-version=v1.1 MCNITLEKPRFSVFASKGAVQLQNGISLQNEYVVPEDVKAAGFRIDPAELTSIVESRDTKRLTAQGQLAGIADKLATSLTDGISTDEDLLNQRQEIYGVNKFAESEVRGLWEFVSEALQDTTLIILTACAFVSLAVGIATEGWPNGSHDGIGIVASILLVISVSATSDYQQSLQFRDLDREKRKILVQVTRNGFRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVDVNEGKPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLNGVANIIGKIGLFFAVLTFIVLSQGLIGQKYHDGLLLSWSGDDVLEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMKKMMNDKALVRQLAACETMGSSTVICSDKTGTLTTNRMTVVKACICGNTLEVNDLLIPSSLSSKIPGIAVQTLLESIFNNTGGEVVINQDGKPDILGTPTEAALLEFALSLDGKYKQKRQETKIVKVEPFNSTKKRMSVILELPGGGYRAHCKGASEIVLAACDKFIDDRGSIVPLDRKTAHKFNGIIETFSSEALRTLCLAYKALEDGFNHEEISLQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTARAIARECGILTDGLAIEGAEFREKTPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRTGKFITNVMWRNILGQSLYQFTVIWYLQTQGRYILGLEGSEPDIVVNTIIFNTFVFCQVFNEVSSREMEEVNVLKGLSENSIFIGVLTGTIIFQFILVQFLGDFANTTPLTQQQWLLCVLFGFLGMPIAAAIKLISVQPRKESLFM* >Brasy2G257400.2.p pacid=40069506 transcript=Brasy2G257400.2 locus=Brasy2G257400 ID=Brasy2G257400.2.v1.1 annot-version=v1.1 MHNGVLCVCLFARPLQLAFSSLPGAASPPAPQALPCRRIPPNPWARPGFGATTVTLRRPCRRKPCGGAESSDRRFPFAAAGELSNSNRAQHEKPRFSVFASKGAVQLQNGISLQNEYVVPEDVKAAGFRIDPAELTSIVESRDTKRLTAQGQLAGIADKLATSLTDGISTDEDLLNQRQEIYGVNKFAESEVRGLWEFVSEALQDTTLIILTACAFVSLAVGIATEGWPNGSHDGIGIVASILLVISVSATSDYQQSLQFRDLDREKRKILVQVTRNGFRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVDVNEGKPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLNGVANIIGKIGLFFAVLTFIVLSQGLIGQKYHDGLLLSWSGDDVLEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMKKMMNDKALVRQLAACETMGSSTVICSDKTGTLTTNRMTVVKACICGNTLEVNDLLIPSSLSSKIPGIAVQTLLESIFNNTGGEVVINQDGKPDILGTPTEAALLEFALSLDGKYKQKRQETKIVKVEPFNSTKKRMSVILELPGGGYRAHCKGASEIVLAACDKFIDDRGSIVPLDRKTAHKFNGIIETFSSEALRTLCLAYKALEDGFNHEEISLQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTARAIARECGILTDGLAIEGAEFREKTPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEEMHH* >Brasy2G257400.4.p pacid=40069507 transcript=Brasy2G257400.4 locus=Brasy2G257400 ID=Brasy2G257400.4.v1.1 annot-version=v1.1 MLIDDLLPGDVVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVDVNEGKPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLNGVANIIGKIGLFFAVLTFIVLSQGLIGQKYHDGLLLSWSGDDVLEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMKKMMNDKALVRQLAACETMGSSTVICSDKTGTLTTNRMTVVKACICGNTLEVNDLLIPSSLSSKIPGIAVQTLLESIFNNTGGEVVINQDGKPDILGTPTEAALLEFALSLDGKYKQKRQETKIVKVEPFNSTKKRMSVILELPGGGYRAHCKGASEIVLAACDKFIDDRGSIVPLDRKTAHKFNGIIETFSSEALRTLCLAYKALEDGFNHEEISLQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTARAIARECGILTDGLAIEGAEFREKTPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMKKAPVGRTGKFITNVMWRNILGQSLYQFTVIWYLQTQGRYILGLEGSEPDIVVNTIIFNTFVFCQVFNEVSSREMEEVNVLKGLSENSIFIGVLTGTIIFQFILVQFLGDFANTTPLTQQQWLLCVLFGFLGMPIAAAIKLISVQPRKESLFM* >Brasy2G153500.1.p pacid=40069508 transcript=Brasy2G153500.1 locus=Brasy2G153500 ID=Brasy2G153500.1.v1.1 annot-version=v1.1 MFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRACFKCHHCKSTLSFSSYSSFEGVPYCKPHFAQLFKETGSYNKSFQSPAKSASDKLTPELTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEEKSYHKSCFKCSHGGCALSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASIKRAEAQTAQPAADSS* >Brasy2G153500.2.p pacid=40069509 transcript=Brasy2G153500.2 locus=Brasy2G153500 ID=Brasy2G153500.2.v1.1 annot-version=v1.1 MFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRACFKCHHCKSTLSTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEEKSYHKSCFKCSHGGCALSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASIKRAEAQTAQPAADSS* >Brasy2G202600.1.p pacid=40069510 transcript=Brasy2G202600.1 locus=Brasy2G202600 ID=Brasy2G202600.1.v1.1 annot-version=v1.1 MESANTGGFRLVRCPKCLNILPEPANVSVYQCGGCNTTLRAKVCVSNAQNVAKKQVRQDSDNYSVVTSVSNGVSPQNKDLGSIGADVDDSCTPDSPSYETECESNVKGSNENGDVMSDEKNAMEVTNTEKKEDCKSDEEESNTDEETEVPALQSHPNGNDTHTDSDEEESNTVERSSENPPSKRSAENPEACRVSEVDDMECSLNTSEHNKPLSEDRKLVINLPEPENGACQTEPVTNKRTKLVRVQSRSCDLRDRVSVDSLDFHSSRTSFQSKSFRASEPLQSKIMKTVDDLKGDLCEIFNEPSDCKPRTHPPLPRKQDGYMPHVAITSSLPLTAYRPAAKHSGHASRLSRSGQVAPHDHEQPLPRYRRHSAYSYNHTGQMEMMRCPHECCHSYRPPCCSSWKQERALQKPPVKEIKRRPPPRNLCRPVLRGAPFILCSNCLRLVQLPTGFAVPSRGTRRLQCGSCSQVLSYSYRDPSRKKLQSPFGGDESSSTDDYEIHQAADDFNTGAHQADPYSYSEEYGQSAGASYSTEDEQPLHVSRNSSFNTMDERSGKESKLHRLMGYSSASELMRHSPDLYESFSRRTPNTRPHDMKGKGVCVTDDDSAAEHSAMKGSKAKERSVGLPFQGMLKKGIHGLESLKLRS* >Brasy2G202600.2.p pacid=40069511 transcript=Brasy2G202600.2 locus=Brasy2G202600 ID=Brasy2G202600.2.v1.1 annot-version=v1.1 MESANTGGFRLVRCPKCLNILPEPANVSVYQCGGCNTTLRAKVCVSNAQNVAKKQVRQDSDNYSVVTSVSNGVSPQNKDLGSIGADVDDSCTPDSPSYETECESNVKGSNENGDVMSDEKNAMEVTNTEKKEDCKSDEEESNTDEETEVPALQSHPNGNDTHTDSDEEESNTVERSSENPPSKRSAENPEACRVSEVDDMECSLNTSEHNKPLSEDRKLVINLPEPENGACQTEPVTNKRTKLVRVQSRSCDLRDRVSVDSLDFHSSRTSFQSKSFRASEPLQSKIMKTVDDLKGDLCEIFNEPSDCKPRTHPPLPRKQDGYMPHVAITSSLPLTAYRPAAKHSGHASRLSRSGQVAPHDHEQPLPRYRRHSAYSYNHTGQMEMMRCPHECCHSYRPPCCSSWKQERALQKPPVKEIKRRPPPRNLCRPVLRGAPFILCSNCLRLVQLPTGFAVPSRGTRRLQCGSCSQVLSYSYRDPSRKKLQSPFGGDESSSTDDYEIHQAADDFNTGAHQADPYSYSEEYGQSAGASYSTEDEQPLHVSRNSSFNTMDERSGKESKLHRLMGYSSASELMRHSPDLYESFSRRTPNTRPHDMKGKGVCVTDDDSAAEHSAMKGSKAKERSVGLPFQGMLKKGIHGLESLKLRS* >Brasy2G220600.1.p pacid=40069512 transcript=Brasy2G220600.1 locus=Brasy2G220600 ID=Brasy2G220600.1.v1.1 annot-version=v1.1 MARVLPLSIEPGEMTRGGEAIAGMPNSSAALSHKGIGYSEQNIKDDEYARLVTPAQHATTDINTEVIPEQPKSRYFIWWMKVLLVCFLLILLSYIFVKFGVPFAFEKVLLPIMQWEASAFGRPVLAIVLVASLALLPLILVPSGPSMWLAGMIFGYGWGFLIIMAGTTLGMVMSYWIGSLFRERLHAWLKRWPQQMALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYICGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNIISFVVAVILTVVFTVYAKRALNDIKTSDDICGEEQHVASAGLSALKNHCQGVSHPHPVALDVV* >Brasy2G019100.1.p pacid=40069513 transcript=Brasy2G019100.1 locus=Brasy2G019100 ID=Brasy2G019100.1.v1.1 annot-version=v1.1 MGQDSGLKRQSHSRLRPVPEIPQPQCGTGQDSRDRRYHQLKCSDGNSGDVRFDRIPDFHCKSLPTRRRLTNAEDIAVAKRGSMYQSSSEISRIRKLSQEGRRGKIDSACNGDEFLSFDIVDSSSRPSTSGAYLVSHQNRRSEAKSSVETRRIHRASKEFLDLSFRELPDENFKLDRPRMDRTLLKNDAAVGFLEISLEEEVTKGPCRNAAPHLLDRESGRGTDAKVSREDSSKQFSQVHVSKGESNCGETQRIPVSNFPKSMSAKVSTSDGTRPSECVQQCKENSPKARSSPFKKILDPIMKSKSLRSPSLVEKEDPHPITAPISRKNGVSRKSLLSDFSRTERSQASNCQPNGESRHMMATLSPAHLRAVLKFDSKNGILSFEFFVEGPEESISARSWETRSELNWIYTFHSGGKRGSTAGRSSKDDRRCSPPTVGQMHVSSYLCSEVAKDGILSNSVNTEFVLYDIAHARRSFAAEEKTQCTETTQPKLCSVVDKSISGNYPQQINLIDQQNSVRNNSEVSTSCPWSEEDLYPHLEIAATVIQIPFSKDKSKDNGPSPGTIKVVTPSGLHGLSNDNETSPSPLLDRWRYGGGCDCGGWDMACPLVVLGSTYDNNWVDSVTKESKHPMELLVQGSKEELPALSMKANGKGQLLVDFHARLSALQAFSVCISLLHCSEASTAVSIEKGKHKLYSSSLKMLLEGEVRQLIEAVTAEENKKPKTEREKAPPSIVLDPRHPPFSPLGRV* >Brasy2G447800.1.p pacid=40069514 transcript=Brasy2G447800.1 locus=Brasy2G447800 ID=Brasy2G447800.1.v1.1 annot-version=v1.1 MRAAVPGIPSAGLALLLLLLCAAASGAAPAGVAAALLLLLLCAAASSAAEGRRGAAGLYYARVKLGNPPKEFSLQFDTGSDLLWTSCSPCENCQATPFLNVTLEVYNPESSSTSSKLSCSDERCKQVAEIGKATCDPTKNQCGYTQSYADGSITSGYYFSDTMHLDSIGNKSATNTSASVFFGCSNSRTGQFATDGVIGFGKNVLSVVSQLSAQGVSPKVFSHCLKGSDDDKECSPSICKLHYPGRDTLFFYFQQATKSEVPPEQIHREFMKKNQHQYNQNNIELAQFTPYDIRNFSNVAKCNQIKLQLPSPLFQIK* >Brasy2G369100.1.p pacid=40069515 transcript=Brasy2G369100.1 locus=Brasy2G369100 ID=Brasy2G369100.1.v1.1 annot-version=v1.1 MAGNNPPPKPWERAGTSSGPAPFRASSGGTTSDIVEASGTAKPGEVVSAAESNAAFGVNNTVSRPVPPRPWQQQGFGNSYGGYGTSTYNSYGGFGGASSTSGLYGNNMYSGYGGGYGGSYGGAGTYGGPYGGSGMYAGSAYSNGMGNPYGGMGMDPYNQGPGSFGPPAPPPGFWVSFLRVMHGIVNFSGRVAFLISQNTQAFHVFITALLQLCDKSGMLYSELARFVLRLLGVKTKPKKGRVQGAEAPSFEASKANNSWDNVWSGDGRGK* >Brasy2G424200.1.p pacid=40069516 transcript=Brasy2G424200.1 locus=Brasy2G424200 ID=Brasy2G424200.1.v1.1 annot-version=v1.1 MEIVAKANQVTVMDHEMKSKKEQYAADPMKIPRDIMEQWNPQITEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSKAPQDDRNLPDIHLGLYNDVVVFDHVEKKTHVIHWVRVDCYHSVDEAYEDGKNRLEALLSRLHSRNVPTLSAGSVKLNVGHFGSALQKSSMSSEDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDEMEGKMRGPYSGGFGGISFRGDMDIALALRTIVFPTGSRFDTMYSYADRSARQEWVAHLQAGAGIVADSKPDDEHQECQNKAAGLARAIDLAESTFLDEQSMSV* >Brasy2G089800.1.p pacid=40069517 transcript=Brasy2G089800.1 locus=Brasy2G089800 ID=Brasy2G089800.1.v1.1 annot-version=v1.1 MEPLASAPPPGEVSLPHPPPAKDSLRAVCRRRDDALVGLCSSNGRSGIIDSALPPKWSAWVPAGFASQGTFYSDTQQLRRGACGEVICRLRWPGGVTWQRGAMGSVAGESSAAATTMQEGLKQINSEGSPHRLENTPDGVVNRADSEKSPPSLVPSGRLASGVRGHRRSSPAAAARPQPTLVLKNPAKSPTPSGRLPCRVSCPSSCRCRRSLPTSASPITAEDCFASKCVDLRDGVEQEQEWRPP* >Brasy2G293500.1.p pacid=40069518 transcript=Brasy2G293500.1 locus=Brasy2G293500 ID=Brasy2G293500.1.v1.1 annot-version=v1.1 MDAVAGPSAKQKPWRPLIPPNYVSLRHLQELRLKEEEQRQREEEEAAARVKEEQRRREEEEAAERRRVVEEQRRREEEEAAARRRVLEEQRSREEEAAARRVKEAAAAAAPMKPSPSSNTSFGYKERPRGGQRSVVVAHRRPPPSRGQGTAMKSDGATGGCHGQKGSDGDAANAPLGAGCKAQNEGKGKGKDKVLPSLNGGSGEPTGAATASLYGGKPEEKRKVEASGYQGTASGMSSAPGELGEAAIGSHRGSGRQKNKHKGKKRLDGRSTEIASSGMMGKRDSSPPRGVNSENIEKGLGEPHTGTASFGAPDVSPPSGVKSEKIGKKKPSEGMCADAVPSSNMRYGKTAQTRPTGRSNRRSSGGQGLMWEVKSEGLGEKQTVVEVSAKPQTSTEGCNNRRTEVKSESSGKKQLMVKVIAQPCPATEDSSNRRRDSGQGTILEAKSEGLDEKQPVVEVRATAQRKLWHVRHSHERRPGGGSGVAGEHGGVWVPKVTAARDSAEVRKFL* >Brasy2G293500.2.p pacid=40069519 transcript=Brasy2G293500.2 locus=Brasy2G293500 ID=Brasy2G293500.2.v1.1 annot-version=v1.1 MDAVAGPSAKQKPWRPLIPPNYVSLRHLQELRLKEEEQRQREEEEAAARVKEEQRRREEEEAAERRRVVEEQRRREEEEAAARRRVLEEQRSREEEAAARRVKEAAAAAAPMKPSPSSNTSFGYKERPRGGQRSVVVAHRRPPPSRGQGTAMKSDGATGGCHGQKGSDGDAANAPLGAGCKAQNEGKGKGKDKVLPSLNGGSGEPTGAATASLYGGKPEEKRKVEASGYQGTASGMSSAPGELGEAAIGSHRGSGRQKNKHKGKKRLDGRSTEIASSGMMGKRDSSPPRGVNSENIEKGLGEPHTGTASFGAPDVSPPSGVKSEKIGKKKPSEGMCADAVPSSNMRYGKTAQTRPTGRSNRRSSGGQGLMWEVKSEGLGEKQTVVEVSAKPQTSTEGCNNRRTEVKSESSGKKQLMVKVIAQPCPATEDSSNRRRDSGQGTILEAKSEGLDEKQPVVEVRATAQRKLWHVRHSHERRPGGGSGVAGEHGGVWVPKVTAARDSAEVRKFL* >Brasy2G368800.1.p pacid=40069520 transcript=Brasy2G368800.1 locus=Brasy2G368800 ID=Brasy2G368800.1.v1.1 annot-version=v1.1 MEEASTSANGGSAAGGGRSFPPARSGVFYKFTQQDLPAWKPATTPGCVISIFLLIGITFVPVGLVCLQASNSVAEIVRRYDIDCVPDAYRRNKQAYIKDSSISKTCIQKVKVQYHMKAPIYVYYELDNFYQNHRRYVKSRSDKQLRHGLKYTDSSCGPLERNNGLPIVPCGLIAWSLFNDTFGFTRGSIGITVDRKNISWRSDREHKFGKDVYPFNFQNGSLIGGGKLDPDIPLSNQEDLIVWMRAAALPQFRKLYGVIEEDLEADETIAIHITNNYNTYSFGGKKSLVLTTSTWLGGKNDFLGYAYLVTGSASIFLSILFALIHVKIPRPHGDAAYLSWSRKGSNS* >Brasy2G368800.2.p pacid=40069521 transcript=Brasy2G368800.2 locus=Brasy2G368800 ID=Brasy2G368800.2.v1.1 annot-version=v1.1 MCKLGLNLNVVFSTDGVVYCLVNYMIVLPLFQVISIFLLIGITFVPVGLVCLQASNSVAEIVRRYDIDCVPDAYRRNKQAYIKDSSISKTCIQKVKVQYHMKAPIYVYYELDNFYQNHRRYVKSRSDKQLRHGLKYTDSSCGPLERNNGLPIVPCGLIAWSLFNDTFGFTRGSIGITVDRKNISWRSDREHKFGKDVYPFNFQNGSLIGGGKLDPDIPLSNQEDLIVWMRAAALPQFRKLYGVIEEDLEADETIAIHITNNYNTYSFGGKKSLVLTTSTWLGGKNDFLGYAYLVTGSASIFLSILFALIHVKIPRPHGDAAYLSWSRKGSNS* >Brasy2G432000.1.p pacid=40069522 transcript=Brasy2G432000.1 locus=Brasy2G432000 ID=Brasy2G432000.1.v1.1 annot-version=v1.1 MSTKRACSGACPSTKRLRAFDEASPPLLRLPVDLLLEIAARADIATIVRCAATSKHFRAAILEQGFRRRRLLNGRAAAGFDPSSLRGISYKLTDRDKDDICRSHTVRLLQTPPSDTAISRPRAVSLCLVPVAWRDGLVILRHSQTGELTACNRNTGHEAPLDDSAALAVSDDYPHALLTVDGGGGGNFELLVADKDLRFQTYSSRHGKWGAVVHAAAAAQHHLHHPPSRQTARTRDPSKHPVVINGRRRNTVHWLCGCRGGLDAGWLHIVALGVDTAAAGARATVIDLPRGCVSRMMGLKHPNGITLAVSADGRLSLVVSETQVISMWTSEGDPDQITWSRRVVVDRQEWGVHASIRFEGFGERSGTLLFYMSFVGLVQLNLATNKALVVLHREHPRTHRISQVCLHEINLPSLLQTMKPFQLVTRSDPKFLSLF* >Brasy2G271100.1.p pacid=40069523 transcript=Brasy2G271100.1 locus=Brasy2G271100 ID=Brasy2G271100.1.v1.1 annot-version=v1.1 MRGLLFPFPFPVLSCCCLLVESSLAMAATTLPLRLRSLLLLLCQLLLLHPFFSGATPWITTRSVPRLPGFSGGDLPFSLETGYVGLDDGVRLFYYFIQSERSPEEDPVLLWLTGGPGCSALSGLVYEIGPLAFDFDGYTGGLPTLLYKPVAWTKVSNIIFVDSPAGTGFSYDSSHNGTIPSDTIVIHQLHIFLQTWFDEHPQFLPNPLYIAGDSYSGLIIPSLAMKIAKGIESGDERLVNLKGIIAGNAFTDVKLDINARLPFLHGMGIIPDELYEAARENCRGEYLSPSNAPCANSLQAVTDCIKDVNDVHVLEPRCPEYLDLTILHKQLMTLQDHGRKRMMLESAVSSVCRNATYFLSELWTNDKTVRETLGIQKGTVPSWQRCDFHIPYTMEITSTVYDHLSLIMKGYRSMIYSGDHDSKVSFVGTQAWIRHLNLSVTDVWRPWHLDGQVVGFTRTYSDNLTYATVKGAGHTAPEYMPRECLAMVDRWLSGQPL* >Brasy2G190200.1.p pacid=40069524 transcript=Brasy2G190200.1 locus=Brasy2G190200 ID=Brasy2G190200.1.v1.1 annot-version=v1.1 MARQIAPSRSFHRLLTSQHYPPASPAPPPRPLLLPKALSLQLPRRGRRDFIAASAPATSSSETETEVGAWGKVSAVLFDMDGVLCDSEEPSRRAAVDVFAEMGVEATVDDFIPFMGTGEANFLGGVARVKEVKDFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIMECKSAGLKVAVASSADRIKVDTNLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTNECIVIEDALAGVQAAKAAEIRCIAVTTTLEEDELQQASPVFIRKDIGDISINDILYGGSSAHHIGADERTENTKNISSLENASPKTLSEATNAEFIENTYSPSSKGQFYEGLLGSRRDILRYGSLGIAFSCFLFTIRNWKAMQFASPKGLLNFFTGGDSSIFASNEGESRSSRVQQIKKYLSDFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVVLDFWTYCCINCMHVLPDLEFIEKKYKDEPFTVVGVHSAKFDNEKDLEAIRSAVLRYDVTHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNNSLPLALEKDRDNRLITSPLKFPGKLAIDVQNNRLFISDSNHNRIVVTNLDGEFICQVGSSEEGLLDGQFDAASFNRPQGLAYNSKKNILYVADTENHALREIDFVNETVRTLAGNGTKGSDYKGGGRGTNQVLNSPWDVCYAPSEETVYIAMAGQHQIWKHNTSDGVTEVFSGNGYEKNLNGSSPTNTSFAQPSGISLDPELQELFVADSESSSIRGVSLKSGGSRLLAGGDPLFPDNLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTKKVITIAGTGRAGYKDGPALSAQLSEPAGLVEVGEGRFLVADTNNSTIRYIVLNERGAEVRTLDLTGVQPPSPKPKALRRLRRRLSADTNVINVDGGSSMEGYLSLAISVPDGYHFSKEARSKFEVETEPADAIEIEPVNGFLDSDGLASLKFKRTSSSSSMGRINCKVYYCKEDEVCLYQSVAFDVKFQEGVSSPGQTTLTYTVVPRDNSGSSQLIAATKNSKV* >Brasy2G190200.2.p pacid=40069525 transcript=Brasy2G190200.2 locus=Brasy2G190200 ID=Brasy2G190200.2.v1.1 annot-version=v1.1 MARQIAPSRSFHRLLTSQHYPPASPAPPPRPLLLPKALSLQLPRRGRRDFIAASAPATSSSETETEVGAWGKVSAVLFDMDGVLCDSEEPSRRAAVDVFAEMGVEATVDDFIPFMGTGEANFLGGVARVKEVKDFNPESAKKRFFEIYLDKYAKPNSGIGFPGALELIMECKSAGLKVAVASSADRIKVDTNLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTNECIVIEDALAGVQAAKAAEIRCIAVTTTLEEDELQQASPVFIRKDIGDISINDILYGGSSAHHNERTENTKNISSLENASPKTLSEATNAEFIENTYSPSSKGQFYEGLLGSRRDILRYGSLGIAFSCFLFTIRNWKAMQFASPKGLLNFFTGGDSSIFASNEGESRSSRVQQIKKYLSDFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVVLDFWTYCCINCMHVLPDLEFIEKKYKDEPFTVVGVHSAKFDNEKDLEAIRSAVLRYDVTHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNNSLPLALEKDRDNRLITSPLKFPGKLAIDVQNNRLFISDSNHNRIVVTNLDGEFICQVGSSEEGLLDGQFDAASFNRPQGLAYNSKKNILYVADTENHALREIDFVNETVRTLAGNGTKGSDYKGGGRGTNQVLNSPWDVCYAPSEETVYIAMAGQHQIWKHNTSDGVTEVFSGNGYEKNLNGSSPTNTSFAQPSGISLDPELQELFVADSESSSIRGVSLKSGGSRLLAGGDPLFPDNLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTKKVITIAGTGRAGYKDGPALSAQLSEPAGLVEVGEGRFLVADTNNSTIRYIVLNERGAEVRTLDLTGVQPPSPKPKALRRLRRRLSADTNVINVDGGSSMEGYLSLAISVPDGYHFSKEARSKFEVETEPADAIEIEPVNGFLDSDGLASLKFKRTSSSSSMGRINCKVYYCKEDEVCLYQSVAFDVKFQEGVSSPGQTTLTYTVVPRDNSGSSQLIAATKNSKV* >Brasy2G223200.1.p pacid=40069526 transcript=Brasy2G223200.1 locus=Brasy2G223200 ID=Brasy2G223200.1.v1.1 annot-version=v1.1 MGEKDDPWCHWPSTPWKLNVDSSASNPCAADIGLDNTNSAKLLTYPNSHVYIYSGVAAPVPFFTASVADRPVPVSSRFVSTLAPNMGLSRTDLPNKRSLVFCQNEKTSAGPFLRKRALDPVPELQGSNETNVTDFGAQGTDDTHENTEEINALLDSDSDEGYEKVHEFNKAMKSPVDNDTLSVESVASAGASVGAAHPTKKRKLSSDTDRSVVDTASSARPSHSNGQKHPGNDDDAQSCCIGEVESDHKFALTLKDGEEAEDDSPDDRKLRIEKIQETVAALRKIVPGGIAKDATTVLDEAISYLTSLKLKVKTLGAVSL* >Brasy2G392200.1.p pacid=40069527 transcript=Brasy2G392200.1 locus=Brasy2G392200 ID=Brasy2G392200.1.v1.1 annot-version=v1.1 MSTEVAAPDVPATQAEAAAADPVVDAPEAPDAAKPAKESKAKAKKPAAPRKPRANPSHPPYAEMVSEAISTLKERTGSSQYAIAKFVEEKHKAHLPPNFRKLLLVQLKKLVAAGKLTKVKSSYKLPSTRAPAAAKPKPAAKAAAKPKPKAKTPAKTTKAAAKPKAKAPAKTTTKAAAKPKAKAPAKTTTTKAAAKPKPAAKPTKAAAKPKAKPAAKAKPAAKPAAKAAAVKTKATAAPARGRPAKAAKTSAKDAPGKKAAAPSPAKKPAGRKPPTKRSTPVKKAATPARKVPSRKAKK* >Brasy2G213500.1.p pacid=40069528 transcript=Brasy2G213500.1 locus=Brasy2G213500 ID=Brasy2G213500.1.v1.1 annot-version=v1.1 MAVHASITLGLDFAPGVAFRKDVKKQHGVTVHPVVKSNHFLLVVSFGRAKFRFDEDSVSLALESCIGGLCDDLCVTHLQDRAYKSYSAPTKDELIGDLVDAGYSPEAAYAMVLRQFYLPGVIFPAGFSALPSSSVQFGSFEIDRPSREPGVVVPISQEPSSSGSANQGILNPAVTEDRLCGLDKVIDDIAFRLWDCSNCLRMGHSASACVRKIRCRLCFKVGHIQKVCPSGQAQACEPTLVESVQEVKQSPGVTEVVTDLCTYASPPTAEVVFDANSLLLTFASMANFKLDNVPYLPRGHEIEDGGPLRLQQAFLTLGDIPQRRHESYLIAVMEPPPPSEEVPVVIAQVHAYIVEVLHSQVVSLRRHPCGLARFRMENPVERDTLVALLAVDFGPDRMLRFVRHDEGTNFL* >Brasy2G237500.1.p pacid=40069529 transcript=Brasy2G237500.1 locus=Brasy2G237500 ID=Brasy2G237500.1.v1.1 annot-version=v1.1 MRGQRRGSSVGEASSRVLDWRKRARMDPDFSRASGGPSYEFAFNSVNFSDRVLRIEIVAGDDTSGAKGAAGEGCSSIADWARHRKRRREELRREKESGKHMLDLTNVKVKAEEPDTYEEINEEPVAMIEESPPDIGQHGEDGGSSDSSWNMECNSVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMISDKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDAAKEFLSNKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKSVTDALLYKADAPHRQRTLAADVLTCRKYCERAYKYRPLKVVEFDRPYPQCIAYLDLKREECIRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFINGVLHLRAELTIKQP* >Brasy2G191700.1.p pacid=40069530 transcript=Brasy2G191700.1 locus=Brasy2G191700 ID=Brasy2G191700.1.v1.1 annot-version=v1.1 MAPTVEIRDLTFTYPGIDGRPPPGAPPLIENVCFSLDAGQRCLLVGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVTGVDSRRRDELIKILDIDLGWRMHKASDGQRRRVQICMGLLKPFKALLLDEITVDLDVLARANLLTYLKKECEEQGATIIYTTHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRKRKERKEKGLPAFDKVIEGSRVVNNGWAAGRLTSTIAGEENFFLSSNSVLR* >Brasy2G495400.1.p pacid=40069531 transcript=Brasy2G495400.1 locus=Brasy2G495400 ID=Brasy2G495400.1.v1.1 annot-version=v1.1 MATGAVAPPPPLARARLGFVSARGVLHRRVAATPMKDEPVVSTNNGKEETITDNLNVAKKKSSLPGLSSSLSNRITVTPTPLHPAEPSDLRFNRLRPSIEESDCKYKRLFGCYVAREAIMDEEYWIAAWLRAEHRYEDRSGDRYVESFKRKFASQEFHALKKRCSNQVGEKYTCFVAVKNDDLTRTVVNSVVGTLDVCVRHPLHGEKFPEEPGNSPFYARIYQPHQPKFGYLTNVCVAKYARRQGIATNMLLLAIDAARFNGAESIYIHVHKDNLPARRLYDHVGFKMVDRNGSRQPSDLCLLSFSLKH* >Brasy2G492700.1.p pacid=40069532 transcript=Brasy2G492700.1 locus=Brasy2G492700 ID=Brasy2G492700.1.v1.1 annot-version=v1.1 MEMCYGRKRSSWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRVWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAVSGPPRPSTTSTGASSSVGSRPPPAPRPALAAPLACRPTGSSTSTRSTTLELGVTGESAPCSEGHGFGGDKPQLDLKEFLQQIGVLRHDDNDGGARGKDNGEAAAMADGFGFGGNGGSSTGTRWRPT* >Brasy2G047900.1.p pacid=40069533 transcript=Brasy2G047900.1 locus=Brasy2G047900 ID=Brasy2G047900.1.v1.1 annot-version=v1.1 MAAQSQGATATGGSQSRRGAEVAGSESSRGVEMARGGGAREAAKVDGGGSRVGKGVVSAWRRWGGSRSREGGSRARVAGGGSRARLAEARQRRAAEGRRRLRPQWKGRRTGVGVRVGGAEVGGGVE* >Brasy2G119400.1.p pacid=40069534 transcript=Brasy2G119400.1 locus=Brasy2G119400 ID=Brasy2G119400.1.v1.1 annot-version=v1.1 MARPSAVAALLVLALLAVVAGSQELSPLYYAGSCPDVYGTARRVIQEEGAADPSILAGLVRLHFHDCFIQGCDGSLLLNDSPAITSEKNADPNKSLARLFPVVDKIKTSLEDACRGLVSCADILALAAEISVELAGGPSWGVMLGRKDATTASFDGANNDLPSPSDDLDVLRGKFSRRGLDDMDFVALQGAHTIGRAQCKFIKDRLTEQPDPTLDPDYLSALRQSCQAPAAAGVDKDAALNNLDPATPDAFDKSYYVNILHHRGLLRSDQAMLSSSDSGADFTGPIVEQFVNSQEYFFSSFAAAMIKMGNISPLTEGMGEVRTDCKVVNRS* >Brasy2G400500.1.p pacid=40069535 transcript=Brasy2G400500.1 locus=Brasy2G400500 ID=Brasy2G400500.1.v1.1 annot-version=v1.1 MATARVRVAARLMVAAAAAVMCLSCAAAARSPEARMHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPEGLFDESKANVASSGDGERPMVQLWHQKGRCPEGTVPVRRTKKDDLLRASSVRRYGRKRHTVANPMSVDLSMLNEGGHQHAISYVQGEKYYGAKATINVWEPKIEQPNEFSLSQLWILGGTFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCAGFVQINSEVAMGASIFPISGYSGSQYDISILIWKDPKEGHWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPGGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDNTNNLKAPKGVGTFTEQSNCYDVQNGNNGDWGSYFYYGGPGRSASCQ* >Brasy2G489700.1.p pacid=40069536 transcript=Brasy2G489700.1 locus=Brasy2G489700 ID=Brasy2G489700.1.v1.1 annot-version=v1.1 MKWTEDLLVFVSSWCCCYWEVLYLQSTAKQREFRSTFAQSRNARDIARNWRMMHIPITGIPRVLGLSLLTAPARFAILINHSWL* >Brasy2G083100.1.p pacid=40069537 transcript=Brasy2G083100.1 locus=Brasy2G083100 ID=Brasy2G083100.1.v1.1 annot-version=v1.1 MGRRRGLPSEMVMCSTCSGFVLRKMPPAISDPKEQVVEVVTEAEKMRDLVQAALLHGPFQEKEPAEATKEQWRVLRRRRMIMMETKRCPACSRSLLEMCPTCSRALSEKPRPDGSSTLSRSLLEKPKLVVEDKAGVADPGSKAGSKDLKKSSREESSELMVVLPSQGGKERKKIATEDEDARSVKKSKRNGSSSGLKKKAKSEDKEGSLSKKKAKRSSEMEGSGSKEAKTEGNGSAGGNGKTKMVTWRMKKKSLDNLLDRPRVKPRTYETSHPLIERMLDRCIAEYEVDREFREYVRRQYIIRGYAVFQVEVTDDEDE* >Brasy2G198000.1.p pacid=40069538 transcript=Brasy2G198000.1 locus=Brasy2G198000 ID=Brasy2G198000.1.v1.1 annot-version=v1.1 MDAYEATKVVFSRIQALDPDHAAKIMGRLLIQDHGEKEMIRLAFGPESLLQTVMAKARRELGLLSASSSPTSGPPHAQSPFQQLSRQNSGRAPPSPSPLSVSSPSSWAQAPVFSRSNTAAPEDMVAGAGEQELMSPGNNGAAAASFFPRAGDLLMDDLQLQEQLAFLNDGGGATMNQAHQLRGTFDGGDCRSPGPGDGGGMFPYGLGWAPGHRRSASANELFLGDNNLGWKPCLYYARGFCKNGSSCRFVHGGGLQDVDDDAPVAEQQQQCHDLLLRYKSQRLGHQGPGFPYSPTGSLPGSPSSASKCLSFLMQQQHNDNQRAAAAALVLGGGGDEAHKFMGRPRLDRADLASMMNNPGSRQIYLTFPADSTFREEDVSGYFSMYGPVHDVRIPYQQKRMFGFVTFVLPETVRLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFSGCTTPNGLDGRADPFDLHQIGARMLQHSNSANELMLRRKLEEDQQAAEFQHAVELQSRRLMGLQLLDLKSRAAVAASAAMPLPTSPMANAFTTSQQRGETTMVASPPESDEQLKGNSVFAAESNAAPKEGVDKVESADEANHNTDIDQSARGEHNLPDSPFASPTKSTTTGSHDGFSATTATVNTGGGCNAGVSAGSNAGGGTNHLLPSALEMPRPYFFPMSRLSSDHGAIGM* >Brasy2G213300.1.p pacid=40069539 transcript=Brasy2G213300.1 locus=Brasy2G213300 ID=Brasy2G213300.1.v1.1 annot-version=v1.1 MGKGPGDSLDSAGGGGTGDGSLEVPGEGARGRRRWWRCAVAVLLGAAVMLSAMFWLPPFARRRDGLREDRWRGADVVSSFRLQRMLSELNENKAKLEYDIFEEIGIGNSTVSVISFDPIAESNWTTVIFGIWPYPSTSTISPTVLSILRSSLVSLVIQQSTLHLTPSLFGNSSSFEILRFPGGITIIPPQNAFVPQKPDGLFNFSLNFPINVVQDKVGELKVQMKSGLFLNNLEILYVTLTNLDGSTVAPPTVVQTSVLLAVGAVNKPPSSQRLKQLAQTLRNSSSGNLGLNHTLFGRVKQISLSSYLQHSLNNAGHAHSPSPAPQPYNQPHSTYKDNNHNHHHHHHSHHHHHHHHHHHDFGYQGLEHLTPTPAPTHSTPITVSCDSSCAHKKSRNNAERHSAPRMYPPVRSIAPVASPSSYEASGPYLDPPSFHPKIPSSPLPAVFFHAMPPSESVGTSKPDNKFASISPAPSTSSAYRLLFHWCDVASLLYLSMQLL* >Brasy2G213300.2.p pacid=40069540 transcript=Brasy2G213300.2 locus=Brasy2G213300 ID=Brasy2G213300.2.v1.1 annot-version=v1.1 MGKGPGDSLDSAGGGGTGDGSLEVPGEGARGRRRWWRCAVAVLLGAAVMLSAMFWLPPFARRRDGLREDRWRGADVVSSFRLQRMLSELNENKAKLEYDIFEEIGIGNSTVSVISFDPIAESNWTTVIFGIWPYPSTSTISPTVLSILRSSLVSLVIQQSTLHLTPSLFGNSSSFEILRFPGGITIIPPQNAFVPQKPDGLFNFSLNFPINVVQDKVGELKVQMKSGLFLNNLEILYVTLTNLDGSTVAPPTVVQTSVLLAVGAVNKPPSSQRLKQLAQTLRNSSSGNLGLNHTLFGRVKQISLSSYLQHSLNNAGHAHSPSPAPQPYNQPHSTYKDNNHNHHHHHHSHHHHHHHHHHHDFGYQGLEHLTPTPAPTHSTPITVSCDSSCAHKKSRNNAERHSAPRMYPPVRSIAPVASPSSYEASGPYLDPPSFHPKIPSSPLPAVFFHAMPPSESVGTSKPDNKFASISPAPSTSSAYRLLFHWCDVASLLYLSMQLL* >Brasy2G213300.3.p pacid=40069541 transcript=Brasy2G213300.3 locus=Brasy2G213300 ID=Brasy2G213300.3.v1.1 annot-version=v1.1 MGKGPGDSLDSAGGGGTGDGSLEVPGEGARGRRRWWRCAVAVLLGAAVMLSAMFWLPPFARRRDGLREDRWRGADVVSSFRLQRMLSELNENKAKLEYDIFEEIGIGNSTVSVISFDPIAESNWTTVIFGIWPYPSTSTISPTVLSILRSSLVSLVIQQSTLHLTPSLFGNSSSFEILRFPGGITIIPPQNAFVPQKPDGLFNFSLNFPINVVQDKVGELKVQMKSGLFLNNLEILYVTLTNLDGSTVAPPTVVQTSVLLAVGAVNKPPSSQRLKQLAQTLRNSSSGNLGLNHTLFGRVKQISLSSYLQHSLNNAGHAHSPSPAPQPYNQPHSTYKDNNHNHHHHHHSHHHHHHHHHHHDFGYQGLEHLTPTPAPTHSTPITVSCDSSCAHKKSRNNAERHSAPRMYPPVRSIAPVASPSSYEASGPYLDPPSFHPKIPSSPLPAVFFHAMPPSESVGTSKPDNKFASISPAPSTSSAYRLLFHWCDVASLLYLSMQLL* >Brasy2G437800.1.p pacid=40069542 transcript=Brasy2G437800.1 locus=Brasy2G437800 ID=Brasy2G437800.1.v1.1 annot-version=v1.1 MASAGIAYPDRFYAAAAYAGFGAYGATTSSSAAISRFQNDVALLLYGLHQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPQAEAMRLFVKILEEEDPGWYSRVPELNPQPVVDIEMHKPKEETNVLPASTNGTSSIPEPKTISENGSSVETQDKVVILEGLSTVSAHEEWTALSASGQRPKPRYEHGATVLQDKMYIFGGNHNGRYLSDLQVLDLKSLSWSKIDAKLQAGSSDLAKTTQVSPCAGHSLISWGNRFFSIAGHTKDPSDNVTVKEFDPHTCTWSIVSTYGKPPVSRGGQSVTLVGTTLVVFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPAPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLIPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLAWSVVSTVEGRVPLASEGMTLVYSNYSGEDYLISFGGYNGRYSNEVYALKLSVKSDLQSNTEDQPISDSTSRVLEPEVEISQDGKIREIAMDNSDSVNRNDEASEQLLAALKAEKEELEATLNREGLQTIQLKEEITEAEARNAELTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSLDALEREVELLRRQRAASEQAALDAKQKQGSGGVWGWLVGTPPDDSES* >Brasy2G240100.1.p pacid=40069543 transcript=Brasy2G240100.1 locus=Brasy2G240100 ID=Brasy2G240100.1.v1.1 annot-version=v1.1 MPERAVDPADPNYYNDPSQFMEDLIGQEAMAYEEEVDEQWADETQEGVSIDTEPLYTDAGTDPGKDASRCQKKGTSERTQAYAECEDKLLCEAWLEIRQDPICRAEQKGAAYWKRIYFHEHCLLPPYSFMSDRGEVSLQKRWGHIQSECNKPVSCVGDMEAPKWQEVYLATKKSPGNGKRRDCSVIDLEASGHTEAATRAVRPRGRTNSKSDAKREASNLSFEETLKKMWSEKEAVKEKMLQQKEEQMKEFIDVQKRKLAIKEANEAATKTAAGAAMLAEETRIMTADLSLMDPPTRAWF* >Brasy2G273000.1.p pacid=40069544 transcript=Brasy2G273000.1 locus=Brasy2G273000 ID=Brasy2G273000.1.v1.1 annot-version=v1.1 MAIAEADHSGEAWVLLCRILQGVPTAIHAGSNQSQNMLPGPGLQSAGSLDNMLNPSWYVVWAEDMNVCVLPMCMVSFMKRPAGPSRGSLVPWSPVDVDLKKLRKEIKRVLPSSQLQLLDNLFDSNTVNAYAFSKLVSGLIGIDMFVGAILKALEHRFSENTDG* >Brasy2G447400.1.p pacid=40069545 transcript=Brasy2G447400.1 locus=Brasy2G447400 ID=Brasy2G447400.1.v1.1 annot-version=v1.1 MLAASLKPPPPQPPFLAASSPSTRAPPPTTVPCLPSRRRHRRLHLSAAATAESAGAGAAVSPDDASASASTAFDEARLAQFAVDWEAVRADKDRGRVLRLPVVRANTGGLILRYNSLQGFVPNPLLSPVHWCKDPKRPIQDITKDLVGLSISVKLHAGKTVSEVNEGEKKLVFSEKDASWSAYSSQVKIGDTYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVVVVKIDAEKSRIALSIRQLEEDPLLETLDKIIPLEPDLSPDAQIMSSPAEVELLPGLEGICNELLQEDGITDVRFGRQALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQEVYLTTSLDQEGVKKAVQRVLGRVP* >Brasy2G447400.2.p pacid=40069546 transcript=Brasy2G447400.2 locus=Brasy2G447400 ID=Brasy2G447400.2.v1.1 annot-version=v1.1 MLAASLKPPPPQPPFLAASSPSTRAPPPTTVPCLPSRRRHRRLHLSAAATAESAGAGAAVSPDDASASASTAFDEARLAQFAVDWEAVRADKDRGRVLRLPVVRANTGGLILRYNSLQGFVPNPLLSPVHWCKDPKRPIQDITKDLVGLSISVKVSEVNEGEKKLVFSEKDASWSAYSSQVKIGDTYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVVVVKIDAEKSRIALSIRQLEEDPLLETLDKIIPLEPDLSPDAQIMSSPAEVELLPGLEGICNELLQEDGITDVRFGRQALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQEVYLTTSLDQEGVKKAVQRVLGRVP* >Brasy2G412700.1.p pacid=40069547 transcript=Brasy2G412700.1 locus=Brasy2G412700 ID=Brasy2G412700.1.v1.1 annot-version=v1.1 MMVAVIAEEEQAGLDAPRHRGSLSGRHSLQREFAERFQCLHKDYFAVNCTFNARMFRNRWHISAMGHFREDNSKSNN* >Brasy2G280700.1.p pacid=40069548 transcript=Brasy2G280700.1 locus=Brasy2G280700 ID=Brasy2G280700.1.v1.1 annot-version=v1.1 RGYYPVPEDIVCTSSSGCSLWPRGGEGEDEDGDAARRFSRSRPEPTTSSGVRAWLRRIGFVDGELRAQWRQCAERSWCCRGGDPRCRPRARRARTWGRGPGTRREDGDAGRPRGRGRRGAARGSRPAPWATAVRQWCGACWPWFMRLTLMGPAVRALNRLLARGRRPVPAREGAAIGRAYESQLSPERAGGRS* >Brasy2G350800.1.p pacid=40069549 transcript=Brasy2G350800.1 locus=Brasy2G350800 ID=Brasy2G350800.1.v1.1 annot-version=v1.1 MARSSSSCMVLLLLLVVALSARLGDSALTPDYYNRTCPGVASIVSGVVRQKRDATIRTIGSTIRLFFHDCFVEGCDGSVLIQSTPGNPTEMDADDNKSLAFEGYDTVRSAKAAVEAACPGQVSCADILALATRDAIVLSGGPFYEVELGRLDGLSSSVRSVAGKLPNPNHSMNQLIAVFRAHGLTVSHLVALSAAHTVGLAHCGKFAGRAYSSPPDPTLNPKYAAFLRSRCPFDRSSDPSVFMDQASPARFDNQYYRNLQDGGGLLGSDQLLYTDNRTRPMVDSWAASDAAFSRAFVDAIVNLGRVGVKSGRQGNIRKQCDVFN* >Brasy2G121800.1.p pacid=40069550 transcript=Brasy2G121800.1 locus=Brasy2G121800 ID=Brasy2G121800.1.v1.1 annot-version=v1.1 MEIVENGDAMEVAAAAAESAWPGSARRSHLLQFLLHASNRLDLRPVVKYSALAFFAERLLPALPRKMGFCGSRSGRAVRSWLLEPLRDSNLELFALVAVWIASKVHETRPLSVKSLKALSDRIIADQHFTCRDFADAELVFMEVVDYNIGSSNIAFIYLEELLIQFREISKLGDLLNMDVCMEILDVLYETEDTSWLFNSPCPLAASTLVTAYVMSVPKQTWEFPILPWVQFTTSYAEEGIMKIVLTILMHVLKPDGIKEKNKSDFSI* >Brasy2G121800.2.p pacid=40069551 transcript=Brasy2G121800.2 locus=Brasy2G121800 ID=Brasy2G121800.2.v1.1 annot-version=v1.1 MEIVENGDAMEVAAAAAESAWPGSARRSHLLQFLLHASNRLDLRPVVKYSALAFFAERLLPALPSGRAVRSWLLEPLRDSNLELFALVAVWIASKVHETRPLSVKSLKALSDRIIADQHFTCRDFADAELVFMEVVDYNIGSSNIAFIYLEELLIQFREISKLGDLLNMDVCMEILDVLYETEDTSWLFNSPCPLAASTLVTAYVMSVPKQTWEFPILPWVQFTTSYAEEGIMKIVLTILMHVLKPDGIKEKNKSDFSI* >Brasy2G121800.4.p pacid=40069552 transcript=Brasy2G121800.4 locus=Brasy2G121800 ID=Brasy2G121800.4.v1.1 annot-version=v1.1 MEIVENGDAMEVAAAAAESAWPGSARRSHLLQFLLHASNRLDLRPVVKYSALAFFAERLLPALPRKMGFCGSRSGRAVRSWLLEPLRDSNLELFALVAVWIASKVHETRPLSVKSLKALSDRIIADQHFTCRDFADAELVFMEVVDYNIGSSNIAFIYLEELLIQFREISKLGDLLNMDVCMEILDVLYETEDTSWLFNSPCPLAASTLSSLLHHMLKKGS* >Brasy2G121800.3.p pacid=40069553 transcript=Brasy2G121800.3 locus=Brasy2G121800 ID=Brasy2G121800.3.v1.1 annot-version=v1.1 MGFCGSRSGRAVRSWLLEPLRDSNLELFALVAVWIASKVHETRPLSVKSLKALSDRIIADQHFTCRDFADAELVFMEVVDYNIGSSNIAFIYLEELLIQFREISKLGDLLNMDVCMEILDVLYETEDTSWLFNSPCPLAASTLVTAYVMSVPKQTWEFPILPWVQFTTSYAEEGIMKIVLTILMHVLKPDGIKEKNKSDFSI* >Brasy2G004000.1.p pacid=40069554 transcript=Brasy2G004000.1 locus=Brasy2G004000 ID=Brasy2G004000.1.v1.1 annot-version=v1.1 MVGRSPNEISSAEAILVGALSSGVNAPTWIVLQITFLLLAFCFTAMLYLAFFSSDFVIAGHVLLLVTIGAVLFVLLNRFLAETGFVPVEQQMQEIGIHKPEATEKDKRS* >Brasy2G224500.1.p pacid=40069555 transcript=Brasy2G224500.1 locus=Brasy2G224500 ID=Brasy2G224500.1.v1.1 annot-version=v1.1 MALSSISKVALLAVLILSSQIMFSPLTNGAITPPEDQGFVGAADDVRPSNPGHSPGIGHAFTNNKVGRRLLITVARE* >Brasy2G394800.1.p pacid=40069556 transcript=Brasy2G394800.1 locus=Brasy2G394800 ID=Brasy2G394800.1.v1.1 annot-version=v1.1 MAEIVLFLTIKKIGIALANGAADQASAQFAKYTTELIELQGSMDRVVRELRVIHDVLCQMDIRNRSNQVYEGWLEEVQKVAHVMEDIVDEYLYLVGREHDIGCCFYLKKGFRKPRSLLSLNRIASKVKETEKGLAHLSEMKNRWVPMINSGDTSSSNYVVKRSQDLANISRSIDEDELVGVDKNREKLEQWLAGDGLDRTVIALLGMGGLGKTTLAANVYRKEREKFQCHAWVSISQTYCIEDVLRNIIKELFKDKVSVPSNIATMDITSLEETLKRFLEQQKYLIILDDVWAPEAFDDLSRALIYNEKGSRLIITTREGNVAALASQGHVLEVRTGSFTRRHGVDSLL* >Brasy2G479400.1.p pacid=40069557 transcript=Brasy2G479400.1 locus=Brasy2G479400 ID=Brasy2G479400.1.v1.1 annot-version=v1.1 MCSESPMLISYAQLQQMEQLFGYIYLALLLILYCSSYSDVDENGIIRMTMCCVIMANVEVVYPGSKQFQPTDETFDSGVDDLQKPKHYIIWDANVHSHIYAEYAVIINAPSVTNKYLVREDAASNISEMRKSGSPGSIIKDDMHKWLVKLRHHIQELLSQDNNNAGLNYFMVRMATLRSSHVLFP* >Brasy2G315500.1.p pacid=40069558 transcript=Brasy2G315500.1 locus=Brasy2G315500 ID=Brasy2G315500.1.v1.1 annot-version=v1.1 MDIWDRLCSALRVRAVSLVDFSRKRSLDLRLLLGVSNGETWFTRWGYCLARGCFNVSTPTYAAALEALASLHVDHLRSRHVRRVVTIYRRLSNKPLITVREFLRCLLDWKHHEPPLSPGPSKLCPRLAFLLPKPCMMKRLRQPCKRFEDVVDRLHCRWSKKRLLDAAEVVVDKLLEHGNDAEMTRQAVRDAARGYIGDTGLLDFVIKSLGNTVVGNHVVRRLPNAVTRVLQFSLEEYEEPVQMDAEVEGTRPEAQWRSTVVDAERDMRAVCRAMVDALSEAAQAVLDCKHWVKCWGLDDESDDQLRFLVEWRPQPREAAELTRPMPPGEIVVVPLHTSIGELLVEAEHALSDTYCFFEEFQAEALDGISGEKWDPVVLGGAESGDTIGVHGHGADMETLLRCQGGLDMWEVGCVCGAKDDDGERMVACDACDVWHHTRCVGIADDQTVPPLFLCILCGGALMAAVPILDRALTLAK* >Brasy2G398500.1.p pacid=40069559 transcript=Brasy2G398500.1 locus=Brasy2G398500 ID=Brasy2G398500.1.v1.1 annot-version=v1.1 MHSFNSRSPTSEAIEIAPFQASAPPSSIDQSMSDHVAPGSAAGELLEVVVFPWLAFGHMIPFLELSKRLAARGHAVAFVSTPRNLARLPPAHGVRFVPLPLPRVDGLPEGAESTADVPPGGNDELLKKAFDGLAAPFAAFLAGCERKPDWIINDFCHHWVPPIADQHKVPCALFQIVLASMVAFTGPRWSNAPYPRTALEDFTVPPKWFPFPSSSQIAYRRHEAGWIAGCFRPNASGVSDFDRFCLINERCRIAIYRTCDELEPRMPALLSELFQKPSIPVGILPPPLDRGNDGEHGVRCDVLRWLDDQPGKSVIYVALGSEAPLTEKNLQELALGLELSGVGFLWALRKPASMLGPRGVDVLPDGFEERVQGRGVVLTEWMPQVTALAHGATAAFLTHCGWGSTVESFAFGHPLVMLPFTVDQPLVARAMAEKGIGVEVARNERDGSFDRDGVAAAVRRVMVEEEGKVLAGNARKLKVALADQERCMDELVEHLWRYKDA* >Brasy2G125700.1.p pacid=40069560 transcript=Brasy2G125700.1 locus=Brasy2G125700 ID=Brasy2G125700.1.v1.1 annot-version=v1.1 MEIQPRQLLLGVCAIVLAAIGLADAVHGETAPVVVGLARCSECTRKNMNAEAAFKGLQVAVKCKNGKGEYESKAVGQVDKSGAFSVPLAADLVGEGGALKQDCFAQLHSASSAPCPGQEPSRIISAQPLSHDGKMTFVALAGKVRRPSAECASAFLCDPLHKHHHKPAVVPPKHDHDHDRFHLPVIKPPVITPPKHDHDHDHDHDHDHDHEHDHDHSSAPVAAPATPVYTATPPIYHPPAQRSSITEPQLFKKLRPFLKKLPFFPPAEQNSKP* >Brasy2G499800.1.p pacid=40069561 transcript=Brasy2G499800.1 locus=Brasy2G499800 ID=Brasy2G499800.1.v1.1 annot-version=v1.1 MTRRRRLSRGGRRTTASSALCLAALLLLLAPAAADDDEEEEQLLERFKAAVPVRNRGQLEGWTRGDGACRFPGAVCVSVSGARTRLASLSLAGVPLDVDFRAVSGTLLRLGGVEGISLRGANVNGSLAAGGWRCGQKLAELDLSGNPALRGSVADAGALAASCGGLRELNLSGNALVGVAGGHRGGTFGNLSVLDLSNNKISGSLFPAFANCSRMESLDLSGNLISGELLPGVLSGCTALTSLNLSSNHLSGPFPPEIARLASLSYLDLSNNNFSGELPRDAFARLPRLSSLSLSSNNFGGSLPESMDALAELRTLDLSSNLLTGAIPASLCPSTGSKLEVLYLQDNYLTGGIPPAISNCASLESLDLSLNYINGSIPTSLGSLPRLRNLIMWENELDGEIPASLAGARGLENLILDYNGLTGSIPSELVNCKDLSWISLGSNRLSGSVPAWLGRLDKLAILKLGNNSFSGPIPAELGDCKRLVWLDLNDNQLSGPIPPELAKQSGKMPAGITTWRPYVYVRNDELSSECRGKGSLLEISGIRRGDLTRMASKKLCNFTMVYMGSTEYTSSDDGSIIFLDLSFNKLDSEIPKELGNMYYLFIMNLGHNLLSGVIPAELGGARKLAVLDLSHNQLEGPIPSPFTRLSLTEINLSYNRLNGSIPELGSLATFPESSGGVRIV* >Brasy2G276200.1.p pacid=40069562 transcript=Brasy2G276200.1 locus=Brasy2G276200 ID=Brasy2G276200.1.v1.1 annot-version=v1.1 MDTVAATLPFRAPAYLGAAPFLTPSAAAARFPGRPWQPRLLARGRPRELARIQVEAISGGGGVVRKDPMVPPYNVLITGSTKGIGYALAKKFLMAGDNVIICSRSAERVESATNDLKKEFGEQHVWGTVCDVREGKDVKALVDYARGKLQYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMWNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVVVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVADYLVPNVRAIPTNQSMKPTYIRFLTGLKAYSRIFSRLAFGARRNKYVVED* >Brasy2G479000.1.p pacid=40069563 transcript=Brasy2G479000.1 locus=Brasy2G479000 ID=Brasy2G479000.1.v1.1 annot-version=v1.1 MATSGSGKSPQRCCPTWRLTMCIILLLMEIYENTGRSEEVQRVKKIMRDERLLWNH* >Brasy2G130600.1.p pacid=40069564 transcript=Brasy2G130600.1 locus=Brasy2G130600 ID=Brasy2G130600.1.v1.1 annot-version=v1.1 MAKGMVVMLALFLLLLLAVAPASEAQLQHGPGLRHRRKAEPTPTPSSSTPTHLHFYFHDTVSGGSPSAVHVAGPADAASRTMFGLLTVMDDPLTEGPEPGSAAVGRAQGLYLASDQAQLGFLQAMNIVLQSGPYNGSSLAVLGRNCPLTDVREMPIVGGTGAFRFARGYALARTHWLDFSTGDATVEYDVYVMQ* >Brasy2G467500.1.p pacid=40069565 transcript=Brasy2G467500.1 locus=Brasy2G467500 ID=Brasy2G467500.1.v1.1 annot-version=v1.1 MAATRVTHVASPLEEGSVIICTVTSSGNAAAAWVRQVCSTYRLCEHDVFAGLDVEWRPSYGRARNPAALVQLCVQNRCLVFQLLHADYIPQALADFLVDPRWCFVGVGVDADAVRLGNDYGLQVANTVDLRGLAVEQLGVPELRQAGLVRLAHAVTGVNIEKPQRVRMSAWDAYRLSDEQIHYACIDAFVSFQVGLILFNGGS* >Brasy2G113600.1.p pacid=40069566 transcript=Brasy2G113600.1 locus=Brasy2G113600 ID=Brasy2G113600.1.v1.1 annot-version=v1.1 MHSVCLFNFKLEQYYYWMIFLSCRLGSSTLTVDLLAFTSTTDYLRLEELQVLDIKFLYRSLKPTQLSSIRPGTACRRRTRPRTARRRVDVVEDDERLAAPPRRRQGCHQAAPGAETSPRQQGLWPGA* >Brasy2G488500.1.p pacid=40069567 transcript=Brasy2G488500.1 locus=Brasy2G488500 ID=Brasy2G488500.1.v1.1 annot-version=v1.1 MARSQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYRIDGSPTPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMCMVLCSIASGLSFGQTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVISAAFRAAFDAPAYKDGAMASTPPQADYVWRIILMFGAIPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIGAEEDNNKAGGAIEENRNSFGLFSAEFLRRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAINWIPKAATMGALEEVYRIARAQTLIALCGTVPGYWFTVALIDRIGRFWIQLGGFFFMTVFMLCLAAPYHHWTTPGNHIGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQNQDPAKVDHGYKAGIGVRNSLFILSVCNFLGMGFTFLAPESNGLSLEELSGENEDGEDLPSPAHARTVPV* >Brasy2G488500.2.p pacid=40069568 transcript=Brasy2G488500.2 locus=Brasy2G488500 ID=Brasy2G488500.2.v1.1 annot-version=v1.1 MARSQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYRIDGSPTPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMCMVLCSIASGLSFGQTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVISAAFRAAFDAPAYKDGAMASTPPQADYVWRIILMFGAIPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIGAEEDNNKAGGAIEENRNSFGLFSAEFLRRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAINWIPKAATMGALEEVYRIARAQTLIALCGTVPGYWFTVALIDRIGRFWIQLGGFFFMTVFMLCLAAPYHHWTTPGNHIGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQNQDPAKVDHGYKAGIGVRNSLFILSVCNFLGMGFTFLAPESNGLSLEELSGENEDGEDLPSPAHARTVPV* >Brasy2G488500.3.p pacid=40069569 transcript=Brasy2G488500.3 locus=Brasy2G488500 ID=Brasy2G488500.3.v1.1 annot-version=v1.1 MARSQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYRIDGSPTPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMCMVLCSIASGLSFGQTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVISAAFRAAFDAPAYKDGAMASTPPQADYVWRIILMFGAIPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIGAEEDNNKAGGAIEENRNSFGLFSAEFLRRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAINWIPKAATMGALEEVYRIARAQTLIALCGTVPGYWFTVALIDRIGRFWIQLGGFFFMTVFMLCLAAPYHHWTTPGNHIGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQNQDPAKVDHGYKAGIGVRNSLFILSVCNFLGMGFTFLAPESNGLSLEELSGENEDGEDLPSPAHARTVPV* >Brasy2G313200.1.p pacid=40069570 transcript=Brasy2G313200.1 locus=Brasy2G313200 ID=Brasy2G313200.1.v1.1 annot-version=v1.1 MRVSYMTMVGLVLLVLASDMAEASPTKDGYLTSTDCIVKTPMPCDEIKRCVDMCNSMSRGKPQISCVNGECKCAFC* >Brasy2G157000.1.p pacid=40069571 transcript=Brasy2G157000.1 locus=Brasy2G157000 ID=Brasy2G157000.1.v1.1 annot-version=v1.1 MALTSQSLFFSPLPAGPRRAVTMSAAASHNSQPRNHPFAVSSSTPKSESKTSKTTLALGSAIAAAASGAFLLASSGGFGGGSGGPLGGGGGCGGGGSGAGGGGGGGGGSFWSRIFSAGAANADEKSSGDWDPHGLPANITVPLSKLSGLKRYKLSELKFFDRAAPAGSGGTEAGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMAQSGQADFDQDMTEREKMDYLRKQERDYQQRVRGAMPCILPESVRGEVLAMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELDPKSAEVSTEWSIVPGRQGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVEDRNKNRTFKTSCFNTRKLSPVFVAGPNMEEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPLFNRHQLTLTKFINLNNQEKGVGKPLPAVLVLHGHFAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASEVRIPVKNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNAGAGTIFFRFGERF* >Brasy2G403300.1.p pacid=40069572 transcript=Brasy2G403300.1 locus=Brasy2G403300 ID=Brasy2G403300.1.v1.1 annot-version=v1.1 MPEGATALEIPTDRPAYVTRAFFDGLRPPASAVRLFIPPSERRRPEEVKLYLDVAPDPAMAYFANRHAYAYITPAGSPCRADPAPFVRRVFRTLALDLPQTFELIRPTCYGDVAVRFRTPEDREAAMRRQPFALDGATVKLVREGETPNVRRASHDFVVHLALRDYPVQQRTEKRIEDNCSSLGFVREIDPACFAAPDLATVRVVLEDPREIPRQLRIGYYDGSTSVIPVEIVKVWHHSHSYDADGQYVRLFQAPAPAA* >Brasy2G042400.1.p pacid=40069573 transcript=Brasy2G042400.1 locus=Brasy2G042400 ID=Brasy2G042400.1.v1.1 annot-version=v1.1 MLPTARRTYLPRPPPSPPRCPARPASPLPRPPPPASAARVTEGPRALQRLPPRSLLPPRASWAPAAAHSYLLLRLDRGRPTFSASGIRFL* >Brasy2G297300.1.p pacid=40069574 transcript=Brasy2G297300.1 locus=Brasy2G297300 ID=Brasy2G297300.1.v1.1 annot-version=v1.1 MAAEHAPTMAVPSDAQLVQAQADLWKHSLCYMTPMALRCAVQLGVPTAIHSLGGTASLANIMAALSLPQSKAAFLARLLRLLVTAGVLGSTEPGVYRLVPVSYLLVEGISIDGEASQRAIVLAATSRHYLEAALGLADWFKKDVPLPDVPAPFEDVHGGAKLFEDSMADLDPESDKVFHEALAAHDHMGISLILREGRALFQGLQSLTDCCGGDGTTARAIVKEYPHLKVHVLDLPKVIERAPAGDGSVNYVAGDLFHSIPPAQAVMLKLVLHFWSDEDCIKILAQCKKAIPSRKEGGKVIIIDIVVDPSSPMLETQLIMDVLMMVCTRGRQRDENDWSTIFAKAGFSDYKIFKKLGARGIIEVYP* >Brasy2G000600.1.p pacid=40069575 transcript=Brasy2G000600.1 locus=Brasy2G000600 ID=Brasy2G000600.1.v1.1 annot-version=v1.1 MAACFLLCRRKMRNARKMRRRLSKEVTQYHTELGGDELDQGTTGPRRFSYHELAAATNKFCDDRVLGRGGFGSVYRGFHGGMNREVAVKRVSETSRQGWKEFVSEVRIISRLRHRNLVQLIGWCHGGEELLLVYELMHNGSLDTHLYATDNVLAWPVRYEIVLGVGSALLYLHEETEQRVVHRDIKPSNIMLDTSFTAKLGDFGLARLINDGRRSHTTGIAGTMGYIDLECMLAGRTSIESDVYSFGVVLLEITSGRRPAVVIEDEEVVHLVQWVWDLYSGGAILDAADKRLSSGDIEGREMERVMVVGLWCAHPDHGLRPSIRQAMNVLRFEAPLPSLPARMPVATYGPPTSSLGSATLAMTSVDGR* >Brasy2G148300.1.p pacid=40069576 transcript=Brasy2G148300.1 locus=Brasy2G148300 ID=Brasy2G148300.1.v1.1 annot-version=v1.1 MGSSEADDDQLLKSFLAEVSEVERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFGALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQFERSDEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDKRVSSWRDFMKTGKKARKGELKPPRLKTEDPNKSYVQRPVKRG* >Brasy2G265800.1.p pacid=40069577 transcript=Brasy2G265800.1 locus=Brasy2G265800 ID=Brasy2G265800.1.v1.1 annot-version=v1.1 MALPLPLLLLLCFLAAPAAEGYVAYNTSAGTVAGVLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALAKDPGRKFVVAEQAFFQRWWVEKSPEIQAMVRKLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKEDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPSGFGFEVLDDISPVQDDLLLFDYNVEQRVNDFVSAAIAQANLTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADSTNAYWTGYFTSRPTFKQYVRVISGYYLAARQVEFLVGGLFTSSLEDALGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKGVNTALACLTSSNGTCVSPAAKFSQCQLLNISYCPPTEEEISIGKSLVIVAYNPLGWEHNDFIRVPVNDAHLVVKSSDGSFVESQLVEVDSVTGNLRKLYVKAYLGIATGKPPKYWLLFQASVPPMGWNTYFVSKPTGTGSSKEGYISTMDSPSKDTIEVGPGHLKMTFSSASGQLTRMFNPVTGVDLPIQQSFLWYGSSTGDAMDSQASGAYIFRPNGATPTAVSRSVLLNVTRGPLVDEVHQQFSPWISQVTRLYKDREHAEVEYTIGPIPVDDGIGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNYREDWDLQVTQPVAGNYYPVNLGMYVADGKYELSVLVDHAVGASSIQDGQIEIMLHRRILHDDGRGVGEPLDEVVCVDGKCDGLTARGTYYINVNKLGHGAHWRRTHGQKNYSPFLLGFAHEDESSWKSYNIVKASMMDANYSLPDNVAIITLQNIDDGTTLLRLAHLFQTAEDPQYSVMTKVELKKLFGKRTIKELTETNLSANQKKSEMRKLNWRVIGETESGLTPIKGGPVDSQALVVELGPMEIRTFLLKILH* >Brasy2G265800.2.p pacid=40069578 transcript=Brasy2G265800.2 locus=Brasy2G265800 ID=Brasy2G265800.2.v1.1 annot-version=v1.1 MALPLPLLLLLCFLAAPAAEGYVAYNTSAGTVAGVLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALAKDPGRKFVVAEQAFFQRWWVEKSPEIQAMVRKLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKEDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPSGFGFEVLDDISPVQDDLLLFDYNVEQRVNDFVSAAIAQANLTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADSTNAYWTGYFTSRPTFKQYVRVISGYYLAARQVEFLVGGLFTSSLEDALGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKGVNTALACLTSSNGTCVSPAAKFSQCQLLNISYCPPTEEEISIGKSLVIVAYNPLGWEHNDFIRVPVNDAHLVVKSSDGSFVESQLVEVDSVTGNLRKLYVKAYLGIATGKPPKYWLLFQASVPPMGWNTYFVSKPTGTGSSKEGYISTMDSPSKDTIEVGPGHLKMTFSSASGQLTRMFNPVTGVDLPIQQSFLWYGSSTGDAMDSQASGAYIFRPNGATPTAVSRSVLLNVTRGPLVDEVHQQFSPWISQVTRLYKDREHAEVEYTVRNYREDWDLQVTQPVAGNYYPVNLGMYVADGKYELSVLVDHAVGASSIQDGQIEIMLHRRILHDDGRGVGEPLDEVVCVDGKCDGLTARGTYYINVNKLGHGAHWRRTHGQKNYSPFLLGFAHEDESSWKSYNIVKASMMDANYSLPDNVAIITLQNIDDGTTLLRLAHLFQTAEDPQYSVMTKVELKKLFGKRTIKELTETNLSANQKKSEMRKLNWRVIGETESGLTPIKGGPVDSQALVVELGPMEIRTFLLKILH* >Brasy2G265800.3.p pacid=40069579 transcript=Brasy2G265800.3 locus=Brasy2G265800 ID=Brasy2G265800.3.v1.1 annot-version=v1.1 MALPLPLLLLLCFLAAPAAEGYVAYNTSAGTVAGVLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALAKDPGRKFVVAEQAFFQRWWVEKSPEIQAMVRKLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKEDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPSGFGFEVLDDISPVQDDLLLFDYNVEQRVNDFVSAAIAQANLTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADSTNAYWTGYFTSRPTFKQYVRVISGYYLAARQVEFLVGGLFTSSLEDALGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKGVNTALACLTSSNGTCVSPAAKFSQCQLLNISYCPPTEEEISIGKSLVIVAYNPLGWEHNDFIRVPVNDAHLVVKSSDGSFVESQLVEVDSVTGNLRKLYVKAYLGIATGKPPKYWLLFQASVPPMGWNTYFVSKPTGTGSSKEGYISTMDSPSKDTIEVGPGHLKMTFSSASGQLTRMFNPVTGVDLPIQQSFLWYGSSTGDAMDSQASGAYIFRPNGATPTAVSRSVLLNVTRGPLVDEVHQQFSPWISQVRNYREDWDLQVTQPVAGNYYPVNLGMYVADGKYELSVLVDHAVGASSIQDGQIEIMLHRRILHDDGRGVGEPLDEVVCVDGKCDGLTARGTYYINVNKLGHGAHWRRTHGQKNYSPFLLGFAHEDESSWKSYNIVKASMMDANYSLPDNVAIITLQNIDDGTTLLRLAHLFQTAEDPQYSVMTKVELKKLFGKRTIKELTETNLSANQKKSEMRKLNWRVIGETESGLTPIKGGPVDSQALVVELGPMEIRTFLLKILH* >Brasy2G423200.1.p pacid=40069580 transcript=Brasy2G423200.1 locus=Brasy2G423200 ID=Brasy2G423200.1.v1.1 annot-version=v1.1 MARCAPVLLPLLLLACALAYSSHCSRSPPTTAPRGEQQPDGALSQHSPVATAAEKKAAAASAERNGGVVGLMGSKLGSPSGASCHSHDAHIICGPPH* >Brasy2G124100.1.p pacid=40069581 transcript=Brasy2G124100.1 locus=Brasy2G124100 ID=Brasy2G124100.1.v1.1 annot-version=v1.1 MGKRPPLVVLSSSDDDDGGGRCTVSRAPSARRTGTPATAPAAKQAPSSSRKKPRRGSSGGRGRRGASDPAPSGSLKAEFDMLSEDFTECLNDLGMSGSMHQTKELWVDKYKPQSLAELAVHKKKVEDVKKWLEEKLKAPKGTHGGWSLVLAGQSGVGKSATVKAIAAEIGADMCEWTTPVPTLWAEHMHANSGLQYVSKLEEFENFVEKIRKYSLLFPTTIGSQRKHIIVLIDDIPVTSGNASLARLGKCLTSLIQSTQIPTVISLTHYHKSEANGTAMWNSEELESLLQRAGAHKIVFNPVTVSSIKKILLRICKQESSGTTEELVHEIATSSGGDIRHAIMSLQYYCLNPRRLNSALATSAALLESTGYGTLARGQDCYSRSSSMPSPCGRDETLTLFHALGKFLHNKRETHGDVNIDLDSFPLKEKLRRNPLKMDVPEMILSQAHGKVRTVADFLYENALDFIDDDAVDDAWVVMSYLSEADCLLAGSPITSARWTVNESDESGSMSQLIAASVAARGVLFGNAHASPSRWHTIRSPKVWQIERTFRSTKDQILKERFDCSSTSGSRNFSEIVNDFRPFERWICPHSDMPRNISVHHDVEGNTSEEDVIEDW* >Brasy2G213400.1.p pacid=40069582 transcript=Brasy2G213400.1 locus=Brasy2G213400 ID=Brasy2G213400.1.v1.1 annot-version=v1.1 MTTNMPGGRRPAACTAAARAARGEARGGRGRRIRSGVVGGGPDPVGGGRRRHGSGRRGGGRRRGRSAQRRPRGHKGSRWVAARRAEGRRRRQREGSRRRRRRLEGSRWVAARRAEGRRRRMPKVRGGARATRRGSERGGSGKETIRVEILDWIA* >Brasy2G449600.1.p pacid=40069583 transcript=Brasy2G449600.1 locus=Brasy2G449600 ID=Brasy2G449600.1.v1.1 annot-version=v1.1 MSRCFPFPPPGYEKSPRPDGQLASPLVDKEKHKEKKHKKDKKDKERKEGKEKKDKDRSKDKHRDKKDRKEKHKDKKKDKSKDKSRESEEGAERHGEAPVGQKSGESSRKSEEIKDPKFREDLVRKAQEEKGAEKRAAENFAVPNEPSCEVFSAAPALENERNGANKMRIQSINASRKNEGLAQQNININQQKNGTAIRRGENLNSSSQRDSDGFITAPMVEKGRVEVTRPLPKSTDSAPRKEGIGQRINNISILVQKRSESPDKEIRKKEIGTSSPLHPSPANTMQKGNGKVARPMDNAPASKQRFDSPSVSNGATGMDRSLPRSTIPSPSITIRRPNGMARPPESFSISTKKPNAGGPSPAMGKEKEPGGRMLQNNVSTDQKLVGSKAPAVGKEKEPGGRTLQNNVSTDQKLLDSKPPAVEKAAVGRAERVEKVRDGAPDVAKKEDKKRDRHEKKKRKEKDKHKEKKKEKEAKKEKEEHNHKEHDKLRENSINYQIDSLHTKPVTPPSAPPADDAKAILVDENLKKRKNHEMNGHLQNHHDMRPTKLPRPAPSSNHVENGTASHVAAPPSSMKPDAINIEKAERLHKKEEKVNGNKEAQRPLVDSGHRDPLAASGNGSTPPKKSPHPDCKYLGQIYSIPEAPQMMECEVGDQDWLFEHSSTQSEKPKSEIEADGAAQVWAQAVRLDSADVVALPYVIPF* >Brasy2G030500.1.p pacid=40069584 transcript=Brasy2G030500.1 locus=Brasy2G030500 ID=Brasy2G030500.1.v1.1 annot-version=v1.1 MDAAAAANGLCFPYDVLLDILRRVLQARMPVMARHRRRPRPPPPARLPARLPRRLRQLPRVRARVRPVRAAGLAVQEAAARRRRAGQLPASSPLLERPPTRRFEGMFLAFDPAVSRRHEVFCFPAQEASEERVLRAFVSSSENGEWESREFMPGRCASRHLYDVVTAPCGPKVRKWWSVEYWGGSLYVQCHSGPLMILHCSEGTYDMVQLPGHPYNEKDLLHHALPKKHMQPRMTWEAVESDKDLIAPFERESSDKSNVVDGDDDTELDMEEEEGEEDNYGEAQAKEGEEQDNADETRNEEGEEKDRHEEYEELGSGTGSEYSWNSDEDNFVDFDKSVVVDEYGWARGIVGFHPYKDVLLLKFSNTVVAYHLRTSRMQYLGYIYPRKHFQARDVHGAFPYRPCYIDALPVRKTS* >Brasy2G345900.1.p pacid=40069585 transcript=Brasy2G345900.1 locus=Brasy2G345900 ID=Brasy2G345900.1.v1.1 annot-version=v1.1 MVDALKTITSFFVMLKENTYVLIRIESLVALVTVMFFVMFILDIYRCRSRSSILTTILESVDSLSDHIVLYLLGAMHLRANLGYLSGYGISARERRLTEVGNVIKFLGAGILNGTRELEFTKPLWSLWAILQLRCIYRCYAHHRAITSLWHGRSSEFLPQYMQAETRDSEQGNEAASTRQQDNDDLACDHAKNNRRYLVYGESSQKMNLKRPGYTLNLEVIHPESLITLDKITECTKPLLSSDDDHRYKDISLAFTLSRLLRCRLEDVPLHKESILSTKKLIKSIISCAFKILELELAFARDYFYTLYPIVFWKGISSLCLSLLLSMATFAVTVWLAVAIHKVYREVPKDNFMLRMHGGNVDVLITWLFMIFMMFKEVWEIITYLLSNWTRLLLVCKYVKSQLWFKKIVVTEDLINSFFVSNIADPWYGHIDQYDFLRSLTYKPSFWKVANAITLGKTPQKFDGRKSGNAIKIPECVKPAILQALHRIGLDNPQLPREIPSLNGSVEQFARYSWACLDLDTCSQVILVWHIATSLCEVKLAQECEIDLANPGFLSSAWSCLKTFSCCTSNPYLVNENNRLTGELKTDYHTATSLSRYCAYLLVFQPELLPDSFVMPDLIFEETLEDAREQLGGCNLILYMYDKLITIAQEAEENNHEAPVKNREAMGNNQEVTQKDVGKRLRMNIVQQGATLGKDLICMDQKTRWKILAGVWADLLVHIAPSWNAVGHKSNLESGGEFITLIWALLWHCGIEKSKLWETDEVSETTPQVHQENNNGTRTIQPLEEQQASESNAQVPLQKTDTANQTQREGEVINSISLNSSD* >Brasy2G098500.1.p pacid=40069586 transcript=Brasy2G098500.1 locus=Brasy2G098500 ID=Brasy2G098500.1.v1.1 annot-version=v1.1 MWSFASNAIAGSLKKKVQSSRCNLSNPDCSDDDVSSCASREEGLECPICCESFNIVENIPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSSGHEERHSLAASSGGSSSGQHHRRNPAARAESSSARDGNVVGNDSSTYSIGASFQKLLVCFIQLTAKFPLVIMFLLIVLYAVPASAAVLLLYVLVTFLFALPSFLILYFAYPTLEWLVREIFT* >Brasy2G210400.1.p pacid=40069587 transcript=Brasy2G210400.1 locus=Brasy2G210400 ID=Brasy2G210400.1.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.2.p pacid=40069588 transcript=Brasy2G210400.2 locus=Brasy2G210400 ID=Brasy2G210400.2.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.5.p pacid=40069589 transcript=Brasy2G210400.5 locus=Brasy2G210400 ID=Brasy2G210400.5.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.9.p pacid=40069590 transcript=Brasy2G210400.9 locus=Brasy2G210400 ID=Brasy2G210400.9.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.12.p pacid=40069591 transcript=Brasy2G210400.12 locus=Brasy2G210400 ID=Brasy2G210400.12.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.11.p pacid=40069592 transcript=Brasy2G210400.11 locus=Brasy2G210400 ID=Brasy2G210400.11.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.6.p pacid=40069593 transcript=Brasy2G210400.6 locus=Brasy2G210400 ID=Brasy2G210400.6.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPGESPSSSASDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.7.p pacid=40069594 transcript=Brasy2G210400.7 locus=Brasy2G210400 ID=Brasy2G210400.7.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.8.p pacid=40069595 transcript=Brasy2G210400.8 locus=Brasy2G210400 ID=Brasy2G210400.8.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.3.p pacid=40069596 transcript=Brasy2G210400.3 locus=Brasy2G210400 ID=Brasy2G210400.3.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.10.p pacid=40069597 transcript=Brasy2G210400.10 locus=Brasy2G210400 ID=Brasy2G210400.10.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.4.p pacid=40069598 transcript=Brasy2G210400.4 locus=Brasy2G210400 ID=Brasy2G210400.4.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCDFCAHAYERCKEMAAAALAYKCVEVAYLKSAYYKHPSASKDRQELQAVVQISAPDIDNLNSHGVSKAPSTKGGNSPQVACSLVPLAVRNQAHLLRLLAYTNDVTCAFDATRKSQIAIAACAGNQEGRKGLGDGLGSVRTVLDLNFNNVEELLRLVRLSMESIGSS* >Brasy2G210400.13.p pacid=40069599 transcript=Brasy2G210400.13 locus=Brasy2G210400 ID=Brasy2G210400.13.v1.1 annot-version=v1.1 MLSLRGRQGERGGGAGFRGMADDVELEEGEACSDDDGGHGFVDPDVALAYIDEKLQHVLGHFQKDFEGGVSADNLGPKFGGYGSFLPTYQRSPTPLPQTRSSPKVANISTSRSPYQQSDESMRQNPSTVAAESISRNNCAMGTSSGDLCKKERRSSRNHEKDSVSCSDSLDSSSNGTDHKAHKLRIRVGSSNTLVGGNASIYSGLGLDISPSSSMERSPDGLEGLSPEFSNMPYESPRTILQIMTCFSVPGGFLLSPLHGNILHLTNKVAPLLKKWEKHLDVENLTSRYEGHSEPALHVGHFRSQVPKKMKLDSKRRKTRKNVDGTNTIMNREVKIERSACQEISDTPSIPFISGGDTHITEVKRMQKEHKINATAPSGFLEEDKYIQSSLAVIDRKNGSGTARKEILAGAQHGKFPALEERELHMPATSMITTTNAAPLPAPVVIKENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIGEDETTKALNALYVTQAPATGVSSGGPHTAAAGTAVSSTHSISGQLEQSRKRKGTLKDGNGLDESSDPASSSFPLMRNQQAPTENKRTVDREHYPSERDSMSKHGLEQVSKSANFVVEKQKNKHKSRNSYSDGGDLIEKSKKHSKFPNKRGTDQDEHKTSKKTKKEDLHHFGRDWNHKCDLTAGKVPDETETLPSKEKPIKSSCEQVDISLRKEKVSSRYDLLENPKRVDDGDVAFGKKKREHHEDVGRLDLSTMNIAKECEEIQEYSADHASKGAKNEQLKERKLKTMKSKELTSKVGSRHENVQDADTMLSSAEGRLNNELVVDNKFITGKEVPSELWENVPPSQALESAEPNRRDAAYLQTSTVATSSSSKISSSWKNKNSQEPKGSPVESVSSSPLRNFNTEKLSHGRLTGKDGSLNVDASTVHNSGIKVGELCNGRQAQNLGENQAACEPILPSSLQGSSDSNKKGIAHLAHAQVTDVRIPIGAQGAHSHLKEGKSGVLSTAVKSDASKMKAQLRRSNVETGSQHGTRKQAFYNTSDTTSPIRKDNNMVAFALKEARDLKHMANHLKSKGQALESTGLYFEAALKFLHVASLLETPSLNCSRPADAAQSMKMYSETAKLCE* >Brasy2G167300.1.p pacid=40069600 transcript=Brasy2G167300.1 locus=Brasy2G167300 ID=Brasy2G167300.1.v1.1 annot-version=v1.1 MAASSPQEPEFPAIDHLMPTILRYLAEHQVVVVSARPGSGKSSVLPRYLANRRYGPVICAQPRHLAATVAAAKSRAQGHGGVVFTTTRRLLDTFAQSLPALAMFGAVVIDEAHDRSTLGTDLLLGMVKATLDNGSMKRCRVVLCTAGVSAADEDTLCEFFGGAPIAACLPAARPVEVCYSPGPVLDAVSAAVDEVASIHASQPPSPGDGVLVFFPDILGLPGLVVKYVHDNLPEELVDAMLYAPVPDGARKVVLATDVAETAVLVGGIGHVIDTGVLSSEEPFERVSKEAADRRAATAGFAVAGRGRCHRLYMREELDDLDERTVPRVRRDGALAMFALMLKRHAAAGFEVFDPAIETVALEDAVDHLVASGYLDKNGNLTDKGECEGYDED* >Brasy2G148100.1.p pacid=40069601 transcript=Brasy2G148100.1 locus=Brasy2G148100 ID=Brasy2G148100.1.v1.1 annot-version=v1.1 MSRASSTPQQPRRRPTVALLLGLALAFCLSVLSIQSSFTAPRVVSRLELDSEQVRELSGFQSRVQQCVASRGLGLTADIVDHCKLVLKFPTGTNSTWYNTQFKIFEPLEYRYDVCETILLWEQYRNVTTVLTREYLDVRPDGWLDYAAKRIAQLGAKKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGREIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILNGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVVRLHSPMRAQRVEDWSDVPSKEEIRKAHAAAFRLKKHEIGQADELGPFSNCKVWGTVDPDYGPVSGTSDMSETRRNSNYSKWELLPLEKLRNEAQEHHAQMGGVSIYKMDGNKLDDLVCVRHEHSSG* >Brasy2G064100.1.p pacid=40069602 transcript=Brasy2G064100.1 locus=Brasy2G064100 ID=Brasy2G064100.1.v1.1 annot-version=v1.1 MEDDKKEAGSKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPGQRMSGATGGSSSSSSAPAPATGRSGGRTTTAEKLELEYLDDRVLEELLAEDYYGENKD* >Brasy2G244600.1.p pacid=40069603 transcript=Brasy2G244600.1 locus=Brasy2G244600 ID=Brasy2G244600.1.v1.1 annot-version=v1.1 MAAAVAAVRGGCEEQRRRCGATTMKGGGGCKRRTGRPRRARAAAARVETRPRRARGGSAGRRPRRAAAVAASGALGGREERRQRRRAWGLGREGLAARGGSGDPEGQRRLQGARGGREERRQRRPRRAAAAASGARAGGGREGRAGRPLQRAPGAARPRTLSRALNGATSPPLVHFGLSLASGVTSAGVWACNTYGSARYCCSNEYGMPAVACGPRTVKRHEQTAWAGVT* >Brasy2G291400.1.p pacid=40069604 transcript=Brasy2G291400.1 locus=Brasy2G291400 ID=Brasy2G291400.1.v1.1 annot-version=v1.1 MAAAATGSSRTKTEELDAPLHALGFEIDEVSPSRLTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYRRVAGMQLSINHFRSAAAGDTVHARAVPVHVGRSTQVWEVKLWKMDPSTEGKGLQIAEARVTLLCNLPVPDEMKSAGENLRKYSKL* >Brasy2G397800.1.p pacid=40069605 transcript=Brasy2G397800.1 locus=Brasy2G397800 ID=Brasy2G397800.1.v1.1 annot-version=v1.1 MERAVCLERIRLLLQEPCDRCSAERYRLWEGLQRFHVTTIHERGPWKYPSTERDKEETRRRLSSSTTGSSSVKIVVGHIGEGRRGAMVREVDAFLGRYIFPSNY* >Brasy2G078900.1.p pacid=40069606 transcript=Brasy2G078900.1 locus=Brasy2G078900 ID=Brasy2G078900.1.v1.1 annot-version=v1.1 MGSTVYYNTTLQLCSLIYRKDRKPFSVLGHHTHTFLLIASQLKCIPHLLLSVSCLVHCHISVWSLEWTDDLRSLVYPLSALLQKTGGDFARSMHLCFCIHFRMGMERFLELAYATTINAVMITAMVSVKTGVIKRIKVFATNTMDG* >Brasy2G491900.1.p pacid=40069607 transcript=Brasy2G491900.1 locus=Brasy2G491900 ID=Brasy2G491900.1.v1.1 annot-version=v1.1 MSHFVVVKKERYQDCLKNHAAALGKHSRDGCPRFMPPVGDSLYCVAYGCHRGFHRLVEIEVHVPPPPQLQPMPAAVISGVVSDDDESSASTSAVTTNAPSASTARSSAPPVATPGFGGQSDGSSTRPRAIPLLAAWGRGCAPDGSMAEPEVEEEMVPKP* >Brasy2G462000.1.p pacid=40069608 transcript=Brasy2G462000.1 locus=Brasy2G462000 ID=Brasy2G462000.1.v1.1 annot-version=v1.1 MDRNTNPSRPAPPATAPSAGAPQVRVYHEPIPETIYVQTDRERFKELVQRLTGQTAATAAAHPGATVPAPVSEPGPSVAAGSMSANTLFRPTLPDRPRGLHIIDSGASSLSLGLASTAAQEKDIREDAAEEKAIREGRFYLRRARPSGWTREPQLLMLFPLSPLP* >Brasy2G017800.1.p pacid=40069609 transcript=Brasy2G017800.1 locus=Brasy2G017800 ID=Brasy2G017800.1.v1.1 annot-version=v1.1 MARASNKLALFLALNLVLLSAGTAHGCSYCPTPTPAVPTPPVVVPPPPYIPPTPVPVPSGGGGACPIDTLKLSACASVLSLLKLGLNVPASEQCCPLLSGLADLDAAVCLCTAIKANVLGLVSVNVKVDLTLLLNQCGKICPADFTCAL* >Brasy2G499500.1.p pacid=40069610 transcript=Brasy2G499500.1 locus=Brasy2G499500 ID=Brasy2G499500.1.v1.1 annot-version=v1.1 MAEISKSRRFALACGVLSQYVKAEQKMSSASAAPRAPATTLSLMPGADVAQEQEQHKEAAAEAGPATATAAAAPLTIFYGGRVVVFEDFPAEKAAEVLRMAATAGAERAAPAPAPAPAPAAAAAALADLPIMRKASLQRFFEKRKDRLGARAPYARPAPPAANKDSSEEKSASASSSSWLGGDRLTFAL* >Brasy2G379200.1.p pacid=40069611 transcript=Brasy2G379200.1 locus=Brasy2G379200 ID=Brasy2G379200.1.v1.1 annot-version=v1.1 MAMPYASLSPAGVDAHRSSPAATASLLPFCRSSPFYAGNGGGGLGDEAQMNGRWAMGRGPLPFTAAQYEELQHQALIYKYLVAGVPVPPDLVLPIRRGIDSLAARFFHNPISIGYGTYLGKKVDPEPGRCRRTDGKKWRCAKEAAPDSKYCERHMHRGRNRSRKPVETQLAPQPQPPAASSASALPPLAVATNRNGFQNHSLYPAIAGSNGGGQGSNMSSSFSSALVSSQLHMGNASPYAALGAGGGACKDLRYSAYGIRSLADERSQLIAEAINTSMESPWCVPPSQNSSFTLSSSPQLGALSDLGRNNVNSLPKVERQPLSFVGCDFGDVNSVKQENQLRPFFDEWPKARDSWSDPAENFSATQLSISIPMTSSDFSVASSQSPNGD* >Brasy2G148900.1.p pacid=40069612 transcript=Brasy2G148900.1 locus=Brasy2G148900 ID=Brasy2G148900.1.v1.1 annot-version=v1.1 MGPQSQDTASSSSSSPSGGSSRRRARLDRRNASKNIGYDASLFCTLPSPPRASSASASGAPSLASSAACSLDLTSFRIGGSGDGGGDVLLLCRNLGLSGPDDFAISLTDWEAHKAFRSSSASSSPTAQSRPDRPARDSPLRPDDFAISLTDSEAPRASSSCSSPSSQSHPDRTVRDSPLRSEASKELALTVSAGFEFPAKETARDAPIEAPARLAWLDPQQPARPDVKKAGCDGGIKGVRPPPVMLKPPPSVALPPACLVGSTWDIMRSFAPDDKGQAPASRSGRDFGGQDAAEEEDVEEVLTFDELRLGETSEEFTGTSSISTINDDESTTTESMFYISPNGRFRRKIRSWNRGVLLGSGSFGTVYEGISDEGVFFAVKEVCVSDQGSNAQQCIFQLEQEIALLSQFEHENIVHYYGTDKEDSKLYIFLELVTQGSLVSLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEATKLNMLKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRQLPYPDLEWTQALYRIGKGEPPQIPNVLSRDARDFISQCVKPNPEDRPSASKLLDHPFVNRSMRSIRSMRTSSRLNSSTRGMIVLN* >Brasy2G369800.1.p pacid=40069613 transcript=Brasy2G369800.1 locus=Brasy2G369800 ID=Brasy2G369800.1.v1.1 annot-version=v1.1 MAFLAGASSIRSPIALAFSSHRRNDLLTTVKATANSNSVSTHPLISSLRLAASAAVLLAATSPAIACTPSPPPPAPALTVTVSPEDAIPGDCHPFEKLIVETAALSRFGDAEAARARLAAAGGGEHYARLLAAQTLFVDGKVEEAIAAFEELVREDPGDYRPLFCQGVLYSMLGREAESDSILERCRLIAGDKFGGGFAMPVSAAEEVRVEAAESAAEKTETEVEGEKL* >Brasy2G239900.1.p pacid=40069614 transcript=Brasy2G239900.1 locus=Brasy2G239900 ID=Brasy2G239900.1.v1.1 annot-version=v1.1 MLSSSALRHSLREFWDVPDESMYLALVSRVATNFQKKERDYYAYTLAQERCYRQRWILVLLVSDGWSAIIWVSSSFEPPEFVIFAKALKKPEAWACLKALQVCKEHQLDNLWWWSMVLTILPRTSRPVVSSSKRLRS* >Brasy2G239900.2.p pacid=40069615 transcript=Brasy2G239900.2 locus=Brasy2G239900 ID=Brasy2G239900.2.v1.1 annot-version=v1.1 MLSSSALRHSLREFWDVPDESMYLALVSRVATNFQKKERDYYAYTLAQERCYRQRWILVLLVSDGWSAIIWVSSSFEPPEFVIFAKALKKPEAWACLKALQVCKEHQLDNLWWWSMVLTILPRTSRPVVSSSKRLRS* >Brasy2G342600.1.p pacid=40069616 transcript=Brasy2G342600.1 locus=Brasy2G342600 ID=Brasy2G342600.1.v1.1 annot-version=v1.1 MRKAAAASRYASYDSPSASPSPRHAKPTAAAPATPGHGSRALVAVRSGRGDLRCQAPQQQQGSLGSVLRRLISMDKKPGAGPKSLLPVPPAAAAPAKNSAGKLPGLSRKLLFQKGSEGKKNKALTEVKNSSNANTRTLAMVLRSERELLAQSKEQEDEIAALRLQLDNKDREVERLKDLCLRQREEIRTLKDAVLFPDTQPDRHVRDEISTLTGQIQCLAEELAQVKAEKHTARSCFDDEYCSSPSTPVLNEETAFSLECSIGEAETPNCGSPDEMFVKDLNPCLTPFSKSRSEEYEESLSSHRSGSSKARPDHHLPFSGSRSRPMSKSSDHHKPTSGTGSSKRRVHRSDQDKIYQNLF* >Brasy2G451500.1.p pacid=40069617 transcript=Brasy2G451500.1 locus=Brasy2G451500 ID=Brasy2G451500.1.v1.1 annot-version=v1.1 MIQLLFLVLFAEGAVALLLMVKVGPLRELAMRTVEQVKTGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLQKLITLRKTANTSREEVEKLQMENRSFREKEEKSSSEIKKLQQDIAKLTEGMKKMKSESDDNERKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG* >Brasy2G418400.1.p pacid=40069618 transcript=Brasy2G418400.1 locus=Brasy2G418400 ID=Brasy2G418400.1.v1.1 annot-version=v1.1 MFDKVLLCDGINCILQSPLPAEAEVSAVYVKGSGNKKGRKKGKSGAAAAEEESVDLATLLIHCAQATAIDDYRNSVELLKQIRQHSSALGDAGQRLAHYFANGLEARLAGTGSTIYRSLVAQRNTTADILKAFELLHIVDYGIRWGFQWPVFMQRIARRTGGPPRLRITGIDLPQPGFRPAERVEATGQRLREYARTFKVPFEYHAIAAKWDTVRVEDLKIDKDELLIVNCTFLMRHMMDETVTDDSPRMRVLNTIRKMNPHLFIHGVVNGTYNAPFFVTRFKEALFYFSSLFDMLETTGSRLDEHRLLIERDFFGREALNVVACEGTERVERPETYKQWQVRNIRAGFRQLPLNQDTVKKARYKVTKSYHKDFLVDEDNKWMLQGWKGRVILAQSTWKPS* >Brasy2G488600.1.p pacid=40069619 transcript=Brasy2G488600.1 locus=Brasy2G488600 ID=Brasy2G488600.1.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.5.p pacid=40069620 transcript=Brasy2G488600.5 locus=Brasy2G488600 ID=Brasy2G488600.5.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.3.p pacid=40069621 transcript=Brasy2G488600.3 locus=Brasy2G488600 ID=Brasy2G488600.3.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.4.p pacid=40069622 transcript=Brasy2G488600.4 locus=Brasy2G488600 ID=Brasy2G488600.4.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.7.p pacid=40069623 transcript=Brasy2G488600.7 locus=Brasy2G488600 ID=Brasy2G488600.7.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.2.p pacid=40069624 transcript=Brasy2G488600.2 locus=Brasy2G488600 ID=Brasy2G488600.2.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G488600.6.p pacid=40069625 transcript=Brasy2G488600.6 locus=Brasy2G488600 ID=Brasy2G488600.6.v1.1 annot-version=v1.1 MERAALDDVIRRLLEVRRYRAGRQVQLAEWEIRQLCAASKDVLMRQPNLLELQAPIKIAGDIHGQYPDLLRLFELGGFPPKHKYLFLGDYVDRGKQSIETMCLLLAYKLKYPEHFFLLRGNHECASISRVYGFYDECKRRYSVRLWRLFADAVFANLPPAALVDGRILCVHGGLSPHLLPRGCDLRRDVNALPRVPDVPESGLLCDLLWSDPAGAGEEASGWGENERGVSYTFGADVVERFLERHDLDLVCRAHQVVEDGYQFFAGKRIVTVFSAPNYCGEFDNAGAIMCVDDELTCSFQIIRPANSARNTTTSRGIRSPWW* >Brasy2G325300.1.p pacid=40069626 transcript=Brasy2G325300.1 locus=Brasy2G325300 ID=Brasy2G325300.1.v1.1 annot-version=v1.1 MPPAANFSSFSALLRGPPPPTRRLLVAAAAARAHSTSGGSRARGGLPRFHSPSLPSSKGEVVRIQGDEFWHMTRVLRLSVNDRVELFDGAGGLVEGSIQKVEKNGSDIELLENARSIALEGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRIHDMSLKAPIEIRDLPAVVSQSKLAFLASAGAPPVLSVLPKSSNEESGVLIIGPEGDFTDEEVNILKAAGAVPVGLGPCRLRVETATISLLSALMLWSDAHHQEIQQCR* >Brasy2G325300.2.p pacid=40069627 transcript=Brasy2G325300.2 locus=Brasy2G325300 ID=Brasy2G325300.2.v1.1 annot-version=v1.1 MPPAANFSSFSALLRGPPPPTRRLLVAAAAARAHSTSGGSRARGGLPRFHSPSLPSSKGEVVRIQGDEFWHMTRVLRLSVNDRVELFDGAGGLVEGSIQKVEKNGSDIELLENARSIALEGIQWHVFAAFGQRIHDMSLKAPIEIRDLPAVVSQSKLAFLASAGAPPVLSVLPKSSNEESGVLIIGPEGDFTDEEVNILKAAGAVPVGLGPCRLRVETATISLLSALMLWSDAHHQEIQQCR* >Brasy2G166000.1.p pacid=40069628 transcript=Brasy2G166000.1 locus=Brasy2G166000 ID=Brasy2G166000.1.v1.1 annot-version=v1.1 MCVRCHLPHPLTYPICWWFARFSQAVRHRSPMETPPHNPISPPSPPPVADVSPAPDARAGGDEAAGEFDGSLESMLERIFGPPEPEPWSPPREFDFAAEFAAVAATDPEPLEDLGGEPWDGAPWMSTGLDACEGAAATTTRAPSPHTAAPGFAPAFDSSEGAPEVRSLGHLDNYSPMPESPSTPVAVDMRERLVFVSDSAPVLESSPSPTVACPDLPTTKPIKETTNAATERSDLPLPNRVEQSTSSDNEHKEVVLALQTPNYRSLKQHEGASVHAPRRTRSQVVREMPQEAEGKSSRPLKAKRVRGSTDKCLPNLKRAKKNCGSIGRLPNVTTMPDPGSSHEVILDKHLTDSEMVECDDGSCFFVGEAVLEEEAKQRWPHRYEKNHHFAEKFLCLKDKRSGNQPFANAGNDVLDVKCHYLQASVSGSTLCVGDCAFVKGPEGRPNYIGRILEFFETVAGECYCRVQWFFRAEDTVMEDQAQSNDPRRLFYSDLQDDNSLDCIVSKVTIVQVPPCVDKESKSIPSSQYYYDMKYSLDYSTFSTLEMEDTNAILQSSHASSIEMKKVDFSKKQKSPVPNKKDLSLLDLYCGCGGMSTGLCLGAHGAGVNLVKRWAVDKDLVACESYRLNHPETRVRNETANDFLLLLKEWQKLCKQYVEQSEVKDHADGLTETINEIPDDSVDPTEELEVWKLVDICFGDPYGIKKHCLHFKVRWKGYGPNDDTWEPIDGLGNCNEAIRDFVIEGHKRKILPLPGDVDVVCGGPPCQGISGYNRNREFDAPFNCEENKQIIVFMDVMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGVPQFRMRVFLLGCHPEEKLPPFPLPTHEAIRKNGCPLAFERNLVGWSDGTTVQLAKPIVLEDILSDLPKVGNEESRDEMPYVKDPQTEFQRYIRTFNSEVRGPKSHAAKSKSRKAKPKLYDHQPLVLDNDNYLRVLQIPKKKGANFRDLPGVVVGPDNVAKLDPTKERILLPSGNPLVLDCILTYEDGKSLRPFGRLWWDEVVGTVVTCPNARTQAFIHPAQDRLLTIRESARLQGFPDSYRFRGEVKRRYCQIGNAVAVPVGRALGYALAMAYLNKTGSDPLVVLPPKFAFSHNIEGTL* >Brasy2G166000.2.p pacid=40069629 transcript=Brasy2G166000.2 locus=Brasy2G166000 ID=Brasy2G166000.2.v1.1 annot-version=v1.1 MCVRCHLPHPLTYPICWWFARFSQAVRHRSPMETPPHNPISPPSPPPVADVSPAPDARAGGDEAAGEFDGSLESMLERIFGPPEPEPWSPPREFDFAAEFAAVAATDPEPLEDLGGEPWDGAPWMSTGLDACEGAAATTTRAPSPHTAAPGFAPAFDSSEGAPEVRSLGHLDNYSPMPESPSTPVAVDMRERLVFVSDSAPVLESSPSPTVACPDLPTTKPIKETTNAATERSDLPLPNRVEQSTSSDNEHKEVVLALQTPNYRSLKQHEGASVHAPRRTRSQVVREMPQEAEGKSSRPLKAKRVRGSTDKCLPNLKRAKKNCGSIGRLPNVTTMPDPGSSHEVILDKHLTDSEMVECDDGSCFFVGEAVLEEEAKQRWPHRYEKNHHFAEKFLCLKDKRSGNQPFANAGNDVLDVKCHYLQASVSGSTLCVGDCAFVKGPEGRPNYIGRILEFFETVAGECYCRVQWFFRAEDTVMEDQAQSNDPRRLFYSDLQDDNSLDCIVSKVTIVQVPPCVDKESKSIPSSQYYYDMKYSLDYSTFSTLEMEDTNAILQSSHASSIEMKKVDFSKKQKSPVPNKKDLSLLDLYCGCGGMSTGLCLGAHGAGVNLVKRWAVDKDLVACESYRLNHPETRVRNETANDFLLLLKEWQKLCKQYVEQSEVKDHADGLTETINEIPDDSVDPTEELEVWKLVDICFGDPYGIKKHCLHFKVRWKGYGPNDDTWEPIDGLGNCNEAIRDFVIEGHKRKILPLPGDVDVVCGGPPCQGISGYNRNREFDAPFNCEENKQIIVFMDVMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGVPQFRMRVFLLGCHPEEKLPPFPLPTHEAIRKNGCPLAFERNLVGWSDGTTVQLAKPIVLEDILSDLPKVGNEESRDEMPYVKDPQTEFQRYIRTFNSEVRGPKSHAAKSKSRKAKPKLYDHQPLVLDNDNYLRVLQIPKKKGANFRDLPGVVVGPDNVAKLDPTKERILLPSGNPLVLDCILTYEDGKSLRPFGRLWWDEVVGTVVTCPNARTQDRLLTIRESARLQGFPDSYRFRGEVKRRYCQIGNAVAVPVGRALGYALAMAYLNKTGSDPLVVLPPKFAFSHNIEGTL* >Brasy2G166000.3.p pacid=40069630 transcript=Brasy2G166000.3 locus=Brasy2G166000 ID=Brasy2G166000.3.v1.1 annot-version=v1.1 MCVRCHLPHPLTYPICWWFARFSQAVRHRSPMETPPHNPISPPSPPPVADVSPAPDARAGGDEAAGEFDGSLESMLERIFGPPEPEPWSPPREFDFAAEFAAVAATDPEPLEDLGGEPWDGAPWMSTGLDACEGAAATTTRAPSPHTAAPGFAPAFDSSEGAPEVRSLGHLDNYSPMPESPSTPVAVDMRERLVFVSDSAPVLESSPSPTVACPDLPTTKPIKETTNAATERSDLPLPNRVEQSTSSDNEHKEVVLALQTPNYRSLKQHEGASVHAPRRTRSQVVREMPQEAEGKSSRPLKAKRVRGSTDKCLPNLKRAKKNCGSIGRLPNVTTMPDPGSSHEVILDKHLTDSEMVECDDGSCFFVGEAVLEEEAKQRWPHRYEKNHHFAEKFLCLKDKRSGNQPFANAGNDVLDVKCHYLQASVSGSTLCVGDCAFVKGPEGRPNYIGRILEFFETVAGECYCRVQWFFRAEDTVMEDQAQSNDPRRLFYSDLQDDNSLDCIVSKVTIVQVPPCVDKESKSIPSSQYYYDMKYSLDYSTFSTLEMEDTNAILQSSHASSIEMKKVDFSKKQKSPVPNKKDLSLLDLYCGCGGMSTGLCLGAHGAGVNLVKRWAVDKDLVACESYRLNHPETRVRNETANDFLLLLKEWQKLCKQYVEQSEVKDHADGLTETINEIPDDSVDPTEELEVWKLVDICFGDPYGIKKHCLHFKVRWKGYGPNDDTWEPIDGLGNCNEAIRDFVIEGHKRKILPLPGDVDVVCGGPPCQGISGYNRNREFDAPFNCEENKQIIVFMDVMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGVPQFRMRVFLLGCHPEERNLVGWSDGTTVQLAKPIVLEDILSDLPKVGNEESRDEMPYVKDPQTEFQRYIRTFNSEVRGPKSHAAKSKSRKAKPKLYDHQPLVLDNDNYLRVLQIPKKKGANFRDLPGVVVGPDNVAKLDPTKERILLPSGNPLVLDCILTYEDGKSLRPFGRLWWDEVVGTVVTCPNARTQAFIHPAQDRLLTIRESARLQGFPDSYRFRGEVKRRYCQIGNAVAVPVGRALGYALAMAYLNKTGSDPLVVLPPKFAFSHNIEGTL* >Brasy2G166000.4.p pacid=40069631 transcript=Brasy2G166000.4 locus=Brasy2G166000 ID=Brasy2G166000.4.v1.1 annot-version=v1.1 MCVRCHLPHPLTYPICWWFARFSQAVRHRSPMETPPHNPISPPSPPPVADVSPAPDARAGGDEAAGEFDGSLESMLERIFGPPEPEPWSPPREFDFAAEFAAVAATDPEPLEDLGGEPWDGAPWMSTGLDACEGAAATTTRAPSPHTAAPGFAPAFDSSEGAPEVRSLGHLDNYSPMPESPSTPVAVDMRERLVFVSDSAPVLESSPSPTVACPDLPTTKPIKETTNAATERSDLPLPNRVEQSTSSDNEHKEVVLALQTPNYRSLKQHEGASVHAPRRTRSQVVREMPQEAEGKSSRPLKAKRVRGSTDKCLPNLKRAKKNCGSIGRLPNVTTMPDPGSSHEVILDKHLTDSEMVECDDGSCFFVGEAVLEEEAKQRWPHRYEKNHHFAEKFLCLKDKRSGNQPFANAGNDVLDVKCHYLQASVSGSTLCVGDCAFVKGPEGRPNYIGRILEFFETVAGECYCRVQWFFRAEDTVMEDQAQSNDPRRLFYSDLQDDNSLDCIVSKVTIVQVPPCVDKESKSIPSSQYYYDMKYSLDYSTFSTLEMEDTNAILQSSHASSIEMKKVDFSKKQKSPVPNKKDLSLLDLYCGCGGMSTGLCLGAHGAGVNLVKRWAVDKDLVACESYRLNHPETRVRNETANDFLLLLKEWQKLCKQYVEQSEVKDHADGLTETINEIPDDSVDPTEELEVWKLVDICFGDPYGIKKHCLHFKVRWKGYGPNDDTWEPIDGLGNCNEAIRDFVIEGHKRKILPLPGDVDVVCGGPPCQGISGYNRNREFDAPFNCEENKQIIVFMDVMQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGVPQFRMRVFLLGCHPEEKLPPFPLPTHEAIRKNGCPLAFEVGNEESRDEMPYVKDPQTEFQRYIRTFNSEVRGPKSHAAKSKSRKAKPKLYDHQPLVLDNDNYLRVLQIPKKKGANFRDLPGVVVGPDNVAKLDPTKERILLPSGNPLVLDCILTYEDGKSLRPFGRLWWDEVVGTVVTCPNARTQAFIHPAQDRLLTIRESARLQGFPDSYRFRGEVKRRYCQIGNAVAVPVGRALGYALAMAYLNKTGSDPLVVLPPKFAFSHNIEGTL* >Brasy2G071700.1.p pacid=40069632 transcript=Brasy2G071700.1 locus=Brasy2G071700 ID=Brasy2G071700.1.v1.1 annot-version=v1.1 MHPPQPGSQLQAPLPTLQNTRIHRRSPSAMAIPRRLSRLPTAAAAAAVTNERSTATLAVTLGGSGARPSTAVLAAAATAAAAAGRASECQSLLLRMLRRRGASRLDIVSSLLASSSTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLEHRVPIPAAASNALLAALSRAGWPHLTADAYRLVLSSNSEVNTYTLNIMVHSHCKTLQFGEVDTVISEMEKRCVFPDVVTHNVMVDARFRAGDVEAAMALIDSMVSKGIKPGLVTYNSVLKGLCRNGRWDKAREVFRAMDACGVAPDVRSFNMLIGGFCRAGEVEEALRFYKEMRRRRVTPDVVSFSCLIGLFTRRGKMDHAAEYLREMREFGLMPDGVIYTMVIGGFCKAGSMLEALRVRDEMVAFGCLPDVVTYNTLLNGLCKECRLSDAEELVNEMKERGVPPDLCTFTTLIHGYCREGNIEKALRFFDTISDQRLRPDIVTYNTLIDGMCRQGDLGKANELWDDMHSREIFPNHVTYSILIDSHCEKGQVDNAFAYLDEMVNKGIVPNIMTYNSIIKGYCRSGNVSKGQQFLQNMRHDKVLPDLITYNTLIHGYVKEGKMHEAFDLLKIMENENVQPDAVTYNMIISGFSVHGNMQEADWVYKKMGARGIEPDRYTYMSMINGHVVAGNSKESFQLHDEMLQKGFAPDDKF* >Brasy2G148000.1.p pacid=40069633 transcript=Brasy2G148000.1 locus=Brasy2G148000 ID=Brasy2G148000.1.v1.1 annot-version=v1.1 MFGFSDKGNLNLITRALEAVGCKMEVIPDPSTVHFHLPGDLSILVHREYNDFIKELVRKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAVSLANGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLMKVEELPEEEKNFQKNYVKAPSFLSIHLGVKASVLPSGTDCHHFVLEDDWSNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEQKKELLANEIIQRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNEGTYGPMPRGIPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIDLEQRSPILDTGLLGILRWLRTLA* >Brasy2G416500.1.p pacid=40069634 transcript=Brasy2G416500.1 locus=Brasy2G416500 ID=Brasy2G416500.1.v1.1 annot-version=v1.1 MEGEDEDFLGLLLDGEEPEPFWWLSRVAEYNDSTTMSYLFLWFEFRVQFFHPMWVILSMFCNPSSVVMLVFYQNNAWNALVLTRIDRTRALFCSASYLSSVYNCFVCDGRDIDYTNFQGPNLAVILYCLFKSSPSAGNLVDNMPHMDRHHLFKGVSCFKCTLKELYICTYWVKKEEQLIELFTEICRYWVTDETCQDDPISHLFAELEVWSNESKVYNCHDELHSLFRFDTHVKWGCHCCKEHFSSSKSKYLLEVNGRTLQLYLNELTSEGCRICNFCKLPAGYTRMSLGRLPLTLVFADASSPNLEIRIGEVKYLLSAVIPDILMAKAFLLSTHGWIEINMENGTTVACPSTIVKSFNKAMAYLFYCKVI* >Brasy2G061800.1.p pacid=40069635 transcript=Brasy2G061800.1 locus=Brasy2G061800 ID=Brasy2G061800.1.v1.1 annot-version=v1.1 MVPPRLGRLPNSDPFRGSDSSSSSASSVFFTRLRVSFASRPHPRALSSRARRAPLAASRARRRSLAPAAAASRPFARPPPPRAPAAAASRPLARPPLRFPAITALPPAPQEGRSNASGSAWPVANPVLTRVSTTEAGRGTAAAPLFLLPNGGTPLLPPHQRQGGKSSDGAKSSDSAPRMHGSSGAPPFSDGAGRRIQQRPSPRLTAADLAAPLPSPRLTVANGGKNYLATDGGGESTATSPRQRGRPPLGRLGREVSRWWRERALAIWTSSLGSRFVDVVELPLDDVYF* >Brasy2G386400.1.p pacid=40069636 transcript=Brasy2G386400.1 locus=Brasy2G386400 ID=Brasy2G386400.1.v1.1 annot-version=v1.1 MRAASEAAARASPDESVAAAAHAIASPLPDRVDVDNCPGYATGRKLGKGGKVYVGTRIPVLSGGSHKGRNAYQVALKFDHRRSKVGSYEAYDPPFEWEVYQTLKNCYGIPSVLYKGCQGNYYILVMDMLGPSLWDVWYSQGHEMSVRMVACIAVEAISILQNIHSKGFVHGDVKPENFLLGQPGSADEKKLFLISFGLASKWKTKGGASSMHVQYDQRPDTFRLGTVIYASVHAHLGRTGSRRDDLESLAYTLIFLLRGGLPWQECQLNCESCENFLVCKKKMETSPEMLCHFCPAPFKHFLEMVTNMEFDEEPNYAKLVSLFDSLIEVLASRPIKIDGALKVGQKRGRMVINLEEDEQPKNKVRLGIPATQWISVYNARRCMKQRYHYNVSDSKLHQHIEEGHEDGLHISCVASSSNFWALIMEMEAVTSFGSQVYELSQIFLRKDWIMEQWKKNFYITAIAGATNGSSLVVMSKGTPYTHQSYRVGESFPYKWIKEKWAEGLHVTSMGTAGNCWGVVMSRNAGYLDQVVELDFLYPSEGLHQRYKAAAFIMSTAKTKPIDEVCQTSDFPSKDIKEQWENNLYVASICYGRTAC* >Brasy2G386400.2.p pacid=40069637 transcript=Brasy2G386400.2 locus=Brasy2G386400 ID=Brasy2G386400.2.v1.1 annot-version=v1.1 MRAASEAAARASPDESVAAAAHAIASPLPDRVDVDNCPGYATGRKLGKGGKVYVGTRIPVLSGGSHKGRNAYQVALKFDHRRSKVGSYEAYDPPFEWEVYQTLKNCYGIPSVLYKGCQGNYYILVMDMLGPSLWDVWYSQGHEMSVRMVACIAVEAISILQNIHSKGFVHGDVKPENFLLGQPGSADEKKLFLISFGLASKWKTKGGASSMHVQYDQRPDTFRLGTVIYASVHAHLGRTGSRRDDLESLAYTLIFLLRGGLPWQECQLNCESCENFLVCKKKMETSPEMLCHFCPAPFKHFLEMVTNMEFDEEPNYAKLVSLFDSLIEVLASRPIKIDGALKVGQKRGRMVINLEEDEQPKNKVRLGIPATQWISVYNARRCMKQRYHYNVSDSKLHQHIEEGHEDGLHISCVASSSNFWALIMEMEAVTSFGSQVYELSQIFLRKVHYGLDYGAVEEELLHNSDSWSNQWKLIGCNVQRNSVHTSVIQSR* >Brasy2G392700.1.p pacid=40069638 transcript=Brasy2G392700.1 locus=Brasy2G392700 ID=Brasy2G392700.1.v1.1 annot-version=v1.1 MAPKTRSSVTRRSQHFSALLSSAILEWVLMLLLLLEGLLSYLVTAFARLCKLHPPCPMCTRLDHVFGETCPGYYRSLMCNSHKAEASSWAFCYIHQNLVDIHSMCEACLHSFATDNKSNLETYRSLAGAGIGDTDCGHGFSFSSASEAKLMEEDALCSCCSRPLGVRYSCPFVVLQRKDSGIGEICRDVLADRRTDDDGINRVVYSELKTSDSESEPRQRGGNARWLMEEDASVAADITFSHARAKIKDSNAEDEVARHSELTQVQNGISDKKHSEDSRELSNVKANIQKITEEPHTGDKPEDDVWHNALGSTEELPGTDNDNSAETKAASDETNPEFSDRTTRQDSFRVHQQDLKLLLTQISTSSRAPDSWTGFADSPTIHESQQEQEAILRNISRALSLERNYSGVSDDDGGVFFNGAAEADRGGDAVGQLKRRVELDRKSMALLWAELEEERGAAAVSASQAMAMIARLQEEKAAARTEAAQCRRAMEERSAYDDREGAAAVRGLEAEVERCWAVLREQAAVDEIRDQMRLPRRRRGEGGGEHGESGSAGGGDGFAFAGFGDESACISEQLRGVTSELFRLSNDSRFVGAPDLGVEGEEDDGGGDGEEEAETWAPGRRVRNGNNFTKWQRLLGSTQVEVGMAELLQNEISELGGRLRALEAGRSSFYTT* >Brasy2G280400.1.p pacid=40069639 transcript=Brasy2G280400.1 locus=Brasy2G280400 ID=Brasy2G280400.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVICGEHGIDATGQYTGAAPQQLERMDVYYNEAGGGRYVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPVGLAMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDEEEPAGDDDHA* >Brasy2G332900.1.p pacid=40069640 transcript=Brasy2G332900.1 locus=Brasy2G332900 ID=Brasy2G332900.1.v1.1 annot-version=v1.1 MLSGIKFVPRDQIIPTGGGDEDSGSDGSARKKRHSKHSRKGRDKEEKRKSRHRRRRRYSSDDSDKGSDSEDSIDEEEEKELSRSKRRRKHRRGRRDFSDGDESSSESDKGRGHGKGKQRGATSDDEGEEEEMEGEGLRASEVVRKEMGLEWMLKSASSSQVDSSHAQRANIEEENFEAAQEEVKKPNPKEMNPYLRDNGSGYPDEFISSKAPNQLLASSVVGDGGASWRLKALKRAKEQAAREGRKIEEVVEERWGSLGHLAASVSTSRVAPSYAHLHAIRGRKAGQADNSQTLSKEDSKEDQQGGEESGGRQYLRGVSSRHNVMRKPKPDSVPWKRNRPSISSEDQTLISSAIAGINKFSNDGSFMEKINNHDSKNVNVPSVEANEQKDSEQKLHKESSKKSFSASTQKLNANQLAAKILQLRMKGKHEEADQLSREMETVLENQDASVEEPIHARERSSIRHTVKPSAADRRKREEDADLHLANRIMHNKQYNMTKSVEDEYDFGDAPSKKGKRKAHEEKRDTHRHILTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFAPVVPGHCVILPLQHESAIRTVDKNVWEEIRNFKKCLLKMFAQQDKDVVFMETVINLVKQRRHCMIECIPVPCEVSNKAPMYFKKAIDEAEEEWSQHEMKKLIPTSGNLRQVIPENFAYFHVEFGLDRGFVHVIDDESNFSAGFGLNVIRGVLRLPGEDMHRRRRHESTDNQKQAVASFMKDWEPFDWTKQLE* >Brasy2G489100.1.p pacid=40069641 transcript=Brasy2G489100.1 locus=Brasy2G489100 ID=Brasy2G489100.1.v1.1 annot-version=v1.1 MLGLMAAIQLIKQWVDAAEEADKLEIAAATKVTTRAADIAQAKFIAAKEALEAAETAVDEANAAYEEASDAARRQRIKEKEDFASKLKRIRDVAQNFYSAALDEEIAAGVPKECF* >Brasy2G244700.1.p pacid=40069642 transcript=Brasy2G244700.1 locus=Brasy2G244700 ID=Brasy2G244700.1.v1.1 annot-version=v1.1 MDQGKSSDMVAAPASPEEVENAAATGDSSDEEAPQNQMDKEQAPPAAALKKGPWTGAEDTLLTNHVLRHGEGNWNAVQRMSGLLRCGKSCRLRWTNHLRPNLKKGSFSPQEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRCKRRQRAGLPVYPPEVQLQLATAAKRCRYDDFSGPLPASSSPQESGSSGNVLSLGLGAADAAAAGSSYTSARPAALDLAMGNSSRPVQFLNAPTMPISAPSTPWSTTPPFQRNASYLKLSSAPVSPTGQAPPVHPVTPELSLGGYGGLPGARLFPPLSPLPGAARAELPSSQLRPAMHQPSPAPAGGQQAASATTAGVPQDQQNGTSFEEILQGLNDAIKSPANGSSVDANGQQQEGVSGGNNESVDELKHDLDTLFGFAVMTPTTPFPTAEPDALAAVVAANNSGSISQHSSDEQDHSIVDLGSSDQDWGLDGTFQWNSMSRIC* >Brasy2G223600.1.p pacid=40069643 transcript=Brasy2G223600.1 locus=Brasy2G223600 ID=Brasy2G223600.1.v1.1 annot-version=v1.1 MRASSALPRGAHAVLVTSGQLRHLDPLLQVPPLLLNNTLIAAFSRAALPRLAFPLLRYILSCAYPFRPDGFTFPSLIRAAPGHASAAQLHACALRLGLVRPSVFTSGSLVHAYLRFGRIAEAYKVFDEMSERDVPAWNAMLSGLCRNARAVEAVGLFGRMVGEGVAGDTVTVSSVLPMCVLLGDQVLALVMHVYAVKHGLDKELFVCNALIDVYGKLGMLEEAQCVFHGMECRDLVTWNSIISGCEQRGQTAAALKMFQGMRGSGVSPDVLTLVSLASAIAQGGDGRSAKSLHCYVMRRGWDVDDIIAGNAIVDMYAKLSNIEAAQRMFDSMPIQDAVSWNTLITGYMQNGLANEAVERYGHMQKHEDLKAIQGTFVSVLPAYSHLGALQQGMRMHALSIKIGLNVDVYVGTCLIDLYAKCGKLAEAMLLFEKMPRRSTGPWNAIISGLGVHGHGAEALSLFSRMQQEKIKPDHVTFVSLLAACSHAGLVDQGRSFFDVMQVTYDIVPIAKHYACMADMLGRAGQLDEAFNFIQNMPIKPDSAVWGALLGACRIHGNVEMGKVASQNLFELDPENVGYYVLMSNMFAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQTEPHPQHEEIQAELRSLLAKIRSIGYVPDYSFVLQDVEDDEKEHILNNHSERLAIAFGIINTPSRTPLHIYKNLRVCGDCHNATKYISQITEREIIVRDSNRFHRFKDGHCSCGDFWWEFYTREWRNFQVAFLWRTRELSIVEGHATDSKSLMDALPAVGVEV* >Brasy2G327900.1.p pacid=40069644 transcript=Brasy2G327900.1 locus=Brasy2G327900 ID=Brasy2G327900.1.v1.1 annot-version=v1.1 MGVAAPPCQPTTTTHVSQPKSSGAAIRPATGAYSMSHSQGFHQQGSSGVYGFSSDGFVDRPGSSSQEHQQEQQQQHVAQQSRRDKLRVQQGFVDPAAGGGGAAHGLLPALDGDEHGGAMYDHAAAAGASNMLAEMFNFSAHQTPPSATELLASQMNSANYRFGFRQPGDGGWFGPAGGRAGGLGLGGPANLGPLGETSSSPKHQQQAAGAAMAGLAATDPAAAMQLFLMNPQQQQQQSRSSPTSPPPSDAQSAIQHHEAFQAFGASNSYGTGSGVVEGHGGQGLSLSLSPSLQQLEMAKQQAEELRVRDGVLYFNRQQQQQQQVVQQLPMALHGDQVGSLAVAGQQLHVGYGPGPAGVAGVLRNSKYTRAAQELLDEFCSVGRGGQTIKTAGRGASASNPNASKGGGGASSSGAGAQSPSSASKMEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVSILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQETKELEGSSAGAGGPESGNNDPSGAGAADDMHSPTAQPQGVLMQHRAGSRYGHQQQEHQHGMAMLSGVHPHKLDPGAVAGPSSDAAAFVGLDPAELLAGGDVVGGGDDLYGRFEAAGVRMRYGPGPGPGPGPAATSGAAAGDVSLTLGLQHAGPGNAGPDGSGRFSLRDYSGC* >Brasy2G327900.2.p pacid=40069645 transcript=Brasy2G327900.2 locus=Brasy2G327900 ID=Brasy2G327900.2.v1.1 annot-version=v1.1 MGVAAPPCQPTTTTHVSQPKSSGAAIRPATGAYSMSHSQGFHQQGSSGVYGFSSDGFVDRPGSSSQEHQQEQQQQHVAQQSRRDKLRVQQGFVDPAAGGGGAAHGLLPALDGDEHGGAMYDHAAAAGASNMLAEMFNFSAHQTPPSATELLASQMNSANYRFGFRQPGDGGWFGPAGGRAGGLGLGGPANLGPLGETSSSPKHQQQAAGAAMAGLAATDPAAAMQLFLMNPQQQQQQSRSSPTSPPPSDAQSAIQHHEAFQAFGASNSYGTGSGVVEGHGGQGLSLSLSPSLQQLEMAKQQAEELRVRDGVLYFNRQQQQQQQVVQQLPMALHGDQVGSLAVAGQQLHVGYGPGPAGVAGVLRNSKYTRAAQELLDEFCSVGRGGQTIKTAGRGASASNPNASKGGGGASSSGAGAQSPSSASKMEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVSILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVCKVQFLICMIYMQ* >Brasy2G401300.1.p pacid=40069646 transcript=Brasy2G401300.1 locus=Brasy2G401300 ID=Brasy2G401300.1.v1.1 annot-version=v1.1 MAETPPPSPAPARGVLPDFLQSVKLKYVKLGYHYLITHGAYLAALAPLPGLLLASHLSTFTMADLASLWDTMVASQQHNLGSLIACTTLLVSLCTAYALTRPRPVYLVDFACYKPAEERKCSRARFMSCTESLGTFTPENVDFQRRTVLRSGLGDETYLPEAVLNVPPNPSMANARKEAETVMFGALDDLLAKTGVRPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGVVAIDLARDLLKVRRNTYAVVISMENITLNWYFGNDRSKLLPNCLFRMGGAAVLLSNRGSARRRAKYQLVHTVRTHRGADDTAFRCVYQEQDADGKTGVSLSKDLMHIAGDALKTNITTLGPLVLPLSEQLLFFLTLAARRVPWAWGKRVRPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLTEAQMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRTVNPARENMVGNPWADEIHRFPVPVLIPDVSSSSSPPPSSSSSS* >Brasy2G358300.1.p pacid=40069647 transcript=Brasy2G358300.1 locus=Brasy2G358300 ID=Brasy2G358300.1.v1.1 annot-version=v1.1 MVTLDGPTSIRRDISSCMHARACVGQLIVVAPGRPDTYALYMVRDPDVILARRWQYGRTQHLRAPPIAKCAPLFTRPRIYIVPWRACVPLPTGRARCVGDLSHAR* >Brasy2G331700.1.p pacid=40069648 transcript=Brasy2G331700.1 locus=Brasy2G331700 ID=Brasy2G331700.1.v1.1 annot-version=v1.1 MAMATALRKLSSNALRGQPLSRLTPLYSMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFNLDPEKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGTNKQGKEIMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTQEYRAYQEQVMSNCARFAQSLTSKGYDIVSGGTDNHLVLVNLKNKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDSAVNLALKVKAATTGGTKLKDFVATLQSDSSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN* >Brasy2G331700.2.p pacid=40069649 transcript=Brasy2G331700.2 locus=Brasy2G331700 ID=Brasy2G331700.2.v1.1 annot-version=v1.1 MAMATALRKLSSNALRGQPLSRLTPLYSMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFNLDPEKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGTNKQGKEIMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTQEYRAYQEQVMSNCARFAQSLTSKGYDIVSGGTDNHLVLVNLKNKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDSAVNLALKVKAATTGGTKLKDFVATLQSDSSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN* >Brasy2G437500.1.p pacid=40069650 transcript=Brasy2G437500.1 locus=Brasy2G437500 ID=Brasy2G437500.1.v1.1 annot-version=v1.1 MSMDHITVNQLHDVLHGVSDRYTVRRFIKKMVQDGYLSSTDTPMGKRVIHSEATKRKQNDIRRILDSSEGRQAAVDRNEKHVIFKPKGHKSENRRRLQDARSCTEGDPKETLKNTMELSLDGTEKDVLRNFKKLVKNERRWFYIKGVRRDASAIFRRRKEVYTTPERGTLYVTVSYGSKSVTFVIEAKSGWLRGYLLNDVAYEMLNPREKSYMPHPKHQYLPFQGNHEYLCGGDPGGMTLGVDKLRTNLMSIVKSGGNPDPKDVGVFVLYLCEAPKLPFVFTELCKSFGDTNVSRLEQSAAWINQLIQSWSHFSEVIMNYLNNLHELQKKLHEGLNPPMLSNKHSVPGMDSIDKYMEKVRILHLNACNKGLFEHNRVEHDVWEKQEICEAKQPEIDGNQTKFKNIKRRNKEAEGLPVSKGIVTGQRFGLNHSRGPFTRKMSTGLTYQGMEKQLTPAQPRCQSNKLQVAIQQICRFFRSKTKSNKTNDPLAFSSTQQLLCARVMNSNAHLDMRPHLQLHEQSER* >Brasy2G234700.1.p pacid=40069651 transcript=Brasy2G234700.1 locus=Brasy2G234700 ID=Brasy2G234700.1.v1.1 annot-version=v1.1 MRSARAAPLTPAVPGAQHARRNAPLARPPRRRTPARAAAQPRTRCWPLRKTGEAGKQQRRRRRPQPRGGAPEQAFNLLSKLIPWTHTASQEGRGLGDGKWRPDWEKKKRPEAKGKK* >Brasy2G013700.1.p pacid=40069652 transcript=Brasy2G013700.1 locus=Brasy2G013700 ID=Brasy2G013700.1.v1.1 annot-version=v1.1 MASSASSVSAAAALFLCLLLAFHGVSCAAKAKHGSKKTHPKAHAPSPLPAAPPAAIVPPPVGTNASSSNSSSPGAGSDDGWMDARATWYGAPNGAGPDDNGGACGFKDVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCLSRMHPACSGVPETVIITDMNYYPVSRFHFDLSGTAFGAMAKDQRNDELRHAGIIDMQFKRVPCQYPGLTVTFHVEHGSNPYYMAILVEYENGDGDVDQVDIMESTSDGGEPTGQWVPMKESWGSIWRMDTRRPMHGPFSLRITNESGQTLVADQVIPADWEPNAIYSSIIQFD* >Brasy2G389800.1.p pacid=40069653 transcript=Brasy2G389800.1 locus=Brasy2G389800 ID=Brasy2G389800.1.v1.1 annot-version=v1.1 MFGYRKAPDLEAGGSEALYPGMVESPDLRWALIRKIYVILSVQLAMTAAVAAFVVKVPAVSEFFVSSNSGVALYIFLLILPLIVLCPLHYYHQKHPVNLLLLGLFTVSISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFGSLMVLIAFSFIQIFFPLGKLSVMIYGGVASLIFCGYIIYDTDNIIKRYSYDEYIWAAVSLYLDVINLFLSLLQLLRAADS* >Brasy2G329000.1.p pacid=40069654 transcript=Brasy2G329000.1 locus=Brasy2G329000 ID=Brasy2G329000.1.v1.1 annot-version=v1.1 MSNCINSGINKVYVLTQFNSASLNRHLSRAYNFSNGVGFGDGFVEVLAATQRPGLEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVIILSGDHLYRMDYMDFVQSHRQRDAGISICCLPIDDSRASDFGLMKIDDTGRVISFSEKPKGDDLKAMQVDTTVLGLSKEEAEEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPAAAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDASKPMYTSRRNLPPSMISSSKITDSIISHGCFLDNCRVEHSVVGVRSRIGSNVHLKDTVMLGADFYETDVERSDQLAEGKVPIGIGENTTIQNCIIDKNARIGKNVTIANSEGVQESDRTSEGFHIRSGITVVLKNSVIADGLVI* >Brasy2G146800.1.p pacid=40069655 transcript=Brasy2G146800.1 locus=Brasy2G146800 ID=Brasy2G146800.1.v1.1 annot-version=v1.1 MAGVFHRHLLLLVAVTVAALLPRAASVRPFVLVLSGDDFLKDASGAHPSLPSADADADDWDDFADDSPATDPLLSPSSWVPLLDPTTPSPSGDEPDSPSDALFVAGARAMISAVSSGDAAAFSTASAQIEAAAAGGHPGAQSALAFLSGAGMTRPVSRSRAFLLHKFAADAGDLQSKMALAYAYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAVAMYKLGLLYYYGLRGLRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLILAAKQQQYSAYNGLGYLYVKGYGVETKNVTKAKEYFEIAAENKEHGGYYNLGVLYLKGIGVKRDVMTACNYFLRAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATVLYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGEENICMGESGFCTDTERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDSAAKLPVMLALTSLWIRKNYAGSFAVHFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVVADNPQQPDGAPN* >Brasy2G146800.2.p pacid=40069656 transcript=Brasy2G146800.2 locus=Brasy2G146800 ID=Brasy2G146800.2.v1.1 annot-version=v1.1 MRLACFGGTSSLTSLTSAFSGSKEHMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAVAMYKLGLLYYYGLRGLRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLILAAKQQQYSAYNGLGYLYVKGYGVETKNVTKAKEYFEIAAENKEHGGYYNLGVLYLKGIGVKRDVMTACNYFLRAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATVLYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGEENICMGESGFCTDTERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDSAAKLPVMLALTSLWIRKNYAGSFAVHFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVVADNPQQPDGAPN* >Brasy2G442100.1.p pacid=40069657 transcript=Brasy2G442100.1 locus=Brasy2G442100 ID=Brasy2G442100.1.v1.1 annot-version=v1.1 MGPCRENYSLHQPTYGGETPTTQSLPASARSASPRCSSSPDGALGERERGCSSRAGSIARSRRAARHLVRHRSGSAICNEVVAAASVPFFRAPAANTTSGAGSMLRNLQEGYRARFAGQSVRRCLHSDAKAASLRGEFDSSFAERVIHNFERQPSLHSNPSALSDYVKAVVRLEQQRVFANPASRGGYRQLTKDGGASVILGTASEPLHTVKVERGRFRKQLWLTFRALAPTCLLIYGLYWIADVWDREEIRSFDVSEKEVRKGSKSTSTRFSDVKGVDEAKSELEDIVQYLRDPKRFTRLGGRLPRGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSDFDEMYFGLGAKRVRNLFAAAKKRSPCILFIDEIDAIAGSRKQEDPAWLRHTLNQLLVELDGFTKDDGVIVIAATNFAESLDKALVRPGRFDRRIDITNPDVEGRRQILEAYMSKVLKAKGLDLTTIAKGTPGFSGAELANLVNDAALKAAKDGAKVVEMRHVEYAKDRIMMGSERRSLVVPDERRRMTAYHEGGHALVAIYTDGADPIHKVTIVPRGSALGMVAQLPSCEREYGASRKMMLAQLDIMMGGRVAQELIFGESGVMTSASDDLSKATQLATDMVTKYGMSGRVGLASYDNVDGNGGAEKTATDMSAQKKALVDEEVKELLDKAYNNAKTILTAHSKDLHALANALLKHETLTGDQIKKLVSGGGWFF* >Brasy2G442100.2.p pacid=40069658 transcript=Brasy2G442100.2 locus=Brasy2G442100 ID=Brasy2G442100.2.v1.1 annot-version=v1.1 MSSLTAIAPLWFFEFESCQLGGCLFVAARHLVRHRSGSAICNEVVAAASVPFFRAPAANTTSGAGSMLRNLQEGYRARFAGQSVRRCLHSDAKAASLRGEFDSSFAERVIHNFERQPSLHSNPSALSDYVKAVVRLEQQRVFANPASRGGYRQLTKDGGASVILGTASEPLHTVKVERGRFRKQLWLTFRALAPTCLLIYGLYWIADVWDREEIRSFDVSEKEVRKGSKSTSTRFSDVKGVDEAKSELEDIVQYLRDPKRFTRLGGRLPRGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSDFDEMYFGLGAKRVRNLFAAAKKRSPCILFIDEIDAIAGSRKQEDPAWLRHTLNQLLVELDGFTKDDGVIVIAATNFAESLDKALVRPGRFDRRIDITNPDVEGRRQILEAYMSKVLKAKGLDLTTIAKGTPGFSGAELANLVNDAALKAAKDGAKVVEMRHVEYAKDRIMMGSERRSLVVPDERRRMTAYHEGGHALVAIYTDGADPIHKVTIVPRGSALGMVAQLPSCEREYGASRKMMLAQLDIMMGGRVAQELIFGESGVMTSASDDLSKATQLATDMVTKYGMSGRVGLASYDNVDGNGGAEKTATDMSAQKKALVDEEVKELLDKAYNNAKTILTAHSKDLHALANALLKHETLTGDQIKKLVSGGGWFF* >Brasy2G442100.3.p pacid=40069659 transcript=Brasy2G442100.3 locus=Brasy2G442100 ID=Brasy2G442100.3.v1.1 annot-version=v1.1 MLRNLQEGYRARFAGQSVRRCLHSDAKAASLRGEFDSSFAERVIHNFERQPSLHSNPSALSDYVKAVVRLEQQRVFANPASRGGYRQLTKDGGASVILGTASEPLHTVKVERGRFRKQLWLTFRALAPTCLLIYGLYWIADVWDREEIRSFDVSEKEVRKGSKSTSTRFSDVKGVDEAKSELEDIVQYLRDPKRFTRLGGRLPRGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSDFDEMYFGLGAKRVRNLFAAAKKRSPCILFIDEIDAIAGSRKQEDPAWLRHTLNQLLVELDGFTKDDGVIVIAATNFAESLDKALVRPGRFDRRIDITNPDVEGRRQILEAYMSKVLKAKGLDLTTIAKGTPGFSGAELANLVNDAALKAAKDGAKVVEMRHVEYAKDRIMMGSERRSLVVPDERRRMTAYHEGGHALVAIYTDGADPIHKVTIVPRGSALGMVAQLPSCEREYGASRKMMLAQLDIMMGGRVAQELIFGESGVMTSASDDLSKATQLATDMVTKYGMSGRVGLASYDNVDGNGGAEKTATDMSAQKKALVDEEVKELLDKAYNNAKTILTAHSKDLHALANALLKHETLTGDQIKKLVSGGGWFF* >Brasy2G355400.1.p pacid=40069660 transcript=Brasy2G355400.1 locus=Brasy2G355400 ID=Brasy2G355400.1.v1.1 annot-version=v1.1 MSGLQAEDVLPGAAAKVVRWATGLEKKLDREIQGWDEFLSGIVAEHKEKKKKRDGDDAGSEEEEEDFLDVLLRLRDEGTAGFELTDDRIKCIAKDMIAAGTETSSVTLEWAMVELMRNPGTMAKLQDEIARVADGKPAIEEKDLAKMEYLKAVVKEVFRLHPPAPLLIPRESTMAAVVQGYEIPAKTALFINAWAIGRDPAAWGDAAEEFRPERFMAGGGDSASVDVKGNDYGLIPFGAGRRICPGISFAMPALEMALASLVRSFDWELEGPLDDMRETPGLTTPPMPPLRLVPKCKTL* >Brasy2G497000.1.p pacid=40069661 transcript=Brasy2G497000.1 locus=Brasy2G497000 ID=Brasy2G497000.1.v1.1 annot-version=v1.1 MGASLSLVPLVDYFARREFLAAGLRPNTSTLPYPDGGPSASCTVHYWAPPGEPRLPPLLLIHGFGPRATWQWRCQVGPLSRHFHLIVPDLLGFGGSSYDSAAPPSEATQAAALAALLDSLFPGLNSKGGGDSSKKRVAVAGTSYGGFVAYWLARAAGEARVGPVVIASSDLLKTAADDRGFLKRAGEGWGGVHELLLPAEPAAMRRLMEMAVYRPPPALMTPDFVLRDFIQKLFTDNREQLIHLFKGITVGTDKFQVTPLSQEVLVVWGEHDQLFPVEKAFAIQRSLDGKARVEIIKKTSHTPQIEDPARFNKILLDFLQAADAPADPACIKGSSQ* >Brasy2G349400.1.p pacid=40069662 transcript=Brasy2G349400.1 locus=Brasy2G349400 ID=Brasy2G349400.1.v1.1 annot-version=v1.1 MKLHTTTTTMALLLFLLLASSFLQVSMAGSAFCDSKCSFRCSKASRHDDCLKYCGICCAECNCVPSGTSGNKDECPCYRDKTTGKGSRRRSKCP* >Brasy2G284400.1.p pacid=40069663 transcript=Brasy2G284400.1 locus=Brasy2G284400 ID=Brasy2G284400.1.v1.1 annot-version=v1.1 MEHGDRRNGAHEAALRAVQRAPAKPWRGAPGGGSLPPAPPKVYRVEPRDFRELVQRLTGAGTAAPLPAGQQLMHAPPPAHAAAAAPEQFDYNSWFYAPLLSPASMAMPPGTHGGHHGSLL* >Brasy2G010900.1.p pacid=40069664 transcript=Brasy2G010900.1 locus=Brasy2G010900 ID=Brasy2G010900.1.v1.1 annot-version=v1.1 MENRPPASSVEDTTDAALPRQTLTVEQHKQLRAQTFVHADLVNGKPLKWDHMIWAFGQPAKGQRHIWEDPWRAAVDRWQHQRSPSTGLETPNSCRIDSCATERCCKDTAVCKTTVSEPHSSKSHPNTLQHDGGQEATQGEQYSSHDEPVGGCEHAQEDICCAAVDRLPNPTSPMAGLETPTSSRIDSCMTGQGRKDTAVCKTMVSEPRTSSLSKKKTGKKIKDIQKSCPNSPCDGNEDGAKQSSKKNITGNEDGAKRSSKKNITGNEDGAKRSSKKNITGSTVIGTSHVHCSDDGFSGLRLGTRDKHINSLVEDLTEQEICAICGKDGQPQKCSTCFLAIHLSCFAPSVRFSDCGGCPVCFYNKATEALEKAQKTQNEASKNLSAFCAQLLAKRHSEQSTKRQQRAANSAVDLNGCGTSRMKPNQQSEAANISRKHEGLGQQKTTVTSDAFPEEVATEKTSFCPNSENAEAHEENSNSNSSHEVPHSSHYRCNPIANHNIGADRENSLMNSHNCEIYGERGTTSGRNMGRRKVRFEEKETVVSNSYKKALGCQDQLMPSPSSRRYYAHPPEKALGCQDQFMPSPSSRRHYAYPPEHYSNLRTPARSDIASSGRRSFASTARRTRVIWTEAEEAALREAVAKFAPKDKRQISWIQIHDYGRDVFHQARRPEDLMRKWDYMRKSEALKNAGFRV* >Brasy2G266000.1.p pacid=40069665 transcript=Brasy2G266000.1 locus=Brasy2G266000 ID=Brasy2G266000.1.v1.1 annot-version=v1.1 MLLRRHRFPCSGSSSLLARAKYGTQAAAATGEMGREGAAASSSLARAAAAAHAARGRRQRTRAAARQKSCGCWTWGDDGRGWERRAQRASSGVYFFFVRKRGTGVVSVKEQSVFFKQV* >Brasy2G217800.1.p pacid=40069666 transcript=Brasy2G217800.1 locus=Brasy2G217800 ID=Brasy2G217800.1.v1.1 annot-version=v1.1 MCLLFKLQRYHLNLHSVLASGLLVITEAGFPQRQLRHYSASKTGLELLIRITLATLMILMMVVMLRGEELLIQGNGVWFCT* >Brasy2G023000.1.p pacid=40069667 transcript=Brasy2G023000.1 locus=Brasy2G023000 ID=Brasy2G023000.1.v1.1 annot-version=v1.1 MEEATATAAAREGTSSSRPDDGDFFDSQQRRTGGEDDDGSEARAGKSGGGGVEYTASEIADRFVDVIDGKAPIDSVKGAVGKFGGILDWKERRQQVEEELDKVQREAAEYQRRSQEAEAGKAEAAQELMGATGEIDDLWLSVKRAQIAEAQARKDSELAKLRLRKTEKGARERAAARAELDAVRDRHAAALADLRSVRAELDALRAERDAAAGDADAARTRAKETTGEAAEAGQALREASAELAALREELDSARAAHDAAEERRMRAALAWQEDKVQWQTEIEQGEMEARRLRDELVAAGDLEAKVAAASEQLASLRAELFARAVQGAGEEQQETPGMGKTKEEVEEVKASLEKAKDEARILRVAAASLRSDLEKEKSELAALRRNKEAASSASVPSLEEELGRVTAELAAAQATAGESETTAEQLSEARREAEQAKARAKAAQEEVAGAREDARVARAAVETVEARLEAVKREILAAAAAAEAATASADALQHQHQQPEGEESQGGGGGVVALAAEEYEELSRRARETEEGAGKRVSEAVRQIQEAKDAEVRSLEHLAQVARQRRQALMAATAEAEEAESGKAAAEQELRRWQANHRRSETASPRAGLAEISTFDDRGGGNPHILSPRGGYMHGPRSADMTPAALAAAEEADARQKKNFFPRMVMFLARKRAQTWNGK* >Brasy2G023000.2.p pacid=40069668 transcript=Brasy2G023000.2 locus=Brasy2G023000 ID=Brasy2G023000.2.v1.1 annot-version=v1.1 MEEATATAAAREGTSSSRPDDGDFFDSQQRRTGGEDDDGSEARAGKSGGGGVEYTASEIADRFVDVIDGKAPIDSVKGAVGKFGGILDWKERRQQVEEELDKVQREAAEYQRRSQEAEAGKAEAAQELMGATGEIDDLWLSVKRAQIAEAQARKDSELAKLRLRKTEKGARERAAARAELDAVRDRHAAALADLRSVRAELDALRAERDAAAGDADAARTRAKETTGEAAEAGQALREASAELAALREELDSARAAHDAAEERRMRAALAWQEDKVQWQTEIEQGEMEARRLRDELVAAGDLEAKVAAASEQLASLRAELFARAVQGAGEEQQETPGMGKTKEEVEEVKASLEKAKDEARILRVAAASLRSDLEKEKSELAALRRNKEAASSASVPSLEEELGRVTAELAAAQATAGESETTAEQLSEARREAEQAKARAKAAQEEVAGAREDARVARAAVETVEARLEAVKREILAAAAAAEAATASADALQHQHQQPEGEESQGGGGGVVALAAEEYEELSRRARETEEGAGKRVSEAVRQIQEAKDAEVRSLEHLAQVARQRRQALMAATAEAEEAESGKAAAEQELRRWQANHRRSETASPRAGLAEISTFDDRGGGNPHILSPRGGYMHGPRSADMTPAALAAAEEADARQKKNFFPRMVMFLARKRAQTWNGK* >Brasy2G007300.1.p pacid=40069669 transcript=Brasy2G007300.1 locus=Brasy2G007300 ID=Brasy2G007300.1.v1.1 annot-version=v1.1 MVEGAKPRISCRGVYAQKMPTMAKTLSYASTIILTHHQHQHPCCHYYFCPLPPPLLSILPSPFPFARAFTIPFILSKQPNHKPKKPKQRKTTKETQAEQAERKQDRGTAMAAADLGFEATELRLGLPGGGGEEARSSSVKRGFAETIDLKLKLEPAGEEPAAAAEEVEVEAEAPELEEKKEADGGKVKRSPSQSSVVTAAAMADPAEKPRAPKAQVVGWPPVRSFRKNILQAEKSSSSSPAAFVKVSMDGAPYLRKVDLNMYKTYQELSMALHKMFSSTIGNCGSQGMNGMNESKLMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS* >Brasy2G075300.1.p pacid=40069670 transcript=Brasy2G075300.1 locus=Brasy2G075300 ID=Brasy2G075300.1.v1.1 annot-version=v1.1 MASPSSSLCSSLGYPRAASFGHRRRVVFSSTRKVFQVKASSRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLIVDALRPVTDLPLDVHLMIVEPEQRIPDFVKAGADIVSVHCEQTATIHLHRTIDQIKSLGAKAGVVLNPGTPLSAIEYVLESVDLVLIMSVNPGFGGQSFIESQVKKIADLRKLCEEKGVNPWIEVDGGVSPKNAYKVIEAGANALVAGSAVFGAKDYAEAISGIKTSQRPVAVPA* >Brasy2G148600.1.p pacid=40069671 transcript=Brasy2G148600.1 locus=Brasy2G148600 ID=Brasy2G148600.1.v1.1 annot-version=v1.1 MAVAGSNSCPAPSASTRRIPNARFSPRSHPRRVNFGAHKRQRRPVKLVIRLLAFLLPRRPRPPLPISSSPWPATGKTATGSASMRPRRWRGPVTPRRRTAASPTAGFSAWAACPCHRRRRERTGERGSRIISSATSRRWSRRCRRGTATPAAPGRPSSRRSTAGESAIFRTRRCGTTFAGRRAFWQQPGRSLDVVLAHIAAGNDPRLDVGAHPPPAPAGRHRYLGGIRPKPEPEEEAEQLRLLGYTPSDAEVQAWLDYEAAKEAAQKETPVKQEPSVIVLNDDDDDNGFNFDTSDSEGDSWIDHLYD* >Brasy2G103900.1.p pacid=40069672 transcript=Brasy2G103900.1 locus=Brasy2G103900 ID=Brasy2G103900.1.v1.1 annot-version=v1.1 VPDPYLPRSSAAALLSPDPTPPPRPPVPGSNAAAAPSRPRLQRRRRALLSPDPTPPPRPPVPGSDDTAGSTLATSSHLPGAQRPSRRLSPRLLLPLQRPEGPAAAPSKATSRSCSSPWRLRDAVSSARSLATVSGGSGLRPRQRPYLRRGTTAAARPCATLPGRACLIQLGPCWPLSHSSVG* >Brasy2G442900.1.p pacid=40069673 transcript=Brasy2G442900.1 locus=Brasy2G442900 ID=Brasy2G442900.1.v1.1 annot-version=v1.1 MYVSSTFPPLYSLAQCMPDESITDDCWNCLDNINVKFSARSFTGQQGVRVLGVRCQFRYETYQFYQGPPMLNIRWSGADLDLVLPPTPARRPSSSSPPIEKPKTPMSKVLVIATVVPLVTMLLCFTTCFGWNRRHRKGKTSFHDKVNMNAQGDVLVWGLEGRNSEFSFFDFSQVSNATNNFSDEGKLGQGGFGPVYKGQFPDGLEVAIKRLASHSGQGFLEFQNEVKLIAKLQHTNLVRLLGCCTQGEEKILIYEYLPNKSLDFFIFDDTRKALLNWNKRLAIIEGIAQGILYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLAKIFGSNDIEGTTRRIAGTYGYMAPEYASEGIFSTKSDVFSFGVLILEIVSGTKNSGFHQFGNFFNLLGYAWKLWKEERWLALIDASLVSEIHAVEMMRCVNIALLCVEENAVDRPTMSDVVFMLSSDNMTLPDPKHPAYFHVTATMDEGSKVDAEPASVNVVSISVLDGR* >Brasy2G486300.1.p pacid=40069674 transcript=Brasy2G486300.1 locus=Brasy2G486300 ID=Brasy2G486300.1.v1.1 annot-version=v1.1 MAMAMDAAAAEQQYRSSSSSASSPAARRYFFPKPGRPISFEDSPDWGDDNIVDDSSIHLASVSVASSAYPSPSPSLPEPSASAANFRERKVAGAALVWKELTVSVRDRRRYSGRVVRGSSGYALPGTLTVIMGPARSGKSTLLRALAGRLHGAERMYGEVFVNGVKSHLPYGSYGYVDRDDVLIESLTVREMLHYSALLQLPGVCSSKKSVVDDAIAAMSLGDYADKLIGGHFFSKRLPTGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSAESASVESMIAKLTEKEGPYLKSRGRASDITRIGILTWRSLLIMSRDWKYFWSRLVLYMLLALSIGTIFIDMGHSLSSVMVRVSAIFVFVSFVILLSVCGVPAHIDEIKIYSHEDANRHSGTLVFLLGHFLSGMPFLFLVSISSSLVFYFLIGLRNEFNFLMYFVITIFICLLANEALMMIVAYIWLETYKCTLTLICLYVIMMLVAGYFRIRDALPSPVWNYPLSYISFHTYAVQGLVENEYTGTSFPVGATRTIPGVQAVRGSYGISSSANAKWMNLLILFLMAIGYRVILYILLLLDVRRRQHASLGKKRCCWPCVLHGNSSSAAK* >Brasy2G486300.2.p pacid=40069675 transcript=Brasy2G486300.2 locus=Brasy2G486300 ID=Brasy2G486300.2.v1.1 annot-version=v1.1 MLHYSALLQLPGVCSSKKSVVDDAIAAMSLGDYADKLIGGHFFSKRLPTGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSAESASVESMIAKLTEKEGPYLKSRGRASDITRIGILTWRSLLIMSRDWKYFWSRLVLYMLLALSIGTIFIDMGHSLSSVMVRVSAIFVFVSFVILLSVCGVPAHIDEIKIYSHEDANRHSGTLVFLLGHFLSGMPFLFLVSISSSLVFYFLIGLRNEFNFLMYFVITIFICLLANEALMMIVAYIWLETYKCTLTLICLYVIMMLVAGYFRIRDALPSPVWNYPLSYISFHTYAVQGLVENEYTGTSFPVGATRTIPGVQAVRGSYGISSSANAKWMNLLILFLMAIGYRVILYILLLLDVRRRQHASLGKKRCCWPCVLHGNSSSAAK* >Brasy2G460300.1.p pacid=40069676 transcript=Brasy2G460300.1 locus=Brasy2G460300 ID=Brasy2G460300.1.v1.1 annot-version=v1.1 MDRDATPCGIGPDKLLFPKLINFNEGVLLNKYCGIWPLNSFPHKLMFLRLCMRRSISGGIWPESLFKPRLRNSSIVMFPREIGMVFERLFPLRLRYLRRCSLPSSAGMEPVRLV* >Brasy2G195000.1.p pacid=40069677 transcript=Brasy2G195000.1 locus=Brasy2G195000 ID=Brasy2G195000.1.v1.1 annot-version=v1.1 MMRQRSMRRAGNFVARCSGSDLSGDVSLLPLLVARSPSSLFSRCMYQNNRILLAQYWSSKSKR* >Brasy2G058500.1.p pacid=40069678 transcript=Brasy2G058500.1 locus=Brasy2G058500 ID=Brasy2G058500.1.v1.1 annot-version=v1.1 MSFMVRRFVHLIVNDLRGIFTLRNIDVEPLFTGVASAKRLRAASPPRPAAFFESKDPYDHRQFFLLGTKIVSVNHHRHTILYDTSNSAVRAGPDMRHGKYIQPAGAAVRGKLYLANTTPSCEVLRFDGERKDWSWDPFHSPPFIDGPSCNGDMITSYAAGDDRHIWISALNNGTYTFDTRTGEWCKEGDWLLPLRDRVQYIPEFGLYFGFSERSSELCSADLFVGMKNLEAPAYQNVWDDVDGHLNEGWRLARSFLTYLGCGKFCVTRFYDTMPDLDTAFRNCDAAVLTAVEARRDSGTGEGLQMIRGASRCFRFNVNTVYGWAL* >Brasy2G390600.1.p pacid=40069679 transcript=Brasy2G390600.1 locus=Brasy2G390600 ID=Brasy2G390600.1.v1.1 annot-version=v1.1 MERVFSVEEIPDPFWASQPPASRDSNAGPAAPGGAGGGVEGSGAMNRCTSEWYFQKFLEEAVLDSPVGGNPSPRAVASGGVGVGMSGGEAAEVKKQPAPAPAAAAAATSAVVDPVEFNAMLKQKLEKDLAAVAMWRATGVMPPERFAASSSLPNADVSHIGTINPIGGNVAPVQNQLVGGTSGEQGPHFVQSVDALVKQAASSSSREQSDDDDMEEDEITGNANPTDQRLRRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNGAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMSALFPPGSDMSSLSMPFTGSPSDATSDAAVPDDLNNYFSTNSDVGGSNGYMPEMASSAQEDDDFINGALAPGKMGRAESLQRVASLEHLQKRMCGGPGPASSGSTS* >Brasy2G380800.1.p pacid=40069680 transcript=Brasy2G380800.1 locus=Brasy2G380800 ID=Brasy2G380800.1.v1.1 annot-version=v1.1 MASNRRLHDDLLLLVAALLLSASSATAADFGYCKKGRHYPVQVSSVEIVPEPIVRGEPATFKISASTDKSITKGKLVIDVTYFFFHVHSETHDFCAGTPCPATGEFVLAQEQTLPSFTPPGSYTLEMKLLGDKNEVLTCISFGFSIGFISPVAIS* >Brasy2G202700.1.p pacid=40069681 transcript=Brasy2G202700.1 locus=Brasy2G202700 ID=Brasy2G202700.1.v1.1 annot-version=v1.1 MQPVPRAAARTSLPLPCALVANGLRMSRAAGRVVVSGAPTTAAVLRAQAQRDGRADPPVPVVVARRDDGVELAEEDKDGDFLAPEEELELLEEEAMCGADGERRSPTDYDRRARIFQESSRVFRDLKHRRDGHGPGDAAARHG* >Brasy2G004900.1.p pacid=40069682 transcript=Brasy2G004900.1 locus=Brasy2G004900 ID=Brasy2G004900.1.v1.1 annot-version=v1.1 MADDAGRAAALKDQGNEQFKTGGYLKAAALYTQAIKLDPDNPTLYSNRAAAFLQLVKLNKALADAEMTIKLKPQWEKGYFRKGCVLEAMEQYEEAISAFQTALQHNPQNTEVSRKIKRLTQLAREQKRALDVENMRSNIDIGKNLESLKTELAAKCGDAGVRQNIFSFVINVIESAIKAWHEAGKVDAKVNFILDDQKTDTEKYAPVVNIDKAFESPHTHSSCFEYLRQYSEDSFSKVACMVAPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPFLRKIWFVPSTTEKGRTLCRSPEPLDITIHEILPRIFKETETA* >Brasy2G264700.1.p pacid=40069683 transcript=Brasy2G264700.1 locus=Brasy2G264700 ID=Brasy2G264700.1.v1.1 annot-version=v1.1 MAALVAICCFLLLSMAPTAMGATDHVVGGSIWSIPPSSGHYHAWAKNRTFFVGDNLVFRFDLGMYNVVQVGSGEYEYCTWEDPYNTFDDAPAIVNLDFPGVRYFICTVGNYCALALKIYVTVQKPY* >Brasy2G485200.1.p pacid=40069684 transcript=Brasy2G485200.1 locus=Brasy2G485200 ID=Brasy2G485200.1.v1.1 annot-version=v1.1 MNKSRKEEEEGGSRIEQIPEECLGKAMWMTSPADACRAAAVSAAFRRAADSDAVWEHFLPPDCDAILERADHLVDFSSKKELFLDLAQEHILLDGGKMSFGLQLSNGAKCYMLSVSAMEIAWGGTDLYWRQRSNPDSSRFSKVAELLSVCWLSIFGLFNSKELTPRTHYAGYLVFKLAHAASGLSSPRQICFVQVDGQLVGRVRTASFHHCSHGTSARARGGRRRHGQVPAGTNGRLAGAGDGRLPHGRRRQRRGSHGSA* >Brasy2G485200.2.p pacid=40069685 transcript=Brasy2G485200.2 locus=Brasy2G485200 ID=Brasy2G485200.2.v1.1 annot-version=v1.1 MNKSRKEEEEGGSRIEQIPEECLGKAMWMTSPADACRAAAVSAAFRRAADSDAVWEHFLPPDCDAILERADHLVDFSSKKELFLDLAQEHILLDGGKMSFGLQLSNGAKCYMLSVSAMEIAWGGTDLYWRQRSNPDSRFSKVAELLSVCWLSIFGLFNSKELTPRTHYAGYLVFKLAHAASGLSSPRQICFVQVDGQLVGRVRTASFHHCSHGTSARARGGRRRHGQVPAGTNGRLAGAGDGRLPHGRRRQRRGSHGSA* >Brasy2G485200.3.p pacid=40069686 transcript=Brasy2G485200.3 locus=Brasy2G485200 ID=Brasy2G485200.3.v1.1 annot-version=v1.1 MNKSRKEEEEGGSRIEQIPEECLGKAMWMTSPADACRAAAVSAAFRRAADSDAVWEHFLPPDCDAILERADHLVDFSSKKELFLDLAQEHILLDGGKMSFGLQLSNGAKCYMLSVSAMEIAWGGTDLYWRQRSNPDSRFSKVAELLSVCWLSIFGLFNSKELTPRTHYAGYLVFKLAHAASGLSSPRQICFVQVDGQLVGRVRTASFHHCSHGTSARARGGRRRHGQVPAGTNGRLAGAGDGRLPHGRRRQRRGSHGSA* >Brasy2G485200.4.p pacid=40069687 transcript=Brasy2G485200.4 locus=Brasy2G485200 ID=Brasy2G485200.4.v1.1 annot-version=v1.1 MNKSRKEEEEGGSRIEQIPEECLGKAMWMTSPADACRAAAVSAAFRRAADSDAVWEHFLPPDCDAILERADHLVDFSSKKELFLDLAQEHILLDGGKMLSNGAKCYMLSVSAMEIAWGGTDLYWRQRSNPDSRFSKVAELLSVCWLSIFGLFNSKELTPRTHYAGYLVFKLAHAASGLSSPRQICFVQVDGQLVGRVRTASFHHCSHGTSARARGGRRRHGQVPAGTNGRLAGAGDGRLPHGRRRQRRGSHGSA* >Brasy2G485200.5.p pacid=40069688 transcript=Brasy2G485200.5 locus=Brasy2G485200 ID=Brasy2G485200.5.v1.1 annot-version=v1.1 MNKSRKEEEEGGSRIEQIPEECLGKAMWMTSPADACRAAAVSAAFRRAADSDAVWEHFLPPDCDAILERADHLVDFSSKKELFLDLAQEHILLDGGKMLSNGAKCYMLSVSAMEIAWGGTDLYWRQRSNPDSRFSKVAELLSVCWLSIFGLFNSKELTPRTHYAGYLVFKLAHAASGLSSPRQICFVQVDGQLVGRVRTASFHHCSHGTSARARGGRRRHGQVPAGTNGRLAGAGDGRLPHGRRRQRRGSHGSA* >Brasy2G207300.1.p pacid=40069689 transcript=Brasy2G207300.1 locus=Brasy2G207300 ID=Brasy2G207300.1.v1.1 annot-version=v1.1 MTCTCTTEYAFANLNKGLCPCHFVSATFPIPIPLAKPELAGVFSRGISEQRVPAHWVPEREAQKNMGRGRQQRRRRGVSVEVYWLISRRRTIHRCLASFYVYACIVKHVW* >Brasy2G388900.1.p pacid=40069690 transcript=Brasy2G388900.1 locus=Brasy2G388900 ID=Brasy2G388900.1.v1.1 annot-version=v1.1 MSGDGARITSGSASTATHSSSVKELTARERARWRRRGQRVRLVAGAARGCGDSARRGAARQGLGQWRRSAARTAVAGPAGGGYSARLGHHGGTRRGEAAARVRPAGAARRRRRQLGRAAAPAPGRGSSGRGGGGQHTAAAGAGQCLGDWGRGGDGAQGGDGARGGGSAQEKSVARGDGRTNVRSL* >Brasy2G042000.1.p pacid=40069691 transcript=Brasy2G042000.1 locus=Brasy2G042000 ID=Brasy2G042000.1.v1.1 annot-version=v1.1 MSDVSCGWDFLEGLGPDLTASVFCLLDHPADLVRAAAASRSWRRCVVESGLCKSLCLKMCPEVAVFTSAVEMSGYPPPPPPAAESSGDAARVTRSLESQFRIYSYLCGALVHSTKADADCILHYVCASSTDNYHEIMENTLEPQDQIDHQPSYWSSRGQDDPDVPEALTYRLISNICVISEIKLQPFKAFFQIGFPIYSPKMVRFRFGHCNFPRGTEPFFIEVDENEVVIPDENFVWTYTSPEFPVLQENILQSFRLPRQVLCIGGVKKLLSVCCLNSKTCKYRMDVCHAQVVGRSLSPVFMVDIPDAGSYAVLKYMPGLRNLYGAEDVKLEDVRDPSAWHSLVARYRQRRHSAVMHLIRMEQGMQPVQLMGEDAGVLDDDPFE* >Brasy2G042000.2.p pacid=40069692 transcript=Brasy2G042000.2 locus=Brasy2G042000 ID=Brasy2G042000.2.v1.1 annot-version=v1.1 MSDVSCGWDFLEGLGPDLTASVFCLLDHPADLVRAAAASRSWRRCVVESGLCKSLCLKMCPEVAVFTSAVEMSGYPPPPPPAAESSGDAARVTRSLESQFRIYSYLCGALVHSTKADADCILHYVCASSTDNYHEIMENTLEPQDQIDHQPSYWSSRGQDDPDVPEALTYRLISNICVISEIKLQPFKAFFQIGFPIYSPKMVRFRFGHCNFPRGTEPFFIEVDENEVVIPDENFVWTYTSPEFPVLQENILQSFRLPRQVLCIGGVVKIEPSRR* >Brasy2G042000.3.p pacid=40069693 transcript=Brasy2G042000.3 locus=Brasy2G042000 ID=Brasy2G042000.3.v1.1 annot-version=v1.1 MSDVSCGWDFLEGLGPDLTASVFCLLDHPADLVRAAAASRSWRRCVVESGLCKSLCLKMCPEVAVFTSAVEMSGYPPPPPPAAESSGDAARVTRSLESQFRIYSYLCGALVHSTKADADCILHYVCASSTDNYHEIMENTLEPQDQIDHQPSYWSSRGQDDPDVPEALTYRLISNICVISEIKLQPFKVDSILSDRLSHLLSKDGTVSVWPLQFSSWNRTIFH* >Brasy2G042000.4.p pacid=40069694 transcript=Brasy2G042000.4 locus=Brasy2G042000 ID=Brasy2G042000.4.v1.1 annot-version=v1.1 MCPEVAVFTSAVEMSGYPPPPPPAAESSGDAARVTRSLESQFRIYSYLCGALVHSTKADADCILHYVCASSTDNYHEIMENTLEPQDQIDHQPSYWSSRGQDDPDVPEALTYRLISNICVISEIKLQPFKAFFQIGFPIYSPKMVRFRFGHCNFPRGTEPFFIEVDENEVVIPDENFVWTYTSPEFPVLQENILQSFRLPRQVLCIGGVVKIEPSRR* >Brasy2G227900.1.p pacid=40069695 transcript=Brasy2G227900.1 locus=Brasy2G227900 ID=Brasy2G227900.1.v1.1 annot-version=v1.1 MAAAAAGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVFKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLYWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQDEEEALVAPEYGAPPVDNWGDLPALSAAPTGAEWGSAVPAPVAGEGWDAPSVPLPADAIPFPADASVPAPATGWEEGSAPAATGWQ* >Brasy2G384200.1.p pacid=40069696 transcript=Brasy2G384200.1 locus=Brasy2G384200 ID=Brasy2G384200.1.v1.1 annot-version=v1.1 MAAIGSHFLFIFSALLLLASLPVTTQLQPSQIWSLLKVQQLLNRPPMLRHWRRSTDFCGGGGGTVAPSAVAAVVCYGDTVTQLHIASGAAGGAPPLPRNFSMAALVTTLSRLPDLTVLTLTGVGLWGPIPRALSRLASLEILNMSGNYLYGPIPLALSRLGALQTLILDNNMIGGDLPGWIGGTTLPSLAVLSLRNNSLSGPVPEELGGMPSLRSLALASNNLSGDLPDLRGLDNLREIDVSGNSLGPAFPRLGRKVASVVLGRNRFAGGLPAAELGEFYLLEWLDVSRNRFVGPLPAALLSLPSLRYLNAAGNRFTGALSAEVPCGDNLRLLDLSLNLLTGSVPACLRPGGKTETVVMSSENCFDKGDGSQQHPSSFCQNQALAVGIGGPQNKEGKRASRHAGFVAGIVIAVLVAVSLVGVMAFFAVRKMAMDGAKTRPSAALVEEQHASSAYPSKLFADARYISQTVKLGALGIPSYRSFSLVELEAATNNFANSCLLGQDSYGEMYLGKLSNGAPVTIRSLKVKRNQSSQSFNRHIETISRLRHRHLVSALGHCFVYDLDDSTVTQLYLVFEYVHNGNLRSRISQGTEGRKLTWGQRISSAIGVAKGIQFLHAGIIPGLFGNNLRINNILLDQNHVAKIGSYNIPILGEAAKTEGVAGSKHQADSTILGDKIDIFDFGVILLELVSGKPITSIYEVEIMKELLLWAMAEEDRARRRSFVDPAMSKGCSEESLRTVMEICLRCLAKEAAHRPSIEDVLWNLQFATQVQDDWEGEIRSGGEGSPASSSSRVNNRSSRFSR* >Brasy2G194100.1.p pacid=40069697 transcript=Brasy2G194100.1 locus=Brasy2G194100 ID=Brasy2G194100.1.v1.1 annot-version=v1.1 MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDVTFPALPCSLVAIDTMDVSGEQHYDIRHDIFKKRIDHLGNVIESRKDGVGSPKIERPLQNHGGRLDHNEAYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNVESIDQCKREGFVQRLKDEQGEGCNIHGFVDVNKVAGNFHFAPGKHLDQSFNFLQDMLNFQPENYNISHKINKLSFGKEFPGVVNPLDGVEWKQEQTTGLTGMYQYFVKVVPTIYTDIRGRKIHSNQFSVTEHFREAIGFPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG* >Brasy2G191100.1.p pacid=40069698 transcript=Brasy2G191100.1 locus=Brasy2G191100 ID=Brasy2G191100.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTAAGDAAAKKPKEKERPPRMSNAYWVADCTRRRVENATRRGWERKAKERNADLARQMEALRVAASSQMAGMTAPRPPTGQHWSSGGQGSSSSSPSPSGISPVSPHMPHENHGNATPSLSRFLPDYPDTDPLGGFNPNTFAVDPLGGFNAFASPPLQRGPLYYGGSSASASFQQFPAGCSQPAPNPFSGMSQSDSIMGKKKAAAKKKKAGGGGRGPKWTSKEDECLAEAWKVVSMGPFAGANQTGDTYWRRVKTAYDERRDIDREFAMLTHDHNESGFSHRWGMIQQACNKWHGIQEEVRRRPQSGNSAHDQMVAMFTAFREDNDGVDFKFIHVFTRIETCDKWTETRNGISKSGAYDPTAAPPAAAEGRPISHKKAKVMRDAVPATERLYTCIEKCMSDAAAQAAKREELAAKREEVAASRWVTVIKKQDDKLEILKANGAAKKRREDLVKAWYDSQRRLILAETRAPASAPAIAAPATSTPSVPSPPETATTATSTPPAGSEVPSTPADDEGAE* >Brasy2G128700.1.p pacid=40069699 transcript=Brasy2G128700.1 locus=Brasy2G128700 ID=Brasy2G128700.1.v1.1 annot-version=v1.1 MLLNSTVELMTLWASASPSLLAFFLSHAIIAVLLLGGRGCAPGVVTGGTGERITGGSEAETPPDAAERKRSKRNRGGEDEPVAATATAIGGCSFALEVHDRAEETCVEAGEADAASLQTQAREKSSGGDDMPAAAAADASSSREKCSDGEEDELLMRAEEFIRRMNKVWMAENVRVC* >Brasy2G141600.1.p pacid=40069700 transcript=Brasy2G141600.1 locus=Brasy2G141600 ID=Brasy2G141600.1.v1.1 annot-version=v1.1 MSSALAQHEKAAHSVPRETVAGAVASLTKWMRARAAEAAPNLLTDERDDLVVLQLSLRRVPAKPTTKPHLLPLPHPVVAHSSASICVISDDRAGSGNPAASAILDAARSLNLPVSEVIPFSALRTDYRAFESRRRFAASYDLFLADRALLPMLPRILGKAFYSTKKAPIAVNLARAGWPEQVSKVLNSTFLYLRTGTCSGIKVGRLDMEETEIVDNVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPEIGMKIEVPVGQLEGGVGSGEVIDAAEVETGRKRKDKKMKALKNADANDGAEVVKEETVKYKRKRNKKEQSGDVVMEEVQRPTEKRSKRESAPPVDVSADEGLKVLKKGKDKKRALEKEVEDASLKEGKGKKSEHALKEAGSKKRRCKEGCKHTHDKEEKKSKGKKSSGDEMKKRTRARV* >Brasy2G294100.1.p pacid=40069701 transcript=Brasy2G294100.1 locus=Brasy2G294100 ID=Brasy2G294100.1.v1.1 annot-version=v1.1 MAAASLLESTRFLSLPPPRSLPPRRGLHLLSPSSPLPARGRAPRLRAHLTPRLPPVAEPATARPPHPLLDALKKSLSDTLAALKKPALALLLAGALLAAAGPHHAALAASGGRVGGSAFSSRSSRSSPSYGYTAPAPRGGYSAAPFYSPSPFVSVGPAVGIGFGGSGFLLTLVGFAAFLYLAGFLSDSSGGGSVLTETQKTTVLKLQVGLLGMARSFQKDLDQIAEKADTSTPSGLSYVLTETTLALLRHPDCCISAYSTVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPTINSSSDLKTALQKLGAVPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL* >Brasy2G231400.1.p pacid=40069702 transcript=Brasy2G231400.1 locus=Brasy2G231400 ID=Brasy2G231400.1.v1.1 annot-version=v1.1 MDLSTLEKRPEPRICTEELVPDRRTLLDLSIFEQEPRKKITGSTTAASLETPAKGPLLQGLDLPNDSGIVIDCQPGEKLKQELWRRWRLPITVPASSRPDFFMVASFGRCKFQYWISYCKIVFLSCSNFVVYFLLWGFGGPDYIRELEAWKTEEFQQWETPKKVQSPMKAKRSYAQAVANGSSILTGANSIPIVRQSAFLRLDPMDNVARPLSPWNSAYEVDLAEAGYSEEEIQLCRQHVEKANIPRKDTIPVSTVFERLKFPATSPAPATEKTRFESCNGHPFNVGSNSSGFHSGVNTQSNTAQADPAQAAALQAGNKEVNTRPKPTCNRYLKMGHSEDRCWNAIHCRYCSKPGHIFRFCKIRQADMVSDIYSKTSGKSTKSGEISGTFFAPNFRNPSSSAAPPPPKTPFACALPAEQRAPLSPMANFPVDPAPFVPGLFDIIEVVGRPQQGRYHIRGSIEKTNEDVAIITIDPPPNPNAPFTNTRGIINHFISEHLQLRVETIQRCPLGHAYIRLQSAADRDWLVHHSPLQHNGATFSFSEHNRGRNWCGFTYNQEVWLMLLGFHLDLWSAEHLANAISDWGKMVIWDRSQSNMARIIVKVKVADLTMIPYSLLLSHSADFQGDTWAVPIYILSSTLLGVIPPDEEDPPENGATPHSLPGLPFHQDHGHNIHHAPVIPDLNMEVENWGPWDHQVAAANAQNAHNAIDAANAAVAANEDDPEIMQWIANLPPEIPNDQVAAEAVDDDSGITLTLSSNAQVAAASEGSVNGHGLGNGVEEVNGLENAYGQDHQGIQDMAGFDANQAAQVLAMNNMLVGRVQVPEFNHSVEEFPMLNGPHLTKPHLEKLTSSVEGNEAWSKFFCPERRNRPVISIPGPWLDFFTTALISPNSFTWARKVLLSNMLSAKAAEVSQGFSSPQAPKTCGFLPANASTSALRVRRSRKAPLVVTEVRRSERLSKKAEGYKHSSCVDKHCLACSSKAPIISKKIVKNLSERFGLKKVSNDDASKEEKNDRKGKKTHK* >Brasy2G287300.1.p pacid=40069703 transcript=Brasy2G287300.1 locus=Brasy2G287300 ID=Brasy2G287300.1.v1.1 annot-version=v1.1 MAPSPRAMRSLLSLVLLLVVAANADERFNDCQSNTNYTRGGVFHANLDALLSSLPAAAAASSGLAKNTTGATPDEAYGLAQCRADLNASECRVCLDGLVRDMASTCPGLKNAMLIYETCLLRPT* >Brasy2G060600.1.p pacid=40069704 transcript=Brasy2G060600.1 locus=Brasy2G060600 ID=Brasy2G060600.1.v1.1 annot-version=v1.1 MPISRVAVGSHHEVYQAGALKAAVAEFISTLIFVFAGQGSGMAFNKLNAGGAATPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGNITLFRGLLYWVAQLLGSAAACFLLRFSTGGLPTGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSIGTIAPLAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWEWGYQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPSTDY* >Brasy2G322200.1.p pacid=40069705 transcript=Brasy2G322200.1 locus=Brasy2G322200 ID=Brasy2G322200.1.v1.1 annot-version=v1.1 MVSGGCVGAEGEAGPAAAAAVAVAVAVEEAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGIQFVAIDQKLPLPDQGPFDVVLHKLTGKEWQRRLEEYRETHPEVTVLDPPGAIEHLLNRQSMLQEVSKLDLTDCHGKVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAANADDADLDPHIAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLDQKEYKRRPSYTSGEG* >Brasy2G322200.3.p pacid=40069706 transcript=Brasy2G322200.3 locus=Brasy2G322200 ID=Brasy2G322200.3.v1.1 annot-version=v1.1 MNIGRHIQKLLFLIHQVPLNICSIANLCFKKFLNWTSRIVMLHFAGKVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAANADDADLDPHIAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLDQKEYKRRPSYTSGEG* >Brasy2G322200.2.p pacid=40069707 transcript=Brasy2G322200.2 locus=Brasy2G322200 ID=Brasy2G322200.2.v1.1 annot-version=v1.1 MFNEYRETHPEVTVLDPPGAIEHLLNRQSMLQEVSKLDLTDCHGKVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAANADDADLDPHIAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLDQKEYKRRPSYTSGEG* >Brasy2G451700.1.p pacid=40069708 transcript=Brasy2G451700.1 locus=Brasy2G451700 ID=Brasy2G451700.1.v1.1 annot-version=v1.1 MRRRSALLLLSLLGAAAAMAAAEPAPSTLSGPSRPVTVSLQEDRGHAADLPDTDPRVQRRVNGWAPEQIAVAPSASPTSAWVSWVTGEYQIGDAVKPLDPATINSVVRYGLAADSLTHTATGAAMVYSQLYPFEGLLNYTSGIIHHVRLHGLKPSTKYYYQCGDPAAAGGMSAVHAFRTLPASYPARIAIVGDLGLTYNTTSTVEHMVSNDPDMVLLVGDVSYANMYLTNGTGADCYSCAFGKKTPIHETYQPRWDYWGRYMEPVTSRVPMAVVEGNHEIEQQAGNRTFAAYSARFAFPSEESGSGTPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDLAKVNRSVTPWLIAGWHAPWYTTYKAHYREVECMRVAMEDLLYSHGLDVVFTGHVHAYERSNRVYNYTLDPCGPVHISVGDGGNREKMAVGHADEPGRCPDPRKTPEKFMGGFCAFNFTSGPAKGKFCWDRQPEYSAYRESSFGHGILQVMNGTHALWQWHRNQDVYNSVGDEIFIVREPHRCLRNCSKAGEPSSTMMISRPAG* >Brasy2G090100.1.p pacid=40069709 transcript=Brasy2G090100.1 locus=Brasy2G090100 ID=Brasy2G090100.1.v1.1 annot-version=v1.1 MGLAEAGGGAGEGRGAPAGGGAKSSFAAAPDGAVWADCSADGADEGGGRALGHDGEVAGGLHRAESKDRTTTKLLIRLQNGVSVEAVVMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASLYSQIRNVVFMGMGEPLNNYTAVVEAIHVLTGPPFQLSPKRITVSTVGIIHSINKFNSDLPNIKLAVSLHAPDQDIRCQIMPAARAFPLGKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQSVKKFQKVLRGVYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI* >Brasy2G110900.1.p pacid=40069710 transcript=Brasy2G110900.1 locus=Brasy2G110900 ID=Brasy2G110900.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYTIYGLNRITDTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNQLSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy2G495300.1.p pacid=40069711 transcript=Brasy2G495300.1 locus=Brasy2G495300 ID=Brasy2G495300.1.v1.1 annot-version=v1.1 MRNSHSVSDHPSLSSKVTSATADAAKCSNRPESTPSGDATGDAPPLSRKKINWPAGDASAAAAGKGKDMLMEDKVHSTLLSVHCFGDVNINKGDGVEPANEVDRPTMDTDVEPIGGAQGSFMDIDIEPIGEAQGSCVTKHEHAFVADNCHDVNMCMDKYNPLASQNCLRSEESALTQEMKPNIPSLAMEIKDPLDHREKPATPDTLELNMCDVSEENMRYLFTFRPAADYVEDFSPYDEQELTNMHRRLALSRIKDYKLLSTGEKLDIAEVAAQYPPDNSLEWYFHPAYTGIAGLDDYQRLVLRDDGSYLYWDSYCNYCYTYERDQQYVQYCEETMDKIKWIEDKVGVEEQLWWRYDTVAFNEAMKIAIKYLLCSDIQLLAPTEYKLIIEHRFKSRMDFDVVFLEIWKRVAKNNEDFYDALKEMYEKDMFPSRMNFIKMEIEKRYDCWMKRDYDTYVARIDKEVSEDDRLFLIREALKKIFPELKGYLHYVRKKMEIAERIGLAGYQKREDMTKAAIAN* >Brasy2G368400.1.p pacid=40069712 transcript=Brasy2G368400.1 locus=Brasy2G368400 ID=Brasy2G368400.1.v1.1 annot-version=v1.1 MNFETAVFLIFLATFPHSGSSRCRAPPTPSLRRSSSSSRECRHPSLPIPLPSIPAPSLRRSSSSSCERRHQNKKGFWPAMAFPAPAAVFLDENLPIHRGKRVDGLNAKPLKPSAKPSARKALWDVSNTGKPPVPNISNGTALKDRSVLKKKSTLRSQEAIKKNPVKKATIFADDATKKCHEWAKDGVESTHFTGNDAQKLDDDKLDKRVKKKVEKIMSALHDWPDVIFDPVVFPAKEHNASSNFSADEPAHAADQDAFGGFFNEIATGAITFSSLLMSSQMPATE* >Brasy2G334300.1.p pacid=40069713 transcript=Brasy2G334300.1 locus=Brasy2G334300 ID=Brasy2G334300.1.v1.1 annot-version=v1.1 MDFAELEAVEGLRWPWHSWPTTPSAAAALVVPTAVLCTPLHPTAPDLFPILPYPPLRCASPACAAALNPFSRVNHASARWSCSFCGSTANPFPRHLSPDSLPAELFPTHSSVEYTLPPDPAEGVGAPPAIVFVVDAATAGAELAALKAEVLRVVQGLPERVRVALVTFAASVWVHDLGFEGCSRVVVFNGDRELESDKIQQLLGVRQSRYKKLATPMAIEVQRFLLPVSECEFNITSAIEDMNSMSSCPRGHRPLRATGAAISTAIALLEGCCSPNTGGRIMVFTSGPTTVGPGLVVESDLGKAIRSHRDIFNGNVPLIEKAQDFYKKVAKRLTDNSLVLDLLACSLDQVGAAELRYPVEVSGGLMVLTESFESEQFKSCLRQAFKREGIDYLNMNFGATIEIVTSREVKICGALGPCISLHRKNRSVSEKEIGEGGTNSWKTSTLNSKTCIAFFFRVDCRHDTEPPTVFFIQFMTRYQHGDGSYRLRVTTVARRWAGPRSPEIVTGFDQEAAAAVMARLAVHRAETYHVRDVIQWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVVNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLTDRFPVPRLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDVSLQVFIEHLQELAVQG* >Brasy2G334300.2.p pacid=40069714 transcript=Brasy2G334300.2 locus=Brasy2G334300 ID=Brasy2G334300.2.v1.1 annot-version=v1.1 MDFAELEAVEGLRWPWHSWPTTPSAAAALVVPTAVLCTPLHPTAPDLFPILPYPPLRCASPACAAALNPFSRVNHASARWSCSFCGSTANPFPRHLSPDSLPAELFPTHSSVEYTLPPDPAEGVGAPPAIVFVVDAATAGAELAALKAEVLRVVQGLPERVRVALVTFAASVWVHDLGFEGCSRVVVFNGDRELESDKIQQLLGVRQSRYKKLATPMAIEVQRFLLPVSECEFNITSAIEDMNSMSSCPRGHRPLRATGAAISTAIALLEGCCSPNTGGRIMVFTSGPTTVGPGLVVESDLGKAIRSHRDIFNGNVPLIEKAQDFYKKVAKRLTDNSLVLDLLACSLDQVGAAELRYPVEVSGGLMVLTESFESEQFKSCLRQAFKREGIDYLNMNFGATIEIVTSREVKICGALGPCISLHRKNRSVSEKEIGEGGTNSWKTSTLNSKTCIAFFFRVDCRHDTEPPTVFFIQFMTRYQHGDGSYRLRVTTVARRWAGPRSPEIVTGFDQEAAAAVMARLAVHRAETYHVRDVIQWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVVNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLTDRFPVPRLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDVSLQVFIEHLQELAVQG* >Brasy2G334300.3.p pacid=40069715 transcript=Brasy2G334300.3 locus=Brasy2G334300 ID=Brasy2G334300.3.v1.1 annot-version=v1.1 MDFAELEAVEGLRWPWHSWPTTPSAAAALVVPTAVLCTPLHPTAPDLFPILPYPPLRCASPACAAALNPFSRVNHASARWSCSFCGSTANPFPRHLSPDSLPAELFPTHSSVEYTLPPDPAEGVGAPPAIVFVVDAATAGAELAALKAEVLRVVQGLPERVRVALVTFAASVWVHDLGFEGCSRVVVFNGDRELESDKIQQLLGVRQSRYKKLATPMAIEVQRFLLPVSECEFNITSAIEDMNSMSSCPRGHRPLRATGAAISTAIALLEGCCSPNTGGRIMVFTSGPTTVGPGLVVESDLGKAIRSHRDIFNGNVPLIEKAQDFYKKVAKRLTDNSLVLDLLACSLDQVGAAELRYPVEVSGGLMVLTESFESEQFKSCLRQAFKREGIDYLNMNFGATIEIVTSREVKICGALGPCISLHRKNRSVSEKEIGEGGTNSWKTSTLNSKTCIAFFFRVDCRHDTEPPTVFFIQFMTRYQHGDGSYRLRVTTVARRWAGPRSPEIVTGFDQEAAAAVMARLAVHRAETYHVRDVIQWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVVNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDWRKLGYHKDPNHENLRKLLEAPEVDAEALLTDRFPVPRLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDVSLQVFIEHLQELAVQG* >Brasy2G334300.4.p pacid=40069716 transcript=Brasy2G334300.4 locus=Brasy2G334300 ID=Brasy2G334300.4.v1.1 annot-version=v1.1 MDFAELEAVEGLRWPWHSWPTTPSAAAALVVPTAVLCTPLHPTAPDLFPILPYPPLRCASPACAAALNPFSRVNHASARWSCSFCGSTANPFPRHLSPDSLPAELFPTHSSVEYTLPPDPAEGVGAPPAIVFVVDAATAGAELAALKAEVLRVVQGLPERVRVALVTFAASVWVHDLGFEGCSRVVVFNGDRELESDKIQQLLGVRQSRYKKLATPMAIEVQRFLLPVSECEFNITSAIEDMNSMSSCPRGHRPLRATGAAISTAIALLEGCCSPNTGGRIMVFTSGPTTVGPGLVVESDLGKAIRSHRDIFNGNVPLIEKAQDFYKKVAKRLTDNSLVLDLLACSLDQVGAAELRYPVEVSGGLMVLTESFESEQFKSCLRQAFKREGIDYLNMNFGATIEIVTSREVKICGALGPCISLHRKNRSVSEKEIGEGGTNSWKTSTLNSKTCIAFFFRVDCRHDTEPPTVFFIQFMTRYQHGDGSYRLRVTTVARRWAGPRSPEIVTGFDQEAAAAVMARLAVHRAETYHVRDFMYYLRRSQFIDVVNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLTDRFPVPRLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDVSLQVFIEHLQELAVQG* >Brasy2G312800.1.p pacid=40069717 transcript=Brasy2G312800.1 locus=Brasy2G312800 ID=Brasy2G312800.1.v1.1 annot-version=v1.1 MASSCAAVAAATVSRCHYRRQHPRSPALPHRSPFQQQPCSLTAGVPWTWTCGARRRVRHEEENEEEYGHNEEMSRLEAYSEGARDQALLVKASVDGEAEVVLFFKGFSSSLSGRTAPDPAMSVLPERAVIQSVDVVKGPFDPTNIEYLEKDLSWDDFKSRLQ* >Brasy2G109700.1.p pacid=40069718 transcript=Brasy2G109700.1 locus=Brasy2G109700 ID=Brasy2G109700.1.v1.1 annot-version=v1.1 MVANILEFHPKRPKHLVRPGSPARNGSRNQLIVPSFQLSGREGQSSVWKRQGGKNRGLVREGIRMQGP* >Brasy2G134200.1.p pacid=40069719 transcript=Brasy2G134200.1 locus=Brasy2G134200 ID=Brasy2G134200.1.v1.1 annot-version=v1.1 MGTGNWLLHAPGLILSFETRIYLAMLENQSLLVLFGVMSLLYMPS* >Brasy2G272800.1.p pacid=40069720 transcript=Brasy2G272800.1 locus=Brasy2G272800 ID=Brasy2G272800.1.v1.1 annot-version=v1.1 MAADLQGSGVPSRVLCLHPGADRWTDVPVRVLPHLQHIVARGCPVARIPIAGGGGHCHVYDFPRMTRYVEAQPTSSVPLAWYDEAGRRYFPPDLDRVPVAASAESCRVSEYGAASIVRMWTLDMAAITGAEISDPSELALRIFRDKEMEMGLNGVKLGWYGASPGDVRMASAGWFRSPNWHLLGAQRAHGRGLHFSPLRFPHLSMAIAEADHSGEAWVLLCRILQGVPMSIHAVSNQSQNMLPGHQSAGSLDNMFNPSWYVVWAEDMNMCVLPMCMVSFMKRPAGPSRGSQVEWSPVDVDLKKLLKEIKRVLLSSQLQDLDNLFDSNMANPYVFFRCVSDLIGVDMYVGAVLKALEC* >Brasy2G272800.2.p pacid=40069721 transcript=Brasy2G272800.2 locus=Brasy2G272800 ID=Brasy2G272800.2.v1.1 annot-version=v1.1 MAADLQGSGVPSRVLCLHPGADRWTDVPVRVLPHLQHIVARGCPVARIPIAGGGGHCHVYDFPRMTRYVEAQPTSSVPLAWYDEAGRRYFPPDLDRVPVAASAESCRVSEYGAASIVRMWTLDMAAITGAEISDPSELALRIFRDKEMEMGLNGVKLGWYGASPGDVRMASAGWFRSPNWHLLGAQRAHGRGLHFSPLRFPHLSMAIAEADHSGEAWVLLCRILQGVPMSIHAVSNQSQNMLPGHQSAGSLDNMFNPSWYVVWAEDMNMCVLPMCMVSFMKRPAGPSRGSQVEWSPVDVDLKKLLKEIKRVLLSSQLQDLDNLFDSNMLWGTIYRTNSLVPFSM* >Brasy2G272800.3.p pacid=40069722 transcript=Brasy2G272800.3 locus=Brasy2G272800 ID=Brasy2G272800.3.v1.1 annot-version=v1.1 MAADLQGSGVPSRVLCLHPGADRWTDVPVRVLPHLQHIVARGCPVARIPIAGGGGHCHVYDFPRMTRYVEAQPTSSVPLAWYDEAGRRYFPPDLDRVPVAASAESCRVSEYGAASIVRMWTLDMAAITGAEISDPSELALRIFRDKEMEMGLNGVKLGWYGASPGDVRMASAGWFRSPNWHLLGAQRAHGRGLHFSPLRFPHLSMAIAEADHSGEAWVLLCRILQGVPMSIHAVSNQSQNMLPGHQSAGSLDNMFNPSWYVVWAEDMNMCVLPMCMVSFMKRPAGPSRGSQVEWSPVDVDLKKLLKEIKRVLLSSQLQDLDNLFDSNMKSE* >Brasy2G442400.1.p pacid=40069723 transcript=Brasy2G442400.1 locus=Brasy2G442400 ID=Brasy2G442400.1.v1.1 annot-version=v1.1 MAWLLAFSKAAGSMLGDLHESYLRSFVGQKVRALHSLPREFNSSDPERIIVQNFERQISTPSALSEYVKALVCVELRNQAEKGQPWKQLWSTFGTIATTVVVVLATLAVVGLVTEDYGESDDLKQVEASYLGTRFSDVMGVDEAKAELEDVVEYLRDSQRFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEEVYTGVGAKRVRELFSAAKKVSPCIIFIDEIDAIGGRRKAEDSTWERHTLNKLLSEMDGFKQNDGIIVIGATNIPESLDKALLRPGRLDRQIHVPMPDLEGRRQILEACLSKVLQADGVNAMTIARGTPGFSGADLANLVNDAALKAAKDGAEAVAMHHIDYAKDRITMGSERKSATIPYKCSKNTAYHEGGHALVAIHTDGADPIEKATIVPRGNALGMVTQLPEEGEEYQVSRKKMLATLDVLMGGLVAEELILGESEVTSGASSDLSKATQLAKEMVTKYGMSGRIGPVSYDYDNRGKAAVMSERTQALVDEEVKELLDKAYKNAKTILTEHNKELHALAKALLEHKTLTGDQIMKLVSSVGQVDGSGDSQQNPETPQQQSQETP* >Brasy2G442400.2.p pacid=40069724 transcript=Brasy2G442400.2 locus=Brasy2G442400 ID=Brasy2G442400.2.v1.1 annot-version=v1.1 MLGDLHESYLRSFVGQKVRALHSLPREFNSSDPERIIVQNFERQISTPSALSEYVKALVCVELRNQAEKGQPWKQLWSTFGTIATTVVVVLATLAVVGLVTEDYGESDDLKQVEASYLGTRFSDVMGVDEAKAELEDVVEYLRDSQRFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEEVYTGVGAKRVRELFSAAKKVSPCIIFIDEIDAIGGRRKAEDSTWERHTLNKLLSEMDGFKQNDGIIVIGATNIPESLDKALLRPGRLDRQIHVPMPDLEGRRQILEACLSKVLQADGVNAMTIARGTPGFSGADLANLVNDAALKAAKDGAEAVAMHHIDYAKDRITMGSERKSATIPYKCSKNTAYHEGGHALVAIHTDGADPIEKATIVPRGNALGMVTQLPEEGEEYQVSRKKMLATLDVLMGGLVAEELILGESEVTSGASSDLSKATQLAKEMVTKYGMSGRIGPVSYDYDNRGKAAVMSERTQALVDEEVKELLDKAYKNAKTILTEHNKELHALAKALLEHKTLTGDQIMKLVSSVGQVDGSGDSQQNPETPQQQSQETP* >Brasy2G442400.3.p pacid=40069725 transcript=Brasy2G442400.3 locus=Brasy2G442400 ID=Brasy2G442400.3.v1.1 annot-version=v1.1 MLGDLHESYLRSFVGQKVRALHSLPREFNSSDPERIIVQNFERQISTPSALSEYVKALVCVELRNQAEKGQPWKQLWSTFGTIATTVVVVLATLAVVGLVTEDYGESDDLKQVEASYLGTRFSDVMGVDEAKAELEDVVEYLRDSQRFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEEVYTGVGAKRVRELFSAAKKVSPCIIFIDEIDAIGGRRKAEDSTWERHTLNKLLSEMDGFKQNDGIIVIGATNIPESLDKALLRPGRLDRQIHVPMPDLEGRRQILEACLSKVLQADGVNAMTIARGTPGFSGADLANLVNDAALKAAKDGAEAVAMHHIDYAKDRITMGSERKSATIPYKCSKNTAYHEGGHALVAIHTDGADPIEKATIVPRGNALGMVTQLPEEGEEYQVSRKKMLATLDVLMGGLVAEELILGESEVTSGASSDLSKATQLAKEMVTKYGMSGRIGPVSYDYDNRGKAAVMSERTQALVDEEVKELLDKAYKNAKTILTEHNKELHALAKALLEHKTLTGDQIMKLVSSVGQVDGSGDSQQNPETPQQQSQETP* >Brasy2G044100.1.p pacid=40069726 transcript=Brasy2G044100.1 locus=Brasy2G044100 ID=Brasy2G044100.1.v1.1 annot-version=v1.1 MAAHAALAASRIPTGRLQHGKAASKLQRLDLADFSGLRPGSCSVSVAASREASFSDVLGAQLVARASGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGRDNSPLEVVVINDSGGVRNASHLLKYDSMLGTFKADVKIVDNETISVDGKNIQVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEEGYDHDVANIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTAKTGITADDVNAAFRKAAAGPLNGILDVCDEPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGSEVKGSGDPLEDYCKTNPETDECKVYD* >Brasy2G029700.1.p pacid=40069727 transcript=Brasy2G029700.1 locus=Brasy2G029700 ID=Brasy2G029700.1.v1.1 annot-version=v1.1 MRPVFCGNFDYDTRQADLERLFSKHGRIARIDMKSGYAFIYFVDERDAEDAIRRLANADFGYNRRRLSVEWSRQVEPVPKNRDRPTGDAKPTRTLFVINFDPLRTKIQDIERHFEPYGKISNIRIRKNFAFVRYETQEEASVAVKHTDKSSILDRVLTVEYAFRDDDNERDDRYGSPKRGDDRYGSPRRGDDRYVSARRGDDRYVSPRRGDDRYGSPKRAERGRRRGSPYIRSPSPRYRREYSPGYDRRPRNAGYDRPREGAPYGRSRSPVYARYDRGRSPGYGRY* >Brasy2G081000.1.p pacid=40069728 transcript=Brasy2G081000.1 locus=Brasy2G081000 ID=Brasy2G081000.1.v1.1 annot-version=v1.1 MRGATQAEASRALANLLSDPWVAPAVLGRPRAVPCLLQFIFSYQPKRDKKNSRYSSFDGSGHSKGRSMLVAALMDIITSNCDNADYSSFHPLLPADADTRDIAAAIEVIEQGGMHFDDHEDDSSDDGDSGLKGIGIKVLGGTTILGFSRENDSLKMGNSGDDILKVAQNSRVEVSQGSREPVMQEYNMDSPDIERLSSPATPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARSLLEDKNLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENGQSSMKESLAVALSSLYSGEVPLSLEEAQRWSGILLRWLFDKSVSDTTHLTSVKILSSILEDYGPASVPISQGWLTLVLSEILGDNKTQNLKGTAPPQPDRVKNQVDYHNAYTATQVLNQLATAVVKLASIQPDYDSGSSDKVSLSDFLSLEPFATALKNLSKKSPPKFDAVDSALATLKGIKALAELCSEDVACQKRIADLGVLPLLKHILVADDYEKLAAIEAYDASRIREVQDKNVSASNDSSTTATTDPHSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEECASGRVSCNDIKLKSYCRLTLLNVFCSENPNTRNSSGEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNRGRAISRDNCKDDDDCIEDSGSETASSIDGPDVSRCASSSVDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSSDFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLVTAGIGSRPVVFVTHSMGGLVVKQMLHQAKLNNYENFLNNTIGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKSLKLHGKRAES* >Brasy2G081000.2.p pacid=40069729 transcript=Brasy2G081000.2 locus=Brasy2G081000 ID=Brasy2G081000.2.v1.1 annot-version=v1.1 MRGATQAEASRALANLLSDPWVAPAVLGRPRAVPCLLQFIFSYQPKRDKKNSRYSSFDGSGHSKGRSMLVAALMDIITSNCDNADYSSFHPLLPADADTRDIAAAIEVIEQGGMHFDDHEDDSSDDGDSGLKGIGIKVLGGTTILGFSRENDSLKMGNSGDDILKVAQNSRVEVSQGSREPVMQEYNMDSPDIERLSSPATPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARSLLEDKNLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENGQSSMKESLAVALSSLYSGEVPLSLEEAQRWSGILLRWLFDKSVSDTTHLTSVKILSSILEDYGPASVPISQGWLTLVLSEILGDNKTQNLKGTAPPQPDRVKNQVDYHNAYTATQVLNQLATAVVKLASIQPDYDSGSSDKVSLSDFLSLEPFATALKNLSKKSPPKFDAVDSALATLKGIKALAELCSEDVACQKRIADLGVLPLLKHILVADDYEKLAAIEAYDASRIREVQDKNVSASNDSSTTATTDPHSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEECASGRVSCNDIKLKSYCRLTLLNVFCSENPNTRNSSGEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNRGRAISRDNCKDDDDCIEDSGSETASSIDGPDVSRCASSSVDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSSDFPQARFLTVKYKTNLTQWTGASLPLQVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKSLKLHGKRAES* >Brasy2G081000.3.p pacid=40069730 transcript=Brasy2G081000.3 locus=Brasy2G081000 ID=Brasy2G081000.3.v1.1 annot-version=v1.1 MLVAALMDIITSNCDNADYSSFHPLLPADADTRDIAAAIEVIEQGGMHFDDHEDDSSDDGDSGLKGIGIKVLGGTTILGFSRENDSLKMGNSGDDILKVAQNSRVEVSQGSREPVMQEYNMDSPDIERLSSPATPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARSLLEDKNLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENGQSSMKESLAVALSSLYSGEVPLSLEEAQRWSGILLRWLFDKSVSDTTHLTSVKILSSILEDYGPASVPISQGWLTLVLSEILGDNKTQNLKGTAPPQPDRVKNQVDYHNAYTATQVLNQLATAVVKLASIQPDYDSGSSDKVSLSDFLSLEPFATALKNLSKKSPPKFDAVDSALATLKGIKALAELCSEDVACQKRIADLGVLPLLKHILVADDYEKLAAIEAYDASRIREVQDKNVSASNDSSTTATTDPHSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEECASGRVSCNDIKLKSYCRLTLLNVFCSENPNTRNSSGEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNRGRAISRDNCKDDDDCIEDSGSETASSIDGPDVSRCASSSVDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSSDFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLVTAGIGSRPVVFVTHSMGGLVVKQMLHQAKLNNYENFLNNTIGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKSLKLHGKRAES* >Brasy2G081000.4.p pacid=40069731 transcript=Brasy2G081000.4 locus=Brasy2G081000 ID=Brasy2G081000.4.v1.1 annot-version=v1.1 MRGATQAEASRALANLLSDPWVAPAVLGRPRAVPCLLQFIFSYQPKRDKKNSRYSSFDGSGHSKGRSMLVAALMDIITSNCDNADYSSFHPLLPADADTRDIAAAIEVIEQGGMHFDDHEDDSSDDGDSGLKGIGIKVLGGTTILGFSRENDSLKMGNSGDDILKVAQNSRVEVSQGSREPVMQEYNMDSPDIERLSSPATPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARSLLEDKNLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENGQSSMKESLAVALSSLYSGEVPLSLEEAQRWSGILLRWLFDKSVSDTTHLTSVKILSSILEDYGPASVPISQGWLTLVLSEILGDNKTQNLKGTAPPQPDRVKNQVDYHNAYTATQVLNQLATAVVKLASIQPDYDSGSSDKVSLSDFLSLEPFATALKNLSKKSPPKFDAVDSALATLKGIKALAELCSEDVACQKRIADLGVLPLLKHILVADDYEKLAAIEAYDASRIREVQDKNVSASNDSSTTATTDPHSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEECASGRVSCNDIKLKSYCRLTLLNVFCSENPNTRNSSGEYPDSESEYKRKCPQFGDALFLLNPELPLEVHLDNRGRAISRDNCKDDDDCIEDSGSETASSIDGPDVSRCASSSVDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSSDFPQARFLTVKYKTNLTQWTGASLPLQVGVLESCLSGAC* >Brasy2G105500.1.p pacid=40069732 transcript=Brasy2G105500.1 locus=Brasy2G105500 ID=Brasy2G105500.1.v1.1 annot-version=v1.1 MAASGNGNGIGAEEQELPLFQHPSSPCAYYVQSPSAASHTLSSCHPASESTCTALILSPFPDAASRHDQEQEQEASRLALLSRYSSSRGSNNSFLLTDKSTTKPPASSPRNNNNNNRRQVLRVLSAGRESASDEDDEERNRSGAWRYVKLDPEAPCCCIAFQVAWRVAVSLAVALLLFVAATSPSRAPGVSFKVGRIERFSLGEGLDGSGVITTFLDCNCSVEMAVENHSRVFALRLLPDDTLLQMSFGSFAFATSRGGDQQGPVVVGPGAASSVRLFVAARGKPMYAAGRGMQDMLESRDGAVPVAITVRARSRYRVVGSLVRLTYRHDSRCVVYLRRRTPRRDDALAAAGAATCSDATS* >Brasy2G294000.1.p pacid=40069733 transcript=Brasy2G294000.1 locus=Brasy2G294000 ID=Brasy2G294000.1.v1.1 annot-version=v1.1 LRERKPKFQSAWTGLPPDLLGDALSLADRVQHRAKRRRLRIPNDDGGPCYSAGESMFFLHRNDGRCCVVNGSSGAATPLPELAALLGSHGLGHRPFMNMEIRKVVVSLAASSPSPHPLVLVAVLASDSSKSRVFISTCRPAGEINSCVVMREMPTILDIAFFQDKIYALLSMYEELVAIDLSNGCLDKPTPPGMEPELKPEYTSWIWPRNLPDMYRQDLLDNIHAGPENVQRYLVESNGKLLMVRKCFGGETLRFEVFEADLSDGPRRGRWKKADSLDGRALFLATACSRSVRANEGDGARRDCIYILLGYPNPLCDSGVYSVVDKMIIPLMPESAMLRAKLKWDSRRFPAWFFPV* >Brasy2G289400.1.p pacid=40069734 transcript=Brasy2G289400.1 locus=Brasy2G289400 ID=Brasy2G289400.1.v1.1 annot-version=v1.1 MPRRSSGRSAPRPAPRAAPVRNPPQPVRQAPPPAPTQSGGGGILSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHENVVSEAVATPAPMGNTAGSGSCDNPSKAFQDCINHYGSDISKCQFYLDMLNECRRGGAGSTL* >Brasy2G460100.1.p pacid=40069735 transcript=Brasy2G460100.1 locus=Brasy2G460100 ID=Brasy2G460100.1.v1.1 annot-version=v1.1 MAKLLPTLVLQVLFLSFAMAWAQPGNVTGLSFQLVALSQVAPNGNADNGSSFSTEDLRLPISTSSRFIYGVSVSIGTGAGTRQKVLALDAAASTSWVMCKPCRPSPPQVGSLFSPSASPTFHGVHGDDPVCVPPFHRLHTANGCAFAFSSATWYLSRDTFHLGSGGSGAATESISGVVFGCAHSTTGFQNDGTLGGVLSLSPSPLSLPVQLGARHGWRFSYCLPKPTQRNPHAFLRLGADVPSTPPGTHTATLAIHPGPNAPGYYLSLVGISLGNKRLDIDQHFFAAGHGCSINPAETITVIAEPAYLAVEHTLVAHMKDLGSNRVKGPLCFDQINRSVRARLPSMVFHFEYGAELWFTAEQLFEVRGSLTACFLVVGRGHHRTVIGAAQQVNTRFTFDIGAGRLAFTLKSVSDQEATMIRTPGAEVPAVSSTSRCRTLSLSLSLSLSLSLSLSRVRLSPGKFAPVVCFRHREPRRRAPPAVDPEDDHVPGNLSGIPEIPNLYFRKAAEQGPEAERSIRQQASLTKPPSSSAMNTKLQNTSGE* >Brasy2G350000.1.p pacid=40069736 transcript=Brasy2G350000.1 locus=Brasy2G350000 ID=Brasy2G350000.1.v1.1 annot-version=v1.1 MWCHGKRSAIFAVAVAAAIVAAAGVRDDLRTYIVHMSHSAKPDGFAEHGDWYASSLQSVSDSAAVLYTYDTLLHGYSARLTPAEAEALEAQPGVLLVNPETRYELHTTRTPEFLGLDGRTDALFPQSGTASDVVVGVLDTGVWPERASYDDAGFGPVPTGWKGKCEEGNDFNASACNKKLIGARFFLTGYEASKGPVDVSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYASGTAKGMAPRARVATYKVCWVGGCFSSDILKGMEVAVADGVDVLSLSLGGGTSDYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAASLTNGAPWITTVGAGTLDRDFPAHVTLGNGKNYTGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMTGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHILPGSGVGEKAGNAMRTYASSDPNPTANIVFAGTKVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSIGPSGIAGDNRRSSFNIISGTSMSCPHVSGLAALLRSAHQDWTPAAIRSALMTTAYTVYPNGNNNGLLDVATGRPATPLDIGAGHVDPSKAVDPGLVYDITAADYVDFLCAINYGPAQVAALAKHSTADRCSANRTYAVPALNYPSFSVTLPAAGGAEKHTRTVTNVGQPGTYKVTASAAAGGTPVSVSVEPSTLSFTKAGEKKSYTVSFAAGGKPSGTSGFGRLVWSSDHHVVASPIVVTWT* >Brasy2G399800.1.p pacid=40069737 transcript=Brasy2G399800.1 locus=Brasy2G399800 ID=Brasy2G399800.1.v1.1 annot-version=v1.1 MQILRRKLLEASGGLSLHLLRSPPPARQLHRQANGVSAARWAAPAGSISATPWAATQRRGAKMLGSDVKLGNVIQRKGHIYQVIKAQHSHQGRGGATIQVELRDVDTGNKIVERFRTDEALERVFVEEKSFTYLYQEGDNVALMEPNTFEQIEVSKDLFGKAAAYLKDEMKVTLQYYDGRAMSGSVPPRVTCTVVEAQPNTKGLTAQPQYKRVLLDNGLTVLAPPFIEAGESIVITTVDDSYVTRA* >Brasy2G482700.1.p pacid=40069738 transcript=Brasy2G482700.1 locus=Brasy2G482700 ID=Brasy2G482700.1.v1.1 annot-version=v1.1 MYPIWAISLIMLHGCADSITAYSLDDIANNQQSTLTYQAFMYIAYAMLLFFSVGTVYTLPLVFMVFIAFVRFAQRLAVYVLAGHSWNMNKMVADYMYEDQRGKQSVFAATMKQCSYLVEWPIRKYKFEPHTYATELTAKDEAFIDIGKLWRCKKKMSLELKDVCLSFSLFHLLRSRRFGFACDEYKASAHNFVFKVLLLSEAKKQDGSTDYDRVFRVIEVELAFMYDFFFTKYAVIYYGSMAATVWSLISAIGISLTAYVTALAPVKISQGGTAVASSVTDDIVITIAILLHRLLCLNSFNCWFTGQSLREEARSERRASQGKRKRTWWGSCCIMGLKGFLAKIDVCLASCIMGLKELLSTIGLSLSCASSKHSWQHKLGQYSLLDSVISPHKPNPLSSIRAVLVRNRLTVIVQRVVYLRDHAFAHQTNAQASMARQKAGKPVELPDEVKAAVISSLERSDGTLSNGRSSLLHNGADDLSWACKWEMHQDAGWSQRKQNQTHIILTWHIATRYCEMVKFSSAKAEEEQKVRFSIATKLSRYCAYLVVSAPKLLPGHHYDTVRKFDAAAAEATTLLHAAVAEAEAEAAKAATEAGDSKYYDAMKKIELTEETKTIFKSGVKLGRQLEVMETRWKVMADFWAEMLLYLAPSENFKEHIEQLAQGGEFVTHLWALLSHAGILQRPEPEQRGLQV* >Brasy2G280500.1.p pacid=40069739 transcript=Brasy2G280500.1 locus=Brasy2G280500 ID=Brasy2G280500.1.v1.1 annot-version=v1.1 MGSKPKKLPRKKINGATSSRDRGAAALTPVDRRSRTEHPFSFLILPAVKEERRSGRTERKSWLEAKQSRPPSSSSSSFILPPAAAADRKGNLGLKQNNQGALEGRGGGARHRGADPAERALRGPPRRGHALCPVPRQARRRLRGPCLAEQNSMSRIYCVTSVKIRQFFPSLGPKWSFCQGEGQGVRSKRLNNPNS* >Brasy2G023400.1.p pacid=40069740 transcript=Brasy2G023400.1 locus=Brasy2G023400 ID=Brasy2G023400.1.v1.1 annot-version=v1.1 MGVHAAPALPPPPPPPGGGGRWEADHGGVAAESPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTADLQMFAHKTLRIPLPGRHPPAAPHPPPPSSSPNARDWTTRRPPKNAALDPFLKPPRSTVSPSMSLLQGYYGLAPTPKMDPADEGVEMAIAIKGQHRKARSISTGFNLENGDASRETDDAEKPIRRRQKADLELSAREDSTGGLLPRAGQGLALRPKSGNRQDTNSSQQDLVATGLVPSYADGLLAVRKSSSTPEFQDSDNSIASVWLRSKWNLKPDAFSIPLPILLLDSIQKPFFDSIPKPIAAWRSKAARD* >Brasy2G023400.2.p pacid=40069741 transcript=Brasy2G023400.2 locus=Brasy2G023400 ID=Brasy2G023400.2.v1.1 annot-version=v1.1 MGVHAAPALPPPPPPPGGGGRWEADHGGVAAESPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTADLQMFAHKTLRIPLPGRHPPAAPHPPPPSSSPNARDWTTRRPPKNAALDPFLKPPRSTVSPSMSLLQGYYGLAPTPKMDPADEGVEMAIAIKGQHRKARSISTGFNLENGDASRETDDAEKPIRRRQKADLELSAREDSTGGLLPRAGQGLALRPKSGNRQDTNSSQQDLVATGLVPSYADGLLAVRKSSSTPEFQDSDNSIASVWLRSKWNLKPDAFSIPLPILLLDSIQKPFFDSIPKPIAAWRSKAARD* >Brasy2G076000.1.p pacid=40069742 transcript=Brasy2G076000.1 locus=Brasy2G076000 ID=Brasy2G076000.1.v1.1 annot-version=v1.1 MMPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G076000.3.p pacid=40069743 transcript=Brasy2G076000.3 locus=Brasy2G076000 ID=Brasy2G076000.3.v1.1 annot-version=v1.1 MMPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G076000.2.p pacid=40069744 transcript=Brasy2G076000.2 locus=Brasy2G076000 ID=Brasy2G076000.2.v1.1 annot-version=v1.1 MMPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G076000.5.p pacid=40069745 transcript=Brasy2G076000.5 locus=Brasy2G076000 ID=Brasy2G076000.5.v1.1 annot-version=v1.1 MMPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G076000.4.p pacid=40069746 transcript=Brasy2G076000.4 locus=Brasy2G076000 ID=Brasy2G076000.4.v1.1 annot-version=v1.1 MMPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G076000.6.p pacid=40069747 transcript=Brasy2G076000.6 locus=Brasy2G076000 ID=Brasy2G076000.6.v1.1 annot-version=v1.1 MPPSISPGDLVALSGRCSTKRDLRLLHGAILRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQATAIFSIHLPFLSSASSHLTFFYNTLMRGLAASSSPGAAVGLFTAMRAAPDAFTFTFVLKSCSRCPSRRRLPSDLHAQAIKHGCLGELSAHTHVHNALLHAYACRVAVDDACKVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPHQDVVSWTAMISAYAKACRPQEALALFDAMPMQPDEVTMVSIVSACTTLGDLATGERVRRHIDSNGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTMRSLASWNTLISAYASHGDLENTLAVFYQMLAEGKTVKPDGVTLLAVLMAYAYNGCVEEGRTMFNTMQCGDYGKVQLTIEHYGCMVDMLGRAGNLKEAYRIIEQMPIPSNSVVWGALLGACRTHGDIDMAERAVQELRRLNPEEGGYYILLSDMYTSVGRIAEGTEIRHAMNESRVQKTIGQSTTFLPLQ* >Brasy2G164600.1.p pacid=40069748 transcript=Brasy2G164600.1 locus=Brasy2G164600 ID=Brasy2G164600.1.v1.1 annot-version=v1.1 MVPSMEEPLVGSNTENTRGPGDSLVLIEVKKQLYLAGPLIAGSLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLVGMSSSLDTLCGQAFGAKQYHLLGIYKQRAVLVLTLVSVVVAVIWVYTGQILLFFRLDPEIAMGAGSYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSAGVMALSHVLVCWLLVYKLDLGKNGAALANTISYLANLLVLALYVRLSVSCKNTWTGLSTEAFRDILSFLRLAVPSALMVCLEWWSFDLIVLLSGFLPNPKLEASVLSVSLNTVILVSRIPSGLGAAISTRVSNELGAGRPHAARLATHVIMALSIMSGISVGLLMILVRNLWGYAYSKEEEVVHYIAKIMPILAVTFLFDDLQSVLSGIVRGCGFQKIGAFVNLSAYYLVGIPAALCFAFLYHFGGMGLWLGIICGLVVQMLLLLFITFSTNWDKEALKAKNRVFGSSQPADLMT* >Brasy2G033600.1.p pacid=40069749 transcript=Brasy2G033600.1 locus=Brasy2G033600 ID=Brasy2G033600.1.v1.1 annot-version=v1.1 MAARALAGLRGLSSSVRPLVIILAALVISLCLCRLATSQEEEEGEEASASAGQRQRYGFGRFVRHARDAPPVSSHYSYIVVGGGTAGCPLAATLAESATGGGHVLLLERGGYPYGNRNVSSELHFADALADTSPSSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASAEYVRSSGWDARLVNESYKWVERELVFRPDVPRWQCALREGLLQAGVTPDNGYTFDHLPGTKIGGTIFDRTGRRHTAADFLRGAHPRRLTVLLHATVSRILFRRRGAGKPPVAYGVVFRDPAGAQHHAYLRSGGEVILAAGTLGSPQLLMLSGIGPRAHLEKHGVRPVVVDQPLVGQGVADNPMNSVFVPSPSPVALSLVQVVGVTRFGSFIEGVSGSQFGIPLHGASRRRTARSFGMFSPMTGQLGALRPSERTPEAMRRAADAMRRLDRRAFRGGFILEKILGPLSTGHVELRSTDPNANPAVTFNYFRDPKDVERCVRGIETIERVVHSRAFSRFTYANASAMEAAFDRAALANFLNLMPRHPRDDRPLQQYCRDTVMTIWHYHGGCHVGAVVDQEYRVIGVQGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQKEGLIGK* >Brasy2G203500.1.p pacid=40069750 transcript=Brasy2G203500.1 locus=Brasy2G203500 ID=Brasy2G203500.1.v1.1 annot-version=v1.1 MMGPVWCAVVVLLAMTEAAAGRFVVEKNSVRVTSPEALKGKYECAIGNFGVPQYGGTLHGWVVYPKVNRQGCKEFDVSFKDKEHRSGARPNFVLIDRGDCFFTTKAWNAQIAGAAAVLVVDSKDEPLITMDNPEDTGTKHLENITIPSVLITKKLGEDLKKSAENGEMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQVLEQKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFNKGYDGKDVVVQNLHQVCVFKVANDSSKPWLWWDYVHDFAIRCPMKEKKYTHECASHVIKSLGLDIEKIDKCVGDPEADEENPILKAEQDAQIGHGKRGDVTILPTLVVNNRQYRGKLDKGAVLKAICSGFEETTEPTICLSEDVQTNECLENNGGCWLDKDNKVTACRDTFRGRVCECPIVKGVKFVGDGYTNCEASGIGRCEINNGGCWKETKNGKTISACSHEESNGCKCPEGFKGDGVKSCEDIDECKAKTACQCTGCSCENTWGSYECSCAGGDSMLYMREQDTCISKQAVSSVGWSFMWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDSQEGANQQQHVAHAGDI* >Brasy2G111600.1.p pacid=40069751 transcript=Brasy2G111600.1 locus=Brasy2G111600 ID=Brasy2G111600.1.v1.1 annot-version=v1.1 MAFRQTIARSLWAGKNAAAAVPKPPPPVVPSPARRTLPVPAAVSDCPSVQFLWPRPSTARAYSTVSVPLPHHCFPAFPVGDKLFDGLRLNGLITPPSAVAWTTAPDHHQETSSGVTLQEARKVARAAEMELARATLRSNAESVVSGSAYAALCVDMAGGAEAGGRRLASALDDAGVVIVIGDAVFLRPDMVAKAIGSILPGARAAGDDEARRVLREKKELEAMEEEKAAIDAKAAAQVRGELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFFVTSLYFMSGYAFFMRTAAEPSFEGFYRSRFESRRRRLMQDRRFDVARYNALKHGAGSGSAGQRIPDPLLRHVTPSSCSSTSLAASVF* >Brasy2G339900.1.p pacid=40069752 transcript=Brasy2G339900.1 locus=Brasy2G339900 ID=Brasy2G339900.1.v1.1 annot-version=v1.1 MRRGLRRGLGIFLVPLPSPLSRPPPPPIPLAALLLLPRRLDAFSLRFFCSFGGCRAVEQFSDDEYDNEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLVKRMGLYSELYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPFDKDGSKIEMGSEKAETVDLDEKQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMVEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVSERVSTERGENLGESVGYKVRLEGMKGKDTHLLFCTSGILLRRLLSDRNLNGVSHVFVDEIHERGMNEDFLLIVLKDLLSRRQDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERTGYKMTPSNQLDDYGQDKIWKTQRQLLPRKRKNQITTLVEDALQNSNFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISSLKDQLKAHPLLGDPNRVLLLSCHGSMATSEQRLIFEKAPPNVRKVVLATNMAEASITINDIVFVMDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPRAVQNAVEFLKKIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSARDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSGYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDGSTNNSLSHNQSLVRGIICSGLFPGISSVVHRENSMSFKTMDDGQVLVYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSVAGHLKMLDGYIDLFMDPSLSECYLQLKGELDKLVQHKLEDPTFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLQDNDDGKSNIVKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKRNKQIAERDAAIEALGWLTQTSGSKLQDEGDDSPLDLTDNMLKLLSRPRRHTRNNSRK* >Brasy2G339900.2.p pacid=40069753 transcript=Brasy2G339900.2 locus=Brasy2G339900 ID=Brasy2G339900.2.v1.1 annot-version=v1.1 MRRGLRRGLGIFLVPLPSPLSRPPPPPIPLAALLLLPRRLDAFSLRFFCSFGGCRAVEQFSDDEYDNEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLVKRMGLYSELYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPFDKDGSKIEMGSEKAETVDLDEKQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMVEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVSERVSTERGENLGESVGYKVRLEGMKGKDTHLLFCTSGILLRRLLSDRNLNGVSHVFVDEIHERGMNEDFLLIVLKDLLSRRQDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERTGYKMTPSNQLDDYGQDKIWKTQRQLLPRKRKNQITTLVEDALQNSNFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISSLKDQLKAHPLLGDPNRVLLLSCHGSMATSEQRLIFEKAPPNVRKVVLATNMAEASITINDIVFVMDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPRAVQNAVEFLKKIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSARDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSGYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDGSTNNSLSHNQSLVRGIICSGLFPGISSVVHRENSMSFKTMDDGQVLVYA* >Brasy2G463000.1.p pacid=40069754 transcript=Brasy2G463000.1 locus=Brasy2G463000 ID=Brasy2G463000.1.v1.1 annot-version=v1.1 MRPPHLVLFLIFHLLSSNGHSNGVDGDDALHGDALALLSLKAALRCRPGALRSWSPGNAASVCSWTGVRCAGGRVVSVDIANMNVSTGAAPVSAAVSPALDALQSLSLAGNGIAGAVTASTLPALRFVNVSGNQLGGGLEGAWDFPSLPSLEVFDAYDNNFSSSLPASVAALPRLRYLDLGGNYFSGSIPASYGRMQGPLEYLSLNGNNLQGFIPPELGNLTTLKELYLGYFNAFSGGVPPELGRLRNLVVLDVSNCGLTGRIPAELGELASLDTLFLHTNQLSGPIPPELGNLTVLTALDLSNNALTGPIPGELSSLSSLRLLNLFLNRLHGPVPEFVASLPRLETLQLFMNNLTGKIPARLGASAALRLVDLSSNRLTGTIPEPLCASGELRTVILMNNFLFGAIPGSLGSCGSLTRVRLGQNFLNGTIPAGLLYLPRLNLLELQNNLLSGSVPSNPSPAGFSQLAQLNLSSNVLSGPLPGSLGNLAALQTLLASNNRLGGAVPEEVGELRQLVKLDLSGNALSGPIPEAIGRCGQLTFIDLSKNSLSGPIPEAIAGIKVLNYLNLSRNRLEESIPAAIGAMSSLTAADFSYNDLSGALPDTGGQLGYLNATAFAGNPRLCGPVLDRPCNHAGDGSTARPRQRGEYKLAFALGLLACSVAFAAAAVLRARSCRGGPGNGGAWKFTAFHKVDFGVAEVIECMKEGNVVGRGGAGVVYAGPRSPGSSSMIAVKRLNNNNGGARSGSGDHGFRAEIRTLGSIRHRNIVRLLAFCTNDGLGANALVYEYMCNGSLGEVLHGKGGAFLAWDRRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGDGLEARVADFGLAKFLRSGSGAAASNSSNGSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGDFEEGVDIVQWAKRVTDGQREGVPKVVDRRMSTVAMDEVAHLFFVSMLCVQENSVERPTMREVVQMLSDFPRHGSTQPSPSSSSSAPETEKEKEPNCYKLFPDLLT* >Brasy2G463000.2.p pacid=40069755 transcript=Brasy2G463000.2 locus=Brasy2G463000 ID=Brasy2G463000.2.v1.1 annot-version=v1.1 MRPPHLVLFLIFHLLSSNGHSNGVDGDDALHGDALALLSLKAALRCRPGALRSWSPGNAASVCSWTGVRCAGGRVVSVDIANMNVSTGAAPVSAAVSPALDALQSLSLAGNGIAGAVTASTLPALRFVNVSGNQLGGGLEGAWDFPSLPSLEVFDAYDNNFSSSLPASVAALPRLRYLDLGGNYFSGSIPASYGRMQGPLEYLSLNGNNLQGFIPPELGNLTTLKELYLGYFNAFSGGVPPELGRLRNLVVLDVSNCGLTGRIPAELGELASLDTLFLHTNQLSGPIPPELGNLTVLTALDLSNNALTGPIPGELSSLSSLRLLNLFLNRLHGPVPEFVASLPRLETLQLFMNNLTGKIPARLGASAALRLVDLSSNRLTGTIPEPLCASGELRTVILMNNFLFGAIPGSLGSCGSLTRVRLGQNFLNGTIPAGLLYLPRLNLLELQNNLLSGSVPSNPSPAGFSQLAQLNLSSNVLSGPLPGSLGNLAALQTLLASNNRLGGAVPEEVGELRQLVKLDLSGNALSGPIPEAIGRCGQLTFIDLSKNSLSGPIPEAIAGIKVLNYLNLSRNRLEESIPAAIGAMSSLTAADFSYNDLSGALPDTGGQLGYLNATAFAGNPRLCGPVLDRPCNHAGDGSTARPRQRGEYKLAFALGLLACSVAFAAAAVLRARSCRGGPGNGGAWKFTAFHKVDFGVAEVIECMKEGNVVGRGGAGVVYAGPRSPGSSSMIAVKRLNNNNGGARSGSGDHGFRAEIRTLGSIRHRNIVRLLAFCTNDGLGANALVYEYMCNGSLGEVLHGKGGAFLAWDRRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGDGLEARVADFGLAKFLRSGSGAAASNSSNGSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGDFEEGVDIVQWAKRVTDGQREGVPKVVDRRMSTVAMDEVAHLFFVSMLCVQENSVERPTMREKEPNCYKLFPDLLT* >Brasy2G462800.1.p pacid=40069756 transcript=Brasy2G462800.1 locus=Brasy2G462800 ID=Brasy2G462800.1.v1.1 annot-version=v1.1 MLSTPYFIDLHYRLANRSSQPRLLLSPISAPSGSSDSYIYSWQPGGPVNNLMRDNFPTGYPLPITKPCHGLILIECTSYGGYYVCNPCTAPFFCLVSYGLGYCSVTKEYKIVLVLDTAAYWRPTAQQPPLCLVSQSKPAVFLNGRLHFLCRDAVIITFDISTETFGSLSPPPGFEDVSPSLTELDGFLCFSYGKPNCDDPYNVFLLRCYTEERWEKLCCIDRLAWPKSERTLLRSRCIAPLGMYRAEDGQKVMFGTGACKVFSVDPIGGAPEILFKPEETEYLGPVGRTIKDMVFASPTSKAWWDILKWMPARSVSELSLVCREWRAMIMSDPFIQSHAVHANLNKSPRIMIVADARFGSYKDLKDLIADPDAVDLTPDLVCSQPVHGLNVGSCNSWDFVCNSWVIIYWISEPNLGPVHLSCEIVAFNIETNEFDVLQGPPCSHDNARMSILQLQGELCVASSDKILNVIDIWMMRSTTTWIMEYHIELEKFSPEYLSEDTIIMAMDPKDGRILLKSRWSLGYYDLKTAALDTLYRIQHHYNNFCPIICDESIVCPLGLQL* >Brasy2G290100.1.p pacid=40069757 transcript=Brasy2G290100.1 locus=Brasy2G290100 ID=Brasy2G290100.1.v1.1 annot-version=v1.1 MSSPRMDWQAQAGQPRWGSSGDGDVRRPERWMARGRSGRRSFERWRRRAPATVWWSSKQRTATTTSSEQGTAASSSSKGRRGHRRPPSLHIRRIRTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARQGSAGTTGRPRYGVAARRQREEEGG* >Brasy2G097300.1.p pacid=40069758 transcript=Brasy2G097300.1 locus=Brasy2G097300 ID=Brasy2G097300.1.v1.1 annot-version=v1.1 MAEIDTRPLESVQAALNLFEQRSSDHSRFSSPDRNEQEIDIVTKELATCRLQLEIKDNENKQATLDLDALRKAMRELSEKYDRACLDAHRRIAELESGNAATASECKALRGELACARRELEALRGANAYVLGEVEAMETRRILERESAREALARALELNEAVLSSAVAAIRAEEERSVFFQEFTMEMLSSGKDSEAVGRMQEAMEGVEEELLAKTVEVEILRSELLQLKELYFSSERAVVVVMPDSATAIESGNGQGNQEPGEELADLADATACNGGDPEVADACLGTVDGPNIQPDDGNISGGSMSERVVEGNRGSEAATDAGFVTDISKDDGDADGYVLVAKDGEAVLKDEKLGGLDAAISDLRFTLEEALRRAELAEEAKAALERELRGRDEVQKQQQQQQRPPRPRPGRFENAPAPPARPRVTPSPAPKGGASPTPRCHGLTLGKVLNMKYK* >Brasy2G476200.1.p pacid=40069759 transcript=Brasy2G476200.1 locus=Brasy2G476200 ID=Brasy2G476200.1.v1.1 annot-version=v1.1 MEGGGSWGCASPRRRQGWPAGSRDGEANENEEGPDSVSVSDRRVMNHPDGARRLAERRCEAATVGPASDLLPNLTAVRTLNEEVQRCFQVTATKQAEVAIGPSTPLQAIGAPKPVKEEKPGEELLLRRRPDQPHSLWELRDGSSHEMKSISGTRRIVAVGREDPSNGIRHGRLEKGAGKVKLTPRPEELGKERRGLIVRQVEDPAVPGDGLFHRPSFAAREREEQGVGQAQGPSADPIGGPETGRSAIADGDTGGRVEHIAVEVVAWRGGADPGSVRSPPFEPEPAQAQRPAERLQVRKAKPTTLDRGANPRPVDLALAAGALVVLNAPNEAASDLVEGGDEGLGELESGQGEDGKGPEDRDGQCLAATGVEPSPPPTSKPGAGAIQDVLQVHEPHPPKQERKPEVSERERRPLAGER* >Brasy2G306200.1.p pacid=40069760 transcript=Brasy2G306200.1 locus=Brasy2G306200 ID=Brasy2G306200.1.v1.1 annot-version=v1.1 MPAIETPPSALAPPRRLHAATPAVRLLALAPPASPRRLGASVGRPACFCRTWGEPASLSSLLSSRFLISADRPQPSCACPSRRHRPGGPARNPRATQSLQRRARGPVPPAQRPTLSPAAAVSHLRHHRRSLLPLPTAAANSSRPPATSQAPQPRLARCPAASRRHRICARRSRRGLGRASASPSSPLQVNFFLHPPSFPSISMQSVTSVMCFDG* >Brasy2G352900.1.p pacid=40069761 transcript=Brasy2G352900.1 locus=Brasy2G352900 ID=Brasy2G352900.1.v1.1 annot-version=v1.1 MAKMAAAWFCSHRHRHAARSISNSHRHRLHPPPSPPMERPEWSLSHFEIGKFIGEGKFGKVYLGREKQSGYVVALKIIFKTKLEKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRSVGHFSERIAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDYAVDNWTLGILCYEFLYGSPPFEAAEQHDTLRRIVNVDLMFPSSHNISAQAKDLISKLLVKDSSKRLSLDDILKHPWIVKNAEPSGSCIELNSHA* >Brasy2G099200.1.p pacid=40069762 transcript=Brasy2G099200.1 locus=Brasy2G099200 ID=Brasy2G099200.1.v1.1 annot-version=v1.1 MHDFWHLIAILDVSAHKQMVGSMAVRLLLLALACLHAPQWASAQQPEEATVIVKGSNKIAETDENYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCSPFTNMSSGLFGFSVGCLSMDRWDKLNDLFQKTGAIITFGLNALYGRHNVQRSVWMGKWNSTNAYDFLKYTISKGYQVESWEFGNELSGHGTGARVDAKLYGKDVTELKSILRKLYRAPLSQPLLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGDDVHLMRKIVDPKYLDRAEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGRLMVSNTFMNSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFIPNPDYYSALLWHRLMGNGVLSVDINAPRKLRAYAHCSKQQQGITLLLINLSNSTGYNVTLQNDINVSLERQANLRRHSSFTHGLRRAVSWLGRKPSSDDDAAKREEYHLAAKDGDHQSRTMLLNGVPLELADDGSIPALDPVTAAVSSPVYLAPTSIAFVVLPKFEAKACS* >Brasy2G099200.2.p pacid=40069763 transcript=Brasy2G099200.2 locus=Brasy2G099200 ID=Brasy2G099200.2.v1.1 annot-version=v1.1 MAVRLLLLALACLHAPQWASAQQPEEATVIVKGSNKIAETDENYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCSPFTNMSSGLFGFSVGCLSMDRWDKLNDLFQKTGAIITFGLNALYGRHNVQRSVWMGKWNSTNAYDFLKYTISKGYQVESWEFGNELSGHGTGARVDAKLYGKDVTELKSILRKLYRAPLSQPLLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGDDVHLMRKIVDPKYLDRAEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGRLMVSNTFMNSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFIPNPDYYSALLWHRLMGNGVLSVDINAPRKLRAYAHCSKQQQGITLLLINLSNSTGYNVTLQNDINVSLERQANLRRHSSFTHGLRRAVSWLGRKPSSDDDAAKREEYHLAAKDGDHQSRTMLLNGVPLELADDGSIPALDPVTAAVSSPVYLAPTSIAFVVLPKFEAKACS* >Brasy2G014800.1.p pacid=40069764 transcript=Brasy2G014800.1 locus=Brasy2G014800 ID=Brasy2G014800.1.v1.1 annot-version=v1.1 MVRRQGKVTARLLQRVRDRVQKWYHDAGFVCAQVVNFGDLDGGEVVCEIVEREVTSVEYRFLDKLGNDVEGKTRVPVIDRELPQQLRPGHIFSIEAGKQALKNINSLELFSNIEVNPCPDDINQGGVLVEIKLIEQDPKSAQVTADWSIVPGRQGRPTLESIQPGGSVSFEHRNICGLNRSLTGSVASSNLLNPQDDLSFKLEYTHPYLDGVNNHGKNRTFKTSCFNIKKLSPVFVAGPGIDEALPIWVDRVGIKANITENLTKQSKFTYGLVVEEITARDESNNVCTHGLRTTATGALGMDGPPTTFSGTGVDRMAFLQANVTRDNTEIVNGATIGDRCIFQLDQGLGIGSKNPFFNRHQLTMTKFMNLNKQENGAGKRPPAVLVAHGRYAGCVGDLPSYEAFALGGPHSVRGYGMGELGASRNLLEVAAEVRVPVPLMKKQQTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGVGVKLGPIRAEYAVDHNAGTGALFFRFGERF* >Brasy2G118300.1.p pacid=40069765 transcript=Brasy2G118300.1 locus=Brasy2G118300 ID=Brasy2G118300.1.v1.1 annot-version=v1.1 MGRCRAPPSPSTPATYTAADLAISLISGHGAPPQTSPSPSSPATGRRRRPRHLPRRRPRAPPPTSPSPSSQAPGADLAISLVAGHGRRPRHLPRRRPPSSPSPSSPAAVLAISLSAGHRPRHLPQRWSQTSPSLSPATAAATPPPPTLPDGSGAALSAASEALTSPSLSTATAAATPPPPTSPDCSGAALSAASDLAGRLRASPATRATSPAASDLARCLPCARQRPLASVSRVSRPIWPVHAERHNHGIFPSGPNCSRAKREEKGLWAV* >Brasy2G181200.1.p pacid=40069766 transcript=Brasy2G181200.1 locus=Brasy2G181200 ID=Brasy2G181200.1.v1.1 annot-version=v1.1 MAPPNISPEKFDLAIRTMNGLGISRETVSPVLNNLLELYDYNWEHIEADNFLVLAEAIFDGPDPEIDQEGHKRQSHEMKNLDSSNCNKKLKVGHQSQKPTSKMHRNDTAGKLSSRSPCETKPTRNQLQSSSSRLLINKPNMESNVVEDTPVDENSSALLLRGQDIQTSESPLAVIYPQAEDSSHHRGHKDARGTSGVQPAADQNYKGVSGSHERNTIDACSSKALVSSPGLSSNFEVVLSDTGMGKLSFTYNSSLANRPDLHMPDMASVCKEMEARFLRTYKILDPNFSFMNILEDTCQCIVDLSSESSGPREEGIVQIVPAMDFLSGPSVPKILQSNQASSLYMPPNNLMMPGGVCSSSAVAGVGQSSSSNMQVVKYQPTIDANGPAHDVSDITKGEECVSIPIVNESGNGILPSQFHYIPRNITYQNAYVNLSLARVGDENCCSDCFGDCLARAFPCACAADTGGVFVYTRDGLLTEGFLDSCLSSNATFHCKVCPLERAKTKVNPDPCKGHLTRKFIKECWSKCGCNIHCGNRVVQRGITRHLEVFLTSGKKGWGLRTAEKLPPGAFICEYAGEILTNTELYDRNKKIGKEKHTYPLYLDADWLTEGLLLDDHALCLDATFYGNVARFINHRCYDANLITIPVEIETPDHHYYHVAFFTTRQIEPFEELTWDYGIEFDDVNHPIKAFKCCCGSKFCKDKKRISRSKSRALGY* >Brasy2G168700.1.p pacid=40069767 transcript=Brasy2G168700.1 locus=Brasy2G168700 ID=Brasy2G168700.1.v1.1 annot-version=v1.1 MDGLVFEDVVKRMCSMLRPEEEGLFANITWPHVHVVVHVSIQTYILPSAQRRQLADPHPPSIFVEFDADELSLTGRRPFPKHHPLDRRKPNPAPLPRPPDSSKRAAPTRAVSGPDPTDGRDEGLPPPSGCEPGRPHQQPGPQEAGAFFQSVSLPQQVLAQVSLNPPWAEVRRIGSGAGARRGGADGRQLRHRPGDRPPTRSPRPPRRPRLQRRRLRMGRRREAPGGGGGGQRAARDAKSWITFFLDLHQPS* >Brasy2G064200.1.p pacid=40069768 transcript=Brasy2G064200.1 locus=Brasy2G064200 ID=Brasy2G064200.1.v1.1 annot-version=v1.1 MATQQLQVLSALDAAKTQWYHFTAIAVAGMGFFTDAYDLFCISLVTKLLGRIYYTDASSPSPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVLCSVGSGLSFGHAPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYASKKARGAFIAAVFAMQGFGILAGGIVTLGMSAAFRHAFPAPAYQLNPAASTVPQADYVWRIILMLGAAPAVLTYYWRLKMPETARYTALVARDAKKASLDMSKVLQQEIEAEPEKLEDMARRRGGNGNGSYGLFSSAFAKRHGLHLLGTATAWFLVDVAYYSQNLFQKDIFSSIGWIPKARTMDALEEVFKISRAQTLIALCGTVPGYWFTVFLIDVIGRFWIQLIGFAGMAAFMLGLAVPYHHWTTAGNHVGFVVMYALTFFFANFGPNATTFIVPAEIFPARLRATCHGISAAAGKAGAIIGAFGFLYAAQSPDPAHVDAGYRPGIGVRKTLFMLAACNVLGFFVTFLVPESKGKSLEEMSGEADDEEGNTESANTVQPSGDQMV* >Brasy2G498100.1.p pacid=40069769 transcript=Brasy2G498100.1 locus=Brasy2G498100 ID=Brasy2G498100.1.v1.1 annot-version=v1.1 MNMEVDALAGPARFWMDCGSGGWNGGEIFRADVRWWRTDSDSGSMMRRWGIETGPRLLLRPPPRPIQVLRPPRSIPTCRGVAAVASPTDPRPIQALHRPPRRLVTGAQPLLRPCSASPLAAAQPRWSGLKWAGLDDLVGLGKPCTALASGPRAAPARWQRPRTRARGRLQQQRRRELGRRSAAAEGVLGGGLQRQQRRRRRAARAK* >Brasy2G026300.1.p pacid=40069770 transcript=Brasy2G026300.1 locus=Brasy2G026300 ID=Brasy2G026300.1.v1.1 annot-version=v1.1 MSLVPRIRRSGAPPKYDSFDTNYCFSFCIHHGGFFVDSEVNLGYVGGKEVWVDNVAGNLWCFKDVEEIVEGLGYEMAGRMHVYFCLPGKEMNKGGLVEMKSDEECKLMRSHVICGHKFLHIYLDHDKSLGKMIDDVVMFPIAELPAVISPRKTTYIEEAEKEQLSPFYKNLPKGEVHEYSSSDDSDSDYDEIVDSDYDIRDGDEDLQDDHVHLGKGKGKEIEPVTCSRALGQKTCLIPFSRLVRYFSHLRCLGKL* >Brasy2G325400.1.p pacid=40069771 transcript=Brasy2G325400.1 locus=Brasy2G325400 ID=Brasy2G325400.1.v1.1 annot-version=v1.1 MKYVSGPYFEPDFDPVLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQIGRKLTDPSLPGFIQQALVPFQRRPGHGPSPKFTTCLGNVVGPGGPDVSDCASLEFTVPDRPGLLSSITQVLVDQGCHVASGQSWTHSGRAAGVLYVAMAAAADAAPPHQSRWAHIERLVSAVVDARESVSGARRWVCMSAPAPGRVHTERRLHQLMHDDQDYESGPAPTPVDEEHFSMGDVRAATMMMAARRSAARRAAETRVTIDNWEERGYAVVKMTSRDRPKLLFDTVCALTDMQYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGVRVEVRAADRSGLLSDFTRILREHGLSLLRVELKRQKDEAVGTFYLVTDSGGEVRPEAVRAVRARVGEMGISLEVAKEAPGWPPVRKTSVPVVQPAAAGQGQDGPRSSLGSLLWSHLGKLSNNFGYIRS* >Brasy2G325400.2.p pacid=40069772 transcript=Brasy2G325400.2 locus=Brasy2G325400 ID=Brasy2G325400.2.v1.1 annot-version=v1.1 MKYVSGPYFEPDFDPVLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQIGRKLTDPSLPGFIQQALVPFQRRPGHGPSPKFTTCLGNVVGPGGPDVSDCASLEFTVPDRPGLLSSITQVLVDQGCHVASGQSWTHSGRAAGVLYVAMAAAADAAPPHQSRWAHIERLVSAVVDARESVSGARRWVCMSAPAPGRVHTERRLHQLMHDDQDYESGPAPTPVDEEHFSMGDVRAATMMMAARRSAARRAAETRVTIDNWEERGYAVVKMTSRDRPKLLFDTVCALTDMQYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGVRVEVRAADRSGLLSDFTRILREHGLSLLRVELKRQKDEAVGTFYLVTDSGGEVRPEAVRAVRARVGEMGISLEVAKEAPGWPPVRKTSVPVVQPAAAGQGQDGPRSSLGSLLWSHLGKLSNNFGYIRS* >Brasy2G325400.3.p pacid=40069773 transcript=Brasy2G325400.3 locus=Brasy2G325400 ID=Brasy2G325400.3.v1.1 annot-version=v1.1 MKYVSGPYFEPDFDPVLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQIGRKLTDPSLPGFIQQALVPFQRRPGHGPSPKFTTCLGNVVGPGGPDVSDCASLEFTVPDRPGLLSSITQVLVDQGCHVASGQSWTHSGRAAGVLYVAMAAAADAAPPHQSRWAHIERLVSAVVDARESVSGARRWVCMSAPAPGRVHTERRLHQLMHDDQDYESGPAPTPVDEEHFSMGDVRAATMMMAARRSAARRAAETRVTIDNWEERGYAVVKMTSRDRPKLLFDTVCALTDMQYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGVRVEVRAADRSGLLSDFTRILREHGLSLLRVELKRQKDEAVGTFYLVTDSGGEVRPEAVRAVRARVGEMGISLEVAKEAPGWPPVRKTSVPVVQPAAAGQGQDGPRSSLGSLLWSHLGKLSNNFGYIRS* >Brasy2G351100.1.p pacid=40069774 transcript=Brasy2G351100.1 locus=Brasy2G351100 ID=Brasy2G351100.1.v1.1 annot-version=v1.1 MVAAGAAAAAAPRPNPSPPPHRRRAASALSPSKSTNGNADARSSKPKAKAVPSRYLLAPSSKSTSTSTSTSTSTTTTSSTSTSASTPSRRFASPLPRRSVSVDRSRPTGNAAAGEAAGANGATTTTTRSLSVAFQGRSYFLETSKAKPATSPSPVRRPVATSAASTTPERRRPSVGTVPERGKGFEGGHSHQRWPMSAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMAFDEGVRRPSFDGGDYLMSSDTESLSSGSNSGSQDAGNGIANRSHPSPKGMIVPARFLQDAAGSRLHRFADPGTPYVTHNSGLASSPRTAPVKKSLLNGFASSPLNRPVRQSSPSKLVGNSSRRMSSPSRARNSVGASASLGDQQGRSSSGYGIDGQARRRWLGGSKVDGEHLLRVLCNRHLQWRCVNAQVDAALAQQKMNAEKDLCDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMAYLEEWSSLDPDYADSLSGIVDALTATILCLPVTDGAKADIQDVKNSVGSAVDIMQTIGNSICTLLAKLSGTSILVSDLAKIATQERLLMDQSRELLSTLASMHVKYCSLQGQRVQTSHRRLKHS* >Brasy2G009900.1.p pacid=40069775 transcript=Brasy2G009900.1 locus=Brasy2G009900 ID=Brasy2G009900.1.v1.1 annot-version=v1.1 MEAKGEPPVPEANVLAMDAISKETVDLEHIPVEEVFEHLKCTKEGLTTEGAQQRIDIFGYNKLEEKHESKVLKFLGFMWNPLSWVMEAAAIMAIALAHGGKDIRGNKMSVDYHDFVGIVVLLVINSTISFVEENNAGNAAAALMARLAPKAKALRDGTWNELDASFLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGSGVYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAVGMTIELIVMYAIHSRTYRPIIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDNNLIEVFARGVEKDDVVLMAARASRLENQDAIDFAIVAMLPDPKEARAGIEEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKSEIERKVHHVIDSFAERGLRSLAVARQEVPEGTKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKLDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMMVLLIAILNDGTIMTISKDKVKPSPHPDSWKLAEIFATGVVLGAYLAVTTVLFFWAAYKTEFFVNIFKIPTLNINSIGQDSETVAKNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMVAFVIAQLIATVLAAIVTWELASIRGIGWGWTGAIWVYNIIIYLLLDPIKFAVRYCLSGRAWNLVIDKKVAFSNRKDFGRETREAAWAHEQRTLHGLQSAGREKAASVELGQMAEETKRRAEVARLRELRTLKGKVESVAKLKGIDLDDINNQHYTV* >Brasy2G268300.1.p pacid=40069776 transcript=Brasy2G268300.1 locus=Brasy2G268300 ID=Brasy2G268300.1.v1.1 annot-version=v1.1 MPACEPAPWLGDDREAERELLLCVLEESGRPYLYVVFLDGRPLVCTKEDGGGNAIGVAGSSACAMEGAEKGGAGSWEGGAVANAGGGAGPGDGINPMRRRDFFADKAMGGEGGPFPRGFEGAAMAGSGGEQ* >Brasy2G417000.1.p pacid=40069777 transcript=Brasy2G417000.1 locus=Brasy2G417000 ID=Brasy2G417000.1.v1.1 annot-version=v1.1 MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLHTSRWIDEVKTERAGVDVLIVLVGNKTDLVDKRQVPTDEGEAKAQEHGVMFIETSAKAGFNVKALFRKIATSLPGMDVLSSAKQEDMVDINLRPASGSKAPGAAAQQEQKAGGCSC* >Brasy2G420600.1.p pacid=40069778 transcript=Brasy2G420600.1 locus=Brasy2G420600 ID=Brasy2G420600.1.v1.1 annot-version=v1.1 MQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPADSRTALSYTSLIAAYARNALHEEARALLDQMKAEGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPAVRPDLTTYNTLLAAAAVRSLNDQAEMLLRAMLEAGVVPDTASYRHIVDAFARAGDLSRVAELFREMADTGHTPDPSAYLGLMEAHTRIGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGRQGRFDGVRELFREMRTAVPPDTATYNVLFSVFGDGGFFKEVLELFHDMLRTGIEPDMETCEGVLAACGQGGLHEDAREVLEYITKEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNSLANVFAKGGLFQEAESIFSRMTDSAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEEIRSNMAVPSIIAYCMMLSLYARNDRWADAYDLLEEMKTNRASSTHQVIASMIQGEYDDSSNWQMVEYALDSSNLEGCDYSLRFFNALLDVLWWFGQKARAARVLDHAVNSGLFPELSRDTKLVWSLDVHRMSVGGALVAVSVWLNKLYTRLKGEKDLPQLASVVVLRGKMEKSTITRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAVPGFVHMTNSRLPSPGSKIYTREPQVENGAAQEKESELLAL* >Brasy2G436500.1.p pacid=40069779 transcript=Brasy2G436500.1 locus=Brasy2G436500 ID=Brasy2G436500.1.v1.1 annot-version=v1.1 MGVRRFVNLLVLNRPRCMYSLHRFDLSRNQFFYATQEEVASQGRVLPMQKEGAVRSSSLKSPLEEKDKARGKKKKQQQQLAAKDIGTIRLPAPIFNMRPAPCPTGMPGHLKVDAFALSESNIMLADHHRRVLGYDAYSHCAVTMPSLHAPKVDPLALSIPGDGLEGSIYIIERTLWPETEKSFQFEALFSGGHRGSGYHPLGTWQCQALPLPPISSERASVCSAAAVGNVICISVSGFGTYCFDRDSHSWSHAGDWMMPFFGTAEYDPELNLWFGISNRDYHLPCAADLSPVVRGQLPEPALFWGHDDHLPEEWYYSLGTPSKMVSLGSGRFCILRFLETRIPSLDVDDVLVGKSYTVFTGLEMLPGNGNGEKHGLRMVSHKYRRCRNPDTNLIRCLL* >Brasy2G295100.1.p pacid=40069780 transcript=Brasy2G295100.1 locus=Brasy2G295100 ID=Brasy2G295100.1.v1.1 annot-version=v1.1 MNGMPISASTAPSVDGGGGEDATPKKNAAAAAAVAEMAKHLTVDTDDAFASLLELAAEDDADGLRRALESGPPAAADEAGLWYGRQKFMDQRTPLMVAATYGSLDALRLLLSLPSVDVNRRCGNNDTTALHCAASGGSPSAVEAVRLLVEAGADADAKDASGCRPSDVISVSPKMIDAKIALQDLLGFPKAEHGVLRVVTRATNLISSPVSSPTAEDARSPSAAAMMMMMTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCNRRVCFFAHTTDELRPLYASTGSAVPSPRASATTAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSSNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRSSLSARDMPADDYSLLQESDSQLINDLCYSRLGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGAMFSPSQKAAILNQFQQQQQALLSPINTGVYSTKAVDNQQLPLHSSLLQASLGMSSPGRMSPRCVESGSPMNSHLAAALAQREQQHQHQHQQQQQQETMRSLSSRDFGPSAARVSALVGSPLSSSWSRWGSPSGTPDWGVNGEELGKLRRSSSFELRSGCDDDPDLSWVHTLVKESPPEKQVTAAESMNSVRPSPLIHPSVSNGEGSGVNTRLDGRQQAAVIEALLEQIQLDEKVGNQAT* >Brasy2G320900.1.p pacid=40069781 transcript=Brasy2G320900.1 locus=Brasy2G320900 ID=Brasy2G320900.1.v1.1 annot-version=v1.1 MASTSVNSASFKVILGSSSPARREILSDMGYEFTVVIADIDEKAIRRDKPEELVKALAEAKADAVKLKLHDSSARDRGDQHTLLITSDQVMVSKGIVRERPRNTEEAREFINAYSGDRAFAVNYVLVTNLSTGGRKGGWDVPEIHFHRIPDAFIEEVVKERDMTCVAGGLKLTHPSVSPFIKELVGTTDSVRGLTRELTEKLIQESMETM* >Brasy2G165700.1.p pacid=40069782 transcript=Brasy2G165700.1 locus=Brasy2G165700 ID=Brasy2G165700.1.v1.1 annot-version=v1.1 MDPTEALPDDALANILRRLPPCDLSASRCVRKAWRALVDAHRILLPHLLPHSLHGFFINYIDYERPRFFSRPSTVRPVINGNLDFLPHYSSSFNPIVDHCNGLLLYEDWRELYVVNPATRRWEELPREDRDCNAYLVFDPAVSSHYEVFFIPYLPKKLVVPEPKKLEKFRSKKKLEKSKNLGQFSGPYGLASLFSLPDGILEALDTEEDHFEDGSTEPSPQQDSIQEGDSTTSSESSPPESRDKQEDPHDSMEWPPSLWTLNVFSSSSRQWQKRSFVLQGEAAGTVTNMRLDPFEPGGLGWGGPRSRYSVYWRGSLYVHCRGWFVVRLSLSDDKYQVIKMPTNIEASKPAQYLGKSEKGVYLATLHERYRLRVWTLVESSGQMDWVLKHYADIESWATLDFYYLKGFNKTWILDDSDYNCNYSYNHYEDDDHGDDDDDDGGGEGEGGEENREWNSDDDNVLNIGDDYGPFYSGINFLGFHPYKEVVFFELSSFTGVAYHLKSSKVQYLGKLRPKDYYKAHSNGLYESFPYTPCMIGELLRQAPKSCHRD* >Brasy2G304900.1.p pacid=40069783 transcript=Brasy2G304900.1 locus=Brasy2G304900 ID=Brasy2G304900.1.v1.1 annot-version=v1.1 MATTRPWVDLSPDLLLDVSGRLRDAADFVRFHAVCRPWRDSTPLPLSPATTFLPRPAAPRVVQRSHPGVLHTRVNYYSRRPSPAASSHHRSCASLGDGRNWVASADGTAAWLFRAADADAQQHPSPRLLDLLTGAVTALPPFTDDETRQRMANPRGVVYGDGTVLLYSFTASRPCTQGFTAALLRPGDATWTVTTRRFLQLDETRHSSAAYHDGTILLCAPVHHWCLVTPGTDDDRTMPASWWYFHDGSYRRDDSYVLESRGELLWASVLVDPDKLGGGGSGSAGGGDDLTPALSVKVSALKLVHEDGGSGTHTQWVKTDGRSLGDRVLFLGSPASFAVDAAQLDVSGGCVYFFFRGHVWMYSLLEGKAELVERLPPGWGTDEACVWLSPQPTIAPLSENRTMLEPPNKKQKVSIS* >Brasy2G152100.1.p pacid=40069784 transcript=Brasy2G152100.1 locus=Brasy2G152100 ID=Brasy2G152100.1.v1.1 annot-version=v1.1 MSSRMGEAAADTTAPLLLQVEEADAEWSSRPHRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVTKFKPDIIHATSPGIMVFGALAIAKMISVPMVMSYHTHLPAYLPGYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETANVVSANRIRLWNKGVDSESFHPKFQRHEMRIKLSGGEPEKPLIVHVGRFGREKNLDFLKRVMERLPAARIAFVGDGPYRAELEKMFTGMPAVFTGMLQGEELSQAYASGDVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLFSKDLRESIGRAAREEMENCDWRAASKTIRNEHYSTATTYWRKKTGKTG* >Brasy2G199600.1.p pacid=40069785 transcript=Brasy2G199600.1 locus=Brasy2G199600 ID=Brasy2G199600.1.v1.1 annot-version=v1.1 MRPSTRILAARHLLRGSRFQPSTASAAASVFWRQDGANGPPKPLSNPLLGDLGPDCKVFPGRGVPFGRSNCLLPDSTYPPHCPRPPRDLRGHAFSTSANAVTVGKPADDNVRKDAPKKDIDDQMADTQILRSLWKYLMLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAAFGGAEASLASFTDANATLLALFASPAAVLIGYGIARSGVSACTELRNALFSKVTLRAIRSVSRTVFFHLHELDLRYHLSRETGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYQFGSSFAWITSVSVATYIAFTLAITQWRTKFRQAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVQKYDKYLKNYEDAALKTQSSLAYLNFGQSVIFSSALSTAMVLSSYGVMSGALSVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDKPQAQPLQFKGGCIEFENVHFGYVPERKILDGASFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSTSGSIRIDGQDIRGVTLESLRKSLGVVPQDTVLFNDTIKHNIQYGRLSAKDEEVYDAARRASIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLMSLSVDRTSIFIAHRLTTAMQCDEIIVLESGTVVEQGPHDFLLSKGGRYAELWSQQNNSEAADSSSVSLEV* >Brasy2G388700.1.p pacid=40069786 transcript=Brasy2G388700.1 locus=Brasy2G388700 ID=Brasy2G388700.1.v1.1 annot-version=v1.1 MAAPAAAAAAVTTEAVRDGFAELQRQQELLASCTRLYQQLSEHFATLEHGIASRSESLRSKRRVVEIRASRRLDALRRRERSIDGSVSLAISRLDSTLAEGAAAGSRSSPSSDAVAEGLRSLCASMDSAAFLGFVVERRKEVDALRAQLPGALKFCVDPARFVMDAVSEVFPVDKRAVRSPIDLAWACVLILEAVVPALADPDPEIGAARPMVPRAARERARGMATEWKEAAERKGGVEGAKPPDAHAFLQHVATFAVAEKEDRGLYRRIVLSFSWRRQMPRLALTLGLEDEMDDIIEELVTKGQQLDAVNFASESGLLEKFSPVPLLKSYLEDSKKISCTSDNLNTGSGQSGTNANKKEQSALRAVIKCVEDHKLEPDFPLEDLRKRLEELEMAKTEKKKAVSSSSSGGGSEPANKRIRASNGGAMPPAQAGRLNDCTGAPSSPAATTSTTTFIRSPSSHASYGTGSPYSYESPAGHTLYCGQSSLAMRETYPYPPAVPNVGLAMPYPSPPMTYPVYGGYNSGLGAYGSAMAPVFHQAYYR* >Brasy2G171100.1.p pacid=40069787 transcript=Brasy2G171100.1 locus=Brasy2G171100 ID=Brasy2G171100.1.v1.1 annot-version=v1.1 MVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNGKEGVEVLQQAPFEDEELGEGQLTSKVYHLQSKIPSWMKGFASASALAVHEDSWCAYPKSRTVIKCPLFSKCSLTIDTVIRPDNGCSDNAHNLTSQQLAAREVEIIDIASVSRDYWSKVITAPKVDLTTFKSQLTNRGPLLKGWMDSCVPVMTTYKLVTMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWVDEWHGMTMEQIRDMERHNDLLLKKTLKKAALAGSKHEGKRKSLKDEIAVVGSCT* >Brasy2G171100.2.p pacid=40069788 transcript=Brasy2G171100.2 locus=Brasy2G171100 ID=Brasy2G171100.2.v1.1 annot-version=v1.1 MVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNGKEGVEVLQQAPFEDEELGEGQLTSKVYHLQSKIPSWMKGFASASALAVHEDSWCAYPKSRTVIKCPLFSKCSLTIDTVIRPDNGCSDNAHNLTSQQLAAREVEIIDIASVSRDYWSKVITAPKVDLTTFKSQLTNRGPLLKGWMDSCVPVMTTYKLVTMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWVDEWHGMTMEQIRDMERHNDLLLKKVSTPNDLLELSKLRSYRGV* >Brasy2G257300.1.p pacid=40069789 transcript=Brasy2G257300.1 locus=Brasy2G257300 ID=Brasy2G257300.1.v1.1 annot-version=v1.1 MSTMNLVSVLLLLTTITITGPAGDHRHHGVGASPPPDPVQCGTSGCTVSSAYGVFPDRSTTCRAAAVAYPSTEAELVRAVANATATKTKMKVTTRYSHSMPPLACPGTTGNGIGIAVSTRWLDRVVSVDAARTEITVESGITLRELIAAAAAAGMALPYAPYWWGLTVGGMLGTGAHGSSLWGRGGAVHEYVVGMRIVTPAPANQGYARVRVLTAADPDLDAAKVSLGVLGVISQVTLKLQPLFKRSTTFSERDDADLAEQVTRFGYEHEFADIAWYPGLGRAVYRTDDRMPMNASGDGVLDFIGFRATSTLLIRANRLAEELSERAGNGKCLASRVTHAALSAAGYGLARKAGVLFTGYPVVGPQHRMQASGGCLSGPEDGLLTACAWDPRVRGASFFHQTTFSLPVSRARAFVEEVQRLRDLDPKSLCGVELYDGILMRYVKSSTAHLGKPPAQGEKETDMVDFDMTYYRSRDPGRARAHEDVMEEIEQMGLFKYGGLPHWGKNRNLAFAGAAGKYPGMRRFLRVKDAYDPDGLFSSDWSDMMLGIGGHAALTKDAPGCALEGMCVCSQDAHCAPDQGYLCRPGKIYKDARVCTKV* >Brasy2G279100.1.p pacid=40069790 transcript=Brasy2G279100.1 locus=Brasy2G279100 ID=Brasy2G279100.1.v1.1 annot-version=v1.1 MGVVYYKYKSAKETYSVPIPYSFISVSELKQLILTSNRHGHGRTRGRGPREDIAISNAQTGEEYSDENAMVPQNVTVLVRRTAGQMSENIVLFSSRKVIEDGSVASNKSVITESTSKSSSSTEVQDEDAAIAAVIDAAELKWEEQSSKRGQGGGRFTSVRHYGHGPLEGEAPPPGYVCRSCGVPGHFIQHCPQENQTPPPGYTCYRCRIPGHFIQHCPTIGDPKFDNYNKMPRSLAPVVSANPVDGIPSALAPAASVSVVDDLPAELHCRLCNKVMADAVLTSKCCFDSFCDKCIRDYIITQSKCICGVKVLADDLVPNHTLRNTISNLLVTRTSSTTSGTGKHRSSSGSNPDPKSQSHITSTALERDMKEFTDNQLSPTSPDGVVQVATEDNKVSQAQENLDQKSKAEDAAGCSVQKAVPSANTLKLKDVSESTLKNTTISGALETKVARTDQLKKKKRKKADSTKIVHSNNAGYNVPFDPAYSNAGYNVPFDPAYSDAFNSGYPWVPEPYMYGAMGMAYGGYPMAMGPFGAIPFGNMPPQALAMQGCPASYQNWETHSALQREAEASVRSRQIGMSKDSGPQPRPSEHGHHVASPLRRESRNRSPLHRESRNRSPHRTESRNRSRSRLERDHGRYDRDSNDYDEDRHRKRMRVSSPMDDDKQSKRRSRHSSRSVARDDSSDDEQNFKRQWGRRSSVTMAARS* >Brasy2G470000.1.p pacid=40069791 transcript=Brasy2G470000.1 locus=Brasy2G470000 ID=Brasy2G470000.1.v1.1 annot-version=v1.1 MSSVPPPPVPERRVPVVVPMVPRLAVDEGGGGGGGGGGSVAGISPSILIIAIIVVVMLLASISIHYFIRSLCRRHSSSSSGPGSSSSSSSAPPLPLVVARAAPAGEQQQGKDSAAAAERERLIGRLPLFTLASSLAALPRSTRDCAVCQSAFGADDELRLLPACRHAFHSRCVDPWLRGANPSCPLCRASIALPHPPLHDLLLRVELGSVSSRRSTSSSSSAVAAAPPDAVRAYPLPSLPNSTSEYLVEEEVVLKPPPSAAPNPPPPPPPPPGARIAGEPSQQLPPAAERGQASLSSSITPTASFRSVGRSSSRWSNRWSSRWSSGRWSSRYDAGSVTAAATAEWWWDMDGGAAPGASSRRREAEDSSAFYGFVRWLTGAY* >Brasy2G039000.1.p pacid=40069792 transcript=Brasy2G039000.1 locus=Brasy2G039000 ID=Brasy2G039000.1.v1.1 annot-version=v1.1 MPSSAGGGSNKVMPRSKASFFLYGLLLYVLLPVLAVYVVALALSPLYACPPPAVIDTANNAALLSRLSAGTDPNSSSSSMKLTISPPAPKKKKPRAPTGLRHVLFGIGASSSLWKSRKEYIRVWWRPGMMRGFVWLDKPVPEYYLNASSSRATGLPGIKLSADTSSFPYTHGAGSRSALRISRIVSESFRLGLPGVRWFVMGDDDTVFFPDNLADVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLARMQDGCIQRYPALYGSDDRIHACMSELGVPLTRHLGFHQCDIWGDVLGLLGSHPVVPLVTLHHFDFLQPVFPTMKSRTAALRRLFDGPVKLDPPAVAQQSVCYDVEKQWTVSVSWGFAVVVIRGVLSPREMETPMRTFLNWYRRADYTAYAFNTRPVARNPCQRPQVYYMRRSRLERRRLFLRRRPRRVGGDNNETAVVTTTVTEYERHRVAPRVKCRWRIPDPVDLLDRVVVVKKPDPDLWKRSPRRNCCRVVSSPKNGTKVRTMAIDVGVCKDGEFARV* >Brasy2G411600.1.p pacid=40069793 transcript=Brasy2G411600.1 locus=Brasy2G411600 ID=Brasy2G411600.1.v1.1 annot-version=v1.1 MTSLQSCFFLLVFEAQLQWRSTGEWRQGYGAHAYQGVSAKEEEAQIGSKGDMIAHIRANRNGTPKYDLSYCAVMIIARTSIEITASRIQFD* >Brasy2G039200.1.p pacid=40069794 transcript=Brasy2G039200.1 locus=Brasy2G039200 ID=Brasy2G039200.1.v1.1 annot-version=v1.1 MTSSPGSARLAVLLLSLLVSSTASAARFAPADNHLIACGATAPVVLLEDGRRFVPDTGCASVRLRSTAPTIPSAAPDAATPPPPSPLHAAARVFSCHASYGLAITRRGHHVLRLHFYPFSPALASARFHVGAGRFLLLHNFTASSPVVKEFLLPVDADVLALTFVPETGSAAFVNAIELFSAPEELVGDVGTLVAADAVGRTDGLSSQVFETLYRINVAGRKVTPFNDTLWRTWVNDGRFLVSTESSNSMVWSFGGRIAYPKGSRLMSREVAPDNVYNSARSVRSEENVTWGFTVPAGSRYLVRMHFCDIVSKALYQLYFNVYVNGHLAVKNFDISSVTGSLAYPYYIDFVVGVEDEGMLKLAIGGSDMSRSVEVSGFLNAIEIMRMNKTGGGMDGDFPVSLDMEYAIRMGIGEFVRSLLCGLIFAGLLLVLVVLVMRLRTELRNNGTLCSRQSIDSGDGKLARAYQLVPTKTDY* >Brasy2G410500.1.p pacid=40069795 transcript=Brasy2G410500.1 locus=Brasy2G410500 ID=Brasy2G410500.1.v1.1 annot-version=v1.1 MEMEMEMEAEAEAVPALAVVDARFCLAEQAALAVAKTLSVSGNDFTVTDAATGAVLLRVGGAVFSLRRRCLLADALRRPVLTVQESAMVMNTRWKVFRGDSTRRRDLLFTVVKPSVIQLRWSTKVSVFLASNGAERVCDFRITGSYHDGACSVSLGDSDTVLAKIDRRFTVVSALLGKNTYSVTVNPGIDYAFVVALAVVLDEMHYQ* >Brasy2G192300.1.p pacid=40069796 transcript=Brasy2G192300.1 locus=Brasy2G192300 ID=Brasy2G192300.1.v1.1 annot-version=v1.1 MAGLAVTALAAPAPLFQPSLAAARFSPVAGPAVSFRTQACGLRCWIAAKMKLHKALKRHGWQVHRKLEVRGDGKIPDCLEVASLTKKTTGNAQAADESGGETTKTSSPTLHSSTDEKNSILLGMINHCEADPPVPKEDLMFDHFDDRPESAPSLCIAVIGATGELARTKVFPALFALYYSGFLPQNVAIFGYSRKALADEDLRSMIESNLTCRVDHHENCEDKLNEFLKRTYYIDAEHDNKDGMVKLNSKMAQIEGTRASNRIFYLAVPQEALLDVALPLADSAQTMHGWNRIIIEKPFGFTSLSSQRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATETQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDFEDVVLGQLKDTSEVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDLPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYDVEVPDSYEHLLLDVLDGDNHLFMRSDELAAAWNVLAPIIHEIDQNRVAPELYEAGDKGPINAYYLAAKHGVRWDDDW* >Brasy2G418100.1.p pacid=40069797 transcript=Brasy2G418100.1 locus=Brasy2G418100 ID=Brasy2G418100.1.v1.1 annot-version=v1.1 MTGQLFASMEGKLLLILTPLPMLEMFYLRLRMRWNISQ* >Brasy2G150800.1.p pacid=40069798 transcript=Brasy2G150800.1 locus=Brasy2G150800 ID=Brasy2G150800.1.v1.1 annot-version=v1.1 MFGSAGQQLINVTSHATIGLSEPIGGLSTRKEEEDWKDRPAGATMAYVERGVVKGKRTIWRLSIIPDFFKAVLNFIRMFFLTMFSIEKTDSYKKGYGGGKKWDGGPGGGGPGGGPYGGGGGGGGGPRGPRTLSDLRSNDQSSLPACGSCCG* >Brasy2G293900.1.p pacid=40069799 transcript=Brasy2G293900.1 locus=Brasy2G293900 ID=Brasy2G293900.1.v1.1 annot-version=v1.1 MDDSTYNAILLALLAVSMIYFFKPGSARRLPPGPRTFPIIGSVHHFVNTLVHRRLRDLASAHGPIMTLKIGPMPLVVVTSRDLAREVLKVQDPNFANRPRLLVGGICGYGWTDIIFAPTSDYWRKIRKLCIHEILSPKRVLQFQFIREEEVQRQLELIRAAAAAGELVDVTKMVYDISSRTISRSAFGEVRPDMPVFQHAIKRVVGLSSGFNVPDLFPRLREVLGEATGMKRKLREIHGTFDGILVDIIEGRRKVRSERVAAGKDLVDENVVDVMLTLQKGDNPWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIMRTPRVMKKLQGEVRRAFHGKESISETELRSNGVRYLKHVMKEAIRLHPAAPLLVPRESIETTELGGYVVPAKSRMVVNAWAISRDPRYWKDPEEFAPERFEADGAVDFHGLHFEFTPFGAGRRMCPGYNYGLAGMELTLFQLMYHFDWTLPPGVEEVDMEESMGLGVRRKNPLMLCATPYVVPPPSAPVAST* >Brasy2G160300.1.p pacid=40069800 transcript=Brasy2G160300.1 locus=Brasy2G160300 ID=Brasy2G160300.1.v1.1 annot-version=v1.1 MMQAKKEGAGVKERGVNGLGMPSARQAVYLYVGGRREGAASTVRKRTHLRWTWLACSLSILRSVLPIRPLRVSLSPPPLFFWQKKKANCPVFPWPLRKRSVDGGGCGELPLEVVDPGLLGLELPWRSSSAALYDALVRRGLLAPPAVGRWRRSVRTDRRDVRSDGARTTASGLKTHIAYRSGHRDGRKAGGGRSGGLANRHRGLGRLGSLHGSIATEAAHALRVHAVKRDQNSEDYRRDFVFCFVLASKITEGPRKR* >Brasy2G160300.2.p pacid=40069801 transcript=Brasy2G160300.2 locus=Brasy2G160300 ID=Brasy2G160300.2.v1.1 annot-version=v1.1 MMQAKKEGAGVKERGVNGLGMPSARQAVYLYVGGRREGAASTVRKRTHLRWTWLACSLSILRSVLPIRPLRVSLSPPPLFFWQKKKANCPVFPWPLRKRSVDGGGCGELPLEVVDPGLLGLELPWRSSSAALYDALVRRGLLAPPAVGRWRRSVRTDRRDVRSDGARTTASGLKTHIASLQVRAPRRKEGRRRKERRLGQQTSGTRAARVPPWLHRYRGCSRSKSSRSEKRSELGRLSSGFCFLFCFGK* >Brasy2G210800.1.p pacid=40069802 transcript=Brasy2G210800.1 locus=Brasy2G210800 ID=Brasy2G210800.1.v1.1 annot-version=v1.1 MTPCHPPPSPELPGDLIPRILLRIPPDMPECLVRASCVCKSWRCILSDPAFCRRYRKFHRTPPLLGFVRSQSRNKIYTSFVPATAFRPTATVHHDWLVCDARHGRVLFNDLARSDLVVWDPITGIHTRVPLPSPAVEESAFTVFCAAVGCDHRGCHGGPFLVAFVCERSAAHHNTVSVHVYLSEDGTWSYGMTSKKLPVGQSGSTVVSHNSIAENTINIRWKTKILEYNIGDRKLKVINLPSGYQGSSTVLMTAEDGTLEFATVEDLSRLCLWSRKPGPNGALAWAQYRTIELSTVFPVRSIWSKVVGYADGFGVIFIQRQDGLFTIELNSELVKKVYSEVGATHPLAQRLFPYMSFYTLDHARGIVPLP* >Brasy2G321500.1.p pacid=40069803 transcript=Brasy2G321500.1 locus=Brasy2G321500 ID=Brasy2G321500.1.v1.1 annot-version=v1.1 MGPTGRFISKPPAGRNSSYPLVISPRTLRLLLSSPFLLSLDSVFGAVILGGAAVRVDGEEKRGERGVVYGGWEVEFSVDFSPRLRTSTGESGRGSRSVGGGGASACRAVQWWELRELGSTAALAAALESSHGGEAQPRVGGEVGRRGTVEGRRGAAAGGGAEGLSVMSLTTTGSEVLLRSMARWVWGGGAGGGFAVLH* >Brasy2G145300.1.p pacid=40069804 transcript=Brasy2G145300.1 locus=Brasy2G145300 ID=Brasy2G145300.1.v1.1 annot-version=v1.1 MQAGNNGVLGGGQMYPYPPPGAMAPRPEHGVVEQQPPAANQNNWGGNDANTLLVVATLITTLAYQLGCNVPGGYWQDTKMSDDGKRVIHLTGDPVMRDLHRPRYWVFMAASWMGFLGSMLMTLSLLVRMPMESRQVRWSFAVAYSSLVLTFIVSQSNTHLSIGIAVWVATVAFLWLMISVRPEHRARVIRFCCCCFRQN* >Brasy2G283500.1.p pacid=40069805 transcript=Brasy2G283500.1 locus=Brasy2G283500 ID=Brasy2G283500.1.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy2G283500.2.p pacid=40069806 transcript=Brasy2G283500.2 locus=Brasy2G283500 ID=Brasy2G283500.2.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy2G283500.3.p pacid=40069807 transcript=Brasy2G283500.3 locus=Brasy2G283500 ID=Brasy2G283500.3.v1.1 annot-version=v1.1 METSAVTISSSPPPSPSPQAPPANLDAVSLGRLSANLERLLDPAFLNCADAEVVLADGGDGATVAVHRCILAARSNFFLEHFSSLSSPAAGGKPRLELAELVPGGRHVGHDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHQACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNNALAEDILPIIHVASTCQLPDLLNQCIQRVADSSIDSHYLEKELPGETFAKVKEIRRYCLHDETDEFNLDPEHAKRVRNIHKALDSDDVALVDMLLKESAINLDDAYAIHYAAAYCEPKVLAGMLNLDSANVNLKNDSGYTPLHIACMRREPDIIVSLIEKGASVVDRTRDGRDALTICKRLTREKDCRKKLEKCKERSKAYLCIDILEQVIKTKSSISEERLCEEVQIATPLLADNFHMRLLNLENRGLHILFILI* >Brasy2G240600.1.p pacid=40069808 transcript=Brasy2G240600.1 locus=Brasy2G240600 ID=Brasy2G240600.1.v1.1 annot-version=v1.1 MAGVDAERYVQSPAHHAVAIRDHAALRRVLDALPRARKPEEIRTEADSIAEETRAEAVSAVIDRRDVPARETPLHLAVRLGDAVAAEMLMAAGADWSLQNEHGWSALQEAICAREETLARVIVRYYQPLAWAKWCRRLPRVVATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQVVLLPQVTWRRQERTEAVGAWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKRQLESALKMDSPDSGRASQSDTFLGPRHSCFEPREREIPIEDLSISGNGDSKHDRKGWFSSWGKRGQTSISKQEGTKKMAPPRSSLCVDEKVSDLLVESPSNVQTKPGRHSVDVVRADANRRGKERDRKPTTSENGHRRKESTKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNCKSPVAQTSSSSWVQWIKAPYRQNFSAGQGPSSRVEDIQDPFVIPADYIWTTPEEKKKKTQESKNKSKKGRSAT* >Brasy2G185900.1.p pacid=40069809 transcript=Brasy2G185900.1 locus=Brasy2G185900 ID=Brasy2G185900.1.v1.1 annot-version=v1.1 MVERSLSMREARGSIPRISNSILQFFFAGEMLFDIVPLARLGFLSVAVIQKLVKKEEACRCRASFSTVSPMGLLARLSRRWCGIAAVICLCATSCNPDVAPIEPLLLPDEPARSLQCFEDGQVYDCCEDAYRLNPSGIIGVPVGAVEYYCGGACVVETEDVLNCVASALDGFRFHNGASVEDVRYALRRGCSHTIKRGDFNDLEPPIGDYPDIYGDFGSDGHRFTVPLRMLAALSGALLLWVLVAE* >Brasy2G185900.2.p pacid=40069810 transcript=Brasy2G185900.2 locus=Brasy2G185900 ID=Brasy2G185900.2.v1.1 annot-version=v1.1 MGLLARLSRRWCGIAAVICLCATSCNPDVAPIEPLLLPDEPARSLQCFEDGQVYDCCEDAYRLNPSGIIGVPVGAVEYYCGGACVVETEDVLNCVASALDGFRFHNGASVEDVRYALRRGCSHTIKRGDFNDLEPPIGDYPDIYGDFGSDGHRFTVPLRMLAALSGALLLWVLVAE* >Brasy2G248700.1.p pacid=40069811 transcript=Brasy2G248700.1 locus=Brasy2G248700 ID=Brasy2G248700.1.v1.1 annot-version=v1.1 MAKPLPQVFVYRISTGDEWAELERTGCTLGGDLDRSTGCIHLSDLSQVKMTLKNFFLGRTDLYLLQIDTAMLADGLIYEASDDCNYFPHFYGPHRTFAPLLLSAVVKADKIELANNDFTCCLLDGAAL* >Brasy2G492400.1.p pacid=40069812 transcript=Brasy2G492400.1 locus=Brasy2G492400 ID=Brasy2G492400.1.v1.1 annot-version=v1.1 MLTIRSAKCPCVSVGAAGALVMLVATVHVFMVPILPSSLDFFGTRGRVARPWNVFPGVGIVDSRFRAQFPADSYGAVMFRGAPWKPGVGKWLAGCHAGSSAVNITEVIGAKQCEKDCSGHGVCNYDLGECRCFHGYAGKGCEEVLKLECNFPSSPEWPVGRWVVSICPAQCDTTRAMCFCGPGTKYPDRPVAEACGFKTTSPAKPDDPKIPDWKAPDPDVFTTNSSKPGWCNVDPQDAYSSKVEFKLECDCKYDGLWGQFCETRVECSCINQCSGHGQCRGGFCQCDSGYFGIDCSVPSAYSLAYDWPSWLQSPANLPDLKKLSKSPINVNAVVKKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWTEQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLTPEDLHLRSYHALEYYKKAYDHISQRYAYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHENSTTAYWADNWDDIPLDRRGNHPCFDPRKDLVLPAWKVPEPGAIWLKLWARPRINRTTLFYFNGNLGPAYEQGRPEDTYSMGIRQKLAAEFGSTPNKDGKLGRQHTSNVTVTYLRSEKYYEELASSIFCGALPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEHDIPNLIRILGGINETQIEFMLGNVRQIWQRFFYRGSMLLEAQRQKRLFSEEAPWSVEVSKLEDSDDVFATFIQVLHYKLYNDPWRQHLQEKETGLPNICSKAS* >Brasy2G492400.2.p pacid=40069813 transcript=Brasy2G492400.2 locus=Brasy2G492400 ID=Brasy2G492400.2.v1.1 annot-version=v1.1 MLTIRSAKCPCVSVGAAGALVMLVATVHVFMVPILPSSLDFFGTRGRVARPWNVFPGVGIVDSRFRAQFPADSYGAVMFRGAPWKPGVGKWLAGCHAGSSAVNITEVIGAKQCEKDCSGHGVCNYDLGECRCFHGYAGKGCEEVLKLECNFPSSPEWPVGRWVVSICPAQCDTTRAMCFCGPGTKYPDRPVAEACGFKTTSPAKPDDPKIPDWKAPDPDVFTTNSSKPGWCNVDPQDAYSSKVEFKLECDCKYDGLWGQFCETRVECSCINQCSGHGQCRGGFCQCDSGYFGIDCSVPSAYSLAYDWPSWLQSPANLPDLKKLSKSPINVNAVVKKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWTEQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLTPEDLHLRSYHALEYYKKAYDHISQRYAYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHENSTTAYWADNWDDIPLDRRGNHPCFDPRKDLVLPAWKVPEPGAIWLKLWARPRINRTTLFYFNGNLGPAYEQGRPEDTMGIRQKLAAEFGSTPNKDGKLGRQHTSNVTVTYLRSEKYYEELASSIFCGALPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEHDIPNLIRILGGINETQIEFMLGNVRQIWQRFFYRGSMLLEAQRQKRLFSEEAPWSVEVSKLEDSDDVFATFIQVLHYKLYNDPWRQHLQEKETGLPNICSKAS* >Brasy2G492400.3.p pacid=40069814 transcript=Brasy2G492400.3 locus=Brasy2G492400 ID=Brasy2G492400.3.v1.1 annot-version=v1.1 MLVATVHVFMVPILPSSLDFFGTRGRVARPWNVFPGVGIVDSRFRAQFPADSYGAVMFRGAPWKPGVGKWLAGCHAGSSAVNITEVIGAKQCEKDCSGHGVCNYDLGECRCFHGYAGKGCEEVLKLECNFPSSPEWPVGRWVVSICPAQCDTTRAMCFCGPGTKYPDRPVAEACGFKTTSPAKPDDPKIPDWKAPDPDVFTTNSSKPGWCNVDPQDAYSSKVEFKLECDCKYDGLWGQFCETRVECSCINQCSGHGQCRGGFCQCDSGYFGIDCSVPSAYSLAYDWPSWLQSPANLPDLKKLSKSPINVNAVVKKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWTEQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLTPEDLHLRSYHALEYYKKAYDHISQRYAYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHENSTTAYWADNWDDIPLDRRGNHPCFDPRKDLVLPAWKVPEPGAIWLKLWARPRINRTTLFYFNGNLGPAYEQGRPEDTYSMGIRQKLAAEFGSTPNKDGKLGRQHTSNVTVTYLRSEKYYEELASSIFCGALPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEHDIPNLIRILGGINETQIEFMLGNVRQIWQRFFYRGSMLLEAQRQKRLFSEEAPWSVEVSKLEDSDDVFATFIQVLHYKLYNDPWRQHLQEKETGLPNICSKAS* >Brasy2G492400.4.p pacid=40069815 transcript=Brasy2G492400.4 locus=Brasy2G492400 ID=Brasy2G492400.4.v1.1 annot-version=v1.1 MLVATVHVFMVPILPSSLDFFGTRGRVARPWNVFPGVGIVDSRFRAQFPADSYGAVMFRGAPWKPGVGKWLAGCHAGSSAVNITEVIGAKQCEKDCSGHGVCNYDLGECRCFHGYAGKGCEEVLKLECNFPSSPEWPVGRWVVSICPAQCDTTRAMCFCGPGTKYPDRPVAEACGFKTTSPAKPDDPKIPDWKAPDPDVFTTNSSKPGWCNVDPQDAYSSKVEFKLECDCKYDGLWGQFCETRVECSCINQCSGHGQCRGGFCQCDSGYFGIDCSVPSAYSLAYDWPSWLQSPANLPDLKKLSKSPINVNAVVKKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWTEQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLTPEDLHLRSYHALEYYKKAYDHISQRYAYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHENSTTAYWADNWDDIPLDRRGNHPCFDPRKDLVLPAWKVPEPGAIWLKLWARPRINRTTLFYFNGNLGPAYEQGRPEDTMGIRQKLAAEFGSTPNKDGKLGRQHTSNVTVTYLRSEKYYEELASSIFCGALPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIQEHDIPNLIRILGGINETQIEFMLGNVRQIWQRFFYRGSMLLEAQRQKRLFSEEAPWSVEVSKLEDSDDVFATFIQVLHYKLYNDPWRQHLQEKETGLPNICSKAS* >Brasy2G292400.1.p pacid=40069816 transcript=Brasy2G292400.1 locus=Brasy2G292400 ID=Brasy2G292400.1.v1.1 annot-version=v1.1 MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSSTDGLCLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVIPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGARVHREASYSSSPPSVTVREAKPRRAPSTPMRDEDDSLLPCRVNSSENMSPESEEPQRTVMSRAGSASPAEFRVYKPTGLMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRQQSHPRRSAELQGISRDVISQCPTPLSIASTRGKSESLESLIRADNAMNSFRVIEEGDIVVPTCPKLRPANVLMQLITCGSLSVKDHDSIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGKRLEDKEYFSGSIIETKMQREVPAERHSVLKRSSSYNAERGGETLDCARPDEEDTVSRLRCLPRTPILSSFLHPRSEAQKSPVSDCRRSSSAGQDSSIASVDGGSKRFTDASVAPARIDSFRNENKDKLVKIEESCRQELAL* >Brasy2G292400.5.p pacid=40069817 transcript=Brasy2G292400.5 locus=Brasy2G292400 ID=Brasy2G292400.5.v1.1 annot-version=v1.1 MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSSTDGLCLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVIPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGARVHREASYSSSPPSVTVREAKPRRAPSTPMRDEDDSLLPCRVNSSENMSPESEEPQRTVMSRAGSASPAEFRVYKPTGLMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRQQSHPRRSAELQGISRDVISQCPTPLSIASTRGKSESLESLIRADNAMNSFRVIEEGDIVVPTCPKLRPANVLMQLITCGSLSVKDHDSIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGKRLEDKEYFSGSIIETKMQREVPAERHSVLKRSSSYNAERGGETLDCARPDEEDTVSRLRCLPRTPILSSFLHPRSEAQKSPVSDCRRSSSAGQDSSIASVDGGSKRFTDASVAPARIDSFRNENKDKLVKIEES* >Brasy2G292400.4.p pacid=40069818 transcript=Brasy2G292400.4 locus=Brasy2G292400 ID=Brasy2G292400.4.v1.1 annot-version=v1.1 MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSSTDGLCLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVIPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGARVHREASYSSSPPSVTVREAKPRRAPSTPMRDEDDSLLPCRVNSSENMSPESEEPQRTVMSRAGSASPAEFRVYKPTGLMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRQQSHPRRSAELQGISRDVISQCPTPLSIASTRGKSESLESLIRADNAMNSFRVIEEGDIVVPTCPKLRPANVLMQLITCGSLSVKDHDSIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGKRLEDKEYFSGSIIETKMQREVPAERHSVLKRSSSYNAERGGETLDCARPDEEDTVSRLRCLPRTPILSSFLHPRSEAQKSPVSDCRRSSSAGQDSSIASVDGGSKRFTDASVAPARIDSFRNENKDKLVKIEES* >Brasy2G292400.2.p pacid=40069819 transcript=Brasy2G292400.2 locus=Brasy2G292400 ID=Brasy2G292400.2.v1.1 annot-version=v1.1 MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSSTDGLCLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVIPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGARVHREASYSSSPPSVTVREAKPRRAPSTPMRDEDDSLLPCRVNSSENMSPESEEPQRTVMSRAGSASPAEFRVYKPTGLMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRQQSHPRRSAELQGISRDVISQCPTPLSIASTRGKSESLESLIRADNAMNSFRVIEEGDIVVPTCPKLRPANVLMQLITCGSLSVKDHDSIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGKRLEDKEYFSGSIIETKMQREVPAERHSVLKRSSSYNAERGGETLDCARPDEEDTVSRLRCLPRTPILSSFLHPRSEAQKSPVSDCRRSSSAGQDSSIASVDGGSKRFTDASVAPARIDSFRNENKDKLVKIEES* >Brasy2G292400.3.p pacid=40069820 transcript=Brasy2G292400.3 locus=Brasy2G292400 ID=Brasy2G292400.3.v1.1 annot-version=v1.1 MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSSTDGLCLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVIPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGARVHREASYSSSPPSVTVREAKPRRAPSTPMRDEDDSLLPCRVNSSENMSPESEEPQRTVMSRAGSASPAEFRVYKPTGLMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRQQSHPRRSAELQGISRDVISQCPTPLSIASTRGKSESLESLIRADNAMNSFRVIEEGDIVVPTCPKLRPANVLMQLITCGSLSVKDHDSIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGKRLEDKEYFSGSIIETKMQREVPAERHSVLKRSSSYNAERGGETLDCARPDEEDTVSRLRCLPRTPILSSFLHPRSEAQKSPVSDCRRSSSAGQDSSIASVDGGSKRFTDASVAPARIDSFRNENKDKLVKIEES* >Brasy2G201300.1.p pacid=40069821 transcript=Brasy2G201300.1 locus=Brasy2G201300 ID=Brasy2G201300.1.v1.1 annot-version=v1.1 MAPPFAAAAVSSSSPLFSPSSSRPIPRRQLPLTSISLRPRRLSLAASAAAAESAGRLLLEVRGLTASVKETGQQILKGVDLTIHEGEVHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGENLIDMEPEERSLAGLFMSFQAPIEIPGVSNYDFLLMALNARREKNGLPALGPLEFYSVVSQKVEALKMEPKILDRNVNEGFSGGERKRNEILQLSVVGADLALLDEIDSGLDVDALEDVAHAVNGLLSPQNSVLMITHYQRLLDLIKPSYVHIMESGKIVKTGDSSLASHINEGGFKSIATFV* >Brasy2G028600.1.p pacid=40069822 transcript=Brasy2G028600.1 locus=Brasy2G028600 ID=Brasy2G028600.1.v1.1 annot-version=v1.1 MGKLAQMIITLTILLLYTGLQVEGTIGTNVREYIPKQSSKLVDLNDYGVEFKRCRKCSCTSGGNDKNVSAQAPGLCCYQFRCNQPNDPIGSCHLKVLSCTCDYNTCQ* >Brasy2G035800.1.p pacid=40069823 transcript=Brasy2G035800.1 locus=Brasy2G035800 ID=Brasy2G035800.1.v1.1 annot-version=v1.1 MAVLVLAAVVLWLCCAGRHAQAQAPATQLQLGFYAQSCPQAEVIVRDEVGRAVSTDPGLAAGLLRLHFHDCFVRGCDASVLLDTTAGNGSTAAEKDAAPNRTLRGFEMIDGAKKRAAGERTGGSPYGVPAGRRDGNVSSASDAQASLPPPTANVAQLTQFFARNGLSKEDMVTLSGAHTIGVTHCSSFSARLYSGDNNSTNTVHDPAMDNATASELARRCPPGSADTVPMDLGGGGGGGGPADENAFDTGYFQALLAHRGLLGSDQALTADNATAALVAQNAGNLYLFVSRFADAMVRMGAVRVLTGSDGQIRTNCRVVN* >Brasy2G209900.1.p pacid=40069824 transcript=Brasy2G209900.1 locus=Brasy2G209900 ID=Brasy2G209900.1.v1.1 annot-version=v1.1 MKLIAWNCRGLGNRPAVRGLLALQKEDPDFLFLSETKLDGRRLEKFRGMLGLQGMLVKDCVGRSGGIALFWRRGVDVTLRWMGRGHIDVEVKEVDGFRWRFTGIYGHPQHKETTWRLLHTLHNQSSLPWVCMGDFNEVLFSHEKQGGVVRSQICMDKFRDALMYCGLNDLGFEGDVFTWRNNNYRVDGYVRERLDRAVANQAWCNRFPGYRVRNVEPEHSDHRLVVLSVDGCRRKAGRGGNNEECEHVVTNAWNTAKLRGNVRAADILRSISLELQTWDREVLGDIHKRVKELKSSLEACRRAELTSRSVQREQVLRFKIDRLEEQLDVMWRQRAHVNWLDKGDRNTSFFHAAASERKKQNTIKSLKDDAGVEGLSLVRRWIIFTQR* >Brasy2G358200.1.p pacid=40069825 transcript=Brasy2G358200.1 locus=Brasy2G358200 ID=Brasy2G358200.1.v1.1 annot-version=v1.1 MLPRRGLVVAVLRLFVLLLPAALAQGQPPLPRQDVAALYRLRASLGVRARDWPVKADPCAAWAGVACRAGRVKEIRLGGLRRTTAGARSASFAVDALGVLTALEAFNASGFPLPGPIPAWFGRGLPPSLAILDLRSANVNGKLPLYLGMSGNLSTLVLAGNSLSGRIPASLFSSKTLQFVDLSNNNLTGEFPSVSVSAGDVAGVLFNASGNSLYGAIDDGLGSLKKRFQVVDVSSNYFGQAAGTGFGNGSDGTVYVKMNCLSNIPSQRSRGDCEEFYKRNGLPLPEPPQASPSPGKKWVKWKYVLTGVLGAAAVVVVLFLIALVFCLVGRGRKRPKGRGLEQNEEGIRSGRRSSSVNPVVLSPTAAPWTANTAPKGLPIIMEEFTYEQLHHVTGGFGDYNLVKHGRSGDIYQGVLDNGFNVVVKRIDLKSAKKNIEELGFLMKKSHGRIVPLLGHLVKDEEALLVYKYMAKGDLTTVLHKKPVHAEERLCSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVRLGSLSEICRQPSEGSRSFISRVLRSSKSLDKNKSGPPASCSYDVYCFGKVLLGLITGNFGASGSSDTVSEEWLASTLGYIETHDKESIANIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELFSSSCSSPRLRSTSSRSSWRSAFHGHSYRWSELTQTPGKALAPKQSVKSQGSDEEENQFSFKMASREMFPDAVELEENVVV* >Brasy2G026200.1.p pacid=40069826 transcript=Brasy2G026200.1 locus=Brasy2G026200 ID=Brasy2G026200.1.v1.1 annot-version=v1.1 MVERTTPQQRLKEGDGALGRHRCRLRSATRGMAFSRRSLPTRHQLAHLHLHPLQHLQPEQIWPNLAGSGRRPPPPRRGALQPHHPTTRPREPPELTPPAPPSRRAPTPN* >Brasy2G461200.1.p pacid=40069827 transcript=Brasy2G461200.1 locus=Brasy2G461200 ID=Brasy2G461200.1.v1.1 annot-version=v1.1 MPATATATADPSNPKYFMYPDQIMEDIIGNNEGQGEEDLCEQWGEDSQDGVNMDSEPLYADALEHAPRLQKKGKSKRTAAYAEWQAYWKQIFDFFHEQRQFPPHNFASDRGVLSLQKRWGLIQQECNKFAGANDHVRDMPLSGVGVKDLELYVSTKKADSNGKKRDASTIDLEASGHSEAASRPVRPRGRTNSKVDAEKEASNLAFEETIKKILADKEAGREKFQQKKEEQMNKYLELQKRKLAIEEANATTRIKEAEAAMLAEETRIMTADLSLLDPERRSWFEARRKMIQDQDAPSRDRDAASPSS* >Brasy2G046100.1.p pacid=40069828 transcript=Brasy2G046100.1 locus=Brasy2G046100 ID=Brasy2G046100.1.v1.1 annot-version=v1.1 MGGGEEGEGGESAGAAPATLHIRGASGNKFAVQADLGATVGAFKAIVSQSCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPATAAAPAAANHGISTTTPANTPAAGFGGLLQGLGATGTAGTGLGLPGSGLAGLEQMQQQLTENPNLMREILNMPAMQSIMNNPDVMRDMIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGESDRNPNPFAALLGNQGSNQARDPAGNAPTTASESTAGSPAPNTNPLPNPWSANAGAAQGAARPSPASNARTATSAGLGLGGLGSADLGSMLGGGSDASFLNQVLQNPTMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNSQMREMFQNPEFLRQLTSPETLQQLISFQQAMTSQLGQQQAGQERTQAGAGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVPPEELYATQLAQLQEMGFFDPQENIRALVATAGNVNAAVERLLGNFGQ* >Brasy2G167700.1.p pacid=40069829 transcript=Brasy2G167700.1 locus=Brasy2G167700 ID=Brasy2G167700.1.v1.1 annot-version=v1.1 MAASPASRFAEEALPPTLTSASEQPPPYDGTARLYMSYTCPYAQRAWVTRNCKGLQEKIKLVPLDMADRPAWYKKICPQNMVPSLEHNNKVIGESLDLIKYMDSNFEGPKLTPDDSEKRGFAEELLAYSDTFNLAMRSALTSTGPVTAEAVAALDKVEFSLSKFDDGPFFLGQFSLVDVAYAPFVEGFQIFFADIKNYDTTAGRPNTRRFIEEMNNIAAYAHTKQDPQELVALTKKKLGVRRSSRD* >Brasy2G167700.2.p pacid=40069830 transcript=Brasy2G167700.2 locus=Brasy2G167700 ID=Brasy2G167700.2.v1.1 annot-version=v1.1 MAASPASRFAEEALPPTLTSASEQPPPYDGTARLYMSYTCPYAQRAWVTRNCKGLQEKIKLVPLDMADRPAWYKKICPQNMVPSLEHNNKVIGESLDLIKYMDSNFEGPKLTPDDSEKRGFAEELLAYSDTFNLAMRSALTSTGPVTAEAVAALDKVEFSLSKFDDGPFFLGQFSLVDVAYAPFVEGFQIFFADIKNYDTTAGRPNTRRFIEEMNNIAAYAHTKQDPQELVALTKKKLGI* >Brasy2G392400.1.p pacid=40069831 transcript=Brasy2G392400.1 locus=Brasy2G392400 ID=Brasy2G392400.1.v1.1 annot-version=v1.1 MPVGGHADLVRTRCVRVSAGKLRYVHLHGDLSENDMLLAVAMWTLEGDDAGWVPEYDVPLSEIWADRSYEDTKMPRRAPVIAAVNPQNPREVYFFLDAWIFAVDVPARRVIQHGVCRLVLPRPDLFSSRYVHAWELPPSVRLRKGAAASPSPSPAGGPAGGRAGEEKPTKADAGGEVSKPKESKLMKKRQMETKAAMESELSKKRKFVKLDHEGNYTEDYGDVPLICFQTMRTCHRARIDISQIGPDTVGQTVMVQGWAQSIKKIGKSSILLVLRDGLPILHCLLMRDTAYVTEDMVAFVRAIPKESFIHVEGLIQMNDNKVQLAIERLFCIQRSHGKLPFLLEDAARGEHEQFDKRGNKLAHVSLTNRLDNRFIDLRVPATQAIFWVQCAVESKFTQMMLNNGFLGIHSPKITPGISEGGSEVFELQYHDKIASLAQSPQLYKQMAINGGVKKVFEIGPVFRAEKSNTHRHLCEYVGLHAEMQISKHYFEVCDLVGSIFVSIFDDLSENHAETLRIVKQQFPCEDLKYLRETLMVKYSDGIKMLNEAGYKVKEFEGLSNKEEKQLGQIIREKYKTDFYILYEYPLAVRPFYTMPCSTTYSNSFDAFIRGEEVLSGGQSIHDLDVLLERIAECGINKRSLQGFLESFECGAPPRGVFGAGLERFVMLYLALPDIRMASLYPRDRHRLTP* >Brasy2G392400.2.p pacid=40069832 transcript=Brasy2G392400.2 locus=Brasy2G392400 ID=Brasy2G392400.2.v1.1 annot-version=v1.1 MPVGGHADLVRTRCVRVSAGKLRYVHLHGDLSENDMLLAVAMWTLEGDDAGWVPEYDVPLSEIWADRSYEDTKMPRRAPVIAAVNPQNPREVYFFLDAWIFAVDVPARRVIQHGVCRLVLPRPDLFSSRYVHAWELPPSVRLRKGAAASPSPSPAGGPAGRAGEEKPTKADAGGEVSKPKESKLMKKRQMETKAAMESELSKKRKFVKLDHEGNYTEDYGDVPLICFQTMRTCHRARIDISQIGPDTVGQTVMVQGWAQSIKKIGKSSILLVLRDGLPILHCLLMRDTAYVTEDMVAFVRAIPKESFIHVEGLIQMNDNKVQLAIERLFCIQRSHGKLPFLLEDAARGEHEQFDKRGNKLAHVSLTNRLDNRFIDLRVPATQAIFWVQCAVESKFTQMMLNNGFLGIHSPKITPGISEGGSEVFELQYHDKIASLAQSPQLYKQMAINGGVKKVFEIGPVFRAEKSNTHRHLCEYVGLHAEMQISKHYFEVCDLVGSIFVSIFDDLSENHAETLRIVKQQFPCEDLKYLRETLMVKYSDGIKMLNEAGYKVKEFEGLSNKEEKQLGQIIREKYKTDFYILYEYPLAVRPFYTMPCSTTYSNSFDAFIRGEEVLSGGQSIHDLDVLLERIAECGINKRSLQGFLESFECGAPPRGVFGAGLERFVMLYLALPDIRMASLYPRDRHRLTP* >Brasy2G375800.1.p pacid=40069833 transcript=Brasy2G375800.1 locus=Brasy2G375800 ID=Brasy2G375800.1.v1.1 annot-version=v1.1 MGSTVKVHNVSLQASERDIKEFFCFSGNIIHVEMQSGDQRSQFAYITFKDDQEAERAMLLTGATIVDMAVIITPATNYQLPAAVLADLESKTPRVIESALQKAEDVVGSMLAKGYVLGKDALEKAKTFDETHQITTTATAKVSSIDKSLGLSEKISIGTLVVNEKMKEMDEKYQVAEKTKSALVAAEQTVSTAGSKIMSNRYILTGAAWVTGAYNKVATTATDTYNKEMMMAEQDDTKKDELMKSHLHESCEEAGHERKHQEGDPAKVPIVESTEAGQMADQKGECPKTNMPEGSERGIEELNNQDGEIAKDQGQENTEMGLDGDKHQQTELPKANTPESLLMAEQTEQEHKHPDSEFANTHISDSPVTIPVCMATADEKSSNTPEKPEPAQGFI* >Brasy2G375800.2.p pacid=40069834 transcript=Brasy2G375800.2 locus=Brasy2G375800 ID=Brasy2G375800.2.v1.1 annot-version=v1.1 MHPGIHFASLCYLMITAQLRLSQVEFALFTPLSFFGFISLICEKKGATIVDMAVIITPATNYQLPAAVLADLESKTPRVIESALQKAEDVVGSMLAKGYVLGKDALEKAKTFDETHQITTTATAKVSSIDKSLGLSEKISIGTLVVNEKMKEMDEKYQVAEKTKSALVAAEQTVSTAGSKIMSNRYILTGAAWVTGAYNKVATTATDTYNKEMMMAEQDDTKKDELMKSHLHESCEEAGHERKHQEGDPAKVPIVESTEAGQMADQKGECPKTNMPEGSERGIEELNNQDGEIAKDQGQENTEMGLDGDKHQQTELPKANTPESLLMAEQTEQEHKHPDSEFANTHISDSPVTIPVCMATADEKSSNTPEKPEPAQGFI* >Brasy2G155400.1.p pacid=40069835 transcript=Brasy2G155400.1 locus=Brasy2G155400 ID=Brasy2G155400.1.v1.1 annot-version=v1.1 MEDRGGGRRVGEYMLVRPIGSGAYSQVWLGKHLTRGTEVAVKEIAMERLSSKLRDSLLSEVDILRRIRHPNIIALHDSVKDSGRIYLILEYCRGGDLYTYLMRHKRVPETVAKHFIRQLACGLQMLRDNNVVHRDLKPQNILLVANNENSTLKIADFGFAKFLQPSCLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFNGDNQIQLLKNILKSGQLRFPSDCELSHECIDLCRKLLRISSVERLTVEEFVNHPFLFEHAPERTLSRTPSDTRDGFPFIKSSPTRLSSQSSQEDCMPFPLDLSTGQDESAVPESSSPLKSYGFPTNKKLDKTSGQSPSKHTGLFSKYIMGNNYAHSNQRMDHPGKRTKESKIGVGHDPKGGYPEDSPIIDSLEFVDQEYVFVPGNPEGSSSSTSASLQRNLPLKYDNSSVSPPDLAALSAPMPINGTAINRQQSAGTGSLDSHCSPVSGTSQGSAYLSDGMDQPPSDYLTRVRLLGRYASAIVELVKREMKDGRHLEAFSIQLIVLATWKQAIHICNFYAASASRESPSHDIIMKGLDADAPHLLANSQLANDECMQIERQFLIDVECAEELASTVGQIPDATAMPDAVEIIFQSALELGRHGGVDEMMGKVALAISQYTKAVCMLRFLLTEAPSLALNPPLSLTRSDRHRLRSYIEALNTRLGQLQCQRH* >Brasy2G155400.2.p pacid=40069836 transcript=Brasy2G155400.2 locus=Brasy2G155400 ID=Brasy2G155400.2.v1.1 annot-version=v1.1 MEDRGGGRRVGEYMLVRPIGSGAYSQVWLGKHLTRGTEVAVKEIAMERLSSKLRDSLLSEVDILRRIRHPNIIALHDSVKDSGRIYLILEYCRGGDLYTYLMRHKRVPETVAKHFIRQLACGLQMLRDNNVVHRDLKPQNILLVANNENSTLKIADFGFAKFLQPSCLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFNGDNQIQLLKNILKSGQLRFPSDCELSHECIDLCRKLLRISSVERLTVEEFVNHPFLFEHAPERTLSRTPSDTRDGFPFIKSSPTRLSSQSSQEDCMPFPLDLSTGQDESAVPESSSPLKSYGFPTNKKLDKTSGQSPSKHTGLFSKYIMGNNYAHSNQRMDHPGKRTKESKIGVGHDPKGGYPEDQEYVFVPGNPEGSSSSTSASLQRNLPLKYDNSSVSPPDLAALSAPMPINGTAINRQQSAGTGSLDSHCSPVSGTSQGSAYLSDGMDQPPSDYLTRVRLLGRYASAIVELVKREMKDGRHLEAFSIQLIVLATWKQAIHICNFYAASASRESPSHDIIMKGLDADAPHLLANSQLANDECMQIERQFLIDVECAEELASTVGQIPDATAMPDAVEIIFQSALELGRHGGVDEMMGKVALAISQYTKAVCMLRFLLTEAPSLALNPPLSLTRSDRHRLRSYIEALNTRLGQLQCQRH* >Brasy2G155400.3.p pacid=40069837 transcript=Brasy2G155400.3 locus=Brasy2G155400 ID=Brasy2G155400.3.v1.1 annot-version=v1.1 MEDRGGGRRVGEYMLVRPIGSGAYSQVWLGKHLTRGTEVAVKEIAMERLSSKLRDSLLSEVDILRRIRHPNIIALHDSVKDSGRIYLILEYCRGGDLYTYLMRHKRVPETVAKHFIRQLACGLQMLRDNNVVHRDLKPQNILLVANNENSTLKIADFGFAKFLQPSCLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFNGDNQIQLLKNILKSGQLRFPSDCELSHECIDLCRKLLRISSVERLTVEEFVNHPFLFEHAPERTLSRTPSDTRDGFPFIKSSPTRLSSQSSQEDCMPFPLDLSTGQDESAVPESSSPLKSYGFPTNKKLDKTSGQSPSKHTGLFSKYIMGNNYAHSNQRMDHPGKRTKESKIGVGHDPKGGYPEDSPIIDSLEFVDQEYVFVPGNPEGSSSSTSASLQRNLPLKYDNSSVSPPDLAALSAPMPINGTAINRQQSAGTGSLDSHCSPVSGTSQGSAYLSDGMDQPPSDYLTRVRLLGRYASAIVELVKREQTMFLDYIDLPKSLLVLAM* >Brasy2G155400.4.p pacid=40069838 transcript=Brasy2G155400.4 locus=Brasy2G155400 ID=Brasy2G155400.4.v1.1 annot-version=v1.1 MEDRGGGRRVGEYMLVRPIGSGAYSQVWLGKHLTRGTEVAVKEIAMERLSSKLRDSLLSEVDILRRIRHPNIIALHDSVKDSGRIYLILEYCRGGDLYTYLMRHKRVPETVAKHFIRQLACGLQMLRDNNVVHRDLKPQNILLVANNENSTLKIADFGFAKFLQPSCLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFNGDNQIQLLKNILKSGQLRFPSDCELSHECIDLCRKLLRISSVERLTVEEFVNHPFLFEHAPERTLSRTPSDTRDGFPFIKSSPTRLSSQSSQEDCMPFPLDLSTGQDESAVPESSSPLKSYGFPTNKKLDKTSGQSPSKHTGLFSKYIMGNNYAHSNQRMDHPGKRTKESKIGVGHDPKGGYPEDQEYVFVPGNPEGSSSSTSASLQRNLPLKYDNSSVSPPDLAALSAPMPINGTAINRQQSAGTGSLDSHCSPVSGTSQGSAYLSDGMDQPPSDYLTRVRLLGRYASAIVELVKREQTMFLDYIDLPKSLLVLAM* >Brasy2G006800.1.p pacid=40069839 transcript=Brasy2G006800.1 locus=Brasy2G006800 ID=Brasy2G006800.1.v1.1 annot-version=v1.1 MASSAALENLKATELRLGLPGVEDDSPEPATPKSGSKRGAVEEAANNDKTVAAAPAAKAQVVGWPPVRSYRKSCFQQQQQSKSKPAAETQTPAPEKKKDAAEAPPPPAVGSGSLYVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLSCFSSGSGDAAAVNPADFAVTYEDKDGDLMLVGDVPFQMFMSTCKRLRIMKGSEARGLGSSSKE* >Brasy2G081600.1.p pacid=40069840 transcript=Brasy2G081600.1 locus=Brasy2G081600 ID=Brasy2G081600.1.v1.1 annot-version=v1.1 MEITSSAMLKPVYSAPHPLAGEKVPLTVFDRPALDMAVAPSPHLGRFAVDSRGRRFIHVNDEGVLVIEATVAADLADVLVGGRMAGSADILYPKIPEENVGAALLQVKLNRYRCGGLVIGVCYHHHTADGVAMDAFLTRWARAVREGKGFTVPSLFLDRGATAVPRSTPKPVFDHRSIEFKAREDNNSSNDNSSKSYDVLPMDKIKNLTVHFSAEFIAQLKARVGTRCSTFQCLLAHAWKTITAARGLKPDEFTQVKVAVNCRGKASSPVPTEFFGNMVLWAFPRLQARDVLRWSYGRVVGAIRDAVARVDEEYIQSFVDFGGVPDANGEELQATAGAGTMLCPDTEVDSWLGFKINRLDFGTGTNTVFLPPDLPIEGLLVFVPSCTEMGAVDLVLAVAEDHAAAFENTCYSLLGLPARM* >Brasy2G298400.1.p pacid=40069841 transcript=Brasy2G298400.1 locus=Brasy2G298400 ID=Brasy2G298400.1.v1.1 annot-version=v1.1 MAMRPRHSPSFFGGLRARELSGGRGSSRASAARLPYLSDLSSDPGDRGSGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVGIYDTRRRLPSRSSSIEKTAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENQKCLGVLAGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKTPNGQGEACLMSSAVVKEAHSPARRSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKFWDTRNLRAPVSNKTSQSAAQPLKDGVKHGISCLSQDSYGAYIAASCMDSRIYLYSALHLDKGPVKVYTGSKIESFFVKSAISPDGTHILSGSSDGSVYLWQVDRPENGPIVLKGHEGEATSVDWCALEVGKIVSSSDDSTVRVWNTKKVDCTNISSPTVIRKRVTALNIECPRSASHEQATTSRDVAVCTRADSELPISSHSPLKPRVLEFGTPESAKKRAFSLFQEEALDMRKSPGAQTNSPSSVLSPPPSLKRRTIRDYFASSTS* >Brasy2G298400.2.p pacid=40069842 transcript=Brasy2G298400.2 locus=Brasy2G298400 ID=Brasy2G298400.2.v1.1 annot-version=v1.1 MAMRPRHSPSFFGGLRARELSGGRGSSRASAARLPYLSDLSSDPGDRGSGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVGIYDTRRRLPSRSSSIEKTAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENQKCLGVLAGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKTPNGQGEACLMSSAVVKEAHSPARRSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKFWDTRNLRAPVSNKTSQSAAQPLKDGVKHGISCLSQDSYGAYIAASCMDSRIYLYSALHLDKGPVKVYTGSKIESFFVKSAISPDGTHILSGSSDGSVYLWQVDRPENGPIVLKGHEGEATSVDWCALEVGKIVSSSDDSTVRVWNTKKVDCTNISSPTVIRKRVTALNIECPRSASHEQATTSRDVAVCTRADSELPISSHSPLKPRVLEFGTPESAKKRAFSLFQEEALDMRKSPGAQTNSPSSVLSPPPSLKRRTIRDYFASSTS* >Brasy2G298400.3.p pacid=40069843 transcript=Brasy2G298400.3 locus=Brasy2G298400 ID=Brasy2G298400.3.v1.1 annot-version=v1.1 MAMRPRHSPSFFGGLRARELSGGRGSSRASAARLPYLSDLSSDPGDRGSGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVGIYDTRRRLPSRSSSIEKTAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENQKCLGVLAGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKTPNGQGEACLMSSAVVKEAHSPARRSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKFWDTRNLRAPVSNKTSQSAAQPLDGVKHGISCLSQDSYGAYIAASCMDSRIYLYSALHLDKGPVKVYTGSKIESFFVKSAISPDGTHILSGSSDGSVYLWQVDRPENGPIVLKGHEGEATSVDWCALEVGKIVSSSDDSTVRVWNTKKVDCTNISSPTVIRKRVTALNIECPRSASHEQATTSRDVAVCTRADSELPISSHSPLKPRVLEFGTPESAKKRAFSLFQEEALDMRKSPGAQTNSPSSVLSPPPSLKRRTIRDYFASSTS* >Brasy2G298400.4.p pacid=40069844 transcript=Brasy2G298400.4 locus=Brasy2G298400 ID=Brasy2G298400.4.v1.1 annot-version=v1.1 MAMRPRHSPSFFGGLRARELSGGRGSSRASAARLPYLSDLSSDPGDRGSGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVGIYDTRRRLPSRSSSIEKTAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENQKCLGVLAGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKTPNGQGEACLMSSAVVKEAHSPARRSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKFWDTRNLRAPVSNKTSQSAAQPLDGVKHGISCLSQDSYGAYIAASCMDSRIYLYSALHLDKGPVKVYTGSKIESFFVKSAISPDGTHILSGSSDGSVYLWQVDRPENGPIVLKGHEGEATSVDWCALEVGKIVSSSDDSTVRVWNTKKVDCTNISSPTVIRKRVTALNIECPRSASHEQATTSRDVAVCTRADSELPISSHSPLKPRVLEFGTPESAKKRAFSLFQEEALDMRKSPGAQTNSPSSVLSPPPSLKRRTIRDYFASSTS* >Brasy2G298400.5.p pacid=40069845 transcript=Brasy2G298400.5 locus=Brasy2G298400 ID=Brasy2G298400.5.v1.1 annot-version=v1.1 MAMRPRHSPSFFGGLRARELSGGRGSSRASAARLPYLSDLSSDPGDRGSGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVGIYDTRRRLPSRSSSIEKTAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSVENQKCLGVLAGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKTPNGQGEACLMSSAVVKEAHSPARRSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKFWDTRNLRAPVSNKTSQSAAQPLKDGVKHGISCLSQDSYGAYIAASCMDSRIYLYSALHLDKGPVKVYTGSKIESFFVKSAISPDGTHILSGSSDGSVYLWQVDRPENGPIVLKGHEGEATSVDWCALEVGKIVSSSDDSTVRVWNTKKVDCTNISSPTVIRKRVTALNIECPSSHSPLKPRVLEFGTPESAKKRAFSLFQEEALDMRKSPGAQTNSPSSVLSPPPSLKRRTIRDYFASSTS* >Brasy2G097400.1.p pacid=40069846 transcript=Brasy2G097400.1 locus=Brasy2G097400 ID=Brasy2G097400.1.v1.1 annot-version=v1.1 MQSGMEHTTGVSGSEHRIDIPRDTGPSSVSVSHSVGRENPEELNPVDRPSTRALTSALQPPAAVGPPHAGNTSGARRGDNYGRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLSLSRKEHPQAPLFQWVIGYTVGCFATLPHLYWRYIHRNIVNGENEQAHSLQGSSQSQNNSTEPTHAASASERRRTAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRGSGNDAEGQDGGILAAGTDKERSLSAEDAVCCICLAKYAHNDELRELACTHCFHKECVDKWLKINALCPLCKSEIASSSGSTSDTRRTDHTAIQVQEIEMH* >Brasy2G426100.1.p pacid=40069847 transcript=Brasy2G426100.1 locus=Brasy2G426100 ID=Brasy2G426100.1.v1.1 annot-version=v1.1 MQRSKRGGCRWFCICICIASLSFFSSSPVALSMMMTSYFLARQNGILAGFLDLLRRKIPSFVHWVLNTFERRFRTSEGNFRRCYF* >Brasy2G439600.1.p pacid=40069848 transcript=Brasy2G439600.1 locus=Brasy2G439600 ID=Brasy2G439600.1.v1.1 annot-version=v1.1 MPAVEVRRPGDPSGGATASNAAERPRPEAATEGVAAASEAAGWPLASPPDAPAPSASTARFFHAPDARSGRCRVDLGSRNLAELDSGLRASRVGPGWCWGGGGGSATTGVGRRRPEGSGLAGGNVRLGAVGFARMCGRF* >Brasy2G286300.1.p pacid=40069849 transcript=Brasy2G286300.1 locus=Brasy2G286300 ID=Brasy2G286300.1.v1.1 annot-version=v1.1 MAGKEESQIFVGSLSWYTTERTVEGAFRRFGKIVHVQVITERHTGRSRGFGFVTFSDPRAAMDAIMWMHNRELDGQIITVFWANPKVDNADGGRDGYGGSGRAAGFACGRASHWAPDCPDAGRRYGLFCSDFTVRGGFFFESGGRFSGGRYASGGDRVGDGYRGPAGWAWEKL* >Brasy2G167200.1.p pacid=40069850 transcript=Brasy2G167200.1 locus=Brasy2G167200 ID=Brasy2G167200.1.v1.1 annot-version=v1.1 MSVAKKEEVCGHLRRLGEPAVGVPIKKRPVFMSDKSVPSGIALPMKPSSTAPGISVSAAGAGCSKEPFLNRSKSDVPHQSVSPFASEKNGVLLNFSSENPSCGESGRGRSVAPIVKSQSEKFLTLDLQLTSRENSKINSSSPVKKEKADQVFSIFPSARPPKDIQTTLSMESPTNSSLGKLSNLDLNVSLDSVDHLEGLPAMQTSSNGLYHRTFQHQKAQVPLVAPISTTSSRLSLNIDSTVNLSNTCQFSCKSGEDDVTLDLQLKPPTRPVLGISWKGLAPAPELSLSLFGNHTDEPKDPSDPDAILEYEPVERAKKMSGEVATPGSDKSPVEKIWKPVPCNANPHMTLPSTTVPGNVNLMPSTLVKKEPEEPSRQHIQKNAEKAPLFEQQTVGLVSNCAEFEKIGDINQVLGEASVDLNSEILRNNSIHNGLEVVTANVPAQTNMSCDVVCTENMPGVSDAEKFIKQEEITADAPSPVIATASGQPALSIAKSLLLEGNVGRPAVGSCESAGQPTIIAPAVGSCESARQPIIHTSEPACCNPVEASTDCKPTVSHVHARENMTYKSCGAKEVCDISRSSSKPLAKSLVLNCLDRASFDVMSQGSASMDCSDDGDNVVSQLPITSNPHVELLGNSHNTEANNLSTELRKEDDIDMHHDCSSVKNKVHTQGIDNVKHVNTKDVIATLSGEEEHESEVSVNGKSKDKQLLASDKSSPPNNTDVAMQDVKIATGASSADLKMSSALGTSASAEIVSTGQSHNTFDNCAGKSRIPVIKSERSQSPDGQAANCCEGNAKVAAVAVKSEHGTENEEIARTSNLHPSDSILAEDSHLDGASSSQPHSECTKSKSACEGSEHEKSKPDSCMTSSVQNEKDGQVNVSHWREVANACVNRNERWERFMQSEREKHNEEYPGGRHASDMINQRRTDHRYSGRGAGYHGHPRYFQGPRMNDESEIDFTDGPLIGRRRPFENGIGHLHRVPHRRLRSPPNQMSGCLMRDMDHDRFSGRDIPDPRLLAHGQMEDFPDDVMEESFFVHHPQHALGDHPFIRRDRSHSPEQRRGGPLHFHRGRSPEAMHRPPPLNRTDRPYLPHQRHTRRRGSPFDQIGRDERGIRRCGMHQGVQDDGFEPSLHPAQLAELHAEAELAERRKFGERRAYRRSLEESPTGNKEMLSYHGEGDMHFTEGDGGQREADGRFRNKLEHRARGEQEDGYRHRGPQGWRDGNSNDSRPKKRRY* >Brasy2G393800.1.p pacid=40069851 transcript=Brasy2G393800.1 locus=Brasy2G393800 ID=Brasy2G393800.1.v1.1 annot-version=v1.1 MQVLVDAECTHDGSVKHIQKFELWGWETKCIDVYFDAQRNCFATCRAAKVKSADGWPCRSGNIHKTLRFDPVTSQTSGLFVAKLIKLPFEYILMLTVRCRGQIPNTNNVFFCEVCVVGSLVKVRNHRTSCRTMVLLLYWFNIYKFSNGFGFHGKLNTSALAQTIYDFSIFHLLFYFMLALRFLTSMIG* >Brasy2G241400.1.p pacid=40069852 transcript=Brasy2G241400.1 locus=Brasy2G241400 ID=Brasy2G241400.1.v1.1 annot-version=v1.1 MEPRKDDVFSTAQSTVHGSSSSSSASTSSPVSEYPATSTIKPVLNFSIQTGEEFALEFMRDRAMSKKHLVPVMPRDQNAASVADLKSSRGILGAHRTGAESRFDASIFLTTDNQQTDELERRSFAENENRSRHISTRSVPRAPSSGGSSHGLAHGYASSGASDTSRRIKILCSFGGKILPRPSDGKLRYAGGETHIIRISRNISWQELKQKTTSIFNQPHIIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDSGEGSQKLRIFLVSSIDFDDMNFSLGSMDSDSGIQYVVAINGMDVGAAKTSSGHGLVNTSINEFGQFINFNVDSTPADLRKTVSNLHSLNESTSVPPAMMSRPVPVGLSSDNTATLHSYPNHAIQHVHGSDYSYPTSSEQFHDSEGQMSIPLSTPSDFRYTSQYAPYSGTASLQSFEQQSYQDGMAVGSVKKEKRDSVKMPLQNNGLDYFQSLENLSAPMLDHESSALKYMHSEFPATSSVQEGLASSVHPSYSVKSLETYTASKTMSPTQASECNEDDRQSSEAFASGCSEFQVDMSDHSNKNSPPHPGRVFHSEWIPREQAGFLNRLSKSDDPLNSQILILQSQSGAANESIAEAIDPALEGTEKSNLATWALNLNDPITDDSLIQFGKEYVNTVQQTSPFNEQLFGETMSSNDTSMHVAEKIVIKGKITEATTDGIEATNLRGDQVTMPHSWDPPKPAFSTDVESDPVVSCSTSTVDDSRKEPIIPKTHDKDVAGCTGPTGERSSPDILSDFFANANTAAQSSHPLNDPILSLNMPNYEPQRWSFFRNLAQNEFQHKDRDKDLAKIEEGSYPFAHLEHDMVHVKNFPPQDVIHVGTHSVPSSTNVDSTILPPTFIPSQTDNPPMMKTVEGFQVDNPFTNMREMMPSVPEFEEPKFEESRAVGPVMDASFVDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRNKNLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPHVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRSMSVAASQVKATSK* >Brasy2G255900.1.p pacid=40069853 transcript=Brasy2G255900.1 locus=Brasy2G255900 ID=Brasy2G255900.1.v1.1 annot-version=v1.1 MERRQGFFAALRQEVSRGLSPARARRRSEAAELDAALRSSAAPGGGEMLAPLMEGPDPESGDGDGGGAGGASGRKEGWGQWVRGQLARTPSSVAAAAAGADAARNDLRLLLGVMGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNTYVMGKVRMVATEFENAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVSGEDCFILKLCTDPETLKARTEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTTNSFIEDYRPVDGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETVELSHGEKSKFGPPPGHRAKVAALEKADDVN* >Brasy2G255900.2.p pacid=40069854 transcript=Brasy2G255900.2 locus=Brasy2G255900 ID=Brasy2G255900.2.v1.1 annot-version=v1.1 MERRQGFFAALRQEVSRGLSPARARRRSEAAELDAALRSSAAPGGGEMLAPLMEGPDPESGDGDGGGAGGASGRKEGWGQWVRGQLARTPSSVAAAAAGADAARNDLRLLLGVMGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNTYVMGKVRMVATEFENAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVSGEDCFILKLCTDPETLKARTEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTTNSFIEDYRPVDGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVGETVELSHGEKM* >Brasy2G483900.1.p pacid=40069855 transcript=Brasy2G483900.1 locus=Brasy2G483900 ID=Brasy2G483900.1.v1.1 annot-version=v1.1 MGSVISAAIAAAFPHRDDFLLADEDDPNGGPFFHELPLHQQERLKQRWMEKLERKNPRAVVWGTRSRECCGDCNSNVLAYIARRERIAAELLPHVQIALQHYNSNNPGAEFDPVKPLTYGRVGFRNEIWFHINFLARPRTKRNFFARSRDALPDAAAQHFFAELHYDQFDTPVVEMCTILENPLERFKRKCAFCKGPSGVFHPSNGEFVCGKSNQKKEYYQICIWRAGPKKGASSDEEATSVKKLFEHLPLAA* >Brasy2G483900.2.p pacid=40069856 transcript=Brasy2G483900.2 locus=Brasy2G483900 ID=Brasy2G483900.2.v1.1 annot-version=v1.1 MGSVISAAIAAAFPHRDDFLLADEDDPNGGPFFHELPLHQQERLKQRWMEKLERKNPRAVVWGTRSREERIAAELLPHVQIALQHYNSNNPGAEFDPVKPLTYGRVGFRNEIWFHINFLARPRTKRNFFARSRDALPDAAAQHFFAELHYDQFDTPVVEMCTILENPLERFKRKCAFCKGPSGVFHPSNGEFVCGKSNQKKEYYQICIWRAGPKKGASSDEEATSVKKLFEHLPLAA* >Brasy2G012500.1.p pacid=40069857 transcript=Brasy2G012500.1 locus=Brasy2G012500 ID=Brasy2G012500.1.v1.1 annot-version=v1.1 MAIGAFVEGPTGSAAAAAGYNGRVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLKKFFPEVYHQMKGDKVDVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTTRYGRRASILIGGSVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINYGVAKIEGGWGWRISLSMAAVPAAFLTIGAIFLPETPSFLIQRGGGNTDAAKAMLQRLRGTAGVQKELDDLVAAAGAGQQGRPLRTLLGKKKYRPQLAMAILIPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRLCATAANVVAMVVVDRSGRRKLLLAGGVQMILSQFAVGAILAAKFKDHGAMDKEYAYLVLVIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSVVIAVIFLVTFVIGQTFLAMLCHLRSGTFFLFGGWVCLMTLFVFFFLPETKQLPMEQMEQVWRRHWFWRRIVGTEEEEDDDVSAGTAAAGSASIALSSSRARNLSQ* >Brasy2G339600.1.p pacid=40069858 transcript=Brasy2G339600.1 locus=Brasy2G339600 ID=Brasy2G339600.1.v1.1 annot-version=v1.1 MGKGGGCFPCSRSHHARPPLPPHRRDRTFIPPPPPPRAVPAPAPDPAPSPRPPVRIYVVFYSMYGHVRLLARAVQRGVGSVPGALGVLLRVPETLPRAVLARMGALKPAAEEEDEIPLVDPDGLPDADGFLFGFPARYGAMAAQMQAFFDSTAPLCRHQRLAGKPAGFFVSTGTQCGGQETTAWTAITQLAHHGMMFVPIGYTFGEGMFEMEELRGGSPYGAGILAGDGSRPPSDLELALAEHHGKYMATLVKRMVHGADAG* >Brasy2G472000.1.p pacid=40069859 transcript=Brasy2G472000.1 locus=Brasy2G472000 ID=Brasy2G472000.1.v1.1 annot-version=v1.1 MLQHIAIALVIFLSYIALAFGDGESDHQDAVKTILIEDGDLFKCIDINQQPTLKHPLLKNHKVQMKPSSYPYELRNRSLSVATNSSAQLPTISCPRGTIPMLHDSKGYITNFGGFRRRKRPHHKGPHGELAIIKTIDDFYGSRVSINVHEPKVKENTEDLSASWVLLINNQNESHRETVGAGSIVWPSFSGDNFARFHITWLDSAHDSLCFDHRCTGFVQVNSRIGLGSRIQPISVYNGPQHFIDVLLFKDPKTKDWWVALGGTPIGYWPSSIFLYLKDKVNHGGWGGHVYGPTVQSNFPQMGSGHFGWEGFGKAAYVSNIKIIDENNKYYTPNTHKTFARSTRPSCYPIDNFGQDEGGMHLYYGGPGGCK* >Brasy2G491000.1.p pacid=40069860 transcript=Brasy2G491000.1 locus=Brasy2G491000 ID=Brasy2G491000.1.v1.1 annot-version=v1.1 MATPNRILLPFLLAAFFAITHAEESHTATAPASPPPPPPPPASQQPPPPPPSPLMQAWTPVGDVNDMAIRQVGQFAVRIYALTMRVDLAFVSVVGGQTQPSDRGRFMYQLVVAVAGTGAKAPTYDALVWGVLGTRSWELRSFKPK* >Brasy2G462600.1.p pacid=40069861 transcript=Brasy2G462600.1 locus=Brasy2G462600 ID=Brasy2G462600.1.v1.1 annot-version=v1.1 MAVHGRPTKRRICSSISSSAMAVSGRPTKRARVTAEPCLLDMRAFKERARAGAFRANVRGFLSRYASPAAAAAGEWEEQGVLGAGAGAAWQVGFRVGEDGEASVVVMDVVEEDVPGARRVYCDHCTVTGWSRHPVCGKKYHFIFRKKNTPNSKTCRRCGLMVGLFETRCPSCNTGSGLPNDGLEGWDYSQLDDPRHLLHGIVHENGFGHLVRINGHEGGSTILTGYQLMDFWDSLCRYLRVRKVSVMDVSKKFEVDYRILHAIAGGCSWYGQWGFKLGSGSFGITPETYYKAMDDLSSVPLSLFFPHSRSPRNQLQDTISLYQSLSKRPLTVVRDLFLYILGLAASKSVHNHLLPVHKKEPEYDANSKEGRWADEEIKQATEVALKFLRAADRWVAMRTLRAATAHPIGSPQLADYCIKTIGGARTDDGMVVVVRCNSETNSMEYRLMKETTLLPKNASVPSREHLLRDIKFLYDALLYPHTMHPYKPEQIHERAKRSAMILLDCKQFIKHYDLEEDFLPQNPSMLHIWCQVELLDQVTDPPCLPAELLTLPPTATVADLKVEATRTFRDMYLMLQSFVATQLLDCASASDATQVKLLFGANGSVRIQGKCVGGERRVAIYRMERGVEKWTVKCSCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDHVPKRYVCASCKLLHRPKMYSNFPNKRCKTDTGAFSHASRGLWGSRIR* >Brasy2G448700.1.p pacid=40069862 transcript=Brasy2G448700.1 locus=Brasy2G448700 ID=Brasy2G448700.1.v1.1 annot-version=v1.1 MAGAGAKPKRRKVSKQRAPMGPIEMGAGAPRDDDGTVGAVNRWALIADNNDDDGERREPKKKRQPKPAEEAQEAVVVQEPPPLPAGPAVHNKEGKRKKKKKKVTTEEEVDRSGGGRRCREAAERVCKAAVVVALLGLLGQFVRDTLVAAGSLAV* >Brasy2G213800.1.p pacid=40069863 transcript=Brasy2G213800.1 locus=Brasy2G213800 ID=Brasy2G213800.1.v1.1 annot-version=v1.1 MTPPLPLPFLPNQWLYFNPLAPSTPVLPSAAGQVITAIFIAAVLSFHFCHHPTHTAILSPASACT* >Brasy2G084500.1.p pacid=40069864 transcript=Brasy2G084500.1 locus=Brasy2G084500 ID=Brasy2G084500.1.v1.1 annot-version=v1.1 MANSSYGSREGLTARPAVSSSSSEISLQIDPINASNLDDHILGLRGRVSRLKGVANEIKSEAKLQSDFISQLQMTLTKAQAGVKNNMGRINKKIIQNGSNHLVHIVLFALGCFFTVYVVSKFSRT* >Brasy2G084800.1.p pacid=40069865 transcript=Brasy2G084800.1 locus=Brasy2G084800 ID=Brasy2G084800.1.v1.1 annot-version=v1.1 MALEAVVFAQQDAHHLGYGGPAPGSSWESSSSAAPASYTLAPWCDDDGLAGAGSSGSGGLSDIFEDWDNHRQLAAAASVEDQFEAGSMDLQQSSDTYSTEGNKGKAAAQDQPTTVGAAAVRRKRRRAKVVKNKEEVESQRRTHIAVERNRRRQMNDYLAGLRSLMPSSYAQRGDQASIVGGAINYVKELEQLLQSLEVQKSVRTTRDGAEPGSRSPFASFFTFPQYSTTASSHSSDSSGGNVVKPEAGVADIEVTMAEGHASLKVLVRRRPRQLLRLVAGLQLLRVPALHLNVTTLDAMALYSFSLKVEDGSKLGSVEEIAAAVHEILGRIQREEEEEEAYQA* >Brasy2G444200.1.p pacid=40069866 transcript=Brasy2G444200.1 locus=Brasy2G444200 ID=Brasy2G444200.1.v1.1 annot-version=v1.1 MASQPPPSSSISIASFRDDLLREVLLRLPDLPTLVRAASTCDAFRAAVRSSPSFRRSFRALHAPPLLAFVLEPYMQVIPAFPSACCRRSDPDLVAADFFDIGRLSRDGQGPGWEIHAQLPNFDGYVLLVNGRTEKRAGEGVSYNPLTQSLNLFLWRNLIDTHLEFHTLPSEDDAQGPSSRVVCVRHGSSWTRASVAVFSSDTMEWQFFPRTSLLLHEYDRAKPATVVRGRGLVCWAEWMDDQIVMLDTATFQFTLMDLPTPLKNGGWEETTFKLDIMENTQTLVAWFLTAEDHGVVERWTMYRTFSLQPIVKEFTNCSIEEEVVMRVEAVIDGFVYLSIRCQKDAKPCQVFLSLCLETAEMNELFKDDGSRYFEEAHPYVMQWPPSLVQTKEESETEVTGDNVADYGPVGTKEASSVLVTALQSFKQALIMNDDEDIMAAVDAYLSPIEADGKSSLMSKITALDAQLTTARDRILRISAWDEVYMPSTVRET* >Brasy2G227600.1.p pacid=40069867 transcript=Brasy2G227600.1 locus=Brasy2G227600 ID=Brasy2G227600.1.v1.1 annot-version=v1.1 MAGALGPRKKKKARSATRGARRGTGRRARPPSMQSASGWPTGAGRPAADRRVPVGHGLADGSWSARRWPRARLLLARPSQVPTSTRFHHITPQRQPDVPRSIPAGLSSRHVIKHRRTRSPPSPARCPWYHDPRKVGALSALATGAALMAFRHRYLEFVPCTNRAHFVVAFPRSERERGESDFAEYKEKMASHILDPLHADIVRVRRIARRIIHAAYRGLGVMDPNDAAMLRVTTNLDQASKAVGPRPQTAHLRGLDWEVILVTDSNAGANGSCMPGGKVIVYTGLLDGSFTDGDIATVIAHEVGHIIARHDVDIASSKQLRIFLLPHSSKRRWQRGEDDIQHLEIATSLLIL* >Brasy2G188700.1.p pacid=40069868 transcript=Brasy2G188700.1 locus=Brasy2G188700 ID=Brasy2G188700.1.v1.1 annot-version=v1.1 MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKMPNLLSQDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHVNYVKIITEAYSKLLFICKEQMAYFAISLVNVLTDLLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVHKVCTLSRQQGVEHNLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRMEESTGGDDERHASQHNWVDEIVRRDGRAGLGGGNDVNFRGATATIRLRSARDSSALTREERESPEVWSFICVQKLAELAKESTTMRHILDPMLSYFDMKKLWAPRHGLALLVLSDMSYLEKSSGNEQLILTAVIRHLDHKNILHDPQIKSDIIQTATSLARQLRSRGVAPELAVAGDLCRHLRKTLEALESASVEELNLNESLQNFLEGCLLEVVRGVHDVRSLYDMMAITLENLPSMPAVARATIGSLLILCHIISLTSGSSNAPMQVFPEALLQQILKSMVHPDVDTRVGAHHIFSAVIVRGPSHQRGDSEYLYETKKWQSRATSVFASATALLEKLRREKECLGSDKPGNMMHDDGKERNIHEEDNKHVWARKSPAYFSKLVFSFIDRWATLSSSAEETKIVPLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSSNTNNVQFFQLPLSLRSIALTPSGDLSPSCQRSIFTLATSMLAFAGKICHITELAELLRCFTSSNIDSYLRIGEDLQLYVRLQSDIGNYGSESDQDIARSVLSDCRKKVGITDQRVLDVIACALSSLTEMDKDVLTKELTEMFTPEEVPLFGSNSALDWANFNAQAFSDESLSFDEECSRTSSVDGGFHESPATNTASSISKITLPQSAPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHESTPDNPVPSLPAAQNFITPKANSCGLEINRTSLEPCSTVKLPPASPFDNFLKAAYRTKLEM* >Brasy2G188700.4.p pacid=40069869 transcript=Brasy2G188700.4 locus=Brasy2G188700 ID=Brasy2G188700.4.v1.1 annot-version=v1.1 MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKMPNLLSQDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHVNYVKIITEAYSKLLFICKEQMAYFAISLVNVLTDLLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVHKVCTLSRQQGVEHNLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRMEESTGGDDERHASQHNWVDEIVRRDGRAGLGGGNDVNFRGATATIRLRSARDSSALTREERESPEVWSFICVQKLAELAKESTTMRHILDPMLSYFDMKKLWAPRHGLALLVLSDMSYLEKSSGNEQLILTAVIRHLDHKNILHDPQIKSDIIQTATSLARQLRSRGVAPELAVAGDLCRHLRKTLEALESASVEELNLNESLQNFLEGCLLEVVRGVHDVRSLYDMMAITLENLPSMPAVARATIGSLLILCHIISLTSGSSNAPMVFPEALLQQILKSMVHPDVDTRVGAHHIFSAVIVRGPSHQRGDSEYLYETKKWQSRATSVFASATALLEKLRREKECLGSDKPGNMMHDDGKERNIHEEDNKHVWARKSPAYFSKLVFSFIDRWATLSSSAEETKIVPLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSSNTNNVQFFQLPLSLRSIALTPSGDLSPSCQRSIFTLATSMLAFAGKICHITELAELLRCFTSSNIDSYLRIGEDLQLYVRLQSDIGNYGSESDQDIARSVLSDCRKKVGITDQRVLDVIACALSSLTEMDKDVLTKELTEMFTPEEVPLFGSNSALDWANFNAQAFSDESLSFDEECSRTSSVDGGFHESPATNTASSISKITLPQSAPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHESTPDNPVPSLPAAQNFITPKANSCGLEINRTSLEPCSTVKLPPASPFDNFLKAAYRTKLEM* >Brasy2G188700.2.p pacid=40069870 transcript=Brasy2G188700.2 locus=Brasy2G188700 ID=Brasy2G188700.2.v1.1 annot-version=v1.1 MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKMPDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHVNYVKIITEAYSKLLFICKEQMAYFAISLVNVLTDLLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVHKVCTLSRQQGVEHNLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRMEESTGGDDERHASQHNWVDEIVRRDGRAGLGGGNDVNFRGATATIRLRSARDSSALTREERESPEVWSFICVQKLAELAKESTTMRHILDPMLSYFDMKKLWAPRHGLALLVLSDMSYLEKSSGNEQLILTAVIRHLDHKNILHDPQIKSDIIQTATSLARQLRSRGVAPELAVAGDLCRHLRKTLEALESASVEELNLNESLQNFLEGCLLEVVRGVHDVRSLYDMMAITLENLPSMPAVARATIGSLLILCHIISLTSGSSNAPMQVFPEALLQQILKSMVHPDVDTRVGAHHIFSAVIVRGPSHQRGDSEYLYETKKWQSRATSVFASATALLEKLRREKECLGSDKPGNMMHDDGKERNIHEEDNKHVWARKSPAYFSKLVFSFIDRWATLSSSAEETKIVPLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSSNTNNVQFFQLPLSLRSIALTPSGDLSPSCQRSIFTLATSMLAFAGKICHITELAELLRCFTSSNIDSYLRIGEDLQLYVRLQSDIGNYGSESDQDIARSVLSDCRKKVGITDQRVLDVIACALSSLTEMDKDVLTKELTEMFTPEEVPLFGSNSALDWANFNAQAFSDESLSFDEECSRTSSVDGGFHESPATNTASSISKITLPQSAPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHESTPDNPVPSLPAAQNFITPKANSCGLEINRTSLEPCSTVKLPPASPFDNFLKAAYRTKLEM* >Brasy2G188700.3.p pacid=40069871 transcript=Brasy2G188700.3 locus=Brasy2G188700 ID=Brasy2G188700.3.v1.1 annot-version=v1.1 MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKMPDGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHVNYVKIITEAYSKLLFICKEQMAYFAISLVNVLTDLLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVHKVCTLSRQQGVEHNLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRMEESTGGDDERHASQHNWVDEIVRRDGRAGLGGGNDVNFRGATATIRLRSARDSSALTREERESPEVWSFICVQKLAELAKESTTMRHILDPMLSYFDMKKLWAPRHGLALLVLSDMSYLEKSSGNEQLILTAVIRHLDHKNILHDPQIKSDIIQTATSLARQLRSRGVAPELAVAGDLCRHLRKTLEALESASVEELNLNESLQNFLEGCLLEVVRGVHDVRSLYDMMAITLENLPSMPAVARATIGSLLILCHIISLTSGSSNAPMVFPEALLQQILKSMVHPDVDTRVGAHHIFSAVIVRGPSHQRGDSEYLYETKKWQSRATSVFASATALLEKLRREKECLGSDKPGNMMHDDGKERNIHEEDNKHVWARKSPAYFSKLVFSFIDRWATLSSSAEETKIVPLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSSNTNNVQFFQLPLSLRSIALTPSGDLSPSCQRSIFTLATSMLAFAGKICHITELAELLRCFTSSNIDSYLRIGEDLQLYVRLQSDIGNYGSESDQDIARSVLSDCRKKVGITDQRVLDVIACALSSLTEMDKDVLTKELTEMFTPEEVPLFGSNSALDWANFNAQAFSDESLSFDEECSRTSSVDGGFHESPATNTASSISKITLPQSAPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHESTPDNPVPSLPAAQNFITPKANSCGLEINRTSLEPCSTVKLPPASPFDNFLKAAYRTKLEM* >Brasy2G468800.1.p pacid=40069872 transcript=Brasy2G468800.1 locus=Brasy2G468800 ID=Brasy2G468800.1.v1.1 annot-version=v1.1 MVLTATLVLPLEVPTFMVVNRFMQQKMEHFRRGVEIGMASFQRCHSLSCQMAESMQIDAQKAAGIRRTDKSSSRGPKCAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSCLGTSPEDRPKGEGKEDEDGEEDLGAVPFDGDKGQLFNQTQTWCLGASLEDRQEGEGKEDEGENEDGKEDIDTVRFEDGKGQLFNQTKTWRVLASGKPGSKKQGKHRLHQPTWLNVGQLSAILRGYFLDSVVSVAGSQRRRRRRRDEVRLHSAQAHAAVSVAQLAAAIAGIVSSSPPPYEPREKLGAVLASAAALVATVCAEAAERAGAGGPRVASAVRAGLETSRHSPAELLTLTAAAATCLRGAAALRLRGAADAGVDRGIGSTGGGDDALAVSISAGVQKGVALRVCLPCGKVRVRTVAVFPRRGGGGRTVALRLGKKRLRGAFATYDDRKLQTNRPKNKSLSVAISVVWAQWIDQ* >Brasy2G259200.1.p pacid=40069873 transcript=Brasy2G259200.1 locus=Brasy2G259200 ID=Brasy2G259200.1.v1.1 annot-version=v1.1 MAQETRKPSWKDLPYDFSHLVLRRLGGPADRGAFAAACRDWHAAVRSYQMIPRLATVVLPELAELPHQHGIQGSIFIDKMAASSAPDAPGCVLAVLIHGKDRSITFCRPGPGRGGSCQASLPADIRDVAFSQGKFYALTKDEGLFAIVVIDDERERQQPAVSSVTRLIDDTSFLPNFRLQHGSNGAMYYSCRRRWYLVEPRGRLLMVFRLDEHDGGAGAWTTELNSKGLDGEALLVGKRGSVSVPSWWCVGAQEDCVYFFHDLATTWWKSAADDPFRDAGVHSVRDSKIVSRLPPRRPDCVAARPGALDVESQKSKFPYY* >Brasy2G218300.1.p pacid=40069874 transcript=Brasy2G218300.1 locus=Brasy2G218300 ID=Brasy2G218300.1.v1.1 annot-version=v1.1 MEVASASAEHQRRFMLNLPPGYHFAPTEDELIVRYLRRKIAGLQPLLLIFFDVDNLNSYRPEQIVDKYREHGEDCWYFFTKRVRKYSTGNHPNRTTPGKGFWKATGPQRLIHVNKELVGRVRTLVFYTEPEKEEQVAPCLKEMDDQTALPKLAKTNWIMYEYENLTSQAEAEDKNIDKIDEWVLCTIHKSRRCNGIGKEKGEDDGGKKIKEKGEIDVGGKKRKGKEKMEAEKGIDQGQQQTDGLMKILEVPISPPHLETAAPEEEDGSGIEFEDSGPPQKRLPVARLQPQEPPHTKTTSPFIADSCYSICPNMAPTTQWPQEPPRSETTFPPVAVGSAGSTMTSMTTWQGQQLSQVSSLVRDTCSFDPRLEPGMAYPPAGYNYNVAPPNMATYPLTNLRPDAATAPPRAYGYGGSVPHAVVGALDGLLSAANTGHDNNIYQLCSYAAGTKTTAIYMNSGTASSQSHDGFFSGSSQPQPQHHSVPSVLGAGRAVRPAAAQDLDAATKLSCTCLPV* >Brasy2G218300.2.p pacid=40069875 transcript=Brasy2G218300.2 locus=Brasy2G218300 ID=Brasy2G218300.2.v1.1 annot-version=v1.1 MIQIADKYREHGEDCWYFFTKRVRKYSTGNHPNRTTPGKGFWKATGPQRLIHVNKELVGRVRTLVFYTEPEKEEQVAPCLKEMDDQTALPKLAKTNWIMYEYENLTSQAEAEDKNIDKIDEWVLCTIHKSRRCNGIGKEKGEDDGGKKIKEKGEIDVGGKKRKGKEKMEAEKGIDQGQQQTDGLMKILEVPISPPHLETAAPEEEDGSGIEFEDSGPPQKRLPVARLQPQEPPHTKTTSPFIADSCYSICPNMAPTTQWPQEPPRSETTFPPVAVGSAGSTMTSMTTWQGQQLSQVSSLVRDTCSFDPRLEPGMAYPPAGYNYNVAPPNMATYPLTNLRPDAATAPPRAYGYGGSVPHAVVGALDGLLSAANTGHDNNIYQLCSYAAGTKTTAIYMNSGTASSQSHDGFFSGSSQPQPQHHSVPSVLGAGRAVRPAAAQDLDAATKLSCTCLPV* >Brasy2G012900.1.p pacid=40069876 transcript=Brasy2G012900.1 locus=Brasy2G012900 ID=Brasy2G012900.1.v1.1 annot-version=v1.1 MGETRPPSPKLGERDDVGGLEIGGDPVPRRCSITIKEFRAIWVAAAKRMEPSAEYLDAEERAQRQRDRERDMLLIALKTYANRINVRPGELEFVQVTDMNVVQEFLKDYLHFNFLVRVSGATEPTLFFAELKPRCASEEDVYLCTPLEEEEEEEEEEAAGHGFGACVACGHQAKELVHPRSGGYLGGHELVYCYTYAMLDSDDDDYM* >Brasy2G427700.1.p pacid=40069877 transcript=Brasy2G427700.1 locus=Brasy2G427700 ID=Brasy2G427700.1.v1.1 annot-version=v1.1 MDSRVEKGSGSLDPDERTASGEPKACTDCNTTKTPLWRGGPCGPKSLCNACGIRYRKKRREALGLEGPKRRETAACADTAGEGAEEPKKKPKREREEVTVELRMVGFGKAAVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA* >Brasy2G025700.1.p pacid=40069878 transcript=Brasy2G025700.1 locus=Brasy2G025700 ID=Brasy2G025700.1.v1.1 annot-version=v1.1 MVRSGSVRRSSSVAAGMGTAAAAAAAVPEFTVSPGDYRLLEEVGFGANAVVYRAVFLPANRTIAVKCLDLDRVNSNLDDVRKEAQIMSLIDHPNVIRAYCSFVVDHNLWVIMPFMAEGSCLHLMKVAYPDGFEEPVICSILKETLKALDYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKAPELTVKSIITDLPPLWDRVKALQLKDAAHLASSEQEALSMSEYQRGVSAWHFDIEDLKTQALLIHDDGPLEIKEDESVRVTEVHKNTSSGSHFGESTLLTGNNFSERTCATAVNPGVNGPEPSEELAFDLGNADSERKADGYRNQGSESDSLSSSTSKHDSEGGNHRSEVRQKRTYSGPIMYSGLRNSSVAEKGSIIDRDAGGQVSNKQKNDMRRTNDLSGPLSLSTRASANSLSAPRRTDDLSGPLSLSTRASANSMSAPIRSSGGYVGSLGDKPKRNMVEIKGRFSVTSENVDLAKVQEIPVGKISNKPQEGSALRKSASVGAWPVNAKQMSNSQHRKAICDNSASASVLIPHLQNLVQQTTFQQDLITNLLCSLQLNENVDAAQSRVQTVEGDTVVETGSAEGELSLLAKIFELQSRMISLTDELIASKLKHVQLQEELNALYCQEEIIDTREEDNEEA* >Brasy2G025700.2.p pacid=40069879 transcript=Brasy2G025700.2 locus=Brasy2G025700 ID=Brasy2G025700.2.v1.1 annot-version=v1.1 MVRSGSVRRSSSVAAGMGTAAAAAAAVPEFTVSPGDYRLLEEVGFGANAVVYRAVFLPANRTIAVKCLDLDRVNSNLDDVRKEAQIMSLIDHPNVIRAYCSFVVDHNLWVIMPFMAEGSCLHLMKVAYPDGFEEPVICSILKETLKALDYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKAPELTVKSIITDLPPLWDRVKALQLKDAAHLASSEQEALSMSEYQRGVSAWHFDIEDLKTQALLIHDDGPLEIKEDESVRVTEVHKNTSSGSHFGESTLLTGNNFSERTCATAVNPGVNGPEPSEELAFDLGNADSERKADGYRNQGSESDSLSSSTSKHDSEGGNHRSEVRQKRTYSGPIMYSGLRNSSVAEKGSIIDRDAGGQVSNKQKNDMRRTNDLSGPLSLSTRASANSLSAPRRTDDLSGPLSLSTRASANSMSAPIRSSGGYVGSLGDKPKRNMVEIKGRFSVTSENVDLAKVQEIPVGKISNKPQEGSALRKSASVGAWPVNAKQMSNSQHRKAICDNSASASVLIPHLQNLVQQTTFQQDLITNLLCSLQLNENVDAQSRVQTVEGDTVVETGSAEGELSLLAKIFELQSRMISLTDELIASKLKHVQLQEELNALYCQEEIIDTREEDNEEA* >Brasy2G025700.3.p pacid=40069880 transcript=Brasy2G025700.3 locus=Brasy2G025700 ID=Brasy2G025700.3.v1.1 annot-version=v1.1 MVRSGSVRRSSSVAAGMGTAAAAAAAVPEFTVSPGDYRLLEEVGFGANAVVYRAVFLPANRTIAVKCLDLDRVNSNLDDVRKEAQIMSLIDHPNVIRAYCSFVVDHNLWVIMPFMAEGSCLHLMKVAYPDGFEEPVICSILKETLKALDYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKAPELTVKSIITDLPPLWDRVKALQLKDAAHLASSEQEALSMSEYQRGVSAWHFDIEDLKTQALLIHDDGPLEIKEDESVRVTEVHKNTSSGSHFGESTLLTGNNFSERTCATAVNPGVNGPEPSEELAFDLGNADSERKADGYRNQGSESDSLSSSTSKHDSEGGNHRSEVRQKRTYSGPIMYSGLRNSSVAEKGSIIDRDAGGQVSNKQKNDMRRTNDLSGPLSLSTRASANSLSAPRRTDDLSGPLSLSTRASANSMSAPIRSSGGYVGSLGDKPKRNMVEIKGRFSVTSENVDLAKVQEIPVGKISNKPQEGSALRKSASVGAWPVNAKQMSNSQHRKAICDNSASASVLIPHLQNLVQQTTFQQDLITNLLCSLQLNENVDG* >Brasy2G200200.1.p pacid=40069881 transcript=Brasy2G200200.1 locus=Brasy2G200200 ID=Brasy2G200200.1.v1.1 annot-version=v1.1 MKPYMVSEPKVNTFIHCYVAARKIVSICDLEVEICKSEGVRQFEELGLGPFLQHPLIAHYFLVPADLSTVPKLSSEEIINCLQKYIGDSKDKVTVENFLDYLAEQKSVSGKEKLGVRVQGLGLHISLLRQARRNEVAAVKHLGKTSGSGDSTCGKDLPKQTDFHLGKQVLNKRFDAITSRIKQLPGINEHIHFISSDDEIDGDTSSEGNAVDESENKNTCSILDSKDVDKRVSSCPYPSKSEEMERLGLKSELSKKPTTESRMVKVTDKNVYSGEKRKYEGTGTPSSLCKQPKKRRKVGMQKKEASPNCFLSIGKLEKFITTWKEACCEHPVQQVLELLANYYGETTHEKRKIIQFFSQYPGIGFLNVAVRSMGCGLLDSIYDAIHVFSENKLSSSPIPKTTTEIMEIEPPSRETAECIAKGAYDSNEGNNEPGHNVTTDDVIRRITEYFESKSGVSKAGALQVERSIFLRTLHDCETWVTTQFSARQFSSLGHGTFLEFLEKYGSHFPPKLSSFLKGGNSGSVSLEVSVPQQQIEALLCQAEGNWLEDGDFSGDSFLMLLKKQFPTMSFDITQYKSGEGLDGSIERQRKCIQTNNISFSISLLEKRWSGLSPGEHDTVGGQRNNAVEQSYYSETVSSREVINCLLKAPMLSDLLLWSHWDLLFAPSLGSFIRWLLSTGPIQQLACIVTTDCRFIRVDPSATVDQFLEAIIQCSPFQVAVKLLSLIHIYNGSRNTPISLLKCYAQRAIGIIMNNNNDSEGKSFMTEESHNLSAEERDCSTHSVGRVQESSQLSCARNAMSGILKGIDNTVHFVAKFVLDCLGQLPSEFRSLAADILLSGLRTVTKNSYSAILHEATETWQLCMLHDIGLSLGIAEWVEDYRGFCLTEEDDAKAELHSSSGHASAASEGPTLENCNVLIPHDVDMMNDSSKSLPGKKDQVVATTNKNQNILNPVEAKAETTELHTTKSPMMGEMNLEEAALVIETIRRDEFGLDQSLSCTENSLLKKQHARLGRALNCLSQELYSQDSHLLLELVQNADDNTYHEDVEPTLVFILQENGIVILNNEMGFSAENIRALCDIGNSTKKGANRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYSASSLSRMLSAEDDKGACSLWNTCILLPFRSKFRDGTGMCSIASMFSDLHPSLLLFLHRLNCIKFKNVLNDTLLVMRRKALGDGIVRISHGNEIMSWLVLSKKLQGTLVRHDVRTTEIALAFTLQETENGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCSLSCFQRCPGKAVTAFMSFVPLAGEVHGFFCKLPHLIISKLRLTRCMVLEGSSSQWVYPCNVLKGWDEQTRILFSDGLLHDHLGLGYLSKDIIISDTLSRALGIHVYGPNVLIDVLSSICRTDGCIESLGMEWLCAWFVTLDLTLLSHSSQNILLTTSLGDDLLCALRKLPCIPLSDGSFSSVADGPIWLPPDILNSTPDCKSSLKDFPVLYSNLRIVSPHLISVSGKNKYLMEEMRANALMDILLKIGVRKLSGHEIIKNHILVSLSNGSDADMADKMMIEYVSFIMLHLQSPCTSCNFEKQEIVSELRNRPIFLTNHGYKCPADEPIHFSIEYGNSVDTGKLFQNVEIRWLELDSCYLMNHDSYLSPLVLKKWREFFAEMGVTDFVQVVKVEKNIPQVDSLIAGKLSQGDISGTPSTVYDWESPELTSILSTFSSRKCRENCIYLLEVLDSFWDDYYSAKAWCLTNVTHCGENRTVESSFMKCIQSFKWIASSVDYDLHYATDLFYDFENVRSLLGSVAPYAVPQVSSRSLRKDIGFKTNVSHSDALMVLKLWIASQVPFNASVHQMCKFYTFVSEGLADTKIDIRREFVSCSSIFTPLLHPRSSEVILGNFLSPKDVYWHDPTGCYETTEEFVSVKKSIFPRKMLCAAYPSLCEFFVEACGVPKVPTIPDYVEMLLRLSNAALPSQVAHQVFRVFVRWATDLQSPNDKMNDIVYLKESLQKLETTILPTILDKWVSLHPSFGLICWVDNDELKQHFKNSNDVDFIQFGELSSEDKQMLYGRVAALMKSLGIPALSKVVCREAIFYGTADNREKANLLCRLLPYMQRYIYKTHRDAYVNFQQNEIMKLSNLQIIVVEKLFHKYMLKGRESSSKRRFKTHCLLQGNSLYATQEADSHTLFLELSRLFFDGSPDLHFANFLHMVKTMAESGTPAEQIESFIVNNQNVPELPEHEAGWSLSSLFAENQGVDSEQVGSLSACDSSAPKHQRSAEIVSSWPPSNWRTAPDSTTSHRSQHGNTNVNDVDSASTKDSWFHVQIEGDWTIKEDTRLENTLLTESTAATLDEPQLVMSVDSASAPAYLDLETPTENLDAEVIDFNDNFSNVSESRDRLRTGAPEASQSQKTGRTGEAMVYKHFVEQLGSNNVRWVNREIETGLPYDLVINRRENLIEYVEVKATTSSNKDWFYISTREWQFALEKGDAFSIARVVLSAGQKASILMLKNPHKLCQKKQLHLALLMSTPGQ* >Brasy2G293700.1.p pacid=40069882 transcript=Brasy2G293700.1 locus=Brasy2G293700 ID=Brasy2G293700.1.v1.1 annot-version=v1.1 MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATIACAASSQEKILRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSISMSRDQTYHGKVKAVIDSLTAAGVKLL* >Brasy2G122000.1.p pacid=40069883 transcript=Brasy2G122000.1 locus=Brasy2G122000 ID=Brasy2G122000.1.v1.1 annot-version=v1.1 MLENQKQAEVLWPRLVANKLFRKPSGSHAFVADFPATAPASAAAEENEEGVEEELDEGRCSLDADADAHRGIKRPRAQQRSKTLKYRLFASTWNVGGVAPPDDLDLADWLDTDNGTYDIYVLGFQEVVPLRARNVLGADKNRIGMRWNELVRAALNRRSSPSAGSHDQQHPVGGGEKQKVHPVTRDGGDLQPARDFRCVVSKQMVGVLLTVWVRGDLRRFVRRPSVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCFVCCHLASGGREGDEAHRNSDAAEILSRATFPRRRHSSSSSPLASSSPHKILEHDRVILLGDLNYRISLPEAKTRLLVERQDWKTLLENDQLRGEVSDQGGAFHGWNEGPITFSPTYKYHRNSDAYYGCAQQQAKKGDTKLKRRAPAWCDRILWHGAGLKQSRYDRCESRLSDHRPVRALFAVEVDAPRNLNSLRSFFMSERFDCRAAKSSVSDLLCREDGDDDDATSSARFDQDV* >Brasy2G122000.2.p pacid=40069884 transcript=Brasy2G122000.2 locus=Brasy2G122000 ID=Brasy2G122000.2.v1.1 annot-version=v1.1 MLENQKQAEVLWPRLVANKLFRKPSGSHAFVADFPATAPASAAAEENEEGVEEELDEGRCSLDADADAHRGIKRPRAQQRSKTLKYRLFASTWNVGGVAPPDDLDLADWLDTDNGTYDIYVLGFQEVVPLRARNVLGADKNRIGMRWNELVRAALNRRSSPSAGSHDQQHPVGGGEKQKVHPVTRDGGDLQPARDFRCVVSKQMVGVLLTVWVRGDLRRFVRRPSVSCVGCGVMGCLGNKPGDPAWGPQLQDLPAGGQDEAAGGKAGLEDAAGERPAPGRGVRPRRRVPRLERRAHHLLPHLQVPPELRRLLRLRPAAGQERRHEAQAPRPGMVRPHTVARRGAEAEPVRPVRVAAVGPPPRARALRRRGGRAAEPQLPPELLHVREVRLQGGQELGQ* >Brasy2G170100.1.p pacid=40069885 transcript=Brasy2G170100.1 locus=Brasy2G170100 ID=Brasy2G170100.1.v1.1 annot-version=v1.1 MGILLVSGKFLARRPPLSLAPRCSRGSPDKGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVTWNPQRSEYPLSEEVDPIKRAEKSNLMLWTSPTFTLVGAIIIVLALLIYTLVVPAK* >Brasy2G045000.1.p pacid=40069886 transcript=Brasy2G045000.1 locus=Brasy2G045000 ID=Brasy2G045000.1.v1.1 annot-version=v1.1 MAGTEAFEAYFRRADANQDGRISGQEAVAFFQGASLPQQVLAQVWMHADQNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPPPKIAMGPAPPQVGAAGAPRPQGNAAMTPAPGQVGAAQQMNPAATPRPQGNAVMTPGPAGAAQMNSAAAPRPQGSGMMPTSTQFGGAPQVNAGAVTRPQGINSMMQAASHGGAMPPTQFTGPRATQSQSPNMGFNQQLPPSSTGFMRPPQVGALPTSLQATGMNQSPLGGGSMGGSIGWQGGNVGSVGGISQPSPGAAVPSQATSGGFSTMGMAPGLQAQPLSTSPLPPQSNSAVLPQDSRALVLSGNGPASGSGTSPDIFSALSQTKPSIPTPAPPTSTIPNSSSFMSTPTGSQNLANLTQFGSLQGTPTASYGGSQPQQTQPTTKPSVQVPGVSAGVSNSTSQWPKVDQSDIQKYTKVFGDVDRDRDGKITGTEARTLFLSWRLPRDVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDETLLRATGLPSTAYNAPSWQQNQGLPHRGPGAPGLPTSGVRPPLPSHLHSQTDGNTRPGQPRPHMPGMDNHAAAQGSRDHRSGVNSAAHEVADAPKKVEVEKQILDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLSKKYEEKYKQVAELASKLAVEEVAFRDVQERKVELNDALIKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLHFKPSASVELPFGWEPGQQEGAIEWDEDWDKFEDEGFGLVKDNGTIVENPASAENAKSSSLWDDGVSMDEMSNGHIKDVRHYRAGDQVPESELGYDFGDESVRSPGSAGRSASGSPFKSSHYGMHDSSPSKKGTYSDHGGSESVFGDNYADETSWNFDDQDTESVWGSNAMNTETGHHGSSTHNSFFGSDDFGVNPVRVGSPSGASVYGKKSTFFDDSVPSSPAYTSGFSPKFGESRDDNSSYNFGRFDSFRSQDSVVPQESRFSRFDSMSSSKGENVAGFDSSNSSRNFGRFDSFDEADPFGSTGPFKASGGRSPPKI* >Brasy2G138700.1.p pacid=40069887 transcript=Brasy2G138700.1 locus=Brasy2G138700 ID=Brasy2G138700.1.v1.1 annot-version=v1.1 MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEDPGSGSRTSNSDGIGFFNPVMAFMGQNGEENSSEVAEKQQLPIHSSAEEENHRVTTEPPTSEADASEVSVTTQSPKQLPKLEENVSSSTELPASKVDVPDQSVTPQAPTHPSALEEKNDGSTESPTSKGGTSEVSETPQSPIHPSIAEENSSGSIETSNSVERENQGHEGNEYADPNDEALPSQLGVSGRDIPGGGTSSPNKLDQSSVIGAEESIHDGKEDTVGNHSQPADSMVGSSDDVNEGNKIGQEFDAQKEIISPHESNVIDDKASHVEVKLHDDAAENEEENSQTEAHAVSVVENVDNTVLQLENPTSKSITMDDDSNLQNELAPASVHVPVGLVEVGSHANDLRKEEKIHDSVTTVNSRESVGSAVELEKLRREMKMMDAALQGAARQSQSKADEIARLMNENEQLKSAIDELKGKSAEEEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVMAEGEELSRKQAAQEATIRKLRTQVRELEEEKQRMHSKIQVEETKVESIKRDKAATEKLLQETIERNQSELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREAGEKENMLINTIDELRNALTRQEQEAAFREERLKRDHDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQESAARREEAWTGVERTLNSRLQEAEAKAAASEEKERSINERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHKKELQEAAEHRGLLETDLEREKAARAELEKMSSREPPKILLPDQTRNAPVRRLSSASSVGSLEESHFLQASLDLSDSSSLERRMSAENNMSYYLRSMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKLTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKDMYREQVDLLVGQLQTLGARV* >Brasy2G389000.1.p pacid=40069888 transcript=Brasy2G389000.1 locus=Brasy2G389000 ID=Brasy2G389000.1.v1.1 annot-version=v1.1 MTAILMSLSVLALLASSSGAKLCRTHDAEDPHCDPIAKCIERCERAGYEGGLCSVDDKVCVCFACPPGADHGFSTDRSDGRPISLTPGQHVG* >Brasy2G017000.1.p pacid=40069889 transcript=Brasy2G017000.1 locus=Brasy2G017000 ID=Brasy2G017000.1.v1.1 annot-version=v1.1 MATAWVRSLSCKSTAVADDVYSSPKKPQPKKISPKKRPPPAKGSDALLPAWEKPRSSRDPRLDGLATTKPETEKEKKKKPREPRQKKLAASSSSSSPAAVLLAMTELPEGHSSRRVVELIFASGWGADDAVPEVEALFRVHATARALARFEDARRAAALAPGADARCAADGNEMMRFQCLAEGGGTGEVLCAAVATCRPGSAAVRAFASSGAAHASAGAGEEASRRRGMLVCRVVAGRVRRAEEEERDEDCDSVDNGGGELLVLDSRAVLPCFLVVYRATSRR* >Brasy2G252300.1.p pacid=40069890 transcript=Brasy2G252300.1 locus=Brasy2G252300 ID=Brasy2G252300.1.v1.1 annot-version=v1.1 MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMKRLQAEHSAAMKEAEKLHREKVAAEKDGEKEEADPMAAAEAQAVKQNS* >Brasy2G046500.1.p pacid=40069891 transcript=Brasy2G046500.1 locus=Brasy2G046500 ID=Brasy2G046500.1.v1.1 annot-version=v1.1 MLLVSEIKRNVLFDGPRLFFCTNGWNIRPSKLSCDLRTMAYLFLWAHITVPCEGSEVRRALTTHLPSPSMATISAALSIPFLRSPTRFAVSRSFSPRVKRTARFRCCAEPPSPEQEDPAPPTSPPASPSSLWGVSTSTWSAGVAGLGFLETSYLSYLKLTGSEAFCPVGGGGCGDVLDSDYSVVFGIPLPLVGLVAYGLVAALSLKENGKELLPGLDDLDIRLVLLLIATSLATASAYFLFILSTKFVGTSCLYCLSSALISFTLFSIRVKDFGLARIQKFVGLQLAVAVIVALALTNSYSSATTQLKGTGDFVLEPYETEITTESTPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGREAMEILDYVECFPNGAGKGKKMAAECAVTGLEGFPTWFINGKVLSGDQELSVLAEASGFVSEAPEQS* >Brasy2G200600.1.p pacid=40069892 transcript=Brasy2G200600.1 locus=Brasy2G200600 ID=Brasy2G200600.1.v1.1 annot-version=v1.1 MATKEKAFCSLVLLVCFALALALAAEGRAVPPPSAAASLPVDPAGNGGGKGAVGEEKNLFVGVGGMGDLPGLPAVGGGYGGGFGNNGAGVFSGVTGPLGGVGGGVGSVGPVGGVIGGGIPFGGFAGGSGAGAGGGYGGGNITP* >Brasy2G056100.1.p pacid=40069893 transcript=Brasy2G056100.1 locus=Brasy2G056100 ID=Brasy2G056100.1.v1.1 annot-version=v1.1 MEGADQQSNPCAICLRGMGAGGGQAIFTAECSHTFHFNCISSSVAHGHLVCPLCNTPWRELPSMRPTPTPVQAQPVPMQILQHRQPPPQQAVDPVVVFDDDDPVPDSGPQAAAAAGASSNGAVVVRTHTEYSAVARDESRDSFAVLVHVRAPGMTVDAEARAPLDLVTVLDVSGSMEGNKLALLKQAMRFVIDNLGPDDRLCVVSFSSGARRETRLARMSDAGKAASMAAVDALAAHGGTNIVEGLRTAAKVLDERRHWNAVSSVILLSDGQDNHTMMRRRRGNGGNYEELVPPSFVSTGAATRSAPVHTFGFGNDHDAAAMHVIAEATGGTFSFIENEAVIQDAFAQCIGGLLSVVVQDARVAISCVHPGVRVVSVKSGRYESRVDEDGRAASVRVGELYADEERRFLLYLAVPRAEAADGGQTALMKVVFSYRDAAAGGDVVIVAAEDTVVTRPEGHVEAERSVEVERERARVEAAEDIAAARAAAERGAHEEAAEILENRQRAVAQTGGGSDPMIAALGAELREMRGRVMNRQSYARSGRAYMLAGMSAHGQQRANSTQIRSGARRTSIPAAYNAGGQTVVGVVPEEEEAPNEEATMAYATPAMRAMLLRSRMVSAEQGQQQQAETGQVAASPEPKVGNA* >Brasy2G056100.2.p pacid=40069894 transcript=Brasy2G056100.2 locus=Brasy2G056100 ID=Brasy2G056100.2.v1.1 annot-version=v1.1 MEGADQSNPCAICLRGMGAGGGQAIFTAECSHTFHFNCISSSVAHGHLVCPLCNTPWRELPSMRPTPTPVQAQPVPMQILQHRQPPPQQAVDPVVVFDDDDPVPDSGPQAAAAAGASSNGAVVVRTHTEYSAVARDESRDSFAVLVHVRAPGMTVDAEARAPLDLVTVLDVSGSMEGNKLALLKQAMRFVIDNLGPDDRLCVVSFSSGARRETRLARMSDAGKAASMAAVDALAAHGGTNIVEGLRTAAKVLDERRHWNAVSSVILLSDGQDNHTMMRRRRGNGGNYEELVPPSFVSTGAATRSAPVHTFGFGNDHDAAAMHVIAEATGGTFSFIENEAVIQDAFAQCIGGLLSVVVQDARVAISCVHPGVRVVSVKSGRYESRVDEDGRAASVRVGELYADEERRFLLYLAVPRAEAADGGQTALMKVVFSYRDAAAGGDVVIVAAEDTVVTRPEGHVEAERSVEVERERARVEAAEDIAAARAAAERGAHEEAAEILENRQRAVAQTGGGSDPMIAALGAELREMRGRVMNRQSYARSGRAYMLAGMSAHGQQRANSTQIRSGARRTSIPAAYNAGGQTVVGVVPEEEEAPNEEATMAYATPAMRAMLLRSRMVSAEQGQQQQAETGQVAASPEPKVGNA* >Brasy2G228300.1.p pacid=40069895 transcript=Brasy2G228300.1 locus=Brasy2G228300 ID=Brasy2G228300.1.v1.1 annot-version=v1.1 MCVFFWDVGTLPSFRRSASLGRHFYDFFYEVDSVIVGVPPKNARGVTIDGQDPNVAPSPNKRPRVDTLPPTSEQAPFCAGGFRNTDGKYASRNDSLIQNVDSQLENLANDEVEAAAMGSEPSDDMSEEDSELFIDKLAREGNQGKWLVPCAPSFAPVTPNVSCLMMSSKMFELNEPQAVAFSEIHEYVVHSPSGELLEGPDGEQQGNLGNMLEKAASVSRKRNLEGLQKGADAEVLKEGTKKLIANAKELMRSLNEDSSAPAEDPIDIARMMITL* >Brasy2G478400.1.p pacid=40069896 transcript=Brasy2G478400.1 locus=Brasy2G478400 ID=Brasy2G478400.1.v1.1 annot-version=v1.1 MARALLRSALSLSSAAPRLPSFSSSSTAVAPLRSPLDDRLLRLLRSEISYISDRRPPYRPPSSFKSFDVEDRPGEQWVRLRAARGAEEVKVDATMFDGALAPPPDASLFQRVESLEQGPRLHLSLIVEVSRADGVLGFICSAWPDDLTIRHVLTLRGAGAAGSDRGGRDFTKLEPGEREMVKKFLQDREVDADLAEFLHDYMANKEKMELLRWLKTVESFVEK* >Brasy2G300500.1.p pacid=40069897 transcript=Brasy2G300500.1 locus=Brasy2G300500 ID=Brasy2G300500.1.v1.1 annot-version=v1.1 MERCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDWEMGLIRTQEETGRHVRMLTHEIRSTLNRHTILKTTIVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSISINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLNLSNFQINDWPELSAKSYAIMVLMLPSDSARNWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIRAFNLHAVFKEVMGFIRPIAAIKSLSMSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLIASVLKPDSLREFRTPDFHPAASDDHFYLKVQLKDTGCGISPQDLPHVFTKFAQNQPGGNQGYNGSGLGLAICRRFVTLMGGHIWLESEGTGRGCTATFVIRLGVSDNTNVYQQQLTPLGWPSNGDTDSAGPKALLEERRPASLKPRYQRSV* >Brasy2G102500.1.p pacid=40069898 transcript=Brasy2G102500.1 locus=Brasy2G102500 ID=Brasy2G102500.1.v1.1 annot-version=v1.1 MVAASGSRRPASRHYVCRCRRNSHIRAAAIRAPQPLSDRRHASSRWSQTLKPIFLSFSSFLPRTHLLLFLPCGCPSHATAAAPPRPPNPTGRSDAASWEHEEAEGPCLPSCPVCMNHIS* >Brasy2G230600.1.p pacid=40069899 transcript=Brasy2G230600.1 locus=Brasy2G230600 ID=Brasy2G230600.1.v1.1 annot-version=v1.1 MAPATSSWAAFLLWFCLAATAAWAHGGGGGDDGDGDVDGGGGDKADLRAPGLVATKLWCLAVVFLGTLAGGVSPYFMRWNEAFLALGTQFAGGVFLGTAMMHFLSDANETFGDLVKDSAYPFAFMLACAGYVVTMLAECLISSVVARGRTTPAAAGSTSAGVLEEGKLGTTNGNSSEPEAADAHGSSTDHSVPSMLSNASTLGDSILLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIIIDATTQGRVADWIFAISMGLATGIFIYVSINHLISKGYKPQRPVAADTPVGRWLAVVLGVGVIAVVMIWDT* >Brasy2G027600.1.p pacid=40069900 transcript=Brasy2G027600.1 locus=Brasy2G027600 ID=Brasy2G027600.1.v1.1 annot-version=v1.1 MIPSNGSCCSLAFFSSIAPLYILLSSSLPKAFHLLSAGSNDCCLALICAISWILYCSCGRGFLICLGGFLICFRLHPGSS* >Brasy2G483500.1.p pacid=40069901 transcript=Brasy2G483500.1 locus=Brasy2G483500 ID=Brasy2G483500.1.v1.1 annot-version=v1.1 MEGIRGDGRNANQLRPFTCARNPLDRAHGSARWSQGDTVVLAAVYGPRPGTRKGENPEKASIEVVWKPKTGQSGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVMGDDGSLLPCAINASCAALVFAGIPLKHLAVAIGCGVLGNGAVILDTSKAEEQELKSFAHLVFPNSRKSVEAKQKDEHFERGLLTSITHGVMSEDEYFNCIERGLAASSRISDFMRTTLQKQTPDYL* >Brasy2G132000.1.p pacid=40069902 transcript=Brasy2G132000.1 locus=Brasy2G132000 ID=Brasy2G132000.1.v1.1 annot-version=v1.1 MERSTICMSDTTYEVCMTFSAFPKTKQQLLTENMENKRCEGKVDMGRGNNDKEPGDDSTRRQAEDFSSWVARMEAMDLEELKEYAKQNKDAFSSQQKAAIKKILQKKQKKKKKRARTALNPILGAVMKFHKDEGNDDDENDDS* >Brasy2G296000.1.p pacid=40069903 transcript=Brasy2G296000.1 locus=Brasy2G296000 ID=Brasy2G296000.1.v1.1 annot-version=v1.1 MAIHLPATSSAGISVKPQRASTTSTAGAVATMAAPPSTFAPPTTRLSAPPIALNSSLAVPVAPVSVPPARTRNNEKPLASMWREIQGERDWAGLVEPTLHPLLRAEIVRYGELVGATYKAFDLDAGSKRYLNCRYGKARMLQEVGMAGAGYAVTKYIYAAPENCPSRWVGYVAVSSDDAVRRLGRRDIVVSFRGTVTGSEWVANMMSSLVPARFDPADPRPDVKVESGFLSVYTSDDATGRFTCGSCRNQILSEVTRLIKRYEHEDVSITLAGHSMGSSLALLLGYDIAELGLNRRGARGDRVPITVYSFAGPRVGNAGFKDRCEELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGRFELPWSCACYTHVGVELALDFFRAGDPACVHDLEAYLGFLKRPKVEKVWKQGEDLLSKAGKFVIGQSFDAWNWQMAAIQVGDLVHALGM* >Brasy2G364100.1.p pacid=40069904 transcript=Brasy2G364100.1 locus=Brasy2G364100 ID=Brasy2G364100.1.v1.1 annot-version=v1.1 MATMSRALGSAFVGFTRTPAVPPATTLPSSCASSALLLRWQRSRGGGAGGERRFSSGRNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNTGVVAIPDPRLHVLSELSKSKQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIIHVNGKVDPKSDIDVINLELIFCDLEQIEKRLDKLKKSKTKDPQVKVKEEAERSGLEKILKALMDGKPARSVELADHEKEAIQHLYLLTMKPVIYVANVTESDLAAPDQNPHVKEVAKLASKLQSGMVTISAQVEAELSELPLEERVEYLKSLDVAESGLGVLVKATYNLLGLRTYFTTGEQETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYEDFVTTGSLGAAREKGVLRLEGKEYIVQEGDVMLFRFNV* >Brasy2G062100.1.p pacid=40069905 transcript=Brasy2G062100.1 locus=Brasy2G062100 ID=Brasy2G062100.1.v1.1 annot-version=v1.1 MLTIRDAARAACVSRQFLRFWRCFPNLVFNRETLAARRQRRDHRGRYIFNKARQVLENHSVIGAKMLKLNFSNCLSTMSDIDTNLMDGWLQAFVKPGIIVDLAVLLPHCYAASKYNFPYSLLLNDDVSDSRSSSAASIQSLHLGSCGFHPINDDTRMLACSWSLSKVHLSKVSVTGDELWLFLSSCFALEELVLSNCDMIKSIKLPRVLQKLKIVHVRECSVLRVIESEALRLTTFTYQGWPLSRFTLGDSLETKKLDMRATRMQDMIQYAGSNFPSIAPNLETLMLSTDHEKLKAPAMAEKFKHLKHLVICLGEWGGFCTGYDFLSLACFLDACVALETFVLRIADGFKWYKKYLIVGKPDESSSQSKQEIPEFRHGGLGNLRRATITGFCSAKSLVELTCHILERASTSLECFILDASPGYDRKCSSSDKCLPMSVEALRDAEKALANVRKYVEPKVPVGIEFKVLEPCSRCHTVDAKAMQEDESETPRKFWQRQEDGCIALVYVLPRC* >Brasy2G317200.1.p pacid=40069906 transcript=Brasy2G317200.1 locus=Brasy2G317200 ID=Brasy2G317200.1.v1.1 annot-version=v1.1 MAGSMQVAEAAGRISALLSLLALRRILAVLQPLVLLLLLPFRWRARRGDAVASAVADAVASSPSSSVASGKKGKAAVTLRVPAGSPMACARRQALARREAAVRRAREAGREYELIPTARGETLFTQTWWPHASSSSTVKPRALVVVMHGLNEHSGRYDHLARRLNAMDVKVYGMDWTGHGGSDGLHGYVQSLDHAVHDLKMFLKKVSAENPGVPCFCFGHSTGGGIILKAVLDPEVDVLVRGIVLTSPAVRVQPAHPVVAVLAPVLALVAPRYQFAGSHKKGPPVSRDREALRVKYSDPLVFTGSIRVRTGYEILRLTSFLQQQLRRVTVPLLVLHGADDMVTDPDGSRSLHREASSADKSIRLYDGLLHDLLIEPEKEQIMGDIADWLRRRI* >Brasy2G266300.1.p pacid=40069907 transcript=Brasy2G266300.1 locus=Brasy2G266300 ID=Brasy2G266300.1.v1.1 annot-version=v1.1 MPHVNAKVSAVAATAAGMSAATAAAPRRWEGVDPALEKMVLRACLDQAPERRRVREAFKDVQLSIDHCLFKAQYSGIGTKESCERNSRGVEIFSKCWFPENHRIKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDAAEHFAKIKGNSEHRGLPSFLFGQSMGGAVALKIHFKQPDEWDGAILVAPMCKMADDVVPAWPVQQVLIFLAKLLPKEKLVPQKDLAELAFKEKKKQEQTSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGDADLVTDPGVSKDLYEKANTSDKTLRLYKDACHSILEGESDETIFQVLDDIISWLDQHSMKEVPLS* >Brasy2G093900.1.p pacid=40069908 transcript=Brasy2G093900.1 locus=Brasy2G093900 ID=Brasy2G093900.1.v1.1 annot-version=v1.1 MFASRPAVHPVEAPPPTDPVEQPTGVLMKDLPGMPGTAGGLGLRVAQFVFAGVALAVMASTNDFPSVTAFCYLVAATIMQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGITAALTFGAACSSAGITVLIDNDLNICAENHCGSFETATALAFMSWFALTPSFLLNFWSMAAR* >Brasy2G352800.1.p pacid=40069909 transcript=Brasy2G352800.1 locus=Brasy2G352800 ID=Brasy2G352800.1.v1.1 annot-version=v1.1 MSSWAPQQLMALLNSTRAMQGLHHGGHGHGGGSNNVHRLLGLDTMGQLQVLPGHSPANATMWPPTAHRPMPPPPPMHLDMAGPLGLGLGGHNDLFSNLGLKLPTSSPLAPAASYYSDQLNAVVSNGGGGAGRPNAYESPSSYSCATTMASLPAASSTVSSGLTVGMDQQQTPVTSSSSLAAQEMQYWSSGPAAGMSMAWPDLPALNGGFP* >Brasy2G491700.1.p pacid=40069910 transcript=Brasy2G491700.1 locus=Brasy2G491700 ID=Brasy2G491700.1.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRESRCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G491700.5.p pacid=40069911 transcript=Brasy2G491700.5 locus=Brasy2G491700 ID=Brasy2G491700.5.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRESRCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G491700.2.p pacid=40069912 transcript=Brasy2G491700.2 locus=Brasy2G491700 ID=Brasy2G491700.2.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRESRCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G491700.4.p pacid=40069913 transcript=Brasy2G491700.4 locus=Brasy2G491700 ID=Brasy2G491700.4.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRERCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G491700.6.p pacid=40069914 transcript=Brasy2G491700.6 locus=Brasy2G491700 ID=Brasy2G491700.6.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRERCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G491700.3.p pacid=40069915 transcript=Brasy2G491700.3 locus=Brasy2G491700 ID=Brasy2G491700.3.v1.1 annot-version=v1.1 MSGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALADAFQDQANPYWTMMQTNSYKYGYSGASNYYSYGHVYDMNDYMHRGDGGRRIWDNPTPASNTDSPNVVLQGAAEAPHPRASSTTEECIQQPVHQNSSSPQVVWQDNVDPDNMTYEELLDLGEAVGTQSRGLSQERISSLPVTKYKCGFFSRKKTRRERCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPSRQ* >Brasy2G035400.1.p pacid=40069916 transcript=Brasy2G035400.1 locus=Brasy2G035400 ID=Brasy2G035400.1.v1.1 annot-version=v1.1 MPFSGDRRLSPPPTLSYLSPSAAPFTVARPRATPDPIPPNAPNPSPPSYPDLPTAPSLYDSWVEPPSTYMDRAAAASPAYRGFANSDGFLISEDARNDIYSGNHFGASMQPHPFTAGSSEWMEERYPGIYQRTSKAVSSEFGSSAVRQPSVPPSLFACLEKEPFSTPQPVNQYSTTYSAYDNYMTHIPSCSTYPLNYDQSMPSVAASPEVCAATKSSLPTTDGHVPFSSPHTNPCRLNLDYFDTMQNEQKDLFGYQTAYNKHYGDWSNPNNGTRILGNYTLGTSGVGQNYLLGDCSETGGPVHPSSEVKTGLKCTKPLGNYPLSRCGVGENYLGGNCETVGSVPVQPSLEVESGLKHTGLVGNYPLSRRGAGENYLLGESSETGRPVQPSSEVKSDLKSLQASCSKVSPSEHPFTHPRDLFTDSLELNNPVVDSPCWKGTPTLQQPSFDGVKNDEAPYSANGSGDLHDLQQGKKLSEFSTNNSVLFPKLHDTSNPEDNPCLPYFMNYLSAFSLPSESKKVGVHCDAQPSIVEDFNGMTKSVEQGNRRDKHVTCKTRDDFGGQQGSVFPVNENNEPMVLGGKFGSHIGCTIEESSKKVSSNVSAAPTALVRSLTKESLQGNAFVHKAAATLEHMCSEMPMNKGLEHLTHHNSRVEEDLRKISADRITSRSKTRAEFIKSMYDLSTMLLSTCNGGYELEESEHALIQSVIQNLSSLNSKISKAAFKVDDVNNNCCKMNSEKVKCCGKTCQSDKFTGLDGGNIDADFKTFLIQDLAKLQEENVVGDSEDAQMLVYKNLWIEAEASVCKLKYELQLTRMKLAMKHHHQQTAAAPAVSFVEAKVSNLPKFEDSLCIGEIDDSSKHKQQNSVKESNIGSATLLPQGGDEVDAAVFARLKVLKLRDESINCFHEDGSELPKETSSYDTTDVDGAVIYNEVDDMVISLVEDIINKRLETSRSKGDEADGATIGALEDFMSCNNNKSSLDEETNQEELESNKSKTGHAVMARLEDLMCCNDDISSLSGGNAYKLQTASNDKSGQLEDGVMARLQVLKRCLDDTSSVVDVEQKVIRSDDWDDHLESKEFGRVAHNGLIEKIDMPDDVKCRSRPDEANGSSTVQYLGTSPLESQVLSAPVEPAALHLHDKHFSHSPSEWEHVLKEDFFLPGKPLK* >Brasy2G035400.2.p pacid=40069917 transcript=Brasy2G035400.2 locus=Brasy2G035400 ID=Brasy2G035400.2.v1.1 annot-version=v1.1 MPFSGDRRLSPPPTLSYLSPSAAPFTVARPRATPDPIPPNAPNPSPPSYPDLPTAPSLYDSWVEPPSTYMDRAAAASPAYRGFANSDGFLISEDARNDIYSGNHFGASMQPHPFTAGSSEWMEERYPGIYQRTSKAVSSEFGSSAVRQPSVPPSLFACLEKEPFSTPQPVNQYSTTYSAYDNYMTHIPSCSTYPLNYDQSMPSVAASPEVCAATKSSLPTTDGHVPFSSPHTNPCRLNLDYFDTMQNEQKDLFGYQTAYNKHYGDWSNPNNGTRILGNYTLGTSGVGQNYLLGDCSETGGPVHPSSEVKTGLKCTKPLGNYPLSRCGVGENYLGGNCETVGSVPVQPSLEVESGLKHTGLVGNYPLSRRGAGENYLLGESSETGRPVQPSSEVKSDLKSLQASCSKVSPSEHPFTHPRDLFTDSLELNNPVVDSPCWKGTPTLQQPSFDGVKNDEAPYSANGSGDLHDLQQGKKLSEFSTNNSVLFPKLHDTSNPEDNPCLPYFMNYLSAFSLPSESKKVGVHCDAQPSIVEDFNGMTKSVEQGNRRDKHVTCKTRDDFGGQQGSVFPVNENNEPMVLGGKFGSHIGCTIEESSKKVSSNVSAAPTALVRSLTKESLQGNAFVHKAAATLEHMCSEMPMNKGLEHLTHHNSRVEEDLRKISADRITSRSKTRAEFIKSMYDLSTMLLSTCNGGYELEESEHALIQSVIQNLSSLNSKISKAAFKVDDVNNNCCKMNSEKVKCCGKTCQSDKFTGLDGGNIDADFKTFLIQDLAKLQEENVVGDSEDAQMLVYKNLWIEAEASVCKLKYELQLTRMKLAMKHHHQQTAPAVSFVEAKVSNLPKFEDSLCIGEIDDSSKHKQQNSVKESNIGSATLLPQGGDEVDAAVFARLKVLKLRDESINCFHEDGSELPKETSSYDTTDVDGAVIYNEVDDMVISLVEDIINKRLETSRSKGDEADGATIGALEDFMSCNNNKSSLDEETNQEELESNKSKTGHAVMARLEDLMCCNDDISSLSGGNAYKLQTASNDKSGQLEDGVMARLQVLKRCLDDTSSVVDVEQKVIRSDDWDDHLESKEFGRVAHNGLIEKIDMPDDVKCRSRPDEANGSSTVQYLGTSPLESQVLSAPVEPAALHLHDKHFSHSPSEWEHVLKEDFFLPGKPLK* >Brasy2G290500.1.p pacid=40069918 transcript=Brasy2G290500.1 locus=Brasy2G290500 ID=Brasy2G290500.1.v1.1 annot-version=v1.1 MAASPTASASGSVAAAAAAASEANDGPVLSVVTKRLRALRKKQNRISQMEESVAAGKTLNQEQKEVLRSKPIVAAVIEELERIRAPLSSALAEELSTIPAPSAAPAADAGSSSSGSDSSIQDLLALVYFGSLFDVKPQSEFVTTMVARTHERSCCITYDYVTDDAAELLAESDLDAVATVAALAAARPSKAVGVTHRDALQACAHHARLWLSRADEPISADSSVTYAAVRAKLDRIMASDYYTAQTEMSDMAAAVGSYGAGGVQAQESITVSPEAPAVEEIAAEGHKDEKEDPQETEIYNDQPNAADGQNVDGEAHVNPPEEYPSAEAEQEKFEDVDYQDQRNAEPKDQQFQTPRRSYQNQRGGGRGTGRRGYPNARGGRGGRGMGGGYQNGRGGGGGYQGGGGYQGGGGGYQNGRGGRGGYYDEGYYQERNFNNRGRGGRAGGNSYYNNQGGGQQGGGHPQPGRVELGANA* >Brasy2G290500.2.p pacid=40069919 transcript=Brasy2G290500.2 locus=Brasy2G290500 ID=Brasy2G290500.2.v1.1 annot-version=v1.1 MAASPTASASGSVAAAAAAASEANDGPVLSVVTKRLRALRKKQNRISQMEESVAAGKTLNQEQKEVLRSKPIVAAVIEELERIRAPLSSALAEELSTIPAPSAAPAADAGSSSSGSDSSIQDLLALVYFGSLFDVKPQSEFVTTMVARTHERSCCITYDYVTDDAAELLAESDLDAVATVAALAAARPSKAVGVTHRDALQACAHHARLWLSRADEPISADSSVTYAAVRAKLDRIMASDYYTAQTEMSDMAAAVGSYGAGGVQAQESITVSPEAPAVEEIAAEGHKDEKEDPQETEIYNDQPNAADGQNVDGEAHVNPPEEYPSAEAEQEKFEDVDYQDQRNAEPKDQQFQTPRRSYQNQRGGGRGTGRRGYPNARGGRGGRGMGGGYQNGRGGGGGYQGGGGYQGGGGGYQNGRGGRGGYYDEGYYQERNFNNRGRGGRAGGNSYYNNQGGGQQGGGHPQPGRVELGANA* >Brasy2G290500.3.p pacid=40069920 transcript=Brasy2G290500.3 locus=Brasy2G290500 ID=Brasy2G290500.3.v1.1 annot-version=v1.1 MAASPTASASGSVAAAAAAASEANDGPVLSVVTKRLRALRKKQNRISQMEESVAAGKTLNQEQKEVLRSKPIVAAVIEELERIRAPLSSALAEELSTIPAPSAAPAADAGSSSSGSDSSIQDLLALVYFGSLFDVKPQSEFVTTMVARTHERSCCITYDYVTDDAAELLAESDLDAVATVAALAAARPSKAVGVTHRDALQACAHHARLWLSRADEPISADSSVTYAAVRAKLDRIMASDYYTAQTEMSDMAAAVGSYGAGGVQAQESITVSPEAPAVEEIAAEGHKDEKEDPQETEIYNDQPNAADGQNDGEAHVNPPEEYPSAEAEQEKFEDVDYQDQRNAEPKDQQFQTPRRSYQNQRGGGRGTGRRGYPNARGGRGGRGMGGGYQNGRGGGGGYQGGGGYQGGGGGYQNGRGGRGGYYDEGYYQERNFNNRGRGGRAGGNSYYNNQGGGQQGGGHPQPGRVELGANA* >Brasy2G092900.1.p pacid=40069921 transcript=Brasy2G092900.1 locus=Brasy2G092900 ID=Brasy2G092900.1.v1.1 annot-version=v1.1 MVQFMRAMRDDKGDMVRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLASRRRHRDAAQAKVRKTAEKLLISHLKASRLEELAAKIKSDRAKHDAKGKQIESNTGEETEKTYGDQNRNDDGENSRGTIAPINQEKLDELLAASLAAEDEADLTDKGGHNSASVPLQQGTCIDDDENDDDEEMIFPVTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVQRGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVGEHNVDNVKSKREISSTVFKSSPSSGSRSINPHNSEPLRDFGPDVETYCDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQGKSTGQTDVGKGSASNEEPPNFPEHLFENDGLQSSVSFSEDFADITGGNHHTSSLIGGSDGISEGSCHGSKGTIEISFVDDQIGVSDNDDKLFLHLVSGTSSNVFAAADRLAKNTEESDDNSEGIWEEGVIEETLSMKVDEKDRQSSPADNCYNDDEVEWEDGGCDIPGVPSSSEYNQCKLTKGDIEEEALIQEAIKRSLEDSGKQETENGIPEDLQTSVEDKSLQSHVVPKPTEVSGISCSLSKAAASEEIIKEIGIVNNSGEGGAVHDPDGQENEKQAQLESNDGQAGTNRSYSLGSISTSTVAARPSHSSKVQDNDAIADATRTPEWPKGEGHEVIEQNTSNSHKSKSNKNDHSIGDTSKSPQKELLMDELVADTAIEKENDVQEDVNITTSEINYAKSSENYDSHVISASNLEEEISFLRQEQVNLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRDQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFSEEDGLQKFREWIESPDPAILGKLEKETSGGSTRRKSGGNESSEKGNSLEPECVEGSDGKHSSNETEHIKKIFMSKHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKTFSETDELDHDSPSTSKTPKKKEAGPSSHTKPRGKRNTNAGPNSFADADELAKEHSNPSKKKTASPSGHSTGRGRKRTNVGHETAVSQEDSEVKTSTFSSDEDTHKSHAGNYKSEGTALRRSNRKRKQVTYMEDGHEANDNDTPVYQVDEDDPSPAASDIAGRDTQSNMFHQDTSELNRDQIHADPGTVDMSEDFEFCEDQTDSAPKEYLFTGGGFCMEEDDEQDAAGDRPGAEIKDGTSDAFEDIGGVSDSGIGLSTTGECAENASTESRGASSSKRRNVGIGLPTLTKRRRK* >Brasy2G092900.2.p pacid=40069922 transcript=Brasy2G092900.2 locus=Brasy2G092900 ID=Brasy2G092900.2.v1.1 annot-version=v1.1 MLAASLAAEDEADLTDKGGHNSASVPLQQGTCIDDDENDDDEEMIFPVTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVQRGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVGEHNVDNVKSKREISSTVFKSSPSSGSRSINPHNSEPLRDFGPDVETYCDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQGKSTGQTDVGKGSASNEEPPNFPEHLFENDGLQSSVSFSEDFADITGGNHHTSSLIGGSDGISEGSCHGSKGTIEISFVDDQIGVSDNDDKLFLHLVSGTSSNVFAAADRLAKNTEESDDNSEGIWEEGVIEETLSMKVDEKDRQSSPADNCYNDDEVEWEDGGCDIPGVPSSSEYNQCKLTKGDIEEEALIQEAIKRSLEDSGKQETENGIPEDLQTSVEDKSLQSHVVPKPTEVSGISCSLSKAAASEEIIKEIGIVNNSGEGGAVHDPDGQENEKQAQLESNDGQAGTNRSYSLGSISTSTVAARPSHSSKVQDNDAIADATRTPEWPKGEGHEVIEQNTSNSHKSKSNKNDHSIGDTSKSPQKELLMDELVADTAIEKENDVQEDVNITTSEINYAKSSENYDSHVISASNLEEEISFLRQEQVNLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRDQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFSEEDGLQKFREWIESPDPAILGKLEKETSGGSTRRKSGGNESSEKGNSLEPECVEGSDGKHSSNETEHIKKIFMSKHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKTFSETDELDHDSPSTSKTPKKKEAGPSSHTKPRGKRNTNAGPNSFADADELAKEHSNPSKKKTASPSGHSTGRGRKRTNVGHETAVSQEDSEVKTSTFSSDEDTHKSHAGNYKSEGTALRRSNRKRKQVTYMEDGHEANDNDTPVYQVDEDDPSPAASDIAGRDTQSNMFHQDTSELNRDQIHADPGTVDMSEDFEFCEDQTDSAPKEYLFTGGGFCMEEDDEQDAAGDRPGAEIKDGTSDAFEDIGGVSDSGIGLSTTGECAENASTESRGASSSKRRNVGIGLPTLTKRRRK* >Brasy2G092900.3.p pacid=40069923 transcript=Brasy2G092900.3 locus=Brasy2G092900 ID=Brasy2G092900.3.v1.1 annot-version=v1.1 MIFPVTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVQRGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVGEHNVDNVKSKREISSTVFKSSPSSGSRSINPHNSEPLRDFGPDVETYCDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQGKSTGQTDVGKGSASNEEPPNFPEHLFENDGLQSSVSFSEDFADITGGNHHTSSLIGGSDGISEGSCHGSKGTIEISFVDDQIGVSDNDDKLFLHLVSGTSSNVFAAADRLAKNTEESDDNSEGIWEEGVIEETLSMKVDEKDRQSSPADNCYNDDEVEWEDGGCDIPGVPSSSEYNQCKLTKGDIEEEALIQEAIKRSLEDSGKQETENGIPEDLQTSVEDKSLQSHVVPKPTEVSGISCSLSKAAASEEIIKEIGIVNNSGEGGAVHDPDGQENEKQAQLESNDGQAGTNRSYSLGSISTSTVAARPSHSSKVQDNDAIADATRTPEWPKGEGHEVIEQNTSNSHKSKSNKNDHSIGDTSKSPQKELLMDELVADTAIEKENDVQEDVNITTSEINYAKSSENYDSHVISASNLEEEISFLRQEQVNLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRDQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFSEEDGLQKFREWIESPDPAILGKLEKETSGGSTRRKSGGNESSEKGNSLEPECVEGSDGKHSSNETEHIKKIFMSKHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKTFSETDELDHDSPSTSKTPKKKEAGPSSHTKPRGKRNTNAGPNSFADADELAKEHSNPSKKKTASPSGHSTGRGRKRTNVGHETAVSQEDSEVKTSTFSSDEDTHKSHAGNYKSEGTALRRSNRKRKQVTYMEDGHEANDNDTPVYQVDEDDPSPAASDIAGRDTQSNMFHQDTSELNRDQIHADPGTVDMSEDFEFCEDQTDSAPKEYLFTGGGFCMEEDDEQDAAGDRPGAEIKDGTSDAFEDIGGVSDSGIGLSTTGECAENASTESRGASSSKRRNVGIGLPTLTKRRRK* >Brasy2G092900.4.p pacid=40069924 transcript=Brasy2G092900.4 locus=Brasy2G092900 ID=Brasy2G092900.4.v1.1 annot-version=v1.1 MIFPVTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVQRGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVGEHNVDNVKSKREISSTVFKSSPSSGSRSINPHNSEPLRDFGPDVETYCDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQGKSTGQTDVGKGSASNEEPPNFPEHLFENDGLQSSVSFSEDFADITGGNHHTSSLIGGSDGISEGSCHGSKGTIEISFVDDQIGVSDNDDKLFLHLVSGTSSNVFAAADRLAKNTEESDDNSEGIWEEGVIEETLSMKVDEKDRQSSPADNCYNDDEVEWEDGGCDIPGVPSSSEYNQCKLTKGDIEEEALIQEAIKRSLEDSGKQETENGIPEDLQTSVEDKSLQSHVVPKPTEVSGISCSLSKAAASEEIIKEIGIVNNSGEGGAVHDPDGQENEKQAQLESNDGQAGTNRSYSLGSISTSTVAARPSHSSKVQDNDAIADATRTPEWPKGEGHEVIEQNTSNSHKSKSNKNDHSIGDTSKSPQKELLMDELVADTAIEKENDVQEDVNITTSEINYAKSSENYDSHVISASNLEEEISFLRQEQVNLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRDQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFSEEDGLQKFREWIESPDPAILGKLEKETSGGSTRRKSGGNESSEKGNSLEPECVEGSDGKHSSNETEHIKKIFMSKHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKTFSETDELDHDSPSTSKTPKKKEAGPSSHTKPRGKRNTNAGPNSFADADELAKEHSNPSKKKTASPSGHSTGRGRKRTNVGHETAVSQEDSEVKTSTFSSDEDTHKSHAGNYKSEGTALRRSNRKRKQVTYMEDGHEANDNDTPVYQVDEDDPSPAASDIAGRDTQSNMFHQDTSELNRDQIHADPGTVDMSEDFEFCEDQTDSAPKEYLFTGGGFCMEEDDEQDAAGDRPGAEIKDGTSDAFEDIGGVSDSGIGLSTTGECAENASTESRGASSSKRRNVGIGLPTLTKRRRK* >Brasy2G392300.1.p pacid=40069925 transcript=Brasy2G392300.1 locus=Brasy2G392300 ID=Brasy2G392300.1.v1.1 annot-version=v1.1 MSTEQQQQQQQQQASSACAAFTTKRHTRSRGRRAKAKGAQDPYESAYGTVPWEMLSSRRHQYVGYIDEAMVGKRVLVLARVMRVHPVSKTATVVELLNMSKGANCMVVADPEEGVTTRMVRFAATLRRGTYIEFEGVVSVAEMWHVEITATKLHSIGTRKDGSREEWHAVRT* >Brasy2G342300.1.p pacid=40069926 transcript=Brasy2G342300.1 locus=Brasy2G342300 ID=Brasy2G342300.1.v1.1 annot-version=v1.1 MRELQREVVEWRNCCLIFFFFSSVAASILSLSFLNRILYPLSVICLNRIDPLLSLASTNRPCKYRSKNRAAVVDSHPRDIIRGLGSCVREQRRMREGGGGGRDDEAAAAAEHEPRPGCGGEAAGQAAANCAAVCCCCPMALLEVVLLVAVRLPADVVRRLKRRRRRRRQLRRGGKGSSASLSGSAKAMIAAASEADEAAAGTRRGEMEAVSEFEREIMGSRFYGGGFWRSVSSGSNSCASSVRR* >Brasy2G292000.1.p pacid=40069927 transcript=Brasy2G292000.1 locus=Brasy2G292000 ID=Brasy2G292000.1.v1.1 annot-version=v1.1 MASNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRSGVDEPFAWQSREFLRELCVGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVAAGWARVKEQGPKGGEQSPYLAELQRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGESSGFDAKGFAVANKGKSLEAIVEQVRDGSTIRVYLLPSFQFVQIYVAGVQAPSMGRRPPNPTVVTEAEGTADVTNGDDSGETPAPLTTAQRLAASAVSTEIPPDRFGREAKHFTETRVLSRDVRIVVEGTDSFNNIIGSVYYPDGDTAKDLSLELVENGLAKYVEWSANMLDVEVKMKLKSAELKAKNEQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPHGSPSAERRVNISSIRAPKLGNPRKEEKPANFARESKEFLRTRLIGKQVTVEMEYSRRISTVDGQNVLSSTNAADTRVLDYGSVFVGSPSLASGDDTSSITSPGNQPRINVAELLLSRGFAEISKHRDYEERSHYFDALLAAHSRAEKAKKGLHSGKLSPVMHITDLTMVSSKKAKDFLPFLQRNKRHTAIIEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKDEPYSSEAIALMRRMILQRDVEIEVEAVDRTGTFIGSLWESRTNMSSVLLEAGLAKLNSFNLDRIPDAHVLTRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYAQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRGAVESVDDKFEVFYIDYGNQEVVPYSRIRPADPSVSSSPALAQLCSLAFIKVPGLEDDYGQEAAEYLSECLLSSSKQYRAMIEERDTSGGKSKGQGTGPILIVTLVDGEAESSINAAMLEEGLARLERSKRWDTKERKTALNNLEQFQEKAKKERLRLWQYGDVESDEEEQAPGARKPGGRR* >Brasy2G111500.1.p pacid=40069928 transcript=Brasy2G111500.1 locus=Brasy2G111500 ID=Brasy2G111500.1.v1.1 annot-version=v1.1 MEAAWIRALLLLIAGITWSHVQSGAEAAGTTVFTLRNNCTTTIWPATLSGNSGAAIGGGGFELSPGASVSFPGPAGWSGRFWARTGCVASGDSSLACATGDCGGAARCSLGGATPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVSAAGAEVNGATCGYAGCVGDVNALCPAELQVAAAAGKEGEQGATTVACRSACEAFGTAEYCCTGAHGGPDSCGPTKYSRLFKAACPAAYSYAYDDATGTFTCGTGAQYLITFCPVQQ* >Brasy2G111500.2.p pacid=40069929 transcript=Brasy2G111500.2 locus=Brasy2G111500 ID=Brasy2G111500.2.v1.1 annot-version=v1.1 MEAAWIRALLLLIGITWSHVQSGAEAAGTTVFTLRNNCTTTIWPATLSGNSGAAIGGGGFELSPGASVSFPGPAGWSGRFWARTGCVASGDSSLACATGDCGGAARCSLGGATPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVSAAGAEVNGATCGYAGCVGDVNALCPAELQVAAAAGKEGEQGATTVACRSACEAFGTAEYCCTGAHGGPDSCGPTKYSRLFKAACPAAYSYAYDDATGTFTCGTGAQYLITFCPVQQ* >Brasy2G316200.1.p pacid=40069930 transcript=Brasy2G316200.1 locus=Brasy2G316200 ID=Brasy2G316200.1.v1.1 annot-version=v1.1 MEQLQQQERAREVYRECLRNHAAKLGTYASDGCCEYTPADVADAGAGAGFLLCAACGCHRNFHRKAFLDATTATGPPHLQQTPMLHPAAPGAPPGYGNMHMAMGAAGVLDGGSGSGGSGRRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRAPEDDEVARFCREIGVTRQVFKVWMHNHKAGGGGSGGAGGGGGGGAQTSSSTTRGGGGLGGMSPAAMGSGDGEDDEEVRGNEMCM* >Brasy2G131200.1.p pacid=40069931 transcript=Brasy2G131200.1 locus=Brasy2G131200 ID=Brasy2G131200.1.v1.1 annot-version=v1.1 MTTGADISALSPEVALLHVLTFIARHQDCKHVDLWLSQAPCFQRMRKLVHLDSVRLKGCFLDFSSCLFSTHACIYAPRLVSLEVADVDEGLCPLLQSMPSLVSAFIRIGADVAGEIRHSDAYLFRQYTGAGSVQPGDPNRSKQPSFNKEKLKGIRGGQFSYSASFKDYTIMDDGDPSVILDGLSNASYLELTVEAGETCSNSIETYLEYCCASKHLKIAEVKCNENDEMVREVPKVLSSWGVPSDQVNVQQSTSPSSTGEKYRLQQKY* >Brasy2G285800.1.p pacid=40069932 transcript=Brasy2G285800.1 locus=Brasy2G285800 ID=Brasy2G285800.1.v1.1 annot-version=v1.1 MTSSSSSSPSRKALSKIACNRLQKELAEWQLGPPGGFKHKVSDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV* >Brasy2G206100.1.p pacid=40069933 transcript=Brasy2G206100.1 locus=Brasy2G206100 ID=Brasy2G206100.1.v1.1 annot-version=v1.1 MSSSSEMDPLAPSSEIKFRAYAVLAAFGVAAVLAVCFWRLYRLTVSARPQDMMPVSGATGKPAALRRGDISALPVFVHVAEAGAVECAVCLAEMADGEKGRLLPACGHGFHVECIDRWFRANSTCPLCRVAAFGEPNAVEAQKDAPVRVAAPVVVVLQG* >Brasy2G201000.1.p pacid=40069934 transcript=Brasy2G201000.1 locus=Brasy2G201000 ID=Brasy2G201000.1.v1.1 annot-version=v1.1 MQKEASSSDVSASHVGRVRHRRRPNEVTTDGNRANGPALLVSDQNKYKSMLIRTYSTVWMIGGFALIVYMGHLYIWAMVVVIQIFMATELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVSSDHLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANLMGRFQWLTCPRKDLSTGWLRCDPGPMFKPEHYYLGEWVPQWFPWKEVFLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPHNFSVDAILDQIIRNLTYEEQKYLYQQLGEIFTERQSMQS* >Brasy2G065300.1.p pacid=40069935 transcript=Brasy2G065300.1 locus=Brasy2G065300 ID=Brasy2G065300.1.v1.1 annot-version=v1.1 MAAPTTFSGDVWAELRLADARDVPHIHSLIRQMAEFELLTDLFAATHELLTSTLFPSPQPAPFTSFTALVLDLSPSPVPTSADTIASRRLDLSASPLADPEAAAFASPRGGGRVTSGFVICFPNYSTFLAKPGLYVEDIFVRAPWRRRGLGRMMLSSVAGRAAEIGMGRVEWCVLDWNKNAIDFYEGMGAEVFQQWRICRLTGAALDKYKGSQEEDAGKAK* >Brasy2G015300.1.p pacid=40069936 transcript=Brasy2G015300.1 locus=Brasy2G015300 ID=Brasy2G015300.1.v1.1 annot-version=v1.1 MALAARLLELEHQHLLRVVGSRRAPWSLPPPAIGCGEPVNSVALRVTLTAMRVPRTDRFKPQPGTLASGPCRSEAHRSRKHFLGQSRPKPKTARMATTAAAASLRCFPSSSSSLRNRGPISNRGVGVVAFSPRGRRASAVAAPSREAEPASSLGDLVRVDFPILDQEFDGNKLVYFDNGATSQKPSHVMKALDDYYRFYNSNVHRGIHALSAKATAAYENARIKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGAVLKYVGLAKEEVPDIEQLKGLISSKTKIVVVHHVSNVLGSMLPIEEIVTCSNRVGAKVLVDACQSVPHMPVDVQKLGTDFLVGSSHKMCGPTGVGFLHGKYEILSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQFGMQRIHEYEKELGTYLYESLLSVPNVRIYGPSPSENCHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFIHGLKDTIEFLTSQH* >Brasy2G015300.2.p pacid=40069937 transcript=Brasy2G015300.2 locus=Brasy2G015300 ID=Brasy2G015300.2.v1.1 annot-version=v1.1 MALAARLLELEHQHLLRVVGSRRAPWSLPPPAIGCGEPVNSVALRVTLTAMRVPRTDRFKPQPGTLASGPCRSEAHRSRKHFLGQSRPKPKTARMATTAAAASLRCFPSSSSSLRNRGPISNRGVGVVAFSPRGRRASAVAAPSREAEPASSLGDLVRVDFPILDQEFDGNKLVYFDNGATSQKPSHVMKALDDYYRFYNSNVHRGIHALSAKATAAYENARIKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGAVLKYVGLAKEEVPDIEQLKGLISSKTKIVVVHHVSNVLGSMLPIEEIVTCSNRVGAKVLVDACQSVPHMPVDVQKLGTDFLVGSSHKMCGPTGVGFLHGKYEILSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQFGMQRIHEYEKCLCLCL* >Brasy2G010400.1.p pacid=40069938 transcript=Brasy2G010400.1 locus=Brasy2G010400 ID=Brasy2G010400.1.v1.1 annot-version=v1.1 MAQEWEAAMGMELGMGTSTPHYAASPAAAATMAAPFGHGGSAYSHSLPHHYHLYGGAGAEVAADPMRVDEMLDLSSHLGAHDFFPGGTNGGAAQGEQAPPAAAAPSSSDHHGHHHHHHHHLSSSSFNLSFADEFFVPVPREEAAELEWLSNFVDDSYPDTPNYPPAVQAAMAAAARNGARQEMLHNNNPAASSALPGRGARSKRSRAASAAAAAWHALVPRHQDQRPSPSSSSSSSDQQQQQQQQLVSSSSKPARPKAELGGEEQGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQNEQLVHIRGGGASSPSSGSAASGEHMFRDYGVC* >Brasy2G318900.1.p pacid=40069939 transcript=Brasy2G318900.1 locus=Brasy2G318900 ID=Brasy2G318900.1.v1.1 annot-version=v1.1 MLEEMVVAVENGVKMESAAAATTDADADSPISVLEDEKMSESKDEKASRFVDASTSLPVKFEANGGEVHPIVQALNAEEALLQSVKVETADEFLDASTSLPIDLEAKNGDASFITEVMSKEEEQLYQARLKEEEEEEEAKTKEAAKHAFDPKARFSKLDELLTQTQLFSEFLLEKMEQITDKGVEVKDEEEPVEEPKKGRGRKRKANSKPQYNDKKAKTAVAAMLTRSREDRSADDGILTEEEKCEIEQAKLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLHGPYMVIAPLSTLSNWLNEISRFVPSLTGLIYHGDKVTRAELRRKFMPKTAGPDFPIIITSYEIAMSDARKVLSHYKWQYVIVDEGHRLKNSKCKLLSELKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGDEEQQEETDENKRVVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQRQIQTHLIEKTFDDYLLGSADIVLRPGMKAKLNNLMIQMRKNCAHPDLFNAAFDSTGLYPPIDKLLEQCGKFQLFDRLLDSLLKRKHKVLVFSQWTKVLDLIAYYLDTKGLEVCRIDGGVRLEERRRQIAEFNDLNSSLNVFILSTRAGGLGINLTSADTCILYDSDWNPQADLQAMDRCHRIGQTKPVHVYRLATSNSVEGRIIKKAFGKLKLEHVVIGKGQFQQDRAKPNALDEAELLALLRNEQDEEDRMIQTDISDEDLLKVMDRSDLTGPPGAADATPLVPLKGPGWEVVVASKSGGGMLTALTS* >Brasy2G045900.1.p pacid=40069940 transcript=Brasy2G045900.1 locus=Brasy2G045900 ID=Brasy2G045900.1.v1.1 annot-version=v1.1 MEQQPPPRQSEEDGLRGCGMMMGMRSDMAGRDELDLMEEFLLASPGLDFSEFFHPGDGEFDIGSSITTAATTPPPPPPAPAGDDDDDEADAERPSRGWLFQQEAATVKERLRRALQGIASRSQSAAGELLVQVWVPTRIGDRQVLTTCGQPFWFDSRSDRLESYRTVSMKYQFSADESACAELGLPGRVFVGRVPEWTPDVRYFTDQEYPRVRHAQHFDIRGSVAMPVFDRRRSSSSRGCLGVVELVMTTQKINYNAEIENICNALQEVDLRSSDVSSDPRAQVFESSYRAVVPEILHVLRAVCETHKLPLAQTWIPCVCQAKRASRHSDEKYKYCVSTVDEACYVRDPDVVGFHQACSEHHLFRGEGVVGTALGTNEPCFSPDITTYSKVQYPLSHYAKLFSLRAAVAIRLRSVRTGNLDFVLEFFLPRNCIKSEDQGLMLSSLSTTIQQVCCTLRVVSAKELVDDGSPETNIPTPPEIYARATENFDEICSGINVPARTTSLEASEEVSSWIASLVDVQNKGAKEEIDCDLPFGFSKQEDEGFSVTAGWRTSPVLVPEDSFLSEFKQHEEYEAKEVICSSDPSLSNSDKAVEKRRTKMEKTVSLQELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVRLSSLYENFTKTTWSERELQEDLNCPASEQKVHLEPSVPDRLCEGRFSSHTSGSNSLSPTYSQSSNSSLGCSSDPKPQQQHGSAPQPAIKQEVSMEENQSSILMKAASHAELQIFTEERPITLCRSQSHMFFSEHKPVENMSTMQEDKPDPLKIKAMYGEERCIFRLQPSWGFEKLREEITKRFGISQETCDLKYLDDESEWVLLTCDADLLECIDVYKTASAKTVRISVNPTGQPVLSGSFGHTALS* >Brasy2G174900.1.p pacid=40069941 transcript=Brasy2G174900.1 locus=Brasy2G174900 ID=Brasy2G174900.1.v1.1 annot-version=v1.1 MASQILSLLAWSTKCCGRAGEKKMAATSLSSSASKVTAAKVGGISRPSSSYTQLTFCSRHAFQKIGNGTRTELLLLSPRISKYARPRAATDNDRAAPAPAEAQEAAISADANPVASSKSSSNGTVPSEPPKRVPLTARERLRAARVLGRYAAAEPGAPEKQAPTGSSSKPEFGSRVLEALRETDAKKKGGAGGRKKRSSGLPEAPSNLLDDAKRGMPKEGWTFDWLAALPVGTDVLVVAASFGIITTVMFGTTYLVWKLGAIHFNEY* >Brasy2G493900.1.p pacid=40069942 transcript=Brasy2G493900.1 locus=Brasy2G493900 ID=Brasy2G493900.1.v1.1 annot-version=v1.1 MERRGEKGAAAGRVRRPQGAEQPSFSSTLLDAIYKSMDEPSHDAVSKKKQQEKEEALHYSYYYRPSLAGSYRARAPGPHATTTTSSSSDCSSYGGFSSSEAETSSGRHQHRRLRPIRTAAAAPAPPAPEKKTKASKKQQQQPAPGASIRAKLRDLRKAPASPGARLAGFLNTIFAGGGSKRAPQTPPSAASPAAEYACSTASSASYSRSCLSKTPSTRGGAGQQAGRTVRFVDIDGGEAPATVPGRRMPARAVEQMLLRRMEMESDEEDDESSDASSDLFELENFTAAAAPPGAAGYRDELPVYETTRVVLNRGSIGGHGYGHGRSARVV* >Brasy2G490600.1.p pacid=40069943 transcript=Brasy2G490600.1 locus=Brasy2G490600 ID=Brasy2G490600.1.v1.1 annot-version=v1.1 MAVDAMFPVLRSSDYFTRPSIDELVEREAADPGYCSRVPDFVVGRARYGQVMFSGNTDVRGLDLNEIVTFDRHCVVVYGDEAGKPAVGHGLNKAAEVMLKLDLRSLPEPSILVELLRCRTKKQGARFLSFNPENGYWKFEVDHFSRFGLVDEEEEDVAMDEVAARQPIAEVRDPPSNGYELELSHSLPAHLGLDPAKMQEMRMALFPNDEEDVDMEDGFPSDQRYLSRERMSVDSPNTSAKGARLRSLSPLQGSSQKFGRRPGMLARKEPPALLEYSVNPSELGPSSHGILMSGKNKGFPVRMTKVEGFKLPAEQATPVAGKVYSNCVVDAALFMGRSFRVGWGPNGILIHSGCLVNSPGTGLSSVIHIEKVAGDKVVRDEQNKVKEELAELCFSDPMDLHRRLDREVLETESGSFKLKLQKVVASRLVLPEICRSYIDIIERQLEINDLSMSLRVLLMHQVTVWELIRVLFSEKTAGNQLEFSCDEDQEGMILDKKEGSVNIDLEALPLVRRADFSNWLQDSICHRVQGEVGSLSDARYLEHIILLLTGRQLDTATEIAASRGDVRLAVLLSQAGGSMLNRSDVAQQLDLWKVNDLDFDYIEEDRLRIYELLAGNIQGALLDSPIDWKRYLGLIMWYQLSPDTSLDIIIHFYHQLLGEGKVPNPVPVYIDEGPLEEAVQWSPGDRFDISFYLMLLHANQDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFGTNDLHVLDLSFVHQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIKEILSQYCELWSKDVAQRQYITELGIPAEWIHEALALFHEYYGDRQGALENYIQCGNWKKAHTIFMTSVAHSLFLSLNHQEIWGITNVLENHKSEIADWDIGAGIYIDYFIIKNSMQEESTMDDDDSDLLERKNESCKSFFSRLNDSLHIWGSKLPVEARACFSKMAEELSQLLMNSPGEGLGPDLYMGCFHTMLNAPVPNDHRASYLQEAVSVFTDILCGD* >Brasy2G180200.1.p pacid=40069944 transcript=Brasy2G180200.1 locus=Brasy2G180200 ID=Brasy2G180200.1.v1.1 annot-version=v1.1 MESNSDKLRGLRITSLDEEDDDEPELPHQPLPAAAAVDCDDDDEEEEAEVMLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSSCCGFCGEPLQFVLQIYAPIEENAATFHRTLFMFMCPSMACLLRDQHEQWKHKQGNPSRSVKVFRCQLPRSNAFYSSEPPTHTNSDKPLCAGDGRIPCNYIDLQLLDYWLPAAVCHWCGTWKGDKICGSCKKSRYCCEKHQALHWRSGHKTDCLQMINSSEASSSVLPVVGKVPAKTCWPEYQITIESEDYLDSDSCDENSSKSLVIQKDGKPDDLMQSWMDQFEADADNLCWAYFQERISRAPEQALRYCRESNAKPLWALSAGRPSNADIPSCSYCKGPLCYEFQIMPQLLYYFRVRNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTITRP* >Brasy2G180200.3.p pacid=40069945 transcript=Brasy2G180200.3 locus=Brasy2G180200 ID=Brasy2G180200.3.v1.1 annot-version=v1.1 MESNSDKLRGLRITSLDEEDDDEPELPHQPLPAAAAVDCDDDDEEEEAEVMLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSSCCGFCGEPLQFVLQIYAPIEENAATFHRTLFMFMCPSMACLLRDQHEQWKHKQGNPSRSVKVFRCQLPRSNAFYSSEPPTHTNSDKPLCAGAAVCHWCGTWKGDKICGSCKKSRYCCEKHQALHWRSGHKTDCLQMINSSEASSSVLPVVGKVPAKTCWPEYQITIESEDYLDSDSCDENSSKSLVIQKDGKPDDLMQSWMDQFEADADNLCWAYFQERISRAPEQALRYCRESNAKPLWALSAGRPSNADIPSCSYCKGPLCYEFQIMPQLLYYFRVRNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTITRP* >Brasy2G180200.2.p pacid=40069946 transcript=Brasy2G180200.2 locus=Brasy2G180200 ID=Brasy2G180200.2.v1.1 annot-version=v1.1 MESNSDKLRGLRITSLDEEDDDEPELPHQPLPAAAAVDCDDDDEEEEAEVMLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSSCCGFCGEPLQFVLQIYAPIEENAATFHRTLFMFMCPSMACLLRDQHEQWKHKQGNPSRSVKVFRCQLPRSNAFYSSEPPTHTNSDKPLCAGAAVCHWCGTWKGDKICGSCKKSRYCCEKHQALHWRSGHKTDCLQMINSSEASSSVLPVVGKVPAKTCWPEYQITIESEDYLDSDSCDENSSKSLVIQKDGKPDDLMQSWMDQFEADADNLCWAYFQERISRAPEQALRYCRESNAKPLWALSAGRPSNADIPSCSYCKGPLCYEFQIMPQLLYYFRVRNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTITRP* >Brasy2G029600.1.p pacid=40069947 transcript=Brasy2G029600.1 locus=Brasy2G029600 ID=Brasy2G029600.1.v1.1 annot-version=v1.1 MRKQRWYEPRTRTYARLLMMLGKCRQPGPAAALFRVMLTEERLAPTADVYTALVGAYGYSGMLDEALATVELMKGAADCRPDEYTFSVLINCCCKSRRFDLIPAVLDEMAYLGIGCNIVIHNAIIDGYGKAGMLAEMGTALCNMLEDGDTVPDIYTMNSIIWAYGNRGRVDDMEKWYSEFQLMGVEPDTRTFNIMIKSYGKANMHGKMMVVLKYMKRRFFSPTAATFNIIIECFGRAGDIEKMEYYFRLMKIQGIKPNPITYCSLVNGYSKAGILDKVPAIIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKEKKCKPGKVIYTTMIQAYIAHGMDEAAKLLEIEVDSFGNRLLGPLSEVDNK* >Brasy2G446800.1.p pacid=40069948 transcript=Brasy2G446800.1 locus=Brasy2G446800 ID=Brasy2G446800.1.v1.1 annot-version=v1.1 MRHWFCCNCRFGGEEDDHDMEHSKAKGNKMDAKQKSSRPADQPELDFFPATIDVPELSFEDLKQKTDNFGSNSLIGEGSYGRVYHATMDDGRQAAIKKFDASENEPNDEFLKQVSLVSKLNHENLVEMLGYYVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGVEYLHEKVHPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPVAPAASEPVPATET* >Brasy2G117900.1.p pacid=40069949 transcript=Brasy2G117900.1 locus=Brasy2G117900 ID=Brasy2G117900.1.v1.1 annot-version=v1.1 MDGAGPPPAASVADGAPSSPGNRTSILGLSAESAAVAAAAVLLVLLVAAAFLARRRRKKNKNPQSGSRVDHALSSGSSLLPTSTPKQNKYAEVGAEVATSSSDAAGSSSAAASSLESPPRGNNKLGRISAAAAGVEMGWGRWYELEELEAATGGFRAENVVGEGGYGTVYRGVLSGGEVVAVKNLFDHKGQAEQEFKVEVESIGRVRHKHLTGLIGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWEIRLKIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGPGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELVSGRSPVDYNRPAGEVNLVEWFKGMVGGRRVEGLVDPRIAVEAAPAARVLNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRAAHRPTTNSRASLLSDEVGADDSDKSMWR* >Brasy2G349300.1.p pacid=40069950 transcript=Brasy2G349300.1 locus=Brasy2G349300 ID=Brasy2G349300.1.v1.1 annot-version=v1.1 MQAAAAVHRPHLLAPSPLRGRTRRPSTVRMALREDGPSVAIVGATGAVGQEFLRVIAARDFPYRSLRLLASERSAGKRIAFEDREYTVEDLAAPGAFEGIDIALFSAGGGVSRTHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMANVRLGKGAIVANPNCSTIICLMAVTPLHRQAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPILENGYNEEEMKLVKETRKIWNDKDVRVTATCIRVPTMRAHGESVNLQFEKPLDEDTAREILRAAPGLTISDDRASNRFPTPLEVSDKDEVSVGRIRQDLSQEDNRGLDIFVCGDQIRKGAALNAVQIAEMLLK* >Brasy2G465100.1.p pacid=40069951 transcript=Brasy2G465100.1 locus=Brasy2G465100 ID=Brasy2G465100.1.v1.1 annot-version=v1.1 MLPLSYAPPHGRPNPSPSHKPKPQQFALPFPDALRAAAAAAAISLSLLAGNNAGAAAAAVEQPPEICRGGDGGGQAEVKAEAVTNEQLVEEAWEVVNEGFLPDAGSRPWSPELWLQRKQDILQGSIKSRSRAHDIITKMLASLGDPYTRFLPPSDFSKMSKYDMTGIGLNLREIPDDNGSLKLIVLGLILDGPAHSAGVRQGDELLSVNDIDVKGKSAFDVSSMLQGPKETFVTIKVKHSNCGPVESMKVQRQMAARTPIFYRLEKRENEDSSVGYVHIKEFNAVAKKDLVSALKRLQSSGASYFVLDLRDNLGGLVQAGIEIAKLFLNKGDTIIYTTGRDRQVQNTIVADGGPLVTTPLMVLVNSRTASASEIVASALHDNCKAVLVGERTYGKGLIQSVFELYDGSGIVVTVGKYVTPNHQDINGDGIEPDYRRLPGLNEARDYLLRCEGKKLS* >Brasy2G075600.1.p pacid=40069952 transcript=Brasy2G075600.1 locus=Brasy2G075600 ID=Brasy2G075600.1.v1.1 annot-version=v1.1 MSLPPPPQRCRHSPPELIDDAMSEIFLRIPPDDPKSLVRAAAVCTTWRGILSDAAFDRYYKEFHGAPPVLGFLHNKRHERTYGKGRNRRREAYLVSNFVSTASFRPPACHERRHWHALDSRHGLALFRTPKRDEDFVVCDLVTYDRWRINANSECPTIISNANDQDITWNAAVICAKEQCNHIYCHGGPFFVTLVGSDEEKTFASFYSSVTRKWSGMISIDEPHAILMTGHSAVVGNKVYFHYEETESVVEYDMGEQELCVIDTPFESELVDLVGVEDGMLLFTTLKNSRLYLLSMVAGPDGTKEWARCRVINLEPLLPSHALLDVSVVGFAQGLGLIFLNTEAGLFTVELASGQIKKVNGDTPSVPKRLARRSFCKNAPAVYYNQPSLHLLKYLIPLCFFFPVSPPVTRLGSPPVSARLLPCELADAALRLPTRLASARSLSPSRAPSRLRLSSQLASPPSSLPAPLRALPLAKNRRRQRVLRIAGSGGGEARSGGGVAGSGGGEDGSGRSDGSGVLFQEDRSGGGGDDGLCSKLDDSCCYRVWAWEGEHQAGQVLAAMDLSVEWLQCSSLASALMVLLLLSGIVVETTGAESGSTCRESWSINR* >Brasy2G102400.1.p pacid=40069953 transcript=Brasy2G102400.1 locus=Brasy2G102400 ID=Brasy2G102400.1.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQAVHPFSASIFLGFDADPSLNVAARIRGPNDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGGTLYNGYGGIYPQATPLQQVSLTLKHASSSTTQVVPVVSTSTSMAIEVNSSAKLESDKRLQRRKFQELPIPDLQNSQQGSKFVKTGLDDLGKMSSPSATPKTKVQPGSNVMFPQDPQHMPSHLSVSTGMSLPPPPPKNMSPPSSKNMPPPPPKSMPPPPPKFPSNEVLRNESRHSALKEPMAPPRSLDVSSVSPPKSWSAQLPSKDPREEKPSSASVSETLLKLMDYGDDDDDDNDDIDETDSVPGGNTTPSPGQKPFWSV* >Brasy2G102400.2.p pacid=40069954 transcript=Brasy2G102400.2 locus=Brasy2G102400 ID=Brasy2G102400.2.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGGTLYNGYGGIYPQATPLQQVSLTLKHASSSTTQVVPVVSTSTSMAIEVNSSAKLESDKRLQRRKFQELPIPDLQNSQQGSKFVKTGLDDLGKMSSPSATPKTKVQPGSNVMFPQDPQHMPSHLSVSTGMSLPPPPPKNMSPPSSKNMPPPPPKSMPPPPPKFPSNEVLRNESRHSALKEPMAPPRSLDVSSVSPPKSWSAQLPSKDPREEKPSSASVSETLLKLMDYGDDDDDDNDDIDETDSVPGGNTTPSPGQKPFWSV* >Brasy2G102400.4.p pacid=40069955 transcript=Brasy2G102400.4 locus=Brasy2G102400 ID=Brasy2G102400.4.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQAVHPFSASIFLGFDADPSLNVAARIRGPNDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGGTLYNGYGGIYPQATPLQQVSLTLKHASSSTTQVVPVVSTSTSMAIEVNSSAKLESDKRLQRRKFQELPIPDLQVFSLTMSEAHRVVTVVSFFSQGLLQVGVCSCNGGLADKMQCYSSCELDDCFYCLVVIAKYSLRS* >Brasy2G102400.3.p pacid=40069956 transcript=Brasy2G102400.3 locus=Brasy2G102400 ID=Brasy2G102400.3.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGGTLYNGYGGIYPQATPLQQVSLTLKHASSSTTQVVPVVSTSTSMAIEVNSSAKLESDKRLQRRKFQELPIPDLQVFSLTMSEAHRVVTVVSFFSQGLLQVGVCSCNGGLADKMQCYSSCELDDCFYCLVVIAKYSLRS* >Brasy2G102400.5.p pacid=40069957 transcript=Brasy2G102400.5 locus=Brasy2G102400 ID=Brasy2G102400.5.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQAVHPFSASIFLGFDADPSLNVAARIRGPNDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGCSSGIYINKHGDRGKLKCKVGVR* >Brasy2G102400.6.p pacid=40069958 transcript=Brasy2G102400.6 locus=Brasy2G102400 ID=Brasy2G102400.6.v1.1 annot-version=v1.1 MTEEGTPRASGEPTAATKQRKKRKWDQPAEDIVSAAAEAAAVAGLPVLNFGALSGVQFPGITAYPAAPLPNAIPAPYALPPQLTPSVLQSAAAAVQKLSQAKIPDELIAREIVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQMPETTSTHFLSIQSDKQAVHPFSASIFLGFDADPSLNVAARIRGPNDQYINHIMNETGATVSLRGKGSGNMGDCHAEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGAFRISSSKVYGAVPPPQQLLTGVHTSVTTPDVHSTLGPYGSTGAAHSYAPTGVTSPMAVPSTTLQSGFPTYSGIPPTSNLVYPSQAANGCSSGIYINKHGDRGKLKCKVGVR* >Brasy2G195100.1.p pacid=40069959 transcript=Brasy2G195100.1 locus=Brasy2G195100 ID=Brasy2G195100.1.v1.1 annot-version=v1.1 MAGLPGLRCCGVAARGSLPSIGHVHCPRSPPRAPALRYSSLRAGDGLGEEVMRMFLEDRQTNGDFISKVADMVLKRNGTGIDVSDATVDQENAADVAQPEDVWEDVMSEGVLRLEANGDLVSAESSLTARRRRSAMDRQNESDKRKEFNLLRYEAIKDELLLLTTGIGAACTIYCALVFSLEAGISYAFGVAFSCLYLQLLYRRADSLSKEDVPEVFLKKRIKKIGIRSEDLKNTIEKTLGGSVFVLSSPRLIIPAVIFGLSSLSSHFHNTILNFELVPGMMGFFAYKAAALVQVYRDNDDLRLILPDEDADYS* >Brasy2G178400.1.p pacid=40069960 transcript=Brasy2G178400.1 locus=Brasy2G178400 ID=Brasy2G178400.1.v1.1 annot-version=v1.1 MLSRLLPRRHQRRLLQTLQPAAASAAARELHQRLCSTAAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHQRLDQYMRLHVSRLLRTDLLAVLAELLRQDHVLLSMKIYGVVRKEIWYRPDMYFYRDMLHMLARNKKINETRQVWADLKSEDVLFDQHTYGDIVRVFCDAGLIDLAMEFYEDMRSSPEPPLSLPFRVILKGLIPYPELREKIKHDFLELFPDMIVYDPPDSLSDIDEEFRF* >Brasy2G483800.1.p pacid=40069961 transcript=Brasy2G483800.1 locus=Brasy2G483800 ID=Brasy2G483800.1.v1.1 annot-version=v1.1 MGSVISSAIAAALPYPDEFPFAEEDDPNRGPFLHELPLHQQERLIQRWMEKMERKHPQAEERIATELLPHVQTALQHYNSNNPGAEFDPVKPLTYSRVGFRDEIWFHINFLARPRRKRNFFARRGDALPDTAAQHFFAGLHYDQFDTPVVETCTILENPLEHLKRKCAFCKGTYGVYHPRNGEFVCGKANQKKEYYQICIWKARPKKGAPSDEEATSVKNLFEHLPLAA* >Brasy2G221300.1.p pacid=40069962 transcript=Brasy2G221300.1 locus=Brasy2G221300 ID=Brasy2G221300.1.v1.1 annot-version=v1.1 MNRETNLGDASPKPKNLDGDPAVVLPAAAASVEGGGGHGARNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVVWADRAFGPFSGSLMGTWKYVSGAINGAAFPALCADYLARVIPAVADGGSRVATIVTFNVALSFLNYTGLSVVGWSAVALGVASLSPFLLMSGIALPKIRPHRWGATAGDKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTFPTALMASVAMTSLGYLLPLMAATGAIDAPPDQWGNGFFADAAGIIAGDWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFALRAPVFNTPWVSIVVTSLITLGMSFLSFNNIVAAANFLYSLGMLLEFATFVWLRIKRPEMARPYRVPLRLPGTVILCLVPSGFLVFVMAIAGWKVYAISAIFTAAGVGVYYLMKLCKERGFLRFGTVDGEEMMYERHHQESRNPSV* >Brasy2G058800.1.p pacid=40069963 transcript=Brasy2G058800.1 locus=Brasy2G058800 ID=Brasy2G058800.1.v1.1 annot-version=v1.1 MAAVQQRLPLPLASPHQPRHGNNTPVVCRYWKSGHCSRNPCRFLHADAPTAPYPSPLVKKRSNTWVNTSSRVTAKPNADAKTTPAPKRARQVEESSGAHSWCVGDGIIRGVARLQGHAKAVTGIAVPEASAGSGRQLLYSGSLDGTVRAWDCNTGECVHVAAAHEGAPPVGRLVAMGPWVLAAVALSGGALIKALHTGNGKAVHLQLGPAAQAVTALLAEDGEGERLFAGADDGGIYIWRLDRERQSFHEIAALTVPGLHAGVSSLAQGKGALYAGYEDGAIRAWDLDTRRCICSFAAHDSKVTALLCWDRFLLSSSHDGTVKAWRSSSSKLDHEGDDVGLELEVHYTHREEGGERVVAMDGTYYADKKPILLVSRGGGFVVVYELPSFEKRGEIGCNGEAGAISVRTPGVIFAGDQSGEVRVAKWTPAAAAEAQV* >Brasy2G097600.1.p pacid=40069964 transcript=Brasy2G097600.1 locus=Brasy2G097600 ID=Brasy2G097600.1.v1.1 annot-version=v1.1 MEKALTKLSSFTIPRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPQNIICYEYDQTSSKLVVHLAKPCEVSFKDSSMIRYAPRVKATLSRGKLSAIEGMKTKVVVWVKVTSVNLESFRSDKVCFIAGVKKLRQKDAYEMPREAISVGEF* >Brasy2G371500.1.p pacid=40069965 transcript=Brasy2G371500.1 locus=Brasy2G371500 ID=Brasy2G371500.1.v1.1 annot-version=v1.1 MDVLPEELCIKIFRLLDHPSLAAAPQVCRKWNALTCDDELWRKLFEDRWGADATAFYAPEPEDSKPWKDVFVVQDRCDRYGLGVRIIREGNDYYLIYQGEIQSYLGTSCGAKDAQLQSADAEKRQISDRILFFLGDLEAACANAKRVKA* >Brasy2G489500.1.p pacid=40069966 transcript=Brasy2G489500.1 locus=Brasy2G489500 ID=Brasy2G489500.1.v1.1 annot-version=v1.1 MADALSVFCFTVLADVVSTGNGNSGHSQCPFRCSRFLIQRAVRVKSAISSRVANDLVAWLAERNSRANFIDLNPDANPAKPQLRQGYCPRLSMGTKSVNKWSSKVRFMTGRILQVIGEEGHAEE* >Brasy2G190900.1.p pacid=40069967 transcript=Brasy2G190900.1 locus=Brasy2G190900 ID=Brasy2G190900.1.v1.1 annot-version=v1.1 MAGRLVSMLRWPPDLGSLAALLPSSPSYALAAFVQDRWQWEWRPEQLGAAVRRWPELVPDVPLVVDAVLWGVITAVESVALVSMMCCFFLFCGCTL* >Brasy2G332200.1.p pacid=40069968 transcript=Brasy2G332200.1 locus=Brasy2G332200 ID=Brasy2G332200.1.v1.1 annot-version=v1.1 MFGGGLNIIGDLTGGLKNAQLKGSVVLMRKNVLDFNDFGATVVDGITEFLGRGVTCQLISSTLVDSNNGNRGKVGAEASLEQWITSLPSITTGESKFGVTFDWAVEKLGVPGAIIVKNNHASEFFLKTITLDNVPGRGTVVFVANSWVYPQGMYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYNDLGDNRDILGGTKEFPYPRRCRTGRKPSDAKPDHESRLLPLVQNIYVPRDELFGHLKQSDFLGYTLKALVDGIVPAIRTYVDLSPGEFDSFEDILKLYEGGLKLPNIPALEEMRKRFPLQLVKDLMPVGGDYILKLSKPHIIKETEKAWMTDDEFAREMLAGVNPMMIKRLTEFPPKSTLDPSKYGDHTSTITEAHIGNSLEGLTVQQAVDGNRLYIVDQHDNLMPFLVNINNLDGSYIYATRTLLFLRGDGTLAPVAIELSSPLLQGGLTTPDSTVYTPASTGVEGWVWQLAKAYVAVNDYGWHQLISHWLNTHAVMEPFVIATSRQLSVTHPVHKLLHPHYRDTMNINARARELLINAGGIIEMTVFPRKHAMGMSSVAYGNWNFTQQALPEDLIKRGMAVPDASSPHKVRLLIEDYPYAADGLAVWHAIEQWAAEYLAIYYPTDAVLQGDVELQAWWKEVREVGHGDLKDAPWWPRMQTVGELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRKPMPKPGSEDYALLEREPEKVFIRTITNQLQAIVGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGARLEGIESQVVAMNANPKLKNRVGPAKFPYTLLYPNTSDLKGDAAGLTARGIPNSISI* >Brasy2G460700.1.p pacid=40069969 transcript=Brasy2G460700.1 locus=Brasy2G460700 ID=Brasy2G460700.1.v1.1 annot-version=v1.1 MEAVVSAGHGVLGPLLGKLADLLAGKYGRIRGVHGEIQSLQSELTSMHAALKGYTMLEDPDVQVKAWISLLRELAYDIEDCIDKFIRRIGKKGRRNGGFKEVLRDAARSLKTLGSRSGIADQIDELKTRIKHVKELKDSYKLSDTPCSTTDHTKVDPRLCALFAEEAHLVGIEGPRDDLAKWMVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRTFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTVITKLRELLQDKRYLIIIDDIWSSSIWDAIKYAFPENNCSSRIIFTTRIVDVAKSCSLGHDNRMYEMEALSDLHSRRLFFNRIFGSENCCSDMLKEVSSEILKKCGGLPLAIISISGLLANKPAVKEEWEKVKRSIGSALENNRSLEGMSSILSLSYNNLPSNLKTCLLYLSAFPEDYEIERERLVRRWIAEGFISEERGQSQHEVAESYFYELINKSMVQPVGFGYDGKVRACRVHDMMLEIIISKSAEDNFMTVVGGGQTSLANRHRFIRRLSIQHIDQELACALAKENLSHVRSLTVTSAGCMKHLPRLAEFEALRVMDFEGCEDLEEYDINNMDKLFQLKYLGLRSMGISKVPPGIVMLGDLETLDLWNTGVRELPSRIVRLTRLQHLLVEARTKIPNGIGDMRNLRVMSGFSATSCPADALEELGNLTSLDELIVSFHCEESKEYKRHEEMLLSSLCKLGSYKLRSLEIRSTNCSLEFLDSWFPLPFSLQTVRMDYCYFKIVPKWIAPALTSLTFLQISFIALTEEDMVTLGELPALVCLFLRSKTREPDRIRFQGFPSLKQFCIYSAIASIFTFVKGAIPKLEDLHVPFNVSVALPGTVSNSDSAW* >Brasy2G207100.1.p pacid=40069970 transcript=Brasy2G207100.1 locus=Brasy2G207100 ID=Brasy2G207100.1.v1.1 annot-version=v1.1 MADPSFFVGIVGNIISILVFTSPIGTFRRVVRNKSTEEFRWLPYVTTLLATSLWAFYGLLKPGGLLIVTVNGAGAALQAVYVVLYLAYAPRETKIKMAKVVLAVNIGFFAAIVVVGLVALHGAVRLFAVGLLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFFLSFFLFLNGGIWSVYSMLVKDYFIGIPNAIGFAMGSAQLVLYLAYRNKKKAAVVLKVEEEDEEKGVVHLMGMGQVELSQRKAPLKKGLSLPMPSSLPSPLHGFGNLIKALSATPLELHSVMHQHERVDISARDEEPNDDRHTSSCHRSDT* >Brasy2G207100.2.p pacid=40069971 transcript=Brasy2G207100.2 locus=Brasy2G207100 ID=Brasy2G207100.2.v1.1 annot-version=v1.1 MADPSFFVGIVGNIISILVFTSPIGTFRRVVRNKSTEEFRWLPYVTTLLATSLWAFYGLLKPGGLLIVTVNGAGAALQAVYVVLYLAYAPRETKIKMAKVVLAVNIGFFAAIVVVGLVALHGAVRLFAVGLLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFFLSFFLFLNGGIWSVYSMLVKDYFIGIPNAIGFAMGSAQLVLYLAYRNKKKAAVVLKVEEEDEEKGVVHLMGMGQVELSQRKAPLKKGLSLPMPSSLPSPLHGFGNLIKALSATPLELHSVMHQHERVDISARDEEPNDDRHTSSCHRSDT* >Brasy2G436700.1.p pacid=40069972 transcript=Brasy2G436700.1 locus=Brasy2G436700 ID=Brasy2G436700.1.v1.1 annot-version=v1.1 MVPSSAARRMPPPSDCLLNRFVHTDYTQYNTAAAAAAAAAEDDRDLITTLEEQPRLILRCVDREAAPARAYGQDEADDLLRGMEIGIYSSAAPLSLSCLSIPRCTVGTYILAVDRIVMVLVSSSQDGRHCYFVYDSVQGSLRMIPLPEHRSFRPTWPWRVLLLLLRSAGCSLDLAAWPLLLLLLLLRDDQPEAQLVGGEGGPLPGPELVHGRGYKADVVFSCDGYAYWADLLRGAMYCSCKDILDDDDDNNGAAVQPKGGIGSCSARLGRSSRVSGTEAYRAMGVAGHGQDSVLTFVSINGFHEHVDLKDRTVTVWRLPAGQGQLHGCWDMEHQLSLETLWGLGGFGGFGGLPKDLTPMFPMLSKGDKDEIYVALGEYRENLYNWKFITGDPRYLLAVDLRNKVVLGAKRLTFDLTPLLW* >Brasy2G211700.1.p pacid=40069973 transcript=Brasy2G211700.1 locus=Brasy2G211700 ID=Brasy2G211700.1.v1.1 annot-version=v1.1 MGSLTSAGSAAAAPQHTDAQLGLQRRPRVVLLASPGAGHLIPLAELARRLVERHGFAATLVTYTNFSDPRLLHSLPVSVSTVALPAIQIDDQLTTNGPVGDMLRELVRSSLPDLRKLLRSIISTSPAPIAAFVPDFFCAGALPIAAELGVPGYLFFPGSLAWMALMRHIVELHDDLLPAPGECCYRDVAEPLELPGPLSLRRADLPESCRDCNGPSFPQNLEWGRRFRDADGLLVNTFSEMEPAAVESFEAAAKRGAFPPVFPVGPSIRSSSSDQVSDTSNSKSPCLEWLDRQPTGSVVYVSFGSGGALSVEQTVELAAGLEASGQRFLWIVRMPRQEGRHPFAFGHGHGDDDPLASLPEGFLERTEGRGLAVAAWAPQVRVLSHRATAAFVSHCGWNSTLESVRSGVPMVALPLCADQRMNAVMLEGNLGVALCPPPPRGGGGGGVVGREEIAAAVNEVVGGEKGSVVKRRARDLQKAATDAWSPDEGSSSRALAEVAAKWSAALGTDK* >Brasy2G325100.1.p pacid=40069974 transcript=Brasy2G325100.1 locus=Brasy2G325100 ID=Brasy2G325100.1.v1.1 annot-version=v1.1 MMSRRTRRADLQQKQPASGFAGTHNTIVSNPIFSYEDGSMTQLKPVDGVLRCGYKEPAGSVQGVTPDVKPLPLPPSRAHRLPAASSRAGPAALVMTASQHGGWVLRRAPHHDPFLAAYVACTKNGDKADSSPATVKQQHRHRQRKKNQSNNTRGDDALQGCGIWSGWAAAAGAKKKKKKYAGALSCKHGSAVAVAVRRQGDDDPAQALASPGHPPRLHLSRQLVVIPARNKALLVRPRGRAQSQS* >Brasy2G151200.1.p pacid=40069975 transcript=Brasy2G151200.1 locus=Brasy2G151200 ID=Brasy2G151200.1.v1.1 annot-version=v1.1 MAIRGPDAASFFPLTLLFSLGFFCARFLLDRLVYKPLAVYLFTSKGSKLMNDEARQAKIVKFSESTWKLTYYASVQAWVLLIIKQEPWSLDTMQYFDGWPNQPIPSLLTLFYMCQCGFYIYSIFALIAWETRRKDFAVMMSHHVVTSVLIGYSYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLDRFPTTLYYIFNTMLLTLLVFHMYWGKLIFLMIMRQLNNKGKVGEDVRSDSEDDE* >Brasy2G151200.2.p pacid=40069976 transcript=Brasy2G151200.2 locus=Brasy2G151200 ID=Brasy2G151200.2.v1.1 annot-version=v1.1 MAIRGPDAASFFPLTLLFSLGFFCARFLLDRLVYKPLAVYLFTSKGSKLMNDEARQAKIVKFSESTWKLTYYASVQAWVLLIIKQEPWSLDTMQYFDGWPNQPIPSLLTLFYMCQCGFYIYSIFALIAWETRRKDFAVMMSHHVVTSVLIGYSYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLDRFPTTLYYIFNTMLLTLLVFHMYWGKLIFLMIMRQLNNKGKVGEDVRSDSEDDE* >Brasy2G325000.1.p pacid=40069977 transcript=Brasy2G325000.1 locus=Brasy2G325000 ID=Brasy2G325000.1.v1.1 annot-version=v1.1 MDLGGVLMAAVDAGVGGGGAEHGVLGSRMLKHGRGNAEDEHGWRPPAKQARAEDDAVSEAVKVAAPYLLGSCSPGHGRERMLSFSSSASSRPAAAAAAEGAMPLYYGTPASCSGLSSVSLSASIQGAMARVRGPFTPSQWMELEHQALIYKYLAANMSVPPSLLIPIRRSLASLYPPAYFGSSTLGWGSFQLGYTGNSDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGQAAKAMSATVAAAAAQPSAVATVGDGATASLTISNQQQPVKNYAVNTIDPCSLQYNSELVNKQNENEQAQDSDSLSMLTSMSARSTGNLFPFSKQHKPFEVTTSRPDYGLVSSDSLMSSPHSSLENVNLLASQSLNEHQSSLSLQHFVDWPRTPSQGALSWPDTEEMQAQRTQLSISVPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLSITANRDEVTQGEQDWMPTFHDSSMGGPLGEVLTKNSNMEARNYLSASLNLLTDAWDSSPLETSPVGVLQKTTFGSVSSSTGSSPRMENHSVYDGISNLRDDLGSIVVNHPSIRLV* >Brasy2G325000.3.p pacid=40069978 transcript=Brasy2G325000.3 locus=Brasy2G325000 ID=Brasy2G325000.3.v1.1 annot-version=v1.1 MHTPPTNLCFFSGFLILAVTLPQIFFCVDLLLAPIYVTHRLCLGNACISVVVVSVKYTFFFGFAFLACTALPGCSSLCCICLLLPGLSSVSLSASIQGAMARVRGPFTPSQWMELEHQALIYKYLAANMSVPPSLLIPIRRSLASLYPPAYFGSSTLGWGSFQLGYTGNSDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGQAAKAMSATVAAAAAQPSAVATVGDGATASLTISNQQQPVKNYAVNTIDPCSLQYNSELVNKQNENEQAQDSDSLSMLTSMSARSTGNLFPFSKQHKPFEVTTSRPDYGLVSSDSLMSSPHSSLENVNLLASQSLNEHQSSLSLQHFVDWPRTPSQGALSWPDTEEMQAQRTQLSISVPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLSITANRDEVTQGEQDWMPTFHDSSMGGPLGEVLTKNSNMEARNYLSASLNLLTDAWDSSPLETSPVGVLQKTTFGSVSSSTGSSPRMENHSVYDGISNLRDDLGSIVVNHPSIRLV* >Brasy2G325000.2.p pacid=40069979 transcript=Brasy2G325000.2 locus=Brasy2G325000 ID=Brasy2G325000.2.v1.1 annot-version=v1.1 MKKGLSSVSLSASIQGAMARVRGPFTPSQWMELEHQALIYKYLAANMSVPPSLLIPIRRSLASLYPPAYFGSSTLGWGSFQLGYTGNSDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGQAAKAMSATVAAAAAQPSAVATVGDGATASLTISNQQQPVKNYAVNTIDPCSLQYNSELVNKQNENEQAQDSDSLSMLTSMSARSTGNLFPFSKQHKPFEVTTSRPDYGLVSSDSLMSSPHSSLENVNLLASQSLNEHQSSLSLQHFVDWPRTPSQGALSWPDTEEMQAQRTQLSISVPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLSITANRDEVTQGEQDWMPTFHDSSMGGPLGEVLTKNSNMEARNYLSASLNLLTDAWDSSPLETSPVGVLQKTTFGSVSSSTGSSPRMENHSVYDGISNLRDDLGSIVVNHPSIRLV* >Brasy2G093800.1.p pacid=40069980 transcript=Brasy2G093800.1 locus=Brasy2G093800 ID=Brasy2G093800.1.v1.1 annot-version=v1.1 MLPDADTRAGVVVCRGATVTCDGGAEGSEGVSCKRSMQNVTGLDRMSAPSHQVGHHARDDVIARPPHESQTLNPNLTTPVSLARRGPGCCSPPPTGARDAQSGSDGATSSDSHSQLVPSSIFSRTGPTTKSGGQSRRKLVSV* >Brasy2G396000.1.p pacid=40069981 transcript=Brasy2G396000.1 locus=Brasy2G396000 ID=Brasy2G396000.1.v1.1 annot-version=v1.1 MPPHAPLLPLLLLLAAGTLPPAAARHGPPPPPPAPHQIKSTGGGGGGSSSSNVVTTALVSAASLLVVLLLYLCAAIAVRRFRPWRRPGGQEQSAASSYSHSQQACRAAAFLRRHGLHHNRPAFTYEQLRAATAGFDASRKLGDGGFGTVFLGYLPPGGRPAAVKRLHVPPSPSPSSATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRRAATAPPPPPPLPWRTRLAMAAQIASALEYLHFGVKPHVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACSTAAGRDLVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSLGVVVLELVTGLRPVDVGRERRDVTLADWVVSKIQVGELREVVDPPVLGECPAVMPSVEAVAELAFRCVAPDKDDRPDAREVLVELRRIQGMLPESCSHKGS* >Brasy2G150200.1.p pacid=40069982 transcript=Brasy2G150200.1 locus=Brasy2G150200 ID=Brasy2G150200.1.v1.1 annot-version=v1.1 MVKNYPSSSSRRDAAAAAAAGSGAAAAAELCGSGSTRLQPAAVVGRQYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSHAVLNFPSSSSSSSEYSVADDLSTRSIQRAAAAAAAAFEEATRVIVEDSCSFSSAEATTPASAASMTSSSTLGSPADVHATPSSSSAAASDNGSPDPDQGEGGEEDRLWTELVDGFVSSPKLVDLIAADHGTAFSSPSWEEPEEDGEMMRLWSFC* >Brasy2G247000.1.p pacid=40069983 transcript=Brasy2G247000.1 locus=Brasy2G247000 ID=Brasy2G247000.1.v1.1 annot-version=v1.1 MLYILYAHFIYFVSCFDEAENIGLNCLFQAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISDASTSTDPQDNSR* >Brasy2G457500.1.p pacid=40069984 transcript=Brasy2G457500.1 locus=Brasy2G457500 ID=Brasy2G457500.1.v1.1 annot-version=v1.1 MAAPSSYAPIHIFMLVLLSAVSASSSRSPINGCDIDLAALLAFKAQLADPSSILAGNWTTGTSFCHWIGVSCSRRRQRVTALSLPETPLVGPVAPHVGNLSFLSVLNLTYTNLTGSIPAELGRLHRLRYLGLRGNSLSNAIPTALGNLTRLEFLNLGLNQLSGQIPPEMLLRMHNLRKIGLFGNDLSGQIPPHLFNNTPSLSYIDFGNNSLSGAIPHGIASLSMLVVLSLQVNQLSGLVPQAMFNMSRLQAMILAGNGNLTGMIPTNQSFNLPMLQYISLFRNKFTGQFPPGLASCQYLQEIALGENSFLDVVPTWLAKLPHLRTLYLGHNNLIGSIPAALSNLTSLTDLDLSSGNLKGKIPPELGLMQELYSLQLRVNQLTGQIPPSLGNLSKLYSLDLGENQLSGQVPTTLGKNIALNWLALQYNNLEGNLDFLSNLSKCRQLQVLIIEYNSFTGVLPRHVGNLTSQLLIFVAGHNKLIGGVPVAFSNNSSLEAMDLANNLFTEPMPESIVMLQNLVYLDLSHNDMLGPIPTQMGMLGSLQRLFLQANKFSGPIPSSFGNLSRLEEINLSSNQLSSKIPASFFHLNKLVYLDISHNSFSGALPIDVSGLSQTYQMDLSSNFLIGNIPETFGQLNMLTYLNLSCNSFEGSIPGNLKKLKGLDALDLSFNNLSGTIPIFLANFTYLTTLNLSFNRLEGQIPEGGVFSNLTLQCLIGNAGLCGAPRLRFSSCLERPHSTNRHLLQFLLPTLILAFVAIAICVYLWFGKKLKKGEDEASIDPIDAIGHQIVSYHELVRATNSFSEDNILGSGSFGKVFKGQLSNGLLVAIKVLDMQLEQAVRSFDAECRVLRMARHRNLIRILNTCSNIDLRALVLPYMPNGSLEMLLHRAESTVRLGFVERLGIMLDVSMAMDYLHHEHYELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMISASMPGTVGYMAPEYGSLGKASRKSDVFSYGVMLLEVFTGRRPTDPMFGAQLTLRQWVQQAFPAELLQVVDDQLLQGSSLSSHSLDNDFLASVFELGLLCSSDSPDQRMTMHDVVVALKKIKAEYTKRTATTSRSAAQ* >Brasy2G361500.1.p pacid=40069985 transcript=Brasy2G361500.1 locus=Brasy2G361500 ID=Brasy2G361500.1.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.18.p pacid=40069986 transcript=Brasy2G361500.18 locus=Brasy2G361500 ID=Brasy2G361500.18.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.14.p pacid=40069987 transcript=Brasy2G361500.14 locus=Brasy2G361500 ID=Brasy2G361500.14.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.12.p pacid=40069988 transcript=Brasy2G361500.12 locus=Brasy2G361500 ID=Brasy2G361500.12.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.9.p pacid=40069989 transcript=Brasy2G361500.9 locus=Brasy2G361500 ID=Brasy2G361500.9.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.15.p pacid=40069990 transcript=Brasy2G361500.15 locus=Brasy2G361500 ID=Brasy2G361500.15.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.13.p pacid=40069991 transcript=Brasy2G361500.13 locus=Brasy2G361500 ID=Brasy2G361500.13.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.19.p pacid=40069992 transcript=Brasy2G361500.19 locus=Brasy2G361500 ID=Brasy2G361500.19.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.16.p pacid=40069993 transcript=Brasy2G361500.16 locus=Brasy2G361500 ID=Brasy2G361500.16.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.10.p pacid=40069994 transcript=Brasy2G361500.10 locus=Brasy2G361500 ID=Brasy2G361500.10.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.11.p pacid=40069995 transcript=Brasy2G361500.11 locus=Brasy2G361500 ID=Brasy2G361500.11.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.17.p pacid=40069996 transcript=Brasy2G361500.17 locus=Brasy2G361500 ID=Brasy2G361500.17.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.2.p pacid=40069997 transcript=Brasy2G361500.2 locus=Brasy2G361500 ID=Brasy2G361500.2.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.20.p pacid=40069998 transcript=Brasy2G361500.20 locus=Brasy2G361500 ID=Brasy2G361500.20.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.21.p pacid=40069999 transcript=Brasy2G361500.21 locus=Brasy2G361500 ID=Brasy2G361500.21.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.3.p pacid=40070000 transcript=Brasy2G361500.3 locus=Brasy2G361500 ID=Brasy2G361500.3.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.4.p pacid=40070001 transcript=Brasy2G361500.4 locus=Brasy2G361500 ID=Brasy2G361500.4.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.5.p pacid=40070002 transcript=Brasy2G361500.5 locus=Brasy2G361500 ID=Brasy2G361500.5.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESVLVKQIAEKSKMNYRAWRHRCWLIPYMKPKQSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.6.p pacid=40070003 transcript=Brasy2G361500.6 locus=Brasy2G361500 ID=Brasy2G361500.6.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.7.p pacid=40070004 transcript=Brasy2G361500.7 locus=Brasy2G361500 ID=Brasy2G361500.7.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNINKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQVLDELNKSIKWGELHVADNCCFHYRRSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G361500.8.p pacid=40070005 transcript=Brasy2G361500.8 locus=Brasy2G361500 ID=Brasy2G361500.8.v1.1 annot-version=v1.1 MAESEAAGDLLLQDFERILHDDPNIDVVGFLHPTQMDSLPLLDSNKSAYLWCLDHKLAISTHVLPDLYRAARQAHSKATTASPSAAAAAAAALIMSHSKALLILCPDSLTAWNSRKKVLSADHNLTQLEAELQFCALILSYALKNESTWSHRRWVITKLAQSHQDMPQIIDKESKSKMNYRAWRHRCWLIPYMKPKQSLLLALLDDNHSEENGGDTLHWESEAHLLWTEELRWNEMLIRRYQGRESLWTHRRFLSQWWVQRLLSREETSLSKEESLSDIFLGQEIQLLSDCLDAPGDEFGETRIQAELAALYILWISKQDAVVKRKVEERLELLGSLKEVLARACPGKSRLWTQLLQC* >Brasy2G443200.1.p pacid=40070006 transcript=Brasy2G443200.1 locus=Brasy2G443200 ID=Brasy2G443200.1.v1.1 annot-version=v1.1 MHHVLFECCKHLKHLSLTQCDTGPKALFKIDAPNSKLTVLDLVKCRFERLELVCLPKLEKLRWFTWVSWFAPLAFGFVPSLGELELTNAATVSQSSFKLSELLRGTTGIHTLKLGFEEEKVWMQPEMKQLCTAFNKLRKLSVCGIFVEFDILWMMALLAAAPCIEILHIEVWEHACDLADEDGRRYFHERRTPQWDMQFDETKNCLLKELEFVGFKLLEQQFTFIRSILERSPNLQKIVLKGDEQCEYCDALDGPPSPSKFPKKDEQEMVVEQIRDGIFSPQIIFDQ* >Brasy2G428800.1.p pacid=40070007 transcript=Brasy2G428800.1 locus=Brasy2G428800 ID=Brasy2G428800.1.v1.1 annot-version=v1.1 MEKEQQPQGEVIRHRTVEAVNGIAMHVAESGPEEDGQLGKPAVLFLHGFPELWYSWRHQMRHLAARGYRCVAPDLRGYGGTDAPPDAGDYSAFNLIGDVVALLDALRLPKVFVVGHDWGAILSWYLCLFRPDRVAALVNTSVAFMRHVFIRAGPAAVKPTELFHRAYGPTYYICRFQAPGAAEAAEFAPAHARQLMAKILCNRFSERAADQSGDAEPNAQELPSWLTDADIDYFAAAFQKSGFTGAINYYRNMDRNWEMAAAWADAKVAVPTKFIVGDGDLAYHYAGIQEYIHKGGFKEDVPLLEEVVVIPGAGHFVQQEKAQEVSDHIHSFIAKF* >Brasy2G428800.2.p pacid=40070008 transcript=Brasy2G428800.2 locus=Brasy2G428800 ID=Brasy2G428800.2.v1.1 annot-version=v1.1 MHTSTSTVEAVSLVQTKALKDSKSIQSNPKVPAVFVVGHDWGAILSWYLCLFRPDRVAALVNTSVAFMRHVFIRAGPAAVKPTELFHRAYGPTYYICRFQAPGAAEAAEFAPAHARQLMAKILCNRFSERAADQSGDAEPNAQELPSWLTDADIDYFAAAFQKSGFTGAINYYRNMDRNWEMAAAWADAKVAVPTKFIVGDGDLAYHYAGIQEYIHKGGFKEDVPLLEEVVVIPGAGHFVQQEKAQEVSDHIHSFIAKF* >Brasy2G008600.1.p pacid=40070009 transcript=Brasy2G008600.1 locus=Brasy2G008600 ID=Brasy2G008600.1.v1.1 annot-version=v1.1 MSVKVRCPEVLCDATRRRDAVVPCDAAIRVKLLKTTGCTWPSLWPIPSISSASSPSLQLSVTSAAASKMKKGLGSTALRRAPAAADDSASDLTGLNYLKFGRNLGGGGDPRGGGVDSGGGRLALQPGGSGSGGPAPRGRPAPPLLRHAPPRAGAPSAGTSTRAAAAGGWGQRAVLLRGPADSGHREEQPRGGVAVDLVFVVVARGGRRWGGGGRRGGRLEETRSPTAGVCAAAAWGRRDKGGGRGKGEKIAWGRGRDSVMGGEDRED* >Brasy2G315600.1.p pacid=40070010 transcript=Brasy2G315600.1 locus=Brasy2G315600 ID=Brasy2G315600.1.v1.1 annot-version=v1.1 MAIIGNYHHSVIASRVAMFAHLVFLVTAVLMLVWLLRFRGGINIQSDDPDQIFNVHPFVMTWGFILLIGEAILAYTTVPMNHRAQKVVHMLVHLVAFILGVFGIYAAFKFHDAAVAPDLVSLHSWLGIAAISLFGLQWLVGFAVFWMPGTHEHARAAAAPAHVMGGLAVFLLAVCAAQTGLVQKGAAAAPGTEARLINVTGVFILLYGVAVASAVALRKAFL* >Brasy2G315600.2.p pacid=40070011 transcript=Brasy2G315600.2 locus=Brasy2G315600 ID=Brasy2G315600.2.v1.1 annot-version=v1.1 MFAHLVFLVTAVLMLVWLLRFRGGINIQSDDPDQIFNVHPFVMTWGFILLIGEAILAYTTVPMNHRAQKVVHMLVHLVAFILGVFGIYAAFKFHDAAVAPDLVSLHSWLGIAAISLFGLQWLVGFAVFWMPGTHEHARAAAAPAHVMGGLAVFLLAVCAAQTGLVQKGAAAAPGTEARLINVTGVFILLYGVAVASAVALRKAFL* >Brasy2G497400.1.p pacid=40070012 transcript=Brasy2G497400.1 locus=Brasy2G497400 ID=Brasy2G497400.1.v1.1 annot-version=v1.1 MKILSWNCRGLGKSSARHALMEIQAHVKPDVVFLSETHLTKIRAENLKRQMSFDHAIMAESDGRSGGLLLLWRKELKVTSSQVHPNYLDIRIDETSDLGWRFTGL* >Brasy2G368700.1.p pacid=40070013 transcript=Brasy2G368700.1 locus=Brasy2G368700 ID=Brasy2G368700.1.v1.1 annot-version=v1.1 MREEVRSSSGAAFEPPFAVARSSSPPPTPVASSAGASSPAMQVNIASTDWLGSKQVSRVDSSSHVAPHLNGPAHTVDAAGTALDSAPSCRPWERGDLLRRLATFKPSTWDSKPKAASSLACAQRGWVNVDTDKIECESCGAHLIFSALTSWSPAEVANVGEAFAEQLDASHQNSCPWRGNCCADSLMQLHFTQSALIGGFKDRCDGLLQFLSLPVIAPSAIENMRLTRATQINCVLSQSNTFLRGELGHKAESTLGVDIHQDSSCGYSQAQKLISLCGWEPRWLPNVQDCEENSTHSAKNAVSNEPDETFYPRHVEHQKSSFSASAKKDKGKGKRPLRDSGCSMRSPLLDCSLCGSTVRIWDFRSVSRPARLSPNDIVAPETGKGLALTRGISAASGINEWVNDGMERDQAVGRDEAATSEGKSLLNAGLDLDLTMAGGRPPIQSAMPVASECFNGGMGRDLMIAQPAGSEVGDRATSYESRGPSSRKRNLEEGGSTADKPHDGLQHADSIEGTVVDRDGEEVDDEVQDSNAQKKKSRGFNFFDVNLPSSSGAGPSRNFCFDPDVDAIRHGCSRALGVAPAEHPSARDSMRASSVIAMDVLSADEDSMDSVEYHPDAGNDVNMPSSSAHRNIDMNDALDLNYSNQAQQSACAQPTAGSDGREIGGSSTNEGEEVFNVDTAPTFARDQLSLGISGGSVGMGASHEAEIHGIDASVQRTESGVGDAEPITDLTDTMGHTGESVPGPGSMDEFVPEEVDREEPHGDSQDIVFLSVGRADSGSKIYGSNKADSGESGKKIGHVLGHESNMHPSLSCNAGMYTGFDASKEEVTQAGKVLTTEDVYMGSDYDQNGLGATNGENDYEPGLPDFDPVKHHNSHCPWVNGIVAAARCYDAGSSSSSSALSGWQLTVDALETFQSLGHSQTQLMQSDSAASLYMDDQVASNRKLVRKASASRSHGKC* >Brasy2G096100.1.p pacid=40070014 transcript=Brasy2G096100.1 locus=Brasy2G096100 ID=Brasy2G096100.1.v1.1 annot-version=v1.1 MVSFEMNDLKKIGLGLTGFGIFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGVGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLAVFLQRIPILGWIFQQPFVTSFLDRYRGKRVPV* >Brasy2G357400.1.p pacid=40070015 transcript=Brasy2G357400.1 locus=Brasy2G357400 ID=Brasy2G357400.1.v1.1 annot-version=v1.1 MGRAPCCDKASVKKGPWSPEEDATLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQHFARQGANGGQKEEASRDAAGTNGLAAGAYNWHQHAMAMAMPVRPMSGSMVEGNHHIGEEVDESIRKLLFKLGGSPFMSLPPPPVYGETPSFVPPSVHTTPLSEGGIPCSSILPALELDESFHFNQIKLDGLDCFFGTGDQNMRWNEVSPLVCPNNTVASSSQGMRQYCLVEEPVDLGMQ* >Brasy2G140900.1.p pacid=40070016 transcript=Brasy2G140900.1 locus=Brasy2G140900 ID=Brasy2G140900.1.v1.1 annot-version=v1.1 MGSASSHDEMTTSDDAGVPGVSGTHDFTIRNYSRTTCKGIGSIGFGRECISSDEFTVGGLAWRVVFFPDGYSFFDWRDPAVFLRTARTPSVAVHADMAFDLVNPGSGKAVHGVCDVGTCRFDAACYVRGFRRFVPRKRLEAEALHGDSVTLRCTVSVLNPADDDAPGPVEVPVPPSCFAENAARFLASGRAPFDVTFDVGGVLLGAHRLVLASQSPWFDALLYGHWEETTSAGGAVEIRGTSPEAFKALLDYVYSDRMPPDADEAATRRLFVAADMFLLDRLKAMCAGRLCRRFLRDGNVDSVMRLAEAHACAQLRQACQNYLARRQRGLPVFLDEGN* >Brasy2G429600.1.p pacid=40070017 transcript=Brasy2G429600.1 locus=Brasy2G429600 ID=Brasy2G429600.1.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKIVSCQALAERRKRGVGGRFLASEGLPPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.2.p pacid=40070018 transcript=Brasy2G429600.2 locus=Brasy2G429600 ID=Brasy2G429600.2.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKIVSCQALAERRKRGVGGRFLASEGLPPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.3.p pacid=40070019 transcript=Brasy2G429600.3 locus=Brasy2G429600 ID=Brasy2G429600.3.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKIVSCQALAERRKRGVGGRFLASEGLPPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESNLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.4.p pacid=40070020 transcript=Brasy2G429600.4 locus=Brasy2G429600 ID=Brasy2G429600.4.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKIVSCQALAERRKRGVGGRFLASEGLPPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESNLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.9.p pacid=40070021 transcript=Brasy2G429600.9 locus=Brasy2G429600 ID=Brasy2G429600.9.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKIVSCQALAERRKRGVGGRFLASEGLPPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.5.p pacid=40070022 transcript=Brasy2G429600.5 locus=Brasy2G429600 ID=Brasy2G429600.5.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.6.p pacid=40070023 transcript=Brasy2G429600.6 locus=Brasy2G429600 ID=Brasy2G429600.6.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.7.p pacid=40070024 transcript=Brasy2G429600.7 locus=Brasy2G429600 ID=Brasy2G429600.7.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESNLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.8.p pacid=40070025 transcript=Brasy2G429600.8 locus=Brasy2G429600 ID=Brasy2G429600.8.v1.1 annot-version=v1.1 MAGAGTSDPPPPEPEEPLSREYLSLRQAGEAREEEEARSPAPVGAPGGERCRAMMEVVKKDVVGVGGKWKVSKLVVEHNHELEVAPGQGGRAARAPGVPALGMEFDSIDDAKGFYYGYGEKAGFKARTGSNRRSVGTGAMIMQRFLCCRGNYANRKSKATKGLEEVEEGAAEKGKGKVGPCKKRGRKPGKKNALAMEVEKGGGIACTEIVQAVPNRRSSRRGRDENDVVGMAVENVIEPEERNDEAVDGARNGSAGGDDDREEEEDEMEEEVEAKEKRGRGRPRKAVTEGNALQACDLGSSASQCNNDDRKKILNKYLSKRQSRPVSGRPAKPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESNLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.10.p pacid=40070026 transcript=Brasy2G429600.10 locus=Brasy2G429600 ID=Brasy2G429600.10.v1.1 annot-version=v1.1 MKMMLSGWLSRMSSNLKNEMMRRWMVHEMVLLAVMMIVRRRRMKWKRRWKQRRREGGEGQERLLQRAMPCRHVTLVPVRHSATTMTGRRYLINISRSDKADLSRAGLPRLSHAKLWRKDASVVLEPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQMQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G429600.11.p pacid=40070027 transcript=Brasy2G429600.11 locus=Brasy2G429600 ID=Brasy2G429600.11.v1.1 annot-version=v1.1 MKMMLSGWLSRMSSNLKNEMMRRWMVHEMVLLAVMMIVRRRRMKWKRRWKQRRREGGEGQERLLQRAMPCRHVTLVPVRHSATTMTGRRYLINISRSDKADLSRAGLPRLSHAKLWRKDASVVLEPSRQPSERRSKRLEKQNNKEEEKTESKKDEITEAEADPETEVVAGPGGEPKIGMVFVNEDKAYEFYVSYAGSAGFNVRKGCSDKTVNNVMRSRAYVCSKEGFRLKSVTAEQKKPRPDARTGCQAHMTIKITTSGKYVVTEYVADHNHDLETPLVDIQILRSQKLLAKLQQPLDLPKVVLIPNDYKNYIRTRSTKDMPLGDAQAICEYLQRMKGENPSFFYSIQVDEDDQIRNVFWSDIKSMMDYNYFGDVLYVDTRYSTGHYGRPLLLFIGVNHHKQPTIFGTAFMYDESFESFKWLFETFKSAMSGKQPKTVLTDHSPTISDAVASAWPRTTHRFSLLHLYQDATKILRDTFQGSETFAHDFSRSLYNYEEEEDFLSNWEIILGKYNLKDNEWVSKFFENRERWALPYGRDTFCADIEATLQCDNTEAILGDILKAEIDLPYFFNSYNKFLEDKRLAERQADYLGVQMTQRVAPLRLLWQAANTYTPALFEMFRLEYELIVACMIYTCGEIGSISEYEVTVKNRPRVHLVRFDSSEYKVVCSCKKFEFVGILCCHILKVLEIRNVKELPPHYILKRWRKNAQTEPPKENYGFAAVDEDPKFSVSKRYNCLCRTLYKIAAKAAENTEAHTFMENQYDQLLEQVELILQEKLHDKSSLSTTMKSHQQNLIQNDASNSEPRRASTKKRKNVEMRRRQQSPLESSKKKKGRQDLLEPEECEVPLRNEPSTIPNDIPNHLTTPTNHFLSPSHIMQVPYVTQQFGLNSLQGFPGISPFGQEPSHVHLQQPHLQQSHFLSGPQIHQAPPPDIQSLQFLSSNPQLGHQTTDSQYTIPVWDFL* >Brasy2G408400.1.p pacid=40070028 transcript=Brasy2G408400.1 locus=Brasy2G408400 ID=Brasy2G408400.1.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDEDDEEEEDDGMDGVDDSAYAFHGHTDEVFAAACSPIDASLVVSGGKDDKGFLWRIGSDVDVQELTGHEDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGVVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTARVFDISMFK* >Brasy2G408400.2.p pacid=40070029 transcript=Brasy2G408400.2 locus=Brasy2G408400 ID=Brasy2G408400.2.v1.1 annot-version=v1.1 MSISGEVPGEGSDGEEVFINEEDIIQEIPIDEEDLPDQDEDDEEEEDDGMDGVDDSAYAFHGHTDEVFAAACSPIDASLVVSGGKDDKGFLWRIGSDVDVQELTGHEDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKVICSGSDDASLRIWDPKSAQSRHVVRGHGYHTQGLTCLAITSDSQSIVSGSMDSSVHIVNINSGQVVGSLVGHTNSVECIGISSRYNWVATGSIDKTLIIWDLAHQSIRSTCEHDEGVTCLAWLGSSRYVASGCIDGVVRIWDSLSGELARTFSGHRDVVQSLTVSADGNSIVSASSDRTARVFDISMFK* >Brasy2G350200.1.p pacid=40070030 transcript=Brasy2G350200.1 locus=Brasy2G350200 ID=Brasy2G350200.1.v1.1 annot-version=v1.1 MAYKKPSELTTKGQSWNIKVKVMRIWNSINPRTDELISIDMILMDAEGEVIHAIIWKNLADTFIPKINESCIYVFNNFKVDESTKYRPVNNDVKIIMQSDTKVKEVKGLSDRFQEYYFEFASKETLLERQEKDEYCSDVIGLLTQIKPIESRITRAKTDNPRTADMREIEILIPEGDKVRVTLWGNLAHSLSDDVVGKQTVLIITSTMVKKFNGLSLKSTSATRLYENLDIPESLELQDSYSTEDILPKMMEIDKSTQGTLEQQMFYNRKTLREITEIRHEDPINQDLVYTALATIDRLQENIRWWYRIRLQISDPTATTSCTLFDEEANRILNKSVTDLLDSLIGKSEEIPKIIQELCGKRLIFLFKLNKQNLTLGTPNYVVKRTFVPDENLERQHFNDEVEEELMDDGVDMILTTQKKSTDRPVIKRQSSICSPMKSIVELKAIKKELEDLEKEIEDERHEQKMPSSGGLRKANKRRRASVVLSDDSEDEEPKASMTHTKEKKEDGVEHRKEKPNDQLEDQVSQKRPKRIRQPSKKYQD* >Brasy2G173900.1.p pacid=40070031 transcript=Brasy2G173900.1 locus=Brasy2G173900 ID=Brasy2G173900.1.v1.1 annot-version=v1.1 METDADEAPLLAEEPLRPGSCSRELELREFRDRYVIRSLDGGGAFAVARSDGSLRPLSPEEAASGSDCKISRIYGVAGMIRMLAGSYILVITSRKDAGSYQASTVYHVNSMKFLCCNEAIKHLTSQEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLVVGRVHKPLWKQADPRFVWNRNLLEELIEAKLDEFITPLIQGSFQTEQFTLKHGPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRPSIIEHDEMTKVVQRHFHDLSQRYGDTMVVDLTDKQGDEGNLSNAFAAEMEKFPNIRYVHFDFHHICGGGNFHNLQALYDEVEETIHKQGYFLMNSKGEILSDQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDGINDTFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGARQDALDLISGYYTVSKSSSSPFQIVGFESAPYLPVASAIIVGGITVTTFTLSQVGRSAQHLISSIICAGLTAGVVALVKANGKQFCSRPRLCGLI* >Brasy2G173900.2.p pacid=40070032 transcript=Brasy2G173900.2 locus=Brasy2G173900 ID=Brasy2G173900.2.v1.1 annot-version=v1.1 METDADEAPLLAEEPLRPGSCSRELELREFRDRYVIRSLDGGGAFAVARSDGSLRPLSPEEAASGSDCKISRIYGVAGMIRMLAGSYILVITSRKDAGSYQASTVYHVNSMKFLCCNEAIKHLTSQEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLVVGRVHKPLWKQADPRFVWNRNLLEELIEAKLDEFITPLIQGSFQTEQFTLKHGPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQQGDEGNLSNAFAAEMEKFPNIRYVHFDFHHICGGGNFHNLQALYDEVEETIHKQGYFLMNSKGEILSDQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDGINDTFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGARQDALDLISGYYTVSKSSSSPFQIVGFESAPYLPVASAIIVGGITVTTFTLSQVGRSAQHLISSIICAGLTAGVVALVKANGKQFCSRPRLCGLI* >Brasy2G173900.3.p pacid=40070033 transcript=Brasy2G173900.3 locus=Brasy2G173900 ID=Brasy2G173900.3.v1.1 annot-version=v1.1 MKFLCCNEAIKHLTSQEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLVVGRVHKPLWKQADPRFVWNRNLLEELIEAKLDEFITPLIQGSFQTEQFTLKHGPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRPSIIEHDEMTKVVQRHFHDLSQRYGDTMVVDLTDKQGDEGNLSNAFAAEMEKFPNIRYVHFDFHHICGGGNFHNLQALYDEVEETIHKQGYFLMNSKGEILSDQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDGINDTFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGARQDALDLISGYYTVSKSSSSPFQIVGFESAPYLPVASAIIVGGITVTTFTLSQVGRSAQHLISSIICAGLTAGVVALVKANGKQFCSRPRLCGLI* >Brasy2G173900.4.p pacid=40070034 transcript=Brasy2G173900.4 locus=Brasy2G173900 ID=Brasy2G173900.4.v1.1 annot-version=v1.1 MKFLCCNEAIKHLTSQEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLVVGRVHKPLWKQADPRFVWNRNLLEELIEAKLDEFITPLIQGSFQTEQFTLKHGPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQQGDEGNLSNAFAAEMEKFPNIRYVHFDFHHICGGGNFHNLQALYDEVEETIHKQGYFLMNSKGEILSDQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDGINDTFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGARQDALDLISGYYTVSKSSSSPFQIVGFESAPYLPVASAIIVGGITVTTFTLSQVGRSAQHLISSIICAGLTAGVVALVKANGKQFCSRPRLCGLI* >Brasy2G370200.1.p pacid=40070035 transcript=Brasy2G370200.1 locus=Brasy2G370200 ID=Brasy2G370200.1.v1.1 annot-version=v1.1 MIMERHLGTSYSSSSFSSIFVLLFFLVAAVVGQQQGNLHVVAAAQERAPVPVPVRVGVILNWASPVSRRRRTGIEMAVEDYYAAHPGSPAKVELHFRDSAGDVVGAASAAVDLIKNAQVQAIIGPQTSSEAEFVAHLGSRAHVPVLSYSATSPSLSASQTPFFVRTAANDSLQALPLAAFLAAFGWRAVAVVHEDSPYGAGILPALADALVSASGGGGSAAAITHRAALPVDAGNDRLDAVLHALASAPTRVVIVHARYALAARLFARAWEAGMVSEGYAWVATDGVGSFVDSLSHEDLEAMQGVVSVRPQVKHTSEVRNFAARFRARFRRDNPDVVDDEHVDRDESTVMRLWSYDTAWAFAAAADKAVGSAFQPTPPQTAATGAALLRAVLDTRFDGMAGKFKLVDGQLQVLAYEVVNVVGRGTRTVGLWTPPESSSGTKLLKLKQILWPGDTLSTPKGWTPASHNGMPVLRVAVPVKRGFKQFVGVDPKNSSRITGYCIDVFDEVMRSLAYPVAYRYVPFPDSSDSYDKLVELVRQGEADVVVGDVTITASRMDNGVDYTMPFTESGWAMVVAVREDAGSACMWVFLQPLTTSLWLASFAFFCFTGFVVWVLEHRVNDKFRGTPTQQFGLIFYFAFSTLVFSHKEKLVSNLSRLVVIVWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELQRRGHYIGYQEGTFIEPLLKKMGFDERRMKKYSTEEQYAEALSRGSAKGGVAAVFDEIPYLKLFLSQYCDGYMMVGPVYKTDGFGFVFPRGSPMVADVSREILRLAEGDKMTRIEKAWFGEPEDGACRGSSSSAAAVGSSSSSNLSFHSFGGLFLITGLVSSLTLLLYLATFAYRERDEVRAAEAKAEAAGRFGYRSASIRRVCVWLQHYDRKERDLKSRTFKTSNDESLRNGSEFAGQMTRAITEGSRNGHGDVSRMPMAGEEENAMGGGSPVSVCIGSHMNTGSSPVGTTEWVIGESSEQRMEGAAVSEMGRQ* >Brasy2G090900.1.p pacid=40070036 transcript=Brasy2G090900.1 locus=Brasy2G090900 ID=Brasy2G090900.1.v1.1 annot-version=v1.1 MKACARAAGQRLPLVRPSARQPLAQSFVKVSTLASQHETKCVVSCSIGVSENRAHRIEARAEHILPATEDHVVKAIDAINREQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRIHTRPLAICVADVPDISRFAVVDHLPHGLLHSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPNLDFIRSIARGAGSALALTSANLSGRPSSVNVKDFGDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRDETTSVLGKFGFVEVS* >Brasy2G061900.1.p pacid=40070037 transcript=Brasy2G061900.1 locus=Brasy2G061900 ID=Brasy2G061900.1.v1.1 annot-version=v1.1 MAWQRKLAAVVGFVLLCAGVETALGAKRTAIPDDLRDVVDDEEDEEWRHWGAATRPARDLPPPPPPPEELSRMDPAAIQAELLRHHTGPSLGFVKLRPGVRRSREDVMGIATRWSNVLRTGSVAAKFAAVDFGTLMFTMERGQDTLELKEFILSQSEAYEIKIGDQISRRPGDPPLDKAIEMLRKEKADKSKDEF* >Brasy2G364500.1.p pacid=40070038 transcript=Brasy2G364500.1 locus=Brasy2G364500 ID=Brasy2G364500.1.v1.1 annot-version=v1.1 AWALRPNRPANQSPNRSRTPCSDSSEPSTCPTRLEPSTPTIYRVRLLPRFRRRRRKQKSDTAAAAMRAKWKKKRMRRLKRKRRKMRQRSK* >Brasy2G108100.1.p pacid=40070039 transcript=Brasy2G108100.1 locus=Brasy2G108100 ID=Brasy2G108100.1.v1.1 annot-version=v1.1 MRPRPPPHTFHRTTRWLVPAACCLLLLLALLLPSAAAKSSPRPITDNEIREKKDACYTDIENGLWGFACRSSATEKENCVLRCLSPECYNLIYGGDPLEEGELDYIRGHEYKYCMHKLSLGESLEGVKGSFNYS* >Brasy2G235600.1.p pacid=40070040 transcript=Brasy2G235600.1 locus=Brasy2G235600 ID=Brasy2G235600.1.v1.1 annot-version=v1.1 MLYFLALAATTTPPIKLNCAIMADAGVTGVAAKLGELAAAEATAMLRVDAEIRSLRRKLAYLQALVRGADRVRRGRANELLLLWLRETREVAFEVEDAVDEFHLRVETFHLSAKGRRGWWHRVAFNLVQGLATQIVVRHGLSNQILKINERIDELNQNKETYAIESFPSETWNSSSTVSDHEWYEEGYVVDSRQSEFATLKDQIINKERNVSHRAVLSILGQCGIGKKTLARKLYNDPDIMRHFELHAWVCLPPHIRFTDYVEIMYKQVNSEVPEASERVDNVSLAPGEGETTSKERKLRQQMQNRRYLIVLDGFVDINDWNSLFDVLPDDNNGSRILLTTRRNLKEINHMDPRLAPVELHCLETIHGQQLFCRRVFGAKEPPQIYKCKSYYDKVHNISSGLPLAIIVLAGVLRSKLIPMEWDDVLGQLETNGQPKPVRSIWSLAFDDLPHHLKSCFLYFASMSKNIIVYPDRLVRLWIAEGFVVPKKGKTLEEVGFDYLKDLVSRGLVQVMEKDAGGGIKLVAIHNLLHDFVESEAQDSGFLEIHHHANVLNPNAVRRLAVHNYVDSFVNIPNEFPKLRSLICDFAEDQRSSSIYETPQPQTLWGNFAELCLRACGISESVGLKTIHGLHFIEGSRFLRVIDLNGLKIQKLPNEIGSIIHLRYLGIRNSNLEELPSSISKLDNLQTLDVRRTNVRRVGDGFWEIETLRHVLAEKISLPNCTVSLNNLMTLDGVEPSNPWHGQICPLNYMICLRSLSLSGLSENHTKALSAALRKMEFLVNLKLSGELLPSTMFTDSSMRHLQVLILHGKLDLHTSPSDRHVMPNLIVLHLHKSKLPQTFIDKLAVLPCIVEMELLYGSYSGTTLVLPERGFQSLKKLKLQNLCTLKQLVVKPGAMPMLSILAMYDCSSLKVLNGLTALEHLQELAVFNMEAIIDTIKLMDKKLLGKIKCLTTPTNVDRGRGVLEGSWLRRLERPAHRLCVSPALEPLRSDLGSFVPGAGKAIDDIQVDYSSGGAWIRSSQV* >Brasy2G105100.1.p pacid=40070041 transcript=Brasy2G105100.1 locus=Brasy2G105100 ID=Brasy2G105100.1.v1.1 annot-version=v1.1 MGGGGREGGGGGGGGAAHDFANVASFSELPFLRSGAAPRESPNSGIRLFGIDVPHASSPEGRTTESPSAGITPAAAATQSSSTTVAAPDSNRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGAHHHHHLLGYPQHRFGVAGPTVATLYPSWPMVNGGAGGVSYGPQFYRGIGSVGQPINGNPLPGALWRGPLQAAHGNTGMPLAGERRPVALSPSMFGADEPPRASASASLGASPSSSSPLMLSPQGQFACEQPATTAAEGVSLDLHL* >Brasy2G248900.1.p pacid=40070042 transcript=Brasy2G248900.1 locus=Brasy2G248900 ID=Brasy2G248900.1.v1.1 annot-version=v1.1 MARQGVVSMFAMALLLGAFVSIPKGVESIGVCYGMSGSNLPLPSTVVSMFKSNGITSMRLYAPDHAVLDALRGTGISVAVGVPNDALPALAGSKAAAAAWVKDNINTQAYPTVSFRYVVVGNEVASNLTAHLVPAMENVRDALAAAGLGHKVMVTTSVSQAILGVYSPPSAGKFTTEADCFMRYVIPFLASTGTPLMANIYPYLAWAWNTSAMDVKYALFTAPGVVVQDGAYGYQNLFDTTVDAFYTAMARYNGGSGVKLVVSESGWPSAGDKEASPDNARVYNQFLIKHVERGTPRHPGAIETYIFSMFDEDQKANGVEQHWGLFYPNMQHVYPVSF* >Brasy2G120700.1.p pacid=40070043 transcript=Brasy2G120700.1 locus=Brasy2G120700 ID=Brasy2G120700.1.v1.1 annot-version=v1.1 MVLSKAVSDTDMSVHSTFASRYVRSSLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKRINDLLDKKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNTEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCQENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDHSRHDEFEISDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIDKVMFQLDALPSNKLMPPAAAAALLPAATLVEKDAVVENGHARKSELETQKSVTEALKKLALANKTNGVC* >Brasy2G109900.1.p pacid=40070044 transcript=Brasy2G109900.1 locus=Brasy2G109900 ID=Brasy2G109900.1.v1.1 annot-version=v1.1 MPMRWGFGEEENRFEILPCPSGGVGTREVAQICERGSTTSRLQICERRGNASFRSPDVEAAEERERPRPWLRRGSEGRELQRRRPWLRHGKVYRKAAMAASRRRAVCAAGRDGAPPRAK* >Brasy2G155700.1.p pacid=40070045 transcript=Brasy2G155700.1 locus=Brasy2G155700 ID=Brasy2G155700.1.v1.1 annot-version=v1.1 MHMEGFKFLGCYNWDPRASSKGNVRAQIDDVKKNATVSLRQYNNMVSTLEGENQRLLQTVAELEVLIQKMKQEIKLMERRHRLELRVRDRIVMILGICAIVYVFVALITRGFI* >Brasy2G489600.1.p pacid=40070046 transcript=Brasy2G489600.1 locus=Brasy2G489600 ID=Brasy2G489600.1.v1.1 annot-version=v1.1 MKRTRGEDDAVAVVKEAVVVTDPVEEEAVVVTDPMEEEAVVVTDPVEEEKEEAVVMSDPVEDEEAVIVADQAEEKEGMNAVEKAPEGVVTSSPSVLAAGSNPRQEKDDVVGVVEPMQQPFDWDDYYDDLEEYVSDEQPDEEPEENDSDVGNDQESIDRKSRHVLQALQYGAYEYRYGDKWVCPFCDKRSLANDFKSMVQHAQDTGRVSKKAPHIVAKHAAFGVFLRKLEALEVDADAEPSKKKARRGRK* >Brasy2G120000.1.p pacid=40070047 transcript=Brasy2G120000.1 locus=Brasy2G120000 ID=Brasy2G120000.1.v1.1 annot-version=v1.1 MASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRVGKINEALEFAQEELAPRGEENQAFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLHEKAAYPRISNFSTAALEDPAI* >Brasy2G056200.1.p pacid=40070048 transcript=Brasy2G056200.1 locus=Brasy2G056200 ID=Brasy2G056200.1.v1.1 annot-version=v1.1 MALNMSTQTGAAAMTVARPGSRPGSRPGTPGAARTALVSASATSSTAVPAPSAAQLLKLQTQTVEPAAPQAADIDTACQALVDGAAAPGADQGAEHADVAGVEVRKVGVPVFVMMPLDTVRKDGSALNRRKAMQASLAALKSAGAEGIMVDVWWGIAESEGPGQYNFAGYIELMEMAKKAGLKVQAVMSFHQCGGNVGDSVNIPLPKWVIEEMDKDQDLAYTDRCGRRNYEYVSLGADTLPALKGRTPIQCYADFMRAFRDHMAPYMGNTIVEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMLSSLKAAAESVGKPEWGNAGPGDSGSYNQWPEDTNFFRREGGWNTEYGQFFMSWYSQMLLEHGERILSATSSVYTGTPGVKVSVKVAGIHWHYGTRSHAPELTAGYYNTRNHDGYQPIARMLGRHGAVLNFTCVEMRNHEQPQDAQCMPENLVQQVANAAKEAGIGLAGENALPRYDETAHDQVLATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTETGVRDVCREQVEREAEGVAHATQPLVHEAAAALRN* >Brasy2G282500.1.p pacid=40070049 transcript=Brasy2G282500.1 locus=Brasy2G282500 ID=Brasy2G282500.1.v1.1 annot-version=v1.1 MSRVACLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASTAGKNQGRAVALPCPTGSSALGWSGESGLRLYRRFCTYDERDDRALEEEFERKFGWMLKIFFLGTAGLVGWQFFPYMGDNLLQQSISLLHVKDPLFKRMGASRLARFAVDDERRMKVVEMGGAQEILNVLEGAKDDKTRKEALKALVALSKSDKAAGFLDKAGAYAIVSSTPNSPEYAEIEACKTSLLKAFDQLKS* >Brasy2G362600.1.p pacid=40070050 transcript=Brasy2G362600.1 locus=Brasy2G362600 ID=Brasy2G362600.1.v1.1 annot-version=v1.1 VRQKSEWKQIWRYHPDLISSLDKLFDGKDKGDQEFVDCVNYILKHHYCTAVNKFKVNYGLSEEHGDDLDGWLSFAVLSKAKNVVLDLRPAPKCPDDVYNFPLHLFDDQNSSSVQSLRLVLVCLRPIPNFGGFANLRSLKLHRVYVSKGLHCILSHCTVLECLSLTDCFITSFTMSEPLDHLQYVCIQNCSLQSMELHAPNLTVFQYSEQEIPVVLDKSHKLTKANIEVLSDSDNLDYAFSHLVSAMPNVEEISLRLHIETEARWFMTNNPCDFIYLRHLDMEVLVDGNPGCSSGILRLASLLELTPVLEVFNLHVLFNSNLRCGGYPRKTSGRELSHLKRLELARYILEHATALERMVIDPGVKKTHFGSCRAVGGRQQLEDLLAGNMFFGQFPEVLTVFRTPSSMHR* >Brasy2G257000.1.p pacid=40070051 transcript=Brasy2G257000.1 locus=Brasy2G257000 ID=Brasy2G257000.1.v1.1 annot-version=v1.1 MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPARFANVHKVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVAYISILQHRIKMVREEIVNTRKELAAYVGQAAYAPFLPAQQPHHAAQFVPGMGLLQHPHQQQLMAAMQQQQQQQQGPYHHQQIVDAQHMAAAVEVAARGNQDMIMHHGFMPAAGGPTVAVVAPEAAAYEGGAQQFLIQQQQQPHPSAMTSYRMEPSPPPQSSGHSHVDMPHHRQQHTDGSEDGSGGPPPPT* >Brasy2G257000.3.p pacid=40070052 transcript=Brasy2G257000.3 locus=Brasy2G257000 ID=Brasy2G257000.3.v1.1 annot-version=v1.1 MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPARFANVHKVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVAYISILQHRIKMVREEIVNTRKELAAYVGQAAYAPFLPAQQPHHAAQFVPGMGLLQHPHQQQLMAAMQQQQQQQQGPYHHQQIVDAQHMAAAVEVAARGNQDMIMHHGFMPAAGGPTVAVVAPEAAAYEGGAQQFLIQQQQQPHPSAMTSYRMEPSPPPQSSGHSHVDMPHHRQQHTDGSEDGSGGPPPPT* >Brasy2G257000.2.p pacid=40070053 transcript=Brasy2G257000.2 locus=Brasy2G257000 ID=Brasy2G257000.2.v1.1 annot-version=v1.1 MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPARFANVHKVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVAYISILQHRIKMVREEIVNTRKELAAYVGQAAYAPFLPAQQPHHAAQFVPGMGLLQHPHQQQLMAAMQQQQQQQQGPYHHQQIVDAQHMAAAVEVAARGNQDMIMHHGFMPAAGGPTVAVVAPEAAAYEGGAQQFLIQQQQQPHPSAMTSYRMEPSPPPQSSGHSHVDMPHHRQQHTDGSEDGSGGPPPPT* >Brasy2G412800.1.p pacid=40070054 transcript=Brasy2G412800.1 locus=Brasy2G412800 ID=Brasy2G412800.1.v1.1 annot-version=v1.1 MRQAANGGDGVSPGNVPVCYYGPGGRVSASLERRLRAAEVFLRCAACGLAVLAAALLGADRQSRTFFSIQKVARYTDMQSLVILVIASGMVACYSLIQGARCLVSTVRGGILLSRPLAWAIFSCDQVMAYIIIGAVAVAMEAALIGKYGQVEFQWMKTCHLYQRFCTQAGGGVACAIAASASMVGISLLSAFNLFRLYGEGKGRK* >Brasy2G270900.1.p pacid=40070055 transcript=Brasy2G270900.1 locus=Brasy2G270900 ID=Brasy2G270900.1.v1.1 annot-version=v1.1 MARLACSLSISFFLILLVSVAEVSASTNIGSYQPAGAEGSVPLQECPAKCEFRCSATSHKKPCRFFCNYCCQMCLCVPSGTVGNKQECPCYNNMKTKEGKPKCP* >Brasy2G069400.1.p pacid=40070056 transcript=Brasy2G069400.1 locus=Brasy2G069400 ID=Brasy2G069400.1.v1.1 annot-version=v1.1 MEGAASQTSSAAASRDERRWRPGKEARGIGGRSASAPAPTAERAGVVGWGLGFCACGASGSLAAAGGRIEVSGKEQRDPRAEGEGKRWEGNGGFSLGSGARRSASAPFIFMDPAAGRRPAASQVARVTPALCSVAFFRLCSSPARSSPVRCLKCPAQHFLLQFNIVTQI* >Brasy2G373300.1.p pacid=40070057 transcript=Brasy2G373300.1 locus=Brasy2G373300 ID=Brasy2G373300.1.v1.1 annot-version=v1.1 MSANDGDMKMRVIVMDSEAERSAAKEKLCEYTLDGSVDIKGRPAVKGKSGGWLAGGLILVNQGLATMAFFGVNVNLVLFLTRVVQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPFGCGTQGTPCAPHSGAEMGIFYIALYMIAFGNGGYQPNIATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYIQDKGNWVLGFWASTAAAATALLLFLSGTPQYRHAQPCGNPIGSICQVASAACRNWKSGVSPDQHEVLYEGDDGSKADSGGRKLLHTEGFRFLDRAALTKASDSNSKLGGACAGPRDQWKLCTVTQVEQVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTMFPGFYVPASSMSAFDILTVATTIFLYRRAICPFLARLTGRPTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATAGTAANSSDLHIIWQVPQYALIGVSEVMMYVGQLEFFNGEMPDGFKSFGSALCMMSMSLGNYFSDVILSAVTRATAVDGRPGWVPDDLNEGHLNKFYFLLAILAVVDFAVYLVFASRYRRSGNKVDGRSDDDEEEGAAPGQVAYAA* >Brasy2G324100.1.p pacid=40070058 transcript=Brasy2G324100.1 locus=Brasy2G324100 ID=Brasy2G324100.1.v1.1 annot-version=v1.1 MSSPSSSSQENKPAAGREQERRPSKAWGILVFGLVGAATATLAVAQVRRSVDWFYTQLNKMQTTSSWRNTSKSSSRGSFSEDARKRYYQRMQQEYEDEQERVQRIRHMQSVFNRERNKFRRSYESWRENGPPGGYNYIPRDDWYWQTDTSNSEYKNKRTYTPAGPRVFPMSHHYSVLGLDRSRGTPYTDAEVKSAFRTKAMEVHPDQNQDNRETAEEEFKEVVKSYEAIKLERKNNAS* >Brasy2G429800.1.p pacid=40070059 transcript=Brasy2G429800.1 locus=Brasy2G429800 ID=Brasy2G429800.1.v1.1 annot-version=v1.1 MAGGPSSWGPSPAAVTALVALLGLGLAGYIVGPPLYWHVAEALGRSPGACPACACDCDALPLLSLPEDCGKQFKEVSSRASGEEAEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSADSLLDQKKLTALWEERARELGWKPGNGKSHGNQ* >Brasy2G259800.1.p pacid=40070060 transcript=Brasy2G259800.1 locus=Brasy2G259800 ID=Brasy2G259800.1.v1.1 annot-version=v1.1 MCGRWRFHLRVSRPAGAEQRPRREKKKRSRRDNFLVDESEARGWSSSVQDRSLALRRRRGSASYSCQRGCVIDTDSQYCRGGEEKAVKGGIKVLDQNIVEGNGDEAPKGEEFFYITIL* >Brasy2G134400.1.p pacid=40070061 transcript=Brasy2G134400.1 locus=Brasy2G134400 ID=Brasy2G134400.1.v1.1 annot-version=v1.1 MSPPGSGQVRAPHARLAVPRRLGWSRSRLPLGHRCVSASLRDVGAGIPPPARPSGGPRSSARPSGCLPSARPSRCCPGDGGSTPGDPSLGQDDGPCRSGWASGGAGPPVLSLCASAKTTVAMTRLAIAMTLVGSNPTAPALGHASPAVADGGSDGGRDVAPVPVLLRHDSAPSRWDSDTRVASLLPARPVAPVGELVNPPAHGVRVFTRSPEVDAAETDLQRAILASIAGTQTVVSAADVADELCSIYDLSPEEFSVHGHKPEDFLIFFADRAARNRVSLDGSIQTPFFQLLLTPWSRRAHSTAGGLGVHIDVEIEGLPANAWSLAAAETILAPTGWVKRLALVTRTHANMAVCRLTAWCSDPSSIPCELDFHVVEPDEAPPPQDMAAPADLVEPPCVKTRVFPLPVHVTQTVDFRRRPAITGGVAGSVDVSGPTPGWPTTRRYDYQPGIPDSVSSDSTAAGSGEGTRARRCRHACSKPVPPAILRLCCGASWKGKGQKEEAGRQEIPGLQGCC* >Brasy2G208500.1.p pacid=40070062 transcript=Brasy2G208500.1 locus=Brasy2G208500 ID=Brasy2G208500.1.v1.1 annot-version=v1.1 MLRGLRWCLGAELLTPMVKVHSSSFPWPPPPSRQLEAEEEEEEDASARAPPEPSSTSAAQAGPAGDQDQERANNANASSQREVFTIWMKSLLLSGSGCTVFDSCGRVVYRVDNYGGSSSRLHGRRSDAAVCLMDVTGSVVLQLLRRKFGRWEGHRSGWCPAAAAPDQEPWFTVDSKAWGSWRHGHGGPRCEFFRSQRPVRYRMVDGGSRRAAPRIVDEATGLAVAEVKRKLTATGVSLGEDVLTLVVEPDVDRELIVGLLVVHGLINHSM* >Brasy2G312700.1.p pacid=40070063 transcript=Brasy2G312700.1 locus=Brasy2G312700 ID=Brasy2G312700.1.v1.1 annot-version=v1.1 MATMGSLIGLVNRIQQACTVLGDHGGGGGAGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDGGQEYAEFLHAPRRRFSDFAAVRKEIADETDRMTGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLAKEVDPSGDRTFGVVTKLDLMDKGTNAIDVLEGRSYRLQHPWVGIVNRSQADINKNVDMLAARRKEQEYFQSSPDYGHLAHKMGAEYLAKLLSQHLEAVIKAKIPSIIAMINKTVDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFRGPAEASVDAVHSVLKELVRRSIAATEELKRFPTLQSDIAAAANESLERFREDGRKTVIRLVDMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCDTLRNTIPKAVVHCQVREAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKKLELYKSARNEIDSVSWK* >Brasy2G075500.1.p pacid=40070064 transcript=Brasy2G075500.1 locus=Brasy2G075500 ID=Brasy2G075500.1.v1.1 annot-version=v1.1 MSLPPSPQRCRRSPTALIDDAMSEIFLRIPPDDPKSLVRAAAVCTGWQAILSNVVFTREYRAFHGAPPMLGFLHNEIREWSFGRGRNKQEEAFLVSCFVSTASFRLHAGHERRHWEVLDSRHGLALFHTPRRDEDFVICDLVTYDRWRINANLNFPSAIWEDHDDDDEHITWSAAVLCAKEQCRHIYCHGGPFLVVVVGADEQKGITFASVYSSVTHEWSDMISMDQPYAIEMMGHTAIVGNKVYFPCQQTDSVVEYDMDEQELFVIDTPIEDKLDIDLVEVEDGMLLFAAVQNSRLHLLSMEAGLDEPEEWARSRVINLKPLLPAPNGGPRF* >Brasy2G017100.1.p pacid=40070065 transcript=Brasy2G017100.1 locus=Brasy2G017100 ID=Brasy2G017100.1.v1.1 annot-version=v1.1 MMNTILQSDDVSIVSIALPNFFPSVHLLSATQNLNLHGPICSQKNVLRESTGNDSSGKKNYWKCTAEVSIRSNRE* >Brasy2G277300.1.p pacid=40070066 transcript=Brasy2G277300.1 locus=Brasy2G277300 ID=Brasy2G277300.1.v1.1 annot-version=v1.1 MIISSSISTPGAMDFSSGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSKVPLVGAGGAGDPSGAEDVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDKHSLRQQKRILEAKERAELFERANGESSHVLRIFDDEAQARQSARSSSRMLDEAFDTGVAILHKYSDQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKRIAYAGMIITVVVMVVFWRWTH* >Brasy2G171300.1.p pacid=40070067 transcript=Brasy2G171300.1 locus=Brasy2G171300 ID=Brasy2G171300.1.v1.1 annot-version=v1.1 MDQHYSNEATNTAAAQASSMSPPSSRVSSSSCSPPPPVFLSPSPTVVLSPCAACKLLRRRCADGCMLAPYFPPTDPAKFTTAHRVFGASNIIKLLQDLPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQEEADELKVRLARAQADLLSVQAQHANLLALVCVELAHHQQPPPPPPGDDLDHGAMYQQPFYDSDLDLAAWEETRLWSGPDHLSC* >Brasy2G499000.1.p pacid=40070068 transcript=Brasy2G499000.1 locus=Brasy2G499000 ID=Brasy2G499000.1.v1.1 annot-version=v1.1 MGVLNWMQNRLHGAHCSNTNSEFSTGSHQPVADTSVLTRPRCDDDDEEEELHDHWAPVMLSIGTFGVGEGRDALKKQQQELTLTMSAKAEEREEDKRRRHVSLERSLNGDGKIVKHRSFRKLVTSVTRGFLPRQRLGGTMPGSRPIQIPLPLLCMDILLENSASSDMKIKNYQTAAQFHWSKDAEEEQEIKWIRTDSEYIVLEI* >Brasy2G499000.2.p pacid=40070069 transcript=Brasy2G499000.2 locus=Brasy2G499000 ID=Brasy2G499000.2.v1.1 annot-version=v1.1 MGVLNWMQNRLHGAHCSNTNSEFSTGSHQLADTSVLTRPRCDDDDEEEELHDHWAPVMLSIGTFGVGEGRDALKKQQQELTLTMSAKAEEREEDKRRRHVSLERSLNGDGKIVKHRSFRKLVTSVTRGFLPRQRLGGTMPGSRPIQIPLPLLCMDILLENSASSDMKIKNYQTAAQFHWSKDAEEEQEIKWIRTDSEYIVLEI* >Brasy2G046800.1.p pacid=40070070 transcript=Brasy2G046800.1 locus=Brasy2G046800 ID=Brasy2G046800.1.v1.1 annot-version=v1.1 MCFLILFVLHGNAATMAEKCGYRLLPLPFCFDAMCKSECWIEGVALGAQVKEYECGGPGPKTYILMKMDPNEDAMICFCPP* >Brasy2G107600.1.p pacid=40070071 transcript=Brasy2G107600.1 locus=Brasy2G107600 ID=Brasy2G107600.1.v1.1 annot-version=v1.1 MRAEMSLCCHKYLRLGYLFIRNQQRNRSLQVHRTKSFHLHAATMVLLLVFLLFSSSLQVISTAAIHTLALGQSLPWNETMVSKGGNFELGLFSPGNSSKHYIGIWYKKISKRTVVWVANRENPIVDPSTCRFMLSVHGELALLTTPSDASLWSSSRPSSSPPPRATFATLQDDGNLVVWSSSRNATSTTTSSQVTWQSFDHPTDTWLPGARLGYDRSGGVRSFLTSWTDSENPAPGAFTMEIDARGQPKFDLFASAASGAKHQYWTTGLWDGEIFANVPEMRSGYFAGIPYAPNASVNFFSYRDRIPAGGSGGIGVGNFMLDVNGQMRRRQWSEAAGEWILFCSEPHDACDVYGSCGPFGLCSNATSPACRCPAGFAPRSEREWSLRNTASGCERRSLLECPKDGFLKLPNAVQLPGGSAEAAGVRNERDCERSCLKDCSCTAYVYDGAKCALWNSELVNLRTLSNDQSAGDRGLALHLRVARSDVPAASSSPAHSWKKSMVILGSVVAVVAMLLGSFVIVVVAAVVLRMRRGKGKVTAMQQGSLLVFDYRALRTATRNFSEKLGGGSFGTVFKGALPDATVIAVKKLDGFRQGEKQFRAEVVTLGMVQHVNLVRLRGFCSEGNKRALVYDYMPNGSLDAYLFKAGPDDARKVLSWGQRHGVALGVARGLAYLHEKCRERIIHCDIKPENILLDDEMGARLADFGMAKLVGRDFSRVLTTMRGTLGYLAPEWLAGGTVTAKADVYSFGLLLFELVSGRRNNAHSSAEGGGNGMYFPVHAAVSLHGGDVAGLLDERLAKDADVKELERVCRVACWCIQDEEGDRPTMGLVVQQLEGVADVELPPIPSRLHMLATVNPCAGGGAEDEFCSKGGTSKLATEEV* >Brasy2G140400.1.p pacid=40070072 transcript=Brasy2G140400.1 locus=Brasy2G140400 ID=Brasy2G140400.1.v1.1 annot-version=v1.1 MGNSQANEREPAAATGAAKASPESWTAGSNATVEMDGTSAVRSGNGAVDSKGGMPAKACPLNGTVEVEETFRSGNGALSASQDMPANVAGLQAMPLPAEKPRRPSFSLQVGLVMNLLPKYALVALGTITAFNIFAATTVASVPMAELVKSLIYMSPYTFLPIGVVGAVLASAASKSPQFVEASCGILLGELLYTILLGAAITIIKLEGGLVISLEQCWKSDSLEGCASIGVLAVAVLLCLLVIWVWSFVLSSLKSAGTDHLTAVEIASARAAASAGWAAKEAGAVPKIAREGADAAKRAADGAVAAEGASENAACAQQVAAAAVSASKL* >Brasy2G140400.2.p pacid=40070073 transcript=Brasy2G140400.2 locus=Brasy2G140400 ID=Brasy2G140400.2.v1.1 annot-version=v1.1 MDGTSAVRSGNGAVDSKGGMPAKACPLNGTVEVEETFRSGNGALSASQDMPANVAGLQAMPLPAEKPRRPSFSLQVGLVMNLLPKYALVALGTITAFNIFAATTVASVPMAELVKSLIYMSPYTFLPIGVVGAVLASAASKSPQFVEASCGILLGELLYTILLGAAITIIKLEGGLVISLEQCWKSDSLEGCASIGVLAVAVLLCLLVIWVWSFVLSSLKSAGTDHLTAVEIASARAAASAGWAAKEAGAVPKIAREGADAAKRAADGAVAAEGASENAACAQQVAAAAVSASKL* >Brasy2G140400.3.p pacid=40070074 transcript=Brasy2G140400.3 locus=Brasy2G140400 ID=Brasy2G140400.3.v1.1 annot-version=v1.1 MVFLAWWFVTYCDAHCSFFPGVPRGENVSGQAAVDVRSPPALQAMPLPAEKPRRPSFSLQVGLVMNLLPKYALVALGTITAFNIFAATTVASVPMAELVKSLIYMSPYTFLPIGVVGAVLASAASKSPQFVEASCGILLGELLYTILLGAAITIIKLEGGLVISLEQCWKSDSLEGCASIGVLAVAVLLCLLVIWVWSFVLSSLKSAGTDHLTAVEIASARAAASAGWAAKEAGAVPKIAREGADAAKRAADGAVAAEGASENAACAQQVAAAAVSASKL* >Brasy2G102800.1.p pacid=40070075 transcript=Brasy2G102800.1 locus=Brasy2G102800 ID=Brasy2G102800.1.v1.1 annot-version=v1.1 METDLKDFLKGVGEGDQETRHAEEMLRTNVKPEEMNMDLSLDLSLGGRFGLQRKSGKRLAGSSSAASVLALAPTNAGTGDYYLNVVQGSGSQSHEGDTLTKSISLPARVSNLWRKRKAAQMRQRLREIKKNSSSVSKGAAGEIPGSSKSMETMLAEDEMPGVSTTGLPWNVEGFLYNYKTVPVVIVCVCHGWFMSPEEFMKHAGAVNVQNPTQHIVMKPIV* >Brasy2G137000.1.p pacid=40070076 transcript=Brasy2G137000.1 locus=Brasy2G137000 ID=Brasy2G137000.1.v1.1 annot-version=v1.1 MATPRSEVVEEQPQEHGVHVEEEEHHQPLLSKRSPAPVLRDADAGTGMSPMQRAISQTYQSTAHLATLLPTGTVLAFQLLSPLVTNQGDCLPSNRAMAGALVSLCALSCFVLSFTDSFRDAKTGAVRYGFATLSGLWVIDGGAPLEGPRAKEYRVRFLDLVHAVVSVMVFAAVALFDQNVVGCFCPVPSQDARQVLTVLPIAIGVVGSMLFVAFPTTRHGIGFPLSQH* >Brasy2G399900.1.p pacid=40070077 transcript=Brasy2G399900.1 locus=Brasy2G399900 ID=Brasy2G399900.1.v1.1 annot-version=v1.1 MRRAVVAVAAVVSFLLVAAGSGAAGSPGAGGLGSTCPRLGPSFLDALGSRCPRARIEPSPPLEVRGEALDKQLNLRRSGASYSILFYAAWCPFSSKFRPIFEALSTMFPQIHHFAVEESSGMPSLFSRYGVRGFPAVLLVNETSMVRYKGTKDLKSLVDFYSETTGLNPIAYFDLDQQESTRSLGSVMPGGWTLRKMAKDEPFVLLAVLFLIMKVVARFVPTVISQLRVFLIVRVQNLNLGISRGSSQLMERALTVLDVKRIWSRLRLSSKTRDLTKGASNARAWASSFASVSLGEPSSSRQA* >Brasy2G405800.1.p pacid=40070078 transcript=Brasy2G405800.1 locus=Brasy2G405800 ID=Brasy2G405800.1.v1.1 annot-version=v1.1 MDETARQKQGEEAQYNGLSVGWMYGLCGRHRARPRRRCGETGSDHSRKIRSVHFIEQEAGEGACARGGHGGRREGGGRGRAPERGWADDSEAAALAAERRARAGEQGRRQRRRQRDGRGLESSGGGSDGGREAGAGWRAVAAAATAAERRARAGEQWRQQLGGRGEMGRRQGGDVAERSRGGSEKVACAGVGSRASGARRTHESDKRG* >Brasy2G184800.1.p pacid=40070079 transcript=Brasy2G184800.1 locus=Brasy2G184800 ID=Brasy2G184800.1.v1.1 annot-version=v1.1 MASEPSKSSAAARPPPRDFLVHLEAYLARRDGVDKLLKISRYAARLALVAGPLPPAASARLKSFESSVGLSRKAFRLGKFVQDVNALRAHPNLLPPPLVLLLAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLEEVAKMESSIRMRLTDGCGEEDDAVRTMRGKLLLKRLSVVQDVADAFMALGDVTDGKGLLGSSTLTASAGLLSALISAHKNWNSC* >Brasy2G232500.1.p pacid=40070080 transcript=Brasy2G232500.1 locus=Brasy2G232500 ID=Brasy2G232500.1.v1.1 annot-version=v1.1 MATLLLRLGAAAIALLVTLTCLAEAYDPLDPNGNITVKWDIIQWTSDGYVAVVSIYNYQKYHHIQAPGWHLGWVWAKKEIIWTMTGGQATEQGDCSQFKGDIPHCCKRDPTTVDLLPGAPYNMQVANCCKGGVLTSWVQDPVSAVASFQISVGRSGTNNKTVKAPKNFTLKAPGPGYTCGVAQNVKPPTKFISLDGRRTTQAHVTWSVTCTYSQFVAQRAPTCCVSLSSFYNETIINCPKCSCGCHNNITKPGSCVEGNSPYLASVVNGPGKGSSIPLVQCSSHMCPIRVHWHVKFNYRDYWRVKITITNWNYRMNYSQWNLVVQHPNFDNVTTIFSFNYKALNPFGVINDTAMLWGIKYYNDLLMVAGPDGNVQSELLFRKEPSTFTFGKGWAFPRRIYFNGESCVMPPPDAYPWLPNSSPRLKRTAFLILSIIVCATLAFLHNHLVLDKYCGNKC* >Brasy2G232500.2.p pacid=40070081 transcript=Brasy2G232500.2 locus=Brasy2G232500 ID=Brasy2G232500.2.v1.1 annot-version=v1.1 MKKEAYDPLDPNGNITVKWDIIQWTSDGYVAVVSIYNYQKYHHIQAPGWHLGWVWAKKEIIWTMTGGQATEQGDCSQFKGDIPHCCKRDPTTVDLLPGAPYNMQVANCCKGGVLTSWVQDPVSAVASFQISVGRSGTNNKTVKAPKNFTLKAPGPGYTCGVAQNVKPPTKFISLDGRRTTQAHVTWSVTCTYSQFVAQRAPTCCVSLSSFYNETIINCPKCSCGCHNNITKPGSCVEGNSPYLASVVNGPGKGSSIPLVQCSSHMCPIRVHWHVKFNYRDYWRVKITITNWNYRMNYSQWNLVVQHPNFDNVTTIFSFNYKALNPFGVINDTAMLWGIKYYNDLLMVAGPDGNVQSELLFRKEPSTFTFGKGWAFPRRIYFNGESCVMPPPDAYPWLPNSSPRLKRTAFLILSIIVCATLAFLHNHLVLDKYCGNKC* >Brasy2G030600.1.p pacid=40070082 transcript=Brasy2G030600.1 locus=Brasy2G030600 ID=Brasy2G030600.1.v1.1 annot-version=v1.1 MPGTCGSGIEGVFLAFDPAVSRHHEVFYFPTQKMVQRELGTTHVLPNLFREEEPSQEEEAGEPSWIDHEQLFLSNLFGGEEPFEEEQSSLEEDSEEASWINLGNLFEEDEPCEEGQLSQQEQHALYVKEPWEIDLEQMLLPYLSEDYLCEEEQPTGERQQESHTEEPAGSTSQSNVQEAEVPEKEVLNVSVFSSRTGEWESREFMPGRCTSRYMYDVVTAPRGNEARRWWPAEYWRGSLYVQCHNGVLMILRCSEGTYDMVQLPGHPYDDEDLPRYALPKRYVLGSCERGICYVVLDKFHLEVWELTELADDRLGWTLAHEANLEAHYPVVNYLSQEPMLQPSMRWEVVESDKDLISLFEVENINKSNDVDGTEEDTEKLDEEDVYEKIDAEEDKEQDNGDDTQSEVEEEQDSDEEDEHLPSGMGSECSWNSDENNFIDFGKINVTGDEYSWGWEIRIVGFHPYKDVLLLKFMDAVVAYHLQTSRMQYLGDIYPQRHCQQARDVHDAFPYRPCYIDALPARKTSWSS* >Brasy2G351900.1.p pacid=40070083 transcript=Brasy2G351900.1 locus=Brasy2G351900 ID=Brasy2G351900.1.v1.1 annot-version=v1.1 MKFALSGKGRPRWKPPSGRVTKAWYRLVLLITGFNVDPERIGVIVRVEYVRSDRLKVAACCRSKSRIPTMGVLWAGPTIRSPLMIGKFRGGQFYVI* >Brasy2G033900.1.p pacid=40070084 transcript=Brasy2G033900.1 locus=Brasy2G033900 ID=Brasy2G033900.1.v1.1 annot-version=v1.1 MPPPASNRKRRPPMDQPAAVGDGAPQKKSSAAKKAKKGGASSSSGGGGGWPAIKPKKDLQINRLKGTQLLTVPNFLTSAEAKAFVDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAKTMWESGINRIFLDINIAGKVATGLNPNIRFYRYVEGQRFGRHIDESVNLGDGCRTQYTLLIYLSGKGSAKDSQALVGGETVFYDHRGGIVAEVAPVQGMALLHLHGARCMLHEARVVKKNVKYVLRSDVVFT* >Brasy2G033900.2.p pacid=40070085 transcript=Brasy2G033900.2 locus=Brasy2G033900 ID=Brasy2G033900.2.v1.1 annot-version=v1.1 MPPPASNRKRRPPMDQPAAVGDGAPQKKSSAAKKAKKGGASSSSGGGGGWPAIKPKKDLQINRLKGTQLLTVPNFLTSAEAKAFVDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAKTMWESGINRIFLDINIAGKVATGLNPNIRFYRHIDESVNLGDGCRTQYTLLIYLSGKGSAKDSQALVGGETVFYDHRGGIVAEVAPVQGMALLHLHGARCMLHEARVVKKNVKYVLRSDVVFT* >Brasy2G220500.1.p pacid=40070086 transcript=Brasy2G220500.1 locus=Brasy2G220500 ID=Brasy2G220500.1.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLAGKTKSDANIPSSYSADYFHRGRDYAEKLRREHPELYKGQDLQANETGGKPTGSNNPDGPPARRREAATDELLLERFRKREKFRVMRR* >Brasy2G220500.6.p pacid=40070087 transcript=Brasy2G220500.6 locus=Brasy2G220500 ID=Brasy2G220500.6.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLAGKTKSDANIPSSYSADYFHRGRDYAEKLRREHPELYKGQDLQANETGGKPTGSNNPDGPPARRREAATDELLLERFRKREKFRVMRR* >Brasy2G220500.3.p pacid=40070088 transcript=Brasy2G220500.3 locus=Brasy2G220500 ID=Brasy2G220500.3.v1.1 annot-version=v1.1 MTRTSAALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLAGKTKSDANIPSSYSADYFHRGRDYAEKLRREHPELYKGQDLQANETGGKPTGSNNPDGPPARRREAATDELLLERFRKREKFRVMRR* >Brasy2G220500.8.p pacid=40070089 transcript=Brasy2G220500.8 locus=Brasy2G220500 ID=Brasy2G220500.8.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIESVLICANYLVPWSFANKVPFRCRHFYFQIFVVSNQLGIVFHD* >Brasy2G220500.2.p pacid=40070090 transcript=Brasy2G220500.2 locus=Brasy2G220500 ID=Brasy2G220500.2.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIEFILRDLSEPSLVQSRLSIMMAQRLCLKRCRTCQKVQIEKY* >Brasy2G220500.5.p pacid=40070091 transcript=Brasy2G220500.5 locus=Brasy2G220500 ID=Brasy2G220500.5.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIESVLICANYLVPWSFANKVPFRCRHFYFQIFVVSNQLGTN* >Brasy2G220500.7.p pacid=40070092 transcript=Brasy2G220500.7 locus=Brasy2G220500 ID=Brasy2G220500.7.v1.1 annot-version=v1.1 MPKNFRKRNLEPDTADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIESVLICANYLVPWSFANKVPFRCRHFYFQIFVVSNQLGTN* >Brasy2G220500.4.p pacid=40070093 transcript=Brasy2G220500.4 locus=Brasy2G220500 ID=Brasy2G220500.4.v1.1 annot-version=v1.1 MTRTSAALEEIKYMQKLRERKLGIPAASVATGAAATTTDGSSARGRGGGGAAAASETDKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKTIEVNDKDEKDDVDELYVVPDHLKVKKKNMEESSTQWTTGIAEVQLPIEFILRDLSEPSLVQSRLSIMMAQRLCLKRCRTCQKVQIEKY* >Brasy2G026000.1.p pacid=40070094 transcript=Brasy2G026000.1 locus=Brasy2G026000 ID=Brasy2G026000.1.v1.1 annot-version=v1.1 MEGGGGVGDAGAGDDPMDFSWTTGWESTAAACPDGGDTPAPAPSPSPSPAPAPPPVPSPQETAESMILVAGPRVAVAGLRQGDCRADECVLFVNAGGCAIEGGDPSVKFSGDSFFEGGDGIETSESIVEGGDYPLLYSSARYGDFRYKFDGLAPGNYYIDLHFAEIVHTHGPKGIRSFDVFVQEEKILSELDVFAVVGGNRPLQVLDIGATVDSNGAITINFRGVRGNPMVCGICIRKAPLLPAAKLDTNGSILCKRCATDVEISPIQKRTAKLMKKYEKQIEELTSQCNMKSDECSMAWSLVESTNQELERLKIELHQKLVQSDNFEQVLSAQTDKLRNVSYNYENDKKLWAAAISNLESKIKAMKQEQALLSLEAHDCANSIPDLSKMIGAVQGLVAQCEDLKMKYNEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKAETSSGYKCIVDFDGANDGDIGIINGGPSKKTFKFDRVYTPKDDQAEVYTDASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFRIAEERKESVTYSISVSVLEVYNEQIRDLLATSPSSKKLEIKQAGEGSHHVPGIVEAKVENIDEVWDVLQTGSNARAVGSNNVNEHSSRSHCLLCIMVRAKNLVNGDCTRSKLWLVDLAGSERLGKTDAQGDRLKEAQNINKSLSALGDVISALASRSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERSKQEVRLKDDSLRKLEENCQNLENKAKGKEQLYKNLQEKVKELESQLDSKTHSQITSEKQHYQLSGKLKDKEEMCTALQQKIVELEHKLRQQHQSDSGVAILKQTIEELELKLKEQEQQRAVAESKAREIGQELLEAQRTESMLQNKLLDVEKNLQERTKLQHTNTTLDSSNSAIGVAATPGATTAQPIVREEAMSEKDHHRILISLDSANKRVASNSSLPPAPPEVVNEKKRKGEARNTPSIGGDEHENNNPAAASQNAARKRSLQGEPRSKRTSTEPQTKSLMRPTAAAASRAASAAAAHKTVPGSRASRPQQPATGGNKTRGWVR* >Brasy2G026000.2.p pacid=40070095 transcript=Brasy2G026000.2 locus=Brasy2G026000 ID=Brasy2G026000.2.v1.1 annot-version=v1.1 MEGGGGVGDAGAGDDPMDFSWTTGWESTAAACPDGGDTPAPAPSPSPSPAPAPPPVPSPQETAESMILVAGPRVAVAGLRQGDCRADECVLFVNAGGCAIEGGDPSVKFSGDSFFEGGDGIETSESIVEGGDYPLLYSSARYGDFRYKFDGLAPGNYYIDLHFAEIVHTHGPKGIRSFDVFVQEEKILSELDVFAVVGGNRPLQVLDIGATVDSNGAITINFRGVRGNPMVCGICIRKAPLLPAKLDTNGSILCKRCATDVEISPIQKRTAKLMKKYEKQIEELTSQCNMKSDECSMAWSLVESTNQELERLKIELHQKLVQSDNFEQVLSAQTDKLRNVSYNYENDKKLWAAAISNLESKIKAMKQEQALLSLEAHDCANSIPDLSKMIGAVQGLVAQCEDLKMKYNEEMAKRKKLHNIVQETKGNIRVFCRCRPLSKAETSSGYKCIVDFDGANDGDIGIINGGPSKKTFKFDRVYTPKDDQAEVYTDASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFRIAEERKESVTYSISVSVLEVYNEQIRDLLATSPSSKKLEIKQAGEGSHHVPGIVEAKVENIDEVWDVLQTGSNARAVGSNNVNEHSSRSHCLLCIMVRAKNLVNGDCTRSKLWLVDLAGSERLGKTDAQGDRLKEAQNINKSLSALGDVISALASRSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQVDTAELQKVKQMLERSKQEVRLKDDSLRKLEENCQNLENKAKGKEQLYKNLQEKVKELESQLDSKTHSQITSEKQHYQLSGKLKDKEEMCTALQQKIVELEHKLRQQHQSDSGVAILKQTIEELELKLKEQEQQRAVAESKAREIGQELLEAQRTESMLQNKLLDVEKNLQERTKLQHTNTTLDSSNSAIGVAATPGATTAQPIVREEAMSEKDHHRILISLDSANKRVASNSSLPPAPPEVVNEKKRKGEARNTPSIGGDEHENNNPAAASQNAARKRSLQGEPRSKRTSTEPQTKSLMRPTAAAASRAASAAAAHKTVPGSRASRPQQPATGGNKTRGWVR* >Brasy2G415700.1.p pacid=40070096 transcript=Brasy2G415700.1 locus=Brasy2G415700 ID=Brasy2G415700.1.v1.1 annot-version=v1.1 MFIESFRVESPNVRYGAGEIESEYRYDTTELVHESHDGASKWVVRPKSVNYRFKTNTNVPKLGFAWSGSRGGGRTRQSGAALSLTATWRPAGANSDRSWLSASPRAHMDMSRWVPGASATIGIAVSHVTAPTSTTEPPKAATWAKGRRAPPLPVRLDPPIVLQRFSRTTASFSRNAPRSSDSVPPRRAEAAPWEWDPEQSSLAEDRRILR* >Brasy2G473000.1.p pacid=40070097 transcript=Brasy2G473000.1 locus=Brasy2G473000 ID=Brasy2G473000.1.v1.1 annot-version=v1.1 MTFSLLSQMIAAAALIPILASLPLSAGAAAGAAPAPAPMGMANCDTKCGDIIVPYPFGMGPARCYHSAPGFNLTCVGNTTTHPRLLLGNDGTFQVKHFSLPGSSMLVTRTPGDIGNGGSLGGGLGTYITLSYIGNELVLLGCNVRATLKNGNVTMSACSALCEEDGDTTPLPHVYSRLDSSMLCTGIGCCQAPIVFDRGQGPPVYSASNYHVELEWFGSNQSADKERMPVQVFVANEGWFEQKYASNRLSLQPQDIARGVPVWLDWEFAADPSSQSVNSSHSVCSTEGTRGGYRCSCDEGYDGNPYITNGCQDINECELQQVYRCNGDSVCINKDGGFDCGCSSGTQRDSTALSGSCGVPVVPVTADGDCNRLCGDMEVPYPFGIGIGPSNCYRPGFNLTCVYYPSSSKPPRLLLDGDGAGEFQVLAIFLHNNTLRVTSAVVNIEAFTNNNYGFHFDQYLTDRGDALYSLSTRNELALMGCHVQATLLGRGDDLAIISGCATFCPEAGPVKVPIASNKNCYGMGCCQARISESMDGLPSEFNFKFADPNNAIKENTTRPPFALIAEEGWFDHRLVSEQQLQSFQRKTSFAPKAPIALQWEVLQLAGLPKADAKSHPNCPEKVAADICKSKHSLCKPGNRGYSCQCREHYHGNPYIHNGCKGGLMSIKGIRIAVIGVACVASLVLLVLTSFFVSKKLRNQRAQMLRRKFFEQNRGQLLQQLVSHRAGIAERMIITLEELVKATRNFDKVLQVGTGGHGTVYKGILSNQHIVAIKKPKKVVKKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLDKHLHVEGPKSLSWDDRLRIATETAKSLAYLHSTASIPIIHRDVKSANILLDDTLTAKVADFGASRYFPLDKSQVTTMAQGTRGYWDPMYFYTGRLTEKSDVYSFGVVLVELLTRKKPFSYLSSEGEGLVVHFVTLFTESNLIQILDPQVMEDGGREVEEVAAIAVACIKLRGEDRPTMRQVELTLESYRGSKERSLDNALPKKVEKNDIAIYCSSSNVRRGGEESTRCYSMEEEFMLSASYPR* >Brasy2G170200.1.p pacid=40070098 transcript=Brasy2G170200.1 locus=Brasy2G170200 ID=Brasy2G170200.1.v1.1 annot-version=v1.1 MERQADSRRPLPRRGQVKASIFASLFGCFVPRAAPQGERKEGKPKGSGRRVGPGG* >Brasy2G308300.1.p pacid=40070099 transcript=Brasy2G308300.1 locus=Brasy2G308300 ID=Brasy2G308300.1.v1.1 annot-version=v1.1 MKRSLDAMEAKPVFLSKEERQRLALERRQAAVADQRRSALDILQSLPRPPPPPPPPSNPPRDSSSSHREASDRDRDRDRDRDRERERDRDRDRDRDRRRDDDSRRDRDRDRDRDRDRDEPSRRDRDRDRDRDRDRDREHRDRERGERDKDREKDRLEKVAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNTLYQTPHEARLLYGRGFLAGIDRREQKKAAAVFEKETRAEQRRKFGVEDRPEDDVADKKKAAAAEMYDAFDMRVDRHWSEKGIEEMTERDWRIFREDFNISYKGSRIPRPMRNWPESKLGTELLRAIDKVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEEEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMSRLQKILTDLGDKTAIVFCNTKKSADNRAKDLDKAGFRVTTLHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSVDTYTHRIGRTGRAGKKGLATSFLTLDNTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYASH* >Brasy2G264400.1.p pacid=40070100 transcript=Brasy2G264400.1 locus=Brasy2G264400 ID=Brasy2G264400.1.v1.1 annot-version=v1.1 MGISSKWIKSLVRIRKQEKGGNSENQEKTQNAESSETSSAVRQLHKRKHSLGHGGTQTAEEHAGHSETSTDDTNLQRVSNSISSEGAHVSQTEELSRQEDLSAIVIQSAFRAFLARRALRALKGIVILQALVRGHIVRNQTAETLRCMHALVRAEARVRARQIRGLENQVVWKKVPEQDGHENHVQEIEEGWCGSTGSVEELQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTSLQGIELDNSHWGSNWVERWMAARPWENRLLDNNTKESMPVCDGNQDEEMKSQVTPKGKVAISNTPNGPNKKKDANHKKSYSDINFTSFGRSSSILPSTSLGSSKQKSKLVDEVFEEVSSQPIDLAPLSVLNPKERGAHLNTQAKKRLSLPNNVGRGAVKGTTNRNPTNRSASANTDPKPRASAPNQARKQVEVHA* >Brasy2G377600.1.p pacid=40070101 transcript=Brasy2G377600.1 locus=Brasy2G377600 ID=Brasy2G377600.1.v1.1 annot-version=v1.1 MDAMERGERAPLLPESYRPKIQEDSLQVPLLKHKKRIGSKAPAVILGFECLESTAFNGISTNLVVYLETVLHGSNLASASSVTTWFGTSYLTPIFGAVMADTFWGNYNTILISLAVYLLGMMLITFSAFLPATTALCAAGASCAAASSGISSLASSQTVAFVGLYLVAIGSGGIRSSLLPFGAEQFDGDDNAERKASFFSWFYLCVDFGPIISGVFLVWIQQNVSWGLGFGIATACIALAFAAFVLATPMYKGRMPTGTPLKSLCQVLAAACRNASLKAPADARLLHEASDKIDSPRPKIAHTSEFTFLDKAAIVSEEGASSSWKLCTVTQVEELKILLRLLPVWVTSVVVSSAYAQMNTTFVQQGSAMDTAILSVPVQAASLGSFEVLCVLTWVLLYDKAIVPALRSFSASGDAEPSQLQRMGAGRLLMALTMAVAALVEMKRLDGASRGEQIGIAWQLPQYFLLAGGEVFCYIAQLEFFFGEAPDTMKSMCTSLALLTIALGSYMSSFIYAVVDAFTATGGGPGWISDDLNEGHLDYFFWTMAALCMLNFVVYSAVVKNYRLKTVLS* >Brasy2G305500.1.p pacid=40070102 transcript=Brasy2G305500.1 locus=Brasy2G305500 ID=Brasy2G305500.1.v1.1 annot-version=v1.1 MSSPPRAHPIILLFSSLPRVNPNWRWVEFGAASSAMKRGRKGAAPTPSTELPPCVLPLVTCPCCRVRRVVRLVSKSEQNPSRVFYKCPNHRSGTGGCNFFHWEDGEDSYVDYLSSIGVMIPSTYWGGEIEEEEQKEELNEGQKVEQKMQKQLQKMENYEIKLLVEKMDALVVICRMTLCAFVVFVALLLYVVAQK* >Brasy2G157700.1.p pacid=40070103 transcript=Brasy2G157700.1 locus=Brasy2G157700 ID=Brasy2G157700.1.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPERRGMRIHAGGRTVNSNSLGFLVTVLVLFMVLSSNQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAATNSLLGRTELRVHVPPTIALGARGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINTLPVFKYKFQAQQGSASARKSGDGPSVFLASSSGSGNEKIQDANGASKTPEDDLTCSVCLEQVVMGDLLRSLPCLHQFHVECIDPWLRQQGTCPVCKHQVSDGWRGGGNGEIVDGSYMV* >Brasy2G157700.2.p pacid=40070104 transcript=Brasy2G157700.2 locus=Brasy2G157700 ID=Brasy2G157700.2.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPERRGMRIHAGGRTVNSNSLGFLVTVLVLFMVLSSNQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAATNSLLGRTELRVHVPPTIALGARGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINTLPVFKYKFQAQQGSASARKSGDGPSVFLASSSGSGNEKIQDANGASKTPEDDLTCSVCLEQVVMGDLLRSLPCLHQGLYKGGTLHVTVIRNV* >Brasy2G157700.3.p pacid=40070105 transcript=Brasy2G157700.3 locus=Brasy2G157700 ID=Brasy2G157700.3.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPERRGMRIHAGGRTVNSNSLGFLVTVLVLFMVLSSNQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAATNSLLGRTELRVHVPPTIALGARGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINTLPVFKYKFQAQQGSASARKSGDGPSVFLASSSGSGNEKIQDANGASKTPEDDLTCSVCLEQVVMGDLLRSLPCLHQGLYKGGTLHVTVIRNV* >Brasy2G001600.1.p pacid=40070106 transcript=Brasy2G001600.1 locus=Brasy2G001600 ID=Brasy2G001600.1.v1.1 annot-version=v1.1 MIFHTSRLKKILFCVFFFQSVVSGPVLFFRQREYAQLNAVLNNPQFQLDPFAAIHQHLLSTQPPSSAKDDKSLKHGKKSTKDKRKKKKKKNSSSSASFFLSQNPQITSTAAAGGQRPASGIQAQLHAARGKQHLVQLQFSHGILG* >Brasy2G333400.1.p pacid=40070107 transcript=Brasy2G333400.1 locus=Brasy2G333400 ID=Brasy2G333400.1.v1.1 annot-version=v1.1 MDELFVQSLLLSAVAVALLQLLKRALTKRAGPRPPPGPWKLPVIGSMHHLVNVLPHRALKDLAEAHGPLMMLQLGQTPLVVASSKETARQVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDCWRKLRQLCAAEILSPKRVLSFRHIRENEEIRLAGPSTEVNLSAMFHGLTNSIVSRAAFGKKRANAAEFLSAIKAGVGLSSGFNIPDLFPTWTTLLATVTGMRRSLQGIHTTVDAILQEIIDERNAISAEKIRASGAENNVDENLVDVLIGLQEKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPEVMKKLQGQIRDAFTVKPAVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEACELEGYAVPAKAQVIVNAWAIGRDPRYWEAPEEFRPERFEDGAVDFTGGSYEFLPFGAGRRMCPGFNYGLASMEFGLVALLYHFDWALPEGVAEVDMEEAPGLGVRRRSPLMLRATPFVPAVVVTAVPHAA* >Brasy2G383900.1.p pacid=40070108 transcript=Brasy2G383900.1 locus=Brasy2G383900 ID=Brasy2G383900.1.v1.1 annot-version=v1.1 MASKHMLVAMVALAVAFLPCLAMASEHVVGDDKGWTLQFNYTAWSESRKFVVGDTLLFKYGSSAHNVVEVGGADFAACTKPAGANTWSTGEDRVTLDKAGRRWFICDIGEHCEKGGMKFKITVDEAGAPSPNGPPAPSNPAGKVHAKHFGVAAVTALAAAALVL* >Brasy2G399100.1.p pacid=40070109 transcript=Brasy2G399100.1 locus=Brasy2G399100 ID=Brasy2G399100.1.v1.1 annot-version=v1.1 MSSPHGGLDDQIERLMQCKPLPEAEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL* >Brasy2G117000.1.p pacid=40070110 transcript=Brasy2G117000.1 locus=Brasy2G117000 ID=Brasy2G117000.1.v1.1 annot-version=v1.1 MSRSYGCRPFRPPDWDAPPSHGRYHQRQPPPRQFLVILLRAGANPSAPPATEVDALVTGLGSPAPDSLSVSSSGRQAARLLFRSLPAAAAAVRELWSLRLEGFHLLTPHLPDHALAAHAFPVIASLFAAHAARLLDTDLVSRCASRSSELATAIQSVKQRLRAHNRLRDFDRLDLEKKTLEAEKELVGAKIAEYKAAMSSIRHAMLRVTDDEEEEVDEGVDVFGIGEDKDVDFARLHMMMLRECRRLNEGLPIYAYRRKILNHIFSNQVMVLIGETGSGKSTQLVQFLADSGLAANGSIVCTQPRKIAAILLAHRVDEESNGCYKDNFVLSYSTFLNSQDFSSKIIFCTDSCLLHHCMNDAGFDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADADRLADYFYGCQTFHVKGRNFPVEIKYVPDVSVEASFNSVPNSLGGARAAVSYVNDVVRLVSMIHKNEEEGAVLAFLTSQLEVEWACENFSDTSAMVLPMHGKLSHVEQSRVFKSYPGKRKIIFCTNMAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSESDFNMMEVHQEPEIRKVHLGTAVLRILALGVKDAHNFEYVDAPNPEAINMAVKNLEQLGAVKCRLNCFELTDTGRHLVKLGIEPRLGKIMLDCFRSDLKKEGVVLAAVMANSSSIFCRVGTDEEKHKADLQKVRLCHQDGDLFTLLAVYKKWEDGHENRNTWCWENSINAKTMRRCQETISELENCLKHELNIIVPSYWCWNPEAPTEHDKKLKKIILSSLTGNLAMFLGHERSGYQVISTDQVVNLHPSCSLLNYGIKPEWVVFTEILSVPNQYLVCVTAVDHEALYTIHPMPFIQQLEKHKLQIKIISGLGHNVLARFCGKSGQNQQKIISHLKEECRDDRITVEIDFENNEVVLFATEQDMEKVYCVVNGALECEAKMLKNECLERNLFPGRPGSSPLALFGSGAEIKHLELEKRFLSVEVLHQNARDINDKELILLVDSLISGIANFHKSAGNFRIASDENKWGKFTFLKPEDAEYAVSKLNGIEFHGSSLKVVPVCSSDNRGLPFPAVRARLSWPRNPSRARAPGIRLLGLRETPIASPSISACEEALIREISPFMPNKSFPGQNFRVEVFPPEENNPMTRATVTFDGSLHREAARALDHLNGSILPCCQPWQIIQCNHVFHSTLSCPVRVYNVISQEVASLLESFRSQKGVSYNFEKTENGIFRVKLTANATKPIADLRRPLEILMKGKTINHPDLTLSAVQLLLSRDGVAPLKSIEQETETYILYDRKSLTIKVFGDQDQMAAAETKLVHALSQLLEKKPLEIRLRGHNLPPDLMKKTVENFGVNLEGFNKEMPAVKVDLHKHRHLLKVWGSKEDKQRVEGMISELIMSVKHNARVQLPSENVAGNKEDQKRVDDSELSKDACPICLCEAEDPFKLESCGHMFCRACLVDQCDSATKSLDGFPICCLKTGCKKPFLIVDLKHLVSNEKLEDLFRASLRAFVASRAGMYRFCPTPDCQSIYQVAALDAETKPFVCGACFVEICTNCHLEYHPFMSCEGYKQYKEDPDATLLEWRKGKGNVKNCPSCGYTIEKADGCNHVECRCGSHICWTCLENFRSSEECYGHLRSVHQSFVDIV* >Brasy2G055400.1.p pacid=40070111 transcript=Brasy2G055400.1 locus=Brasy2G055400 ID=Brasy2G055400.1.v1.1 annot-version=v1.1 MTSTSCSKKAANRLVVEEATEDDNSMCSLHPATMERLSLFKGDVILLKGKRRRSTICLAIPDDNCEEHKLKINKVVRSNLRVRIADVVSIHECPDAKYGKRVHILPIDDTVEGITGSLFDSYLKPYFLDAYRPVRKGDLFLVRGGMRSVEFKVMEIDADAAAEYCIVASDTEIFCDGEPLKREDEERLDDVGYDDVGGMRKQMTQIRELVELPLRHPQLLKSIGVKTRLALMAGESESNLRKAFELLTMWFGDSEANVREIFDKARQSAPCVLFFDELDSIAMQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPLAKNVDLAALARFTKGFSGADITEICQRACKYAIREDIEKDIERERLGKEAMEVDSREVAEIKAAHFEESMKYARRSVSDRDITKYQAFAQTLQQSRGFGTEFLFPEQSKPAEAAAPTANAYAAADEEDDLYNLEQRNKLDARGFAVTTARPYTGSPDSPSSRFQSSPTNSPAHPIQLRHPNPPSSSSSVAFAFAFGARRQHLLSIPKFPSILRQIRWAFWNSSASRSNPDFCCVAFEPEARLEQSAARIESIRRGGR* >Brasy2G217600.1.p pacid=40070112 transcript=Brasy2G217600.1 locus=Brasy2G217600 ID=Brasy2G217600.1.v1.1 annot-version=v1.1 MASASLKLSIALTCALLLSSACQGLTVGHYKKTCPKVEAIVRDEVKKFVYKNAGIGAGLIRLFFHDCFVEGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFDVIDAAKDAVEKACPDVVSCADIVAFAGRDAAYFLSRLTVKIDMPAGRLDGRVSSSTAALNDLPPPFANLSQLIANFAAKGLSAEDMVVLSGAHTIGVSHCSAFVSDRLAVPSDINTGFANILRKQCPANPNPANDPTVNQDLVTANALDNQYYKNVLAHKVLFLSDAALLASPATTQMVRDNANIPGQWEDKFKKAMVKMSAIGVKTGFQGEIRKSCRVVNH* >Brasy2G171800.1.p pacid=40070113 transcript=Brasy2G171800.1 locus=Brasy2G171800 ID=Brasy2G171800.1.v1.1 annot-version=v1.1 MPEEMKATPEQPTRESTRMHTHTPVGPAHQRYSFQFALISTSTLSPPLCVSAQPHHCSLRNFSYTHQTHTEARTTRTDRRRGGEMAAATTMTWHEELATLAGDTGVRLPGVGGDAAPAPAGVNRAAVGGRWYGEEEEEGKVEEGWAQQARGFAESTAEMLRELGLGVWDVAAQSLAGAEDSELARRLRRPAAAAGKRLSFMNEYLPEDRDPVRCWLVVAAVAFVALLVLGVGSGDETPVEQPRKLYISPPNAKRFQLPDGRHLAYEEKGVSAERARFSLIAPHSFLSSRLAGIPGINTSLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLADALGIADKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMTKDEKRKTWDRWSTKRKLMHILARRFPSLLRLFYLRSFLSGKQGQPESWLSLSLGKKDKALLEGAMFNAFWEKDVAESVRQGDAKPFVEEAVMQVSDWGFSLSDIQMQKKEDQGLFELIKSLFSQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRMVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPISPVQESGEVTPELAEETTTSEEVAEQEQDTSECLA* >Brasy2G356300.1.p pacid=40070114 transcript=Brasy2G356300.1 locus=Brasy2G356300 ID=Brasy2G356300.1.v1.1 annot-version=v1.1 MYSSIIPVPDDPNLNCYLYPYSWAGIFYPTRERSKVGGACVRGGGGPRGRIGGPRAWRWPVGGGARARRRPAYPRRRRRRPGPHRRPARLACRRRRSAEARVSEAAAPGAASMASKRARAKQGGGRGATGACSRCGIARPERRIGGGGGVE* >Brasy2G231000.1.p pacid=40070115 transcript=Brasy2G231000.1 locus=Brasy2G231000 ID=Brasy2G231000.1.v1.1 annot-version=v1.1 MEGDTLSASAHGVDTKVLHAFQTSFVQVQGLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSGGGGGRATSEGGSVGTVRQAGHKRIRSGMD* >Brasy2G231000.2.p pacid=40070116 transcript=Brasy2G231000.2 locus=Brasy2G231000 ID=Brasy2G231000.2.v1.1 annot-version=v1.1 MEGDTLSASAHGVDTKVLHAFQTSFVQVQGLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSGGGGGRATSEGGSVGTVRQAGHKRIRSGMD* >Brasy2G112300.1.p pacid=40070117 transcript=Brasy2G112300.1 locus=Brasy2G112300 ID=Brasy2G112300.1.v1.1 annot-version=v1.1 MRAMASGGNWLGFSLSPHMAMEVPSSSEPEHAHPHPPPPASSAGAISASPANAATCNFLFSAPSQMAAPPPGYYYVGGAYGDGSSTAGVYYSHHPVMPITSDGSLCIMEAMMPSSSPKLEDFLGGGNGGGSHDTATYYSHQQGQQEEASRFYQQHQQLVPYNFQHLTEAEMYQQEATAPMEEAMAAAKNLLVTSYGSSCYSNVGMQPLSLSMSPGSQSSSCVSAAPQQHQMAAAASVAASLGGSNGGGEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTSRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTHTNFPLETYREDIEVMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNSLLPGDEARKVKAVEAANDLLAIQNSGGQLYPAEERSSTGGTDWRTMVLHGTPQQAAPCAEVTDLRKGIMGDPHSSLHGIAGFDIEPAAHGVDVPGKISGGVNYSNSSSLVTSLSNSREGSPERLGLAMLHAKHPNAANLANMSPWMAMPVPAATHAMRAPNNISHVPLFAAWADA* >Brasy2G112300.3.p pacid=40070118 transcript=Brasy2G112300.3 locus=Brasy2G112300 ID=Brasy2G112300.3.v1.1 annot-version=v1.1 MRAMASGGNWLGFSLSPHMAMEVPSSSEPEHAHPHPPPPASSAGAISASPANAATCNFLFSAPSQMAAPPPGYYYVGGAYGDGSSTAGVYYSHHPVMPITSDGSLCIMEAMMPSSSPKLEDFLGGGNGGGSHDTATYYSHQQGQQEEASRFYQQHQQLVPYNFQHLTEAEMYQQEATAPMEEAMAAAKNLLVTSYGSSCYSNVGMQPLSLSMSPGSQSSSCVSAAPQQHQMAAAASVAASLGGSNGGGEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTHTNFPLETYREDIEVMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNSLLPGDEARKVKAVEAANDLLAIQNSGGQLYPAEERSSTGGTDWRTMVLHGTPQQAAPCAEVTDLRKGIMGDPHSSLHGIAGFDIEPAAHGVDVPGKISGGVNYSNSSSLVTSLSNSREGSPERLGLAMLHAKHPNAANLANMSPWMAMPVPAATHAMRAPNNISHVPLFAAWADA* >Brasy2G112300.5.p pacid=40070119 transcript=Brasy2G112300.5 locus=Brasy2G112300 ID=Brasy2G112300.5.v1.1 annot-version=v1.1 MTGRRRERGYYYVGGAYGDGSSTAGVYYSHHPVMPITSDGSLCIMEAMMPSSSPKLEDFLGGGNGGGSHDTATYYSHQQGQQEEASRFYQQHQQLVPYNFQHLTEAEMYQQEATAPMEEAMAAAKNLLVTSYGSSCYSNVGMQPLSLSMSPGSQSSSCVSAAPQQHQMAAAASVAASLGGSNGGGEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTHTNFPLETYREDIEVMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNSLLPGDEARKVKAVEAANDLLAIQNSGGQLYPAEERSSTGGTDWRTMVLHGTPQQAAPCAEVTDLRKGIMGDPHSSLHGIAGFDIEPAAHGVDVPGKISGGVNYSNSSSLVTSLSNSREGSPERLGLAMLHAKHPNAANLANMSPWMAMPVPAATHAMRAPNNISHVPLFAAWADA* >Brasy2G112300.2.p pacid=40070120 transcript=Brasy2G112300.2 locus=Brasy2G112300 ID=Brasy2G112300.2.v1.1 annot-version=v1.1 MPITSDGSLCIMEAMMPSSSPKLEDFLGGGNGGGSHDTATYYSHQQGQQEEASRFYQQHQQLVPYNFQHLTEAEMYQQEATAPMEEAMAAAKNLLVTSYGSSCYSNVGMQPLSLSMSPGSQSSSCVSAAPQQHQMAAAASVAASLGGSNGGGEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTHTNFPLETYREDIEVMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNSLLPGDEARKVKAVEAANDLLAIQNSGGQLYPAEERSSTGGTDWRTMVLHGTPQQAAPCAEVTDLRKGIMGDPHSSLHGIAGFDIEPAAHGVDVPGKISGGVNYSNSSSLVTSLSNSREGSPERLGLAMLHAKHPNAANLANMSPWMAMPVPAATHAMRAPNNISHVPLFAAWADA* >Brasy2G112300.4.p pacid=40070121 transcript=Brasy2G112300.4 locus=Brasy2G112300 ID=Brasy2G112300.4.v1.1 annot-version=v1.1 MTGRRRERAMMPSSSPKLEDFLGGGNGGGSHDTATYYSHQQGQQEEASRFYQQHQQLVPYNFQHLTEAEMYQQEATAPMEEAMAAAKNLLVTSYGSSCYSNVGMQPLSLSMSPGSQSSSCVSAAPQQHQMAAAASVAASLGGSNGGGEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTHTNFPLETYREDIEVMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNSLLPGDEARKVKAVEAANDLLAIQNSGGQLYPAEERSSTGGTDWRTMVLHGTPQQAAPCAEVTDLRKGIMGDPHSSLHGIAGFDIEPAAHGVDVPGKISGGVNYSNSSSLVTSLSNSREGSPERLGLAMLHAKHPNAANLANMSPWMAMPVPAATHAMRAPNNISHVPLFAAWADA* >Brasy2G218500.1.p pacid=40070122 transcript=Brasy2G218500.1 locus=Brasy2G218500 ID=Brasy2G218500.1.v1.1 annot-version=v1.1 MASDVPMTPELEQIDGEIQDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKAEESKNPPEVNKQLNDRKQYMIKELNSYVTSRKTYQSTLGNNKRVELFDMGATSSEPAAEDNIQMASAMTNQQLIDSGRNQMDQTDEAIIRSKMVVAQTLDVGAQTATTLTQQTDQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMALLALIVFGVIAIIVVKIVHPQNKNIPDIPGMAPPAQNFQTNRRLLSANAFGGL* >Brasy2G064900.1.p pacid=40070123 transcript=Brasy2G064900.1 locus=Brasy2G064900 ID=Brasy2G064900.1.v1.1 annot-version=v1.1 MKMKISLLLVAAAMVLLLTVATVQGIRLDAEIHAALSNQELNLQRSGDEGAVSSLDAVSEEKERARHREPENDIHVDYYGPRGHIPSHN* >Brasy2G359900.1.p pacid=40070124 transcript=Brasy2G359900.1 locus=Brasy2G359900 ID=Brasy2G359900.1.v1.1 annot-version=v1.1 MRIHPTSTPPAGKMKKDLRRLPHVYSKVLELPLPADAHVAAFESPAAFHFVAPGTGAGATMAGGEVRARTVRIHPGVVKVVVQAAGAGEDDDDGMELDRWRSRLPEESCPAMAVAGYVDGQLVVTVPKSTGGDTGGEGEDGAWKCCNGGEITGKLVAVQ* >Brasy2G177000.1.p pacid=40070125 transcript=Brasy2G177000.1 locus=Brasy2G177000 ID=Brasy2G177000.1.v1.1 annot-version=v1.1 MAARFHHFLLLAALLQLLSAAGDTVAAGKRRNVGKVRVAIYYESLCPDSASFLLDNLTRAFSDGLVGADRSISCQHGPDECLLNTVQACVIDAWPDSNVHFGFINCAEDLAVKGRYGEWKSCIIKLGLDSKLITKCYKSERGHELSLKYGKLTDALVPPHKYVPWVVVNGKPIKEDYQNFLFYVCKAYKGHRRHHHHPNVCHGSGSRHPFGQEVVEASNGVSYNSGDVEPDRADDEGVESKIKMVPADDGN* >Brasy2G230100.1.p pacid=40070126 transcript=Brasy2G230100.1 locus=Brasy2G230100 ID=Brasy2G230100.1.v1.1 annot-version=v1.1 MDGGGARPSLPSATTAAAAGGSGADEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQLDADDVRLAIQAKVNFSFSQPPPREVLLELACSRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLPPLKAPTQVEETEEDDNEEVDTNPANPNPNCLRQDQRENEQQQPLPHTQSQRVSFQLNAVAAAAAKRPRMTIDQLNMG* >Brasy2G098200.1.p pacid=40070127 transcript=Brasy2G098200.1 locus=Brasy2G098200 ID=Brasy2G098200.1.v1.1 annot-version=v1.1 MAIRRQPWAAALPPVTALLFLWMFGWGHAMAHTDTANMTALQKHVSFFDRNKDGIITPSETFEGSVAIGFSVAYAREFASLVHSANGPITSPADAPLPHLSIYIENMYKGMHGSDTGAFDAKGRFVPQKFEEIFLKHAKTRRDGLTHSEVEDMILANRDPLDPDSWEGPEIEWGGIYKLARDSDGFLHKDDARGIYDGSVFVKLEEKRASSHGVM* >Brasy2G297400.1.p pacid=40070128 transcript=Brasy2G297400.1 locus=Brasy2G297400 ID=Brasy2G297400.1.v1.1 annot-version=v1.1 MDVANDACPSPKLHTRLRLWEFADRYVFEPVDGLADLYLSVSRPKGSMNLVEELPPRGPSTNPKVRIVFGVIGVLNLSVGSYCLVITDRDCVGSYLGHAVFKVTGLKVLPCNNSHSTSAKQKKLEKEFSSLLDAAERSIGLYFSYETNLTLTSQRLYDLGDKFKALPLWRQAEPRFLWNGYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSDKVNVSMIARRCTRRIGTRCWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDVIRVEEAARVLERHFHDLQKKYGAVVAIDLVNTTGGEGRLYERYAKSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLNDEGKKMEEQTGTVRTNCVDCLDRTNVTQSMVGRKILESQLQRLGVLGANSTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGIFNDLWNALERYCLNNFADGTKQDAMDLLQGHYVSSLSRDIAASSKAGLIENYASCRLAFALIVVAVMLVMMSLRQARNDLRHLVLALLWAGLCIGIIRFVKINGRKFTNRPRFHLSRH* >Brasy2G165200.1.p pacid=40070129 transcript=Brasy2G165200.1 locus=Brasy2G165200 ID=Brasy2G165200.1.v1.1 annot-version=v1.1 MAADPARGEGDLEIGLASPESEGGVSPVVGPGRQALDQSSPRAVRRPGLVMSNSGKRLDQSPLSSPSRPVLLMSHSSNRLEYQAPGRPALAMSRSSNRLDQSPASSPTPTKGPALVMSGSSKRLEQSLASPSPSPKAGAAAPPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAVVNETNEVEETALLIAAEKGFLDIVVELLKHSDKESLARKNKSGFDALHVAAKEGRRDVVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVQSLLDSDPQLARRTDKKGQTALHMAVKGTSAGVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNVLLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDHGVAIAVHAVSFKVFFLFNAVALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFKWAALLVTLIGGVIMTGVLGTMTYYVVKSKRTRSIRKKVKSRRSGSHSWQHNSEFSDSEIDRIYAI* >Brasy2G423900.1.p pacid=40070130 transcript=Brasy2G423900.1 locus=Brasy2G423900 ID=Brasy2G423900.1.v1.1 annot-version=v1.1 MASAGDDLAMPPGYSFCPADKELTDIYLRRKISASDEFAGIFESRFFHDADVYSAEPEKLVQPFSPAPGTGTSDKSPPVWYFFSPVRYASKKKNSGRRSRTIGGDGGKKWHTEESQKSLKEGGSAFGGYVQRFSYVVKNMASKASSGKKEEDEKKRPGWIMMEYGISPEHGGDSLVLCKVYPRNAKTAAVAPVSDSPGINNLRKRKAAAGDHPEAPATSLDAVANEDVNMCPITTWVTSEQEAAVGTTAAHLPMFFDQGTTEYCFGIADPVPEPETVAADYGLVANHQPFSFWQEMEQEFEASYPISNSLMVDGSGGDLATPPCAPAADQPEEDAAGLVQNISGEEDQNNGGDGAARTQLYEQAADEVIYGFSCQDPGFSLEMLERFLSE* >Brasy2G349700.1.p pacid=40070131 transcript=Brasy2G349700.1 locus=Brasy2G349700 ID=Brasy2G349700.1.v1.1 annot-version=v1.1 MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLIMEDQAQVLASPAATLVGVYDGHGGPDASRFLRSSLFPHVQRFAKEQGGMSTEVIRRAFGAAEDEFLQQVRQAWPKRPRMAAVGSCCLLGAISGDTLFVANLGDSRAVLGRRVVGGTVAVAERLSTDHNVASEEVRMEVTSQNPDDGQIVVHTRGAWRVKGIIQVSRSIGDVYLKKQEYSMDPLFRQIGPVIALKRPALSAEPQIQVRKLKPTDLFLIFASDGLWEHLSDDDAVQIVFKNPRTGIANRLVRAALKEATKKREVSLRDLKTIEKGVRRHFHDDISVVVVYLDRHRGRRHTRVVDSSSNCTSAPVDIYSSNSGKSAQSLQAYRDSG* >Brasy2G066800.1.p pacid=40070132 transcript=Brasy2G066800.1 locus=Brasy2G066800 ID=Brasy2G066800.1.v1.1 annot-version=v1.1 MDFDEYEYLEKTVEAAAATGNGSAAPRSEGKERSSRRRSSAGDEDRDDGERRSKRSRSENRDRDRDRDRDRERERDRESSRHRSSRERRDRDRDRDEKEREKEREKEKEKEREREKDKERRSRDRDREREREKEKEKERERRSRSRSERRRDDEERERHRERDYRDHDVRRRKEDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLNQPVMVKPSEAEKNLVQSNASSSVAASGGARKLYVGNLHSNITEDQLRQVFEPFGLVELVQLPVDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTSGMGATGLNTSVALPAVSVLGAPPAAPALQPTLPGLGLIPGASIPVITQPIDTAPPSECLLLKNMFDPAVETDPDFDLDIRDDVREECSKFGQIRHIFVDKNTAGFVYLRFDSITAAMGAQKALQGRWFAGKMITATFMSPQQYEAKFPS* >Brasy2G066800.2.p pacid=40070133 transcript=Brasy2G066800.2 locus=Brasy2G066800 ID=Brasy2G066800.2.v1.1 annot-version=v1.1 MMPAMQYKAYPFLLCILRRRKEDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLNQPVMVKPSEAEKNLVQSNASSSVAASGGARKLYVGNLHSNITEDQLRQVFEPFGLVELVQLPVDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTSGMGATGLNTSVALPAVSVLGAPPAAPALQPTLPGLGLIPGASIPVITQPIDTAPPSECLLLKNMFDPAVETDPDFDLDIRDDVREECSKFGQIRHIFVDKNTAGFVYLRFDSITAAMGAQKALQGRWFAGKMITATFMSPQQYEAKFPS* >Brasy2G104500.1.p pacid=40070134 transcript=Brasy2G104500.1 locus=Brasy2G104500 ID=Brasy2G104500.1.v1.1 annot-version=v1.1 MTNLQPSLSFAGALAACPSPICGGTAGLQEGNDRKMASAEQLVLDICNPKLRENALLQLAKMREICQDQLALLLWHSFGTIAALLQDIVRIYPALSPPTLTPGASNRVCNALALLQCIASHPETRIPFLNASIPLFLYPFLSTTSKTRPFEYLRLTSLGVIGALVKVDDTKVTSFLLQTEIIPLCLRTMEMGTELSKTVATYIVQKIMLDDVGLCYVCATPERMVSVATILSNMVVSLADQPSKATRLLKHIIRCYLRLSDDLRARAALGHCLPAALKDGTFSDCLVDDPATRRCLQQLLHNVTDGRGIGEAPQLGLDHIIGR* >Brasy2G104500.2.p pacid=40070135 transcript=Brasy2G104500.2 locus=Brasy2G104500 ID=Brasy2G104500.2.v1.1 annot-version=v1.1 MTNLQPSLSFAGALAACPSPICGGTAGLQEGNDRKMASAEQLVLDICNPKLRENALLQLAKDIVRIYPALSPPTLTPGASNRVCNALALLQCIASHPETRIPFLNASIPLFLYPFLSTTSKTRPFEYLRLTSLGVIGALVKVDDTKVTSFLLQTEIIPLCLRTMEMGTELSKTVATYIVQKIMLDDVGLCYVCATPERMVSVATILSNMVVSLADQPSKATRLLKHIIRCYLRLSDDLRARAALGHCLPAALKDGTFSDCLVDDPATRRCLQQLLHNVTDGRGIGEAPQLGLDHIIGR* >Brasy2G104500.3.p pacid=40070136 transcript=Brasy2G104500.3 locus=Brasy2G104500 ID=Brasy2G104500.3.v1.1 annot-version=v1.1 MTNLQPSLSFAGALAACPSPICGGTAGLQEGNDRKMASAEQLVLDICNPKLRENALLQLAKMREICQDQLALLLWHSFGTIAALLQDIVRIYPALSPPTLTPGASNRVCNALALLQCIASHPETRIPFLNASIPLFLYPFLSTTSKTRPFEYLRLTSLGVIGALVKVATYIVQKIMLDDVGLCYVCATPERMVSVATILSNMVVSLADQPSKATRLLKHIIRCYLRLSDDLRARAALGHCLPAALKDGTFSDCLVDDPATRRCLQQLLHNVTDGRGIGEAPQLGLDHIIGR* >Brasy2G432100.1.p pacid=40070137 transcript=Brasy2G432100.1 locus=Brasy2G432100 ID=Brasy2G432100.1.v1.1 annot-version=v1.1 MEGEEGVRSTVTTGSGMSRKRPRSPSPLPAPAPVPAVVSGPLDLYEVISNIIVHISDPATLVRAALVNKQWYETAKTPEILEEFCERQPTRFIGFFGEYDGGWELVPMPLPEELSTVPRFLSDSFPPGLRNAKDCVCGHVLLGLEDGFAVKNPFAKKFTLHPLPPQQMGGKSLGNFSLFKDGSPEDIVHLSVTMNQDILNVQLLVYEDEVWTESDGFAMIEIPTQNDEPLCKPALSVNGNVYILSVNGFAIEVSIMDCNVSIIELPGGSFEWGFQQICRAEKFDFLYLHSDGFYIQIWSRSGGSWSQISSIDVLSMFDRFGLVHKEKFTWDASRSGWSEVITIFGITNEVGIIVLGIDGVDGIFMLDKEKQSVVRVGLKSSVASGDLIGNMMIPLVTTWVPNLPNMSN* >Brasy2G286200.1.p pacid=40070138 transcript=Brasy2G286200.1 locus=Brasy2G286200 ID=Brasy2G286200.1.v1.1 annot-version=v1.1 MAGKEESRIFVGGLSFHTDERKLEEAFRRFGKVVDAQIMLERHTNRHRGFGFVTFSDPRAVESAISEMHTKELDGRTISVNRAEPKMNTDDTRYSSGGDRGGDRGDYRGGGERGDYRGGKGDGPPPGNCFQCGRAGHWARDCPNPAGGRSGQLSSKFSGGGGRGDRFSGSDRFSDRYMDDRYDGGRYGYRDPIDSRDRYDGGRDRYASDRYPSGGDRFGADRYGGPDRYQPSGYGRERERSYERDAVRGGAAYDRSGPRGGASYDRDGPRGGIGGGYDRDGPRGGGADNYVSGGPARYDGGSYRDRPGPYDRPSRGGRYDDRF* >Brasy2G286200.2.p pacid=40070139 transcript=Brasy2G286200.2 locus=Brasy2G286200 ID=Brasy2G286200.2.v1.1 annot-version=v1.1 MLERHTNRHRGFGFVTFSDPRAVESAISEMHTKELDGRTISVNRAEPKMNTDDTRYSSGGDRGGDRGDYRGGGERGDYRGGKGDGPPPGNCFQCGRAGHWARDCPNPAGGRSGQLSSKFSGGGGRGDRFSGSDRFSDRYMDDRYDGGRYGYRDPIDSRDRYDGGRDRYASDRYPSGGDRFGADRYGGPDRYQPSGYGRERERSYERDAVRGGAAYDRSGPRGGASYDRDGPRGGIGGGYDRDGPRGGGADNYVSGGPARYDGGSYRDRPGPYDRPSRGGRYDDRF* >Brasy2G286200.3.p pacid=40070140 transcript=Brasy2G286200.3 locus=Brasy2G286200 ID=Brasy2G286200.3.v1.1 annot-version=v1.1 MLERHTNRHRGFGFVTFSDPRAVESAISEMHTKELDGRTISVNRAEPKMNTDDTRYSSGGDRGGDRGDYRGGGERGDYRGGKGDGPPPGNCFQCGRAGHWARDCPNPAGGRSGQLSSKFSGGGGRGDRFSGSDRFSDRYMDDRYDGGRYGYRDPIDSRDRYDGGRDRYASDRYPSGGDRFGADRYGGPDRYQPSGYGRERERSYERDAVRGGAAYDRSGPRGGASYDRDGPRGGIGGGYDRDGPRGGGADNYVSGGPARYDGGSYRDRPGPYDRPSRGGRYDDRF* >Brasy2G176900.1.p pacid=40070141 transcript=Brasy2G176900.1 locus=Brasy2G176900 ID=Brasy2G176900.1.v1.1 annot-version=v1.1 MMFSDEAVAAIVPIVVYWTYSGVHTALGHGRVLDNTGSTRTKDEEESKNTVSKRAVLGNVLMQHLMQLVAVVVLTPVIAGRGARPGGGDSSAAVHLTAARQIAVAVVLYDGYRYAWHRLAHRRRLLVPYAFGAKYGHPVEALIADTAGASLAVLASGMSSSPRATAVFLSLCNVKGIDNHCGLCLLPRGLQSVWNGAAYHGVHHQPRGVRYNFSDLFFVTWDKAFGTHMPYAVEERAGSGGGLTLRPVPPPKQL* >Brasy2G475800.1.p pacid=40070142 transcript=Brasy2G475800.1 locus=Brasy2G475800 ID=Brasy2G475800.1.v1.1 annot-version=v1.1 MAPKLLSALAARKEKSSTIKVKVLRLWDSINPATNELISTDMIVADKKGNTMHASIWHKLVDKFKPKILESSIYILKNFDVWEYNRFRPLKNSLKIIFISDTTVKEVVEESDNFPDNYFEFVDSSTLWSRVDNDAQCSDVIGLLTQMKPIEQKIIGRNSRKERTSTLREIEILLLDGEKIKVTLWGDTLANMLDEDLLEKQTIVIVTSNMAKDFKGLSLNTTNASKIYFDMEIPETKEIIKRHCTKDVLPTMMKLDESTLGTIEEQMFFNRRTIREITELRSNDVKEEEFVCTTKAEISEIVTDRRWWYMACNHCFSMTEKEADNYICKRCNKISEEPKQRCMLKIKISDDTATTTGILFNEVLARLMGNEAVRTLLEQEGYSDELPDDFHNLCGTTHIFRLKLSFKNLQLGMENFKINYTFERNEQLEMEYSNDRAKEDTHYSSYSDEEQETKDDDGYAFEKKGSTCNKKKFLQEKTEKASIRKKKDTPKTASTNSKKAVRENKQPNDEEREEDKSKQTSKKKGRLLQGNHKRLLQIRCRRS* >Brasy2G138300.1.p pacid=40070143 transcript=Brasy2G138300.1 locus=Brasy2G138300 ID=Brasy2G138300.1.v1.1 annot-version=v1.1 MASENTGKRSTEANRAQQKGEGIELTSPGAPARLRRAFLPYWPPWRARRAVPPLRMTAVTDAAEPWTAGSNIHGGLGVGGSGGRQLVAACGSGGGLGARGERGWEEESGGRERAEGGCRRREGESEPRVARERVRERRRKPRAWRGEPTCSAGGGGWGGALDPVSAGLWARWRALGRGSGVGVVEGERARRRWSREHRIRRRRALGRRIRPRRCRWRPALAGSRAPDPDGAPHARPEQSGEQEEEGGGRRAGQEERKEEEQRKKGGGKKEGGCWRRQERERRKG* >Brasy2G398000.1.p pacid=40070144 transcript=Brasy2G398000.1 locus=Brasy2G398000 ID=Brasy2G398000.1.v1.1 annot-version=v1.1 MSSEAAKVVVPESVLRKRKREELWAAEKKTKAVEEKKRSSENRKVIFARAKQYAEEYDAQDKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN* >Brasy2G398000.2.p pacid=40070145 transcript=Brasy2G398000.2 locus=Brasy2G398000 ID=Brasy2G398000.2.v1.1 annot-version=v1.1 MSSEAAKVVVPESVLRKRKREELWAAEKKTKAVEEKKRSSENRKVIFARAKQYAEEYDAQDKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN* >Brasy2G416000.1.p pacid=40070146 transcript=Brasy2G416000.1 locus=Brasy2G416000 ID=Brasy2G416000.1.v1.1 annot-version=v1.1 MAEAPAAAGVLGSRPREVVAPARAPTPPPPRAVRELCSPTEGDKDVPGGADAVEVPEGRSVSGGASEGLAVVAADEAAVLCSESAAELESAEPGVLDVRLEAPVARLHEQKLDCGSSGSDEAGAINDISSLVGVSPSDTSPNSETTGEIRGSCLAEGSLAEASDSRGRQREAQEKPTGVSGMLVAAGVSDGHANSELGVEVKGDLDGRHGLMQGESELCVGGGGVEADTEMGGALCDQGVKREFEASDSSTARVQEGVDRMETSLDDSEGSDGSTTQDSDTDVETESSSSSIEEQDTGYGVHVPPMEQPICEVTRESNISEVKSSDRMVSVPLSTHVLASGAAMLPHPSKVLTGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDSCKKNVMDSQGAPEMRTEEVLAMAADEAQSPGSSTVLVAHFDGQVLHVSNIGDSGLLVIRNGQVHEQTKPMTYGFNFPLQIEKDVDPLRLVQNYAIDLQEGDVIVTATDGVFDNVYEQEIADVVSKSLESDLKPTEIAELLAARAKEVGKSAWGSSPFSDAALAAGYLGYSGGKLDDVTVVVSIVRKSEI* >Brasy2G117100.1.p pacid=40070147 transcript=Brasy2G117100.1 locus=Brasy2G117100 ID=Brasy2G117100.1.v1.1 annot-version=v1.1 MDSKPAAAPAAAPPAEEGGSAYPRMSPEDLAPLPPPVVLPASANPYVLSSAPSSQPPTKSTRENLREMFGQVGKRFGDAARKTEGIAGDVWQHLKTGPSITDAAMGRIAQISKVISEGGYDKIFQQTFECSPDEKLKKAYACYLSTSHGPIMGVLYVSTAKLAFCSDSPVAYVTEDNKTASAIYKVVVPVPHLRSVTPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDSAVKCLQDVARGSV* >Brasy2G017300.1.p pacid=40070148 transcript=Brasy2G017300.1 locus=Brasy2G017300 ID=Brasy2G017300.1.v1.1 annot-version=v1.1 MGSLSVAVIGAGAAGLAAARELRREGHGPVVVFERGAGVGGTWLYDDKLVSSTNHHHSSLYASLRTNLPRETMGFLDFPFVSSDSGQGDSRRFPGHAEVLRYLQDFSRRFDLDGLVRLQTEVVSIRRQHDSSWKVMYCSRKLQAGDVEKEEEVAEEVFDAVVVCNGHFTQPRLADIAGTNSSPYDQMHSHSYRVPDPFHGQVVVVIGYKPSGMDISRDIAAVAKEVHVAIRSSPAAAAISGMQQMPLAGASTAANQAHPNLWLHSCTVERAEDDGSVVFQDGSRVKADAIVHCTGYKYSFPFLDDDDDGINVDDNRVGPLYKHVFPPPLAPYISFIGLPFRAMLFPVFQLQSNWVAGVLSGRIELPSQDEMMKDAAAFYSDLEARGCPKRYTHDLGTSVLRVRGLAGGAIRAGQD* >Brasy2G403200.1.p pacid=40070149 transcript=Brasy2G403200.1 locus=Brasy2G403200 ID=Brasy2G403200.1.v1.1 annot-version=v1.1 MVGEAASAVTAEGTRKRKTTRAPPGEDASDDDGSQGSSAKTAPGAEPDQKFVQGSSSAKRRKSPSAANTEGEPSQAATTMMHNAVTEAPFIDKGRSASKLLVSAPGRVARTNAAPNLNIGMSHSNTSSSAMMPSGQGEVNAGASSQSNGSLSQMQDERELKRERRKQANRDSARRSRLRKQQECEELAQKVTEQTAINSVLKSEIDQLKKDCEDMEAENTQLMDEILTHDDEMLEPEDPSVLTTLSIQVDVLMTRRGRNSKLHKSTNDVSKG* >Brasy2G403200.2.p pacid=40070150 transcript=Brasy2G403200.2 locus=Brasy2G403200 ID=Brasy2G403200.2.v1.1 annot-version=v1.1 MVGEAASAVTAEGTRKRKTTRAPPGEDASDDDGSQGSSAKTAPGAEPDQKFVQGSSSAKRRKSPSAANTEGEPSQAATTMMHNAVTEAPFIDKGRSASKLLVSAPGRVARTNAAPNLNIGMSHSNTSSSAMMPSGQGEVNAGASSQSNGSLSQMDERELKRERRKQANRDSARRSRLRKQQECEELAQKVTEQTAINSVLKSEIDQLKKDCEDMEAENTQLMDEILTHDDEMLEPEDPSVLTTLSIQVDVLMTRRGRNSKLHKSTNDVSKG* >Brasy2G011900.1.p pacid=40070151 transcript=Brasy2G011900.1 locus=Brasy2G011900 ID=Brasy2G011900.1.v1.1 annot-version=v1.1 MARRRGPSRDGGQRGLARARGRTVDGARRRGSLRGDGVGGGARPRRRGRGVETSGGGRNGGRHGVARARRRMAQGREGESLGRGGGAARARARGRTWVRGRGGASEGLGAWRRVSGSEAQPRGGHSLYRLFAESREGPKSETF* >Brasy2G485300.1.p pacid=40070152 transcript=Brasy2G485300.1 locus=Brasy2G485300 ID=Brasy2G485300.1.v1.1 annot-version=v1.1 MSAAVGQVAGGFSSAVIQRAVDKTVDFLESNYNLSHATEELLSKLRTSLTVVKAITEVADNQVIINASLNKWLKNLHSVAYEAEDVLDRFDCHEIVTGKRKVTELISSSARALKGLIVPDEGMRMLESVVQKLDHLCATSSTFLELMRQSNSTSIREEDIRGETTSRVPVDVKVFGRDEVLELILKIILGSSGSEPEPSSVRAKLGVRYRIGDVHVLLIVGMSGVGKTTLAQVIYNHETVKGHFRQRAWVYVSKHFSMKRTMQEMLRSFKGNDSSFDYADSLETIVNNIQSVIQQDGRFLLVLDSVWDELCDQWNGLLTAIACELPGSVVLVTTQSKRVADKVATICQVPLAPLPWESFWSVFQYYAFGTTDVVAENNETLLLIGEQIAKKLDGLPLAAKVMGNLLRSKLTVDQWRSILESDWWDLSEVFCEILPYMGISYQDLQPRQKQSFAFCSIFPRNYLFDKDRLVNMWISHDFIEHSEFGGARLEDIGSKMFDELVERSFFQATFDNKRYTMHDLVRALAIAVSSYECFLHKETSQRALPTVRHLALQVSNQLHIHELNKYKYLRTILLFGHCDSNEICVVIDTMLANSRSIRVLDLSHLEVLTNMLPSIPSLKKLRFFDLSFTRVNNLRSFPWNLQALYLRGYTRNSIPQSINRLANLRHLYVDSTALSLIPGIGQLSQLQELENFSAGKRNGFMINELKNMQELSGKICISNIHVIKNTHEAKDANMIEKKHLEALVLKGRNVSTDILEGLQPHSNLQELVIEGCGASTLPSWMVQPHIFTKLQSLHVGNCRLLAVLPPFGNFPSLKHLTLDNLPSVKHADGTSFGCLVNLEDFKVSSMTSWTDWSHAEDDHGPLLQHVTRFELHNCPLLEEVPFLSSMSLLSELDISICGNLVKALAEYVQLLNCLKKLKISYCDHPLLLSGHQLNSLEYLHLRNCGGVRLIDGLHCFPNLREVDVLGCPDILTEFSDESVGQEEQGVLHLTSLITDVSLLNRTSFLPSVRLLCIAYLEALHFTPEQEEWFEQLISVEKIEFAFCYCLRQLPLTLGRLTSLKVLHLKETQPMSLGGIVPQNLQELVMDGVWTGWDNNFKPGGSEWINISHVPYIRLNGITVQNLSVTAASSSSNHQI* >Brasy2G197600.1.p pacid=40070153 transcript=Brasy2G197600.1 locus=Brasy2G197600 ID=Brasy2G197600.1.v1.1 annot-version=v1.1 MFGFGHHGHHGQNPPAHAPTAAGGHQPTFKIFCKADEGYCLSVRDGNVVLAPSNPRDEHQHWFKDMRFSTSIKDEENNPAFALVNKATGLAVKHSLGQSHPVKLVPFNPDFQDESVLWTESGDVGKGFRCIRMVNNIRLNFDALNGDKDHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAGGNAPRGGPSEPTVRIFCKADEGFSATVRNGTVCLAPTNPRDDHQHWIKDMRHGNRIKDEEGYPAFALVNKVTGEAIKHSQGEGHHVKLVPYNPNYQDESVLWTESRDVGAGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTSLVLWKWCEGDNQRWKILPW* >Brasy2G331300.1.p pacid=40070154 transcript=Brasy2G331300.1 locus=Brasy2G331300 ID=Brasy2G331300.1.v1.1 annot-version=v1.1 MATTGGAAPTAMDEKTRRTRDLLASFYNTDPATAGAVSASPARPSPTAASASPLESINSISFNPDVYMDVLVQQSNLEGLLQGHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMETNMEQLLAKITSVQSRSDTVNTSLFNKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVRFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQHLQAKLYSDSEPIEARAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNLQNEPTQASIGDISKTFRAYLIIFPDSERRLIELALALFTNRYGTVREALKERIPSTDLLAMLRALWEDATAIDEVIPEAALPAFSLEITRDIIKQHIATAFLHLQTEISDVLVRTHPTSNETFEESLQSGMEKSKIKVSQGCIDLLQEFHHLIDGNAELLVKLRDLIIDWVQEGFQDFFQKLDGHFHLLSGRSKSFSQESSTMDPVQIDKVPAVLVLMLAQLCVFIEQTTIPKVTEDLAASFSGGGTHSYEYGPPFVPGEICRLYRSSGEKFLHHYINLKTQKISKLLNKRFSTPVWVKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRTRSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFIDDEAAISFLLKEVNNAAHERCLDPIPLETPILDKLINAKLAKIKEQSPNMQ* >Brasy2G112200.1.p pacid=40070155 transcript=Brasy2G112200.1 locus=Brasy2G112200 ID=Brasy2G112200.1.v1.1 annot-version=v1.1 MASFSKQATVFLVAALLLCLLCTRGQAARPGPVSIVSKSQGAESAIAHEKRAAGTGMEMGQEDQGLASRECEGGEGAEECLMRRTLVAHTDYIYTQGKHN* >Brasy2G129300.1.p pacid=40070156 transcript=Brasy2G129300.1 locus=Brasy2G129300 ID=Brasy2G129300.1.v1.1 annot-version=v1.1 MYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQEWAIDMKGDLSPEKRSSFWKSKRVFFVTPQILENDIRSGICMVKELVCLVIDEAHRASGNHSYCSAVRELVASNVPLRILALTATPGSKRPDIQGVINNLHISELIHRDESDPEVQRYVNTRTVDLVKVPVGSDTAQINEMLLEIMRPHIAQLRATGVIDNRDAANWTPHQLHILKEKFNQAPLPNLPLEKKKEIRRSFAAVVSLCRVSKLLLSHGIKPAHQSIEAKWSEGTWNLFSRNEVFIKAKEMMGSIVGKGVPSPKVHKLVEVLLDHFHKNNPKDSRVIIFSHYRESVKEILGALSDSGTGIFRPAQFIGQSSTGDRLKGQTQKMQQAILQKFRSGEYNILVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRVVVLACEGQELQGYTRKQGSTRTMKNLLRKRDNFDYHASPRMVPHVYKPEVKYVKLSIEKYVPHSKKIKVGSSCVSPIVNKLSDEDSQLIARYFIACKEDIWKPSLVAFPSFQVSPCDIYKVPHSFRTTDMLVDAMQQLQDLSFSTTKNTYVQCGSPLRESADVATVKDQALEVECPYFSSGEVALSKSVCVPSSPVNKYPLHSFFSGDYVTVDVGGFVSITFVPALPRSAEFNKDKTNINWQQKVQNKTTPFKFTADVSGPTTDGAYSRNSIFVNNASSLAPHSPEYSKHDHDEHKIPPGSPSNTFTSPREKWDSPCNTKLGSPVLSVQQDSEELSPRLTHYIEEGIVPESPILDVSHQQLEIDSAANACFIPMVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPSAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy2G129300.2.p pacid=40070157 transcript=Brasy2G129300.2 locus=Brasy2G129300 ID=Brasy2G129300.2.v1.1 annot-version=v1.1 MYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQEWAIDMKGDLSPEKRSSFWKSKRVFFVTPQILENDIRSGICMVKELVCLVIDEAHRASGNHSYCSAVRELVASNVPLRILALTATPGSKRPDIQGVINNLHISELIHRDESDPEVQRYVNTRTVDLVKVPVGSDTAQINEMLLEIMRPHIAQLRATGVIDNRDAANWTPHQLHILKEKFNQAPLPNLPLEKKKEIRRSFAAVVSLCRVSKLLLSHGIKPAHQSIEAKWSEGTWNLFSRNEVFIKAKEMMGSIVGKGVPSPKVHKLVEVLLDHFHKNNPKDSRVIIFSHYRESVKEILGALSDSGTGIFRPAQFIGQSSTGDRLKGQTQKMQQAILQKFRSGEYNILVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRVVVLACEGQELQGYTRKQGSTRTMKNLLRKRDNFDYHASPRMVPHVYKPEVKYVKLSIEKYVPHSKKIKVGSSCVSPIVNKLSDEDSQLIARYFIACKEDIWKPSLVAFPSFQVSPCDIYKVPHSFRTTDMLVDAMQQLQDLSFSTTKNTYVQCGSPLRESADVATVKDQALEVECPYFSSGEVALSKSVCVPSSPVNKYPLHSFFSGDYVTVDVGGFVSITFVPALPRSAEFNKDKTNINWQQKVQNKTTPFKFTADVSGPTTDGAYSRNSIFVNNASSLAPHSPEYSKHDHDEHKIPPGSPSNTFTSPREKWDSPCNTKLGSPVLSVQQDSEELSPRLTHYIEEGIVPESPILDVSHQQLEIDSAANACFIPMVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPSAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy2G129300.3.p pacid=40070158 transcript=Brasy2G129300.3 locus=Brasy2G129300 ID=Brasy2G129300.3.v1.1 annot-version=v1.1 MYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQEWAIDMKGDLSPEKRSSFWKSKRVFFVTPQILENDIRSGICMVKELVCLVIDEAHRASGNHSYCSAVRELVASNVPLRILALTATPGSKRPDIQGVINNLHISELIHRDESDPEVQRYVNTRTVDLVKVPVGSDTAQINEMLLEIMRPHIAQLRATGVIDNRDAANWTPHQLHILKEKFNQAPLPNLPLEKKKEIRRSFAAVVSLCRVSKLLLSHGIKPAHQSIEAKWSEGTWNLFSRNEVFIKAKEMMGSIVGKGVPSPKVHKLVEVLLDHFHKNNPKDSRVIIFSHYRESVKEILGALSDSGTGIFRPAQFIGQSSTGDRLKGQTQKMQQAILQKFRSGEYNILVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRVVVLACEGQELQGYTRKQGSTRTMKNLLRKRDNFDYHASPRMVPHVYKPEVKYVKLSIEKYVPHSKKIKVGSSCVSPIVNKLSDEDSQLIARYFIACKEDIWKPSLVAFPSFQVSPCDIYKVPHSFRTTDMLVDAMQQLQDLSFSTTKNTYVQCGSPLRESADVATVKDQALEVECPYFSSGEVALSKSVCVPSSPVNKYPLHSFFSGDYVTVDVGGFVSITFVPALPRSAEFNKDKTNINWQQKVQNKTTPFKFTADVSGPTTDGAYSRNSIFVNNASSLAPHSPEYSKHDHDEHKIPPGSPSNTFTSPREKWDSPCNTKLGSPVLSVQQDSEELSPRLTHYIEEGIVPESPILDVSHQQLEIDSAANACFIPMVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPSAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy2G129300.4.p pacid=40070159 transcript=Brasy2G129300.4 locus=Brasy2G129300 ID=Brasy2G129300.4.v1.1 annot-version=v1.1 MYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQEWAIDMKGDLSPEKRSSFWKSKRVFFVTPQILENDIRSGICMVKELVCLVIDEAHRASGNHSYCSAVRELVASNVPLRILALTATPGSKRPDIQGVINNLHISELIHRDESDPEVQRYVNTRTVDLVKVPVGSDTAQINEMLLEIMRPHIAQLRATGVIDNRDAANWTPHQLHILKEKFNQAPLPNLPLEKKKEIRRSFAAVVSLCRVSKLLLSHGIKPAHQSIEAKWSEGTWNLFSRNEVFIKAKEMMGSIVGKGVPSPKVHKLVEVLLDHFHKNNPKDSRVIIFSHYRESVKEILGALSDSGTGIFRPAQFIGQSSTGDRLKGQTQKMQQAILQKFRSGEYNILVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRVVVLACEGQELQGYTRKQGSTRTMKNLLRKRDNFDYHASPRMVPHVYKPEVKYVKLSIEKYVPHSKKIKVGSSCVSPIVNKLSDEDSQLIARYFIACKEDIWKPSLVAFPSFQVSPCDIYKVPHSFRTTDMLVDAMQQLQDLSFSTTKNTYVQCGSPLRESADVATVKDQALEVECPYFSSGEVALSKSVCVPSSPVNKYPLHSFFSGDYVTVDVGGFVSITFVPALPRSAEFNKDKTNINWQQKVQNKTTPFKFTADVSGPTTDGAYSRNSIFVNNASSLAPHSPEYSKHDHDEHKIPPGSPSNTFTSPREKWDSPCNTKLGSPVLSVQQDSEELSPRLTHYIEEGIVPESPILDVSHQQLEIDSAANACFIPMVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPSAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy2G129300.5.p pacid=40070160 transcript=Brasy2G129300.5 locus=Brasy2G129300 ID=Brasy2G129300.5.v1.1 annot-version=v1.1 MYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQEWAIDMKGDLSPEKRSSFWKSKRVFFVTPQILENDIRSGICMVKELVCLVIDEAHRASGNHSYCSAVRELVASNVPLRILALTATPGSKRPDIQGVINNLHISELIHRDESDPEVQRYVNTRTVDLVKVPVGSDTAQINEMLLEIMRPHIAQLRATGVIDNRDAANWTPHQLHILKEKFNQAPLPNLPLEKKKEIRRSFAAVVSLCRVSKLLLSHGIKPAHQSIEAKWSEGTWNLFSRNEVFIKAKEMMGSIVGKGVPSPKVHKLVEVLLDHFHKNNPKDSRVIIFSHYRESVKEILGALSDSGTGIFRPAQFIGQSSTGDRLKGQTQKMQQAILQKFRSGEYNILVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRVVVLACEGQELQGYTRKQGSTRTMKNLLRKRDNFDYHASPRMVPHVYKPEVKYVKLSIEKYVPHSKKIKVGSSCVSPIVNKLSDEDSQLIARYFIACKEDIWKPSLVAFPSFQVSPCDIYKVPHSFRTTDMLVDAMQQLQDLSFSTTKNTYVQCGSPLRESADVATVKDQALEVECPYFSSGEVALSKSVCVPSSPVNKYPLHSFFSGDYVTVDVGGFVSITFVPALPRSAEFNKDKTNINWQQKVQNKTTPFKFTADVSGPTTDGAYSRNSIFVNNASSLAPHSPEYSKHDHDEHKIPPGSPSNTFTSPREKWDSPCNTKLGSPVLSVQQDSEELSPRLTHYIEEGIVPESPILDVSHQQLEIDSAANACFIPMVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPSAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy2G456400.1.p pacid=40070161 transcript=Brasy2G456400.1 locus=Brasy2G456400 ID=Brasy2G456400.1.v1.1 annot-version=v1.1 MATHQEEKTSVVDSKPDEPASPAAAAEEQPQAQSSRRAPAAAAASPFDFSTMMNLLNDPSIKEMAEQIAKDPSFSEMAEQLQRTVAPPPPSSSAPAPVAAALDPQKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTGAGSGSAANQRQQLEARVARMKEDPSLRPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSSAAAGAGEEGESAAAAAAEAEEEEEEEEYEPESAIHQAASVGDVEALKAALQQQEGGADEEDAEGRRGLHFACGYGELACAQALLDAGAAADAVDRNKNTALHYAAGYGRRDCVALLLDHGASVTLQNLDGKTAIDVARLNSQEEVLKLLEKHAFV* >Brasy2G385800.1.p pacid=40070162 transcript=Brasy2G385800.1 locus=Brasy2G385800 ID=Brasy2G385800.1.v1.1 annot-version=v1.1 MAPAVAPAADQATDLLHKLTLDTKSEAGEGKEVKKKVSATLNGLVASPNSQVGSAEQWANIAPQDYKDGAMYYGAGAYPYYYGGWGDYSVYVSQDGTESYAPSAYGDMYCYPQYGHDGQNYGSQHYQYPSTYNQPQTAASKPAYKSKTGKSGPSPQQDLSAVAAADQQSGSLDASKANSISTDGVKGLKKMTPSLKPTGRASSYQNHGGNTAYPWSSGHTFSEKQQKLSGGNPTSAAYNPKTKGLLQHNSPVDPGYMSSMYSSYNANAYGPGLWYASHLYGSPLYGGWNPLSDGKYKPRGKTYGSGMYGFGNENLEGFNELKRGPRSGLFKNEQGLGATAVAAPKGQELPASDGSNALVQDQYNKADFVETYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDSAYQAANNESSKSPVFLFFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNNLLKHIILEYNENKPVTNSRDTQEVKLEQGLQVLKIFKDHVCKTSMLDDFGFYDNREKIVQEKKAKRQHPVEKIMNKELLTTNNTGSQEVDGKLGLQELKVVGEQNAVVENGVMAVAENSVALIDANPTAVANAC* >Brasy2G043900.1.p pacid=40070163 transcript=Brasy2G043900.1 locus=Brasy2G043900 ID=Brasy2G043900.1.v1.1 annot-version=v1.1 MRFWSLLAVAWMPVLQVLLAGLLGACLASSRFNVLNSDARRHINKVVYVVFVPSLVFSSLAGTVTLKDIISWWFMPVNMGIIFLIGAALGSLAVKALRPGDHLQGLVIACSSAGNWGTIPLMIVPAICNEEDSPFGDASTCNSLGLSYVSLSMALGNFYIWTLSYSVMKRSAQLYKKCHNNHLPTNITKEENSGGDANGHYRAFLPQQCGEFCEDVESNPVSSSLPSGQLASSSMYYLRRAKDLLVEILNELWLPPSVAALIGFAVGTIDKLKSLVTEEDGPLRVVLDSAKLLGGAAIPCTVLILGGNLTKGRGRTLMKPLVVVSIIAIRFAILPACGIGVVKAAGELGFLPRSPLYRYVLLLQSTVPPAMSIGTMAQLFDVGEEECSIVFLWTHLVAAMALTLWSTVFMSLRVLSPVRPSSRKHSQL* >Brasy2G043900.2.p pacid=40070164 transcript=Brasy2G043900.2 locus=Brasy2G043900 ID=Brasy2G043900.2.v1.1 annot-version=v1.1 MPVNMGIIFLIGAALGSLAVKALRPGDHLQGLVIACSSAGNWGTIPLMIVPAICNEEDSPFGDASTCNSLGLSYVSLSMALGNFYIWTLSYSVMKRSAQLYKKCHNNHLPTNITKEENSGGDANGHYRAFLPQQCGEFCEDVESNPVSSSLPSGQLASSSMYYLRRAKDLLVEILNELWLPPSVAALIGFAVGTIDKLKSLVTEEDGPLRVVLDSAKLLGGAAIPCTVLILGGNLTKGRGRTLMKPLVVVSIIAIRFAILPACGIGVVKAAGELGFLPRSPLYRYVLLLQSTVPPAMSIGTMAQLFDVGEEECSIVFLWTHLVAAMALTLWSTVFMSLRVLSPVRPSSRKHSQL* >Brasy2G374600.1.p pacid=40070165 transcript=Brasy2G374600.1 locus=Brasy2G374600 ID=Brasy2G374600.1.v1.1 annot-version=v1.1 MGYQTLYHELQGRGRAAARGTLRHAGAARASRVRRMAARGTLGHAGAARASRGAAAGWGAASSGGQGSGGGTRGGQGRGQQRRARRSGARRRRGGGQGRGDGREDYPV* >Brasy2G210100.1.p pacid=40070166 transcript=Brasy2G210100.1 locus=Brasy2G210100 ID=Brasy2G210100.1.v1.1 annot-version=v1.1 MASSLYSCKFDGYDYPSVIGKAIPIISGSNPPSEVNKKEDKFQTLHSLETQSRTSSVSNQVYDGVCSDLFTDRQMVYQRESAQFASLPGLCASVWNDLEEQCFLLGLYIFGKDFSLLSKFVGSKSVGDMLSYYYGRFYKGDAHKRWSHCRKTRSTRCILGKSIFTGRRQQELISRLKSKIPEETHNSLVQALKSLSDGLTSLEKCVFTLKSIIGPEAFVEVVGIGSGKHDLTGFVQDTSKKNQALSVCTKMPKGIDCSTLAREDIIKFLTGDFRISKAKSNELFWEAVWPRLLAREWHSEQPKDVRTAKSCLVFLVPGIKKFSREKLTKGTHYYDSITDVLKKVAADPTLLELESDGIDNGTTVQRNGRATGKEDPVNSDQELLMFTIIDTTLVEGEEPFEVRELRRLPGVENVNFGTKNRSDYVLSGSSSQEQDSGDSSSDDQEYHGQVTACVNDIEMESVYHTAKESCADLLENRGAATCSVFPVNGHSSDDQHSEISNGNGDQIDLTCFSGLGTKTDRRVYLSPKRRRFSRCSNDQTSRCSFSSPNDEGLGKDKLKPLSTSSEPTVVDLGVGGCSQTRGLASCSTKVNSCEEITNVVKSTSNGESLGKSNVPNINDDESLVGKFDTVTEEGTKVSRLVDLNKLQTAPTGNHDHLRRNEDGPPSISSSEIVPDVLEATGKPDVAVQKSPRRHGTRNRSLTVKALEAVASGYFGGAKRKGDSHSSMTRRPPKRARKSKD* >Brasy2G370900.1.p pacid=40070167 transcript=Brasy2G370900.1 locus=Brasy2G370900 ID=Brasy2G370900.1.v1.1 annot-version=v1.1 MMRKRTSGGTGHWSTGTERKEKGFPVLSSAVPAARLQSKGCALIWYSTGD* >Brasy2G180000.1.p pacid=40070168 transcript=Brasy2G180000.1 locus=Brasy2G180000 ID=Brasy2G180000.1.v1.1 annot-version=v1.1 MVTAAAPTTTAPAPSFLSSPSFSLSASRSSNLRSIRRVPVNVRCVSSPPAADTSYTTKVSRNANIAKLQAGYLFPEIARRRAAHLLKHPDAKIISLGIGDTTEPIPEVITNAMAERAHALSTVDGYSGYGAEQGEKKLREAIAATYYVDHGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTSFNGASTLSQAGGLGCLSPEGLKAMEDVVGFYKENTDIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRISAFGHRENIIEAARRFSLVFSRTGETISIIRTQQHLERNICSSSYREC* >Brasy2G130900.1.p pacid=40070169 transcript=Brasy2G130900.1 locus=Brasy2G130900 ID=Brasy2G130900.1.v1.1 annot-version=v1.1 MAAAASYGFNQPGLTPEHKFDPTDDDLVIHYLLPKALGLPGPHEHAIIEADPGGLPPWEVLEGHGIDMFASGGADAAFFFGPVPDAANNAGRMVRRVKGGGVLWQGQSGDDGSEVDVRFKVYNLTFYESKDAPTSSGFVMHQFVIVDPPLPGTMLTRIKFDKARERRAGKLATESAAADGGCPEESVRAGKQPVDGGGGGDALLINGGYAGDYYGAPLGVVFPGSGEFSGGGCYFPDLDTQLEQSTGYTQAEYDEFCQQQQEYEQFLLFQQYQMEQQQGWQTGNPSNGGEGYSGGGDGFSSVEQQQQQQQQAGGVFCGGGYDAGSDHGSDVGTDGSGVSTISHCGGSGGKTPLTYSPLSSLFPLLPSALCSASALAVEHLAVDLAARASTTPAQAPPLPSPLHLTESRCRPQGISGSSSPHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIQGGGDFARSARCFCDCRLGGEALQRRIGERRRFVPEFEKQQVEATGEGERRGQRRSLGRRGGGAGGEVDGEVLDGEGRGGAESRGEQGEEGGERRIGPAPRSHPHFPLSAALPLLSSPALSLSSPVASTALPSIFPSHLLTASLAVVAREEARTKRPDPVVLRPDPVVVVTVPEGEEAEQWPLSPQPEEE* >Brasy2G409500.1.p pacid=40070170 transcript=Brasy2G409500.1 locus=Brasy2G409500 ID=Brasy2G409500.1.v1.1 annot-version=v1.1 MGPNPSLEMLAAAAEEAAAVAPPRGRVVRILVHDSDATDSSSSEDEAPPSSSPKRQLGEAAGTGRRKKKRRVMEAGRRDAANWTRPAATYRGVRQRRWGKFAAEIRDPHQRRRLWLGTFDTAEEAAAAYDAAKIRISGNRVSKIRISGSSAPTDFASAPCSPPRKSYKPFMLPPPPEPAKLSPLPLKPAEPTISPPPLPALPFALPLKLRLKLSLHSQVKMEPDCFAGEVQEEGRSCAGKVQEEVRSCARKVKEEGGSHEVQAIKPMWAMINGKRKKRSGCGTRVRALHATSVCVEEVGGT* >Brasy2G216300.1.p pacid=40070171 transcript=Brasy2G216300.1 locus=Brasy2G216300 ID=Brasy2G216300.1.v1.1 annot-version=v1.1 MSAAAWRWVWEQSASLSDLWRITRMAGTSSSRCPSASAWRWRRPAARKDRIFTMPGPARFYYSGASRVRQLGTANQQPASPSDPGRVPPISGASSGPPSAQALTEAAAWGWPTSPTFSSSRAGWCRRQTAWKGRIYDLHKPAGLFPSGLDQARLFSTANQQQRQTTKPHLYLVLDDHQNGFTIHKLDIENDLDVDCGSADTPLCLPEPPVIRIGPPTIGKFSQFAALGSNIIAISPCTTGLLMIEEGFCGSTLIFDTKTSALSLSSMLPSKLPFGYQAAIAVRNRLYVLESCTVMTDSSNSSYLCGGLHCLVADLTDDDRLWTWQPLSDSSEFSWSWTSRPPKFPFDPKSITAYVVHPSTGTILLSVSGSESSGTFSYGSGGSGQWERLGDWVLPFKGPAHYDNVLGAWVGLHSPSLQKEDTAGYICACPVMANWQTPKWKVCVKKLFMEHPYWRHMDAKLAYMGEGSKYCLVERLAYKHATKMSYMIRLTTFIVIYGEDGELTTMAHRPARFYMASSYLSNFDVQAFWM* >Brasy2G216300.2.p pacid=40070172 transcript=Brasy2G216300.2 locus=Brasy2G216300 ID=Brasy2G216300.2.v1.1 annot-version=v1.1 MSAAAWRWVWEQSASLSDLWRITRMAGTSSSRCPSASAWRWRRPAARKDRIFTMPGPARFYYSGASRVRQLGTANQQPASPSDPGRVPPISGASSGPPSAQALTEAAAWGWPTSPTFSSSRGWCRRQTAWKGRIYDLHKPAGLFPSGLDQARLFSTANQQQRQTTKPHLYLVLDDHQNGFTIHKLDIENDLDVDCGSADTPLCLPEPPVIRIGPPTIGKFSQFAALGSNIIAISPCTTGLLMIEEGFCGSTLIFDTKTSALSLSSMLPSKLPFGYQAAIAVRNRLYVLESCTVMTDSSNSSYLCGGLHCLVADLTDDDRLWTWQPLSDSSEFSWSWTSRPPKFPFDPKSITAYVVHPSTGTILLSVSGSESSGTFSYGSGGSGQWERLGDWVLPFKGPAHYDNVLGAWVGLHSPSLQKEDTAGYICACPVMANWQTPKWKVCVKKLFMEHPYWRHMDAKLAYMGEGSKYCLVERLAYKHATKMSYMIRLTTFIVIYGEDGELTTMAHRPARFYMASSYLSNFDVQAFWM* >Brasy2G054600.1.p pacid=40070173 transcript=Brasy2G054600.1 locus=Brasy2G054600 ID=Brasy2G054600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRQIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKCIPRGFITCENRFVTFPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALSHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGYTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G318700.1.p pacid=40070174 transcript=Brasy2G318700.1 locus=Brasy2G318700 ID=Brasy2G318700.1.v1.1 annot-version=v1.1 MSSHAPIQSPKAAVDSLAAILGGALPGSLASADDPAAALLHDDGVARAVAARLRRAGSGAGDDGLCGWLYDAFQSSVPALKLAVLRFVPTLAGVYMSRAVSRKPLAGFEAVILALYMHAVAQRGSSAGEPETVALPNLANPSVYHAAAAAAKTAKAAEPEVAVLSPALEPHGTVRATRRARIVGAVLELYHGKLALMPLSSKMDFCEFCIAWSGKHNSSNDKPRVASSAPAAAEGEEKWRRVPLPWELFQPVLRIVGHCLLGPNNSDELKTQATRAAQCLYLRATETMDARAVLACRSLIRLSQMVEEPIPEPSFSEAVQANMAELEAMRANILSAKN* >Brasy2G032600.1.p pacid=40070175 transcript=Brasy2G032600.1 locus=Brasy2G032600 ID=Brasy2G032600.1.v1.1 annot-version=v1.1 MANVALLCASSSASSLLLPRRPTPRRSACTPRAASKLSIVAAMGDDPIREWILTEGNATQITGISSIGGGCINSAQRYVTDAGSFFVKTNRRIGPAMFEGEALGLKAMYDTKSIRVPLPYKVGSLPTGGSFIIMEFIQFGRSRGDQVTLSRNPFHSKKKSALGRKLAEMHKAAKSDKGYGFYVENTIGSTPQINTWTADWIEFYSKHRLGFQLELISQRFGDSAIYEKGQQLIKNMHPLFDGAVIEPCLLHGDLWSGNISSDSNGDPVILDPACYYGHNEAEFGMSWCAGFGGEFYNSYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDCLRMLSA* >Brasy2G032600.2.p pacid=40070176 transcript=Brasy2G032600.2 locus=Brasy2G032600 ID=Brasy2G032600.2.v1.1 annot-version=v1.1 MANVALLCASSSASSLLLPRRPTPRRSACTPRAASKLSIVAAMGDDPIREWILTEGNATQITGISSIGGGCINSAQRYVTDAGSFFVKTNRRIGPAMFEGEALGLKAMYDTKSIRVPLPYKVGSLPTGGSFIIMEFIQFGRSRGDQSALGRKLAEMHKAAKSDKGYGFYVENTIGSTPQINTWTADWIEFYSKHRLGFQLELISQRFGDSAIYEKGQQLIKNMHPLFDGAVIEPCLLHGDLWSGNISSDSNGDPVILDPACYYGHNEAEFGMSWCAGFGGEFYNSYFQVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDCLRMLSA* >Brasy2G316800.1.p pacid=40070177 transcript=Brasy2G316800.1 locus=Brasy2G316800 ID=Brasy2G316800.1.v1.1 annot-version=v1.1 MAACKPRALAAVFLALNLLVVSGVVRGQTPSTRGNPCPTNALADLKVCGDVLVLLKLKINVPANQQCCPLIGQLVKLDVAACLCAAIKLSVLGIPINLPLDVPLLLNYCGRNASAAGANCS* >Brasy2G211100.1.p pacid=40070178 transcript=Brasy2G211100.1 locus=Brasy2G211100 ID=Brasy2G211100.1.v1.1 annot-version=v1.1 MHNSSILELAKLDFNLLQRLHLKELNALSRWWKNLYREVGLTYSRDRVAECYLWSYTAYYEQEYTRARMILAKIIAIIIMTDDTYDVRATLMECKQLNEAIQRWEESAVSLLPEYLKKFYLKLLSTFNEFEDELKPDEKYRVSFSTKAFQILSSNYLQEAEWFDQNYKPRFKEQVKVSSVCSGAPWVCVGLQVGMGDTATKEALQWALGCTDAVKACAQVTRLMNELASFKRGKNKNDVTSSVECYISEHGVASEVAFAKIGSLIEDAWRTTNQARFQLPELLPVVQRVANITISMPFMYDDKKDAFTFSSAIEGTIKCLFVNHIPL* >Brasy2G329900.1.p pacid=40070179 transcript=Brasy2G329900.1 locus=Brasy2G329900 ID=Brasy2G329900.1.v1.1 annot-version=v1.1 MERPGARCKPDSYTYTVLISWYCRIGVGTGCRKATRRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMVKKGCAPNRVTYNSFVRYYSVVNEVDKAVEWMREMVARGHGVATSSTYTPLIHSLYESGRVGDARRFLIEMAETGHLPREHTYKLVKNAIEEAGEEALPAQLCQSIDDGIKERFEHVMRLKPVMRPVTR* >Brasy2G090000.1.p pacid=40070180 transcript=Brasy2G090000.1 locus=Brasy2G090000 ID=Brasy2G090000.1.v1.1 annot-version=v1.1 MAIYSLLSALFFSFFPWAGGSEPIYITLFLLFLGEPMYLAAESPSRLACLPPCLSAAAECPSREHRHRRLISPSIPISPPSPHEPIPPFSSPFHLLNLPQFVPEAKIKAAIPCHTGSSVIATWSLTTMGVRRFVNLLVANRTRCTYSLRRFDLSQNQFFYESPEELASHGRVLPIQKYTEGSAYSPLKGKKANGKNKKHLAASDIGTIRLPAPFFTMRPTPCWPGKPDEQQLDVFALSESKIMLADRHRRVLSYDADSHCALTMPCLHAPKDDPLTVSIPGSQQNDGEGSIYIIERMLWPESQRSFQFEALLSNRFHAGGYHPFGTWGCQAFPLPPTSLSKRALVCSAAAVGNAICVSISGAGTYCFDRASHTWSHAGDWMMPFFGTPEYVPELNLWFGISGRDFNLPCAADLSPVARGQPPEPALFWGHDDHLPEEWHYRLGTPSQMVSLGSGRFCILRYLETWIPCPDEVIVDNSYAVFTGLEVLAGNGNGNGNGNGKKHGLRMVSHKSRRCRNPEANSIQRLL* >Brasy2G134700.1.p pacid=40070181 transcript=Brasy2G134700.1 locus=Brasy2G134700 ID=Brasy2G134700.1.v1.1 annot-version=v1.1 MPRGDLNKLLYSIQDKRTFELSHITVAQRLSIVVDVADALEYLHHNSQGTIVHCDMKPSNILLDDNLTAHVGDFGLAKFKVDSVVPNPADSYSTSSIAIRGTIGYVAPECATGGHVSSASDVYSFGIVLLEIFLRKRPIDDMFKDGLNIAKFVEMNFPARIAHIIDPELLQDPTATKESYWEFLVSMLNIGLCCTKLSPNERPIMQEVAPRLHGIKESYLRGNQAL* >Brasy2G243100.1.p pacid=40070182 transcript=Brasy2G243100.1 locus=Brasy2G243100 ID=Brasy2G243100.1.v1.1 annot-version=v1.1 MHDFCFTIPYGFAVLAGGLIGYLRRGSTASLAGGAGVGGLLLLAGFVSLKAFEKRSNSWLALALETLCALALTYVMGQRYLESSKIMPAGVVAGLSALMSAFYLFKIATGGNHISPKKE* >Brasy2G282300.1.p pacid=40070183 transcript=Brasy2G282300.1 locus=Brasy2G282300 ID=Brasy2G282300.1.v1.1 annot-version=v1.1 MLRNRSRRAVGAKQGGGGGGGGGGLVPQPEPLATAQSSRQRNPSSSSAATPPAFPSPRPFMASPQAAGFLDCTEGPSSSMSPTSILETKQFCCSALPPFLSERSLKKAYMDIAAPETASAGLADVLREHRDAKAGGGKVVFGSQLRIQVPSGRAAELVSSPIEFGVKNRDAQLAVLSPARRFLPEVVSSPTARVFAGGVAPGEMAMSEDYTCVISRGPNPRTMHIFDDCIVESCGDVLAEKMDKGAGIAADGAAAPANRFLSFCHACHKQLGHANDIFIYRGDKAFCSNECRYREMPFHEAVDNLR* >Brasy2G282300.2.p pacid=40070184 transcript=Brasy2G282300.2 locus=Brasy2G282300 ID=Brasy2G282300.2.v1.1 annot-version=v1.1 MLRNRSRRAVGAKQGGGGGGGGGGLVPQPEPLATAQSSRQRNPSSSSAATPPAFPSPRPFMASPQAAGFLDCTEGPSSSMSPTSILETKQFCCSALPPFLSERSLKKAYMDIAAPETASAGLADVLREHRDAKAGGGKVVFGSQLRIQVPSGRAAELVSSPIEFGVKNRDAQLAVLSPARRFLPEVVSSPTARVFAGGVAPGEMAMSEDYTCVISRGPNPRTMHIFDDCIVESCGDVLAEKMDKGAGIAADGAAAPANRFLSFCHACHKQLGHANDIFIYRGDKAFCSNECRYREMPFHEAVDNLR* >Brasy2G169200.1.p pacid=40070185 transcript=Brasy2G169200.1 locus=Brasy2G169200 ID=Brasy2G169200.1.v1.1 annot-version=v1.1 MGCCFSSEKTKGAMRESKEPAEKTSQIAPAALPSSTGESEMAILEVSKGPHCPLLTYEELNVATEGFRRDHFLGEGGFGRVYKGVLNGTNQVAIKILNPKGKQGNREFCMEVLILSRLDHPNLVKLVGYCIDGDRRLLVYEYMPLGSLGSHLHDLFPDQKPLDWNTRMKILAGAAQGLQHLHVKADPPVINRDVKCENILLGEGYHPKLSDFGLAKLGPTGDDTHVSTRVMGTPGYCAPEYLASGQLTVKSDIYSFGVVMLEVITGRKAIDYCRSRAERNLVEWATPLINRKDFQQLADPALGDQCSMKSLFRALTVAQLCVNRTASQRPQITEVAEALAQISQSRSKSVPRSIHLK* >Brasy2G403400.1.p pacid=40070186 transcript=Brasy2G403400.1 locus=Brasy2G403400 ID=Brasy2G403400.1.v1.1 annot-version=v1.1 MRAKKMIYGFSISLILINLASIMERADENLLPAVYKEVSAAFDAGPTDLGYLTFIMNFLKSIASPLAGVLALQYDRPTVLAIGTVFWAVSTGAVGVSQYFQQVAFWRGVNGLGLAIVIPSLQSFIADSYKDGTRGAGFGLLSLIGSVGGIGGSILATVMAGRDYWGFPGWRFAFIVVAFVSLLIGLLVYFYTVDPRKTSPSYYGDDEHHERSHLVGNGIFPPQSIWKDSWITARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNRGSAGLNSLFAIGCASGSFLGGVIADRLSRHYPDSGRIMCAQFSAFMGIPFSWILLTVIPQSVDYWYSYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFSSLAAPAVGMVTEKIYGYNAKNVNLANGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRDNARLAASAKELELM* >Brasy2G057000.1.p pacid=40070187 transcript=Brasy2G057000.1 locus=Brasy2G057000 ID=Brasy2G057000.1.v1.1 annot-version=v1.1 MSPPFLFFLSCDMTLDLSPPRCLGLYCCLVPSWLLFSVLLLQNSVTVMQIIDLRWVYEASCDDLWSRIKVDL* >Brasy2G307500.1.p pacid=40070188 transcript=Brasy2G307500.1 locus=Brasy2G307500 ID=Brasy2G307500.1.v1.1 annot-version=v1.1 MWNQLITPKPRYGLVPIGTGGAQAATATTCGCGQRSSNGGEATGWRRRSREARPRVGGRSSRGRRRVGLFCSSRRRGLVAAPEKQGGEGAGWRPEQQPTARPRRGAGEAGRGGRGVAAGAAADGEASSRRRRSREGRARGGGRSSNRRGGRVAAPEKQGGEGHVVVRLDAGEEAAQQQRKKKHSILLVRS* >Brasy2G343300.1.p pacid=40070189 transcript=Brasy2G343300.1 locus=Brasy2G343300 ID=Brasy2G343300.1.v1.1 annot-version=v1.1 MRMVIPTDPMLWHKVAAVSGVAALGLGTYGAHMFRPQNPRYKEIWQTASLYHLVHTAALVGAPMTKRPNIFGGLLTTGIVLFSGTCYTVAYLEDRKFSSPAPIGGFAFIAAWASLLF* >Brasy2G422900.1.p pacid=40070190 transcript=Brasy2G422900.1 locus=Brasy2G422900 ID=Brasy2G422900.1.v1.1 annot-version=v1.1 MSLAYPLLRLPCRCSLPPPLASAAAMSVASLSASSADGEPRGRERRQQRKEARELRARDWKEEVQERLIHEPARRRKKPPKKTWREDLNLDLLAELGPQWWLLRVSMAPGTDYVDLITKAISRRYSEVSFKIYNPAIEVKKRLKSGSISTKSKPLHPGLVFLYCTLNRELHDFLRDTEGCYGFIGATRGSIKRQIKKPKPIPVEEVESIFRKEKEEQEKADKEFEELENWDNVKSFGKPVEDTELMLINKIKKQVKKSTSKGGSGDNTSTPGASVHVLSGPFAGYSGSVLEVNRKNKKVTVQLTLFGKESFVDLDFDQIETVNT* >Brasy2G496000.1.p pacid=40070191 transcript=Brasy2G496000.1 locus=Brasy2G496000 ID=Brasy2G496000.1.v1.1 annot-version=v1.1 MLSFISCLVKKPSTPRKEKECSAHKIKRKLQNDQFWIILASWPRCLSMCLLRWPFPAAACLVSMATHPGHLHLVLVTTFPFDSVIVTMSKCSHTNEVFLQVIKLLIVGESAMFERKEECV* >Brasy2G490100.1.p pacid=40070192 transcript=Brasy2G490100.1 locus=Brasy2G490100 ID=Brasy2G490100.1.v1.1 annot-version=v1.1 MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCSYIRSLHREVDDLSERLSELLATSDMSSAQAAIIRSLLM* >Brasy2G132200.1.p pacid=40070193 transcript=Brasy2G132200.1 locus=Brasy2G132200 ID=Brasy2G132200.1.v1.1 annot-version=v1.1 MVGLKKMEEVENSSKRKASELDLEDDSAAAAAVPDEQQPRPDSAAKRPNLARSCFHEVAVPTGYDLSKDETVHGTLSNPAFNGEMAKTYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPTAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFLKLQDTFAKQPIQQDGRKGGGPKASGRIAKGGKASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDNIEQVFRSAIFCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIASGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEATLLDSSGENDLGEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASSTLPPALSASRSNSYIVDTLLHCSSSSSENGSRSKPCPPRPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPITDMGIEEPELVDLVHKLEDLEQKLCSHPLHKADQNEQQLSWYQRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSNEQIRLRNELSKPMMQLQEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLENKFGSASESLRRGIMFANSLYL* >Brasy2G132200.3.p pacid=40070194 transcript=Brasy2G132200.3 locus=Brasy2G132200 ID=Brasy2G132200.3.v1.1 annot-version=v1.1 MEEVENSSKRKASELDLEDDSAAAAAVPDEQQPRPDSAAKRPNLARSCFHEVAVPTGYDLSKDETVHGTLSNPAFNGEMAKTYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPTAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFLKLQDTFAKQPIQQDGRKGGGPKASGRIAKGGKASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDNIEQVFRSAIFCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIASGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEATLLDSSGENDLGEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASSTLPPALSASRSNSYIVDTLLHCSSSSSENGSRSKPCPPRPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPITDMGIEEPELVDLVHKLEDLEQKLCSHPLHKADQNEQQLSWYQRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSNEQIRLRNELSKPMMQLQEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLENKFGSASESLRRGIMFANSLYL* >Brasy2G132200.2.p pacid=40070195 transcript=Brasy2G132200.2 locus=Brasy2G132200 ID=Brasy2G132200.2.v1.1 annot-version=v1.1 MEEVENSSKRKASELDLEDDSAAAAAVPDEQQPRPDSAAKRPNLARSCFHEVAVPTGYDLSKDETVHGTLSNPAFNGEMAKTYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPTAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFLKLQDTFAKQPIQQDGRKGGGPKASGRIAKGGKASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDNIEQVFRSAIFCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIASGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEATLLDSSGENDLGEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASSTLPPALSASRSNSYIVDTLLHCSSSSSENGSRSKPCPPRPGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPITDMGIEEPELVDLVHKLEDLEQKLCSHPLHKADQNEQQLSWYQRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSNEQIRLRNELSKPMMQLQEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLENKFGSASESLRRGIMFANSLYL* >Brasy2G092200.1.p pacid=40070196 transcript=Brasy2G092200.1 locus=Brasy2G092200 ID=Brasy2G092200.1.v1.1 annot-version=v1.1 MGDASTSAPATPTSTLICLEDGNDLFVDDDGSPDAADTDDEYVALMLSKEGGGDGGCGARGEEMMDEWTKAARAACVDWIVKTNARFLFSDKTAYVAVTYFDRFLAQRRVDTGQGWALELLAVACLSLAAKLEEHRAPRLPELGLLVDGYDFDSASITRMELLVLATLNWQMIAGTPFPYLGCFAARLRHDDRKAIVLAAVRCIFASIKAMSSVEYQPSTIALASILVACGANNNEEGTTSPDVDEELKAILGSSWQQLHTGHVYSCYRVMIREEDRSMQQSSREVASSGVSVAHIGMSSDSSSMAMGANNNNNNSNATTSTEATPDNKRKRLHSP* >Brasy2G163800.1.p pacid=40070197 transcript=Brasy2G163800.1 locus=Brasy2G163800 ID=Brasy2G163800.1.v1.1 annot-version=v1.1 MSSPKNNGATRRPFHDISNTNPEGNAPSLDPKELKSQRNKEYYARNRDTILRRRREALEQKQASAALLKDTEMSPRTPIAKAQVETHGEHTPVQDIGTYQISDTNELKRQRERERYSENRDDILKRKRQVYSDINVQQTGTRTTDVPYQDHKERRKQRDRERYARNRYEILNQRHQAHQNKESPAALINGKYIVTHTPPTGQSVLTQLQQIHAAGLSNVPNSSAPPDTIMHDKDNINYHEEASWLHRNDAYQMHRISGRMRAIGMPLVHSTPTTCNITVDATQGNISIGSGVPHSNPENNINI* >Brasy2G188000.1.p pacid=40070198 transcript=Brasy2G188000.1 locus=Brasy2G188000 ID=Brasy2G188000.1.v1.1 annot-version=v1.1 MEAQKKQVDALVQKITGLHAAIARLPSLSPSPEVDALFTELVTACVPASPVDVTKLGPAAQKMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPSSVSPAPPRRVAFIGSGPLPFSSLVLAARHMPGTLFDNYDLCGAANDRAGKLVRADKGVGARMSFHTADVADLAGELAAYDVVFLAALVGMAAEEKAEVVAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDIGRGGFEVLAVCHPDDDVVNSVIVARKSKDARARGLHDGGRGHVRGPVPVVSPPCWFGEMVADVIQKREEFAPAEVVF* >Brasy2G319400.1.p pacid=40070199 transcript=Brasy2G319400.1 locus=Brasy2G319400 ID=Brasy2G319400.1.v1.1 annot-version=v1.1 MAYMCADSGNLMAIAQQVIQQQQQQQQHHQQRHHHHLGPPMPSAPAPPHAQIPASLPYGGASAGWPQAEHFFSDVFGASAADAVFSDLATAADFDSDGWMESLIGDAPVFQDSDLDRLIFTTPPPPVPPPAEAAAAQAENAPASLPLAAATTPVACSPASSLDTSCSAPILQSLLACSRAAAANSGLAATELAKVRAVATDSGDPAERVAFYFSDALARRLVCGGAASLVTAADARFAADELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRIRISGVPSPFLGPEPAASLAATSARLRDFAKLLGVDFEFVPLLRPVDELDQSDFLIEPDEVVAVNFMLQLYHLLGDSDEPVRRVLRLAKSLHPAVVTLGSMRSA* >Brasy2G488200.1.p pacid=40070200 transcript=Brasy2G488200.1 locus=Brasy2G488200 ID=Brasy2G488200.1.v1.1 annot-version=v1.1 MLPRLLLVVFLVASAGRLFRDASADVPPSNKVVFVLAGQSNMAGRGGVTGARWDGIVPPDSAPSPSVLRLTADLRWEEAREPLHQGIDVGGGNRTVGVGPGMAFANAVLRSGRLDGAALGLVPCAVGGTRMAEWGKGSELYGDMVRRARVAVETGGRIGAVLWYQGESDTVRWADASSYGRRMAMLVRDLRADLAMPHLLLVQVGLASGLGQYTEVVREAQKGLKLRNVRFVDAMGLPFQDGHLHLNTQAQVQLGHMLAQSYLDYGHAHSRTCAPWWLQIMLVCCFIFL* >Brasy2G488200.2.p pacid=40070201 transcript=Brasy2G488200.2 locus=Brasy2G488200 ID=Brasy2G488200.2.v1.1 annot-version=v1.1 MLPRLLLVVFLVASAGRLFRDASADVPPSNKVVFVLAGQSNMAGRGGVTGARWDGIVPPDSAPSPSVLRLTADLRWEEAREPLHQGIDVGGGNRTVGVGPGMAFANAVLRSGRLDGAALGLVPCAVGGTRMAEWGKGSELYGDMVRRARVAVETGGRIGAVLWYQGESDTVRWADASSYGRRMAMLVRDLRADLAMPHLLLVQVGLASGLGQYTEVVREAQKGLKLRNVRFVDAMGLPFQDGHLHLNTQAQVQLGHMLAQSYLDYGTSNKL* >Brasy2G181800.1.p pacid=40070202 transcript=Brasy2G181800.1 locus=Brasy2G181800 ID=Brasy2G181800.1.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQIVSLQSRQASSQYSSSNVLLSACPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.2.p pacid=40070203 transcript=Brasy2G181800.2 locus=Brasy2G181800 ID=Brasy2G181800.2.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQIVSLQSRQASSQYSSSNVLLSACPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.4.p pacid=40070204 transcript=Brasy2G181800.4 locus=Brasy2G181800 ID=Brasy2G181800.4.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQIVSLQSRQASSQYSSSTCPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.5.p pacid=40070205 transcript=Brasy2G181800.5 locus=Brasy2G181800 ID=Brasy2G181800.5.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRIVSLQSRQASSQYSSSNVLLSACPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.6.p pacid=40070206 transcript=Brasy2G181800.6 locus=Brasy2G181800 ID=Brasy2G181800.6.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRIVSLQSRQASSQYSSSTCPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.12.p pacid=40070207 transcript=Brasy2G181800.12 locus=Brasy2G181800 ID=Brasy2G181800.12.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGLDYLHNQCSTVWVHTDVNTRNILLTKHLVAKLSGFELTTTECAGTLGYLDPEYHATSRLTKKSDVYSFGVVLLILMTGQPAIININYSERSSIALWVRDRVSEGDIESVTDPRIRGDCDVGSVWKMAELALRCTENVGQHRPTMTEVVEGINESLVLARQQAESP* >Brasy2G181800.3.p pacid=40070208 transcript=Brasy2G181800.3 locus=Brasy2G181800 ID=Brasy2G181800.3.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQIVSLQSRQASSQYSSSNVLLSACPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGMRQVSILVNDWITCTTSAAQYGYIQM* >Brasy2G181800.7.p pacid=40070209 transcript=Brasy2G181800.7 locus=Brasy2G181800 ID=Brasy2G181800.7.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQIVSLQSRQASSQYSSSTCPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGMRQVSILVNDWITCTTSAAQYGYIQM* >Brasy2G181800.8.p pacid=40070210 transcript=Brasy2G181800.8 locus=Brasy2G181800 ID=Brasy2G181800.8.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRIVSLQSRQASSQYSSSNVLLSACPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGMRQVSILVNDWITCTTSAAQYGYIQM* >Brasy2G181800.9.p pacid=40070211 transcript=Brasy2G181800.9 locus=Brasy2G181800 ID=Brasy2G181800.9.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRIVSLQSRQASSQYSSSTCPFRSRRFSYKELEHITNNFNTVISKGGFGLVYFGRLEFGSPVAVKMWLNTSSKGNTEFLAEAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGMRQVSILVNDWITCTTSAAQYGYIQM* >Brasy2G181800.10.p pacid=40070212 transcript=Brasy2G181800.10 locus=Brasy2G181800 ID=Brasy2G181800.10.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQAQCVGELGHLNLVSLIGYCKDKKHLSLFRKKYKHLSLVYEYTDEGNLQDRLIGQEPLNWLQRLNIALDCARGMRQVSILVNDWITCTTSAAQYGYIQM* >Brasy2G181800.11.p pacid=40070213 transcript=Brasy2G181800.11 locus=Brasy2G181800 ID=Brasy2G181800.11.v1.1 annot-version=v1.1 MESTSNTTREFSLRFLQDITNNFSKEHIIGSGGYGVVYRGVLENGEEIAIKKLHNMPGLDDTQFRNEFNNLMRAQHQNITRLVGYCYHQGHERMKYNGEYIFAHVEERALCFEYLQGGSLDKHISDESRGLDWCTRYKIIKGVCEGLNYLHNGYKDPIYHMDLKPANILLDKDMTPKIGDFGLSRLFPSANTFTTIKIIGTPGYMPPEYIEKHEITAKFDVFSLGVIIIRVVAGDEGYSKSANMSSQKFIRHVHEKWRKRLHETMSSHTSREVTTCIEIALRCVEADRVRRPTISEIVIKLDKIHTVKSLRQVKNHLIGCSALISHWTVPVVCVKFLF* >Brasy2G055800.1.p pacid=40070214 transcript=Brasy2G055800.1 locus=Brasy2G055800 ID=Brasy2G055800.1.v1.1 annot-version=v1.1 MPRKMVNIHQLKKESLWDFSLGSNSSNWSAPMVEMLGLVPPLPSAMMYSPPK* >Brasy2G071500.1.p pacid=40070215 transcript=Brasy2G071500.1 locus=Brasy2G071500 ID=Brasy2G071500.1.v1.1 annot-version=v1.1 MHPSGGGGAIRVLNVAEKPSVAKSVAEILSRRAMQSRAGRSRYNRIFEFNYAIGGQACHMLVTSVTGHLMELDFDDRYRRWYSCDPVELFHAPVRKAVPQDKLDIKRTLEEEARTCQWLVLWLDCDREGENIAYEVIEICAGVNRHLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDISGEERNMVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSYTSDEGTASFGWIRGHLFDYSSAIVIYEMCVQESMATVQNVRNQEKLKYPPYPLSTLELQKRASRCCRMSSEHTMKVAEELYQAGFISYPRTETDSFSPNTDLHAIVHEQVAHPVWGPYAQRLLNPEERLWRNPSNGGHDDKAHPPIHPTKFTAGESNWSQDHHKVYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRYDSWGGSLLPTYTIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHEHIKKLLDRCYATKDANSRFSPTNLGEALVMGYDEMGYELWKPYLRAMMEADMKSVSIGTKSKLEVLEGCLQQMKACFLDARSNKVKLLDAMGTFFARSNRPLNETQNPIEVVRPCGACNDSEMLLKRKPNGGFMVGCRSFPQCRNVVWLPGSLSEAAVTEQICPTCVPGPVYKIQFKFNRRDIPPNFDVDHLGCIGGCDDVLKELTEITRFVNHNQTTTPARGQNQTQTTSGARQGAPRQDLHTGFRSTGQFTNEHTPVVNPQARGQSQTPSSVRQGAPRQDLHPGFRPAGQFANGHMPVVNPQGFRSTHTQNSRNASDPGQLLCTTCGEACISRTANTEANRGRKFYKCQNSGCGFFAWEDELENVVPRGRGRGGRGGGRQASASAGRRGGTQGRGRRGRGRNADAANGMTFVSATGDTVHGCCFTCGDPSHFANACPNRGR* >Brasy2G179100.1.p pacid=40070216 transcript=Brasy2G179100.1 locus=Brasy2G179100 ID=Brasy2G179100.1.v1.1 annot-version=v1.1 MTVHGDHAVADAGFSYPTSIITVICGLTVTIAFALIRPNGIGPNGPAAASISSNNAFNATLRADNRRATERCYHRGEAVVTYAGFTVASPTRTPDFCVRRKQAREVPFRVQAWGWVDGGVGLLPEHLRDRFAAAEKVGAVELEVQLRLVQGDGRPVWMWCKVAMGTAGARDSPCSVFGLQNWLSDWPRAMMQLMQ* >Brasy2G183600.1.p pacid=40070217 transcript=Brasy2G183600.1 locus=Brasy2G183600 ID=Brasy2G183600.1.v1.1 annot-version=v1.1 MASVRKLLLFLLCGYHPLVAHAGDAQSKVLATGSRVLRATSGATVTLSHRHGPCSPSPSTVEPTMAELLRRDQLRAKYIQGKLSGTDGTDGVQQSAAITLSTTLGTALDTLAYVITVSIGTPAVTQTVMIDTGSDVSWVHCHARAGSLFFDPSKSTTYTPLSCSSAACTRLEGQGNGCSLNSTCQYIVRYIDGSNTTGTYGSDTLALNSTEKVDNFQFGCSVAEEGEGVDEDQTDGLMGLGGDVQSLVSRRSPTFYFVVLQGINVGGDPLSISPTVFAAGSIMDSGAIITRLPPRAYSALSSAFRAGMRQYPRAPTVSFLDTCFDFTGQDNVTIPAVELVFSGGAVVDLHANGIMYGSCLAFVPGTSGAGSIIGNVQQRTFEVLHDVERSVLGFRPGAC* >Brasy2G443300.1.p pacid=40070218 transcript=Brasy2G443300.1 locus=Brasy2G443300 ID=Brasy2G443300.1.v1.1 annot-version=v1.1 MLTDDILLSILGKVSVRTAARTGVLSTRWRNLPLLLPELSIHVKHFLSDPESDPIEANDMKEAMVSLTAATRSFLSESRKGFTISRMHLKFYLIDTFLCDIGPLVGDAIDCGLLKDLDLAILDETDLLDASEEYMLQRAQEIEGFFSAYPSVLHCLTKLTLRNQLKHLSLCHCDTGPSSFFKIDAPNSKVSVLELDTCRFERLDVICLPKLEKLTWNTWVSHYARLCELLHGATGIHTLTLDFQGENLWMQPEMKQLCTAFNKLRKLAVRGIFAEFDILWTTAFLVAAPTIEILHIDSLEQHYTFIRSMLQRSPNLQKIVLKGDQQCRWCEAVDAPPRPSKFPKKSLKKKSPRRMSKKWSLCGLEMAYSHRK* >Brasy2G459000.1.p pacid=40070219 transcript=Brasy2G459000.1 locus=Brasy2G459000 ID=Brasy2G459000.1.v1.1 annot-version=v1.1 MLTNYDGPWSGHAFHQSEFASIFSFSTTFVFLIIPSEDTGGVSRYGLAVMLSPTMDMSKTLPGPYLGLFNIKTNGDATSGIFAVEFDTTKDPQFADIDDNHVGLNVNNMVSLSSQTAGYYISNGTFSPLRLASGEPVQVWVDYDGNSHHLDVSLAPYLEHKPQRPLLSRNVNLPAVLGNSFYVGFSSSTLQSRHYIIGWSFNRTWEAQPLNYSALSQVIEDVRNKAQNDSHIPMALLVVILSALIIFVMVVLIYVHMKRARENGEWKIECGPPSFTYKDLVTATSNFNDKMLLGKGGFGKVYKGVLQTSRQNVAIKLVSPESKQGMKEFISEITILGHLRHRNLVQLLGYSRHGNALLLVYDHMSNGSLDRVLHGQDRQTLDWIYRYKIIKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDTEMNARLGDFGLARLHNHGTDAHTTDLAGTWGYIAPELARLGRATKATDVFAFGVFMLEVACGRHPIEVNDSGEPALLTDSVLHAWEGGSVLTTVDPRLEDYIREEVELVLKLGLLCSHPILSARPCMRLVLQYLEKDSPLPDFQPCFLNLTCRDEGFDQYILSCPSVATTMTSLSGGR* >Brasy2G139300.1.p pacid=40070220 transcript=Brasy2G139300.1 locus=Brasy2G139300 ID=Brasy2G139300.1.v1.1 annot-version=v1.1 MELTAENAYPLLRAATDFASYPGVHGDDTVRQFLEQFPLPKLLGVLQSLADAPGVDETLAACLDKVFSSRYGASLLPSYGEFIQAGLLTNSKIIRQLACKAVLHLLDKAGDSVVAVDTFVQHNLYPLLINCLIKGDEEVSAIVLDVLKRLAEIPKGIDIIFPPNGQGSLLLNKMAAQSSSMGMMYRKITRTSVQLWFDADDYIVGYLVVCKPKFVYIIVGKEI* >Brasy2G331500.1.p pacid=40070221 transcript=Brasy2G331500.1 locus=Brasy2G331500 ID=Brasy2G331500.1.v1.1 annot-version=v1.1 METAEVIAAAPDAAESEAAAESEAAEEDAAAAAAAAAAYSWPQLRFDLPPRRLYHFARQFRSPCSSAASNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDVYSTTAEHTAETPVGGEDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGSKLFAGYNKAIRVFDVHRPGRDFEQYSLLKGGEGPTGIVSSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTLDIVYKLYRSCDTTNQRVQFDIEPCGKHLATGGQDGMVHIYDLQGGQWVTGFQAAADTVNGFSFHPYLPLATTSSGHRRFGMHDELEEQLSLAGDENCCSVWKFSCSEEA* >Brasy2G331500.2.p pacid=40070222 transcript=Brasy2G331500.2 locus=Brasy2G331500 ID=Brasy2G331500.2.v1.1 annot-version=v1.1 METAEVIAAAPDAAESEAAAESEAAEEDAAAAAAAAAAYSWPQLRFDLPPRRLYHFARQFRSPCSSAASNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDVYSTTAEHTAETPVGGEDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGSLLDTTKQLECLMFIVLVEISSNTLCLKGVKGQLVLYLQSRSLLTMGCLQLALIARQQLFMQRVIWSHYMFYMASLGVLHRCFFRKMEIIYILEGGRIHIYYVGIYGTLWTLFTSCTDHVILLIKEYNLILSHVANILPLVGRMAWSTFTTFKVVNG* >Brasy2G331500.3.p pacid=40070223 transcript=Brasy2G331500.3 locus=Brasy2G331500 ID=Brasy2G331500.3.v1.1 annot-version=v1.1 METAEVIAAAPDAAESEAAAESEAAEEDAAAAAAAAAAYSWPQLRFDLPPRRLYHFARQFRSPCSSAASNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDVYSTTAEHTAETPVGGEDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGSKLFAGYNKAIRVFDVHRPGRDFEQYSLLKGGEGPTGIVSSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQMEIIYILEGGRIHIYYVGIYGTLWTLFTSCTDHVILLIKEYNLILSHVANILPLVGRMAWSTFTTFKVVNG* >Brasy2G331500.4.p pacid=40070224 transcript=Brasy2G331500.4 locus=Brasy2G331500 ID=Brasy2G331500.4.v1.1 annot-version=v1.1 METAEVIAAAPDAAESEAAAESEAAEEDAAAAAAAAAAYSWPQLRFDLPPRRLYHFARQFRSPCSSAASNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDVYSTTAEHTAETPVGGEDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGSLLDTTKQLECLMFIVLVEISSNTLCLKGVKGQLVLYLQSRSLLTMGCLQLALIARQQLFMQRVIWSHYMFYMASLGVLHRCFFRKMEIIYILEGGRIHIYYVGIYGTLWTLFTRWHGPHLRPSRWSMGDRLPSSS* >Brasy2G331500.5.p pacid=40070225 transcript=Brasy2G331500.5 locus=Brasy2G331500 ID=Brasy2G331500.5.v1.1 annot-version=v1.1 METAEVIAAAPDAAESEAAAESEAAEEDAAAAAAAAAAYSWPQLRFDLPPRRLYHFARQFRSPCSSAASNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDVYSTTAEHTAETPVGGEDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSVSFNSTGSKLFAGYNKAIRVFDVHRPGRDFEQYSLLKGGEGPTGIVSSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTLDIVYKMAWSTFTTFKVVNG* >Brasy2G455700.1.p pacid=40070226 transcript=Brasy2G455700.1 locus=Brasy2G455700 ID=Brasy2G455700.1.v1.1 annot-version=v1.1 MVGEYSLVLSKFSAPPPSQTRPHVPKRLRQIPARPAAAVTQRRPIPRAPPPSVLSLTATRSPLTPSRRWSGPRPRPARRSRVALPPRSPHATRSRHGADFRSRAVAADLL* >Brasy2G174100.1.p pacid=40070227 transcript=Brasy2G174100.1 locus=Brasy2G174100 ID=Brasy2G174100.1.v1.1 annot-version=v1.1 MTLLSCKNSVALFAVLLLHYAVSLLPASSALAVGLARRHRRDVLPGPKGCDIFSGSWARDADADGSASAYTGYKCPVIDPEFNCQVYGRPDSEYLRYQWKPAGCEIPRFDGADFLARMKGRTVMFVGDSLGRNQWESLVCLLHAAAPQSPGQLVSADPLYTYKFLEYELVLSFHRAPYLVDIDVVQGKRVLMLDDIAGNAQAWRGADVLSFNSGHWWTHTGALQGWDYMGVGGRYTEDMDRMAAFQRGMTTWASWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETAPLMTGLNSTAQPASTGQQQVIQTVLQGMRSPVHLLDITALSALRKDAHPSVYSGDLSPAQRANLAASADCSHWCLPGLPDTWNQLFYTLLFYQ* >Brasy2G481500.1.p pacid=40070228 transcript=Brasy2G481500.1 locus=Brasy2G481500 ID=Brasy2G481500.1.v1.1 annot-version=v1.1 MFLSLLVSQNACLVHLLLSHSLLSPLSLFLSHGHPHLICIWSSCAGHHPDPTAAAGFLRSGSAEAGLLRPGSAVTRAPLPSAYFAVPPRNRQSQSASHRDSRRMCPACRCTTSWAAQKNGSAAKPALAPTTASWW* >Brasy2G042300.1.p pacid=40070229 transcript=Brasy2G042300.1 locus=Brasy2G042300 ID=Brasy2G042300.1.v1.1 annot-version=v1.1 MAHGGSYSPPSRRRFTAAVFAVAVALFLAPQLRPAAGRPDKETREKFYGRLVTNGTHNASAEDSIADMFGRVLEKEFSDSDTNETPDKNSFNNSISDHQAVLETVAVITHDKKNDSQLANSSRPFQIGDMFGGQNENSDDTETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLIGPGGLNLISEMVQVETFAQFGVVFLLFALGLEFSLPKLKAVGPVAVVGGLLQIALFMFLCGLTAVVCGAKTSEGVFVGTFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALIPVLGGSSSLFGGMMSMGKLLLVLSIFVTVAYMMTWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHFKFLWNHVDILLAAVILVIIVKSLVITVVIKAFGYNIRTAFIVGLSLAQIGEFAFVLLSRASHLHLIGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESNVHNEVFEGPSMFPQFHDQDILNETK* >Brasy2G443900.1.p pacid=40070230 transcript=Brasy2G443900.1 locus=Brasy2G443900 ID=Brasy2G443900.1.v1.1 annot-version=v1.1 MAYSGQRHGGAGSSSRQGNASKGQGSSVEFLGRGMVGMQLRDAKPDADDERDIEPDVVADSGAEAGHIIATTIRGRNGVPKQSATYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEFVPETVNRMARQYNRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEALDLVSRFLQYSPDLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELNGIPPEVIERLVPEHARRQSLFMALRT* >Brasy2G402000.1.p pacid=40070231 transcript=Brasy2G402000.1 locus=Brasy2G402000 ID=Brasy2G402000.1.v1.1 annot-version=v1.1 MAKIVLSPILVLFLLSMSNASSVNNTSVEFSIDNYGARGDGKHDDTQALAKAWSAACSSSRPAVLLVPKGKTYLLMLITLSGPCKSNVVFMVSPRSRSHWSEDNRRHWIVLHGIDGLTVTGGGNINGNGELWWKNSCKTNKNLPCKQAPTALTFHLCSNLTVESLKIVNSQQINMSVEDCSDVQLARLSITAPGTSPNTDGIHITRSKDVQVRDCVIRTGDDCMSIEDGTHNLHVTKVVCGPGHGISIGSLGDDNSRAEVSGIYIDTVQLYGTTNGARIKTYQGGSGYAKDIVFQNIIMDNVQNPIIIDQNYCDSAKPCKNQESAVEISNVVFKNIRGTTMSKDAIKLNCSNSDSCTDIFLENIDLKMEGGNGETESTCQNAKWRKSGNVIPQPCEVKN* >Brasy2G405300.1.p pacid=40070232 transcript=Brasy2G405300.1 locus=Brasy2G405300 ID=Brasy2G405300.1.v1.1 annot-version=v1.1 MRTPSMLLLLLALVSFQRDASGYLLGPKKVTKLHFCLHDTLSGKDPGAVLVAHGANANPKPGNPAPFSSVFVTDDVLTEGPERTSKVVGSAQGLYFSTGKGEPSLVMGTDFALAGYKNSSFSVFSRNPVTQKDGIELSVVGGRGAFRMARGYALLRTHNFDVSTGDAVVEYNVTLLHY* >Brasy2G282200.1.p pacid=40070233 transcript=Brasy2G282200.1 locus=Brasy2G282200 ID=Brasy2G282200.1.v1.1 annot-version=v1.1 MGVTLREFTEADAEALFSWASDPRVVRFQRREAYSRVDEARRYILDHVLPHPWYRAICVGSVVVGSISIKPSPAAGSPSSTRASLGYRLAHGYWGGGIATRAVRMAAEEAFAEWPWLVRLEAVADAENPASQRVLEKAGFVREGVLRRYVVLKGRPRDMVMFSSVDTDRRNKPVEAHGL* >Brasy2G029100.1.p pacid=40070234 transcript=Brasy2G029100.1 locus=Brasy2G029100 ID=Brasy2G029100.1.v1.1 annot-version=v1.1 MASFLCAAALLLLAFDGAAAAGAMHKVGGLDAWGIPPASKPDVYVRWGNSTKVSLGDALMFLYPPSQDNAVQVTAKAFAACDVGKPLAKLDDGNSVFNLTAPGRAYFTSAAPGHCRKGQKVSVDVPKADGSLVQPSADDLAALKVLETLPPAAAPSDSLPALSPVDGEDDSSSAAMTRASAAAGFVVSVSALFFALVL* >Brasy2G250600.1.p pacid=40070235 transcript=Brasy2G250600.1 locus=Brasy2G250600 ID=Brasy2G250600.1.v1.1 annot-version=v1.1 MSRGSSSSPSHAWPQYGPVPLTHSPDCPREEQLVRLTCKVDTGNYGREFVKCESRPQPGKILKKCSYFSWLDAYIEKLRFEGVDLSSWALPKIDSPSLFGAQQLGVANAAASGVAAELKMVNSNLRNLVKLKQQEMMTHTAFYVCVVVVMLIFFVLKGI* >Brasy2G464700.1.p pacid=40070236 transcript=Brasy2G464700.1 locus=Brasy2G464700 ID=Brasy2G464700.1.v1.1 annot-version=v1.1 MSETPSDVATDQVRLAGDVSDEKVEETQDHNEGTGMPSPEEEEAAIKKKYGGKMPKKSPLISKDHERAFFDSADWALGKQGGSANKPKGPLEALRPKLQPTQQNARARRSSYASVDNDETLSLPAEELIQNDDPIEDKNKE* >Brasy2G154200.1.p pacid=40070237 transcript=Brasy2G154200.1 locus=Brasy2G154200 ID=Brasy2G154200.1.v1.1 annot-version=v1.1 MEALKKQASKLREHVAKQQQAVRKTFSARYNQDTSLVDEAELECHHNLQRLYNSTRTAKHFQRTIVRGVEGFVAVSTKQMEIVKKLAEDCCKYGNNNQNLGFVLGIASVEFGKSHSQMEIEREKLLKVLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNSDSLKLQHAESKLSELRTTLAALGREATAAMEDVEAQQQQVTFDRLLAMVVAERTYHQNVADILNKLHDEMLHAKQHHGESDNHYDEASSEPSPEPKISPTHEQSNSTSEDPALTETSEPTRNSQEVHYVGEVIHPFDAQADGELNISVGDYVVVRQVARNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTA* >Brasy2G252800.1.p pacid=40070238 transcript=Brasy2G252800.1 locus=Brasy2G252800 ID=Brasy2G252800.1.v1.1 annot-version=v1.1 MSKGGGGGSWRMSGGDFGRAVARAAVAQMLQAAGFTCAHRSAVDALVDVLLRYICHLGSAATFHANLAGRAIPNECDVVQFLEVSGAAYEGFAGASSASSRCLVNSGAIKDIVMFAGAADDKPFMRQLPRFPTQHTLPQSSLSFAALGRESGMKHVPEWLPAFPEPHTYLRTEVLSEKVNEAAVDEVEQLRQQRKADKSLLSLQQRLALAGADGFRPTAVEDGAGKGKELDVVGIKSNPFLESAFPYGEKKVSEVAVPNVGNKLSVLEAFAPAFAESEGEKLDEARHQDRARCQKRILPKERPPVYFRMGINRKSIVMALNSRALEDREGPFFLKDDRKRRARLILAEAMDNPLEYTQL* >Brasy2G187300.1.p pacid=40070239 transcript=Brasy2G187300.1 locus=Brasy2G187300 ID=Brasy2G187300.1.v1.1 annot-version=v1.1 MPPSPPEYHGKAKVSANSMPQTTCPSEKENPCTVYMPQKQIGPSQVRRQPPGIQRVANIAGLSGEMPQKLRAKEAAGRRPSGETAGRCPSDGVSQKHIAKAPLPGMSLRGEMLQKQKEKVRTSSMAQKHLEEETMRTRSSSGVSQKHRGKEPSPAMGLPGEMLQKQRKKVGTGSIPQNRAKEKMRPPDQLRRHPPSIQGPVNITEARVAREKHTRSSTLFPTKSATPKFRTRTSSRCSSSRVRRPVDAHDHGHTVTVTKESRESAIRKLNELRLGEDIGFEEHRGYLMQLPTDPHVDTCTKMDCAERFDMKIRHAVYRIRSYKLSQEVSKNELHYTEMKLDCPMDLLDKEEFTTKFLMKMQFFTCFEEDGILDWFFHSDLCMLAGLTDYQRLVPQNHGDCEYADWDEYRNYFHSYEMQHEYMDYFETLLRELKWLEKCVCMEPQSRIEDIICTRGIYQATKIATCFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWQRVTGYPTREEFQSCFGGSLYIEQVSDTAR* >Brasy2G130500.1.p pacid=40070240 transcript=Brasy2G130500.1 locus=Brasy2G130500 ID=Brasy2G130500.1.v1.1 annot-version=v1.1 MGGACSSGLLTDQEESSAFHEEEMQRWRRRWWPTKLQPDGKRRSRNRTAPEAAGENGKGGGAPPPAAEETALPLPDYGKSKASEVDSIFSNAWKLESLKSPGTPNIEWSQTSTPKNTISRIMSCIAARETRTVEVMAFEVANTISKGSNLMKTLSEQSTRHMKDVVFQSQGVQCLVSDDHIQLFTLVGADKREEFKEFAADVARFGNMCRDPKWHNLNQHFSRLESEPTHQKYSKESAVSNMKYLMATAQQTVQLYHAMRRFDISEDMYKKRCQEYNEGLENRFRLIESLSNTMEIERKFIKDLKKTTLWVKKLEHVVDKLVCIVHFLHFEINSVFLKQEDEESVKATMRNRQTLGSVNLAVHYANIIFKIKTLASFVPSIPKSCVDSLYEALPPRIKSALQTRLKSNQSEDKRTVEQLTDDVNSILKWLLPMAESTTRAGRRMLGEWQDQGNNTDPHRKPNGTDFGRVLKIQTLYHADKEKTEDYILDVVLALHHLVRATRERLDKFLDSTSDQLAIRGGGRFR* >Brasy2G031200.1.p pacid=40070241 transcript=Brasy2G031200.1 locus=Brasy2G031200 ID=Brasy2G031200.1.v1.1 annot-version=v1.1 MASRADVEKGGKVVPAPLYPQHEGEREWTPWLVPSILAANIVVFAITMYYNNCPAHNNTNNKKQQCVAGFLGRFSFQPLRQNPLLGPSSATLEKMGALVWDKVVHSHQGWRLLTCMWLHAGILHLLANMLSLLFIGLRLEQQFGYVRIGAIYLLSGIGGSVLSSLFIRTSISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVMTLLFVITVNLVLGILPHVNNFAHIGGFLAGFLLGFVVLMRPHYGWMERYSLPAGAPCTSRKYRAYQWVLMAVALLLAVVGFAAGLAMVFRGVNANSSCHWCHYLSCVPTARWTCAN* >Brasy2G293200.1.p pacid=40070242 transcript=Brasy2G293200.1 locus=Brasy2G293200 ID=Brasy2G293200.1.v1.1 annot-version=v1.1 MAYSKALAGLLTVLILLAPAILATDPDPLQDFCVADLDGNTVSVNGHTCKPMSAAGEDFLFSSKLAKAGNTTTPNGSAVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEIGLVTRGELLVGIIGSLDSGNKLYSRVLRAGETFVIPRGLMHFQFNVGKTEASMVVSFNSQNPGIIFVPLTLFGSNPPIPTAVLSKALRVDGGVVDLLKSKFAAGF* >Brasy2G401000.1.p pacid=40070243 transcript=Brasy2G401000.1 locus=Brasy2G401000 ID=Brasy2G401000.1.v1.1 annot-version=v1.1 MDEVRQMEDLFREAANLAGSTASLALALSKANLPVGAAHLVQIAKELGHIAGRSGISKVKLIRASDQLSRADDAARPQAVSNLIDESTAFARDAGNAGENALMRTAIDLALAGQCFLRVAALHKMDKMVGGDCKQDSDQMAAKPPVARGSGWMTRISSWWSRRKRRNDVENALNTALLGPTTSPAASTTTATVPQIQGRLAEKWLGLQSSALSLSLCLLPYMGREGFLKKERSHLITCGG* >Brasy2G376000.1.p pacid=40070244 transcript=Brasy2G376000.1 locus=Brasy2G376000 ID=Brasy2G376000.1.v1.1 annot-version=v1.1 MGEIAADERGLGAAVGKGEEEEEETSPIEEVRLTVPSGDDASLPVWTFRMWSIGLVSCALMSFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPARKFPVPALLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGSAYAVMIVDIIRAFYRRSISFVAAWLLIMTTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEEFPRGSRQISRSKFFLVALICSFGWYAVPGYLFPSLTSISWVCWVFSKSVTAQQIGSGMRGLGLGAFTLDWSAVSSFLFSPLISPFFATANIFVGYVMFLYVIVPTAYWGVNLYNAQTFPIFSSHLFTSNGSAYQINDIVNQQFQLDRDAYDKIGRINISTFFALSYGLSFATIASTITHVGIFYGKEIYHRFRASQQQEPDIHTKLMRKYEDIPAWWFYSLLLLSMTVSLILCTVLNDQVQLPYWGLLLACGMAFVFTLPISIITATTNQTPGLNVITEYTMGLIRPGYPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPRSMFLVQFVGTLVAGTVNLSVAWWLLGSIENICQDTLLPPDSPWTCPGDRVFFDASVIWGLVGPMRIFGPSGNYEALNLFFLIGATGPVIVYIFHRIFPNQKWILLINLPVLIGATASMPPATAVNYNAWLLIGTFFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFTLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPDSLCPVFR* >Brasy2G265200.1.p pacid=40070245 transcript=Brasy2G265200.1 locus=Brasy2G265200 ID=Brasy2G265200.1.v1.1 annot-version=v1.1 MRPMTSSPLLSPSPSRLLRRLFSRSPRRRNPTTPPPLPPHLRRRLPLPHADSMSTASTRTPDSVVADSDAIARKAAAIRAAGAAKLQVIADFDGTLTRYWYDGSRGQTSHGLLKQGNEEFDAKRDALFEHYHPIEINPDIPLPEKAKLMEEWWGKTHGLLIEGGLTHEAIKKSVADATIAFRDGVAELFEFLEDRDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFKGKTIHVLNKNEHSLDMAAPVHDNLGDPNGSTDDYSLVKMRTNVLLLGDHIGDLGMSDGLNYENRIAAGFLNTNIEKSLKDYSEAFDIVYLNDASMWGVVDFVSDLFPKSNVV* >Brasy2G256100.1.p pacid=40070246 transcript=Brasy2G256100.1 locus=Brasy2G256100 ID=Brasy2G256100.1.v1.1 annot-version=v1.1 MLRRARHFLPTKSRRRRQRQPQPKAPAEPPTPTYTRDVVRRVNTILRDHPWSEARPLLLSLPGLIWDSHTVARVLKTHPPLQKAFLFFRLASSPAANPRATFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRKGRCRPTLVSYTACVKILFDAGRAAEGRRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFKATLEIMSNMQDAGVEPDKPLCNILVQKCSRAREISVLTLILQYMKEKLIVLRRPIFLEALEALRASGESDSLLREVNPHLSFEGIECDPKFCHLDYITDRSVIIYFLAAKNWSAIEHMINELNTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLKVGTELDRSAYSCLLGQYIRNDSFDLVFKIVEGLIKSGCDLGSYLLSVLILRLGCAGHSSYAANIFRLSSADQNVITYTALMSAYFQVGEVDKALELFSQMITNGISACAGTYEVLIYSLQMAGRKCDSEHYRRERMEMQWKHQYCDEHSLEDSLCNHLFCGFHG* >Brasy2G256100.2.p pacid=40070247 transcript=Brasy2G256100.2 locus=Brasy2G256100 ID=Brasy2G256100.2.v1.1 annot-version=v1.1 MLRRARHFLPTKSRRRRQRQPQPKAPAEPPTPTYTRDVVRRVNTILRDHPWSEARPLLLSLPGLIWDSHTVARVLKTHPPLQKAFLFFRLASSPAANPRATFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRKGRCRPTLVSYTACVKILFDAGRAAEGRRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFKATLEIMSNMQDAGVEPDKPLCNILVQKCSRAREISVLTLILQYMKEKLIVLRRPIFLEALEALRASGESDSLLREVNPHLSFEGIECDPKFCHLDYITDRSVIIYFLAAKNWSAIEHMINELNTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLKVGTELDRSAYSCLLGQYIRNDSFDLVFKIVEGLIKSGCDLGSYLLSVLILRLGCAGHSSYAANIFRLSSADQNVITYTALMSAYFQVGEVDKALELFSQMITNGISACAGTYEVLIYSLQMAGRKCDSEHYRRERMEMQWKHQYCDEHSLEDSLCNHLFCGFHG* >Brasy2G256100.3.p pacid=40070248 transcript=Brasy2G256100.3 locus=Brasy2G256100 ID=Brasy2G256100.3.v1.1 annot-version=v1.1 MLRRARHFLPTKSRRRRQRQPQPKAPAEPPTPTYTRDVVRRVNTILRDHPWSEARPLLLSLPGLIWDSHTVARVLKTHPPLQKAFLFFRLASSPAANPRATFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRKGRCRPTLVSYTACVKILFDAGRAAEGRRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFKATLEIMSNMQDAGVEPDKPLCNILVQKCSRAREISVLTLILQYMKEKLIVLRRPIFLEALEALRASGESDSLLREVNPHLSFEGIECDPKFCHLDYITDRSVIIYFLAAKNWSAIEHMINELNTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLKVGTELDRSAYSCLLGQYIRNDSFDLVFKIVEGLIKSGCDLGSYLLSVLILRLGCAGHSSYAANIFRLSSADQNVITYTALMSAYFQVGEVDKALELFSQMITNGISACAGTYEVLIYSLQMAGRKCDSEHYRRERMEMQWKHQYCDEHSLEDSLCNHLFCGFHG* >Brasy2G256100.4.p pacid=40070249 transcript=Brasy2G256100.4 locus=Brasy2G256100 ID=Brasy2G256100.4.v1.1 annot-version=v1.1 MLRRARHFLPTKSRRRRQRQPQPKAPAEPPTPTYTRDVVRRVNTILRDHPWSEARPLLLSLPGLIWDSHTVARVLKTHPPLQKAFLFFRLASSPAANPRATFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRKGRCRPTLVSYTACVKILFDAGRAAEGRRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFKATLEIMSNMQDAGVEPDKPLCNILVQKCSRAREISVLTLILQYMKEKLIVLRRPIFLEALEALRASGESDSLLREVNPHLSFEGIECDPKFCHLDYITDRSVIIYFLAAKNWSAIEHMINELNTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLKVGTELDRSAYSCLLGQYIRNDSFDLVFKIVEGLIKSGCDLGSYLLSVLILRLGCAGHSSYAANIFRLSSADQNVITYTALMSAYFQVGEVDKALELFSQMITNGISACAGTYEVLIYSLQMAGRKCDSEHYRRERMEMQWKHQYCDEHSLEDSLCNHLFCGFHG* >Brasy2G256100.5.p pacid=40070250 transcript=Brasy2G256100.5 locus=Brasy2G256100 ID=Brasy2G256100.5.v1.1 annot-version=v1.1 MLRRARHFLPTKSRRRRQRQPQPKAPAEPPTPTYTRDVVRRVNTILRDHPWSEARPLLLSLPGLIWDSHTVARVLKTHPPLQKAFLFFRLASSPAANPRATFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRKGRCRPTLVSYTACVKILFDAGRAAEGRRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFKATLEIMSNMQDAGVEPDKPLCNILVQKCSRAREISVLTLILQYMKEKLIVLRRPIFLEALEALRASGESDSLLREVNPHLSFEGIECDPKFCHLDYITDRSVIIYFLAAKNWSAIEHMINELNTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLKVGTELDRSAYSCLLGQYIRNDSFDLVFKIVEGLIKSGCDLGSYLLSVLILRLGCAGHSSYAANIFRLSSADQNVITYTALMSAYFQVGEVDKALELFSQMITNGISACAGTYEVLIYSLQMAGRKCDSEHYRRERMEMQWKHQYCDEHSLEDSLCNHLFCGFHG* >Brasy2G243500.1.p pacid=40070251 transcript=Brasy2G243500.1 locus=Brasy2G243500 ID=Brasy2G243500.1.v1.1 annot-version=v1.1 MDMCTTRFQVCLRQCTCSGLKSECSEVSTGVQRFWNSPVASVLFWWFQSPSTRTSGWSLSIASGWRSACWEAPCESRPQALDIGLRGTKLGCGEGGCGACTVMVSCYDQTTKKSEHFAINACLAPLYSLEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKDPPTEEQIEDSLAGNLCRCTGYRPIVDAFRVFAKTDDSLYTDSPSENANGQAICPSTGKPCSCRNETDVSTNESPLLSSAKIYLPCSYNEIDGNAYSEKELIFPPELQLRKFMPLKLNGFNGIRWYRPLKLQQLLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMVSVSHVPELHTLKVEEDGLRIGSAVRLARLQNFLKKVIIERGSDETSSCQAILGQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDVNNNVRITAAKDFFLGYRKIDLKPDELLLSVMLPWTRQFEYVKEFKQAHRREDDIALVNAGMRVHIREAEGNWIVSDVSIVYGGVAAVPLTATKTENFLTGKKLDSGLLDESFSLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLYVTHEMNIKGLLKDEMHAANLSAIQSYTRPVTVGTQDYESVRQGTAVGQPMIHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKPHARILSIDDSLAKSSPGFAGLFLSKDVPGANHTGPIIHDEEIFASDIVTCVGQIIGIVVADTHDNAKAAANKVNIEYSELPAILSIEEAIKAGSFHPNTNRCLEKGDVGQCFLSNTCDKIIEGEVQVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPQKHQKYVAYALGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDIDMMTTGQRHSFLAKYKVGFTNGGKIVALDLEIYNNGGNSLDLSLSVLERAMFSSDNVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIAENWIQHMATELKRSPEEIKELNFQSEGVVLHYGQSLQNCTIHSVWDELKASCNFVEARKAVNSFNGNNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFSIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGNHKSFAELAQACYMERVDLSAHGFYITPDIGFDWMVGKGTPFNYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLKFKVSLLKGVPNPKAIHSSKAVGEPPFFLASAVLFAIKDAIGAARAEEGHVDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV* >Brasy2G243500.2.p pacid=40070252 transcript=Brasy2G243500.2 locus=Brasy2G243500 ID=Brasy2G243500.2.v1.1 annot-version=v1.1 MGSLTAAAEDWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDQTTKKSEHFAINACLAPLYSLEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKDPPTEEQIEDSLAGNLCRCTGYRPIVDAFRVFAKTDDSLYTDSPSENANGQAICPSTGKPCSCRNETDVSTNESPLLSSAKIYLPCSYNEIDGNAYSEKELIFPPELQLRKFMPLKLNGFNGIRWYRPLKLQQLLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMVSVSHVPELHTLKVEEDGLRIGSAVRLARLQNFLKKVIIERGSDETSSCQAILGQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDVNNNVRITAAKDFFLGYRKIDLKPDELLLSVMLPWTRQFEYVKEFKQAHRREDDIALVNAGMRVHIREAEGNWIVSDVSIVYGGVAAVPLTATKTENFLTGKKLDSGLLDESFSLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLYVTHEMNIKGLLKDEMHAANLSAIQSYTRPVTVGTQDYESVRQGTAVGQPMIHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKPHARILSIDDSLAKSSPGFAGLFLSKDVPGANHTGPIIHDEEIFASDIVTCVGQIIGIVVADTHDNAKAAANKVNIEYSELPAILSIEEAIKAGSFHPNTNRCLEKGDVGQCFLSNTCDKIIEGEVQVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPQKHQKYVAYALGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDIDMMTTGQRHSFLAKYKVGFTNGGKIVALDLEIYNNGGNSLDLSLSVLERAMFSSDNVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIAENWIQHMATELKRSPEEIKELNFQSEGVVLHYGQSLQNCTIHSVWDELKASCNFVEARKAVNSFNGNNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFSIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGNHKSFAELAQACYMERVDLSAHGFYITPDIGFDWMVGKGTPFNYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLKFKVSLLKGVPNPKAIHSSKAVGEPPFFLASAVLFAIKDAIGAARAEEGHVDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV* >Brasy2G243500.3.p pacid=40070253 transcript=Brasy2G243500.3 locus=Brasy2G243500 ID=Brasy2G243500.3.v1.1 annot-version=v1.1 MGSLTAAAEDWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDQTTKKSEHFAINACLAPLYSLEGMHIITVEGIGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKDPPTEEQIEDSLAGNLCRCTGYRPIVDAFRVFAKTDDSLYTDSPSENANGQAICPSTGKPCSCRNETDVSTNESPLLSSAKIYLPCSYNEIDGNAYSEKELIFPPELQLRKFMPLKLNGFNGIRWYRPLKLQQLLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMVSVSHVPELHTLKVEEDGLRIGSAVRLARLQNFLKKVIIERGSDETSSCQAILGQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDVNNNVRITAAKDFFLGYRKIDLKPDELLLSVMLPWTRQFEYVKEFKQAHRREDDIALVNAGMRVHIREAEGNWIVSDVSIVYGGVAAVPLTATKTENFLTGKKLDSGLLDESFSLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLYVTHEMNIKGLLKDEMHAANLSAIQSYTRPVTVGTQDYESVRQGTAVGQPMIHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKPHARILSIDDSLAKSSPGFAGLFLSKDVPGANHTGPIIHDEEIFASDIVTCVGQIIGIVVADTHDNAKAAANKAPQKHQKYVAYALGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDIDMMTTGQRHSFLAKYKVGFTNGGKIVALDLEIYNNGGNSLDLSLSVLERAMFSSDNVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIAENWIQHMATELKRSPEEIKELNFQSEGVVLHYGQSLQNCTIHSVWDELKASCNFVEARKAVNSFNGNNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFSIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGNHKSFAELAQACYMERVDLSAHGFYITPDIGFDWMVGKGTPFNYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLKFKVSLLKGVPNPKAIHSSKAVGEPPFFLASAVLFAIKDAIGAARAEEGHVDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV* >Brasy2G495200.1.p pacid=40070254 transcript=Brasy2G495200.1 locus=Brasy2G495200 ID=Brasy2G495200.1.v1.1 annot-version=v1.1 MTHMFPNEGASSSSTSMSSQKSETDDDKMIAMVLEEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRFRALSDQLYRSPEYHKHVRKEIVKQLKACNSLYEGHVPMRYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDVPSKYKPRKKHWLF* >Brasy2G090700.1.p pacid=40070255 transcript=Brasy2G090700.1 locus=Brasy2G090700 ID=Brasy2G090700.1.v1.1 annot-version=v1.1 MDFMRRRGLDSNSLGRCVRILDCYSDPLGWKQNIQSQQHQEDNGTPYSAKKDNVAIFRSVKAVDKLLCSIIDLGTGFKGEGKTYFSVAVDSISSMLRHASVQSISGLLSNLRSHDQISSILWLMHSDLHEPKFSRAFECLSTMVASVKPEVVDSVYGEERIGNISFLEHNYSKAKFHVRLKRRNGRVKHLYEELHVEGYDVKIISISSVSTEVNQSLLPKVQFNLELSEKERSDRAKVVLPFEHQGKDEPIRIYDGRRSLPEASLDPHLTTKPPLDEIKAPKPATAKGEIHYIRDSDDERPDSDEDPDDDLDI* >Brasy2G090700.2.p pacid=40070256 transcript=Brasy2G090700.2 locus=Brasy2G090700 ID=Brasy2G090700.2.v1.1 annot-version=v1.1 MDFMRRRGLDSNSLGRCVRILDCYSDPLGWKQNIQSQQHQEDNGTPYSAKKDNVAIFRSVKAVDKLLCSIIDLGTGFKGEGKTYFSVAVDSISSMLRHASVQSISGLLSNLRSHDQISSILWLMHSDLHEPKFSRAFECLSTMVASVKPEVVDSVYGEERIGNISFLEHNYSKAKFHVRLKRRNGRVKHLYEELHVEGYDVKIISISSVSTEVNQSLLPKVQFNLELSEKERSDRAKVVLPFEHQGKDEPIRIYDGRRSLPEASLDPHLTTKPPLDEIKAPKPATAKGEIHYIRDSDDERPDSDEDPDDDLDI* >Brasy2G001000.1.p pacid=40070257 transcript=Brasy2G001000.1 locus=Brasy2G001000 ID=Brasy2G001000.1.v1.1 annot-version=v1.1 MSTTHRVARLRSFCFAAPAQHPCLPSFSHAKRTQRENRGDSFDHRHLREFSRRGGGSIQMSRRSLA* >Brasy2G415800.1.p pacid=40070258 transcript=Brasy2G415800.1 locus=Brasy2G415800 ID=Brasy2G415800.1.v1.1 annot-version=v1.1 MAAMLSKSIAVLALVLCASTVAESIKWTMFPVPWGRGAMAASKFQVASSPATAPSKPPVAAPKIPAVQPLMPTPAMAPVLPATTPSSIAPMPTPAMATVPPTASPSSNAPTPTPTLSSGQPAPAPVNTVPVSAPVQAQAGSPLAAPVQAPSGSPVAVPVQAPSGSPLAAPVQAPSGVLGLGSAPVQEPSGAPGAAPMPASSPMTSHPMQSPAAAPALGPAMAAPAAGPSAPVLSASGPSMAAPAPGPRAPDLSASGPSVAAPAPGPIRPIVLALSPATAAPAPMPKLPLPLPVTPPAPTAQPPALTPAIPAPVLPSAPSPSPVAALRSPSPSPAQTPPITRDSTTTVSGANLAAPMGAMAVAAALAVALF* >Brasy2G415800.2.p pacid=40070259 transcript=Brasy2G415800.2 locus=Brasy2G415800 ID=Brasy2G415800.2.v1.1 annot-version=v1.1 MAAMLSKSIAVLALVLCASTVAESIKWTMFPVPWGRGAMAASKFQVASSPATAPSKPPVAAPKIPAVQPLMPTPAMAPVLPATTPSSIAPMPTPAMATVPPTASPSSNAPTPTPTLSSGQPAPAPVNTVPVSAPVQAQAGSPLAAPVQAPSGSPVAVPVQAPSGSPLAAPVQAPSGVLGLGSAPVQEPSGAPGAAPMPASSPMTSHPMQSPAAAPALGPAMAAPAAGPSAPVLSASGPSMAAPAPGPRAPDLSASGPSVAAPAPGPIRPIVLALSPATAAPAPMPKLPLPLPVTPPAPVLPSAPSPSPVAALRSPSPSPAQTPPITRDSTTTVSGANLAAPMGAMAVAAALAVALF* >Brasy2G205000.1.p pacid=40070260 transcript=Brasy2G205000.1 locus=Brasy2G205000 ID=Brasy2G205000.1.v1.1 annot-version=v1.1 MTEQKGNILMQRYEMGRLLGQGSFAKVYYGRDVNTSQSVAIKVIDKEKIFKCGLMDQVRREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKVQRGKLKEDVARKYFQQLNSAVDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPELISKKGYDGAKADIWACGVILYVLLAGYLPFQDKNLMDMYKKIYKAELKWPSWFSSDARRLLRRILDPNPATRISFSEIMENPWFTTGLNSELLNYSILTENVVHVDMDPASDPFNNNTSDGKEETGKVTNLNAFDIIALSSGFDLSGMFGDNSNKESKFTSTNTAITIIRKLEDIAKSLRLKLIKKDGGLLKMESLRPGRKGVMSINTEIFQFTPNFHLVEIKKTNGDTLEYQNVMKQSMRPALKDIVWAWQGEQPQQ* >Brasy2G100300.1.p pacid=40070261 transcript=Brasy2G100300.1 locus=Brasy2G100300 ID=Brasy2G100300.1.v1.1 annot-version=v1.1 MATGAAAKTPTKPSLPAATAKTPAKLPAPAAPKTPARPSTTAAAAKTPSKSALRARAPQACENSDPNIIGSPPPPASKSKTPATTSARKKRCTPAPAPPPPAPQRRFLVAKKGARRRHNGVSGGGEFDFDKCREAAREALRASQEEFFRKEHAASASTEEQLQKEDEEKEEEKLAQEERNSGSFEKKEEEEEEEADVAELEGSSKVRAIRSRVMAKALNSVPDSGAGRVKHLVDAFESLLSISGATSDADRAGEESWALPGLQPWKDESEFGAPSDFPDTEPSRRCTSLDGNSNRSSWDSRTSAGGRKSRRNSSESLRSSWNRKLKVTSQHPFKLRTEQRGRAKEQHFIQKVQEMLIEDEKKRIHIAQGLPWTTDEPEYLIKPRVKERTEPVDLVLHSDVRALERAEFDQYVSERIKFGEQLRLEREHQQKLEEEEMIRQWRKELVPKAQPMPYFDRPFIPKRSTKTTTIPKEPNFHLRPEKLSCYCRQRCMVTGKLKGVSSGAM* >Brasy2G100300.2.p pacid=40070262 transcript=Brasy2G100300.2 locus=Brasy2G100300 ID=Brasy2G100300.2.v1.1 annot-version=v1.1 MATGAAAKTPTKPSLPAATAKTPAKLPAPAAPKTPARPSTTAAAAKTPSKSALRARAPQACENSDPNIIGSPPPPASKSKTPATTSARKKRCTPAPAPPPPAPQRRFLVAKKGARRRHNGVSGGGEFDFDKCREAAREALRASQEEFFRKEHAASASTEEQLQKEDEEKEEEKLAQEERNSGSFEKKEEEEEEEADVAELEGSSKVRAIRSRVMAKALNSVPDSGAGRVKHLVDAFESLLSISGATSDADRAGEESWALPGLQPWKDESEFGAPSDFPDTEPSRRCTSLDGNSNRSSWDSRTSAGGRKSRRNSSESLRSSWNRKLKVTSQHPFKLRTEQRGRAKEQHFIQKVQEMLIEDEKKRIHIAQGLPWTTDEPEYLIKPRVKERTEPVDLVLHSDVRALERAEFDQYVSERIKFGEQLRLEREHQQKLEEEEMIRQWRKELVPKAQPMPYFDRPFIPKRSTKTTTIPKEPNFHLRPEKLSCDAWSLEN* >Brasy2G100300.3.p pacid=40070263 transcript=Brasy2G100300.3 locus=Brasy2G100300 ID=Brasy2G100300.3.v1.1 annot-version=v1.1 MATGAAAKTPTKPSLPAATAKTPAKLPAPAAPKTPARPSTTAAAAKTPSKSALRARAPQACENSDPNIIGSPPPPASKSKTPATTSARKKRCTPAPAPPPPAPQRRFLVAKKGARRRHNGVSGGGEFDFDKCREAAREALRASQEEFFRKEHAASASTEEQLQKEDEEKEEEKLAQEERNSGSFEKKEEEEEEEADVAELEGSSKVRAIRSRVMAKALNSVPDSGAGRVKHLVDAFESLLSISGATSDADRAGEESWALPGLQPWKDESEFGAPSDFPDTEPSRRCTSLDGNSNRSSWDSRTSAGGRKSRRNSSESLRSSWNRKLKVTSQHPFKLRTEQRGRAKEQHFIQKVQEMLIEDEKKRIHIAQGLPWTTDEPEYLIKPRVKERTEPVDLVLHSDVRALERAEFDQYLYGCLKI* >Brasy2G100300.4.p pacid=40070264 transcript=Brasy2G100300.4 locus=Brasy2G100300 ID=Brasy2G100300.4.v1.1 annot-version=v1.1 MATGAAAKTPTKPSLPAATAKTPAKLPAPAAPKTPARPSTTAAAAKTPSKSALRARAPQACENSDPNIIGSPPPPASKSKTPATTSARKKRCTPAPAPPPPAPQRRFLVAKKGARRRHNGVSGGGEFDFDKCREAAREALRASQEEFFRKEHAASASTEEQLQKEDEEKEEEKLAQEERNSGSFEKKEEEEEEEADVAELEGSSKVRAIRSRVMAKALNSVPDSGAGRVKHLVDAFESLLSISGATSDADRAGEESWALPGLQPWKDESEFGAPSDFPDTEPSRRCTSLDGNSNRSSWDSRTSAGGRKSRRNSSESLRSSWNRKLKVTSQHPFKLRTEQRGRAKEQHFIQKVQEMLIEDEKKRIHIAQGLPWTTDEPEYLIKPRVKERTEPVDLVLHSDVRALERAEFDQYLYGCLKI* >Brasy2G056900.1.p pacid=40070265 transcript=Brasy2G056900.1 locus=Brasy2G056900 ID=Brasy2G056900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAQLQAQQQAAQLQAQATAAAQAQAQALAAAQELAKAAAAAGVNIDAADLVTDLNKQTQEKSTPPFYRPGAPSPASVAVSCSAPWHLLFQPQLDRPLLVEHMPSLLEMGGLDRPNLSTPASSSVSSVAPTAGPGNEGWLAA* >Brasy2G321200.1.p pacid=40070266 transcript=Brasy2G321200.1 locus=Brasy2G321200 ID=Brasy2G321200.1.v1.1 annot-version=v1.1 MEDDDEFGDLYTDIILPTSEPTKPPAAETLAQAAPAPNPNSAPAPASTAAADDDDDDDWLLGGSDPVAGVDPTADWVDEDEDVGAPPVKREVDAKPSAAAEEPDPLMGGGVGDPGAAIPGLSSTAAAGAAGSEDWDSDSEDDLQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPHIPGMEEQEWGEDATAAGPDGERKEGGESGKTMPVPGGRIGYSGGGQGFHPQHHSMFKYVRPGAAPGAPLTGAPGAPGQFRPAGPPGSFPFRGRGDWRPGAGRGMNKGFNSGYGMSPWGGSGRGFGGGLDFTLPPHKAIFDVDIDTTFEEKPWKYPGADISDYFNFGIDEEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADNRNKVDNGHTDFSAQGRVPTSMRPAMMTGRPIQVETGYGERFPSADTRLPRMRESDSVIEIVCQVPSDDPVADSSADQSEKDSQGGNKKANGVEESRPYTSEKNSSFGKSDHTRRLPVSSEGDMLAADAHGRSQPNYKTRGSPSRGARSLKGSSMGANHSQETESLNEVPCQTTSSKRRRDSQREKNPVDDSETKDGSEGSPAVGDETADKLSTDQFVDNDDKLALVDSAEVDGDDAISEPQTASETNEGDKLSHSNKKQKLISRVEQPPGLNGSDQDELRIVNSENSRGRSGSSKDNQKRLESGEEVLQDRRSRRVNDVRRHHGGEDRDSRRKDEYTRDVKADIERTHLASRGREDIHHPHVNRDRDIRGKSNDRVREPEIWQRREDNIHNRRGKEEDLRLDYNADVGARHRNKVRNDRDEDPHSRKWLDDGDWRGSRQRERGDMVLNRRESLDDPHIKRKKDEENMRRIKSENEDTVHAYRGRDDPNKRKRERDDVLDQKRRDDSVRMREKADDRSYAKNKEDNWRQREKEDRQRPKHENTLILQREEGRGTGRGGRIIDDKPVSAGRKKDESRSTLLNKETQERSKQNESGRRGQGAEENNMLNKGRSDVRPRDDNSNNSERNSRQEKINKTHDNNRLSSSSDARHASRDRYRESTKKGRGSEPNEHKRRRDDHDSHRSGKVEMKGVREQENGRDQATSSKMSKNPQRHDSFVKQGEEDAMSDDENTEDSRRGRSKLERWTSHKEIDYSNIDNETTFPSIKADAQVPTADVLGKSDIPAVVGNSDLKSSGDNGQASEKTAEERDRHLDTVERLKRRSERFKLPMPGEKEPPQSKKADTEVQTPQNESPAAGIEVKPERPARKRRWTGS* >Brasy2G196200.1.p pacid=40070267 transcript=Brasy2G196200.1 locus=Brasy2G196200 ID=Brasy2G196200.1.v1.1 annot-version=v1.1 MALSHSDGPPPSLPFSKLFSVRTAFVSAVTGGEQWYCGYRLPSSQQSGSLLFSQCPAFPGSLAEVEAAEMAATAAASSPGAWAFPCARKRLWSSSHPYTESHSSTTLAYTELPLEVILMRWPHRAMFLNQPELSHSDGPPPSLPFSKLLSVSTALVLDVTGGEQWYCGYLLPSSQQSGSLLFSQWPAFPGRYPLALQTPRLGRREEATWEMESADRTKSAMVATALLVDILAATSLRSAGRSNVGQAKLSSRTRICTPPLARRGSAQAPDTYAAISFLASTTARRSKVCRTSGITSAARARSTSSGLRSFRDGCRRREMSSATFSATTRLAWPAGKRLLMTTLTSAISAGGDPAVPPRWPLWFGDGKKAAR* >Brasy2G478800.1.p pacid=40070268 transcript=Brasy2G478800.1 locus=Brasy2G478800 ID=Brasy2G478800.1.v1.1 annot-version=v1.1 MAASSAALLPELYLLAAARGRHHHLLRMLPPRAPLLQQRRCHRRPLAVRFSTGDSGSGGGSAGAVEKRAVPEVTEEKGEEREEEVVGALELRWPPWEGISERYRLMGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLVGGRRVLKVGVLAWSLSTAIIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARTIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFESLKDLQSGDNEDTLNFGQTSAGSNGHISSSMSSKSSDPSLEDMTNSIKDVPWRNFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELNLNLTEAAWVSILPPLGSMIITSIAAPFADNLISSGVDTTKVRKICQTIAFVSPAAFMMLSSVDLGLPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLVDTTHSWSISLFAPSIFFYLTGTAVWLAFANSEPQDFSEPESE* >Brasy2G263500.1.p pacid=40070269 transcript=Brasy2G263500.1 locus=Brasy2G263500 ID=Brasy2G263500.1.v1.1 annot-version=v1.1 MEDDEYEEGMEMEMGGHQQLHHRGYGADEEVDGGYGGGGDGVEMEDEDADGEEARDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVVKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKFAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVDGQRLLIKKKHIRALQQRETPDEGWHDLVAKGFIEYIDTEEEETTMISMTINDLVSARNNPQEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDIKSGKDQKKR* >Brasy2G406900.1.p pacid=40070270 transcript=Brasy2G406900.1 locus=Brasy2G406900 ID=Brasy2G406900.1.v1.1 annot-version=v1.1 MAAASLRKGNARLPSEVNRALFVRNLPFNISSEEMYDIFGKYGAIRQIRVGNSKDTRGTAYVVYEDIYDAKNALDHLTGFNIANRYLIVIYHQTTKKYKKTNIKEKEDEITRLQETYGIGSKTPSSADM* >Brasy2G062800.1.p pacid=40070271 transcript=Brasy2G062800.1 locus=Brasy2G062800 ID=Brasy2G062800.1.v1.1 annot-version=v1.1 MEETEAGRSPGAPAVTTATSSSPAPAAARRYYGCVFCKRGFTTAQALGGHMNVHRRDRPKPAAPDIDAPTATGCYMAYPWPSAPPPQPPMRCGGSSFVLSRLRCAGDAEVAVWPAAAAAGGPSPRPRELSLFGADNDDDDGRHDLRLGLGCHGSGLRAPEEQGSEPERNKLDLELRLGPRPRH* >Brasy2G053200.1.p pacid=40070272 transcript=Brasy2G053200.1 locus=Brasy2G053200 ID=Brasy2G053200.1.v1.1 annot-version=v1.1 MGRSALDVVEISSDEEEEEAEAEEGSRPRKLVMLCPRLGWMLEVFADVVAVRDEPAPQALPPQQKKKGKPHGVRAGGDGGGGGDDDDDDDDDCVVLDGDPDRPVAVAGGGKGSAAGCGSNELEIVAEKGQIACRDFPHSRHLCSQFSFNTTPHVKHCSMCYCFVCDAPAPCKYWGNGLTIDDHCHATDKETKWKALRQAFKCKNLPASHPEKHQNVVYPTMSSPRQQVYEEYTSDEEYYEPDVEATLYVGNLPHDIDDKYLAQLFKNVGIVEFSEILYNRETGQSRGSGYVTMSTVEEAEMAVKTFHRRELHGKFMTVEMQSPHQHRSPVRIFVGNLPCEVDGSMLKLLFSEYGQVVDTKVAYGYVRGVWSSRRFGFVTMATREESDDAICYLHRRIWKGCKLRVEVAKGVPRQDS* >Brasy2G297900.1.p pacid=40070273 transcript=Brasy2G297900.1 locus=Brasy2G297900 ID=Brasy2G297900.1.v1.1 annot-version=v1.1 MSSPGLLAIPEQRVPVRWVPEREAQRNRGRGRLQRRQGRRRRGGLAIDLAAASDSTVAIGRGTFCMSQGEAVRATRRRFHR* >Brasy2G226600.1.p pacid=40070274 transcript=Brasy2G226600.1 locus=Brasy2G226600 ID=Brasy2G226600.1.v1.1 annot-version=v1.1 MYQASAKRLDYNDGFASPPPPPPPPLRRTSLRCSTSPTPTTRPPPPTSYPPPSLAREVSVYEKLHRWYHHPDLTDEKRITTSMWLPCFFVSIKCHCLMDIFWSNKQFAYLCVSLALARKESGD* >Brasy2G088300.1.p pacid=40070275 transcript=Brasy2G088300.1 locus=Brasy2G088300 ID=Brasy2G088300.1.v1.1 annot-version=v1.1 MAVCFRNWGRQPRWLGKGGRGTVSYDTCNKQAMQARQPRSQGRSNSLILNREGVIPVAKLTLGRFLLH* >Brasy2G032700.1.p pacid=40070276 transcript=Brasy2G032700.1 locus=Brasy2G032700 ID=Brasy2G032700.1.v1.1 annot-version=v1.1 MSGESSTRRPLFGGAISSTFPVRFQDVSNIREVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDVANEQDAGDNMVVEHSGTVQLAGLRIGEASAVAGTAIGKLAVSKGRQGREAQNNIRVYLANIRLKNAATDVVITAYEPLLINPLSESAQEVAAGPAVPAEEAGCLPMSEVFRLAVMNFDVHDWNLFNGSA* >Brasy2G292300.1.p pacid=40070277 transcript=Brasy2G292300.1 locus=Brasy2G292300 ID=Brasy2G292300.1.v1.1 annot-version=v1.1 MGGSIGGRCRISGLALLVCVVLLSTTVANGIRTGGATSVGTGAPGPAAVSATDAAAPPPPVAAAATTVPSERAASYDESKRKVPNGPDPIHNRRTRWGDAPARRV* >Brasy2G423500.1.p pacid=40070278 transcript=Brasy2G423500.1 locus=Brasy2G423500 ID=Brasy2G423500.1.v1.1 annot-version=v1.1 MTRKLKHTAHCCLCSILLLMFLMSQAKAKPCKCPDSDQQGNWGVLSTDAAGFQYHLIDQDSGLVRTIGSNLLRNLSDSDAKNKNHTGVLCTISEPILFWGYWGEHAHSDGVKEASFLVTIVYQPSLNESRRGITFLILPDEPLEDLEAHKHGSNGSLAKQLVASMVGPLDFSKEGLYRSSSAFLPGSSTNTKVSVDIGVLADRTRKRWDGRIMAVDINIDAAASSSSSIYAVWIGYDHVDRRLTVYVGVNGKPKPNNAVAEMQLNISSIISSSIYFGLLSTVAQQLRIAGGFHATVMYYDLPRPSLNLSYLSILFSVLGSVVATAVLAVDISCYFNSRYRQWRKDLDQLARSMERLPGVPIQVGFADIKKATRNFHDAMKLGAGGFGAVYRCTLPAAASKTGRPMDVAVKRFTRDVQNGCFDDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLVFEFMINGSLDQHLFPRVAGSANAAIRRWATRYEIVRGIATGLHYVHHEYEPVVLHRDIKASNIMLDSSFCARLGDFGLACTVAVGRNSATGVGGTWGYIAPEYVYCRKATRQTDIYALGVLILEVVTGKRALLAADLVVDDDDVHITDWVWRLHHEGRLRECVDAVLLAASSEDDDSNEQLADVGDDMERLLLLGLACSNPNPSDRPSMPDVVQVIAKSVPPPEVPSQKPRFVWPPPEWDANTGDDSASTAMAATNLDSRSTVSMDELQSVFTGQLWDAADCDGSRHRRTCLV* >Brasy2G160600.1.p pacid=40070279 transcript=Brasy2G160600.1 locus=Brasy2G160600 ID=Brasy2G160600.1.v1.1 annot-version=v1.1 MGTKGDGPAIGIDLGTTYSCVAVWRPLHNRVEVIPNDQGNHTTPSCVAFTDTCRLIGDAAVNQASVNSVNTVFDVKRLIGRRFMSASVQGDIKLWPFKVISGPIDRPMIVVQYKGEEKRFTAEEISSMVLIKMRQTAEAYLDKAVKDVVITVPVYFNDSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLDRISDNGSVKTLLIFDLGGGTLDISIINIDVGIFTVKATSGDTHLGGEDLNNRMVEHFVQDFLRIHKSDIRSNDS* >Brasy2G243600.1.p pacid=40070280 transcript=Brasy2G243600.1 locus=Brasy2G243600 ID=Brasy2G243600.1.v1.1 annot-version=v1.1 MDMDDVPTQQRNKLPDHLERQRTRVTCKADAPTHTQGFQYSGAFAAMGVDNSVSVEKFCNSFKIKINRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLDADPRLFDHISDDVPNERNTIVYKLHVSCEKGSQRLTVKSGQLEWLPEGSQLLMASAAQGEKQKTYTSFGQSQNNISERSIGVKYKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVLLKEIKDDDAEELVKKCPVNVFDIEDMGNGVKRAVVARPRACTLCRECVMGPTGEQVELRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCERVISELS* >Brasy2G173000.1.p pacid=40070281 transcript=Brasy2G173000.1 locus=Brasy2G173000 ID=Brasy2G173000.1.v1.1 annot-version=v1.1 MAAPRGFITPFQFGSKFYSGFITHFNFSRFHLLWCENLPWFHSPPVSNFTLVSLPISDFSGFHLLWCEISPWLHSPRCQILLWFHSSFTSV* >Brasy2G025300.1.p pacid=40070282 transcript=Brasy2G025300.1 locus=Brasy2G025300 ID=Brasy2G025300.1.v1.1 annot-version=v1.1 MLQQLLQLQAKHYSSSLLLLLWLALAATLSVPLLRLRLTRQGPPLPPGPWPMPIIGNMLIMGQLTHRGLAALALAGRHGGLFHLRLGRVHAVVVSSPAHAREVLSVQDAAFSGRPASAAVAYLTYGRADMAFAPYGRFRRQVRRLSSARLFSRRSSQSWLAVRDESARLVRAIAEMSGGEVVDVGELMFVLTKNVVFRAAFGAGESRGRRQEELVERLHEFSRLMGVFSVGDFFPWLRWVDGLRGVNGRLRRARGGLDELVDRIIDEHVEGKKRKGDVDADMVDDMLGFLDDDDDQNAAKEIKDDDDGLRLTRDNIKAIMMRRWRSCSDDLRRVQQELAQTIGLGRNVNDTDINVPDSLPFLRCVVKETLRLHPPVPLLLHESMADCVVGGYTVPRRSRVIVNLWAIGRDRSAWGLDADTFRPARFMAEAAGVDHKGGCFELLPFGSGHGVQDVRPRAPPPRLRVGAAARGEAGGARHGRRVRAHVAPRRQAPCRAQAPAHLPAVKYIA* >Brasy2G310900.1.p pacid=40070283 transcript=Brasy2G310900.1 locus=Brasy2G310900 ID=Brasy2G310900.1.v1.1 annot-version=v1.1 MGSEGSAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQARVNMTCVNQETGMVDPTRFPMLGNWRREHTMEDILISLKKEMSAPQNRRLHQPREGIVLFIASVAEIYLEEPQLHLRKSF* >Brasy2G310900.2.p pacid=40070284 transcript=Brasy2G310900.2 locus=Brasy2G310900 ID=Brasy2G310900.2.v1.1 annot-version=v1.1 MGSEGSAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQARVNMTCVNQETGMVDPTRFPMLGNWRREHTMEDILISLKKEMSAPQNRRLHQPREGNDDQRVEQKGLAIRCVIM* >Brasy2G243700.1.p pacid=40070285 transcript=Brasy2G243700.1 locus=Brasy2G243700 ID=Brasy2G243700.1.v1.1 annot-version=v1.1 MGWFRSEPVGPRPRPRPRVAAAGEAAAEARPRVGDRSSRGRRRVGLFYGEPQPRVGLFYGEPRPRVAAAGEATAEARPRRGAGEAGRRGRRSAAGAAGGGGASGCSVAADGEASSLRRRSREGRARGGGRSSSRRRGRVAAPEKQGGEGAGWRPEQQPTGWPRRGAGEAGRRGARRGAARRRRRGGAATEEEEEWIPFFLFVLETKQNSH* >Brasy2G358000.1.p pacid=40070286 transcript=Brasy2G358000.1 locus=Brasy2G358000 ID=Brasy2G358000.1.v1.1 annot-version=v1.1 MHSASATVQLLQLHSTTSTAVGMRPARGSALAGLVKGFPVRRWCYLEPGWIPAEVAAASQAFLARSSCTVDGSKERALDSSKHSGRAGARALVQAHSRHAVVPDCRAVSHDSSIHPQEDKPGCLKYQRECFCRLRRKELQQYNL* >Brasy2G114200.1.p pacid=40070287 transcript=Brasy2G114200.1 locus=Brasy2G114200 ID=Brasy2G114200.1.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.5.p pacid=40070288 transcript=Brasy2G114200.5 locus=Brasy2G114200 ID=Brasy2G114200.5.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.2.p pacid=40070289 transcript=Brasy2G114200.2 locus=Brasy2G114200 ID=Brasy2G114200.2.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.11.p pacid=40070290 transcript=Brasy2G114200.11 locus=Brasy2G114200 ID=Brasy2G114200.11.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.6.p pacid=40070291 transcript=Brasy2G114200.6 locus=Brasy2G114200 ID=Brasy2G114200.6.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.12.p pacid=40070292 transcript=Brasy2G114200.12 locus=Brasy2G114200 ID=Brasy2G114200.12.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.7.p pacid=40070293 transcript=Brasy2G114200.7 locus=Brasy2G114200 ID=Brasy2G114200.7.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.3.p pacid=40070294 transcript=Brasy2G114200.3 locus=Brasy2G114200 ID=Brasy2G114200.3.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.4.p pacid=40070295 transcript=Brasy2G114200.4 locus=Brasy2G114200 ID=Brasy2G114200.4.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.8.p pacid=40070296 transcript=Brasy2G114200.8 locus=Brasy2G114200 ID=Brasy2G114200.8.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.9.p pacid=40070297 transcript=Brasy2G114200.9 locus=Brasy2G114200 ID=Brasy2G114200.9.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G114200.10.p pacid=40070298 transcript=Brasy2G114200.10 locus=Brasy2G114200 ID=Brasy2G114200.10.v1.1 annot-version=v1.1 MRRAVLGFLCQALVKRNHPPTSRLYSLSCCLKSSDHQETSGSSVCSEYRSQCLLPLITLAVRTSNWDKARKISFGECVRLYGLSRTIGLFALLMRSFFPWRIREIRCFIQSIVDYCGSAGRELFELAPMLVSNLGGSMTLLQVYATIIRIFVELSMFEDALLTYTEAKKVGVELQLCNFLLKCLVERNQIIYARSLFDDMKSSGPLPNVYSYSVLMSVYTHGDRLYLAEAFELLCEMEMKGVKPNAATYGTYLYGLSRTRPVASAWNFLQMLCQRGYPCNTYCFNAVIQGFCREGQVQEAIGVFDEMKKRGLVPDTHSYSILVDGLCKQGDVLTGYDLLVEMARNGIAPTLVSYSSLLHGLCRAGKVELAFELFRRLEEQGFKHDHIVYSIILNGCCQHLDIEVVCDLWNDMVHHNFVPDAYNYTSLIYAFCRHRNLKDALGVFELMLDSGVSPNVVTCTILVDGFGKEGMIDEAFLFLHKVRQFGIVPNLCMYRVIINGLCKVNKSDHAWGIFTDMIKRGYVPDTVLYSIIIDSFVKALKLPEAFRLFHKMLDEGTKPNIFTYTSLINGLCHDDRLPEVVTLFKHMIGEGLTPDRILYTSLIVCYCKRSNMKAALEIFRGMGKLGLSADAFVYTCLIGGFSKVLAMDGAQCLMEEMINKGLTPSVVTYTNLIIGYFKIGDERKANMMYNNMLQAGITPDAKLSCILGFGDDAGGFEDSQEEKDVS* >Brasy2G331800.1.p pacid=40070299 transcript=Brasy2G331800.1 locus=Brasy2G331800 ID=Brasy2G331800.1.v1.1 annot-version=v1.1 MDRYCERMGVYSAVRDAIIAREDYDTPFPPFPLKVFPAATSACFVDGCCYHSVYKTHDTSTTPSTLGYRRPQRMLQFFSLCLSSSEPSYPISVYGILAVRDDLDKRRNYVFNCPRYAAVGTGKQDSFALPLCSPCRGMYVLDRTFVEVDLWLKKEGDGSADKHILSNYAEIEVRAQVDDMLVGRIPGDDCNLDLGFKVLAQCVEAVIEVYAKVDHPHHVRFTALSTAYGDDEIVLFDDELFGNEKQLQHIVAVKKNANLDVLLRVDESQFQWTFQDESVGAIASPNDSILEYGQFFVRVSFAPKNSK* >Brasy2G433100.1.p pacid=40070300 transcript=Brasy2G433100.1 locus=Brasy2G433100 ID=Brasy2G433100.1.v1.1 annot-version=v1.1 MASSPAAGTTTWPALATTLVLMLRGCLFVFLTVLPPAAIITPAAGHVVELDDMLMLDRFVRWQAAHNRSYGDAEERLRRFQAYRANVEYIEATNRRGGLTYELGENQFADLTSEEFLSMYASSYDAGDRADDETLMSVAGDGAWSTGAEDGDLEAPPPPSWDWRAKGAVTPPKSQGPTCSSCWAFVTVATIEGLNFIKTGKLISLSEQQLVDCDMYDGGCNRGSYSRGFRWVLENGGLTTEAEYPYTAVRGPCNRAKSAHHAAKITGQGRIPPQNELVMQKAVAGQPVGVAIEVGSGMQFYKTGVYSGPCGTNLAHAVTVVGYGVDPASGAKYWIVKNSWGQTWGESGYIRMRREVGGPGLCGIALDVAYPY* >Brasy2G113400.1.p pacid=40070301 transcript=Brasy2G113400.1 locus=Brasy2G113400 ID=Brasy2G113400.1.v1.1 annot-version=v1.1 MAASFSNVNSEAGLKKLNDYLLSRSYISGYQASKDDMGVYSAFSAAPPSKYTNVVRWYNHIDALLKLSGVTAPGQGVKVESSVVPEVSTPDVSEAPAADDDDDDDVDLFGEETEEEKKAAEERAAQVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVKMEGLLWGASKLMPVGYGIKKLQIMMTIIDDLVSVDTLIEDHLCVEPANEYIQSCDIVAFNKI* >Brasy2G400000.1.p pacid=40070302 transcript=Brasy2G400000.1 locus=Brasy2G400000 ID=Brasy2G400000.1.v1.1 annot-version=v1.1 MSRVASMDAISLDEWEFLPDHRSSFFMEFTAGDEEEAKKPPVPPLQDTSVQDPVVEFKDICVTQAESSPGGEFASKAIEILMISDAEEEEEAIVKCTAGCKEVCEDDVMVEAAPDLRDEVEEGVMKDRAGLECVGFGVGNLRVNGVGALCSFGVAAATFCIFLLGGKPQQQQHQKKKMQKQKSQFQMYADDERIQQAVEQASRLNQAMSSVMGGASSTRASISFGGYYDGF* >Brasy2G145100.1.p pacid=40070303 transcript=Brasy2G145100.1 locus=Brasy2G145100 ID=Brasy2G145100.1.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNEGRGRDGSECSYLHSENKKDDSSKKYQNSSSNQATQGKHESFHGPSEETTVAIPAIPLTLYMPVMRNSPGASADGLYKLLAAPIEAVPISYRPAEPIRQPERNFCNLSPLPGVLSALNGRGNGPNPLVHATALACGARVVPLEEAASLIKVIESKIRSGEATIARLPSSSLIPPVPEAVSMSSSSEDDEENDHSEPLMVNAEVNCHNDQSSEEMKLQGEQSELETESENCSAHPEK* >Brasy2G145100.3.p pacid=40070304 transcript=Brasy2G145100.3 locus=Brasy2G145100 ID=Brasy2G145100.3.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNEGRGRDGSECSYLHSENKKDDSSKKYQNSSSNQATQGKHESFHGPSEETTVAIPAIPLTLYMPVMRNSPGASADGLYKLLAAPIEAVPISYRPAEPIRQPERNFCNLSPLPGVLSALNGRGNGPNPLVHATALACGARVVPLEEAASLIKVIESKIRSGEATIARLPSSSLIPPVPEAVSMSSSSEDDEENDHSEPLMVNAEVNCHNDQSSEEMKLQGEQSELETESENCSAHPEK* >Brasy2G145100.4.p pacid=40070305 transcript=Brasy2G145100.4 locus=Brasy2G145100 ID=Brasy2G145100.4.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNEGRGRDGSECSYLHSENKKDDSSKKYQNSSSNQATQGKHESFHGPSEETTVAIPAIPLTLYMPVMRNSPGASADGLYKLLAAPIEAVPISYRPAEPIRQPERNFCNLSPLPGVLSALNGRGNGPNPLVHATALACGARVVPLEEAASLIKVIESKIRSGEATIARLPSSSLIPPVPEAVSMSSSSEDDEENDHSEPLMVNAEVNCHNDQSSEEMKLQGEQSELETESENCSAHPEK* >Brasy2G145100.2.p pacid=40070306 transcript=Brasy2G145100.2 locus=Brasy2G145100 ID=Brasy2G145100.2.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNEGRGRDGSECSYLHSENKKDDSSKKYQNSSSNQATQGKHESFHGPSEETTVAIPAIPLTLYMPVMRNSPGASADGLYKLLAAPIEAVPISYRPAEPIRQPERNFCNLSPLPGVLSALNGRGNGPNPLVHATALACGARVVPLEEAASLIKVIESKIRSGEATIARLPSSSLIPPVPEAVSMSSSSEDDEENDHSEPLMVNAEVNCHNDQSSEEMKLQGEQSELETESENCSAHPEK* >Brasy2G145100.5.p pacid=40070307 transcript=Brasy2G145100.5 locus=Brasy2G145100 ID=Brasy2G145100.5.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRLSNSDDNSLFSRAFPTNEGRGRDGSECSYLHSENKKDDSSKKYQNSSSNQATQGKHESFHGPSEETTVAIPAIPLTLYMPVMRNSPGASADGLYKLLAAPIEAVPISYRPAEPIRQPERNFCNLSPLPGVLSALNGRGNGPNPLVHATALACGARVVPLEEAASLIKVIESKIRSGEATIARLPSSSLIPPVPEAVSMSSSSEDDEENDHSEPLMVNAEVNCHNDQSSEEMKLQGEQSELETESENCSAHPEK* >Brasy2G145100.6.p pacid=40070308 transcript=Brasy2G145100.6 locus=Brasy2G145100 ID=Brasy2G145100.6.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNGKIRYKYDNDQLIH* >Brasy2G145100.7.p pacid=40070309 transcript=Brasy2G145100.7 locus=Brasy2G145100 ID=Brasy2G145100.7.v1.1 annot-version=v1.1 METSSHDPEAEKLIGHEDDTEFTPCEGMEFESEDAARDFYSIYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNGEGSHADQKQQERTGTRVGCKAMIMIKKIGPGKWVVTKFVKNHNHGAVPPRKPDVRSVDQNPSPMEKPNSIDMDPIEEPVEGMEFDTEEAAKSFYINYASLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRPKKEMTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKPVPCTSNITSGEVGEFAAKSSDPTEMKFEEYSAGVQCNSTDSLTVLYNNLCQEAMKFAKEGSVTEEIYHVAVSALKEATEKVAQVKRCHPMMPQRVVSVSENKRKVLYMKTMYASQFSDEVKQKITPSQLKLFQEPTSNPVLIPTNLVTDSGLSNSDDNSLFSRAFPTNGKIRYKYDNDQLIH* >Brasy2G049700.1.p pacid=40070310 transcript=Brasy2G049700.1 locus=Brasy2G049700 ID=Brasy2G049700.1.v1.1 annot-version=v1.1 MGQQQNQFNQGEGHHVGVCSKQKICFMCNIQVTTWIIVLYGSRHAYSRICGKCQSWIGFLSYQKVSGLVDLPSINLKEGLDSERITVKIMAWDGDLPDVGDLIEVWVQIRGIPPSVCHGRLVEEECEGTGKDIDKGEDDLLDDKIADLEVGREKEKVPKKGGIGSLVEEEGG* >Brasy2G151700.1.p pacid=40070311 transcript=Brasy2G151700.1 locus=Brasy2G151700 ID=Brasy2G151700.1.v1.1 annot-version=v1.1 MAGGGNRSSLLRGFLSLFFVMFLHIGHAACCFSPGSASQQHEEDADADGKGTGVGSSSSKRRKISPLAFSPAASSSTVAVEERSRASRSRRHVSSLATNLRCYLHRIFSSSSGSPNSNAGPAADEEGEAFTTTTLSSPLPQSSSSMAMTPQQHLAATSSSVLLSTPPSPCASPSPFLYSQSPMSPRSLSVTPPVPCSPARRLSRSFAARGDLFPCKSCGEVLSKPQQLELHQATKHSLSELSSLDSSTNIIRMIFLAGWKNPPSPPAVAVRRVLRIHHNPRALARFEEYRDLVRARSALQGAGAVLGVEEERCIADGNERLRFHCATALCSQLGSGSGGGGGVCGSPYCCACTILRHGFGGKQADVDGIATYSSGWAAHASLPEEVEREFAFLQVRRAMLVCRVVAGRVVRGGDTAGGDEKVAYDSMVPVTPVDGDADQLLVFNPRAVLPCFVIMYSSS* >Brasy2G088900.1.p pacid=40070312 transcript=Brasy2G088900.1 locus=Brasy2G088900 ID=Brasy2G088900.1.v1.1 annot-version=v1.1 MSYYNPHAHVGAPPPQGYQVDAYGKSGVEEPHGCQPYPPPPQTYPPSPQQQQNQPYPPPPGQPQYGPPSPGQQQYGPPPPQGQPYPPMAYPAHPYGQPPPPYGYAPPPQTYPPPYAQPPPPPPPPRHEGPSFAQSGGLLLLLPHGRVLLARTAITKCSKRGRHKT* >Brasy2G335100.1.p pacid=40070313 transcript=Brasy2G335100.1 locus=Brasy2G335100 ID=Brasy2G335100.1.v1.1 annot-version=v1.1 MIYLTCGGKCHSKFSNAVASGAGRVWLDHAVTVSLFPCWAHTHRRRHQCLGRRSKLRSVKSMGTLSLAVLFFTLHTPCSRVAEIGSGEVRATVAWRWYPGVRSGPIAQEDLRPRPVRPDSDRRSHGSWRLYRLLRSAI* >Brasy2G192000.1.p pacid=40070314 transcript=Brasy2G192000.1 locus=Brasy2G192000 ID=Brasy2G192000.1.v1.1 annot-version=v1.1 MASSATPTTRARPTPPVPSPVAHLSFSSDSSCFIVAGASAAHWLCCDTLEPRGLYQERDPAAATIVAAAGDLLHPKESRCAVVSLDAAKTSFSVRRWKPGHMNYHWRYAEEEATLQAAAEAEAVLAVRVHGDRIVLVYPRRLEVLGSSRRDVDGLVLLHRVETGENPLGLCAVSSSQGQGSDDLLAYACPGAEVGGVHVERWEAAGAAPVAVTIRAHSSDLKCMAMSCDGSLVATASVKGTVLRVFRATDGKLLQEVRRGSDSADIHCIAFSPDSKWFVVSSDKATVHVFSVVDVDSPAAANANKRSSLSFLGLGGSVLGYFLSEWSFAQFRVEEGRKYLVAFGKQPYTVHIIAMDGSFYRCGFDSEKGGHMEQLEFRNFINPQ* >Brasy2G222200.1.p pacid=40070315 transcript=Brasy2G222200.1 locus=Brasy2G222200 ID=Brasy2G222200.1.v1.1 annot-version=v1.1 MGSLIKFVKDDDGDLVYTFPCWIHSDIMDDNILTERATKMGSFTDGKSTGDTDLEKLNVIHIIDFSDLSIGDPLCDLIPLHLDVFHGDIDLLREYLRSYQLPFLRGKSNNDIYKSVQNSKFSRASYRAMCYCILHDDNVLGAIFGLWKELRTATSWEDVEHLVWDELNRYQQSPPAL* >Brasy2G499700.1.p pacid=40070316 transcript=Brasy2G499700.1 locus=Brasy2G499700 ID=Brasy2G499700.1.v1.1 annot-version=v1.1 MVPDPVPCCGEGTACPAASHPPSTQIGRLAGLGDGILTNQPPRALQRKENEAKKSKPSRAEFEDIPPGPAPPPREAEESKLRRPAASGSSVPGLTASLPPPLRAPTASSARLSRLRRPRPQPLVDGFLRPRRGSPPLLDPPAPGSNGGRSPSSAGLQQQGRRPIQVAASKNRGAKQPKKHDGVESQVGVEKDDNNKGANLEIQGCDAIISYTQLLTDAIYDEHIFSSVYEL* >Brasy2G499700.2.p pacid=40070317 transcript=Brasy2G499700.2 locus=Brasy2G499700 ID=Brasy2G499700.2.v1.1 annot-version=v1.1 MVPDPVPCCGEGTACPAASHPPSTQIGRLAGLGDGILTNQPPRALQRKENEAKKSKPSRAEFEDIPPGPAPPPREAEESKLRRPAASGSSVPGLTASLPPPLRAPTASSARLSRLRRPRPQPLVDGFLRPRRGSPPLLDPPAPGSNGGRSPSSAGLQQQAANSSCCIQKQRSKATKET* >Brasy2G499700.3.p pacid=40070318 transcript=Brasy2G499700.3 locus=Brasy2G499700 ID=Brasy2G499700.3.v1.1 annot-version=v1.1 MVPDPVPCCGEGTACPAASHPPSTQIGRLAGLGDGILTNQPPRALQRKENEAKKSKPSRAEFEDIPPGPAPPPREAEESKLRRPAASGSSVPGLTASLPPPLRAPTASSARLSRLRRPRPQPLVDGFLRPRRGSPPLLDPPAPGSNGGRSPSSAGLQQQVCTLLFFFF* >Brasy2G371100.1.p pacid=40070319 transcript=Brasy2G371100.1 locus=Brasy2G371100 ID=Brasy2G371100.1.v1.1 annot-version=v1.1 MACLQENAMATDENAVAHRAAGGENTVNHRKKHLNSDDMDLSGEDHVPKARKPYTITKQREKWTEEEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVTKESSGSSGGSGSVATAAIQIPPPRPKRKPAHPYPRKADEGAPAASKHGVTGLTQLERPPPVRMQSMCEQEDGSPTSVLGGGFSNNSNGSRSPLPSAAGSDEHGNNNVYGSSVDGCFSSSIATDELGLQVPKTKASERISNGDVKEASYTGSETSVLKLFGKSVVLKDSCQKPNTSTGTLHNGGDPGTEASAETAPQRSRSVSSVGAAEGGSWNPWPSSMQQFVYFVPQPSDGFTTQSAMPWFSYNGALPGALFYQQAVAPGQQHRHHSTAAHHKATQREGSWTGSNTAPGSAAAQNSEAADSRGRGNSSESDTTPLPRLTKCESSVSVSLQRGFVPYKRCAAESESPRSEAPREEADGELTRLCL* >Brasy2G351700.1.p pacid=40070320 transcript=Brasy2G351700.1 locus=Brasy2G351700 ID=Brasy2G351700.1.v1.1 annot-version=v1.1 MWVPLCFVVVALLATAGTAVVDAAAGRREVYVVYMGAVPPRTPPSFLQETHLRLVGTVLKGQVARSVVVQQYKHGFSGFAARLSKDEAAALRRKPGVVSVFPDPLYQLHTTRSWDFLQQQQQQQQTDVVKIGSSAKSRHSPKPSAASSSATTAADTIIGLLDSGIWPESPSFDDAGFGPVPARWKGTCMAGDDFNSTNCNKKLIGARYYDVGEVTKGGGGVRRSGSARDQAGHGTHTSSTAAGNAVAGASYYGLASGTAKGGSAASRLAMYRVCSEEGCAGSAILAGFDDAIGDGVDVISVSLGASPYFSPDFSEDPIAIGAFHAVAKGVAVACSAGNAGPGSATVVNAAPWIMTVAATTIDRDFESDVVLGGGNSSAVKGGAINFSNLDKSPKYPLITGESAKSSSVSDNKSASHCEPGALDTSKIKGRIVLCHHSQSDTSKMVKVDELKSGGAVGSILVNDVERSVTTAYLDFPVTEVTSAAAANLHKYIASTSEPVATITPSITVTEYKPAPVVAYFSSRGPSSQTGNILKPDVAAPGVNILAAWIPTSSLPSGQKQPSQFNLISGTSMSCPHVAGAAATIKAWNPTWSPAAIRSAIMTTATQMNNDKAPMTTDAGSAATPFDYGAGQVNPTGALDPGLVYDLAAEDYLQFLCNYGYGASQIKLITSSLPSGFSCAANASKDLISDLNYPSIALTGLGSSRTVSRAVTNVGAQEEATYTVAVAAPTGLDVKVVPSELRFTKSVKKLSFQVTFSSNGTAAKGTLSGSVTWSDGKHTVRSPFVVSR* >Brasy2G433300.1.p pacid=40070321 transcript=Brasy2G433300.1 locus=Brasy2G433300 ID=Brasy2G433300.1.v1.1 annot-version=v1.1 MSNITLDQVDLHLFDGMLVRVFFIFWVHCRLVQHWTLAAHWIRWWASFQTENMLGDLVPFSNKVYNGSLDRQLGITARSPSLAAARRIVSRCCLNYCCAAICTNLSDLCKEKFCNSANRHLVMPQKKSGGWGDMTRGEMDPLLLLLLQENNSSC* >Brasy2G232100.1.p pacid=40070322 transcript=Brasy2G232100.1 locus=Brasy2G232100 ID=Brasy2G232100.1.v1.1 annot-version=v1.1 MEGLTESEIAGFVVGGLLLGASIAAQRVDGFIATSQRRSLNMCKRCGDLRMVACSQCKGVGSVRKSGIFTFGLLDDIYESLGAETKAADLVACSVCRSKGRLRCPECSNVR* >Brasy2G207600.1.p pacid=40070323 transcript=Brasy2G207600.1 locus=Brasy2G207600 ID=Brasy2G207600.1.v1.1 annot-version=v1.1 MGLLDKLWDDTVAGPRPDTGLGRLRNLAARPAAVKINDVAADAAPKVIPPTTPAGGEDAPMRVTRSIMIKRPAGYPSSPRSAASTPPASPAGSTPPISPFAGAGGRFRRKSSSDAYERATPPGPSTSHPPPFEM* >Brasy2G184900.1.p pacid=40070324 transcript=Brasy2G184900.1 locus=Brasy2G184900 ID=Brasy2G184900.1.v1.1 annot-version=v1.1 MGTGEGGRRRRRVEADDDDGDEEYVLEEEDDEDLSASSAGEQGGVSDAEYEVDEEDEDDETPRPKRPVKADDRARKRKRNPAAVRSRRCRYEEDDDYSEDLEEEEEIGGYQEDLEEDEEPPRLNCATECGGRSQKEKLAPVAERSKRRGEEDDMDFDPDLDEGEEEEEEDMDFDPELEGDEEEFEGEEEEESGDSHTRKIPRIKNTVRRNSASKRGKKKKSSSKVSKRKVGSAKARKAVPTRRRRKRHAIEHYEDDEDFIVEDQIEVNRHSRKKGRFRRQVEVDCPEPVTEEDTWPAIDSDTSEFEFASSDEEPEDAELVVVRARKGRKKRAFGSGSSSGSEFHVSDEELGDVMEEQAKRKKRTFVSGSSSDSEFHVSKELGTSSETKRKKKPFVSGSSSDTEDKELGNVREEEAKRKKRIIISQSTSDSDFHISDKELEVFGEAKPVEAQATPPVSPRRISFTRNGEDKGKEKRELVDAGKPRCGICLSEDQKMTLQGVLDCCSHFFCFACIMEWSRVESRCPLCKRRFTTITKSSKVDLCLELKNSVIMVEERDQVYQPTQEEIRRWLDPYENLVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLSGEGSSHARSLANSSSAQLGATGPTGTFERSRSINLWQGFDLNVSPREIPRQNHTAQSRASTVGLSTPSGRLATLSRRRGWIRILLDRQRPVVSPNVGHNGVQHSGYVPRAEPGHMNFCASAESNSWQHSSSVQRTEPNHRNLHAHSDANTSLTQLDEIQNQPSYFPSVQAQINSTPCISVDGNNFQRAECVKSNVKDMCGISPH* >Brasy2G374200.1.p pacid=40070325 transcript=Brasy2G374200.1 locus=Brasy2G374200 ID=Brasy2G374200.1.v1.1 annot-version=v1.1 MALVDALCADAALVYDTFNASSSSAAGFLLFDNAAAAAFSDAGGIVNVNAGPAPVQLDMAPAPEEKQVAAPAPARRKRRRRARSCKSREESECQRMTHIAVERNRRRQMNEYLVVLRSLMPDSYVQRGDQASIVGGAIDFVKELEQQLQSLEAQKRTLLVHHQRKAARCDAMPMPMPTRTSGTGCVVESSTTSNCSSSVTAEEHHAASSDADLLGRPPFAGFFTYPQYVWCHSAPPGDSSSGMMLSAAEDQGGRAGVADVEVSLVETHASVRVMAPRRPGQLLRIVAALQALRLAVLHLNVVSALDSLVLYSLSVKVEEGCGLTTADDIAAAVHHVLCFIHAEAASQELLAASQ* >Brasy2G141300.1.p pacid=40070326 transcript=Brasy2G141300.1 locus=Brasy2G141300 ID=Brasy2G141300.1.v1.1 annot-version=v1.1 MEYVNPLTGFRVDGRRPNEMRQLKGDVGVVSRADGSALFEMGNTRVIAAVYGPREVQNRSQQVNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPHSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSSPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFETVMELAIEGCKAIATYIREILLENTKQLEYRRG* >Brasy2G101800.1.p pacid=40070327 transcript=Brasy2G101800.1 locus=Brasy2G101800 ID=Brasy2G101800.1.v1.1 annot-version=v1.1 MSGIPYFYLMQKKRIQGKGENNKRISSFSEGLLVLLIVYSAQEKGLFAFFLCRFFFSCLEIRILFLSIRQRLQFLLYSGLSLGPLLLRFRGGSAQTEGREIWQGTNLL* >Brasy2G355700.1.p pacid=40070328 transcript=Brasy2G355700.1 locus=Brasy2G355700 ID=Brasy2G355700.1.v1.1 annot-version=v1.1 MSSSSLIHGISISVSDDDEASGKVRVRVRRKRNRHPVSARRRFLRRAARLGGPLLLASLAVFLFTYEYYRLYPYYSPSTTSSSSSLPPPQASNLSRVDRAAGAADGARKSCLKMLDHEMLQNLELPETPEQNLPVKKVVYRSSPPHLEDNISSHMTNSRFNSFTGYQTLTEREESFKPKETTTVHCGFYSENGGFRISDVDKDYMRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.2.p pacid=40070329 transcript=Brasy2G355700.2 locus=Brasy2G355700 ID=Brasy2G355700.2.v1.1 annot-version=v1.1 MSSSSLIHGISISVSDDDEASGKVRVRVRRKRNRHPVSARRRFLRRAARLGGPLLLASLAVFLFTYEYYRLYPYYSPSTTSSSSSLPPPQASNLSRVDRAAGAADGARKSCLKMLDHEMLQNLELPETPEQNLPVKKVVYRSSPPHLEDNISSHMTNSRFNSFTGYQTLTEREESFKPKETTTVHCGFYSENGGFRISDVDKDYMRSCRVVVATCAFGGGDDLHQPIGMTDVSVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.3.p pacid=40070330 transcript=Brasy2G355700.3 locus=Brasy2G355700 ID=Brasy2G355700.3.v1.1 annot-version=v1.1 MLDHEMLQNLELPETPEQNLPVKKVVYRSSPPHLEDNISSHMTNSRFNSFTGYQTLTEREESFKPKETTTVHCGFYSENGGFRISDVDKDYMRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.4.p pacid=40070331 transcript=Brasy2G355700.4 locus=Brasy2G355700 ID=Brasy2G355700.4.v1.1 annot-version=v1.1 MLDHEMLQNLELPETPEQNLPVKKVVYRSSPPHLEDNISSHMTNSRFNSFTGYQTLTEREESFKPKETTTVHCGFYSENGGFRISDVDKDYMRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.5.p pacid=40070332 transcript=Brasy2G355700.5 locus=Brasy2G355700 ID=Brasy2G355700.5.v1.1 annot-version=v1.1 MSTTASTHTIHLPPPPPPPRFPHRRPATSAASTAPPEQPMAPGSPKETTTVHCGFYSENGGFRISDVDKDYMRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.6.p pacid=40070333 transcript=Brasy2G355700.6 locus=Brasy2G355700 ID=Brasy2G355700.6.v1.1 annot-version=v1.1 MRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G355700.7.p pacid=40070334 transcript=Brasy2G355700.7 locus=Brasy2G355700 ID=Brasy2G355700.7.v1.1 annot-version=v1.1 MRSCRVVVATCAFGGGDDLHQPIGMTDVSVRKVCYVAFWDEVTRLAQQEEGNKIGEDLMIGHWRIILVRDLPFMDQRLNGKIPKLISHRLFPMARNSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRRDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKERR* >Brasy2G138600.1.p pacid=40070335 transcript=Brasy2G138600.1 locus=Brasy2G138600 ID=Brasy2G138600.1.v1.1 annot-version=v1.1 MASLVAVQPSAAVKGLAGSSMSGRKLSAIARPSSLCRSTRRPRGVAVQAKYGEKSVYFDLDDIGNTTGQWDLYGSDAPSPYNGLQSKFFQTFAAPFTKRGLLLKFLLLGGGSLIGYLGATTSPDLLPIKKGPQLPPTPGPRGKI* >Brasy2G435500.1.p pacid=40070336 transcript=Brasy2G435500.1 locus=Brasy2G435500 ID=Brasy2G435500.1.v1.1 annot-version=v1.1 MEAAGVGSQSRQLYGGGLGEPAKDMRGKRLFGWDLNDWSWDSERFVATPAPAAAVANGLSLNSSPSSSEEADVEVARTGNVRGDSDKRKRVVVIHDDEDDEKDEEPVDNNGRVLSLRIGGDTTVAGGAVEVRDVNEEDRNGKKIRVQGGSSSGPACQVEGCCADLTAAKDYHRRHKVCEMHAKANTAVVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPEIAVGGTPIEDKVGSYLLLSLLGICANLNSENAEHLQGQELLSNLWRNLGSVAKSLDPKELCKLLETCQSMQNGSNTGTSEAANALVNTAAAEAAGPSNSKAPFTNGGQREQTSSAVIPVQSNATVVATPETPACRIRNFDLNDTCNDMEGFEDGSNCPSVQQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFDKVPSDLPPILRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVDSAWRELSENMSLYLDKLLSSSTDNFWASGLVFVMVRHQIVFMHNGQVMLDRPLAPNSHHYCKVLCVSPVAAPSSATVNFRVEGFNLVSASSRLICSFEGRCIFQEDTAIVDDDAEHEDIECLNICCSLPGSRGRGFIEVEDSGFSNGFFPFIVAEQDVCLEVCELESILKSSSHEQADNDNARSQALEFLNELGWLLHRANRISKHDKVELPLAAFNLLRFRNLGIFAMEREWCAVTKVLLDLLFDGFVDVGLQSPKEVVLSENLLHTAVRGKSVQMVRFLLRYKPSKDQKEIAETYLFRPDARGPSTFTPLHIAAATSDAEDVLDALTSDPGLVGLNAWKNVRDETGFTPEDYARQRGNDAYMNLVQKKIDKHLGEGHVVLGVPSSMCPVLTDGAKPGDISLEISKSMPMAPQPVSSCSICSRQARMYPSSFANTFLYRPAMFTVMGVAVICVCVGILLHTLPKVYAAPNFRWELLERGPM* >Brasy2G238600.1.p pacid=40070337 transcript=Brasy2G238600.1 locus=Brasy2G238600 ID=Brasy2G238600.1.v1.1 annot-version=v1.1 MSADAVVSERKRKPLLPEGLLLPASMVLVQVFTMGALLLSKLAFNVGMAPFVLLAYRNLIGGITVAPFTFYFERDMMKKVNLKVWGWISVNALFGIVLAMGLQYYGLRATNAAYSVNFLNVIPVVTFIIAIILRVEKLKIETCPGKMKVIGTVIVVSGTMVISLYRGKLLHLWPTHLLKPQLQAAGAASAIPNHHNMLIGTMFLSGSCLSYAFWFIIQARVSKDFPSMYFSTMLACASGTMQAVVIGIMIDRDPSSWALSWDLQLLTVVYSGVFNTGVSFCLISWAVARRGPTYPSMFNSLSLMITTVLDSVLLGTDVSVGSLLGALLIIVGLYAFLWGKGKEMQEQRKQISAAAANTERSKGSGAAVGNGVDSVQVGKQEVRIRVEGS* >Brasy2G147400.1.p pacid=40070338 transcript=Brasy2G147400.1 locus=Brasy2G147400 ID=Brasy2G147400.1.v1.1 annot-version=v1.1 MNRIPSVSLRGDAAEADAAALARWARAFCVIRFDLERGQLVEACFPPDALAHGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRIPDPSPPGSAAASRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDIGHTALSMVASHVAAWPAPAPGCPMELPIGSAALRVHLPQAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPILVVAPSPPQCSEAVAGLVSLVAPILYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLRSLKSIPNVVSVGSPNPNSTRVLPAGGQSPASANGANGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLSLMTEHREALWSTYVTTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPLEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRKFLEGPNFMPWFRQRRSAAEQDQQRLWKQARMNVDIEKLLSKMSELERIDSFNVIERYLLKEMENPGKGSAESIAACQKLKTDLQVAFSVLPKDMQQLLLSNPKRAVLLQGSQEKVPGFDHIVSQTSL* >Brasy2G419200.1.p pacid=40070339 transcript=Brasy2G419200.1 locus=Brasy2G419200 ID=Brasy2G419200.1.v1.1 annot-version=v1.1 MSISSAGADVAGGSHLLQVHGYSIARDCAPDGMSIKSNPFTVGRRRWELSVFPNGDLSAESDGFISVSLTFLGDASATDPDAEAWPVKLRAEFSFADEANKQGPEHVWTRQTVGLPGAGFGVGYPRFIQQEAFEASRHLDKDGDCFTVRCDLLVIDEIEPEEMIVDDENGTRYRMPNVLRCVACKSRPAGIVSKPEGSHICACLLCCQHADELGSSLATQCAGCHDYYLPGADGLASYPPCPYPVLFRPPPTTG* >Brasy2G201800.1.p pacid=40070340 transcript=Brasy2G201800.1 locus=Brasy2G201800 ID=Brasy2G201800.1.v1.1 annot-version=v1.1 MDGKKKRNKKKKGNQGRNTGDATSNAEEAAPQSHNHDSAPKDHYSGTDADDAMSSVGEGIPQYQNHVLPPQANQNTANADETISSVGQVIPCYENLEPTMTPEKQKFGNTVYADQRSIGMSDSSVELDKDRLYEEKLDKLHGTIKQLEDEKSLWVKKVNMMEIELEKLHNKVGYHARNEVLLEEKLDILQNGHDMLVTKEEVLDNKVSCLEDANVVLTHEETSLKERLSGLEETNKALLEQVKVLDEASKNTVEENQSLLTSIYELESRLQAVEAKIFLSEVSITKEVPENKLMDHQTDLTGSLLHNQTTDFTNLISNEGNELIGDRGLNSSVTVTSENNHSHINNSSNIAYISNHPDETSSQFPEATSSSADQGFIHENAHQGFEKPRINEEIMPVPLDDIQIHEDVPQPRGLDETDEVPFTDAPIVGAPFRLISFVARYVSGADLVDQK* >Brasy2G201800.4.p pacid=40070341 transcript=Brasy2G201800.4 locus=Brasy2G201800 ID=Brasy2G201800.4.v1.1 annot-version=v1.1 MDGKKKRNKKKKGNQGRNTGDATSNAEEAAPQSHNHDSAPKDHYSGTDADDAMSSVGEGIPQYQNHVLPPQANQNTANADETISSVGQVIPCYENLEPTMTPEKQKFGNTVYADQRSIGMSDSSVELDKDRLYEEKLDKLHGTIKQLEDEKSLWVKKVNMMEIELEKLHNKVGYHARNEVLLEEKLDILQNGHDMLVTKEEVLDNKVSCLEDANVVLTHEETSLKERLSGLEETNKALLEQVKVLDEASKNTVEENQSLLTSIYELESRLQAVEAKIFLSEVSITKEVPENKLMDHQTDLTGSLLHNQTTDFTNLISNEGNELIGDRGLNSSVTVTSENNHSHINNSSNIAYISNHPDETSSQFPEATSSSADQGFIHENAHQGFEKPRINEEIMPVPLDDIQIHEDVPQPRGLDETDEVPFTDAPIVGAPFRLISFVARYVSGADLVDQK* >Brasy2G201800.3.p pacid=40070342 transcript=Brasy2G201800.3 locus=Brasy2G201800 ID=Brasy2G201800.3.v1.1 annot-version=v1.1 MDGKKKRNKKKKGNQGRNTGDATSNAEEAAPQSHNHDSAPKDHYSGTDADDAMSSVGEGIPQYQNHVLPPQANQNTANADETISSVGQVIPCYENLEPTMTPEKQKFGNTVYADQRSIGMSDSSVELDKDRLYEEKLDKLHGTIKQLEDEKSLWVKKVNMMEIELEKLHNKVGYHARNEVLLEEKLDILQNGHDMLVTKEEVLDNKVSCLEDANVVLTHEETSLKERLSGLEETNKALLEQVKVLDEASKNTVEENQSLLTSIYELESRLQAVEAKIFLSEVSITKEVPENKLMDHQTDLTGSLLHNQTTDFTNLISNEGNELIGDRGLNSSVTVTSENNHSHINNSSNIAYISNHPDETSSQFPEATSSSADQGFIHENAHQGFEKPRINEEIMPVPLDDIQIHEDVPQPRGLDETDEVPFTDAPIVGAPFRLISFVARYVSGADLVDQK* >Brasy2G201800.2.p pacid=40070343 transcript=Brasy2G201800.2 locus=Brasy2G201800 ID=Brasy2G201800.2.v1.1 annot-version=v1.1 MDGKKKRNKKKKGNQGRNTGDATSNAEEAAPQSHNHDSAPKDHYSGTDADDAMSSVGEGIPQYQNHVLPPQANQNTANADETISSVGQVIPCYENLEPTMTPEKQKFGNTVYADQRSIGMSDSSVELDKDRLYEEKLDKLHGTIKQLEDEKSLWVKKVNMMEIELEKLHNKVGYHARNEVLLEEKLDILQNGHDMLVTKEEVLDNKVSCLEDANVVLTHEETSLKERLSGLEETNKALLEQVKVLDEASKNTVEENQSLLTSIYELESRLQAVEAKIFLSEVSITKEVPENKLMDHQTDLTGSLLHNQTTDFTNLISNEGNELIGDRGLNSSVTVTSENNHSHINNSSNIAYISNHPDETSSQFPEATSSSADQGFIHENAHQGFEKPRINEEIMPVPLDDIQIHEDVPQPRGLDETDEVPFTDAPIVGAPFRLISFVARYVSGADLVDQK* >Brasy2G204100.1.p pacid=40070344 transcript=Brasy2G204100.1 locus=Brasy2G204100 ID=Brasy2G204100.1.v1.1 annot-version=v1.1 MASAVSRDDELVVKSPNDNRSYRLLRLANGLCALLVHDPEIYADGCPAPNPSEDVDMENGDDDDDEEDEDDDEEYSDEEGEDDDDEEEDEEDEDGSEPKRGKEKGGSEPVIKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFDVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSPGHPLNRFTWGNKKSLVEAMGSGINLREEILQMYTSNYHGGMMKLVIIGGEPLDTLEAWTMELFSKVKAGPLLDISPKTDMPFWKSGKLHKLEAVRDVHSLYLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWASSLSAGVGSGGAQRSSYAYIFEMSIRLTDSGLKNLYEVITAVYQYLNLLKQSDPQEWIFKELQDIGYMEFRFAEEQPPDDYVVDLAENMLFYSEKHIVAGEYLYEGWEPELVKHVLSFFHPDNMRVDILTKSFDKQSQAIKCEPWFGSQYIEEDIPSSLIESWRNPGQIDANFHLPRKNEFIPGDFTLRNASIDKSLNDDNPRCILDEPFIKLWYKMDSTFNVPRANTYFLISVKDGCSSLRNSVLTDLFANLLKDELNEVLYQAYVAKLETSLSVVGSNLELKLYGYNDKLAVLLSNILAASQSFAPKIDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLATLTFSDLAVFVPELLSQLHIEGLCHGNLSGEEVIHISKIFRNTLSAQTLPEEARHGERVFCIPNGANFLRSVRVKNDPEENSVVEVYFPVEQDVGKEATRLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPIYLQSRIDNFINGLSALLDALDEETFEHHKSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYDTYIRSSSPKRRRLAIHVYGCNSDIAEAAKLQEQSWTAIEDIKSLKVSSQFYSSLC* >Brasy2G276000.1.p pacid=40070345 transcript=Brasy2G276000.1 locus=Brasy2G276000 ID=Brasy2G276000.1.v1.1 annot-version=v1.1 MARAAAGTSSAAATTTWSSSEEAARPTSTVYSQSLSRRGVPPLLLVASLATLLILSSGDDAATYDASTTARPLKDVNLENPEVTFAPASLGGQFCERVRISGVPRLRLQSYANQVHITMNVSLSMREKFHRKIEVCFHGNVSMGLCQCEIGEWQVLQDGMWNAVKSPYGIKYVDVKLADKKSTAFNLSIQEDFQKWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLLYLTIYGSALGVGSYAVHYFSTLVASILENFGWSEEMHNPVSIFLLVAVVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAIFFVMQSTLDPILALVALTMSWWVCSVLTANKVQEPMAPKQKQLKVSSQPKFTQVSPSTRQVQFLSPSSRMNIGRGPSNSPATQYGWNNLANGGLVPSTVTRRVLPNRDEDHYSTFHNIKPRKYSKREWEEFTEESTRNALMEHTATPEFAQWAADNAHRMRIEREDASDDDTIESSSNSSEETEEVDKPTSLLSRLFALTKL* >Brasy2G054700.1.p pacid=40070346 transcript=Brasy2G054700.1 locus=Brasy2G054700 ID=Brasy2G054700.1.v1.1 annot-version=v1.1 MSGASHHLSSGDFFHFAAHDDLASLFAPSARPAAGPASPMQQQSPWSFLAADYLQQQQHATAPPLDLGLDYDDDAFAREYGFDLPGAATAVEDQVKREVQQQAGDGAGGGPGGTPHSVSMSSTSSGAGAGGGGGEGESAGKSAKEEGEGDETMEGSAAAKGGGDGDQEKGNKGNNNGKGKKKGGAAEKEKRPRQARFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTAQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGNQHHLHLLAQHPHLNNNNNNPNYLLRMPAPAPVPFFPPGPGAGFLQQHAATLQAMQQHAQQGRHGLPAANIAGGGTSSAAATTTTSMPMAVGSSGSISSVPAPLRMEHLMDYDGLLQDMLLPSSTFIRHNDDTTGNINNRR* >Brasy2G290900.1.p pacid=40070347 transcript=Brasy2G290900.1 locus=Brasy2G290900 ID=Brasy2G290900.1.v1.1 annot-version=v1.1 MADKEGNLDAVLKEVVDLENIPVDEVFENLRCGREGLTSQQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEAAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSEIEQKVHAVIDKFAERGLRSLGVAYQDVPDGRKESPGRPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVTFFWAAYKTNFFPRIFHVKSLEKTAQDDFQMLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYADWGFTSIKGIGWGWVGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIDQRVAFTRKKHFGKEERELKWAHAQRTLHGLQPPDAKLFPEKAGYSEMNQMAEEAKRRAEIARLRELHTLKGHVESVLKLKGLDIDTIQQSYTV* >Brasy2G290900.2.p pacid=40070348 transcript=Brasy2G290900.2 locus=Brasy2G290900 ID=Brasy2G290900.2.v1.1 annot-version=v1.1 MADKEGNLDAVLKEVVDLENIPVDEVFENLRCGREGLTSQQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEAAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSEIEQKVHAVIDKFAERGLRSLGVAYQDVPDGRKESPGRPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVTFFWAAYKTNFFPRIFHVKSLEKTAQDDFQMLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYADWGFTSIKGIGWGWVAFTRKKHFGKEERELKWAHAQRTLHGLQPPDAKLFPEKAGYSEMNQMAEEAKRRAEIARLRELHTLKGHVESVLKLKGLDIDTIQQSYTV* >Brasy2G290900.3.p pacid=40070349 transcript=Brasy2G290900.3 locus=Brasy2G290900 ID=Brasy2G290900.3.v1.1 annot-version=v1.1 MADKEGNLDAVLKEVVDLENIPVDEVFENLRCGREGLTSQQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEAAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSEIEQKVHAVIDKFAERGLRSLGVAYQDVPDGRKESPGRPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVTFFWAAYKTNFFPRIFHVKSLEKTAQDDFQMLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLVAFTRKKHFGKEERELKWAHAQRTLHGLQPPDAKLFPEKAGYSEMNQMAEEAKRRAEIARLRELHTLKGHVESVLKLKGLDIDTIQQSYTV* >Brasy2G290900.4.p pacid=40070350 transcript=Brasy2G290900.4 locus=Brasy2G290900 ID=Brasy2G290900.4.v1.1 annot-version=v1.1 MADKEGNLDAVLKEVVDLENIPVDEVFENLRCGREGLTSQQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEAAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFERGVTQDQVILMAARASRVENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKSEIEQKVHAVIDKFAERGLRSLGVAYQDVPDGRKESPGRPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVRIFHVKSLEKTAQDDFQMLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYADWGFTSIKGIGWGWVGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIDQRVAFTRKKHFGKEERELKWAHAQRTLHGLQPPDAKLFPEKAGYSEMNQMAEEAKRRAEIARLRELHTLKGHVESVLKLKGLDIDTIQQSYTV* >Brasy2G280900.1.p pacid=40070351 transcript=Brasy2G280900.1 locus=Brasy2G280900 ID=Brasy2G280900.1.v1.1 annot-version=v1.1 MAMLTTSWVLHILPFFLLAAATHAATFTITNKCQFTVWAAAVPSGGGKQLDAGQQWTIDVPAGTTGGRVWARTGCNFDGSGNGRCQTGDCGGVLQCTGYGQAPNTLAEFGLNKFNNLDFFDISLIDGFNVPMDFLPADGAAGCAKGGPRCDADVTARCPSELKAPGGCNNACTVFKEDRYCCTGTAADNCGPTDYSKFFKGQCPDAYSYPKDDSTSTFTCPGGTNYQVVFCP* >Brasy2G487400.1.p pacid=40070352 transcript=Brasy2G487400.1 locus=Brasy2G487400 ID=Brasy2G487400.1.v1.1 annot-version=v1.1 MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDYGERHGYLKGVVTEIVHDPGRGAPLARVDFRDPVRYKHRKELFVAAEGMYTGQSLYCGRRAALSVGNVLPLAALPEGSVVCNVEQRVGDRGALARASGDYAIVVSHNSESGTTRIKLPSGAKKVVQSGCRAMVGQVAGGGRTEKPLLKAGNAYHKYRVKRNCWPWVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKTGQVAARRTGRRRGQDAVNAAKASLN* >Brasy2G309400.1.p pacid=40070353 transcript=Brasy2G309400.1 locus=Brasy2G309400 ID=Brasy2G309400.1.v1.1 annot-version=v1.1 MSLLASPTPFGTASAAARRRLPPATTPLLGRRAALHVALARRGGVSSRTQRRLEERGGKKRRGGVDAPAPPDADEDYAEAWEGVEWEGEPLGFEVSTTPMPYLPDPEQQDFWEGPQWDGLGFFVQYMWAFGVFFSLIACGVAVATYNDGATDFRDTPAFKESQTQEFPEESESSGSDVFEGNPTEVAPALE* >Brasy2G362200.1.p pacid=40070354 transcript=Brasy2G362200.1 locus=Brasy2G362200 ID=Brasy2G362200.1.v1.1 annot-version=v1.1 MDNVFISADAWQRAAKGGDQKNTVRSAPPVPPQPGLLLAPPPPPPALRPPVSAAFALHSPATARPPPCPLAPPPLRPQVTAAFTLHSPAPLTEYIQGSCLSSRRRVAIGGGRLALCTTISTVL* >Brasy2G111000.1.p pacid=40070355 transcript=Brasy2G111000.1 locus=Brasy2G111000 ID=Brasy2G111000.1.v1.1 annot-version=v1.1 MFEAMVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKVVDLVPGHREHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVAVPQDTPRCSERLAHHQKKVARSVEIVAQESLVRALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAG* >Brasy2G036000.1.p pacid=40070356 transcript=Brasy2G036000.1 locus=Brasy2G036000 ID=Brasy2G036000.1.v1.1 annot-version=v1.1 MDPIVGTEQKSATYWNRIHEEYELHKPEGSDRSVNSLSHRWSVLKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDESNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKMEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy2G277600.1.p pacid=40070357 transcript=Brasy2G277600.1 locus=Brasy2G277600 ID=Brasy2G277600.1.v1.1 annot-version=v1.1 MAQGYHGSRGPLPSDPDRTKRPDRMGFPPQGMPMQPLTSLSLNVNSFPSSQMIDPVSWSTFVRSHAENLRFKLLREGKSSKPPKLISRTNLFFCSQNSLITLYTSLQEHLEVAPAQSTRSTSPS* >Brasy2G322700.1.p pacid=40070358 transcript=Brasy2G322700.1 locus=Brasy2G322700 ID=Brasy2G322700.1.v1.1 annot-version=v1.1 MEPPLPSSPPTNSSPPPPSCEVKTSTSHRRMNSAPDFQKTPSYSRRSSSVLLFPPPKQLAWISRCLTARWLPQGDCGLIAPVKTCKKSAGKERDFTCFHMLTSSKRGGNQQGRIWNKHCADILCSMSPSNLSCKCHIMN* >Brasy2G139700.1.p pacid=40070359 transcript=Brasy2G139700.1 locus=Brasy2G139700 ID=Brasy2G139700.1.v1.1 annot-version=v1.1 MTWREKLARETFQAHHKSVVSVVTMFFLKDGRAHHVHQEHKGTGFIVRSGGGRDILVMTCEHVVPPFVPSEKSNMCSIYIKIPGEYEHYFLGEVLHRDAPRDMAIVRIPNTSDRTYAALEFGDPLGVPTRAIGSRVVLLGYFYGDLAYLSAPEYPGSSPGEICGPGTLYVRHDAQQTHGTSGSPLILNGKVIGLCGRGTVQIGQALSVESMIASLTACYTDEPREVPQAQGSSTGVGKAPTIKEILEEL* >Brasy2G035100.1.p pacid=40070360 transcript=Brasy2G035100.1 locus=Brasy2G035100 ID=Brasy2G035100.1.v1.1 annot-version=v1.1 MEPPPLPPPGAGSVLEHRSASGEERHRIEQVARFVARDRDGELAEALLLRLLRITRNGRRWGFLAHDHPLHPYYLQQKVSEQCRILRPRHSTIDR* >Brasy2G065200.1.p pacid=40070361 transcript=Brasy2G065200.1 locus=Brasy2G065200 ID=Brasy2G065200.1.v1.1 annot-version=v1.1 MVAHHIETQNLFRVSTAKQHKWRSHRQDIVAHHMHRSPESLQSLEIKSVLFLVALVALRKQLQFLCM* >Brasy2G176600.1.p pacid=40070362 transcript=Brasy2G176600.1 locus=Brasy2G176600 ID=Brasy2G176600.1.v1.1 annot-version=v1.1 MAAALECWSGRPSTDEEMVEQVLMKPHTRSDGSLPTCADSAGGGEPTSGPAAPKKWQRLGRNFAGAIAAFKNTLNLDNGGLPRDPSPRAGGERPPLLLRGLAQLYSRGGAAQQLPEKLVSDLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVHGRESGGEGTVFQLTFACNAPLSWQSMSGSLDSPFFSCKKIQIFEKRGLTLGVVMVIVQSGNEELFKTRVEAALKSATKKQRKNSGGGVKLPFGLCGCQEEGSRNFDEESMFDPEDGQVLDNEPTRKPQLPTPLPQSSVFVSVDEWQTVRSGGEELGRWIVSSEEIEFVDWVGQNSLKGIHRGRKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQKNILQFHGICFNESHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTVRIALDVADGLAFMNSYGIAYRDLNAQRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETCMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPQFLRSLMTRCWDNCPLKRPQFSEIISTLQRQVIR* >Brasy2G045300.1.p pacid=40070363 transcript=Brasy2G045300.1 locus=Brasy2G045300 ID=Brasy2G045300.1.v1.1 annot-version=v1.1 MMQYLDFSHAASSTSKKWPHKRQPGQGFDAPRNSMEFAMDAPPRSYGVFQEDVPYTRNNMRQYPRASSAPVKKLIHEDISSLRPNEGHKRAPSVIARLMGMDSPPLSSAAADQLFAAAHSNDRRRQETIIAKPVTRRDPAEMISTKHVSFIEHKNPPARHAPKHEIRAFDDDDDESKRSNGGGGDDDRWSKPVPREHPQEEELQKFKKEFEAWQASRVWEQSRTLELETRHGAVVLDNNGDDRRCSEIVPYRYHQQQQKQGYRERDSGGNGNGNGKGDGEVHWRRRRGKDGGASISGSRTFSVASGTGDDCSSRLPLSRFYREEEEEQERSSPTRIVVLRPCPELSADDVSESSLVGSPELMKKENDMEAFLEEVKTRLKLELEGTTNHRASDAWAGDMADPKRIARSIASQIRDTVVAMDAHHLQQHPALVRSESTRSYRSSSDVQSQVDYICRDARRQLSDRLRNVLRSARESPEIATPEPPFFPHRRRPSLDEAPLRKPKPSPPRREAAPRNKAGSSKKKIRSKEEKKRAIESSDARHGLHNSYRTSSAAVDSEDEDAAAASPRNLMRSFSAPVSSRSNNNTFVKLLSDEPRVLFPGARVQRKHEAGHGSRPAPASEEEERPAKALGGIKGRVSSLRQNLGLRGKLFGKKLVQSSAADESSSSSSFFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPADDMVRGGYPSPVSPLEASFGEHRSPLKPPATSSPASEQGNPWLMEQDEDQTEEVAETSPALNYGADDRDDDDTAEIEMDHHPVKAFIRAVLVVAGMFGGQNTDQMFFSNSQPKPIPMWVLDEVVSSSSSSSPPAVSVDRRLLFDLINEHLPEAAGRGSTTPYTFSKWYIAAAPRRRGGKRLLDTLWRAVQALVEPPPGHDGHTLPTTTTTMMSSVDALIGRDMGASPWSGGVFRGDVDGVGEEVEAEILGELLDETLWDVLLNVGDD* >Brasy2G086200.1.p pacid=40070364 transcript=Brasy2G086200.1 locus=Brasy2G086200 ID=Brasy2G086200.1.v1.1 annot-version=v1.1 RLAEIFDGSDAEQPATNEVMRRKSKKQRRSHRPLPFSLSLHSPNPHLPIPTSHSPSSPVSPYRRGHGQVQPPAALQLRRLLRPQVPQQVILQDLQAALLLLLHESAFSSSAAAPFPLISSSSAAAAASNRRPPPRLQHRQVKLPRCRPEAHRLPLPPPPASAPGGRPRRRRGPAPARPIMAPPPPARPIMAPPPPPLSAIPSRLHRIRPPPLAPLRPPPPILPPAAPTLSPLPALPSVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPTSPGVAMPATSPRVRDP* >Brasy2G436400.1.p pacid=40070365 transcript=Brasy2G436400.1 locus=Brasy2G436400 ID=Brasy2G436400.1.v1.1 annot-version=v1.1 MLKSAYALIAIVGCSRFPAVRSNLGDAHHAIELGQGPLSFTTAFLFSLSPNGNRLGPLLRLSPFFLRASSVSPLAHHLSGGGGSAVREREFQHGAAVRGGGGRR* >Brasy2G256300.1.p pacid=40070366 transcript=Brasy2G256300.1 locus=Brasy2G256300 ID=Brasy2G256300.1.v1.1 annot-version=v1.1 MAVEAVLDAATIPWPAASDQQEETTTQHGGLQYCPEGWGKRKRTRRQQQQPPTEEEHLALSLLMLARGHRDGTSTCSSPAHYRCSVCGKAFPSYQALGGHKASHRPKASPPSGAVDESSAANNTPSPAASSSTSSGAAGTAGKVHECSVCNKTFPTGQALGGHKRCHYEGPLGGSGSIVSRGFDLNLPALPDIIVTEPRYCVPAAEEDEVLSPMAFKKPRLMIPA* >Brasy2G136400.1.p pacid=40070367 transcript=Brasy2G136400.1 locus=Brasy2G136400 ID=Brasy2G136400.1.v1.1 annot-version=v1.1 MSSFCSLEAADDGFFVLKMSSSDGHQYLAADAIADLVDTLRRVREDESVKGLVTTSETGSFCDGIDYEGGGGSQQSAAVAEVVRLLLELPVPTVAAVRGNATSLGVALALAHDHCVVWDHAELSLPEARLGRPLPGYVAALLRDKLSYARLRKLLMLGSRPCAGRDLHGSPWFSARGGSDDRQLVLEEAVDLLYDVVVGEGTDYAKARQTMWPDTCAAVGIVPSQRPRPSPQQLAAAISASSAKTEKDLPGSGSSPSEVHKSHQPNERIDKKTSTSNILEHIILDGSAEPTNIPFSLLQEITKDFSDERKIGSGGFAVVYKGVLRNGSVAVKRLLNSQTVKEDLFYGEANFLMNVKHPNIVRFLGFCANTENQAFKLEEQGAYAKYIYAEVRERLFCFEYISKGSLDNHLTDELRGLEWHKRYQLIRGICEGLQYLHKEKRILHMDLKPANILLDDHMVPKITDFGISRHLDGVSQAITKERLMSLGYCAPEYLHYGEMSFKSDIYGLGVIIKELVTGRKEDPNIKDVLRRWRYRWNKSATYPPTGHQQVARCIELAVRCTAEDSKTRPYIWDIVSVLNGMECTDESISIGQINPYPWELLSFDPLELHFPFELTRKIPYTLHLTNDRDDHIAFKICTMNAHYEYQIEPSKGVVPPRSGHGVTITPLQVRHKLPQGMMRTDKLKVSMVVVEDSLIVEEITPEMFHGQTDKAVDEVDLTVVFET* >Brasy2G323300.1.p pacid=40070368 transcript=Brasy2G323300.1 locus=Brasy2G323300 ID=Brasy2G323300.1.v1.1 annot-version=v1.1 MEDITAHHFGLGASGHGHGHLPWSSSSLSAVVAPPPQQQQQHQGYLAPSPLSLNTAAPSHGNPVLQLANGSLLDACAKAKEPYAADVEAIKAKIISHPIYPSLLAAYLDCLKVGAPPEVSERMSAVARDLELRQRAGLGGLAGATEPELDQFMEAYSEMLVKYREELTRPLQEAMEFLRRVESQLNSLSINGRSLRHILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLSWWEMHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPPNAAFYMDGHFINDGGLYRFG* >Brasy2G154100.1.p pacid=40070369 transcript=Brasy2G154100.1 locus=Brasy2G154100 ID=Brasy2G154100.1.v1.1 annot-version=v1.1 MGHFESPRRLELHNDPPLPWIQHLLLPPTTSKVAVDGVPTDAADHRPPPRSRPQLTQPLQPPPPHLEVPVAAAPPPVEDPPGLEVAVGVFSGPVAAALSASSGPRKEHVAP* >Brasy2G302100.1.p pacid=40070370 transcript=Brasy2G302100.1 locus=Brasy2G302100 ID=Brasy2G302100.1.v1.1 annot-version=v1.1 MATALAKAIAFLVVTAAVVTLCFFFRYRCPHLPSPFLPGGEPLLIVVSNEQQVGEELDIADGNVQLLCHGFSEHNLSRAAWSARADIPSGASARLPLPAVRGDEVFEVLCSYRGANRCWAHGVRMFWNPGHDVLFCREYAGGCEVRFRKDGGVEKQYGTAGNRPRSDTQPPIFLGFVPDFDNARDGGCASASCIARRLNRVIGCESCCDDSCGGWEKATANTS* >Brasy2G266800.1.p pacid=40070371 transcript=Brasy2G266800.1 locus=Brasy2G266800 ID=Brasy2G266800.1.v1.1 annot-version=v1.1 MTADVLRAAPVLTVARHGHRCPSRHTPPSPSSCAAAAHHAARRRDPRSGRRCPSRRAPPSPSSRLAVAVPSRRAPAPTFSCATAALLQCPVTALRAARRRSARCGRHRPPRAPSLSAAVLCTARRQRPLRGRRHRPPACHRHCLAAAPS* >Brasy2G241200.1.p pacid=40070372 transcript=Brasy2G241200.1 locus=Brasy2G241200 ID=Brasy2G241200.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGAMFPLIKCSCCQVRKAMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIEEYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQPLTLAAIASHREMADSVAMKEKQGIEEKKQDAIVKKLGSEEMLMEWNVNLGVLVGIGQKLLGVCVLLLAVNLYAALKN* >Brasy2G058000.1.p pacid=40070373 transcript=Brasy2G058000.1 locus=Brasy2G058000 ID=Brasy2G058000.1.v1.1 annot-version=v1.1 MAGADATSRLSSTARFIFSSSFSLSLLCCVVVSNAAAADEAAALLAIKAALVDPLGALEGWGGSPLCTWKGVRCDALGAVTGVNLGGMNLSGTIPDDVLGLTALTSISLSSNAFAHELPLALVSIPTLQELDVSDNSFTGRFPAGLGSCASLAYLNASGNNFVGPLPADIGNATELDTLDFRGGFFSGAIPKSYGKLQKLKFLGLSGNNLNGALPAELFELSALEQMIIGYNEFHGPIPAAIGKLKKLQYLDMAIGSLEGPIPPELGQLPDLDTVFLYKNSIGGKIPKELGNLSSLVMLDLSDNALTGAIPPELSKLSNLELLNLMCNRLKGGVPAGLGELPKLEVLELWNNSLTGPLPPSLGAKQPLQWLDVSTNALSGPVPLGLCDSGNLTKLILFNNVFTGAIPSRLTSCESLVRVRAHNNRLNGTVPAGLGKLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNRLRSALPSGVLSIPTLQTFAAADNDLVGAMPGELGECRSLSALDLSSNRLSGAIPAGLASCQRLVSLSLRGNGLTGQIPTAIAMMPTLSVLDLSNNFLSGQIPSNFGSSPALEMLSVANNNLTGPVPATGLLRTINPDDLAGNPGLCGAVLPPCGPNALRASSSESSGLRRSHVKHIAAGWAIGISIALVACGAVFVGKLLYQRWYLTGCCEDGAEEDGNAGSWPWRLTAFQRLSFTSAEVVACIKEDNIVGMGGSGVVYRADMPRHHATVAVKKLWRAAGCPEEDNTTATATASAAAAAAKNGGEFAAEVKLLGRLRHRNVVRMLGYVSNDADTMVLYEYMAGGSLWEALHGRGKGKHLLDWVSRYNVASGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMEEAKIADFGLARVMARPNETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEAEYGESGVDIVGWIRERLRSNSGVEELLDAGVGGRVDHVREEMLLVLRVAVLCTARLPKDRPTMRDVVTMLGEAKPRRKSSSATVAATAVDKDKPVFTTSPDSGYL* >Brasy2G498400.1.p pacid=40070374 transcript=Brasy2G498400.1 locus=Brasy2G498400 ID=Brasy2G498400.1.v1.1 annot-version=v1.1 MIASRQTHPRRIRPLAAVTLVLFLLFLYSRSGGNLPRSPRPLSSNRHPAHRRFLSDADPTSPAASRGGNLSEVTEQNGEQLENPSTSCAGISRHQGFGSQCEFIRAHPQCSSGGFVDYLDFFYCRCQRFRVLGYAVLAVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCIVVGAVSLSVAEKNVQIDWRCFVRDVGFFLITLVALSIILIVGKVTFWGAMLFVSIYVVYAFVVAANELLRKHARMLKFDVVTPLLPVRGSIFAQGIEEDESVYSSLLEEDTSDEVAQSKQCYGNIATSWLELVVCVRCTCT* >Brasy2G444800.1.p pacid=40070375 transcript=Brasy2G444800.1 locus=Brasy2G444800 ID=Brasy2G444800.1.v1.1 annot-version=v1.1 MEVGLTSLNSGKMVALGTIQGTDLKAKAMDGQPLANSVEVVVDCIFSPKTVLPRAQGKLEILGNAQARCITWPRLNVVRKDGTPLHSNVMVNEDGILLQSKVAVKNVDPKTIASHTEVAVQGYSGSMKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy2G444800.2.p pacid=40070376 transcript=Brasy2G444800.2 locus=Brasy2G444800 ID=Brasy2G444800.2.v1.1 annot-version=v1.1 MEVGLTSLNSGKMVALGTIQGTDLKAKAMDGQPLANSVEVVVDCIFSPKTVLPRAQGKLEILGNAQARCITWPRLNVVRKDGTPLHSNVMVNEDGILLQSKVAVKNVDPKTIASHTEVAVQGYSGSMKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy2G444800.4.p pacid=40070377 transcript=Brasy2G444800.4 locus=Brasy2G444800 ID=Brasy2G444800.4.v1.1 annot-version=v1.1 MEVGLTSLNSGKMVALGTIQGTDLKAKAMDGQPLANSVEVVVDCIFSPKTVLPRAQGKLEILGNAQARCITWPRLNVVRKDGTPLHSNVMVNEDGILLQSKVAVKNVDPKTIASHTEVAVQGYSGSMKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy2G444800.5.p pacid=40070378 transcript=Brasy2G444800.5 locus=Brasy2G444800 ID=Brasy2G444800.5.v1.1 annot-version=v1.1 MEVGLTSLNSGKMVALGTIQGTDLKAKAMDGQPLANSVEVVVDCIFSPKTVLPRAQGKLEILGNAQARCITWPRLNVVRKDGTPLHSNVMVNEDGILLQSKVAVKNVDPKTIASHTEVAVQGYSGSMKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy2G444800.3.p pacid=40070379 transcript=Brasy2G444800.3 locus=Brasy2G444800 ID=Brasy2G444800.3.v1.1 annot-version=v1.1 MEVGLTSLNSGKMVALGTIQGTDLKAKAMDGQPLANSVEVVVDCIFSPKTVLPRAQGKLEILGNAQARCITWPRLNVVRKDGTPLHSNVMVNEDGILLQSKVAVKNVDPKTIASHTEVAVQGYSGSMKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy2G215800.1.p pacid=40070380 transcript=Brasy2G215800.1 locus=Brasy2G215800 ID=Brasy2G215800.1.v1.1 annot-version=v1.1 MATAHLSPSLALAISSSRRLICNHSQPQPISAQPGRTVLRSGPLSLPSRLTAAGGSLWRVAAAVEPRTVQQEEEELRGRTEGAGEAGSEGAAEASSKLVLVVGGTGGVGQLVVASLLSRKIKTRLLLRSPEKAVSLFGKQDENVMQVCEADTRNPDAFNPEMFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGVRNFVSVVPRTIKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFVRNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVEIGQGDKLVGEASRLVVAEACVQALDIESTQGQIYEISSVKVYLASKVQMHYRLFSSNWNFVIF* >Brasy2G215800.2.p pacid=40070381 transcript=Brasy2G215800.2 locus=Brasy2G215800 ID=Brasy2G215800.2.v1.1 annot-version=v1.1 MATAHLSPSLALAISSSRRLICNHSQPQPISAQPGRTVLRSGPLSLPSRLTAAGGSLWRVAAAVEPRTVQQEEEELRGRTEGAGEAGSEGAAEASSKLVLVVGGTGGVGQLVVASLLSRKIKTRLLLRSPEKAVSLFGKQDENVMQVCEADTRNPDAFNPEMFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGVRNFVSVVPRTIKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFVRNSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVEIGQGDKLVGEASRLVVAEACVQALDIESTQGQIYEISSVKGEGPGSDPEKWKELFGAAESK* >Brasy2G420400.1.p pacid=40070382 transcript=Brasy2G420400.1 locus=Brasy2G420400 ID=Brasy2G420400.1.v1.1 annot-version=v1.1 MSQKRQPEEGERFRGGGGGRAESGGSSSPPHLRGEPKRQRVPALREVITEVMRKSSIEKLFMSIEPLIRRVVKEEIELALANHATMMTRSPTYIVPSTSKNLQLQFTTRLSLPIFTGSKIEGEGTLTLTIALVDSFTGEIVASGKESLLKVEIVVLEGDFEGGEGNDWTAQEFNNNIVREREGKRPLLSGDVCVGLDRGIGTVGDVSFTDNSSWTRSRKFRLGARTEDGCFSGIRVREAKTESFVVKDHRGELYKKHHPPVLEDEVWRLEKIGKEGAFHKRLNKEKIVTVKDFLTLLNLDAPKLRKILGSGMSTKIWEVTVEHAKTTCVLSDKVHVYYLDSLNKTAVVFNAVGQVRGFISEKFVSVDDLTEQEKAEAHAGVKQAYEHWENVLTCDDKTLLENPLHLFSMRCPSLHENEYYQLPTENVTDGFVLSHLDIPSVDIFSAEPSCALDPCALGTAESGGNGFQSVLPPLDGQGQPQQPQALDRFSNSLVYEESTSHPSFSEDYYHCTDPTVSFDTQDLGAALKGFIATISKPKPYRGWRTLSYVIGWIFYTRKMVAQKRKKPGK* >Brasy2G131500.1.p pacid=40070383 transcript=Brasy2G131500.1 locus=Brasy2G131500 ID=Brasy2G131500.1.v1.1 annot-version=v1.1 MTTNMPGGRRPAACTAAVRAARGDPFGGGRRRPGSSRGRQAAARIRPEGRRTATRAERAAAAARARGEQVGGGAQGRGEEAAPAARGEQVGGGALGRGEEAADARGERRRAGDAEREGIERGGSGKETIRVEILDWIA* >Brasy2G177100.1.p pacid=40070384 transcript=Brasy2G177100.1 locus=Brasy2G177100 ID=Brasy2G177100.1.v1.1 annot-version=v1.1 MAVRLHRFLLLAALLQLIGASARDIVDAAGNGDVGKVRLALYYESLCPFSASFVVENLTRVFNDGLLEAADLTLIPYGNAEVGADRSISCQHGPNECLLNTVEACAIDAWPDLKVHFGFINCVEDLVVKRKSGEWESCFNKLGLDSKRVTDCYKSERGHELSLKFGKLTDALVPPHKYVPWVVVDGQPIGEDYENFLFYVCKAYKGQPPKVCQGSGCRRPFIQEVVKAGNGVSYNSGNVEPDRADDKGTDNNIKMVLADDSN* >Brasy2G177100.2.p pacid=40070385 transcript=Brasy2G177100.2 locus=Brasy2G177100 ID=Brasy2G177100.2.v1.1 annot-version=v1.1 MAGSRRALPLLLLLSSALGSLLPPAAAAKVPLALYYESLCPYCSRFIVNHLAGIFDNGVIDAVELQLFPYGNAHVRGSNNTISCQHGPNECLLNTVEACAIDAWPDLKVHFGFINCVEDLVVKRKSGEWESCFNKLGLDSKRVTDCYKSERGHELSLKFGKLTDALVPPHKYVPWVVVDGQPIGEDYENFLFYVCKAYKGQPPKVCQGSGCRRPFIQEVVKAGNGVSYNSGNVEPDRADDKGTDNNIKMVLADDSN* >Brasy2G390700.1.p pacid=40070386 transcript=Brasy2G390700.1 locus=Brasy2G390700 ID=Brasy2G390700.1.v1.1 annot-version=v1.1 MADPIVDGGGNRSSVSETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIHVHAAASRALKKGTTLSSVLAMLKEVIPELSCPIVLFTYYNPILKLGVRNFMTNIKQAGVHGLVVPDLPLEETTLLRNESAIHNIELVLLTTPTTPAERMKEITKASQGFVYLVSAVGVTGTRSNVNSRVEHLLQEIKQATDKPVAVGFGISTPEQAKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRIEEYAKSIKAAVP* >Brasy2G390700.2.p pacid=40070387 transcript=Brasy2G390700.2 locus=Brasy2G390700 ID=Brasy2G390700.2.v1.1 annot-version=v1.1 MADPIVDGGGNRSSVSETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIHAAASRALKKGTTLSSVLAMLKEVIPELSCPIVLFTYYNPILKLGVRNFMTNIKQAGVHGLVVPDLPLEETTLLRNESAIHNIELVLLTTPTTPAERMKEITKASQGFVYLVSAVGVTGTRSNVNSRVEHLLQEIKQATDKPVAVGFGISTPEQAKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRIEEYAKSIKAAVP* >Brasy2G390700.5.p pacid=40070388 transcript=Brasy2G390700.5 locus=Brasy2G390700 ID=Brasy2G390700.5.v1.1 annot-version=v1.1 MADPIVDGGGNRSSVSETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIHAAASRALKKGTTLSSVLAMLKEVIPELSCPIVLFTYYNPILKLGVRNFMTNIKQAGVHGLVVPDLPLEETTLLRNESAIHNIELVLLTTPTTPAERMKEITKASQGFVYLVSAVGVTGTRSNVNSRVEHLLQEIKQATDKPVAVGFGISTPEQAKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRIEEYAKSIKAAVP* >Brasy2G390700.3.p pacid=40070389 transcript=Brasy2G390700.3 locus=Brasy2G390700 ID=Brasy2G390700.3.v1.1 annot-version=v1.1 MADPIVDGGGNRSSVSETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIHVHAAASRALKKGTTLSSVLAMLKEVIPELSCPIVLFTYYNPILKLGVRNFMTNIKQAGVHGLVVPDLPLEETTLLRNESAIHNIELVLLTTPTTPAERMKEITKASQGFVYLVSAVGVTGTRSNVNSRVEHLLQEIKQATDKPVAVGFGISTPEQAKQTENMEFWQELS* >Brasy2G390700.4.p pacid=40070390 transcript=Brasy2G390700.4 locus=Brasy2G390700 ID=Brasy2G390700.4.v1.1 annot-version=v1.1 MADPIVDGGGNRSSVSETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIHAAASRALKKGTTLSSVLAMLKEVIPELSCPIVLFTYYNPILKLGVRNFMTNIKQAGVHGLVVPDLPLEETTLLRNESAIHNIELVLLTTPTTPAERMKEITKASQGFVYLVSAVGVTGTRSNVNSRVEHLLQEIKQATDKPVAVGFGISTPEQAKQTENMEFWQELS* >Brasy2G191300.1.p pacid=40070391 transcript=Brasy2G191300.1 locus=Brasy2G191300 ID=Brasy2G191300.1.v1.1 annot-version=v1.1 MLEQNIVTIPEIIQAILQASSHDNMLEFIFAVLWMLWKSRNDLLFNSINQSPLQVIHGAKALLQIEAKPIRIEIAQDPVQNSLYKSVYSIDSKRIKEGPNIFIDAAWKEQNPLNFSCVGPGKHAGLGVFIYAPRHHQHKTIFLQATSVADSALQAEAQALEHASIIASRLQLLHCANFLTDNETLSLAAASRKPKENPGHWSIRSNLQGFISNTEANETTTYKIKRESNRNAHREAQYTYRTAPGSSCIFACSSISHPSASCPTKAALCNLAVNIFNVVSVTCLV* >Brasy2G137700.1.p pacid=40070392 transcript=Brasy2G137700.1 locus=Brasy2G137700 ID=Brasy2G137700.1.v1.1 annot-version=v1.1 MARKACVLAVCLVLLLLVLSSSVASAGGQWDHGREAMAPAPAGWRLIRKALREETTGHVDEGGDVGGIGESKRKSPGGPDPQHH* >Brasy2G234500.1.p pacid=40070393 transcript=Brasy2G234500.1 locus=Brasy2G234500 ID=Brasy2G234500.1.v1.1 annot-version=v1.1 MQKRAAKKGPAKGSATPKKARPSRPCNDGKGNPVPVEEEETNNVFSVDMDTLQCDICCKPFESQVYSCKNGHAACGNCCVAMDRKCPFCSESIGDFRCRATEKIIAGMTRPCRYAKNGCPEEVLKFADIRAHEENACRHAPYRCPFDGCGYLGRHLYGHIQGKRTPGGVTSAMGLLRRMTVKLPKAAPFRALLHRDGESVFLLLNGGDVLTGRSLSVVRVCPYPGELAEEEEVESALKYSMVVEGSVPGSLSHTVSGTVQFVRRLEGYKAKAFLFVPDDFWGSSDSVTVTVDL* >Brasy2G147600.1.p pacid=40070394 transcript=Brasy2G147600.1 locus=Brasy2G147600 ID=Brasy2G147600.1.v1.1 annot-version=v1.1 MSKNLQMVLSDGKGAALASAVTGSAIAASYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPVLQLEHSNGRVVATTEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIARIIKQYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDRAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETYDQARAEEEKLFKYHPLLSKIDKDMVGIPVLAQRLMQIQAAIIAKCLPDIVKQINDRLSRNSTELEQMPPDVTSVADAVKEFIRIVKQVCGSLEKILVRGEFDEFPDDGHFHGTARVAEMLNGYAKKLPADVPRRANDLFLTEEIRVLEETKGINLPNFLPRSAFLVLLKKKVDTVTQIPHELANQVWGYVEDLVMKIVQKHTDNFPQVQASSRRAVQILMEKARARSAQHVKELIEMEQVADYTANPDYMKTWYEIMEGHDSFMEAVADGSKPAILKLAGFGQVDVSHLRGKSDIAGQAFDLSARLTAYWKSIVLRLVDGLALHVLLCVKRLVESDLETELANELLGNKLAGVERMLLPSPGTAPKRERIKKSIELLRQSKEVVANIMDRISAAGEV* >Brasy2G296400.1.p pacid=40070395 transcript=Brasy2G296400.1 locus=Brasy2G296400 ID=Brasy2G296400.1.v1.1 annot-version=v1.1 MADESSTPSASSTASASSIFPNIKSRFFCNYWQIGCTHVQIRPGAKSVRSGKQITRVKTGVLKHVVGFEQTICWWVGERSATWYRQQISG* >Brasy2G013200.1.p pacid=40070396 transcript=Brasy2G013200.1 locus=Brasy2G013200 ID=Brasy2G013200.1.v1.1 annot-version=v1.1 MIEPGVQSSLPRLLAVARTAWRLQVPTTLLCPTSHQMSSHQISSILSCQRSSSAAPLSCSSPVARTARRPHEHTAVLTNKRAAHHDSMRLHRCVSSIKPGSVGS* >Brasy2G324700.1.p pacid=40070397 transcript=Brasy2G324700.1 locus=Brasy2G324700 ID=Brasy2G324700.1.v1.1 annot-version=v1.1 MLLVSQAANGSLSARRLPSKPAASHRSANPYPLFANPRVARRRLALSGAADARDAPRRASAQPPPLAAAGEGPSGSPASAEDPTVLVGVSDDRVPLEGVIQVEKPGDGAAQSKIVSYAKVGLLAGGDLLCLLVFSAIGRLSHGLPVLDAETFKTADPFIAGWLLSSYLLGGFGDDAKASNGVGNAVTVAAKSWIVGIPLGIAIRSVASGHLPQTPFILVAMGSTGVLLTAWRALASQLLSTGQSKKDDVYKKGNPFELFELLTSLVRRW* >Brasy2G103200.1.p pacid=40070398 transcript=Brasy2G103200.1 locus=Brasy2G103200 ID=Brasy2G103200.1.v1.1 annot-version=v1.1 MARKDIHTYLKNTRFHRLSNPFVALPSLTRTPRPLRSTPPNVAAVVCPSPTPPPPAPPPFPVAASSPGTAALQIGDAAPSALVQAQADAPIAPDSSHRRRRSPHAGRSRRAPPAPSSCGPRVASAGNLSSRRLAGLWPHTSPQSCTSHATAASPPILRLPRRCRRQILLAASSSAPTAAADPSHDFWNSPSQIPSTAPKVDPDSGLFTGNFICLSWGFVD* >Brasy2G041000.1.p pacid=40070399 transcript=Brasy2G041000.1 locus=Brasy2G041000 ID=Brasy2G041000.1.v1.1 annot-version=v1.1 MAEATAAAGEGKRRASSSTRAVGRYELGKTIGEGSFAKVKIARDTRSGAACAIKVLDRNHVLRHKMVEQIKREIATMKLIKHPNVVQLHEVMASRSKIYMVLEFVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVFHRDLKPENLLLDSYGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLNDKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLIAKANVSCPPWFSSGARNLIKRILDPNPPTRITIAQILEDEWFKKDYKPTDFEQNEDVSVEDVDAAFNGLEEHLVSEKKEKPESMNAFALISRSQGFNLGNLFEKEMMGMAKRETSFTSQRTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYQSFSSELKDIVWKSESDTSTKQTR* >Brasy2G404700.1.p pacid=40070400 transcript=Brasy2G404700.1 locus=Brasy2G404700 ID=Brasy2G404700.1.v1.1 annot-version=v1.1 MAIKPSGLPEYPGVRRLRHRRLLTYLRLQGLYPALHHRSRFLPPDGGGVEARALIYFLKSLCAFTNIVAGAKDGAVTASTHRDDIAICMGISRNAKLISILSGMLKSPKFSCRDSLNWELVRIKASAIARELALKVPELRRRLQLPDDPLAPRDVLPIGFVSSDNLNHSL* >Brasy2G300700.1.p pacid=40070401 transcript=Brasy2G300700.1 locus=Brasy2G300700 ID=Brasy2G300700.1.v1.1 annot-version=v1.1 MASAETSKGNTQRGDTLPNGDVYVGNFDGLVPHGMGKYMWTDGALYEGEWDKSKMTGRGIIQWPSGASYVGDFRGGFIDGTGTFKGVDGSVYKGCWRMNKKQGMGTMVYSNSDTYEGLWNEGLPDGFGKYTWSAGNIYIGSWKSGNMNGRGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGVKDGHGIFYEPGSKIPCNLEVSECATTRDGASASSSSNETVNVGLLFLLQNLCNKWGLRRFFHRPKRISNGTTPIFYDDSRNHLSQDLPNASLSGSEHLQENDVNKDLVYEREYVQGVLILEQPKGKDSGMLDSGETQENTWQKQARGRMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPVEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKSELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGKKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQKINENTTLKDLDLSHAFHVDKPWREALFRQIALDCMFLESQSIIDYSMLLGIHFRAPHHLKTVTSHQNTLESSGNSSAMDCNVPLHYEDINSTKGLLLVAHEPGTTVGGSHIRGSMVRASEGGYEEVDLVLPGTGRFRVQLGVNMPAQARKLLESTDTVEEYDVVLYLGIIDILQEYNMSKRVEHAVKSLKFDPLSISAVDPNLYSKRFVHFLERVFPEQD* >Brasy2G302000.1.p pacid=40070402 transcript=Brasy2G302000.1 locus=Brasy2G302000 ID=Brasy2G302000.1.v1.1 annot-version=v1.1 MSDSHETDKNIEMWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQTKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLMVWENLDVNRYVLKHSVTAEIVIKHLNKEQEADQSNFRDETTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLDDDEGVYEDSD* >Brasy2G072900.1.p pacid=40070403 transcript=Brasy2G072900.1 locus=Brasy2G072900 ID=Brasy2G072900.1.v1.1 annot-version=v1.1 MFIRVGIPDTKGQTIKNPMLALKGASVIKEVGHRRSLGGLVLGHDMGFWRSRSVVVVEAEQRGEVLGHELLRHPPIMSKPMVAGGRRVG* >Brasy2G272400.1.p pacid=40070404 transcript=Brasy2G272400.1 locus=Brasy2G272400 ID=Brasy2G272400.1.v1.1 annot-version=v1.1 MPGMVADAPPSSCPSPSSSSSAIWSRRRDEITFDRLRKFWNALSPQGRHALLRIDKQTLIEHARKNLYCSRCNGLLLESFTQIVIYGKSLHQESSGEPRVLEIEPHDVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVLQNVFDNARAREREREMLYPDACGGEGRGWISPAIANYGRGHGTRDTCALHTARLSCDTLVDFWSALGDEARSSLLRMKEEDFIERLMHRFDSKRFCRDCRKNVIREFKELKELKRLRREPRCTSWFCVADTAFHCEVFEDAVLVDWHQDFLEQDGAYHHFELGVGTDEGKADILEFEDVGMNGQVHKKGLDLDQYEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGFVTITKGESVRSFFEYAEEAEEEDEDDAMDRDGNDPDSDGAHPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRAKEREKKLRRKERLKEKGRDKEEMPVQSKSSGDVTPGHCQSPDILDSRYSASDEEGNVVVAEQFSRDTSADQSLSTEAEEQSNEQCSTATGFVPADCSDSFICEQSKSRRKPRFTRDSPQEKATSWYEERRDDSEDIGDIHCQSRERIRNTARGCNSAFSINSRTRDRYEYNPCSCGHQEDYRYFPSARSSRDVKMPRKTLVEKPRLQYRRCYPMDSFVVPKGSRVGITPNKNTGPKQVWEPMDARKKASLGNANDAFETVDNTGRSDQAGCSKDINECEKLGTGGEPLAEVCSERSEEACKSDTDQPCNKEDKTEAACNDEPAVVNKPDSCLKKDASQMAKLTTSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEESSERNNSSPGDPPTKNASRSLLEMCAGNGFREYQPKSMHPPGSNQFGLGMSPFQDHVLHHHKVQAPPYSSTFVGFHSHPLSVPTSGYLPYPQPGTFYPSPVGYSVTGNQCVDFPMQYSSNIHPYSGPPEFRYIPAPPINKTMLTFSAMPPTPLCINGRPVVMNPDMQHHHTLRPESELVVAQNGCNTLPPESELVMVQNGCHTLPPESELVVAQNGCSENNFKPPDDSTPFSLFQFNLPIAPPASAPSKDVQPDGGAMAAMTKPPIAQNQPCSREETEVKEYNLFSGCERTISISSFM* >Brasy2G160200.1.p pacid=40070405 transcript=Brasy2G160200.1 locus=Brasy2G160200 ID=Brasy2G160200.1.v1.1 annot-version=v1.1 MGATECVDGPAIGIDLGTTYSCVAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDSWRLIGDAAMNPVNTIFDVKRLIGRHFSDSSVQADMKLWPFKVVSGPSDRPMVVVQYKQEEKQFEAEEISAMVLAKMREIAEAYLGTDVKNAVITVPVYFNDSQRQANIDAGTIAGLNIRSSDEVKTVLIFDLGGGTLDVSVVNIDPGVYIDMGVFEVKATAGDTHLGGEDFNDLMVKHFALRRLRTACEKAKRMLSSAAQATVEIDSLHDGIDFYGVITHARFEELNTDLFRKCVEHVEKCLGDAKMDRSQIHDVVLVGGSSRIPKVQQLLRDFFDGKKLCRSINPDEAVAYGAAVQAAVLSGEGGEEVRDVLLLDITPLSLGVETKGGVMSVLIRRNTTIPVKKDRVYTTCWDNQTNVLIQVYEGEGAATEDNHLLGKFTLTGIPKAPKGVPKINVTFVIDANCVLTVSAEDMSTGEKNRIVIAKDKGRLSTEEIERMVRDADEYNAEDEKEMQKRGGA* >Brasy2G059300.1.p pacid=40070406 transcript=Brasy2G059300.1 locus=Brasy2G059300 ID=Brasy2G059300.1.v1.1 annot-version=v1.1 MRKTRLALRPDLQARRCHGRRRHPPPPPVPAASPSPTPAAAGLPSPIQAAPGSAAPPRPPPDPGPLLSIVTGQRDEAQLRRIDPQRHQVRDQVRRGLRTPRIHDRVPPPSPLNLITVAISIRSGKIRCVASRNPLSV* >Brasy2G059300.2.p pacid=40070407 transcript=Brasy2G059300.2 locus=Brasy2G059300 ID=Brasy2G059300.2.v1.1 annot-version=v1.1 MRKTRLALRPDLQARRCHGRRRHPPPPPVPAASPSPTPAAAGLPSPIQAAPGSAAPPRPPPDPGPLLSIVTGQRDEAQLRRIDPQRHQVRDQVRRGLRTPRIHDRVPPPSPLNLITVAISIRSGKIRCVASRNPLSV* >Brasy2G336700.1.p pacid=40070408 transcript=Brasy2G336700.1 locus=Brasy2G336700 ID=Brasy2G336700.1.v1.1 annot-version=v1.1 MPRIGEPGALSADRVGCELGLLAASVMVRHIWREYLIPSVDPDFLPMCLQSGAKGVGYACFLYTSVVFVLDAINSPYARGWW* >Brasy2G452300.1.p pacid=40070409 transcript=Brasy2G452300.1 locus=Brasy2G452300 ID=Brasy2G452300.1.v1.1 annot-version=v1.1 MGACPVIYPKPLLGEEDDMGAVLIYGTETAAMVVSPRLPEGLGCGYQAAIATPVYGGLHCLSAGKDEDEDEEPVWGWRRPLSPSSPWRWNDKLVLPFLTETNGIEAHAVVNRDILVSVNEWPYRGGAPVATTFAFDTATWQWTRRGDWGMPMDAWVGLHDGGDIGDTDGRLCAGDLTAAPRPEWKVGKKLFRVEELDAAAGWRHVSAKLVPMAPGKYCLMERLRPGDDDDDKAGEEWLGDGDKCPLRLTAFRVERGEDGEPVATALRPARSYRVSRYNKDFDAQAFWM* >Brasy2G464800.1.p pacid=40070410 transcript=Brasy2G464800.1 locus=Brasy2G464800 ID=Brasy2G464800.1.v1.1 annot-version=v1.1 MPHSSRRQGQRGIDRSILLDWKTLHSLTVSLFVMGFLAICRGATPGTSWWRRRGDAALCCASPHVSAETMQWVSFGAAAILMLARGTTIQKSYLVPFVALQAPTEVISWIKADYGQWTAFIGLLLRLVYFIPGELELPLLTMLFVSIAPHRLASLRGTQDSVIVSLAIAAYLALQYFTAAGSVRKALDRGTVVATLSIICITLIPLFFLF* >Brasy2G018600.1.p pacid=40070411 transcript=Brasy2G018600.1 locus=Brasy2G018600 ID=Brasy2G018600.1.v1.1 annot-version=v1.1 MPGGSLAAVGSRLRPIAGMGLPVSNEVAPERPIRVNDELVLDNGTPFPGALRRCQATTVASSYSEVSLFFSYQAEEVEPDEVTQDGRLRSFRTFSTLTVVTIPFNCAVRYKTG* >Brasy2G266700.1.p pacid=40070412 transcript=Brasy2G266700.1 locus=Brasy2G266700 ID=Brasy2G266700.1.v1.1 annot-version=v1.1 MAAAASMVFFLAVALAAASNGDAALVEYTYVVSQVKLNRLCNDTLMTVVNGQFPGPHYKRNSPHTWYNATKLPLRAVSLLPAIEVTEGDSVAVHVVNMSPYGLTIHWHGVLLQMNCWTDGAGMITQCPIQPNNNFTYRFDVVGQEGTLWWHAHVASLRASIHGALIIRPRARGLYPFPRPDEEIPIVIGEWWEMDLVQLDRRLRNGLLFDMPCAANINGKPGDLYNCSGVIKESNILSVEHGKTYLLRIVNAVINSEYYLKIAGHKFTVVSADANYVKPYTTDVITIAPGETVDALLLADAHPGGRYYMVVKANQPPPKPAIQISHFISRAMVQYNNGPESKQDDEADPIIAPEMPDEHDQITSFYFHGNLTSLLPRPVPANRLFFALDSGSFCNEGGPLPCMKTTNMTIHRFRNMVGTINNISFQLPATKPLLQAHYYNNISSIDTLRDMPDRAPRMFYFSETIEPTSKVTSVRRLRYNATVEIVFQSPLLGDAFSNPMHLHGHNFFILAQGYGMYHQERDVKRYNLVNPPVRNIVQVPIFGWATIRFVTNNPGTCAYIYTRGVWFLHCHYGHHSSSGMATTLLVENGPTLDMALPPPPEDLPACSENYVQ* >Brasy2G328500.1.p pacid=40070413 transcript=Brasy2G328500.1 locus=Brasy2G328500 ID=Brasy2G328500.1.v1.1 annot-version=v1.1 MELLVLIVLAACTTAVASAGVTSPYRRSLLGVPPMPFDADVFRPPPGYNAPEQVHITQGDLTGRAMTISWVTPHHPGSNMVRYGLSPTNLTHATESTAVRRYTFGPGYQSPYIHHATISGLDYDTTYHYALGFGYTNVRSFSFRTPPAPGPDARIKFGLIGDLGQTAHSNDTLAHYEANGGDAVLFIGDLCYADDHPDHDNRRWDSWARFVERSVAFQPWIWTAGNHEIDFAPQIGETTPFKPFRNRYPTPFRSSKSTQPLWYSVKMGPAHVIVLSSYSAYGKYTPQWTWLQAELARVDRTVTPWLIICVHSPWYNTNEYHYMEGETMRVQFERWVVDAKADLVLAGHVHSYERSHRVSNVAYDIANGNATPAFNASAPVYVTIGDGGNMEGIANSFRTPQPDYSAFREASFGHATLEIMNRTHAYFEWHRNQDGVKVVADKAWFTNRYWLPTESNY* >Brasy2G331200.1.p pacid=40070414 transcript=Brasy2G331200.1 locus=Brasy2G331200 ID=Brasy2G331200.1.v1.1 annot-version=v1.1 MAPSPAAVAAAMPPAADPEREEEAEEQQTKGGAALEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPPEASFAVVSKVGPDFAYASAPAPARHPSLLCPAPTTSFHARFSDAVASPAAHAPDRQLRRVHACDPIYPDDLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDADGGGGGAAVRHMALEGTPYARLLQRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWEGGEARVAPFPAVQVDPTGAGDSYLAGFAVGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKQILEDKAIQRSNPCINGATFTFQRSNMHDELHASLAGAARLMCELQTDPATDNNGADICSAQVPTSPSSAC* >Brasy2G306500.1.p pacid=40070415 transcript=Brasy2G306500.1 locus=Brasy2G306500 ID=Brasy2G306500.1.v1.1 annot-version=v1.1 METISSSTNEHPRKGAMWELERNIDPPMDAEAGRLRNMYRQKTYPTILLLQLAFQSLGVVFGDLGTSPLYVFSNIFPHEIEDTEQIIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDEELTTYSRHTYDEKSLAAKIKRWLEGHQFRKNVILILVLFGACMAVGDGILTPAISVLSATGGIQVEEPRMRNDVVVIVSVVILIGLFSMQHYGTDKVSWLFAPIVFIWFILIGVLGAVNIYTYDRSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTTVVFPCLLLQYTGQAAYIAMYKQNVSHSFYFSLPDRILWPAFAVATAAAIVSSQATISATYSIIKQALAVGCFPRVKIIHTSKKYLGQIYSPDINWILMVLCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVLFTVFSLVVEIPYLTAVMRKIDQGGWVPLVFAVAILLVMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPTDERFLVKRIGPKNFHMFRCVARYGYKDIHRKDDDFEKMLFDSLLLFVRLESMMEEYTDSDDYSALADQQELNEVSSNARSIAELSSYASHDSIVPVRSPENNDGRVMSAAFETVGDEVAFLNSCRDAGVVHILGNTVIRARRDSGLVKKIAINYLYAFLRKICRENSVIFNVPHESLLNVGQVFYV* >Brasy2G306500.2.p pacid=40070416 transcript=Brasy2G306500.2 locus=Brasy2G306500 ID=Brasy2G306500.2.v1.1 annot-version=v1.1 METISSSTNEHPRKGAMWELERNIDPPMDAEAGRLRNMYRQKTYPTILLLQLAFQSLGVVFGDLGTSPLYVFSNIFPHEIEDTEQIIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDEELTTYSRHTYDEKSLAAKIKRWLEGHQFRKNVILILVLFGACMAVGDGILTPAISVLSATGGIQVEEPRMRNGTEALFADLSYFPVQAIQIAFTTVVFPCLLLQYTGQAAYIAMYKQNVSHSFYFSLPDRILWPAFAVATAAAIVSSQATISATYSIIKQALAVGCFPRVKIIHTSKKYLGQIYSPDINWILMVLCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVLFTVFSLVVEIPYLTAVMRKIDQGGWVPLVFAVAILLVMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPTDERFLVKRIGPKNFHMFRCVARYGYKDIHRKDDDFEKMLFDSLLLFVRLESMMEEYTDSDDYSALADQQELNEVSSNARSIAELSSYASHDSIVPVRSPENNDGRVMSAAFETVGDEVAFLNSCRDAGVVHILGNTVIRARRDSGLVKKIAINYLYAFLRKICRENSVIFNVPHESLLNVGQVFYV* >Brasy2G306500.3.p pacid=40070417 transcript=Brasy2G306500.3 locus=Brasy2G306500 ID=Brasy2G306500.3.v1.1 annot-version=v1.1 MAVGDGILTPAISVLSATGGIQVEEPRMRNDVVVIVSVVILIGLFSMQHYGTDKVSWLFAPIVFIWFILIGVLGAVNIYTYDRSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTTVVFPCLLLQYTGQAAYIAMYKQNVSHSFYFSLPDRILWPAFAVATAAAIVSSQATISATYSIIKQALAVGCFPRVKIIHTSKKYLGQIYSPDINWILMVLCIAVTAGFKKQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVLFTVFSLVVEIPYLTAVMRKIDQGGWVPLVFAVAILLVMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPTDERFLVKRIGPKNFHMFRCVARYGYKDIHRKDDDFEKMLFDSLLLFVRLESMMEEYTDSDDYSALADQQELNEVSSNARSIAELSSYASHDSIVPVRSPENNDGRVMSAAFETVGDEVAFLNSCRDAGVVHILGNTVIRARRDSGLVKKIAINYLYAFLRKICRENSVIFNVPHESLLNVGQVFYV* >Brasy2G339100.1.p pacid=40070418 transcript=Brasy2G339100.1 locus=Brasy2G339100 ID=Brasy2G339100.1.v1.1 annot-version=v1.1 MAIARTGVYVDDYLEYSSTLAGDLQRILSTMHELDERADGIMGQTKEQIKHLLGVPSHGVDRPNMVGDDEAASERMKRDIENSQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPHILPPMPVGGRDDKRRHVLGTPQATKKFREREWERGMDFDLMPPPGSSKKTATSMDADQMIDPNEPTYCVCHQVSYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ* >Brasy2G223000.1.p pacid=40070419 transcript=Brasy2G223000.1 locus=Brasy2G223000 ID=Brasy2G223000.1.v1.1 annot-version=v1.1 MALMLLHGVIDAQILDADLSSVTSDGQIRPNRKTLMKKKMFSWIKKLPFCNCQQVENAIGLGSTAGKLYATVDIDKARVGRTRMLEPTNTPKWGQEFHIYCAHDAAHVIFTVKADNPVGATLIGRAYLPTGGAVAAGQAVDQWLPICDDKRRPLEGGDRIHVQLRFTDVAADPNARWGAGIGGGIAGYRGVPRTFFPQRSGCRVRLYQDAHVADGFAPRIQLAGGRLYEPRRCWEDVFEAITNARRMVYVTGWSVNTGVALVRDPRKPPSGTLGELLKRKADSGVTVLMLVWDDRTSLGLGPIRRDGLMATHDEDTATYFRGSGVRCILCPRNPDQGRSYVQDVETAAMFTHHQKTVIVDSGNNPGGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHRDDFHQPNFPGSSVDKGGPREPWHDIHCRIEGPAAWDVLDNFEQRWRKQGDGDNFLVTLDRSRASQERVQDLESWNVQVFRSIDGGAAAGFPEEPKEQADKGLETGKDHVVERSIQDAYVHAIRRARDFVYVENQYFLGSSYAWRRDDGVTVEDINALHLIPKELSLKIASKIEAGERFAVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYKDVALAIQAKGIQASPTDYLTFFCLGNREAYSPGEYVPPEKPDPDTDYMRAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPSYLASSNRPARGEVHGLRVSLWQEHLGQAAASAAVAADLLQPSSLACMRRMNQVAQQHWEMFASDAVPGQLPGHLMAYPIGVTDGGELLETTAFFPDTKARVLGNKSTYLPPILTT* >Brasy2G223000.2.p pacid=40070420 transcript=Brasy2G223000.2 locus=Brasy2G223000 ID=Brasy2G223000.2.v1.1 annot-version=v1.1 MALMLLHGVIDAQILDADLSSVTSDGQIRPNRKTLMKKKMFSWIKKLPFCNCQVENAIGLGSTAGKLYATVDIDKARVGRTRMLEPTNTPKWGQEFHIYCAHDAAHVIFTVKADNPVGATLIGRAYLPTGGAVAAGQAVDQWLPICDDKRRPLEGGDRIHVQLRFTDVAADPNARWGAGIGGGIAGYRGVPRTFFPQRSGCRVRLYQDAHVADGFAPRIQLAGGRLYEPRRCWEDVFEAITNARRMVYVTGWSVNTGVALVRDPRKPPSGTLGELLKRKADSGVTVLMLVWDDRTSLGLGPIRRDGLMATHDEDTATYFRGSGVRCILCPRNPDQGRSYVQDVETAAMFTHHQKTVIVDSGNNPGGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHRDDFHQPNFPGSSVDKGGPREPWHDIHCRIEGPAAWDVLDNFEQRWRKQGDGDNFLVTLDRSRASQERVQDLESWNVQVFRSIDGGAAAGFPEEPKEQADKGLETGKDHVVERSIQDAYVHAIRRARDFVYVENQYFLGSSYAWRRDDGVTVEDINALHLIPKELSLKIASKIEAGERFAVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYKDVALAIQAKGIQASPTDYLTFFCLGNREAYSPGEYVPPEKPDPDTDYMRAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGRDTEIAMGAYQPSYLASSNRPARGEVHGLRVSLWQEHLGQAAASAAVAADLLQPSSLACMRRMNQVAQQHWEMFASDAVPGQLPGHLMAYPIGVTDGGELLETTAFFPDTKARVLGNKSTYLPPILTT* >Brasy2G122100.1.p pacid=40070421 transcript=Brasy2G122100.1 locus=Brasy2G122100 ID=Brasy2G122100.1.v1.1 annot-version=v1.1 MARSRAPMAAFLALLAVAAAELTRVEHPAKNDGSLSLLVVGDWGRKGNYNQSRVAEQMGKIGEELDIDFVVSTGDNFYEDGLTGVHDQQFEESFTNIYTAKSLQKPWYLVLGNHDYRGDALAQLSPVLQKIDERFFCMRSFIVNAEFVDFFFIDTTPFQLKYWTHPKDSHYDWREVAPRETYISDLLKDLDKAMKKSTAKWKIAIGHHTMRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSKDSPIQYFTSGGGSKAWRGVYQPNDDNLQFFYDGQGFMSLQLNQDQADFIFYDVSGNILYQWSSSKISHLQPSIYVTEK* >Brasy2G458600.1.p pacid=40070422 transcript=Brasy2G458600.1 locus=Brasy2G458600 ID=Brasy2G458600.1.v1.1 annot-version=v1.1 MEVVVSAGHSVLVPLLGKLADLLAGKYGRIRGVRGEIQSLQSELTSMHAALKGHAMLEDPDVQVKAWISLLRELAYDIEDCIDKFIHRIGRKGRRNGGFKEVLRNAARSLKTLGSRSGIADQIDELKIRIKHVKELKDCYKLSDSHCSVTDHTKEAHLVGIDGPRDDLAEWMVEEGKMHRRVLSIVGFGGLGKTTLANQVYHKIQGHFDCQAFVSVSQKPVIKKIIKDIIYKVPCPYGFTKDIDIWDEMTAITKLRELLQDKRYLVIIDDIWSTSVWDAIKYAFPENNCSSRIIFTTRIVDVAKSCCLGRDNRMYEMEALGDLHSRRLFFNIIFGSENCCSDMLKEVSNEILKKCGGLPLAIISISKVKRSIGSALENNRSLEGMSSILSLSYNNLPANLKTCLLYLSAFPEDYEINRERLVRRWIAEGFICEERGQSQYEVAKSYFYELINKTLVQPVGFDYDGKVRACRVHDMMLEIIVSKSAEANFMTVVAGGQTGLANRHRFIRQLSIQHFDRELAYVLASEDVSHVRSLTVISSGCIKHLPVLAEFEALRVLDFEGCEHLEYDMNGMGKLFQLKYLSLGCTPISKLPQGIVMLGDLETLDRGTRVREPPEIVRLIKLQHLLVAKETKIPNGIGDMRNLRVLSGFSITQSQVDAVEDLGSLTSLHELDVNFHAAEPAEYKRHDEMLLSSLCKLSRCKLLTLRINRYDGSLEFLGSWSPPPSSLQTFYMSNKSYFPYVPRWITPALSSLSYIHINLIELTVEGMHTVGELPALLYLVVWSEIGQKDRITVHGFPSLKYFIIASGGSHFTFVKRAMPKLENLYVPFDVAVAKTYGFYLGIEHLTSLKKASVYLYTEDTTPSERKAAAAAMNEAGSNPNHPTVRIYEVPAEKYNEETGSNDVDESKEDGHNEGN* >Brasy2G480500.1.p pacid=40070423 transcript=Brasy2G480500.1 locus=Brasy2G480500 ID=Brasy2G480500.1.v1.1 annot-version=v1.1 MRLVAWNCQGLGNGPAVQKVDPDVIFLSETKMSEKKLQWFRWKLGLVNMVAKDSCGQSGGLALFWRRGLKVDVPMMSKYHIDAEISEEDGFQWRFTGIYGEPKSDEKGKTWQFMRTIKHHSTKPWILKGVEVHSLNRGSSGVLVMGAT* >Brasy2G085200.1.p pacid=40070424 transcript=Brasy2G085200.1 locus=Brasy2G085200 ID=Brasy2G085200.1.v1.1 annot-version=v1.1 MTAAAMAPATATKLTEEAYTAELAGVAAAGAPAGVAETAEGVIAAGAPTGASVGGAGGDAIGDDDGDAALGGVATGAGAAAVGDLAGGAGSGAIFGAGMGPCAAAVTAMSATMAATTAKRAICVWCLRWNCGESLKITRRGVRW* >Brasy2G388100.1.p pacid=40070425 transcript=Brasy2G388100.1 locus=Brasy2G388100 ID=Brasy2G388100.1.v1.1 annot-version=v1.1 MEVILRRFDLADVDAMMSWASDPEVAAFCRWEPYSSTESLLAYLRDTVLPHPWFRAICLGSGAGRPVGLVSLSPSPDERCRGELGYVVARPHWGKGVATAAVKRALGAVFGEVEGLARVEALVDVDNAASQRVAEKAGFRREGVLRRHYWHKGRARDLVMYSFVSNGACRAEQGAAAAGSVPERERAHKVVTLRPFDLGDFDAELTWASDPVAAAPMPRAWDPCPSRESLLAFLWLWDTPLRRPWVRAICLGAGVAGAVAVTRTDDRCRAEIGVVLARAHSSVAVASAAMRWAVAEVFGDSGGLEGVERVEAVVDAGGSDEAPRRALEEAGFRREAVLRSYRAVEGQLRDMAIYSFISTDPLLD* >Brasy2G394300.1.p pacid=40070426 transcript=Brasy2G394300.1 locus=Brasy2G394300 ID=Brasy2G394300.1.v1.1 annot-version=v1.1 MPSQDNDTDLQVSGSLSCKLQDPAAFFSTGTGAVDPKSSLFPSESSISMDMLPSMAFFKGMEDAKMFLPKDFVMVDSRGCKNKFDMDSETEPGMGRSSKQIAALVDTDSEEEATAVLEMLDRLILNGYDTCPDEMREVHINKENMAAQKSIRRHGRGGARQSVSTDLDKLLIRCAEALTRNDRRNASELLEQIKRHSSPLGDARQRLAHYLAQGLEARLASTGVASWKKKLHIVHYGIINTGLQWPVLIRWLAEREGGPPQVRITSINTPQPGINPAEKIEETGHRLSNFASKFGVPIKFRAITAEPEAVRAEDLHIDPDEVLVVNSLFQFKTLMDESLTFTFDRANPRDMVLDNIRKMKPSVFVHAIANGPYSAAFFMTRFHQVLYHFRALFDMMETIIPQDNDNRVLVEREFFSRCAMNMIACEGADRVERPQTYREWQARNQRAGLRQLPLDSDIVLMLKDKVKNQYHKHFMIKEDHQWVLQGWKGRVLYALSTWAADDAGGSELAQI* >Brasy2G305900.1.p pacid=40070427 transcript=Brasy2G305900.1 locus=Brasy2G305900 ID=Brasy2G305900.1.v1.1 annot-version=v1.1 MTVWRAVRVSCGLWCLGLSQLHFPYRSTRTRQSGGEAAADRQRRTDGRTPTPVLPPKPKRTINPGSEPRKKPPLGIWNPKRFAIHQLLPSSSNRSRRRRRRSSVLSSPQDHQTITPTAAAAAAETSSPSPAR* >Brasy2G209700.1.p pacid=40070428 transcript=Brasy2G209700.1 locus=Brasy2G209700 ID=Brasy2G209700.1.v1.1 annot-version=v1.1 MEALPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRATLRRSSAGAGAGSSSYAAATAASARHGGGGASTDSDADADPPVPMAVARPLYGRVVGEPVYVAEPAVPRAMYGLPLANATAARASTTTELVRRGSVGLQACSGAAGTTSCHQCRRVGNAVWCTSCDRRGYCTDCISRWYSDIPIDDVQKVCPACRGICNCKVCLQGDNLIKARVQEISVEDKLRYLHSILAYVLPVLQQIYSDQCFEIGVETRVHGPKMDILRAKINSDEQMCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSHTNVVRGEYAESKGHLSNTNKDILSKRTRLEPSAASVNDDLSPQQIDVNDIGIRSLFPTWRTNNDGSITCGPHEAGGCGSSKLVLRRIFKINWIGKLVKSSQEMVNGCKAHDLDNGCSSCKADRRLNLTGHHNFGLSKCSNSGGTDGNGVYSPVLESLKYEGIAHFRNHWINGEPVIIRNAFEPSLSTSWDPLSIWRGIQEIMDEKMDEEVIVKAVDCSNQSEVQIKLNQFIKGYSDGHKREDGKFAMLKLKEWPPASVLEEFLLCQRPEFIINFPLVDFIHSKWGFLNLAAKLPPDALQSEVGLKLLIAYGRQQELGKGDSVTNLMIKMGDVVHMLMHTAEVLTLCPKRLQPERSERIANGMTVHVNAHAPVQNLNLDMGGRSPEHTRTKSYETWHSPSLRLQDKVLGATVYGGSDCTSAELSSLSHSEKLTNGSERPQAGALWDVFRRQDLPLLNKYLAANWEELAISSQAVLSVKHPIYDQAVYLNEYHKRALKDQYGIEPWTFQQHIGEAVFIPAGCPFQMKNLQSTVQLALDFLSPESLRESARMAQEIRCLPNHHDAKLKMLEVGKISLYAASSAVREIQKITLDPKFNLDVRFEDRNLTQAVSENLARVTKQRKVSRG* >Brasy2G112400.1.p pacid=40070429 transcript=Brasy2G112400.1 locus=Brasy2G112400 ID=Brasy2G112400.1.v1.1 annot-version=v1.1 MKLRLRSMDARGGVAETHRVQLPDTATLSDVKAFLAAKLSAAQPVPAESIRLSLNRSEELLSPDPSATLVALGLASGDLLHFTLSPLRSHPPPPQALPRNPSADAISISGIVACSTSPGEAEPASSSPPSTLRLEPTLPVVSDSPDVVMAEAVDAAKSSPSFVIGLLKREMEAENAGSTEATVIHRIIIALHAALVDAGFLAANPPGCSLGFLKDWASGALPTLTVKYTLPELVSVLPVAEEGKIVVLNYSLMPNFVMIYGCVPGAQSEVHRLCLELPKLAPLLYLDSNDVGEVEEKEILDLWRVLKDEMCLPLMVSLCRLNGLPLPPCLMALPGDLKAKILEFVPGVDLARVECTCKELGDLAADDNLWKTKCELEFKACERLHLSVRNSLLVVWCTEKICGGRAWEERVYKSSWGRHRSVLPPGDLSTMMVDLNSEFELFLVGVISSSTSLLLRKAFKFALDVPASQKYHDMRLHMHHVLYFPSQMYSPPCTASGNDDEQGFTASANPIALLDHAMDFDEHMLFPMHNVGMQDGVQFYNPTSGTDLSRNMSMDKCLKGGKRKGSGEGSSSMHSQEGTGAVSKREVNSEKAGDAESNREDYVHIRAKRGQATNNHSLAERFRREKINERMKHLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAVSPELNCDLDLQDILCPQDARSAFPGYGPRLSNVHPNLYRASQQGLSRPELYGCIPNPANVHLARTAQLSAFPQRSVVWDEELRNIGPVAFPSDAGTSSLENSDPMKVE* >Brasy2G463100.1.p pacid=40070430 transcript=Brasy2G463100.1 locus=Brasy2G463100 ID=Brasy2G463100.1.v1.1 annot-version=v1.1 MRIYLHLIILCSLSIVTPTCQADLQTEALLQFKASLTDPLNHLQTWTEATPPCRFLGVHCDGDTVTEISLSSMNLSGRISPSISALRGLERLELDYNSLSGTVPKELIDLNQLKFLNLSWNSLTGELPDFSPLTALDTLDVASNGFSGPIPAWVGELPSLTYLSIGNGMNSNSYDPGETPTSIGNLKNLTYLYLSGCSLTGEIPDSIFELTLLDTLDLSMNNLAGKIPAAIGNLKRLYKIELYKNSLTGELPPELGKLTELREFDVSRNQLSGVIPPEFAALKNFKVIQLYRNNFSGRIPDSWGGLRHLTSISVYENRFSGEFPAEFGRFSPLVSVDISESGFSGPFPKFLCSSRKLQFLLALQNGFSGEFPEDYGDCKSLQRFRINKNFFTGNIPEGIWGLPEATIIDVSDNGFTGAISPVIGRAGNLNQLSVQNNRLRGEIPRETGSLAQLQKLDLSNNSFSGAVPPELGNLAQLTSLHLERNAITGEIPGEIGGCGRLAEIDVSMNALSGPIPVELSLLMSLNSLNVSHNAINGVIPGELQALKLSSVDFSANRLTGNVPRGLLVIAGDEAFAGNPGLCVGGKSELGAYCDDSDDGNGGRSGRGSTRVLLPVLLSAMLLLVIGIVFVSYRSFRVEELRKRRDMERGGGSGGWSEQWKLESFHPPELDADEICGVGDDAENLVGSGGTGRVYRLRLKGAGGTTVAVKRLWKCGDAARVMAAEMAVLGVVRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGGEGWPELDWPRRRKIALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIARVAADDSGEISGFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELVTGRSPIDAGFGEGKDIVFWLSSRLASESLDGVLDPRFAAASSSDKEEMFRMLKIGVLCTAKLPATRPTMRDVVRMLTDAGAGPCCSPRGRPPGRSCSKCSC* >Brasy2G397900.1.p pacid=40070431 transcript=Brasy2G397900.1 locus=Brasy2G397900 ID=Brasy2G397900.1.v1.1 annot-version=v1.1 MSSCANDVIQLQPSTDKAAAACCRDRLSALPDDILLSIVNDLSLRAVVRASALSRRWRRVPALLPDLAIDVADFMPDDGGGDLPPDTARQAMAACACAATRLLLVGASRRRRSQEEDTISHHRQRSLSRQRFVSFRGACPVAFGRLTRLALHGIALDLPALLGACQELRVLSLSRCDLGGKSAVVEIDAPLSSLELRSCVYGRLELLRVPRLRRVGCHTWYHHPPLRFGCMPQLRAVSLVSHARSWQPLPFPLSDCWLLLPDAGSLSTLTLDFLDGAYKPCKLDYAKEDNN* >Brasy2G236000.1.p pacid=40070432 transcript=Brasy2G236000.1 locus=Brasy2G236000 ID=Brasy2G236000.1.v1.1 annot-version=v1.1 MANYPCDPTPHLPPGTTIVPPRPLRAQRGYAVLGRNLPIICEEWAIAILAPPFVTACDRDTAVNSGPFHIDDMVLRFIPQNRGLNHRDAVFSHHVWIMLMNYPLEGWDVEAVSDAFVPYGCFLVWNKEVSKKARILVKITAYNVDTLPLSIVILRNSNHVGSCESWTCPLYILSSNMIGTPATDEDPLPPDGATPHPMPLQFHNIWQDEDAHIPYAPHVHDLNAQAPEEPHVQHDNVNVIDEQDNDPLVDVNLNAVDGYTSPYNSVGSFIATSPSIDVHACRVCERGHQDFDSGDLPRFGPPEGVILYVLLCVYRWWWMAQEKVTKSGQSGELKPKLWRPEEEGEADAALLRRSEHQSFSYLELPMPGLSLMPTLLSGSLEPPFIVQGVTTVANLGHTACVLLQENILATRRM* >Brasy2G312100.1.p pacid=40070433 transcript=Brasy2G312100.1 locus=Brasy2G312100 ID=Brasy2G312100.1.v1.1 annot-version=v1.1 MAFSSSKLLTTAMRSSFLRFYRLASSPILQPTPGRLPGPFLSTRFCSAAAATTPSPAALDVAYSAVAAVSAGHPWPEWGDFLEKLRVKGYFVRPPLASGADAAESAAGSAEAAAAEETVAAADPYPFRDQNRVKNACLKFARERFDLLRFAYKSWNVARRTGLEGAKKWGNPDGHRRFPCANRFFSTFHCQHSAINEGTDRVHTRRTTEGVILSDPTDDVTPKYIIENTTHRDGSIYKGNFGFLKSYRITDRNETRLEPKMYSEPTDCQPDRERCIVHSPSRMMQIYSLKLSQIPIDHKSVELYGYIAVRDYHDSMLNYIVNLSRDDPIIVQQGSLIHMTGPKRGISMSSTVLLEFDMRIKKGEQEENDLQLIDGASEFCEWRLLLKLSYQKFLVVSVYLSVRKEIQLFHGTICESCALKRSVIAVRMESWMHLKFKIVGQEGSKSDLERYCSFKATNHGCARKQIMLDIASISAKVTWSTDPLF* >Brasy2G312100.2.p pacid=40070434 transcript=Brasy2G312100.2 locus=Brasy2G312100 ID=Brasy2G312100.2.v1.1 annot-version=v1.1 MAFSSSKLLTTAMRSSFLRFYRLASSPILQPTPGRLPGPFLSTRFCSAAAATTPSPAALDVAYSAVAAVSAGHPWPEWGDFLEKLRVKGYFVRPPLASGADAAESAAGSAEAAAAEETVAAADPYPFRDQNRVKNACLKFARERFDLLRFAYKSWNVARRTGLEGAKKWGNPDGHRRFPCANRFFSTFHCQHSAINEGTDRVHTRRTTEGVILSDPTDDVTPKYIIENTTHRDGSIYKGNFGFLKSYRITDRNETRLEPKMYSEPTDCQPDRERCIVHSPSRMMQIYSLKLSQIPIDHKSVELYGYIAVRDYHDSMLNYIVNLSRDDPIIVQQGSLIHMTGPKRGISMSSTVLLEFDMRIKKGEQEENDLQLIDGASEFCEVTAPLHVYTMRINGDCGAVDITLALVYEAVEATIEVVIPEVLSGFSLSLSS* >Brasy2G066200.1.p pacid=40070435 transcript=Brasy2G066200.1 locus=Brasy2G066200 ID=Brasy2G066200.1.v1.1 annot-version=v1.1 MTDSTLAAAMPPPQHAVLSDAAKDEAGEPYQQPIIRSPAGPWHTRHHPALRISDSLLRLVMFMRGVLTHATFCRKEEDDDDPSWLDHRGGNKVRRKPRSLAVRALQLGPHKIARTDEVDIVAKFLYNKPRRIVCELCRHDGGGFARIDILCSNIRLMRACFDHARFDTLKIHVKSSSQRYRADIAPPGRHRRWHRCTDFTPKTFCLQFEKGTLERCYGKMFYADPPLILLTLSPPPGQEEEEAQATDTQIFTAHPVLPPLPQQTQELIS* >Brasy2G297200.1.p pacid=40070436 transcript=Brasy2G297200.1 locus=Brasy2G297200 ID=Brasy2G297200.1.v1.1 annot-version=v1.1 MAAQAPPPAIEVPKDAELMQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRLGGVTSLPDLIAALSLPQSKTPFLGRLMRVLVTSGVFATADNNNEEELFRLNPLSSILVDGVEADEHHSQTSFVLAATSRHYAEAALGLADWFKKDIPGPAVPSPFEDVHGATLFHESTAALDEELDELVNEGLAAHDNLGIGTILRECHDLFKGLDSLTDCCGGDGTTARAIAKAHPHVKCTVLDLPKVIEKAPADGVVTYVAGDLFHSVPHSQAVMLKLVLHHWSDDDCVKILSQCRSAIPSREEGGKVIIIEIVVGPSMGPIMYEAQLLMDMLMLVNTRGRQRAEKDWRELFMKAGFSDYKIVKKMGARGVFEVYP* >Brasy2G246400.1.p pacid=40070437 transcript=Brasy2G246400.1 locus=Brasy2G246400 ID=Brasy2G246400.1.v1.1 annot-version=v1.1 MAGKWGQDNPFEEVEIEVNPFSHPRPTPLPPEPAVNFYNDIGAPANMPLDTKKDLKKKEKELLAKEAELNKREQEIKRREDALARAGVLIEPKNWPPFFPVIHVDISNDIPVHLQQLQYVAFASFLGLIICLFWNFICVTAVWISGDDAGPKIWFLAIIYFITGVPGAYFLWYRPLYRAMRKESAFRYGWFFMFYFFHICFCIFAAVAPSILFLGRSLAGIFQALSVIGYSSTVGVFYFLGFTLFVLESLLSVWVMQKVYRYFRGSGKEAEMRPDAASRRPQF* >Brasy2G337300.1.p pacid=40070438 transcript=Brasy2G337300.1 locus=Brasy2G337300 ID=Brasy2G337300.1.v1.1 annot-version=v1.1 MGPRPTCQRPPRHVTYGTRAAFSVSKIPPCPSLSLNLSIHLIVPSRETERRKELYGVMAVAALLLRRALSCHHDVYIRCLLSSSDLHSTVNSSNLRFWRGCHNSGKFDFTDMTDPHMWYPNAREKKRKVFLHVGPTNSGKTYNALKRLEASSSGVYCGPLRLLAREVAKRLNNTDVPCNLITGQEREEIEGAKHNSVTVEIADVTTEYQCAVIDEIQMIGCRTRGFSFTRALLGLCSDELHVCGDPAAVPIIQRMLEPTGDVVTVQYYERLSPLVPLKSTLGSFSNIKEGDCMVTFSRREIYKLKKKIEMEGKHLCSVVYGSLPPETRTKQATMFNDDASELNVLVASDAIGMGLNLNISRIIFSTLEKFDGIRMRELTVPEIKQIAGRAGRYGSKFPVGEVTCLHAEDLPLLHSSLKLPSPIIERAGLFPTFDLLSVYSRLHGTDFFHPILERFLEKAKLSPDYFIADCEDMLKVAAIVDELPLGLYDKYIFCLSPVDVRDDISTQGLIQFAGNYAKKGTVRLKEIFTSGTLRVPKTHNQLKELESVHKVLELYVWLGYRFEDSFPDRELAASQKSICSMLIEEYLERSGWQQQGQKRLLHSPRKLRQEYDASQLRGYFQEIDTRSK* >Brasy2G125000.1.p pacid=40070439 transcript=Brasy2G125000.1 locus=Brasy2G125000 ID=Brasy2G125000.1.v1.1 annot-version=v1.1 MAIQRVCVVVALLCICLREGGAVTFTFLNRCTGTVWPGILSNAGTARIEPTGFTLPPGAARAVPFPSGWSGRLWARTGCAQDAAGRFACATGDCGTGSLDCAGRDGATPATLAEFTLDGGGHNDFYDVSLVDGYNLPILVEPAGSSSSGTTCAAAGCAADLNMRCPAELRAVGGGACRSACDAFGKPEYCCSGAFANPNTCRPTAYSQAFKSACPRSYSYAFDDPTSTFTCAGGRDYTITFCPVATPRSVPANAHHAA* >Brasy2G103700.1.p pacid=40070440 transcript=Brasy2G103700.1 locus=Brasy2G103700 ID=Brasy2G103700.1.v1.1 annot-version=v1.1 MEAASVAGGRPARPSRRSSRRQTRPLGISSVPPIAGQCLQGSISLRIPNRTLPRAESAKTLAHNQWRRSRVDPPRAQSSMPTTATIYRRTTAPVPSPPPFSSVGGSAPRSGASEFVLREMSNLSEPSKEDGSTDISVAFQKSGAWNNALSTFMHHASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPCPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAIAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLIMGEFDQMKVDSSKMTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEDNDEEEQRLLQMQPGHESNSTRHSSS* >Brasy2G103700.3.p pacid=40070441 transcript=Brasy2G103700.3 locus=Brasy2G103700 ID=Brasy2G103700.3.v1.1 annot-version=v1.1 MANTRVGRLKMSNLSEPSKEDGSTDISVAFQKSGAWNNALSTFMHHASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPCPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAIAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLIMGEFDQMKVDSSKMTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEDNDEEEQRLLQMQPGHESNSTRHSSS* >Brasy2G103700.2.p pacid=40070442 transcript=Brasy2G103700.2 locus=Brasy2G103700 ID=Brasy2G103700.2.v1.1 annot-version=v1.1 MANTRVGRLKMSNLSEPSKEDGSTDISVAFQKSGAWNNALSTFMHHASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPCPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAIAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLIMGEFDQMKVDSSKMTNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEDNDEEEQRLLQMQPGHESNSTRHSSS* >Brasy2G351500.1.p pacid=40070443 transcript=Brasy2G351500.1 locus=Brasy2G351500 ID=Brasy2G351500.1.v1.1 annot-version=v1.1 MSRHNTRNKNKRPRADESASPYYAVLKKIHSTGTITEGDIRLLYSVWKPVCQGCHGNTKDSPNCFCGLVPTASGTRKTGLWQKNSEHVRSLGPNPSADLRDSTETPAGLTNLGATCYANSILQCLYMNTYFRSGIFSLEPDILKKHPVIDQLARLFVQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLERSLSHSKVSGAKTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEESLDDYLSVEALNGENQYSCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPAQLDMGKRLSNPSSSYTYDLAAILIHKGSAANSGHYVAHIKDESSGQWWEFDDECVSKLGFHPFGEKPSKSSDKVDQKSEVTSSDGAVANGNNKSSYHEAAPSCTMGPMFSSTDAYMLMYKRSSKDENGTESNNIVEANNSSLPHHFADEIDELNALYVKECEEYETKKDNLLASIKERREEVRAVLAEAPAPPDDDSYFWISADWLRQWADNANPPSSIDNSPIQCEHGKVPASKVTSMKRLSAKAWQKLLSKYGGGPVFSRDDVCMECLKDGAKTAVSADVYRDRKASLKNLAEAALAGSIPEGPSYFVSKTWLTHWLRRKNVDIPSDPDNVPTSALRCSHGDLLPEHAPGAKRVSVPESLWLFLYETINAREADSIATFPSDCQPCEICDQKLSVVASVEDNLRAMKLKQRQRHEKLVSGKGFALYPGQKYYLVPSSWLSEWRTYITATGKNVSSLPEPQSLEVVINSLKCEKHSRLLQRPLDLICKRGNITQKTSNTDGLAIISESDWILFSEEWNITHGNGASAEIICSKSSQDKLHGSSEAIIILDEDPDQSINDANNSLEDSSPSIRTDPEVCEECIGEIESCALVEKLNYQNEDIHVYLVRGKEAPKSIREASPALPIPDRRTTKRSRRTTSGNSINLKVSGSTSVYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKAIFPGDVLWVRDSEMFENRDIADEISEQKADVSAEEGFRGTLLTSSVSTQLCQDIALSE* >Brasy2G351500.2.p pacid=40070444 transcript=Brasy2G351500.2 locus=Brasy2G351500 ID=Brasy2G351500.2.v1.1 annot-version=v1.1 MSRHNTRNKNKRPRADESASPYYAVLKKIHSTGTITEGDIRLLYSVWKPVCQGCHGNTKDSPNCFCGLVPTASGTRKTGLWQKNSEHVRSLGPNPSADLRDSTETPAGLTNLGATCYANSILQCLYMNTYFRSGIFSLEPDILKKHPVIDQLARLFVQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLERSLSHSKVSGAKTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEESLDDYLSVEALNGENQYSCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPAQLDMGKRLSNPSSSYTYDLAAILIHKGSAANSGHYVAHIKDESSGQWWEFDDECVSKLGFHPFGEKPSKSSDKVDQKSEVTSSDGAVANGNNKSSYHEAAPSCTMGPMFSSTDAYMLMYKRSSKDENGTESNNIVEANNSSLPHHFADEIDELNALYVKECEEYETKKDNLLASIKERREEVRAVLAEAPAPPDDDSYFWISADWLRQWADNANPPSSIDNSPIQCEHGKVPASKVTSMKRLSAKAWQKLLSKYGGGPVFSRDDVCMECLKDGAKTAVSADVYRDRKASLKNLAEAALAGSIPEGPSYFVSKTWLTHWLRRKNVDIPSDPDNVPTSALRCSHGDLLPEHAPGAKRVSVPESLWLFLYETINAREADSIATFPSDCQPCEICDQKLSVVASVEDNLRAMKLKQRQRHEKLVSGKGFALYPGQKYYLVPSSWLSEWRTYITATGKNVSSLPEPQSLEVVINSLKCEKTDGLAIISESDWILFSEEWNITHGNGASAEIICSKSSQDKLHGSSEAIIILDEDPDQSINDANNSLEDSSPSIRTDPEVCEECIGEIESCALVEKLNYQNEDIHVYLVRGKEAPKSIREASPALPIPDRRTTKRSRRTTSGNSINLKVSGSTSVYQLKLMIWESLGIVKENQKLHKGSVEIEDDLATLADKAIFPGDVLWVRDSEMFENRDIADEISEQKADVSAEEGFRGTLLTSSVSTQLCQDIALSE* >Brasy2G200800.1.p pacid=40070445 transcript=Brasy2G200800.1 locus=Brasy2G200800 ID=Brasy2G200800.1.v1.1 annot-version=v1.1 MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIASQCLAKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGQQMPIAFLVRIKDDFSKRYGGGKAATAAASSLNREFGSKLKEHMQYCVDHPEEINKLAKVQAQVSEVKNVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIVALILIIILSVCHGFKCK* >Brasy2G288500.1.p pacid=40070446 transcript=Brasy2G288500.1 locus=Brasy2G288500 ID=Brasy2G288500.1.v1.1 annot-version=v1.1 MEGPPRGGAMDFTLAALKLFGSQLAGSTTAPSSEGSSPAQMLFGIRFQRAWLQGVIVHADYSTGDGKLFLDDGSCVTELILQSKHAEGQSWQPGMYVFIIGAYIAPESSENLPMMKVHKIADLSAHPDREAMWYMEVAEAYNFFYSRAGSPS* >Brasy2G021700.1.p pacid=40070447 transcript=Brasy2G021700.1 locus=Brasy2G021700 ID=Brasy2G021700.1.v1.1 annot-version=v1.1 MACCRRAPPPRLGRGCRRAPLRPDPAARRGSGSGGINLHRICSGRRWRVDERTRDREGGGTSWWAGSDPRRPGLMEARSARGPGPGRPDPATPGTGRPDPPEVVVVEEGPRRRPPSRRRGGTRMEEAGPWRLRALRRPASAAAA* >Brasy2G122700.1.p pacid=40070448 transcript=Brasy2G122700.1 locus=Brasy2G122700 ID=Brasy2G122700.1.v1.1 annot-version=v1.1 MGKGDGTTRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNVPGTAPMTPPAFYHSAVAPSPQGHPYMWGPQMMPPYGTPPPYATMYAQGTPYQQAPMPPGSHPYSPYPVQASNGTVQTPPSGAGGSETDKSSKNKRKTPLKRSKGSLGSLDVVTVKNKTSPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSRTKDEHGQGNDASNKGATASSAVEPTQVSSGPVVLNPMMPYWPVPLPWLAQLAQQLV* >Brasy2G122700.2.p pacid=40070449 transcript=Brasy2G122700.2 locus=Brasy2G122700 ID=Brasy2G122700.2.v1.1 annot-version=v1.1 MGKGDGTTRSKSQKSSATQAYYNVPGTAPMTPPAFYHSAVAPSPQGHPYMWGPQMMPPYGTPPPYATMYAQGTPYQQAPMPPGSHPYSPYPVQASNGTVQTPPSGAGGSETDKSSKNKRKTPLKRSKGSLGSLDVVTVKNKTSPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSRTKDEHGQGNDASNKGATASSAVEPTQVSSGPVVLNPMMPYWPVPLPWLAQLAQQLV* >Brasy2G122700.3.p pacid=40070450 transcript=Brasy2G122700.3 locus=Brasy2G122700 ID=Brasy2G122700.3.v1.1 annot-version=v1.1 MGKGDGTTRSKSQKSSATQAYYNVPGTAPMTPPAFYHSAVAPSPQGHPYMWGPQMMPPYGTPPPYATMYAQGTPYQQAPMPPGSHPYSPYPVQASNGTVQTPPSGAGGSETDKSSKNKRKTPLKRSKGSLGSLDVVTVKNKTSPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSRTKDEHGQGNDASNKGATASSAVEPTQVSSGPVVLNPMMPYWPVPLPWLAQLAQQLV* >Brasy2G122700.4.p pacid=40070451 transcript=Brasy2G122700.4 locus=Brasy2G122700 ID=Brasy2G122700.4.v1.1 annot-version=v1.1 MGKGDGTTRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNVPGTAPMTPPAFYHSAVAPSPQGHPYMWGPQGSHPYSPYPVQASNGTVQTPPSGAGGSETDKSSKNKRKTPLKRSKGSLGSLDVVTVKNKTSPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSRTKDEHGQGNDASNKGATASSAVEPTQVSSGPVVLNPMMPYWPVPLPWLAQLAQQLV* >Brasy2G122700.5.p pacid=40070452 transcript=Brasy2G122700.5 locus=Brasy2G122700 ID=Brasy2G122700.5.v1.1 annot-version=v1.1 MGKGDGTTRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNVPGTAPMTPPAFYHSAVAPSPQGHPYMWGPQGSHPYSPYPVQASNGTVQTPPSGAGGSETDKSSKNKRKTPLKRSKGSLGSLDVVTVKNKTSPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSRTKDEHGQGNDASNKGATASSAVEPTQVSSGPVVLNPMMPYWPVPLPWLAQLAQQLV* >Brasy2G091500.1.p pacid=40070453 transcript=Brasy2G091500.1 locus=Brasy2G091500 ID=Brasy2G091500.1.v1.1 annot-version=v1.1 MTKVHPNVAAAAAAAASLAVAEEKRQATAAASLEAAEEKREPLALTVWRRSLLFNGKGFTVFDSKGDLVFRVETYAGGSPREVVLMDADGRALLTICRKKLSLADEWVIMDGDAASAPKRFTARRHMSLLPTKSLARLSPARGASDRGDAPRYHVVGSYVGRSLDVFASASGGEQRRRVATVCRKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMYAS* >Brasy2G187900.1.p pacid=40070454 transcript=Brasy2G187900.1 locus=Brasy2G187900 ID=Brasy2G187900.1.v1.1 annot-version=v1.1 MRGQWHGRRQERGEEKSAAIEKKSAVLGLLLAGDASRNPQQLGLAEEAIPGNGPSIYLGRRRWRRCAQAKERAAAAREGESGPRQGRASGQRRPRLRWSARVGTGATMITSEERLHRSGLFRESSRRVCGGSGGCVEEGGCGNGRRRRR* >Brasy2G226500.1.p pacid=40070455 transcript=Brasy2G226500.1 locus=Brasy2G226500 ID=Brasy2G226500.1.v1.1 annot-version=v1.1 MIAIAGAVVAGAAAAAAAAVLALRSSRDDEVRPECGVAAVAPSQECAVCLSDLVAAGSTGGCSEVRMLAGCGHGFHEECIGRWLRLRPECPLCRCPVPAADGPCQPTEIAVVAEAPQAASGSWPARIACGFGDGRVVWTRSPSVLDYIPCGWGSISGRPWPN* >Brasy2G093500.1.p pacid=40070456 transcript=Brasy2G093500.1 locus=Brasy2G093500 ID=Brasy2G093500.1.v1.1 annot-version=v1.1 MKRRYVLALLVFAFVGGNLLLGGSFHARSTVVRAAKSSDHVYGTAELNGRGLKESGHALINRKTRSLQDVRTDDYRPVDPSPRTKASIGAGPIEHGAPLFPYVPRYRPPSGHPEDPDTPPADSAASPGP* >Brasy2G108400.1.p pacid=40070457 transcript=Brasy2G108400.1 locus=Brasy2G108400 ID=Brasy2G108400.1.v1.1 annot-version=v1.1 MAMAASLLLPLFFFLGAVLLLRARRSPRPDKKAGWMQPYPLLGHLPQFLANRHRILDWMTEVLELEPTCTFLLRRPGGVRGVVTANPANVEHFLRGSFDNYPKGTRFASLLHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRAFVAETVHSELHGRLLPLLRRRAAKASTLDLQDTLERYAFDNICRVAFDHDPRQLPDEDQEADHPHSTASSRFADAFRDASNLSAGRFRYAVPGFWKVKRALNLGSERRLRESVAMVHGFADRIIASRREELLSAGCGEKHDLLSRLMASEDDRYTEEALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVRRRIRDEVAAVRARHGHRSDDAAVAGFDLDELREMHYVHAAITESMRLYPPVPVNMLQPQAADVLPDGTAVGAGWFVAYNSYAMGRMESVWGEDARDYRPERWLDPADGATFRPESPFRYVAFHAGPRLCLGKEMAYIQMKSIVACVLEEFEVEVDAAYRPRQVTSLTLRMADGLPVTVKPRGS* >Brasy2G352100.1.p pacid=40070458 transcript=Brasy2G352100.1 locus=Brasy2G352100 ID=Brasy2G352100.1.v1.1 annot-version=v1.1 FQEAKPKPKTIANIYRQAQADPEYNTRRTPKKRVRTVADRLRCPVSHEATRRWTDRQRTVVTTAATRNTINLPRKCPETSTQAATKNSFQFPSVRAAGRPVTDWAVRPV* >Brasy2G388400.1.p pacid=40070459 transcript=Brasy2G388400.1 locus=Brasy2G388400 ID=Brasy2G388400.1.v1.1 annot-version=v1.1 MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWMLDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNSNGLDIPELVKHSAEKRGIRGFGGGDAVDPSSLLIEDCDVLVPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILAKKGVVILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELRTYIARAFRNTKDMCRAHHCDLRMGAFTLGVNRVARATVLRGWEA* >Brasy2G388400.2.p pacid=40070460 transcript=Brasy2G388400.2 locus=Brasy2G388400 ID=Brasy2G388400.2.v1.1 annot-version=v1.1 MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWMLDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNSNGLDIPELVKHSAEKRGIRGFGGGDAVDPSSLLIEDCDVLVPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILAKKGVVILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELRTYIARAFRNTKDMCRAHHCDLRMGAFTLGVNRVARATVLRGWEA* >Brasy2G388400.3.p pacid=40070461 transcript=Brasy2G388400.3 locus=Brasy2G388400 ID=Brasy2G388400.3.v1.1 annot-version=v1.1 MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWMLDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNSNGLDIPELVKHSAEKRGIRGFGGGDAVDPSSLLIEDCDVLVPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILAKKGVVILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELRTYIARAFRNTKDMCRAHHCDLRMGAFTLGVNRVARATVLRGWEA* >Brasy2G276100.1.p pacid=40070462 transcript=Brasy2G276100.1 locus=Brasy2G276100 ID=Brasy2G276100.1.v1.1 annot-version=v1.1 MSLINIIVRIMSNDKPAQNNEALYMSSILACRILRAMQPTRSFLRWTDELHKIFVEAVAYQGGPYEAKPTAVKQTMEAMGVTGLTTWNIKSHLQKYREGCDLGAKPRRDVLDTATPSEASHDPTSETEVAMNNDEAMTGMEMVNNLLMGDMEMAETDFSAEEVQMMENELMNEIQLIKHGSQYSQIPIDECVYDLAKYPFDKDSAGSASSSSL* >Brasy2G435400.1.p pacid=40070463 transcript=Brasy2G435400.1 locus=Brasy2G435400 ID=Brasy2G435400.1.v1.1 annot-version=v1.1 MAMMVADLSFSASISSGKLPRYGTSLSDSSLVKRSAMFRAEARSAEPDKYGANGRLRKMVPTTELVRNKGGGRVRMDTVNGSLNGAINGSKPVINGSPIVGVNGSTRAVINGSPPKGVNGTSLVKGSNMSALVKTQKQTSARDDPFEEELKVLPSDEGFSWAKANYNSVQRSIDIWSFVLSLRIRVLFDNAKWAYAGGFSEENQKIRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPKEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIDIVFKEFEDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSEKFGGPSRDWIGIYDECSKILYEEIDYINEGKNADRFRRDFRNVKWVRVPLIMWDYTTEKVLTLEYAPGIKINNLEVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDKDGSLIYYDFGMMGEIKSFTRDRLLSLFYAVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQYFLDNLLSQSPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYSLDPDFSFVKVAAPYAQELLDMKTRRRGGPELVQEIRKQANDARESTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANILQMATMHTAMGGTLLNIGVTMGSQGNQMVANGSFVGAGIFLALLVLSIQRVKKLDKFETMV* >Brasy2G382300.1.p pacid=40070464 transcript=Brasy2G382300.1 locus=Brasy2G382300 ID=Brasy2G382300.1.v1.1 annot-version=v1.1 MAQRGAATAQKRKCPSDEESTAAGLCANGCGFFGAAATANMCSKCYRDHALTAGADKTASDDFASAAASSSTAPPEKKPRMSAAVDASSDGAAVTTAAAIDPSVKPAAAAANRCATCRKKVGILGFRCRCEGTFCSVHRYSDKHACGFDYKTVAREQIEAKNPVVVADKMVTRI* >Brasy2G318200.1.p pacid=40070465 transcript=Brasy2G318200.1 locus=Brasy2G318200 ID=Brasy2G318200.1.v1.1 annot-version=v1.1 MGAQDTAPEEVLRSAAAEVSSPSTKRRTRLFRHTLPPLLTKAAAESPSDTTLLVDLIFQTLPLYDDRASRKAVDDMVIQALGESTFMKPFASTLVQSMEKNLKVTSPLACFKLLRWSCYLLKWTQFATLSKGGFSRLANAQAVLCQVLMNGSFRQHRTCKQLFIRLFSESAGIYKMYMEEVRDLRISTRDSPAFINLILDFTITSSSLFSEYKPVFLDLYVKTILSSKDRPSKAASEAFKPLFLDIGHEDFKNVILPSCIRMLKRNPEIVLQSIGNLLMTVRLDLSNYSMEFMPVVLHQARHSDEERRINALSIVGTLSEKSSDPDTLPSMFNAIKAILGGSEGKLSLPYQRIGMLNALEQLSRFPQKQISRLAPSVSSFLLTCYKEDGIEEVKLAILSALGSWASVSSEAVQADVVSFITGGLKEKDTLRKGHLKLIRVICKKPDCLSKVTSLLDHLIQLSKTGFTKATQRLDGIYALYAISRLAAIDTKADGTIVKEKLWTLIAQSEPSLISAQLLYKLTDEDCLTCVDLLQSLLVDHLYRVQEHFSIKSLLQLLINLVCHPSWAVRKIAYDATKKVISSSGALAEDLLFLFTNWLSLVGERMSILKRSDMDNPGDSQLPFVPSTEVLVKCLFLIAPYAVDHSRRSYSRLILCAHHPCISSSGSPAGVWKRLQRRLKQQKILFIDLIFPNISVICKELLSQDGLFSSNKQEQRAALCSLSTLMTISPNDTFIEFEKHFIELPDRILHDGFSENDIKIFFTSEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDAQDAEPPKSDRRESSNIGKRETGKSTKKTAPVDKSKTAKEEAKELLLKEEAAVREKVGHVQKNLALMLEALGELAIANPIFTHGQLPHLVNYIEPLLSSPIVNDAAFCAMLRLARCTAPPLCNWATQIAAAIRVISVEDFEMVMDLMPMIMEEDSKKRSSSGLFEQIVTGLTTACKTGPLPADSFTFVFPIMERILLSSKKTSLHDDVLQILSMHMDPILPLPRPRMLSVLYHVLSTIPAYHPSVGPMLNELCLGLKCNDLAQALVGVYAKEVHVRLACLTAIKCVPSHSVKRELQVSTSLWIAVHDPEKVVAELAEELWDRFGFDVCTDYSGIFEALSHRHYNVRVAAAEALTAALDENPDKMQDTLSTLFSLYIQDLGLGAESGDTNWLGRQGIALALHSVADVLGSKDLPVIMTFLISRALADPNLDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKRASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKKEEAQALVTRLLDRMMKCEKYGERRGAAFGLAGVVKGFGITSLKKYGIAATLQQGLEDRASAKCREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAFCAPQQLSQCLPKIVPKLTEVLTDTHPKVQTAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPMDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIVGMGEQIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSMGAIFQNHLQAVLPAILDGLADENESVRDAALSAGHVFVEYYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIVEVLGRAKRNEVLAAIYMVRSDVSLTVRQAAVHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRALGELVRKLGERVLPSIIPILSQGLKDPNPSRRQGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRAMEDDETSATALDGLKQILSVRTAAILPHILPKLVQPPLSSFNAHALGALAEVAGPGLSSHIGTVLPTLILAMDDEDTDVQSTARKAAETVVLVIDDEGVETLIPELLKGLNDSQASVRRGSAYLIGFLFKNSKLYLADEAPDMMSILITLLSDTDNATVLAAWEAFSRVVGSVPKEQLPTHIKLVRDAISTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDITSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDTVKESVLSALKGVVRHAGKSVSSAIRSRGCALLKDLLEAEADDVRSSAAKAIGTLSQYMDEIETSDLVQTLLNMSTLPDWCTRHGALLTFSSISMHCPAKLCSSTSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQFEGNTLQLIQLLILALRDDSSEVRRRSLSCIKAAAKINHSALGSNISILGPAIGNTLKDSSTPVRLAAERCAFHVFQLTKGADVTTAQKLLNMTGLEVRRLAKLPEESDGSESSDDDRRT* >Brasy2G318200.2.p pacid=40070466 transcript=Brasy2G318200.2 locus=Brasy2G318200 ID=Brasy2G318200.2.v1.1 annot-version=v1.1 MGAQDTAPEEVLRSAAAEVSSPSTKRRTRLFRHTLPPLLTKAAESPSDTTLLVDLIFQTLPLYDDRASRKAVDDMVIQALGESTFMKPFASTLVQSMEKNLKVTSPLACFKLLRWSCYLLKWTQFATLSKGGFSRLANAQAVLCQVLMNGSFRQHRTCKQLFIRLFSESAGIYKMYMEEVRDLRISTRDSPAFINLILDFTITSSSLFSEYKPVFLDLYVKTILSSKDRPSKAASEAFKPLFLDIGHEDFKNVILPSCIRMLKRNPEIVLQSIGNLLMTVRLDLSNYSMEFMPVVLHQARHSDEERRINALSIVGTLSEKSSDPDTLPSMFNAIKAILGGSEGKLSLPYQRIGMLNALEQLSRFPQKQISRLAPSVSSFLLTCYKEDGIEEVKLAILSALGSWASVSSEAVQADVVSFITGGLKEKDTLRKGHLKLIRVICKKPDCLSKVTSLLDHLIQLSKTGFTKATQRLDGIYALYAISRLAAIDTKADGTIVKEKLWTLIAQSEPSLISAQLLYKLTDEDCLTCVDLLQSLLVDHLYRVQEHFSIKSLLQLLINLVCHPSWAVRKIAYDATKKVISSSGALAEDLLFLFTNWLSLVGERMSILKRSDMDNPGDSQLPFVPSTEVLVKCLFLIAPYAVDHSRRSYSRLILCAHHPCISSSGSPAGVWKRLQRRLKQQKILFIDLIFPNISVICKELLSQDGLFSSNKQEQRAALCSLSTLMTISPNDTFIEFEKHFIELPDRILHDGFSENDIKIFFTSEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDAQDAEPPKSDRRESSNIGKRETGKSTKKTAPVDKSKTAKEEAKELLLKEEAAVREKVGHVQKNLALMLEALGELAIANPIFTHGQLPHLVNYIEPLLSSPIVNDAAFCAMLRLARCTAPPLCNWATQIAAAIRVISVEDFEMVMDLMPMIMEEDSKKRSSSGLFEQIVTGLTTACKTGPLPADSFTFVFPIMERILLSSKKTSLHDDVLQILSMHMDPILPLPRPRMLSVLYHVLSTIPAYHPSVGPMLNELCLGLKCNDLAQALVGVYAKEVHVRLACLTAIKCVPSHSVKRELQVSTSLWIAVHDPEKVVAELAEELWDRFGFDVCTDYSGIFEALSHRHYNVRVAAAEALTAALDENPDKMQDTLSTLFSLYIQDLGLGAESGDTNWLGRQGIALALHSVADVLGSKDLPVIMTFLISRALADPNLDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKRASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKKEEAQALVTRLLDRMMKCEKYGERRGAAFGLAGVVKGFGITSLKKYGIAATLQQGLEDRASAKCREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAFCAPQQLSQCLPKIVPKLTEVLTDTHPKVQTAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPMDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIVGMGEQIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSMGAIFQNHLQAVLPAILDGLADENESVRDAALSAGHVFVEYYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIVEVLGRAKRNEVLAAIYMVRSDVSLTVRQAAVHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRALGELVRKLGERVLPSIIPILSQGLKDPNPSRRQGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRAMEDDETSATALDGLKQILSVRTAAILPHILPKLVQPPLSSFNAHALGALAEVAGPGLSSHIGTVLPTLILAMDDEDTDVQSTARKAAETVVLVIDDEGVETLIPELLKGLNDSQASVRRGSAYLIGFLFKNSKLYLADEAPDMMSILITLLSDTDNATVLAAWEAFSRVVGSVPKEQLPTHIKLVRDAISTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDITSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDTVKESVLSALKGVVRHAGKSVSSAIRSRGCALLKDLLEAEADDVRSSAAKAIGTLSQYMDEIETSDLVQTLLNMSTLPDWCTRHGALLTFSSISMHCPAKLCSSTSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQFEGNTLQLIQLLILALRDDSSEVRRRSLSCIKAAAKINHSALGSNISILGPAIGNTLKDSSTPVRLAAERCAFHVFQLTKGADVTTAQKLLNMTGLEVRRLAKLPEESDGSESSDDDRRT* >Brasy2G456800.1.p pacid=40070467 transcript=Brasy2G456800.1 locus=Brasy2G456800 ID=Brasy2G456800.1.v1.1 annot-version=v1.1 MAWAQPGNLTGLSFQLVALHHSPDEHTNNGSSHTTEDLNLPISTSARFIYGVFMSIGTAEGTRRKVLGLDTGASTSWLIHASHLFSPAASPTFRGVRGEDPICTVPYRHTARGSSFRFPLAAGYLSRDTFHLCSGGSGTAMESVPGIVFGCAHSVTGFHNDGTLGGVLSLSHSPLSFLTLLGARASGRFSYCLPKPTSHNPHSFLRFGADVPSLPPHAHTTTLVHAGIPGYHLNIVGISLGNKRLHIDRHIFAAGGGCSINPAVTITRIVERALVAHMKELGSGRVKGMPGGPLCFDHMDRSVRAQLSGMSFHFEDGAELRFAAEQLFDVRVMAACFLVVGRGHHQTVIGAAQQVDTRFTFDIAAGRLAFVPETCG* >Brasy2G014500.1.p pacid=40070468 transcript=Brasy2G014500.1 locus=Brasy2G014500 ID=Brasy2G014500.1.v1.1 annot-version=v1.1 MRARRLSGGGTFTTTATSNSSSGGGGSGGSFDANMVIVMAALLCVLILALGLNSLVRCCLHCARRLSSPPSAPVSSSSPAPAGLKRKALRRIPVEVYGVSNGGTAECAICLGEFAGGEKVRVLPRCRHGFHVRCIDAWLAAHSSCPNCRASLLIPDAGAGEDGGGRVRR* >Brasy2G132300.1.p pacid=40070469 transcript=Brasy2G132300.1 locus=Brasy2G132300 ID=Brasy2G132300.1.v1.1 annot-version=v1.1 MAAAPAGPQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVVDKETGKPKGYGFCEYKDEETALSARRNLQGYEVNGRQLRVDFAENGRNTDRNREKGRGGPGMASSVDAQKQPTATPVVGDTSLHQPVGLPPAIHAASVMAGVLGAAQTANVQNGLPVQYGLGNDPLTHYLARMSRNQLYEIMSELKTLTTQNKDLAKTLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKNQQLSSSLTQSSAHLNESFPQPDAVIPVVPKQEPIAPLHNFPQYQHSSQPPVKIFPHGHQFQLSSQPFSTSSSVPTQPLATSGGLISQVQPPFLPQHPRPQVIPTNVQQLPLTNPLHPQVAAAPGIPLKEIRLPDQTSYQAELAHPSKLRKLEDGTSAPGNVNNNPAIYPAPSQVVVPSGPSGSYSSGAVNFQQPENEAPQLTPDDESVLLQQVLQLTPEQLSSLPIEQQQQVIQLQKMLSAGK* >Brasy2G457700.1.p pacid=40070470 transcript=Brasy2G457700.1 locus=Brasy2G457700 ID=Brasy2G457700.1.v1.1 annot-version=v1.1 MILRLHAATARRSAALLADAAAASRYDPLTRPLPSAGLPRRLHDARGSDHGPKPGGPLALYRGLVSQGKLQHDTYQENVASELDSLLRRLEQYEMEMEDYHTKLSTWDSTREKERRRLLVEEAEDKQHDGVWIDEKRGFIDKLISRKRRGNLEPGVGRWVSYLNREKKLDTLVGQKPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHEHMHDVWKTRDDDRSTHSSAFSWISGLPFDAKIKEWLLGEEKYKQETQQKHILLAVADKFLVDRQADKCGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLVATSNKAPEDLNQDGMQREIFLELLSKLDETCNKILVGTETDYRRLIPTDGSTQIHYFWPITSESRSMYEAMWHDVTNQAGRNITAVTIPVMFGRSLEIPHSCNGAARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDEMYNHHCRLICLAVSSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVSAEGNVGVGPSTTGLVSMLSGQEEMFAFRRAISRLIEMQTPLYLDRVLHFHTSVLHQQQGAPVFAKDKTISQSAPV* >Brasy2G204900.1.p pacid=40070471 transcript=Brasy2G204900.1 locus=Brasy2G204900 ID=Brasy2G204900.1.v1.1 annot-version=v1.1 MATACPPLSLPSTSLLQRSTRAGPARRSLPSVRCSAVGEAVAEAAVAGTAEEPLLVSAIRGKKVERPPVWLMRQAGRYMKSYQNLCERYPLFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPEEWVPYVGQALNTLREEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFAEPVILHSLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIYDTVQKAGNEGHVLNLGHGIKVGTPEENVAHFFEVAKGIIY* >Brasy2G340900.1.p pacid=40070472 transcript=Brasy2G340900.1 locus=Brasy2G340900 ID=Brasy2G340900.1.v1.1 annot-version=v1.1 MQNGISLFRIDMATEAAPEWLEKGDNAWQLSAAALVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFACTMVCWCLWGFRMSFGDRLLPFVGRPDFSGLDQAGFLSAQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRMNFRAWMIFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAFWVGPRAAKDRESFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSLLVWLILDCFAFGRPSAIGAVNGMITGLVCITPAAGLVQGWAAMLMGVLSGSVPWLTMMVLHKRCRLLARVDDTLAVLHTHGVAGTLGGVLTGVLAEPRLCRLFFGDDPRYVGFVYAVRGGRAGAGLRQMGVQLAGIGFILALNVVVTSVVCLLVRVAVPLRLSEEELAAGDEGVHGEDAYAVWGDGETYEQSVHGNNGYAMTDNPMTTSKADDMI* >Brasy2G340900.2.p pacid=40070473 transcript=Brasy2G340900.2 locus=Brasy2G340900 ID=Brasy2G340900.2.v1.1 annot-version=v1.1 MQNGISLFRNMATEAAPEWLEKGDNAWQLSAAALVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFACTMVCWCLWGFRMSFGDRLLPFVGRPDFSGLDQAGFLSAQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRMNFRAWMIFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAFWVGPRAAKDRESFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSLLVWLILDCFAFGRPSAIGAVNGMITGLVCITPAAGLVQGWAAMLMGVLSGSVPWLTMMVLHKRCRLLARVDDTLAVLHTHGVAGTLGGVLTGVLAEPRLCRLFFGDDPRYVGFVYAVRGGRAGAGLRQMGVQLAGIGFILALNVVVTSVVCLLVRVAVPLRLSEEELAAGDEGVHGEDAYAVWGDGETYEQSVHGNNGYAMTDNPMTTSKADDMI* >Brasy2G034200.1.p pacid=40070474 transcript=Brasy2G034200.1 locus=Brasy2G034200 ID=Brasy2G034200.1.v1.1 annot-version=v1.1 MARAEANWERLVRAALRGERMGGAYGLPVSGIAGNVPSSLGNNTHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREVGAIDRSQDIAKLQEFYKLYREKHKVDELCDDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDITREISPEDAEKLISEEMKRVMQKDAARTEDVVAYNIIPLDALSTTNAIVTFPEVRAAISALQYHRDLPRLPDTISVPDARNSDMLDLLHCVFGFQKDNVSNQREHIVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYMKWCNYLPLRPVWNNTELLTKEKKLLYVCLYYLIWGEAANVRFLPEGLCYIFHHLARELEEIMQKHTAEPAESCISNDGVSFLDQVIYPLYEIIAAEAANNDNGRAGHSAWRNYDDFNEFFWSLKCSQLGWPWKPSNPFFSKPSKKEQGLLGRKHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQGLTIIAFNNGSFDTNTALELLSLGPTYVVMEFIESILDILMMYGAYSTSRGSAITRVIWRFCWFTVASLVICYLYIKALQGGTQSAIFKIYVFVISAYAGVQIIISLLMSIPYCRGFTNACYRWPVVRLAKWMHQEHNYVGRGLHEKPLDYIKYVAFWLVILAAKFSFTYFLQIRPLVKPTRTIISFRGLQYQWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTIMSAIVGFLLGARDRLGEIRSVEAVHRFFERFPEAFMDKLHVDVPKRKQLLSSGQHAELNKFDASRFAPFWNEIVRNLREEDYINNTELDLLLMPKNNGDLPIVQWPLFLLASKVFLAKDIAVDCNDSQDELWLRISKDEYMQYAVEECFHSIYYVLTSILDKEGHLWVQRIFSGIQESISKKNIQGDIRFSKLPNVIAKLVAVAGILKETESADMKKGAVNAIQDLYEVVHHEVLSVDMSGNIEDWSQINRARAEGRLFNNLKWPNDPGLKDLIKRLHSLLTIKESAANVPQNLEASRRLEFFTNSLFMRMPVARPVSEMLSFSVFTPYYSETVLYSIAELQKRNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFSSANDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLESPSGMAGLAEAHFEYSPEARAHADLKFTYVVTCQIYGVQKGEGKPEAADIALLMQRNEALRIAYIDVVESVKNGKPSTEFFSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQDHGKFKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLSNPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRIGQLFDFFRMLSFYVTTIGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILGNAALSAALNTQFLFQIGVFTAIPMILGLILEAGVLTAFVTFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVAVLLVIFLAYGFNNGGAIGYILLSISSWFMALSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHTFRGRILETLLSLRFFIFQFGVVYHMDASEPSTALMVYWISWAVLGGLFVLLMVFGLNPKAMVHFQLLLRLVKSIALLMVLAGLIVAIVFTPLSLADVFASFLAYVPTGWGILSIAVAWKPVVKRLGLWKTVRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILSGNNQNAGI* >Brasy2G034200.2.p pacid=40070475 transcript=Brasy2G034200.2 locus=Brasy2G034200 ID=Brasy2G034200.2.v1.1 annot-version=v1.1 MARAEANWERLVRAALRGERMGGAYGLPVSGIAGNVPSSLGNNTHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREVGAIDRSQDIAKLQEFYKLYREKHKVDELCDDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDITREISPEDAEKLISEEMKRVMQKDAARTEDVVAYNIIPLDALSTTNAIVTFPEVRAAISALQYHRDLPRLPDTISVPDARNSDMLDLLHCVFGFQKDNVSNQREHIVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYMKWCNYLPLRPVWNNTELLTKEKKLLYVCLYYLIWGEAANVRFLPEGLCYIFHHLARELEEIMQKHTAEPAESCISNDGVSFLDQVIYPLYEIIAAEAANNDNGRAGHSAWRNYDDFNEFFWSLKCSQLGWPWKPSNPFFSKPSKKEQGLLGRKHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQGLTIIAFNNGSFDTNTALELLSLGPTYVVMEFIESILDILMMYGAYSTSRGSAITRVIWRFCWFTVASLVICYLYIKALQGGTQSAIFKIYVFVISAYAGVQIIISLLMSIPYCRGFTNACYRWPVVRLAKWMHQEHNYVGRGLHEKPLDYIKYVAFWLVILAAKFSFTYFLQIRPLVKPTRTIISFRGLQYQWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTIMSAIVGFLLGARDRLGEIRSVEAVHRFFERFPEAFMDKLHVDVPKRKQLLSSGQHAELNKFDASRFAPFWNEIVRNLREEDYINNTELDLLLMPKNNGDLPIVQWPLFLLASKVFLAKDIAVDCNDSQDELWLRISKDEYMQYAVEECFHSIYYVLTSILDKEGHLWVQRIFSGIQESISKKNIQGDIRFSKLPNVIAKLVAVAGILKETESADMKKGAVNAIQDLYEVVHHEVLSVDMSGNIEDWSQINRARAEGRLFNNLKWPNDPGLKDLIKRLHSLLTIKESAANVPQNLEASRRLEFFTNSLFMRMPVARPVSEMLSFSVFTPYYSETVLYSIAELQKRNEDGITTLFYLQKIYPDEWKNFLTRINRDENAADSELFSSANDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLESPSGMAGLAEAHFEYSPEARAHADLKFTYVVTCQIYGVQKGEGKPEAADIALLMQRNEALRIAYIDVVESVKNGKPSTEFFSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQDHGKFKPSILGVREHVFTGRVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRIGQLFDFFRMLSFYVTTIGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILGNAALSAALNTQFLFQIGVFTAIPMILGLILEAGVLTAFVTFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVAVLLVIFLAYGFNNGGAIGYILLSISSWFMALSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHTFRGRILETLLSLRFFIFQFGVVYHMDASEPSTALMVYWISWAVLGGLFVLLMVFGLNPKAMVHFQLLLRLVKSIALLMVLAGLIVAIVFTPLSLADVFASFLAYVPTGWGILSIAVAWKPVVKRLGLWKTVRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILSGNNQNAGI* >Brasy2G376400.1.p pacid=40070476 transcript=Brasy2G376400.1 locus=Brasy2G376400 ID=Brasy2G376400.1.v1.1 annot-version=v1.1 MELFPSHPDLQLQLQISPPHPPTKPMDLGFWKRALDTTAASTTPATISTSSPPMARTTTTTYPSAPSSGAGGFHPAASTAQLGGGLQFLQHTQPILHEAQADLASTMRPIRGIPVYNTAVSPSSFPSFLQSQLHHHNLTHVQHQHCYVDAIIGGGGGEAGPRSPGKVSGVGAGVGALRPAKRGSRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKTAATSYGQAKTIIEIADDSYFDITNTSGSESSVQQSNLDGNEHGSNMCALWSNNSSSRGAWFQGKSRDANHGDIKSFEDVQSQSPDDDASDLNSPPFRLSELFVGAKKPNLDFTLGRM* >Brasy2G376400.2.p pacid=40070477 transcript=Brasy2G376400.2 locus=Brasy2G376400 ID=Brasy2G376400.2.v1.1 annot-version=v1.1 MELFPSHPDLQLQLQISPPHPPTKPMDLGFWKRALDTTAASTTPATISTSSPPMARTTTTTYPSAPSSGAGGFHPAASTAQLGGGLQFLQHTQPILHEAQADLASTMRPIRGIPVYNTAVSPSSFPSFLQSQLHHHNLTHVQHQHCYVDAIIGGGGGEAGPRSPGKVSGVGAGVGALRPAKRGSRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKTAATSYGQAKTIIEIADDSYFDITNTSGSESSVQQSNLDGNEHGSNMCALWSNNSSRGAWFQGKSRDANHGDIKSFEDVQSQSPDDDASDLNSPPFRLSELFVGAKKPNLDFTLGRM* >Brasy2G051700.1.p pacid=40070478 transcript=Brasy2G051700.1 locus=Brasy2G051700 ID=Brasy2G051700.1.v1.1 annot-version=v1.1 MAGGDQVHVLSALDSAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLIGRIYYTVEGSPRPGTLPPAVSAAVNGVAFVGTLSGQLFFGWLGDRAGRKTVYGMTLLLMVLCSVASGLSFGHSPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGVTALFQSAFPAPAYADNPAASTPPEADYVWRIVLMVGAVPAALTFYWRMKMPETARYTALIAKNAGRAAADMSRVLHVDIVPTDLEAAGNGNKPAPSFGLFSCEFARRHGLHLVGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPAAATMSALGELYHIARAQSLIALCGTVPGYWFTVAFIDSVGRFKIQAAGFFMMTCFMLGLAVPYEHWTAQGHQAGFVAMYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSPDPAKTAHGYLPGIGVRNSLFVLAGCSLLGFMLTFLVPEPKGRSLEEMSRETEPEH* >Brasy2G195300.1.p pacid=40070479 transcript=Brasy2G195300.1 locus=Brasy2G195300 ID=Brasy2G195300.1.v1.1 annot-version=v1.1 MNRENPLICRLEADSIGPGRHRRLQKRRRSAGSGRTRAARHRIRQPRTRNRQQDPRRPAHAPSQGRRQEGPSPTWTGWSGLPSPPIAGSWHPPARVPMHLTGELPDHRSHKKRRKAEGRCQIRPGSSRIQPKPARSGRPLRCDGPEQPRAQEQTGRWPPRKKAPPPPSPSGLCPPVTPGGGEGEGWRCGG* >Brasy2G430600.1.p pacid=40070480 transcript=Brasy2G430600.1 locus=Brasy2G430600 ID=Brasy2G430600.1.v1.1 annot-version=v1.1 MPTKTCHGPASEPEPLPVDLLLEIVARSNVTTVVRCAAACKTLRGAILDPAFARRRFALLEAESTGVFFDDFDPCLLLGVSYPEPGYLHGSKCPLNTIQFCARRLLLDDDSSFSPVAARDGLLRLDVLRVRDVFTGHPDTLLPPPAIREAYGPHVLLSAGSSSRFELLIADSRMSVFQTFSSVAGQWSAVRQATKPQHPGGLRLDASRHRPHRLLALHEDAILPDRILALDIDSAEATMVALPPRCLSSMMMAIKKGDKLLLACVRGRLSLLVSESHGISMWTRTQPAQSEAAAWSRQAVICRAEVERQGRRLGLGLASRACLAYGTFRLEGIGERSGAVILQLVQGELLRLDLGTKAVSRLREPAGTSPVKRVFLHEMDLVSLFRSMKSV* >Brasy2G211400.1.p pacid=40070481 transcript=Brasy2G211400.1 locus=Brasy2G211400 ID=Brasy2G211400.1.v1.1 annot-version=v1.1 MSMAPHDVPEMAPVFHPTVWGDFFINYSPEPRKVIGLFGACNTITEKLNLVDTLQHLSIDHHFHEQIVSTLTSTHAAEFNSTSLHDVALRFRLLRQQGFWVSTDVFSKFKDEDGAFHVDKANDTRGLLSLYNAAYLLTHGETELEETILFARQHLESMEGYLKYPLADQVRRSLHLPLPRTLKRVEALDYMSEYKEDPMHNSSILELAKLDFNLLQRLHLKELKALSRWWKNLYREVGLTYSRDRVVECYLWSYTAYYEQEYTRARMILAKIIAIIIMTDDTYDVRATLMECKQLNEAIQRWEESATSLLPEYLKKFYLKLLSTFNEFEDELKPDEKYRVSFSTKAFQILSSNYLQEAEWFNHNYKPRFKEQVKVSSVCSGAPWVCVGLQVGMGDTATKEALQWALGCTDAVKACAQVTRFMNDLASFKRGKNKNDVASSVECYISEHGVASEVAFAKIGSLIEDAWKTTNQARFQLPELLPVVQRVANITISMPFMYDDKKDAFTFSSALEGTIKCLFVNHIPL* >Brasy2G211400.2.p pacid=40070482 transcript=Brasy2G211400.2 locus=Brasy2G211400 ID=Brasy2G211400.2.v1.1 annot-version=v1.1 MNTNNKVNFRWWKNLYREVGLTYSRDRVVECYLWSYTAYYEQEYTRARMILAKIIAIIIMTDDTYDVRATLMECKQLNEAIQRWEESATSLLPEYLKKFYLKLLSTFNEFEDELKPDEKYRVSFSTKAFQILSSNYLQEAEWFNHNYKPRFKEQVKVSSVCSGAPWVCVGLQVGMGDTATKEALQWALGCTDAVKACAQVTRFMNDLASFKRGKNKNDVASSVECYISEHGVASEVAFAKIGSLIEDAWKTTNQARFQLPELLPVVQRVANITISMPFMYDDKKDAFTFSSALEGTIKCLFVNHIPL* >Brasy2G227400.1.p pacid=40070483 transcript=Brasy2G227400.1 locus=Brasy2G227400 ID=Brasy2G227400.1.v1.1 annot-version=v1.1 MQGQSPSDYVLNAVSTVRPNYLEQALVPLAPFHRSILLRRSGHIRFSRRLTPQIHVLDKLILYPSQLVHCALRVRAVFKLCVIILLYWEGSICKRSFCI* >Brasy2G452900.1.p pacid=40070484 transcript=Brasy2G452900.1 locus=Brasy2G452900 ID=Brasy2G452900.1.v1.1 annot-version=v1.1 MEGEEEPPRPPQGRPTVTGPNGRRIAAPAAVQPTHDADTLEKEKKAAAAAAAAAEEARDGERPLSELTPRLQATEKSLTTRGAAPKVREISEFLAVSAAPRPWRRVLVRLPDLFPDGGVDDAAATPKKKRKTAAWEVLAGRATEAPLITEAEMAEIVRSWEEGDDGAACLPPYIHEVSINSDSPVNLVESLQHARRATRSVGGEITWFIRSRVPAHCGRRNRMVAGEEEGTWHEEAGPKPILAADGVSVLAYKKTFSCTVNKSHQTAGTKPKRTCTGWKMIEISLDEQPADQWVLCLVYKSNRRYPEAPGPMKQMTRRDELPCPGAGDSSYMSSPPWPLRKGKEAAATTASGLNLIQKARETGTKLLVEAAKKAASDRDLDKACEIYEFVVAKRLYLRTIDVLGLDCSIVSDSFAKLEVHLQYIAATKKLSPTARKDLVSLGQHMCTAILSGYLNESEKKARQESNDALERTGVDAQQASRSGSKDQVVDLPLAVQDIGSEADAEHCTAIPGPDEDVEGADNELATPVNRDVDGDHAEAPPGAHQVRTDTGTTIALEEINPLLMSDDEEDTPLTVDGVKLNEYYRTMGDIVQIKPNDGDRFTVSAPQGDSYTMPVSVPKGGVPAGFTSLVGVDQGYSAGFPYMPLDPADYGYLEQQGAEAEMVEASSGPCRFHEDPLALMDSAHRPSFDNSAEDSMPVDGFDDGRTYVDLLDLDQDDHTEGEAEQQAAEAEVVTGLVPGEVDQSRPMDEFNHGGLFDLGFLNQLQDEHNDGAIDPYFFNLLQDDQTEAEARSRLAGIW* >Brasy2G452900.2.p pacid=40070485 transcript=Brasy2G452900.2 locus=Brasy2G452900 ID=Brasy2G452900.2.v1.1 annot-version=v1.1 MEGEEEPPRPPQGRPTVTGPNGRRIAAPAAVQPTHDADTLEKEKKAAAAAAAAAEEARDGERPLSELTPRLQATEKSLTTRGAAPKVREISEFLAVSAAPRPWRRVLVRLPDLFPDGGVDDAAATPKKKRKTAAWEVLAGRATEAPLITEAEMAEIVRSWEEGDDGAACLPPYIHEVSINSDSPVNLVESLQHARRATRSVGGEITWFIRSRVPAHCGRRNRMVAGEEEGTWHEEAGPKPILAADGVSVLAYKKTFSCTVNKSHQTAGTKPKRTCTGWKMIEISLDEQPADQWVLCLVYKSNRRYPEAPGPMKQMTRRDELPCPGAGDSSYMSSPPWPLRKGKEAAATTASGLNLIQKARETGTKLLVEAAKKAASDRDLDKACEIYEFVVAKRLYLRTIDVLGLDCSIVSDSFAKLEVHLQYIAATKKLSPTARKDLVSLGQHMCTAILSGYLNESEKKARQESNDALERTGVDAQQASRSGSKVVDLPLAVQDIGSEADAEHCTAIPGPDEDVEGADNELATPVNRDVDGDHAEAPPGAHQVRTDTGTTIALEEINPLLMSDDEEDTPLTVDGVKLNEYYRTMGDIVQIKPNDGDRFTVSAPQGDSYTMPVSVPKGGVPAGFTSLVGVDQGYSAGFPYMPLDPADYGYLEQQGAEAEMVEASSGPCRFHEDPLALMDSAHRPSFDNSAEDSMPVDGFDDGRTYVDLLDLDQDDHTEGEAEQQAAEAEVVTGLVPGEVDQSRPMDEFNHGGLFDLGFLNQLQDEHNDGAIDPYFFNLLQDDQTEAEARSRLAGIW* >Brasy2G387400.1.p pacid=40070486 transcript=Brasy2G387400.1 locus=Brasy2G387400 ID=Brasy2G387400.1.v1.1 annot-version=v1.1 MESKEPMPLLTPHKLSPSLSLSHRIILAPLTRKRAYGNIPQPHQATYYAQRATAGGLLVTEATGVSDTAQGYHDTPGIWTEEQVAAWRPVVDAVHAKGAAIFCQIWHAGRVSAFEFQPGGKEPVSSTAKGVSPQMSADGRLEEFSPPRMLEVDEIPAIVDDFRKAAMNAIDAGFDGVEIHSAYGYLIEQFLKDSSNDRNDEYGGSLENRCRFALEVLDAVVKEIGGDRVGIRLSPFADYMDCHDSDPHALAMYMSTKFNEHGVAYLHMIEPRMAMVERRRVVPKRLLPYRETFKGTFIANGGYDMEEGNKAVDEGYTDLVAFGRLFLANPDLPKRFEVGAELNKYDRMTFYTSDPIVGYTDYPFLLE* >Brasy2G176300.1.p pacid=40070487 transcript=Brasy2G176300.1 locus=Brasy2G176300 ID=Brasy2G176300.1.v1.1 annot-version=v1.1 MISAQVPKDSSILNRTMVKIFKGIPSVFYFLLHHNFPSDIYCHIIIRSNAKLDATCDNVNKRHKQRCKSMCGAVDE* >Brasy2G305300.1.p pacid=40070488 transcript=Brasy2G305300.1 locus=Brasy2G305300 ID=Brasy2G305300.1.v1.1 annot-version=v1.1 MPVSPAASAAVMASTYPLPSLSPSAPNAKGCGSFGTVCAPSAEPRARPALCWACARPARRIASPGISRAIFTEELKRTTAMDTVQWIMSVGTSFLEAIQLSNDLSHLRTSLPRARVLIDRAEWGRFKDKELEKLLLELKDTTYDSEDLLRKFDDQVLRQKMEDTNRSRAGQFISSSLNIAKGLISGSKTRVKEAQSKLDKVVAEIERMLDLMGFNVEPVQLGKQLMPETSSMITEPMVFGRDEERDKVIALLGVPPTNYRSVTRTHTFIEGLTAKRMKGENSGAKLVIDDDKTCIDDPTVLPIVGIGGVGKTTLAQLVYNDSRVTAHFDVRIWVCVSDLFDKRRITKEIIQVLNQKRKETILSITTEEFYSPPSLDNLQMKLMEELMGQKFLLVLDDVWPNANQEWRGFSAPLRYGRKGSMILVTTRSLKVAEYVTTLEPVKLEGLPTDIFWEFFKKCAFGRESPESYPQLQDIGRSIVSSLCGSPLAAKTLGRLLNDNLTEQHWRGIQNNELWELPYERNDILPALQLSYLYLPQELKRCFRLCSIYPKDYSFRRDEIVDNWVAQGFVAQEGSTCLEDTGNRYLDDLRSRFLFQDDPKFPGLGRYVMHDLIREMAQSVSVGECFLMQNFSYQNQRAMSHTVRHMSIESDSEAQSRLTSVTTLHHLNKLHSLRLGTRFGIEISWFSELSNILFLSLKGCSLEKLPEGICVLKHLRRLDISESSIQEVPNKIECLCSLQVLDASCSSLRTIPEGITKLVNLRRLSLPMEASMKLSKISGLGNLSSLRNLSYFKVGTVTGRTIGELKGMNLLGGTLHIMSLVNVQSREEAAEARLVDKKYLKILILQWRGHISLHLKSDENNVLEGLRPPSGIECLMVRGFGGHASPTWLKPENLPTLKILEFFQCCSLGCLSIEASITSADGTAAGSTGDDRLRRSNGIARLPLIRLTSLRLVRCGSLTNVDQLLSPGFLPSLRSIELVHCESLASLPVHDFVGFVCLQDLKIHHCWKLECPREMVLPHSTQRLSIYNCGGLDKSFPGCLTNTTSLTLLDLECCPNMESIQLSSISTNSKLKYLVIRDCPELSSIGAMHTLSSIYYVEISDCPKLTQVQQPFLNGSKPEDDELLEFIYS* >Brasy2G015200.1.p pacid=40070489 transcript=Brasy2G015200.1 locus=Brasy2G015200 ID=Brasy2G015200.1.v1.1 annot-version=v1.1 MANEHLVLVHGEGHGGWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRSFEQYDKPLLDLISALPEGEKVILIGHGIGGLSVIHAMHEFVDRIKEAIFVAAAMLPFGLQTDEDKKDGLPSLPENEVQLTFGAGADDPPTTVALRLEFQRDRLSQQSPEEDSILASMLMRPWPVSAIGTASFEGDDERLNRIKRVFIKTQRDHMLEPQQQDSMIKKWPPSEVLIIDTDHSPFFSAPEQLFNLIVKSL* >Brasy2G366700.1.p pacid=40070490 transcript=Brasy2G366700.1 locus=Brasy2G366700 ID=Brasy2G366700.1.v1.1 annot-version=v1.1 MAITSAQAGRTLKTGRSVRPVISSIRTLAVADPPSSTADDPDSAAPRSLFPPIGDPTALRTLFTATGEAADAAAPPYDGGIDYYYKVPITGLITHQTRPDRPDADRRLSLPRLPEILCSDSHLRRPAATPSPAPPPSSLSLSALGRSTPAATMINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK* >Brasy2G290700.1.p pacid=40070491 transcript=Brasy2G290700.1 locus=Brasy2G290700 ID=Brasy2G290700.1.v1.1 annot-version=v1.1 MAVDDNEGADRVTIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFCSSGFPLQKAQAYAALRRPFLANELEPQYLLHDRRKVYEHLEKYGIPVPSYALVNREYPYQELDHFIEQEDFVEIHGKRFLKPFVEKPANGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSIIPPTLPWKSNEPDQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGQESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKHNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKAKNTNGSEEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTNSFSRYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWKKHERDLYNERKDRFDITQIPDVYDSCKYDLVHNAHLNLEGLEELYKVAQLLADGVIPNEYGINPAQKLKIGSKIARRLMGKVLIDLRNTREEAICVADPNFTEDEAIFLPTKELEHQQKIQLRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQSTLDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSEISPLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGVLERLASFWPFHKGATNGK* >Brasy2G290700.3.p pacid=40070492 transcript=Brasy2G290700.3 locus=Brasy2G290700 ID=Brasy2G290700.3.v1.1 annot-version=v1.1 MAVDDNEGADRVTIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFCSSGFPLQKAQAYAALRRPFLANELEPQYLLHDRRKVYEHLEKYGIPVPSYALVNREYPYQELDHFIEQEDFVEIHGKRFLKPFVEKPANGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSIIPPTLPWKSNEPDQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGQESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKHNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKAKNTNGSEEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTNSFSRYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWKKHERDLYNERKDRFDITQIPDVYDSCKYDLVHNAHLNLEGLEELYKVAQLLADGVIPNEYGINPAQKLKIGSKIARRLMGKVLIDLRNTREEAICVADPNFTEDEAIFLPTKELEHQQKIQLRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQSTLDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSEISPLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGVLERLASFWPFHKGATNGK* >Brasy2G290700.2.p pacid=40070493 transcript=Brasy2G290700.2 locus=Brasy2G290700 ID=Brasy2G290700.2.v1.1 annot-version=v1.1 MIMRAPTGSPLASASWRRRCSAPQWSRFSRGSVRLENSSWPKCDCLIAFCSSGFPLQKAQAYAALRRPFLANELEPQYLLHDRRKVYEHLEKYGIPVPSYALVNREYPYQELDHFIEQEDFVEIHGKRFLKPFVEKPANGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSIIPPTLPWKSNEPDQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEENLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGQESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKHNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKAKNTNGSEEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTNSFSRYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWKKHERDLYNERKDRFDITQIPDVYDSCKYDLVHNAHLNLEGLEELYKVAQLLADGVIPNEYGINPAQKLKIGSKIARRLMGKVLIDLRNTREEAICVADPNFTEDEAIFLPTKELEHQQKIQLRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQSTLDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSEISPLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGVLERLASFWPFHKGATNGK* >Brasy2G069300.1.p pacid=40070494 transcript=Brasy2G069300.1 locus=Brasy2G069300 ID=Brasy2G069300.1.v1.1 annot-version=v1.1 MDGSKGAGEREEEDAGDGSQVAQGEKRKNKRPSGQVGGGGTSGSAAAIPVAASSAGMATSGDRPLGVAPVGTKARVAYHDPSIPRPQDVYLIRVNNCNLPFDHVWLEPSEDGTRRIHPLEKLPLEQLIDRNVPEIEPVRPADVEDSPFTLVEDLKGLMELVDKLKDVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYLGPYLQKHFKDPTKRKVMHGADRDIIWLQRDFRIYVCNLFDTGQASRVLQMERNSLEHLLHHFCGVTANKVYQNADWRSRPLSDEMIKYAREDTHYLLYIYDLMRLRLQKESTCENDLLLEVQNRSNEICLQLYEKELLTDTSYLHIYGLQEHELEAAQLAVVSALHQWRDYTARQEDESTGYVLPNKALIEIAKKMPASTADLQRIVKSKYPFVEANFDVILDIVWNATENSDAFEAIAEQLKKARLGELDLKSILASGEVIEMVPLDADNVGVNLDPADQYSAAPSTSNINLTSNSKDSFMSETASNSGIQLYDNTQTISSSDNKTSWTLAGLSRPINKEVDTQPNVQELKSPASFGDLVGNSASVIQTDYFGGFSNEQAPGFYYPQIPEYSSVIGWSHPEPEVLEAPGYLSGCYYGFPSINQRSTGTGHPYASNREGDSQDPTRQYHFPPAGN* >Brasy2G069300.2.p pacid=40070495 transcript=Brasy2G069300.2 locus=Brasy2G069300 ID=Brasy2G069300.2.v1.1 annot-version=v1.1 MELVDKLKDVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYLGPYLQKHFKDPTKRKVMHGADRDIIWLQRDFRIYVCNLFDTGQASRVLQMERNSLEHLLHHFCGVTANKVYQNADWRSRPLSDEMIKYAREDTHYLLYIYDLMRLRLQKESTCENDLLLEVQNRSNEICLQLYEKELLTDTSYLHIYGLQEHELEAAQLAVVSALHQWRDYTARQEDESTGYVLPNKALIEIAKKMPASTADLQRIVKSKYPFVEANFDVILDIVWNATENSDAFEAIAEQLKKARLGELDLKSILASGEVIEMVPLDADNVGVNLDPADQYSAAPSTSNINLTSNSKDSFMSETASNSGIQLYDNTQTISSSDNKTSWTLAGLSRPINKEVDTQPNVQELKSPASFGDLVGNSASVIQTDYFGGFSNEQAPGFYYPQIPEYSSVIGWSHPEPEVLEAPGYLSGCYYGFPSINQRSTGTGHPYASNREGDSQDPTRQYHFPPAGN* >Brasy2G159100.1.p pacid=40070496 transcript=Brasy2G159100.1 locus=Brasy2G159100 ID=Brasy2G159100.1.v1.1 annot-version=v1.1 MQRQGHGHGQGQYGDADMKSMVASQLHHYQAQQRGQQLPDNSFPVRDPGQIAGENQYAAPKARQSQWDRGGPNILNQISPYAYNEGQGAEGTQSFYERHKSDLKVSLEKQPREESREQPRTDKIEARYEDYNLPRTFEGLEQSFHEDIVILSKELHDAEDAENTRHRERLKEINAQYHEKLLALRARQTTYREEFLRKESLERQQQYRQASMSNYANNIMPREPHVYPTAAAAAATPPAAASGDAYGEAHRGYASGQYESLGERVNYPEFHGGSQGRSHDFEHRSQYPGGRAYNSGGRRF* >Brasy2G386900.1.p pacid=40070497 transcript=Brasy2G386900.1 locus=Brasy2G386900 ID=Brasy2G386900.1.v1.1 annot-version=v1.1 MEKGKHGKAQWDLVAHKVFLDVCIEEVEAKNRPTQCLNAVGYANLISKFNERTKRNYDRKQMKNRWEALKKDYNTWKQLTQRASSLGRDPNTHTIMASDEWWESEIKRCPDAIKFRNAPLQDEEKMAIIFDKHCVTNEHARVPTRSARVEPSQDANVVTLDGDEENSGCEGDDHVSPVHGGKGKAKPKRACPYSPIQRLLSIMESRSKSKHSAISQVSVNPARQELRDMVAQVVKDGGNAGSNEHFYATQLFMQQEYRDAFTTFDNESPQVRLEWLRRTWEHHNECDT* >Brasy2G369600.1.p pacid=40070498 transcript=Brasy2G369600.1 locus=Brasy2G369600 ID=Brasy2G369600.1.v1.1 annot-version=v1.1 MDAPPSPPPMPPSKTDLSLTLAPSAAGIDEAGDGAASTACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPASHANATPTPAAAASGTSYGGTAAATAAAPGAGPGALPAHGAAYASRRAYVALPSSFPIASHGSSSNHNMVGSDRDRDRQQLQYYAPPESASQATASGEVYSGLQVSSRFAAHRQLSLGTGAAASSSSRPEEQPGAGRDELIDMLNWRRGSHGPTASAAATTPSPASTTTTLTSGGGGGGEEELDLNLSL* >Brasy2G068900.1.p pacid=40070499 transcript=Brasy2G068900.1 locus=Brasy2G068900 ID=Brasy2G068900.1.v1.1 annot-version=v1.1 MDSIMERPMMDAAGSTAGIGRSKSDQLLASAAGSAALSRTASAESAALSTGGDLATTLSRKSSFGNKRSASGGAGAGGAATATGRATSASPGARS* >Brasy2G195200.1.p pacid=40070500 transcript=Brasy2G195200.1 locus=Brasy2G195200 ID=Brasy2G195200.1.v1.1 annot-version=v1.1 MGTQAMSSGGAISRQGSVCSLTLSEVEGQLHGVNLDDLLRTAGSARKTADEVWRDIQSGGGRALPPAPGQMTLEDFLSKSVSDARWAEQYNPPPAPAPAQGQQRHSVGRPLPRPLGVGAGPVLDALLYHDGATPLNGRKRAAEVGLGGPGEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENELLRSYKAFEPVVHYVVPQEEPKNQLRRRNSASF* >Brasy2G190300.1.p pacid=40070501 transcript=Brasy2G190300.1 locus=Brasy2G190300 ID=Brasy2G190300.1.v1.1 annot-version=v1.1 MASTSSSTAVDERERKRKRTSPAAGAEVQPSKWQTRREHEIYSSKLFEALRLVRAGSPASSTTTPARSRLVREAADRALAVAARGRSRWSRAILASRRRRLQAAHRARLRAPTAPPSRHPSAAGTSAAPGKASKTPALARKAKVLGRLVPGCHKLPFPALLSEASDYIAALEMQVRAMTALAEVLSRVSSSASTSASGSSSSSPPLA* >Brasy2G183900.1.p pacid=40070502 transcript=Brasy2G183900.1 locus=Brasy2G183900 ID=Brasy2G183900.1.v1.1 annot-version=v1.1 MHIPSIHTSPHVGQLHGIRLIEGRRKMLPRRRVLVLLAVVAAVLLAVFDGADAEQRMSSMASRFLAAHNEARRAVRVPPLAWDEGLAAHARRYAEERARTGCALVHSHGGPYAQNLFRGSGGPAGWRPEQVVAAWVVPEKAMYDARSNTCRGARGACGHYTQVVWRGTKAVGCAMAACAGGRGTYAVCAYNPPGNYVGVRPY* >Brasy2G033100.1.p pacid=40070503 transcript=Brasy2G033100.1 locus=Brasy2G033100 ID=Brasy2G033100.1.v1.1 annot-version=v1.1 MEQYEKVEKIGEGTYGVVYKARDKATNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVKLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQTWPGVSSLPDYKSAFPRWQAEDLATIVPNLEPVGLDLLSKMLRFEPNKRITARQALEHDYFKDMEMV* >Brasy2G235700.1.p pacid=40070504 transcript=Brasy2G235700.1 locus=Brasy2G235700 ID=Brasy2G235700.1.v1.1 annot-version=v1.1 MATASLPARTFSSARPIPCTASSQCLATRIGRSRPASSSARLSLSTGRGRRAQAAARASSAAGAAGAPAATFPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKTDEERKEFVASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGSDRADNITIFAGDVVPRKKPDPAIYLLAASTLEVDPSSCVVVEDSNIGLSAAKAAGMKCIVTKSGYTSEEDFISADAVFDCIGDPPEARFDLGFCAKLLQKQFVS* >Brasy2G235700.2.p pacid=40070505 transcript=Brasy2G235700.2 locus=Brasy2G235700 ID=Brasy2G235700.2.v1.1 annot-version=v1.1 MATASLPARTFSSARPIPCTASSQCLATRIGRSRPASSSARLSLSTGRGRRAQAAARASSAAGAAGAPAATFPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKTDEERKEFVASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGSDRADNITIFAGDVVPRKKPDPAIYLLAASTLEVDPSSCVVVEDSNIGLSAAKAAGMKCIVTKSGCLLQEGEI* >Brasy2G267000.1.p pacid=40070506 transcript=Brasy2G267000.1 locus=Brasy2G267000 ID=Brasy2G267000.1.v1.1 annot-version=v1.1 MELVVSAITGDLVNRFISFLIKKYEGQENLEQKMVERLQHLLLRVHMVVEEAEGRYITNSKMLLELKRLVDAMYQGYHVLDTIKSRTTTLCISSGVEDEEVSSSSSSNTLSSLTNSVNCFGATRSSTTNRDELANALDNLETMVSNMTEFVLLLGSCERMFRSPYDTHLFIDNFMFGRQVEKQQVMSVLLQENFPDPFAPAVLPVIGISRVGKKTLVAHVCSNERVRSRFSSVLHLKGENICKMEHDQASIPAGPGRTLVVVEFTSDIDDESWQKFSSSARRMGRGSKIIIISRNKKLARLGTVRPVLVNNLSLEEYSYFFKVLAFGSTDPEEHPRLASVANELAVLLGGSLVTANVCADIFRNNQNVEFWLHVLNKYRNVVASNLSTFREHPKLLMERDRRIDITKLVSTSAPLHLMPPHCEDDDSTRGLRKVRFGDLIAGSAVVPKEEFELVAWESRIPPYKKFLNVAKFSDELKSSRQQHRRPSPSSKRQRLD* >Brasy2G253000.1.p pacid=40070507 transcript=Brasy2G253000.1 locus=Brasy2G253000 ID=Brasy2G253000.1.v1.1 annot-version=v1.1 MAPSPLEKLKSIWNSQVMDEEKWALNYKVLKAAGLFAGSIFVMRNFGEQMAI* >Brasy2G024600.1.p pacid=40070508 transcript=Brasy2G024600.1 locus=Brasy2G024600 ID=Brasy2G024600.1.v1.1 annot-version=v1.1 MAQKLVLTIPTMTDDRSKQRAIHTVAGVYGVHSIDVELKESKMTVIGDMDLVVAVKKLRIKFRKVDIELFGPAKEEEEETPPVPAPAPAPAPAEEKKEEAVAEPGFQRWVCKAFSLTKNKSMRECFVPMEARRRRRAYT* >Brasy2G200900.1.p pacid=40070509 transcript=Brasy2G200900.1 locus=Brasy2G200900 ID=Brasy2G200900.1.v1.1 annot-version=v1.1 MDPAAEPSWRPPAPQLAASGASSAVTGQSNGKRRRQPRTEPTPPSAEDDSSRPVSTSGGGGQDLIDSEAKRFKANKSSDDNGSFRTDTEGDSRNGSKVVDQNPPPPEPPKQDYIHVRARRGQATDSHSLAERARREKITERMKILQDLVPGCNKVIGKASVLDEIINYVQALERQVEFLSMKLEAVNAHVNNGVETFPSKDFGALTFNTAPGLTFDPQTPREYAQGSPASEWLHMQIGGTYERVT* >Brasy2G336300.1.p pacid=40070510 transcript=Brasy2G336300.1 locus=Brasy2G336300 ID=Brasy2G336300.1.v1.1 annot-version=v1.1 MPRIGEPGAIPAVRVGFELGLLASSIVVRHIWREYLIPSVDPDILPVFLEFGAEVIGYTCFIYTSLFFVLDAIDSPYARGWR* >Brasy2G336300.4.p pacid=40070511 transcript=Brasy2G336300.4 locus=Brasy2G336300 ID=Brasy2G336300.4.v1.1 annot-version=v1.1 MPRIGEPGAIPAVRVGFELGLLASSIVVRHIWREYLIPSVDPDILPVFLEFGAEVIGYTCFIYTSLFFVLDAIDSPYARGWR* >Brasy2G336300.3.p pacid=40070512 transcript=Brasy2G336300.3 locus=Brasy2G336300 ID=Brasy2G336300.3.v1.1 annot-version=v1.1 MPRIGEPGAIPAVRVGFELGLLASSIVVRHIWREYLIPSVDPDILPVFLEFGAEVIGYTCFIYTSLFFVLDAIDSPYARGWR* >Brasy2G336300.2.p pacid=40070513 transcript=Brasy2G336300.2 locus=Brasy2G336300 ID=Brasy2G336300.2.v1.1 annot-version=v1.1 MPRIGEPGAIPAVRVGFELGLLASSIVVRHIWREYLIPSVDPDILPVFLEFGAEVIGYTCFIYTSLFFVLDAIDSPYARGWR* >Brasy2G465200.1.p pacid=40070514 transcript=Brasy2G465200.1 locus=Brasy2G465200 ID=Brasy2G465200.1.v1.1 annot-version=v1.1 MSGGGGGGRGPAAALAGPVPASARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKNKRDKKKEIPKATSEPRSRGATNTNSRATRGGADRTGRSSSVQSVSSGADNMASRSSILGPGVPSTNSTQKQTVPSSNKDVVPGGSLQSSSGFQQNWYGVPGQMSMADVVKMGRPQGRPSSMPVSTTDKAFAGQNPSLSCETNHNTNQSSSTALPTTFDQGFLSLQDPIPQFVNSSHASADSHQTRENVWFPQDEPPSRSQFTLPETSGDPLLSVASLESSVLVADAINLHENSHAEDNTSTVMQTATSERHLESLQDTSQFSDGLLQNSRTYQSQVHSYDDEVEVSNVNVESATTNFQHLNLQSEDLTTANSSEDNPAVIIPDHLQLGNTDCAHLSFGSFGSGAFSGLLPSKVPKYNVDEVAIPNDTLSVDQIDVRNQDYYSNGTLHSSPNEDVETRVGTKMDNIDVPSVSQPDTLRQGALDVSDLQYNMQSVSDHAYPNTTQPTLVEQGNTQAQQLSHFSNLLQANSLQNNLLGSNLTPHRDFDFSPFLSTQSAMNYNPALPTTSLPISMQESLNPGSFSNTQATQNLPSTSFPSGPPHPQQLSVHPYSQPAPLGPFASLVGYPYLPQNYYVPPPAFQQAYTNNGPFHQSGGAAAAAEPGSAMKYSMPQYKSSLPATSPPQHSSVVPGYGGFGSFPNFGQNQSASSPGTTMGLDEALSTQFKEANHYMALQQSDNSAMWLHGAAASRAVSAVPPSNFYGFQGQNTQGGFRQAQQPSQYGGLGYPSFYQSQAGMPQEHPQNPAEGSLNGSQTTPSQPSHQIWQHSY* >Brasy2G418700.1.p pacid=40070515 transcript=Brasy2G418700.1 locus=Brasy2G418700 ID=Brasy2G418700.1.v1.1 annot-version=v1.1 MAVRFSPLPLYLNGGCCCLLHSEGSSGAIRSGRESPATPSRLPSPRAPVGRSAMPPPVQAAPRAPLPLSQHPSRSAPPPQNLLFLRLRAVAPLLSSSSSSSRRTRRAGVRVRAGGGRRRESPYEVLGVPPSAAPADIKRAYRLLALKYHPDVNKEANAQEKFLRIKHAYNTLMNSESRSKYASGSTDSSSWASGSSKSADAAEEEQFYGFADFLKDLQEEFQNWEASLNSDQKPKSLWEELSAIGEEFVEFLENELKIEDSSPEEDNSNDSQFGGKSSGSRGDNATTNSSDDGMSDIEAVLEQLKKELGLS* >Brasy2G135600.1.p pacid=40070516 transcript=Brasy2G135600.1 locus=Brasy2G135600 ID=Brasy2G135600.1.v1.1 annot-version=v1.1 MTKMLHRPASVAKDKISEEIKEIKEKLRKLVKQSLVLDQRDVSALQDTPAIVGRDEDTEDIISRLFARNASEGPAILLIGGNGGIGKTTVADMVFHHPRFQSYSRVWLNVLQVPELHEIGNFIISQLSREEEMSQGSSDQMEHIKKRLRELFSNGTKVLIVLDSMWTDFGRWESLKNMLDVAEKGSQVFVIATVKMYFSETFGVQPNFPDQLAMSIFGVKPYSLKPFDEDVCCKIIKQAGRCTEDRSAKEDLERIAPEIAQHCGGFPLAAQLFGRLLQVKDYREWHKLLDENSWSEYDSVHLSLELSYRSMPPDLRLCFAYCAMFLGGRPIAKDDLVHQWVALNLIEPSEALSTTELADQHITRLLDMSFLQTTTLSSSHKRLLVREDTK* >Brasy2G016200.1.p pacid=40070517 transcript=Brasy2G016200.1 locus=Brasy2G016200 ID=Brasy2G016200.1.v1.1 annot-version=v1.1 MRSQHRVSLPVPARMAQPSSPTSCPGAQTRLLQRYRVLRCGGHREARRGGGGEETARHRRWLIRLFFHDCFVEGCDASVLLRPTKANPQPEMLGVPNVNSLRGFDVIDDAKKALGEKCEEVVSCADILAFAARDATVLLGKVKHFEMPSGRYDGRVSNASYTLYNLPPPFADLRLLKDMFKLKGFNTDEMVTLSGAHSIGVSRCSSFCDRSDNASLNMNPWLASKLRGQCTSLNTTVNQDDVTPKALDNQYYWNVINKKVLFESDAELRSSWETAAKVLVNALSLKGGRRSSRRRW* >Brasy2G032300.1.p pacid=40070518 transcript=Brasy2G032300.1 locus=Brasy2G032300 ID=Brasy2G032300.1.v1.1 annot-version=v1.1 MGSLEATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQNVDRSTTLARKVFRLLKWVNDLHALVSPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWAGRSGIYQNKERTDRIARISLYCWMASSVCAGLVELGELKRLSKSMRRLARELRGADKYEDEQYRGKMRQSDERLLALVKAGMDVVVAVGLLQLAPNKITPRVTGAFGFVTSLISCYQQLPSRAAPAKVKA* >Brasy2G364900.1.p pacid=40070519 transcript=Brasy2G364900.1 locus=Brasy2G364900 ID=Brasy2G364900.1.v1.1 annot-version=v1.1 MGSGIIQHQGGAYGGGYGGYYGGGYGGGGGRGRGYRHDPPSGRFYNNRGRGRGWGRGPGHQQGEGASVGGGVVAGGTSSGAAASSAAAAVVGGGLQVQGPVQAALADGQQVQAGLVGGQQMQVAVSSAPPPASTSMIVPSPATAPVAALTGLARASSSSLGASSSEPAVVGTSAPVPVASATASAGVEGNMKGLIRVEGGSLSVERVVEELERLIPVPNFQWVARPQGVSAFSVVFPTRGEMQRLIRVGAIQVLGSQVLIKVEEWTSASIAAFVFHRIWVRIGNIPDDLFNYPAIWGLGSLLGTTVDIDMPFSRQHEVGRICVDVISKKIPSGTDLGHKGVAYRLTFQVESSGESDISDDDQRNDDDNEFNDDDEDMLDDMKDVDNKKDSDDWSGKRSQSSLLFQPTEGRPD* >Brasy2G283700.1.p pacid=40070520 transcript=Brasy2G283700.1 locus=Brasy2G283700 ID=Brasy2G283700.1.v1.1 annot-version=v1.1 MAAGRRLSELLQEQQEPFLVEAAKKTRRLRRGRGGSGGGAPGGACCPVAACRRLLRLCNHGFKKRRGASATAGVGSSGIGGGLSSALSKVLCSKAMRRVLHCENLGGGCFFPGGADREFRRLRRSAGDSGECDVRAMEFDTRWKTDMEMEMDVDSSRQLSPVSVLDLELHSDDESPAHSRWEDEKPSTSGSSPPSEDQLHCPTSPCFAYDVHDKARAVETEEEEDDEETVRNDGRSSKCIEEQISSWERIAGDISRIPSMVESDLSRYMQQWRELRPEVREIGARIETLIFDEIGRETVCDMLASHCTLAPTSHCH* >Brasy2G443500.1.p pacid=40070521 transcript=Brasy2G443500.1 locus=Brasy2G443500 ID=Brasy2G443500.1.v1.1 annot-version=v1.1 MNFKGARMPSAPPAGASALVKVALLGGAALYAATNTLYNVEGGHRAIVFNRLEGIKDKVYPEGTHLIIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEAKSAELIGQAIANNPAFVALRQIEAAREISHTISASNNKVFLDSSDLLLGLQQLNILGGKNKK* >Brasy2G474900.1.p pacid=40070522 transcript=Brasy2G474900.1 locus=Brasy2G474900 ID=Brasy2G474900.1.v1.1 annot-version=v1.1 MALAATPTLLSAPPLPKPPTLPPYSRRSTSHSHPPPLLQRLLPHRRPVVAASGGPTTNSLSSALGDPREAEAAVAELLRDHGASPADAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAGARVGAEMGALGFGRKVYFMGRSRRDGSVVPFVESLGVRLSSAKLIAPYVAAEGLPVLINRVKFLKEVLFSSSGYETLVRRNAKRMMMHLSIPADEALQSTLSFFEKMEARYGGLSMLAHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLERIGIPKPRIASVLLSFPPIILSDVEKDIKPRIRAWEKAGIEQQFISRMLLKYPWILSTSVIENYAQALLFFNRKKISSTVLGIAVKSWPHILGCSTKRMNSILVLFDDLGISKKILVPVLTSSPQLLLRKPSEFLQVVSFFKDIGFDKKAVAKIVCRSPEIFASDVNNTLKKKINFLIDFGVSERHLPRIIRKYPELLLLDIDRTLLPRMNYFLGIGLSKKDVCSMISRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRRILANRNITCDTFFTIKQRWLIAGTIVEYPRYFSYSLEGNIKPRFWVLKSRNSSMTDRFAKNELFAEEYLQIETLPVTPSLQSNKGG* >Brasy2G474900.3.p pacid=40070523 transcript=Brasy2G474900.3 locus=Brasy2G474900 ID=Brasy2G474900.3.v1.1 annot-version=v1.1 MALAATPTLLSAPPLPKPPTLPPYSRRSTSHSHPPPLLQRLLPHRRPVVAASGGPTTNSLSSALGDPREAEAAVAELLRDHGASPADAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAGARVGAEMGALGFGRKVYFMGRSRRDGSVVPFVESLGVRLSSAKLIAPYVAAEGLPVLINRVKFLKEVLFSSSGYETLVRRNAKRMMMHLSIPADEALQSTLSFFEKMEARYGGLSMLAHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLERIGIPKPRIASVLLSFPPIILSDVEKDIKPRIRAWEKAGIEQQFISRMLLKYPWILSTSVIENYAQALLFFNRKKISSTVLGIAVKSWPHILGCSTKRMNSILVLFDDLGISKKILVPVLTSSPQLLLRKPSEFLQVVSFFKDIGFDKKAVAKIVCRSPEIFASDVNNTLKKKINFLIDFGVSERHLPRIIRKYPELLLLDIDRTLLPRMNYFLGIGLSKKDVCSMISRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGKIKPRFWVLKSRNIDCSMTDMFAKNDELFAEEYLQIETLPVTPSLQSNKGG* >Brasy2G474900.4.p pacid=40070524 transcript=Brasy2G474900.4 locus=Brasy2G474900 ID=Brasy2G474900.4.v1.1 annot-version=v1.1 MALAATPTLLSAPPLPKPPTLPPYSRRSTSHSHPPPLLQRLLPHRRPVVAASGGPTTNSLSSALGDPREAEAAVAELLRDHGASPADAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAGARVGAEMGALGFGRKVYFMGRSRRDGSVVPFVESLGVRLSSAKLIAPYVAAEGLPVLINRVKFLKEVLFSSSGYETLVRRNAKRMMMHLSIPADEALQSTLSFFEKMEARYGGLSMLAHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLERIGIPKPRIASVLLSFPPIILSDVEKDIKPRIRAWEKAGIEQQFISRMLLKYPWILSTSVIENYAQALLFFNRKKISSTVLGIAVKSWPHILGCSTKRMNSILVLFDDLGISKKILVPVLTSSPQLLLRKPSEFLQVVSFFKDIGFDKKAVAKIVCRSPEIFASDVNNTLKKKINFLIDFGVSERHLPRIIRKYPELLLLDIDRTLLPRMNYFLGIGLSKKDVCSMISRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGKIKPRFWVLKSRNIDCSMTDMFAKNDELFAEEYLQIETLPVTPSLQSNKGG* >Brasy2G474900.2.p pacid=40070525 transcript=Brasy2G474900.2 locus=Brasy2G474900 ID=Brasy2G474900.2.v1.1 annot-version=v1.1 MALAATPTLLSAPPLPKPPTLPPYSRRSTSHSHPPPLLQRLLPHRRPVVAASGGPTTNSLSSALGDPREAEAAVAELLRDHGASPADAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAGARVGAEMGALGFGRKVYFMGRSRRDGSVVPFVESLGVRLSSAKLIAPYVAAEGLPVLINRVKFLKEVLFSSSGYETLVRRNAKRMMMHLSIPADEALQSTLSFFEKMEARYGGLSMLAHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLERIGIPKPRIASVLLSFPPIILSDVEKDIKPRIRAWEKAGIEQQFISRMLLKYPWILSTSVIENYAQALLFFNRKKISSTVLGIAVKSWPHILGCSTKRMNSILVLFDDLGISKKILVPVLTSSPQLLLRKPSEFLQVVSFFKDIGFDKKAVAKIVCRSPEIFASDVNNTLKKKINFLIDFGVSERHLPRIIRKYPELLLLDIDRTLLPRSKVTEMFGTEKYKRVCSLTWHEYQHKLLEAIISTEVSVEQPIVSDGT* >Brasy2G474900.5.p pacid=40070526 transcript=Brasy2G474900.5 locus=Brasy2G474900 ID=Brasy2G474900.5.v1.1 annot-version=v1.1 MQMEARYGGLSMLAHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLERIGIPKPRIASVLLSFPPIILSDVEKDIKPRIRAWEKAGIEQQFISRMLLKYPWILSTSVIENYAQALLFFNRKKISSTVLGIAVKSWPHILGCSTKRMNSILVLFDDLGISKKILVPVLTSSPQLLLRKPSEFLQVVSFFKDIGFDKKAVAKIVCRSPEIFASDVNNTLKKKINFLIDFGVSERHLPRIIRKYPELLLLDIDRTLLPRMNYFLGIGLSKKDVCSMISRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGKIKPRFWVLKSRNIDCSMTDMFAKNDELFAEEYLQIETLPVTPSLQSNKGG* >Brasy2G374500.1.p pacid=40070527 transcript=Brasy2G374500.1 locus=Brasy2G374500 ID=Brasy2G374500.1.v1.1 annot-version=v1.1 MAIDHASPLAFKCRGAMGGAECEDEAEDNQRWPPWLKPLLATSFFVQCRVHADAHKSECNMYCLDCINGALCSLCLAHHRDHHAIQIRRSSYHDVIRVSEIQKVLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHGGGVESKKKKKRVAPLKDARSYSDESSTSDRSSVVQSFTPSTPPPTSSSSYRAGNKRRKGIPHRSPFGSLIVEF* >Brasy2G418800.1.p pacid=40070528 transcript=Brasy2G418800.1 locus=Brasy2G418800 ID=Brasy2G418800.1.v1.1 annot-version=v1.1 MALVVMCGQPCSGKSEAAACLAASLRSSAADVTVRVIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGIRYCVFFCDTEVDHCREWNGKRQEKGEPSYDSNIFEDLVRRFERPDRRSRWDSPLYELVPFRDGVSESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQSVRTTEANSLYEMDKATQEVVSAIVEAQSCGLGLAVNKLSLGPNLPTINLQRSVGLPELRSLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNREIGA* >Brasy2G426700.1.p pacid=40070529 transcript=Brasy2G426700.1 locus=Brasy2G426700 ID=Brasy2G426700.1.v1.1 annot-version=v1.1 METAFFSAASLFHADDGGAGGSRDGMQAGSEGEKEEQQQQALEYEERPHKFPGMELSIREFSCHQLNANLLWPGTFFFAEWLVKNPSIIVGQRILELGSGTGALAIFVRKSFGVDITTSDYDDKEIEENIAHNCGVNNLDALPHIRHTWGDPFPIPRPNWNIVIASDILLYVKQYPNLITTLSFLLKESEDNSQGAGCTNITTKSGIQVVARYPMFLMSWRRRIGKDQSLFFEGCEKAGLEVQHLGDLVYLINNKN* >Brasy2G426700.2.p pacid=40070530 transcript=Brasy2G426700.2 locus=Brasy2G426700 ID=Brasy2G426700.2.v1.1 annot-version=v1.1 MGCRRAPRGRRRSSSSRRWSTRRGPTSSPACGTGALAIFVRKSFGVDITTSDYDDKEIEENIAHNCGVNNLDALPHIRHTWGDPFPIPRPNWNIVIASDILLYVKQYPNLITTLSFLLKESEDNSQGAGCTNITTKSGIQVVARYPMFLMSWRRRIGKDQSLFFEGCEKAGLEVQHLGDLVYLINNKN* >Brasy2G413800.1.p pacid=40070531 transcript=Brasy2G413800.1 locus=Brasy2G413800 ID=Brasy2G413800.1.v1.1 annot-version=v1.1 MAARSENDSDGDEGTNPTGAEGGFSPPPLAAAPVVCVLRSAGDFAGGAFVGSIFGYGQGLITKKGFKGSFSNAGSSAKSFAVLSGVQSLVLCLLRKLRGKDDIVNSGIAGCCTGLALSFPGTPQALLQNCATFAAFSCIMEGLNKQQAMAQTLDGNALNFALGKGGVLPPFTLPPILDASDALASCCQALVKPKKN* >Brasy2G478700.1.p pacid=40070532 transcript=Brasy2G478700.1 locus=Brasy2G478700 ID=Brasy2G478700.1.v1.1 annot-version=v1.1 MMAAAAAVRRAASGLSPLLLSSLPRSRLRHSEPLPLLLRPHRIPPHPAKPFCSAALSSNGAAAERARDLHLYNTKSRRRELFRPRAPSGEVGMYVCGVTPYDDSHIGHARAYVAFDVLFRYLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMAHLQCLPPSVEPRVSDHIDQIVNMIKQILDNGCAYVVSGDVYFSVDNFPEYGMLSGRRLDDNRAGERIAVDERKKNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSAMSAQYLGNSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIELYHPLALRMFLLGTHYRSPINYTVEQLNVASDRLYYTYQTLRDSEESTQKDQINSEDALPATTTHCIEKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLFALEEKIRTVLSVLGLMPSSYYEALQQLREKALQRASITEDQVLQKIEERTSARKAKQYEKSDEIRKELAALGIALMDGPDGTTWRPSVPFSEQGVVANKT* >Brasy2G244300.1.p pacid=40070533 transcript=Brasy2G244300.1 locus=Brasy2G244300 ID=Brasy2G244300.1.v1.1 annot-version=v1.1 MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFARYATLEGYALDLLAILQELGVASCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEELDELFEAIRSNYKAWCSGFAPLCVGGDLESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLSLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVTPVLLRHIQHDIAF* >Brasy2G155100.1.p pacid=40070534 transcript=Brasy2G155100.1 locus=Brasy2G155100 ID=Brasy2G155100.1.v1.1 annot-version=v1.1 MPIKMFLGAFFLLPPCRFHPPASFTVPRTQPWERRTRACPRAAAHLNACARIHRRRPAASAEPTTPARRLYTSSPHSLGTTPPAPPRFPASARAHCVALPPVHEPTALWRRLCTTRLPPPDSTMPPCGLRATPPQAEDLNRRKERMRRGMDQGIESMRWRRL* >Brasy2G434100.1.p pacid=40070535 transcript=Brasy2G434100.1 locus=Brasy2G434100 ID=Brasy2G434100.1.v1.1 annot-version=v1.1 MSTIITGRKLVTSAPTVSDVVFRPLLISSSGRETYRFNWARRRVDGTADVTAELHAAPRARLGPSSHLRSHPHGHIHAATPLDADEDASVAVPTPATLVAAPPKPASQHVPILEPLLAPMAPPALEIIAASTALAPPVSAPLPAVVAAAVPDAVVVVPAATAVYTRARTIAKSPLLADAAAVVATPPPAAVADVTTTAMVTAAMATPAAAGALVEPPPNLADFLQRVAEAITPGLLQLARPTKTPQRIAPRVVPSATRRSRRLAAKEPASPDHVMSKAKRLICKKLGVVFEEAASDDAAMLARYAASFDKPLSEAQIAALTALAQRGAEKKMKAPA* >Brasy2G370000.1.p pacid=40070536 transcript=Brasy2G370000.1 locus=Brasy2G370000 ID=Brasy2G370000.1.v1.1 annot-version=v1.1 MDCDKSKKRRDQQRKSCRARVLVILLVVLTATATAFLFSGGSSANVRVWKSGVLSIRDRNIMQYALSASHDELVQLQDRLAKANSLVETLLGKQADASEAATGAEEEQKLLATDELWRRKLTGEVKLAVGPHKLPLGFTRNLGSDELFPTLGQACHRFPEELEQYMNYKPGGECPSDESFGQRLMLKGCEPLPRRRCRPRSPTGYVDPAPLPASLWALPPDTSIVWDAYTCKNYSCLENRGKIGGHYDCKDCFDLRAGGREKVRWLSDDGALAYSIDAVLATRPAGTVRIGLDIGGGSGTFAARMRERGVTIVTTSMNFDAPFNNFIASRGLLSMHLSVAHRLPFFDGTLDVVHSMHVLSNWIPDAMLEFTLFDIHRVLRPGGLFWLDHFFCLGTQMNTTYAPMFDRVGFNKVRWNAGRKMDRGIEMDEWYLSALLEKPKT* >Brasy2G166800.1.p pacid=40070537 transcript=Brasy2G166800.1 locus=Brasy2G166800 ID=Brasy2G166800.1.v1.1 annot-version=v1.1 MSRFVDKLPFFDRRASPMEEADDIPRSGLLHLHGGHHHYHHQQPAAQSAMMSPQPSPPTKQSSFTLAQLLKRVNEARSDASSPNSSPSHYTIELGGSAPGSTGSDGSDLTASHAGVGTPLPFVLKFTDLTYSVKQRKKGPCLPALPFRAAAEPEAAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIRKESLHGSVTLNGESMDNNLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRSLPTKEKKKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGPPGALSSFFHDFGKPIHDNENPTEFALDLVRELETMPDGARDLVEHNKSWQKRMGPKMKHHHHDDAGGEKPSLSLKEAISASISRGKLVSGATDGNVTVSSSPESAVSKFANPFWVEMGVLTRRAFLNTKRTPEIFVIRLGAVLITGFILATIFWRLDDSPKGVEERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTVVGFPSLVVLSLAFAVTTFFAVGLAGGVDGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGFPVVVSTLAYFLLFSGFFINRDRIPKYWLWFHYASLVKYPYEAVMINEFSDPSRCFVRGVQMFDNTPLSVLSPAVKVRVLGAMSSSLGINIGTGTCITTGPDFLQQQAVNDLTKWECLWITVAWGFLFRILFYVALLLGSRNKRT* >Brasy2G439900.1.p pacid=40070538 transcript=Brasy2G439900.1 locus=Brasy2G439900 ID=Brasy2G439900.1.v1.1 annot-version=v1.1 MEAAEKLYRLAEERFLAGDVPGALRTAREAQAQARSRALPAQAAALAAYEVHHAAACHKNWYAVLGIARTPPSSPSPVTHEAIKQRYRRLCLALHPDKNRSAAAEGAFKLLQDAWAALSARHPPPPPPNTTSSSSSSSTGAGDEGGGGWRPREFRSVFCGRCGSEYRMPVDEDVEGFKCGLCRREASPPPKAMPPPPERSSREEKKKFSCPGQCPGCGAPYAESMVSKGTWLMGCTACRRSDRIHVKNAYSFWRMEQKPEKT* >Brasy2G485400.1.p pacid=40070539 transcript=Brasy2G485400.1 locus=Brasy2G485400 ID=Brasy2G485400.1.v1.1 annot-version=v1.1 MRSGLTPARMNKSRKESEEEGGSRIELQIPEECLAKAMGLTSPADACRGAAVSAAFRRAADSDAAWEHFLPPDCGAILGRADHLVDFSSKKELFLDLVQEHILLDDGKMSFGLQRSNGAKCFMLSISALDIAWVGADLYWRRRSNPDSSRFPKVAELISVCWFCIFGVIDRRELTPGTHYAGYLVFKLAHAASGLSSPRQVCFVEIDGQQAGPIRKASFHPCNRPSCTMMTAGGGKGPHEHEEEEEEGGGGVRVVTRYPRQRAEDDGWLELEMGDFHTLARGEDGAADVKIQVREFEELQWKKGLIVEGIEIRPKN* >Brasy2G485400.2.p pacid=40070540 transcript=Brasy2G485400.2 locus=Brasy2G485400 ID=Brasy2G485400.2.v1.1 annot-version=v1.1 MRSGLTPARMNKSRKESEEEGGSRIELQIPEECLAKAMGLTSPADACRGAAVSAAFRRAADSDAAWEHFLPPDCGAILGRADHLVDFSSKKELFLDLVQEHILLDDGKMSFGLQRSNGAKCFMLSISALDIAWVGADLYWRRRSNPDSRFPKVAELISVCWFCIFGVIDRRELTPGTHYAGYLVFKLAHAASGLSSPRQVCFVEIDGQQAGPIRKASFHPCNRPSCTMMTAGGGKGPHEHEEEEEEGGGGVRVVTRYPRQRAEDDGWLELEMGDFHTLARGEDGAADVKIQVREFEELQWKKGLIVEGIEIRPKN* >Brasy2G470600.1.p pacid=40070541 transcript=Brasy2G470600.1 locus=Brasy2G470600 ID=Brasy2G470600.1.v1.1 annot-version=v1.1 MMMLVNCSGCRTPLQLPHGAPCIRCAICGAVTHVAAPPAAAPSPDPARGAVAPSWGPPPPAAHGRKRAVICGISYRFSRHELKGCINDAKCMRHLLTTRFSFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLLQGSQPGDSLVFHYSGHGAQQRSYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLPHGAKLHALIDACHSGTALDLPFLCRMNRSGQYIWEDHRPRSGVWKGTSGGEAISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRATIRNTGGGGDSLAGGGAVTSLISMLLTGGSASTGGLRQEPQLTACDTFDVYAKPFSL* >Brasy2G293300.1.p pacid=40070542 transcript=Brasy2G293300.1 locus=Brasy2G293300 ID=Brasy2G293300.1.v1.1 annot-version=v1.1 MAGRDDERVPASPARRPPPWVSVPSGAHQRRWRVLSARRLRRPCQKMLPFFHVSSATSSCWSGSVMSTGSSCACKIIPPDCFSR* >Brasy2G393500.1.p pacid=40070543 transcript=Brasy2G393500.1 locus=Brasy2G393500 ID=Brasy2G393500.1.v1.1 annot-version=v1.1 MSKLNLGLYVIAIYVIFIVHQILGGQLNSTNKRPDPSIDVNAIWVGWQVWPRHYGDSHTHFFTTWTLASGSKIVPGAPINPVSDVNGKRQKITIKVFKEKSSGNWWIHYVFNNTPRAVGYYPAKLFNGLGKATDIVTGSVVGRSGNTPSPPMGSGFLPSDKAATITDISFINEDGRITGFDVPLRKYETKSSCYSITSIEGAKCSYGGPGGCSG* >Brasy2G484000.1.p pacid=40070544 transcript=Brasy2G484000.1 locus=Brasy2G484000 ID=Brasy2G484000.1.v1.1 annot-version=v1.1 MSGGRKRPAPAPFAGFSPFARSLLFSPASGYCKLPLPNASAPADGPHQENADMPLSPPPKRAKQAEPSSDDEERHGSGSGSGSDRDSSLECSSSDGEDASQELETVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKMADDEQEEGEGNGGDKSDDGDDDDDLFGLITVLNLGRYGENRCIKDLKEYLLAVCGDKDSKKKLKSLLEEKASSVGLLVCRRFVNFPYEMVPKLYDSLFDEVSWATEDEPTPELQDSFRFKQYLLVARILERKTPPKHKAKNNKDDDEEPVIYPKLEDEIFRELSSWSFTFPIRVDQSAQQEMKNYKEMGLVMCVKAEAIPKFRKRLEALVSE* >Brasy2G195600.1.p pacid=40070545 transcript=Brasy2G195600.1 locus=Brasy2G195600 ID=Brasy2G195600.1.v1.1 annot-version=v1.1 MSSRQDQREARAEADARRAAEEIARARDERVMQAEMDARKAADEISRARADRDQHGSLGGGGAYGTEHTRTGILESVQEGAKSLASAVGRTFGSAKDTAAEKTSQAADATGNKLGEYKDYTAEKARETNESLSRKTSETAEATRNKLGEYKDYTAEKATEAKDTVAHKASETSEATKNKLGEYKDALAGKAREAKDTTAHKAQETKDATAETARQAKDATKQKTGEYADAAKGTAQEARDRSMAATQTAADKAKDTAHDADKGQQQGSGLFGALGNMTGAIKEKLTVGSGTPQQQQHGGVRLGGEDERAVKERAAEKAASVYFEEKDRLIKERAAERVDKCVEKCVEGCADLACAHRKGKM* >Brasy2G416300.1.p pacid=40070546 transcript=Brasy2G416300.1 locus=Brasy2G416300 ID=Brasy2G416300.1.v1.1 annot-version=v1.1 MAFRAALCFAVAIVFSILPRRPLVVGIDGSSEADRITALLGQPPGVRLQQYSGYVNVDQVAGKSLFYYFVEAPADPAQKPLPTVLGDAGDARGRGSFMLRWFDRFPGYKGCDFFIVGESYAGHYEQEELYEYPWQRTFISDSAHTMIAQHCRSPDDPSTVCQAARDMAYGNIGDINARRLAMTRKSGLQIPSAWYDFADPCSGYFVEAYFNQPEVQKAVHANTKLKYSWTRCRTRTYNDDNATLHQGPSHYRHPHLVIQRRLGRDGADDSVEALDGEAAAGMVADWRPWSTAQGKDVAGVIVYKGLVSVTVCGSGHTISIDQPERGMVLFNCFLRGVSLPPSAPLTE* >Brasy2G375500.1.p pacid=40070547 transcript=Brasy2G375500.1 locus=Brasy2G375500 ID=Brasy2G375500.1.v1.1 annot-version=v1.1 MLDPRSEIYPTIEYRPLQPSDLEVLEKIHLALFPIRYEREFFLNAVNGHGIISWGAVDTSRSDEGRHELVGFVTTRMVAAKDSEIEDLFRYNNSHKDLTLLYILTLGVVDSYRNLGIASSLVREVIKYAASVSNCRGVYLHVISYNQPAINFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRTPCSPLEIVTSFVVDFRAFLKMLVAKIWSREEKGIPRWTRCKESTTLLTSQNNKRIISGEDTRCHV* >Brasy2G028400.1.p pacid=40070548 transcript=Brasy2G028400.1 locus=Brasy2G028400 ID=Brasy2G028400.1.v1.1 annot-version=v1.1 MVALPLPPASTTDPLPAIIIPMPLQRAATGTATEANRRTGDVRDGAGGTGLTVATRDREGPAAAESRRRRAPSLRCQSRPGLQPPEFLGGGVGLGGTRWGGHRAWEGNEGDRGMGRGGRRSGVVDGVGRPPASEWRRRRRGP* >Brasy2G238200.1.p pacid=40070549 transcript=Brasy2G238200.1 locus=Brasy2G238200 ID=Brasy2G238200.1.v1.1 annot-version=v1.1 MASSSSWVALLLFVGLACTAANSNVLSAGYYEKTCPNVQGVVRSVMAHRVAGEPRMAPAVLRLFFHDCFVNGCDGSVLLDATPFSASEKDAEPNDSLTGFTVIDEIKSILEHDCPATVSCADVLALASRDAVALLGGPTWAVPLGRKDSRAAADPESTKNVLPSPKDNLEELTTMFAKHGLDAHDMTALSGAHTVGMARCESYRDRVYGIDSEHYIDPSFADARRQTCPLQEEGPSDGKAPFDSQTPMRFDNAYYRDLTAHRGLLSSDQALYGGHGGMQDHLVEMYSADGEAFARDFANAMVKMGNIPPPMGMPVEVRLHCSKVNY* >Brasy2G176800.1.p pacid=40070550 transcript=Brasy2G176800.1 locus=Brasy2G176800 ID=Brasy2G176800.1.v1.1 annot-version=v1.1 MGTSPFLPPRLPTTTDLSSKEGRLPRDPTPPPTPFSTSRADGIADAAMASTSSKYAKHRRIGEDEEEEEPEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMAKGAESVASFENLYMVKRDLKHGKRVYCMEYHFMKSAKGKYSYWNDDTHSTQLSFGVDDFLIIAPLSASGVVLDDPESTKLLSSIAIALSNCGSNWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIASQVPIRLMHLEGLHELFLSKFVLSSSDFPAKVKVNFSMKLTYRTPEYEYDHEETLDSEATETIAEGEVPSQPRKQWDDDCPWAEWYSAEDPVKGFELTAIWGEKMFEESLEMAEVENASSFDADSWLLHPVVSPYMVDDSIGKFVGFASQLYLLVNAFESSGEAQFLEDFVADNSGQDNSKSSVTVPPPSVIDRVMKDLFNDEAGISNYVEAENKHGRALKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSTSKIDLSTCLIHQKLQMLAICIERKKSLNCEKDTDLANKEGISNSTAPSKIRKGSAGVVPSMMLINIFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAVGLSGQLERDILSSDMSAFKAANPDAAFEDFIRWHSPGDWVSEEDKADGNSTWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKSLLDSIREGEKVVHYLETLRPQQLLEQMVCTAFKSAADILNKTSYGSFKLMKTKMDQLYATMASTLKSLQGKSDISDLAGDLKQLCQVFEHIEKLLIFAASVHRKLIDAPRLAQSIFSDYFNYYLPKMGTSLESICYESEFTTKEKVGMLERDAVSSLFRPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVMERVSNGRYSSPTPLCTDEQVETHRMYISGTSNDLWVALSVTSWD* >Brasy2G176800.2.p pacid=40070551 transcript=Brasy2G176800.2 locus=Brasy2G176800 ID=Brasy2G176800.2.v1.1 annot-version=v1.1 MGTVFTRRFEADRIASQVPIRLMHLEGLHELFLSKFVLSSSDFPAKVKVNFSMKLTYRTPEYEYDHEETLDSEATETIAEGEVPSQPRKQWDDDCPWAEWYSAEDPVKGFELTAIWGEKMFEESLEMAEVENASSFDADSWLLHPVVSPYMVDDSIGKFVGFASQLYLLVNAFESSGEAQFLEDFVADNSGQDNSKSSVTVPPPSVIDRVMKDLFNDEAGISNYVEAENKHGRALKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSTSKIDLSTCLIHQKLQMLAICIERKKSLNCEKDTDLANKEGISNSTAPSKIRKGSAGVVPSMMLINIFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAVGLSGQLERDILSSDMSAFKAANPDAAFEDFIRWHSPGDWVSEEDKADGNSTWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKSLLDSIREGEKVVHYLETLRPQQLLEQMVCTAFKSAADILNKTSYGSFKLMKTKMDQLYATMASTLKSLQGKSDISDLAGDLKQLCQVFEHIEKLLIFAASVHRKLIDAPRLAQSIFSDYFNYYLPKMGTSLESICYESEFTTKEKVGMLERDAVSSLFRPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVMERVSNGRYSSPTPLCTDEQVETHRMYISGTSNDLWVALSVTSWD* >Brasy2G176800.3.p pacid=40070552 transcript=Brasy2G176800.3 locus=Brasy2G176800 ID=Brasy2G176800.3.v1.1 annot-version=v1.1 MKLTYRTPEYEYDHEETLDSEATETIAEGEVPSQPRKQWDDDCPWAEWYSAEDPVKGFELTAIWGEKMFEESLEMAEVENASSFDADSWLLHPVVSPYMVDDSIGKFVGFASQLYLLVNAFESSGEAQFLEDFVADNSGQDNSKSSVTVPPPSVIDRVMKDLFNDEAGISNYVEAENKHGRALKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSTSKIDLSTCLIHQKLQMLAICIERKKSLNCEKDTDLANKEGISNSTAPSKIRKGSAGVVPSMMLINIFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAVGLSGQLERDILSSDMSAFKAANPDAAFEDFIRWHSPGDWVSEEDKADGNSTWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKSLLDSIREGEKVVHYLETLRPQQLLEQMVCTAFKSAADILNKTSYGSFKLMKTKMDQLYATMASTLKSLQGKSDISDLAGDLKQLCQVFEHIEKLLIFAASVHRKLIDAPRLAQSIFSDYFNYYLPKMGTSLESICYESEFTTKEKVGMLERDAVSSLFRPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVMERVSNGRYSSPTPLCTDEQVETHRMYISGTSNDLWVALSVTSWD* >Brasy2G493300.1.p pacid=40070553 transcript=Brasy2G493300.1 locus=Brasy2G493300 ID=Brasy2G493300.1.v1.1 annot-version=v1.1 MRRKEDMHENGTIMIQFGHQVPNYDSSASDSPQEVSGMSEGSHNEQNEQSGRRDGYTNSDEGKMMSALSLGNSETTYAQPKPDRTHPFAVAYPYADPFYGGAYGSHAVMHPQIVGMVPSSRVPLPIEQAAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHLHAMKRARGTGGRFLNSKQQPEGSSGSDASTRAGHSGIPANSGMFSKHDHTLSSGDLQYRVRGGA* >Brasy2G000400.1.p pacid=40070554 transcript=Brasy2G000400.1 locus=Brasy2G000400 ID=Brasy2G000400.1.v1.1 annot-version=v1.1 MDTVFLFFLLILSLPHEITFSAADDRCDRRCGRFFTVPYPFGAPNGTSYPVVSFNSSASTVIVTVPPSCARTVEEARTALSGPNYGVSAWTGLFLRGGECRAPPNASVCSRGKAEKTNWEDVLTSVLYVDAAGQGTAGSMEFGVAELGWWLDGTCNAVGDKGAESCAANATCTDVRTPGGTAGHRCACHAGLHGDGFFAGDGCYKLIFGESKIHLGDLTILYAVYHRLKQTHPPSISYYKVYDFCPNARKMRRRLSKEATQYHTELGGDELDQGTTGPRRFSYHELAAATNKFCDDRVLGRGGFGSVYRGFHGGMNREVAVKRVSETSRQGWKEFVSEVRIISRLRHRNLVQLIGWCHGGEELLLVYELMHNGSLDTHLYATDNVLARPVRYEIVLGVGSALLYLHEETEQRVVHRDIKPSNIMLDASFTAKLGDFGLARLMNDGRRSHTTGIAGMMGYIDMECMLANRTSVESDVYSFGVVLLEITSGRRPAVVIEDEEVVHLVQWVWDFYSGGAILDAADKRLSGGDIEGREMERVMVVGLWCAHPDRGLRPSIRQAMNVLRFEAPSPSLPARMPVATYGPPTNSLGSGTMVMSSVGGR* >Brasy2G396900.1.p pacid=40070555 transcript=Brasy2G396900.1 locus=Brasy2G396900 ID=Brasy2G396900.1.v1.1 annot-version=v1.1 MGGGAGRGKGRGGPGRGEGRQRRGAAGLAEEREGGGEGRRGWQRKGEGRGWQRRWEAEEMGRRGRGRGRRRRGEAGAGAAGGARRVGELRDGNCAGRERALGFLRVSGS* >Brasy2G470700.1.p pacid=40070556 transcript=Brasy2G470700.1 locus=Brasy2G470700 ID=Brasy2G470700.1.v1.1 annot-version=v1.1 MHHHHLPGAAAAAPDAAAPDPPASGSDPNHHPPPHPPSLRIHIPASPHHALPSTPHKRPVSMNSSSSSTPTRPSPLPSPFTPPRRRKLAASSPVPAAPAAAAAAAAAARHLLRCLHLRLRILLLLSLPTLYFLSPSPAFLPRSLLADFLSAAAFSCALLLLLCLSLPRLPFPLPFHLPLRRPRRSPILWSIGSSPSASASAPTTGHFVEVYSNGDVYEGQFNRGRCTGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYSGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNFIPGSPIAVNHSKVLNAVQEARRATERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRIPNNNDDLPLSIV* >Brasy2G213100.1.p pacid=40070557 transcript=Brasy2G213100.1 locus=Brasy2G213100 ID=Brasy2G213100.1.v1.1 annot-version=v1.1 MALFTNPALEECRRKKRFATQTAPSSSRSRRGDRVETDAGDRPISSRRRPATTPLISTADGSPPLSWDASPHEATIQRMGRKPCDGSAAPLSAGGGHLDVACLVAAAQPPATETKQAA* >Brasy2G452100.1.p pacid=40070558 transcript=Brasy2G452100.1 locus=Brasy2G452100 ID=Brasy2G452100.1.v1.1 annot-version=v1.1 MVYSQLYPFQGLLNYTSVIIHHVRLHGLKPATMYYYQYQCGDPAAAGGMSAVHAFRTLPASYPARIAIVGDLGLTYNTTSTVEHMVSNDPDMVLLVGDVSYANMYLTNGTGADCYSCAFGKKTPIHETYQPRWDYWGRYMEPVTSRVPMAVVEGNHEIEQQAGNRTFAAYSARFAFPSEESGSGTPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDLAKVNRSVTPWLIAGWHAPWYTTYKAHYREVECMRVAMEDLLYSHGLDVVFTGHVHAYERSNRVYNYTLDPCGPVHISVGDGGNREKMAVGHADEPGRCPDPRKTPEKFMGGFCAFNFTSGPAKGKFCWDRQPEYSAYRESSFGHGILQVKNDTHALWQWHRNQDVYNSVGDEIFIVREPHRCLRNCSRPANHRRP* >Brasy2G040700.1.p pacid=40070559 transcript=Brasy2G040700.1 locus=Brasy2G040700 ID=Brasy2G040700.1.v1.1 annot-version=v1.1 MATTTVPLTFSPPRHLHRQAKPQRPCSAARLAPLSRRRAAAARLHCAPDGEASSAPDGEQQPPEDEEFILLANNRSDFNEVIMVIDSPSNRYLVLDPSRNVHSILPKKSAWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLEVWPWIQLVGWEIDPMIIELSRDYFGMSNLEKTTELGGSLTVHIGDALSPSATIEGGFAGIVVDLFADGKVLPQLQEAETWLEIAKKLMPDGRIMVNCGGADTAVSLAADTGISSWVQNPTIKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLEQWSISVPSELSARVKQWVPCELA* >Brasy2G040700.2.p pacid=40070560 transcript=Brasy2G040700.2 locus=Brasy2G040700 ID=Brasy2G040700.2.v1.1 annot-version=v1.1 MATTTVPLTFSPPRHLHRQAKPQRPCSAARLAPLSRRRAAAARLHCAPDGEASSAPDGEQQPPEDEEFILLANNRSDFNEVIMVIDSPSNRYLVLDPSRNVHSILPKKSAWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLEVWPWIQLVGWEIDPMIIELSRDYFGMSNLEKTTELGGSLTVHIGDALSPSATIEGGFAGIVVDLFADGKVLPQLQEAETWLEIAKKLMPDGRIMVNCGGADTAVSLAADTGISSWVQNPTIKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLEQWSISVPSELSARVKQWVPCELA* >Brasy2G063800.1.p pacid=40070561 transcript=Brasy2G063800.1 locus=Brasy2G063800 ID=Brasy2G063800.1.v1.1 annot-version=v1.1 MSYHFGPVGSPRGNTRANKPASHNFSPAPSATSSVPMVVIVTVVGILSAFVLLASYCAFVTKCQLLRLVLSRVGGRHGLGEPPSTSVILVRDGAASEERRQRGLGLPLIRMLPVVKFAAGRSVSSECGVCLSEFEEMEPVRLLPACSHAFHVDCIDTWLQGSARCPFCRADVTLPPLPPQPPLLAVRNDSSDRDSIVVEVRSGEQESWGGCALGRKTKKTMKSVGDEAVDTRSRKKEEEEFAVQPVRRSLSVNSASCGDRLCVSVVREFLAAQRQVP* >Brasy2G044000.1.p pacid=40070562 transcript=Brasy2G044000.1 locus=Brasy2G044000 ID=Brasy2G044000.1.v1.1 annot-version=v1.1 MLQSKSFVKKTKQGRVVKVVREHYLRDDIPCGAASCSSCDTAARRLGVDAAAILVVDTNVVLHQIDLLENPAIEDVVVLSVVLDEVKNKNLAVYNRIKTLCTDTARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVAARWYQSHLGESAKVMLITNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPSSGDVTMEDVEDLRPSKRKVIYSEHKPMSEITSGLRCGIFHQGKLRVNRYNPFEAYVGSESIGDEIIIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDNEEDVHLVPNSADDAPRNTNSTQSTVESSAASVSSRPVGRIVGIIKRNWNSYCGSLEPMPMPGGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLNKRIVVAVDSWDVLSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPPLPWTLSPDDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRATSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTRDADIISSRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRNRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANVSVAEKILKHYPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALADSLDNAKRDDPYFNKLIRILATRCMTQAVYFCSGDLSLSEYYHYGLASALYTHFTSPIRRYADVVVHRLLAAALDIAKLPPVFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPVDTEARIVKIKANGFIVFVPKFGIEGPIYLTAKGDKGADWVVDEVHQKVTKPGANISYAVLQSVMIHMEVVEPQPHRPKLQLTLI* >Brasy2G044000.2.p pacid=40070563 transcript=Brasy2G044000.2 locus=Brasy2G044000 ID=Brasy2G044000.2.v1.1 annot-version=v1.1 MLQSKSFVKKTKQGRVVKVVREHYLRDDIPCGAASCSSCDTAARRLGVDAAAILVVDTNVVLHQIDLLENPAIEDVVVLSVVLDEVKNKNLAVYNRIKTLCTDTARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVAARWYQSHLGESAKVMLITNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPSSGDVTMEDVEDLRPSKRKVIYSEHKPMSEITSGLRCGIFHQGKLRVNRYNPFEAYVGSESIGDEIIIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDDDNEEDVHLVPNSADDAPRNTNSTQSTVESSAASVSSRPVGRIVGIIKRNWNSYCGSLEPMPMPGGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLNKRIVVAVDSWDVLSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPPLPWTLSPDDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRATSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTRDADIISSRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRNRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANVSVAEKILKHYPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALADSLDNAKRDDPYFNKLIRILATRCMTQAVYFCSGDLSLSEYYHYGLASALYTHFTSPIRRYADVVVHRLLAAALDIAKLPPVFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPVDTEARIVKIKANGFIVFVPKFGIEGPIYLTAKGDKGADWVVDEVHQKVTKPGANISYAVLQSVMIHMEVVEPQPHRPKLQLTLI* >Brasy2G206300.1.p pacid=40070564 transcript=Brasy2G206300.1 locus=Brasy2G206300 ID=Brasy2G206300.1.v1.1 annot-version=v1.1 MAAPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDTLDEVQGSVAHALADEPFLEVMRYAQEAIVLPPFVAIAVRPRPGVWEFVRVNVHELSVDQLSVSEYLRFKEELVDGQHNDLYVLELDFEPFTALIPRPNRSSSIGNGVQFLNRHLSSILFRNRDCLEPLLDFLRWHRHKGHVMMLNDRIQSVGRLQSVLTKAEEHLSKFPADTPYSQFANQFQEWGLEKGWGDTAEHILEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVIVSPHGYFGQANVLGMPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIVTRLIPDSKGTTCNQRLERISGTQHTFILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNSADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKARRLTALHGSIESLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEGYSKNAKLRELVNLVVVAGYNDVNKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPALYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGVSGFHIDPYHPDQAASLMADFFEQCKQEPDHWVKISDKGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKSVPLALDQAH* >Brasy2G251900.1.p pacid=40070565 transcript=Brasy2G251900.1 locus=Brasy2G251900 ID=Brasy2G251900.1.v1.1 annot-version=v1.1 MPSPLLKPTALRLANLMASNTGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQTKDKFDFEVRWHPFFLNPEAPKEGIRKSDFYKTKFGPVQFERATVRMTEMFRGLGFEYDMSGLTGNTMDSHRLIAFARHQGYDKQNALVEELFLSYFCQGKYIGDRQVLLDAARKVGIEGAEELLQDPSKGVDEVKEELDKYSSGISGVPHFVINGKYQLSGGQPPNIFMRAFDAAAKDGDQ* >Brasy2G193500.1.p pacid=40070566 transcript=Brasy2G193500.1 locus=Brasy2G193500 ID=Brasy2G193500.1.v1.1 annot-version=v1.1 MVQHVTGADADTLQISSQDAGAGLQVMPPHFDVVGSSLLPSSVDPAAAYVLPPAPAAADQPCFPTLDSWNVMYGKNEVI* >Brasy2G227200.1.p pacid=40070567 transcript=Brasy2G227200.1 locus=Brasy2G227200 ID=Brasy2G227200.1.v1.1 annot-version=v1.1 MWKLCRVASGLVIRRKYGVQARAVNVPTEAGAVAAGPTDNVPGAAAVATDGGRARKKEVFWMRDPNTGCWIPENRFDEVDAVELRNRLLHHN* >Brasy2G375100.1.p pacid=40070568 transcript=Brasy2G375100.1 locus=Brasy2G375100 ID=Brasy2G375100.1.v1.1 annot-version=v1.1 MAEQDDAVRCSQPSPVEGDICGENGGERTEQYQPFFSMCPSLRTVSYSNSWDGVCAPVTTKPCQTSGSDLMDDEFTPSGPCFLESKEPLAESISDILDEINKSPSLPSMDKEHEVAHHPPEIELMDDSGELDGETKQQPEPLGTEKPSSIDVIEKLGVETTQESLSCPKSMQWGSSQSGIEPCDKESNQLSLSFSRLRRPQSVGAGLRNMGNTCFLNATLQCITHTVPLFKKLRSTDHPTPCSYDEDGFCSFCALKEHIEESIRRSGSVLMPARFKDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKRVFGGQLKSQLTCRECGHCSETSEPFLDLSLEIDQVDDLVAALESFTKVEEIGDAENKLTCESCNVQVCKGKRLLLDKTPDVIAFQLKRFTTLDNSIEKIDKHVAYPSELDLKPFHSNPVSEEELKYDLYGVVEHSGLPNYGHYVCAIRSSPSTWHLMNDSHVDAITETSALHQEAYILFYVRQGIFPWFSSLLEEALHTETTSGASPVSVLEDIDADCSTSSNISSGDKFEKDENSPCKASFLPEEPTKRCAVDASNSMNKQEISPCRASLQSDVVMRYSSNATEVTNPERPSTPPPRPKRLSSEGGLHVFDFEDFEDEDDQLMPIVKNQKKVKKPKAASASKVVKGSCLDKNASHLINRMPSARRKGLLACMPPQHSVAQAPRSDPLHKKKRKINVSVPVLQY* >Brasy2G375100.2.p pacid=40070569 transcript=Brasy2G375100.2 locus=Brasy2G375100 ID=Brasy2G375100.2.v1.1 annot-version=v1.1 MAEQDDAVRCSQPSPVEGDICGENGGERTEQYQPFFSMCPSLRTVSYSNSWDGVCAPVTTKPCQTSGSDLMDDEFTPSGPCFLESKEPLAESISDILDEINKSPSLPSMDKEHEVAHHPPEIELMDDSGELDGETKQQPEPLGTEKPSSIDVIEKLGVETTQESLSCPKSMQWGSSSGIEPCDKESNQLSLSFSRLRRPQSVGAGLRNMGNTCFLNATLQCITHTVPLFKKLRSTDHPTPCSYDEDGFCSFCALKEHIEESIRRSGSVLMPARFKDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKRVFGGQLKSQLTCRECGHCSETSEPFLDLSLEIDQVDDLVAALESFTKVEEIGDAENKLTCESCNVQVCKGKRLLLDKTPDVIAFQLKRFTTLDNSIEKIDKHVAYPSELDLKPFHSNPVSEEELKYDLYGVVEHSGLPNYGHYVCAIRSSPSTWHLMNDSHVDAITETSALHQEAYILFYVRQGIFPWFSSLLEEALHTETTSGASPVSVLEDIDADCSTSSNISSGDKFEKDENSPCKASFLPEEPTKRCAVDASNSMNKQEISPCRASLQSDVVMRYSSNATEVTNPERPSTPPPRPKRLSSEGGLHVFDFEDFEDEDDQLMPIVKNQKKVKKPKAASASKVVKGSCLDKNASHLINRMPSARRKGLLACMPPQHSVAQAPRSDPLHKKKRKINVSVPVLQY* >Brasy2G375100.4.p pacid=40070570 transcript=Brasy2G375100.4 locus=Brasy2G375100 ID=Brasy2G375100.4.v1.1 annot-version=v1.1 MAEQDDAVRCSQPSPVEGDICGENGGERTEQYQPFFSMCPSLRTVSYSNSWDGVCAPSGSDLMDDEFTPSGPCFLESKEPLAESISDILDEINKSPSLPSMDKEHEVAHHPPEIELMDDSGELDGETKQQPEPLGTEKPSSIDVIEKLGVETTQESLSCPKSMQWGSSQSGIEPCDKESNQLSLSFSRLRRPQSVGAGLRNMGNTCFLNATLQCITHTVPLFKKLRSTDHPTPCSYDEDGFCSFCALKEHIEESIRRSGSVLMPARFKDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKRVFGGQLKSQLTCRECGHCSETSEPFLDLSLEIDQVDDLVAALESFTKVEEIGDAENKLTCESCNVQVCKGKRLLLDKTPDVIAFQLKRFTTLDNSIEKIDKHVAYPSELDLKPFHSNPVSEEELKYDLYGVVEHSGLPNYGHYVCAIRSSPSTWHLMNDSHVDAITETSALHQEAYILFYVRQGIFPWFSSLLEEALHTETTSGASPVSVLEDIDADCSTSSNISSGDKFEKDENSPCKASFLPEEPTKRCAVDASNSMNKQEISPCRASLQSDVVMRYSSNATEVTNPERPSTPPPRPKRLSSEGGLHVFDFEDFEDEDDQLMPIVKNQKKVKKPKAASASKVVKGSCLDKNASHLINRMPSARRKGLLACMPPQHSVAQAPRSDPLHKKKRKINVSVPVLQY* >Brasy2G375100.5.p pacid=40070571 transcript=Brasy2G375100.5 locus=Brasy2G375100 ID=Brasy2G375100.5.v1.1 annot-version=v1.1 MAEQDDAVRCSQPSPVEGDICGENGGERTEQYQPFFSMCPSLRTVSYSNSWDGVCAPSGSDLMDDEFTPSGPCFLESKEPLAESISDILDEINKSPSLPSMDKEHEVAHHPPEIELMDDSGELDGETKQQPEPLGTEKPSSIDVIEKLGVETTQESLSCPKSMQWGSSSGIEPCDKESNQLSLSFSRLRRPQSVGAGLRNMGNTCFLNATLQCITHTVPLFKKLRSTDHPTPCSYDEDGFCSFCALKEHIEESIRRSGSVLMPARFKDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKRVFGGQLKSQLTCRECGHCSETSEPFLDLSLEIDQVDDLVAALESFTKVEEIGDAENKLTCESCNVQVCKGKRLLLDKTPDVIAFQLKRFTTLDNSIEKIDKHVAYPSELDLKPFHSNPVSEEELKYDLYGVVEHSGLPNYGHYVCAIRSSPSTWHLMNDSHVDAITETSALHQEAYILFYVRQGIFPWFSSLLEEALHTETTSGASPVSVLEDIDADCSTSSNISSGDKFEKDENSPCKASFLPEEPTKRCAVDASNSMNKQEISPCRASLQSDVVMRYSSNATEVTNPERPSTPPPRPKRLSSEGGLHVFDFEDFEDEDDQLMPIVKNQKKVKKPKAASASKVVKGSCLDKNASHLINRMPSARRKGLLACMPPQHSVAQAPRSDPLHKKKRKINVSVPVLQY* >Brasy2G375100.3.p pacid=40070572 transcript=Brasy2G375100.3 locus=Brasy2G375100 ID=Brasy2G375100.3.v1.1 annot-version=v1.1 MAEQDDAVRCSQPSPVEGDICGENGGERTEQYQPFFSMCPSLRTVSYSNSWDGVCAPVTTKPCQTSGSDLMDDEFTPSGPCFLESKEPLAESISDILDEINKSPSLPSMDKEHEVAHHPPEIELMDDSGELDGETKQQPEPLGTEKPSSIDVIEKLGVETTQESLSCPKSMQWGSSSGIEPCDKESNQLSLSFSRLRRPQSVGAGLRNMDDEDGFCSFCALKEHIEESIRRSGSVLMPARFKDNLRKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKRVFGGQLKSQLTCRECGHCSETSEPFLDLSLEIDQVDDLVAALESFTKVEEIGDAENKLTCESCNVQVCKGKRLLLDKTPDVIAFQLKRFTTLDNSIEKIDKHVAYPSELDLKPFHSNPVSEEELKYDLYGVVEHSGLPNYGHYVCAIRSSPSTWHLMNDSHVDAITETSALHQEAYILFYVRQGIFPWFSSLLEEALHTETTSGASPVSVLEDIDADCSTSSNISSGDKFEKDENSPCKASFLPEEPTKRCAVDASNSMNKQEISPCRASLQSDVVMRYSSNATEVTNPERPSTPPPRPKRLSSEGGLHVFDFEDFEDEDDQLMPIVKNQKKVKKPKAASASKVVKGSCLDKNASHLINRMPSARRKGLLACMPPQHSVAQAPRSDPLHKKKRKINVSVPVLQY* >Brasy2G081200.1.p pacid=40070573 transcript=Brasy2G081200.1 locus=Brasy2G081200 ID=Brasy2G081200.1.v1.1 annot-version=v1.1 MDPRENQEWTSYDVEEARSIIAKFNSNNCSYDGDHHDKNKKHNLMLELQNIKQVKALYLDLVVEMHMMQCQQKEYGCVDGKHVDICTFHGHVKKNFGVPEEQEVSMDHKDFSFGFPSEHVGTMETMKEVPMLGENKMPIHQSVVAPCARRLFLQGLNACGRGKWKNISMNFVTTRTPAQIASHAQKYFKRIESKGLGTQRYSIHDVELGNNGPWKTEDSSRPSKRSCLSMPTSSFLQVPSTSFVTMDNMAQFKFPSLKKTTQLVQSENHTMDSVADPWRG* >Brasy2G134900.1.p pacid=40070574 transcript=Brasy2G134900.1 locus=Brasy2G134900 ID=Brasy2G134900.1.v1.1 annot-version=v1.1 MEKKKMTCGALIYLLLIHPQREEAIMKVTAIIRLFVMVLMASCANVVICSSLSGNETDRLSLIEFKKAISLDPQHALMSWNDSIPFCNWEGVRCTMKNPRRVASLDLTNRGLVGQISPSLGNLSFLQNLLLPKNAFTADIPPSLGHLRRLRYLHLTNNTLQGRIPNFANCSHLKVLWLDRNNLVGQIPTEWPPNLQELQLANNNLSGTIPPSLANITTLESFHCGLNNLEGNVPDSFPD* >Brasy2G450200.1.p pacid=40070575 transcript=Brasy2G450200.1 locus=Brasy2G450200 ID=Brasy2G450200.1.v1.1 annot-version=v1.1 MKLFSLLFLLAAAAMAAAEPERAPSTLSGPSKPVTVSLQEDRGHAVDLPDKDPRVQRRVNGWAPEQIAVAPSASPTSAWVSWVTGEYQIGDAVKPLDPSTINSVVRYGLAADSLTHTATGAAMVYSQLYPFEGLLNYTSGIIHHVRLKGLEPATKYYYQCGDPAAAGAMSAVHAFRTLPAVGPASYPARIAIVGDLGLTYNTTSTVEHMVSNDPDMVLLVGDVSYANMYLTNGTGADCYSCAFGKKTPIHETFQPRWDYWGRYMEAVTSRVPMAVVEGNHEIEQQAGNRTFAAYSARFAFPSEESGSGTPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDRAKVNRSVTPWLIAGWHAPWYTTYKAHYREVECMRVAMEDLLYSHGLDVVFTGHVHAYERSNRVYNYTLDPCGPVHISVGDGGNREKMAVGHADEPGRCPDPRKTPEKFMGGFCAFNFTSGPAKGKFCWDRQPEYSAYRESSFGHGILQVKNDTHALWQWHRNQDVYNSVGDEIFIVREPHRCLRNCSRPANHRRP* >Brasy2G079600.1.p pacid=40070576 transcript=Brasy2G079600.1 locus=Brasy2G079600 ID=Brasy2G079600.1.v1.1 annot-version=v1.1 MLLPAAKYLLGSPGASGFGSKSTADDVLTGADLSSLTAIITGATSGIGAETARMLAKRGARVVIPARNVKAAEEVRARILGESPAADVLVLPLDLSSLASVRAFASRFLSLGLPLNLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTELLLGKMAETAAETGVQGRIVNVSSSVHAWFAGDWAEYLRQVTRRNIAYDATQAYAVSKLANVLHTKELAKRLQEMGANVTVNCVHPGIVRTRLNRDREGLITDLVFVLLSKLLKTIPQAAATTCYAAAHPRLAGVSGRYFADCNEAAPSPAATSAHEAARLWRASEAMICCATQHGSNASTTTSSTPILVPDGNI* >Brasy2G010300.1.p pacid=40070577 transcript=Brasy2G010300.1 locus=Brasy2G010300 ID=Brasy2G010300.1.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKVSHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.2.p pacid=40070578 transcript=Brasy2G010300.2 locus=Brasy2G010300 ID=Brasy2G010300.2.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.3.p pacid=40070579 transcript=Brasy2G010300.3 locus=Brasy2G010300 ID=Brasy2G010300.3.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.18.p pacid=40070580 transcript=Brasy2G010300.18 locus=Brasy2G010300 ID=Brasy2G010300.18.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKVSHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.16.p pacid=40070581 transcript=Brasy2G010300.16 locus=Brasy2G010300 ID=Brasy2G010300.16.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.4.p pacid=40070582 transcript=Brasy2G010300.4 locus=Brasy2G010300 ID=Brasy2G010300.4.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKVSHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.5.p pacid=40070583 transcript=Brasy2G010300.5 locus=Brasy2G010300 ID=Brasy2G010300.5.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.6.p pacid=40070584 transcript=Brasy2G010300.6 locus=Brasy2G010300 ID=Brasy2G010300.6.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.7.p pacid=40070585 transcript=Brasy2G010300.7 locus=Brasy2G010300 ID=Brasy2G010300.7.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.8.p pacid=40070586 transcript=Brasy2G010300.8 locus=Brasy2G010300 ID=Brasy2G010300.8.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.9.p pacid=40070587 transcript=Brasy2G010300.9 locus=Brasy2G010300 ID=Brasy2G010300.9.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.19.p pacid=40070588 transcript=Brasy2G010300.19 locus=Brasy2G010300 ID=Brasy2G010300.19.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKVSHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.17.p pacid=40070589 transcript=Brasy2G010300.17 locus=Brasy2G010300 ID=Brasy2G010300.17.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.20.p pacid=40070590 transcript=Brasy2G010300.20 locus=Brasy2G010300 ID=Brasy2G010300.20.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.10.p pacid=40070591 transcript=Brasy2G010300.10 locus=Brasy2G010300 ID=Brasy2G010300.10.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.11.p pacid=40070592 transcript=Brasy2G010300.11 locus=Brasy2G010300 ID=Brasy2G010300.11.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.12.p pacid=40070593 transcript=Brasy2G010300.12 locus=Brasy2G010300 ID=Brasy2G010300.12.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMVLRLAQRRP* >Brasy2G010300.21.p pacid=40070594 transcript=Brasy2G010300.21 locus=Brasy2G010300 ID=Brasy2G010300.21.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKNPRYNFWNRSFTHTAPDIQKLVEAAARSCAAKAAVEDVPDVSQPAMV* >Brasy2G010300.13.p pacid=40070595 transcript=Brasy2G010300.13 locus=Brasy2G010300 ID=Brasy2G010300.13.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKVLRLAQRRP* >Brasy2G010300.14.p pacid=40070596 transcript=Brasy2G010300.14 locus=Brasy2G010300 ID=Brasy2G010300.14.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLVPLSPSLLSLSQLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKVLRLAQRRP* >Brasy2G010300.15.p pacid=40070597 transcript=Brasy2G010300.15 locus=Brasy2G010300 ID=Brasy2G010300.15.v1.1 annot-version=v1.1 MLPPSPDVVAAEVRLMLQGATDSNRDSIRRELCQLVDNGLGGCVLLLQVCLDEVLLNAREEKNFQWKHDLLSAIFRYCLDKTYFSTCFCEAVMMMTSTDALLETLSSVLELSTAEKVGIGLALSDSQNSVMKRKGQQFAIAQIEELCLNPIQSVSNDQIHEIVVFLQQTDGLSKHMDTFSNITSLLEVGQSPFFAPIPKKQSDIQSTNPSRHLELYFNSTNADFESLLCEIGKEISMADTVTELGYGCTVDSTRCMEMLSAFVPLDNVGISKLLGAVIGNHNSLGEAQNNTHATFLSAIRNSPTSDSPQLTTWNTDVLVDSINTLAPSTNWVQVMENLDHGGFNIPDEAGFYLLMSIYARACKDPFPLHAVCGSMWMNTDGQISFLKHAVSAPATMFTFAHSSRLLALPEFANLSPGNSAWFCLDLLEVLCQLAEVGHTKPVREMLEYPLEHCPELLLVGLGHINTAYNLLQFEVLSCVFPAILKDAAKSNVVNSLWHINTCLTLRGFVDAHSDPSCLLRIVDVCQDMKILSAVLGSTPFAFSIKLAAAASRKDHNHLEKWLTEKLILYKDSFLKECVNFLKETMSTTSYVVEGTMEQPQASVINKYLEACHPFMKVLQSQLGLLLSNHLSDELRELYTLYESRNHGSAVRDIPTSEGGSDDVEVEANAYFQQMFSGKISIDSMIQMLARFKESPDMREQLIFNCMITNLFEEYKFFTKYPDKQLKLAAMLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFVDRVIEWPQYCNHILQISHLRGNHAEMVSAIERALASISSGQNEPNVGNLLSAEQHVSGSSSMETMEVSEPSWQFVGTSPTQLGRTLSSFPLQQRQPEPGVLGDRSMVSMGTSQNNSILPSQPSVPLTPADSAINLKATSLAHSTSMATTGFLRPRSTPTGLPRQHSYTTGFGAALNIETLVAAAEQSDRPIEIPPSEVQDKILFMINNISTSNLEAKANEFNEVLQEQYYPWFAQYMVMKRASIEPNFHELYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLRDRGREVEGNPDFSNKDVACQIPVAAEVSSGIGPPINHAELQPEVNSTSRAMSLPSILNQYTAPVRLPPNSMVEDDKIAPLMPEQVPLRTLTQTPLLLSLIPHEEIHFKINTKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTTASKTTKELVLKDYATKSDINSANRSARLMAGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVDQVIDILINDNLDLGCAIIESVATRQAVDLVDGEITQSFSQQRRKRDAAGPASYDSFIYAQVPIAVPEVLHTKPESAAQQRVYEEFVNVWQRRSQSIGAAGSSTAGTAAVSSNFGVPRAYSPNSAPATSSAFLTSQTAHLALTQPTELVSEELIPGATQLSSDSPSQVGTSDSSGWLGGSIAPAPTSTPPVTSNDLTVGGTTDLSATMFPPSTISVNNFGSVLPDPLNTDDALKRYRQISQKLEALIAKDGKDLEIQSVIAEVPDLLLKCVSPDEAALAVAQKVFKSVCDNTSNSGCVMWFVATLVAIRDVCKLVVKELTNWVIYSDDEKKFNIEIIIALIRSDLLSLGEYNVYLARQIDGGRNRVATEFAMSLVQKLITQNSVSISELFSVVDALSKISRRPGSPVSLQQLIEIARNNANNNPTFDFGMDEKFRQPKDKVLSSQTNKEENSVNDITLADSVTFHDQVAHLFTEWCQVCDHPSACDAAYSHFVMQLQHIGLLKGDEFTERFIRILTELAVTRSLVSEQIVAPGGLSQQSSQPHISYFPIDSYSKLVSMVLKHSSVEIGPNKGSLLPKILSVTVKIIQKDAEEKKDLFNPRPFFRLFINWLNDLSSSDPHHDGANFQQVLIAFANAFHLLQPLRIPSLSFAWLELVSHRTFMPRLLMCNSQKGWPFFQRLLVDMFKFMEPYLRNADLLEPVRLLYKGTMRVLLVLLHDFPEFLCDYHLSFCDVIPTSCIQMRNVILSAYPHSMRLPDPSTPNLKIDLLAEISIAPRIMSDIDGALKLKHMKTDVDEYLKRPEGSSFLSDLKQKLLLPQNEAIVAGTRYNVPLINSLVLYVGIQAVQLQQNKANATAKTPMDIFQIATPTEIFGNLATNLDTEGRYLLLNSIANQLRYPNNHTHYFSFIILYLFAQATQDKIQEQITRILLERLIVKRPHPWGLLITFTELVKVLRLAQRRP* >Brasy2G467400.1.p pacid=40070598 transcript=Brasy2G467400.1 locus=Brasy2G467400 ID=Brasy2G467400.1.v1.1 annot-version=v1.1 MAATRVTDVASPLGDGLVITCTVTSSGDDAAAWFEEVRSTFRLCQHEVFVGLDVEWRPSYSSARNPAALLPLCAQESCLVFQLLHADYIPQALADFLVDPQFCFVGVGVDADAARLGNDYGLQVASTLDLRGLAAAQLCMPELRQAGLVRLTHAVTGVNIQKPQRVRMSAWEAYRLSDEQIHYACVDAFVSFMVGWILFNGGYP* >Brasy2G244500.1.p pacid=40070599 transcript=Brasy2G244500.1 locus=Brasy2G244500 ID=Brasy2G244500.1.v1.1 annot-version=v1.1 MSFNKSRGAAGLGVGGDELLFRGTISRKWTFMLCIGSFCVGLIFTNRMWTLPEPKEIIRRSTLQVDKMNLVSGDCAQKSIAERINVVGEVPKTQDAIQTLDQTISNLEMELASAKATQDSMLNGAPLSESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFIIGHSATSGGILDRAIDAEDRKHGDFLRLDHVEGYLELAAKTKSYFSTAVSTWDAEYYVKVDDDVHVNIATLGGILARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGVDAEHIDERRLCCGTPPDCEWKTQAGNVCAASFDWSCSGICKSADRIKEVHQRCGESANAIWNATF* >Brasy2G095600.1.p pacid=40070600 transcript=Brasy2G095600.1 locus=Brasy2G095600 ID=Brasy2G095600.1.v1.1 annot-version=v1.1 MVFAFQLVRPPDGAGDFLGNWVASFPANQQALILVGGSAVVWVIWKVRNAACFKKQFPDDPANIIFQICHLLTSWTILQKERSRRKLEAGVNMLKKVVAEALSRRHGWAPANRRLQG* >Brasy2G339000.1.p pacid=40070601 transcript=Brasy2G339000.1 locus=Brasy2G339000 ID=Brasy2G339000.1.v1.1 annot-version=v1.1 MAGSDAAASVTAQFMLDGRVAIVTGGAGGIGSAVSKHLASLGARVAVGYFGDPTPALELVASINAQAQQPRAVAVECDVSDAGQVKALFDAASAAFGGAELHILVTTAAVLDYSYPCLADTSERTYDAAFGVNARGTFLCLREAANRLCRRGGRGRIVTFSSSGVGSLRPGYAAYAASKAAVETMTKVLARELRGTGITANAVAPGSTGTPMFYGGKSEEEAARYVAEAPLGRLGMPEDIAPLVGFLVSDAGGWVNAQVIRCNGGTI* >Brasy2G346500.1.p pacid=40070602 transcript=Brasy2G346500.1 locus=Brasy2G346500 ID=Brasy2G346500.1.v1.1 annot-version=v1.1 MDAAAPHVMVLPFPAQGHVTPLMELSHRLVDHGFQVTFVCTGLTHALLLKALRQTPDGGDTLEGIRLVPIPDGMADGDDRRDLCKFVDAVSRCVPGYVEGLIKASGVKWLVGDVTMGFCFQVAKDLGVRVAAVWPASAASLGTAFRIPQMIQDGFIDDMGFPKREGTYEVAPKMPVMSPSQMPWTIDGPPEGQKVAFELVFGNAQSTSIAEITVCNSFLEAETTAFQLFPEILPIGPLFADAELRKPVGQFWPEDTGCLNWLDGHPDKSVVYVAFGSFTIFNPLQFRELAEGLELTGRPFLWVVRPDFTSGGLSKAWFDDYQNRVAGNGMIVSWCPQQQVLAHPAVACFVSHCGWNSTTEGVRNGVPILCWPYFADQFSNRSYVCDIWMTGLAVTPGEDGVVTKEEVKSKLEQLTGDEGIAVRAQVLGDAACKSIREGGSSYENFKKFVNLLTE* >Brasy2G365000.1.p pacid=40070603 transcript=Brasy2G365000.1 locus=Brasy2G365000 ID=Brasy2G365000.1.v1.1 annot-version=v1.1 MSAALLASRPGLEAPVAGALGQVAPVFAAAASVAQVAAPPLSVQEVPVAVAPPSPAHAASERLPEVASSQVAVLPTAPAHAALPQSVQEVPSSLVQVAPVLAHADDVELGSRLGVRPASSRGSPSRSPSPSSVLSCAPELLSPLSPTESSLRLSSPVEFPPLVRPSSGEGRVGQAAPTSSPAAVVGEHARSRRAYPPPSRFSARLAAKGDADEASINKAMRLAKIRNLEMSTGDLSGGVLAPYLVLTASDGGQGFYGFWVQPYGDGSTGFVQPVWVARRA* >Brasy2G487200.1.p pacid=40070604 transcript=Brasy2G487200.1 locus=Brasy2G487200 ID=Brasy2G487200.1.v1.1 annot-version=v1.1 MAAAAQVVSALSVPLLSALLGGAVALVFLAGYLRRKRADIAHVPPSATAAAADLPKQVRASNPPKKGAHGRPHHHAAADKDAAKKHHHLDLNTLRGHTDGVTALDFSSDGSNLATVCADGAVRVFRIDDASSKSFKILRINLPAGAHPTAIAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAGNKQQGKLSPPEIKWDHKKIHGKESVLNLAAARATHGTGDGSTIVISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYLKDSSVKEVNRVMQLKGHKSAVTSLCFVPDSEKIITASKDGTIRVWNINVRYRLDEDPKTLRVFPIPLHDSKGSVCLYDHMGISPDGKVLAVTSGSTLQWLCAETGTVLDTAEKAHEGDISGIAWAPRTIPNGGAPAFVLASCGDDKKVKLWLAPEVTST* >Brasy2G487200.2.p pacid=40070605 transcript=Brasy2G487200.2 locus=Brasy2G487200 ID=Brasy2G487200.2.v1.1 annot-version=v1.1 MAAAAQVVSALSVPLLSALLGGAVALVFLAGYLRRKRADIAHVPPSATAAAADLPKQVRASNPPKKGAHGRPHHHAAADKDAAKKHHHLDLNTLRGHTDGVTALDFSSDGSNLATVCADGAVRVFRIDDASSKSFKILRINLPAGAHPTAIAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAGNKQQGKLSPPEIKWDHKKIHGKESVLNLAAARATHGTGDGSTIVISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYLKDSSVKEVNRVMQLKGHKSAVTSLCFVPDSEKIITASKDGTIRVWNINVRYRLDEDPKTLRVFPIPLHDSKGSVCLYDHMGISPDGKVLAVTSGSTLQWLCAETGTVLDTAEKAHEGGAPAFVLASCGDDKKVKLWLAPEVTST* >Brasy2G188100.1.p pacid=40070606 transcript=Brasy2G188100.1 locus=Brasy2G188100 ID=Brasy2G188100.1.v1.1 annot-version=v1.1 MCGGRRRRRGGGSDVGAEAGGRGFCGPALAVVALAAAAVVAFLEGTAGGISYAGDGWLRECAKWDAEGGRFLVSTFFGAGVAEVRVGAGKEEDAPVERVFVSDPEVSGRVALGLAVDATRRRVLVAYADRPPRFGYAAVGAYELGSGRRLFLVRLDGPGESSFADDVAVDEDGNAYVTDTMGSKIWKVSPDGEPLGVIKNGTFTQRAGTANNLIGLNGIVCHPNGYLLVVHTSGGDLFKVDPRTGGVTVVKVVRGSLKRGDGLELLSPTRLAVAGMPSRLVESSDDWESASVTGRYVGPAHRVGSSATVKDGDVYVNHIFGFGLGGKKTHVLAKAVFAPL* >Brasy2G204600.1.p pacid=40070607 transcript=Brasy2G204600.1 locus=Brasy2G204600 ID=Brasy2G204600.1.v1.1 annot-version=v1.1 MPASSWFHKLRRRRKEKDKQRSSAFPASEPACTDEQRGQGQPPAAQGAAGYSPNRASYYFPSADRARRDGGLRCIAPRGGDDGGSALDVRVDVVHRRAGGRLGGVDAPPTTPELSLRRIVTTRPAKNEPPDNVVLCNSSGSATTSAATTPSTCGRGRGFHVEPSGRRRRLRPRRDHDDKEKEKAVGSRRGRTRRRRWLYESLVVVKTSSDPEREMAESMAEMVAANRIRSPEDLEELLACYLALNAAEHHRAVVAAFRRVWLHIMATQRLRLHHD* >Brasy2G189400.1.p pacid=40070608 transcript=Brasy2G189400.1 locus=Brasy2G189400 ID=Brasy2G189400.1.v1.1 annot-version=v1.1 MASGSRATPSPSSAPAASRHAEQQHHYTQSSGGSTSRAGGGGGGGVGGGGGAAASAAATESVSKAVAQYTLDAGLHAVFEQSGASGRSFDYSQSLLAPPSTSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSSAAPPPVSLGADARLLFSPPSGVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYKFHDDEHGEVLAESRRTDLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPAMPQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGEDEHNMGRGAIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAYQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTVYHGDSTGLSTDSLADAGYSGATALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAREGTSNSKAIVDGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLTVEEAMGKSLVTDLIFKESEETVEKLLSQALRGEEDKNVEIKLKTFGPEQSKGAIFVIVNACSSRDYTKNIVGVCFVGQDITGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENICCSEWNTAMEKLTGWSRGEVIGKLLVGEVFGNCCRLKGPDALTKFMIALHNAIGGQDSEKLPFSFFDKNGKYVQALLTANTRSKMDGEAIGAFCFLQIASPELQQAFDIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQRIEDGSLVLEKGEFSLGNVMNAVVSQVMILLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIQVRPNVKQNSDGTEAMLFLFRFACPGEGLPPDIVQDMFSNSRWTTQEGIGLSVCRKILKLMGGEVQYIRESERSFFLIVLELPQPRQVESRERSLYGKLKADLA* >Brasy2G189400.2.p pacid=40070609 transcript=Brasy2G189400.2 locus=Brasy2G189400 ID=Brasy2G189400.2.v1.1 annot-version=v1.1 MASGSRAAASAAATESVSKAVAQYTLDAGLHAVFEQSGASGRSFDYSQSLLAPPSTSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSSAAPPPVSLGADARLLFSPPSGVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYKFHDDEHGEVLAESRRTDLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPAMPQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGEDEHNMGRGAIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAYQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTVYHGDSTGLSTDSLADAGYSGATALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAREGTSNSKAIVDGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLTVEEAMGKSLVTDLIFKESEETVEKLLSQALRGEEDKNVEIKLKTFGPEQSKGAIFVIVNACSSRDYTKNIVGVCFVGQDITGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENICCSEWNTAMEKLTGWSRGEVIGKLLVGEVFGNCCRLKGPDALTKFMIALHNAIGGQDSEKLPFSFFDKNGKYVQALLTANTRSKMDGEAIGAFCFLQIASPELQQAFDIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQRIEDGSLVLEKGEFSLGNVMNAVVSQVMILLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIQVRPNVKQNSDGTEAMLFLFRFACPGEGLPPDIVQDMFSNSRWTTQEGIGLSVCRKILKLMGGEVQYIRESERSFFLIVLELPQPRQVESRERSLYGKLKADLA* >Brasy2G365600.1.p pacid=40070610 transcript=Brasy2G365600.1 locus=Brasy2G365600 ID=Brasy2G365600.1.v1.1 annot-version=v1.1 MDSSFDVTLRKEMVVGTVTRSATIARAEHDLTKTAVVAVVVGFRPIMTLAELRRAFAYEFSIGEQEVQVSIFGQGEFLVQFSDPLVRNKAVRIEGPLVLGGVTFMLSPWTRFRRARAGKIRYKARVCLENVPRDSWNLETVSCLFDSSMLVEEQDFSTNREEETACMTVWVWLEKLEMLAKKGKLQIEEPRLHDSQDANLTELGMTPSPPRRSSEVKLLIYDVLIHLDQVIDFSDPPVGQEGLVSFTEEQWTFEWPLEIQLVSWV* >Brasy2G348300.1.p pacid=40070611 transcript=Brasy2G348300.1 locus=Brasy2G348300 ID=Brasy2G348300.1.v1.1 annot-version=v1.1 MLIDLIVTRMGAKVEGESYKPGYYATGDLHMDSNGWRSPYYEEKTSNGQLCNGFMTKQSDSYSDYDNEMLKRTMLAHEALFRQQVYELHRVYKIQRDLMKHYQNKETHAYPMLADASQTNSSSQVPPNGAKMIWQMQMPTYRDATVAVHNDTNHSLKFLSEGCVLPSPNGFPSRDVALNTKKGTIDLELPADHYIDDENTSDNKPIDFLGVESGTKPHSDVVEVTFGGAEGLGRFSDNSSTSGLQTTNNPGGRHVTDLNEPISGMHMGRTDGSVSRGLPYTLENSWRQSVVRASRSNLGFSKEHSKDKHSDEGTSSNFFDASAKIRQEEKLLVDKGKQVSNRFSFTPRYSNADLQKSFRAADSRSATNDQFICQGQSRSVGWFSRSPMDSSAINNFGRLDHPHHSSLGTLVAPISIPHIDHSSIASPIGSCTVDPRSSVVNNAAFQSIPSFNGSSTVNSYKSPSVVTQSTGPSIHKLKRFDNLDGSYFGFPLDPFSASRSRQQVAISSQLQQNNSLMFEHSARQSHEDPQSANGKDTKNFNLNEALSDGQEELIEQDRRCVGSFQHRKDDGSVFGISWLKDKATGADPTGLENQKKLFGHSNGIVTEVKNNSKDITGMSPIVYNLSDSASTSLSCRVKMDEASEDITGSTWLACNKTQESTTYLPLASQKPVDRDGQAAEGVKKKSGALIRNFFDLNDDVPHEDNSESSVVSHECQVSSLQNNHAKRTFLIDLELPACEDGAAWTSQQECTPSGDLDASKEADAYFTSATDAAQIILALSTDVPTPASTPDDTLQWFAELALSSTDVNAEQAEAQGCINNSSDDDFESFESLTLKLEESKADEYWTRPLAPTLIDDEHAVSAVNLLSKPRRGQQRRRRQKRDFQKDILPGLSSLSRPNIIEDIQLIEGLVQASGGSWESSLTKRGRGGRTRGRKPRKNLPVTVEIEAEASPPSKPDSVGLEADERGMIGWGRTTRRCRKPRCPSGNNIAT* >Brasy2G397100.1.p pacid=40070612 transcript=Brasy2G397100.1 locus=Brasy2G397100 ID=Brasy2G397100.1.v1.1 annot-version=v1.1 MALRKPTGILSPRLPAVCFGEGLNSEGRRSNACKKLQMVLEDQEHKERRYIDELVEIT* >Brasy2G464200.1.p pacid=40070613 transcript=Brasy2G464200.1 locus=Brasy2G464200 ID=Brasy2G464200.1.v1.1 annot-version=v1.1 MRKKTIVSVFGRRSPRKSVPWRKTSDPSASNQGAELATDPTAQATGVSLNPASEPMVVSSEPATEVVDVARQPASEGVDVASKPDADETSDAMYLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPRFTPVSQPRPDVDGTVERDGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSTGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVQFAAEGEKSKTPKEKPHKVRVKRPSRFVCSPFDEAIQVTAEQEVVYDKLMTFTTKSKNSNIKTLKIIDYKTIFAEIQELADAVHLRGELSNNVAEVAVHFLQETNKVEDKVILSFLISHYMMTCNNLQMRIIANAFERSNKFALSCQDRIYFPVLEIVHKEAGGGHWYLLCLNLVAQRFEALDSIRGPGNICLEDHATRLMGKIKAAWTMYYHKSRVQIKDYELVVIDVPKQGNCTLRCGTGKTCPNCVLLTSPRLGKLWPTSGFQLNSTGAKIGSGI* >Brasy2G252500.1.p pacid=40070614 transcript=Brasy2G252500.1 locus=Brasy2G252500 ID=Brasy2G252500.1.v1.1 annot-version=v1.1 MVLVVLIGVVVGVVLVSSLLLRWNEVRYSRKQGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRQMLQQGEGRGFVPGYPQSMLDILGRNNIAAVHGPLHRAMRGSMLALVRPASIRSSLLPKIDAFMRSHLHGWAGDLVDIQDKTKEMALLSALRQIAGITAGPLSDALKTELYTLVLGTISLPINLPGTSYYQGFQARKKLVSMLEQMIAERRSSGLVHNDMLDALLSGNDGTRERLSDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEELRKEHFDIRKGKSPEEAIDYTDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPCLYPDPMTFNPWRWLEKNMESHPHFMLFGGGGRMCPGKEVGTAEIATFLHYFVTRYRWEEEGTNTILKFPRVEAPNGLHIRVQNY* >Brasy2G402500.1.p pacid=40070615 transcript=Brasy2G402500.1 locus=Brasy2G402500 ID=Brasy2G402500.1.v1.1 annot-version=v1.1 MIDEKSTSQRCWNYLQIHWPQGGDLQQVITEARRDFGHPFFIEVAAMSCWHIWKQRNGWIFDGIRPSFWSWKRSFVADISLLQYRFKPPWIRAFTLWLRTLP* >Brasy2G135800.1.p pacid=40070616 transcript=Brasy2G135800.1 locus=Brasy2G135800 ID=Brasy2G135800.1.v1.1 annot-version=v1.1 MSLESNRPAPQLRSKIYCTAGKDGRIRLGGHGACGGRLGCDHEVPPGQAGRAPLPGVHPHPGSRRRPPVHQRRARHHAVLPPLRRLGEARPRRPDQGLDEADPRPHLRHRRLCRRLRRPPPWPPYRHALLLPPQQRLRGLHLVAPPRHRDEDIHPQDAGAAHRRAAREVWRQ* >Brasy2G360100.1.p pacid=40070617 transcript=Brasy2G360100.1 locus=Brasy2G360100 ID=Brasy2G360100.1.v1.1 annot-version=v1.1 METLVISQQRSHHHHSGRRRKPSSHFSSPQSMRGYNCRAFHSTVSIGILRSPPPPPPPPPARTHSSPEPKTPKQQQLGKKRSRVIPITPSGSPPSRPELWAGPAYSNSPPPSSLPIPKFSIHQKRSVSLELPPAGPSVHVEVLVHAKSAPSTPTAGSGSDFFGNDTAIATENLRRILNLEITDH* >Brasy2G316600.1.p pacid=40070618 transcript=Brasy2G316600.1 locus=Brasy2G316600 ID=Brasy2G316600.1.v1.1 annot-version=v1.1 MQPLMIQKPSHLSSDSSTLRFSRLIRRPFSSSSHAVPLPLLRNSVTEASGGLLMNFPSFLQSSPLPSSSSSQFQFISWQHLLPPQFLHSGRCGKCCRRVCYGDQFSAEHGQEVVGDTEDQEK* >Brasy2G351000.1.p pacid=40070619 transcript=Brasy2G351000.1 locus=Brasy2G351000 ID=Brasy2G351000.1.v1.1 annot-version=v1.1 MCMDRSAMPTKKVWLVIASRLGLRRAAGLRKLRKEVRTCEYRDVHIMWEMLREMGSPVPLAEKEAAAAAAVAAAAEARKKKAAWRRFAYYCCAF* >Brasy2G034700.1.p pacid=40070620 transcript=Brasy2G034700.1 locus=Brasy2G034700 ID=Brasy2G034700.1.v1.1 annot-version=v1.1 MARLRCTGWEVLLRAALWQAILVLPWTSYAGASKAVGSPLPRAAAPAVVSRAEDARLFRIYYGQGFKVVKNSWDGNSYLLMQNTSRMAIKTKYCTGRIKSFVVPLANYSVDTSASPVSFFELLGVLQNLQGITSDHVSSPCVLQSYAKGYVQLVNRTDAQKLSQFSAHFMSNTDEDKGCNSVAYVPAEEDTPLQRAEWIKYLGTFTNSEERANAIYDAIKTNYLCLSKAAADLGTRFKPIVAWIEYTQGMWSFVKESYKLQYVTDAGAEIVDATITDKRFNISDPEDMDNLHAILCTVDVVIDQTYASDPAEYKLSTFLENINVDHNSCFSFVANHSIWRYDKIIGASKTLDWFDGAISQPQLVLADLVEVFFPTGNYTTVYFRNLAKEEGVTEIGPEICGRSISTPMEPMILPCQ* >Brasy2G330300.1.p pacid=40070621 transcript=Brasy2G330300.1 locus=Brasy2G330300 ID=Brasy2G330300.1.v1.1 annot-version=v1.1 INPMHLTFEDLWFLPPLVGLLKDVWSSVLAILWRIWKSRNAKVFSDEDIPTHVALETLIHDITRWSFRLKCNTKKIAANLPR* >Brasy2G133600.1.p pacid=40070622 transcript=Brasy2G133600.1 locus=Brasy2G133600 ID=Brasy2G133600.1.v1.1 annot-version=v1.1 MYSSLLVLLHCQRNSFVLLLCIILFANLASIISDHFCWICRVCLFDVQVLFIMPYCFLCFLLFYIYLEQVVSFCFCNISFLIFCFFKVVQNPSNRNSYKTLIECDVCRKWKLESWI* >Brasy2G319700.1.p pacid=40070623 transcript=Brasy2G319700.1 locus=Brasy2G319700 ID=Brasy2G319700.1.v1.1 annot-version=v1.1 MIRKLEECNIYLPFGQGFRLGQRKRRTHLARRAPRATHDMPHLYSLRLTKFAPKREAKSATKHISNANRLVPTTSHSPETLELARAVTSVVEQPRRAGAAPPARDVSNSPDGDRSRAACLTSPGPHPPRAARPHRTGTEAAPSPPPWTGTEAAPSAPAPLLSPRAGALSSAPSPIDQNVRRGKKRLDTHTQEKKQPNSHQS* >Brasy2G396300.1.p pacid=40070624 transcript=Brasy2G396300.1 locus=Brasy2G396300 ID=Brasy2G396300.1.v1.1 annot-version=v1.1 MVSSSDESSSSRRTLPTDFSDMCPEWCYDSDYFGEHDDKTIRCSGHGMPVQRRVACNGSNTGRRYLGCSQLPLRKRLVNLINNYAMGVDCKTEMVKAEQFYADMRLAEEEKKVAIVEKKKAKLAAARAEGDRMRMEQLKMKLELEMADYVSEATMKGEEMKLRIKRLRNTVILKEKLLLFAWALVATLVAFCAIQTVMFVILNNNE* >Brasy2G279500.1.p pacid=40070625 transcript=Brasy2G279500.1 locus=Brasy2G279500 ID=Brasy2G279500.1.v1.1 annot-version=v1.1 MPPAEGAAGLGVSEFSLPDDVLAVLPRDPYEQLDLARRITALAVAGRVTGLEREAARLREGAAEKDRENGELRERVSLLDRALQETNARLRGALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSTSPQETVDITTCDLSVSSKTSSCGDGYISTSTTNLMNGSVDVGSTTREAPKPPVQKYTLSSHINQRLTPEATPNIMSTSASPRGMSTAATPKLMSGTTSPSRTRIEGHMSMTPWYSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPESKDLYLSFQGLLNRTLP* >Brasy2G326900.1.p pacid=40070626 transcript=Brasy2G326900.1 locus=Brasy2G326900 ID=Brasy2G326900.1.v1.1 annot-version=v1.1 MRGVSLALALLVAFRAAAAAPQLVGAARGDNSLRIIQNDIIETINKHPNAGWTAGHNPYFANYTITQFKHILGVKPTPPALLAGVPTKSYSRSMKLPTEFDARSQWSGCSTIGTILADQGHCGSCWAFGAVECLQDRFCIHLNMNISLSVNDLLACCGFLCGSGCNGGYPISAWRYFHRKGVVTDECDPYFDQVGCKHPGCEPAYRTPKCEKKCKVQNEVWKEQKHFSVDAYRVHSNPHDIMAEVYNNGPVEVAFTVYEDFAHYKSGVYKHITGGVMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNMARNYDDAFGTAIL* >Brasy2G269700.1.p pacid=40070627 transcript=Brasy2G269700.1 locus=Brasy2G269700 ID=Brasy2G269700.1.v1.1 annot-version=v1.1 MKKGMMVATVIVGVLGLITVILGIAGAASSGRACKSEADATPGVGCGVVASLLALATQIIASAATGCCGCCRTWSIPSEAKRIVAIVLSTFSWFLAIIAVILFMVGAILSTATDVSTGGKCVPDGTGPFVAATILFIITVVFQVVSYILLQATTATSSAKPLGQESGIAMGNPVNQNTAGTTAASSTEQNAAETTAASSTEQNAAASGDPPPSAPPASSDQITSPTPTVLPKANADPANQV* >Brasy2G437900.1.p pacid=40070628 transcript=Brasy2G437900.1 locus=Brasy2G437900 ID=Brasy2G437900.1.v1.1 annot-version=v1.1 MLTSTFATSCTLFSNVRTEASQKVVKSPSSLSFFSRGVLQVPSLKSSKKLDVSAMAVYKVKLVTPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY* >Brasy2G437900.2.p pacid=40070629 transcript=Brasy2G437900.2 locus=Brasy2G437900 ID=Brasy2G437900.2.v1.1 annot-version=v1.1 MLTSTFATSCTLFSNVRTEASQKVVKSPSSLSFFSRGVLQVPSLKSSKKLDVSAMAVYKVKLVTPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY* >Brasy2G437900.3.p pacid=40070630 transcript=Brasy2G437900.3 locus=Brasy2G437900 ID=Brasy2G437900.3.v1.1 annot-version=v1.1 MLTSTFATSCTLFSNVRTEASQKVVKSPSSLSFFSRGVLQVPSLKSSKKLDVSAMAVYKVKLVTPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDGQQEEGYVLTCVSYPKSDCVIHTHKEGDLY* >Brasy2G452500.1.p pacid=40070631 transcript=Brasy2G452500.1 locus=Brasy2G452500 ID=Brasy2G452500.1.v1.1 annot-version=v1.1 MIGLDQELLAEVVCGRDISARATKAALHTLSVLCQNRVKIVVVGAGACRRSSSCCWTSPRARLRARAGRDEPMGMRSWWRTRRAWPWWGRRCYGCSTRRLSGRCALFGPGRTRRARLTISPWSPRHGTR* >Brasy2G346100.1.p pacid=40070632 transcript=Brasy2G346100.1 locus=Brasy2G346100 ID=Brasy2G346100.1.v1.1 annot-version=v1.1 MRRRLLEGAMALAVSAAPDANSSGSGSPPSAASGPMWWLSGCHGSLYSLAVMLPALAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQVWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNEASGFESLARTFVISGAVVTADVLLKTIYVFGFGVPLFIDADQGTGGKWGLWILHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYHYVCAMLVLNGLSLFGCFLVACGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD* >Brasy2G162800.1.p pacid=40070633 transcript=Brasy2G162800.1 locus=Brasy2G162800 ID=Brasy2G162800.1.v1.1 annot-version=v1.1 MEVSSTAAGKGKKGAAGRKVGGPRKKAVTRSVRAGLQFPVGRVGRLLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAIRNDEELGNLLAGVTIAHGGVLPKIHTVLLPKKTAEKAPKEAKEPKSPKKAATPKKAAKSPKKA* >Brasy2G162800.2.p pacid=40070634 transcript=Brasy2G162800.2 locus=Brasy2G162800 ID=Brasy2G162800.2.v1.1 annot-version=v1.1 MEVSSTAAGKGKKGAAGRKVGGPRKKAVTRSVRAGLQFPVGRVGRLLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAIRNDEELGNLLAGVTIAHGGVLPKIHTVLLPKKTAEKAPKEAKEPKSPKKAATPKKAAKSPKKA* >Brasy2G200400.1.p pacid=40070635 transcript=Brasy2G200400.1 locus=Brasy2G200400 ID=Brasy2G200400.1.v1.1 annot-version=v1.1 MAPLLLLLLAALALSPTFDAAAAGGFYDPARVTQLSWRPRAFLYSGFLSHAECDHLVKLAKGRLQKSMVADNDSGKSVMSQVRTSSGTFLNKHEDEIISGIEKRVAAWTFLPEENAESIQVLHYELGQKYDAHFDYFHDKNNQKLGGHRVATVLMYLTDVKKGGETVFPNAEGRHLQHKDETWSECARSGLAVKPRKGDALLFFSLHINATTDPSSLHGSCPVIEGEKWSATKWIHVRSFDNPPIVRTDVRCSDDNELCSKWAAVGECYRNPKYMIGTKDTLGFCRKSCGICDA* >Brasy2G465600.1.p pacid=40070636 transcript=Brasy2G465600.1 locus=Brasy2G465600 ID=Brasy2G465600.1.v1.1 annot-version=v1.1 MSSAGSRSSSTRGGANAASEWSRQENKLFEEALAYYGEGTPDRWQKVSRAMGGTKTADEVRRHYEILEDDYDLIRSGRLPFPQYNTQGAWN* >Brasy2G314100.1.p pacid=40070637 transcript=Brasy2G314100.1 locus=Brasy2G314100 ID=Brasy2G314100.1.v1.1 annot-version=v1.1 MPRHHPPPRAGHHCAFGRETEEKNDGVHLKRDSVRENLPREGRPDPVVESGREDGDGGSMAVVGLPGGDQTRGGRIRWRGSHLLSAARNAPSTKRRPPHLPSSDDMDLQEREGEIKGERLREENMHRRRQGERRAAPRRRSRGFGGWRRGEPDPGRIRGGRVAGARLAAVRAGDGWVHGHRGRGALEEGGGASSRRGRGRGLVRRRWGGVVALAGAGGGDREREERARGETREQWGWRRRGREKESMDRLGLLGLLYRMKECVLYLGLKWLGPNSINDGHNIEPATDGLEHDLFL* >Brasy2G497900.1.p pacid=40070638 transcript=Brasy2G497900.1 locus=Brasy2G497900 ID=Brasy2G497900.1.v1.1 annot-version=v1.1 MRFVLPYNCLGRDLDPTFRGRRSPLSPPRIDRRTERKNIFTSRYQQDFAEISKTISRRLVWQCRAKPPSQV* >Brasy2G494400.1.p pacid=40070639 transcript=Brasy2G494400.1 locus=Brasy2G494400 ID=Brasy2G494400.1.v1.1 annot-version=v1.1 MPRSCVFPSSLHPPSLPVLDAATSRRSGSPEMVLLDTKAYITDDRRNGTTATACTRDGHVVKVSFWLAEPPTVSHLCVHCPDLKVTDFVDEPVVVCSEKNIAILLISFSFPPSNDPAELGFSDFFVYRAHSEHPSLDRLPSPHLRFLDPQEVGLLPCLGDGDDDFVLAALSSRLNPLEYDLHMYVSKTGRWSTKLALLEPPSPNSKDALLLHRTDKAITLEGGSMAWVDLWRGALLCNVFDENPVVRYIRLPRPTNGNKVNSSEKCAQLFRDVTYSNNLLKFIEVEPYERPDICKGLAHPDPDVIFDNDFEDDLDTTATRTWYGWRASIWSRKVSSTCWCRGFTADVDEIVVSDPTHSDLLPELTVQYPGKSTLQNLVTSSPILSNQGDDVVYLMSKVNFEDEKTWVIGVDMKTKALRGVASFSTERCVYSSPAYLPCSLSGYLDMTSKNYNSDAGCNMKGRNDSMNVRSDTTIIVNGLDPCITEDDLRQVFELFGEVQYVKVLAHLQYASVEFIERPDAEDAILALNGALIGARNVRLSWGCNTLEQPPQHDVNKWNGDSYYDHSHCNGARRYSSTTVEDSDVYAYGTSPNYSNYQQQPLAHQNISKWNGASYHLYTQGYDRHQYSSPATQSPNVYAHCPYPAGYHNYQHQLLPPVQHQLLPPQFYYHQQHLI* >Brasy2G067100.1.p pacid=40070640 transcript=Brasy2G067100.1 locus=Brasy2G067100 ID=Brasy2G067100.1.v1.1 annot-version=v1.1 MASEQQLPLPPKKMTQDPPAAPTTITSLGDDLLLEIFLRLPSLPSLVRAALTCRAALHAVRSSRVFRRCFRELHSPPLLGLLLDFFNPEMPAFVPVPRLSDPDHAAALRAADIYLTRVLDDDPEWSIADCHDGYVVLLNWSAGQIAVHSPLTRAMHLFPIPPEQIYEEFYAEFHVLPSEEDPRSFRVVCVCHETWGAQAAVISSDSREWQISPWVDAASLHDGDADEDYSPHDGTLVNGCIYWTKASRANARVLNTTTMQFSLIDLPPHIHGQGMLTPGETKDGELCIVCAVQRTLGLVVWLWRADDDGVERWMLDKTFPLSHKIDVLTDHSAANHDALNILTIVDGFAYLYTYCEANPNSPGFFLSFCLETEKLTKLCPILHIDDLYPYIMGWPPCLAYPTR* >Brasy2G434300.1.p pacid=40070641 transcript=Brasy2G434300.1 locus=Brasy2G434300 ID=Brasy2G434300.1.v1.1 annot-version=v1.1 MASSPAAGTTTWPALATTAVLMLRGCLFVFLTSALPPAAIITPATGHVVELDDMLMLDRFVRWQAAHNRTYGDAEERLRRFQVYRANVEYIEATNRRGGLTYELGENQFADLTSEEFLSMYASSYDAGDRADDETLIATHAAGDGAWSAGAEDGDLEAPPPPSWDWRAKGAVTPPKSQGPTCSSCWAFVTVATIEGLNFIKTGKLISLSEQQLVDCDMYDGGCNRGSYSRGFRWVLENGGLTTEAEYPYTAVRGPCNRAKSAHHAAKITGQGRIPPQNELVMQKAVAGQPVGVAIEVGSGMQFYKTGVYSGPCGTNLAHAVTVVGYGVDPASGAKYWIVKNSWGQTWGESGYIRMRREVGGPGLCGIALDVAYPY* >Brasy2G335900.1.p pacid=40070642 transcript=Brasy2G335900.1 locus=Brasy2G335900 ID=Brasy2G335900.1.v1.1 annot-version=v1.1 MPTLHGPILFMRPSAYQEPKSFTKNTAGSFNSFSGCNAIYSSSEGKPHIVPSFGVNFTRVSHYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIATSLFKQYKNVIDRGGGDNIKGFVNAGVQAYALGCTEEGLRMELMDIKRSGIEIESLRSYGGGTSLSFKVHSFEVKECILWLSIVFITILCTPQPTIIRWSTTPPVSTDVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVIGSSEEPSVVASRMQLVFSTLEVVSPQWPRV* >Brasy2G074600.1.p pacid=40070643 transcript=Brasy2G074600.1 locus=Brasy2G074600 ID=Brasy2G074600.1.v1.1 annot-version=v1.1 MWKSWQLRRAILLRCRLSANVRQPKIGERGYANNVPELNSNRGRLLKGERCCNLGKKAGTSVCHSEEARFNVEQETTGKREADVHSAVKLCPGIGELIIAKCSSIFESGRDTFEGNCSLHDVLKPGLWLSPETLRRFWRASTLKPEDFLDILIGFGQGAAEIRKARFLWNLYRWASWQSKDFRHLPRSNDLMVSILADAQMLNQAESLLLLMDDNKALTNAGGLFSQITQVYSETGHLDKSVALFDRARYKCLIPSASCYQVLLNLLVRKRKAELVLRVYLDMLEVGLGSCTEGDILDFVIMALVKRDNLLQAIGIIRQLKSLDIEISKGSLSAVAKEFCQKKDIGDMMNFLEEWKHLPELRLCNRILRFKPDSTTFGIFICHSCREMKLKAAFLYLSECFSRHVEPKVSAYNAIIGSIFTEGLYRHAKYIFEDMIERKIMPELLTYKVLLAGYCKYRQFDDIEQILNTMNTNALSFLGLDHLGVKVKRDNATGFPKAEFFDSVGNGLYLVADSEKFEISLVQILDNALYPDISSEIVSACQQGNVSSALLVKDEAFQWGHDISPASCSELIKTLCMSPAHVMDATNLMEEMACTFDKVDAQTLNLVIQTLSKNGMSSRARLVLDRLFRRGLPINQDTYTNLLIGFCVERNILEFWECWNVATKFSWSPDSKDVIALISHLCKWGVIEEALKLIGVLTDCYPNLCLSAYRALLKELCRTGYTGVGCAMLEALLEKGVVVGHSLIFNVTEGFLKEQKSAESIGLYDMWLNKSNGVDVSTYQFAFSSLARFDAERCMDLVQPIMNLECSDVSACSCIVKELLQIGKIGQAMSFFQASTLGMKSSGTFVNSLLQSYCCLNNWRKVDAVLCTMLKIHASISISSYRSLVLRMCEQSQFSSALSLKELIQDSDKSTDLILYNILIFYLFRRRNILQIHDLLKDMKHNGISPDKTTYDFLVYGFHKSGDTDRSVSMLDACIAEGLTPSNRSLRIVLSHYCRLGNLEKSLELFHLIERSGWKHGLVIEMTLISSLVSFGRHSEAKSYLNNLSRNALIISDINFDVLIEEFCIQGDVEMSASLLNTMLKKGSLPGEASYSSVIYRLCILKEFDQALDFLAEMKLEHLKPSDISCDALIRGLCAMGRMSDAKKILEMLMTFGSAPSFGMYKIVFDNYYRSNNTLEATQVLHDMQQAGHTPNFEMHWSVISNLSHTDKKTGGYEQPILSNLVSSSVFPVKDDRKKGIVMQRYNQF* >Brasy2G085900.1.p pacid=40070644 transcript=Brasy2G085900.1 locus=Brasy2G085900 ID=Brasy2G085900.1.v1.1 annot-version=v1.1 MEDKCPKPIRCKAAVCRAAGEPLVVEEIVVGPPKAHEVRIKIVCTSLCHSDVTFWRMKDFPGVFPRIFGHEAFGVVESVGEHVEGFAAGDAVVPTFLAQCGECPDCRSSRSNVCSKYRFMVRPGMPRDETTRFLDADGSPVYHFLGVSSFSEYTVVDVTQVVKVDPALPPPTACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDLNPEKNELGKKFGVTHFINPKELGDKTVSQAIIEMTDGGADYCFECIGLAALMGDAFRSSRDGWGKTIILGVEMHGSPLSIPSHEILHGKCVMGSLFGGVKPKDDIPILADKYLNKELELDKFITHEVPLKDINTAFDLLQQGKSLRCTIWMDK* >Brasy2G329700.1.p pacid=40070645 transcript=Brasy2G329700.1 locus=Brasy2G329700 ID=Brasy2G329700.1.v1.1 annot-version=v1.1 MGDAKSSWPEVLRAPAEAAKQTILRDRPDVQVFIVPAGNSVTGDFNNKRVRVFVDSSNKVVKVPKIG* >Brasy2G342200.1.p pacid=40070646 transcript=Brasy2G342200.1 locus=Brasy2G342200 ID=Brasy2G342200.1.v1.1 annot-version=v1.1 MQSDGGDDDHGGSSAPTRFELQEDPSFWKDNNVQVVIRVRPLSSSEISLQGDKRCVRQDSCQSIAWTGHPESRFTFDLVADEHITQESLFKVAGVPMVENCIAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNVNCGMTPRVFEHLFLRIQKEKEIRRDEKLSFTCKCSFLEIYNEQILDLLNPNAINLQVREDVKKGIHVENLTEHEVSNAREAMQQLIEGAANRKVASTNMNRASSRSHSVFTCLIESKWESQGIKHHRFSHLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAMSNKKSQHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLEIQHLKKELSRLQGGGNSNGFICESPSAFKWDQAHGSFSPLMFDKRATQRKDCDTALVAAFKREQEKEAKLKAAIAAKQMAEQLASQRSEELRSFKMRLRFREERIKRLEQVASGKLSAESHLLQEKEDLVKEMDALRSQLERNPEITRFAMENLQLKEDLRRLQSVVDEGEREMMDEQINELEQRLLEALDWKLMNEKDPVNKDLSLFEESAGDEKNEFLRLQAIQNEREIESLRKNLSVCLEAKEKLERRVDQLTVELEAAKKCDDANKDFEAAQLQEQSVLLDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRAQLEVLVEDNKRLVELYEHAIVKIEVKQDGNYPSIPQTEDLNEQQSSHPSYGGNDVSHSLMDDQPEGATDFPVNTFGESEILDKKYCHDDELSRTEFSELQLQLEDMHEENDKLMGLYEKAMQERDELKRKMAEQSNPLTEDIQLYERDAGINEATTAEEFQGKHVHDSACVAFKEVMQLVRVKLENVQDNLVTAQDTVPFFKLLEMASIKAEELSARIQHCSLDTHQDRQHINALKSALSESQERRNALEGKFFLPAASCWDLHLKTKTLAGSKFDVSLELMNKKKEQLSNLQIRKNEISAARTKAHGSEIELRNKIDDLKLKYRSFEAQRKETEKVLFAIDNLETHKPVNFGKASELLKSEEERTNLLSELKKYREQLSMVQKEIKSMKCDDIDDKISCLESEIEDCLISLLEVDIEKFVRDYSLAEFWEGGQKYMASLLVDYQDCIFQVQLVEEEIRLCEESVRDHTTSLDELNPKLNQAMGNLGELLRERTSHGLDASMLHLSDKVKGDLDAIEIHVAEARQLLLIADQTDL* >Brasy2G342200.2.p pacid=40070647 transcript=Brasy2G342200.2 locus=Brasy2G342200 ID=Brasy2G342200.2.v1.1 annot-version=v1.1 MTAPGSRPASAAGGASLSLPLLAPSQSSGWHGEDDDNEGAANRKVASTNMNRASSRSHSVFTCLIESKWESQGIKHHRFSHLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAMSNKKSQHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLEIQHLKKELSRLQGGGNSNGFICESPSAFKWDQAHGSFSPLMFDKRATQRKDCDTALVAAFKREQEKEAKLKAAIAAKQMAEQLASQRSEELRSFKMRLRFREERIKRLEQVASGKLSAESHLLQEKEDLVKEMDALRSQLERNPEITRFAMENLQLKEDLRRLQSVVDEGEREMMDEQINELEQRLLEALDWKLMNEKDPVNKDLSLFEESAGDEKNEFLRLQAIQNEREIESLRKNLSVCLEAKEKLERRVDQLTVELEAAKKCDDANKDFEAAQLQEQSVLLDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRAQLEVLVEDNKRLVELYEHAIVKIEVKQDGNYPSIPQTEDLNEQQSSHPSYGGNDVSHSLMDDQPEGATDFPVNTFGESEILDKKYCHDDELSRTEFSELQLQLEDMHEENDKLMGLYEKAMQERDELKRKMAEQSNPLTEDIQLYERDAGINEATTAEEFQGKHVHDSACVAFKEVMQLVRVKLENVQDNLVTAQDTVPFFKLLEMASIKAEELSARIQHCSLDTHQDRQHINALKSALSESQERRNALEGKFFLPAASCWDLHLKTKTLAGSKFDVSLELMNKKKEQLSNLQIRKNEISAARTKAHGSEIELRNKIDDLKLKYRSFEAQRKETEKVLFAIDNLETHKPVNFGKASELLKSEEERTNLLSELKKYREQLSMVQKEIKSMKCDDIDDKISCLESEIEDCLISLLEVDIEKFVRDYSLAEFWEGGQKYMASLLVDYQDCIFQVQLVEEEIRLCEESVRDHTTSLDELNPKLNQAMGNLGELLRERTSHGLDASMLHLSDKVKGDLDAIEIHVAEARQLLLIADQTDL* >Brasy2G342200.3.p pacid=40070648 transcript=Brasy2G342200.3 locus=Brasy2G342200 ID=Brasy2G342200.3.v1.1 annot-version=v1.1 MNRASSRSHSVFTCLIESKWESQGIKHHRFSHLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAMSNKKSQHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLEIQHLKKELSRLQGGGNSNGFICESPSAFKWDQAHGSFSPLMFDKRATQRKDCDTALVAAFKREQEKEAKLKAAIAAKQMAEQLASQRSEELRSFKMRLRFREERIKRLEQVASGKLSAESHLLQEKEDLVKEMDALRSQLERNPEITRFAMENLQLKEDLRRLQSVVDEGEREMMDEQINELEQRLLEALDWKLMNEKDPVNKDLSLFEESAGDEKNEFLRLQAIQNEREIESLRKNLSVCLEAKEKLERRVDQLTVELEAAKKCDDANKDFEAAQLQEQSVLLDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRAQLEVLVEDNKRLVELYEHAIVKIEVKQDGNYPSIPQTEDLNEQQSSHPSYGGNDVSHSLMDDQPEGATDFPVNTFGESEILDKKYCHDDELSRTEFSELQLQLEDMHEENDKLMGLYEKAMQERDELKRKMAEQSNPLTEDIQLYERDAGINEATTAEEFQGKHVHDSACVAFKEVMQLVRVKLENVQDNLVTAQDTVPFFKLLEMASIKAEELSARIQHCSLDTHQDRQHINALKSALSESQERRNALEGKFFLPAASCWDLHLKTKTLAGSKFDVSLELMNKKKEQLSNLQIRKNEISAARTKAHGSEIELRNKIDDLKLKYRSFEAQRKETEKVLFAIDNLETHKPVNFGKASELLKSEEERTNLLSELKKYREQLSMVQKEIKSMKCDDIDDKISCLESEIEDCLISLLEVDIEKFVRDYSLAEFWEGGQKYMASLLVDYQDCIFQVQLVEEEIRLCEESVRDHTTSLDELNPKLNQAMGNLGELLRERTSHGLDASMLHLSDKVKGDLDAIEIHVAEARQLLLIADQTDL* >Brasy2G093600.1.p pacid=40070649 transcript=Brasy2G093600.1 locus=Brasy2G093600 ID=Brasy2G093600.1.v1.1 annot-version=v1.1 MPPSGWNPLDPVLRTVSAFSRRLLIAPDTAPDDLRLRPLLSLSLSPPSPPPPSPSPPPEVLKAKDAKVAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMALISRLYPCKECADHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKTIFPCQRVNARWGKLDCPERLCDLEGSNDIMPNR* >Brasy2G467000.1.p pacid=40070650 transcript=Brasy2G467000.1 locus=Brasy2G467000 ID=Brasy2G467000.1.v1.1 annot-version=v1.1 MELPSKEDTQPKPRLIVRLGVFLASHHILFSVLCCSAGIIALLFLPSLAKSTYLSENALIPGSANPLFSNEDVMEANKFIRGIEAVAGESRGGIGMPKFIAQQIKDLGAEVCYHEFLPHSKHFHPLKFFTSMTKDLPVEPNGTYTNYGTNTIGIIRAPRGDGKEAIVLVTPYNSQRAESNEVLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYTAVSAWLNQYHNPMFLGHPVMLDTKLFDVTHEPDGITEKAEFMDFKRAGTMAAALIFKVGETRNHGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIETINSLLSSAWLRVIAEVIQTLGSLLRKINPDWKLDIKAPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFDLRNENAKSSFIVRGGRLIEGVVRSVNNLLEKFHQSFFLYFLAAPSKFISVGVYMIPFALLLAPLPIVAAALADSKTKGKSLDGSKTKVSADKMQAEGGSWKWLQAARVLLVIQFWAVIVSLLPYYISQIPDGTPIQSAVIWVVLSIILLIILYTMFGSPYSTGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIVIPMCLFSRPLKAQVGKNSLPRAVLLAVNIFIAVVGFPPAALLIMKGVSKGSWTLDIGEFWASMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCCQAGPKVKRE* >Brasy2G267100.1.p pacid=40070651 transcript=Brasy2G267100.1 locus=Brasy2G267100 ID=Brasy2G267100.1.v1.1 annot-version=v1.1 MAHTGAATLGLTKPNAVEPLQVTFAAKDIESSDWKGDLLAVAVTENDLSRGPDSRFENAVLKKLDSRLGGLLSEASAEEDFAGKTGQAVVLRLQGQGFKRLGLIGLGRSAPSTAAACRAIGESVASAAKSAQAGSAAIVIASPGAIQEEFKLNAAAAIASGTVIGLHVDSRYRSEANNVHLKHVDLIGLGSGPELDRKLQHANYVSSGVIFGKDLVNSPANVLTPVVLAEEASKIASTYSDVFTATILDAERCKELKMGSYLGVAAASANPPRFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKVGAICSIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGMTIEVNNTDAEGRLTLADALVYACKQGVDKIIDLATLTGYCRIALGLSIAGIFTPSDELDKEVAAASEVSGEKFWRLPLEESYWESMKSSVADMVNTGTPQGGAITAALFLKKFVDEKVQWMHIDIAGPVWSHKNRSATGFGVSTMVEWVLKNSS* >Brasy2G267100.2.p pacid=40070652 transcript=Brasy2G267100.2 locus=Brasy2G267100 ID=Brasy2G267100.2.v1.1 annot-version=v1.1 MAHTGAATLGLTKPNAVEPLQVTFAAKDIESSDWKGDLLAVAVTENDLSRGPDSRFENAVLKKLDSRLGGLLSEASAEEDFAGKTGQAVVLRLQGQGFKRLGLIGLGRSAPSTAAACRAIGESVASAAKSAQAGSAAIVIASPGAIQEEFKLNAAAAIASGTVIGLHVDSRYRSEANNVHLKHVDLIGLGSGPELDRKLQHANYVSSGVIFGKDLVNSPANVLTPVVLAEEASKIASTYSDVFTATILDAERCKELKMGSYLGVAAASANPPRFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKVGAICSIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGMTIEVNNTDAEGRLTLADALVYACKQGVDKGFSHQVMNSTRKWLQHLRCQERNSGGCHWKKATGSR* >Brasy2G036300.1.p pacid=40070653 transcript=Brasy2G036300.1 locus=Brasy2G036300 ID=Brasy2G036300.1.v1.1 annot-version=v1.1 MSLMQEDLSQLSSKQHISLKEMRKLAESFSVLSGKVKQVAAPFTMKHSSNNRNDLLGPRDLDKCAVIDVSSTANMEDREIVELQRTVMKRQDECLDKLEETIASTKHIALAINEELDLHTKLIDDLDDRTEETTHQLQRAQKKLKSLNRRMRESGSCSCILLAVIAAVICVAVVWALIQF* >Brasy2G368000.1.p pacid=40070654 transcript=Brasy2G368000.1 locus=Brasy2G368000 ID=Brasy2G368000.1.v1.1 annot-version=v1.1 MATAAASQVAVSAPVGSNRGARSSRIQGGSNLSFTNRSWIGTTLASESKAAAQRRHASKVLCMSVQQASKSKVAVAPLHLESAKEPPLNTYKPKGPYTATIVSVERAVGPNAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGNPQNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQLTGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDEEFTSYLKQYPDNFRFDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQETLKKVAEQRGESWDQKLSQLKKNKQWHVEVY* >Brasy2G274700.1.p pacid=40070655 transcript=Brasy2G274700.1 locus=Brasy2G274700 ID=Brasy2G274700.1.v1.1 annot-version=v1.1 MRKNLGREEKPLSSLLQIGSLSSTEKNFSPRLRTPATRAAPLLLVNPPSLAAGRPPPALPSTAGPSVAVAPLPPPASATGAASNGASWTRKKGRRHLAWRPRRQPWRAAPPRRRRSLANGVGPHRAGRSLANDVGPHRAGRSSLIPQLDEGRWASLLRREEGDSRRPGATRRSGSSRCLPRPPHPRCESSSSLAKTMTARNLPEK* >Brasy2G148800.1.p pacid=40070656 transcript=Brasy2G148800.1 locus=Brasy2G148800 ID=Brasy2G148800.1.v1.1 annot-version=v1.1 MDAAASDGSSSEQRRLLTIPKEGERIIAPTRRPDGSLRKEIRIRAGYVPQDEVAIYQSKGALMRKSGPDVTPGYDPALDAKPKTKAAKRNERRKEKRHQQGSSTNDKGKSLDIEEADARETHTVLSSTNKQSDMVDSVAEKLSGVAISESPLVATPSTNATDNLQSESSAPEIDKKIRALKKKIRLAEAQVQGEPEKLKPDQLEKTKKIEGWREELKLLESRRVQSAS* >Brasy2G148800.2.p pacid=40070657 transcript=Brasy2G148800.2 locus=Brasy2G148800 ID=Brasy2G148800.2.v1.1 annot-version=v1.1 MDAAASDGSSSEQRRLLTIPKEGERIIAPTRRPDGSLRKEIRIRAGYVPQDEVAIYQSKGALMRKSGPDVTPGYDPALDAKPKTKAAKRNERRKEKRHQGSSTNDKGKSLDIEEADARETHTVLSSTNKQSDMVDSVAEKLSGVAISESPLVATPSTNATDNLQSESSAPEIDKKIRALKKKIRLAEAQVQGEPEKLKPDQLEKTKKIEGWREELKLLESRRVQSAS* >Brasy2G440800.1.p pacid=40070658 transcript=Brasy2G440800.1 locus=Brasy2G440800 ID=Brasy2G440800.1.v1.1 annot-version=v1.1 MSVPVAYQGNTSAAIADWLNKGDNAWQLTASTLVGLMSFPGLVVLYGGMAKKKWAVNSAFMAVYAFAAVWICWVTWAYGMSFGEELIPIWGKARPALDQGFLLGRAALPATAHYHADGVTLETAMIEPFFPMATVVYFQCVFAAITVILVAGSLLGRMSFTAWMIFVPLWLTFSYTVGAFSVWGGGFLFHWGVIDYCGGYVIHIPAGVAGFTAAYWVGPRARKDRERFPPNSILFTLTGAGLLWMGWAGFNGGGPYAANVDASIAVVNTNIATAASLLVWTCLDIAFFKKPSVVGAVQGMITGLVCITPGAGVVQGWAALVMGVLAGSIPWYTMMVLHKRSKLLQRVDDTLGVIHTHGVAGLLGGVLTGLFAEPTLCALFLPVANSRGAFYGGAAGGAQLGKQIAGALFVIGWNVVVTSIICVAIRLVVPLRMSEEKLEIGDDAVHGEEAYALWGDGELYDDSKHGGSAVAPV* >Brasy2G095200.1.p pacid=40070659 transcript=Brasy2G095200.1 locus=Brasy2G095200 ID=Brasy2G095200.1.v1.1 annot-version=v1.1 MNAAIVDPLQGDFPETIEEFLQHGNMKCIAFNRRGTLLAAGCANGSCIIWDFETRGLAREFRDKDCTAPITSVSWSKYGHRLLASATDRSLTLWNVETGEKISRITLQQTPLHACLHPGSSTPSVCLACPLSSAPILVDLNTGSTIVLPVSASNNGNVPASSSRGKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIVDSKSIQVHAVIPIPGGTVVKDIVFSRGGQYLLTNSNDRVIRVYENILPIKGSGKEIEKIITNNKNDYVSPYEKLKANGARCLVFSCEVSDAISKVQWKAPCFSGDAEWIVGASANKGEHRLHIWSRAGRLIKILEGPKEALIDLAWHPFDPSIASVSVAGLIYIWAKEHVENWSAFAPDFEELEENVEYVEKEDEFDINAYTEKAKKTGINEDADIDIETKEKNSSFSDLEDDSVDEIIYLPAIPSPDTPDEQPDKCLVSSSKLEDSNHSGSPSSMDAVQNGQTIPPASSPLEVDNSTAEEPAEAANSKRKRKLSAKGLEMQQAEKVKKPAVKISNGKSSKSKSKQVELPNGNSSPADVDIDDEATEDDEI* >Brasy2G413900.1.p pacid=40070660 transcript=Brasy2G413900.1 locus=Brasy2G413900 ID=Brasy2G413900.1.v1.1 annot-version=v1.1 MEAPEAAVAAAAPAVDGEDGRGPKGSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFEVHRTWTLDPLKHTFIVLDKELIEGEFASGNPHTEAMVGDVNIYMNDPDDLEIAEIEIMIAEQKSRGKGLGQEVILMMMAFAVDKYRTHTFRAKISDSNNASLKLFRKLGFKDASYSAAFKEVTLEASAAELPLSSPLTIGTW* >Brasy2G413900.2.p pacid=40070661 transcript=Brasy2G413900.2 locus=Brasy2G413900 ID=Brasy2G413900.2.v1.1 annot-version=v1.1 MEAPEAAVAAAAPAVDGEDGRGPKGSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFEVHRTWTLDPLMLDKELIEGEFASGNPHTEAMVGDVNIYMNDPDDLEIAEIEIMIAEQKSRGKGLGQEVILMMMAFAVDKYRTHTFRAKISDSNNASLKLFRKLGFKDASYSAAFKEVTLEASAAELPLSSPLTIGTW* >Brasy2G413900.3.p pacid=40070662 transcript=Brasy2G413900.3 locus=Brasy2G413900 ID=Brasy2G413900.3.v1.1 annot-version=v1.1 MEAPEAAVAAAAPAVDGEDGRGPKGSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFEVHRTWTLDPLKHTFIVLDKELIEGEFASGNPHTEAMVGDVNIYMNDPDDLEIAEIEIMIAEQKRASRMLPIVQLSRR* >Brasy2G273400.1.p pacid=40070663 transcript=Brasy2G273400.1 locus=Brasy2G273400 ID=Brasy2G273400.1.v1.1 annot-version=v1.1 MGQGDPPDSMKDFWKYLLRKHLGARWLEGLYYAVFGLGDSSYAKYNFPAKKLDQRLLGLGAERIIEKGLGDDQHPSGYEGALDPWLLSLWKYLNRTNPSLLPRISDAIHPNLNILGDAKVEVIYYSAPQDITISDSKLFIERARSMSPALKCHNEGEPQYMLQMVTNQRLTKGDSDRDVRHFELEDLCSPISYQVGDALGILPSQNPSAIDAFIKRCNLDPECYITIRAKGGDKVSKGSPMNSSMDPIKLKTFVALAMDVASASPRRYFFEIMSYFAKAEEKKKLQQLTSPEGRDSLYWYNQKENRSVLEVLVEFPSVQMPFEWLVQLTPPLKKRAFSISSSPLVHPNQIHLTVSIVSWRTPLKRTRHGLCSTWLAGLCPNKENIIPCWIHRGSLPRPRPSIPLVLIGPGTGCAPFRAFVEERAAQSVAEPTAPVLFFFGCRNEDSDFLYKDFWLNHAQDQGVLSHEKGGGFFVAFSRDQPQKVYVQDKIREQGARVFNMVCSEAAIYVAGSSTRMPADVTAALEEVFCQLGGVPEKDVSRWVMDMKRAGCIAFSGKGQNLQLAAASRYNPMRLYFGKSAYHHKQHMSFM* >Brasy2G229700.1.p pacid=40070664 transcript=Brasy2G229700.1 locus=Brasy2G229700 ID=Brasy2G229700.1.v1.1 annot-version=v1.1 MMAMVAPRPKSPPASPDPCGRHHLQLAVDTLHREIGFLEGEISSVEGVHAASKCCKEVDEFVGKNADPFITISSKKANTDQSRHLPKKFRARTCLSYLSWMCCCGGCPSIQLQGPTSCCSCGALGRLCGSCSTGEGCCRCRVGCGGGCCCCCCCRGSPCRSRTPSPRCSCGCTCSCPSCCSSSSCACPAPSCCRTPRCCYLCS* >Brasy2G372100.1.p pacid=40070665 transcript=Brasy2G372100.1 locus=Brasy2G372100 ID=Brasy2G372100.1.v1.1 annot-version=v1.1 MRMRCVCPSSRFAGVSFANFWSRRASPPRPNPRTRRFELRIRYRGGLARVGAICQSIVYVPYRKRKPALMAFWTLLW* >Brasy2G222500.1.p pacid=40070666 transcript=Brasy2G222500.1 locus=Brasy2G222500 ID=Brasy2G222500.1.v1.1 annot-version=v1.1 MTSDQGMDRYEVMRDIGSGNFGVAKLVRDVATKEHFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYASGGELFERICNAGRFSEDEGRFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYSIPDYVRVSTECIHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPVEMTDEYQRSMQLADLNTPSQSLEEVMAIIQEARKPGDSALRLAGQVACLGSMDLDDIDFDDIDDIDVENSGDFVCPL* >Brasy2G176500.1.p pacid=40070667 transcript=Brasy2G176500.1 locus=Brasy2G176500 ID=Brasy2G176500.1.v1.1 annot-version=v1.1 MSASSTPVDASGEPIPTSSVLMAASKHIAVRCQPENMAFLNCKKKDPNPEKCLEKGRQVTRCVFNLLKDLHQKCPKEMDAYAGCMYYYTNEFDFCRKEQEAFEGAYPISE* >Brasy2G325200.1.p pacid=40070668 transcript=Brasy2G325200.1 locus=Brasy2G325200 ID=Brasy2G325200.1.v1.1 annot-version=v1.1 MATAPLGRKDLLDEARAPGFAGWVRGLRRRIHQHPELAFQEHRTSALVRAELDALGIAYAWPVAQTGVVATITGGGGVGPVFALRADMDALPIQEMIEWEFKSQEDGKMHACGHDAHVAMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGHAGGYHVLQEGVLDDVDAIFAVHIDPCLPVGTVGSRPGPFLAGSSRFRATITGKGGHGAVPHAAVDPVVAASSAVLSLQQLVAREIDPLQSAVVSVTFIKGGSAFNVIPESVALGGTCRSMTTQGLSYIMKRIREVIEGQAAVGRCAAAVDFMEEELRPYPATVNDEAVYAHAKSVTEGMLGEGNFRLCPQVMAAEDFGFYAEKIPAAFFSVGVRSGEDAEISGVHTPHLVIHEDALPVGAALHAAVAIEFLNKH* >Brasy2G063900.1.p pacid=40070669 transcript=Brasy2G063900.1 locus=Brasy2G063900 ID=Brasy2G063900.1.v1.1 annot-version=v1.1 MAADRSCVILALSDYRDETYTLHHIDVTPFFSGGPDDELEAMDGVPLPLGSARFAKPPTSSQHCSVVDFHLLGAGAGDVKVVSTDGERRTVIYDVASRAVRGGPMMRAIKSVPISAAVGDGLYVLDRMPLAGSHRRFEALRYDRLREDWFWHLLPLPPYARDPARPRVTAHTVGAGGRIWTSAENGVGTYSFDARRRSWRKEGGWSLPFVGKAEHVRDGLSLGFSSMNGRLCAVDLATATAESPPAVRGVWEEFRPPVEWFPRTSALVHLGSGKLCVFRFFGTDATDSRSRDRDPVAVITPIEVCDDDGAGGEINMSPCPSIDAATRPAPRIA* >Brasy2G003500.1.p pacid=40070670 transcript=Brasy2G003500.1 locus=Brasy2G003500 ID=Brasy2G003500.1.v1.1 annot-version=v1.1 MAPSSWPPAIAPRQLLPLFVAVVSLALAFIVVVQGQQNYSDRAAPFCSTIDNYTEGSQYWLNLINLMAKLPTDAINNGGFSSGAVGEAPDKVFGLLMCNVDRSWSECENCLRVAAGSVTKDCPNSREMKSAGRPECILRYSNQSFFSVADLDMNLYEWNTLELDAGDAYVMNGTRSRLMTRLRWEAANSPLRFANGTEPYKGGSQLMYGLVQCTRDLPVSECNRCLSYYTADQLPQLLPSYTGGALTGYSCYVRYELYPFNITTPPLNITTPPPPPSPRPDGREPSPPRPPPTKIGLAIGLSAGSASFVIVLGLMIWRRQRRRKNAKLLDDELAVEEDFEKGTGPKRFRYRELAIATDNFSDEKKLGEGGFGSVYRGFLKELKLEVAIKRVSKSSKQGRKEYISEVKIISQLRHRNLVQLIGWCHGGGELLLVYDLMPNGSLDTHLYSSENILSWPVRYRTILGLGSALLYLHQDREQCVLHRDIKPSNIMLDASFNAKLGDFGLARLVDHGRGPYTTGLAGTMGYMDPECIVTGRTSVESDVYSFGVVLLEIASGKRPAVARQESEHLIHLVQWVWDSWGGRRTLDAADVRLNMEFDEREMECVIVVGLWCAHPDRNLRPSIKQAVNVLRFESPLPSLPPKMPVATFKPALESFVSASQLTGGR* >Brasy2G051600.1.p pacid=40070671 transcript=Brasy2G051600.1 locus=Brasy2G051600 ID=Brasy2G051600.1.v1.1 annot-version=v1.1 MDVYGHELKPGQWTSTTIWVSHKGDGVTSSFNEIQVGWHIYPDHYGDSHPHFYTQWTRDGFEATGCFNMDCPGFIRANGAVVAPGDVIHPVSDVPSGRIQKITLRVLKRRLMGVLWLQQYPHGCGILSEVIVHLLSTKIKRSGVIPNGGQGRAASLTNLQLIDEDGRSIPIMSDLPKMITNEKCHSITPIDHAKCLYGGPGGCVK* >Brasy2G324500.1.p pacid=40070672 transcript=Brasy2G324500.1 locus=Brasy2G324500 ID=Brasy2G324500.1.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.5.p pacid=40070673 transcript=Brasy2G324500.5 locus=Brasy2G324500 ID=Brasy2G324500.5.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.10.p pacid=40070674 transcript=Brasy2G324500.10 locus=Brasy2G324500 ID=Brasy2G324500.10.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.9.p pacid=40070675 transcript=Brasy2G324500.9 locus=Brasy2G324500 ID=Brasy2G324500.9.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.6.p pacid=40070676 transcript=Brasy2G324500.6 locus=Brasy2G324500 ID=Brasy2G324500.6.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G324500.11.p pacid=40070677 transcript=Brasy2G324500.11 locus=Brasy2G324500 ID=Brasy2G324500.11.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G324500.2.p pacid=40070678 transcript=Brasy2G324500.2 locus=Brasy2G324500 ID=Brasy2G324500.2.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQNVGDQQTEVSSQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G324500.3.p pacid=40070679 transcript=Brasy2G324500.3 locus=Brasy2G324500 ID=Brasy2G324500.3.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.12.p pacid=40070680 transcript=Brasy2G324500.12 locus=Brasy2G324500 ID=Brasy2G324500.12.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.7.p pacid=40070681 transcript=Brasy2G324500.7 locus=Brasy2G324500 ID=Brasy2G324500.7.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGISGTMKIEQ* >Brasy2G324500.8.p pacid=40070682 transcript=Brasy2G324500.8 locus=Brasy2G324500 ID=Brasy2G324500.8.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G324500.4.p pacid=40070683 transcript=Brasy2G324500.4 locus=Brasy2G324500 ID=Brasy2G324500.4.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G324500.13.p pacid=40070684 transcript=Brasy2G324500.13 locus=Brasy2G324500 ID=Brasy2G324500.13.v1.1 annot-version=v1.1 MYSGQQSDQGPSVNGGTEFLDGNWNSVAMHQKMGYNSGPYGFQAYSMELEERPGPYRSSSGTFSQNIQLSLERSGVVKKRKGIDDCTSLLNPSASSRMQTERNSLEEENRKIAPKTQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHLAFYGAAPGLSTLIAQFNQGIMQPDMMCNASNHEGVVHGTFHDISTMNQMPEMWEALQNIPDMNFNPAVAADSSTNNAGTMKIEQ* >Brasy2G006200.1.p pacid=40070685 transcript=Brasy2G006200.1 locus=Brasy2G006200 ID=Brasy2G006200.1.v1.1 annot-version=v1.1 LGKLATLAGDEYKRLKGIRGEIESLSRELAAMDAFLEKMSEDPQDKVWMNAPVRELSYDAEDSLDGVAARPRPSPGGCMGKVKGLVGRTKDRHRIAKAIEDLKTQAVEVSQRNARYNRPGPPAAAAATAPMEPRQVDPRALAIFEDASKLVGVEEPKKEVIQLLADEGESTQQQQQPLKVVAIVGSGGLGKTTLANRVYQELKRGFDCHAFLSVSQNPDMASVVSNILSQLDKGYSAAPKEHLPQLITKVREFLADKRYFIVVDDIWKVETWDVINHACRSSFIGHIYNIRPLDMVHSRQLFYGRLFHSEEKCPLDLKEISSQILEKCAGLPLAIIAVAGLLAGKASKKDKWEQVLKDSIGRALRNASSSVDVMVNIISLKDHTIDKENLIRRWIGEGFIHKQAGYTAHESGEMCFNELINRSLIQPAEIDETFGHEVKTCRVHDTVHDFMVSKAIEENFVTIAGVPGVNPDPRNKVRRLSLQNGGEIPVGLVISSARSLHVFGRNAKIPALSESRLLRVLDYEDCSQLEDDHLAGIGNLLHLKYLRFKNASALRKLPEQPRRLSVGDGHAAVRNEVAAMQGLQVLEELNAYNQSSVLLKGLGKLKSLRNLGIILNNYDAGDRWGEKQKEMVSSIAELSKAGLETLHIQINEAADEIFEKDYWFPEADPPYGLRELAVDSAPLSKVPTWMASLVSLEKLRLPVYGIREEDVEIMGGLPGLRHLRIQWVGDDDDEEGLEMEAAMVIAMEAHPNHPTLVWTFDE* >Brasy2G075700.1.p pacid=40070686 transcript=Brasy2G075700.1 locus=Brasy2G075700 ID=Brasy2G075700.1.v1.1 annot-version=v1.1 MTFVPGLHYEDEVKVWASIFVSTASFCPPARRKRRHWHVLDSRHGLVLFYTPKTRHDFLVCDLITCKRWWINASPKSKCTDIMSADLDDHDEDENRTWNAMVFCAKEGCDHLDCRGHPFQVVFVGSYDKEGIILASVYSSETGEWSGTNSIAQPETIDKRGHSALVGNNVHFPCEERNRIVNYDMAEQELSVIDAPSEDESDIIVLMGAEDGMLLFTSVQNSRLCLLSMEAGPNRAEGWARSRVIELKPLLPLRALQGDISVVSFAEGVGVIFLSTVAGLFKIELTAGRSKKVHEGTSFDKVMPYTRFYTGGTG* >Brasy2G071000.1.p pacid=40070687 transcript=Brasy2G071000.1 locus=Brasy2G071000 ID=Brasy2G071000.1.v1.1 annot-version=v1.1 MARAALVAILLMQCCNVVLAARLLEGDGAWQQQAGIVQVLAKGGGVGGGGQASGCTNNPKHAPGAPCP* >Brasy2G348800.1.p pacid=40070688 transcript=Brasy2G348800.1 locus=Brasy2G348800 ID=Brasy2G348800.1.v1.1 annot-version=v1.1 MSQPVTPRRSTRSTASAPDSPCSPPKSRPKPPPRRQLLPDAAAAEPDDENTLDALLEALPGRRTQVTDLLRLLAPAPALPVMLHGSAAAGKTRALLLALRHLRPSPRLAYAALRSLPSPRALFASILSQLNPTPSSNSSRRRTPDKPSDFIAILRDALAGIVAQGEPVCLVFDNLEVVRGWDKGGQLLSLLLRLHDLLRLPQVVLVYVSSATPDAYYTMTGSVEPNYVYFPDYTVEEANDILLRGHPNPKLYSSFLSVVLKPLFRVTRRVDELAAALEPLFRQYCEPLGDLKVVPDEGIKRRLFEHLQPHLAVALNETFSVPMRALVGECKDGSSSGKGNAKRQFGGRDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDSRNRKRKSSQASTDRKDTMAEEMLMKGPGTFPLERLLAIFQCITSVSEDVLNEVECADSVIGGSGMNGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNVNEDLALKVARSVGFPLSKYMYRR* >Brasy2G047600.1.p pacid=40070689 transcript=Brasy2G047600.1 locus=Brasy2G047600 ID=Brasy2G047600.1.v1.1 annot-version=v1.1 MATISAALSISFLPSPTRFAVSTSFSPRVKVGTSPAPVLPSARASRFRCCAEPPSPEQETPAPAPPIPPPGEPPASPSSLWGVSTSTWSAGVAGLGFLETSYLSYLAQGLRGRRGSEAFCPVGGGGCGDVLDSDYSIVFVGLVAYGLVAALSLQENGKELLPGLDDLDIRLVLLLIATSLATASAYFLFILSTKFAGTSCLYCLSSALISFTLFSIRVKDFGLARIQKFVGLQLAVAVIVALALTNSYSSATTQLKGTGDFVLEPYETEITTESTPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGRETMEILDYGKKMAAECAVTGLEGFPTWFINGKVLSGDQELSVLAEASGSVSEAPEQS* >Brasy2G260100.1.p pacid=40070690 transcript=Brasy2G260100.1 locus=Brasy2G260100 ID=Brasy2G260100.1.v1.1 annot-version=v1.1 MAGAMVAGLHGGGRDRDRDRSSPGGGGAPQVDTGKYVRYTPEQVDALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYENGYMRTHLSNPSVATTDTSCESVVTSGQHQQQQNPAVPRRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLQIIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQATGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFLDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDAPSATRTLDLASTLEVGSGGTRAASDASSTSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIEMKNPPGSPEAHTLARWIGKSYRFHTGAELLCTDSQSADASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCSEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSEDDTPHCLAFMFVNWSFV* >Brasy2G260100.2.p pacid=40070691 transcript=Brasy2G260100.2 locus=Brasy2G260100 ID=Brasy2G260100.2.v1.1 annot-version=v1.1 MAGAMVAGLHGGGRDRDRDRSSPGGGGAPQVDTGKYVRYTPEQVDALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYENGYMRTHLSNPSVATTDTSCESVVTSGQHQQQQNPAVPRRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLQIIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQATGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFLDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDAPSATRTLDLASTLEVGSGGTRAASDASSTSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIEMKNPPGSPEAHTLARWIGKSYRFHTGAELLCTDSQSADASLKALWQHSDSIMCCSLKDISLEKILDDDGRKALCSEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSEDDTPHCLAFMFVNWSFV* >Brasy2G447200.1.p pacid=40070692 transcript=Brasy2G447200.1 locus=Brasy2G447200 ID=Brasy2G447200.1.v1.1 annot-version=v1.1 MAVPLLHALLVPHRPLLPPSSSRRGPSSSSSSSLALLLPRLARPARRGGAALVRPVAALGGGIGDVGELFGRVEAFLYTVADAAVAAAAATPEAEGGAKEAAGDWLSGITGSMETVLKVLKDGLSTLHIPYSYGFAIILLTVLIKGATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSAQIMQPSQSNDPSQQGAQAALKFLPLLIGYFALSVPSGLSLYWLTNNVLSSAQQVWLQKLGGAKNPVKEYIDKLARDESANVDKYEPAVKTEGLPKAGKSEASQVQKPSGPQRGERFRKLLEEESRRKRLEEQAEQTEEAGVEPGALDDGEQNSEHGTSESKDEQDSHENEPIISSSNGGLGHSNETIPNRNTEEETIQEPGDNHSSVSNPASSSDDKLRDQENGKDSV* >Brasy2G447200.2.p pacid=40070693 transcript=Brasy2G447200.2 locus=Brasy2G447200 ID=Brasy2G447200.2.v1.1 annot-version=v1.1 MAVPLLHALLVPHRPLLPPSSSRRGPSSSSSSSLALLLPRLARPARRGGAALVRPVAALGGGIGDVGELFGRVEAFLYTVADAAVAAAAATPEAEGGAKEAAGDWLSGITGSMETVLKVLKDGLSTLHIPYSYGFAIILLTVLIKGATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSAQIMQPSQSNDPSQQGAQAALKFLPLLIGYFALSVPSGLSLYWLTNNVLSSAQQVWLQKLGGAKNPVKEYIDKLARDESANVDKYEPAVKTEGLPKAGKSEASQVQKPSGPQRGERFRKLLEEESRRKRLEEQAEQTEEAGVEPGALDDGEQNSEHGTSESKDEQETIQEPGDNHSSVSNPASSSDDKLRDQENGKDSV* >Brasy2G447200.3.p pacid=40070694 transcript=Brasy2G447200.3 locus=Brasy2G447200 ID=Brasy2G447200.3.v1.1 annot-version=v1.1 METVLKVLKDGLSTLHIPYSYGFAIILLTVLIKGATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSAQIMQPSQSNDPSQQGAQAALKFLPLLIGYFALSVPSGLSLYWLTNNVLSSAQQVWLQKLGGAKNPVKEYIDKLARDESANVDKYEPAVKTEGLPKAGKSEASQVQKPSGPQRGERFRKLLEEESRRKRLEEQAEQTEEAGVEPGALDDGEQNSEHGTSESKDEQDSHENEPIISSSNGGLGHSNETIPNRNTEEETIQEPGDNHSSVSNPASSSDDKLRDQENGKDSV* >Brasy2G009700.1.p pacid=40070695 transcript=Brasy2G009700.1 locus=Brasy2G009700 ID=Brasy2G009700.1.v1.1 annot-version=v1.1 MASASEVESMMVKVALECGGDISASQEYILANSSFLGGDNDLSAAAHEKKKKKKKKGEEEEEEEEVFSTPPLTQQQDPITMCTLPFTPSQSQSPATLSDKDDDDDLPLVKPRRRPRVCVRKVRGARIRTPSPSPSPKQQQPQDVDPLYRAVLMIPNTTTAQQNPLEDFLALARQRGLF* >Brasy2G464900.1.p pacid=40070696 transcript=Brasy2G464900.1 locus=Brasy2G464900 ID=Brasy2G464900.1.v1.1 annot-version=v1.1 MSSPNNSISEALSQTTPVFSLRVWVLIAIGIGILMAILFIMVLWLSIRRKKKTGKGLDNTSQTEIPIVSKEINIDRVDAQSMNVSEVSFMPVHDKYTQMKGVPPLAETRSVDVDAFSQCSSLYNIEKAGSSYSEDYNSSGPKRAGSSPYGHASASPLVGLPELSHLGWGHWFTLRDLELATNRFAKSNILGEGGYGVVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMTQHGILSWESRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDNEFSSKVSDFGLAKLLDSDASHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYSKPADEANLVEWLKMMVSNKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQKAYHEDLKKHSQMGSIDIESQQSLEELSNSADV* >Brasy2G314000.1.p pacid=40070697 transcript=Brasy2G314000.1 locus=Brasy2G314000 ID=Brasy2G314000.1.v1.1 annot-version=v1.1 MARCARALLLLLLLACALVRSSHCSRSPPPGEPQKPGVVVVPSHSPVAHGGADDDEPRGGETATTGQVRLVEKEAAGANAGYTARQHRHSATQRAPLRRMMLLSSRLARGLVLGGDAEDSAAAGASCRSNNAHITCAPPAPH* >Brasy2G028000.1.p pacid=40070698 transcript=Brasy2G028000.1 locus=Brasy2G028000 ID=Brasy2G028000.1.v1.1 annot-version=v1.1 MAGGGAGGGADPFARAIAGLAAASGAARGRGSGGPVRARASRPARPPVFLPRRIFRRRQRGAKLVDLDEDEDGGGGGAVPGDGGGGAVPGDGGGGAVPGDGGDGAVAGDGAGQVVVQDGVSAGAGHVTEKSCK* >Brasy2G222700.1.p pacid=40070699 transcript=Brasy2G222700.1 locus=Brasy2G222700 ID=Brasy2G222700.1.v1.1 annot-version=v1.1 MAAEPKAPTLAEEYSLPPQEVPAEKEAEEKPAIATETEAAPETNDGAPPAETTTAVEDKSEPSVQDSADKAEAEESNPAAEETSETAGEEEPEEKAEIKIETAPADFRFPTTNQSRHCFTRYVEYHRCVAAKGEDASECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL* >Brasy2G363800.1.p pacid=40070700 transcript=Brasy2G363800.1 locus=Brasy2G363800 ID=Brasy2G363800.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASACFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDMPPRGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDDEEEEEGVAE* >Brasy2G363800.2.p pacid=40070701 transcript=Brasy2G363800.2 locus=Brasy2G363800 ID=Brasy2G363800.2.v1.1 annot-version=v1.1 MDVVLAFMRGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASACFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDMPPRGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDDEEEEEGVAE* >Brasy2G448000.1.p pacid=40070702 transcript=Brasy2G448000.1 locus=Brasy2G448000 ID=Brasy2G448000.1.v1.1 annot-version=v1.1 MLLLGCNFPFPHNKAIGWDIWGVWLLLDKAFTTMERTNFLVNGMLSSLVKLLAEQASFRSKGNIFLCLYGPVDAILFSLLSWIYRIILKPTTNFLTLILSSFFGDPTAVDILAARVAHTIVLPAPPSPSSPTRIARRLRPLSLTGEVNAPRFHAHVVY* >Brasy2G351400.1.p pacid=40070703 transcript=Brasy2G351400.1 locus=Brasy2G351400 ID=Brasy2G351400.1.v1.1 annot-version=v1.1 MEDHEGMAAAAVIREYDPATDRAGTEAVDRECEVGPGGGMSLHADLLGDPLARVRHSPAYLMLVAEARGQIVGLIRGTVKSVATGASSPAVASVGYILGLRVSPSHRRMGVALRLVRRMEQWFEQTGAEYAYMATDKSNEPSLRLFTGRCGYSKFRTPSLLVQPVHAHRLRSPRGATVHRLAPRDAERLYRCHFANVEFFPADIGAVLGNPLSLGTFVSIVDDEGYEWRGVEQFLASPPSSWAVASLWDCGGAFRLEVRGASRARRAAAAASRALDARAKWMRVPSVPDFFRPFAAWFVYGLGGGGGEGGARAAEALFVAFVNMARGRAAAVAVEVATCDPLRRRIPHWRSLSCEEDLWCMKRLGGGGDVDGWDWARSAPGQSIFVDPREA* >Brasy2G283800.1.p pacid=40070704 transcript=Brasy2G283800.1 locus=Brasy2G283800 ID=Brasy2G283800.1.v1.1 annot-version=v1.1 MTTSEGSNAFQSFAPQSNNGFRFGTNTELAPIEDPACAAASPATGEASDGVFKERRLKRKISNRESARRSRARKQRHLDDLRALAARLRHGNRELSARARAARGRVALVRLANAELRAEADALGRRLEAAARQALALGQLYAAAAHGTFEQTMASLMV* >Brasy2G222000.1.p pacid=40070705 transcript=Brasy2G222000.1 locus=Brasy2G222000 ID=Brasy2G222000.1.v1.1 annot-version=v1.1 MALATNHAAAAISSGAAAPPPRRAPSFLLLKRRTIRAVHAAEPSKSAAAAPAAAKTSSPSVAPEKSAIPAPKPAAPAVPAKWTVDSWRAKKALQLPEYPNPAELESALKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSDSFEVRDGVKLPSYRGDNINGDAFNEKSRIPDPQRMIRAYTQSAATLNLLRAFAMGGYAAMQRVTQWNLDFTENSEQGDRYRELAHRVDEALGFMSAAGLTLDHPVMSSTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPADLVKLIDILNPTNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHAGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAEKLRKRRIRSSKLNSVLPLPTYGF* >Brasy2G494200.1.p pacid=40070706 transcript=Brasy2G494200.1 locus=Brasy2G494200 ID=Brasy2G494200.1.v1.1 annot-version=v1.1 MREVTARVEPRRRLPKLEPKPVRPAAATPAYTGRHHGQLQEAPELAGPRRQAPDLAGPAALRAGEAETARGLAGPPAAKACPFEGPVGIAPHHVTITGKHSPPPPTTRGNERKPPNWGAGLCDARSGRRPARSGWTWSLRHHTPERGPHAPAADRDKACRERRPPPPSSFACRRPLAAARGYRKCGGKGDGALGAPLRERCGDFFFLDQL* >Brasy2G095900.1.p pacid=40070707 transcript=Brasy2G095900.1 locus=Brasy2G095900 ID=Brasy2G095900.1.v1.1 annot-version=v1.1 MAEMVSSAIVGEAVSLIFSGITTSKDSDKIDEGAGSGLERLEMAHMEAVLETSNKWQITDTPLLHWRKKLKRASQDCEDAARRCRQHSQEEDDRKQMVRQSSFPRRIAHTTKAFISSLVGRNNDHCADNIVVVRRFERLADGATEFMRFLCPRGRHQLFTIWPMAFEERGLEAMLCFIYEDCKVPKNSYSLRLMMRISESTDIIGTIVNCLRLATPHFKSMADIAIKEITQLPTQDFSCFRLEVVNANAEHYWKEMNTTFVGWCRPDPLCCQGYEQNILSSCRGGESNNGNKLRLSSILPEPVSQMSLQCQFSLSEYTNLQGSSTTYDVSSFENYPPLKLDILFMPHDSLEESNSTCEDSLAEAIDGEKQHLTHLNVHPNQLDEMLLPKAIDYLYHNADATMYEISWRSNHGSAHLCVDKTSVQIMSGALTTSTRQGWNKSSDVHREMVQELMKNVEWKEVARECLKRWVFRSSERLWSMFTAWLKQ* >Brasy2G286400.1.p pacid=40070708 transcript=Brasy2G286400.1 locus=Brasy2G286400 ID=Brasy2G286400.1.v1.1 annot-version=v1.1 MVSAGGGQKVATMQFASHVLRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLSVSGRTARPPVWLMCVYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGAGDDGASLVLLMAWLPAAISLVFIPTIRIMPRAFGSSQETSGRERKAFFYFLYASIVLAVYLLVMNVVELEVPGFPKPAFYVTATVLLLLIFFPLVIVVQQELKTYLQPPPPVNLTITVEDDPKTPAAAPAAAESPTSASCFQDVLRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPQRSISTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLALTLVLLLACVGHLLIALGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGGFYDREALRQGGKRGKDLTCIGVRCFRESFYIIAAVTLLGAGVSLLLAWRTRKFYRGDLYGKFKEVGMVVGVEGPGSAAGVTTNKDATSGSTGQAPLDANSSKIGSTKE* >Brasy2G373700.1.p pacid=40070709 transcript=Brasy2G373700.1 locus=Brasy2G373700 ID=Brasy2G373700.1.v1.1 annot-version=v1.1 MGSGASRLLTACTCSRPAPASVDAEPCLDDALGHSFCYASAAAYSSSFRHGISGAALSANSSVPVPLYLSDSAAGAANMPPNYSSAFQTSSSFSSAPLQLSNLNSGPLFLSGPIDRGAQLSGPLDAAVPFSGPLPAKPAKHASSSSRGLSRRFRKPLFGSLRRSVSEKHRPLSAPLPRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEAERDSKRLWQFLADGDDDDSELDFSGSGRFALSLARLKERRFSMWAQAAAVGDDEINREWGPKKLAAAPAVRDHGAVLGALTRALAATEAAYLDMTDQSMGSHPELAVTGACLLVALMRDDDVYVMNLGDSRAIVAQRVDDDHGCSLGTMRTDDAGLGLEIESRPVGFAMIGPEALQLSIDHSTSIEEEVQRIKREHPDDDHCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNEYIGDAPYISCIPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVVSLEGRIWKSSGTYV* >Brasy2G382100.1.p pacid=40070710 transcript=Brasy2G382100.1 locus=Brasy2G382100 ID=Brasy2G382100.1.v1.1 annot-version=v1.1 MGSLGKPAAAGETVVLAVNGARHEAAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLVGSVNRCSVTTSEGIGNTRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKPKPGAEGEPAAAPPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGCADVAKLPEYSAGSVCTFPEFLKSEIKSSAVDQNTSDVSAPAAITGEDGGWYHPNSIGQLHSLFESNWFDKNSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGVEIGAAVSIAKAIEVFSDGTPVFSKIADHLSKVASPFVRNTATIGGNLIMAQRLEFASDIATVLLAAGSTVTIQTASKMLCLTLEEFLEQPPCDVRTILLSVFVPDWSSDNVTFETSRAAPRPFGNAVSYVNSAFLARTSGDAASGGLLIEDICLAFGAYGVDHARRARKVEEFLKGKSVSAPVILEAIRLLKDAISPSEGTTHPEYRVSLAVSFLFSFLSSLANNLNEPSKDSSIPNGNGSCANGKMNGIAENLPEKQADVASDNLPIRSRQEMVFSDEYNPVGKPLAKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFKSSLASEKVITVISAKDIPSGGANVGSSFPMLGDEALFGDPVSEFAGQNIGIVIAETQKYAYMAAKQAVIEYSTENLEPPILTIEDAIKHNSYFHTPPFLAPKQIGDFDKGMSEADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQIPEVTQNVIAKCLGIPCHNVRVITRRVGGGFGGKAMKGCHVACAVAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGTLTALHMDLGMNAGISPDVSPMLPSAIIGALKKYNWGALSFDVKVCKTNVSSKSAMRSPGDVQGSFIAEAIIEHVASTLGADTNSVRKKNLHGIDSLRVFYGDAAGDPQTYSLVDIFDKLAASPEYKQRAAAVESFNGGSWWKKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMAAFGLGPLCTDGEGLLDKVRIIQADSLSMVQGGFTGGSTTSETSCEAVRQSCSELVKRLMPIKENLAATSGTAPSWSALITQATMASVNLAAHAYWKPDPAFVNYINYGAAVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVINDGTWTYKIPTVDTIPKQLNVELINSAPDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFEVDSPLTFQMDVPATMATVKELCGLDVVERHLESLSAAAKA* >Brasy2G117600.1.p pacid=40070711 transcript=Brasy2G117600.1 locus=Brasy2G117600 ID=Brasy2G117600.1.v1.1 annot-version=v1.1 MSDLSGFGMRRQPTAAEVVGRLKDDGDFDALRHAIVRRVKDNEVLRNNIITEVKQSMVLNEDGSEKFKLKELSDAIFQDIGSKIMGQISDEAWSVIQSKETDIRGAVEGVFNRIMNPELQQDTAPSSKRLKKNGKGEQVSPAKASTTVAVQLEDDDPEEPPGFGFSDHKVIVKQDQPSNGENHPQVKPNQCEPVEAGSLADGDDEDPDVPPGFG* >Brasy2G228600.1.p pacid=40070712 transcript=Brasy2G228600.1 locus=Brasy2G228600 ID=Brasy2G228600.1.v1.1 annot-version=v1.1 MAAASTTDGGVGAILTAGERDYLVRNSGEQVKISSIEAGTVALYFSASWCSPCRRFTPKLIEAYKELASQGKSFEVVFVSGDQDEEAFNAYFAKMPWLAVPFTDSEGRKSLDERFQVRGIPHLVILDAKTGKVCTEDGVEFVSKYGIDAYPFTPERINELKEQEKAAKDNQTIHSVLSTPTRDYLISNKGDKVPISDLEGKYVGLCFVVSGYGPVEEFTTVLAKIYGKLKEVGKKFEVVAVSMDSDEASFNESFQNMPWLAIPQGDKMCQRLVSYFELKDLPTLVLIGPDGKTLNSNIADIIEENGVESWEGFPFNAEKLEILAEKARAKAESQTLQSLLVTGDLDFVIGKDGAQVPVSQLVGKTVLLYFSAQWCGPCRAFLPTLVDVYNKIKEKNSDFEIVFISSDRNQSSFDDFFSGMPWLALPLEDERKAYLKKMFKIRGIPSLVAIGPSGKTVNANAKAPLAVHGADAFPFTEEKIQELEKNIDELAKGWPEKLKHELHEEHELVLTRHRRPFGCDGCDEMGNSWSYYCAECDFYLHTSCALGEKKKDEEEKGHDAEAAPAGYVCEGDVCRKA* >Brasy2G377200.1.p pacid=40070713 transcript=Brasy2G377200.1 locus=Brasy2G377200 ID=Brasy2G377200.1.v1.1 annot-version=v1.1 MHRDPNPFDEGADENPFSNGGGRGAAAGGGKSKFQFRPAEPVGFGGGGNGDAAVDIPLDNMNDSNGKAGELSQWQADLKRREADIKRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQRLQYLAFASWLGIVLCLFWNFIAVTICWIRGGDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIGFCIIAAIAPPIVFRGKSLTGILAAIDTFSDHALVGIFYFVGFALFSLETVVSIWVLQRVYMYFRGHK* >Brasy2G039900.1.p pacid=40070714 transcript=Brasy2G039900.1 locus=Brasy2G039900 ID=Brasy2G039900.1.v1.1 annot-version=v1.1 MAAPAMPRPSLIRPRSQLGSVGLASDHSVAATASSRRRRDFVFVVNPSGANGRTGKQWKQLLPHLRSRLADQCNICECVTSGPFHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHLTTLGLIPLGTGSDFARTFGWSNDPRQAIDRIVRGVKSKLDIGMMEGPNGDPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVLGALRGFWGHNNRDLRIKVNEGDWTTVDKATALCIGNAKFFGGGMKITPTADPFSGDLEVVILQNFKWYDFLLKLHRLYAGTHLPVNGVSSMRVQSIEVAEVVPNGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC* >Brasy2G425500.1.p pacid=40070715 transcript=Brasy2G425500.1 locus=Brasy2G425500 ID=Brasy2G425500.1.v1.1 annot-version=v1.1 MATQRLFSVFLFFLLLAAAKGTPSAIISKTCARASNFSTYGGYDYCVGVLTADPAAAAANSTQTLAIVATKLALDNVTSTSITHCADNYGEMNHTVTTAVEDIRAGHAEAAAGKLSGAAGEPNECDSRLSKGSAKKNPMTKENHDAASLSYTAYGITMEALHAKLLATTEAPSATITKACAGLSNFTTHADYDFCVGALAADLAAGAAMDAHQLAVVTANLTAANVSSTLLVLHDLLHSLSFCLRNYEEMSKTLPAAAYYIGTGHADAASQLLLDASNKPDLNCDLLLFQGSAKKNPMMKENDDASHLIHLAYAIAAS* >Brasy2G079500.1.p pacid=40070716 transcript=Brasy2G079500.1 locus=Brasy2G079500 ID=Brasy2G079500.1.v1.1 annot-version=v1.1 MDDGGLGKPLLGPENFSTQDIDLGNLPLEDVFEQLGTSRGGLSSSDAAERLQLFGPNRLEEKRENKVLKFMSFMWNPLSWVMEAAAVMALVLANGGSQGPDWEDFVGIVCLLIINSTISFVEENNAGNAAASLMSRLAPRTKVRSSKQCKWLEVMVYFDLAAFIQVLRDGQWQELDASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGIRSFFGKAAHLVLTCIGNFCVCSIAVGVIVEVIIMFAIQHRSYREGINNVLVLLIGGIPIAMPTVLSVTLAGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIKVFSGGMDRDMIILLAARASRVDNQDAIDMAIINMLPDPKEARANITEVHFLPFNPVDKRTAITYIDSDGNWFRVSKGAPEQILNLCYNKDDIAQKVQLVVDGFAERGLRSLAVAYQEVPEKSRHGHGGPWVFCGLLPLFDPPRHDSADTIRKALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSAALFGRRDEAVPVEELVESADGFAGVFPEHKYEIVRILQAERRHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLGVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMAISKDRVKPSRSPDSWKLKEIFATGVVIGTYLALVTVLFYWAVTETTFFESHFNVRSLKRDTDEEEVSSAVYLQVSITSQALIFVTRSRGLSFLDRPGALLLCAFVAAQLAATLVAVYATVAFASIAAVGWRWAGVVWLYSLVSYAPLDLIKVAVRYALSGDAWNLLFHRKAAFTGRRDYGHGEEEREARRAFSRRALSDHLLSSGMPPSSLIAEQAKRRAEIARLGETHALRAHVESVMKLKRVGSHVVRSAQSV* >Brasy2G079400.1.p pacid=40070717 transcript=Brasy2G079400.1 locus=Brasy2G079400 ID=Brasy2G079400.1.v1.1 annot-version=v1.1 MGRRRRGGGMLDAPWLPLLLLVCCAWPQKQILVAADTNANDVTVLNTLFTSLNSPGQLKGWQANGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSVVEIDMSQNNLGGGQAIQYNLPTDKLERLNLAGNQFTGNLPYSIFSMSKLKYLNLNHNQLQGKMTDVFSNLDSLTTVDLSFNSLTGDLPDSFTALSSLKTLYLQNNQFSGSINVLANLPLTDLNIANNRFTGWIPSQLKKINSLQTDGNSWTSGTAPPPPPYTAPPANRRKSPGQKNDGSSSSGGKSGIGGGGVAGIIISLLVVGAVVAFFVIRKRKRKATMEEHFEQHQPFTSFPSNQVKDVKPFEESATIDVESLASPASASLKLPPKIERHKSFDDDDFSNKPVPKKSNAKPIKATVYSVADLQIATDSFSMDNLVGEGTFGRVYRSQFNDGKVLAVKKLDSTVMPFHSSDDFVELVSNISKLHHPNLNELEGYCMEHGQHLLVYHFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEVCSPSIIHKNFKSSNILLDSEFNPHLSDAGLASFIPDAEFQAAEQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRSRSEQSLVRWASPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSGRGDDQDQDFI* >Brasy2G355500.1.p pacid=40070718 transcript=Brasy2G355500.1 locus=Brasy2G355500 ID=Brasy2G355500.1.v1.1 annot-version=v1.1 MKSTQALDMLPYSASTCLVARIRFFSSFARASTMSRIAGPPGCATQNTEFQSSIPSGPSAPSSASSMCLEIATGTSRCRWKVSPTSLRCPSMNPSRAWPTKESRWC* >Brasy2G492300.1.p pacid=40070719 transcript=Brasy2G492300.1 locus=Brasy2G492300 ID=Brasy2G492300.1.v1.1 annot-version=v1.1 MESMEPKDIDWSRVVSRYVRDEIYEGIEAPHWVDLDDPGAGATVDDDAWFCRPDCRHPKTAEDFLRLTPSPKGKLLRSVSAMLPFGERDTNLRDGNNNLKRRGAVGGGTAAFASPTKPKAAPKKKFQDDSENQDPALATPPPAASRPPFGAPRWAKNAKEAIKSSAEKRPDNADKESLLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAGGVSSSQPAAEAMEEMSPSPINGSDVVEKVDRSSGGSSRVPLDAVKKVPRQETVEKSPSPMKGKKIGLKVEAGKQRSPSVLKEVKATPPTPQRFPSPSANRVKNVKAGGIATSSPLKKSLKDKVTPNKDQENSSDAKRQPFGIKNMNSTRACDSEESSSGMFWFLKPCTFLVE* >Brasy2G345400.1.p pacid=40070720 transcript=Brasy2G345400.1 locus=Brasy2G345400 ID=Brasy2G345400.1.v1.1 annot-version=v1.1 MEAAPDTSKDRGSPASGARQEAPVAGNIEYTQTDAQGGLCGTAISKSENNHEVAILTRGHSVQKGGCRLEAQLGDSLVPNTSKQDFNSNESKKRNFQPENMEVQVSTASSSSHARENSVVEIEDARSKFFKKSDNEDPPCEDVKNDGLEETTEELGENENTSSSLKDEKKNVKNTGFRKKKLLILDLNGLLADINEDYHNAHMADAKVQRKLVFRRPYCADFLNFCIQNFELGIWSSRKRKNVDSVVDILMRDLKPYLLFSWDRSKCTFTGRKTLENVHKPIVLKELRKLWNKEEPGLPWDEGDFSPSNTLLVDDSPYKALRNPPNTAIFPHPFNYLNWNDNSLGPGGDLRVYLQNLIFADDVECYVRNHPFGQPFITQSDPHWNFYAEIAGEGYGSLTCRA* >Brasy2G080900.1.p pacid=40070721 transcript=Brasy2G080900.1 locus=Brasy2G080900 ID=Brasy2G080900.1.v1.1 annot-version=v1.1 MKGSCNISIGLRAFGLRTFPVLGPNIGRVQFQVPTIVSTLQPAIICCSSSSSKTLAPLLFPGEQEAIPRFTPRMVDAWWPLLAAAVPAIVAGQAIRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM* >Brasy2G080900.2.p pacid=40070722 transcript=Brasy2G080900.2 locus=Brasy2G080900 ID=Brasy2G080900.2.v1.1 annot-version=v1.1 MKGSCNISIGLRAFGLRTFPVLGPNIGRVQFQVPTIVSTLQPAIICCSSSSSKTLAPLLFPGEQEAIPRFTPRMVDAWWPLLAAAVPAIVAGQAIRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLM* >Brasy2G373800.1.p pacid=40070723 transcript=Brasy2G373800.1 locus=Brasy2G373800 ID=Brasy2G373800.1.v1.1 annot-version=v1.1 METEEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEQWLFSYGRTTCPATMQPLASLDLTPNHTLTRVISSWLDRGRCSPSSSSTSPSTSSLSSPAHGPPNDEDTPLSRMLEEERLRSAVSELEETPFKVTALRGMARRVSGDAAMQRVFVASGGVQAVGRVMSQALAESGRGDFSAFAACEEAAAVLAALLPLISESDDADEPLALLLGAPECVRPVMALLQRGGAEARLHAMDILTTISNYSSSSNNANAVDWTAGVDVLKPLLELLSDEVSARLSSRALDVLLCVVSRSSATSARAKAVEVGAVRVLVELLVVDDHAAEHHRHVAERILLLLKRLCKCPGGRLAFAEHGLAVAAVARTMLRVSDLATRLAVKVLWLVSVAAPPSPALEKVLEDMVLTGAVGKLLGLLHVESAPSTKQKTVRMVRIHGVFWRRYPCFPTDLRDYLRLLD* >Brasy2G449300.1.p pacid=40070724 transcript=Brasy2G449300.1 locus=Brasy2G449300 ID=Brasy2G449300.1.v1.1 annot-version=v1.1 MLASSSPSAVAPMAAALCLPRRCSPSSALLPRRARLLASAATLRRAVRRAGRVARCSAAPPESPAGTTTLESPARRKCSPLLESALLPGGNDLAVYEWKAVPDIWRTAAEKYADRVALVDPYHDPPSELTYKQLEQQILDFSHGLRAVGVTPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEKLFQIYTHSESPQFFNRLAESFTLRINARFVVLLWGDKSSLNSKAVKDIPVYDYNDITQLGRENRNAVHNSHEQGQQGVFEAITPEDVATLIYTRGTAGTPKGVMLTHRNLLHQINNLWDIVPAVPGYRFLSMLPPWHAYERSTEYFIFTCGIQQVYTAVKYLKEDLQRYQPHYVISVPLVYETLYSSIQRQISSSSTARKTLALALIKIRLQYMEAKKIYEGTVLSNNPVEPSHIVYMVNCLWARIVVALLWPFHNLAKLLVYKKIHSSIGISKAGISGGGSLPMHVDKFFKAIGIKVQNGYGLTETSPVVAARRPFCNHK* >Brasy2G280600.1.p pacid=40070725 transcript=Brasy2G280600.1 locus=Brasy2G280600 ID=Brasy2G280600.1.v1.1 annot-version=v1.1 MPSLLKDRTSGRFGRLIAGLRPGRAGPLPVQTGFPTSLADLFVKNHGRLKKTSSYASAASKRKKRGGVSPLPSSPSPSRSPPTPSSPSPPVAVPPSTQPRPDFPRVESVRHTRGDGASGLGLGFLALAGVVSLALLVIWSRTVVAAVTVAAFSLFLLESVRPSSPHRRARPPAATQELDSDGRGFVSPIREVEAAAAESEPPRRSCSDSDMGTDVPILAVEESSDAGGRDSCDWKPKPKQRSWKRLIPRKLQKGRKSKDAESSGSFRSESSETEALVLGGNAKATDSRRGRRSQADAEADAVTKPSRSSGRLDALDADARYSGGQIEAVDASADLAGLEEDVVGIRIPLVFVGVIVLVGLVGGTLPAVAFTVVVCSASVSWMQRLTRDGVDLKGGD* >Brasy2G365300.1.p pacid=40070726 transcript=Brasy2G365300.1 locus=Brasy2G365300 ID=Brasy2G365300.1.v1.1 annot-version=v1.1 MASASSFMAVALVMAVVVLLGGGGGGTCHAARLLADIPALPNPTLPTLPPGIPAVPALPYPTPTIPSVPTVPGVPALPYPTPIVPTVPTVPGVPALPTPTIPTVPTVPGLPTPTIPTVPTVPGVPGLPNPTIPTVPTVPAGVPGLPNPTIPTVPLPPLPSTPDLPKVPLPPMPSIPGIPKVPLPPLPSIPGVPVPSLLAPPPSTP* >Brasy2G428500.1.p pacid=40070727 transcript=Brasy2G428500.1 locus=Brasy2G428500 ID=Brasy2G428500.1.v1.1 annot-version=v1.1 MKPSAEPVTGIPVGGAPASAWSSGLFDCFDDCGLCCLTCWCPCITFGRVAEIVDRGATSCGTSGALYALLAAFTGCQWVYSCTYRAKMRAQYALPDAPCCDCCVHFCCEPCALCQQYKELKARGFDPDIGWHLNVERANGGAGAGVPPGVQHMGGR* >Brasy2G382800.1.p pacid=40070728 transcript=Brasy2G382800.1 locus=Brasy2G382800 ID=Brasy2G382800.1.v1.1 annot-version=v1.1 MYFTLVPSRKRQSVISTNQSIILSPTPVSSPSPRAAGDTSLHRRRPPPRRRLGPERAGYGAAASARDRLLLLGPDPRSTFFTASGLPRLRAGAARNEPTPCSLLCAAFVLAP* >Brasy2G404600.1.p pacid=40070729 transcript=Brasy2G404600.1 locus=Brasy2G404600 ID=Brasy2G404600.1.v1.1 annot-version=v1.1 MALISTRNAVVVAGIMLMAAVGSASAAEVSCGDAVRALIPCGSFLVGADAAAAPSESCCRGALALRGMASTTVARRELCRCLMQSGPSFGVLPDRARQLPARCNLGVAIPVGPETDCDKIP* >Brasy2G174600.1.p pacid=40070730 transcript=Brasy2G174600.1 locus=Brasy2G174600 ID=Brasy2G174600.1.v1.1 annot-version=v1.1 MPSPRLPSSCDSSHRLLLSFCSLTPPQTQQHKDLSAFLRVSSVSAAHSSHLDRGSRGQAHMEWTRGKCIGKGAFGTVHSAVDRATGRAFAVKSVDAAKQGGGAAASMAMACLESEIRILRRLSSPYVVAYLGDDATAASRNLHMELLPGGTAAEAAARSGLGERAARRVLRRVASALQYLHEVAGVVHGDVKGRNVLLGSGIDSTKLSDFGAARLVSEPAPRGPRGTPAWMAPEVARGGAPTPESDVWSLGCTAVELLTGKRPWSETGGGLEVGAMLLHIGFGGKRPEFPAAACVSDSCRDFLDKCLRRDAGERWSCEQLLGHPFLSADAYDACEPSPSPSPRAVLDWATSDSDSDASSEESDAPEAADVEHEVMARAKGRVAELASADSARTSCWVPELEDGPTWAADTWAPLSGLEISTNVAAVVARSPSNAATVADAGNGRSAGGPAVSSGGAVRGDVIDTGGGDCGVCRVHGRSWCEGHSGYHKCGGGVAQPSWLPLAVVSSVLVSCIVSRLIDSKIKQASSGELILPTLNFVCLFWLMSICFFFYF* >Brasy2G121700.1.p pacid=40070731 transcript=Brasy2G121700.1 locus=Brasy2G121700 ID=Brasy2G121700.1.v1.1 annot-version=v1.1 MSKRTPSRKLLHNDSSTPTARKIGVGVYY* >Brasy2G331900.1.p pacid=40070732 transcript=Brasy2G331900.1 locus=Brasy2G331900 ID=Brasy2G331900.1.v1.1 annot-version=v1.1 MSPWIGLFSASELALLLLPSAGPAPPSSDPLELLTDDEEDEPEEEGEGGVGDVLLDAESAADACYIASSGRDYTKSDAKDIDIEYMDRYCERMGAYSTVCDEIIARKDYGTPFPPFPLKVFPPATSACFKDGLCYHSVYKTHDTSTTPSTLGYRRPQRMLQFFSMCLSSSEPSYPISVYGILAVRDDLDKRRNYVFNCPRYAAVGTGKQDSFVLPLCSPCRGMYVLDQAFLEVDLWVKKEGDGSADKHILSAYAEIDVRAQVDDMFVGRIPGDDCNLDLGFKILAESVEAVIQVYAKVDHPHHIRFTAFTTAYDDEEIVLFDDKLFGNEKLLQHIVAVKKNANLDVLLRVDESQFQWTFQDESVGAIASPNDSILEYGQFFVRVFFAPKNSK* >Brasy2G305000.1.p pacid=40070733 transcript=Brasy2G305000.1 locus=Brasy2G305000 ID=Brasy2G305000.1.v1.1 annot-version=v1.1 MSASRPRTRPWADLSLDLLFDVSARLHEAADFIRFHAVCKPWRHSAEAAPLSPAPRRMLFMPWLLAPCEGRIQHSAVNIRRAVFLAAPRGNDNFVVSDDGTAAWLFTTTEPGLLDVITGAVTHPLPRFPAPADGMWPMEYPRGVVYGDGTVFMYEYCRDRKAITAAVLRPGGAAAWTLVQNRLDFPAAHNYSAAAYHDGKVLICSGRHFSCVLDLTRWDEREETIEYDCDFREARIGLGSYLLESRGELLLASVLMEPSSWHRGGHAHAGDGPGAGLSVSVHALEKADDGGGGKKMMRWVPRDGRSLGDRVLFLGSPVSFAAEAARIGMAGGGGCAYFVYSRRVFRHGLVDKETKLVKGPRCLAVGVPARCTCGSSRGLSLLPSRKSQKGRSTHAPNKNQQI* >Brasy2G460800.1.p pacid=40070734 transcript=Brasy2G460800.1 locus=Brasy2G460800 ID=Brasy2G460800.1.v1.1 annot-version=v1.1 MLQRDQEVQQPLTDFSREAQGGKALPFEQSQVSFCSFVGGMHMRFLTEVLEFSKVVSMHVSLITFVWQDIYGLINLDNLDSH* >Brasy2G336900.1.p pacid=40070735 transcript=Brasy2G336900.1 locus=Brasy2G336900 ID=Brasy2G336900.1.v1.1 annot-version=v1.1 MGSRGWDKIGVRRGVLAGPLTCPLCKGLLRDSHAFKECLHTFCRECIMQKIDEEEIEFCPVCNIFLGVAPEEKLRPDYKIQALRNKLFPPKRAEVDASTVPTVVETSRTTSVNNNGTKMASANKKQSANGEVPIRKGNTADGITTPVWFSLVTSPHQPEEKLLPQITNAYLKIKDGTMKVSSIKRYIMQKLKLASDDEVEILCHDITVCSSMTLKDLLGLWLSRQPTQEVQVPVGAPAKEFVMVLSYRRRLAA* >Brasy2G057900.1.p pacid=40070736 transcript=Brasy2G057900.1 locus=Brasy2G057900 ID=Brasy2G057900.1.v1.1 annot-version=v1.1 MDPIDSIAWTNSMDSVDSLEVKMRKNQYVVDKHRSSSNIWTSSRTQRGCGLVFSPCARRAAARFLAMRQGRGSGGASSRSEIRLGRGGFFHRFNVCVFNKRCTCVELSHTTL* >Brasy2G156500.1.p pacid=40070737 transcript=Brasy2G156500.1 locus=Brasy2G156500 ID=Brasy2G156500.1.v1.1 annot-version=v1.1 MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHQVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDVKEVDGKHIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEEDRIAQEIQKKREKDGRGTEVDKRKVMDGDTSNPRFYGDSLGSALNNPIVDAEKEKELDSKYSSRTKSREHQRSRSTSLSPRGRQRSVSPRRHSPSPSRHSLSFERRQRSSRRSISPRRSVSPRRHSPRNAPSASRRRSPYSRRSPSVPRSPSPRRRFHSRRISPPSGRRRSLSPHRHRSPARALRRSPSPARRRSPARHRFPADRHWSPSAGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRLRSPARRRSPSPPRSLQLRSPKRQRNSPISIKTLPANRRASPQRRRSTSPYSRSPNPPPRSLNRDNNGGTNGVPSKDGDMPQSPSKKTRKHLPNQDITDASGDEEEGSRARENARKANSSRRKSKDFSADLELNKVHDDNLSLGEKSPSRSQQDGKDIHRKHDNQLSDSSEDGGRGSGRMKRQFDSPDDSLVKQQPPSRAGMDDSYSKDETNNEHAIGSHGTVAEKNDSVKVDEASQSDGGSPLQKAKKSSNHIDYRSSGSEESEKHRSQSEKRRHKKSHKHKRHHDDSSDSDSESDDKESKRRRREEKRLRKEERRLRRDERHRRRADRHASKQKMKYVGTPPSDLEKDREPGSDADVRKKGSYTSREESDPKKLEVELRQRALESLSAKKLKHVVTPSPDEKDREPNSDADVRKEVPYTG* >Brasy2G156500.3.p pacid=40070738 transcript=Brasy2G156500.3 locus=Brasy2G156500 ID=Brasy2G156500.3.v1.1 annot-version=v1.1 MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHQVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDVKEVDGKHIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEEDRIAQEIQKKREKDGRGTEVDKRKVMDGDTSNPRFYGDSLGSALNNPIVDAEKEKELDSKYSSRTKSREHQRSRSTSLSPRGRQRSVSPRRHSPSPSRHSLSFERRQRSSRRSISPRRSVSPRRHSPRNAPSASRRRSPYSRRSPSVPRSPSPRRRFHSRRISPPSGRRRSLSPHRHRSPARALRRSPSPARRRSPARHRFPADRHWSPSAGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRLRSPARRRSPSPPRSLQLRSPKRQRNSPISIKTLPANRRASPQRRRSTSPYSRSPNPPPRSLNRDNNGGTNGVPSKDGDMPQSPSKKTRKHLPNQDITDASGDEEEGSRARENARKANSSRRKSKDFSADLELNKVHDDNLSLGEKSPSRSQQDGKDIHRKHDNQLSDSSEDGGRGSGRMKRQFDSPDDSLVKQQPPSRAGMDDSYSKDETNNEHAIGSHGTVAEKNDSVKVDEASQSDGGSPLQKAKKSSNHIDYRSSGSEESEKHRSQSEKRRHKKSHKHKRHHDDSSDSDSESDDKESKRRRREEKRLRKEERRLRRDERHRRRADRHASKQKMKYVGTPPSDLEKDREPGSDADVRKKGSYTSREESDPKKLEVELRQRALESLSAKKLKHVVTPSPDEKDREPNSDADVRKEVPYTG* >Brasy2G156500.2.p pacid=40070739 transcript=Brasy2G156500.2 locus=Brasy2G156500 ID=Brasy2G156500.2.v1.1 annot-version=v1.1 MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHQVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDVKEVDGKHIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEEDRIAQEIQKKREKDGRGTEVDKRKVMDGDTSNPRFYGDSLGSALNNPIVDAEKEKELDSKYSSRTKSREHQRSRSTSLSPRGRQRSVSPRRHSPSPSRHSLSFERRQRSSRRSISPRRSVSPRRHSPRNAPSASRRRSPYSRRSPSVPRSPSPRRRFHSRRISPPSGRRRSPARHRFPADRHWSPSAGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRLRSPARRRSPSPPRSLQLRSPKRQRNSPISIKTLPANRRASPQRRRSTSPYSRSPNPPPRSLNRDNNGGTNGVPSKDGDMPQSPSKKTRKHLPNQDITDASGDEEEGSRARENARKANSSRRKSKDFSADLELNKVHDDNLSLGEKSPSRSQQDGKDIHRKHDNQLSDSSEDGGRGSGRMKRQFDSPDDSLVKQQPPSRAGMDDSYSKDETNNEHAIGSHGTVAEKNDSVKVDEASQSDGGSPLQKAKKSSNHIDYRSSGSEESEKHRSQSEKRRHKKSHKHKRHHDDSSDSDSESDDKESKRRRREEKRLRKEERRLRRDERHRRRADRHASKQKMKYVGTPPSDLEKDREPGSDADVRKKGSYTSREESDPKKLEVELRQRALESLSAKKLKHVVTPSPDEKDREPNSDADVRKEVPYTG* >Brasy2G156500.4.p pacid=40070740 transcript=Brasy2G156500.4 locus=Brasy2G156500 ID=Brasy2G156500.4.v1.1 annot-version=v1.1 MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHQVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDVKEVDGKHIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEEDRIAQEIQKKREKDGRGTEVDKRKVMDGDTSNPRFYGDSLGSALNNPIVDAEKEKELDSKYSSRTKSREHQRSRSTSLSPRGRQRSVSPRRHSPSPSRHSLSFERRQRSSRRSISPRRSVSPRRHSPRNAPSASRRRSPYSRRSPSVPRSPSPRRRFHSRRISPPSGRRRSPARHRFPADRHWSPSAGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRLRSPARRRSPSPPRSLQLRSPKRQRNSPISIKTLPANRRASPQRRRSTSPYSRSPNPPPRSLNRDNNGGTNGVPSKDGDMPQSPSKKTRKHLPNQDITDASGDEEEGSRARENARKANSSRRKSKDFSADLELNKVHDDNLSLGEKSPSRSQQDGKDIHRKHDNQLSDSSEDGGRGSGRMKRQFDSPDDSLVKQQPPSRAGMDDSYSKDETNNEHAIGSHGTVAEKNDSVKVDEASQSDGGSPLQKAKKSSNHIDYRSSGSEESEKHRSQSEKRRHKKSHKHKRHHDDSSDSDSESDDKESKRRRREEKRLRKEERRLRRDERHRRRADRHASKQKMKYVGTPPSDLEKDREPGSDADVRKKGSYTSREESDPKKLEVELRQRALESLSAKKLKHVVTPSPDEKDREPNSDADVRKEVPYTG* >Brasy2G496800.1.p pacid=40070741 transcript=Brasy2G496800.1 locus=Brasy2G496800 ID=Brasy2G496800.1.v1.1 annot-version=v1.1 MASSHADPPTLLLAIRHIPIPIPGAPRPRALPAPDFAPLARRLDELASAAAAHPLLKPLFDFHHQLSTFSQSRRQRMVAMRQAACPLAGGEGCFAAVLGGSVAGMVVSNGVNSFLSLYNTVLVIRLVLTWFPNTPPAIVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALTGTAAALPAELPGRAASGSVHPGDFTANQRKWMRRICSGKRQETDGAQ* >Brasy2G473400.1.p pacid=40070742 transcript=Brasy2G473400.1 locus=Brasy2G473400 ID=Brasy2G473400.1.v1.1 annot-version=v1.1 MEKAASFLSSLLGSGGGSDEEPAAATVKSVLICPIKSCRGISVPQAPITSTGFRWDRQWAVVNSKGRACTQRVEPKMALVEVEMPPGAFDEDCQPTQDSYMVIRAPGMDTLKVPLSAELPTVDGISVWEWSGSAYDEGDDAAEWFSNYFGSPSRLVRFKEESEIRPTDPEYAQGYKVTFSDGFPFLIASQGSLDALNGILKEPVPINRFRPNILVDGCHPYSEDLWKTIKINKLKFQGVKLCSRCKVPTVDQDNGIFGSEPTETMLTFRSGEVLRPGHKNKHHVYFGQNLVCEESRSARGKGKVIKVGDPVYVMQAFPCSNEAPA* >Brasy2G473400.2.p pacid=40070743 transcript=Brasy2G473400.2 locus=Brasy2G473400 ID=Brasy2G473400.2.v1.1 annot-version=v1.1 MEKAASFLSSLLGSGGGSDEEPAAATVKSVLICPIKSCRGISVPQAPITSTGFRWDRQWAVVNSKGRACTQRVEPKMALVEVEMPPGAFDEDCQPTQDSYMVIRAPGMDTLKVPLSAELPTVDGISVWEWSGSAYDEGDDAAEWFSNYFGSPSRLVRFKEESEIRPTDPEYAQGYKVTFSDGFPFLIASQGSLDALNGILKEPVPINRFRPNILVDGCHPYSEDLWKTIKINKLKFQGVKLCSRCKVKFADLVLWLILAHLRCLLLIKTMEYLALNQQKLC* >Brasy2G226100.1.p pacid=40070744 transcript=Brasy2G226100.1 locus=Brasy2G226100 ID=Brasy2G226100.1.v1.1 annot-version=v1.1 MMGAAEKAGLGFDEEEDEEGMVAGTAPPVRKMQALDFEHIGSLAAVAESLTPRSKWRRALRSVRIVIFQAKINVLLPFGPLAIALHYLSGKHQGWVFLFSLIGITPLAERLGYATEQLACYTGPTMGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLAHPNRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAHYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEEATEDEEDEKEITQGEAISWLFVLTIWISILSGYLVDAIQGASESLNMPLAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGEEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPKSSDNK* >Brasy2G280200.1.p pacid=40070745 transcript=Brasy2G280200.1 locus=Brasy2G280200 ID=Brasy2G280200.1.v1.1 annot-version=v1.1 MTPSMATSTASLNPPVAAATLGSLYTMLGIVLTTCSLIAATCLARNRRSTSSILTVPLRRPSSGMNTEYARWSCGRCHRYCTYAEPGWKKTGTQPASMASNADRRVYESPCGCRQKAAFLNNRMTLPVVAPPARVAPQEPAVPPRPRALVHDHVADVGGVVAAAGARPAREREEPRPARAAHGLLPRGDFPGAGRVEVGRVRHADVVAVPRAGLDHQRRHVPGLREDEQPAAPLARPVLVLAPEVPRDAAGHEEVVLPAVRRPGRGRTEPADEVVGERVADGVVELDAPQVPADVEPGVVGHVEGGCAGGVRGQAAVLVPHPAVEDHLQGELVGVVPAGCLEHAPRARQPWAHAGVGHVLAHLGPLGQGPAVTDQVRVEPGRQIVDVDVPAGAPVDLSRRRRLPRLIYPFLTCRRRWRRCLCPFLTCRLCFRWTCRRRRRVNGPLLPRGRINVGLETLPDDVDLGRRKRAEEEDHPYHEASRDDQRQDVPACPDRRRSMETHHGLPVGATTAGPDRSPPGRPAA* >Brasy2G301400.1.p pacid=40070746 transcript=Brasy2G301400.1 locus=Brasy2G301400 ID=Brasy2G301400.1.v1.1 annot-version=v1.1 MDAASSPLHIVVFPWLAFGHLLPFLELAERLADRGHRVSYVSTPRNISRLPQAVAPLVDLVPLPLPRVAGLPEGAEATTDLPPDKYELLQKASDGLAPPFAAFLDAHKPDWVILDSFHYLAAAAALDRKVPSAMLIVYSAATSALFGVPRVSRAVREDVGASLVQRFMFTCESCTIVAKRCCVELEPESVPLLPKIFGKPVSPVGLLPPPLAGVGLGIRRRSLRTNGAAPAAAGAGHGADGAPLMSWLDRQPAKSVVFVALGSEAPLSRDLLHELALGLEIAGTRFLWALRKPNGVVDADVVLPPGFEERTRGRGLVALGLVPQTTILAHASVCAFLTHCGWSSTIEGMQYGHPLIMLPFFGDQGPNARLMEAKKVGVQVARNEKDGSFDREGVAAAVRAVAVEEESGTVFAANARKLQELVTDTACHNRCIDEFIRQLRSYKE* >Brasy2G219200.1.p pacid=40070747 transcript=Brasy2G219200.1 locus=Brasy2G219200 ID=Brasy2G219200.1.v1.1 annot-version=v1.1 MLDTNLRQVIIEAEGSRKEAFLELLKRKETELNVANSFARVKASESSKKLELKRREELEGLFLATRKQNEDLARSREKAAAVLDSSMTRLDILDAHAKSISERMNDAVAELEVIQSSIKILKQEKTKAQKLEDGHINQIEGCTYSHYKLPNCSSVALGDDSYTFRKSTLLDKQAATCKFSESFRIRPQGHGCVYKGEIVNRGVTIHKLHSQSIKSAMLFEQEVCILSKLRHPHLLTLVGACPDAPCLVYEYLQNGSLHRCLFSENNTPSLPWKIRARIVAEISSALLFLHSCKPQMIVHGGLNLENILLDTDFHCKIVDFGISTDDPAGLLARKSDLYSFGIVILQLLTRKHPGLGLATEVRSAMSCGKLSSILDPTAGEWPMEVARRLAEFGLKCSGDGLELTPETVRDLEQLHLMRGRQVPSFFLCPILKETMHDPQVAADGLTYEGRAVREWIENGQAVTNLELKHHNLIPNHALRFAIQDWLSQS* >Brasy2G403000.1.p pacid=40070748 transcript=Brasy2G403000.1 locus=Brasy2G403000 ID=Brasy2G403000.1.v1.1 annot-version=v1.1 MDTEPFDEADLLALPTSPAAAASPPRRLKRLRKSSQTTTTTTTTPPAVSPPLSPPSPPLAKEEGAVSPHLESPPPPHNPSPPPQPASDADVLAPAPSSPSPDPISSSPLPPADTAEDEDEDDGLDPLFSESGGGGAGWDPLGMPMGGEGDDGEEELLGGGGLIEELRREKSAKKRLDMDEGEEGGEMAVEAEVTGKRSKRRKKDAAPKESAQAKKRAEKERRAQLDSIHVESQRLLRETRKASFKPIAEPVYKPISSVLEKIRLRKLEILKKSSTPIEDADADDDDDSSESVSEAAGHPCMPGVKEVGSDHKDLKNDDANDEVAANGSDLNDHDSLPEDEDALPSKKDGSKAPDKDLLGISQDNHGDSAQPSDDPIDAVEEAQSHPSSSPTKSTDDSLSEDEEYNDKENIAPGTQQNDVNTHEELRETHADDSCPDVAVLKNFLDVEAEEEDDSDDDMMRFKDNEEDDEDDENEVLNALIAAGFEEEEVDHEKRNELHQKWLQQQDAAETNNVIQRLKFGHQEQKELMDEDEDDIEDCEDESETEMPYELTPTNVVRQNSEKAKQMIAKMFTNENDTYEHSDDEEIEEHLARQRISKRDVHNDSLISPLEDDSSREVFSLIKKLNIAPQPKRRGKQATSNLEMLMVGSNSSSSSKSSFLGRTTSGPVSSSHRSVYKTYVFGRDDSNSSSKSCLATTESNSDVDQTNPSQPKKAKFSSSQAKAAATSTNSKGDANSGASLFEILRRSAGTSNKQEPSRQESFGIITESQAVHQFSAFKLSRKFSKIGARN* >Brasy2G430700.1.p pacid=40070749 transcript=Brasy2G430700.1 locus=Brasy2G430700 ID=Brasy2G430700.1.v1.1 annot-version=v1.1 MEKDEDWVLLGESESDADTVRLIDGSGSETDNGSEMSFTMVQIGGTRRAAAAAADIDDAAAETPIGGENEEEEEENEDDDDDYFELNLPALAGGETSSLDDPDDDCFDLSLAAGLLGIGSPAAGSVCAHCAKIDSESEDDEHPDELPRANSYDDIDTETSCSDASGSDEDYEYDTDEHPDHDEFVRAGLDDIDMESLNAAESEDDEHPEELARASYDDIDTETSCSDASGSDDDYEYDTDEDPDQDEILRAGLDDIDMESFNAAESEDDEEDDAEQQPDHDEFVRAGLDDIDTESFYTQSSDAAGSEEEGDTDEQQPDDHEMLRADCADDGIGTTGQRVANTPGFFDAAAVQSFSCRPRGGLAMGYRDLSDSSNDAERSDLVSDAAESDEEAGNDTESSDDAAKSDDDGDEIENSDEAESDGIESSDAERAGRHRVTLPELSGDVVAQSGCWSYNDRLAMGYNEVLSRQLQMLAFESSMAVAPRGLVGGDDTKSSASTESNGDDTGSSDEGETESSDDDTGSSDEGETESSDDDTESSDAAESSDDDTESSDATENDEEDTESSHATENDEEDTESSDTTESDDQDTEKSDDAAMERRALMRWRAMTTLSQRHGMLWRREGDHNNDESEKFGAEGSCDHDDEDTENSDTESDEEDTESSSAAESDEDTESSDAAMERRALMRWRAMTTLSRRHAMLWRREGAHNNDESEKFGAEGSSDHDEEDTENSDTESDEEDTESSSAAESDEDTESSDAAMERRALMRWRAMTTLSRRAHNNDESEEFCTEGSSDHDDEDTENSENRERRGGHRELRRRDGAESSDAVEGDDNVTASWDALAPRRGSQQR* >Brasy2G115900.1.p pacid=40070750 transcript=Brasy2G115900.1 locus=Brasy2G115900 ID=Brasy2G115900.1.v1.1 annot-version=v1.1 MGQHSKRTRAPAPQPVPPSVPRSVPPLAPQSVPPRPPHPFLPSAFTSMHGPGSWIPPRPPQSVAPSSASSWLAGLQQQSAAGDSAQGPCWAPPADIGGSASPWYTTGNIDDSNPQACLFKNTSSQAQAVSNGTPHQPINVGDDNNCGNCPRTEKRLLWTKEEDRRL >Brasy2G135700.1.p pacid=40070751 transcript=Brasy2G135700.1 locus=Brasy2G135700 ID=Brasy2G135700.1.v1.1 annot-version=v1.1 MAGFICSGGRGMAIGAGRGFRICMSCCCCCCCCIIICICMSCCCCVGGGGGGTSIRCCCACINCCCCGDICMTGRGGGGGMNGIAGLRLFIWHPTTPSTGRMASSASSISSRSAV* >Brasy2G031300.1.p pacid=40070752 transcript=Brasy2G031300.1 locus=Brasy2G031300 ID=Brasy2G031300.1.v1.1 annot-version=v1.1 MDVECEVSAAAAAAVTNGLGGAEPSPAPLSAEQLDVEAYAAQYSGRTRLLRLLFIADRCGVEAVELEALRMACDEARKRDDTLLYRDAAHRIKGRLGPGYRYDQAWVDSVHRRAEQRKEKLDNELNGYRTNLIKESIRMGYNDIGDFYYAHGQLSEAFKNYIRTRDYCTTSKHVVQMCMHVILVSIELGQFAHVTNYVSKAEQTPDTLDGIPLAKLRAAAGLAYLETKKYKLSARKFLETGPELGSNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNVNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKPNLLLDIHLHEHLETLYKDIRHKAIIQYTLPFISVDLNTMADAFKTSVSLLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRALQTGNEFETDVKAMLLRANLIKHDFNQRAASGQRKI* >Brasy2G232200.1.p pacid=40070753 transcript=Brasy2G232200.1 locus=Brasy2G232200 ID=Brasy2G232200.1.v1.1 annot-version=v1.1 MGGIFTAAAALVLLVGTAIANVDDDCSTSADCGAGQWCFDCEPELSGSHCIRSAATNPFQLINNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTITDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPAPDTFNEIQAFLSANPSEIVTLILEDYVSVPNGLTNVFNSSGLQKYWFPVSKMPSNGEDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLTACLQHSKGLTDMVNTCYSASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy2G232200.2.p pacid=40070754 transcript=Brasy2G232200.2 locus=Brasy2G232200 ID=Brasy2G232200.2.v1.1 annot-version=v1.1 MGGIFTAAAALVLLVGTAIANVDDDCSTSADCGAGQWCFDCEPELSGSHCIRSAATNPFQLINNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTITDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPAPDTFNEIQAFLSANPSEIVTLILEDYVSVPNGLTNVFNSSGLQKYWFPVSKMPSNGEDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLTACLQHSKGLTDMVNTCYSASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy2G232200.3.p pacid=40070755 transcript=Brasy2G232200.3 locus=Brasy2G232200 ID=Brasy2G232200.3.v1.1 annot-version=v1.1 MGGIFTAAAALVLLVGTAIANVDDDCSTSADCGAGQWCFDCEPELSGSHCIRSAATNPFQLINNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTITDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPAPDTFNEIQAFLSANPSEIVTLILEDYVSVPNGLTNVFNSSGLQKYWFPVSKMPSNGEDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLTACLQHSKGLTDMVNTCYSASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy2G232200.4.p pacid=40070756 transcript=Brasy2G232200.4 locus=Brasy2G232200 ID=Brasy2G232200.4.v1.1 annot-version=v1.1 MGGIFTAAAALVLLVGTAIANVDDDCSTSADCGAGQWCFDCEPELSGSHCIRSAATNPFQLINNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTITDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPAPDTFNEIQAFLSANPSEIVTLILEDYVSVPNGLTNVFNSSGLQKYWFPVSKMPSNGEDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLTACLQHSKGLTDMVNTCYSASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy2G232200.5.p pacid=40070757 transcript=Brasy2G232200.5 locus=Brasy2G232200 ID=Brasy2G232200.5.v1.1 annot-version=v1.1 MGGIFTAAAALVLLVGTAIANVDDDCSTSADCGAGQWCFDCEPELSGSHCIRSAATNPFQLINNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTITDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPAPDTFNEIQAFLSANPSEIVTLILEDYVSVPNGLTNVFNSSGLQKYWFPVSKMPSNGEDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLTACLQHSKGLTDMVNTCYSASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy2G466900.1.p pacid=40070758 transcript=Brasy2G466900.1 locus=Brasy2G466900 ID=Brasy2G466900.1.v1.1 annot-version=v1.1 MQTASPSPAPSSRPPSAPPPRPRPAFPSIRRRDLLLLSAAPLSLAFSPAAASARGLFRMPPPGLANRYFLVRAGESVYEGQGLLRTNPVAKTSVDSGLSPAGLRQAARAALELRRLGACEDDCWIWPSITQRAYQAAEIIAAANSINRSKIVPEYSFLDARGLGAYEGKRLEVLPEVYASDNISSDIKPPPTNDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHNSLFFQPGEVRPVDPASIPEYKQPASTVYKCTNPPSCK* >Brasy2G323700.1.p pacid=40070759 transcript=Brasy2G323700.1 locus=Brasy2G323700 ID=Brasy2G323700.1.v1.1 annot-version=v1.1 MNPNVMFELFSMYREWQEEMVKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G323700.6.p pacid=40070760 transcript=Brasy2G323700.6 locus=Brasy2G323700 ID=Brasy2G323700.6.v1.1 annot-version=v1.1 MNPNVMFELFSMYREWQEEMVKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G323700.3.p pacid=40070761 transcript=Brasy2G323700.3 locus=Brasy2G323700 ID=Brasy2G323700.3.v1.1 annot-version=v1.1 MNPNVMFELFSMYREWQEEMVKEISERQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G323700.4.p pacid=40070762 transcript=Brasy2G323700.4 locus=Brasy2G323700 ID=Brasy2G323700.4.v1.1 annot-version=v1.1 MVQGEIENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G323700.2.p pacid=40070763 transcript=Brasy2G323700.2 locus=Brasy2G323700 ID=Brasy2G323700.2.v1.1 annot-version=v1.1 MRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G323700.5.p pacid=40070764 transcript=Brasy2G323700.5 locus=Brasy2G323700 ID=Brasy2G323700.5.v1.1 annot-version=v1.1 MRSTSHNLAEVHPLQVEVGELKGRLTEVISNCEALCKRISLEGPESLRTSVQPFTTDRVEAGGGSFVEKES* >Brasy2G498600.1.p pacid=40070765 transcript=Brasy2G498600.1 locus=Brasy2G498600 ID=Brasy2G498600.1.v1.1 annot-version=v1.1 MSVAATAAVVQDVSQSQSIEAAAAEVEWAVCACCGLREECTAAYAAGVRARYAGRWLCGLCGEAVGEELTAAAAGVGEGGGGVPAAPAEVEAAIAKHDAFCRAVFCRSPAAAERLIAAVRRLLRSDSGRKEKTVVEVVHLEFQEA* >Brasy2G078000.1.p pacid=40070766 transcript=Brasy2G078000.1 locus=Brasy2G078000 ID=Brasy2G078000.1.v1.1 annot-version=v1.1 MAGPGPETTGCHPYSLLSLSYAHGRRRLALPPPLSSPPSPAGAALLPALPRRRRFPPRPPPSAPLSSPLSTAGSESLPSTAPPSAVPWNPAAVGAPDTEPSPAGHHCFAGVLSDRTPPPS* >Brasy2G026400.1.p pacid=40070767 transcript=Brasy2G026400.1 locus=Brasy2G026400 ID=Brasy2G026400.1.v1.1 annot-version=v1.1 MASFRASCSDLRSACLFASRRFRSRQFGTCQSIQLIDWFPFKLHVSHSNQMSASPRPPSAGSSSGPPPAHRVLVPLPVLGLHLLPPLPGLLDGASRFLCTASSARLARRWCPASSASRWCLARAARRWCPASSTRASHSRCPPRAVPILPSSSSDLVL* >Brasy2G336600.1.p pacid=40070768 transcript=Brasy2G336600.1 locus=Brasy2G336600 ID=Brasy2G336600.1.v1.1 annot-version=v1.1 MTTKFVSAARRASLGCLPKPSAKSLASQRRRAPLLFLRVNVLRRRDLLLVPPMSSSSLPTAGTPSAAHPPPVSSSPIRLLYSISNASAACPSLGRRAPPLPSPSAAASPHLCLPPPPFCTFITSPAKATTRCEMIHPSSSSASRNS* >Brasy2G413300.1.p pacid=40070769 transcript=Brasy2G413300.1 locus=Brasy2G413300 ID=Brasy2G413300.1.v1.1 annot-version=v1.1 MDQSMAAVETPVAVPAFDVSRRPGTAGLVLNSPTPPTFREELVGVVGKAFRPRSANGAGHRSPPRWGWVLTALQALFPVLQWGKSYTLKSFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKVVDPAVDPVTYRTLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSRFTNSTDVVSVVKAVCSALHDPWHPGNFFIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATKADEHGVKIIKNVHAGLNPSSAKLIQLNGPYTTECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMAATVFIALEFFMKLLYYTPMAVLASIILSALPGLIDIREACNIWKVDRMDFLICVGAFLGVLFGSVEIGLGVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSVRQYPVACRTPAVQVIRIDTSFLCFINATFIKERIIEWVRSEVETSNGKAKERVQSVVLDMSNVVNIDTSGLVGLEEIHKELVSLGIQMAIASPGWQAIQKMKLGRVVDRIGEEWIFLTVGEAVEASLTAHKGSAMEC* >Brasy2G264300.1.p pacid=40070770 transcript=Brasy2G264300.1 locus=Brasy2G264300 ID=Brasy2G264300.1.v1.1 annot-version=v1.1 MTSPATTHASPFVLSALLLISIPVVFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSTAKPAPSYFFHRRPSPKVAFLFLTNSDIIFSPLWEKYFHGHRQLFNLYVHADPYSVLEQPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGHHRSFIDIMDNVSVLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRKHAIMVVRDMRLWKKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDQVEGHPHTYRPGEVSANLIRELRKSNGTYSYMFARKFAPECLEPLMEIADSVILRD* >Brasy2G062600.1.p pacid=40070771 transcript=Brasy2G062600.1 locus=Brasy2G062600 ID=Brasy2G062600.1.v1.1 annot-version=v1.1 MDSFPFFEAMTHPGLADRIPPVSEVVTRPGVLTVTGGPSFTELEITGESYTAKEVAGMASSCIAGMASSCSGDGDPDLNEPQPLDFVPNIDPDLNEPQPLDFVPNIANVQVENAGEGVASKNAAALQDHAVDAIGSVDTTNSDPVRDESNWRRGKRKLKIVEIKSETNWNG* >Brasy2G156200.1.p pacid=40070772 transcript=Brasy2G156200.1 locus=Brasy2G156200 ID=Brasy2G156200.1.v1.1 annot-version=v1.1 MQRPAPPPRLLPLLSPPPSAALPAPSCRCFLLLIRQAPSSSRHRAAAPRRRTAAGRATTRRIGQRPRRREGEGGREGGQRFTVEEERPPLRRPSSREGLRTRPPLPPPLHEPPPPLPSSAGTTAATSLHRVSRCHLPLQTDAASILRLHSGRLPPCCCVGGNGRKVVLAPGRVGRRGQAAPASGPGVAVQVGVISGDEVEVRLVLVGQDRVVVFALVCVDHHGSVLVLTITFAGCIDDGVTGNQVAVGTGVVQNLRGRVLISESETTSGARTLRGRMGEERTNLDCCYKSPLC* >Brasy2G354100.1.p pacid=40070773 transcript=Brasy2G354100.1 locus=Brasy2G354100 ID=Brasy2G354100.1.v1.1 annot-version=v1.1 MAGLVSVWFAKFGRETAPPAADEAGLGLGRRREGDGDGVLEVESVKIKGGGVQIQERRRRRNSGVLSNSEATVCMLMDRFAPA* >Brasy2G112800.1.p pacid=40070774 transcript=Brasy2G112800.1 locus=Brasy2G112800 ID=Brasy2G112800.1.v1.1 annot-version=v1.1 MATSTCRLPPLLPGPASPNHLHLRSSPPPFTRHLRNPPPVRLLRAARRRHLDAVVVVPDARPWVGDLSGAASYRDGSEEDGDAEEDEDEDDDRSLDLLARFLQSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFISILLVRGIWSGVTYIRENRYSYIQQLNDDGNRWSRVQTAG* >Brasy2G285100.1.p pacid=40070775 transcript=Brasy2G285100.1 locus=Brasy2G285100 ID=Brasy2G285100.1.v1.1 annot-version=v1.1 MGAEGAEGEEENDLEEIDESVFDGSQQKQPRRATNYSDLEDVTLVRTWESVSLHAVTGNDQTGKKYWQRIEDKFYRLMSPNYSSRT* >Brasy2G397600.1.p pacid=40070776 transcript=Brasy2G397600.1 locus=Brasy2G397600 ID=Brasy2G397600.1.v1.1 annot-version=v1.1 MGGGAGRGKGRGGPGRGEGRQRRGAAGLAEEREGGGEGRRGWQRKGEGRGWQRRWEAEEMGRRGRGRGRRRRGEAGAGAAGGARRVGELRDGNCAGRERALGFLRVSGS* >Brasy2G307700.1.p pacid=40070777 transcript=Brasy2G307700.1 locus=Brasy2G307700 ID=Brasy2G307700.1.v1.1 annot-version=v1.1 MANGRSGSGRLRAHLLAAIVGLLVACAAVAPPAAALDVGLQYAGDDVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGERPCDPLDACCMHHDNCVQAKNDYLSTQCNENLLECLGELRDGTGTFEGNKCMIDEVIDVITIVIQAAVVAGRVLHKP* >Brasy2G482600.1.p pacid=40070778 transcript=Brasy2G482600.1 locus=Brasy2G482600 ID=Brasy2G482600.1.v1.1 annot-version=v1.1 MATNRLSIAVDVPRSMDHLAWVVTAHQPRPQPASPARALKAAGAASVRPSASSSRSPEDPNPPLVGRLRLSLQSCSPPSAPRPPGPPPPLPPPVRLPSKAAPLPLLQRPLPLVPPRPRRRLGQRLSGQERRRPVARLVRPSKAAGCGRRSTPRPATCLCSARTAPLLDSKAAPAWLPPSA* >Brasy2G052700.1.p pacid=40070779 transcript=Brasy2G052700.1 locus=Brasy2G052700 ID=Brasy2G052700.1.v1.1 annot-version=v1.1 MVPAASAPDAGGGGGEPEAWYGSIQYLINISAVGASSCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLAAVSAAAVAAALPLNLFAGDAAIADQFAATTISHIPKSSPLLWLHLLLAAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPRTLAADKTPLKDYFEHKYPGKVYRVVVPFDLCTLEYLADEWGKVRNKISWLEARMDARNLFDEFVQDGSGQAEAHWFVRRCKELRAMAAERFGFTDDERLRRLQTNKLVLGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGMSKASLALRRIAVNTCLIVMLLFFSSPLAILSGMQSAARIINVEAMDSAKSWIVWLQGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFEFHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGADCKQIEQYLSPSFLSRSSLSSLAFLITSTFLGISFDLLAPIPWIKHILKKFRKNDMVQLVPEENEDYQYIQNGEETNGLITPLMSEREDSDILNTIEGHDLSLYPINRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGLLVFYKLLPSGSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWNLYQP* >Brasy2G461500.1.p pacid=40070780 transcript=Brasy2G461500.1 locus=Brasy2G461500 ID=Brasy2G461500.1.v1.1 annot-version=v1.1 MEEVGKENSSRERLRAAALRQAAVEDVPGGPRGCRMQGQAQQVKFSPVI* >Brasy2G365200.1.p pacid=40070781 transcript=Brasy2G365200.1 locus=Brasy2G365200 ID=Brasy2G365200.1.v1.1 annot-version=v1.1 MSEHSENVTEKIMGSIMDTIADKLPKQKSGRFDPAAVSDKVNKLFGRQQSLHGVLGGGKSADVLLWRNKKISSSVLALATAIWIFFEWLDYHFLTIISFALVLGMVVQFVWSNFASRLNGSSNVPRVELPKELFVNIGVAVGAQVNKFLGFLQDVSCERNLKHFVLAIVGLWAAAVAGSWFNFLTVIYIGFVCAHTLPVLYEKYEDQVDNFLYSLLDLLRDQYKKLDSGVLSRIPKGNMKFKKSE* >Brasy2G365200.2.p pacid=40070782 transcript=Brasy2G365200.2 locus=Brasy2G365200 ID=Brasy2G365200.2.v1.1 annot-version=v1.1 MSEHSENVTEKIMGSIMDTIADKLPKQKSGRFDPAAVSDKVNKLFGRQQSLHGVLGGGKSADVLLWRNKKISSSVLALATAIWIFFEWLDYHFLTIISFALVLGMVVQFVWSNFASRSSNVPRVELPKELFVNIGVAVGAQVNKFLGFLQDVSCERNLKHFVLAIVGLWAAAVAGSWFNFLTVIYIGFVCAHTLPVLYEKYEDQVDNFLYSLLDLLRDQYKKLDSGVLSRIPKGNMKFKKSE* >Brasy2G201600.1.p pacid=40070783 transcript=Brasy2G201600.1 locus=Brasy2G201600 ID=Brasy2G201600.1.v1.1 annot-version=v1.1 MSLSKLYTPPSTVLPHAWSRPACQSLNRDHPHRRAALRPRLLPPASPGIAATCPTTAILAAVWHRSRFSRRRAFRHGHPRRRHASNRLGGQRLRHALTNVTWSCSGDGDDDLTVMLMQRMLSLHTLLLILVDSLKWKVGRTAARMAAAEAAARMAAAGRASTATVRMERPRRSGTRWKGRPREEDRRRRLHLGPAWHWLRPRHQRAPCCSFTPGRGLTTRAPRRAGGQKGKKAQPPTGSCSETARRRRACRCETVNAADSQKEGKAVIAAALWLFLQSSAPRFKTPSLLTPTPSRPSLTALCWSPSAGGIASISLSLSLLVSGDPFRRAN* >Brasy2G271700.1.p pacid=40070784 transcript=Brasy2G271700.1 locus=Brasy2G271700 ID=Brasy2G271700.1.v1.1 annot-version=v1.1 MDDDGSLGMRNWGFFDPPTRNNLGLQLMSSMPADRDTKQLLSSSPFIHQHHHVHPHAPQHTHHHPHPHSSGAPNDAPSMPMNFVHNEAWMHPAHHPRESKILHAITTGHAGHVVHAVNPDPTGYGIIPGRHGLHTMQMMQKAEPQPPPPPKDECISPPLVEENAGFVTELPPPKKKQQRRQPKSPKPKKAKKAAAPCEDGAPKPVPRRRGPKKHVGMVINGIDLDLSRIPTPVCTCTGAQQQCYRWGAGGWQSACCTTTISTYPLPMSTKRHGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR* >Brasy2G005900.1.p pacid=40070785 transcript=Brasy2G005900.1 locus=Brasy2G005900 ID=Brasy2G005900.1.v1.1 annot-version=v1.1 MEKFLVSAATGALQPVLGKLAALAGDEYNRLKGIRGEIKSLSRELAAMDAFLEKMSEAEEEPDDPQDKVWMNEVRELSYEAEDSIDDFMARVDARPDGFLGKIRGFVGRTKARHGIAKKIEELKKQIDEVGKTNARYRSGEPAASVANKTKVDRRALAIFEDASKLVGVDGPKKEVIQLLTEDGDSTQQQQQQPPKLKVVSIFGSGGLGKTTLANRVYQELKGGFDCTAFLSVSQNPDIVRVMSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKSRRNSSTRRWHFLSLRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.4.p pacid=40070786 transcript=Brasy2G005900.4 locus=Brasy2G005900 ID=Brasy2G005900.4.v1.1 annot-version=v1.1 MEKFLVSAATGALQPVLGKLAALAGDEYNRLKGIRGEIKSLSRELAAMDAFLEKMSEAEEEPDDPQDKVWMNEVRELSYEAEDSIDDFMARVDARPDGFLGKIRGFVGRTKARHGIAKKIEELKKQIDEVGKTNARYRSGEPAASVANKTKVDRRALAIFEDASKLVGVDGPKKEVIQLLTEDGDSTQQQQQQPPKLKVVSIFGSGGLGKTTLANRVYQELKGGFDCTAFLSVSQNPDIVRVMSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRRNSSTRRWHFLSLRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.5.p pacid=40070787 transcript=Brasy2G005900.5 locus=Brasy2G005900 ID=Brasy2G005900.5.v1.1 annot-version=v1.1 MEKFLVSAATGALQPVLGKLAALAGDEYNRLKGIRGEIKSLSRELAAMDAFLEKMSEAEEEPDDPQDKVWMNEVRELSYEAEDSIDDFMARVDARPDGFLGKIRGFVGRTKARHGIAKKIEELKKQIDEVGKTNARYRSGEPAASVANKTKVDRRALAIFEDASKLVGVDGPKKEVIQLLTEDGDSTQQQQQQPPKLKVVSIFGSGGLGKTTLANRVYQELKGGFDCTAFLSVSQNPDIVRVMSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.2.p pacid=40070788 transcript=Brasy2G005900.2 locus=Brasy2G005900 ID=Brasy2G005900.2.v1.1 annot-version=v1.1 MEKFLVSAATGALQPVLGKLAALAGDEYNRLKGIRGEIKSLSRELAAMDAFLEKMSEAEEEPDDPQDKVWMNEVRELSYEAEDSIDDFMARVDARPDGFLGKIRGFVGRTKARHGIAKKIEELKKQIDEVGKTNARYRSGEPAASVANKTKVDRRALAIFEDASKLVGVDGPKKEVIQLLTEDGDSTQQQQQQPPKLKVVSIFGSGGLGKTTLANRVYQELKGGFDCTAFLSVSQNPDIVRVMSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRRNSSTRRWHFLSLRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.3.p pacid=40070789 transcript=Brasy2G005900.3 locus=Brasy2G005900 ID=Brasy2G005900.3.v1.1 annot-version=v1.1 MEKFLVSAATGALQPVLGKLAALAGDEYNRLKGIRGEIKSLSRELAAMDAFLEKMSEAEEEPDDPQDKVWMNEVRELSYEAEDSIDDFMARVDARPDGFLGKIRGFVGRTKARHGIAKKIEELKKQIDEVGKTNARYRSGEPAASVANKTKVDRRALAIFEDASKLVGVDGPKKEVIQLLTEDGDSTQQQQQQPPKLKVVSIFGSGGLGKTTLANRVYQELKGGFDCTAFLSVSQNPDIVRVMSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.6.p pacid=40070790 transcript=Brasy2G005900.6 locus=Brasy2G005900 ID=Brasy2G005900.6.v1.1 annot-version=v1.1 MPMMTCRNLSPRFGISSPTEIKEIGILSVNFLTIQIKLSRRNSSTRRWHFLSLRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.7.p pacid=40070791 transcript=Brasy2G005900.7 locus=Brasy2G005900 ID=Brasy2G005900.7.v1.1 annot-version=v1.1 MSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRRNSSTRRWHFLSLRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.8.p pacid=40070792 transcript=Brasy2G005900.8 locus=Brasy2G005900 ID=Brasy2G005900.8.v1.1 annot-version=v1.1 MSKIFSQLTGCSGSSADANDDLPQLIAKIRDFLTDGNKRYFIVIDDIWKVEAWNAIEYAFPKTDSGSIIITTTRKMVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005900.9.p pacid=40070793 transcript=Brasy2G005900.9 locus=Brasy2G005900 ID=Brasy2G005900.9.v1.1 annot-version=v1.1 MVVAESCCSSFSGHIYNMRPLDMVHSRQLFYGRLFNSEEKCPSHLKGISSQILEKCAGLPLAIIAISGLLADKASKKDTWERVKDSIGHALRNASVDVMVNIISLSYLDLPRHLKTCLLYLSIFPEDRKIDKKNLIRRWIGEGFIHKQEGYTLHESGEMCFNELINRSLIQSAELDKMFYDEVKSCRVHDTVLDFIVSKAVEENFVTIVGVPGVNPDPRNKVRRLSLQNDGEIPAGLFISSARSLHVFGHNAKIPFLSEFRLLRVLDYEDCTQLQDAHLAGIGNLLHLKYLRFKNTHALRKLPEQLARLPHLEIDIFGRRKIMEIPATIRQLKWLATAYHDTTIPVEFTAMQGLEVLYGLNVHAQSTEFLVGLGQLKKLRKLSTVFLKAGEEEDVEDAGGLSLAEVKQAKMASSIAELSKAGLESLFIGVLDAAADKMFECDYWFPESDPPSPYSLRELVIASPIERVPTWMARFVNLEKLRIQMMRVGEEDLEILGGLPCLRHLYIDAWLYKDKAHEFEAAIKRAMEAHPNRPTFIIWKHHP* >Brasy2G005000.1.p pacid=40070794 transcript=Brasy2G005000.1 locus=Brasy2G005000 ID=Brasy2G005000.1.v1.1 annot-version=v1.1 MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCQGGDMAEAIKRANGAYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQTIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGLFRGLIKSMLRKSPEHRPSAAELLKHPQLQPYVFQVQLKSSPTRNILPINECLTDKVKKMTFPDDLADSARRRMVRRNSLGNHRIVTFSKPSPERNSVSSTRSIKECTTTQSVKEFSIDSSQAEDEVTSKAIITKTASILRTPKSIPAKTFTSRNRFETPKTSYTSTNRVELPSVTPLNKSARLARRASLPLSTYETPTKRSISILDQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHKLSSVHGSCSTPPSINRSITKDKCTIQVLRTDGDNVSDSSGRNATAASSRGSNDSRLQRFDTSSFQQRAEALEGLLEFSAQLLQQERYDELGILLKPFGPEKASPRETAIWLTKSFKETAS* >Brasy2G005000.2.p pacid=40070795 transcript=Brasy2G005000.2 locus=Brasy2G005000 ID=Brasy2G005000.2.v1.1 annot-version=v1.1 MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCQGGDMAEAIKRANGAYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQTIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGLFRGLIKSMLRKSPEHRPSAAELLKHPQLQPYVFQVQLKSSPTRNILPINECLTDKVKKMTFPDDLADSARRRMVRRNSLGNHRIVTFSKPSPERNSVSSTRSIKECTTTQSVKEFSIDSSQAEDEVTSKAIITKTASILRTPKSIPAKTFTSRNRFETPKTSYTSTNRVELPSVTPLNKSARLARRASLPLSTYETPTKRSISILDQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHKLSSVHGSCSTPPSINRSITKDKCTIQVLRTDGDNVSDSSGRNATAASSRGSNDSRLQRFDTSSFQQRAEALEGLLEFSAQLLQQERYDELGILLKPFGPEKASPRETAIWLTKSFKETAS* >Brasy2G212700.1.p pacid=40070796 transcript=Brasy2G212700.1 locus=Brasy2G212700 ID=Brasy2G212700.1.v1.1 annot-version=v1.1 MGQDERQDREVAPQAQMEQEEREGPETAAHEAVVSCDYCSGARAVVYCRADSARLCLPCDRHVHAANAVCSRHARAPLCAACSAAGAVFRSGAALFLCSNCDFGRNRDGEQPPLHDRCTVQAYTGRPSAHDLAALFGVPDFEKPPADQCWWTIWEEPQVFSLQDLIVPTTSCHGFQPLVTPSSPKNQSSPDGKTNEEVIRQLRELAEADGGGVQIAPREEAEQAAHQLPSWEPSEYITGNGNFATENSHEVLATMPTPGYENGGWNNNSDYHALNDVCKNEYEHEQAPVGSAEACLPSFVQMSELCPSMSNGSTRDDSQQATLGIGMSMQTFPKRGGFDVVAGPDRDIVISRYKEKRRTRRFDKQVRYESRKARADSRLRIKGRFAKANQINP* >Brasy2G136300.1.p pacid=40070797 transcript=Brasy2G136300.1 locus=Brasy2G136300 ID=Brasy2G136300.1.v1.1 annot-version=v1.1 MASATILKSSFLPKKSEWGATRQAAAPKQMTVSMVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVTPPGLGNYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLVGSNDESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFYYMAQNNVLFEGILLKPSMVTPGAECKDRATPEQVASYTLKLLQRRIPPSVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVAAAQEALLLRAKANSLAQLGKYTSDGEAAAAKEGMFVKNYSY* >Brasy2G386800.1.p pacid=40070798 transcript=Brasy2G386800.1 locus=Brasy2G386800 ID=Brasy2G386800.1.v1.1 annot-version=v1.1 MVVMDSLFGVVGDGFVAVAAGTSAVRTSYVFSNPDHDRLTQLGSHLLLAATGLSSDRLRLRDELKATCARGGNSGDAAAAAAVARLAVLAHAQSASAVVAGYDVVNGPSMYAVGAAAPPERVSHGAAGSGAGLCGPVLERLYRPGMTVKEAVEILDRCIKEVRRIGLASFVIMVVDKAGAREYAKRLVVVKPKPELVAGQKFGGDTPMQN* >Brasy2G291700.1.p pacid=40070799 transcript=Brasy2G291700.1 locus=Brasy2G291700 ID=Brasy2G291700.1.v1.1 annot-version=v1.1 MEVDKLTAAFSAVTMHDYSNNNRLQQASPLALCGGGGEPAAMRVEETCTVRVRFPDGRVLCENFGADRPATALFLYCQSVLVQGGAGTMRPFRLAGRGTDGSSFRHFGLHHCTLHLVFSV* >Brasy2G382200.1.p pacid=40070800 transcript=Brasy2G382200.1 locus=Brasy2G382200 ID=Brasy2G382200.1.v1.1 annot-version=v1.1 MAAVNWNDDNTRIITELFADQVHRGNRPNSHLNNVAYEEIAQRFKDKTGIELKKKQIKNKWDKLKNECWMGGRNHQSGRRMVEEGQSGKCFLYHLHDIPGCGRFQNQGISNEDNLKLIFEDITNDGRDHWYVINLDGEDGIDIDELDESPSNVKGKKRGAKVVGDKSKKSKTSQVMQDEMKKIGALAEKTQSSLESFTTKNDTCSVATVMDLVIECGGAYGTNEHFIATELFVKREQREMFLHMPIPDIRFEWLKRKYEAKYAR* >Brasy2G445300.1.p pacid=40070801 transcript=Brasy2G445300.1 locus=Brasy2G445300 ID=Brasy2G445300.1.v1.1 annot-version=v1.1 MAGSAAYSATSIVVVMAKDKGRGKGTKGGASSSSAAANKVSDRRPPRITSNVKHNLRVLKFWKDYERKQTSGPQPATRFRKKKVMKEVLPDDTDFFEDPSSTLTYTNEGLEIASPVILVDGYNVCGYWKKLKSDFLNGNQGIARQMLIDELVTFSAVREVKVVVVFDAALSGHSTHTETYKGVDVVYSADLSADCWIEKEVEALVADGCPKVWVVTSDVLEQQLAHGEGALIWSSKRLVKEIKESEQELDEELKETRSTSLQGKIFQHKLKPKVVQGLKDLRNRLEEQERRKK* >Brasy2G445300.2.p pacid=40070802 transcript=Brasy2G445300.2 locus=Brasy2G445300 ID=Brasy2G445300.2.v1.1 annot-version=v1.1 MAGSAAYSATSIVVVMAKDKGRGKGTKGGASSSSAAANKVSDRRPPRITSNVKHNLRVLKFWKDYERKQTSGPQPATRFRKKKVMKEVLPDDTDFFEDPSSTLTYTNEGLEIASPVILVDGYNVCGYWKKLKSDFLNGNQGIARQMLIDELVTFSAVREVKVVVVFDAALSGHSTHTETYKGVDVVYSADLSADCWIEKEVEALVADGCPKVWVVTSDVLEQQLAHGEGALIWSSKRLVKEIKESEQELDEELKETRSTSLQGKIFQHKLKPKVVQGLKDLRNRLEEQERRKK* >Brasy2G445300.3.p pacid=40070803 transcript=Brasy2G445300.3 locus=Brasy2G445300 ID=Brasy2G445300.3.v1.1 annot-version=v1.1 MAGSAAYSATSIVVVMAKDKGRGKGTKGGASSSSAAANKVSDRRPPRITSNVKHNLRVLKFWKDYERKQTSGPQPATRFRKKKVMKEVLPDDTDFFEDPSSTLTYTNEGLEIASPVILVDGYNVCGYWKKLKSDFLNGNQGIARQMLIDELVTFSAVREVKVVVVFDAALSGHSTHTETYKGVDVVYSADLSADCWIEKEVEALVADGCPKVWVVTSDVLEQQLAHGEGALIWSSKRLVKEIPDNKLTVVWFCR* >Brasy2G476000.1.p pacid=40070804 transcript=Brasy2G476000.1 locus=Brasy2G476000 ID=Brasy2G476000.1.v1.1 annot-version=v1.1 MFSRALTLPAPRRSGFSTSVVMASRYVEHQAFILRNHGVLVRAVEKHHAANPLIVGKAIEGELRIPPHSLRVTRHLPEDFYIHFDFPADRDRAIALGRLVLNGVTFLLQPWRENVHGTLQTYNLHVRVCIEKMPLHLWSIEGAESVLGKGVIVDRLDSRTYAKEDTKIFSCWVWCWSLDRIPSEHHFTVFPAGAGRVVEMDGYSASGGGAPARRGLDYSGVVHAVQPSDWPAVFRSDDSPPHPAIQRYTWTERVRDGEEPRRPRQPVSCRDALTLPRRDDAGDDDDFRRRPARDHATPRLPTPRAPAAAPTGDGGSHHRSRTPVCRRRRAASLPPTEALPPPPPLPAGAPLPRRVALLPAVLSPPPVSPVADLVPQTPAGSPLSPDGRSSTSSEDPLAELLATEHFDELCLSSQDADPMMVELEALCAAATASPLSFPPRSASPPARDAFGIGSGHGLGCRGSICPSPLGIERDPVAHSDGTDADGVVRAFFDTPAPPILDASPTALPRPPAVKKVTAATPRRSERQAKVPSATPVAQRATVRLAKELALINHDVNRHDAAASALVQKFKNPLSDVDVDGLAVLTRVDREAIHRAAAKAIASRAATSAH* >Brasy2G448400.1.p pacid=40070805 transcript=Brasy2G448400.1 locus=Brasy2G448400 ID=Brasy2G448400.1.v1.1 annot-version=v1.1 MASSNKIAAVLIVSVLLMAVFAGSSDAKECTHRPPRDPSCPSFDECFRKCKAEGFKGGICTSPLCTCITC* >Brasy2G405000.1.p pacid=40070806 transcript=Brasy2G405000.1 locus=Brasy2G405000 ID=Brasy2G405000.1.v1.1 annot-version=v1.1 MWRSRLPPLLSHLRRRTASACGGSSSHLEVESGSSSARNCFLSRAVGTWPPPHVVCYGCGGANQLEQRRRHLYLALDDWEKGFSPYPCSCDVVPAAAGDDGLTEPPAWTVAAGRLTFLEPGMKPMQHRHGLLSIAGGGGVCYCRVEPAVRQGFVYGSGNGCLLRLTLFRARYGSNGELLAAPLRRQQRPPRSYLISRYVPSFDVRAFWI* >Brasy2G236100.1.p pacid=40070807 transcript=Brasy2G236100.1 locus=Brasy2G236100 ID=Brasy2G236100.1.v1.1 annot-version=v1.1 MSSLFLCYANVPAPLRQVGRHALLPPAPSPLVSRSRHRPPPLHWTGSGFRMISSCFVVKRRRHKNGIYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSRDFRSTLEREIGLDEVPPSTNYRPTTMNNNEQPAAVSNDKPETAVPYTSEELMKVTEEQLAASASAAWNSQQPSRLEQKEIAAPSQSSDTALSGGSDGPGAVTSEPNPSNSEKAKLTDEA* >Brasy2G330900.1.p pacid=40070808 transcript=Brasy2G330900.1 locus=Brasy2G330900 ID=Brasy2G330900.1.v1.1 annot-version=v1.1 MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGVDMAIASRSPTPDIATAFIAKLELQPMFVTQEIFSSWTHKTEHFQKIQRKTGVPYKSMLFFDDEDRNIESVSKMGVTSVLVENGLNLEMFKLGLSNFATNVAATQDITRKKLYK* >Brasy2G449700.1.p pacid=40070809 transcript=Brasy2G449700.1 locus=Brasy2G449700 ID=Brasy2G449700.1.v1.1 annot-version=v1.1 MELKRCINIIGRFYSQHNPKGPQTCHVSSFVSCNQLTLCKSNNFISSITMFLVSISMPPELPWYYVVSICIIKTNMITY* >Brasy2G248500.1.p pacid=40070810 transcript=Brasy2G248500.1 locus=Brasy2G248500 ID=Brasy2G248500.1.v1.1 annot-version=v1.1 MESASGRSAAAAKPQSLSPSPPTAQPDPSADRPPKAMTTAEVEAVIAALPAKKDALREAFDRLVACSPFPLPFTWDDLDAHISSLQSSIALRSRQLRVLDAARPVSAPAAIGGDEKGKKQGEDETSEEEEEEEEEEVEEEVQETGEDEEDDDEKDDEEKEEEVVEEEYEEVEVVEEYEEEVEEYEEVEVEEEYEEVEVEEEYEEVEVEEEYEEEEVEGEEEVMDANNAADKEKNADTEMQEEEEVKMEEQEELKVIEEVDDKEQKNADTEMQEEEEVKMEEQEELKVIEEVDDKEQKNGNVGKGKEEQESGKEMGKAVEEQQEAKKVSPEQFIKEETGEPKIVSLKEVDLPLQGVDKDLMAACATMDSTSLVEFVCKIGRRQEYHLAMCHAQDAAALVLRVVRGFLLKKQTKNNNVWENCVQLIRCVPEQSPELSMSMIEQAKQLAKDWKNMIDKPENCGDLGILASWALLYFLVSYNIVSEFGVDEIIRLFGTVPRKYQRRKCFKLCKDLGLVSRISDLIGYLIANGQQLCAIQLVHALDLVDEYPPLPLLEGYVEKAKGTALELLSKNASHKNLAVSKEIQSLRMAHTMLKQHTDSSQSVAILAEIDSLLAGYANKRSLINASTALTSNSWQQKETQQKQRQEQKPCDEGMKQNQKNKKRKNEEQHEGQDSQLQGKKEKLQRKQEQRKQHKLQENKGQQPHKPRPQQRQRQRQRQPQPQHLYQPRPWVSAPDVLQPVVPHVAQFGPIGYGPAVMPGVQGAPFPVNFGVQFNPYQPYPIYHSPAFYPR* >Brasy2G332100.1.p pacid=40070811 transcript=Brasy2G332100.1 locus=Brasy2G332100 ID=Brasy2G332100.1.v1.1 annot-version=v1.1 MVEQQSLQTGHILNRGRSKHKGQIFAFVFTVLGHKLCLLAHCLKTHESRCLQVLLLPDHACVKDLASLPLESTM* >Brasy2G040800.1.p pacid=40070812 transcript=Brasy2G040800.1 locus=Brasy2G040800 ID=Brasy2G040800.1.v1.1 annot-version=v1.1 MCVCVPILAAPPTERSTLLLLVPNLLLRFARALPYLSQLLLFSWLSKASHTVPPSLDRSETLNPQSMRATARRSTGAAAPAAKKTTTKKKSKGAGGGFLWGCGGSKSVAIAAGNISAATTPSPTAKSAPAATKTMPLPASHDEGERAVPSVDALLRQLSELERGVRALGVRVREEEKGQGGDGGSPASDWTTGAVAVVRETEDPVGEFRASMAQMVAANGITGGAELRGLLQRFLELNSPRHHGLILQAFADVWDELFSGAGSRTLPRPPKPYSYSSQLA* >Brasy2G004500.1.p pacid=40070813 transcript=Brasy2G004500.1 locus=Brasy2G004500 ID=Brasy2G004500.1.v1.1 annot-version=v1.1 MSLPPLRKGFIWISWPDTAYDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.12.p pacid=40070814 transcript=Brasy2G004500.12 locus=Brasy2G004500 ID=Brasy2G004500.12.v1.1 annot-version=v1.1 MSLPPLRKGFIWISWPDTAYDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.5.p pacid=40070815 transcript=Brasy2G004500.5 locus=Brasy2G004500 ID=Brasy2G004500.5.v1.1 annot-version=v1.1 MDTPDRPRAAANAAAAGFEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.2.p pacid=40070816 transcript=Brasy2G004500.2 locus=Brasy2G004500 ID=Brasy2G004500.2.v1.1 annot-version=v1.1 MDTPDRPRAAANAAAAGFEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.13.p pacid=40070817 transcript=Brasy2G004500.13 locus=Brasy2G004500 ID=Brasy2G004500.13.v1.1 annot-version=v1.1 MSLPPLRKGFIWISWPDTAYDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.14.p pacid=40070818 transcript=Brasy2G004500.14 locus=Brasy2G004500 ID=Brasy2G004500.14.v1.1 annot-version=v1.1 MSLPPLRKGFIWISWPDTAYDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.3.p pacid=40070819 transcript=Brasy2G004500.3 locus=Brasy2G004500 ID=Brasy2G004500.3.v1.1 annot-version=v1.1 MDTPDRPRAAANAAAAGFEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.6.p pacid=40070820 transcript=Brasy2G004500.6 locus=Brasy2G004500 ID=Brasy2G004500.6.v1.1 annot-version=v1.1 MDTPDRPRAAANAAAAGFEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.7.p pacid=40070821 transcript=Brasy2G004500.7 locus=Brasy2G004500 ID=Brasy2G004500.7.v1.1 annot-version=v1.1 MKVIMCIEVGVEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.8.p pacid=40070822 transcript=Brasy2G004500.8 locus=Brasy2G004500 ID=Brasy2G004500.8.v1.1 annot-version=v1.1 MKVIMCIEVGVEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSNLPQPIQFVGGSAECDKNQNAGGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.4.p pacid=40070823 transcript=Brasy2G004500.4 locus=Brasy2G004500 ID=Brasy2G004500.4.v1.1 annot-version=v1.1 MDTPDRPRAAANAAAAGFEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.9.p pacid=40070824 transcript=Brasy2G004500.9 locus=Brasy2G004500 ID=Brasy2G004500.9.v1.1 annot-version=v1.1 MKVIMCIEVGVEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.10.p pacid=40070825 transcript=Brasy2G004500.10 locus=Brasy2G004500 ID=Brasy2G004500.10.v1.1 annot-version=v1.1 MKVIMCIEVGVEDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G004500.11.p pacid=40070826 transcript=Brasy2G004500.11 locus=Brasy2G004500 ID=Brasy2G004500.11.v1.1 annot-version=v1.1 MSLDSPVFNFINNLSPIPPPKPQASAHNVQLFKSSDLAPVSSIFASPHINPAKESKLVIRDDPVQLPQDSNSPNSVRTRLGTAIRLIKSKNIVSENCSFTCHLSQAPIDSSINTSISTSGDKDLSTDQECTVEGVFFDHTGKDKMDSSQPGRIVHENQLCEQSRDGFVAYDEDYLATEELTSDMLRLAPPFDAETQLLNETLNADNAHSGTLLTDGSSGSYINNAAHDPHLYWDGAVDGSAMDYTPQLLPGVCQNQLVSNVQPCNALTEPSNHMPMDQSALSQHTRGTRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTSSSDHNSKPMKTPPCALPGIGLHLNALATISKDKIVPYAAQSSTIQASNFPSAVSSSPATSEPNTANEDSSQAIVVANADESGQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMETVLSTREQIESRNPLAFAPKVIRTSEPGQELGEQSNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREVVLLSIEQAKRGSVDNIACVKEETSENDNQLVIYQAANPASAENVLTTPSVEDIRPLSALPPSSSKRPRSSTKLLGHSSRLCNSQAPLKTDILLSPFESYAEMVLGDGASDVLKGGSSPQTSVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNKVDPKTSSPAP* >Brasy2G261300.1.p pacid=40070827 transcript=Brasy2G261300.1 locus=Brasy2G261300 ID=Brasy2G261300.1.v1.1 annot-version=v1.1 MALAISMEAIDARARELGIDIDSVDVDSITLPPGEDFDILSDDEDLLHNEDLPELEMGFANIIVVDNLPVVPPEKYEKLENVVRKIYSQIGVIKEGGLWMPTDQETKKTLGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFEKYMKVPDEWAPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTFTEVYWNDARRAMPELVYQKQYWTDSYIQWSPLGTHLATVHRQGAQVWGGDDKFVRLMRFAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDIRTGKVMRDFKGSADEFTTGGSIGVSGVSWPIFRWGGGRDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFSWSPTDPIISLFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSIYTGFELFRIKERDIPIEVFELDNKNDKIIAFAWEPRGHRFAVIHGDGPKPDISFYTMRTVNNVSRVSKLTTLKGKQANALFWSPAGRFIVLAGLKGFNGQLEFYNVDDLETMATGEHFMATDIMWDPTGRFLATAVTSVHEMENGFQIWSFNGKQIYKVSKDHFYQFHWRPRPPSLLTPEKEEEISRNLKKYSKKYEQEDQDVFNQVGEQERKRRTQLQEEWEGWVAKWKQQHEEEREYRMSLRGGEDSEKEEEGEIKEIEAEELLNVTEEVVSFDQD* >Brasy2G005800.1.p pacid=40070828 transcript=Brasy2G005800.1 locus=Brasy2G005800 ID=Brasy2G005800.1.v1.1 annot-version=v1.1 MLRTPAVTKILLNAPLPGAPDVGARKPRHQGCCAPPFIVRQRALGIARGDRRRDDGTVASFPTTAPKAPVAALATTSPIGEEDNPTLTPRPTHPTPPVSPTRTHRNGIRHPQSDLRRRPEEPAGKDCGRLFLRSCVSRLPRIRNRRHLLRRKEELILLVFRRGRSLFFSSSAAVGSRGEPDLPWSRSCGRRRHQDRAGPRGADSSLTPPPPSRSSGPALVLLPPRPSSSSSGKLGRSSSWPSHGRPPQAAPPLAAARLRPWPLAARRRPPVSILAPPRARARRHPRASRPGRPRGALRRHPRAPLAAPWPCAAAARALATRARLWPHAAARAPPPARALAARRRQRAPLAAPWPRAAAARVLALVAAARAPGRPRPGLAPPTMPGMVARSERPDEMCTAGASLLLLPLHPALPPVSALHAQEA* >Brasy2G323000.1.p pacid=40070829 transcript=Brasy2G323000.1 locus=Brasy2G323000 ID=Brasy2G323000.1.v1.1 annot-version=v1.1 MELLLLYSSSLVLLLISSQGLHSTEGRDLHYQLKEEPPAAPKVKAEEEGAVMAASAAMIGSRPPRCEGRCALCGRCEAVQVPVAPRDKGHFRLARAFGGDGADESSTNYKPLNWKCRCADRRILNP* >Brasy2G410400.1.p pacid=40070830 transcript=Brasy2G410400.1 locus=Brasy2G410400 ID=Brasy2G410400.1.v1.1 annot-version=v1.1 MAAAAAGGVVVDARYCAAEATAFAVTKTISLTGHDFTVTDARGAAVMQVEAAVFSFLQRRSLFLDSGRRPVLTVQDSAFSMGTRWAAYGGDSTSRRDLLFVAVKSSPVQLARTKVDVFLAAAGNGNGEQRAQADFVVRGSYYGGACTVSTGGGGGEIARITRQDTAGGALLGRHTYTARINPGVDRAFVLALAVILDEMHH* >Brasy2G338900.1.p pacid=40070831 transcript=Brasy2G338900.1 locus=Brasy2G338900 ID=Brasy2G338900.1.v1.1 annot-version=v1.1 MMSNIDAGCDDDGLSLDSNSEFSDFVPANWYGDANAGGPAAADALKSCFRKKRKRTDADCQYRKRQKSYQADQLPDHKKATNRGNLSWLSHIISSIPPDKKKIICDYGFPFVFHINSSGAPHSFAQWIADHIQPESCDIILDSSVIHLGADTFSEVIGLENTGLDVKVDFDCAKEQFLSLMGFSELPTIKQFGKMLLTNDIADDKYFICFMVVFLSTFLCPNSSTYPSIKYLGSLLVPSDVRNYNWASFGHKWFIESVRKYQKDKVKSKALSSRSNLTLGGCTYVPAVKYLDFADFGELKVDNCFPRTLVWKHDLIKDFARLDQKSAYEYGLRNVRDLSRTCYASVAKSNSKCSYLPVFKSSLESLFRDSLHDKVVQDICNLFKNSETSFGPDIMNAAGQFSIAVLECIRDAKLPPIGNENLAVYSGCVEKCNENSEAFVGDAVVDSQATERVSDPDDIEVAHDVPNEASDVETVVVNSDGEELSNKGIVSLNLLFVFLS* >Brasy2G001800.1.p pacid=40070832 transcript=Brasy2G001800.1 locus=Brasy2G001800 ID=Brasy2G001800.1.v1.1 annot-version=v1.1 MASIDVVDAPRLERFIMSGSLNPAGGSCTRVRVCDAPKLSLFGYLETGVHALEIRDTVIMAGIKPSASMMLTSVKTLSLKVRFGVLDDVKMLAAFLKCFPNVEALHIMSVKCDRSTGKLNLVRFWEKAGPVVSVMLRIKVMTFREYRAEQDELAFLQYIFQSARVLKFTSVSLANPIFTSLSVKEMSSTLYNMSDKKWVSKFSFLICGSNGPEGGSPWPFQRGADFSNDDPFALVKLITSDPATHQQQQQKKKSQAGKHRN* >Brasy2G094300.1.p pacid=40070833 transcript=Brasy2G094300.1 locus=Brasy2G094300 ID=Brasy2G094300.1.v1.1 annot-version=v1.1 MATVTGPASLLLWLTTISFVAAAAASPSPGKKSEEYHGKTLSLTLYQHETINKTAYMVVDGVAGAGVSQTTTPFGTIYVFRDNLTVHADRASAVAGVAEGSSVTTSLDGLLSLSAAKITLDHRGRRGSVSVLGGTHNTRPADHPVVGGTGDFAYALGYVRTSPVDLRGSTVTYKMELSLYWPPYAQYAPTP* >Brasy2G143500.1.p pacid=40070834 transcript=Brasy2G143500.1 locus=Brasy2G143500 ID=Brasy2G143500.1.v1.1 annot-version=v1.1 MSYDQMLAPLLGAAAGRSVWTTSTADAVRRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHPALGALVAAFAAYCFATALAFTVLDLLARPSTTTTSTSNGSGISIRGIKRKYLLPSGPFLLPLVLLALAKGQRLNAVFPLAQLGPALLLLLQCSALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAMRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEGVGWVFVAADAVYLVGWVAAEGGAVGVAVYSFVAALVFLCVFGKVYRFLAWVESKSQWKSSLGCHNVV* >Brasy2G208000.1.p pacid=40070835 transcript=Brasy2G208000.1 locus=Brasy2G208000 ID=Brasy2G208000.1.v1.1 annot-version=v1.1 MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRSSSFGGGGGGGGRRGVSRHTDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSEVYREDSGTTGIVDYTNYDDMKYAIKKLDDTEFKNAFSKGYIRVKEYDAKRGRSYSRSRSPSRSRSKSRSPSKSPRGRSASRSRSRSLSSRSRSASKGRSPSRSPARSKSPIASPANGAVASPKKRSPSRSPSRSRSPDAKSE* >Brasy2G208000.2.p pacid=40070836 transcript=Brasy2G208000.2 locus=Brasy2G208000 ID=Brasy2G208000.2.v1.1 annot-version=v1.1 MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRSSSFGGGGGGGGRRGVSRHTDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSEVYREDSGTTGIVDYTNYDDMKYAIKKLDDTEFKNAFSKGYIRVKEYDAKRGRSYSRSRSPSRSRSKSRSPRGRSASRSRSRSLSSRSRSASKGRSPSRSPARSKSPIASPANGAVASPKKRSPSRSPSRSRSPDAKSE* >Brasy2G208000.3.p pacid=40070837 transcript=Brasy2G208000.3 locus=Brasy2G208000 ID=Brasy2G208000.3.v1.1 annot-version=v1.1 MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRSSSFGGGGGGGGRRGVSRHTDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSEVYREDSGTTGIVDYTNYDDMKYAIKKLDDTEFKNAFSKGYIRVKEYDAKRGRSYSRSRSPSRSRSKSRSPSKSPRGRSASRSRSRSLSSRSRSASKGRSPSRLDCLFVLSGIVRRSWGLCIRILRMEFAQFGTILLVV* >Brasy2G208000.4.p pacid=40070838 transcript=Brasy2G208000.4 locus=Brasy2G208000 ID=Brasy2G208000.4.v1.1 annot-version=v1.1 MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRSSSFGGGGGGGGRRGVSRHTDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSEVYREDSGTTGIVDYTNYDDMKYAIKKLDDTEFKNAFSKGYIRVKEYDAKRGRSYSRSRSPSRSRSKSRSPRGRSASRSRSRSLSSRSRSASKGRSPSRLDCLFVLSGIVRRSWGLCIRILRMEFAQFGTILLVV* >Brasy2G305800.1.p pacid=40070839 transcript=Brasy2G305800.1 locus=Brasy2G305800 ID=Brasy2G305800.1.v1.1 annot-version=v1.1 MWPELPRCSFRQGANMAGAIFMSNSETREQCFRTNVFGLPPEYEPFVTDVKQGMPLFLFDYTERKLYGVFEATSDGGMNINRAAFRLNGRTYPAQVCFNIVWKCRPLREDEFFPAIEENYYFSKKFYFDLSYQQVVQLYGLFEKKRVEYPICNYSVSANLEKEHCSRGRPDKRSLTSNISPFSADQLHTLTAPSTLRNSTDETNYSASTSKNPIVPPIFETQPNVSMPLATKHSGFQSHSHHDQMKLPYHSNEYLQDVSTVDVISTQVSAPCSQTTRYHQDHFVANRSYPLSRDYPHNNLSSGCMTQGPTDGVRLSTEQACVGSSLLSARHITQVPTGEDRSYLISTPYVPSHPHFSMANSQGNANWKDDFDIHCNQCKEIYASEHQHLIRAKSLTPPKLIQQGIPSYIEVPGASSISQQKESSTEYTQIPDCAEDFENEQLKHGFTRDASGSPGFGNDIGEYMSDLPYESTTTVRGQRPQKSVFSRLSLKPQPPSQEVTGPSLNELLYSLSQRTKQWSSKDRAPTEDVGQKWVNEQVIDRPYPPAELNLPSGLEGQEESTHLPFLNFKRRSETERLNRNLGNDITGKVKRRKLVRPSFGKDDDTVSSGKELQGNGVGEAKPIPVETGGNKLIIDLNEPASVDSDVGEDGEILTCPTALKIQTEKPCEVNMNEPNCSKSTDVINQQDPSDNGAPTEKMSVDSTDVITRQDPSDNGVPTEKISLDLSVTDLNTMDKSKLQAILGSSLLQALDKLRSGKNGTVKMEMNSDGSTEPRCN* >Brasy2G218400.1.p pacid=40070840 transcript=Brasy2G218400.1 locus=Brasy2G218400 ID=Brasy2G218400.1.v1.1 annot-version=v1.1 MQERNRAESPWRPCSVSPRVPRYLRTAGALAAAVQSLIYARPPRPESASLHAQLLASGLRPTADLLVKILILHLRCGSHRNARAVFDGMPSPTHAAHNYLVAGYFRLGLPEEALGVVRRLAGSTGRLDVFALSMALKLSAALALPGAAKEVHARVVRSVAEFDDILFAALVDAYVKNASLGYARRVHDMMPAPARRVVCSTSLIVGCMNERLYREAEAIFEGMGEKDAVVYNALIEGYSKTEETAESSLEVLKAMQRAGFRPTVSTCVSVLGACSLLSAPELGEQVQCHVIKSNIVSDIKAGSALLDMYSKCGRVEDARKVFDGMADRNVITWTSMIDGYGKNGLSEEALELFGEMRRRGARPNHATFLSALSACARAGLMSRGQEVFESMERDYSLKPRMEHYACMVDLLGRFGSVRRAYDFVRGIPARPNSDVWAALLGAATLHGDVDMANVASKEVFELSRAGRPGAYMAFSNTLAAAGKWDGVHEVREMMKSRGVLKDAACSWVGSENPSSTH* >Brasy2G077700.1.p pacid=40070841 transcript=Brasy2G077700.1 locus=Brasy2G077700 ID=Brasy2G077700.1.v1.1 annot-version=v1.1 MPPPLPSAVSASAASSPFFLRSPPPPPPNHLRSSTPLKRHSTACPSRVVARASASGAAEADAETVFFDGGAHYGDLVANLVLGLTLLWLPLTLAAVSRALLLRYRFTSRRVTVISGLSGDDRTDFPYSSVSDVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRKMAAAEGGLGSR* >Brasy2G058700.1.p pacid=40070842 transcript=Brasy2G058700.1 locus=Brasy2G058700 ID=Brasy2G058700.1.v1.1 annot-version=v1.1 MSAKRENEPDGDELGAAEGAGSAEGGSPPSPLSAAPVVCFVRSAGDFARGAFVGSIVGYGHGLITQKGFKGSFSSAGSSAKTFAVLSGVQSLVVCLLRKLRGKDDIVNAGIAGFCTGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQQVAMAHTLDGNALAFAQGGVLPPFTLPPILDASDALASCCRALVKPKHKTTS* >Brasy2G451100.1.p pacid=40070843 transcript=Brasy2G451100.1 locus=Brasy2G451100 ID=Brasy2G451100.1.v1.1 annot-version=v1.1 MHMLGGKMKGGGGIGEMGSSISPLVSFTLGAAMATVCVLFFMSASPGRSLVDIAAWSHNNGTAAQHHSRHPSLPNVTAAAAKAAPAPAPVPSPYGDLEEVLKRAATADRTVIMTQINAAWTKPGSLLDLFFESFRTGEGGVVKLLDHLVIVTMDPAAYEQCQLVHPHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNKFQQTIVELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSCNKTIEFYRHWQEGRYRFYGKHEQDVFNLIKHEMTDSIGISIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTNAPVQEKRAGRFQWKLPGICIH* >Brasy2G433800.1.p pacid=40070844 transcript=Brasy2G433800.1 locus=Brasy2G433800 ID=Brasy2G433800.1.v1.1 annot-version=v1.1 MEAMVKGPKYECLLFDMDDTLYPLSAGINLACRKNIQDYMRDHLQIEESQIAEMCLGLYREYGTTQWLALGYEFDNNEFHANVHGTLPYGNLRPDPVLRTLLLSIPQRKIIFTNSDKVHAEEILRRLGLEDCFEGVICFETLNPPAAPSNGLSKSQDCMLFSGEPSSDLDDLNGSDLRPKSPILCKPTIESMEAAIRITNVDPKKTIFFDDSTRNIASGKAAGFHTVIVGRSAVVRGADHALESIHNIKEALPELWEGHDSSESDAVLASAAVETTVVA* >Brasy2G371800.1.p pacid=40070845 transcript=Brasy2G371800.1 locus=Brasy2G371800 ID=Brasy2G371800.1.v1.1 annot-version=v1.1 MEDYYCFPTFLDTASTNTTFAAVAVHPVSARNRSSPSPRPRGVSRDGSGELRHHYLDACFRCQRILAGNKDIFMYKGDTPFCSAECRQQQIDSDEAAEKRSKQSAAARSREQQRQRQSARLGSVEEGFDQSIEWQYQIVLHNI* >Brasy2G309900.1.p pacid=40070846 transcript=Brasy2G309900.1 locus=Brasy2G309900 ID=Brasy2G309900.1.v1.1 annot-version=v1.1 MDPSPAQAAGSERDRSPPPAPPPPASSSSASPLAVVCNFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRLLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRTAKEAEVSLLMDEVERAQSRLVSLEREKGDLRSQLQTTHEDTNNSDYVDPSDILESSLNAKEKIISELNAELRNIESTLSSEKEMHVNELKKLTALLSEKENALTELKKELQERPTQRLVDDLKKKVQILQAVGYNSIEAEDWELATNGQEMSKLEALLLDKNRKMEHELTQLKVKISEKSSLLEEAEKKIAELASKVEEQQKLILKLEDDILKGCSSTDRRSSLLNDWDLQEIGSNEVSEGSDQRYASPDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLAVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDIESGSSDVETKYKKMYEDDINPFAAFSRKEKDQRYKELGIRDKITLSSGRFLLGNKFARTFIFFYTIGLHLLVFTLLYRMSALSYLSITPAHDEIILDAGNQTLSHATKFN* >Brasy2G278900.1.p pacid=40070847 transcript=Brasy2G278900.1 locus=Brasy2G278900 ID=Brasy2G278900.1.v1.1 annot-version=v1.1 MAAAPCSLLHLATSISLSSPRLHLGHAITPLRRHQEQPRAKITAAAPAPAYKVTIEHRGESRVVEMEGDENILERALEEGLDVPHDCKLGVCMTCPARLVSGTVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATAND* >Brasy2G454200.1.p pacid=40070848 transcript=Brasy2G454200.1 locus=Brasy2G454200 ID=Brasy2G454200.1.v1.1 annot-version=v1.1 MDCGSDEQTKQNGGHGGAGDDPAEWKKVAELRAVVEAQDPASKEEDDFMLRRFLRARDHNIGKASAMFLKYLSWKRTAKPRGDITDDEVRNELAQEKLYMQGHDKEGRPMVYVLGARHLPSRRDLDEFKRFVAYVIDRTCTRLPAGQEKFAAVADLKGWGYANCDIRAYVAALDIMQSCYPERLGRVFLIHVPRVFMAAWRMVYPFIDEKTKRKFVFVPDSDLDATLRDAVDESQLPEQYGGKLKLQGYVTPN* >Brasy2G255500.1.p pacid=40070849 transcript=Brasy2G255500.1 locus=Brasy2G255500 ID=Brasy2G255500.1.v1.1 annot-version=v1.1 MIQMDKLVQHSEMELMKMAMLKHEETFRQQVNDLHRLYRIQQQLMSGPTLSPELHCRGQRRRHPRPRPPELNLVRTGTVLTPTSREREDELELTLAIGGGSRRKRREDNSFVSGTLPSSTNYGTGDLFPGPYHQRSMAFDLREGMMTKQPPWLLQCLSLRMA* >Brasy2G186400.1.p pacid=40070850 transcript=Brasy2G186400.1 locus=Brasy2G186400 ID=Brasy2G186400.1.v1.1 annot-version=v1.1 MGSEGPGRGPERDIDDLPRNDANYTALTPLWFLERAALAQPDRASVVHGPVRYTWAETYHRCRRLASALAQRSIGHGSTVAVIAPNVPATYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSMAEVVMVDQEFFTLAEESLKIVAEKKKRDFRLPILIVVGDPTCNPKSLEYALRHGATEYEEFLKTGDPGFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAIYLWTLPMFHCNGWCYTWALAALCGTSICLRQVSTKAIYTGIAKQGVTHFCAAPVVMNNLINAPASETFLPLPRVVNVMLAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDDLPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAHGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISTLEIEKVLYMHPDVLEASVVARADERWGESPCAFVTLKEGADCSDEAALASNIMKFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVKKSRM* >Brasy2G186400.2.p pacid=40070851 transcript=Brasy2G186400.2 locus=Brasy2G186400 ID=Brasy2G186400.2.v1.1 annot-version=v1.1 MAQSGTPGPRPTTGAAASPPPWRSDRSATAARFVPIPLRQKKVAVIAPNVPATYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSMAEVVMVDQEFFTLAEESLKIVAEKKKRDFRLPILIVVGDPTCNPKSLEYALRHGATEYEEFLKTGDPGFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAIYLWTLPMFHCNGWCYTWALAALCGTSICLRQVSTKAIYTGIAKQGVTHFCAAPVVMNNLINAPASETFLPLPRVVNVMLAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDDLPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAHGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISTLEIEKVLYMHPDVLEASVVARADERWGESPCAFVTLKEGADCSDEAALASNIMKFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVKKSRM* >Brasy2G241600.1.p pacid=40070852 transcript=Brasy2G241600.1 locus=Brasy2G241600 ID=Brasy2G241600.1.v1.1 annot-version=v1.1 MALASATSSLEIVPYSGDLEEPPVDVKRQDSLFRDATRPAHAGHHGQDSWLRTLRLGFQCVGILYADLGTSPLYVYSNTFKYGIRHEDDVLGVLSLIIYSFLLFAMVKIVFIALHANDDGDGGTFALYSLISRYAKVALIPNQQAEDELVSRYNNYGKPSATLRRAQWMKSLLEASKPAKLLLFFLTIFATALAISDCMLTPPISVLSAVNGLRLRAPHLTTDQIVWITVAILVAFFAVQHLGTDKIGYTFAPVVVVWLLLISGIGIYNLIKYDIGTLRAFNPKYIIDYFRRNKKKGWVSLGEILLCFTGTEALYADLGYFSIKSIQLSFSFGLLPSVLLTYIGQAAYLRKHMDMQYIPNAFFNSIPSPLFWPTFVLALTTSVIGSQAMVSCAFATMSHLQTLSCFPRVKILHTSRRYSGQLYIPEVNFFLCLASCIVTISFRTTGFIAKAHEICVALVMVITTLLMTIVMLLVWKVNIWWIVVFFAVFFSTESIYLSAVLYQFTKGPYFPLAMSAVLMVIMIVWHYVHVKRYKYELQHTVSPSEVKQLLERHDLKKVPGLGLFYTELVQGIPPIFPHLIDKIPTVHSVIVFISVKHLPVPHVDVSERFLFRQVEPKESMVFRCVARYGYRDTLEMANEFVATLVEYLQYYVRDLSLYCTAEPLKTSYPSIRMDSFRWEKKPSGHGHGIHAEEMLTPIQSFSELTMHQVGMSSRLAQFQTGKMNLEEMLKIEEDQKLIQREVDNGVVYILGETEVVAKPHSNLLKKIAVNYIFNFLRKNSRKGEKILSIPRGQLLKVGITYEI* >Brasy2G241600.2.p pacid=40070853 transcript=Brasy2G241600.2 locus=Brasy2G241600 ID=Brasy2G241600.2.v1.1 annot-version=v1.1 MALASATSSLEIVPYSGDLEEPPVDVKRQDSLFRDATRPAHAGHHGQDSWLRTLRLGFQCVGILYADLGTSPLYVYSNTFKYGIRHEDDVLGVLSLIIYSFLLFAMVKIVFIALHANDDGDGGTFALYSLISRYAKVALIPNQQAEDELVSRYNNYGKPSATLRRAQWMKSLLEASKPAKLLLFFLTIFATALAISDCMLTPPISDQIVWITVAILVAFFAVQHLGTDKIGYTFAPVVVVWLLLISGIGIYNLIKYDIGTLRAFNPKYIIDYFRRNKKKGWVSLGEILLCFTGTEALYADLGYFSIKSIQLSFSFGLLPSVLLTYIGQAAYLRKHMDMQYIPNAFFNSIPSPLFWPTFVLALTTSVIGSQAMVSCAFATMSHLQTLSCFPRVKILHTSRRYSGQLYIPEVNFFLCLASCIVTISFRTTGFIAKAHEICVALVMVITTLLMTIVMLLVWKVNIWWIVVFFAVFFSTESIYLSAVLYQFTKGPYFPLAMSAVLMVIMIVWHYVHVKRYKYELQHTVSPSEVKQLLERHDLKKVPGLGLFYTELVQGIPPIFPHLIDKIPTVHSVIVFISVKHLPVPHVDVSERFLFRQVEPKESMVFRCVARYGYRDTLEMANEFVATLVEYLQYYVRDLSLYCTAEPLKTSYPSIRMDSFRWEKKPSGHGHGIHAEEMLTPIQSFSELTMHQVGMSSRLAQFQTGKMNLEEMLKIEEDQKLIQREVDNGVVYILGETEVVAKPHSNLLKKIAVNYIFNFLRKNSRKGEKILSIPRGQLLKVGITYEI* >Brasy2G383800.1.p pacid=40070854 transcript=Brasy2G383800.1 locus=Brasy2G383800 ID=Brasy2G383800.1.v1.1 annot-version=v1.1 MASNKLQMLAVAAAIAVAFLPVLASATVHAVGDGTGWTLGFDYAAWSKSKEFRVGDALVFNYHKALHNVVEVSGPDFKTCSNSNGAAAWSSGADQVHLGKAGRRWFICTVGNDCQMGMKLNVTIVSADAPAPAAPAPAPWTAPAPWTAATAPSSSSPAHKSRRPFFSKW* >Brasy2G178000.1.p pacid=40070855 transcript=Brasy2G178000.1 locus=Brasy2G178000 ID=Brasy2G178000.1.v1.1 annot-version=v1.1 MDQIMNKMGSYWLGQRANKEMSSAGDDIESLSTSVGDGAKWLVNKLKGKMQKPLPELLKEHDLPAGLFPREATNYEFDPETRRLTVHIPAACEVGYRDGSELRFDTTVSGTLDKGGLAAVEGLKAKVLVWARVTAVKADAAKVHFTAGIRKSRSREAYEVVRGAITVDEF* >Brasy2G174200.1.p pacid=40070856 transcript=Brasy2G174200.1 locus=Brasy2G174200 ID=Brasy2G174200.1.v1.1 annot-version=v1.1 MCGILAVLGCADWSQAQRARVLACSRRLKHRGPDWSGLFQCEGNFLAQQRLSIVSPLSGDQPLYNKDRTVVVVANGEIYNHKKIRKQFAAKHAFTTGSDCEVIIPLYEEYGENFVNMLDGVFSFVLYDTRNKTYMAARDAVGVNPLYIGWGSDGSIWISSEMKALHEDCPKFELFPPGHLYSSAAAGFRRWYNPEWFLEHVPATPYQPRVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLVETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHNETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKDFIEVAMSMDPEWKLYDPDLGRIEKWVLRKAFDVEEEPYLPQHILYRQKEQFSDGVGYNWIDGLKAFTEQQVTDEMMKNAAEEYPYNTPINKEAYYYRMIFERLYPQDSARETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLIASHNDSSAAPAAHTEDAAGHASCKGVINGAVTANGNGHINGVVPNGKTTGILG* >Brasy2G494800.1.p pacid=40070857 transcript=Brasy2G494800.1 locus=Brasy2G494800 ID=Brasy2G494800.1.v1.1 annot-version=v1.1 MGRPASSTEKLVCVVLAVLAVLSPLYIDREPAEEEEDEEEGWGLLLPSALWLPGLLMVLILAINVACFVDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASF* >Brasy2G053500.1.p pacid=40070858 transcript=Brasy2G053500.1 locus=Brasy2G053500 ID=Brasy2G053500.1.v1.1 annot-version=v1.1 MVMMPAAIIEPRPSRCAGRCALCTWCEALGDEVGEISTNQPLNWRCRCLEPRKREIQEKKRG* >Brasy2G479100.1.p pacid=40070859 transcript=Brasy2G479100.1 locus=Brasy2G479100 ID=Brasy2G479100.1.v1.1 annot-version=v1.1 MLTNNYGPWSGHAFHQSEFASIFSFSTTFVFLIIPSEDTGGVSRYGLAVMLSPTMDMSKTLPGPYLGLFNIKTNGDATSGIFAVEFDTTKDPQFADIDDNHVGINVNNMVSLSSQTAGYYISNGTFSPLWLASGEPVQVWLDYDGNSHHLDVSLAPYLEHKPQRPLLSRNVNLPAVLGNSFYVGFSSSTLQSRHYIIGWSFNRTWEAQPLNYSALSQVIEDVRNKAQINDPHIPMAIRLLGVILTALIIFGMVVLIYVHMKRARENGEWKIECRPPSFTYKDLVSATSNFNDRMLLGKGGFGKVYKGVLQTSRRNVAIKRVSPESKQGMKEFITEITILGHLRHRNLVQLLGYSRHGNELLLVYDYMPNGSLDRVLHGQDRQTLDWIYRYKIIKGIASGLFYLHEDWEKAVIHRDIKTSNVLLDTEMNARLGDFGLARLHNHGTDAHTTDLAGTWGYIAPELARLGRATKATDVFAFGVFMLEVACGRHPIEVNDSGEPALLTDSVLHAWEGGSVLTTVDPRLEDYIREEVDLVLKLGLLCSHPILSARPCMRLVLQYLEKDSPLPDFQPCFLNLTSRDEGFDQYILSCPSVATTMTSLSGGR* >Brasy2G145500.1.p pacid=40070860 transcript=Brasy2G145500.1 locus=Brasy2G145500 ID=Brasy2G145500.1.v1.1 annot-version=v1.1 MLPPPLQGGGAMATGAANHAVPCAAGRPKRRNQQRSPASLSVRASSDANTVTLLDYGAGNVRSVRNAIRHLGFNIRDVRSPEDILTADRLVFPGVGAFGSAMDVLNRTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSEGLIVPHIGWNALQITKDTQLLQGANGYHVYFVHSYHALPSDDNRDWISSTCNYGQSFISSVSMGNIQAVQFHPEKSGATGLSIFEKFLSPNSSGTKAPPRRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHSSSKEVRNLGKPVELASQYYIDGADEVSFLNITGFRAFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDASGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKSPDEVQFKTVKVSSKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLIKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDDGVEVRMQ* >Brasy2G300600.1.p pacid=40070861 transcript=Brasy2G300600.1 locus=Brasy2G300600 ID=Brasy2G300600.1.v1.1 annot-version=v1.1 MPPDGAGCFGFILWTAWAREFTGASASATTGGGAWGRASNPLVILDRLMRWGRFWVWILLLDIGVEEEYLPVGRLGHATSECSLIILFLGHTSGGLEEFDSAAKILWKKRISLRVY* >Brasy2G341800.1.p pacid=40070862 transcript=Brasy2G341800.1 locus=Brasy2G341800 ID=Brasy2G341800.1.v1.1 annot-version=v1.1 MPRRPTGGRAGGRAAKRPRPHLYLVIEDLVKGYSIRKVDLEKDHSATDAVEQRRRRLPPVTLRIEATPDGPRDFTAAFGTKIVAYHVAARRYAPLFDVRTRCLGFCPRMKGGFQCSPIFIPVGDDKLFCLDWGSFQMLRAPRPVDRPKHPRYEDTEFPEWSPWRRLEIPPITHGLITCHAVHPDGRTIFVSADVEGRDTTGNTITLDTGAKAPRWTFHRGWQLPFKGPASYDGELGAWVGLANDPATLGHVCSCQPPSPEGGICGRQPPAWKLNDPAGERHAGATLVYLGTESKFCLVHCVSLDGYGKRRHLLRLTTFSLELDGNGDLRITSRRLVRSFRLPKAVLEIRSEFFTKPVAFWM* >Brasy2G038900.1.p pacid=40070863 transcript=Brasy2G038900.1 locus=Brasy2G038900 ID=Brasy2G038900.1.v1.1 annot-version=v1.1 MAHHPSFGFADYLSAADAAAAPTLMPAMDNGAPQELYGLQTSMELLGMRGLHPAMSGAASAATAAHCEGSGDAGDSTMRFFLEHQQQAPLSLSLHQQHHAPVSAWMVHEQDAAAHGHGHGHGAAWHLRSSRFLFPAQQLLEGFCSLPVDTKNKRTKAAQQQEDVGGGGEGSSSSSSCRAPSAQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRGLAGGFEAVAGERAAAGYTALASKTISRHFRSLRDGVVAQLLAVRKALGEKDSSSSKSSPGGMTRGDTPRLKVLDQCIRQHKAMHQNGGLMMETHPWRPQRGLPERAVTVLRAWLFEHFLHPYPSDVDKHILSRQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDDGAQGHLQDQAVDGAATAANNNPSNPSSGSSTSNLHGDGEQKPTRAQLRHADADIGVHAHDAGSLASVVNIGGARGRMVESFVDMDDHGQFGFDAYNDGGHADAGPSGFGGGVSLTLGLQQHESRDHGGVNIAFGAPTHGGFLFPGDQQMGGGGGIGVLHSSAGHGHHIQFGAGTGDASNGGQQHVDDAQHYRWLSTAGSSSQLLRDLTG* >Brasy2G038900.2.p pacid=40070864 transcript=Brasy2G038900.2 locus=Brasy2G038900 ID=Brasy2G038900.2.v1.1 annot-version=v1.1 MAHHPSFGFADYLSAADAAAAPTLMPAMDNGAPQELYGLQTSMELLGMRGLHPAMSGAASAATAAHCEGSGDAGDSTMRFFLEHQQQAPLSLSLHQQHHAPVSAWMVHEQDAAAHGHGHGHGAAWHLRSSRFLFPAQQLLEGFCSLPVDTKNKRTKAAQQQEDVGGGGEGSSSSSSCRAPSAQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRGLAGGFEAVAGERAAAGYTALASKTISRHFRSLRDGVVAQLLAVRKALGEKDSSSSKSSPGGMTRGDTPRLKVLDQCIRQHKAMHQNGGLMMETHPWRPQRGLPERAVTVLRAWLFEHFLHPYPSDVDKHILSRQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDDGAQGHLQDQAVDGAATAANNNPSNPSSGSSTSNLHGDGEQKPTRAQLRHADADIGVHAHDAGSLASVVNIGGARGRMVESFVDMDDHGQFGFDAYNDGGHADAGPSGFGGGVSLTLGLQQHESRDHGGVNIAFGAPTHGGFLFPGDQQMGGGGGIGVLHSSAGHGHHIQFGAGTGDASNGGQQHVDDAQHYRWLSTAGSSSQLLRDLTG* >Brasy2G038900.3.p pacid=40070865 transcript=Brasy2G038900.3 locus=Brasy2G038900 ID=Brasy2G038900.3.v1.1 annot-version=v1.1 MAHHPSFGFADYLSAADAAAAPTLMPAMDNGAPQELYGLQTSMELLGMRGLHPAMSGAASAATAAHCEGSGDAGDSTMRFFLEHQQQAPLSLSLHQQHHAPVSAWMVHEQDAAAHGHGHGHGAAWHLRSSRFLFPAQQLLEGFCSLPVDTKNKRTKAAQQQEDVGGGGEGSSSSSSCRAPSAQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRGLAGGFEAVAGERAAAGYTALASKTISRHFRSLRDGVVAQLLAVRKALGEKDSSSSKSSPGGMTRGDTPRLKVLDQCIRQHKAMHQNGGLMMETHPWRPQRGLPERAVTVLRAWLFEHFLHPYPSDVDKHILSRQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDDGAQGHLQDQAVDGAATAANNNPSNPSSGSSTSNLHGDGEQKPTRAQLRHADADIGVHAHDAGSLASVVNIGGARGRMVESFVDMDDHGQFGFDAYNDGGHADAGPSGFGGGVSLTLGLQQHESRDHGGVNIAFGAPTHGGFLFPGDQQMGGGGGIGVLHSSAGHGHHIQFGAGTGDASNGGQQHVDDAQHYRWLSTAGSSSQLLRDLTG* >Brasy2G429900.1.p pacid=40070866 transcript=Brasy2G429900.1 locus=Brasy2G429900 ID=Brasy2G429900.1.v1.1 annot-version=v1.1 MERCRIWWPRQQLQPGLESGSARYVLLGWLFSRAGSVDIVVADFVSEGEILRSFPSVHAFQTIILSLNKRMPVRLQESAAFTIIGDCVIHLPRDFEVCCGKQKYQPLCTQVVQKEHFDTNQGSSVVSNGSLGSENLHRGDNNRNRECDCSVLDGFVDTCKKSVVKGGKWMHFCCKPEKSFGCNMNQIPVIHHLYLDDQKVDINHFHVILYDVPIAGRSHFSLGEDAPHRLKPPFKVPNWINNLQKKPPVLDLDPIVLALNCSNAAKLPVSWKTAANSSVAHVFFATIFDALVQVVQHFTGVFFTFVSTIVYIIIQLFQKCLSHMPQYFMLQKVFRHSWRNIHIRSCQILYWPIFLQDTSLSSSVNVEYAHRAAIQKHALWSSIIMDLLMGFILGAALLVNTEIICSWTFALLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFIMGGFLRHIVRGLAFSGILFGFTVPVSFFIDIIQLATLHVTMLQWLVSLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYVFFTMLSSTIICLCIVLEIAICIIHSTPYAALILWVTRRQRFPAGLLFLPVSLSSVSTDEEDAQSVEYCSASGFGDRQTDHLDHVHPAPLVSRLHCNYNTIGQVIGPHYRKAFDGIALSFCKQLAHGILSGARIPTTLHLPSSPFPWMYISIRQYWMLCREATKQGWN* >Brasy2G429900.3.p pacid=40070867 transcript=Brasy2G429900.3 locus=Brasy2G429900 ID=Brasy2G429900.3.v1.1 annot-version=v1.1 MERCRIWWPRQQLQPGLESGSARYVLLGWLFSRAGSVDIVVADFVSEGEILRSFPSVHAFQTIILSLNKRMPVRLQESAAFTIIGDCVIHLPRDFEVCCGKQKYQPLCTQVVQKEHFDTNQGSSVVSNGSLGSENLHRGDNNRNRECDCSVLDGFVDTCKKSVVKGGKWMHFCCKPEKSFGCNMNQIPVIHHLYLDDQKVDINHFHVILYDVPIAGRSHFSLGEDAPHRLKPPFKVPNWINNLQKKPPVLDLDPIVLALNCSNAAKLPVSWKTAANSSVAHVFFATIFDALVQVVQHFTGVFFTFVSTIVYIIIQLFQKCLSHMPQYFMLQKVFRHSWRNIHIRSCQILYWPIFLQDTSLSSSVNVEYAHRAAIQKHALWSSIIMDLLMGFILGAALLVNTEIICSWTFALLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFIMGGFLRHIVRGLAFSGILFGFTVPVSFFIDIIQLATLHVTMLQWLVSLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYVFFTMLSSTIICLCIVLEIAICIIHSTPYAALILWVTRRQRFPAGLLFLPVSLSSVSTDEEDAQSVEYCSASGFGDRQTDHLDHVHPAPLVSRLHCNYNTIGDRTTLPKSFRWDCSLLLQTTGTWNPQRCKDPNNTASAVFSVPLDVY* >Brasy2G429900.2.p pacid=40070868 transcript=Brasy2G429900.2 locus=Brasy2G429900 ID=Brasy2G429900.2.v1.1 annot-version=v1.1 MTRRLILIISTYVILYDVPIAGRSHFSLGEDAPHRLKPPFKVPNWINNLQKKPPVLDLDPIVLALNCSNAAKLPVSWKTAANSSVAHVFFATIFDALVQVVQHFTGVFFTFVSTIVYIIIQLFQKCLSHMPQYFMLQKVFRHSWRNIHIRSCQILYWPIFLQDTSLSSSVNVEYAHRAAIQKHALWSSIIMDLLMGFILGAALLVNTEIICSWTFALLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFIMGGFLRHIVRGLAFSGILFGFTVPVSFFIDIIQLATLHVTMLQWLVSLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYVFFTMLSSTIICLCIVLEIAICIIHSTPYAALILWVTRRQRFPAGLLFLPVSLSSVSTDEEDAQSVEYCSASGFGDRQTDHLDHVHPAPLVSRLHCNYNTIGQVIGPHYRKAFDGIALSFCKQLAHGILSGARIPTTLHLPSSPFPWMYISIRQYWMLCREATKQGWN* >Brasy2G429900.4.p pacid=40070869 transcript=Brasy2G429900.4 locus=Brasy2G429900 ID=Brasy2G429900.4.v1.1 annot-version=v1.1 MTRRLILIISTYVILYDVPIAGRSHFSLGEDAPHRLKPPFKVPNWINNLQKKPPVLDLDPIVLALNCSNAAKLPVSWKTAANSSVAHVFFATIFDALVQVVQHFTGVFFTFVSTIVYIIIQLFQKCLSHMPQYFMLQKVFRHSWRNIHIRSCQILYWPIFLQDTSLSSSVNVEYAHRAAIQKHALWSSIIMDLLMGFILGAALLVNTEIICSWTFALLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFIMGGFLRHIVRGLAFSGILFGFTVPVSFFIDIIQLATLHVTMLQWLVSLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYVFFTMLSSTIICLCIVLEIAICIIHSTPYAALILWVTRRQRFPAGLLFLPVSLSSVSTDEEDAQSVEYCSASGFGDRQTDHLDHVHPAPLVSRLHCNYNTIGDRTTLPKSFRWDCSLLLQTTGTWNPQRCKDPNNTASAVFSVPLDVY* >Brasy2G154000.1.p pacid=40070870 transcript=Brasy2G154000.1 locus=Brasy2G154000 ID=Brasy2G154000.1.v1.1 annot-version=v1.1 MWDLLPDLLPSPHVLPDAGTGSLSPPPDLADWELVAARCRRPPPPTDLLPPRLVSSSQVRSPPRRENLLSDYIIEVSMEEDHTSAFKRVDDYVCAICDDGGHLLSCDGICGRLFHATKHTGAYSKCASLKLTKEQQEVPKFLCNNCKYKQHQCFVCGQLGPSDMSSKNNSNQQRVLPVFQCNKDGCKCFYHPECLSQWDLNEKVEDVLCPLHECMSCKNEQKEVSRKHATEGGDMSEMQLVICRRCPKAFHPECVPSTAPTWMTQGGILMYCSDHKVAKGLRSAKRDHLKFPEIEVENAVEGQIDHVQKGRDNGPETHTGKPAPEQAETSQHRSIEHNARYWLRSHGSLRS* >Brasy2G326700.1.p pacid=40070871 transcript=Brasy2G326700.1 locus=Brasy2G326700 ID=Brasy2G326700.1.v1.1 annot-version=v1.1 MITAGAVRAGLFRPRHAARPVRPVSPLPLAPIPSPPRTPPLSPPFCRPIDLCSLRSGTSHRQKPNTRLKSFPAAVAVPSPPIRPVRAKEPKAFNSSSNFPRRRPLPPARLRAAMAAHGASLPSPRVPTRPSSIPCVPALSLWARA* >Brasy2G010100.1.p pacid=40070872 transcript=Brasy2G010100.1 locus=Brasy2G010100 ID=Brasy2G010100.1.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSDGAHTSRSMFNNIDFDVPSFDLGFDTVPNVTQQYVPSFAACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLNGYSRLQHTFLHEILTMEKNKARLPVTERDYCTLDYLKFNCIIVHLRAVTQSTPK* >Brasy2G010100.4.p pacid=40070873 transcript=Brasy2G010100.4 locus=Brasy2G010100 ID=Brasy2G010100.4.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSDGAHTSRSMFNNIDFDVPSFDLGFDTVPNVTQQYVPSFAACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLNGYSRLQHTFLHEILTMEKNKARLPVTERDYCTLDYLKFNCIIVHLRAVTQSTPK* >Brasy2G010100.5.p pacid=40070874 transcript=Brasy2G010100.5 locus=Brasy2G010100 ID=Brasy2G010100.5.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLNGYSRLQHTFLHEILTMEKNKARLPVTERDYCTLDYLKFNCIIVHLRAVTQSTPK* >Brasy2G010100.6.p pacid=40070875 transcript=Brasy2G010100.6 locus=Brasy2G010100 ID=Brasy2G010100.6.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLNGYSRLQHTFLHEILTMEKNKARLPVTERDYCTLDYLKFNCIIVHLRAVTQSTPK* >Brasy2G010100.2.p pacid=40070876 transcript=Brasy2G010100.2 locus=Brasy2G010100 ID=Brasy2G010100.2.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSDGAHTSRSMFNNIDFDVPSFDLGFDTVPNVTQQYVPSFAACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLFSRRANLVIVTSV* >Brasy2G010100.3.p pacid=40070877 transcript=Brasy2G010100.3 locus=Brasy2G010100 ID=Brasy2G010100.3.v1.1 annot-version=v1.1 MILVLSCSCRTYSRSVFRHITSTTRMSLSKLKGHAAASDSTGPLTTDDATQYDSNGESETSSTMKSATSRTSAKTLYWIIKKFNPIKRQLVKEIGFGGLLEMPLWNSLNRIFSTWLLGQVDCVDQAIVLDAIRRLHFVPQDVNKVFGIPCGHRDVLGPETQISDAAMAYIREQAGISMTKVSLKDAEKIVLMDLSEQSTRLQKDSFKMAFVIIVMGHLLSPSTKYDHVNIDFLGALRCTEEIGQYNWCAYVLKGIIEAAQKVQADLTMNKVVSNIFACHLFLQIHYLDNLLLGSLQPTKNIFPRMKVFPTEVLNKLILADTNPGGGYGAKQFNARGTVVIPSQTYMSPPIVQQVPVPVGSGQSPALLHSVPTNSGSTLQITAATLPQFLREKYPALCNTSLANDLKLYNANMTRAMNERHTAEKTIVLQQNLWLADKICSFISSSPIPPRHSASTAKTECSGNSSVKRRDNKDEVNSRSQAHSKECTSPVRAMKSLEDTPKRSASQLIDAIKKKSKTDGSACNDDSKKRADDIAPCSPAATKLSMEANMVDLIMRSYNVDCADSKILLGAISSSPPCKRRTKVGTFAPSPWSEGYIHPKPNGDIMVSLMEWCADAPPHYFKMPWVITEFPRYISVTGAEIHQQLLGTDVLDFELCDLLVRRLTQLDTRMEPTSCRMRWRHLLESDFSVCAIAENDITSFISIQQQFIGDDVTYNMSCTRMFAVPSFIEQSWSAYMFDMKEEVIHVLDPLGLHLQSPAIKELHAHAANVIQDKPFDCFDKYYENWKPKKNKWPHVYPVLTNDKFSKNHSGLCMLHCLRNYNGDELEQPLTLFSRRANLVIVTSV* >Brasy2G428700.1.p pacid=40070878 transcript=Brasy2G428700.1 locus=Brasy2G428700 ID=Brasy2G428700.1.v1.1 annot-version=v1.1 MPPPVVTKPKTAPKPPVMPPPKTPSKPVLPPPSKALPKPPVLVPPPPMPMAMPPVPWEGPGYESRPIPEPVKKVCRLTLFPDLCGRVLSFAVDPQRANDTRRLAEAAARAAISAGTTLVAFGYVNAAGAKNGTRLRMCVRDCTVLVDLSVKNLTASVAALKRRQNAEAFKMAGDAAKGFGICWGSCAKFTGEAMTVITKRARELERLIMIVGSIILMIV* >Brasy2G275500.1.p pacid=40070879 transcript=Brasy2G275500.1 locus=Brasy2G275500 ID=Brasy2G275500.1.v1.1 annot-version=v1.1 MARQGGGGGEITAARGGIAVGGGAARTPAASPHQVPIDIEEEIYSPAFGNIAVPDSRGCCGGFTASVSKILFILQLLAFIALTIFLGVQASSHQNPTYKPFANFIPLASSVILSIIAACFWTILAITNPPKAIKTSLWTAPVLALACDVVILLVGDGAALGIGVLIVVIAIAAALYSCWATGPRLQHAAAVLSTSVNGAHLPFTASFLIIFVILAAFGYMAFWTVAISCIAAAEGHFMNFKIVYVAALLVSMSWTMQVLRYFVYVAVARLAHARLAYGVRMPGGVVEAFCGTVSGPALGDICMGAVVVPVIAAVRSLARAINTLTGGNDEFLFSCRGCCLAASEKMIGRVNRWGFVHVGARGKGFCVASRDVWSLFVLRGMAKLVDSDLTGSFCFLSAVTGGALASLVAGSWVMAMDRDHKEQALPLAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPHLGTLIPDHLYSSIHSVSFLMPGTWNQGISRS* >Brasy2G115100.1.p pacid=40070880 transcript=Brasy2G115100.1 locus=Brasy2G115100 ID=Brasy2G115100.1.v1.1 annot-version=v1.1 MEPYVCHVQCEISSVEVTGLACPVGSRGALFLRSHVPAGGGRTIQIDSRRHVSAHDDEATPSLTWRDVASMSCDGPPACVRELMAADTRSVVFEVRRRRRRSRSILQLGLGRAAALGSSASELVGRAEAPGRDVVSGAGEEDEAEMERRVLALDVRAVSRRGGASASSPAPVMLVRMSVRVSETPAAASYRGTCGCECEWSHEGGDEDVFGVVACGVADDLGE* >Brasy2G151600.1.p pacid=40070881 transcript=Brasy2G151600.1 locus=Brasy2G151600 ID=Brasy2G151600.1.v1.1 annot-version=v1.1 MPSSRHSRRRACPLSFSLPLRLDHRRCPPEARAASGHPGRATGAASLISPPAGSSVTASQGEISACSCHPPRAPTAFGMSAADALWEQIKAADQASDEHMPNGIQVRVDRPHGKPSSSQDPWHTICEAMRARKKELNEGISRGLRRPVRRAEDGVGRCEASDGELRGRLRAARWRRPAGAAARSGGGALEDGPLRRRRSAPAAAARAAAESCAEGGVSEMEDGRGGAVGGRRLPLRGPRQRAGGWPRRRWTRAPASSVRRAAEASWSRARGGAGGGRH* >Brasy2G073800.1.p pacid=40070882 transcript=Brasy2G073800.1 locus=Brasy2G073800 ID=Brasy2G073800.1.v1.1 annot-version=v1.1 MAFPASNIQPGVTDTTNPGRYPGWVILDKRPYCLDRENATTAEAKASTGLPIKVTFCLAAPPSISHFCVHSPGFDPENYTCKPSVVSSARDLVLLRFAFSVGPRNTYIDPFSAEYFIYRAWRKPTLTPIPVTPRGTRNTFNVAIVPCDDDSFFLADLSNGTTPGYYDLKIFSSKNGKWTTMTPGYYDLKIFSSKNGKEDLFLTHHKVVEIGKGVVGWVDLWHGIIICHLEEPLIYFIPLPKPGFNLCRKGDPKPTRDVTFFNGVLKFVEIEHYFRREVIIHNNYSTRFKMTKDLDSVDVIYDTELLLLPDEDRPASPVEEIKYVPDGWKIRTCYRRLSWDNWRRGHNIHVDDILVNKPSHSVLAPQLWDGSARKSTLRNLTAASPTFSIHGDDIVCLMSMVDFYDKNKNAWMVGVDLGKKTVEMLEPYIGGRLSYYENKNQEFVVWLFLWPTGDHQT* >Brasy2G471800.1.p pacid=40070883 transcript=Brasy2G471800.1 locus=Brasy2G471800 ID=Brasy2G471800.1.v1.1 annot-version=v1.1 MSSSSSPKPDAVSSPELPPLAAPNIAAAAAAAAAAASSSGGMGLSGPGVGVGSGRRLPPPCWTHEETLALIEAYRDKWEALRKGNLRAADWDEVAGAVTARCGHFPTATYKSGVQCRHKIEKLRKRYRAERSRSAGRSKGPKWPFFPLLHDLAGGGAPDPSPNPIIKIKPRAGGGIPASPSSPMSSPSSEEAGRSRSLHGLISNGGGGSGLRFTIPKGSRTKPVGAPREARADRGDEDPEAEAMAEVASALRAVGEGFLRMEERRLELSLQMEKERMDSEMKRTQTLLDAQQLFVEAFLGKQQTHHKKSKLAAFATAAAMEED* >Brasy2G229100.1.p pacid=40070884 transcript=Brasy2G229100.1 locus=Brasy2G229100 ID=Brasy2G229100.1.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICDFLIPSSEVNLLKACNSLYEGHVPVR* >Brasy2G229100.7.p pacid=40070885 transcript=Brasy2G229100.7 locus=Brasy2G229100 ID=Brasy2G229100.7.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICDFLIPSSEVNLLKACNSLYEGHVPVR* >Brasy2G229100.6.p pacid=40070886 transcript=Brasy2G229100.6 locus=Brasy2G229100 ID=Brasy2G229100.6.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICVFCHCRELICVYLDKTPLEREDS* >Brasy2G229100.3.p pacid=40070887 transcript=Brasy2G229100.3 locus=Brasy2G229100 ID=Brasy2G229100.3.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICVFCHCRELICVYLDKTPLEREDS* >Brasy2G229100.5.p pacid=40070888 transcript=Brasy2G229100.5 locus=Brasy2G229100 ID=Brasy2G229100.5.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICELWLSFWSEIHYNSLYDA* >Brasy2G229100.2.p pacid=40070889 transcript=Brasy2G229100.2 locus=Brasy2G229100 ID=Brasy2G229100.2.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICVCCENMPSNIIQRHLFC* >Brasy2G229100.4.p pacid=40070890 transcript=Brasy2G229100.4 locus=Brasy2G229100 ID=Brasy2G229100.4.v1.1 annot-version=v1.1 MEAADGLAFPCFSRRRPFPCSDAVVVAVLYFDGHILPQEHGHHRHRREHTGTPRRCCGEPPVAARHHRLSSPDCGCPARACQSICVCCENMPSNIIQRHLFC* >Brasy2G321300.1.p pacid=40070891 transcript=Brasy2G321300.1 locus=Brasy2G321300 ID=Brasy2G321300.1.v1.1 annot-version=v1.1 MAPSASMLFLSYHQLHHHGPAAAPAHEDGGAGGGVRLGFSNAFLSSLAALAPLPRRDDAAAKGAVGGDRGQRARVANRQEEAAALERKFDEALRLSCWSS* >Brasy2G208400.1.p pacid=40070892 transcript=Brasy2G208400.1 locus=Brasy2G208400 ID=Brasy2G208400.1.v1.1 annot-version=v1.1 MANPRPNPNPNTIPIPPPVHEPQSARPSPPPFRLPAPPSPPLVRRPRAPARGFQFAGSPFSTRPHSSPSRSRSAASSSPPPADPDRESVISSPPAPAPTASLRRQASPRASPPPPPWPPSPSSTEICRRIVALLGHRPGCCHDPFRFSLGSTQI* >Brasy2G102200.1.p pacid=40070893 transcript=Brasy2G102200.1 locus=Brasy2G102200 ID=Brasy2G102200.1.v1.1 annot-version=v1.1 MAASPPSPPSPAAAAVAGVWSPTPQSPPPNLTNFFVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQKNIFQMVRTVWASDGLGGFYRGISPGVTGSLATGATYFGVIESSKTWLENVNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQIQGSSKSWALNATKGNVSQSPGTQMYGYYNGMFHAGRSIWRDHGLKGLYAGYGSTLARDVPFAGLMVTFYEAMKELTDYGKRKYLPDSDLQVSNSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTRYKGWLDAIKKTWVSEGVDGLFKGSVPRIIWYIPASAFTFMAVEFLREHFNEKIDSDAREQT* >Brasy2G297000.1.p pacid=40070894 transcript=Brasy2G297000.1 locus=Brasy2G297000 ID=Brasy2G297000.1.v1.1 annot-version=v1.1 MTAVRRQAAAKPRGGGGAAAGASAWLAADGSKRWGEKFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVLGLVSTVPAFVIPLFLVGKADSIRSLKDRYWVKANVWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWSFEAAWILALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFLVSFPMFSRIDEKAEKWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPLPESRRCGQPGLAWFQVQNESV* >Brasy2G422000.1.p pacid=40070895 transcript=Brasy2G422000.1 locus=Brasy2G422000 ID=Brasy2G422000.1.v1.1 annot-version=v1.1 MGVYFQIQDDYLDCFGDPEVMGKIGADIEDFKCSWLFVQALERIDEKQKDILFVIHASFSLPD* >Brasy2G107200.1.p pacid=40070896 transcript=Brasy2G107200.1 locus=Brasy2G107200 ID=Brasy2G107200.1.v1.1 annot-version=v1.1 MPSAPGSGSFSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGEILTLWRSLDLDLVHILCSAFLVVFAGTVYVMSRPRPVYLVDYCCYKPSPSFRVPFTTFMEHTKLITNNEKSLRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFNAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINRYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVISTEIITPNFYKGSRRDMLLPNCLFRMGAAAILLSNRRRESKRAKYRLLHVVRTHKGADDRAYRCVYEEEDDEGHSGISLSKELMAIAGEALKSNITTMGPLVLPMSEQLLFFFRLVGRKAINKRWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLELSPRHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPTDGPWDDCIHRYPVEVPEVVKL* >Brasy2G417100.1.p pacid=40070897 transcript=Brasy2G417100.1 locus=Brasy2G417100 ID=Brasy2G417100.1.v1.1 annot-version=v1.1 MLHSRRNLGRVYRSAYESLVMARNIAIAGDTKWGKPDGRPHFPYANHFFCTSNNAPDKHSAITKGKEIDEMRRSICKVVGSHPDDYIWPDSIMKNSSHRDGSIYKAHFSLLKFHRITDRDETPLEPMMLSEPMDCQPNRERCYIHLPCRMMQIFSLKLAKIPTDMDSVQLYGYIAVRDSTDSLLNYIVNYSRDDPFIAQQGSPIEMTGPKRGISFDCAVLVEFDMRIKKGEKEEDDLQLIDGATEYSEIFTTFRPSTSRISGDYGAVDITLALVCRAVEATVEVIISKVQSGFNLSLSSIVFMDGSRQRIQLFRGIISESCGLRRYVIAVHMDSLMHMKFEVGQKSSKNVDLERYCSFKPNTHGCACQQVILELATISVKVTWSTMPI* >Brasy2G417100.2.p pacid=40070898 transcript=Brasy2G417100.2 locus=Brasy2G417100 ID=Brasy2G417100.2.v1.1 annot-version=v1.1 MLHSRRNLGRVYRSAYESLVMARNIAIAGDTKWGKPDGRPHFPYANHFFCTSNNAPDKHSAITKGKEIDEMRRSICKVVGSHPDDYIWPDSIMKNSSHRDGSIYKAHFSLLKFHRITDRDETPLEPMMLSEPMDCQPNRERCYIHLPCRMMQIFSLKLAKIPTDMDSVQLYGYIAVRDSTDSLLNYIVNYSRDDPFIAQQGSPIEMTGPKRGISFDCAVLVEFDMRIKKGEKEEDDLQLIDGATEYSEIFTTFRPSTSRISGDYGAVDITLALVCRAVEATVEVIISKVQSGFNLSLSSIVFMDGSRQRIQLFRGIISESCGLRRYVIAVHMDSLMHMKFEVGQKSSKNVDLERYCSFKPNTHGCACQQVILELATISVKVTWSTMPI* >Brasy2G222300.1.p pacid=40070899 transcript=Brasy2G222300.1 locus=Brasy2G222300 ID=Brasy2G222300.1.v1.1 annot-version=v1.1 MKFNIANPTTGCQKKLEIDDDQKLRVFYDKRISQEVLGDHLGEEFKGYVFKIMGGCDKQGFPMKQGVLSSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTNKNGKKVSKAPKIQRLVTPLTLQRKRARIAKKKQRIVKKKSEAAEYQKLLAQRLKEQRDRRSESLAKKRSRLSTAKAAPAATA* >Brasy2G222300.2.p pacid=40070900 transcript=Brasy2G222300.2 locus=Brasy2G222300 ID=Brasy2G222300.2.v1.1 annot-version=v1.1 MGGCDKQGFPMKQGVLSSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTNKNGKKVSKAPKIQRLVTPLTLQRKRARIAKKKQRIVKKKSEAAEYQKLLAQRLKEQRDRRSESLAKKRSRLSTAKAAPAATA* >Brasy2G105300.1.p pacid=40070901 transcript=Brasy2G105300.1 locus=Brasy2G105300 ID=Brasy2G105300.1.v1.1 annot-version=v1.1 MLHTEQTDGSAHANTELSSTGQYCPNSDSKGGFFADQFENLANYRAHYEWTGPEIWEQTKGTIHAFVAAAGTGGTIAGVSRYLKEKNKNVQCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSSVCHCSTLLSVQGRLLPIVTTTISSTCPIPVATTALSFLPCVAAASPSIAIPRSYRENLDYAFEPSNPFLSDAFFWVQIHYFWIHLCLLRFAEGLQVMDKSYPCCERYPLPWKTIILLFM* >Brasy2G130000.1.p pacid=40070902 transcript=Brasy2G130000.1 locus=Brasy2G130000 ID=Brasy2G130000.1.v1.1 annot-version=v1.1 MEKAIWDAYHVRIFCEICAKEVQLGNRPGPFLSSKGYKNLEDNFFSITKKRYVKKQFKNRWDSLKKGYTQWKELKIAASGLGWDSKLNTVDASSDWWNTHIEKYPDHAKYRNGGPANLNEMDIMFDGRHVTGATSAIPGQVPSETIDLAGDDTDEEDDEPTKAKAGKRKKSAQGRK >Brasy2G162900.1.p pacid=40070903 transcript=Brasy2G162900.1 locus=Brasy2G162900 ID=Brasy2G162900.1.v1.1 annot-version=v1.1 MPPPSLLLLHCRAPLPHPHRPLRMSSPSPSRVVCSATSAEAHIPAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGQKPDLALVACDVDATVAGAFTQNVVAAAPVLYCKRVLSSSKTARAVLINAGQANAATGDLGYQDAVDSAEAVAKLLNVSTNDILIQSTGVIGQRIKKEALLNSLPRLVGSLSTSTDGSNSSAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVRSDVWREMVRTSVSRSFNQITVDGDSSTNDCVIAMASGLSGLSDILTHDSAEARQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANNEAEAAKVARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFNPDQLDISLGVIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDISVGGGGGSGKAWGCDLSYKYVEINAEYTT* >Brasy2G133000.1.p pacid=40070904 transcript=Brasy2G133000.1 locus=Brasy2G133000 ID=Brasy2G133000.1.v1.1 annot-version=v1.1 MAAVKVEITRSTVLEPSPESARGGGEKVPLTVFDRASLDGYVTAVFAWNGPAAPSNDALKDGLIAAVARFPHLAGRFAVDELSGKKCFHLNDAGVLVTEATAAGDLADDDVSAHLSELYPNTDKERANEPLFQVQLTRYTCGGLVIGTACHHQVADGQSMSVFYTAWAAAVRTDDSGVLPTPFLDRSATAVPRSPPAPAFDHRNIEFKGPTSHSSCPNPTDNKTIKTLLVHYPDQFIAELKARVGARCSTFQCLLAHGWKKVTAARDLPPESLTRVRVAVNCRARARPPVPMGFFGNMVLWAFPRMQARELLSASYPAVVGAIRDAVARVDHEYIQSFVDFGDGGGDEEELASTAGMLSCQDLEVDSWLGFRFHDLDFGFGPPCAFVPPDLPFEGLMIFVPSCDAKGGVDLFMALDDEHVEAFKHICYSLD* >Brasy2G266200.1.p pacid=40070905 transcript=Brasy2G266200.1 locus=Brasy2G266200 ID=Brasy2G266200.1.v1.1 annot-version=v1.1 MANPSYYQTGPVSQQVKETEHLLHLYMYQRLEGSPDGNQKVIVNPNLPHLFGCLAVNDWAIYDGILPNANLVARAQGHHIGAGSSGESWTFLTNFVFVDKRFKESSLQVLGTIVQETPQIGEWAIIGGTGEFAFAQGIVSFKKIQELNRANIRELNIRAICRSFPKPTPVKVGPLGGKGGQAFDIPEPPKSLLSVTIRSGAVIDSIAFTYVDQAGKSQSAGPWGGSGGAAETILLAPNETVKKVSGTTGDFNGATVVTSISIVTNVKTYGPFGNVNGSPFSIPEKDGGSVVGFFGSFGSLVDALGAYVA* >Brasy2G022800.1.p pacid=40070906 transcript=Brasy2G022800.1 locus=Brasy2G022800 ID=Brasy2G022800.1.v1.1 annot-version=v1.1 MEAFDPDTYRLYQQLLFGDTQAGTSREEEAAAEAEAEAAFFQFQSPQAPMLGGVGDQMVINPAGFVDGGGSTLPPLQMPGGGGICAGNGDGFVPGGGNWAGNGDEFIQGGGSWAGNGDRFIPGGGNWAGNGDGFIPGGGNWAGNGGGFIPGGGNWAGNGDGFIPDGGSWAGNGDGFIQGGGSWTGNGDGFVPGGGGWAVNGGLQATGEAWAPAPAEDPLMQFGGEQLMHGGGVPILPGSMQELLMSDADLSEFVSNDEVVNSLLMSGYLNPLVTNQPASDSLGPTQVNAGSPEMMPQMSAMDYPQPQADVPQAVVVPDDNETAELAQMVRVAEECGDEAASTTWSEEEDQLLLQGLSQFADQDSITKCFNIAFGLPKKTVIDVANRIRWLSELEKMKKAAKQAEARPEIPARRKITKGKGTKGASKKNNKYPLSKEALDSKSAKAITKENDRLLDKIEKMLQTGQAKDCPEYFYYVKTNMDALHNKVSGLASVMEGLTVDDKEWADVFKDRNSSAAKGTATTPP* >Brasy2G081800.1.p pacid=40070907 transcript=Brasy2G081800.1 locus=Brasy2G081800 ID=Brasy2G081800.1.v1.1 annot-version=v1.1 MEVTSSAMHRLAGQKVQLTVFDRAAIDTYVPIVLAYPAPAPRLERLLRAVAPYPHLLGRFVVDPQGRRSLHLNNEGLLVIEASVPVDLADVLTGGGGMTTDVADLYPTVPEESVGAALLQVKFSRYRCGGLLIGSSANHIADGNSASTFYTMWAKAVREGKGFSVPAPFLHRAATAVPRSTPKPAFDHRSIEFKGGEDVNDSSKSYEVLPMDKIKNLTVHFPAEFIADLKARVGARCSTFQCLLAHVWKKITVARGLKPEEFTQVRVAVNCRARANPPVPMDFFGNMVLWAFPRLQVRDLLRRSYGGVVGAIRHAAARIDEEYIQSYVDFGGVADAKGEELQATSTFGTMLCPDVEVDSWLGFRFHELDFGTGPPSAFVPPGLPVEGLMVFVPSRTAKGSVDLFMAVAEDHVAAFERICYSLDPLQARL* >Brasy2G132800.1.p pacid=40070908 transcript=Brasy2G132800.1 locus=Brasy2G132800 ID=Brasy2G132800.1.v1.1 annot-version=v1.1 MATGARAATLDELLRVLGVQSRGELDEFLPLAAALMRDRSGTGGPRVASVCGVWSDLSCRLKPGFVEAVVSASGEEAGSTETAAVDFRGDADGACRRINAWAARVTRGLIDHVIGPHAVGAHTRVVLGNAVYFKGKWDQPFDKKHTKDRLFRLAGDGGGEVEVPFMHSWKRQFVAVHRGLDDDDGFSSSYLPPPYGRYGPFSVHPNASTGNLRAALPYSGYRNTSAGIATKAPAHLASSPSSAAPSSDKDSDHRVNLSPSTAAPSSNSNKRSRDHLASSQSSTAAPSPNKKKHSGDNDRAQFSMCIFLPDADDGLPSLINSIASRPSFLHEHLPHRCVEVGEFRVPRFKLSFHESLVATLRQLGLVLPFGVTARDQPFSF* >Brasy2G116200.1.p pacid=40070909 transcript=Brasy2G116200.1 locus=Brasy2G116200 ID=Brasy2G116200.1.v1.1 annot-version=v1.1 MPPPPPSVAGGGRCILSFAALRDRCFSHRFLAAGLRPLAVQLPVGGEEDPHTTVHMWVPASPPRNPLLLLHGFGASATWQWYPYLRPLIAAGFDPIVPDLVFFGNSCTRLPDRSDTFQARSIKAAMDAIGVTRFGLVGVSYGGFVGYRMAEMYPDAVEKVVMVCAGVCLEEKDLAEGLFPVAGVEEAAALLVPRRPDEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDHMLEKTELLYALISGRQLSTLPKLSQKTLIVWGEQDKVFPMELAHRLKRHLEGNSRLVVINNAGHAVNLEKPQEVCKSIIEFFQEPAYEASNEEKV* >Brasy2G437300.1.p pacid=40070910 transcript=Brasy2G437300.1 locus=Brasy2G437300 ID=Brasy2G437300.1.v1.1 annot-version=v1.1 MEEAPSVAVDSVLFGFYSEEEIKRMSVTRITKVDRLDAKNCPVPGGLLDLAMGPINATDTCNTCGQLSGLCPGHFGHIELSKPLFNPLLFSTLRDLLRSTCFSCHRFRLNSEQVRRYTRELELVSRGDVVRAKNLQDSVEPEEDDEMDEDSSVDQSSPSDRGNKTWTSIQLKEAHAIITKLLKKQVKKCANCDMRSPKVTCQIFGWLKIQDTKGSTVRRKAITDYNLEGDSHIHGSSENGVSGLSDDIIKGSSHQITGLSDDRIKEIPLLATEVESILKDLWEKEARFCMLLCDFQQNLSLSEKRRGYEMFFLKSLLVTPNRFRPSTTSSLGIMEHPQNVLLGKVQEANLAVQHDKANPNHMEIITRWRDLQTSVNLFYDSSKGFGKGDGNGNGVRQLLDKKNGIIRQKIMGKRVNHSCRTVISPDPYLAVNEIGIPPHAALKMTYPEKVMPCNAKKLQEAINNGSDIHPGATHYKVNNNMYKLQDDRSKRRALAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIVSAVLLTKLDTFISREEYSQLVYGSCVSSTRSPCQSGKRVSIMKDGDILELLPPAILKPKPLWTGKQVITTILNHLTKGHPPFTVEKKGKIPVKYLIPKERHGAKDNAKDPEEQVLYIHDNELIKGMIDKDQFGNYGIVHTVYELYGAETAGRLLSIFSRLFTLFLQLHGFTCGIDDLLLLQESDKQRTETLMTSEKCSDEVHRKFTCAGDYDEDPVKLQMEVEKVVRRIGESATVTLDKMMLSALNGLQSEVNQRLFPYGLQKPFPRNCLTLMTATGAKGGEVNMTQITSLLGSQDLEGARVPRMISGKSLPCFPPWDTTSRAGGFVSDRFLTGLRPQEYYFHCMAGRNGLIDTAVKTSRSGYLQRCLVKSLESLFVAYDHTVRNVDGSIIQFRYGEDGVDVHKSNFLDTFKDQDMFKELADNRKAVLDRLGSYTGDRMSLQSNYITMPEALTDRAMKFLSKDKWRCRHDIKEEELMNLLKAKYLASLVDPGEAVGVILGQSLGEPSTQMTLNTFHLAGRGDVNVTLGMPRIQELLMKASANIGTPVMMCPLLEDVTRDDAERLAAKLRGVRLVDIVEGIEVCTVPFYNNNGYVSSLYKLQIKLFPPERYPPQSELTVDECQTALRTVFVDSMEFAIEKHLKLLHRVSGIQETRVKDTESSLSEGPEESEGRPIDGEESGASDGDNENEDDLGTDGEKRKRQENDEMEYDDDDDVEKEDGMNSDPEEETKYELDNEDDPVESGGESEVNDEGHVSDSSNKVGELKGKLAAGKLKKEVNKTVENLEEQKQAQKGVKCTKLSRTVHVESTGLNFVVHYLLLHNEPHILLSQIAQKTLRSLFVKGSHNNKIDQCKVVWSEKKGDFRGLQTAGVNFDVFWGLQDYLDICKVASNDIHAILRTYGVEAARATIIKEVKAVFEPFGINVNRRHLSLVADFMTSGGDYRAMSSMGMAQFCTSPFGKMTFEQATRFITEAAFYGEADNLNGPSATISLGKPAKTGTGCFGLLQNFRLEETAVM* >Brasy2G437300.2.p pacid=40070911 transcript=Brasy2G437300.2 locus=Brasy2G437300 ID=Brasy2G437300.2.v1.1 annot-version=v1.1 MEEAPSVAVDSVLFGFYSEEEIKRMSVTRITKVDRLDAKNCPVPGGLLDLAMGPINATDTCNTCGQLSGLCPGHFGHIELSKPLFNPLLFSTLRDLLRSTCFSCHRFRLNSEQVRRYTRELELVSRGDVVRAKNLQDSVEPEEDDEMDEDSSVDQSSPSDRGNKTWTSIQLKEAHAIITKLLKKQVKKCANCDMRSPKVTCQIFGWLKIQDTKGSTVRRKAITDYNLEGDSHIHGSSENGVSGLSDDIIKGSSHQITGLSDDRIKEIPLLATEVESILKDLWEKEARFCMLLCDFQQNLSLSEKRRGYEMFFLKSLLVTPNRFRPSTTSSLGIMEHPQNVLLGKVQEANLAVQHDKANPNHMEIITRWRDLQTSVNLFYDSSKGFGKGDGNGNGVRQLLDKKNGIIRQKIMGKRVNHSCRTVISPDPYLAVNEIGIPPHAALKMTYPEKVMPCNAKKLQEAINNGSDIHPGATHYKVNNNMYKLQDDRSKRRALAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDGDILELLPPAILKPKPLWTGKQVITTILNHLTKGHPPFTVEKKGKIPVKYLIPKERHGAKDNAKDPEEQVLYIHDNELIKGMIDKDQFGNYGIVHTVYELYGAETAGRLLSIFSRLFTLFLQLHGFTCGIDDLLLLQESDKQRTETLMTSEKCSDEVHRKFTCAGDYDEDPVKLQMEVEKVVRRIGESATVTLDKMMLSALNGLQSEVNQRLFPYGLQKPFPRNCLTLMTATGAKGGEVNMTQITSLLGSQDLEGARVPRMISGKSLPCFPPWDTTSRAGGFVSDRFLTGLRPQEYYFHCMAGRNGLIDTAVKTSRSGYLQRCLVKSLESLFVAYDHTVRNVDGSIIQFRYGEDGVDVHKSNFLDTFKDQDMFKELADNRKAVLDRLGSYTGDRMSLQSNYITMPEALTDRAMKFLSKDKWRCRHDIKEEELMNLLKAKYLASLVDPGEAVGVILGQSLGEPSTQMTLNTFHLAGRGDVNVTLGMPRIQELLMKASANIGTPVMMCPLLEDVTRDDAERLAAKLRGVRLVDIVEGIEVCTVPFYNNNGYVSSLYKLQIKLFPPERYPPQSELTVDECQTALRTVFVDSMEFAIEKHLKLLHRVSGIQETRVKDTESSLSEGPEESEGRPIDGEESGASDGDNENEDDLGTDGEKRKRQENDEMEYDDDDDVEKEDGMNSDPEEETKYELDNEDDPVESGGESEVNDEGHVSDSSNKVGELKGKLAAGKLKKEVNKTVENLEEQKQAQKGVKCTKLSRTVHVESTGLNFVVHYLLLHNEPHILLSQIAQKTLRSLFVKGSHNNKIDQCKVVWSEKKGDFRGLQTAGVNFDVFWGLQDYLDICKVASNDIHAILRTYGVEAARATIIKEVKAVFEPFGINVNRRHLSLVADFMTSGGDYRAMSSMGMAQFCTSPFGKMTFEQATRFITEAAFYGEADNLNGPSATISLGKPAKTGTGCFGLLQNFRLEETAVM* >Brasy2G479500.1.p pacid=40070912 transcript=Brasy2G479500.1 locus=Brasy2G479500 ID=Brasy2G479500.1.v1.1 annot-version=v1.1 MLPLRRRRRRGAAWRVCLGAGHSAASRTVKPESYQAIASLSLPSHSKTISASPAGPLPRGSSCNAAPWPEWTTAEGEQWVILVCVRAFNVIWADRHGVQGYEAAAEPSPRDDPRAGDVVCFVFPRSRFFGVDVRTGKFIHWN* >Brasy2G297500.1.p pacid=40070913 transcript=Brasy2G297500.1 locus=Brasy2G297500 ID=Brasy2G297500.1.v1.1 annot-version=v1.1 MPGGEKEERVTMEVTDEVLKIMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDIQNATCLKTINSKKYGVELVCFTTNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSMSLCYEKDNFISGSLDRTVLLWDLRADKAQGLLRVQGRPAVSFDNQGLVFAVAYGGYIRMFDARKFEKGPFDVFSVGNDDSEAHVIKFSSDGRRLLLTTKAGRIHVLDSFHGNNIATYSVKPVLGNSTLEASFSPDGNHIISGSGDGTVYAWSVRSGKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSFAVTK* >Brasy2G297500.2.p pacid=40070914 transcript=Brasy2G297500.2 locus=Brasy2G297500 ID=Brasy2G297500.2.v1.1 annot-version=v1.1 MPGGEKEERVTMEVTDEVLKIMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDIQNATCLKTINSKKYGVELVCFTTNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSMSLCYEKDNFISGSLDRTVLLWDLRADKAQGLLRVQGRPAVSFDNQGLVFAVAYGGYIRMFDARKFEKGPFDVFSVGNDDSEAHVIKFSSDGRRLLLTTKAGRIHVLDSFHGNNIATYSVKPVLGNSTLEASFSPDGNHIISGSGDGTVYAWSVRSGKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSFAVTK* >Brasy2G297500.3.p pacid=40070915 transcript=Brasy2G297500.3 locus=Brasy2G297500 ID=Brasy2G297500.3.v1.1 annot-version=v1.1 MPGGEKEERVTMEVTDEVLKIMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDIQNATCLKTINSKKYGVELVCFTTNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSMSLCYEKDNFISGSLDRTVLLWDLRADKAQGLLRVQGRPAVSFDNQGLVFAVAYGGYIRMFDARKFEKGPFDVFSVGNDDSEAHVIKFSSDGRRLLLTTKAGRIHVLDSFHGNNIATYSVKPVLGNSTLEASFSPDGNHIISGSGDGTVYAWSVRSGKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSFAVTK* >Brasy2G472700.1.p pacid=40070916 transcript=Brasy2G472700.1 locus=Brasy2G472700 ID=Brasy2G472700.1.v1.1 annot-version=v1.1 MDPAGVGPRETELAWHLLTVLVRIGRPAAAAELAATVASASGDVTPQLIERLCGVPGSPLRCSSGGVVTASETAVAAFLRFVGWAYVPPPPRVGLRASDLRRWCGEVPIRYDCKRKASSDAKDFGVKMRLLMGVDADLVEQEQQQLQQLILQSCSSVATSEVHLEVMQEEIPTLGPYTVEPSLKFLTGGTLVPSAAQISMSCLQPRLDQLFGGSDENILGKTTSALVPMEVSTPSFTDIPSLCAEKTERVHAAADNETNGNGEPEGALPSYYSRVEDSDDLEKASLLPTTAEVALVGTSVIGVDEDLNFIYKRPSSPFHNCNTHAVENTGRTNVISDQAAIRHDSPNAGYYAEIPSCGPDANALAEIQNNKAIQVLFQSPTHTKAEPTLHDQMHGTIESSCQSSLDAKVKPAVLLLEATSYDCINNSIENKYLNDREQQHNDAGVNFSKKEQDRKIVKQRDKGKKNNVLPAGVKDQLAERAQKGNAGPKPLPIFKHFVVEEEEGSGGYGIVYRARRKPDGSVFAIKCPHSNAHSHHVDNERKMLERFGGKHFVIRYETSFRSGDLDCFVLEHVVHDRPEILKKEIGVFEMKWYGYCLFSALSSLHKQGVVHRDVKPGNFLFSRRLAKGYLIDFNLANDIHQKYLRNSKSETISRGKDTTSQSALKSALVIQAKEVAADPKQALGSKRKRSNKGPEGGDPSIANKSKHGGQAADISGVTSAKDPTSTKTPLDRLKQPMPYKGRKELMNFLHEAMHSPKQKTPEAPVSQRKRVAAPVSSGDRKLFVLTPMPLRSGGSAVAGSGMFNSKGQGKQRREGPCVGTKGFRAPEVLLRSFHQGCKVDVWSAGVTLLYLIIGKSPFGGDPEQNMKEIAKLRGSEGLWEVAKLHNCESSYPSELCDAKWLQSVDLREWCVANSRRPEFLEYLPDSLFDLVDKCLAVNPRCRITSDEALSHEFFASCHESLRKMKALRIGRSGAGASHPPPCLSQDNMVKVNES* >Brasy2G158100.1.p pacid=40070917 transcript=Brasy2G158100.1 locus=Brasy2G158100 ID=Brasy2G158100.1.v1.1 annot-version=v1.1 MPTGWSSPSPSPPASPVINSADSLSPRSPHTRPSSLHHSILQAHLREEATNRSMEFYVDEKWKFSKKSRNNGSRRVPGGGGGGDPFLKRSASTRDQVIGRRAGAAGAASSAGGCAAPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS* >Brasy2G431300.1.p pacid=40070918 transcript=Brasy2G431300.1 locus=Brasy2G431300 ID=Brasy2G431300.1.v1.1 annot-version=v1.1 MAMVPDLGSDFAQRLLVDLRRRRERLGFESSSSSSQQQQQRTPPRDACSNSQKPLRSQKPQQRTPAPGARRPPESTTTQRSSYQQQGSSGSAIAMAGKPRRRRADIVAAADAHAIVPFQGGGSGGAQPKPVVDVQMAALALALSSSNGGGGKLRHVELVARNGSVFFRDPDMAAAIGVRELNEMLMAYSSGGRRRSLSSAAMDLEESLSMLVMLQDASGYAMELGGSGRSGGGSGKVLLLKGKENRKSSLSPSSSARIVEIIDEDSDAEQAKDRKASTQIVAYNNSEAYQSPNSRSAMPSCAAGSSNSKINGASNDGSKVRMPSVIAKLMGLDNLPSSSAPAKAVPERKGTEKFVRPEALPEKEIRTNSMDRKLPIRIVASEKASSKGQHKILLAGDWKTGVTNFGEFEFANALSNSPSHPAHGGNKQVRQTMGQVLRKMVGTEQGVDERIIHEDKASAEEIKLQIPVSTGSRSDAGKRMDFLKRFRKSSESRPAMEDKHTTEEKSTGAGKKQDTIMKRLLGRDSETKSRRAREKINKENLAITETNAAGKNGRTDQMKRQAQNKHTDRKATRKKAQSCRKTQTETPARNLEDKKPVMLHAPHIRKKLEYTVVIEQENEEHTEVNDTVSFSKPSDSTHGDVVGFSEQLARAVTGSITTGAASLDQTSKDVTEETNDPTTIDVDVAQESEDSKFLHQSTTVEMMNGGRINHTDSETTQIPETLRPPETFTEEEQEQQQQQQQQQQQQQQQMMVKEQQTDGPDHTTKSTNPTFLQDHHKTHVVSCDSFTESQLLLMQLLLKDRYLLETAKSIIKVDAPVDLVINAGAGNRSDKCKGLLSDVTQEVTRRKAKRTEAMEGVAGSRKLRYLDDLVRELDGDVESLDTCRRPHRPPDEDGTAEVLGIVLERDIENNRPDANSTWDYGWDFRVSELPVEKNEVVRDLEKNILGGIITDVGAPEYNPINNLRPDGGHQARRHGRAGRLGGREAGPGGGGGPGDIHVDGLLVGGGSGRADQVEPAGGGGQAGVGRAQFGSVPGVRGEERQRALVHSLCRARPARPCHELQGTRRAPLETTLR* >Brasy2G431300.3.p pacid=40070919 transcript=Brasy2G431300.3 locus=Brasy2G431300 ID=Brasy2G431300.3.v1.1 annot-version=v1.1 MAMVPDLGSDFAQRLLVDLRRRRERLGFESSSSSSQQQQQRTPPRDACSNSQKPLRSQKPQQRTPAPGARRPPESTTTQRSSYQQQGSSGSAIAMAGKPRRRRADIVAAADAHAIVPFQGGGSGGAQPKPVVDVQMAALALALSSSNGGGGKLRHVELVARNGSVFFRDPDMAAAIGVRELNEMLMAYSSGGRRRSLSSAAMDLEESLSMLVMLQDASGYAMELGGSGRSGGGSGKVLLLKGKENRKSSLSPSSSARIVEIIDEDSDAEQAKDRKASTQIVAYNNSEAYQSPNSRSAMPSCAAGSSNSKINGASNDGSKVRMPSVIAKLMGLDNLPSSSAPAKAVPERKGTEKFVRPEALPEKEIRTNSMDRKLPIRIVASEKASSKGQHKILLAGDWKTGVTNFGEFEFANALSNSPSHPAHGGNKQVRQTMGQVLRKMVGTEQGVDERIIHEDKASAEEIKLQIPVSTGSRSDAGKRMDFLKRFRKSSESRPAMEDKHTTEEKSTGAGKKQDTIMKRLLGRDSETKSRRAREKINKENLAITETNAAGKNGRTDQMKRQAQNKHTDRKATRKKAQSCRKTQTETPARNLEDKKPVMLHAPHIRKKLEYTVVIEQENEEHTEVNDTVSFSKPSDSTHGDVVGFSEQLARAVTGSITTGAASLDQTSKDVTEETNDPTTIDVDVAQESEDSKFLHQSTTVEMMNGGRINHTDSETTQIPETLRPPETFTEEEQEQQQQQQQQQQQQQQQMMVKEQQTDGPDHTTKSTNPTFLQDHHKTHVVSCDSFTESQLLLMQLLLKDRYLLETAKSIIKVDAPVDLVINAGAGNRSDKCKGLLSDVTQEVTRRKAKRTEAMEGVAGSRKLRYLDDLVRELDGDVESLDTCRRPHRPPDEDGTAEVLGIVLERDIENNRPDANSTWDYGWDFRVSELPVEKNEVVRDLEKNILGGIITDVGAPEYNPINNLRPDGGHQARRHGRAGRLGGREAGPGGGGGPGDIHVDGLLVGGGSGRADQVEPAGGGGQAGVGRAQFGSVPGVRGEERQRALVHSLCRARPARPCHELQGTRRAPLETTLR* >Brasy2G431300.4.p pacid=40070920 transcript=Brasy2G431300.4 locus=Brasy2G431300 ID=Brasy2G431300.4.v1.1 annot-version=v1.1 MRLLVDLRRRRERLGFESSSSSSQQQQQRTPPRDACSNSQKPLRSQKPQQRTPAPGARRPPESTTTQRSSYQQQGSSGSAIAMAGKPRRRRADIVAAADAHAIVPFQGGGSGGAQPKPVVDVQMAALALALSSSNGGGGKLRHVELVARNGSVFFRDPDMAAAIGVRELNEMLMAYSSGGRRRSLSSAAMDLEESLSMLVMLQDASGYAMELGGSGRSGGGSGKVLLLKGKENRKSSLSPSSSARIVEIIDEDSDAEQAKDRKASTQIVAYNNSEAYQSPNSRSAMPSCAAGSSNSKINGASNDGSKVRMPSVIAKLMGLDNLPSSSAPAKAVPERKGTEKFVRPEALPEKEIRTNSMDRKLPIRIVASEKASSKGQHKILLAGDWKTGVTNFGEFEFANALSNSPSHPAHGGNKQVRQTMGQVLRKMVGTEQGVDERIIHEDKASAEEIKLQIPVSTGSRSDAGKRMDFLKRFRKSSESRPAMEDKHTTEEKSTGAGKKQDTIMKRLLGRDSETKSRRAREKINKENLAITETNAAGKNGRTDQMKRQAQNKHTDRKATRKKAQSCRKTQTETPARNLEDKKPVMLHAPHIRKKLEYTVVIEQENEEHTEVNDTVSFSKPSDSTHGDVVGFSEQLARAVTGSITTGAASLDQTSKDVTEETNDPTTIDVDVAQESEDSKFLHQSTTVEMMNGGRINHTDSETTQIPETLRPPETFTEEEQEQQQQQQQQQQQQQQQMMVKEQQTDGPDHTTKSTNPTFLQDHHKTHVVSCDSFTESQLLLMQLLLKDRYLLETAKSIIKVDAPVDLVINAGAGNRSDKCKGLLSDVTQEVTRRKAKRTEAMEGVAGSRKLRYLDDLVRELDGDVESLDTCRRPHRPPDEDGTAEVLGIVLERDIENNRPDANSTWDYGWDFRVSELPVEKNEVVRDLEKNILGGIITDVGAPEYNPINNLRPDGGHQARRHGRAGRLGGREAGPGGGGGPGDIHVDGLLVGGGSGRADQVEPAGGGGQAGVGRAQFGSVPGVRGEERQRALVHSLCRARPARPCHELQGTRRAPLETTLR* >Brasy2G431300.2.p pacid=40070921 transcript=Brasy2G431300.2 locus=Brasy2G431300 ID=Brasy2G431300.2.v1.1 annot-version=v1.1 MAMVPDLGSDFAQRLLVDLRRRRERLGFESSSSSSQQQQQRTPPRDACSNSQKPLRSQKPQQRTPAPGARRPPESTTTQRSSYQQQGSSGSAIAMAGKPRRRRADIVAAADAHAIVPFQGGGSGGAQPKPVVDVQMAALALALSSSNGGGGKLRHVELVARNGSVFFRDPDMAAAIGVRELNEMLMAYSSGGRRRSLSSAAMDLEESLSMLVMLQDASGYAMELGGSGRSGGGSGKVLLLKGKENRKSSLSPSSSARIVEIIDEDSDAEQAKDRKASTQIVAYNNSEAYQSPNSRSAMPSCAAGSSNSKINGASNDGSKVRMPSVIAKLMGLDNLPSSSAPAKAVPERKGTEKFVRPEALPEKEIRTNSMDRKLPIRIVASEKASSKGQHKILLAGDWKTGVTNFGEFEFANALSNSPSHPAHGGNKQVRQTMGQVLRKMVGTEQGVDERIIHEDKASAEEIKLQIPVSTGSRSDAGKRMDFLKRFRKSSESRPAMEDKHTTEEKSTGAGKKQDTIMKRLLGRDSETKSRRAREKINKENLAITETNAAGKNGRTDQMKRQAQNKHTDRKATRKKAQSCRKTQTETPARNLEDKKPVMLHAPHIRKKLEYTVVIEQENEEHTEVNDTVSFSKPSDSTHGDVVGFSEQLARAVTGSITTGAASLDQTSKDVTEETNDPTTIDVDVAQESEDSKFLHQSTTVEMMNGGRINHTDSETTQIPETLRPPETFTEEEQEQQQQQQQQQQQQQQQMMVKEQQTDGPDHTTKSTNPTFLQDHHKTHVVSCDSFTESQLLLMQLLLKDRYLLETAKSIIKVDAPVDLVINAGAGNRSDKCKGLLSDVTQEVTRRKAKRTEAMEGVAGSRKLRYLDDLVRELDGDVESLDTCRRPHRPPDEDGTAEVLGIVLERDIENNRPDANSTWDYGWDFRVSELPVEKNEVVRDLEKNILGGIITDVVRDLVGVSVRHGCCPCVA* >Brasy2G126000.1.p pacid=40070922 transcript=Brasy2G126000.1 locus=Brasy2G126000 ID=Brasy2G126000.1.v1.1 annot-version=v1.1 MELVFCIVICLSFVFLLPSFATATTPTGMVQRETKQQILASIPPHWQENPVLFLTSPSGKYGAYFIRSQTAPGAGGLGADFCYVEVLDTTEPGAEGRSVWESECLAVSTVNTCALVFSWKGLEVFDGSNSVWHTHDTESDDNNFLKTLQLVDEGDMRVLDKGGELAWKASDEPRAAQHCGLPGSPGLASAMPPFAETVGQGSGNLPFGQEHGGNGNGLPQAAGYGGASSGQGQAVEGVGETIGFGSQPLVEGSPYDSGALKQGCTLLGIGVALGLTAVVAMTLQGI* >Brasy2G093400.1.p pacid=40070923 transcript=Brasy2G093400.1 locus=Brasy2G093400 ID=Brasy2G093400.1.v1.1 annot-version=v1.1 MAFDSTTSLSPSDPAVAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPDAGLPLQSSSGRRSFSDSQMSPRLPGRCPSPSRGSRGMASPTKGRGMEASPNGHIVQATANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNQHLQWRCINARTDASLLLQSFTAEKILHSAWKEISKLRDNVSSKRCKLQLQKQKLKLFAILRGEMSSLEEWSHIEKHHSSSLSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSLCNLLSKVDGTSSVVSELARLATQEQMLLDQSRDLLSTVAAIHVKQCSLKAHMLQRKQKQSQTQW* >Brasy2G093400.2.p pacid=40070924 transcript=Brasy2G093400.2 locus=Brasy2G093400 ID=Brasy2G093400.2.v1.1 annot-version=v1.1 MAFDSTTSLSPSDPAVAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPDAGLPLQSSSGRRSFSDSQMSPRLPGRCPSPSRGSRGMASPTKGRGMEASPNGHIVQATANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNQHLQWRCINARTDASLLLQSFTAEMSSLEEWSHIEKHHSSSLSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSLCNLLSKVDGTSSVVSELARLATQEQMLLDQSRDLLSTVAAIHVKQCSLKAHMLQRKQKQSQTQW* >Brasy2G062300.1.p pacid=40070925 transcript=Brasy2G062300.1 locus=Brasy2G062300 ID=Brasy2G062300.1.v1.1 annot-version=v1.1 MLLFQMDDSGGITARSPPEAKDPGVFEVEPGGGGGGARGNKWKRGSWLRSILPKRGVMRRGKKEEEEPSRPAGVVSVDPGGGGGDAQVERKASFRRSFEWMVCREPPSRGGGSLEPPRHSWDGSMVGRALACSFGCLEEPPDGVTTVRRSNAEEAAVESRAAVAESRNEGLSADMGGEGRRFGERSCGDTGGPAMTVSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLDRSFSESRKETRRCNNGEAADIDVESQPGRNGLVSGRASQGATRSSQASANGDAQNFRTDWLKNKDCKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSNRTANRGRRRSSRLFARGLFGFV* >Brasy2G393900.1.p pacid=40070926 transcript=Brasy2G393900.1 locus=Brasy2G393900 ID=Brasy2G393900.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLTEMAAEEIHLLLGVSVEIDKMTDKLGDLKNFLADADRRNITDETVQGWVTELKRAIYDATDILDLCKLKAMERGESTVDAGCCNPFLFCIRNPFHAHDIGSRIKKLNERLDAIKQRSASFSFINLGSYEDHGRDVHASRPGNPSRETSGELDRSAVVGEKIEEDTRALVARILETSEGVNNNIMVFAIVGVGGIGKTTLSQNVFNNESIQSEFEKKIWLSVNQNFDKTDLLRTAITLAGGDHHGEKVLAVLQPILTEALKGKKFLLVMDDLWSHGAWEGVLKTPLVNASASGSRVLVTTRHEAVARGMAATWPHHHIDRLSLDDAWSLLKKQVVLSSETDEYQVNMLKDIGLEIIQKCGGLPLAIKVMGGLLRQREMQSHDWQEVLNDSKWSMTKMPEDLNNAVYLSYEDMPSYLKQCFLYYSLLPKSNEFNVLVVVAMWIAEGFIPGNSNDDLEEMGIKYYKELISRNLIEPDKSEFTQWYCSMHDVVRSFAHYIAREEALVAQNGEMDILIKLNSQKFLRLSIETGELQSGELDWKSVQKQQSVRTLISTVQIKMKPGDSLVSFSSLRTLHIECADDVAALVESLHKLKHLRYLTLKGIDISVLPGNISKMKLLQYLDLDECKNLVKLPGSIVKLGKLRVLFLPEVSMVPRGLRSMTNMRLLGGYRAHMDGDWCSLDELGPLSQLRFLELFQLENVSTASSAANARLGEKIHLTELRLFCTSKLRHGGSANHNEVVSEEEQRRIKKVFDELCPPPCVEYLCIRGYFGQQLPSWMMSTSTVPRDVNLKALFISDLACCTQLPSGLCHLPCLQVLQVVSAPCIKRVGTEFLHTSQATAVPFPRLQKMVLNGMTELEEWEWEEKVQAMPRLEELLLQSCKLGRVPPGLASNARALRKLSIEYVDQLSYLENFPSVVELTVDWCPDLERISNVPKLQKLTIIDCPKLKSVKYLPALQRLLLDDGRMETLPGYLGDVNPSYLELRCSLTLLTSIAAGKSGPEFAKFSHLERVKARGVYTSDFYVLYTRGPCSFDTNVNPSYLSRGTLPIFEDAQRLESALKMPRRAFDYVCNLLKKKSWQDANKYTFLDGGFLSLEDRVAVALIVLNSGERPATLGSSVAVNESIVSQVTNSFVDAMYGPRWPGTTEMEKIKYKFDMIHGLPNCCGVVHAAHIPFGSQNNDNESEKKNEDLLMQVVVDSDMRFTNVHLGSPDNMKEAGISHDFSLFEQCNEGNWLNGSKLNLSDGRQVGEYVIGDVGCPLLPWLLTPYHLENDLSDCQVEFNRRHAEAMAVVPRGALERLKDTWKFMHGRGWRPKDQYVLRKAIQTCCKLHNIVIEMERKAGTTSNDAIYGRQEVRARQVADEDAAMARDILSQHLTSKQLESGGTLTIFEDAQRLESVLKMPRRTFDYVCSLLKESSLEIMNNYFFFDIRFLSLEDRVAIALIMLNSGDPPATVGSFLGVNESTVSLVTKSFVDAMFERAKYHVGWPQSDEMEKMKSKFDKIHGLPNCCGVLHTTLVTSASRSWDHQDKDSIVLQGVVDPYMRFTSIWVGPQAGDTNLSSFLYSSKLFENSDKGAWLNGSKLKVSSEEVGEYVIGDVGYPLLPWLLTPYEMQNDVTDIPSHQAEFNRRHSAAGNIALKAQERLEGTWKFMHGDWRPDTPLEMVRAISVCCRLHNIVIGMEEGEGMPSSDQEVTYSDQVRQLAEDAVRARDVLSQYMTSRSSESRVDEVDQEIQQEATSSVSGDKEQQEAHSRKAEEEK* >Brasy2G392500.1.p pacid=40070927 transcript=Brasy2G392500.1 locus=Brasy2G392500 ID=Brasy2G392500.1.v1.1 annot-version=v1.1 MQHSNRDPSLVTMANQAKMEKTNSSPLHRVIDARRWDAERLLGRLFVLFHAVFLDSGFTPAPEDPTTGSSSSRLPPQVGATASTLPLRYLAPPLLAVVALKADCARGRDVVLHVHAGADSKRLLWARVDAVAAAPLLAGGLDYTARTLRTASPVAALWRELADGLRRRVLAQLSGAGGIPTTLMSLPGDAKAEILARLDSGKDLARAAAACGDLRRVVADRDRELWKPLYEAVGTGGGTPLPGLTWKERYLVEKLSVFKWPQSLTDKCCRRITNLLNSLCDEDDRPAPSSSGGRREKPKRRRLN* >Brasy2G139600.1.p pacid=40070928 transcript=Brasy2G139600.1 locus=Brasy2G139600 ID=Brasy2G139600.1.v1.1 annot-version=v1.1 MPRIKRGGDYLTRSSDKNKRAYDGGETLESTISGILHSDCIFEEQIDSFCDFSQGVWSELTEEVASKLSRSVVSLALSNGQRVLFACSGIAIECQPNDTRFLTSAALLTAFDDEEEEDHDHLEIQVHHKGNIATGLIGDYEFDREIAVVNITASLDVHAVDLTHNVEFSPHSKVVALGCAVSGKLIAESGILICNSSRSGDSEELMFSTCKIPDETCAGGPLFDFDGNFIGINLLLVEGKTSFLPANLITERFKQFLQRRRMKRGLLDWDDKRSSGKIQKDSTPYYSYERDSFGYPKPSKTVISTGMILVNTFEETFGDLYGSGKGAWSKLSKKVSSNLSRTVVSLASFNGETRSFACTGLFIEWNGCTTILTSASLVRDPDDVTEMAASVRIEVLLPNNQRREGILQHCNLHYNVALVSVKGHRALRSANILKRQDQHCGYMNVVAIGRCFESGILMATCGKLVPWSGKIGNNLEYSTCKITKAGIGGPVVDFDGKFVGMNFYDKKIGTPYLSWNTILDALAPFKNKRTVIEVDSDTYPKRWPVPTACWCDPKSTSELRDNGAEDDIRKYGYVNGEKFMYPVRITVFSPPVFIEKLPPVFNGKSS* >Brasy2G139600.2.p pacid=40070929 transcript=Brasy2G139600.2 locus=Brasy2G139600 ID=Brasy2G139600.2.v1.1 annot-version=v1.1 MPRIKRGGDYLTRSSDKNKRAYDGGETLESTISGILHSDCIFEEQIDSFCDFSQGVWSELTEEVASKLSRSVVSLALSNGQRVLFACSGIAIECQPNDTRFLTSAALLTAFDDEEEEDHDHLEIQVHHKGNIATGLIGDYEFDREIAVVNITASLDVHAVDLTHNVEFSPHSKVVALGCAVSGKLIAESGILICNSSRSGDSEELMFSTCKIPDETCAGGPLFDFDGNFIGINLLLVEGKTSFLPANLITERFKQFLQRRRMKRGLLDWDDKRSSGKIQKDSTPYYSYERAGMILVNTFEETFGDLYGSGKGAWSKLSKKVSSNLSRTVVSLASFNGETRSFACTGLFIEWNGCTTILTSASLVRDPDDVTEMAASVRIEVLLPNNQRREGILQHCNLHYNVALVSVKGHRALRSANILKRQDQHCGYMNVVAIGRCFESGILMATCGKLVPWSGKIGNNLEYSTCKITKAGIGGPVVDFDGKFVGMNFYDKKIGTPYLSWNTILDALAPFKNKRTVIEVDSDTYPKRWPVPTACWCDPKSTSELRDNGAEDDIRKYGYVNGEKFMYPVRITVFSPPVFIEKLPPVFNGKSS* >Brasy2G139600.3.p pacid=40070930 transcript=Brasy2G139600.3 locus=Brasy2G139600 ID=Brasy2G139600.3.v1.1 annot-version=v1.1 MPRIKRGGDYLTRSSDKNKRAYDGGETLESTISGILHSDCIFEEQIDSFCDFSQGVWSELTEEVASKLSRSVVSLALSNGQRVLFACSGIAIECQPNDTRFLTSAALLTAFDDEEEEDHDHLEIQVHHKGNIATGLIGDYEFDREIAVVNITASLDVHAVDLTHNVEFSPHSKVVALGCAVSGKLIAESGILICNSSRSGDSEELMFSTCKIPDETCAGGPLFDFDGNFIGINLLLVEGKTSFLPANLITERFKQFLQRRRMKRGLLDWDDKRSSGKIQKDSTPYYSYERGETRSFACTGLFIEWNGCTTILTSASLVRDPDDVTEMAASVRIEVLLPNNQRREGILQHCNLHYNVALVSVKGHRALRSANILKRQDQHCGYMNVVAIGRCFESGILMATCGKLVPWSGKIGNNLEYSTCKITKAGIGGPVVDFDGKFVGMNFYDKKIGTPYLSWNTILDALAPFKNKRTVIEVDSDTYPKRWPVPTACWCDPKSTSELRDNGAEDDIRKYGYVNGEKFMYPVRITVFSPPVFIEKLPPVFNGKSS* >Brasy2G048400.1.p pacid=40070931 transcript=Brasy2G048400.1 locus=Brasy2G048400 ID=Brasy2G048400.1.v1.1 annot-version=v1.1 MAMASTTMEVDQDLPGFRFHPTEEELLDFYLSRVALGKKLHFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRPIRSAGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDSASGAGSAAPPKEDMVLCKIYRKATPLKELEQRASAMEELQQQRCGYGGKNGAVVAMAAGDRDDYLSSSSFEFDDVVQDNFLIPSSSSSSSAAAASGSNNRKSDHAPKEAKREAEAAAVTVAISKPSSSLPQPASHGTSKPPVLQLPAAGHGVFDFDLPSLQQLPAVASHGMSDLPSLQLPAAGHGALDWLQQDPFLAQLRSPWQDQHCLSPYAHLLYY* >Brasy2G164800.1.p pacid=40070932 transcript=Brasy2G164800.1 locus=Brasy2G164800 ID=Brasy2G164800.1.v1.1 annot-version=v1.1 MIWVHFWGMVFILSYTLLLAARIAAGCFY* >Brasy2G175600.1.p pacid=40070933 transcript=Brasy2G175600.1 locus=Brasy2G175600 ID=Brasy2G175600.1.v1.1 annot-version=v1.1 MPATRRRRPSPPPPAWTPEPWTDGETSALLEAWGPRHLRAGGGPLRTADWRACAAAVTARRAAAGRAPRTVDQCKNRMDYLKKRLRAERSRPRGADPPLSGSLGRLRKLLSQAPSVPHGLAPRVTAAPKSEEEDDDEGEQNGGAPLHRDWPPVPKRRRTAVSLSPVSSAAEGHRHENGGVGCTEVAAALDRLAGTYERVEAAKQKEAARLEERRLEAMRDLEIGRMRVLVDVAIPTSADADKAAAATPAAA* >Brasy2G405200.1.p pacid=40070934 transcript=Brasy2G405200.1 locus=Brasy2G405200 ID=Brasy2G405200.1.v1.1 annot-version=v1.1 MAAPAPAPGVTAWEIPSERDRPAFIDSFKSARVPAVRVGTPARPSVSEMLAARPLYAAATVYLPPPAPEMVYFARRHAYAYIAPADSPFRAEPGPFVRRVFRTLALDLPQTFEILRRSCYGDAALRFRTPDDREAAMRRQPFHLDGATVRLVREGETPDVTLTPPMDCLALVALRDYPAEQDVEENCCAFGFVLEVDPACFAAAAAPDLSPVRVVLQLEHPREIPRELRIRYADGSRSVVPVEILRVWDRSESLDANGERVPVFQPPAESA* >Brasy2G425400.1.p pacid=40070935 transcript=Brasy2G425400.1 locus=Brasy2G425400 ID=Brasy2G425400.1.v1.1 annot-version=v1.1 MAMKQLFSVFLFFLLLAAGEGTPSAIISKTCTRASNFSTYGGYDYCVGVLTAEPAAASANSTQTLATVATKLALDNVTSTVLVLDDLVRSIAGCLGNYGGMNHTVTTALEDIRAGRTEAAAGKFSGAAGKPGMCDSALSKGSAKKNPMRKENHDAESLSYTAYGITMEALHATLLATADAPSVTITKACVGLSNFSTHADYDFYVGALTADQAAGAAKDVRALATVVANLTSTNVSSTLLVLHDLRHSLSDCLSYYKDMSKTVTAAVYYIGAGQADAASDLLHDASNQPYNCDILLFQGSAMKNPMMKENDDARHLSY* >Brasy2G393700.1.p pacid=40070936 transcript=Brasy2G393700.1 locus=Brasy2G393700 ID=Brasy2G393700.1.v1.1 annot-version=v1.1 MWRRRQHALLVLRRISQADVYPARGMVHRFRLRARGGDRGNGCVSQRRSRSDRQGRPDGGNGGTGGDVILECSGSIWDFSSLQHHTKAGRGGHGLPKNQIGTRGSDKIAQVPVGTVIHLVRGELPFFTANTPTRSLDPWDIPDAAEQSVASSNQMNNKDSINGNESERGSSNQWEKQTYSSTGVSSTQHKVEMDESDQFDSEDDEFWEDDDEDDVEEEDTEEEREEDDVQYSVAELTRPGQRLIVAQGGEGGLGNVSIVKGIRIFLVLELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPRTKVLTYVLDLAATLNGRKGTPPWEQLHDLVVELEHYQEGLTKRPSLIVANKIDEEGADAMYEELKRRVQGVPIFPVCAILQEGVPDLRVGLRDLMDASDPQGVDFSKVMVD* >Brasy2G409400.1.p pacid=40070937 transcript=Brasy2G409400.1 locus=Brasy2G409400 ID=Brasy2G409400.1.v1.1 annot-version=v1.1 MSGLYGQQGSFSPARNLSPQIRSNPPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSSVHNPGFNDFDRHRYRSPSPMSSPNPRSNLSGNGFSPWSGLPQERLGFPQGNNMDWQGAPPSPSSHVVKKILRLEVPVDSYPSFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPVKEDKLRGKPGYEHLTEQLHILIEAEFPANIIDARLRHAQEIIEELLKPVDETQDFYKRQQLRELALLNSSLREDSPHPGSVSPFSNGGMKRAKTGE* >Brasy2G110700.1.p pacid=40070938 transcript=Brasy2G110700.1 locus=Brasy2G110700 ID=Brasy2G110700.1.v1.1 annot-version=v1.1 MIGERGVSGGPDHIGASLQAPAGKKEVASRVSSVEAGSSLVNFSSAGGKKVGGAWRPPRAPSRRPPPPLDRPRRPPPPLDRPRRVGKEVASPAGGKEVGGAWRRRGLPRVGLRRRSLALVGWGRRWLARPAGRRWAARGGGAGSLASASAAARSPAPVGKEAATRGRWEEGGAARGGRRGLPRVGLRRRSLARVGWGRRLLGSGLSVSRDRGWSGRDV* >Brasy2G370800.1.p pacid=40070939 transcript=Brasy2G370800.1 locus=Brasy2G370800 ID=Brasy2G370800.1.v1.1 annot-version=v1.1 MVQSSEFQTHPWLPAQTAQADGLVFDPTAPSFEGTGSHGTALPATEHGRAQAANHETPRTRPPPRTAPPPLGSPSSSTQHYPMACAGSARKVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDGLPFDEIKDVYLLDFVGPPGFVADIAPKVESVTILDHHKTAMESLCGCAALGQNVNKVIDMQRSGATIAFDFFRNKLLTEASNLRNHGSGNAVTEVKYLPDNKHEMVQKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFNVNANGKLFDQLLELDPEQVISRGQVTLSQKQKLIDDCLEKSYEIELGCGKFGNCLAVNADAISKLRSELGNQLANKSRNLNLRGIGAVVYNVPELNNDQMLKISLRSLEQEDTTSISQEYGGGGHRNASSFLLSVTEFERWKVGAEPSNTETA* >Brasy2G370800.2.p pacid=40070940 transcript=Brasy2G370800.2 locus=Brasy2G370800 ID=Brasy2G370800.2.v1.1 annot-version=v1.1 MVQSSEFQTHPWLPAQTAQADGLVFDPTAPSFEGTGSHGTALPATEHGRAQAANHETPRTRPPPRTAPPPLGSPSSSTQHYPMACAGSARKVSAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDGLPFDEIKDVYLLDFVGPPGFVADIAPKVESVTILDHHKTAMESLCGCAALGQNVNKVIDMQRSGATIAFDFFRNKLLTEASNLRNHGSGNAVTEVKYLPDNKHEMVQKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFNVNANGKLFDQAVNADAISKLRSELGNQLANKSRNLNLRGIGAVVYNVPELNNDQMLKISLRSLEQEDTTSISQEYGGGGHRNASSFLLSVTEFERWKVGAEPSNTETA* >Brasy2G143600.1.p pacid=40070941 transcript=Brasy2G143600.1 locus=Brasy2G143600 ID=Brasy2G143600.1.v1.1 annot-version=v1.1 MAAALDRSSRISFGWMEFVSDPVSWFWFPSNRTRLGLRVLFELRASKVVGPPLKAEAGRSDPPKPFAAVLDLVFDPGDSRPDCRLRFATLLAGGGDQGVPGEGTTHPKPVIFVAIVAMQNSESSSSSAGAGGAMKEKKRLSAEGKALALTASQPAARLPQIQEDVPVNPKDMLQGEMDASVLGKRSAAQKDDHMVQNSLALVLSTMDRKGGGTSKRGKKENVADKNKKEATGLGAAGHLTGAHDAARQEQ* >Brasy2G409900.1.p pacid=40070942 transcript=Brasy2G409900.1 locus=Brasy2G409900 ID=Brasy2G409900.1.v1.1 annot-version=v1.1 MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYILPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGGPPRAGGPGGPGGAPPVPGAGAPAPRV* >Brasy2G228100.1.p pacid=40070943 transcript=Brasy2G228100.1 locus=Brasy2G228100 ID=Brasy2G228100.1.v1.1 annot-version=v1.1 MRNHKLVPTASSSSKNNTKQEEPVHLSGAYIRSLVKQLSSSSTARSKDHHYSTMGTKPQGLQPQEEEQQAHAAPQTTPVEAQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQQQLLQLQQQQDQVHHHHQVVQEQSQTGSRVAMSPYASCFSDYLYNSSPFSHLTATSSSSTGSYFSPLLPYHTSTPAVAPVQADALDHLMLPLPTQPLGLNLSFQGFTGSAVNAGGVVDDDGKNDSGTACSFDPITPLLQPSPASSYSVYSSPSATMGAGSNDYMSSSGAVTADQNSSSLAAAAAAAGEASGQLQLHRALDDEEMAAIYSIGEQHDIEWSDTVNLVTSAWWSKLQDSVVQGSGIQGTAMAQQAAAVETMEDASSKQYRLQGDCFGDILGHHHQGTMGGTGSDVVLAGMHHLGDYYGTEDVSWPRMDIGEIEGWDAEWFS* >Brasy2G043300.1.p pacid=40070944 transcript=Brasy2G043300.1 locus=Brasy2G043300 ID=Brasy2G043300.1.v1.1 annot-version=v1.1 MHPLAPRTQLLLFPAPHPTIPNHRACLLATPVPPSTTTPTAAARSPPRAVSPTTTTVATDDGSTQSDSGANTSGSKWAEFAARVSGEWDGYGADFTVAGDPLELPANVVPEAFREWGVEVLDWQTQCPTLADPATPCALHYRLVRLLPTVGCEADAATVYTSHQRHASSASAFAYGGSSSSYVAAWPKGPATVLEVEHCVVHPEIGDDEVVRRVRVVQTVALASKAPGPVLRGVKVFSEQWYGPFRNGDQLGGCALREAAFAAGERLAKSEVVGSWESTEASAARFSGTGKFAGLEPDEPRRTTARDEAGLGVLTLLPKQLWSSFKVDEEAGEVVCEVGWVLGQGRALTSRCVLSKDGDVKEIAAACESRVSEGT* >Brasy2G043300.2.p pacid=40070945 transcript=Brasy2G043300.2 locus=Brasy2G043300 ID=Brasy2G043300.2.v1.1 annot-version=v1.1 MHPLAPRTQLLLFPAPHPTIPNHRACLLATPVPPSTTTPTAAARSPPRAVSPTTTTVATDDGSTQSDSGANTSGSKWAEFAARVSGEWDGYGADFTVAGDPLELPANVVPEAFREWGVEVLDWQTQCPTLADPATPCALHYRLVRLLPTVGCEADAATVYTSHQRHASSASAFAYGGSSSSYVAAWPKGPATVLEVEHCVVHPEIGDDEVVRRVRVVQTVALASKAPGPVLRGVKVFSEQWYGPFRNGDQLGGCALREAAFAAGERLAKSEVVGSWESTEASAARFSGTGKFAGLEPDEPRRTTARDEAGLGVLTLLPKQLWSSFKVDEEAGEVVCEVGWVLGQGRALTSRCVLSKDGDVKEIAAACESRVSEGT* >Brasy2G167900.1.p pacid=40070946 transcript=Brasy2G167900.1 locus=Brasy2G167900 ID=Brasy2G167900.1.v1.1 annot-version=v1.1 MAAAAARSSSAGEVLPPALGAVSEPPPLFDGTTRLYICYFCPFAQRAWVTRNYKGLREEIKLVGIDLQDKPAWYKEKVYPQGTVPSLEHDGKVTGESLDLIRYIDSNFEGPAQLPQDPAKRQFADELIAYAGVFTKALYSPLTSQVDMSDDTVAALDKIEAALSNFSDGPFFLGQFSLADIAYVTILERVQIYYSHLRNYEIAKGRPNLEKYIEEMNKIEAYTQTKNEPLNLLDMAKKHLKIA* >Brasy2G167900.2.p pacid=40070947 transcript=Brasy2G167900.2 locus=Brasy2G167900 ID=Brasy2G167900.2.v1.1 annot-version=v1.1 MAAAAARSSSAGEVLPPALGAVSEPPPLFDGTTRLYICYFCPFAQRAWVTRNYKGLREEIKLVGIDLQDKPAWYKEKVPSLEHDGKVTGESLDLIRYIDSNFEGPAQLPQDPAKRQFADELIAYAGVFTKALYSPLTSQVDMSDDTVAALDKIEAALSNFSDGPFFLGQFSLADIAYVTILERVQIYYSHLRNYEIAKGRPNLEKYIEEMNKIEAYTQTKNEPLNLLDMAKKHLKIA* >Brasy2G167900.3.p pacid=40070948 transcript=Brasy2G167900.3 locus=Brasy2G167900 ID=Brasy2G167900.3.v1.1 annot-version=v1.1 MAAAAARSSSAGEVLPPALGAVSEPPPLFDGTTRLYICYFCPFAQRAWVTRNYKGLREEIKLVGIDLQDKPAWYKEKVYPQGTVPSLEHDGKVTGESLDLIRYIDSNFEGPAQLPQDPAKRQFADELIAYAGVFTKALYSPLTSQVDMSDDTGGYCVRDHLGEGSDILLSPEELRDRQRQAQP* >Brasy2G167900.4.p pacid=40070949 transcript=Brasy2G167900.4 locus=Brasy2G167900 ID=Brasy2G167900.4.v1.1 annot-version=v1.1 MAAAAARSSSAGEVLPPALGAVSEPPPLFDGTTRLYICYFCPFAQRAWVTRNYKGLREEIKLVGIDLQDKPAWYKEKVPSLEHDGKVTGESLDLIRYIDSNFEGPAQLPQDPAKRQFADELIAYAGVFTKALYSPLTSQVDMSDDTGGYCVRDHLGEGSDILLSPEELRDRQRQAQP* >Brasy2G165900.1.p pacid=40070950 transcript=Brasy2G165900.1 locus=Brasy2G165900 ID=Brasy2G165900.1.v1.1 annot-version=v1.1 MEAPRQADTSRKRKFDRVDDEEPPGSGGAGDAVNLDFIGSLPDAILGTIISLLPTKDGVRTQAISRRWRPLWLSAPLNLVVDYNLSGQERKRVVFVSNILTKHRGPAPRLSISCIRVRHYSKIECWLRSQALTNL* >Brasy2G363100.1.p pacid=40070951 transcript=Brasy2G363100.1 locus=Brasy2G363100 ID=Brasy2G363100.1.v1.1 annot-version=v1.1 MPFATIAADDLGGLGGTCVLRGCVPKKLLVYASKFSHEFEESHGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNILNNAGVDLIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPTIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQPKVLRGFDEEIRDFLAEQMSLRGITFHTEQSPQAVTKSSDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKMDRNGAIVVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFTKTLFGDEPTKPDYRAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVFLSNFRPLRATLSGLPDRVLMKVIVCTATNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKLDFDATVGVHPTSAEEFVTMRNATRKIRRSTAAEVESKDEVVTQQ* >Brasy2G363100.2.p pacid=40070952 transcript=Brasy2G363100.2 locus=Brasy2G363100 ID=Brasy2G363100.2.v1.1 annot-version=v1.1 MPFATIAADDLGGLGGTCVLRGCVPKKLLVYASKFSHEFEESHGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNILNNAGVDLIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPTIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQPKVLRGFDEEIRDFLAEQMSLRGITFHTEQSPQAVTKSSDGLLSLKTNKETIGGFSHVMFATGRKPNTKVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFTKTLFGDEPTKPDYRAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVFLSNFRPLRATLSGLPDRVLMKVIVCTATNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKLDFDATVGVHPTSAEEFVTMRNATRKIRRSTAAEVESKDEVVTQQ* >Brasy2G363100.3.p pacid=40070953 transcript=Brasy2G363100.3 locus=Brasy2G363100 ID=Brasy2G363100.3.v1.1 annot-version=v1.1 MFINQVVDPHTVSVDGKLYTAKNILIAVGGRPSMPTIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQPKVLRGFDEEIRDFLAEQMSLRGITFHTEQSPQAVTKSSDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKMDRNGAIVVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFTKTLFGDEPTKPDYRAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVFLSNFRPLRATLSGLPDRVLMKVIVCTATNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKLDFDATVGVHPTSAEEFVTMRNATRKIRRSTAAEVESKDEVVTQQ* >Brasy2G363100.4.p pacid=40070954 transcript=Brasy2G363100.4 locus=Brasy2G363100 ID=Brasy2G363100.4.v1.1 annot-version=v1.1 MFINQVVDPHTVSVDGKLYTAKNILIAVGGRPSMPTIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQPKVLRGFDEEIRDFLAEQMSLRGITFHTEQSPQAVTKSSDGLLSLKTNKETIGGFSHVMFATGRKPNTKVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFTKTLFGDEPTKPDYRAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVFLSNFRPLRATLSGLPDRVLMKVIVCTATNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKLDFDATVGVHPTSAEEFVTMRNATRKIRRSTAAEVESKDEVVTQQ* >Brasy2G411900.1.p pacid=40070955 transcript=Brasy2G411900.1 locus=Brasy2G411900 ID=Brasy2G411900.1.v1.1 annot-version=v1.1 MGPKPNIVSRGNANKLTWSSCGAKPRPKRLIPQSPVPTVSTSRPHDRGPAVAIGRRRRMARGTEVDQELQRQPMVEQVKEYQARSRHAWATLSFLSSTSTSSSSTSWIDVLLVIWELLFFALLVFTFVAFYFKFIGLAFSFLCVAALLYMCMRLTKEERKHKRSKQRMLLPLSM* >Brasy2G144600.1.p pacid=40070956 transcript=Brasy2G144600.1 locus=Brasy2G144600 ID=Brasy2G144600.1.v1.1 annot-version=v1.1 MLRLPVKRSVHPADHEDRTYRGSMMPQSHLGLKSFRPSTRRTLQLYLTAGQSNGQFQLALQARGLCI* >Brasy2G141400.1.p pacid=40070957 transcript=Brasy2G141400.1 locus=Brasy2G141400 ID=Brasy2G141400.1.v1.1 annot-version=v1.1 MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKEYLACLKSTGFQSEKCRLFSKKYLECRMERNLMAKQDMSELGFTNADGVDAPNGKKDKLESPASD* >Brasy2G245800.1.p pacid=40070958 transcript=Brasy2G245800.1 locus=Brasy2G245800 ID=Brasy2G245800.1.v1.1 annot-version=v1.1 CAFQRRRSGDGSYSSSFWSSPFPAPHEPRQLKKHRIMDTVGSTTPFSRTTNTTSSSTSGGSGQGDREVAIDAEMARVNKLPANSSYAIHRMKVLNKLRHLISIKRTTSQDEELELLFASLSI* >Brasy2G133100.1.p pacid=40070959 transcript=Brasy2G133100.1 locus=Brasy2G133100 ID=Brasy2G133100.1.v1.1 annot-version=v1.1 MNSGSAAAGDGLAALSAGLARRLADKDAYRSNLVFSPLSIYSPLALVASGARGATLDELLRVLGVSSQSELAEFLPRAAALMRDRSGTGGPLVASACGVWSDLSCRLKPGFVESVSASGNTEMAAVDFRGDAEGARRRINAWAARVTHGLIDDVLGRNSVTKDTLIVLGNAVYFKGKWEQPFDEKRTAHEPFRQLGGDGEVEVPFMQSRERQYIAVHDGFKVLKLRYKMADRSCFPPFDFHDDPHHGDDRFSSGSLNKHGDHRANLSPSTAAPSSNSNKRSRTNSPPAAAPSNNNKKKRCGDDRAQFSMCIFLPDADDGLPSLINSIAARPGFLHDHLPRGRVDVGKFRVPRFKLSFHDSLVAVLRQLGLVLPFSTVADFSDMAEYPITLDEVLHKAVVEVNEEGTKAAAVTMVRGFGGRARGARPPPPPRVDFVADHPFAYFIVEEDTGAVVFAGYVIDPLREK* >Brasy2G350900.1.p pacid=40070960 transcript=Brasy2G350900.1 locus=Brasy2G350900 ID=Brasy2G350900.1.v1.1 annot-version=v1.1 MGAGIRLLAALLVLAASSGTPRCAAQLRQNYYDAVCPGVESIVRDAVAKKVNDTPVAVGATVRLFFHDCFVQGCDASVIVVSSGNNTAEKDHSANLSLAGDGFDTVIKAKEAVDAVPQCTNQVSCADILTMATRDVIALAGGPAYAVELGRLDGLSSLASNVDGNLPPPSFDLDQLTAMFAANNLSQADMIALSAAHTVGFAHCGTFASRIQTASLDPTMDSGYASQLQAACPADVDPNVALSIDPVTPKVFDNQYFVNLQKGMGLFTSDQVLYSDTRSRPTVDAWAANSSDFQAAFVAAMTNLGRVGVKTDPSLGNIRRDCAVFNS* >Brasy2G273100.1.p pacid=40070961 transcript=Brasy2G273100.1 locus=Brasy2G273100 ID=Brasy2G273100.1.v1.1 annot-version=v1.1 MRVHEGASDEEMEEPVASAMLAPVALSPPPAASSGSQRLVVGYALTKKKVKSFLQPKLLALARKKGINFVSIDETCPLSEQGPFDIILHKRTNKEWQQVLEDYREEHPEVTILDPPNAIQHLHNRQSMLQEVTDLNLSNSYGEVCAPRQLVIMKDPSSIPAAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVDTSLSMLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNAYDMENNDGIFRFPRVSCATNNAEDADIDPCIAELPPRPLLEKLGKELRRRLGLRLFNLDMIREHGSKDRYYVIDINYFPGYGKMPGYEHIFTDFLLGLVQNKYKRRLSGS* >Brasy2G273100.2.p pacid=40070962 transcript=Brasy2G273100.2 locus=Brasy2G273100 ID=Brasy2G273100.2.v1.1 annot-version=v1.1 MRVHEGASDEEMEEPVASAMLAPVALSPPPAASSGSQRLVVGYALTKKKVKSFLQPKLLALARKKGINFVSIDETCPLSEQGPFDIILHKRTNKEWQQVLEDYREEHPEVTILDPPNAIQHLHNRQSMLQEVTDLNLSNSYGEVCAPRQLVIMKDPSSIPAAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVDTSLSMLDPPLVLQEFVNHELPPRPLLEKLGKELRRRLGLRLFNLDMIREHGSKDRYYVIDINYFPGYGKMPGYEHIFTDFLLGLVQNKYKRRLSGS* >Brasy2G294500.1.p pacid=40070963 transcript=Brasy2G294500.1 locus=Brasy2G294500 ID=Brasy2G294500.1.v1.1 annot-version=v1.1 MESKEGNTQENMVAGGSHQDCTMKEMHRCWR* >Brasy2G285400.1.p pacid=40070964 transcript=Brasy2G285400.1 locus=Brasy2G285400 ID=Brasy2G285400.1.v1.1 annot-version=v1.1 MVAAQLQGSSSSPKQQAPVAADSTTNGTLPLQYSQEQRGGPCCHAPGHRGEKDAAAAVGPLALTLGGASAATDLGAACRCCRARAADAPAPEMVVVPLAVRGSRYLVPAQELLRDAVSMAGASAGGGDSDAEEDDEGADETRVQGATKDGLQAKLLGLLSELESREDQYFEELGRVALSFEPALGPAATAGYTSLMSRAMSRHFGNLRRAILRKLRLLHAAAAARRPPRVDDGRDGGEESEEEEEEVTEEMVKRLVRRKKQAAAARAAEQVCKPMRGLPEDSVAVLRAWLFDHFLNPRYPSDNEKLRLAVSTGLSRGQISNWFVNARVRLWKPMIEEMYNDEFSEDSVSMDDASSS* >Brasy2G285400.3.p pacid=40070965 transcript=Brasy2G285400.3 locus=Brasy2G285400 ID=Brasy2G285400.3.v1.1 annot-version=v1.1 MVAAQLQGSSSSPKQQAPVAADSTTNGTLPLQYSQEQRGGPCCHAPGHRGEKDAAAAVGPLALTLGGASAATDLGAACRCCRARAADAPAPEMVVVPLAVRGSRYLVPAQELLRDAVSMAGASAGGGDSDAEEDDEGADETRVQGATKDGLQAKLLGLLSELESREDQYFEELGRVALSFEPALGPAATAGYTSLMSRAMSRHFGNLRRAILRKLRLLHAAAAARRPPRVDDGRDGGEESEEEEEEVTEEMVKRLVRRKKQAAAARAAEQVCKPMRGLPEDSVAVLRAWLFDHFLNPYPSDNEKLRLAVSTGLSRGQISNWFVNARVRLWKPMIEEMYNDEFSEDSVSMDDASSS* >Brasy2G285400.2.p pacid=40070966 transcript=Brasy2G285400.2 locus=Brasy2G285400 ID=Brasy2G285400.2.v1.1 annot-version=v1.1 MVAAQLQGSSSSPKQQAPVAADSTTNGTLPLQYSQEQRGGPCCHAPGHRGEKDAAAAVGPLALTLGGASAATDLGAACRCCRARAADAPAPEMVVVPLAVRGSRYLVPAQELLRDAVSMAGASAGGGDSDAEEDDEGADETRVQGATKDGLQAKLLGLLSELESREDQYFEELGRVALSFEPALGPAATAGYTSLMSRAMSRHFGNLRRAILRKLRLLHAAAAARRPPRVDDGRDGGEESEEEEEEVTEEMVKRLVRRKKQAAAARAAEQVPQRQREAQAGREHGLKQRPDIQLVRQRAGAAVEAHDRGDVQRRVLRGFRLHGRRLLLLAHLKFSTT* >Brasy2G021400.1.p pacid=40070967 transcript=Brasy2G021400.1 locus=Brasy2G021400 ID=Brasy2G021400.1.v1.1 annot-version=v1.1 MTRDPKLPKPPLPPARRLAASPSLSDKLRRHTPWLLLLIWFALSFSLFLSAAPPAASPLLRPLASFHKPRALAEDATSTSTSTAPPAVRIYVYDLPRRFNRDWLAADPRCARHLFAAEVALHESLLGHYSAVRPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLADAVDLVRRESPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPDFLKRSILLQTFGVQGSHVCQEAEHVVIPPHVPPEVALEIPEPEKTRRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQRYGRNSKFYLKRKRYDNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLQWSDISLQVTEKDVASLEKVLDHVVATNLTVIQKNLWDLVKRKALVFNRRLEEGDATWQVLRELEVLLDRSQRSYVGSWR* >Brasy2G234100.1.p pacid=40070968 transcript=Brasy2G234100.1 locus=Brasy2G234100 ID=Brasy2G234100.1.v1.1 annot-version=v1.1 MSKRSAKKGATDGAATKKACTGTAGDSQTKPAQVKEKEANNVFSHDLDTLQCDICFGPFESEVYVCKNGHAACGNCCVQLHRKCPSCNEFIGDIRCRAMEKILAGMTRPCKFKKHGCKNILSFTEIRIHEEETCLYRPYPCPFDGCTYFGGDLSHHMIQSDHDSHYMGDPDRRRRVRPCLTVTLDKSRPFRVILQNIVDGDGVFLLLNGGDVVPRGRSLLVVRIYPRPAPADENGGAEVAQQYTIAVKGNQPGSFSLTASGSVPFVRQLEGYEPREFLFVPDACWCSSETVTVTLNL* >Brasy2G136900.1.p pacid=40070969 transcript=Brasy2G136900.1 locus=Brasy2G136900 ID=Brasy2G136900.1.v1.1 annot-version=v1.1 MLQRLGLRGSPSAPAEDGASASASAPSSAAGNGGAGLGRPLRLAYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVASTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFVYNQMGGIDEASIDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLAEDNRKITPRDYLELALRSVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLDLNTFRPEFKSGLDAFTKFVFDRTRPKQLGASTMTGPILAGLTQSFLDAINTGAVPTISSSWQSVEETECRRAYDSALDTYKSSFSQKKTVEEDALREAHEGAVNKAISVFNASAVGAGSARSKFEKLLQTSLRKNFEEYKRNTFLEADLQCTNRIQSMESKVRAACSRPDSKLDDVVRLLDGLATEYESTSYGPKKWTKLATFLQQCLAGPVLELFRKQLEHIDAERKSLRLQCSSSDDKLALLRKQLEASEGHRAEYLKRYEESINDKQKISKDYSARLAELQNKGSKLEERCLSLSSALEHAKRESVNWKSKYDNSILQQKEEESKLRSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKCEYASSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKDEEIARLSTKINQTEVHATSLISRLEVAEAKVKNYESDSVTLKEQIRLLTENLESIKTEAQSREKEVKILEQEKNHLQEKFLSECKRFDETDRRCKEAEREAKRAVELADLSRVEAAASQRDKGEAQRLAMERLALIERMERQVESLEREKNKMVEEMERLHQSELDALSKVTMLDERVDEREKQIGEMLEQNNQQRSSTVQVLENLLETEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVRLNETALDSKVKASYSRRLRGEGTESVHDMDIDEDNNGRRRKRTKSTTSPFKHHTEDGGSVFIGEDTNNGSQQLEETEAEDYTKFTVQKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGS* >Brasy2G390900.1.p pacid=40070970 transcript=Brasy2G390900.1 locus=Brasy2G390900 ID=Brasy2G390900.1.v1.1 annot-version=v1.1 MAITLKASYSSTPSSSAPSNHPRLRSAAAMAMPTPGRPVARAVAAAAAATSLEPAVATPSSETRWAAAAPLPLTPAASERIPTVAQAMSRARAHGKTAFIPYITAGDPDLGTTAEALRLLDALGADVIELGMPFSDPSADGAVIQASAARALAAGATTDAVMAMLREVTPELSCPVVIFSYFNPIVRRGTRSFAAAARDAGVKGLVIPDLPYDEIRSFRKEAIQNSLELVLLTTPATPAERMKEITKASEGFVYLVSVVGVTGARANVNPRVKDLLKEIRQVTDKAIAVGFGISTPEHVSQISEWGADGVIIGSAMVKQLGEADSPREGLRRLEAYAMSLKNALP* >Brasy2G270600.1.p pacid=40070971 transcript=Brasy2G270600.1 locus=Brasy2G270600 ID=Brasy2G270600.1.v1.1 annot-version=v1.1 MSKTHAQRNCLLTQFLPAPWILHRDTPASSYNRPFESPRNAGTSTHFAVVIAVFCRTNGEEAMPVKSGASFRASALPVIVMAQLLAAAVLTLTLVWVLHFRDGVSWELNSTPQLVYTVHPLFMVIGFIICTGEAIMAYRIVLGSRTAKKAVHLLLHLLALAFAAVGLYAAVKFHNDAGVPHVRSLHAWLGVVTIGLYALQWLVAFVYFVFPGAMMAMRADYAPWHIFFGVVIFLMAVCTTETGLVRYISPVVGYPSEALVVNFTGLVILMFGVTVVLAVILPSRY* >Brasy2G111400.1.p pacid=40070972 transcript=Brasy2G111400.1 locus=Brasy2G111400 ID=Brasy2G111400.1.v1.1 annot-version=v1.1 MEPRRFSRLLLLLLVVVLVFRCCEAQGVANGTARFACDVPAPCDTFVVYRTQAPGFLCLGSISDLFGVSRAMIASANNLTAKDGVLLPDQPLLVPVDCGCTGNRSFANVTYPIQDGDTYYALALTAFENLTDFNIMQQLNPQAPATRLKAPQEVTVPLFCRCPTQAERAGGIRYHITYLWRPEDDMSGVSTLMNSSKSDIAEANNVTTEFTSPTAQPMLIPVSQPPKLPPLRYDATADGSSANKRGRGAAVAAGIAGSLVAFAALCVAAFAYRRYRKKKETVLQLGSPYASPKLSWHKQQQQQQHNYGLQSSSSLARMMNGGGDKIITSVSQFIDKPIVFGADEIMEATMNLDERCRIGSSYYRAKIDGEMFAVKPAKGDVSAEMRMTQMVNHASLIKLAGISFGTDGDYTFLVYEFAEKGSLDKWLYQKPPSSLPSSSSSSSVDTLSWNQRLGIAFDVANGLLYMHEHTLPSMVHGDVRARNILLTADFRAKISNFSVATPAMADAADTSSDVFAFGLLILELLSGRRAMEARVGAEIGMLWRDIRAVLEAGDKRDARLRKWMDPALGSEFHMDAALSLAGMARACTEEDAARRPKMADVVFSLSMLVQPLPGGDAFEKLWQVSSEDNMGIVNEVAAR* >Brasy2G063700.1.p pacid=40070973 transcript=Brasy2G063700.1 locus=Brasy2G063700 ID=Brasy2G063700.1.v1.1 annot-version=v1.1 MDEGIRGTDLLLHYIEKERNRRKSHNHLHLLRNRHCDGSIRVASTCLLSITNRGARS* >Brasy2G163900.1.p pacid=40070974 transcript=Brasy2G163900.1 locus=Brasy2G163900 ID=Brasy2G163900.1.v1.1 annot-version=v1.1 MRRKECIGERTDVRGGGAGRRTSWWAGSTSAGLRAAGSGGGWPQGGRIQVGRAGQAGAGRRRPDPVAAGPRAARSRSAGQGRRGPAVGDEEAGAGGRGAGRRRPEARGAGKRRPEAPERW* >Brasy2G301700.1.p pacid=40070975 transcript=Brasy2G301700.1 locus=Brasy2G301700 ID=Brasy2G301700.1.v1.1 annot-version=v1.1 MKGPCQVCGKPSRPCKIKGKEKSFFCINVIELFADHVTIPCYVRKQFNKLTSNRATLETCAGHLYTVKVSALDTTTVLYGPKWLQFVVDHGLEVGDTLMVTFPKDNEHTFYTQCTDMNAQSKTCLNNSICHHAFNYDIDYKLGDVVYTNGVSLCDEEMANLRCHISCCGFGAGAFFVHRVSETNLKDCLKFPESITNILRVPKRGDMLIQIREPGVPEVARSIQFRIDKDGRLATAGSTLWNEFVKEAKLKKNQQISLNIFKEMSRLVVCVRKL* >Brasy2G353000.1.p pacid=40070976 transcript=Brasy2G353000.1 locus=Brasy2G353000 ID=Brasy2G353000.1.v1.1 annot-version=v1.1 MASSALPFLLLLLALAPSPSRSASLYLLGASWNASYIFAESDPIPALDPTFLDEVIDVVSEKYDWDPDAEVRVWPLDPDAALVGAAQHYELRARADSSVALARLSDESVEWRRPDAPAVEEVHAPDGVDVVAGDGAEGFRPGVRDVDLVGPVEVRVAGGADGELQLPTFNATYTGLKRVLVGAGFALKITGAQKVHFSHPHSIGLLANGSLLASNKGLSQILPLSRSTCAPILHVSVLGSVIMVAQETDVSGGPMRPYLSSHDTMELLSDKFEVDMSDRLISACMFCAISPRLPKLEKLLKTWFSKRNESNRSMNFIEAKVSSIPLVKFRLELERDITKEDGIWEDVPEWKTLPVVQRVLLDIIARVEEEGRLKAISVKKVRKPFPIVDTTSWSSLTSNVSFTDFMSFVLPPDPLSLDVKW* >Brasy2G359400.1.p pacid=40070977 transcript=Brasy2G359400.1 locus=Brasy2G359400 ID=Brasy2G359400.1.v1.1 annot-version=v1.1 MAGALPFHYRRRNITRPKSRNQKRKKNPILWGAVRLGYAYIQCKMPCIMCVLNTYVPMTEDESVIKLLSNLHQKS* >Brasy2G263800.1.p pacid=40070978 transcript=Brasy2G263800.1 locus=Brasy2G263800 ID=Brasy2G263800.1.v1.1 annot-version=v1.1 MGTAASPPPEAAAPADPPAKQDIPLPTAASAATPEASTDPPAKEETQSTAAVAAADAPGSGAAEEAGETVVLDANAESGAEEEGECGFCLFMKGGGCKEEFVAWEKCVEEAEVEGGDVVERCYEVTAALRSCMDKHQDYYEPILRAERAMAEDLEAAKASESSQPSTGSQPAAAEEEQGDKKQAEEKEKEKEDLAA* >Brasy2G108500.1.p pacid=40070979 transcript=Brasy2G108500.1 locus=Brasy2G108500 ID=Brasy2G108500.1.v1.1 annot-version=v1.1 MVAAETHGRALQWQELEAGRAGGRSSGVAPGIRGGAAGIRGCRNSERRWKLKERRPELMAARGSGKNSGRGGAAAGMREGARVRLLIFHVHGGRMGRRDGGWWRPRIGVQREEGREVGIEEQEKRERGDGAKFHVPGSH* >Brasy2G204500.1.p pacid=40070980 transcript=Brasy2G204500.1 locus=Brasy2G204500 ID=Brasy2G204500.1.v1.1 annot-version=v1.1 MAAAGGGTLPAVMARGVPGPAAIPTGAMAAAEAAAAEVVRRVQPTEASERRRADVIDYARRIVGTALGCEVFAFGSVPLKTYLPDGDIDLTVLGNASCGSTLIDDVYCILGSGEQNSDAEFEVKDLEHIDAEVKLIKCTIENIIVDISFNQTGGICALCFLELVDRKIGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEGSNIPVDDLLFDKEFLHSSMEKAFVPPRDSDARCTKFRVKHLNIIDPLKECNNLGRSVNKANFSRIRTAFSYGARKLGQYLMLPSERISGEIFGFFKNTLKRNGRGVRADIGHDGALHCQPLLGPEEELLDNMLSMQICYKENENQSRRHISKRLANNGLNVRIDVPTQGGCLPGVDKLDPSTDLSTKSNKRSYVYHENGNGCSEQYYVDHEMEGRVSHCTAEAVCVDNKSLMKPQVHVNHSSDILNSVHASDLRFRKPAPAYKSNWSAFSPRVENQDLPAFSLSSLPDLSGDLDSQLRCLRQVQYHLEYLFDEFCRSVQGSCGGKVDKGLFNIPTHSIVLNTDMTLRELLIPSCTETDGRKLSPASSHSTGDISQQSQEEDHWGVAFQLNVSGTDVPSNGLSPSSYYAYPESSVPRCHRSEGIPNLHGTCTYIHEKVGSWNLQHIASLGEEGTMPINQQLKIKNNQASVPKGSFVPYKEQSVLDRGQSLKIQDGLNGYIRSGRKNVDKQIGHTRKEFTKPHCEARHIRGYHGDACLNRNKIQKQSYDADLEFARPGSAMKQILKHQPSEVQETPDERTHINNLARKQSYNTRKKGYEVLDRPTNRRPICETPKLQSSLRGRSFSKFLGAKQKHGNHGEHLSFARDTEHIANCQVVNITNGSSKEVNPIVELVGNGSKSRPLLPNVMISHESGSIQRMHLASTNASQPSFPITKGYSQSEPLETQPDRIIEFGSLGPFSLTVSSPKSSKAPNKHCSSKACGDAEALVLQSYRAGATQSRSSGSYRIGDEDHFPPLHAGTR* >Brasy2G204500.2.p pacid=40070981 transcript=Brasy2G204500.2 locus=Brasy2G204500 ID=Brasy2G204500.2.v1.1 annot-version=v1.1 MAAAGGGTLPAVMARGVPGPAAIPTGAMAAAEAAAAEVVRRVQPTEASERRRADVIDYARRIVGTALGCEVFAFGSVPLKTYLPDGDIDLTVLGNASCGSTLIDDVYCILGSGEQNSDAEFEVKDLEHIDAEVKLIKCTIENIIVDISFNQTGGICALCFLELVDRKIGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEGSNIPVDDLLFDKEFLHSSMEKAFVPPRDSDARCTKFRVKHLNIIDPLKECNNLGRSVNKANFSRIRTAFSYGARKLGQYLMLPSERISGEIFGFFKNTLKRNGRGVRADIGHDGALHCQPLLGPEEELLDNMLSMQICYKENENQSRRHISKRLANNGLNVRIDVPTQGGCLPGVDKLDPSTDLSTKSNKRSYVYHENGNGCSEQYYVDHEMEGRVSHCTAEAVCVDNKSLMKPQVHVNHSSDILNSVHASDLRFRKPAPAYKSNWSAFSPRVENQDLPAFSLSSLPDLSGDLDSQLRCLRQVQYHLEYLFDEFCRSVQGSCGGKVDKGLFNIPTHSIVLNTDMTLRELLIPSCTETDGRKLSPASSHSTGDISQQSQEEDHWGVAFQLNVSGTDVPSNGLSPSSYYAYPESSVPRCHRSEGIPNLHGTCTYIHEKHIASLGEEGTMPINQQLKIKNNQASVPKGSFVPYKEQSVLDRGQSLKIQDGLNGYIRSGRKNVDKQIGHTRKEFTKPHCEARHIRGYHGDACLNRNKIQKQSYDADLEFARPGSAMKQILKHQPSEVQETPDERTHINNLARKQSYNTRKKGYEVLDRPTNRRPICETPKLQSSLRGRSFSKFLGAKQKHGNHGEHLSFARDTEHIANCQVVNITNGSSKEVNPIVELVGNGSKSRPLLPNVMISHESGSIQRMHLASTNASQPSFPITKGYSQSEPLETQPDRIIEFGSLGPFSLTVSSPKSSKAPNKHCSSKACGDAEALVLQSYRAGATQSRSSGSYRIGDEDHFPPLHAGTR* >Brasy2G416700.1.p pacid=40070982 transcript=Brasy2G416700.1 locus=Brasy2G416700 ID=Brasy2G416700.1.v1.1 annot-version=v1.1 MATTVDWRSCNPDLSAATYYHMVESRDVMQAALAQSMGLQGATAPTISFSSTYPCSGMEQSASYFTPAQILQLQSQLHARHVRRAPAAAMAAVPQPMKRHGVAALPARPAAASKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDAAEEAALAYDAAAFRLRGDSARLNFPELRRGGAHHGPPLHPAIDAKLRAICDLPPQQSQTPTPSSLMPDVVKSEFFPGCCSGSESSSSGDGEVSSSTGSSDVVPEMQLLDFSEAPWDESESFVLRKYPSVEIDWDAILS* >Brasy2G275900.1.p pacid=40070983 transcript=Brasy2G275900.1 locus=Brasy2G275900 ID=Brasy2G275900.1.v1.1 annot-version=v1.1 MALEGGRGGGAAATARRGLRSLMRRKPVDSDRVRAEAQPVLAKELNILELVAIGVGSTIGAGVYVLVGTVAREHTGPALAVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWSLVLEYTMGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDVIVDPCAAALVFAVTGLLCLGIKESSFVQGIVTFLNACVMLFVIIAGCYIGFQIGWDGYKVTDGYFPYGVNGMLTGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCLLYMMVSVVIVGIVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKGTIVAGICAAALAFFMDVSQLAGMVSVGTLLAFTVVALSILILRYVPPDEAPLPLPSSLQEPSHLSQEYDEEKGRDPLGDDICNTPQIKDLIVVEPMKDPLLDKKQYKGKMDEMKRRKIATSSIGCACLGVLVLTSSASATWLPFLPVCIGCSIGGVLLAAGLGVLSWIDQDDGRHSFGHSGGFTCPFVPFLPVMCILINTYLLINLGGDAWIRVGIWLFIGVLVYIFYGRTRSSLIDVYIPVARANVDRISSGFVS* >Brasy2G275900.2.p pacid=40070984 transcript=Brasy2G275900.2 locus=Brasy2G275900 ID=Brasy2G275900.2.v1.1 annot-version=v1.1 MGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDVIVDPCAAALVFAVTGLLCLGIKESSFVQGIVTFLNACVMLFVIIAGCYIGFQIGWDGYKVTDGYFPYGVNGMLTGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCLLYMMVSVVIVGIVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKGTIVAGICAAALAFFMDVSQLAGMVSVGTLLAFTVVALSILILRYVPPDEAPLPLPSSLQEPSHLSQEYDEEKGRDPLGDDICNTPQIKDLIVVEPMKDPLLDKKQYKGKMDEMKRRKIATSSIGCACLGVLVLTSSASATWLPFLPVCIGCSIGGVLLAAGLGVLSWIDQDDGRHSFGHSGGFTCPFVPFLPVMCILINTYLLINLGGDAWIRVGIWLFIGVLVYIFYGRTRSSLIDVYIPVARANVDRISSGFVS* >Brasy2G126500.1.p pacid=40070985 transcript=Brasy2G126500.1 locus=Brasy2G126500 ID=Brasy2G126500.1.v1.1 annot-version=v1.1 MHAEESICKLCFFFEKGEDFTNYVYTLCEMDRRSWPWKKKSSDKSSNADASHNSNQPEQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.5.p pacid=40070986 transcript=Brasy2G126500.5 locus=Brasy2G126500 ID=Brasy2G126500.5.v1.1 annot-version=v1.1 MDRRSWPWKKKSSDKSSNADASHNSNQPEQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.2.p pacid=40070987 transcript=Brasy2G126500.2 locus=Brasy2G126500 ID=Brasy2G126500.2.v1.1 annot-version=v1.1 MDRRSWPWKKKSSDKSSNADASHNSNQPEQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.8.p pacid=40070988 transcript=Brasy2G126500.8 locus=Brasy2G126500 ID=Brasy2G126500.8.v1.1 annot-version=v1.1 MDRRSWPWKKKSSDKSSNADASHNSNQPEQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.4.p pacid=40070989 transcript=Brasy2G126500.4 locus=Brasy2G126500 ID=Brasy2G126500.4.v1.1 annot-version=v1.1 MKRVQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.11.p pacid=40070990 transcript=Brasy2G126500.11 locus=Brasy2G126500 ID=Brasy2G126500.11.v1.1 annot-version=v1.1 MKRVQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.7.p pacid=40070991 transcript=Brasy2G126500.7 locus=Brasy2G126500 ID=Brasy2G126500.7.v1.1 annot-version=v1.1 MKRVQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.12.p pacid=40070992 transcript=Brasy2G126500.12 locus=Brasy2G126500 ID=Brasy2G126500.12.v1.1 annot-version=v1.1 MKRVQDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.3.p pacid=40070993 transcript=Brasy2G126500.3 locus=Brasy2G126500 ID=Brasy2G126500.3.v1.1 annot-version=v1.1 MDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.9.p pacid=40070994 transcript=Brasy2G126500.9 locus=Brasy2G126500 ID=Brasy2G126500.9.v1.1 annot-version=v1.1 MDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.6.p pacid=40070995 transcript=Brasy2G126500.6 locus=Brasy2G126500 ID=Brasy2G126500.6.v1.1 annot-version=v1.1 MDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G126500.10.p pacid=40070996 transcript=Brasy2G126500.10 locus=Brasy2G126500 ID=Brasy2G126500.10.v1.1 annot-version=v1.1 MDEKVPKFVQISPEIYAHLTDSEEQVKVLDEKVNVLNEKLSASQSEISTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFEHELIRAGAENDALSRSFQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGVGRDYGDNRLRPSPAKNSSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTTEEETKMLQEALTKRNSELQTSRSMYAKIAGKLRSLEVQMLNGNQRKSPSTPSMDIHFDGVLSQNGSNPHSMTSMSEDGVDDEGSCTESWANALVSELSQIKKEKGNKGSVTEGSNRLELLDDFLEMERLACLPSEANGHGNTVDKMKTDGAKATLSGITERDGVKDLQSALPLPSTPSSKQQLSEGSPLLKLQSRISSLLDSESPQDNVGKVLNSIRNILKDIEEEADSMNGNKTYHADMVEVADNISLMKQDESLNTGSKHAMDQEFIKAVLKIQDFVKSLDQEMSKYQGQSSDYDGLCRKIQEFSALVEKVLSNENVINDIIMALSHILSETSELKLAMLRDNTNEADSNNLDYVDKVTLLENKVQLVPLKDNISVTCPLIPHSSSDPEIVGPIDARFDIKTAVQLCSPEDYEQLKSEKTNLEAELARCSEMIEDTKFRFIEMKKNLEDLTSKLAASENSNSLAETQLKCMVESYKSLESRKVELENEIKVLQSKIDTLTAELTDERTSHQDDLARYKDLEEKMERYENERSPMHVDEVEDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTQQRMEDFLQDNVGTTEGDYSQKPSAQFDTDQEMHGSGNVSPLNGYKTHMTPSDADGSPFLSTNSSKRPKHRSRSNSSSSFANQLPEKQSRGFSRFFTKGKE* >Brasy2G439800.1.p pacid=40070997 transcript=Brasy2G439800.1 locus=Brasy2G439800 ID=Brasy2G439800.1.v1.1 annot-version=v1.1 GRRGAEAEDGRSASASALTTYLAFLEHKIGHLRGILCSPAPQQQQVVSAELACIISQLASIANAGTPSSPASSPSAGTPNDHAVDDEQQQEPPQPLGSSSSPPSYEVVELDKEEILAPPHAHCCSICGKGFKRDANLRMHMRAHGHSYNHKEEATVSPKPPAPETETKERPAAYYSCPQAGCKRNRAHASFAPLKTAVCVRNHYRRSHCAKTHACRRCGGVKRFAVLADIRTHEKHCGRDRWVCSCAVSFSRRDKLLAHVALFPAGAGHSPALPLPDPDETTANGGVVSGSGELLLGAGGGEAVTMMDDRCFFSDIGMFDDFGCSDVKEIMAEEDDGRRGCLSPTGLDSCDLDGFDLFGTHAMNFDF* >Brasy2G263400.1.p pacid=40070998 transcript=Brasy2G263400.1 locus=Brasy2G263400 ID=Brasy2G263400.1.v1.1 annot-version=v1.1 MAQDPSSCSPSAGAGLRVLDTAVVTPAAPTLPPCSLPLTYLDIQWIHSPPVERVFFFQSTPDTDLISRLKDSLSTALRLFFPLAGRLRVATATATTTRHHILYQPGDGVAFTLAEYDADLSDLASDHRPRKVSELAHLVPPLQPTEGGAALLAVQATVLLRGDRPGLALGVTVHHAACDGAGSTNFLHAWAAACAGPGEDDEFFPAALQPPVIDRSLIAEPQGLYDHYARNLLSTDEIEFVKMSPDQVLATFTLSIEHLQGVKDAVAAEAVRRGQTPPPRCSTLVAALGFIWSCYCRAQAPDNAGVEPAYFIVPVDHRQWMKPPVPAAYFGNCIGPGIGAAPKKELASGGVGGLLAACAAIAAGIEKAVASPEWETLMERIKEVGARGVLSVAGSPRFRVYDVDFGFGRPLKVEILSVARTGAMAVAEGRSRAGMEVGISLPPAGMEAFQRCFADAISCLVSLAYCEQ* >Brasy2G321700.1.p pacid=40070999 transcript=Brasy2G321700.1 locus=Brasy2G321700 ID=Brasy2G321700.1.v1.1 annot-version=v1.1 MAAPAADDPPASPGYALRATLTGHTRAVSAVKFSPDGRLLASASADKLLRVWSSSDLSLVAELVGHEEGVSDLSFSPDGRLLASASDDRTVRIWDLGSGGGARLVKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGRSLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRIWDAATGHCVKTLIDDESPPVSFSKFSPNGKFVLASTLDSTLRLWNFSAGKFLKTYSGHVNTKYCIPAAFSITNGKYIVSGSEDNCVYMWDLQSRKIVQKLEGHTDTVIAVSCHPTENMIASGALDSDKTVKVWVQKDEEKQGS* >Brasy2G443700.1.p pacid=40071000 transcript=Brasy2G443700.1 locus=Brasy2G443700 ID=Brasy2G443700.1.v1.1 annot-version=v1.1 MAPGTPAAAAVASPIIAVSAAHLAPLEEELKNKSMCPTPQGWILVLDRAAATYLLDPHSRRRLPLPPLGVDPRLLPYCTCLLHPVPGGDPSAGFLVLLREWAKHDYDIGTQGDGRIIEKCAIAPIAACGGKFYFNPRPTETEVLELPRGGAAPAFSSYDAKTAERVHRAKVFLVEEPDPGSSSLYVVKVLHNGGAYDEIKVYRMDFPGRRWCPVDDLGVGGRAFFVGPMNFGASCAAGGTIFKL* >Brasy2G429300.1.p pacid=40071001 transcript=Brasy2G429300.1 locus=Brasy2G429300 ID=Brasy2G429300.1.v1.1 annot-version=v1.1 MAALAASIATSTAFASKPRLSPTTPRARLSVSCSATGNDNPLSLATSVKTFSAALALSSVLLSSAATFSPPPAAADIAGLTPCKDSKAFAKREKQSVKKLTSSLKKYAPDSAPALAIQATIEKTQRRFENYGKFGLLCGSDGLPHLIVSGDQRHWGEFVTPGVLFLYIAGWIGWVGRSYLIAVSGEKKPAMREIIIDVELAARIIPRGFIWPVAAYRELINGDLVVDDADIGY* >Brasy2G059900.1.p pacid=40071002 transcript=Brasy2G059900.1 locus=Brasy2G059900 ID=Brasy2G059900.1.v1.1 annot-version=v1.1 MAAVQVQQRLPLPLASPQQLRRSNNTPVAVVCRYWKSGHCSRNPCRFLHADAPTAPYPSRIVKKRNNTWVNTSSRVTANPNADATTTVPPATMHHAEPEPEQQPPPKRARQAEESSGAHSWCVGDGIRGIARLQGHARAVTGVAVPEASAGSGRQLLYAGSLDGMVRAWDCNTGECIRVAPAHEGAPPVGRLVAMGPWVLAGVGAVISALHTGTGKVVQLRLGPTAQAVNAMLAEDDDEDGKRLFAGGDDGAIYIWRLDRERQSFDEIAALTVPGLHASVSSLAQGKGALYAGHEDGAIRAWDLETRRCICSFAAHDSKVTALLCWDMFLLSSSHDGTVKAWRSPSSKPDREGGDDGLEELEEHYTHKEEGGERVVAMDGTYDADKKPVLLVSRGDGVVVVYGLPSFEKRGQILCNGEAGAISVRTPGVVFIGDQSGEVRVAKWTTAAAAEAQV* >Brasy2G147000.1.p pacid=40071003 transcript=Brasy2G147000.1 locus=Brasy2G147000 ID=Brasy2G147000.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVETSDTVANVKSKIQDKEGIPPEQQRLIFAGMQLEDGRTLADYSIQKESTFHLVLRLRGGWIYDPNLRALAEKYNVNKMICCKCYARLPLRATNCRKKKCGHSNQMINLLSLS* >Brasy2G301600.1.p pacid=40071004 transcript=Brasy2G301600.1 locus=Brasy2G301600 ID=Brasy2G301600.1.v1.1 annot-version=v1.1 MFWLGVLLLCCLQVSMRPLTTPFSARRLVIFFFCGVVALLYDYTIIYAAY* >Brasy2G059500.1.p pacid=40071005 transcript=Brasy2G059500.1 locus=Brasy2G059500 ID=Brasy2G059500.1.v1.1 annot-version=v1.1 MAAVQVQQRLPLPLASPQQLRRSNNTPVAVVCRYWKSGHCSRNPCRFLHADAPTAPYPSPIVKKRNNTWVNTSSRVTANPNADATTTVPPATMHHAEPEPEQQPPPKRARQAEESSGAHSWCVGDGIRGIARLQGHARAVTGVAVPEASAGSGRQLLYFGSLDGMVRAWDCNTGECIRVAPAHEGAPPVGRLVAMGPWVLAGVGAVISALHTGTGKVVQLRLGPTAQAVNAMLAEDDDEDGKRLFAGGDDGAIYIWRLDRERQSFDEIAALTVPGLHASVSSLAQGKGALYAGHEDGAIRAWDLETRRCICSFAAHDSKVTALLCWDMFLLSSSHDGTVKAWRSPSSKLDREGGDDGLEELEEHYTHKEEGGERVVAMDGTYDADKKPVLLVSRGDGVVVVYGLPSFEKRGQILCNGEAGAISVRTPGVVFIGDQSGEVRVAKWTTAAAAEAQV* >Brasy2G344500.1.p pacid=40071006 transcript=Brasy2G344500.1 locus=Brasy2G344500 ID=Brasy2G344500.1.v1.1 annot-version=v1.1 MLQTSPVSTYHARSSDPFKNPLPLPEPSFGDPLSGSSPPLPPPNGIASAAGVAVAVAGSLVAARLVFRFREEMGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISTESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLQSLNLKELQQLEQQLESSLKHIRSRKNQLMHESISELQKKERSLQEENKVLQKELAEKQKTHTQQAQWEQTQPQTSSSSSSFMMREAPPTTNISDRPAAASERTEEAAKARVGLPPWMVSHING* >Brasy2G344500.2.p pacid=40071007 transcript=Brasy2G344500.2 locus=Brasy2G344500 ID=Brasy2G344500.2.v1.1 annot-version=v1.1 MLHTSPVSTYHAPSSDPFKTPLPLPEPSFGYPVSGPSPPLPPPNGTASAAGVAAAVAGSLVAARLVFRFREEMGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALVIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISTESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLQSLNLKELQQLEQQLESSLKHIRSRKNQLMHESISELQKKERSLQEENKVLQKELAEKQKTHTQQAQWEQTQPQTSSSSSSFMMREAPPTTNISDRPAAASERTEEAAKARVGLPPWMVSHING* >Brasy2G047000.1.p pacid=40071008 transcript=Brasy2G047000.1 locus=Brasy2G047000 ID=Brasy2G047000.1.v1.1 annot-version=v1.1 MAQSEAADARSGYCAATGSFRSKRAAVPLPVDPDVDVVTFLASRRHSGVVALIDAATGRRTTFGELWRAVAGAATALASAPFSLRKGHVALILSPNSVHFPVAALAAMSLGAVVTTANPLNTAAEIAKQVADARPVLAFTTRELLPKLPRGHPLRVVLLESASGDPLPADPRIAATIEEISATPPDPARRRGDRVTQDDQATLLYSSGTTGPSKGVVATHRNLISMVQIVMTRFRLEDSDTTETFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKFELPEMLRCINAYGVTYLPLVPPILVAMVAHPKTLPLGQLRKVLSGGAPLSKELIEGFKEKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPETAEALPVNRTGELWIKGPYVMKGYFKNTEATKATVTPEGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEITDAAVIPFPDKEVGQFPMAYVVRKNGSNLSAHEVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL* >Brasy2G391600.1.p pacid=40071009 transcript=Brasy2G391600.1 locus=Brasy2G391600 ID=Brasy2G391600.1.v1.1 annot-version=v1.1 MEKVPHAAVTAGTKRRRARPHEMADKSIEDDRLEAEFDKDKKESNGTTENGDGPIARKRPKRAAACSNFKEKAFDLSEDDSLVAIKESRIEEEIEAVRLTNTGPEDKKSCRKLIDFILHDENGNPQPFEMSQSDGISITALVMPLDDNMEKDRGKGIRCLRFGPIKNWAISGYKQGTAVIWLSTELADYKCVKPASSYRSYFDHFSEKANVCVEVYKRLARSVGGNPQLSLEELLASVVRSINSNRSFNGTVSKDFVISIGEFLYNQLSELDNTSDNNDETLSALPVLVALRNECKSRMEFDKFPDKTSSETLRIKDGQCKEEVTKNEDEDEKLARLLHEEEEWKLMKQRGKRGASKKNVYIKISETEIANDYPLPAYYKPYSIEMDEYMFDSDMGMFLDDVPVRILNNWALYNSDSRLISLELIPMKSGAENDIVIFGSGFMREDDGSFFSTAEPTQLSSSSSKSGQEDQGVPIYLSPIKEWVIEFGGSMICISIRTDIAWYKLHQPLKQYAPWCDTVLKTARLAVSVITLLKEQSRASKLGFADVIKKVAEFESGHPAFISSNATLVERYVVVHGQIILQQFANYPDPSIQRSAFVTGLTAKMEDRRHIKLVMKKKSQATRGENLNPSAKMGPILRRKLMRATTTRLISKIWGDYYATHFPEDLKEGAEDEPKEIEEEQEENEEDTEEDVKVEEEHVLRTPPSTRSRKSSSNNCEEIEWEGQTVGKTRSGEDLYKCVRVRDLNISVGGAVTLEDDSGDALMCFVEYMYEKQDGKHMIHGRILQKGSQTVLGNAANEREVFITNDCLEFEICDIKELVTVNVQLIPWGHKYRKENLEANRIERAKAEDRKKKGLPVEYICKSLSCPEKGAFFSLPYDKMGTGTGSCSSCQEREMVGEEFKILSESTFVLKNSTYAVHDFLYVRPEFFSRVDGHETYKAGRNVGLKPYVVCHLQSINAPPGSKKVNPESTKVSVRRLYRPDDISSARAYSSDIREVYYSEDILSVPVVMIEGKCEVTTKNNLPDSNLPVVVDHVFYCEHLYDPDTGALKQLPSNVKLMTIAKKAPASKKNKGKQVCDSDQAGSDKQNAAASENSLATLDIFAGCGGLSEGLQLSGASHTKWAIEYEEPAGQAFGENHPEAAVFVENCNVILKAIMDKCDDTDDCISTLEASEQAAKLSDEKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFTEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGKYYAASKSTAGGAPFRSITVRDTIGDLPPVENGASKPTIQYGSEPISWFQKKIRGDAFSLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSSGQMVELIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDGYRFAGNIQSKHRQIGNAVPPPLAYALGRKLKQAINARN* >Brasy2G391600.2.p pacid=40071010 transcript=Brasy2G391600.2 locus=Brasy2G391600 ID=Brasy2G391600.2.v1.1 annot-version=v1.1 MEKVPHAAVTAGTKRRRARPHEMADKSIEDDRLEAEFDKDKKESNGTTENGDGPIARKRPKRAAACSNFKEKAFDLSEDDSLVAIKESRIEEEIEAVRLTNTGPEDKKSCRKLIDFILHDENGNPQPFEMSQSDGISITALVMPLDDNMEKDRGKGIRCLRFGPIKNWAISGYKQGTAVIWLSTELADYKCVKPASSYRSYFDHFSEKANVCVEVYKRLARSVGGNPQLSLEELLASVVRSINSNRSFNGTVSKDFVISIGEFLYNQLSELDNTSDNNDETLSALPVLVALRNECKSRMEFDKFPDKTSSETLRIKDGQCKEEVTKNEDEDEKLARLLHEEEEWKLMKQRGKRGASKKNVYIKISETEIANDYPLPAYYKPYSIEMDEYMFDSDMGMFLDDVPVRILNNWALYNSDSRLISLELIPMKSGAENDIVIFGSGFMREDDGSFFSTAEPTQLSSSSSKSGQEDQGVPIYLSPIKEWVIEFGGSMICISIRTDIAWYKLHQPLKQYAPWCDTVLKTARLAVSVITLLKEQSRASKLGFADVIKKVAEFESGHPAFISSNATLVERYVVVHGQIILQQFANYPDPSIQRSAFVTGLTAKMEDRRHIKLVMKKKSQATRGENLNPSAKMGPILRRKLMRATTTRLISKIWGDYYATHFPEDLKEGAEDEPKEIEEEQEENEEDTEEDVKVEEEHVLRTPPSTRSRKSSSNNCEEIEWEGQTVGKTRSGEDLYKCVRVRDLNISVGGAVTLEDDSGDALMCFVEYMYEKQDGKHMIHGRILQKGSQTVLGNAANEREVFITNDCLEFEICDIKELVTVNVQLIPWGHKYRKENLEANRIERAKAEDRKKKGLPVEYICKSLSCPEKGAFFSLPYDKMGTGTGSCSSCQEREMVGEEFKILSESTFVLKNSTYAVHDFLYVRPEFFSRVDGHETYKAGRNVGLKPYVVCHLQSINAPPGSKKVNPESTKVSVRRLYRPDDISSARAYSSDIREVYYSEDILSVPVVMIEGKCEVTTKNNLPDSNLPVVVDHVFYCEHLYDPDTGALKQLPSNVKLMTIAKKAPASKKNKGKQVCDSDQAGSDKQNAAASENSLATLDIFAGCGGLSEGLQLSGASHTKWAIEYEEPAGQAFGENHPEAAVFVENCNVILKAIMDKCDDTDDCISTLEASEQAAKLSDEKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFTEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGKYYAASKSTAGGAPFRSITVRDTIGDLPPVENGASKPTIQYGSEPISWFQKKIRGDAFSLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSSGQMVELIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQSKHRQIGNAVPPPLAYALGRKLKQAINARN* >Brasy2G106000.1.p pacid=40071011 transcript=Brasy2G106000.1 locus=Brasy2G106000 ID=Brasy2G106000.1.v1.1 annot-version=v1.1 MERRVRGLDSLPPSPPCSRAVRPQEQEEETNEAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDCHRGQMEAALKSAMETLASGRKKIDGRGEVGVAAALDEGIEDLEGMMEELRVEKESGRRAMSGMRGLQRSHGRNFDRQASSLRRRLEKMPSADAEPTLKDIREIAHPVAPSPPPPAAHSDDGDHVPSANLSDVEMLRVKMEGMSKGMRDRMAEYGRRLEAVTGGDNAVCQSRKCGNNRHSRKPSACSQRSWSGSSNTSNSNVAAFRYNASHGPSVASEKHHQEQKIVPEDCKLVGSGSCCDCKEIVGKIMKQVRAESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRCIASDASVGSLNSQMMEWKHRAQASEQHAEELQKKISELQGKLHTFQSHFPTPSLPSREWSEACKMENGPRAAKAQHRHRPTQEKEKHVLICRVKHSPSVIPKRLPLQEIGNISLPRQR* >Brasy2G054900.1.p pacid=40071012 transcript=Brasy2G054900.1 locus=Brasy2G054900 ID=Brasy2G054900.1.v1.1 annot-version=v1.1 MGELPADQYILVDAGAPVDPDPATFFQSRLNIWAEKNAEIAVLRMDLDEAEDENAKMALRIKELESDVAELKRVHHHEIRMTEKKCACAAAFAIFIAAVVFFHFHY* >Brasy2G462200.1.p pacid=40071013 transcript=Brasy2G462200.1 locus=Brasy2G462200 ID=Brasy2G462200.1.v1.1 annot-version=v1.1 MDRNNNNPNPSRPAPAAAPAPQVRVYHEPIPETIYFQTDSSRFKELVQRLTGQTTAAAAAHPGATVSAPVSEPGPSMAAGSMSANTALFRSALPDWPSGLRIIESGASSLSLGLASTAAQERDIREDAAEEKAIREGRFYLRRTRPSGWTREPQLLMLFPQSRLP* >Brasy2G252700.1.p pacid=40071014 transcript=Brasy2G252700.1 locus=Brasy2G252700 ID=Brasy2G252700.1.v1.1 annot-version=v1.1 MNPVACLPLRASAATPPFPSRCRAAPLPHSLRLSSSSSCERLHRRGQLHYKSNILGKSTNKPNMRLLNRNVSFVSKKSSQDVAEGSGDEESDVESTTTKKKTPKRGRKKAAADKPEVETQEGPGDTQDAAKTVKRRGRKKAATTATASSTEEKDKAKEPKKRGRKKLKAAEELSDNEGEDQSKDMMPSNERDDRSSVNDLESKVESLLLQDVGEVDNSMPLVCCFGPSKCSFIPSGRPANRLVDHEIHSRMKDMFWSPDEFVRAPGGPSSNVALALAALGSRVVFMGKLGDDEYGQSLLYHLNINGVQTRAVSLDPSVPTAISFMKVTSRGSLNTNCVKPCAEDYFLQSDINPDVLKEAKMFYYNSSALLETTTRSSLLKAIEISKKFGGIIFFDLNLPMPLWSSSKETKSLIKEAWEAADIIEVTKQEFEFLCGIKPSGKSDTEDDEKSKFKHYSPEVVMKLWHANLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTCEMSQSGDAIVAALMNMLSINPHLVTVKVYLHKTVKHAIKCGVIDQWLVARERGFLPRERADYPTREQDEVRFITEKEYRTLPGAMQPVNSSNSELAHVE* >Brasy2G449200.1.p pacid=40071015 transcript=Brasy2G449200.1 locus=Brasy2G449200 ID=Brasy2G449200.1.v1.1 annot-version=v1.1 MLPTFFLFLVTLDHVVAAMNETVFTFNGFSGANLSLDGMATVTPDGLLMLTNGTTALKGHAFHPTPLRFHGANERTVTSFSTAFVFGIIGQYPDVSSQGMAFVVSASRNFSTALPGHFLGLVNAADNGNASNHLLAVELDTVLNAEFRDIDDNHVGVDVNSLTSVRAASAGYYDDQTGTFRNLSLISRKAMQVWVEYDGRAMELNMTMAPVEMPKPKKPLLSAVVNLSEVVTDPAYVGFSSATGIIFSHHYVLGWSFKMNGEAPGLNVSMLPALPRTTGKTRPKVLEVVLPIASVVFVLALATAIVVVAKRRAKFAELREDWEAGFGSHRFAYKDLLYATGGFKDKNLLGRGGFGSVYMGVLPKSKAQVAVKRVSHESRQGIKEFIAEIVSLGRLRHRSVVQLLGYCRRRGELLLVYHYMPNGSLDRYLHDHDKPALDWGQRFKIIKDVASGLLYLHEDWEKIVIHRDIKASNVLLDAEMNGRLGDFGLSRMYDHGTDPNTTHVVGTMGYLAPELGHGAKAAPPTDVFAFGVFLLEVTCGRPPVDQGSQAVLVDWVLHHWRNGSIMEAADPRLGDDYAAREVQLVLRLGLHCSHPLASARPSMRRVVQCLDGDMVFPENEAMHMNFSFSMARLIKDQQLELDAVACELSSASSAGTMSSTLSISILLLFVLHKIELVSSASDEQFAYEGFAGANLTLDGAAAVTPSGLLALTNDKHTKGHAFFPAPLRFHGPVSGTALQSFSATFVFAISSEHAQLSDHGLAFVLASSSNLSDATGAQYLGLLNISNNGKASNHILAVELDTVLSPEFHDIDSNHVGIDVNKLQSMESHTAGYYEDSTGKFLNLTLMSRKAMQLWVDYSGQAMELNVTLAPLDVEKPKDPLLRTAINLSEIVNTTAYIGFSSATGLSIAYHYILGWSFSLNGAAPVLNSSNLPALPRLPHQKRSLSENLVIVLPFATAGFIIALLIVVFMFMRRWLRYAELHEDWEVEFGPHRFSYKDLFHATKGFVSKQLLGIGGFGRVYKGVLLESNLEIAVKSVSHDSKQGMKEFIAEIVSMGKLRHKNLAQLLGYCRRKGELLLVYDYMSNGSLDKHLYDHSRPVLSWNVRFHIIKGIASGLLYLHEDWEQVVVHRDIKASNVLLDSEMNGCLGDFGLAKMYSHGTNPRTTNVVGTMGYLSPELLRTGKASPGTDVFAFGMFLLEVTCGRRPLDHHQVVLLDWVLEHWNKGAILDTVDARLSGQYSAEEVSLVLKLGLLCLQPMLNARPSMRQVLQHLDGTLTVPEMAMMNLDYGTLMFLQSEGFDSYAMLDASSLETSIGPGSDLSGGR* >Brasy2G197900.1.p pacid=40071016 transcript=Brasy2G197900.1 locus=Brasy2G197900 ID=Brasy2G197900.1.v1.1 annot-version=v1.1 MRVTGPDGEELHLTEGVDGAGEFMFEAAERGSYVACFWTPHYDRGAVVSVDVQWTANVRAHHTEGPLITFIRARAEGPVPAVDLATAKQGSTDVRMFLAP* >Brasy2G250500.1.p pacid=40071017 transcript=Brasy2G250500.1 locus=Brasy2G250500 ID=Brasy2G250500.1.v1.1 annot-version=v1.1 MGIHSRLNTKAVFTPRDNNALANDLARKARRNLCNLPRISCINSAHRLSGCPLRHLCNISNPDKFRVIFVLCC* >Brasy2G141500.1.p pacid=40071018 transcript=Brasy2G141500.1 locus=Brasy2G141500 ID=Brasy2G141500.1.v1.1 annot-version=v1.1 MGTGRGRHSQWSPQSDQLRNSIGRTAVGKEGAGAWRSRRELASGEEARGGASSRDRRSDRVSNSQYVGTAREGVKGTKKRPPSWPARRSAARERRRMAARRRERGRTHAGNGGGANRRNPGKIEKWATLAIAHIPFPAQTKPNSPIAYA* >Brasy2G212900.1.p pacid=40071019 transcript=Brasy2G212900.1 locus=Brasy2G212900 ID=Brasy2G212900.1.v1.1 annot-version=v1.1 MEAVLNLLMQQQAAGLARRRCVRLAAPAGNRLTVVRLGMARRPNWAVRARSGLLARAHLVAERSKEAAGEEDEEDARATRLFVGLPADVVTDGKALKCSRAVKAGLRALKLLGVDGVELPVSWAVAQPGPDGDGPFEWAGYLAVAGMVRDAGLGLRVSLLTHGAALPGWAADSGPDILLADRSGNRREGCLSYAVDELPVLADKSPIEAYEAFFRSFADAFHDFLGSTITDVTVSLGPNGELRYPSYPPPGSDRAVEDAYTGVGEFQCYDKHMLARLKRHADSSGQPLWGLSGPHDAPQYGDASPESTGFFREQHTGAYGGFFLSWYAGELLEHGDRVLAAASRAFRGAPVEMSAKVPFFHHSGSTRLAAEATAGLYGGYGPVAEMFERHACTAIVSVSVTGMPDAAEGEEVLAQIKDACTEHGARFACESAASMAAAAADPSVWAGLLNAERTRPCHFTYQRMGAEFFSPDHWPLFVQFARALESSPEVAHEDDLPGDGDGFRLAATSGHGVQGAVKEKQTA* >Brasy2G030300.1.p pacid=40071020 transcript=Brasy2G030300.1 locus=Brasy2G030300 ID=Brasy2G030300.1.v1.1 annot-version=v1.1 MDTNDDAVGNGLCFPYDVLLDILRRVPGRALAASCRLFPGIFATYYGFETSSALFGPPGHRRRPLFWEDWYRVKNHCNGLFLLSERDADDGPGDWDVCVCNPATSRHARLPCPPPMPWACGMGDVFLAFDPAVSRHHEVFYFAARQTPEHGKTEPEEPSWIDLEHPFVPSLFGEEDPFEEEQASVQDYWCEEVQPTGEQQHESRAEEPAGPAAEVPEKEVLNVSVFSSRTGEWESREFMPGRCTSRHMYDVVTAPRGEEAQGRWSAEYRRGSLYVQCHGGVLMILHCSEGTYNMIQLPGHPDDGEDLPWYALPKRCVLASYERGICYVVLNKFQLEVWELTELAGGQLGWTLAHETDLEAQNRMVNCLRENQKMQPRMTWEVAERDNDLFEGENIDKSNDVDGDHGTEEEDEEDNYDEAQAEEEEEQDNVDVTQSKEEEEQDGNEEEEQDGNEEEEQDNIDDTQSELEEEEQDSSDEDEQCWSDTSSEYSWNSDEHNFIDFDKSVTGDIPIRPWGVRIVGFHPYKDVLLLKLNHTVVAYHLQTSRMQYLGDIYPKQPCHHARDVHDAFPYHPCYIDALPARKTSQSS* >Brasy2G308400.1.p pacid=40071021 transcript=Brasy2G308400.1 locus=Brasy2G308400 ID=Brasy2G308400.1.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRCKPLFLQQTYILAPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G308400.4.p pacid=40071022 transcript=Brasy2G308400.4 locus=Brasy2G308400 ID=Brasy2G308400.4.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRCKPLFLQQTYILAPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G308400.5.p pacid=40071023 transcript=Brasy2G308400.5 locus=Brasy2G308400 ID=Brasy2G308400.5.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRCKPLFLQQTYILAPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G308400.3.p pacid=40071024 transcript=Brasy2G308400.3 locus=Brasy2G308400 ID=Brasy2G308400.3.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRSPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G308400.6.p pacid=40071025 transcript=Brasy2G308400.6 locus=Brasy2G308400 ID=Brasy2G308400.6.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRSPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G308400.2.p pacid=40071026 transcript=Brasy2G308400.2 locus=Brasy2G308400 ID=Brasy2G308400.2.v1.1 annot-version=v1.1 MASEAPVAAGANLTAAMCKRSNRVARVLAYALLEWILIALLLANGVFSYLISKFAAFFGLPPPCALCSRLGVDSLFEPLRGGAGGEHLRRVMCDAHAAEMSRLGYCHAHRRLADAADMCEDCGAAAAAASGKALLSWMRRSELGERDLACACCGVALESGFYSPSFLLPGVLTRDLDGGYKEDAIACLNGDVVFVSEEGPVIELFDEKPLVEEDSIGVMASHCADIAGNVDRLMPLESIDSLAMGMAVVSSQSAGEGKEEVDHDTLELGYVAPENAVNANEENFVAASGDEKLDDMVDRLIDGEIAALDVSVASTENEFKNEINAGETTENLADQRSPDRNSGLKGKYQAILIGDEISEDEQVEQDAVKQELFSMSSDPREHEVVVGKSDGNTEEETVQQALKHEWDSMPMEGEERISEASNENNVDTQQQVQQAELNQELDSVLIHPRENVDEEFEQEKIAPAESQQEELDSVALDFCEQVHVASSERVEEEHVGQPELKHLSTFMTARALEFVSDPFDVRTITCKDGIKVDPTEALLPSLHHLSYGPSISLDKLSPDCSDIEDKKVPDTPSHIEGISSLQDLLDPKAVTSDTKSVDSSDATMSTDLEGVELVSVDQLKSALVAARKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRVNELVVKRDKERQDMEREIELYHHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDIVLQETATHIVTLDGSLADCDEERLSILEQLKVLEERLFDLEDEESDSMKEDKHFTEDNHLSSASNGFSDEDTSFKLHDSRKGVSYRGKKLLPLFDDATTMQNGNGLLTEQCIGAYPSAQVVLELAKEQDKLAIANEIDQVHERLHALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNIGDLSPHYVHPYTD* >Brasy2G300000.1.p pacid=40071027 transcript=Brasy2G300000.1 locus=Brasy2G300000 ID=Brasy2G300000.1.v1.1 annot-version=v1.1 MEPLEAAIAVIFNALLLVFMVKLFFAMFQMKLVVILFYLVVVLFAMAFSGRGPGGF* >Brasy2G453300.1.p pacid=40071028 transcript=Brasy2G453300.1 locus=Brasy2G453300 ID=Brasy2G453300.1.v1.1 annot-version=v1.1 MPSGYSFPTMSPAQIAEALTQYGISPLANLRPEDIAKPQPDLLSTVLSRFIASFVDSPGSRTSRSPTSSTRKPPPLCPLLAPLARAGTRLRPAGDRAGPLAADQTAVYNGVYGPWSVDDADVREVLLYRSGLVTAAAAFLVAASGAFLPEGNPAGDAVRQGADLLYAACAAGLGLSLVLIHIYVTPIKRFLQTLWLAGVVRSVGTYAVAARPLDEGLVRYVLEHPAAVWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPILLLGHLSGLMDDGAKLGLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQKLEAAPTEQTLE* >Brasy2G199800.1.p pacid=40071029 transcript=Brasy2G199800.1 locus=Brasy2G199800 ID=Brasy2G199800.1.v1.1 annot-version=v1.1 MKIVPVACLEDNYSYLIVDESTKAAAAVDPVEPEKVLAAASEVGAYVDCVLTTHHHWDHAGGNEKMRLLVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDTEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKSTRVYCGHEYTVKNLQFVLTVEPENEKVKQKLEWAQKQRQANQPTVPSTIGEEFEINTFMRVDLPEVQAKFGAKSPVEALRTIRSTKDTWKG* >Brasy2G199800.2.p pacid=40071030 transcript=Brasy2G199800.2 locus=Brasy2G199800 ID=Brasy2G199800.2.v1.1 annot-version=v1.1 MRLLVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDTEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKSTRVYCGHEYTVKNLQFVLTVEPENEKVKQKLEWAQKQRQANQPTVPSTIGEEFEINTFMRVDLPEVQAKFGAKSPVEALRTIRSTKDTWKG* >Brasy2G196700.1.p pacid=40071031 transcript=Brasy2G196700.1 locus=Brasy2G196700 ID=Brasy2G196700.1.v1.1 annot-version=v1.1 MSTDDLERGAGPAEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLHKAMDATGILCAVMLDTKGPEIRTGFLQDGKPIKLTQGQEITITTDYSIKGDETMISMSYQKLALDVKPGSTILCADGTITLTALSCDPEHGLVRCRCENSALLGERKNVNLPGVVVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSIILMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNKQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSNICLMAESYVDHRAVFKLISSAAPVPMSPLESLASSAVQTANISKASLILVLTRGGTTARLVAKYRPAMPVLSAVVPELKTDNDFDWTCSDEAPARQSLIVRGLIPMLSTATAKASDTEATEEAISFAIDHAKELGLCKSGDSVVAVHRISASSLVRILTVN* >Brasy2G417700.1.p pacid=40071032 transcript=Brasy2G417700.1 locus=Brasy2G417700 ID=Brasy2G417700.1.v1.1 annot-version=v1.1 MSWLEMWLPCAGEGTAGGLFLDGGDAAAHGALLAAMPGCSASFGVPRRRRGTSPGFLSMTISVKGGRGLVPAPVGLLASGEEKGRAEEAEGLIAGRRDEDVVMGADGKLLEKEARSGAGAMNTTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELIQVIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGASAGVTATIMCIPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGIFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKKRISMMKQQGQGANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQLQVKATKMNALATCLKIVDQGGVPALYVGLIPSLLQVLPSASISYFVYELMKIVLKVE* >Brasy2G417700.2.p pacid=40071033 transcript=Brasy2G417700.2 locus=Brasy2G417700 ID=Brasy2G417700.2.v1.1 annot-version=v1.1 MDGPDVCQPRSDCRKLFSRGGLRRTVVAPLERLKLEYIVRGEQRNLFELIQVIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGASAGVTATIMCIPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGIFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKKRISMMKQQGQGANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQLQVKATKMNALATCLKIVDQGGVPALYVGLIPSLLQVLPSASISYFVYELMKIVLKVE* >Brasy2G456700.1.p pacid=40071034 transcript=Brasy2G456700.1 locus=Brasy2G456700 ID=Brasy2G456700.1.v1.1 annot-version=v1.1 MAAAAGGEPEVIRDKAAMRAWSRRRRAEGKTVVLVPTMGFLHDGHLSLVSAAAAVAGPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLASTGAVHAVFNPPDLYVRGSAGSGASGGAVSCLEAAAAGGDGHETWVRVERLEKGLCGASRPVFFRGVATVVAKLFNVVEPDVAVFGKKDYQQWRLICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSHEEREKALSISRSLVNARTAVLNGNNAAKQIKNQIVHTLTEAGGRVDNVEVVEQESLAPVEMIDRPVVICVAAWFGKVRLLDNIEICTGC* >Brasy2G016900.1.p pacid=40071035 transcript=Brasy2G016900.1 locus=Brasy2G016900 ID=Brasy2G016900.1.v1.1 annot-version=v1.1 MRARDLHPLCCLPVDYCPGGWCAAPAAGDRSPPPAPNQQQPAPAVVAGVLHKWTNIGKGWRPRWFAILPGGAVLAYYKIRRRRSRAASSPPAPGDAGPRLIGPPGYAAEDRPIGLVHLKISSFRESRSDDKRFYIITPTKTLQLRTNSAKDRVAWIEALVSARSESSPMGGLLYDQNDVSFSTDRLRNRMHAEGLGEEVIRDCEQIVHSEFLQYSIQMKQRCEEYLSFLGSLPQQLEVLNAEDSAHSIKPECSFSGLGKFSESSNTESSDDAGAQELDELSEEDEYNFCDTRQSFSDSAASPVLRLKCSNSISNHKFVESRSSNGNNVLVPSKRRTKLPEPVEKEKVVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSHLLDRAHDYGLKGNSLMRTLCVAAFAASGYASSDGRPCKPFNPLLGETYEADYPEKGLRFFSEKVSHHPMVMACHCEGRGWKFWGESNVKSKFWGQTIQLDPVGVLTLQFDDGETFKWSKVTTTINNIIIGKLYCHHHGTMTITGNKQYSCKLTFKHQSFLERNPRQVQGFVEDMGGTRVATLTGKWDESMYYTVTQDIPGVNSSAGATLLWEKNEPPANPTRYNLSSFAITLNELTPNLKEKLPPTDSRLRPDQRHLENGEYDKANSEKLRLETRQRMARKMQDNGWKPRWFDRDAEDGTFRYTGGYWDSREDGTWDGCRDIFGELSSNRTSATFPSVDI* >Brasy2G016900.2.p pacid=40071036 transcript=Brasy2G016900.2 locus=Brasy2G016900 ID=Brasy2G016900.2.v1.1 annot-version=v1.1 MRARDLHPLCCLPVDYCPGGWCAAPAAGDRSPPPAPNQQQPAPAVVAGVLHKWTNIGKGWRPRWFAILPGGAVLAYYKIRRRRSRAASSPPAPGDAGPRLIGPPGYAAEDRPIGLVHLKISSFRESRSDDKRFYIITPTKTLQLRTNSAKDRVAWIEALVSARSESSPMGGLLYDQNDVSFSTDRLRNRMHAEGLGEEVIRDCEQIVHSEFLQYSIQMKQRCEEYLSFLGSLPQQLEVLNAEDSAHSIKPECSFSGLGKFSESSNTESSDDAGAQELDELSEEDEYNFCDTRQSFSDSAASPVLRLKCSNSISNHKFVESRSSNGNNVLVPSKRRTKLPEPVEKEKVVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSHLLDRAHDYGLKGNSLMRTLCVAAFAASGYASSDGRPCKPFNPLLGETYEADYPEKGLRFFSEKVSHHPMVMACHCEGRGWKFWGESNVKSKFWGQTIQLDPVGVLTLQFDDGETFKWSKVTTTINNIIIGKLYCHHHGTMTITGNKQYSCKLTFKHQSFLERNPRQDLLRIWAVPGLRP* >Brasy2G404800.1.p pacid=40071037 transcript=Brasy2G404800.1 locus=Brasy2G404800 ID=Brasy2G404800.1.v1.1 annot-version=v1.1 MDPRSPPEKIAKLYLNKRRSLPPPSNQTTGELLTESLERAADLIVKCLKACRRPECYQDHTDRSSWEGAPVDLCLQALLGTLKDPAKSIGTSSVTNAGAPTSQPGNSNTANAGAPISQPAKIFGNSIAAYTGITTHSSEDGCYIKGFLPKKNPSAELTTVPDPNRQRMWVK* >Brasy2G140100.1.p pacid=40071038 transcript=Brasy2G140100.1 locus=Brasy2G140100 ID=Brasy2G140100.1.v1.1 annot-version=v1.1 MEGPGESAAGAGKRPRRGEAPQSGNPSPALAPLPAPTASADSSAANIEENELEQSYHQLTNNVGHSIVTVMDGNGKYIVGFVAGHTPDRKNTIIFTSSTLPEHDLFVQFKDGSKVKARVLFSQDHFCLLTVEYNGEIKAIKFVEEDLSVQAEAVVFAPNSDGICSQVPSFVTQPSNPSRDAYMQPIKGSENDMFLSCNWYGQLINGRSDLASSPIFNLKGEAVGIVDRNMTEKPDNPEDQFHPVFKVGIRASAVKILYNDLLKGAELSAIKEAQPRERCTGGGIAKASTRKRPRRSKRK* >Brasy2G383600.1.p pacid=40071039 transcript=Brasy2G383600.1 locus=Brasy2G383600 ID=Brasy2G383600.1.v1.1 annot-version=v1.1 MREEEELAAGWVVVGGDRSMGSLGKPASAAAGESVVLAVNGARHEEAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPTTDEVTEFSASSCLTLVNSVNLCSVTTSEGIGNTRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKPKPGGESAAPPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAANVDLEDLGLNTFWKKGCADVGKLPEYSADSVCTFPDFLKSEIKSLIPPAVITGDDSSWYHPQSIGELHSLSDSDWFDDNSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGVEIGAGVSIAKAIEVLSDGTPVFSKIADHLSKVATPFVRNTATIGGNLIMAQRLEFPSDIATVLLAAGSTVTIATASKKMLCLTLEEFLEQPPCDVRTILLSVSVPDWGSENVIFETSRASPRPFGNAVSYVNSAFLARTSRYAASGGILIEDIYLAFGAYGGNHAIRARKVEEFLKGKSVSAPVILEAVRLLKEAILPSEGTTHPEYRVSLAVSFLFSFLSSLANGLDEPAKAVAPVGSCANGIMNGSVKSSPEKHVEVASDYLPIRSRQEMVFSDEYKPVGKPVMKAGVELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKSVNFKSSLASQKVITVISAKDIPNDGANIGSSFPMFGDEALFGDPVSEFAGQNIGIVIAETQQYAYMAAKQVMIEYSTEKLEPPILTIEDAIQHDSYFHTPPFLAPTQIGDFDKGMSKADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQIPEVTQGVIAKCLGIPHHNVRVITRRVGGGFGGKAMKGCHVACAVAVAAFKMRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGTLTALRLDLGINAGISPDISAMMPMSIIGALKKYNWGALSFDVKVCKTNVSSKSAMRAPGDVQGSFIAEAIIEHIASTLGADTNAVRKKNLHGIDSLKVFYGDAAGEEPTYSLVTIFDKLAASPEYKQRVAAVERFNGGSRWKKRGISCVPITYEVRLRATPGKVSILNDGSIAVEVGGIEIGQGLWTKVKQMAAFGLGPLCPDGEGPLDMVRVIQADSLSMIQGGFTGGSTTSENSCEAVRLSCAELVERLMPIKKSLEATSGTPPSWTALIAQATMASVNLAAHAYWKPDPSFVSYLNYGAGVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVINDGTWTYKIPTVDTIPKQLNVELINSAPDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAVDSPLTFQMDVPATMATVKELCGLDVVERHLESLSAAAKA* >Brasy2G383600.2.p pacid=40071040 transcript=Brasy2G383600.2 locus=Brasy2G383600 ID=Brasy2G383600.2.v1.1 annot-version=v1.1 MGSLGKPAAAGERVVLAVNGARHEEAGVAPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPTTDEVTEFSASSCLTLVNSVNLCSVTTSEGIGNTRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKPKPGGESAAPPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAANVDLEDLGLNTFWKKGCADVGKLPEYSADSVCTFPDFLKSEIKSLIPPAVITGDDSSWYHPQSIGELHSLSDSDWFDDNSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGVEIGAGVSIAKAIEVLSDGTPVFSKIADHLSKVATPFVRNTATIGGNLIMAQRLEFPSDIATVLLAAGSTVTIATASKKMLCLTLEEFLEQPPCDVRTILLSVSVPDWGSENVIFETSRASPRPFGNAVSYVNSAFLARTSRYAASGGILIEDIYLAFGAYGGNHAIRARKVEEFLKGKSVSAPVILEAVRLLKEAILPSEGTTHPEYRVSLAVSFLFSFLSSLANGLDEPAKAVAPVGSCANGIMNGSVKSSPEKHVEVASDYLPIRSRQEMVFSDEYKPVGKPVMKAGVELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKSVNFKSSLASQKVITVISAKDIPNDGANIGSSFPMFGDEALFGDPVSEFAGQNIGIVIAETQQYAYMAAKQVMIEYSTEKLEPPILTIEDAIQHDSYFHTPPFLAPTQIGDFDKGMSKADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQIPEVTQGVIAKCLGIPHHNVRVITRRVGGGFGGKAMKGCHVACAVAVAAFKMRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGTLTALRLDLGINAGISPDISAMMPMSIIGALKKYNWGALSFDVKVCKTNVSSKSAMRAPGDVQGSFIAEAIIEHIASTLGADTNAVRKKNLHGIDSLKVFYGDAAGEEPTYSLVTIFDKLAASPEYKQRVAAVERFNGGSRWKKRGISCVPITYEVRLRATPGKVSILNDGSIAVEVGGIEIGQGLWTKVKQMAAFGLGPLCPDGEGPLDMVRVIQADSLSMIQGGFTGGSTTSENSCEAVRLSCAELVERLMPIKKSLEATSGTPPSWTALIAQATMASVNLAAHAYWKPDPSFVSYLNYGAGVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVINDGTWTYKIPTVDTIPKQLNVELINSAPDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAVDSPLTFQMDVPATMATVKELCGLDVVERHLESLSAAAKA* >Brasy2G229500.1.p pacid=40071041 transcript=Brasy2G229500.1 locus=Brasy2G229500 ID=Brasy2G229500.1.v1.1 annot-version=v1.1 MEEAGEEEEAVVACECCGLTEECTARYIAGVRARYGGRWICGLCGDAVAEELGRGAPVSPAEALDRHVSVCGARRASAPPSPEENAGDLIAAVRVLLLRRLGSPLTTPPRRVRSTPSSPGRAADADAPTAGSGIALVRTGSCFAALLE* >Brasy2G367600.1.p pacid=40071042 transcript=Brasy2G367600.1 locus=Brasy2G367600 ID=Brasy2G367600.1.v1.1 annot-version=v1.1 MEAVLPVKSDPITRALCERMNPLNRPTFPDGWSITSEDSPAMSPRLLSFKSNSSTESYFQGSGRVLSQSDRHICAPNVDINYAEYLDLMKLEVNAQLDKLKGDVTGLENYALPDNGYIMGTHLGMSLDVMLIEIDERFNALKVLLAVVFRQAREMLGLTSPSVTDLQWEHELQLEVVSITIGECISGLQEDLERKLYEQMSVTNTMSKNWQEVVAQFAAMREDLGAVSKLLLPSVPESHISHSKSESSGNRSNRWKYNLFGKKTKEEHSSSRSEGSKSFRKQKSMVISEKSDFRHLNAMTKEETISYFKSEISKLKRIHELALQEKTEELFKLKREKGSLALQNDVEFEPLRRKIPEIITRMDKIISKNIKVPTLSETHNDRCRLASRIDSLYYDNQHLRGLLADNMKDVKVLSSQLSEASRDMSLQFSSEEELLRQIEKSKDECEDLLIEGVVKDGLYRTVTRSLLNDSMNEMHDAALNFDAKLSSLEAVISEKEKALCLSNEENWKLKEKLAALEKECLFRDHQEDPEVIKQESVEMILQDIEVEPQTSPQISHEYVELMKLNRNMDYDGALSKKEQEKQLECILISIMKLSKEFVEIEQKLSTERTENRSKDLSDHCSHMVRQAMVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNAHLGLLQKMHLTLDHYSPTLQQYPGHVESLAPIEVVCVTIVAGYFLEDMQARRRFEK* >Brasy2G367600.2.p pacid=40071043 transcript=Brasy2G367600.2 locus=Brasy2G367600 ID=Brasy2G367600.2.v1.1 annot-version=v1.1 MEAVLPVKSDPITRALCERMNPLNRPTFPDGWSITSEDSPAMSPRLLSFKSNSSTESYFQGSGRVLSQSDRHICAPNVDINYAEYLDLMKLEVNAQLDKLKGDVTGLENYALPDNGYIMGTHLGMSLDVMLIEIDERFNALKVLLAVVFRQAREMLGLTSPSVTDLQWEHELQLEVVSITIGECISGLQEDLERKLYEQMSVTNTMSKNWQEVVAQFAAMREDLGAVSKLLLPSVPESHISHSKSESSGNRSNRWKYNLFGKKTKEEHSSSRSEGSKSFRKQKSMVISEKSDFRHLNAMTKEETISYFKSEISKLKRIHELALQEKTEELFKLKREKGSLALQNDVEFEPLRRKIPEIITRMDKIISKNIKVPTLSETHNDRCRLASRIDSLYYDNQHLRGLLADNMKDVKVLSSQLSEASRDMSLQFSSEEELLRQIEKSKDECEDLLIEGVVKDGLYRTVTRSLLNDSMNEMHDAALNFDAKLSSLEAVISEKEKALCLSNEENWKLKEKLAALEKECLFRDHQEDPEVIKQESVEMILQDIEVEPQTSPQISHEYVELMKLNRNMDYDGALSKKEQEKQLECILISIMKLSKEFVEIEQKLSTERTENRSKDLSDHCSHMVRQAMVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNAHLGLLQKMHLTLDHYSPTLQQYPGLLDTFLKTCKLVAGLRSRQDEDDAT* >Brasy2G111700.1.p pacid=40071044 transcript=Brasy2G111700.1 locus=Brasy2G111700 ID=Brasy2G111700.1.v1.1 annot-version=v1.1 MATALGEEAEVERPILAEEEEERKGKQGKGRRYGLVEYRALPAYMRDNEYILRYYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLSLTIYTATKVPNVDFQSLQHLPDMLRKADLHKIQAELAACLPDLQKMKDEMRSSWNSIEVLPSLSRWHLLELLSSCLPNRFVHSNETNLSVLESMKEDVAKMIGPHFIRPISRWPFFAFMGGAMFCLLASSICHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCHPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVFHKLILFWHQPEALLTTGYEILMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLLYLKWRDQQGC* >Brasy2G111700.2.p pacid=40071045 transcript=Brasy2G111700.2 locus=Brasy2G111700 ID=Brasy2G111700.2.v1.1 annot-version=v1.1 MLRKADLHKIQAELAACLPDLQKMKDEMRSSWNSIEVLPSLSRWHLLELLSSCLPNRFVHSNETNLSVLESMKEDVAKMIGPHFIRPISRWPFFAFMGGAMFCLLASSICHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCHPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVFHKLILFWHQPEALLTTGYEILMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLLYLKWRDQQGC* >Brasy2G024800.1.p pacid=40071046 transcript=Brasy2G024800.1 locus=Brasy2G024800 ID=Brasy2G024800.1.v1.1 annot-version=v1.1 MAGRSRSISCTRMAAGPKAPPPPPLPEPPRRSAADQPPSGQTATTSTSVSHVLKIQGYTPASKALPNGKGLASLPFVVRGRACTSSSWRIEFFPNGDLPCRAGFVSLLAVLDRADFAVKATVGFDLLDPATGAPVPRYARASDVRGFAHLGAYFGYGDFISREELERGFLFLEDGGGESFSVRCNVTVVELCEAAAPAVPPPDLQRHLRGLLETREGADVTFLVGAEDACFSAHRCVLAARSPVFRAELYGGTAVETADGCIRIDGMRPEVFRNLLHFAYTDSLPQPSDDREQQAVEDDDDGAVAATHGGRR* >Brasy2G386300.1.p pacid=40071047 transcript=Brasy2G386300.1 locus=Brasy2G386300 ID=Brasy2G386300.1.v1.1 annot-version=v1.1 MKPAARRSPLLLLALVLLSFSYLASLITGSHAEVVRRPDAAEGRPYIFGEESFQDWTPGGRFRVLDRFTDELLRDTPAGARRVAMLELAPRAVLLPSHKDADEAFYVKEGEGVAVLLLPRNGTTTTRESLCVREGDVMVIPAGAIAYAANTHGSRYLRAVMLLSPVSTPGRFGEFSTFGSGSVFGAFSEDVLEAALNINVGDVARLQAELDARGVIVRAPAGLIRALGRSCAANSRPLSLSERVIVKPPSSITGKKPLYSNDHGRLSEITGDEFPDLLMADVQIGLANITRVVGGPAVSAPGGRSERQQEQAAQKAARSNVQQGVRADIKEGSVVVVPAGHPATLVAGTERNLDVLMFRVGGGGGGRDEEVFLAGGNSALRQLVEDEAGRALVFGEQAKEAAKVAGAQTDAVFFRGPRLQGQRGV* >Brasy2G148500.1.p pacid=40071048 transcript=Brasy2G148500.1 locus=Brasy2G148500 ID=Brasy2G148500.1.v1.1 annot-version=v1.1 MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITLDNSHLVRRASANVMSIIAKYAVPAGEWPELLPFLFQCSQSPQEEHREVVLILFSSLTETIGSTFHSHLNVLQPILLQCLQDETSSRVRIAALKAVGSFIEYINDGPDIVKMFRDFVPSILKISRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQDLEINIRQQAIQIISWLVKFKAAFLKKNKLILPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVFAPVLDFASVRFRDINPKYREAAVTSLGVVSEGCSEQFKDKLEECLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLEPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFIPYAEKVLEMMKGFMVLTKDEDLCARARATEVVGIVAMAVGRARMEAILPPFIEAAISGFELDYSELREYTHGFFSNVAEILDDSFTPYLPHVVPLVFSSCNLDDGSAVDIDDADGADNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIKHSGYFHEDVRLQAIISLKHIVTAVRTISAHVDILEKQRDILDTVMNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAVEPYMPRLAEATLALLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKAMRSYFDPIFVKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDRIMPLVLKELASTDATNRRNAAFCVGELCKNGGAAALKYYADILNALHRLFANSEPDHAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMAVYSCLCNLLLSSHPQILTLVPDVINVFAQVVVSPDESDEVKINIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASRR* >Brasy2G466800.1.p pacid=40071049 transcript=Brasy2G466800.1 locus=Brasy2G466800 ID=Brasy2G466800.1.v1.1 annot-version=v1.1 MASPIRSPPPEPAAEAQAPPPPPPPPSQLPAVDPPHLSPDDPTPTPPLAAAPATAAPPPPGGNGDSSPPSLPTAPTPPPETAVEAEAPLPPPPPPSEHPAVDPPRLPPDNPIPAPPLHATPTTTVPPPSGGTVDSSPPSPPKAPTLPPLSTTNLSPPLSPGNQTSAPRPMPPPAPALAPTSPPPPAPVSPEAKSNQETDGGAGESENMTPAQTEEAIRPTPQKAAAVADSSTESPQKESALTIAKLLTGEDPAATEAKTAADKVASAVVTGSVAAAGGGGGGVGSKRWLLGRVPEKVRRTELKRAELGFRVSAALFCLVSLSVMSAGTTPGWAGDTFRRYNEYRYTLAASVMAFTYSVFQLVAEVHYHVTGRRIIRGPWSNYFNLAMDQILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFIALGLSSIISAYHVFSLIS* >Brasy2G466800.2.p pacid=40071050 transcript=Brasy2G466800.2 locus=Brasy2G466800 ID=Brasy2G466800.2.v1.1 annot-version=v1.1 MASPIRSPPPEPAAEAQAPPPPPPPPSQLPAVDPPHLSPDDPTPTPPLAAAPATAAPPPPGGNGDSSPPSLPTAPTPPPETAVEAEAPLPPPPPPSEHPAVDPPRLPPDNPIPAPPLHATPTTTVPPPSGGTVDSSPPSPPKAPTLPPLSTTNLSPPLSPGNQTSAPRPMPPPAPALAPTSPPPPAPVSPEAKSNQETDGGAGESENMTPAQTEEAIRPTPQKAAAVADSSTESPQKESALTIAKLLTGEDPAATEAKTAADKVASAVVTGSVAAAGGGGGGVGSKRWLLGRVPEKVRRTELKRAELGFRVSAALFCLVSLSVMSAGTTPGWAGDTFRRYNEYRSTLPCYREAHHSRPLEQLLQSRHGSDTGLSPVVSVFSSTFSQ* >Brasy2G451400.1.p pacid=40071051 transcript=Brasy2G451400.1 locus=Brasy2G451400 ID=Brasy2G451400.1.v1.1 annot-version=v1.1 MAHQGVPKFGNWEDEGQGDHLYTQYFENARKSKSPGRPVNQNDRNEDAEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.7.p pacid=40071052 transcript=Brasy2G451400.7 locus=Brasy2G451400 ID=Brasy2G451400.7.v1.1 annot-version=v1.1 MAHQGVPKFGNWEDEGQGDHLYTQYFENARKSKSPGRPVNQNDRNEDAEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.6.p pacid=40071053 transcript=Brasy2G451400.6 locus=Brasy2G451400 ID=Brasy2G451400.6.v1.1 annot-version=v1.1 MAHQGVPKFGNWEDEGQDAEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.2.p pacid=40071054 transcript=Brasy2G451400.2 locus=Brasy2G451400 ID=Brasy2G451400.2.v1.1 annot-version=v1.1 MAHQGVPKFGNWEDEGQDAEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.3.p pacid=40071055 transcript=Brasy2G451400.3 locus=Brasy2G451400 ID=Brasy2G451400.3.v1.1 annot-version=v1.1 MHQGVPKFGNWEDEGQDAEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.4.p pacid=40071056 transcript=Brasy2G451400.4 locus=Brasy2G451400 ID=Brasy2G451400.4.v1.1 annot-version=v1.1 MAHQGVPKFGNWEDEGQEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G451400.5.p pacid=40071057 transcript=Brasy2G451400.5 locus=Brasy2G451400 ID=Brasy2G451400.5.v1.1 annot-version=v1.1 MHQGVPKFGNWEDEGQEALSNDPVKASPLRAGSDPAQRKQKAERRANREDDLRRHEATARKAHADSPNHRYGDPANYDGAVRKASTERSPIHPRHQARLANKGGVSSPGDRRGSAPTTPGRSKMRSSGRGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFDKVREEKQSGLDNVGTSNPYYMDRPNGGRRNGSSGCSCFGWFKN* >Brasy2G108000.1.p pacid=40071058 transcript=Brasy2G108000.1 locus=Brasy2G108000 ID=Brasy2G108000.1.v1.1 annot-version=v1.1 MAGVLYLPANPNRAPNSASSLRPPPPSFPGEVHFRARLVPRRACARVRIHPPAAFGRGSPAAAAAERREKDPYATLNVRRDATLKEVKSAYRALARKYHPDMNKSPGAEEKFKEISAAYEILSDEEKRSLYDRFGETGLGGDYGRGDTDAHGIDPYELFNAFFGGADKIFGDSMGPGQFHYSSKVKDSRGLDIRYDLLLPFEESILGGKWEINIFRGETCGTCHGSGAKSSNCIIECTQCRGQGRSMKTQRTPFGTVSQIASCLNCDGSGKVITERCISCYGSGKIQVERTIKVDIPGGIEDGSAIRVTGGGSVDKQRGLSGDLYIFVRVNKKQGIRRDGLNLYSDVMIDYTDAILGTTVKVETIEGFKDLYIPPGIQPGEELKFVQLGAPDIKKPNNRGDHNFMIKVKIPKNIRFELPVLSNSMGATEERCCLLSDDDQARSLVEELAALKQTQGNSVPGDETINRGNLRNRTHHSLARKKMSFWGSVRNMFRGDEGDQRFASISAQSVIPRWSSRQGMYPAVRLLEGCLMITALLFVLGRTCKFRLLFSSKVVGRPSSNKRS* >Brasy2G282400.1.p pacid=40071059 transcript=Brasy2G282400.1 locus=Brasy2G282400 ID=Brasy2G282400.1.v1.1 annot-version=v1.1 MEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEANRKKTHPGVEEAVRAHMAFCRMFKSNPAVRVADGMRQMLRRRSGDLSKPDSSKKYSTAQVGDDSSVSLY* >Brasy2G306400.1.p pacid=40071060 transcript=Brasy2G306400.1 locus=Brasy2G306400 ID=Brasy2G306400.1.v1.1 annot-version=v1.1 MARKHGWQLPAHTLQIVAITVFFLLVVSFYAFFAPFLGKQVFEYVAIGIYTPMALAVFILYVRCTSINPADPGIMSKFEDGFINVPANNDGLEGINLPQKANSATGTHSPTSSCKSSLDGHSNHRGSSIGEANINLSSQLPKKRSSCFFFGGLVCALFVKEDCRRTDDSEQQPNGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFIALMAISLLWLAIQFGVGIAVLVICFVDKNSSRNIQDKLGNGLTRAPFAVIVGIFTFLSLVACVPLGELFFFHMILIRKGISTYDYVVAMRAMSEGLPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRVFIDHDEVIPHLDPGMVPSTVDPDAAGYADRANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARHGHEADISSSGNASVRSSMSVDYSGTKESRSEMRLSPLQNSYPQSVASQDDYETGTQTASSLSSPVHIHKLASHSQFRAAPHPAPLPERPAPGITRPPVPSTQITNPMFQSATSYVRENRRASVVWDQDAGRYVSVPAQSRTGPGVELPARNPGFLANPSGEPGNHGRNLAPVNTSSSAIPSGQPSERLTYTGQSIFFGGPILSATGINAERNEVGTRARPEGSRDPNAHQRDVRGERARTGSFPVFEPGNF* >Brasy2G306400.2.p pacid=40071061 transcript=Brasy2G306400.2 locus=Brasy2G306400 ID=Brasy2G306400.2.v1.1 annot-version=v1.1 MARKHGWQLPAHTLQIVAITVFFLLVVSFYAFFAPFLGKQVFEYVAIGIYTPMALAVFILYVRCTSINPADPGIMSKFEDGFINVPANNDGLEGINLPQKANSATGTHSPTSSCKSSLDGHSNHRGSSIGEANINLSSQLPKKRSSCFFFGGLVCALFVKEDCRRTDDSEQQPNGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRLAIQFGVGIAVLVICFVDKNSSRNIQDKLGNGLTRAPFAVIVGIFTFLSLVACVPLGELFFFHMILIRKGISTYDYVVAMRAMSEGLPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRVFIDHDEVIPHLDPGMVPSTVDPDAAGYADRANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARHGHEADISSSGNASVRSSMSVDYSGTKESRSEMRLSPLQNSYPQSVASQDDYETGTQTASSLSSPVHIHKLASHSQFRAAPHPAPLPERPAPGITRPPVPSTQITNPMFQSATSYVRENRRASVVWDQDAGRYVSVPAQSRTGPGVELPARNPGFLANPSGEPGNHGRNLAPVNTSSSAIPSGQPSERLTYTGQSIFFGGPILSATGINAERNEVGTRARPEGSRDPNAHQRDVRGERARTGSFPVFEPGNF* >Brasy2G425300.1.p pacid=40071062 transcript=Brasy2G425300.1 locus=Brasy2G425300 ID=Brasy2G425300.1.v1.1 annot-version=v1.1 MASSSAAPAPLLPLLSPKPDPDVAPHPAELAPELCAVLRRELEPSPDDQPHFVDGLRLDAISAHLVSTGTAMPPVHPPTATTPVPPPTATATPPVHPPTATTPVPPPLTLLTPKPEPTQPDFVDGLRLDAMTTPPMPPPTATKRPAVELEASSSTGAKGKRRARRTGAAEMARATVPAGPDHVHARNLIRRTRLAFEALRVAYHRGDAGAGARKRADLSALSTMFDRGLGLFRDMRIVGPIPGVLVGDVFFYRAELCVVGLHDDTQRGIGYVPATIVKEGKPVATCVVSSGGYVDDQDGGGDSLVYTGSGGRHFTAQKLEGGNLALFHSWECGIEVRVVRSHVCEASPSGKVYVYDGLYKVESHTFGPGKSGPGVYKFNLVRIPGQDELGSSIWHAAGELRNTLASRIRPRGYLTLDLSKGKERFPVPVCNKVDQDSSPLDFEYIAHPDFPAYRVPWPVKRYQACHCGTTTCGAGRSCKCACVLKNGGGPVYNADGTLVRGRPVVYECGALCGCPAASCLNRATQRGMEHRLEVFRSKETEWGVRTLDLIQPGAFVCEYSGDVVTVDDGQSTDRGNFVDPRKFLARWMEWGDASAALPDKEEGHKFPELTTGPGYVLDVSRRRNFAVYISHSSAPNVFAQFVIRGNEDESYPHLMVFAMDTIPPMRELSIDYGIDRQV* >Brasy2G203300.1.p pacid=40071063 transcript=Brasy2G203300.1 locus=Brasy2G203300 ID=Brasy2G203300.1.v1.1 annot-version=v1.1 MVSVRRPAFVSQFGPPWLRIGENSPRARPASDLPLRLARKKETSQSSSSSPPSTQTSGSAAHPCRRSSAAAAAYPCSHSRRCRLFPLTARSHRRRRPSLPLEVRLRRCFFLQANPPPSSRLPSHRADRPSRLPSHRADPPSLETNPCTSSPTTATSPRRTEVRHRLSLLAGPPSPPSSFSPMDGFSPC* >Brasy2G115400.1.p pacid=40071064 transcript=Brasy2G115400.1 locus=Brasy2G115400 ID=Brasy2G115400.1.v1.1 annot-version=v1.1 MRRGGGGGGGGGGGGRMMDEVDRVEHAEIPTSFGHELRACLRCRLVKTFDQFRENGCENCPFLEMDKEDNVPNCTTANFTGIISLMDPSRSWAARWLRIAKFIPGCYTLAVSEELPEEYQGICQDNDVHYVPPKR* >Brasy2G131300.1.p pacid=40071065 transcript=Brasy2G131300.1 locus=Brasy2G131300 ID=Brasy2G131300.1.v1.1 annot-version=v1.1 MAAKALLLVLSTAAFATLLASSPATAADSSSSTDLTKFKVYFHDVLSGKSPTAIRVAQSPSTNASSTFFGAVVAIDDPLTTTAAATGSPAKSKDEVGRAQGSYTFADQTTFGLLMSMNFVFTAGDHKGSSLAIYGRNEVLSAVREMSVVGGTGKFRMARGYVEARTVDSGNTSGETVVEYTVFVKTAAGA* >Brasy2G458100.1.p pacid=40071066 transcript=Brasy2G458100.1 locus=Brasy2G458100 ID=Brasy2G458100.1.v1.1 annot-version=v1.1 MKQVVPIPAIPRFIFMGNQMKGTMKRLAVTMRTIGRRMATMRGIEMITPPMKCSSSPTTRVTEHPIRCAVGKLASLELLSYNTEGGLKLSR* >Brasy2G291500.1.p pacid=40071067 transcript=Brasy2G291500.1 locus=Brasy2G291500 ID=Brasy2G291500.1.v1.1 annot-version=v1.1 MSPSFPTCSSLKVDMEEMSKELDELRSEVEARTAECRAKSVVVDGLRRESAEQAARLWEARAEIERQAGEIVAKDEEASSARELCEQLRAKFADKEQALRHLCAAHDGLKASLRERSDGWVTEKRELVSSLEESEVKRQEQDVAVRSCNEEIARLRKLLSEKEKKCSEADQRALAHREVMMRDDTLAKLEEEKAAIQSKLKWKAEQFRHLEDALKKVQDEFRAAKREWGSDRSTLVDQIDTLETNLDSKTRVVDEFRSRLEMCSQALAHEEGRRKLLEAEMSDLRHRYGNVVSEYEEARSTIELLTSKRDGEIASLRSSLAEKVTLLNEMKYCKARLDQENEELRSSLKEYQEGQISGADAVVSLKSLREKFRALEQTHRSCTEKLRGKEAEWKMQMGKLGNDLDGFLSQLDSKDMLIRQLQNELMSSYSLLDLEIVQNWEASIVRLSVESKLYDCWSCMDTLKLNMQQRCEIFEQSVAVARKQLEEKNFVIAQSQAEQAHQLEVIATLHGRIEQLEYLEHEHEKMQKQLGAYKEMLDDASRNVHCLKGDTLEKENSLQEKLGKALTDLDKAHCDIAQQKNQLSQFEINLHQQKQIVDHLEKLKLDLETELQGYKDDNHALKRDLDVALNGKTEAEVSLIDEKEKLLGALSEAKCALYERKSELSENETIILQQKQAVENLEKLRIDMEIELKGYVNENHVLKRDLDVALISKTEAQEYHREEKQKLLLVIDEANCAISEMKKELDQLKTNIHQQKQAVEHLEKMKVDMQTELKGYKDENHELKSNLDVLLTAKVKDEDTLREEKDKLSSIIDERDRNIEDLQQYVTVLEEDNLGKKLDVASLIKSEVEKSIREVNKRYSEIVEVFDKKLLELETRLGFFEQKYTCREHEIMEIFDQEEADWYALIAEKENAITDIQLIVDSVQLNIKHLLEAAASKLSEVQLEVKQLYSFAENLNSLNLIQEHDSCFKDMLVTEYERELEAVQLKLLLEKEHSGNLKHVLEKLKAETTAEMSKKAKEHLEVVNKLKYLEETKEILEEQLGELKSRTKDMCNVAVQEKKELVDELSGITFSIGAAIHGDENLVTSLRRIMQKVNIEEPLLNPSSKEMPSLEKPNMRNHAPLTRNKSVTLPDRRLPLKENNYESW* >Brasy2G291500.3.p pacid=40071068 transcript=Brasy2G291500.3 locus=Brasy2G291500 ID=Brasy2G291500.3.v1.1 annot-version=v1.1 MSPSFPTCSSLKVDMEEMSKELDELRSEVEARTAECRAKSVVVDGLRRESAEQAARLWEARAEIERQAGEIVAKDEEASSARELCEQLRAKFADKEQALRHLCAAHDGLKASLRERSDGWVTEKRELVSSLEESEVKRQEQDVAVRSCNEEIARLRKLLSEKEKKCSEADQRALAHREVMMRDDTLAKLEEEKAAIQSKLKWKAEQFRHLEDALKKVQDEFRAAKREWGSDRSTLVDQIDTLETNLDSKTRVVDEFRSRLEMCSQALAHEEGRRKLLEAEMSDLRHRYGNVVSEYEEARSTIELLTSKRDGEIASLRSSLAEKVTLLNEMKYCKARLDQENEELRSSLKEYQEGQISGADAVVSLKSLREKFRALEQTHRSCTEKLRGKEAEWKMQMGKLGNDLDGFLSQLDSKDMLIRQLQNELMSSYSLLDLEIVQNWEASIVRLSVESKLYDCWSCMDTLKLNMQQRCEIFEQSVAVARKQLEEKNFVIAQSQAEQAHQLEVIATLHGRIEQLEYLEHEHEKMQKQLGAYKEMLDDASRNVHCLKGDTLEKENSLQEKLGKALTDLDKAHCDIAQQKNQLSQFEINLHQQKQIVDHLEKLKLDLETELQGYKDDNHALKRDLDVALNGKTEAEVSLIDEKEKLLGALSEAKCALYERKSELSENETIILQQKQAVENLEKLRIDMEIELKGYVNENHVLKRDLDVALISKTEAQEYHREEKQKLLLVIDEANCAISEMKKELDQLKTNIHQQKQAVEHLEKMKVDMQTELKGYKDENHELKSNLDVLLTAKVKDEDTLREEKDKLSSIIDERDRNIEDLQQYVTVLEEDNLGKKLDVASLIKSEVEKSIREVNKRYSEIVEVFDKKLLELETRLGFFEQKYTCREHEIMEIFDQEEADWYALIAEKENAITDIQLIVDSVQLNIKHLLEAAASKLSEVQLEVKQLYSFAENLNSLNLIQEHDSCFKDMLVTEYERELEAVQLKLLLEKEHSGNLKHVLEKLKAETTAEMSKKAKEHLEVVNKLKYLEETKEILEEQLGELKSRTKDMCNVAVQEKKELVDELSGITFSIGAAIHGDENLVTSLRRIMQKVNIEEPLLNPSSKEMPSLEKPNMRNHAPLTRNKSVTLPDRRLPLKENNYESW* >Brasy2G291500.4.p pacid=40071069 transcript=Brasy2G291500.4 locus=Brasy2G291500 ID=Brasy2G291500.4.v1.1 annot-version=v1.1 MEEMSKELDELRSEVEARTAECRAKSVVVDGLRRESAEQAARLWEARAEIERQAGEIVAKDEEASSARELCEQLRAKFADKEQALRHLCAAHDGLKASLRERSDGWVTEKRELVSSLEESEVKRQEQDVAVRSCNEEIARLRKLLSEKEKKCSEADQRALAHREVMMRDDTLAKLEEEKAAIQSKLKWKAEQFRHLEDALKKVQDEFRAAKREWGSDRSTLVDQIDTLETNLDSKTRVVDEFRSRLEMCSQALAHEEGRRKLLEAEMSDLRHRYGNVVSEYEEARSTIELLTSKRDGEIASLRSSLAEKVTLLNEMKYCKARLDQENEELRSSLKEYQEGQISGADAVVSLKSLREKFRALEQTHRSCTEKLRGKEAEWKMQMGKLGNDLDGFLSQLDSKDMLIRQLQNELMSSYSLLDLEIVQNWEASIVRLSVESKLYDCWSCMDTLKLNMQQRCEIFEQSVAVARKQLEEKNFVIAQSQAEQAHQLEVIATLHGRIEQLEYLEHEHEKMQKQLGAYKEMLDDASRNVHCLKGDTLEKENSLQEKLGKALTDLDKAHCDIAQQKNQLSQFEINLHQQKQIVDHLEKLKLDLETELQGYKDDNHALKRDLDVALNGKTEAEVSLIDEKEKLLGALSEAKCALYERKSELSENETIILQQKQAVENLEKLRIDMEIELKGYVNENHVLKRDLDVALISKTEAQEYHREEKQKLLLVIDEANCAISEMKKELDQLKTNIHQQKQAVEHLEKMKVDMQTELKGYKDENHELKSNLDVLLTAKVKDEDTLREEKDKLSSIIDERDRNIEDLQQYVTVLEEDNLGKKLDVASLIKSEVEKSIREVNKRYSEIVEVFDKKLLELETRLGFFEQKYTCREHEIMEIFDQEEADWYALIAEKENAITDIQLIVDSVQLNIKHLLEAAASKLSEVQLEVKQLYSFAENLNSLNLIQEHDSCFKDMLVTEYERELEAVQLKLLLEKEHSGNLKHVLEKLKAETTAEMSKKAKEHLEVVNKLKYLEETKEILEEQLGELKSRTKDMCNVAVQEKKELVDELSGITFSIGAAIHGDENLVTSLRRIMQKVNIEEPLLNPSSKEMPSLEKPNMRNHAPLTRNKSVTLPDRRLPLKENNYESW* >Brasy2G291500.2.p pacid=40071070 transcript=Brasy2G291500.2 locus=Brasy2G291500 ID=Brasy2G291500.2.v1.1 annot-version=v1.1 MEEMSKELDELRSEVEARTAECRAKSVVVDGLRRESAEQAARLWEARAEIERQAGEIVAKDEEASSARELCEQLRAKFADKEQALRHLCAAHDGLKASLRERSDGWVTEKRELVSSLEESEVKRQEQDVAVRSCNEEIARLRKLLSEKEKKCSEADQRALAHREVMMRDDTLAKLEEEKAAIQSKLKWKAEQFRHLEDALKKVQDEFRAAKREWGSDRSTLVDQIDTLETNLDSKTRVVDEFRSRLEMCSQALAHEEGRRKLLEAEMSDLRHRYGNVVSEYEEARSTIELLTSKRDGEIASLRSSLAEKVTLLNEMKYCKARLDQENEELRSSLKEYQEGQISGADAVVSLKSLREKFRALEQTHRSCTEKLRGKEAEWKMQMGKLGNDLDGFLSQLDSKDMLIRQLQNELMSSYSLLDLEIVQNWEASIVRLSVESKLYDCWSCMDTLKLNMQQRCEIFEQSVAVARKQLEEKNFVIAQSQAEQAHQLEVIATLHGRIEQLEYLEHEHEKMQKQLGAYKEMLDDASRNVHCLKGDTLEKENSLQEKLGKALTDLDKAHCDIAQQKNQLSQFEINLHQQKQIVDHLEKLKLDLETELQGYKDDNHALKRDLDVALNGKTEAEVSLIDEKEKLLGALSEAKCALYERKSELSENETIILQQKQAVENLEKLRIDMEIELKGYVNENHVLKRDLDVALISKTEAQEYHREEKQKLLLVIDEANCAISEMKKELDQLKTNIHQQKQAVEHLEKMKVDMQTELKGYKDENHELKSNLDVLLTAKVKDEDTLREEKDKLSSIIDERDRNIEDLQQYVTVLEEDNLGKKLDVASLIKSEVEKSIREVNKRYSEIVEVFDKKLLELETRLGFFEQKYTCREHEIMEIFDQEEADWYALIAEKENAITDIQLIVDSVQLNIKHLLEAAASKLSEVQLEVKQLYSFAENLNSLNLIQEHDSCFKDMLVTEYERELEAVQLKLLLEKEHSGNLKHVLEKLKAETTAEMSKKAKEHLEVVNKLKYLEETKEILEEQLGELKSRTKDMCNVAVQEKKELVDELSGITFSIGAAIHGDENLVTSLRRIMQKVNIEEPLLNPSSKEMPSLEKPNMRNHAPLTRNKSVTLPDRRLPLKENNYESW* >Brasy2G235000.1.p pacid=40071071 transcript=Brasy2G235000.1 locus=Brasy2G235000 ID=Brasy2G235000.1.v1.1 annot-version=v1.1 MPCSSLDSPQLTTSRPKHQPKPQASSCSSAPGQLVDINIAQRKPSHILVLQLKIPNCVHSETRSRAHAILAAAAAAARMIVGDWTRGPAIGRGSSAVVSLAVDRVTGVVFAVKSVGAGRAAELRREQSILRGLGSSPHVVRCLGYYDGSGEMLMEYAAGGSLADEIRRCGGRCPEALIRRRARDVLRGLAHAHAAGVAHCDVKARNVLIGSDGRAMLADFGCARRIAASCEPQRLMGGTPMFMAPEAARGEERGAAADVWAVGCTVIEMATGGAPWRSRFADPVAALHHVAFSGEAPELPLWLSEEGKNFLGMCLRQDPRERWTAEQLLEHPWFLQADAAAVSCSSSFLPGITGKETMFVSPKSVLDQVPWDDDDEDTRTGADSDPTDRVRALSGGGAPDWTWDASWITVHSGEHADAMRADQPEVDADTSADSDSLVGGSAGRPGVGISNGHGAHGNGDPYDDTSSCSCHVTGRAEHPYIPRFLLVRVHPPIFLAGDSGVYFATGS* >Brasy2G070600.1.p pacid=40071072 transcript=Brasy2G070600.1 locus=Brasy2G070600 ID=Brasy2G070600.1.v1.1 annot-version=v1.1 MPAPVAQDPRPQTRRQQRRRRVRGRTVHVDVFNYYLDYLLPPPPVPDWTELPLDVTLRILRCLDPVELLGRAARVCRFWRRATRDEPELWRRIDTRACSRDLPLDAFAREAVRRSAGRCEAFSGEYFGDDDFLLFLAEQAPALRTLCLDFFYKVTNEGFTKAIAKYDHYSIDDPNNDREAMAIASMRELRSLQLFRDTLTDKGLAAIIDSCPHLESLDIRSCGNITMDDALQAKCARIKMKTLRMHRSKSTVPDLEDFEPGSSISVCSTCMMSEDFRKLREEREKNCIADSDDYYDPYDYYLSVLDEAHLEEHGRVLGKSMRRYNL* >Brasy2G026100.1.p pacid=40071073 transcript=Brasy2G026100.1 locus=Brasy2G026100 ID=Brasy2G026100.1.v1.1 annot-version=v1.1 MAALGAVPLVGGIVFGAYASWRDQWMVARGHVVTCAATTMVTREAGSRRGLRRRPFVVFCVCLCSVLCGSDGVRALALQRSLLCLLAVKSESPAGGASWKVVRCSAIYSA* >Brasy2G033800.1.p pacid=40071074 transcript=Brasy2G033800.1 locus=Brasy2G033800 ID=Brasy2G033800.1.v1.1 annot-version=v1.1 MWQAEEIEDEETLTALLEASKTRDGRAELSDALADTLYLLPFSPRPLLLLRLRLIRNLVAGDELNQGTFVLLSGPAAVVSAVLSFLPDLPADVSRAALQALGNAALSGERHQEAVWDALFPSALREFARVKDPGVLDPLCMVLDTCCSGYGGRRRLEELCHEDLGMPILVEIVTSASQLVLKEEWLEWLLFKICAEEEKFEILFAALCSTDHAEHSDGGESGNLFNAKHAFLLGTLSKCLTSRPEEVNVSNSFALLVLDVHKQAAETVDFTCRGSSALPTGCPRIDVLGYSLLLLKDVCAWEFPSSETEVPVDSLLQNGLVKCVLRYLGALEPPSTIRKVMERAQEDHLPALATEKVCPYNGYRRDLVAVIANCLHGRKQVQDEVRQLNGIMLLLQQCVIDEGNPYLREWGLLAVKNLLEGNEENQREVSELQMQEPILTPEIADIGLRVEIDKKTGNPKLVNSS* >Brasy2G033800.2.p pacid=40071075 transcript=Brasy2G033800.2 locus=Brasy2G033800 ID=Brasy2G033800.2.v1.1 annot-version=v1.1 MWQAEEIEDEETLTALLEASKTRDGRAELSDALADTLYLLPFSPRPLLLLRLRLIRNLVAGDELNQGTFVLLSGPAAVVSAVLSFLPDLPADVSRAALQALGNAALSGERHQEAVWDALFPSALREFARVKDPGVLDPLCMVLDTCCSGYGGRRRLEELCHEDLGMPILVEIVTSASQLVLKEEWLEWLLFKICAEEEKFEILFAALCSTDHAEHSDGGESGNLFNAKHAFLLGTLSKCLTSRPEEVNVSNSFALLVLDVHKQAAETVDFTCRGSSALPTGCPRIDVLGYSLLLLKDVCAWEFPSSETEVPVDSLLQNGLVKCVLRYLGALEPPSTIRKVMERAQEDHLPALATEKVCPYNGYRRDLVAVIANCLHGRKQVQDEVRQLNGIMLLLQQCVIDEGNPYLREWGLLAVKNLLEGNEENQREVSELQMQEPILTPEIADIGLRVEIDKKTGNPKLVNSS* >Brasy2G033800.4.p pacid=40071076 transcript=Brasy2G033800.4 locus=Brasy2G033800 ID=Brasy2G033800.4.v1.1 annot-version=v1.1 MWQAEEIEDEETLTALLEASKTRDGRAELSDALADTLYLLPFSPRPLLLLRLRLIRNLVAGDELNQGTFVLLSGPAAVVSAVLSFLPDLPADVSRAALQALGNAALSGERHQEAVWDALFPSALREFARVKDPGVLDPLCMVLDTCCSGYGGRRRLEELCHEDLGMPILVEIVTSASQLVLKEEWLEWLLFKICAEEEKFEILFAALCSTDHAEHSDGGESGNLFNAKHAFLLGTLSKCLTSRPEEVNVSNSFALLVLDVHKQAAETVDFTCRGSSALPTGCPRIDVLGYSLLLLKDVCAWEFPSSETEVPVDSLLQNGLVKCVLRYLGALEPPSTIRKVMERAQEDHLPALATEKVCPYNGYRRDLVAVIANCLHGRKQVQDEVRQLNGIMLLLQQCVIDEGNPYLREWGLLAVKNLLEGNEENQREVSELQMQEPILTPEIADIGLRVEIDKKTGNPKLVNSS* >Brasy2G033800.3.p pacid=40071077 transcript=Brasy2G033800.3 locus=Brasy2G033800 ID=Brasy2G033800.3.v1.1 annot-version=v1.1 MWQAEEIEDEETLTALLEASKTRDGRAELSDALADTLYLLPFSPRPLLLLRLRLIRNLVAGDELNQGTFVLLSGPAAVVSAVLSFLPDLPADVSRAALQALGNAALSGERHQEAVWDALFPSALREFARVKDPGVLDPLCMVLDTCCSGYGGRRRLEELCHEDLGMPILVEIVTSASQLVLKEEWLEWLLFKICAEEEKFEILFAALCSTDHAEHSDGGESGNLFNAKHAFLLGTLSKCLTSRPEEVNVSNSFALLVLDVHKQAAETVDFTCRGSSALPTGCPRIDVLGYSLLLLKDVCAWEFPSSETEVPVDSLLQNGLVKCVLRYLGALEPPSTIRKVMERAQEDHLPALATEKVCPYNGYRRDLVAVIANCLHGRKQVQDEVRQLNGIMLLLQQCVIDEGNPYLREWGLLAVKNLLEGNEENQREVSELQMQEPILTPEIADIGLRVEIDKKTGNPKLVNSS* >Brasy2G020700.1.p pacid=40071078 transcript=Brasy2G020700.1 locus=Brasy2G020700 ID=Brasy2G020700.1.v1.1 annot-version=v1.1 MVLASLPKVVLGSIAFGIFWMMAVFPSVPFLPIGRTAGSLLSAVLMIVFHVISPDDAYASIDLPILGLLFSTMVVGGYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLAMYWKDLEGTSPCMANNGKQMEAVEEGRSPSVASLKSPHPITGAMVVDDGESMMEENLSTKHPWFMQCTEQRRKLFLKSFAYIVTVGMVVAYMVGLNMSWTAITTAIALVVVDFRDAEPCLVKVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVDSVGGISVLSVIILLLSNLASNVPTVLLMGNEVATAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYELTFWNHLIFGVPSTLIVTAVGIPLIGKM* >Brasy2G142300.1.p pacid=40071079 transcript=Brasy2G142300.1 locus=Brasy2G142300 ID=Brasy2G142300.1.v1.1 annot-version=v1.1 MSKARLRPIIIVVSLVALILLIAVPEAASDGGVISYDALRGDVVPGNPTLRRPDAVANPYSRGCQAWKRCRGLKLAAKRKAKAETDN* >Brasy2G370400.1.p pacid=40071080 transcript=Brasy2G370400.1 locus=Brasy2G370400 ID=Brasy2G370400.1.v1.1 annot-version=v1.1 MLRAGFGSKKGGRERGKQGIGRSLGADLDRLGRREEIQHHHRQATEETAALVCPGLLPLLSPASTTSSNACINAPSTKS* >Brasy2G191600.1.p pacid=40071081 transcript=Brasy2G191600.1 locus=Brasy2G191600 ID=Brasy2G191600.1.v1.1 annot-version=v1.1 MAPELSGKMTTAKAATAAVKPATKAFVTFLAGDGDYWKGVVGLAKGLRKAGSAYPLVVAMLPDVPESHCRILASQGCILREIVPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFGNVDELFDLPKGHFYAVMDCFCEKTWSHTPQYQIGYCQQCPGRVTWPAAEMGPPPALYFNAGMFVHEPSMATAKALLDTLRVSPTTPFAEQDFLNVFFREQYKPIPLVYNLVLAMLWRHPENVQLEKVKAVHYCAAGSKPWRFTGKEANMDREDIKLLVKKWWDIYNDESLDFKGLPADADELEAAAKKPIRAALAEAGTVKYITAPSAA* >Brasy2G011700.1.p pacid=40071082 transcript=Brasy2G011700.1 locus=Brasy2G011700 ID=Brasy2G011700.1.v1.1 annot-version=v1.1 MAAAAAANSWSELPLDLQGLVIKLLTNPADRARCRAVCRPWHSAVRCHEPRRRLPLAIGWENQVYVPLDERLQHPIFFSDDSGSACLGSTDDWLAIGLGDKLVAETYERYIYHGYLLHNPFMGKSVPLTTLEDAIANRGTCTIRKFLMRSTAADDFIAVITDKVNYPIIVFRQGKGAWVPPPQTTTPYMYIIDVAFLGDTLYAINQAEDLIPLNLAVDGDGKPVVTMGRRIIKQPPVYGYDEWDTSDDDDGDDDEEEEEEGNDEEEDEDDENDVEAALEEEEDDGSHHFSFSGEYIHDQDTDEIIIVTRHLIESDGKLLMVRVHNSHELTRQVDVLEADASTGAWVPLLPPPAAAGSAAGLGRALFISTLFSKSVSAPCEEVEEGVVYHLDTGQVFDIKSQISRATRFCTPCRGVTWLFPPELVV* >Brasy2G053100.1.p pacid=40071083 transcript=Brasy2G053100.1 locus=Brasy2G053100 ID=Brasy2G053100.1.v1.1 annot-version=v1.1 MAEITHKRMSYLLHKTIDFNNGNDGGIHSSAQSVAIEETRSTAATTPEASTAEEPIDAVPLRAAAPSLPVPPPEPAWIRPSLGLPADLPLHYITRKLIQTSDLEPQQSRLLLRRDDVSRRLPPLLSDDERRAAGLHEPWARPKPQPQPAAKGEKQAGGLLVPVFVNSAGFRVDAELTRWSSNGNTVIKFRGEFRRFVTESGVRKDDEIQVWAFRRDGVLHFVMSRTATQKPPPAAANGGREETRHL* >Brasy2G103800.1.p pacid=40071084 transcript=Brasy2G103800.1 locus=Brasy2G103800 ID=Brasy2G103800.1.v1.1 annot-version=v1.1 MQQQSSMDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPNDHHHPMGPFQGCTDCRRNQPLPPLASPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSAVSASNSTSEPRSVVVPGPQLQERANEQVVESFDIFKQMERSG* >Brasy2G103800.2.p pacid=40071085 transcript=Brasy2G103800.2 locus=Brasy2G103800 ID=Brasy2G103800.2.v1.1 annot-version=v1.1 MQQQSSMDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPNDHHHPMGPFQGCTDCRRNQPLPPLASPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSAVSASNSTSEPRSVVVPGPQLQERANEQVVESFDIFKQMERSG* >Brasy2G103800.3.p pacid=40071086 transcript=Brasy2G103800.3 locus=Brasy2G103800 ID=Brasy2G103800.3.v1.1 annot-version=v1.1 MQQQSSMDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPNDHHHPMGPFQGCTDCRRNQPLPPLASPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSAVSASNSTSEPRSVVVPGPQERANEQVVESFDIFKQMERSG* >Brasy2G103800.4.p pacid=40071087 transcript=Brasy2G103800.4 locus=Brasy2G103800 ID=Brasy2G103800.4.v1.1 annot-version=v1.1 MQQQSSMDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPNDHHHPMGPFQGCTDCRRNQPLPPLASPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSAVSASNSTSEPRSVVVPGPQERANEQVVESFDIFKQMERSG* >Brasy2G031800.1.p pacid=40071088 transcript=Brasy2G031800.1 locus=Brasy2G031800 ID=Brasy2G031800.1.v1.1 annot-version=v1.1 MSDVICGSDFLEWVGRDLSASIFGLLDHPADLVRAAAVSRSWRHRVVESGMCKSLCLQMCPETAFFTDAVEMSRPPPPPTTAAESSGDAARATGSLESQFRIYSNLCGALVHFTKPDANCIKHCVCASSTDNICHVMENTLEPQDLIQNRLSYWSSQGQDDPDVPEALTYRLTSDICVISEIKLQPFQAFFESGSPIYSPEMVRFRFGHCKLPCGPVPFDIEGEENLVVIADENFVWTYTSPEFPVLQENMLQSFRLPRPVLCIGGVVKIELLGRVQKHTTDDKYYICVCHAQVVGRSLSPVFMVDIPDAGGYAVLKYLPGVRNLCAEDVKQEDASDSEWHPLLVRYRRQRYRALVDVYRIEQAVQPIQLMEEDAGV* >Brasy2G130300.1.p pacid=40071089 transcript=Brasy2G130300.1 locus=Brasy2G130300 ID=Brasy2G130300.1.v1.1 annot-version=v1.1 MAATRDPSEEKTESKGHVPCAAVDVRKRTHPLSRRADKLLLCHGGGRATEPLWRRRGPHCHGSEEEASDRIIFIAARSHEHGGRPAGGAAYAAYKRPATTAGGEDEDRLSALPDPLLQHILSFLPSRLAVRTCVLARRWRHQWKSVPVLRLPWFDIESAQPPHSFVNHLLLLRDRVPLRECDISSYHGVVDITLLILYALLFGVQVLRVDLNLASGYTQSRKENLIAEQLVRLELGGPHLESRFLDFSSCPKLEVVKMDCCTYDSDILSQSLRHLSITGCTFLRDSCPRIFTPRLISLELAGNHGSTPVLDCMPLLVTAFVWVGVECSEYCTHSYYGNCGSDDSGETTVHSDDSVLLDGLSNATNLELIADPAVYIFRKDMKKAQRKTDPIHRPFAVPPHSLLPSRLRAPLPCGPAAPPLPAALPTAALPPAPPLCPPAATPADPAAPPAAPPVRPRRHSVAQSLLRRQPPLVFSVAQSHYLGLDDQSPRFALPCCGDLLTTLLRRQPPLVFSVGGGRRHRARTAFFPGDVFLGAGRREGEGKRRKSQGSNEKIVTSDM* >Brasy2G403600.1.p pacid=40071090 transcript=Brasy2G403600.1 locus=Brasy2G403600 ID=Brasy2G403600.1.v1.1 annot-version=v1.1 MQPAAAIACMPEGATALEIPSDSDRPPFATRPSFDGPPAATSVYLDIPTSVYQKFRARCLAYAYITPAEAPCRADPGPFVRLVFRTLALDLPQTFELVKPSSFGDITVRFRTPEEREAAVSRQPFVLDGVTVKLVREGETPNVRKLKDDYLVHVALRDYPIEQRWEKKIKDNCCSFGRVREIHPDCFAAPDLTTVHVVVQLEHPSEIPHELLIEYYDGSGSIVPVKIVRVWHRDHSYDADGQYVRIFPAPAPAA* >Brasy2G369400.1.p pacid=40071091 transcript=Brasy2G369400.1 locus=Brasy2G369400 ID=Brasy2G369400.1.v1.1 annot-version=v1.1 MALLSTNDNRRDAEFQATSSFRLAIVSTNSRDQTKPRSVELPSTCHVRVEPCAIVGNTMYQPLSDYRVLAYDMDNQTLSTFDRPRGGNIRHMKADGGTHLGLAAAKGLNLHLLPRSLIDLGGHITGLSRAPLPHTDSRFVVMPPVKIIGVAEEGDALFLWTMVHEVAENMEIVYPYAAFYLPSGNNVHLA* >Brasy2G224800.1.p pacid=40071092 transcript=Brasy2G224800.1 locus=Brasy2G224800 ID=Brasy2G224800.1.v1.1 annot-version=v1.1 MAMGGSKGEEKPGGGQDCCYQLGNKDTLVLKTQKKSPPALRMVVFAMTMISGVFICSICMKQLGTDSWSRMVKIEVAEQPCNKSLIPLSEVQFVHYPQPQNYSRTECMCTPVRFFAIISSQRSGSGWFETLLNSHVNVSSNGEIFSNKERRNNISSIVKTMDKVYNLDWNSSASKNECTAAVGFKWMLNQGLVANHAGVVDYFNQRGVSTVFLMRRNLLRQMVSQLSNNHDRYIKQLNGKHKAHVHTRDEANILARYKPRLNTTTLIWSLKQADDYTRDALENLKSVRHMTMYYEDLIQNRTKLVDVLDFLKVPRRKLVSRHVKIHTKPLSDQIENWDEVYNALNGTQFESFLTADYRI* >Brasy2G078800.1.p pacid=40071093 transcript=Brasy2G078800.1 locus=Brasy2G078800 ID=Brasy2G078800.1.v1.1 annot-version=v1.1 MANEQAAETYTVEELVSVNPYNPDILNDLEIFVNEQVSSQTYNLDANLSLLRLYQFEPERLSVQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETGRFRQFWDEALKNHNLLDVVPGFEQAIQTYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFIEYHAANSGWMIEKGARSQLIVLPRNEFNHPELKKNTADTVPFEHITRIFPLLS* >Brasy2G500100.1.p pacid=40071094 transcript=Brasy2G500100.1 locus=Brasy2G500100 ID=Brasy2G500100.1.v1.1 annot-version=v1.1 MAREAEEQALLSTEIVNRGVEPSGPDAGSPTFSVSVRRRLPDFLQSVNLKYVRLGYRYMLSHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPIYLIDFATYKPPEELKVSKAEFIDLARKSGKFDEESLAFQSRLLAKSGIGDESYMPRCVFQPDANCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVISVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLAISRDLVEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLYPSKTSSAQAAAEAGADSAAAAPYIPDFKRAFEHFCMHAASRDVLEHLQRNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA* >Brasy2G500100.2.p pacid=40071095 transcript=Brasy2G500100.2 locus=Brasy2G500100 ID=Brasy2G500100.2.v1.1 annot-version=v1.1 MLSHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPIYLIDFATYKPPEELKVSKAEFIDLARKSGKFDEESLAFQSRLLAKSGIGDESYMPRCVFQPDANCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVISVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLAISRDLVEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLYPSKTSSAQAAAEAGADSAAAAPYIPDFKRAFEHFCMHAASRDVLEHLQRNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA* >Brasy2G135100.1.p pacid=40071096 transcript=Brasy2G135100.1 locus=Brasy2G135100 ID=Brasy2G135100.1.v1.1 annot-version=v1.1 MGVDEVKLKVVESCIVTPSEETPRHGLWLSSLDVNMSKTGYTPTAYCYRCEDGVEDDDDFFDVPRVKAAMSKALVAFYPLAGRLSVDGNGRAEIHCAGQGALFVVARSDLTIDLSNFEPSQELTELLLPPVEDYPGMLCAIQVTFFKCGGVVLGTAVHHSAIDGVSAFHFFQTWSAF* >Brasy2G221800.1.p pacid=40071097 transcript=Brasy2G221800.1 locus=Brasy2G221800 ID=Brasy2G221800.1.v1.1 annot-version=v1.1 MQPLMIQKPSHLSSDSSTLRFSRLIRRRVCYGDKFSTEHGQEVVGDTEDQEK* >Brasy2G219300.1.p pacid=40071098 transcript=Brasy2G219300.1 locus=Brasy2G219300 ID=Brasy2G219300.1.v1.1 annot-version=v1.1 MSDLDLDDAALWASVDTVAEASRRALPKPPSPAILCPDNDPHRGEVLQPARPFKLPRLTAHGHATPPPPSPSPSHPRPYMTPDASRRLMVVQSPQPEPAWVMEHNAASPAATGCFPAHGLSPSSSVANFKKYQEVAVSILDKSDWTLISGNPYLKKSGWRKLSCFFNISFEIKDHSIEFDDSRNVNSAAFLVRASMQGGRFSDGWGSCDRREKRFNKPNNDIPSTAETRAKNKACQDLLGIGNYRPG* >Brasy2G269400.1.p pacid=40071099 transcript=Brasy2G269400.1 locus=Brasy2G269400 ID=Brasy2G269400.1.v1.1 annot-version=v1.1 MARCIPYVPRRVRDPVAVAMALAVPEPAAAPDKLQKEREIMQKKEKKERKEEKKRQKKAAKLGEKYEISDHHHHHSKHGRKKRKHEGQNIAAAETRKDSKDTVEHLEKSGLSEEHDAPSCWQAWHDTPESSLDSNKRPRVTVSPSPSQTRNAVFRVKLASAVQIRGPESTAVLSGKPISLEQQSVKQMGLDLSMAKGQSKFQPHTNEVVAPRQLVASDQKIGLKNCSQPSEFRQVNQQRVNMVPRASPQPTPKANQRVGPQPTKVLRSAEPTPVKVMQRAGQPMPSRLFQKDIPQVPANKSMKAAACADPPGNVELPAVLQKPKTIVELPVGKQQPQPDALPKEDLRSVRTNQKMALRDVNQLGESTQINHQQHAMAMQRVNMVPRVSPQPTPKVLQRVDPPVRNVQQRVNVERDTPQVPVNLLKKATTAAPHGQPGVEQPALLHKPMVPLEPPVVNQQQQIVALPEDHLCSIGTTSLPASAKQVQRPSSDRKSRKAEKKEREFADLSVTWKPSPIQMEDDMDVGDQDWLFSRGATPRSNCVPFDGSVRCRPAEQLFSMQPRAVHLPDLHMYQLPYVVPF* >Brasy2G269400.2.p pacid=40071100 transcript=Brasy2G269400.2 locus=Brasy2G269400 ID=Brasy2G269400.2.v1.1 annot-version=v1.1 MQKKEKKERKEEKKRQKKAAKLGEKYEISDHHHHHSKHGRKKRKHEGQNIAAAETRKDSKDTVEHLEKSGLSEEHDAPSCWQAWHDTPESSLDSNKRPRVTVSPSPSQTRNAVFRVKLASAVQIRGPESTAVLSGKPISLEQQSVKQMGLDLSMAKGQSKFQPHTNEVVAPRQLVASDQKIGLKNCSQPSEFRQVNQQRVNMVPRASPQPTPKANQRVGPQPTKVLRSAEPTPVKVMQRAGQPMPSRLFQKDIPQVPANKSMKAAACADPPGNVELPAVLQKPKTIVELPVGKQQPQPDALPKEDLRSVRTNQKMALRDVNQLGESTQINHQQHAMAMQRVNMVPRVSPQPTPKVLQRVDPPVRNVQQRVNVERDTPQVPVNLLKKATTAAPHGQPGVEQPALLHKPMVPLEPPVVNQQQQIVALPEDHLCSIGTTSLPASAKQVQRPSSDRKSRKAEKKEREFADLSVTWKPSPIQMEDDMDVGDQDWLFSRGATPRSNCVPFDGSVRCRPAEQLFSMQPRAVHLPDLHMYQLPYVVPF* >Brasy2G000900.1.p pacid=40071101 transcript=Brasy2G000900.1 locus=Brasy2G000900 ID=Brasy2G000900.1.v1.1 annot-version=v1.1 MNLYDLLSAIPTAAADNDGFFNGMYGTADDEAFGLFMCYVDSKCLDCLTRASEGIVQLCPRSQKVSALYDACTLRYSDEPFYSVADLSIAHHEQLTIPYGDSYKGPVLVRNIVNTTGMSSTRFELFRRLTAKASQVAMRIADDKQQFTETQWVAGVAQCMMRDLPASECTRCLSNYTDLLPQFFPNNSSGVIKGYSCYLAYAVLTDQPLTRLRPYMSNGTNRGEQERERAPSERRKRRPIVGIIAGVVAGTIVLILSLISLSICFLLYRPRRRKATTAILELEQPLKDTVYFRGKSVDQDELEQGIGPQRFTYDELVLATDGFSDGNKLGEGGFGSVYRGFLADVNLHIAVKQVSKSSRQGWKEFVSEVRIISRLRHRNLMHLLGWFHGGDDDLLLVYELMPHGSLDGHLYKPGHLLPWPVRYEVVLGLGSALLYLHEETEQRVVHRDIKPSNVMLDASFNAKLGDFGLARLIVGDGRGSCTTGMAGTLGYMDPKCVLEATASVESDVYSFGVVLLEIACGRRPAVDDGDGAVVHLVQWAWKSYGGRAILEAADARLDGEFDGQEMERVLVVGLWCSHPDRSMRPSIRQAIGVLRFEAPLPSLPSKMPIATYVLAEDSSGSTGSSSGGSDTSSTHAAAREELN* >Brasy2G238100.1.p pacid=40071102 transcript=Brasy2G238100.1 locus=Brasy2G238100 ID=Brasy2G238100.1.v1.1 annot-version=v1.1 MGSSPSKAGGEDALVLCKERLRRIKRAIESRDALSAAHLSYTQSLRGVGTALRRYAESNITPESSLSISEVDKSPSHSSMASPSPSRAAENIGSPVHRGNQLTPPTTRIHYMKAAGTAPLTFTIDPSAAEFVEQESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSATSNNEDGLTLNFSRLKGLRESRQAALVPLNEEQGKRSDRTHSDLVDDNAVSKQETKATQRGMSKLSRLADASTEGTSSEKVVAKVEEGGDMKKESCTETEDPSDFITQRAKDFVSSMKDIELRFIRAAEAGNEVSRMLETKKIRLDICAKISGSPGKPTARFVSAFRVCCNRDILLNQETAQHVSNVVTWKRSVSSLSSSSKSPLTTSMIQDDVDDSNNDFVEQFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCNLLRHQFARGLNAELIDKTRAVVKELHSRVSVAIQAVDAISRRIEKIRDGELQPQLVELIQGLIRMWKAMLECHLKQFITISLAYHVKSSTSVQQGEHHRQAAQCLWNEMDCFLSSFKIWVTAQKSYVEALNAWLQKCILQPPQDRRRRKRKVSFPPRQALSPPIFVLCTDWLARMESLPTDELCKSIKDVMQLLRDSFEHQTDQQKPRSEPHSRSESQECGMLENNEQEMCGGVASLEGLQSKLTTVLNCLTEFAKASLKDHEELKQNYEMAHVAYKTVVSNAQPVKPDVESGV* >Brasy2G457900.1.p pacid=40071103 transcript=Brasy2G457900.1 locus=Brasy2G457900 ID=Brasy2G457900.1.v1.1 annot-version=v1.1 MILRLHAAIARRSAALLAAAASRYDPLTRPLPSAGLPRRLHDAGGSDHGPKPGGPLARYRGLVSQGKLQHDTYQENVASELDVLLRRLEQYEMEMEDYHTKLSTWDSTREKERRRLLVEEAEDKQHDGVWIDEKRGFIDKLISRLSCLRGTVPGRKNGGGFSLKKPRISSMMGCG* >Brasy2G171400.1.p pacid=40071104 transcript=Brasy2G171400.1 locus=Brasy2G171400 ID=Brasy2G171400.1.v1.1 annot-version=v1.1 MGSAALQDHAVGVGVGRAKKGSGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWRSSSAVPLGPAGGVGSSHVVRTLHSAGAVGAMNRSLLAQGGGAVGIPAEEASEGPRPLLVVVTTTESTPTASGERAAVLTRMAHTLRLVPPPVLWVVVEAAPDVPATARLLRDTGLLYRHLTYKDNFTAAEAAAGKERHHQRNAALEHIERHRLAGVVHFAGLADVFDLRFFDQLRQISTFGAWPVARMSQNERKVVVQGPACSASKVIGWFSKDFSNGGSAGGTGTARSPEIDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMGFVQQVVLEDYSKVKGIPSDCSEIMVWRVDKTPSASSRQAPGNKRR* >Brasy2G102100.1.p pacid=40071105 transcript=Brasy2G102100.1 locus=Brasy2G102100 ID=Brasy2G102100.1.v1.1 annot-version=v1.1 MASARLTPNAVPAVLAGDTNLRPVVQVVDLRRTNGDRWRARVSDGLTSCSAMFAEQLGDLARSGVVRCGSIVQLNEYALTDPSRRIVVVLNMTVLRAECAIIGNPTITSETVPSNQNHLRVEQFNGTRQYGLTAGSPNLTRPNGNVPVFQPSMAANTLNTPTRLSGQTPVFQPTAQPSYHPAPSYKNYGAIAKNEAPARITPISTLNPYQGRWAIKGRVTAKGDICRYHNAKGEGKVFNFDLLDSDGGEIWVTCFNALLDRFYEVVEVGKVYVVSRGSLKPAQKNYNHLNSEWEITLDNGSSVDLCLDENSSIPSQRFNFRPISEVEDTANTAILDIIGVVISVSPCTTLQKKNGMETQKRVINLKDMSGRSVDVTLWGEFCNREGSQLQEIVEHGCFPVLAVKTGRVNDFGGKSVGTISSSQLLIDPDIVEAHSLRQWFDSGGRDASTQSISRDVTPAASRNDIRRTIAQIKDEGLGMGDKPDWVTVKASIIFFKNENFCYTACPNKEGDRQCNKKVTKGTSGLWFCDKCNREFTECDYRYLLQLQIQDHSGTTWVTAFQESGQELLGCSPQDLNRFREEDLRFAETMLQCLFQDYLLRLKVKEETYGDERRVKNTLVKVERFDPAGESKYLLDSISRSTTF* >Brasy2G476600.1.p pacid=40071106 transcript=Brasy2G476600.1 locus=Brasy2G476600 ID=Brasy2G476600.1.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.2.p pacid=40071107 transcript=Brasy2G476600.2 locus=Brasy2G476600 ID=Brasy2G476600.2.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.3.p pacid=40071108 transcript=Brasy2G476600.3 locus=Brasy2G476600 ID=Brasy2G476600.3.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.4.p pacid=40071109 transcript=Brasy2G476600.4 locus=Brasy2G476600 ID=Brasy2G476600.4.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.5.p pacid=40071110 transcript=Brasy2G476600.5 locus=Brasy2G476600 ID=Brasy2G476600.5.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.6.p pacid=40071111 transcript=Brasy2G476600.6 locus=Brasy2G476600 ID=Brasy2G476600.6.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.7.p pacid=40071112 transcript=Brasy2G476600.7 locus=Brasy2G476600 ID=Brasy2G476600.7.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.8.p pacid=40071113 transcript=Brasy2G476600.8 locus=Brasy2G476600 ID=Brasy2G476600.8.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQMFSMGSTNTSSMMGMQQQQQQQQQGVYGNMQGGGQNLQQQGMVGLQNQQNQLQNQMQNPNFPQQRQQNQQ* >Brasy2G476600.9.p pacid=40071114 transcript=Brasy2G476600.9 locus=Brasy2G476600 ID=Brasy2G476600.9.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.10.p pacid=40071115 transcript=Brasy2G476600.10 locus=Brasy2G476600 ID=Brasy2G476600.10.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.11.p pacid=40071116 transcript=Brasy2G476600.11 locus=Brasy2G476600 ID=Brasy2G476600.11.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.12.p pacid=40071117 transcript=Brasy2G476600.12 locus=Brasy2G476600 ID=Brasy2G476600.12.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.13.p pacid=40071118 transcript=Brasy2G476600.13 locus=Brasy2G476600 ID=Brasy2G476600.13.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQSLQSQSILPQMQFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.14.p pacid=40071119 transcript=Brasy2G476600.14 locus=Brasy2G476600 ID=Brasy2G476600.14.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQNTVSQLQDLQGQSQQKLQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.15.p pacid=40071120 transcript=Brasy2G476600.15 locus=Brasy2G476600 ID=Brasy2G476600.15.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGDNSGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G476600.16.p pacid=40071121 transcript=Brasy2G476600.16 locus=Brasy2G476600 ID=Brasy2G476600.16.v1.1 annot-version=v1.1 MDAAAAHAAGAPAGEQQAAAPRAERLNQGVHHQLNLEGMRARAVGLYKAISRIIEDFNTIARTTPGASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENASILPVMLSSKLLPEMEIEETTKREQLLSGITNLPVPSQMEKLKARIDMIASACETAERVIAECRKTYGLGTRQGANLGPTLDKAQAAKIQEQEGLLRAAVNYGEGLRVPGDQRQPHSSLPSHLMEVLPSGDGAHNFGAYPKNTSTFTPNGVNTQGNAMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHSQQQQQQQLRPSAAGLLAQVPGQQQMQYNQAQALSQFQNRQMQAARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMFGLTGGHPQRSHPTQMMNDQIWDPQILAA* >Brasy2G052100.1.p pacid=40071122 transcript=Brasy2G052100.1 locus=Brasy2G052100 ID=Brasy2G052100.1.v1.1 annot-version=v1.1 MAATGQDGDDVDHYAVLRLPSGEEGAALSVEQIEKAYRTQSRLRHPDKRPDDPNATADFQSLASSYKFLRDESLRRQFDARLRGRREAAARAAATGVKRRKAVSDLEERERAFAAGGGLSVDPAEVAKREDKRKAADIKRELDEFLAAKQSGTTGAASTSAYGDKKGRTPENGAKTDKGKILKVSWQDGADNYTAAKLDEIFKQFGKVEDIVIKTRRSKSSGSAIVVMASKEAAELALKSDFVYLPLKVSTMGGLPGSTQTSEPRTSNIEGAGFNDLEASVFRKLQEAQKRKKM* >Brasy2G191000.1.p pacid=40071123 transcript=Brasy2G191000.1 locus=Brasy2G191000 ID=Brasy2G191000.1.v1.1 annot-version=v1.1 MLGAQKLKSTKLQMAELEAKQAWQANELLREEVDDMRVDTKAQIDVLLEEVKLLRGMVVQSNAGNNKSSMHHIDTEPADSVGESAAANEQYSFHDVNIDEEDIMEQELREAQEQFERKKAEEALLRKKKEAARIQNRDATQRQKEQQELLQKRKEAKILQKKRKESELRQNEEELQNKEAELKKKEAELQKKKKAAEVQKKKEAEAQKKKQQAAITQENHQVFFHLILYFSFINFDMNAKKC* >Brasy2G390400.1.p pacid=40071124 transcript=Brasy2G390400.1 locus=Brasy2G390400 ID=Brasy2G390400.1.v1.1 annot-version=v1.1 MPFQLKGGHAAHPQHGAMDGKSPPPTPAPPAPAPAPPRVSRLRRLLVRVSASERLPLPAADGKEREKDEKPAPAPGGGGEAEVGSVGLDRMVLSFMEESAAAVERPPRGRCNCFNGSNYEESDDEEDFFLPSGHAPAPSSAAAGDTLEALKGLVQSASLAERNLLADASGIAERCSKTCKGKAQCRRAVVDGLKALGHDVAICKSRWEKTSSYPAGEHQYIDAVVGEERARLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRFGDKAAVAPSPAASSTATATQVSAASFSGEFELLFGMNQGGDSAAAGEKITVVVSPWRPTEDASKKQQELLPKAKVVTGLAAVL* >Brasy2G390400.2.p pacid=40071125 transcript=Brasy2G390400.2 locus=Brasy2G390400 ID=Brasy2G390400.2.v1.1 annot-version=v1.1 MPFQLKGGHAAHPQHGAMDGKSPPPTPAPPAPAPAPPRVSRLRRLLVRVSASERLPLPAADGKEREKDEKPAPAPGGGGEAEVGSVGLDRMVLSFMEESAAAVERPPRGRCNCFNGSNYEESDDEEDFFLPSGHAPAPSSAAAGDTLEALKGLVQSASLAERNLLADASGIAERCSKTCKGKAQCRRAVVDGLKALGHDVAICKSRWEKTSSYPAGEHQYIDAVVGEERARLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRFGDKAAVAPSPAASSTATATQVSAASFSGEFELLFGMNQGGDSAAAGEKITVVVSPWRPTEDASKKQQELLPKAKVVTGLAAVL* >Brasy2G390400.3.p pacid=40071126 transcript=Brasy2G390400.3 locus=Brasy2G390400 ID=Brasy2G390400.3.v1.1 annot-version=v1.1 MPFQLKGGHAAHPQHGAMDGKSPPPTPAPPAPAPAPPRVSRLRRLLVRVSASERLPLPAADGKEREKDEKPAPAPGGGGEAEVGSVGLDRMVLSFMEESAAAVERPPRGRCNCFNGSNYEESDDEEDFFLPSGHAPAPSSAAAGDTLEALKGLVQSASLAERNLLADASGIAERCSKTCKGKAQCRRAVVDGLKALGHDVAICKSRWEKTSSYPAGEHQYIDAVVGEERARLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRFGDKAAVAPSPAASSTATATQVSAASFSGEFELLFGMNQGGDSAAAGEKITVVVSPWRPTEDASKKQQELLPKAKVVTGLAAVL* >Brasy2G182100.1.p pacid=40071127 transcript=Brasy2G182100.1 locus=Brasy2G182100 ID=Brasy2G182100.1.v1.1 annot-version=v1.1 MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIAGRLPGRTDNEIKNYWRTHMRKKAQERKTDMSPSSSCSSFTYQSGLIQTAPITRKEEGSASNGSTCISSVLKSNQSVMDGYSMDQIWREIEAPAMLPIDDKACSNFPCPLLPSPMGDWHYPEVVWKMDGDDTKMLAPEFGYVTGGGSCY* >Brasy2G182100.2.p pacid=40071128 transcript=Brasy2G182100.2 locus=Brasy2G182100 ID=Brasy2G182100.2.v1.1 annot-version=v1.1 MSPQEEHLIIELHARWGNRWSRIAGRLPGRTDNEIKNYWRTHMRKKAQERKTDMSPSSSCSSFTYQSGLIQTAPITRKEEGSASNGSTCISSVLKSNQSVMDGYSMDQIWREIEAPAMLPIDDKACSNFPCPLLPSPMGDWHYPEVVWKMDGDDTKMLAPEFGYVTGGGSCY* >Brasy2G083400.1.p pacid=40071129 transcript=Brasy2G083400.1 locus=Brasy2G083400 ID=Brasy2G083400.1.v1.1 annot-version=v1.1 MERGAAAAVMSRSLLLFLLLVTRTTTAAAAVDDGPHMCGGHEIPYPFGIGEGSSRDGGFEIVCRPCGMPALPGDDPDAFLPISNLSVVNAEAVVMLGVRSQCYNTTTGEANGDSSLTNLQFSEQGVYRISDKGKHLYVLGCNTVGYLASKEKRTQAQGNGNNSNATYFSQLRLRDCSCAGVGCCRADIPNDLIDNVLDFGRVDNHSTVVHGRSCDYAFLVEKEYSYEFTRADLSMDDRREMPVRLDWAIRDSYNTCAEAQAKSANETSYACVSSDSGCVDSVNGPGYTCRCRDGYEGNPYVTGHGGCIVNIDECKRPDEYPCKGICKDTLNGYTCTCPRGNTSSIVATSIIAFLVSLMILLKERKKMKEFFEKNGGPILANVSNIKLYKMEDLKGILKRGNVIGQGGFGKVYKGTIGDSSQLVAVKKPINEQFTNEIIIQSRVIHRNIVNLIGCCVEVDVPILVYEFVPRGSLEHVLHGKDGEHLDLNRRLCIAAESAEGLAYMHSKTATTILHANILLDDKFVPKISDFSISRLIATDEKHAINIIGERNYRDPVYMQTRSLTNKSDVYSFGVVLLELITRKKASYSDNNNNLVRKFLDAYKNNKSAVIELLDKVLVEVVDDLELLDGLAGMIKQCLNLDADERPDMNDLVDQLRDMEKRSRRK* >Brasy2G080000.1.p pacid=40071130 transcript=Brasy2G080000.1 locus=Brasy2G080000 ID=Brasy2G080000.1.v1.1 annot-version=v1.1 MASAASARLRDIKAFDDTKAGVQGLVNAGVTTLPYFFRHPPENLPLANDDAQNRKSNSSSSFSIPVIDLASVTTTTESSTSRRAELVGEVLAAAKAVGFFQVVNHGVPESTMSEMLAAVKRFHEEPPAAKSGYYTRDYARSVRYHCNMDLFRAAAAKWRDTVYLDMAPTPPEPEDLPPALSGVAGEYTRQVQRLGATLCGLMSEALGVPRGHLEHEAGCVDAVRVACHYYPACPEPHLTMGATAHSDPSFLTILLQDQIGGLQVLVQDQDKEEEARWVDVPCVHGALVVNIGDFLQLISNDRFTSVQHRVVSKREGPRASVACFFQTYGEAASTRACTPIALADGRPPRYKAATVEELLLAHREKNSHGALQRFRL* >Brasy2G096900.1.p pacid=40071131 transcript=Brasy2G096900.1 locus=Brasy2G096900 ID=Brasy2G096900.1.v1.1 annot-version=v1.1 MRTSSLLLLVVLTVVALVYPVATSAQQPWHPIEGEDLQSLGGWAVTEHVKQAHDGLKFSKVFSGEKQQLSTGVKYHLVIIALNGSGQTGRYDVVLIEGKSTRKLISFTPAK* >Brasy2G016500.1.p pacid=40071132 transcript=Brasy2G016500.1 locus=Brasy2G016500 ID=Brasy2G016500.1.v1.1 annot-version=v1.1 MDLQTKQSIVLISLLQTLFSLTLAISPHRGLASSPPPESSPATISSSPIPIYRRACQLSPLSSSPASSHQIPGRPRRRCAVRLTVALRSVAAFLAFALAPSSEAFTRLAPLLPKENGSEMDVDAAAPAAQVSIKRGLPEIEMYCYVLVLIFLIDRKKYAEAKARANASIARLKNINRRTVDVLASRLYSYYSYAHELTNSLAEVRVNASYRWVRSWFHYLNVVVVE* >Brasy2G372700.1.p pacid=40071133 transcript=Brasy2G372700.1 locus=Brasy2G372700 ID=Brasy2G372700.1.v1.1 annot-version=v1.1 MSPLTYVFLLLLIPSMCVCSTLEDTCKSFAAGHPSIGYNYCVKTFRADNASATADARGLAAIAARIAGAAANGTAGRIAALRASEEDARRRERLGVCAEVYSDAVEQLGEAAKDIEEGGATQQDAVITLLSAALDAAGTCEDTFGEADDTSPLAAEDAEFTKLATIALAVAASLAPAASVSAPRISD* >Brasy2G145800.1.p pacid=40071134 transcript=Brasy2G145800.1 locus=Brasy2G145800 ID=Brasy2G145800.1.v1.1 annot-version=v1.1 MAAAAPSKSEVLSLFRTLLRTARQFSDYNIREYTRRRAADAFRENRALADAPAAAAAFADGKQQLEVAKRQVLVYSLYAPKAKSVVEMKMQ* >Brasy2G237800.1.p pacid=40071135 transcript=Brasy2G237800.1 locus=Brasy2G237800 ID=Brasy2G237800.1.v1.1 annot-version=v1.1 MLMSSCRPQTNVSRHLLTYLLHHYSVYEIPVYLLPIVLFLYRYKLSLKVTDDTASMETIAFSFVAEDLLEQTAMQASQNMKIHASDHAVALEKAIGKKRLFSIGMNPKYFSRFSINHVPKKSYKIHDDTSQVNV* >Brasy2G208300.1.p pacid=40071136 transcript=Brasy2G208300.1 locus=Brasy2G208300 ID=Brasy2G208300.1.v1.1 annot-version=v1.1 MISLQPRSSGRDRPRQARDGACGSRLLRSARWTAARFYRRARASVATAFGSSENRGSASAAAHSPDCTPARNSSRLVLVADESHKSEAVEECIRFMNSSSRKYR* >Brasy2G384400.1.p pacid=40071137 transcript=Brasy2G384400.1 locus=Brasy2G384400 ID=Brasy2G384400.1.v1.1 annot-version=v1.1 MAAAAAALASSPLVHLTSSRIRFPRPRASAASAGCSRWGCPRGATSDWRPLRRCERMRRFSIDEGGGGSGGEDEEKRSEDEAAVEAKVGTAEELGSERSRSGSFSSSSSSSSVTPGVSSEPTLLSFSMDSIDTVKLLELLGPEKVDPADVKAIKEKFFGYTTFWLTREEPFGDLGEGVLFVGNLRGDREEIFGKLQRQLRELTGDKYNLFMVEEPNSEEGDLRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLSVFSCIELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAYPKKVKLSIPFFIPNFTLGTFGSITQFKSILPNRKTMFDISTAGPLAGAGLSFSMFSIGLWLSLNPAGASDLVQVPSNIFQGSLLLGLISRATLGYSAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLDDVSDVGTWRRAALIASVFLVVSILIPLWDELAEDLGVGLVTSF* >Brasy2G384400.2.p pacid=40071138 transcript=Brasy2G384400.2 locus=Brasy2G384400 ID=Brasy2G384400.2.v1.1 annot-version=v1.1 MRRQWKRRWGLRRSWARNGAGRTPGVSSEPTLLSFSMDSIDTVKLLELLGPEKVDPADVKAIKEKFFGYTTFWLTREEPFGDLGEGVLFVGNLRGDREEIFGKLQRQLRELTGDKYNLFMVEEPNSEEGDLRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLSVFSCIELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAYPKKVKLSIPFFIPNFTLGTFGSITQFKSILPNRKTMFDISTAGPLAGAGLSFSMFSIGLWLSLNPAGASDLVQVPSNIFQGSLLLGLISRATLGYSAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLDDVSDVGTWRRAALIASVFLVVSILIPLWDELAEDLGVGLVTSF* >Brasy2G489200.1.p pacid=40071139 transcript=Brasy2G489200.1 locus=Brasy2G489200 ID=Brasy2G489200.1.v1.1 annot-version=v1.1 MSSPSKRREMDLMKLMMSDYKVEMLNDGMQEFYVHFHGPNDSIYQGGVWKVRVELTEAYPYKSPSIGFTNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNIFEVFLPQLLLYPNPSDPLNGDAAALMMRDQNAYDQKVREYCQRYAKPEDAGVDEEEDEPSDEELSDAEGYNDSGDEAIMGNPDP* >Brasy2G155300.1.p pacid=40071140 transcript=Brasy2G155300.1 locus=Brasy2G155300 ID=Brasy2G155300.1.v1.1 annot-version=v1.1 MESHHASRGRRTLEEIRQKRAAERMQQQNPPAVAASHVDPYGNQRAGAELLARVQQLENGRMELERENQMLLSKFAEKEVEKDLLVNRLNELEKNVVPSLKKALNDISLEKGAAIVAKEDALAQLRSMKKRLKDAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYSGYAVETSSEKTLAMEKEIQDLQAQLKQESLLRQQEQQKLAEESLLRQQDLQKLAEEQSLTASLLAEKQEMDNKIATLTKKSSEEASELAARKVFSMQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSSEIENLFEENSALSTSYQEAVSVTMQWENQVKDCLKQNEELRLHLEKLRIEQASLLKTSNTYIQPDGQSETSISNPPELVTENLSLKDQLIKEQSRSEGLSAEIMKMSVQLRKAVQAQNNLTRLYRPVLKDIEGNLMKMKQETYATIQ* >Brasy2G211000.1.p pacid=40071141 transcript=Brasy2G211000.1 locus=Brasy2G211000 ID=Brasy2G211000.1.v1.1 annot-version=v1.1 MLTPPFDFSHCKHHRQQRLNSPSDTLTRSLITRFTATSLVLPPFCTHSHTTHTLILSLYLQDTAHLTTEPGSPKHNTELRLREIALHQSFSTTFRMGVATVTELKQSVSAGKKTFRTSLSNRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRLVAEASNNNKDAKLARLALHGVPGGAPAFELAAKFCYGVHVDVTVSNVAMLRCAARYLQMTDDFSDKNLEHRAESFLRDAVFPSTASSVAVLRTCEPLLATAAEDAQGLVSRLIAAIANNVCKEQLASGLLSKLDHGHHQSSKLGVLGELDGSPGAADWWGKSVAGLGLDVFQRLLSAVKSKGLKQETVTRILIYYAQSSLHGGLMASRDITVMSQQQQQAGDTTDAVKKQRAVVETIVALLPAQSKRSPVPMAFLSGLLKTAMALSASGTCRADLEKRIGMQLDQAILEDILIANNGSAGGVGASPAAAAAVQAQHTMYDTDVVSRILAVFLNLDDDNSEEDGVGGGGFDYDSPRSPKQSHLVKASKLLDSYLAEIALDSNLAPSKFISLAELLPDHARLVTDGIYRAVDIFLKVHPNMKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQIRLRSAIQSSGGGGGGGHDPALFFGCAAAASAANNGNNNSNGNNNVRMMSGSGVGSGAMSPRDNYASVRRENRELKLEVSRMRMRLTDLEKDHVSMKRELVRVNPANRLLRSFARSFGRLNTLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS* >Brasy2G211000.2.p pacid=40071142 transcript=Brasy2G211000.2 locus=Brasy2G211000 ID=Brasy2G211000.2.v1.1 annot-version=v1.1 MLTPPFDFSHCKHHRQQRLNSPSDTLTRSLITRFTATSLVLPPFCTHSHTTHTLILSLYLQDTAHLTTEPGSPKHNTELRLREIALHQSFSTTFRMGVATVTELKQSVSAGKKTFRTSLSNRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRLVAEASNNNKDAKLARLALHGVPGGAPAFELAAKFCYGVHVDVTVSNVAMLRCAARYLQMTDDFSDKNLEHRAESFLRDAVFPSTASSVAVLRTCEPLLATAAEDAQGLVSRLIAAIANNVCKEQLASGLLSKLDHGHHQSSKLGVLGELDGSPGAADWWGKSVAGLGLDVFQRLLSAVKSKGLKQETVTRILIYYAQSSLHGGLMASRDITVMSQQQQQAGDTTDAVKKQRAVVETIVALLPAQSKRSPVPMAFLSGLLKTAMALSASGTCRADLEKRIGMQLDQAILEDILIANNGSAGGVGASPAAAAAVQAQHTMYDTDVVSRILAVFLNLDDDNSEEDGVGGGGFDYDSPRSPKQSHLVKASKLLDSYLAEIALDSNLAPSKFISLAELLPDHARLVTDGIYRAVDIFLKVHPNMKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQIRLRSAIQSSGGGGGGGHDPALFFGCAAAASAANNGNNNSNGNNNVRMMSGSGVGSGAMSPRDNYASVRRENRELKLEVSRMRMRLTDLEKDHVSMKRELVRVNPANRLLRSFARSFGRLNTLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS* >Brasy2G254000.1.p pacid=40071143 transcript=Brasy2G254000.1 locus=Brasy2G254000 ID=Brasy2G254000.1.v1.1 annot-version=v1.1 MSLDSDSSSQGGDHRSFRQITRDRLLFEMLRSTRKDSKSAWKVLIMDKFTVKIMSYSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAFVFFSSPIQRDLVAQIKKDSSVLPRIGALSEMNLEYIPIESQGFVTDHERALEELFCESAEGFNKYNACLNAMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDMVPTKIAAGVWNYLSKYKTSIPEFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEIPSKNGSASEKKEVLLDDHDPVWLELRHAHIADASERLHDKMTHFVSKNKAAQLHQARTGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNSIIKEQCLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAAINPEKFESDKGTKLMQLAGLSADDMIAVNNMRSLCSDTKKPSGGGFTLKFDVHKKKHGYRKERTGEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPSPSFHGLPTTTTSSSARTSPAHSMRSRRTGGSWARPRGSDDGYSSDSVLKHASSDFKKLGQRIFVFVIGGATRSELRAAHKLTSKLKREVILGSSSLDDPPQFITKLKMMSAEELTLDDLQI* >Brasy2G361900.1.p pacid=40071144 transcript=Brasy2G361900.1 locus=Brasy2G361900 ID=Brasy2G361900.1.v1.1 annot-version=v1.1 MIGRAAGRPVFVLFGSSIVQYSFSNGGWGATLADIYARKADIVLRGYIGWNSRRALQVIDKVFPKDSAVQPSLVIVYFGGNDSIAAHPSGLGPHVPLEEYIDNMRKIAEHLKSLSDKTRVIFLSCPPLNEETLRKSTSTALSEIVRTNETCRLYSEACISLCKEMDLKCVDLWNAIQKRDDWATACFTDGLHLSEEGSNIVVEEILMVLKGAAAEWGLHWKAMPTEFDEDSPYDLVASSGQSTINPSQWTFHRKIQWD* >Brasy2G169700.1.p pacid=40071145 transcript=Brasy2G169700.1 locus=Brasy2G169700 ID=Brasy2G169700.1.v1.1 annot-version=v1.1 MRGFRSALSRAAARTRAELQGTRGHGRRLSHSAAAPARPSFGIAFDIDGVILRGRNPIGGSPQAIRRLYSDDGTLKIPFLFLTNGGGVPEYKRALELSEILGVDISAAQVVHGHSPYRELVKRFEDDLIVAVGKGEPAAVMAEYGFRKVLSIDEYSSYFTDIDPLAPFKKWNVGQSNCKTLMSEKVHPAYDVYAERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGNGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQVSDLPLKYTSYGKPNPFVFKKAANILEKLAMDIYPNSQQTTDVKHHQFSTIYMIGDNPKVDICGVLKAGPPWSSVLTRTGVFRGKDNDPNVPADLVVDTVDDAINCILEKECIQ* >Brasy2G230500.1.p pacid=40071146 transcript=Brasy2G230500.1 locus=Brasy2G230500 ID=Brasy2G230500.1.v1.1 annot-version=v1.1 MQWSLSIFRSMAPASKGGKNQVGIFITEQQLRGKRSRIGLEPMLCHGSTGVSDLMPLILPSFTALMKIRTRKRMRKGKRSRRSNLFKLRTFRRQLHDGGEHEQAPKAATLLQNGLTEGKRRTSPSPPSLRPAEPAEERGRGGDRGNQELN* >Brasy2G415300.1.p pacid=40071147 transcript=Brasy2G415300.1 locus=Brasy2G415300 ID=Brasy2G415300.1.v1.1 annot-version=v1.1 MSVYIVTSVGDIVVDLETDLCTLTTKNFLKLCKIKYYNGSLFHRVDKDFMAQTGDPTGIGTGGDSVYKFLYGDQARFFRHEIRPESRHSRIGTLAMASAGEDCNASQFYITLRDDVEFLDGKHTVFGRVTEGFDTLTKINEAYVDGNKRPYKDIRVKHTYILDDPFGDPPQLAELIPEGSPVGRPRDEAAEERLEDSWAPFDETMTPKQLQEMIRSREAHANAVVLESVGDIPDAGVKPPDNVLFVAKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACDRSYLEMGNCLIDDRRIHVDFSQSVSRLWSRFRQRKPNSNKNGCFKCGISDHLAQDCDQGAENTQQGNNNRRSYDIVFDDDDDDDADQQDCRYAIRRKIQKVDDEGSRYDHRNHCKHQSRSRNREDGEYYKWQPGGYQYGRDKSDDRCKRSQSDHRRRRSSRREDSGRPVAR* >Brasy2G029000.1.p pacid=40071148 transcript=Brasy2G029000.1 locus=Brasy2G029000 ID=Brasy2G029000.1.v1.1 annot-version=v1.1 MADPRMYPRREDSNGRPSSPDPAASLSAGRRLYNPYQDLSNIPYKSLYDLPTSPEFLFQEEALVQRRSWGENLTYYTGIGYLSGAAAGAAVGLRDAARAAEPGETAKIRANRVLNSCGGAGRRVGNRLGVIGLLYAGIESGMVAARDGGDDWINSVVAGLGTGALFKAASGPRSAAVAGAVGGVLAAAAMAGKQAAKRYVPAL* >Brasy2G203800.1.p pacid=40071149 transcript=Brasy2G203800.1 locus=Brasy2G203800 ID=Brasy2G203800.1.v1.1 annot-version=v1.1 MASLYHSSLPLSPPPSNCHGVLSFPPRNALPFACQCKAPPQDDHDAQLLHALESNGNGSLLREQHPASPAQLNDSGSEELGESGGGRRSSQLRARDCARRIMSLPMEERVKVLDLLQRDDAALTTSDYNDIISALARGGDFESAVALFRALEPNGTVAPDAHSFAIAVQCFCRKGAPDEAKETLDEMLARGYLPTASAFSAVVGCLCKRGRVTRAMEVFDAMRAVGCEPTIRTYNSLVGGLCYVGRLEDARDLLNKLKDSPKQTADIYTFTIVLDGFCKVGRTDDAMAIFEDAVRTGLSPTIFTYNALLNGHCKEGHLLKAYNLLMEMCDNETCPPDKISFSIVLPALLRAGEISAAWQTFKRMEHAGLEADGRALDTLARGLCRQCAADISALRDAKEVFGKLVSSGHEPVSYTYCLMAQALARGGEVDAAVAILDDMVRKGYALRKRAYTDVVRALCDRSRTGDAVRVLAVVIARDFVPGRNAFDALLGELSRQGRWPDAMAVYAAAVKRGVVVSLKRHVKEALVRDQEEESQAQLGVPQ* >Brasy2G450600.1.p pacid=40071150 transcript=Brasy2G450600.1 locus=Brasy2G450600 ID=Brasy2G450600.1.v1.1 annot-version=v1.1 MALRGLKRQTYFRGNHLSCDPRENGQDQNKETATCMIWRIYAYHDQEKIQREKHYICHREGKKKGAIYIKLSLPSVSREDWRTRFCVLKGAPLCWLRMTFPFPIQSCNPTWPHTEIFHSYSKYSFTRPNLAFTKQKQVFLATAIT* >Brasy2G425800.1.p pacid=40071151 transcript=Brasy2G425800.1 locus=Brasy2G425800 ID=Brasy2G425800.1.v1.1 annot-version=v1.1 MLASPALAGAHTIPAFMSGNLGVRMPSISVLPHSPARRASLVVVAKAKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTVASASTMHKSLSKDLEYSAGPTTEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLEF* >Brasy2G289600.1.p pacid=40071152 transcript=Brasy2G289600.1 locus=Brasy2G289600 ID=Brasy2G289600.1.v1.1 annot-version=v1.1 MFSIAAINESDTVGQWEPLAPTKEAQESALSHKYHEGLLKLQEKDYAKARELLEDVLKDPLISHIQADNVGSDQHLLQLRFLTLKNLASVFLQQGSKFHDNALRCYLQAVELDSYDSVVWNHLGTLSCTMGLLSVSRWAFEQGLVCSPTNWNCMEKLMEVHIAIRDEVACLSVANLILRSWPSHHRALHVKKTIEDANPVPFAPRGIDILEPKHARLDFSNKRKSADDEMDQETRKRSKQNATLQLTEAKWSALLDGVKCSLTAKEGGVKGVAYNMMDVALSIDASKTVDSASGSGNDLYHDGERLPSYDCKSTAKEKDVNSDREHPHERRSRRLERLHSRKSGKDENESNGKDISHAITQFLDSFILKGVSDTEKTDCSANADMSDPETVTYTSDREADDVKHFLSKICKNYGPRHIGFMLLEEIAHLNIPCQDDFANLIELDKLTRGWGQDRSALCSLFLAELYYDRAICSGSPSASSELFDCCYHLCQVIKSILVELPLSACVEKMNSTSFDLDKEIRRAEICSIDKTEGNTSDMSKGSVNSNELVSSNMLGDETSECSISNTERVNWIRFYWLSGCLSLSEDRKGKAYREFNAALSLLRSSDEAKGSRKFILLPHCKSVKFPTTDTILREINLIKLEALLWKNDENINNITHTEFKELLPPLVLSTSDVYVGNACRSQSERERVISLELCALDVLISACEKAKPMNLKIYLDSHRRKLQVLTVAAGMVGSVNPQKEKNSSDGDFVEAMNRNRLESVVEAVKDVSRNASTAKDIIDQRDISDGQDGLSLHIIGGIQSLLLTIMCAAVKMISWRKLSCSGTSYQADHLESSCLVDAAIAFCKLQHLDPTISFKTQVDLLVAVHDLLAEYGLCCAGRDGEGDEGTFLKFAVKHLMALDVKLKSQLNPNGSEGDALPKNNSGEDSVTDEPSVCDDKHSSEDAKETELEEIQSSIDSALDQAFFCLYGLKINPDSSSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETRGSTEAILNVLFPGESGYEAFKKLSTDSSGPYSDVYGNLYYYIVQAEDISASDKYAGFVLKKEGGEFVEQSANLFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTTLTQRVEMGRRHSRRCLLMSLTLANTVIEQIEIHELLALVYYDSLQNVVPFYDQRATLPVKDSTWNIYCQNSMKHFEKAFELKAQWLHAFYLGKLCEKLGHSPAKAFSYYNKAMTLNPTAVDPVYRIHASRMKLLYTRGKQNLDVIQVVADYTYDQSTKENVSSMLGSITNVRHSSSDENNNVLDSKKENKLAESDLLDKVWHILYDDCLYALGTCVEGELKHFHKARYMLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGTVRKGRRKNPNIGVSRKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRELWTLERAYTYLRTDKRFALCLGDIVPLGLGKYLQVLTAAIRDPEVRRICGDTPVEELLEKMFSVFMDHANLLADISTIPEVNSPELSEGNLYSYIHQYIHLLESDIRLDVLESLNEKIRKRFKTPKLSNSNFAKICKHASLSWCRCILIKLASITPLPESVDTANQPVPITKGLLLYVDLQPDELLISSPDGPAQIKGLDMNWFETLNRIKNIAIRQTSEDNMESAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQAPVEGLQQAPGTVDTLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKARNKRGAPIVTALSQVTPPAPHSSVSSLGISCSSKEKSTHTESSEAHEANPYAPAVASALPHQEAGGTSTSLNATEAQKPTTAASQLSRSSSSRAMENAQDGGRGNMNTACVNPADGSKF* >Brasy2G289600.2.p pacid=40071153 transcript=Brasy2G289600.2 locus=Brasy2G289600 ID=Brasy2G289600.2.v1.1 annot-version=v1.1 MFSIAAINESDTVGQWEPLAPTKEAQESALSHKYHEGLLKLQEKDYAKARELLEDVLKDPLISHIQADNVGSDQHLLQLRFLTLKNLASVFLQQGSKFHDNALRCYLQAVELDSYDSVVWNHLGTLSCTMGLLSVSRWAFEQGLVCSPTNWNCMEKLMEVHIAIRDEVACLSVANLILRSWPSHHRALHVKKTIEDANPVPFAPRGIDILEPKHARLDFSNKRKSADDEMDQETRKRSKQNATLQLTEAKWSALLDGVKCSLTAKEGGVKGVAYNMMDVALSIDASKTVDSASGSGNDLYHDGERLPSYDCKSTAKEKDVNSDREHPHERRSRRLERLHSRKSGKDENESNGKDISHAITQFLDSFILKGVSDTEKTDCSANADMSDPETVTYTSDREADDVKHFLSKICKNYGPRHIGFMLLEEIAHLNIPCQDDFANLIELDKLTRGWGQDRSALCSLFLAELYYDRAICSGSPSASSELFDCCYHLCQVIKSILVELPLSACVEKMNSTSFDLDKEIRRAEICSIDKTEGNTSDMSKGSVNSNELVSSNMLGDETSECSISNTERVNWIRFYWLSGCLSLSEDRKGKAYREFNAALSLLRSSDEAKGSRKFILLPHCKSVKFPTTDTILREINLIKLEALLWKNDENINNITHTEFKELLPPLVLSTSDVYVGNACRSQSERERVISLELCALDVLISACEKAKPMNLKIYLDSHRRKLQVLTVAAGMVGSVNPQKEKNSSDGDFVEAMNRNRLESVVEAVKDVSRNASTAKDIIDQRDISDGQDGLSLHIIGGIQSLLLTIMCAAVKMISWRKLSCSGTSYQADHLESSCLVDAAIAFCKLQHLDPTISFKTQVDLLVAVHDLLAEYGLCCAGRDGEGDEGTFLKFAVKHLMALDVKLKSQLNPNGSEGDALPKNNSGEDSVTDEPSVCDDKHSSEDAKETELEEIQSSIDSALDQAFFCLYGLKINPDSSSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETRGSTEAILNVLFPGESGYEAFKKLSTDSSGPYSDVYGNLYYYIVQAEDISASDKYAGFVLKKEGGEFVEQSANLFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTTLTQRVEMGRRHSRRCLLMSLTLANTVIEQIEIHELLALVYYDSLQNVVPFYDQRATLPVKDSTWNIYCQNSMKHFEKAFELKAQWLHAFYLGKLCEKLGHSPAKAFSYYNKAMTLNPTAVDPVYRIHASRMKLLYTRGKQNLDVIQVVADYTYDQSTKENVSSMLGSITNVRHSSSDENNNVLDSKKENKLAESDLLDKVWHILYDDCLYALGTCVEGELKHFHKARYMLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGTVRKGRRKNPNIGVSRKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRELWTLERAYTYLRTDKRFALCLGDIVPLGLGKYLQVLTAAIRDPEVRRICGDTPVEELLEKMFSVFMDHANLLADISTIPEVNSPELSEGNLYSYIHQYIHLLESDIRLDVLESLNEKIRKRFKTPKLSNSNFAKICKHASLSWCRCILIKLASITPLPESVDTANQPVPITKGLLLYVDLQPDELLISSPDGPAQIKGLDMNWFETLNRIKNIAIRQTSEDNMESAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQAPVEGLQQAPGTVDTLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKARNKRGAPIVTALSQVTPPAPHSSVSSLGSSKEKSTHTESSEAHEANPYAPAVASALPHQEAGGTSTSLNATEAQKPTTAASQLSRSSSSRAMENAQDGGRGNMNTACVNPADGSKF* >Brasy2G383200.1.p pacid=40071154 transcript=Brasy2G383200.1 locus=Brasy2G383200 ID=Brasy2G383200.1.v1.1 annot-version=v1.1 MNEYRDRVVLPGASNMFGKQVNTCLKIMDMTGLKLSALNQIKMLSTITAVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIKVLYGPGRDELLKVMDLQALPHFCNREGSGSLSDGVDCYSYDHPFHQQLYNFVKQQALSQDIVGPLKQGSMHVHVPVPDIEDAKIAETIESELHKMREACSIGFIIVDGIKSYGRCSQKKKRGFLAHSTGSTSKVLDRCDETIGVPRNKRCGSGGICFLKIVMGLYLYSSSCLQSLAAKLG* >Brasy2G480600.1.p pacid=40071155 transcript=Brasy2G480600.1 locus=Brasy2G480600 ID=Brasy2G480600.1.v1.1 annot-version=v1.1 MTCMSALRLCKGALRSPPCWSEKLASAASPRGVAAYRWDKPGSSSGLLRASHSLGRSTRGLRWAIKTMADDNADNSGNSTRLFTAIQSFWNKLSGKLKKLRRGFPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEFIGALMYRASFAFLGRIKNMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNFEINIFTRLW* >Brasy2G100100.1.p pacid=40071156 transcript=Brasy2G100100.1 locus=Brasy2G100100 ID=Brasy2G100100.1.v1.1 annot-version=v1.1 MAPPCVLLKRKVLFQHDPDVFSGGAGERGGESIGGGTGKTRGIRSPRETANAILPYLRVMKPDARFANPPELSSLRILRGPTESIPQCYRAILTSGHIASADRNLIALYPGAYRPGSHNSLSAIPQRPDDAGFLAGAGLGAVVLRCDGGEDDAAYVLGELAEVQGSDCSEAALYTWRSSTAEWVTKVGRLPPEACTRDRLFLPDTCFSFGGSILCWADMFKGMLVCDLRDLLEDHGSRPEFRFVPFPGGCLPFPRGNDRFERPRRAEVFCSVAPVRGAVKFVTMDGYGERPSEEVTALSPDLCTWEKGTAYLVRDIWENEAYRSMGFPRILPSFPVLSTHEDHVVYLGLPVLGSVDGGVEYLLRVDMRRNKVEYHPRSMGKLRSRLIAVECAAGEVSIT* >Brasy2G419300.1.p pacid=40071157 transcript=Brasy2G419300.1 locus=Brasy2G419300 ID=Brasy2G419300.1.v1.1 annot-version=v1.1 MDHTGLDAPPPSSRPRFRSRRLVRPQPVQGMELAGLLRACASAPRSSKVWSSPDCCAPVSPPLARPRYGARRSSMPPPPGSSSSEACSLPACCAPAPPPPGCPRYGARRIAARLRLRPQLVRGMELAGRLRLRLQLVRGMQLAG* >Brasy2G432400.1.p pacid=40071158 transcript=Brasy2G432400.1 locus=Brasy2G432400 ID=Brasy2G432400.1.v1.1 annot-version=v1.1 MAALARSPSFALLAVLALATAVAGEVFFQEKFDDGWVDRWVKSEWKKEDNTAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAQFPELSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGADIDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNAEKQTGSIYDDWDILPAKKIRDPEAKKPEDWVDEEYIPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPTMPNPEYKGPWTQKKIKNPEYKGKWKAPLIDNPDFKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKVAEETWGKHKDAEKAAFDEAEKKRLDEESASSKDDDLDDDEDANDTDEDEDESKSSGVDAKDESDDEKPQHANKDEKAEEVSKDAPSTKKDEL* >Brasy2G156800.1.p pacid=40071159 transcript=Brasy2G156800.1 locus=Brasy2G156800 ID=Brasy2G156800.1.v1.1 annot-version=v1.1 MEMKLSSSSSGEVLGGGCKKRPPSRLQKKAPASLNLEQPGAPAASPAAAWSGDGRTPIPLLSPLVMSPAPAWEADQGGSSSRREGAQQGQAEGTSAARHGNGERRGQSDEKPKTPAPAPSGGWRHPAMPTPTSAPAPAAAPSAAGGWRHPALPTPVAEPASLTPLFKSQCAVEVRNAQQ* >Brasy2G355000.1.p pacid=40071160 transcript=Brasy2G355000.1 locus=Brasy2G355000 ID=Brasy2G355000.1.v1.1 annot-version=v1.1 MANRDRAGAGAVGSAGSLGLRVGQAVFSSAALLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLVMIDLYSIFVGCPLRVPGVMAIVVVGDWVLSILSLAAASSSAAVIDVLLQFHGSQCTARLCERYQLSAMMAFLSWFLTAASSLFNLWDIASR* >Brasy2G355000.2.p pacid=40071161 transcript=Brasy2G355000.2 locus=Brasy2G355000 ID=Brasy2G355000.2.v1.1 annot-version=v1.1 MANRDRAGAGAVGSAGSLGLRVGQAVFSSAALLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLVMIDLYSIFVGCPLRVPGVMAIVVVGDWVLSILSLAAASSSAAVIDVLLQFHGSQCTARLCERYQLSAMMAFLSWFLTAASSLFNLWDIASR* >Brasy2G327400.1.p pacid=40071162 transcript=Brasy2G327400.1 locus=Brasy2G327400 ID=Brasy2G327400.1.v1.1 annot-version=v1.1 MTSKPAAAANGAGSGPGAGAGGGVRRRRRFWVAAAVVVLLALLAAAVSSRSFPAISSYSRSGGCGCPGARKYTGMVEDCCCDYETVDAINEEVLYPILQELVSLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPEPFKKPYSGLSPENMICQEGKPEATVDRTLDTKVFKGWVETDNPWTSDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEDMCQEKKALYKLISGLHSSISVHIAYGYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNHKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISATMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENNLNQQFHLQRNEVIALVNLLNRLSESVKFVHEKGQSTEEGIKQLRSSTVQKGAS* >Brasy2G151500.1.p pacid=40071163 transcript=Brasy2G151500.1 locus=Brasy2G151500 ID=Brasy2G151500.1.v1.1 annot-version=v1.1 MPLALPNLLKKGREGLPSSAAGAPADGSGCARGGDGGNGCVSQSSQGRTAKAGLTKADRGGHGLPKNQIGTRGSDKVAQVPVGTVIHLGSTGLHRHFAE* >Brasy2G242400.1.p pacid=40071164 transcript=Brasy2G242400.1 locus=Brasy2G242400 ID=Brasy2G242400.1.v1.1 annot-version=v1.1 MAAADSNTAEGKLESPLLTSLQPSPGSGGEGGGGHGASRQLESILSDESVPWARRMCAATAVEMRMLIRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGASKFDMLGIYMQRSTVLLMATGVPLAVLYAFSRPILVLLGESPEIARAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWIIVIAQFVYIVTSSRCRLTWTGFSLQAFSGLPEFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTMLSFVLTSIISVVILLCRDYISYIYTDGDDVAQAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFYFDLGAAGIWSGMIGGTVMQTLILVWVTFRTNWNKEVAESMKRLHKWEGKTPLLAGQE* >Brasy2G410900.1.p pacid=40071165 transcript=Brasy2G410900.1 locus=Brasy2G410900 ID=Brasy2G410900.1.v1.1 annot-version=v1.1 MAVRAASGPEAGQNWGVRGLRGVWLRGWRLLLAGGACLGASAGGAGWGARRLQPRRSEAVAGGLLVAMLVGWIWPWRGPHLGVMWDVGMPLLLLGLDLGLSGQWRVAWTCG* >Brasy2G392600.1.p pacid=40071166 transcript=Brasy2G392600.1 locus=Brasy2G392600 ID=Brasy2G392600.1.v1.1 annot-version=v1.1 MAANGESSGAGAGDASAAARRRWDLTSKGAENIPMVKEAVEMSTDEESDGVVICPPDANAGGCDEVISGDHHDSSPEAQVACVEPVMGGDTQEDKRVNQDSLKLIDQENSALPKSPTKPSMSGPERSKRTIPQPFTLSTQRRTSGGNGDVAHPSNGGEKSGHRSSSSPASLIKKSTPVAPKKTSPHDHTFHPQEEDSCSVTSSTTTSTRAGKTKTTVAFAPTFVCDDRADKRKEFYTKLEEKHKALEAEKDQAETRKKDEQEAALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRKSYGDAPPNGEAATTSASSHRSHRHSIGNPKDANKAQCSPKNGVAAKTRSVKPVS* >Brasy2G377300.1.p pacid=40071167 transcript=Brasy2G377300.1 locus=Brasy2G377300 ID=Brasy2G377300.1.v1.1 annot-version=v1.1 MAICSAHTTTSLRSPCTTISNTGLRQNQVILFTTRSSRRSSTRHGAKTFQVSCSAEKPIIIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPAELIQPPKIFVIEGLHPMYDQRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTLLIPDDNEGKVLRVKLIMKEGVKYFNPVYLFDEGSSISWIPCGRKLTCSYPGIKFSYGPDTYFGQEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHSDFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGVPAEAAKV* >Brasy2G447100.1.p pacid=40071168 transcript=Brasy2G447100.1 locus=Brasy2G447100 ID=Brasy2G447100.1.v1.1 annot-version=v1.1 MVAGHRPLLLLLLLVTAILAAVAVVATAEDAKPTILTPVAQTPVGSFEGDKPGSDDAMDDDGAAPVGSPIGTTMTEPKPEGSTEGGAAAEGATPAASAASSLVAVASSGVATMVAAAGIFAF* >Brasy2G317100.1.p pacid=40071169 transcript=Brasy2G317100.1 locus=Brasy2G317100 ID=Brasy2G317100.1.v1.1 annot-version=v1.1 MALQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVGCGYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGTMGCLLIIKNYTGDRLNFGLAAEQAKSEGYKIEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEAIGTMGVALSVCTLPGQVTSDRLGPNQIELGLGIHGESGAAVVELQPVDVVIEHVLKQILSQETQYLPITRGSNVVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTFMTSLDMAGLSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPTKFPVPVPPSPSMKDDEILFQHQELSKQGRILEAAIEASAKEIIDLKDSLNEWDSKVGDGDCGTTMYRGATAILEDMKSRYPMNDAAGTINEIGATIRKVMGGTSGILYDILCKAAYASLKQSTTITAYEWADALEASIAAVSKYGGASAGYRTMLDALIPASTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAATWYRAAALSVKNKLHASES* >Brasy2G181600.1.p pacid=40071170 transcript=Brasy2G181600.1 locus=Brasy2G181600 ID=Brasy2G181600.1.v1.1 annot-version=v1.1 MENTSDTTREFTLRFLQDITNNFSKEHVIGSGGYGIVYRGVLENGEEIAIKKLHFMPGLDDTQFRNEFNNLMRVQHKNITRLVGYCYHLGHERIMYNGEYVFAHVEERVLCFEYLQHGSLDKYISDESCGIDWRTRYKIIKGVCEGLNYLHNGYKSPIYHMDLKHANILLDKDMTPKIGDFGLSRLFPSAHTYTTIKIIGTPIHR* >Brasy2G204700.1.p pacid=40071171 transcript=Brasy2G204700.1 locus=Brasy2G204700 ID=Brasy2G204700.1.v1.1 annot-version=v1.1 MAKRGSAATATATTVWLLAVAMVICTAYTAAGAGTCFCDCMKNQCMTLGTDPNKFDCAAACTEGCQQVGEQGQPKKDDFCGF* >Brasy2G261700.1.p pacid=40071172 transcript=Brasy2G261700.1 locus=Brasy2G261700 ID=Brasy2G261700.1.v1.1 annot-version=v1.1 MEGMDGAVRDLAALSTRLLLHLGSDETNTAISPLSFHSVLVLLAAGATGDTFAQIVSFLGSSDDTAHASLASRVASGIFAGEDGAEPEIRCAVGVWVDSSFRLRPDFAKKVASQYKAGVRAMPFQDKADEATVEINRWFEDKTGGFIRDLIPNGHLDSDTILVAGNALYLRGTWLDPFDRDDTVDGDFFLPDKSSRAPVRVPFMTSKNRQLISCHPGFKVLQLPYEGGGNRRFSMHIYLPNERDGLGALVRELGSDAAGFFDRCVPARPVEVGDFRIPKFKVSFKTEASKLLRDMGLERPFQPSFDFAAMVDCSEPLVVASVLHDCVVEVNEDGTMAAAATEADMTMGFSIEGEEHVEVVDFVADHPFLFLVREDNSGIVLFAGQVVNPLLWQWITTLVERNSPGATFFFLSFFGLFCNLIVIIMLSCSCHHQHFAMSLASSHMKYFCLHKNVTSFVLSFTCIFVCLHKA* >Brasy2G092500.1.p pacid=40071173 transcript=Brasy2G092500.1 locus=Brasy2G092500 ID=Brasy2G092500.1.v1.1 annot-version=v1.1 MLIKWKKIKSQVSILGPVGYGPTTLPLRHSDVVVRWMVCKI* >Brasy2G162000.1.p pacid=40071174 transcript=Brasy2G162000.1 locus=Brasy2G162000 ID=Brasy2G162000.1.v1.1 annot-version=v1.1 MASTAARRLRELQGQNGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVSKETPHVPKYNSNAAAAYRDRIVALAEGRPWNDPPVVKETPGSGAPAPARKPPLPAGGGGGGGGSGGGGGGWDDWDDDFRPDMRRNQSAGSFAGAGGESGRQPTRSKSTQDMYTKQKLEASAASKEDFFARRMAENEAKPQGIPPSQGGKYVGFGSSPAPPANRNNSAAQGDVMQVVSQGFGRLSMVAASAAQSAASVVQVGTQELQSKMREGGYDQKVNETVNVVSNKTVEIGSRTWGIMKGVMALATQKVEEYAKEGGVGGGWGDDWQRGEQNNNEPYRRSEHETNGNSWNSPQDGSSKNHNSNSWDDWDDQGKKDEPAKPSQSSDSWAGWDDAKDDSFDSPSYSIHTATTKGSNQNGTSAGSYWTDGGFR* >Brasy2G027200.1.p pacid=40071175 transcript=Brasy2G027200.1 locus=Brasy2G027200 ID=Brasy2G027200.1.v1.1 annot-version=v1.1 MAASLLLRAVRRRDFASPLGNLTANVQSTYAANVCSRWGSLARTFSAKPTGHEVIGIDLGTTNSCVSIMEGKNPKVIENSEGTRTTPSVVAFSQKGELLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSITKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEAIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADSSGAKHLNITLTRSKFESLVNNLIERTRDPCKNCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVRVLQGEREMASDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNTADTTIYSVEKSLGEYRDKVPAEVVSEIETAIADLRTEMASDDIEKIKSKIEVANKAVSKIGQHMSGGGEAGGPQSGSQGGGDQAPEAEYEEVKK* >Brasy2G219600.1.p pacid=40071176 transcript=Brasy2G219600.1 locus=Brasy2G219600 ID=Brasy2G219600.1.v1.1 annot-version=v1.1 IKKQTLIHRCQKISDNRCLEEIIQVTAAGVLGPLRNLCTAHVGSWHVIFRLWWMYCDATNGCQNAEVERD* >Brasy2G367800.1.p pacid=40071177 transcript=Brasy2G367800.1 locus=Brasy2G367800 ID=Brasy2G367800.1.v1.1 annot-version=v1.1 MNSTAYSRPSKLPGGAGGERRPPRLMRGFAAKIEPKKLGAGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSGLLQNSPPSSSSSSPVPETTDAIPAEATFVGRKNDDPAADPVDFPEEGPSMDGSQEPGLPEVVSRKEDDAEKAIAANSQPKPSEEDGDAAGAGEGTTTAKMTCDENGVDEGFPYARPAVCELSGDIRVSPKDKTMYLVNPSGVAAGFDENGEKRLRPYARKDDFLLPAVVEVTVKSVPSASAAPRCTKRHRVPAVVFSVAGYTDNFFHDNTDALIPLFLTTAHLKGEVQLLITNYKPWWVQKYTPVLRKLSNYDVINFDDEDGGAVHCFPNGYLGLYRDRDLIISPHPTRNPRNYTMVDYNKFLRGALELPREKPAVLGEEPGMRPRLLIISRSGTRRLLNLDEVSAAASELGFNVTVAEAGGEADVPAFAATVNSADVLLAVHGAGLTNQIFLPTNAVVLQIVPWGNMDWMATNFFGQPAREMQLRYVEYYVGEEETSLKDKYPRDHVVFRDPKALHTQGWETLADTIMKQDVQVDLSRFRPFLLQAIDKLQE* >Brasy2G151100.1.p pacid=40071178 transcript=Brasy2G151100.1 locus=Brasy2G151100 ID=Brasy2G151100.1.v1.1 annot-version=v1.1 MLLITGLFLHGRSLAVNASAVRGSSAPAIRGLSPGRRPGLLCCRPCRPWVQPRLPSFPHRVDLVFLFWYPIAGLWKMLIWISCFLVELN* >Brasy2G281500.1.p pacid=40071179 transcript=Brasy2G281500.1 locus=Brasy2G281500 ID=Brasy2G281500.1.v1.1 annot-version=v1.1 MPHPDIKRCPDCIIDSAGCCFVAGAIGASAYHFPKGLYNSPNGRRLAAGARAVRVNAPRVGGSLAAFGDCLEAFRCAMLSARKTVDFWSYVLPGFAAGICVPVPLGRGPRAVAISALTGLSFSVLAYGLRFCIERVDVASLFPPPPVDSGPMGRPERIEKTEMTARRA* >Brasy2G157500.1.p pacid=40071180 transcript=Brasy2G157500.1 locus=Brasy2G157500 ID=Brasy2G157500.1.v1.1 annot-version=v1.1 MRAPGQDWETVVRSSGQDWTRLGHFRFRFPRPYGRPPSIVGNLECILVDLESLQLFWDITVAGCWAKRTSDERAR* >Brasy2G208200.1.p pacid=40071181 transcript=Brasy2G208200.1 locus=Brasy2G208200 ID=Brasy2G208200.1.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTCRKLGYELIDCDRIFVPVHKNVHWCLAVINMKEKRLQYLDSMGGNDSRVPRMLGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.7.p pacid=40071182 transcript=Brasy2G208200.7 locus=Brasy2G208200 ID=Brasy2G208200.7.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTCRKLGYELIDCDRIFVPVHKNVHWCLAVINMKEKRLQYLDSMGGNDSRVPRMLGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.2.p pacid=40071183 transcript=Brasy2G208200.2 locus=Brasy2G208200 ID=Brasy2G208200.2.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTCRKLGYELIDCDRIFVPVHKNVHWCLAVINMKEKRLQYLDSMGGNDSRVPRMLGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.3.p pacid=40071184 transcript=Brasy2G208200.3 locus=Brasy2G208200 ID=Brasy2G208200.3.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKIFVPVHKNVHWCLAVINMKEKRLQYLDSMGGNDSRVPRMLGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.4.p pacid=40071185 transcript=Brasy2G208200.4 locus=Brasy2G208200 ID=Brasy2G208200.4.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKIFVPVHKNVHWCLAVINMKEKRLQYLDSMGGNDSRVPRMLGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.5.p pacid=40071186 transcript=Brasy2G208200.5 locus=Brasy2G208200 ID=Brasy2G208200.5.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G208200.6.p pacid=40071187 transcript=Brasy2G208200.6 locus=Brasy2G208200 ID=Brasy2G208200.6.v1.1 annot-version=v1.1 MISDADFLFNRRKRRLPAADLLYPHFRSPKRKHFFFESSPIPPEARSLAFDMGNFFSSSLPDCMRRDRGLGVYRGWVHASQDARGLTVATSDDVELGQVVIRRFDPTKVARQAVPPPREKRKPFYKEALEKIGSRDKRLGEIAVEVTLQNEMLDELRKAPKEDLSQLFIPLTAEDENEVHNCLYDRSSKVLVLHEPSYIEVTVEKFRCLRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKGKYIVDEVKDKSNKEIDISSWEEELLDYYVPLQQNGWDCGMFMLKYIDFYSRGLSLSFSQEHMEYFRKRTAKEILRLRAD* >Brasy2G246300.1.p pacid=40071188 transcript=Brasy2G246300.1 locus=Brasy2G246300 ID=Brasy2G246300.1.v1.1 annot-version=v1.1 MMQLLATTNCSLPCAEQARSRSTLGAAALFVSPCTCPSLLTLIRATEKQREKKSEGDPWRGGRGTTTTLSTRAAPRSILSRCMFRRVISKDFIAICYCQKVDKATRGAPAVQSNYSGGSFYTTQSRPTPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELSRREKEIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVQLQRTQYVAFASLLGLILCLFWNIVCVTAAWIKGDGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSAIVGVFYFLGFAMFCLESLLSMWVIQRVYHYFRGSGKEAQMKREAARSAARAAF* >Brasy2G246300.3.p pacid=40071189 transcript=Brasy2G246300.3 locus=Brasy2G246300 ID=Brasy2G246300.3.v1.1 annot-version=v1.1 MAGRSRYDDNPFDEGGAEVNPFADKATRGAPAVQSNYSGGSFYTTQSRPTPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELSRREKEIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVQLQRTQYVAFASLLGLILCLFWNIVCVTAAWIKGDGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSAIVGVFYFLGFAMFCLESLLSMWVIQRVYHYFRGSGKEAQMKREAARSAARAAF* >Brasy2G246300.4.p pacid=40071190 transcript=Brasy2G246300.4 locus=Brasy2G246300 ID=Brasy2G246300.4.v1.1 annot-version=v1.1 MAGRSRYDDNPFDEGGAEVNPFADKATRGAPAVQSNYSGGSFYTTQSRPTPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKTREKELLAKEAELSRREKEIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVQLQRTQYVAFASLLGLILCLFWNIVCVTAAWIKGDGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSAIVGVFYFLGFAMFCLESLLSMWVIQRVYHYFRGSGKEAQMKREAARSAARAAF* >Brasy2G246300.2.p pacid=40071191 transcript=Brasy2G246300.2 locus=Brasy2G246300 ID=Brasy2G246300.2.v1.1 annot-version=v1.1 MDKATRGAPAVQSNYSGGSFYTTQSRPTPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKDMKTREKELLAKEAELSRREKEIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVQLQRTQYVAFASLLGLILCLFWNIVCVTAAWIKGDGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSAIVGVFYFLGFAMFCLESLLSMWVIQRVYHYFRGSGKEAQMKREAARSAARAAF* >Brasy2G246300.5.p pacid=40071192 transcript=Brasy2G246300.5 locus=Brasy2G246300 ID=Brasy2G246300.5.v1.1 annot-version=v1.1 MDKATRGAPAVQSNYSGGSFYTTQSRPTPPSTRLSPLPPEPADFYNDFATPVDIPMDTNKTREKELLAKEAELSRREKEIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVQLQRTQYVAFASLLGLILCLFWNIVCVTAAWIKGDGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSAIVGVFYFLGFAMFCLESLLSMWVIQRVYHYFRGSGKEAQMKREAARSAARAAF* >Brasy2G050700.1.p pacid=40071193 transcript=Brasy2G050700.1 locus=Brasy2G050700 ID=Brasy2G050700.1.v1.1 annot-version=v1.1 MASVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYRGPQRMPQYLKLQPRGEALTFEDDNLTLSESRGILRHISHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPPPQQHQNGNGNNNGIGNANNGIGNANANAGGVVASSNSNGPPSKRVAAPGIDKAKEEEMRRLFEKSQRELEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFERRKNVSRWWDQVSRRGSWTYVKSLQRPPSSPAAGNGDAAQQKGQDQQQRDAYGQEKLQQGRSYQQRDSYGEGQQLQAKYYQSRSSGSGDGRY* >Brasy2G433600.1.p pacid=40071194 transcript=Brasy2G433600.1 locus=Brasy2G433600 ID=Brasy2G433600.1.v1.1 annot-version=v1.1 MSISRIPNDCLLNRYVYTDYTQYTAAADDQGLITLEQQPRLILRCGDKKAAPARIYSQEEAEDLLHGMEIGIYRSAAPLSLSCLSIPRCSQYTSILAVDKNVMVLASSSHDNRNCYFVYDSVQGSLRMIPLPEDSSYRPVVSSRVLIARHGGSSSSYALVLPRKLVIDIGRERDVLLIWRPAPSSEMTNPRTSWSESKKARFPELVNGRGYEADVVFSSDGYAYWADLLRGAMYCSCKDILDDATNGAAVVECGFLHLPSTSNLKAGSCSTRQGRWSCRVSQPETYRTMGVAQDSLTFVSINGFHEHVDLKDRTVTVWRLQSGQGQGQHEWDMEHQLSLETLWGLDGFGDLPKDLTPMFPMLSKEDKDVLYIALGEYSEKLHRWKFVTGYLRYLLAVDLRNKVVLGASRLTEWCCQELEDDAGGDGLPSSLLLSWQLIRHLASEESMDTAVIPHH* >Brasy2G415100.1.p pacid=40071195 transcript=Brasy2G415100.1 locus=Brasy2G415100 ID=Brasy2G415100.1.v1.1 annot-version=v1.1 MSILIPYVPPLEMIRWELRTGPMRLELALSPETSAHVVRLLELEEDDKLILLNNVFAGVETYVHRIMENGQAHVVFNALLRHCEGRYKLLWRIVQAVMNFDSWNYHWDNRMTSLCMLIGAVTRHPYPHLCGMLISCLKRDDVMNGNNADTLLQHCFFKMPYEDSKALIQYAMENTHNNLQSEFWWKCMAKCFTHAKERELHELKEFAIDRATEMAEGKYSRFFLRHVLMQGSTLTNLRLVDELIGVDREDIVRLSFDPYANCILQDCFLNTGPDVRDEALFLVLSVFLALHPRELAQLMRNAHTNHVLCMVLNRGEAAFPLLSLMLAERILLEPLQAILEHPNVQKLVNFAMEILYAATFFWGWI* >Brasy2G415100.2.p pacid=40071196 transcript=Brasy2G415100.2 locus=Brasy2G415100 ID=Brasy2G415100.2.v1.1 annot-version=v1.1 MRLELALSPETSAHVVRLLELEEDDKLILLNNVFAGVETYVHRIMENGQAHVVFNALLRHCEGRYKLLWRIVQAVMNFDSWNYHWDNRMTSLCMLIGAVTRHPYPHLCGMLISCLKRDDVMNGNNADTLLQHCFFKMPYEDSKALIQYAMENTHNNLQSEFWWKCMAKCFTHAKERELHELKEFAIDRATEMAEGKYSRFFLRHVLMQGSTLTNLRLVDELIGVDREDIVRLSFDPYANCILQDCFLNTGPDVRDEALFLVLSVFLALHPRELAQLMRNAHTNHVLCMVLNRGEAAFPLLSLMLAERILLEPLQAILEHPNVQKLVNFAMEILYAATFFWGWI* >Brasy2G415100.3.p pacid=40071197 transcript=Brasy2G415100.3 locus=Brasy2G415100 ID=Brasy2G415100.3.v1.1 annot-version=v1.1 MSILIPYVPPLEMIRWELRTGPMRLELALSPETSAHVVRLLEIMENGQAHVVFNALLRHCEGRYKLLWRIVQAVMNFDSWNYHWDNRMTSLCMLIGAVTRHPYPHLCGMLISCLKRDDVMNGNNADTLLQHCFFKMPYEDSKALIQYAMENTHNNLQSEFWWKCMAKCFTHAKERELHELKEFAIDRATEMAEGKYSRFFLRHVLMQGSTLTNLRLVDELIGVDREDIVRLSFDPYANCILQDCFLNTGPDVRDEALFLVLSVFLALHPRELAQLMRNAHTNHVLCMVLNRGEAAFPLLSLMLAERILLEPLQAILEHPNVQKLVNFAMEILYAATFFWGWI* >Brasy2G278500.1.p pacid=40071198 transcript=Brasy2G278500.1 locus=Brasy2G278500 ID=Brasy2G278500.1.v1.1 annot-version=v1.1 MAGSRQLAVAALLLVAAAGVCSRLPAAGALGVNWGTMASHQLPPSTVVRMLQDNGIKKVKLFDADAGPLEALAGSGIEVMVAIPNIMLDMMTDYDTARDWVHKNVSAYNFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALNEAGVGDTVKATVPLNADVYESPKDNPVPSAGRFRAEISGLMTEIVQFLNQSGAPFTVNIYPYLSLYGHADFPLDFAFFDGTTSPVVDANSGISYTNVFDANFDTLVSALNAAGVGGLPVMVGEVGWPTDGDKQATAAYAQRFYAGLLRKLASNAGTPLRANQYVEVYLFSLIDEDVKSVAPGNFERHWGIMRYDGQPKYGMDLTGQGRNTALAGARGVEYLPREWCVLNSNAPVENMSRLGDNVGYACTYADCTALSYGSTCGGLDAAGNASYAFNAYFQVQNQEVEACGFQGLAANITQDPSTGTCNFSIQIKTASSSSAGPGRPLLVSVVLAFVVVVITV* >Brasy2G278500.2.p pacid=40071199 transcript=Brasy2G278500.2 locus=Brasy2G278500 ID=Brasy2G278500.2.v1.1 annot-version=v1.1 MLLIDHASVVMADRSRWLSVSRLIIRNLLGCAYDDTFQYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALNEAGVGDTVKATVPLNADVYESPKDNPVPSAGRFRAEISGLMTEIVQFLNQSGAPFTVNIYPYLSLYGHADFPLDFAFFDGTTSPVVDANSGISYTNVFDANFDTLVSALNAAGVGGLPVMVGEVGWPTDGDKQATAAYAQRFYAGLLRKLASNAGTPLRANQYVEVYLFSLIDEDVKSVAPGNFERHWGIMRYDGQPKYGMDLTGQGRNTALAGARGVEYLPREWCVLNSNAPVENMSRLGDNVGYACTYADCTALSYGSTCGGLDAAGNASYAFNAYFQVQNQEVEACGFQGLAANITQDPSTGTCNFSIQIKTASSSSAGPGRPLLVSVVLAFVVVVITV* >Brasy2G277000.1.p pacid=40071200 transcript=Brasy2G277000.1 locus=Brasy2G277000 ID=Brasy2G277000.1.v1.1 annot-version=v1.1 MITSSASRLDPQRNMIREATAFLLDVLKPNLPEHVFLQTPNLPEHVFLQTPNLPEHVFLQTKRLGITSSDVVIRLSC* >Brasy2G221600.1.p pacid=40071201 transcript=Brasy2G221600.1 locus=Brasy2G221600 ID=Brasy2G221600.1.v1.1 annot-version=v1.1 MATRVPRSLLPVFVVLLFSGALTAGALRFDLYSGHTKCISDDINVGAMAVGKYHIVQPEDSSQLPDSHRISLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDFRPPSTVAFEFDWRSGVAAKDWSTVAKKGQVDIMELELKKLEDTVKSIHEEMFYLREREEEMQELNRRTNSRMAWLSFLSLGICLSVAALQLWHLKNFFERKKLL* >Brasy2G061200.1.p pacid=40071202 transcript=Brasy2G061200.1 locus=Brasy2G061200 ID=Brasy2G061200.1.v1.1 annot-version=v1.1 MSLSSAVSWWEEWQLRLLVLGSQSVQWLLFLSAPRRKSAISGSFRVLIWLAYLGSDALAIYALAALFNRHKNQEGSLANGESILEVVWAPVLLMHLGGQDCITAYNMEDNELWRRHILTAVSQITVSIYVFCKSWPGGGGDKKLLQAAIMLFTLGAIRCIEKPLALKSASISSLAISSDTSAKTYKDKKGGSSSETNSLEAYVDKVRSLFQAQGKAMSRPTIFLIKHKEKAMSRPTIFPIKHKEKAMSRPTIFRSSTRRRRYIKWFWLLASYFRLACSVLPFAAIGLFHRSHREAYDDKDVKVTYTLLCCTAVLELYSFARHTERKSGSTLCGLVYQYSFVGFFARNEKYTTRMSILSCLRCKDYVDQLWCMQPSNSSSRITILVLGHVKRWWKQHIRNAADYTRFNNHRGQWTIQQMGARNKVLESSIKRSFDESVLLWHIATDLCFYIKGASPNHQARDASVLYKIMSIIAEFPASWIISILEVSGFTENLWKREEDRCISAAGWCREMSNYMMYLMFVKPEMLMSGTRRNLFIAAYGQLKDILEDQEQQQPVEERAIAERIRTMVEESPEGVSFVHDAWTLAKTLMELDQEIMWEVIQGVWVEMLCFSASRCRGYLHAKSLGTGGELLTFLIGDDG* >Brasy2G077300.1.p pacid=40071203 transcript=Brasy2G077300.1 locus=Brasy2G077300 ID=Brasy2G077300.1.v1.1 annot-version=v1.1 MTTEYKILPLDVNDNLVPTVLQGSQGCPNLVALHNMYAILNSSGSAQSGKFTEKSMKSILNSMLLEHIEKLGDISVDQVEEVKDVLAHIQSRFSLQPRLSGHLDFVNNASYLLCCLLKLTVLHGWVISPEDSNYDFLREFSHSDLMDYSLELKDEDDQLTTCQSLLNDSSSQLTSYGFESLSAELSDDSLALLFCRNRLDVIHKHKDALFIYVTDEDIRSQSPQAIWMLFEKDGEKNIYFTEKYTPVKGQKHI* >Brasy2G060100.1.p pacid=40071204 transcript=Brasy2G060100.1 locus=Brasy2G060100 ID=Brasy2G060100.1.v1.1 annot-version=v1.1 MELDPGSEQHGCAHYKRRCKIRAPCCGEVFGCRHCHDEAKDSLAVSVRDRHEIPRHEIKLVICSLCNNEQEVKQDCSNCGARLGKYFCGKCSFFDDDVSKNQFHCDRCGICRTGGAGNFFHCEKCGCCYSSVLKDSHRCVDRAMHQSCPVCFEYMFDSTRDVNVLRCGHLIHVECLREMRAHRRFSCPVCLKSACDMSDAWQKLDRQVAASPMPAIQKKMIWILCNDCGAASEVQFHILAHKCPGCSSYNTRQTG* >Brasy2G060100.2.p pacid=40071205 transcript=Brasy2G060100.2 locus=Brasy2G060100 ID=Brasy2G060100.2.v1.1 annot-version=v1.1 MELDPGSEQHGCAHYKRRCKIRAPCCGEVFGCRHCHDEAKDSLAVSVRDRHEIPRHEIKLVICSLCNNEQEVKQDCSNCGARLGKYFCGKCSFFDDDVSKNQFHCDRCGICRTGGAGNFFHCEKCGCCYSSVLKDSHRCVDRAMHQSCPVCFEYMFDSTRDVNVLRCGHLIHVECLREMRAHRRFSCPVCLKSACDMSDAWQKLDRQVAASPMPAIQKKMIWILCNDCGAASEVQFHILAHKCPGCSSYNTRQTG* >Brasy2G060100.3.p pacid=40071206 transcript=Brasy2G060100.3 locus=Brasy2G060100 ID=Brasy2G060100.3.v1.1 annot-version=v1.1 MKSSWSVICSLCNNEQEVKQDCSNCGARLGKYFCGKCSFFDDDVSKNQFHCDRCGICRTGGAGNFFHCEKCGCCYSSVLKDSHRCVDRAMHQSCPVCFEYMFDSTRDVNVLRCGHLIHVECLREMRAHRRFSCPVCLKSACDMSDAWQKLDRQVAASPMPAIQKKMIWILCNDCGAASEVQFHILAHKCPGCSSYNTRQTG* >Brasy2G060100.4.p pacid=40071207 transcript=Brasy2G060100.4 locus=Brasy2G060100 ID=Brasy2G060100.4.v1.1 annot-version=v1.1 MKSSWSVICSLCNNEQEVKQDCSNCGARLGKYFCGKCSFFDDDVSKNQFHCDRCGICRTGGAGNFFHCEKCGCCYSSVLKDSHRCVDRAMHQSCPVCFEYMFDSTRDVNVLRCGHLIHVECLREMRAHRRFSCPVCLKSACDMSDAWQKLDRQVAASPMPAIQKKMIWILCNDCGAASEVQFHILAHKCPGCSSYNTRQTG* >Brasy2G373400.1.p pacid=40071208 transcript=Brasy2G373400.1 locus=Brasy2G373400 ID=Brasy2G373400.1.v1.1 annot-version=v1.1 MASPAPSAEFTRETARQSLIAISQSVPDLPNPKVKPPSTPVANGYHDDGAENCRSKLISISDLSSPDALPTPCPPKNVATV* >Brasy2G373400.2.p pacid=40071209 transcript=Brasy2G373400.2 locus=Brasy2G373400 ID=Brasy2G373400.2.v1.1 annot-version=v1.1 MASPAPSAEFTRETARQSLIAISQSVPDLPNPKVKPPSTPVANGYHDDGAENCRSKLISISDLSSPDALPTPCPPKNVATV* >Brasy2G344100.1.p pacid=40071210 transcript=Brasy2G344100.1 locus=Brasy2G344100 ID=Brasy2G344100.1.v1.1 annot-version=v1.1 MADHNIQQALLPDNPDMLQRMPSEGAKRFRRCRTTPKSDTDKKPELNGSSLPAKELFKDIRPSFRLVGLLLFFYLLVGGGIFYLVMDQISGKRTSRVIDALYFCIVTMTSVGYGDLVPRSDATKLLACAFVFAGMAIIALFVSKSADYLVEKQEVLFFKALHMNMKCGEAKLLRAMETNKTKYKLYTAALLLVTTIVAGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRIFAIFWIITSTIIVALFFMYLAEVYTERRQKMLAKWVLTRKMTTTDLEAADLDSDRHVCAAEFVLYKLKELGKINQEDISSFLDEFDKLDIDQSGTLSSYDLTLAQSAQ* >Brasy2G197800.1.p pacid=40071211 transcript=Brasy2G197800.1 locus=Brasy2G197800 ID=Brasy2G197800.1.v1.1 annot-version=v1.1 MRRFSTPGARQQQGEAVSDRVHRYRGVLMVVLAPVLLISFVLLLMPRPPPSVTARASSGLAVVGGRRWGPQAVGGVGDGSNRYAVIFDAGSSGSRVHVYCFDANLDLVPIGNEIELFKQQKPGLSAYAKDPQEAAESLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTERSDEILQAVRDLLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLEKLGKPYSHTVGVVDLGGGSVQMAYAISEKDAAKAPEVSDGEDSYVKKLVLKGTTYYLYVHSYLHYGLLAARAEILKAGESNDYSNCMLDGYHGKYKYGDDTFEASGSSSASYSKCRAIAVSALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEEAARRVCKLNVKEARATYPDVSEDNIPYLCMDLVYQHTLLVDGFGVDPYQDITLVKKVPYGNSFVEAAWPLGSAIEVASSS* >Brasy2G020800.1.p pacid=40071212 transcript=Brasy2G020800.1 locus=Brasy2G020800 ID=Brasy2G020800.1.v1.1 annot-version=v1.1 MFKLHRHRSSDRSVGERFEFRFSSFRAVQVPAVSDRLFLSIISVDTGKTIAKSSKSAARSGICQWPDSILEPIWFSRDEVSKEFDECQYKIVVSMGSIKTAILGEIFLNLSNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGMRSSKDMPPRVDNRSPINDGMDNKSDGSDNMFNRSVRSSSGNPLVGTYQDESGNREMSFSASGSHRSSNSGDSTVDRANLSPIANSNGGLYVGRQDSASSYASAGRGDEGFRSNNSSFSSRASGPNVLQGNTPKSFSNGIAQSSLGTSDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEALKKECSEKSRQQTELAVELSAAHAERDSYRQEIEELKSSRQEVTARQTKSGTPKRGDWINLQKELEDEMKFLKESNLNLTVQLKNTQEANIELVSILQELEETIEEQRAEISKVKDVTNPGVLKDGLFVKEDTEWARKLSMKDDEITMLREKLDRVLNIETAGGAGSDAVYLELEKENEILRVKIQELEKDCSELTDENLELIYKLKENGASQGQVSRVSNSGELQIEKLTSQIDRLEEELRNKEMLHIGSFTEASMSNAKELQRKCADLELKLVHFRSQAGELEEKFQKSQEELEQKNLELSKSRDELESFHSTEREGSETGGARGYQFRREDLEDSESEADMLKTRVQLQQQENDDLRRYKVETESFISGIQAEKSQLVERLAASVKESSITSKCLDELQQDIFVLSSSVDSHVSANKVLERKITELESCKAELELHISELEQENIELSERISGLEAQLSYMTNEKESSELQMHDSKSLVINLKDKVERQQVEMDTIRLEFKQKQQEAQRKLTEAQDDSEVLRRSNSNLQSTVENLIEECSSLQNLIADLKRQKLEFHGRFTQQEQELDNSKKRNFEFCKTVEFLETKLTSLQKDISSKEQSLLSELESIFQEHMEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLSAQVSSTHEERENATVDAIREVSILRADKAKLEANFENVSEQLRHYESQIEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDDLRKTSRELELKLKSSDYEKQQLMEEISGLKLQVQKITSLQDEVFKLRNSLDEAKFEKGKLEELQQSVTEECEELKAQKAMLTDKVSNMEETLKSGEEEKRSRRSMQAKLVRLESDLSASEASHVHEAELKNELSRIRRSNSEYQRKIQSLEQEIEDLTRQAQLNGKQDIGNSTDNGEIDIQSKIQLLETKLAEALEENRMYRAQEKSPMPEGQSAGEDGKVNNTDRILQLEGELRDMKERLLNMSLEYAEVEAQRERLVMELKTIKKGRWFA* >Brasy2G021800.1.p pacid=40071213 transcript=Brasy2G021800.1 locus=Brasy2G021800 ID=Brasy2G021800.1.v1.1 annot-version=v1.1 MAPGRFGSGSGHTRPGPGHPPRDPDPDPDPASGPKNSQTRPRSGLGYPAGIPDPAGVGGAGGSDQRHRGARPTGLCGSAERAGAGAAAGRRGEAVAGPGARPVEAQHASQSPRASGTAVGAASACASSPSSRGRQRRWGGEEERRRDCGRGSAGRRASPAPELPRARAGALLLLLGLIVGERGEETGGRGGGPEDVELEEVAVGGGGGGRGGGSVVEDGGGHRGAGEQDGGGHRGAAVGNPRDRGGDWGWMAGLDCWA* >Brasy2G003200.1.p pacid=40071214 transcript=Brasy2G003200.1 locus=Brasy2G003200 ID=Brasy2G003200.1.v1.1 annot-version=v1.1 MGTAPWRLVSLLLLLILVISSSLVHVAVAEGQTYWPWRPYCSTTGNYTGDSQYRRNLLALFGDLPSKALSNRGFYNASVGEAPDEVFGLLGCYADRNWTQCHDCLYAAAAGIQSSYPFSREMKGALDACVLRYSNVSSFVSSGVADLDVAFYTLIDSYVDDQVGMNQTRWKLLVDQLAPRASRSELRFANATVPYAKTTMYGLAQCTRDLIAGECYRCLTKSVANLSSRLPNNTYGGIKGYSCYAVYSTRESLPITFPPPLAQMPPSSNVPPPGDPSRVPGGTSSRIPLVAGVSIGSILFVICMGPLVWFLLRRHRRRKRMVREQEVDTFDDEPLEYEFEQGTGPKRIRYNDLAMATRCFSEEEKLGEGGFGSVYKGFLKELKLEVAIKRVSKSSKQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYDLMPNGSLDTHLYSSHNILSWPVRHEIVLGLGSALLYLHQDWEQCVLHRDIKPSNIMLDASFNAKLGDFGLARLVDHGRGPYTTGLAGTMGYMDPECMVTGRTSAESDVYSFGVVLLEIACGKRPAVARQESEHLIHLVQWVWDSWGGGRTLDAADARLNMEFDEREMECVIVVGLWCAHPDRNLRPSIKQAVNVLRFESPLPSLPPKMPVATFKPALESFVSASQLTGGR* >Brasy2G287600.1.p pacid=40071215 transcript=Brasy2G287600.1 locus=Brasy2G287600 ID=Brasy2G287600.1.v1.1 annot-version=v1.1 MAPSPRATRSVISLLLLLVAAARAADPVFNDCPSNMNYTGGGVFHANLDALLSSLPAGAAAASGFAKNTTGAARPDEASYGLAQCRADVNATDCRKCLDDSVRDMAIRCPGQKSAMLAYDDCLLRHANASFFGVADTTVGLYLTNPQNATQPERFTPLLGTLMSNLTRTAAYASPRMFAVGTTSLTPFQDIYGMAQCSRDLGANGCNGCLVEAMSRIPDCCNGKQGGQIIFRTCSVRFEVYPFYDVPAAEAAMSPLPPAPPAGGGGVNGSDNSAPASTGSSGTVKTALAVSIPVAAVLLVLLVIAFCFISKRNRKPHRHVQIASADNGNGDEMMSSESLLYDLSTLQAATDNFSEDNKLGEGGFGPVYKGILQDGQEIAVKRLSTTSQQGHLEMKNEVVFLAKLQHKNLVRLLGCCIEGDEKLLVYEFLSNKSLDKILFDPGRQQELSWGNRHKIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPDMNPKISDFGLAKLFTMDASVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEVVTGRRNAYGEDYEDLVSSVWRHWSRGNVSRLLESCSADGLRPQEMLRCIHAALLCVQEDAHLRPGMAAVVVMLNSRSITLPAPTAPAFIVPNRVAGASVTTAVARSTTHEAPTGIVRVPSTNDASVSDLEPR* >Brasy2G245600.1.p pacid=40071216 transcript=Brasy2G245600.1 locus=Brasy2G245600 ID=Brasy2G245600.1.v1.1 annot-version=v1.1 MAWVSPSCIQLEKAASAHSPLPLLLLILLRLLLRWRRNRPAPLPFRHRVDDAHAHARRPRLPRTWRPATLDPASSTPDPASPTPDPAILGHKKPSLSPAILWRGKSSTGEGKLGRGRGEARGSTAEARGEQR* >Brasy2G277400.1.p pacid=40071217 transcript=Brasy2G277400.1 locus=Brasy2G277400 ID=Brasy2G277400.1.v1.1 annot-version=v1.1 MSRQPEVLWAQRSEKVYLTISLPDAKDVVLKTEPQGIFSFSAIAHGESFSFTLELFDSVLPEGSKTKTKVGSRNIICSIQKDKKCWWKRLLKSEEKHPYIKVDWNKWCDEDEESETSTGSDDDFDDGENDESEGDDGMLYLPDLEKLGGK* >Brasy2G423400.1.p pacid=40071218 transcript=Brasy2G423400.1 locus=Brasy2G423400 ID=Brasy2G423400.1.v1.1 annot-version=v1.1 MEFPAAKRQPSISITGSSLSGYSKASSSSDGYPTYRRQASSYSAPASQMSRSSTRSSSSSSFRVAARGVAGVFSGCFVPRARKAKAEEEREASLSHGSRSKSGNSHSQGSRSSGYHVSADSAGRGRESSEVLAVADISKATSNFSEKNLVRQGGSCSVYRGTLKDGSQIAVKRARKLGGGRNLSAELGRDLGTLQKMEHPNLVRFLGSLEQKDETLMVFEYVDNGSLREHLDESRGTGLELAQRLNVAIDVAHAITYLHEHAGRAMIHRDIRSSNVLLTGALAAKVAGGLGFGLAADAGGEGLDRATAGYVDPEELLGAAQPTDKSDVYSLGVLLVELVTGRAPIERRRNLDPRDTTKWALQKYRGGDAVVAMDPRMRRSPASVAAVERMLALAERCVAPARRERPPMRQCRETLWTVRREFHRRQEEPPRQEPPAADGHRSSEWVSR* >Brasy2G423400.2.p pacid=40071219 transcript=Brasy2G423400.2 locus=Brasy2G423400 ID=Brasy2G423400.2.v1.1 annot-version=v1.1 MEFPAAKRQPSISITGSSLSGYSKASSSSDGYPTYRRQASSYSAPASQMSRSSTRSSSSSSFRVAARGVAGVFSGCFVPRARKAKAEEEREASLSHGSRSKSGNSHSQGSRSSGYHVSADSGRGRESSEVLAVADISKATSNFSEKNLVRQGGSCSVYRGTLKDGSQIAVKRARKLGGGRNLSAELGRDLGTLQKMEHPNLVRFLGSLEQKDETLMVFEYVDNGSLREHLDESRGTGLELAQRLNVAIDVAHAITYLHEHAGRAMIHRDIRSSNVLLTGALAAKVAGGLGFGLAADAGGEGLDRATAGYVDPEELLGAAQPTDKSDVYSLGVLLVELVTGRAPIERRRNLDPRDTTKWALQKYRGGDAVVAMDPRMRRSPASVAAVERMLALAERCVAPARRERPPMRQCRETLWTVRREFHRRQEEPPRQEPPAADGHRSSEWVSR* >Brasy2G269800.1.p pacid=40071220 transcript=Brasy2G269800.1 locus=Brasy2G269800 ID=Brasy2G269800.1.v1.1 annot-version=v1.1 MGVGADGRVGRNPCVKLTTATPAGAVTSLEASSWRCPFFPASSTGGNPLSALRIRRRRHTHVVPLLGASSRWLVESLLRQLESVGHRSEHGLPGRYVRRRRSFFWVDASFGQVRSRRSLADLGTYGRAVRWLVPSRSWSWSREVSLFGCDAALKLGVSPPRHSCRETIQGESLSSVVVWCVVIRVALRLYRVAFLALWGRLGLLATPWCCNWLSGHLLL* >Brasy2G032200.1.p pacid=40071221 transcript=Brasy2G032200.1 locus=Brasy2G032200 ID=Brasy2G032200.1.v1.1 annot-version=v1.1 MSDRGDPCFSAGDETAEELLARVTAMVPAAVDAAARAGAAGFPGRWKAIAAKLGALPARLSDLSSHPCFARNALCRELLLSVAATLAEASALAASCRDPGAGKLRTQSAMDALGCELDAALRDCALLVRTGVLSDDALLISSSSSSSSFPAPAADVRELLARLQIGHYCNGEAKTRAVDGLLDALDKDEKSVVSVLGRAHAAALVQLLSASAAAQVREKAATVVSRLAESSDGCGGMLVSEGALPPLIRLAGSSAMGREKAALTLQRLSLCTEISIAIAGHGGARTLLEICHCHTGGAQHSSVSQSAAAGALRNLSAVPELRRQLAEEGIIRAMVALLDSSGAAQLAKEHAADCLQNLTSDGHGNDSDNESFKRAVVSSGGVRSLLLYLDAPLPHEAAVTALRNVAGMLSPDAIVSLGVLPRLAHALKAGSPGAQQAAADAIGAIIVSGAGNGNGKVISEQNSRAIVPPLVRMLESKSGGAREAAARALAGLACCCSHGARELRKDEKGVPALVQLLDRSPLNAAAREHAVACLLALSPAKRCRRLMVSHGAIGYLKQKVPEAEAGAGAGKLLERLEGRGKLRSLFSSN* >Brasy2G183200.1.p pacid=40071222 transcript=Brasy2G183200.1 locus=Brasy2G183200 ID=Brasy2G183200.1.v1.1 annot-version=v1.1 MAALHGETSCGSLLQKLQFVWDEVGENDEDRDKVLFQLDQECLDVYKRKVDQALKSRDLLLQALDYSKMELARLASALGEKSIAMSPDKTTRTIKQQLAALAPTLEQLGKQKKKRIQEFANVQSRIEQIRGEIAGSLEIGQQVAIPQVNEDDLTDEKLRDFQSQLQQLEKKKRERLEKVLEHVSTVQHLCTVLGMDYFSTITEVHNSLDDSIGKDRKSISNDTLSKLDRTIATLNEDKRLRLKKLQELATQLHDLWDLMDTPPEERSLFDHVACNRTATVEEVTAPGALALDIINQAEIEVQRLDELKYSKMKEIAFKKQTTLEDIYAGAHIVLDTGAAHDKIFALIESGMEPSELIADMDSQIMKAREEALSRKEILDKVERWIAACEEESWLEDYNRDDNRYNSGRGAHLNLKRAEKARIQVNKIPALVETLVGKTRAWEENHGLSFTYDGVPLLAMLDEYVMLRQEKEEEKKKMREQKRYSEQLLNIEREGPFGTRVSPYRLAGAKKVASPKPDNGASNAAPGRRLSTSTQQNERKSARSAGKDCKKDTAVSLAVTNTAASPDKAATAKEDDISIHHSDTDSIPCSS* >Brasy2G183200.2.p pacid=40071223 transcript=Brasy2G183200.2 locus=Brasy2G183200 ID=Brasy2G183200.2.v1.1 annot-version=v1.1 MAALHGETSCGSLLQKLQFVWDEVGENDEDRDKVLFQLDQECLDVYKRKVDQALKSRDLLLQALDYSKMELARLASALGEKSIAMSPDKTTRTIKQQLAALAPTLEQLGKQKKKRIQEFANVQSRIEQIRGEIAGSLEIGQQVAIPQVNEDDLTDEKLRDFQSQLQQLEKKKRERLEKVLEHVSTVQHLCTVLGMDYFSTITEVHNSLDDSIGKDRKSISNDTLSKLDRTIATLNEDKRLRLKKLQELATQLHDLWDLMDTPPEERSLFDHVACNRTATVEEVTAPGALALDIINQAEIEVQRLDELKYSKMKEIAFKKQTTLEDIYAGAHIVLDTGAAHDKIFALIESGMEPSELIADMDSQIMKAREEALSRKEILDKVERWIAACEEESWLEDYNRDDNRYNSGRGAHLNLKRAEKARIQVNKIPALVETLVGKTRAWEENHGLSFTYDGVPLLAMLDEYVMLRQEKEEEKKKMREQKRYSEQLLNIEREGPFGTRVSPYRLAGAKKVASPKPDNGASNAAPGRRLSTSTQQNERKSARSAGKDCKKDTAVSLAVTNTAASPDKAATAKEDDISIHHSDTDSIPCSS* >Brasy2G163500.1.p pacid=40071224 transcript=Brasy2G163500.1 locus=Brasy2G163500 ID=Brasy2G163500.1.v1.1 annot-version=v1.1 MEMGKNLNLALEVANPGWNDDICKWECKVSDVIPRNYHGGLSGYLVFNFMHSYHNERLHYSIPTVSVFNMLICTRLSHEFFMTMFYDACVGRLLLKRRFLAYILKHELNEVVDNISSEERGVLDRIEKWAFTDLIE* >Brasy2G096700.1.p pacid=40071225 transcript=Brasy2G096700.1 locus=Brasy2G096700 ID=Brasy2G096700.1.v1.1 annot-version=v1.1 MRTSSLLLLAVVTVVAVVYPVATYARQLYYPIDGEVIDTPFFQNLGRWAVMEHVKQANDGLKFIKVFSGEKQQLSTGVQYHFVINALNGGGSTGR* >Brasy2G423300.1.p pacid=40071226 transcript=Brasy2G423300.1 locus=Brasy2G423300 ID=Brasy2G423300.1.v1.1 annot-version=v1.1 MLRIGTQPSIYLCQAWFQPSRAFRDGEAASISGQSLRLLTTTGCPILYGNNVTVTLLVPGNNQGDRRIVVSRIYHEPGTQRMQLPTIPVRTTGTVLVEMADKLGLRFLVKKRNKNMGFIFPTSIHSPFTHITTSC* >Brasy2G423300.2.p pacid=40071227 transcript=Brasy2G423300.2 locus=Brasy2G423300 ID=Brasy2G423300.2.v1.1 annot-version=v1.1 MLRIGTQPSIYLCQAWFQPSRAFRDGEAASISGQSLRLLTTTGCPILYGNNVTVTLLVPGNNQGDRRIVVSRIYHEPGTQRMQLPTIPVRTTGTVLVEMADKLGLRFLVKKRNKNMGFIFPTSIHSPFTHITTSC* >Brasy2G381400.1.p pacid=40071228 transcript=Brasy2G381400.1 locus=Brasy2G381400 ID=Brasy2G381400.1.v1.1 annot-version=v1.1 MTAMTASSSSPRRPGGLRGPRPQPLKVSSPSRPAAASKKSAAPVIVYEHTPKVVHARPQEFMTVVQRLTGRQPTPAPAPAVEELGGGAAGGGAGGDPLLLTLGQRRRDQAVPAAMAAGLLMSPGFVFSPNTMQYIQELSPLL* >Brasy2G002100.1.p pacid=40071229 transcript=Brasy2G002100.1 locus=Brasy2G002100 ID=Brasy2G002100.1.v1.1 annot-version=v1.1 MPADDEASLKKVVAGQPVSVAIEAGRREFQLSESGVFTGRCGTELDHGVVAMGYGVDEAASGKEYWQVQHRHDRIVSGEDETQPPETRAGARAGGHEVRPAQHVPGREHVPATALLRTGREGDKQARGRRGGTSCQRASLDEDEEDMAATAMADLVEDRRLELDEDEQPSCASAARRHHQAPATVLPPGGRGACPWVLSPLRSTEGNYTVEDKYRLNLVSLTVDLPLAAIRTAGKAPDEVFGLQMCFVDRGWNDCENCLRVAASDAQRVCPNSREMKSAHDSCVFRYSIHSFFFVADLSIPFVVLIQADVDDVAAMNDTRDLRASECRRCLSNHIGLLPLNFPSHIGGSIKGYSCYIRYDLRPFDITVPPAPIFSYTDGPKSSSIIKSLNFVLRTDAAAGKWLLAHGGGRTLNLLRAAGNVPGQHADALGRRELHEDPNGVLQVQGPSSMAWTSAACRCSTAWMPRSPRAPRASWRGTRGGGRGAPPRGRRRGRTGDVPPLSWPPTKTGLVIGLSIGSASLLIILEAVLVWRRHRRQKQARIMDDELVMEDDFEKGTGPKRFQYIDLAVATNNFSDEKKLGEGGFGSVYRGFLKELNLGVAIKRVAKGSKQGKKEYVSEVRIISRLRHRNLVQLIGWCHAGGELLLVYELMPNGSLDTHLYDGKNTVLAWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNIMLDASFDAKLGDFGLARLVDHSRGSHTTVIAGTLGYMNPECMITGKTNSELAQWVWDYYGKGMILDAADEQLKGEFNDHEMECVMAINVLRFEAPMPSLPERMPVATFMPSVGGSSSPGNTNQSASSTETSFSQK* >Brasy2G179800.1.p pacid=40071230 transcript=Brasy2G179800.1 locus=Brasy2G179800 ID=Brasy2G179800.1.v1.1 annot-version=v1.1 MMRLLTGAGTNAEFRFSPRSVDAFGSTVIAEGGADDAAGGQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAAAAAISPNQASSSSPPSSSSSPSSSSSSAASSPAHTPKWPKCLWQSRRADRAHKSLPGLVLAI* >Brasy2G441900.1.p pacid=40071231 transcript=Brasy2G441900.1 locus=Brasy2G441900 ID=Brasy2G441900.1.v1.1 annot-version=v1.1 MAAPARAAPLLFLAAALLLLLLAATPALSAAGAGGGGGTIVFTTLGRSRYAFDIFAVPLNHGDHLALSSADRSAAEARLTDGASVNYNGNFAPSSSALLFVSERNGSLNLYLSPIPPSSSRREALEEKEDSSDQISPLLPWDPVALKDRPSLTADGARLVYVSTAVPAGSPRRSWAAVYSTELLNGRTRRLTPDGVADFSPAVSPSGEWTAVASPGEAGWAGEVEDLRTDIYVFRTADGSRRTLVVPDGGWPCWADEGTLFFHRRDSDGWYGVYRAEIDGLSAAAGVVERITPPGFHAFTPAASAGAPGIVAVATRRPGSKYRHIEVIDLSGGGGENAAYFEVTRSMAPHVHHFNPFISPDGARLGYHRCRGSGNGDSPLLLESLKSPGPDTVSLFRIDGSYPSFSPDGKRIAFVGLPGLYVVNSDGSGPRRKIFSGNAFPTSWDWKREGVIYTSIGPDFASESTEVDVVAVSLGDGGGSQVSIKKLTLGGKNNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIRRLTDGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFAIYLIHPNGTGLRRLVHSADRGRTNHPWFSPDSKSIVFTSDLAAVSAEPISNPHHYQPYGEIFTINIDGSGLQRLTHNSFEDGTPSWTPYFLEPRDVGETLQASGKCAFNDCHWLDIDAQSADDGLRYAHGNKIGC* >Brasy2G298200.1.p pacid=40071232 transcript=Brasy2G298200.1 locus=Brasy2G298200 ID=Brasy2G298200.1.v1.1 annot-version=v1.1 MKLIAWNCRGLGNRPAVHGLLNLQKKEDPDILFLSETKLDGKRMEKFRDCEGRSGGVALFWRRDIDVSLRWMGRNHIDVEVRENDGFLWRLTGIYGHPQKKEKTWRLLQTLRNQASFPWVCLGDFNEILFGYEKQGGIPRPQACMDLFRETLVDCELDDLDFDGDIFTWRNNNFRVDGYIRQRLDRAVENDAWRQRFPGYRVCNIDPEHSDHRHIVLSLTGSVCRRCRRGRDAVQQVKRFEAKWLIEEDCEHIVKNAWEVAKLKWGQKAADLLRSVSAELQTWDRDVKDQLEECRRDNITDRSVRREQVLRFKLDRLEEQNDLFWRQRAHVQWLEKGDRNTNFFHASASERKKHNTTIERLKDDGACLPPWQVWSSTAHLITLI* >Brasy2G041100.1.p pacid=40071233 transcript=Brasy2G041100.1 locus=Brasy2G041100 ID=Brasy2G041100.1.v1.1 annot-version=v1.1 MAHRGGYAWALAAGLNAALAAISAKFFAPTLMKYVLVVLFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFQEPLPSKWFAGASLIILGVFILSKSSIETKQSSD* >Brasy2G041100.2.p pacid=40071234 transcript=Brasy2G041100.2 locus=Brasy2G041100 ID=Brasy2G041100.2.v1.1 annot-version=v1.1 MAHRGGYAWALAAGLNAALAAISAKFFAPTLMKYVLVVLFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFQEPLPSKWFAGASLIILGVFILSKSSIETKQSSD* >Brasy2G046200.1.p pacid=40071235 transcript=Brasy2G046200.1 locus=Brasy2G046200 ID=Brasy2G046200.1.v1.1 annot-version=v1.1 MQGCEQRRYARFGWAVPFQIRHPLLCRPEIIPRKPYPAWGANPAIVRHGGARCRHLPALPAASVRRHAGEHEQRGGGGGGGVGGGGGAGADAGRSWRPDGDQPGGLRRGRKFEFDPASSADARRRRDLRWERRWVYSGWRDRGNREGNGGLAAPAEDPLMQFGGEQLMRSGGVHDLPGSMQEPLMSGADLSEFVSGEVVINSLLPGTNQAAQQNQPASNSSGLAQVTAGPQEMMSQVSAMESPVPAAADNETAEVAQMVPVAVERGDEVSPAWSEEENQLLLQGLSRFADQDNFTKFCNIALGLPKKDVIDVSYRVRWLSDLEKMKKAAKLAEVGPENPASRNITKGKGTEGASKENNKYPLSIEAPDSKSAEDVMEENDRLLDQIEKMLKTGQVKDRADYFYYVKTSMDAL* >Brasy2G273600.1.p pacid=40071236 transcript=Brasy2G273600.1 locus=Brasy2G273600 ID=Brasy2G273600.1.v1.1 annot-version=v1.1 MGRLKICNLICSRKCFHHAPPPPRPRRLYLEHRLDFAKPLVTSTGPPALRSSPAAQLLRLPPSAKTARRLRPPLAWPLSPFDLSRRRARVKLPRAPRRASKCQIGLHLLRVRRGLPRQLHCPCSSSIRPANSATQNDHHAPRSQIHFEP* >Brasy2G109500.1.p pacid=40071237 transcript=Brasy2G109500.1 locus=Brasy2G109500 ID=Brasy2G109500.1.v1.1 annot-version=v1.1 MGSKKASPPPSGAASASRAAGLNEVSSDVGASTGNGTAQVGAVPKPPDVPPFLTKVYDMVSDPATDKVISWTEAGSSFVISDSHAFERDLLRRHFKHSNFSSFIRQLNTYGFRKVDPDRWEWANEGFLRGQKHLLKTIKRKKRSPQEAGSELEQAPVKTPPGTENIEIGKYGGLVKEVETLKRDKALLMQQLVDLRHYQQSSNLEVQNLVQRLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQRRSNWWNADGNKKRRFPALEQGPVTGQETSGGGTEIIQYLPPVPETSGQGIPDEAFCSATAQPTSSPPLDMPMDIDTQTPSDNLDTVGSFGDFFTDTPADWDIELFFDDDGEPIIAPLENNGQVDPPLSVQDYDFPQSEQDCQMKAQT* >Brasy2G071100.1.p pacid=40071238 transcript=Brasy2G071100.1 locus=Brasy2G071100 ID=Brasy2G071100.1.v1.1 annot-version=v1.1 MASRAMAVLLVAAVVLAQCCNAIVAARLLEADRAAAGGGGGGWRPLIMQVLKGGSAAGGTNPCEQNPVHPPPAGSCVP* >Brasy2G247400.1.p pacid=40071239 transcript=Brasy2G247400.1 locus=Brasy2G247400 ID=Brasy2G247400.1.v1.1 annot-version=v1.1 MAGDEAAVAPRKGGTGTPAAQAPAPAAAGEAQAGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSSASSAAAASSRLSLNLPGPDQQHQQQQADQQQAAAVRMGGGFHGVVDFHPGISMLPAGPGGLQLHSSSPTSAVVSHGQYVPFGEWPAPGDVTNNSNNVSHGMSGGGGGSIASSIESLSYINQDLHWKLQQQRVATMFLGPPIAADAQAHHHHHQFGAGAAFLQMETTTAVPAAATSWFMDSSSSYAPAPLQPNSSSSPCRPPAAAAAASCSNINSGRSSGGGGGGDVDNATSNNNNCGGSDNIPSWGDISTFAMLP* >Brasy2G164000.1.p pacid=40071240 transcript=Brasy2G164000.1 locus=Brasy2G164000 ID=Brasy2G164000.1.v1.1 annot-version=v1.1 MGSSEAEQSLVDRGHAAPLAADLEERSVLEEKLCCTRLELQKTLAVSADADETICRLAAAARRAAQERDEARNHRNALLQLQAARRNVNAGQKMMAGSAPGPSAHPRARPIARPNALFGPAAAGSYGRGPFGGGTTIRAQQQQYYARAGGYYCFASSSSSSSYHGGFSGLGYRQGCSVRIGTTGEQPAAAAAGSFHVDLGSSSSQQLDSFDPDMFLVDAAAESPRDVVVVAPDVGSGGAEDQELVGENSGGELGLVAAQMLRMRKGKAATQAVRERKVGPVAREDVAGAGEIEVRSSSVAEESCDGAGAGAGACRG* >Brasy2G434900.1.p pacid=40071241 transcript=Brasy2G434900.1 locus=Brasy2G434900 ID=Brasy2G434900.1.v1.1 annot-version=v1.1 MASSKAFTNSLLLYNKLLIRRLKSLIIRTPPPPPPPSSAEISRQHPPPPPPPPNLLQPEPETTPAATTTVVCSVESGLLMSPSTFPYFMLVALEAGGLLRGLLLLLLYPLLRLLPETLATRSMVMVSFAGVRKDAFRLAGRAVMPKLFLDDVSAEVFQQITAGGRNKRRRVVCVSGMPRAMVEPFLKEYLGVDEVVAPELREMGGYYLGVLEEEKEVRDLNLEEVIWGKKGGPGQGVIGIGGGVEFSYGRHIFHKYCKEVYAPPESTRRRRGALHPRLYPKPLIFHDGRTAFRPTPAATLAMFLWLPLGGPLAVLRTAVFLLLPFSLSVPFLAALGMHNRMIPSNSSYSSSPSPAPTSPSSSPPPAAPEKKKRNLFACNHRSLLDPLCVSAAAGRADLAAATYSISRLSELLSPIPTFRLTRDRAADRAAMQAQLSASSGRPGGLVVCPEGTTCREPYVLRFSPLFAELEGDVVPVALHLAVDMFHGTTAGGRKILDPLYLLMNPVPSYLVQFLDPVQCTKDDNDDGVDARHVANEVQRRVAEALGYERTGLTRKDKYLILAGNDGVVGGKEKKN* >Brasy2G433400.1.p pacid=40071242 transcript=Brasy2G433400.1 locus=Brasy2G433400 ID=Brasy2G433400.1.v1.1 annot-version=v1.1 MTDLPGGVCGGRRQVQAQQGDDLVWKNWGKMRKKKSTGLADVGGHGGSEVKNAAKGGAAGEGVGADVVDDAKPRVRAGLGADFDCLQRGVGEEVSETYASCALPRPRRARGRRPARACRAAPRSGPSRRHRSRRRRLRSAQFQPCPRHSPPASGPVVVVEAAGAVPPRLAAIPAAPAPLASHDTPAPPEPR* >Brasy2G075900.1.p pacid=40071243 transcript=Brasy2G075900.1 locus=Brasy2G075900 ID=Brasy2G075900.1.v1.1 annot-version=v1.1 MARSRPRVWLVAGCAAVLVWASVAQLLAVGRLLAIFGLAGGAPGPSQPPSPLPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVWSEDSSTILEMQPVSWSDEKYYLHQILPLFSKYKVIHFNKTDARLANNGIGTELQLVRCRVNFHALKFTPQIEALGNKLVQKLRDKGAFVALHLRYEMDMLAFSGCNHGLNPEEAEELKRMRYAYPWWREKEIDSRGKRSEGLCPLTPEETSLVLKALGFEKDTLIYIAAGEIYGGEKRLEPLHAAFPKLVRKDMLLDSEALRQFQNHSSQMAALDFIVSTASDVFLPTYDGNMAKLVEGHRRFLGFRRSVLPDRRKLVELIDLYNSNTISWDNFTSSVQEVHRDRVAQQSCRQKLENKPKEEDYFYANPHECLANSSLCSGTKDAVTAR* >Brasy2G391700.1.p pacid=40071244 transcript=Brasy2G391700.1 locus=Brasy2G391700 ID=Brasy2G391700.1.v1.1 annot-version=v1.1 MLAFLALFVAWLALYFGRADDDPVVCLRREVDDRIVLALLAAATVLAVALTRAGLNLLASLVLASAVIGLHAAFRMNVYLDERDAFDGAVSSFTGSTYGYSTLPR* >Brasy2G400400.1.p pacid=40071245 transcript=Brasy2G400400.1 locus=Brasy2G400400 ID=Brasy2G400400.1.v1.1 annot-version=v1.1 MTVRAGWVVAVARASAEGWQRVACNPETLPPDRVLALLCCGPLHLLARLAAFLCVPFIPAHASPLRFANPRHRQRLLLLPPPDLMLARHSPSSFSSSSSSSSSDEDDDDDYVDIEDGDHINPHED* >Brasy2G340000.1.p pacid=40071246 transcript=Brasy2G340000.1 locus=Brasy2G340000 ID=Brasy2G340000.1.v1.1 annot-version=v1.1 MPHRRKSGTRTKPVNRAAGDLAAPARGWTSLFNGGRRRPALPLPVHRSVEQSAAWKICEIPIGCVILEEIMQYTLSYLHIIQKSLRYWNSRARGSNSQHIYFMIFERGPRAFFEATCQSLTRLTGSGGPSQSLLDSAEDIISTNIDVLESMERCLAAFLAELYSKSDICKEGLTGSRDESLHALFIVLNHVFIKLEEEQALLFTHSGNPSELRFGRLPEVGTESPQWTETLSTYGLRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWFLRHSSLMGSSDLDSWIGHAKESLAGFWNEHAEKPIRSVGDEPSESFKRTARSLMEKKEARQTEESLQRILHSFCEQTSKEKSGEAPMDSQSHEKEWMHRIRNLFGAMRFKNQKKDPDILHSILELDQVVKANILDLQEAILGFSPVLEAIKLNFALLALGIPLLLLLSARARAVHVQHAERRKRIAWRIIVAEQRLLEFQQSNVRGKECEARWKFGLTLYSLDRLYQAVELHANGTDAWSTRLKEYIFYLAKPGIEKDDQRDVRKFRWSSGEPELPPYLRTTSATLLTRAWFPEVGAELGRNFR* >Brasy2G230400.1.p pacid=40071247 transcript=Brasy2G230400.1 locus=Brasy2G230400 ID=Brasy2G230400.1.v1.1 annot-version=v1.1 MSFFGRGSRNHKTFRPKKSAPAGDKGMKLKRHIDVTLGSGNLREAVCLPVGEDLDEWLAVNTVDFFNQVNILYGTLTEFCTPDTCPTMSAGPMYEYRWADGVKIKRPLKVSAPMYVDYLMDWIENQLDDESVFPQLLGAPFPPNFRDVIKTIFKRLFRVYAHMYHSHFQMILKLKVEAHLNTCFKHFVLFTREFGLIDRAELAPLREQVEPILLGQ* >Brasy2G037100.1.p pacid=40071248 transcript=Brasy2G037100.1 locus=Brasy2G037100 ID=Brasy2G037100.1.v1.1 annot-version=v1.1 MSGDAAVESSPRRQVLVAGAAAAAAFVSQRPNPAAFAAEYLPVIDRKAGYSFVYPFGWQEVAVQGQDKVYKDVIEPLESVSINMIQTTKEDIRDLGPPDQVAEALIRKVLAPPTQKTKLIEAKENDIDGRAYYTFEFTAQAPNFTRHALGTITIANGKFYTLATGANERRWDKMKERLHTIVDSFKIETKV* >Brasy2G270800.1.p pacid=40071249 transcript=Brasy2G270800.1 locus=Brasy2G270800 ID=Brasy2G270800.1.v1.1 annot-version=v1.1 MAVVLAWLNARVVDPMMLVIQRGAEPKQLAFSAALGVTLGIFPICGTTVILCGIAIAVLGSRCNAVTVMVVNFAATPLELSLIIPFLRLGEVMTGSEHFPLTSDAFKKVITGHASKEVLLSIVRAILGWVIAAPLVLAGLYTMLIPCFKFLVNKFGIIPSSPRTPSKVVSLSPNESESGLGQLLIHGD* >Brasy2G270800.2.p pacid=40071250 transcript=Brasy2G270800.2 locus=Brasy2G270800 ID=Brasy2G270800.2.v1.1 annot-version=v1.1 MAVVLAWLNARVVDPMMLVIQRGAEPKQLAFSAALGVTLGIFPICGTTVILCGIAIAVLGSRCNAVTVMVVNFAATPLELSLIIPFLRLGEVMTGSEHFPLTSDAFKKVITGHASKEVLLSIVRAILGWVIAAPLVLAGLYTMLIPCFKFLVNKFGIIPSSPRTPSKVVSLSPNESESGLGQLLIHGD* >Brasy2G487600.1.p pacid=40071251 transcript=Brasy2G487600.1 locus=Brasy2G487600 ID=Brasy2G487600.1.v1.1 annot-version=v1.1 MAEKLRDLSQPIDVPVLDATVAAFYGTGSKEERNAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPTEQRDGMKNYVSDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQLKIKELKSSLNSEFRLVHELCLYVLSATQSSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMMQLQAILPPGPATIPDAYANGSNEEQAFIQNLALFFTAFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPATAAVSMMGLQAQMIPGVIDGAVTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTILDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQAGQSIDVLKNQDVIRSVLNILQTNTSVANSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPYASKSSFVKLLRSIKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASAFQNQFYKTYFLNIEQEIFAVLTDSFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTVKLLGASFPNIAPAEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLAIPGLIAPSELQDEMLDS* >Brasy2G487600.2.p pacid=40071252 transcript=Brasy2G487600.2 locus=Brasy2G487600 ID=Brasy2G487600.2.v1.1 annot-version=v1.1 MAEKLRDLSQPIDVPVLDATVAAFYGTGSKEERNAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPTEQRDGMKNYVSDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQLKIKELKSSLNSEFRLVHELCLYVLSATQSSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMMQLQAILPPGPATIPDAYANGSNEEQAFIQNLALFFTAFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPATAAAQMIPGVIDGAVTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTILDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQAGQSIDVLKNQDVIRSVLNILQTNTSVANSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPYASKSSFVKLLRSIKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASAFQNQFYKTYFLNIEQEIFAVLTDSFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTVKLLGASFPNIAPAEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLAIPGLIAPSELQDEMLDS* >Brasy2G003400.1.p pacid=40071253 transcript=Brasy2G003400.1 locus=Brasy2G003400 ID=Brasy2G003400.1.v1.1 annot-version=v1.1 MLEEEEACSFDDDDAILEDDFKKGTWPRRFRYHQLELATDNFSDKNKLGEGGFGSVYRGFFEDVNLEVAIKRVSKGSKQGRKEYTSEVKIISRLRHWNLVQLIGWCHGGGELLLLYDLMPNGSLDTHLYGSNNTLSWPLRHEIVLGLGPALVYLHHEWEQCVLHRDIKPSNIMLDVSFGARLGDFGLARLVDHERGPYTTGVAGTMGYLDPECVVTGRTSAESDVYSFGVVVLNIACGRRPAVLPEQSEAVIPLAQWVWDLWGSGKTLDVADARLNMEFSDQEMERVVAVGLWCVHPDRSQRPSIKQALSVLRSEAPLPSLPAKMPVANFKPALDSFVSASQLTGGR* >Brasy2G401400.1.p pacid=40071254 transcript=Brasy2G401400.1 locus=Brasy2G401400 ID=Brasy2G401400.1.v1.1 annot-version=v1.1 MLFTESVSSGEQRQAARAKKLKGPSSSGIRSNPPRQAAAPKLPTRKKKTASRTKASSSQAAPDVEVELEPVPNTDFKGLPRKKWIEERRINPYNKPKTASDPRFWTIAQEMYWNQIFESFKSKLVEQKAIDFDFIEDNAEFEGIIEVCEILDVYKLMDLQQDFCPDLVRQFYCTVHFHDDEERSMSWMCGHELIRNMPLSVLAQAIGYVYCSYRDYRGVRIFDQEPLDMNAIMGFCHPPRTKNIGFTSTMYPFYDVMNKLFRNSIDPKVGDLTAVRGRLINLMKYVEPGKEEKIDVIDYIYKGMQAIVYDKRSPSYAPVVQAFINKCASTNVMDNNYVVRHSRVKPQTPSQTFVEPLPHGPTATAEWEEARASGDRPHGKGIAPPEPSVPKPKKKRNATYRAIRAMFKYVRAIHKRVFKSSQRSKRALSLINAERRKNGEKIPIGSEDEDSEEEVVEYPFSSGESEDEATTSAPPAPHVVDSEEEDEEEEE* >Brasy2G496100.1.p pacid=40071255 transcript=Brasy2G496100.1 locus=Brasy2G496100 ID=Brasy2G496100.1.v1.1 annot-version=v1.1 MRNQHSDPSLSPKVTSAAADCDGKGNRQCNPVSGVDTGVPAPKRSNQPESSPSNANGEAPSLSRKKSKLMVGKGQLKQETSFYSGESDSQDGISCFVSRNDVLLADQDICTLKQKKNYDGVSHLSASQADRIAHRAGDASAAAGKEKDMLMEDKVHSTVLSVHCFGDVNINKGDGVEPANEVDLDTDVEPIGGAQGSFMDIDIEPMGGAQGSCITKHEHAFVADNCHDVNMCMDKYNPLASQNCLMSEESALTQEMKPNIPSLAMEIKDPLDHREKPATPDTLELNMCDVSEENMRYLFTFRPAADYVEDFSPYDEQELTNMHRRLALSRIKDYKLLSTGEKLDIAEVAAQYPPDVLQTQGYFEHYENSLEWYFHPAYTGIAGLDDYQRLVLRDDGSYLYWDSYCNYCYTYERDQQYVQYCEEMMDKIKWIEDKVGVEEQLWWRYDTVAFNEAMKIAIKFPTLFRHSALGAYSEYKLIIEHRFKSRMDFDVVFLEIWKRVAKNNEDFYDALKEMYEKDMFPSRMNFIKMEIEKLYDGWMKRDYDTYVARIDKEVSEDDCLFLIREALKKIFPELKGYLHYVRKKMEIAERIGLAGYQKREDMASAEAKAEIAN* >Brasy2G099500.1.p pacid=40071256 transcript=Brasy2G099500.1 locus=Brasy2G099500 ID=Brasy2G099500.1.v1.1 annot-version=v1.1 MPEPEPEASFPPLPPGDYDPDYRFFLENIRPAGNTFIFRDPETGAELMYEAPTQEEADRRQRKFEEDRAAFFEKKKLEEKKKKVAGSSSGEPSLVEEDEEKKANRPRKKKKKKASGNEDGAGSGKKVKVEEEEKLPNELAVETLDTELPKEPAAETLNTDAVASETNPNSSNGVDGEPHVAPGLHVWPTHIMLRPESDFKNRLMHVLGKPFSQEEYDKLVGCATIRNPAMKERWTRKGVKFYPSAHEVNKSYFDSYPDLEEQVKSTSYPNQLALLRGFFFWLQNSSHEDQFRPWRDDFLQYNVVPI* >Brasy2G369900.1.p pacid=40071257 transcript=Brasy2G369900.1 locus=Brasy2G369900 ID=Brasy2G369900.1.v1.1 annot-version=v1.1 MRSMDYDISKKPRDQQHKRWRSRALVLLLVLATNTASVLLFSGGSSAVGVCLGEHDWTVRIGSSGKLSFRDLNIMEYALAASHAELMHLHGHLDKANTLVEALLGNRANASNMAATKVEQKQAPTEGFWQRELTGELKLAVGPHKLPFGFTRNLGSDELFPAMGQACHRFQDELEQYMNYKPLGECPSDEWSAQQLMLKGCEPLPRRRCRPRSPVGYVEPTPLPASLWTIPPDTSILWDAYTCKNYSCLVNRGKTKGHYDCKDCFDLLGGREKDRWLHDDGALDYSIDAVLATRPNGTVRIGLDIGGGSGTFAARMREREVTVVTTSMNFDGPFNSFIASRGLVPIYLSIGHRLPFFDGTLDIVHSMHVLSNWIPDMILEFALFDIYRVLRPGGLFWLDHFFCLGNQMNTTYVPMFNRVGFNKVWWNAGRKLDRGIELDEWYLSALLEKPRT* >Brasy2G168100.1.p pacid=40071258 transcript=Brasy2G168100.1 locus=Brasy2G168100 ID=Brasy2G168100.1.v1.1 annot-version=v1.1 MAEPATQCRGNAVGGEGGGGMRTVECLRGRLLAERVASKAAKEEADQLAARLDELEKKLSDEVKIRNKAERRLSRAIKRLESLKILDVELSESSTGSLSSNGGCSSGQRAPEMEERNEPGSLSTVDSGLRGGEEKGWDGSSAGSCTQVNSSQDGSWFSVVSEQSGSGLCKGERRVDPDGAKNGDSAGGVDDGSEREEQEERPAASSGSSSKSEGGSYRGEADDRLALVLVGDPHHEGGSATEGNTDRSKEGEEEEKNKKLAIVLADPQPRRDAESGSGGNDVQSVLLALQRVKEQLRYTIQRRSEAEGLVAHRELYGH* >Brasy2G180300.1.p pacid=40071259 transcript=Brasy2G180300.1 locus=Brasy2G180300 ID=Brasy2G180300.1.v1.1 annot-version=v1.1 MAPAPAPIRDLLTSFSPAADFLALSSGDGRIKVWDAVRGSLQTEFADIPAVEVGAVPETKRGHLALDYTCMKWVQLSGKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRINDCHPGGVTAVAYSRHGRSVYSAGADGMVCRIDSSDGSVAGKFKSSSKAISALAVSPDGNILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMTFSDNGKYILSSGVGERYVAIWKLGSGKAQSSNCILSMEHPAIFVDCKCSDTNATDGEIHVLAISEVGICYFWSGNSMNDLRNKKPTKIALSESSLSRDKQRFTIFAAKLQGIDGPDSAHVLLAYGSVVKPSFDKLLVSYGKDIDLVVSEDGVLLPTIQPTVPQKGQSAKTRGTITALDRANAEDAILPLPKLHTHEKKRKHCTEPSGDTKPVILSDLGTTTRTEKRVPVQRIEDDSICIEDMMRECGVIDQNIQGHPDMPTKILSDLFGSSSMTVEANLPSKKIRSHLRSLRPEDACKLLENLVSAWRTRSGSAEIVLRWIYCLLVIHGRLIPSEKSTKLISNLEKMCAERYAATEDLLKLSGRLRLIMAQVDKDAKDVSDKVRGSAPAQSDEEEDEEIDELVYGEDVDVSENSGDDAE* >Brasy2G178900.1.p pacid=40071260 transcript=Brasy2G178900.1 locus=Brasy2G178900 ID=Brasy2G178900.1.v1.1 annot-version=v1.1 MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDKKFEPPAAPSRVGRKQRRQKGPDVAARLPPVAPLSKCRLRLLKLDRVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVSILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM* >Brasy2G373500.1.p pacid=40071261 transcript=Brasy2G373500.1 locus=Brasy2G373500 ID=Brasy2G373500.1.v1.1 annot-version=v1.1 MASRPLPLPPPPPLPPPHPPTSPPGSTPAPPLAHAAANRPNPAPRPHARPSTTFPPTNRISQLPPSAFRHPAVFPPPRPGRRHFQHNADGPPARHLEKRSAGRQSKARPLLPLDGNPLLPPIEEFFCALGVGDGMGRDYEDQIWRSLRVHVSPRPGEPAFRLLASVPAAPFTVNERLMSALLQACLGGSAGQFQVQQVTFGSACHGSEPGSGGDTLASYPPWRHCFVSPWESSSVVA* >Brasy2G079000.1.p pacid=40071262 transcript=Brasy2G079000.1 locus=Brasy2G079000 ID=Brasy2G079000.1.v1.1 annot-version=v1.1 MCGGAILAELIPPPARVGGGASKPVAAGQVWPVSSKEGGANKTRHGSVVDDFEADFEGFHDDFTAILASKPAFSPAYDGDGRAREAQAVASRKKRVRGIHGVRQRPWGKWAAEIRDPHKGARVWLGTYDTADDAARAYDVAARRFRGSNAKVNFPAAPGRARPRRGTAPKPRRPTTAQTACHSATTTAAAAARAQEIEQELMPMPYFDVDAFLDLAASVAELPPVITGSPFADSAGGAATRGFADEQEFDPFMLCELPWSESDTCESIAGHAVQDAGGVNTGMDSVSLWSFDEFPMDAAAF* >Brasy2G319300.1.p pacid=40071263 transcript=Brasy2G319300.1 locus=Brasy2G319300 ID=Brasy2G319300.1.v1.1 annot-version=v1.1 MLCRHGRLPSRPRPCTVPCGRRRRHLLDHPVISLHLLLKTLNRSPRRLESTKHLQGLFLFWLPDLIRPSVPIGFIDPD* >Brasy2G171500.1.p pacid=40071264 transcript=Brasy2G171500.1 locus=Brasy2G171500 ID=Brasy2G171500.1.v1.1 annot-version=v1.1 MSGESKSPIAHSPVPLLCRSPNPAHARTAAMHPHATGVEKAATPYGSWESPISAAAVSAAGKAVEGLAVAGDGRLLWVETRPEEGGRAVLVKEGEEANGGNFDVTPQEFAVRSLAQEYGGGAFAAQGDVVVFSNYSDQRLYKQTIGDNSPLPMTPDYGGSLVRYADGVFDPHFSRYVTIMEDHRQGSSNPIITIAAVSIGAGNVNEPTVLVSGNDFYAFPRIDPTGKRMAWIEWSDPNMSWDKAQLWVGYFSGKGEVQKRVCVAGGDPTLVESPTEPKWSSKGELFFITDRHSGFWNIYKWDEQTNVVVQAYSLDAEFSKPMWIFGVSSYDFLVSDDMSQKIVCCYRQKGKSYVGLLDHELGSFSKLDLPFSAVTNILSGDGSFYVEGASASLPVSIAKVTLNEKRTMATDFSIIWSSSEDVTKYTSYFSLPEFMEYPTVIPGQHAYAYFYAPYNHVFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWALIDVNYGGSAGYGREYRERLLGQWGIVDVNDCCSCATFLVETGRVDRQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGISDLASLRKGMHKFEAHYIDNLVGNKPAYFERSPINFVERFTCPVILFQGLEDPVVSPDQATIIYRAIKDKGLPVALVEYEGEQHGFRKAQNIKFTLEQQMMFFARLVGHFKVADDITPIKIDNFD* >Brasy2G171500.2.p pacid=40071265 transcript=Brasy2G171500.2 locus=Brasy2G171500 ID=Brasy2G171500.2.v1.1 annot-version=v1.1 MGRDASRGRREGEEANGGNFDVTPQEFAVRSLAQEYGGGAFAAQGDVVVFSNYSDQRLYKQTIGDNSPLPMTPDYGGSLVRYADGVFDPHFSRYVTIMEDHRQGSSNPIITIAAVSIGAGNVNEPTVLVSGNDFYAFPRIDPTGKRMAWIEWSDPNMSWDKAQLWVGYFSGKGEVQKRVCVAGGDPTLVESPTEPKWSSKGELFFITDRHSGFWNIYKWDEQTNVVVQAYSLDAEFSKPMWIFGVSSYDFLVSDDMSQKIVCCYRQKGKSYVGLLDHELGSFSKLDLPFSAVTNILSGDGSFYVEGASASLPVSIAKVTLNEKRTMATDFSIIWSSSEDVTKYTSYFSLPEFMEYPTVIPGQHAYAYFYAPYNHVFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWALIDVNYGGSAGYGREYRERLLGQWGIVDVNDCCSCATFLVETGRVDRQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGISDLASLRKGMHKFEAHYIDNLVGNKPAYFERSPINFVERFTCPVILFQGLEDPVVSPDQATIIYRAIKDKGLPVALVEYEGEQHGFRKAQNIKFTLEQQMMFFARLVGHFKVADDITPIKIDNFD* >Brasy2G377000.1.p pacid=40071266 transcript=Brasy2G377000.1 locus=Brasy2G377000 ID=Brasy2G377000.1.v1.1 annot-version=v1.1 RAGRRRRLVRISGCAEATDCEQGGGGGSEGQADGAGWKGGGGWEAGRVAYSEADGAGGSEGQADVACWKGVDGGWKGQADGGGGGRAEKPQSNCRSLEAAAVAPGRLGSGRHRHEHQVGADCDGGGDVARAAQRTAACCCSPGSCTHSPEVAQIS* >Brasy2G328200.1.p pacid=40071267 transcript=Brasy2G328200.1 locus=Brasy2G328200 ID=Brasy2G328200.1.v1.1 annot-version=v1.1 MAPTMKLSLTFGLLLSGLVLLGETGGATAACAVQCFQGGYITCKNYPGKQLDGCDCECAPADGKGCVLHQDTGDSYNCRAPN* >Brasy2G219100.1.p pacid=40071268 transcript=Brasy2G219100.1 locus=Brasy2G219100 ID=Brasy2G219100.1.v1.1 annot-version=v1.1 MEILSPSPPPSPAASQFLYGGGPLHAGLRRDRDHALLHIAVGRSPEKTLQLLRWAFRRFACARVVLLHVHQPSHAIPTLLGKIPAAQATEGLVLSHRMSEKDEMNKILLTYLTFCRRVQVQASLLVTESDQIHDGIVTLVRDHGVTKLVMGSIPDNCFKLKPSHNKEYFMAKNAPAFCEIWFVWRGRHIWTREASAAIDNSISVYNQDDIMMTVRRTRFSSNSNDAGSMLDEGYNTCGTSTVADLHEVTVCNNGRLRDYGALGADAKCFDNMNISKLQDAESAFNSPPGLIPLYMG* >Brasy2G234400.1.p pacid=40071269 transcript=Brasy2G234400.1 locus=Brasy2G234400 ID=Brasy2G234400.1.v1.1 annot-version=v1.1 MAAGPVGGAGSGGGRCCGRRIRGRPGLRSPDPAAAGGARGREGGGGACCRRRRAGLGHLLLPWLRPRARVPAAAAGGQKGDREGTLHHAPPLASTGADLSGEPPTRPLCLRKHRRSAPPSPAPHRRNPDHLNNCARTFFPCSGGVPPPGALWSHPEFVGALAPSHPRHQPVAGRFAPPSLPSSDSTKHSNGSTVSVRPFPVFPWPKLVPPSVPAGRHPHSPANPG* >Brasy2G245900.1.p pacid=40071270 transcript=Brasy2G245900.1 locus=Brasy2G245900 ID=Brasy2G245900.1.v1.1 annot-version=v1.1 MASRLLAAAASSSSSSASPLARLIARRRLAADHHGSTKVNMWQEPLNPGNWKEEHFVLSSLAMWGGIFYGGAKLFGGKKEDKTEVAPAQAH* >Brasy2G452700.1.p pacid=40071271 transcript=Brasy2G452700.1 locus=Brasy2G452700 ID=Brasy2G452700.1.v1.1 annot-version=v1.1 MPSGYSFPTMSPAQIAEALTQYGISPLANLRPEDIAKPQPDLLSAVLSRFIASFVDSPGDDGEDAQLGFKELEALDNPEHHAEGIRAIRLYNKSRAFLDSILVKDFTLADLLHPRPDRVVQLLSALVNFLYYREDKLGLLQPIADQAAHYHERSMELKDRIAQLQKEIGDHELAEQMDEPLVQQLEAEVNGLQLKTQAYNKQQLALRGKAKTITDKREDILSKITQADFELTKHAQENAKLLSKVVQSPQKIQRALEEKKSARAQLKNSEKMAMQNVQEKTATLDICNKAFEKLSKQFSKIQDLQEQVSAAKTVEKEVKALKAKLNDESASIMSLDAKIVEWQGKVHEAEERLKAKFKERNQIVADENQKLVALRSEIECKLQCLEPREREVEAKIEKASCLCAETDSVRTAAAAEQQKIRAKFDDVLQAFKYYTDSMNPFLERLEEVGKETPQRQLAGEGTSDSGPSAVATKTTPRGNATSKKSRVRKRT* >Brasy2G112600.1.p pacid=40071272 transcript=Brasy2G112600.1 locus=Brasy2G112600 ID=Brasy2G112600.1.v1.1 annot-version=v1.1 MAGSTWVMLEKKGSRPGTRSSHAITVVGGTAYSFGGELIPRVPVGSTMYAFDLKTAATPPSAADREKWGREMGYGGGALCSFPFSGSGLGACGLPAVPSPAARHACSASVRQGEETRCSLFMLLVQFGRSTRAGTGRSRGLAVMVMITWRPSFPGVFAFTGVAILQIAFRGWTSCKSRCRGGGTSCIFRSLCWPSCRSRSRGPLQAVTFAFATVRRFPWRQVPAYMLAQMLGATLAGATLRLVFGGHHERFPGTPPAGSEVQSLVLEFIVTFYLMFAISGVATDNRALIPNNLKVSRTQHYVTYHYQLQYLFWRKASAFDGICRNLKVSRT* >Brasy2G157800.1.p pacid=40071273 transcript=Brasy2G157800.1 locus=Brasy2G157800 ID=Brasy2G157800.1.v1.1 annot-version=v1.1 MDRGGGGREMAREEEEAEAASTNQKTESFKGCCSSSSGKNLYPIDSPIKKRKSQYELSDTRFSSLKYKFQNRLTCQEDESARTESLGCGDIFIDKNCDMDMVNIVEELDSCENTQSLLGGCIEVDSINGIESQSMRKMFKVRASASSSSSNNISSASFSSFRRSGTKDTDSWVRPHLEHDRSGTMLQPYDDDIERIYDVMNELASGGVDGFSDRITNERLYSNGVEDFLILPAGKTGCHGGKKKLTIDQEFEQYFSKLML* >Brasy2G157400.1.p pacid=40071274 transcript=Brasy2G157400.1 locus=Brasy2G157400 ID=Brasy2G157400.1.v1.1 annot-version=v1.1 MASSPMSYWCYHCSRFVRVSPATVVCPDCDGGFLEQFPQPPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYEDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGGGGAHCAVCQEAFEPGAAAREMPCKHVYHQDCILPWLSLRNSCPICRSELPAAAVPEAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGEGQVDGGEGRIRRVFRNLFGCFGRGSRPESSSQSRSG* >Brasy2G218700.1.p pacid=40071275 transcript=Brasy2G218700.1 locus=Brasy2G218700 ID=Brasy2G218700.1.v1.1 annot-version=v1.1 MADCAAEAKTSVWWDIDWCAVPTGCCDPHRIAHNVIAALAAAGRKGPVSFFAYGDASRIAPGVLEALSATGIPLNHVSAVMRFPQYFAGAKDGVDKKMLVDMVFWAYDNPPPGNYLLISGDRDFSDLLHRLMMKKYEILLAQPQNASSRALVTAAKTVWLWESLAAGKLVLAESPHSHSVPDCNRNSNNVDVSNCSHSKAHAVHAKSDCNVKAGVKPLQKYVKKSNITSSFATNQGQLESVVGVSKDSTGSTISELERSSVSSSSSSESIDGAKVDQSSLLGTPTLSQLSAQKPEVSAHLHPMEISRGFNRGEEPIRSTKCAPRNGTRGVSNVYYHQMYQQSKYDSNGKGGNECKVKPLQKYVKKTSITSSSGNQVDSVGAYDCFNETTRNELHLSTALSSSSKSLEGESPPGISTLLQSPAQKPAASHLHQMRESHKSILGKKPSTLTAHTSRDGNNDLGVSIGHHPTYQHQSQSSQAQNKHSNFNVGNNSGKSGNQYKVKQHQQYLKKTNILSSSASNETYLGFSGNSKGSILGHPSRSTSSSPCSESLGGTEANPLSPLTSVGAKPVMPTDLHQVGAEFIFGKKPSTSVECMPKNGTFCFGASDGHYDPTYQLTQSSMLLKQDNPGPHCRSAFGQPCSITTDVDSSVLPSAGNNGFPSSQRKTWSSGSTFQDLADICSGISKLTISECPQGTGDARPLSVAPSVSGHPRGVHEIGSSPHPSPNISFHPNHSNAYPSVQPPPGDNTCRPPTPNLSCNIQNPGNNGETQGSPPNSSKPEGTIRTVLHALDILKTEKMYPTESILQTVYAMEK* >Brasy2G033000.1.p pacid=40071276 transcript=Brasy2G033000.1 locus=Brasy2G033000 ID=Brasy2G033000.1.v1.1 annot-version=v1.1 MTGAEEQGRRLFGVSLTDRPVWQQFLVCSSGFFFGYLVNGICEEYVYNRLKFSYGWYFTFVQGFVYLALIRLQGFTVKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMITGAFIPGLRRKYPFHEYVSAVMLVIGLILFTLADAQTSPNFSMVGVAMVSSALIMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELRTAWNSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPMTEQHVTGLLLITMGIVLRLLPENKEKKGPAALRQAKMAVALPQRRGEEDRQHQQQRHRGDDDVVEEEEEEKSPLV* >Brasy2G033000.2.p pacid=40071277 transcript=Brasy2G033000.2 locus=Brasy2G033000 ID=Brasy2G033000.2.v1.1 annot-version=v1.1 MTGAEEQGRRLFGVSLTDRPVWQQFLVCSSGFFFGYLVNGICEVLPVMITGAFIPGLRRKYPFHEYVSAVMLVIGLILFTLADAQTSPNFSMVGVAMVSSALIMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELRTAWNSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPMTEQHVTGLLLITMGIVLRLLPENKEKKGPAALRQAKMAVALPQRRGEEDRQHQQQRHRGDDDVVEEEEEEKSPLV* >Brasy2G353900.1.p pacid=40071278 transcript=Brasy2G353900.1 locus=Brasy2G353900 ID=Brasy2G353900.1.v1.1 annot-version=v1.1 MLQRSLPNLSLRISPPAAVSSATSLSDPSAKPPQLAEPNAEGSGEVGFFANPSPGSGAEPPGLSLGLGTSSTVPADAGGRHGHHLQGAKGCASFKRAGAAARAGGSKRSVRAPRMRWTTALHAGFMHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTDRSQPIATGEAQLQQQQRTATGIEMAMDAAAAAAGGGRGGGVAAAMLPCDIDMAAGMICSVLPGAAASAPPAATTSSAARFLCASAAAPLAALVPSPPPPIIPTRRTDLAPVVLEKGVVIVDSLHRCQKFSAVLQDTQAAREEVTCRLPMGVHGSVEAISTSPASSSPSLASFELLADDTCAPNLEISLGRHDWGMEQPEELSLKYL* >Brasy2G077000.1.p pacid=40071279 transcript=Brasy2G077000.1 locus=Brasy2G077000 ID=Brasy2G077000.1.v1.1 annot-version=v1.1 MVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHANLVKLIGYCTDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARDLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLRDKRRLYHVMDTKLGGQYPKKGAHAIANLALQCICNDAKMRPQMSEVLEELEQLQESKYNLASPQVDNRRTSNTVPKSPMRVQPSPRRSLGAASPLLAYRSAQVH* >Brasy2G077000.2.p pacid=40071280 transcript=Brasy2G077000.2 locus=Brasy2G077000 ID=Brasy2G077000.2.v1.1 annot-version=v1.1 MAEVNYLGQLHHANLVKLIGYCTDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARDLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLRDKRRLYHVMDTKLGGQYPKKGAHAIANLALQCICNDAKMRPQMSEVLEELEQLQESKYNLASPQVDNRRTSNTVPKSPMRVQPSPRRSLGAASPLLAYRSAQVH* >Brasy2G347200.1.p pacid=40071281 transcript=Brasy2G347200.1 locus=Brasy2G347200 ID=Brasy2G347200.1.v1.1 annot-version=v1.1 MVKICCIGAGYVGGPTMAVIAIKCPAIEVVVVDISKPRIDAWNSDVLPIYEPGLDEVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPEGRKAVQALKEVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSVVWDAYEATKGAHGVCILTEWNEFKTLDYQKIFDNMQKPAFIFDGRNVVDAEKLREIGFIVYSIGKPLDGWLKDMPAVA* >Brasy2G347200.2.p pacid=40071282 transcript=Brasy2G347200.2 locus=Brasy2G347200 ID=Brasy2G347200.2.v1.1 annot-version=v1.1 MVKICCIGAGYVGGPTMAVIAIKCPAIEVVVVDISKPRIDAWNSDVLPIYEPGLDEVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPEGRKAVQALKEVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSVVWDAYEATKGAHGVCILTEWNEFKTLDYQKIFDNMQKPAFIFDGRNVVDAEKLREIGFIVYSIGKPLDGWLKDMPAVA* >Brasy2G143000.1.p pacid=40071283 transcript=Brasy2G143000.1 locus=Brasy2G143000 ID=Brasy2G143000.1.v1.1 annot-version=v1.1 MAWSEAENERFESALATYGPDTAGCWERVAAAVGGGKTADDVRRHFELLTEHVGDIESGRYGYPDNGAAANNGTAAGTNHRGNGRNRANRPQT* >Brasy2G184100.1.p pacid=40071284 transcript=Brasy2G184100.1 locus=Brasy2G184100 ID=Brasy2G184100.1.v1.1 annot-version=v1.1 MARALAPSHVGLVLLGVLLLAALAAAQTPRTPAAPALAPAPDPGCNGIELTYNFQRRAMIRPFVGDKNRQPYSFRANASVLNSGTRPLKSWALLVTFGHDEILVGVDGAVLTGGADLPYNTTENAGNATSFSGYPQTDLLTPIATAGDLAQIQASVGLVGTLFAGPNFVPLPTALSLDDPAYNCPAARNVTAGILTTCCVLTPDAEANATVIDANATDPTKSFLPRGTGDLVITYDVVQAYGSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIHSMKGAHPLVVDTSACINGPAGQYYQSLDFSQVLNCEKKPVILDLPLSRYNDTNMGKIEHCCRNGTILPKSMDEAQSKSAFQMQVFKMPPDTNRTKLFPPANFKISGGSSLNPDYSCGQPVPVSPTAFPNPSGLDSTTLAIATWQVVCNITTAKGAKPKCCVTFSAHYNDSVIPCNTCACGCPANRRGPTCSTSAQSMLLPPEALLVPFDNRTQKAQAWAELKHYNVPRPIPCGDFCGVSINWHVSTDFNKGWSARVTLFNWGDVDMANWFAAMVMDKAYDGFEKAYSFNATAQGNNTIFMQGLEGLNYLVKQTNMSGSQYLVPGKQQSVLSFTKSQTPGINVVAGDGFPSKVFFNGDECAMPQRLPMNSGGFRTHLSSALALILFLAASTFVLLQQ* >Brasy2G442200.1.p pacid=40071285 transcript=Brasy2G442200.1 locus=Brasy2G442200 ID=Brasy2G442200.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFYGCYEQIMHRRESGKAEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREIARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy2G300200.1.p pacid=40071286 transcript=Brasy2G300200.1 locus=Brasy2G300200 ID=Brasy2G300200.1.v1.1 annot-version=v1.1 MRALCRVFRRERERRPSIGNHARRGFGELSLLLQFVKPRSSSSPTPARTPASLPTSDSGHHYSSLPLHEIQEFGNEGYSMGEPSIGEFFDVERDV* >Brasy2G167000.1.p pacid=40071287 transcript=Brasy2G167000.1 locus=Brasy2G167000 ID=Brasy2G167000.1.v1.1 annot-version=v1.1 MSTIIMRVDLDCDRCYKKIRKVLCKLQDRENIKSISYDEKSSTVTVTGSFDAEEVSDRLCSDAGKVITDIQVVRGNQIMKPGAAKAAPKQPGNGKESHGHGHGSHGHGNSHGGGGGGKPDKTKHVKFDMDDDHEGVSTHAHHGHAQAQGHGHGHGHGQANKPRVVTTTAMSRMEGPHAQQPASMTAMAPMRMPMPAPMPGMTMMPQAMATPSIWPPPVAAAPEWGYSAPPYGGPPGGGYYGAPAYDHGGYGPYGGHGRYPAYQPQYYEEPSAGCSVM* >Brasy2G250200.1.p pacid=40071288 transcript=Brasy2G250200.1 locus=Brasy2G250200 ID=Brasy2G250200.1.v1.1 annot-version=v1.1 MCTPTKQLACTVDSNSPMTRSRKKQLGLDATMRKVSEIAAKSKATKKTARKLDVKKAKK* >Brasy2G408000.1.p pacid=40071289 transcript=Brasy2G408000.1 locus=Brasy2G408000 ID=Brasy2G408000.1.v1.1 annot-version=v1.1 MVASVSSLLIALVKNALLAARSASRAVVATLLFAPHDDDDRCRRLEQDSGAHRPLQQCSRCAASGTNDEEGRPLLLSGPDVAAVMACLGMTLTTGASASECGGCEAMGVVEEVAWGAKEAGEEELREAFAVFDRDGDGFVSPAELWGVLRRLRMPEGARYEDCATMVANAAGCHGDAAGGGGSGRVGFREFKAMMENAV* >Brasy2G419900.1.p pacid=40071290 transcript=Brasy2G419900.1 locus=Brasy2G419900 ID=Brasy2G419900.1.v1.1 annot-version=v1.1 MQNMVTKYKNSTYPWQSGCVWMVDKIGLINFIGHDYILILVWIVVNFLTCRCYFDPCLDSG* >Brasy2G216700.1.p pacid=40071291 transcript=Brasy2G216700.1 locus=Brasy2G216700 ID=Brasy2G216700.1.v1.1 annot-version=v1.1 MGLCHGKSATATGPVAEKESHVANGAATPAATRSGATSPAPAAKPSTPKQPKFPFYMASPLPPSSYKDSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNAASIPEGGEPGVALDKSFGFSRHLSAKYELGREVGRGHFGYTCAAKAKKGELKGEEVAVKVIPKSKMTTAIAIEDVRREVRILSSLTGHNNLVQFYDAFEDEENVYIVMELCKGGELLDKILARGGKYSEVDAKVVMHQILSVASFCHLQGVVHRDLKPENFLFASKDESSALKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEASWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTQEVKIPLDMIIYRLMRAYISSSSLRKSALRALAKTLTADQLFYLREQFELLGPNKSGYITLQNLKTALTNNTTYVMKDSRIVDFVNTICNVQYRKLDFEEFSASSVSVYQMEGLDTWEQHARQAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHSDGKLSFLGFIKLLHGVSPRAIPKA* >Brasy2G432900.1.p pacid=40071292 transcript=Brasy2G432900.1 locus=Brasy2G432900 ID=Brasy2G432900.1.v1.1 annot-version=v1.1 MAGGGESALPEAEAETKLECFDFAFNSEKFSDRLLRIEVVAGEDIAEGSFPDCEKRQRIDSSPTMADTPALRVKNLHISSVILAARSAFFLKLFSNGMKESDQTHTTIRISDLEENAFMELLSFMYMGKLTTTESTLLLDILMAADKFEVPSCMRHCSQLLISLPMTIESALLYLEHGCSISQAAEVQRVIGSAKQFLAKEYTDFGKFCDEAMNISLAGIEAIFSSTDIHVISEEHVFKFLLHWARTRYLEREERRKIWSSHLLPLVRFIHMTGTTLQAILACTDTVIDHEELTKRVTEVLLRKGYPAQLEGSLAAVTTTAERAYVIKPMKVECSQIFPSGEIISRPFHLAGQRFSLMVVCKMEEQDGIHSFALLLGIHGNPKGSTCMTVDYEFAARTGLSGKFVSHFSRKHTFKPETSSAGAGQDCLENLSATSTVGLPLLVIRLPAGELLPQAMFSADDNIFINDVLHLRADITVVEQP >Brasy2G483300.1.p pacid=40071293 transcript=Brasy2G483300.1 locus=Brasy2G483300 ID=Brasy2G483300.1.v1.1 annot-version=v1.1 MATPPLAVVLLLLLVFSAFPDHPLAMGLESPAEAAYSSSSSSSPFEAALAALEKRIGYGFRSPGLLRRAMTHASYSRENGRALAVLGLACAQSAAALRVLAADRDASASAVSRGAREAAGEAACAAAGQRVGIPSVVRVAGGAVASAAPVVCGALRALVGAVAVDNGTADAAGEVFWRLHVLAAAGSATAAM* >Brasy2G416600.1.p pacid=40071294 transcript=Brasy2G416600.1 locus=Brasy2G416600 ID=Brasy2G416600.1.v1.1 annot-version=v1.1 MATPESSSGGEIPREMLEEILVKLPAKDVAIACCVSTLWRDVVRSTSFRKIHIAANDTAAEVLLVSEKHEPGSFTEASVSLVSSGKPMCRVNIPSGYTLSNVCNGFLCFAGPDDAPPLVCNPVTGEHLTLLGPPPLGARSHHLLTALGFSPSTREYKLFRLSVVSARCSYPSSSQAFFRAVPSPATTGCYLDVCTLGGGSNNNHRWRRHPTATAKDSPPIAVDGKLYVLTMNQQQERPGWILVIDVASEAHWRHPLPVPEDPRATARVDIFELHGRPCLVVNTEHWYRPEIKFWLLSSSSKDGWDLCYSFFVDEAADKIADGAALYNSCAGLPRGAWLDEKDRMLCYRIGDEFYRYDMRDYKHSVCQPTRYPQKLPSSPADRSWIICGGYRPTLLSPLTFASPPSSDNCDDEFDRTLLRALRCHKLKRHAGRTDLIGRPAKRMC* >Brasy2G181100.1.p pacid=40071295 transcript=Brasy2G181100.1 locus=Brasy2G181100 ID=Brasy2G181100.1.v1.1 annot-version=v1.1 MASTNSWTYEIESSVAAPRLFCAGVKHWHVLAPKLVPHIVVSAHSVEDDGDISSVVVRQFNFTSVMPFSLLKERIEFLDAEKCEFKWTLIEGGGIGTGIETAASHVKVEPAANGGSVVKVDSSYKFLPGVEVNDEITKAKESVTAIFKTVEAYLIANPQEYTI* >Brasy2G005600.1.p pacid=40071296 transcript=Brasy2G005600.1 locus=Brasy2G005600 ID=Brasy2G005600.1.v1.1 annot-version=v1.1 MSILSWNVRGLNNPAKRRAVMAVVQDQNCNVVCLQETKISQFNRALVVDTWGQVLEIASFFFRPLGPVRHV* >Brasy2G277800.1.p pacid=40071297 transcript=Brasy2G277800.1 locus=Brasy2G277800 ID=Brasy2G277800.1.v1.1 annot-version=v1.1 MEGGAAGSDQGTPDSEMGDGDNDSVGYGTEMELDAGNGSAGAPPAYAARPSVHDGIDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKNEGLASSPGGEDSGRGRRTRAVTRVGCKAMIRVKKQDNGKWSITKLETAHNHPLVPQNQAHCLRPHKPLSECGKQRSYGVRRNGGMFLAIEPPPPPLTPPVPQTSIAQLVPHYIGDGIGNAARVILDYVKRMQAEDPAFFYAMQFVEGHPVGNIFWSDARARMAFKDFGDAVFLDDYCKRNKYELPLVTFTGVNHHCQPVLFGCAVIRDNSEASFVWLFETLLLAMSGQHPASLTTEYDGAIQSAIQKVLPQTRHRFCRWHILNEAQYKLSHIVNAFPSFHDDLVNCINISETVDEFEANWQALISKVGSGNNEWLDLMYNCRQQWVPVYLRDTFFGDEPSRQECTSRSSFFESYIIAKTNSQSFIQQYEKALDSCYEKEVREEFETKYSLPDIKTSSPIEKQGADLYTRTMFLKFQQELIDASASTLEMVGEDRKACMYKVTTSQGSGKPHMVQFSSSESSAKCSCQMFEYFGIVCRHILTVFGAQGVLTLPSQYIVKRWTKDAIDRCSNKKFDEVSRAKEPKEEQRSTVEDGEQSQTWRYNSLCREALRYAEEGASSAEVYIVAMQALEEAANKVNMAKRSVGQVAPLAVMPIAAQPPESSRKNQDSFGQPKKRKRNSNNSRENSAPNQFMYTQQPVNFPSTSNGSQGPSQVVAAAPISLCTEYGQTSGVNNSTDGSTTPASVAVDKLYGLSDRGASTSAPSSSANALQGGETKSSGIASQINEGHELSQANGNKGGDIDMANSTASPQLVTVPIGFCMPSVDNSKTSTAGINSSNSGGVMSNGNASFGLHQSQSSAQVPATHPEAKTRLNNIDSPATPEGSSIRAAAIAAGARIASPSDAASIIKASQSKGAIHIRPGESLPNYLKPLTPKPLSSLPPVNLPSSGHASSSHMQLRQFYSGDSAAAKDSIFGSTDGSDEDTDDDDEDSDDDDDERLTGDEVEQE* >Brasy2G127500.1.p pacid=40071298 transcript=Brasy2G127500.1 locus=Brasy2G127500 ID=Brasy2G127500.1.v1.1 annot-version=v1.1 MSSGGGTSAHGGGPSGSGSGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQTHLATLELPSPPIPAVPQMPTMAPPGPFSISDLPSSTLNIPTTIDLSALFDPPAQLPQWALQQQHHHHQHQLRQPPYGGAPAPRASSSMAGEASGAGGGGGDLQSLARELLDRHGRSGVIKPEQLRPPRPPHPR* >Brasy2G378000.1.p pacid=40071299 transcript=Brasy2G378000.1 locus=Brasy2G378000 ID=Brasy2G378000.1.v1.1 annot-version=v1.1 MPPKLDPSQIVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKIMKVRSMAKEMSGTVKEILGTCVSVGCTVDSKDPKDLQTEIDEGEIEIPSA* >Brasy2G250800.1.p pacid=40071300 transcript=Brasy2G250800.1 locus=Brasy2G250800 ID=Brasy2G250800.1.v1.1 annot-version=v1.1 MSNCETTQGFPVVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSEKFTVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G318100.1.p pacid=40071301 transcript=Brasy2G318100.1 locus=Brasy2G318100 ID=Brasy2G318100.1.v1.1 annot-version=v1.1 MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRISTSKRPPGPGKDEISPRNHAGGGEKPRPAADASRMAALLDKYRREFAGPFAISHHSSKEGYCSSTNEDTNRSVEFYDFLQVNVSSSDTKCGSSIEEQEDNGRDDDDQQAEGQVALIDFMEVGTSHQ* >Brasy2G235200.1.p pacid=40071302 transcript=Brasy2G235200.1 locus=Brasy2G235200 ID=Brasy2G235200.1.v1.1 annot-version=v1.1 MSRRRCPISPHWRSRPMAAGGTDTPRPLGAAWSSSPIDATRPPLLSPPLPSRRPGSWGIRAPLGLQAAFAIDERKDAHARQQVPLLVINKICISLFDC* >Brasy2G199200.1.p pacid=40071303 transcript=Brasy2G199200.1 locus=Brasy2G199200 ID=Brasy2G199200.1.v1.1 annot-version=v1.1 MDAAAPPPPKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDTLGLGADRPSLEATVGAYIPAGAAGLGFEDFESLHRALGDALFGPIAEEEEEPRTKEDEEGDMKEAFRVFDENGDGFISAAELQAVLKKLGLAEARNLAAVQEMICNVDRDRDGQVDFGEFKVMMQGITVWGA* >Brasy2G469600.1.p pacid=40071304 transcript=Brasy2G469600.1 locus=Brasy2G469600 ID=Brasy2G469600.1.v1.1 annot-version=v1.1 MMQSTAGGRCAALVLSVAAVVLAWSASCCRAQLAEKYYDGKCGNGTSVEAIIQGAVKARLAWDQRIVAGLLHMQFHDCFVEGCDASLLLDGPSSEKTAPQNSGIFGFDFIDDVKSLLEAQCPGVVSCADIIIAATRDAVALCGGPSYSVQLGRLDGKSSAAWMCSDLPSPHIGIPKAIDVFAKKGFNAFEMVTLMGAHTVGVTHCSVIMDRLFNFNGTGATDPSMDPGYAWVLKTFACPKGQPFDNIVYLDDPSSILTVDKSYFNQIFLGRGVLPVDQELRDDPTTGWMIKFFATTDFFNSMFGYALNKLAALDVKTGADGEIRSNCRVTN* >Brasy2G091000.1.p pacid=40071305 transcript=Brasy2G091000.1 locus=Brasy2G091000 ID=Brasy2G091000.1.v1.1 annot-version=v1.1 MMAKLLLLFLWVALMEGSMVKSLPYDYSASVECLAEPPEPQYGGGIVRNAGFSAGLLGWSPFGYSSVAEATSATTGNSYAVARNRTKPYQSVSQKVYLQNDTHYTLSAWLQVSGSFAVDVLAVVKTAHGFVHAGGVVAKPGCWSMLKGGLTSAKAGRAELYFESNATADIMVDSVSLKPFSKAEWSSHRAESTLKLRRKTVRLQATDSTGTPLQGASMSVETVRSSFPVGAAMSREILTNAAYQSWFTPRFTVTTFENEMKWYSTEPSPGKEDYSVADAMLALAKQHGIGVRGHNVFWDDPKQQPRWVQALPYTDLLAAASRRIRSFVSRYAGQVIAWDVVNENLHFSFFERQFGWDASTAFYAAARLLDGAPGALMFMNEFNTLEQPGDMAAQPARYVQRLKQIISSYPENGAGMAIGLEGHFTNPVNIPYMRAALDTLSQVGLPVWLTEVDVAAGPQQAAHLEEVLREAYAHPAVQGVILWSAWHPQGCYVMCLTDNSFVNLPQGDVVDRLLAEWKTAAQVGVTDAQGYFQAELVHGEYKVTVTHPSLNASVEQSVMVEPGLGEHYFIQA* >Brasy2G003700.1.p pacid=40071306 transcript=Brasy2G003700.1 locus=Brasy2G003700 ID=Brasy2G003700.1.v1.1 annot-version=v1.1 MVFSMLAAAAGIICTFVALTAAPFDLAAGVGVGVAGALVPPAGNCTRECGGVEIPYPFGIGSEPAGCKMAGHGFDLDCLDWGSGRGKRPYFVNQEVLSISLQNGQVRWLNNISFYCPSNSSANSPPSNMDLKGAVFKLSYTANKFTVIGCKTLAYIGDTDDVYNYTAVCGATCKGGDLNALTNGSCEGIGCCQTAIPRGLENYRMWFDKNFADSTEDWNLYSCSYAALIEASSFRFSTAYLTSPEFGYAYGGQAPLVVDWAIGTSSCESASSDHSSYACVSNNSVCVDSPIGQGYFCKCKEGYQGNPYLQYGCQDVDECLDKEKRCHGTCHNTIGGFICCPQKTKFDQKRMQCTSTKQQNLIIGIILGLSAGLGLLLVGSSGTFLFYRWKRGIQRKQRKMYFRKNQGLLLEQLIVSDENASDRTMIFSLQELEKATNNFDETRIVGRGGHGMVYKGILSDQRVVAIKKSKLVEEAEINQFINEVVILSQINHRNIVKLFGCCLETEVPLLVSDFIPNGSLFDVLHGESVSGLSLSWDNCLRIALEAAGALCYLHTSASISVFHRDVKSSNILLDGNYTAKVSDFGASRTVPIDQTHVTTNVQGTFGYLDPEYYQTGQLNDKSDVYSFGVVLLELLTRKEPVFVSNEGFKQNLSNYFISEIKVRPVTEVVATQVLEEADEDEINTVASLAEMCLRLQGEERPTMKQVEGTLQYLRTKRLALHQIVEGSDEEIQPFVTSVRQPSVTSCQPLAIHMVDQAHSRSSSAFFRLE* >Brasy2G115800.1.p pacid=40071307 transcript=Brasy2G115800.1 locus=Brasy2G115800 ID=Brasy2G115800.1.v1.1 annot-version=v1.1 MRLLTHNFLASNMKGVSTGYPLGLEVVKSTIKEVELNADFLRGILPKLDWRALAAATSAAGYPDLLPAEQPSEAELFTEGAAEFEDSPIRRLHRALLEIHVDEGTLVCPESGRTFPIQKGVPNMILHEDEVRA* >Brasy2G423000.1.p pacid=40071308 transcript=Brasy2G423000.1 locus=Brasy2G423000 ID=Brasy2G423000.1.v1.1 annot-version=v1.1 MASKAVTIGDLIQRVTSSCLSNRFPGNYAFNDSGADTDLDEEDDDPFADFGDAAEECRPSPGEVTAAAAADGEEEERKLKIWEEEEKRKVAAEAETAAETKGGERAREADALMAEVFDAVSGVRRAYAALQGAHCPWDPDRMRAADAGVVAELRHLARLRDRFRRSAASPDGRIPRANPSPPPLREALAPYEAALEDLQRQLQSKQAEVDGLKEKLASAANNNTSSRRRLHPSKKQQPNGAEVVVGAPTAELFAACAEQARAATRAFAAHLLNLIRAAGLDPAAATRSLTKIPVASSSPKVAKHAMEAHVTRVLLGGFEHESFYLDGSLSSLLDPAASRRDRHAQFRDMRGMDPAELLGVLPDCAFGRYADAKFASLLPPRVEEAVLGAGHRGGGAHPRTPFYGEFLRAAKAVWLLHLLAFALEPPPSHFEAGRGAEFHPEYMESVAGPPPPRAGAGMVVGFAVAPGFKLCNAAVVRARVYLVPRGSTGHHPPPC* >Brasy2G077600.1.p pacid=40071309 transcript=Brasy2G077600.1 locus=Brasy2G077600 ID=Brasy2G077600.1.v1.1 annot-version=v1.1 MGNCMKTTARVDHSMNTGGAYPSKLTSKTSLSSVPSSKTNSTRSTFTLPSIRDRSELPTPRTEGEILSSSNLKAFSFSDLKNATKNFRPDSLLGEGGFGHVFKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHANLVKLIGYCTDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLRDKRRLYRVMDTKLGGQYPKKGAHAIANLALQCICNDAKMRPQMSEVLEELEQLQESKYNLASPQVDNRRTSNTVPKSPMRVQPSPRRSLGAASPMPAYRSSQVH* >Brasy2G300900.1.p pacid=40071310 transcript=Brasy2G300900.1 locus=Brasy2G300900 ID=Brasy2G300900.1.v1.1 annot-version=v1.1 MAAATGKTAEEIRRELQELQRQHREISERLRDPRGLRRGAPAAGPGAPRPLRGFARPAAESGDQPEPKRRLLSAVVKVDGAEVKEEFTNDPVAEGLEDGSAAAEGGERRGVSNGGFRRDGSQWVSRRELNNALPEPLPREFPKDEDQSLVRRNKRMLGKLLVGTLEKFQQEDKKLSHSEAYMRRSEAQLKADQKAREDSERLRQQEREQAAEKRRRDMTLRARVAAKAEEKRLELLYIQWAEHHKKLSNFLRTKTEPPIYYMMAKPIIDDPAIVEQNKEKVFEEWKTVRRAELTQFQKQVEEQYLSNVERQLERMQNARNARRGNGPANMQEMDKELDTHRAEHGPKARRILDGGIDDEEEVEDMAADDDLMDEVLGVNEAINEDPTKPSDEATDVAPIPDKAQ* >Brasy2G394700.1.p pacid=40071311 transcript=Brasy2G394700.1 locus=Brasy2G394700 ID=Brasy2G394700.1.v1.1 annot-version=v1.1 MAEAVILLAVKKIGIDLGNEALSQASSLFKKFITQLTELQGSMGRISRELRLMHGFLCRMDVRNRNNESYEIWVQQLRMLVHGIEDIVDEYLYLVGHKHDTGWGTYLKKGFKRPSVLLSLNRIASLVKEAEINLVHLFQAKDRWVSLVGGENSSDSSYVVERSQHLASISCSLGEEDLVGVDINREKLEHWLSDDDSERSMIALLGMGGLGKTALAANVYKKEREKFECHAWVSISQTYSIKDVLKYLITEFYKEKKETPGNMDDMDTTGLQDKLKMLLDNKKYLIVLDDVWAPEAVNDLFGALAQNQKGSRVIVTTRIAGVAHLAFEDRRLILEALSEDDSWELFSKIVFSRETNHKCPKDLTEPARKIVVKCEGIPLSIVTVGKLLFLRGNTEEEFKRIHDQLEWEIVNNPSMEHVRNILYLSFIYLPTYLKSCFLYCSLFPEDYLFQRKKLVRLWVAEGFIEERGESTLEEVAEGYLAELVRRNMLQLVERNSFGRMKKLRMHDLLRELAVDLCHRHCFGVAYEDKYRGSPQEDGRRLVVHKLNKDFHRSFSSIHCLRSIIILDNTMPSFTLLPLLSEKCRYMSVLELSGLPIEKIPDSIGDLFNLRYLGLRCSKVKLLPESIQRLSNLLTLDLCESRIQELPRGIVKLKKLRHLFAENENDPSCREIKCCSGVRIPNRVSNLTNLQTLQALEAQDESVRQLGELRELRSLRIWNVKGFYCERLTESLVQMRYLSYLYVSASDENEVLLLSVLPPNLQKLRLRGRLAEGALDESPLFQAVAEQNLYSLSLDWSQLREDPLPSLSRLSNLTELYFTRAYNGEQLAFLTGWFPKLKILQLRDLTNLKRLEIQQGAMAALERLTLTNLSSMTEVPAGIEFLMPLQSLVFREISDEFLTSLCECSRIDDMQWWYTLRD* >Brasy2G375300.1.p pacid=40071312 transcript=Brasy2G375300.1 locus=Brasy2G375300 ID=Brasy2G375300.1.v1.1 annot-version=v1.1 MRTKHLIPNNAAGLTYASAYAARQDYGDGGGSDPFEGFPDAVLGLIVSKLPFRSAVAASAVSRRWRGALAAAPALDLDFPAAFPAAPRRRTAFAAAATAALAPAHHPLRRLRLALDGFFDQVFAATAAGHVASWLAAAAARGVEQLELHLPRSRLAVIPPSLLACTNLTSLTLRLDRYALPLPSLRPLTGIRRLHLDSFSLAGCDDFLEDLFSHCAQLSHLILERCHMGALRLAGTRQLCSLAITDCSWTQQSSVTISEMPALRTLRYSGAMANGHMIDGAHSLHEVVLAIEKPPVKLQEPNIRVLLALVGNVRSLVLSPWCIEQFARPEEWLNLRLDMVSRLACIIQRKEEGALSIAPLLANCPKVEELSVSVVPSQGNRRRCNDDKVQYGVMGGKGMIMRNLRTIRMEYIDENKSGLELVKLLLKNAPTLEIMTIVPSMDGLEQAKFRRRVLKFRKASRTASIQFRSTA* >Brasy2G203100.1.p pacid=40071313 transcript=Brasy2G203100.1 locus=Brasy2G203100 ID=Brasy2G203100.1.v1.1 annot-version=v1.1 MVLRPRGGGGAPPPAGYVCRRCRVLGHFIQHCPTNGDPRFDLGRSTVTPPVAGSPDDDGGIPKDLHCKICKKVMADAVMTSRCCFSSFCDRCIRAQIVGNSKCACGAQARVDDLIPNPALRTTIANMLAARAASASSSGTEKQRSDATTEPAPPSPASSQESRRSHVSSKKATASESEHSDGSGSTSTISAVHQAQETADTAEARADHHYGYSAPIAPPCYDPFFGAGGMPWPADPSMYYGGMPYAYGGGYPMPMGPHHFGAVGSREASYGYHGRKRTMGCDNQRYPDRGFKRRCGGSSRSQVAVVLT* >Brasy2G327000.1.p pacid=40071314 transcript=Brasy2G327000.1 locus=Brasy2G327000 ID=Brasy2G327000.1.v1.1 annot-version=v1.1 MRGVSHAALPLALLVLLSAVAAPQLVAAASGDNSLRIIQNDIIETINNHPNAGWTAGHNPYFANYTIAQFKHILGVKPTPPGLLGAVPTKTYSRSTGLPTEFDARSKWSGCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHLNMNISLSVNDLVACCGFMCGDGCDGGYPISAWQYFVKNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWQEKKHFSVNAYRVNSDPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYEHITGEMMGGHAVKLIGWGTSDDGKDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVVAGMPSTKNTVRSGAYGTAIL* >Brasy2G010200.1.p pacid=40071315 transcript=Brasy2G010200.1 locus=Brasy2G010200 ID=Brasy2G010200.1.v1.1 annot-version=v1.1 MHYLGAPNAPGALDILHTEQVKLNRSASSVSPPPPDMPRDFAAAAVLFFFLLILFPAEAVPFIVLHGIGDQCANHGVAKFTDLLADWSAADGYCLEIGRGTWDSWVMPLQQQADIICNKVKEMKELRGGYNIVGLSQGNLIGRAVVQYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCIIVDALIKLEIYSEYVQAHLAPSGYLKIPTDMKDYLKGCRFLPKLNNEIPGERNATYKERFSSLENLVLIKFEDDIVLIPRETSWFGYYPDGAFDPVLPPQKTKLYMEDWIGLKSLNDGGRVKFVSVAGGHLGISDSDMRKYIVPYLKEKPSIGGRFT* >Brasy2G251600.1.p pacid=40071316 transcript=Brasy2G251600.1 locus=Brasy2G251600 ID=Brasy2G251600.1.v1.1 annot-version=v1.1 MAKTKPMPAPAAGAAADKKQNKKNGKGGKKGKNGPTAVAMKARGAAAAAAERSNPFEAIWSRRKFDVLGKKRKGEERRTSRSRSEAIHKRENTLLKEFQQSAKSSVFHDRRIGERDDTLPEFDKAILRQQREHMAKLKRVSKYNLSDEEEDEDDAHHPHALSGNDDFDEEVPLGDDSDEEGKMALSKNRLSLNSTDLTSESDLPGEARQGHKSKKEVMSEIILKSKFYKAQKAKEKEDDEHLVDKLDSDFALLAQTPALLSLTESAKVNAYKNISSTIHSGSSGLNGRQIFSKEKPDAYDKLVKEMVMDQRARPSDRTKTPEELAQEEKERLEKLEKERHKRMLGIAESSDEEDDDDDDDRHMKADNSKPISGDDLGDSFSVDEPTKRKKGWVDEIYEKEGKEIGEDVASGDGGSDDCGDDEDEEDEEDASDEEDSSDNDLCNMPARDWEQSDDDEVVLEDDMDDVKEKEQVIADKVVKKDAENSKRESNAKQKPQVRDDELPFVIDAPNNLQDLCSLVDGRSETDILEIIRRIRTCNSIRLTAENRKKMQVFYGVLLQYFAVLATQRPVKFKIIDTLVKPLIEMSGGTPYFAAICARQRLIHTRTRLCEDIKVPGKSSWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAIGSFLCSMVLAATKESKKFCPEAIGFIQTLLVTSLDREFGNQINDQFLELKTLKPWLHIDEQVHEVNCVNVLEVMSMDPDATYFSSNNFKAGVLLSLAECLRGFVIIHEELCSFPEIFLPISALLQQILEKSALPTLLQNIFHEVIDLIKKRSDEHHASREPLQMRKQKPEPIKLLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKKGAVRELRKDNYFLSAVKEKERIKQEQERAEKHGKVMAFLQEQESAFKSGQLGKGKKRRR* >Brasy2G251600.2.p pacid=40071317 transcript=Brasy2G251600.2 locus=Brasy2G251600 ID=Brasy2G251600.2.v1.1 annot-version=v1.1 MAKTKPMPAPAAGAAADKKQNKKNGKGGKKGKNGPTAVAMKARGAAAAAAERSNPFEAIWSRRKFDVLGKKRKGEERRTSRSRSEAIHKRENTLLKEFQQSAKSSVFHDRRIGERDDTLPEFDKAILRQQREHMAKLKRVSKYNLSDEEEDEDDAHHPHALSGNDDFDEEVPLGDDSDEEGKMALSKNRLSLNSTDLTSESDLPGEARGHKSKKEVMSEIILKSKFYKAQKAKEKEDDEHLVDKLDSDFALLAQTPALLSLTESAKVNAYKNISSTIHSGSSGLNGRQIFSKEKPDAYDKLVKEMVMDQRARPSDRTKTPEELAQEEKERLEKLEKERHKRMLGIAESSDEEDDDDDDDRHMKADNSKPISGDDLGDSFSVDEPTKRKKGWVDEIYEKEGKEIGEDVASGDGGSDDCGDDEDEEDEEDASDEEDSSDNDLCNMPARDWEQSDDDEVVLEDDMDDVKEKEQVIADKVVKKDAENSKRESNAKQKPQVRDDELPFVIDAPNNLQDLCSLVDGRSETDILEIIRRIRTCNSIRLTAENRKKMQVFYGVLLQYFAVLATQRPVKFKIIDTLVKPLIEMSGGTPYFAAICARQRLIHTRTRLCEDIKVPGKSSWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAIGSFLCSMVLAATKESKKFCPEAIGFIQTLLVTSLDREFGNQINDQFLELKTLKPWLHIDEQVHEVNCVNVLEVMSMDPDATYFSSNNFKAGVLLSLAECLRGFVIIHEELCSFPEIFLPISALLQQILEKSALPTLLQNIFHEVIDLIKKRSDEHHASREPLQMRKQKPEPIKLLNPKFEENYIKGLDYDPDRERAQMKKLKKRLKSEKKGAVRELRKDNYFLSAVKEKERIKQEQERAEKHGKVMAFLQEQESAFKSGQLGKGKKRRR* >Brasy2G251600.3.p pacid=40071318 transcript=Brasy2G251600.3 locus=Brasy2G251600 ID=Brasy2G251600.3.v1.1 annot-version=v1.1 MAKTKPMPAPAAGAAADKKQNKKNGKGGKKGKNGPTAVAMKARGAAAAAAERSNPFEAIWSRRKFDVLGKKRKGEERRTSRSRSEAIHKRENTLLKEFQQSAKSSVFHDRRIGERDDTLPEFDKAILRQQREHMAKLKRVSKYNLSDEEEDEDDAHHPHALSGNDDFDEEVPLGDDSDEEGKMALSKNRLSLNSTDLTSESDLPGEARGHKSKKEVMSEIILKSKFYKAQKAKEKEDDEHLVDKLDSDFALLAQTPALLSLTESAKVNAYKNISSTIHSGSSGLNGRQIFSKEKPDAYDKLVKEMVMDQRARPSDRTKTPEELAQEEKERLEKLEKERHKRMLGIAESSDEEDDDDDDDRHMKADNSKPISGDDLGDSFSVDEPTKRKKGWVDEIYEKEGKEIGEDVASGDGGSDDCGDDEDEEDEEDASDEEDSSDNDLCNMPARDWEQSDDDEVVLEDDMDDVKEKEQVIADKVVKKDAENSKRESNAKQKPQVRDDELPFVIDAPNNLQDLCSLVDGRSETDILEIIRRIRTCNSIRLTAENRKKMQVFYGVLLQYFAVLATQRPVKFKIIDTLVKPLIEMSGGTPYFAAICARQRLIHTRTRLCEDIKVPGKSSWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAIGSFLCSMVLAATKESKKFCPEAIGFIQTLLVTSLDREFGNQGWCTSILG* >Brasy2G176000.1.p pacid=40071319 transcript=Brasy2G176000.1 locus=Brasy2G176000 ID=Brasy2G176000.1.v1.1 annot-version=v1.1 MDPTEALPDDALANILRRLPPCDLAASRCVRKAWHALIDARRLLLPHLLPHSLHGFFVNYIDYWYPRLFSRPSTARPVINGNLDFLPDYSTSIDTVVDHCNGLLLYRAWREFYVVNPATRRWEALPREDRNGDAYLVFDPAVSSHYEVFFIPRLPEKVRATSSESSPPENRDKQEDPHDFMEWPPSLWTLHVFSSSTRQWQKSHLGGPRWRYSVYWRGSLYVHCRGGFVARLSLSDGMYQVIKMPTNIEASKPAQYIGKSEEGEPKGFNKTWTLDDGDNHNSSHYYKDDNDDDGGGEEDREWNSDDDNVLNIKDGHEPFYSGIIFLGFHPYKEVVFFELSTFMGVAYHLKSSKVQYLGNLCPKDYYHSFTNGIYETFPYAPCMIGELLNQAPESRHRD* >Brasy2G090800.1.p pacid=40071320 transcript=Brasy2G090800.1 locus=Brasy2G090800 ID=Brasy2G090800.1.v1.1 annot-version=v1.1 MKVLTATLVLLLLIVLFQGCLAASYKSDESDKKEKSDKPEKSDESEKSDKPEKTDESEKSDKSDKSAKSVPYDYSANIECEKEPPKPLYGGGILSGAEAPAPTATGGKKLLMAKTTSAPVKGSTLKFELEKDTHYALSAWLQFSKSTGDVRAVLVTPDGKFNTAGMIVVQSGCWTMLKGGATSFSAGKGELFFETNVTSELMADSMALQPFTFEEWKLHRDESITKERKKKVKITVQGSDGKELPDAELSLERVAKGFPLGNAMTKEILDMPEYEKWFTSRFTVATMENEMKWYSTEYDKGHELYEIPDKMLALAEKYKISVRGHNVFWDDQSHQMQWVSELSVAQLKEAMAKRMKSVVSRYAGKLIHWDVVNENLHFSFFEDKLGKDASGEVFKEVAKLDDKPILFMNEYNTIEEPNDGAPLPTKYLAKLKQIQSYPGNSKLKYGIGLESHFDIPNIPYVRGSLDTLAQAKVPIWLTEIDVVKGPKQVEYLEELMREGFAHPGVKGIVLWAAWHAKGCYVMCLTDNEFKNLPVGDVVDKLIAEWKDVPKDAKTDDKGVFEAEIFHGEYNVTVKHKSLKEPFMETVDLDSKSEATIKAKGKEY* >Brasy2G309500.1.p pacid=40071321 transcript=Brasy2G309500.1 locus=Brasy2G309500 ID=Brasy2G309500.1.v1.1 annot-version=v1.1 MAQFLRGSVQGLLLLCFTLLVCSAVLRCTSGRSINEVGSKTTIGVNNTISAIQRPPCSEDPSQNYCCQLDKLCWPSLDECFHNCPCKIRCHGGKL* >Brasy2G077100.1.p pacid=40071322 transcript=Brasy2G077100.1 locus=Brasy2G077100 ID=Brasy2G077100.1.v1.1 annot-version=v1.1 MGNHRRRRPRRNRRSCCSPAPSPGLPSNADAACRCSAARHSPAPLWPALALRLPPNTAAHRRPCSLPASSAAAAPPTRQPPPRPRPDLLQAPRSADAACSDGAPAPASPRPCACSRSAAAPSLLCRASYCGRRGDETEVRG* >Brasy2G050100.1.p pacid=40071323 transcript=Brasy2G050100.1 locus=Brasy2G050100 ID=Brasy2G050100.1.v1.1 annot-version=v1.1 MSASASPSLSCGSSRRPDAPQGSPRRPTGRAPPAPGSSRTSSPSPTTHNPHAAPVPGAGGAQGGNDVVRDARAVRGEGVDLPGREQVRRGHAPERALVRAVLREADGAVEHEAVGGVVHGPE* >Brasy2G244200.1.p pacid=40071324 transcript=Brasy2G244200.1 locus=Brasy2G244200 ID=Brasy2G244200.1.v1.1 annot-version=v1.1 MDPIMKLLEDDEEDESMHSGADVEAFTAALNREVEGSASTSTSSCAAGSSSKTLDHGAGTLPQESNPVLNHSHGQWQKSVKNDTGNQESQQQEQKHLCKNEQSSIPEAVPVGADNKHLHSSTQNECDQLKVKQETGNNAQQTSVGQQQPLQQMKSQQSPGTNLTNSPTTVGKAPVVTFHMLIPILRRFLDKDKDIQVQSIFAKLRKNEVSKEHFLKVIRTIVGDKLLKQAASQYQMQAAQAQRSAQANPSNFSLLGQVSGQQNENPRPPQFRSSSGQMQSNMDYPASERNLQKPNETGNMSDRKGVHMLQSRPSNIHPVSVQATQHHVQRPQTSLPVFGVNNIHARPFPTSVGGPTAPLRPQMADSSQRGQLVQGGVTTVSGSVAPRPALQNNQPSRQQPANKDQKSNSFTPAVHMNKEASNQPSESTQSSFAASHAKQLNPTLLSSKGGGVLENQASISTSKSLTTAASSQPHRSHGTAAELAIQIQSGTQAPPSGAASKTPQKKPSAGQKKPLEAIGSSPPPSSKKQKGSGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKDESRVSEAARKVVQLEEERLILQKGSLTKKLAELMRRYDLKGIGSDVERCLSMCVEERLRGFISNIIRLSKQRVDVEKSRHHFYPLSSDVRSHILRVNREAREQWDRKLAEDADRIRKQSDGDDIAAVSSEKDKNEGRGTSKHAKAYKEEDDKMRTTAANAAVRVAAGGDDMLSKWQLLAERHKQRGEVGDGSSSSLPGTMSPNKPSPKLGKGSRGQQETEKRGCFSVLGPGGVRRSAHMKVARSITVKDVVAALEREHQMSKSPLLFRLHGRPLTEPAAK* >Brasy2G244200.2.p pacid=40071325 transcript=Brasy2G244200.2 locus=Brasy2G244200 ID=Brasy2G244200.2.v1.1 annot-version=v1.1 MDPIMKLLEDDEEDESMHSGADVEAFTAALNREVEGSASTSTSSCAAGSSSKTLDHGAGTLPQESNPVLNHSHGQWQKSVKNDTGNQESQQQEQKHLCKNEQSSIPEAVPVGADNKHLHSSTQNECDQLKVKQETGNNAQQTSVGQQQPLQQMKSQQSPGTNLTNSPTTAAQAQRSAQANPSNFSLLGQVSGQQNENPRPPQFRSSSGQMQSNMDYPASERNLQKPNETGNMSDRKGVHMLQSRPSNIHPVSVQATQHHVQRPQTSLPVFGVNNIHARPFPTSVGGPTAPLRPQMADSSQRGQLVQGGVTTVSGSVAPRPALQNNQPSRQQPANKDQKSNSFTPAVHMNKEASNQPSESTQSSFAASHAKQLNPTLLSSKGGGVLENQASISTSKSLTTAASSQPHRSHGTAAELAIQIQSGTQAPPSGAASKTPQKKPSAGQKKPLEAIGSSPPPSSKKQKGSGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKDESRVSEAARKVVQLEEERLILQKGSLTKKLAELMRRYDLKGIGSDVERCLSMCVEERLRGFISNIIRLSKQRVDVEKSRHHFYPLSSDVRSHILRVNREAREQWDRKLAEDADRIRKQSDGDDIAAVSSEKDKNEGRGTSKHAKAYKEEDDKMRTTAANAAVRVAAGGDDMLSKWQLLAERHKQRGEVGDGSSSSLPGTMSPNKPSPKLGKGSRGQQETEKRGCFSVLGPGGVRRSAHMKVARSITVKDVVAALEREHQMSKSPLLFRLHGRPLTEPAAK* >Brasy2G184700.1.p pacid=40071326 transcript=Brasy2G184700.1 locus=Brasy2G184700 ID=Brasy2G184700.1.v1.1 annot-version=v1.1 MSEAEAPYEEAGFNGDPHLDYGDMSRSRPLKMLVSAVLSDKRPDEIPQLLESMLSKLVDEFENRLNSQNDLVKAALKSSKDGTKSFSKGKVLVEATPNYCDRKMDTTENYSKHKQTKKETLGKVTLKQHSILQQQSKHVEELKANLETTKVGMEYIQMKYVEDLNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRIRPFLPGQVSSSTVGCIDDGNITILTPSKSGKEGRKSFSFNKVFGPSSTQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEKRKGTFVYDIAVQMIEIYNEQVRDLLINDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNIGHKNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGNIIRGCMHLVDLAGSERVDKSEVTGERLKEAQHINRSLSALGDVIASLAQKNVHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQVSRLKTALATKDSGSEQNIARHSEAFNMKMPSPGFSNRRQGSCDLLSSQTNFRQPMEDVGNIEVRSNPTLRQKKPSFDLQDLLASNDSPSWPDSNSRVNFQMGEERETVCGDWVDKVVVNNNHSLGDWEGDNTALPDFFYQRYHSGMRDEPQRPRFCSTNTDDSDDIDIATSDSSESDALWQFNVQSMNSSVIESGSKVKRPQTKIRETSDTRTPNQSQIPTASRKPSNGPNRSGRQPLSATDGRRLSSNGRHAGTK* >Brasy2G184700.2.p pacid=40071327 transcript=Brasy2G184700.2 locus=Brasy2G184700 ID=Brasy2G184700.2.v1.1 annot-version=v1.1 MSEAEAPYEEAGFNGDPHLDYGDMSRSRPLKMLVSAVLSDKRPDEIPQLLESMLSKLVDEFENRLNSQNDLVKAALKSSKDGTKSFSKGKVLVEATPNYCDRKMDTTENYSKHKQTKKETLGKVTLKQHSILQQQSKHVEELKANLETTKVGMEYIQMKYVEDLNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRIRPFLPGQVSSSTVGCIDDGNITILTPSKSGKEGRKSFSFNKVFGPSSTQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALGDLFKLAEKRKGTFVYDIAVQMIEIYNEQVRDLLINDGLNKRLEIRNNSQNGLNVPDASLVRVASTMDVMELMNIGHKNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGNIIRGCMHLVDLAGSERVDKSEVTGERLKEAQHINRSLSALGDVIASLAQKNVHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQVSRLKTALATKDSGSEQNIARHSEAFNMKMPSPGFSNRRQGSCDLLSSQTNFRQPMEDVGNIEVRSNPTLRQKKPSFDLQDLLASNDSPSWPDSNSRVNFQMGEERETVCGDWVDKIPFRYER* >Brasy2G211500.1.p pacid=40071328 transcript=Brasy2G211500.1 locus=Brasy2G211500 ID=Brasy2G211500.1.v1.1 annot-version=v1.1 MGRAAAVAAAMMVLLLLSTASHGTRREPGVKNSGQTFVFNYTLAKTIVEYASAVYMTDLTALYTWTCSRCNDLVQGFEVRCIIVDVQNCLQAFIGVDHNLNAIIVAIRGTQVNSVQNWIKDLVWKQVNFNYPDMPNAKVHTGFYSTYNNTLLRPVITNAVRKARKLYGDISIIVTGHSMGGAMASFCALDLAIRLGSDNVHLMTFGQPRIGNAVFASYFAKYVPNTIRVTHEHDIVPHLPPYFFFLPHLTYRHFPREVWEHDVDGNTTFQVCDGSGEDPNCSRSVFALFLSASDHLTYMGVEIAADDWSTCRIVMAQSVERLRMNLAGNAIVPKKPVDVVIVDHPVQIGRSSSS* >Brasy2G211500.2.p pacid=40071329 transcript=Brasy2G211500.2 locus=Brasy2G211500 ID=Brasy2G211500.2.v1.1 annot-version=v1.1 MGRAAAVAAAMMVLLLLSTASHGTRREPGVKNSGQTFVFNYTLAKTIVEYASAVYMTDLTALYTWTCSRCNDLVQGFEVRCIIVDVQNCLQAFIGVDHNLNAIIVAIRGTQVNSVQNWIKDLVWKQVNFNYPDMPNAKVHTGFYSTYNNTLLRPVITNAVRKARKLYGDISIIVTGHSMGGAMASFCALDLAIRLGSDNVHLMTFGQPRIGNAVFASYFAKYVPNTIRVTHEHDIVPHLPPYFFFLPHLTYRHFPREVWEHDVDGNTTFQVCDGSGEDPNCSRSVFALFLSASDHLTYMGVEIAADDWSTCRIVMAQSVERLRMNLAGNAIVPKKPVDVVIVDHPVQIGRSSSS* >Brasy2G466400.1.p pacid=40071330 transcript=Brasy2G466400.1 locus=Brasy2G466400 ID=Brasy2G466400.1.v1.1 annot-version=v1.1 MSSRRRFHNGGGGASDSDDPAAAPAPAVVDQAECTARSCRSCVAVSLADCIALGCCPCAVVSLLGLALVKAPLAVGRRCLRRLRRRQGKLRHKKRVRDDLDLPPGAKLQPGSDAAASKSEDDFLVTTTAMAAAASPGAGHQVMSDAEKVWLEMYQVGHWGFGRLSFSVNPAPASARAGYVATGRDADDDSCESDV* >Brasy2G088800.1.p pacid=40071331 transcript=Brasy2G088800.1 locus=Brasy2G088800 ID=Brasy2G088800.1.v1.1 annot-version=v1.1 MGNCQAAEAATVVIQHPGGGRTELAYWALPAGEVMSANPGHYVAAVITAPATGESGAGGAAPAVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFATKRHVKLSRVMVKANEEEPAVAAKKTKPRRRRSSSAASAGVVPEESDRSLAKVMRRTEEEEEAAAAPKPEEANADDDCDLDSLLPHGVALGRRMGRQWRPALQSIEEARD* >Brasy2G088800.2.p pacid=40071332 transcript=Brasy2G088800.2 locus=Brasy2G088800 ID=Brasy2G088800.2.v1.1 annot-version=v1.1 MGNCQAAEAATVVIQHPGGGRTELAYWALPAGEVMSANPGHYVAAVITAPATGESGAGGAAPAVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFATKRHVKLSRVMVKANEEEPAVAAKKTKPRRRRSSSAASAGVVPEESDRSLAKVRTATTFTRAHAHRTRCHSNHRLCQIFPSVIRSVAIESPADRKLATTNVVFDEPEY* >Brasy2G401100.1.p pacid=40071333 transcript=Brasy2G401100.1 locus=Brasy2G401100 ID=Brasy2G401100.1.v1.1 annot-version=v1.1 MEAAWGSRRGCGWRVRGRRRTAAGGLRRARGRPRMAAGGREEVDGAAESSVTTCRSHGGRWRARAERAGYGGKRGGGGGATVEESRGGGGGARWRSRRGYRMGSGMGERPRERRWDRGGAGGGRER* >Brasy2G271500.1.p pacid=40071334 transcript=Brasy2G271500.1 locus=Brasy2G271500 ID=Brasy2G271500.1.v1.1 annot-version=v1.1 MATPAAEQRGLRVVVVGDPGTGKSSLIVALAANQFPVTPPRVVPPTRLPVDLFPERIPITVVDTSSSEGRTAELITECQAADAVVLTYACDRPETLDRLSTFWLPKLRSCQVKVPVIVAGCKLDLKDEQQGDLAQAVEPIMQSFGEIETCIECSALRQIQVGEVFFYAQKAVVYPTAPLFDQKIEALTSRCISALKRIFILSDHDMDGALSDAELNEFQIRCFDTPLQPAEIVGVKKAVKEKMPEGVNVNGLTLTGFLYLHALFMEKGQVQTTWTVLRKFNYDNDLKVRAEPIPKIAPDQTLELTNEAVDFLRGIFKMIDMDNDGALLPAELEDLFSTAPENPWSSNPYKDCAEKNVLGNLSLEGFLSKWALMTLLDPANSFSNLKYVCYPGDFSSAFTITRKRRVDRQKQKTQRNVIQCYVFGPRGTGKTALLQSFLGRQHSDAPPARSERFAANVVELSDDTRKKLVLREIPEVDISSLLSKKESLAPCDVAAFVYDSSDELSWQKAKEMLVQVATHGENTGYSVPCLIVACKDDLARSPLALQESIRVSQDMGMETPIPISVKLDGFNDIFCRIVHAAQKPHLSIPETEAGKARRRYNRLLNRSLMVVSVGAVAVVGIAACRIYAARKNTSS* >Brasy2G271500.2.p pacid=40071335 transcript=Brasy2G271500.2 locus=Brasy2G271500 ID=Brasy2G271500.2.v1.1 annot-version=v1.1 MATPAAEQRGLRVVVVGDPGTGKSSLIVALAANQFPVTPPRVVPPTRLPVDLFPERIPITVVDTSSSEGRTAELITECQAADAVVLTYACDRPETLDRLSTFWLPKLRSCQVKVPVIVAGCKLDLKDEQQGDLAQAVEPIMQSFGEIETCIECSALRQIQVGEVFFYAQKAVVYPTAPLFDQKIEALTSRCISALKRIFILSDHDMDGALSDAELNEFQIRCFDTPLQPAEIVGVKKAVKEKMPEGVNVNGLTLTGFLYLHALFMEKGQVQTTWTVLRKFNYDNDLKVRAEPIPKIAPDQTLELTNEAVDFLRGIFKMIDMDNDGALLPAELEDLFSTAPENPWSSNPYKDCAEKNVLGNLSLEGFLSKWALMTLLDPANSFSNLKYVCYPGDFSSAFTITRKRRVDRQKQKTQRNVIQCYVFGPRGTGKTALLQSFLGRQHSDAPPARSERFAANVVELSDDTRKKLVLREIPEVDISSLLSKKESLAPCDVAAFVYDSSDELSWQKAKEMLVQVATHGENTGYSVPCLIVACKDDLARSPLALQESIRYVPIIEPLRYNPTI* >Brasy2G466300.1.p pacid=40071336 transcript=Brasy2G466300.1 locus=Brasy2G466300 ID=Brasy2G466300.1.v1.1 annot-version=v1.1 MASTTFSSAFSLLSLPSSSPSPSVSVPRTLPVANRRRRAVAVASTATESPKVIELGDAIAGLTLEEARNLVDHLQERLGVSAASFAPAAAVAAPAAAVAEEAPVEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEGVGAKVSVA* >Brasy2G466300.2.p pacid=40071337 transcript=Brasy2G466300.2 locus=Brasy2G466300 ID=Brasy2G466300.2.v1.1 annot-version=v1.1 MASTTFSSAFSLLSLPSSSPSPSVSVPRTLPVANRRRRAVAVASTATESPKVIELGDAIAGLTLEEARNLVDHLQERLGVSAASFAPAAAVAAPAAAVAEEAPVEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEGVGAKVSVA* >Brasy2G065700.1.p pacid=40071338 transcript=Brasy2G065700.1 locus=Brasy2G065700 ID=Brasy2G065700.1.v1.1 annot-version=v1.1 MATPPTQGEPSSSDPKSKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPETMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKTVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAIIFIDELDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISKDTHGFVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALTTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMERRRKDNPEAMEEDVVDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAGAAAAADPFASAAAAAEDDDLYS* >Brasy2G030100.1.p pacid=40071339 transcript=Brasy2G030100.1 locus=Brasy2G030100 ID=Brasy2G030100.1.v1.1 annot-version=v1.1 MDTNDDAVGNGLCFPYDVLLDILRRVPRRALAASCRLVCRSWRGIVDAHGLLLPHVFPREFPGIFATYYGFETDSTFICPPRHRRRPLFWDDRYHVKHHCNGLFLSDSYGLGGWNDYVCNPATMRCARLPLPCPPAGHGTGIEGVFLAFDPAVSRHHEVFHFPTQRMPEQVKIEPEEPSWIDPEYPFPSLFGQEEPSVEEEPQEPSLIDSEQLFLPSLFEEEQHSKEEEEQDGDEEEDQDNIDDTQSEQEEEQDSDEEDEHLRSDTEEQLSQQEQHGLHGKEPGQVDLEQMLPPYLSEDYSCEEQQLESRAEEPAGPAAEAPEKEVLRVSVFSSRTGEWESREFMPGRCTSRHKYDVVTAPRGEEAQGRWSAEYWRGSLYVQCHSGVLMILDCSEGTYNMVQLPGHPYHDKDLPSYALPKRYVLASYERGICYVVLNEFELKVWELTELVGSQLGWTLAHETNLEAQNRTVDYLRENQKMQPRITWEVVESNIGLITLFEGENIDGSNDVDEEEEQDNVDDTQSEDDEEQDGDKEEEQDNIGDTQSEEDEEQDSGDEDGQLWSDTSSEYSWNLDEHTFIDFDKSVTGDIPIWPWGVRIVGFHPYKDVLLLKLNDTMVAYHLQTSRMQYLGGIYPKRPCQHARDVRNAFTYRPCYIDALPARKTS* >Brasy2G419400.1.p pacid=40071340 transcript=Brasy2G419400.1 locus=Brasy2G419400 ID=Brasy2G419400.1.v1.1 annot-version=v1.1 MVGSGEAAAGSRVRVGSAQEERELNPDRISALESSIGTMQKGKAGFGVRYAKNRLNVKRKKLMQEIVCACARKPLKVTNPSRRPLSCPRGLLLRGRCALLAVPKPESWPYFLHELVWCGASMICVLSFPGDDLGGDRRHHRQPHPRQPHPPSQVCHVHTAFTLLPC* >Brasy2G062500.1.p pacid=40071341 transcript=Brasy2G062500.1 locus=Brasy2G062500 ID=Brasy2G062500.1.v1.1 annot-version=v1.1 MRSSSSTSSGGGRRRRMSDYHGGGASSGDLSRFSVATAASSSSSAPAASERSANGGRGAAFLDVFRSCFSPAEARSPDTSLSEDFHPSHQLSQSISSQGSSSGSTFESKRSTRGLYGPIHRNSSEREIPGDRKFSLPEIQKATKNFSPNLKIGQGGSGTVYRGQLSDGTLVAVKRAKKNVYDKHMGHEFRNEIETLRCIEHLNLVRFHGFLEYGGEQLIIVEYVPNGNLREHLEGLNGKFLEFSVRLEIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLMNNCRAKVADFGFAKLAPTDATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIDPKRTMIERVTAKWAMEKFAEGDAILTLDPNLEATDAINLAVEKIYELALQCLAPKKRNRPSMRRCAEILWSIRKDYRELALLPTSCMN* >Brasy2G417800.1.p pacid=40071342 transcript=Brasy2G417800.1 locus=Brasy2G417800 ID=Brasy2G417800.1.v1.1 annot-version=v1.1 MAKEGAGGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQANTIDVVSRMKEITQVMKTPDDVLQSHGVTPENIEDMLDELQEHVESIDMANDLHFIGGLDPLLGYLKNSHAGIRAMAAEVVSTICFAVGGRPKCFFFFCVGV* >Brasy2G164200.1.p pacid=40071343 transcript=Brasy2G164200.1 locus=Brasy2G164200 ID=Brasy2G164200.1.v1.1 annot-version=v1.1 MTSLQSLISKQLVAPNCTVTARLNGAPPSVVNASSSEASSDEKKVTNRRLALLGAGALSTVLLNRGSAYAEEVPKNYRSYVDANDGYSYLYPADWRDFDFLGHDSAFKDKNVQLQSVRVAFIPTEKTDIHDLGPMDEAIFNLVNNVYAAPNQIPTIYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNKLKVVADSFKISDMNA* >Brasy2G164200.2.p pacid=40071344 transcript=Brasy2G164200.2 locus=Brasy2G164200 ID=Brasy2G164200.2.v1.1 annot-version=v1.1 MLKIPEVPKNYRSYVDANDGYSYLYPADWRDFDFLGHDSAFKDKNVQLQSVRVAFIPTEKTDIHDLGPMDEAIFNLVNNVYAAPNQIPTIYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNKLKVVADSFKISDMNA* >Brasy2G447900.1.p pacid=40071345 transcript=Brasy2G447900.1 locus=Brasy2G447900 ID=Brasy2G447900.1.v1.1 annot-version=v1.1 MASKMTAAILVSVLVLALLASSDAKFCNSQPAKDPHCDDPRIGYESCVKRCESEGREGGFCSQKSNICYCIDCRPGARLEHRSLRIHGA* >Brasy2G018700.1.p pacid=40071346 transcript=Brasy2G018700.1 locus=Brasy2G018700 ID=Brasy2G018700.1.v1.1 annot-version=v1.1 MPFADLLLHGNQDQLDRCAAATDLWDPASVLDHRPSPPASACSTLSSSSPLRAPAAGVAALAKNAAPPPPASAAAWPPPSGGEDDSKDDWVHHLPPLDMGMGMGMAWGEGDPHHAAAMAPVADHQHPPSQPNSTFLHWIIGGDDASSGAAASAMDHPDLDLDHMLPFPLHPADDAKPFRSSPPAALLHHHHQPHTHAAFHGAFPSSSSFDAHQQQATKRQHPMAGASSPKLLPPFVSGPGGFVSALKPKAEATAGDDAAAVDQLAEAARLAEAGDGFGAREILARLNHRLPAAPAAGTPLLRSAFYFKEALRVALDATTGEAASSSAAAAASTPVDVLLKLGAYKAFSEVSPFAHFTCVQAVLDELAGAACIHVLDFDIGVGEQWASLMQELAQRRPGGAAALKVTALVSPASHHPLELQLIHENLSNFAADLGVPFQFTFFSLDAVDPAELLAIAGGDAIAVHLPVGSVHAAAVPSVLHLVRQLGAKLVISVDRSCDRGGELPFATHLFQALQSCMFLLESLDAMGTDPDVASKIERFLIQPKVESCVTRRHRAATAGDKMLPWRTMFASAGFVPVQISNFAEAQADSLLKKVPVRGFRVEKRGGSLLLHWQRAELVSVSAWRC* >Brasy2G150300.1.p pacid=40071347 transcript=Brasy2G150300.1 locus=Brasy2G150300 ID=Brasy2G150300.1.v1.1 annot-version=v1.1 MPDLQPPQRSPSSGATRGPHSRHAPQEARRGCRCRRSCHAPPSSGAQPRSSPRPPSPSEQCAVAVLAVTAAALRPTRQRRPSCATAALLQCIVLAVAAAALRPTRRRHRSPPPVRAVAVRRHPPRIPSQRPLLILLTG* >Brasy2G163200.1.p pacid=40071348 transcript=Brasy2G163200.1 locus=Brasy2G163200 ID=Brasy2G163200.1.v1.1 annot-version=v1.1 MAHGKRSRQQAEAVSLLDLDSGDMARILMLFSGHNHQHHIEHAPSSSPERVFECKTCSRQFPSFQALGGHRASHKKPRLADGAQSEPPKPKVHGCSVCGLEFAVGQALGGHMRRHRAAVAADGLGLGPNVEDGSKTTHAAAELVLDLNVPALEEEPATVGADRARPGLAAELFPVVVDFRR* >Brasy2G138000.1.p pacid=40071349 transcript=Brasy2G138000.1 locus=Brasy2G138000 ID=Brasy2G138000.1.v1.1 annot-version=v1.1 MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENVAKHWKSNEAEAVETAKEWTRLYASGA* >Brasy2G343700.1.p pacid=40071350 transcript=Brasy2G343700.1 locus=Brasy2G343700 ID=Brasy2G343700.1.v1.1 annot-version=v1.1 MSTLCWNCRGLGDPATVHELRDLVRESAPEVLCVVETQIAKYRVEGLASTLGFDHAFGVDSSGRSGGLCMFWKNGLDLRLRNFSKYHIDMEVRERGKEPWRLTCWYGEANRSLRYKTWDMMRFLKADCSLPWLCIGDFNEVLRREEHFGPNERDMGQIALFREAVDVCELYDLGYIGLDWTFEKRVAGGAHCRVRLDRALATGDWCAMFPFASVRHMLAVKSDHSPILLLNDLEAQNLRIANTRPFCYEVMWESHEEFAPMLESAWQGAETVGELHDKLEAVAGATLRWSTKSFGAVRKELREQRARLKVMRSEPGRVGPTYEEVMVEQRIVELSYREEVMWRQRSRVQWLAEGDKNTRFFHQKAAHRRKKNRVNRLVRADGSFVRTRWS* >Brasy2G386500.1.p pacid=40071351 transcript=Brasy2G386500.1 locus=Brasy2G386500 ID=Brasy2G386500.1.v1.1 annot-version=v1.1 MREESNKKSKLSWSKSLVRKWFNIKNKAQDFHADFDASQGRDGGERRTSCSEREAATAKKSRTDRSLKRNVDRIRRGRNEFDMSRLTETQDYRIFASTWNVGGKSPSRGLDLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNKNPELSSHGGYRTPSPVPDPVVELDADFEGSSRRHDSSSFFQRRSFQNLSRSLRVEGNDMFPQPRLDRRFSVCGPVSLGGRPSNFDGNFLCTGSPDDQYIDEDANNGPYFSPFLYGYGASPPTEENNEHPNTPRYCLVASKQMVGVFLTVWVRNEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFVCCHLTSGEKEGDEFRRNSDVMEILRKTRFPRVRGCGDVKSPETILEHDRIIWLGDLNYRISLSYPSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGKIYFPPTYKYSFNSDRYSGYGVRPKEKRRTPAWCDRILWHGNGIIQLSYVRGESRFSDHRPVYSIFMAEVEILRQRRRNMGYFSSRVEVEELLPYSHSYADIKFY* >Brasy2G386500.2.p pacid=40071352 transcript=Brasy2G386500.2 locus=Brasy2G386500 ID=Brasy2G386500.2.v1.1 annot-version=v1.1 MREESNKKSKLSWSKSLVRKWFNIKNKAQDFHADFDASQGRDGGERRTSCSEREAATAKKSRTDRSLKRNVDRIRRGRNEFDMSRLTETQDYRIFASTWNVGGKSPSRGLDLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNKNPELSSHGGYRTPSPVPDPVVELDADFEGSSRRHDSSSFFQRRSFQNLSRSLRVEGNDMFPQPRLDRRFSVCGPVSLGGRPSNFDGNFLCTGSPDDQYIDEDANNGPYFSPFLYGYGASPPTEENNEHPNTPRYCLVASKQMVGVFLTVWVRNEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFVCCHLTSGEKEGDEFRRNSDVMEILRKTRFPRVRGCGDVKSPETILEHDRIIWLGDLNYRISLSYPSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGKIYFPPTYKYSFNSDRYSGYGVRPKEKRRTPAWCDRILWHGNGIIQLSYVRGESRFSDHRPVYSIFMAEVEILRQRRRNMGYFSSRVEVEELLPYSHSYADIKFY* >Brasy2G436900.1.p pacid=40071353 transcript=Brasy2G436900.1 locus=Brasy2G436900 ID=Brasy2G436900.1.v1.1 annot-version=v1.1 MVFTALLAGILLLLLVSKKLTGSNGQTSGLPLPPSPPGLPVVGHLHLLGPLPHRSLRALSGKYGPVIHLRLRRVPTVVACSAAAAEEAMKARDLAFASRPRLAMVDRFYYGSGGIDFAPYGEHWRQARRVCVTRFLNNNPRRVASLAHGDEGVVNLSDSLIVYSNTVISRAIFGGDAGFSRQGGERMRKAFAETEELLVTAPMAETLPWLRWVDVHVTGLEAKTRRAFREMDALLDRVIADHRQKRRRGLAGAEEDDDEERDFVDVLLEDYGGGSSEAEGAMKGIILDMLAAATDSTFTLLEWAMAELISHPDKMLKLQHEIRGAVARSSGSCGDGGAVTEEHLPELPYLKAVVRETLRLHPPTPLLLPRETRQDTELQGYAVPKGTRVLVHAWAIGRDPAIWGADAEEFLPERFLGYDDDDDMRKKKKDFAFLPFGAGRRGCPGVGFAMPSNELALASLVYSFDWELPPGDGAGGREKKVDMSELHGLSVRLKTPLLLVAKPYEAGRGGQGAAYKLLIVPMHVAGLELVGSS* >Brasy2G373000.1.p pacid=40071354 transcript=Brasy2G373000.1 locus=Brasy2G373000 ID=Brasy2G373000.1.v1.1 annot-version=v1.1 MQALCFGEKAEITIDLKPRRMPAPMGLRTSEASPGRPSGPRDDKRTGTCWLGSELVQQPASALRLTTAVVAGCGQAKAVLCNHLLLDLVLDFLGWCYGGNGRSVMRHRLRW* >Brasy2G121200.1.p pacid=40071355 transcript=Brasy2G121200.1 locus=Brasy2G121200 ID=Brasy2G121200.1.v1.1 annot-version=v1.1 MASPAAGAASALLLLLAFAVAGVLSDGSDHRYKAREPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDRDSKPVCSKKLTKEDVAKFRNSVAKDYYFQMYYDDLPLWGFIGKVEKTAKADPSEWKYYLYRHIIFDILYNNDRVIEITVHTDQSALVDLTEDKEANVEFLYTVKWKETATPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRTTVPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE* >Brasy2G121200.2.p pacid=40071356 transcript=Brasy2G121200.2 locus=Brasy2G121200 ID=Brasy2G121200.2.v1.1 annot-version=v1.1 MYYDDLPLWGFIGKVEKTAKADPSEWKYYLYRHIIFDILYNNDRVIEITVHTDQSALVDLTEDKEANVEFLYTVKWKETATPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRTTVPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE* >Brasy2G261900.1.p pacid=40071357 transcript=Brasy2G261900.1 locus=Brasy2G261900 ID=Brasy2G261900.1.v1.1 annot-version=v1.1 MKVAVRLYGLFSPCASSPPCACASHRSAGLGPDPASAGPDPGRRRPAGLESAFPDAEAPFERLHRAFIPDLLGSAAAPRARSDLLPACLLSGSGERRSMSARPGLHLCGRRLPRASVAFFATPVTPCLPARIQSLLARFCRAPPTWASWGSTNRPGSRLQRASQPSPGPVPLPAS* >Brasy2G031100.1.p pacid=40071358 transcript=Brasy2G031100.1 locus=Brasy2G031100 ID=Brasy2G031100.1.v1.1 annot-version=v1.1 MELLSRAPVLSCGAKRGAAGSSASSSPMGPLMGALGRWIYRAVKPPPTPRICGAPGGPPVTAPRVTLRDGRHLAYAESGVRKEDARFKVVFSHGFSGSRLDTLRASPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGSKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPADLAAEVYNKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVIAGTTPLPNKRDAEIRSNMKADGTFQKKMELATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLASQLSWVNYHELPGTGHFMSPVPGLGDTVLRTLFG* >Brasy2G031100.2.p pacid=40071359 transcript=Brasy2G031100.2 locus=Brasy2G031100 ID=Brasy2G031100.2.v1.1 annot-version=v1.1 MWVTSMPQVWDEEARSPGGGMAASPAAALLGWLSRVADPPAPRPCGSPGGPPVTAPRVTLKDGRHLAYCESGVPKEQARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGSKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPADLAAEVYNKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVIAGTTPLPNKRDAEIRSNMKADGTFQKKMELATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLASQLSWVNYHELPGTGHFMSPVPGLGDTVLRTLFG* >Brasy2G301200.1.p pacid=40071360 transcript=Brasy2G301200.1 locus=Brasy2G301200 ID=Brasy2G301200.1.v1.1 annot-version=v1.1 MDNGSSSSAPLHVVICPWLAFGHQLPCLDLAERLASRGHRVSFVSTPRIIARLPPARPAAVASLIDFVPLPLPRVDGLPEGAESTIDVPYEKFELHRKAFDGLAAPFSECLRAACSEEGKKPDWIIVDTFHHWAAAAAIEHKVPCAMLILCAASLIVAWATQLSKHAASGQEQSAAEPPRFETERRKLATTLRASGMSIAERCSLTLQRCNLVAMRSCLEWEPESVPLATTIGGKQIVPLGLLPPSPEGGRRGASKEDAAVRWLDAQPPRSVVYVALGSEVRWARSRFTSWPLGWSSPGHASSGPCGSPAASRMQTSSRRGSRSGRAAVGS* >Brasy2G089900.1.p pacid=40071361 transcript=Brasy2G089900.1 locus=Brasy2G089900 ID=Brasy2G089900.1.v1.1 annot-version=v1.1 MGVRRFVNLLVANRTRCTYSLRRFDLSQNQFFYESPEELASHGRVLPIQKYTEGSAYSPLKGKKANGKNKKHLAASDIGTIRLPAPFFTMRPTPCWPGKPDEQQLDVFALSESKIMLADRHRRVLSYDADSHCALTMPCLHAPKDDPLTVSIPGSQQNDGEGSIYIIERMLWPESERSFQFEALLSNRFHAGRYHPFGTWGCQAFPLPPTSLSKRALVCSAAAVGNAICVSISGAGTYCFDRASHTWSHAGDWMMPFFGTPEYVPELNLWFGISGRDFKLPCAADLSPVARGQPPEPALFWGHDDHLPEEWHYRLGTPSQMVSLGSGRFCILRYLETRIPCPDEVIVDNSYAIFTGLEVLAGNGNGKKHGLRMVSHKSRRCRNPEANSIQRLL* >Brasy2G431000.1.p pacid=40071362 transcript=Brasy2G431000.1 locus=Brasy2G431000 ID=Brasy2G431000.1.v1.1 annot-version=v1.1 MGGHGAAAPPPPGSVPGCSHSAPPPPPPPRPAVPAPPGAVDDGDAPLPIDLLLEIAARSDVVTVVRCAALSKPIRRAILDQGFRRHLAQRAAPNGGFVPPLLRGVSYKIEVGDPYRPPARILQPLPSDDPAVIRFNESLNFEPVAARDGLVVLRRLRPRPIFGQCVEDGPPGSDLRVCNSITGHSSILPSVSIRDYRKHALLVVDDLGRSFELLVSDERLRTQIYSSRTGRWSPVRAAQIQRPSRPFHDSQPLVIGRTVHWLCQPDPLPPGRSTTEPYIVALNVDTAQATIMDLPRGCTSRMTASMSHRGLILAAWPDGRLIVVVSETQVISMWTLSAATEEKPNFPSRWSRRVLIDKQDWGVHNSVQFEGFGQRSGTVLLNVGRLGLVRLNLATKEALVVYQWMETAYVTQVCMHEINLASLLQAMKPLTE* >Brasy2G461100.1.p pacid=40071363 transcript=Brasy2G461100.1 locus=Brasy2G461100 ID=Brasy2G461100.1.v1.1 annot-version=v1.1 MSLRPSERVEVQRCYKASVDAEEGRREDHRREDFLLKQLHEGLPSSAAPGGSWLVVHAPGGFLGQSCPARAHGSEEGPIPGEASRARGQEKQEKRINPSLVRYNEYDHPICRVCNVTLKSEALWPAHQVSRKHHEDEELAGHQQTPLENLEPILREDIQKIWDAVPKPHAHKETPLI* >Brasy2G045600.1.p pacid=40071364 transcript=Brasy2G045600.1 locus=Brasy2G045600 ID=Brasy2G045600.1.v1.1 annot-version=v1.1 MGCFGCFAPAARAGGGDPKPPKLGHHCPEDSSGADARRKVAPDVGNGCAHSFTFKDLLVATSYFNEANFIGEGGFGKVYKGKISKANAQGVVGDARMVAVKQLARESVQGSHEFLVEVLMLTVLSHPNLVSLFGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRVKIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDKDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVLSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLSWARPFMHDKRKFHRLVDPALQGGYPPSALNQLVVISIMCLQDQSHVRPIIADVVIGLKHIANQPYAPERLLESLCSPSKCGSPQYVHTPSRRRGGRRVSQYS* >Brasy2G045600.2.p pacid=40071365 transcript=Brasy2G045600.2 locus=Brasy2G045600 ID=Brasy2G045600.2.v1.1 annot-version=v1.1 MGCFGCFAPAARAGGGDPKPPKLGHHCPEDSSGADARRKVAPDVGNGCAHSFTFKDLLVATSYFNEANFIGEGGFGKVYKGKISKANAQGVVGDARMVAVKQLARESVQGSHEFLVEVLMLTVLSHPNLVSLFGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRVKIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDKDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVLSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLSWARPFMHDKRKFHRLVDPALQGGYPPSALNQLVVISIMCLQDQSHVRPIIADVVIGLKHIANQPYAPERLLESLCSPSKCGSPQYVHTPSRRRGGRRVSQYS* >Brasy2G217500.1.p pacid=40071366 transcript=Brasy2G217500.1 locus=Brasy2G217500 ID=Brasy2G217500.1.v1.1 annot-version=v1.1 MKSSCFPASAADGEEEEESGAALVPPPPDPLPGGAAPPCKVVDDDVTPRVLERQSSSSTTTSPKPPWWKTAVVSPTKKQGAVWVVIGGLVLLAVLVGTTWIDLTAASSFLPGNGGGISGGRHHPPHRRRPRTSPTSTQIPIPFDCAAAAAAAATANGTTSLCSRRPARPRSPPPPTSATAEEAHSPPPPPPTCPDYFRHIHSDLSPWRASGGITLATLERAIPHAAFRLTVVSGRAYVETYHRAFQTRDVFTQWGILQLLARYPGRVPDLDAMFNLEDMPEIFRSSRNNDNPAPPPPPLFRYCKDGGDSVEILFPDWSFWGWPEVNIRPWAPLMEDFVRENRALPWQNREPYAFWKGNPYVSDARKDLFKCNNDSAAGKEFNARLFDVDWGAASRNGFKDDDSTNLAKQCKYRYKIYVQGRSWSVSEKYILACDSPMLAIDTSFRDFFSRGLVAGEHYWPIDRAEKCDAVKFAVDWGNKHPRETMRLGEEGSRFAREEMGMDFVYDYMLHVLTEYAALLRYKPTVPEKAVELCPEAMACGAEGREREFMMESRERHVAGYEPCSLPPPFTKEETRDMDAREQEVRRKVVKMEKAGS* >Brasy2G468500.1.p pacid=40071367 transcript=Brasy2G468500.1 locus=Brasy2G468500 ID=Brasy2G468500.1.v1.1 annot-version=v1.1 MASTLAPPRWHHPPPPTTAAGSGRLLLHLSAVPARRRAAAVSPRAFFSRADLDGLLRRAWQGANAGAERFSFEARQAAQRLDGRYSISRRVAEAARAARERAAEIDAELGVGRRWRSFSVDFSRNWPRYRRELTDFLSTPIGRALSTLFFVWLALSGWLFRVFIFSTFVLPFAAPLLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPSSSSSGGAGRSRNSEPDIIDVEFEEK* >Brasy2G311600.1.p pacid=40071368 transcript=Brasy2G311600.1 locus=Brasy2G311600 ID=Brasy2G311600.1.v1.1 annot-version=v1.1 MSLLSDLLNLDLSDSTGKIIAEYIWVGGTGMDMRSKARTLPGPVDDPSKLPKWNFDGSSTGQATGDDSEVTLCPQAIFRDPFRKGKNILVICDCYAPTGEPIPSNKRYNAAKIFSHPDVKAEEPWYGIEQEYTLLQKDINWPLGWPLGGYPGAQGPYYCATGAEKSYGRDIVDAHYKACLYAGVNIGGINAEVMPGQWEFQVGPSVGISAGDELWVARYILERITEIAGVVVSFDPKPVPGEWNGAGAHTNYSTKSMRSEGGYEVIKKAIEKLEARHAEHIAAYGEGNERRLTGRNETADINTFVWGVANRGASVRVGRDTEKEGKGYFEDRRPGSNMDPYVVTSKIAETTILWEPNLSNGK* >Brasy2G486600.1.p pacid=40071369 transcript=Brasy2G486600.1 locus=Brasy2G486600 ID=Brasy2G486600.1.v1.1 annot-version=v1.1 MNTAMMGRFRLPRELLIIVLAVVLPVVAMASAVPVPPAKLCGTTNETAAAAAMQQKKVTAVLVFGDSIVDPGNNNNLHTMIKANHAPYGKDFTNHVPTGRFSNGLVPSDFIAQKLQLKRLLPPYLNVDHTPEDLLTGVSFASGATGFDPLTPKIVSVLTLEQQLEYFDEYRRKLVSITGSEEEASKIVSGALFVVCAGTDDLANTYFTTPFRSLHYSIPAYVDLLVSGAASFLRSLSARGGARKIGFVGLPPIGCVPSQRTVGGGLLRRCEPRRNYAARLFNSRVQELIKDLNGDPLFGNRTRVVYLGIYDIIQELVDDGGRWGFTETTKGCCGTGLIEVTQLCDSRFMAVCDDVEKHVFFDSYHPTEKAYGIIVDYIWDNYSQNLLL* >Brasy2G354900.1.p pacid=40071370 transcript=Brasy2G354900.1 locus=Brasy2G354900 ID=Brasy2G354900.1.v1.1 annot-version=v1.1 MGKMPSFLEMKTGAASGASEAAQALIESDLRELSVVARKLANHAIVLGGGLGFGSSLLKWLAFIAAVYLLILDRTNWKTNMLTGLLVPYIFFTLPGVLFSLIRGEVGSWIAFIVVILRLFFPRHFPDWLELPGSLILLTVVAPSLFADTFRGDFVGIAICLAIGCYLLQEHIRASGGFREAFRKANGVSNTIGIVLLFIYPVWALVLMLL* >Brasy2G275700.1.p pacid=40071371 transcript=Brasy2G275700.1 locus=Brasy2G275700 ID=Brasy2G275700.1.v1.1 annot-version=v1.1 MVEEGAKRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHHPDAMTGETLELNVYNDKKAIAGGGSGGGSGRRGGTFLGKVKVAGASFAKEGDETLVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPPPPAPAAAEEKADASAEKKEATEEKGKESAAAPAAEEKKPEEAAAEAKKAEEAKPEEKKPEAGKKDGKKNSPEKGKKDGQKPKEEGKAKEEKKEAASPSPSKAPPPSPSKMQLSNAGIAGDLEIRPQSAAERSMTASGGSASYDLVDRVPYLFVRLLKAKHQDDGNKQPLYAQLSIGAHTVRTRSAAAAGEWDQVFAFHKASLTASSLEVTVHEEAKKPDKEGEPVPADPNLGFVSFDLQEVPKRSPPDSALAPQWYTLEGHADDGTSACDVMLAVWVGTQVDEAFQEAWQSDSGGNLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPSPSDAKTKQFAPAFPELYVKAQLGAQVFKTGRIALGSAAAGASNPSWNEDLLFVAAEPFDPFLTVAVEDIFSGQPVGQARVPLSTVHRRSDDRAEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLSIAVFDNARYKTENGKLPPKDARIGKLRIRLSTLDTNRVYVINYALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHTWSMRRSKANWFRVVGCLSHVATAVKWGHRVRTWEHSPTTVLVHMLLVAVVLCPEMILPTVCLYLFLVLLWRYRSRPREPTGMDPRLSHVDSVSPDELDEEFDGLPSGRPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAVVCLLTALVLYAVPFKVLLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL* >Brasy2G233400.1.p pacid=40071372 transcript=Brasy2G233400.1 locus=Brasy2G233400 ID=Brasy2G233400.1.v1.1 annot-version=v1.1 IWGCWSLTSDRKLEASRCFVAAAAPCPSSAEERRRTLHPTASIAAATRPPRGPLLPLRQRGRAVLSLPPPSDSSAPVAAGTRAGVGSLPQMRAMWID* >Brasy2G191400.1.p pacid=40071373 transcript=Brasy2G191400.1 locus=Brasy2G191400 ID=Brasy2G191400.1.v1.1 annot-version=v1.1 MSCSSLPPAAAAMPPSPREHVERIRRERFFIGRGERNPLAEDMHQAVNYLSQELYSKDVHFLMELIQNAEDNEYPSGVSPTLEFLITTKDITHSGATATLLVFNNEKGFAPENIESICRIGKSTKKGRRDSGYIGEKGIGFKSVFLVSKNPHIFSKGYQIKFNEDACVECGIGYIVPEWVEERPSNSDITKIYGCPESLPATTIILPLKNDKIEAVKKELSSTHPEILLFLSKIRKVTVREINDDLDTTSLSQISISSEADALTRKDIGAESYTLHLSAEEDKTGNHHCSYYIWKQHFPVKPECRVHKRDEIDQWVVMLAFPYGQRLSRGMGSPGVYAFLPTEMVTNFPFIIQADFLLSSSRESILLDSPWNRGILDNVPSAFLNAFVALVKSTEDAPVFALPPVFKFVPVNHTSTELMESVRLSIRNKLIDAEIIPCETCSSLKIFCKPTEVSRLNAAFWSVINKAMKLPVDIPNISLHGTHIVSSYFDSEEYDNVLGFLGVGFVDFEWYGRCIKGSHLVMLLPEVSYFDLLAFVAHNWRVDFAGTNMEHIPLIKYAGADGEVEYKSVYEATTADGNICMLYDEEYAPFVINWNKNYFSTASRILFMPMSTQKALKQFHQRNAVVEWLEKYVCMKNLTLHEYALIVVKGLHEKKLVLAFTNFMYHLHRENYMPECSINQICSLVPIVDSCGCVVATRIAALVPARRSKWAALLGKNPWRAQSYVELADDYMSAGSFSDDYTYVDPFLSFVKEYMQARDLPYLVPPDAGFPAASSPLTIENALILLEWIADLRSRGIALPKKFLNCISCGSWLKTSVGYCRPSESFLPDAEWGSLFPAELAFVDVPMIDQEFYMDKISSYKEVLETTLGVKFEFADTMSYMGNCFTSMTSARTADKVLSLLQFVRFLQQKHISTDHLVSSIRNGSWIKTCLGYKSPAESILFSSEWTIPSEVSCLPFIDIDFYGNGISSYKSELELLGVRIKFKQNYQIIVDNFKLPTGSVTSGAAILILKCIRYADSCKKLMKGLKKRPWLKTNAGFRAPRETFLLDSEWKCLVKFADVVPLLDVPFYGDEILSFREELTKIRVVASLEQASNAITSHLKQLLSTSSLTKGIRLALLSCYKELNEHNTFPANILKFMCTEKWLHTTHGFRSPEKCVLFDCSWEPVIPVASLPFIDDSDSSNGTGKEIYSYKKELKALGVSVDLNHGADVLLSSLTIAEEHEMSTPTAVSPSSQLHFIDGNTYGSAEQNEQCLTSHDNRSLLLNSTLVALLKCMERSAHPRKFAEEIKKMKIKTTLGYSYADGCILYDSSWSSYFHMEDGPFIDEAFYGPDIFLYRTELKLIGVVLDVGSGCSVMALNLRNFSRVETIYRIYRYLSAFKWKPTNEGENWIWIPKGRSSGQWKRPADCVLHDRNGLFCARFCVLDNYYENDLLIFFSNVFRVRHSPRVLDHCFLWRSWECTCSKLKPASCSAFWEFIGNRWNATTAKLLSGSVTRVPVLVDGKIILRRVEDVFVPDDLLLKHLFDRFSSEPMFVWYPAGLSFVSRTKMDIIYQSVGVRSISKAVTKDESFASNSSRCQLVDTTDGIVTPGLLRIIIAFLANPALEIVPKKRHQMASYLLGINVREMIEPITVSYKVELLSVGRTVSVKGHRMFRWEREDRKLYMHKSDGLLERTTRMELATCFAEEISQGLLYERVDLIASLTEILKIGFLVDFDEDEVEFLLRSKNLQLFAEDENFLLDAFPREDLQVLCQT* >Brasy2G328900.1.p pacid=40071374 transcript=Brasy2G328900.1 locus=Brasy2G328900 ID=Brasy2G328900.1.v1.1 annot-version=v1.1 MASEPAADGHDHPPAVAPGDDETAAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKPLPVELVNCDANIFKFQGVIQVQAVLLVLGGCEVPPSLVSMAVPTAYGEKNTTVAAKRVASLMRFREKRKERCFDKKIRYGVRKEVAQKMKRRKGQFAGRADLGDGACSSAVCFSRANGEDDHFLETHCHNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMAVQHPPTLSKMDNLDDDKTILCAENDQTTIKMDSGMSPEQEQRLEPLPPTEDDSMTVSQAKTTS* >Brasy2G328900.2.p pacid=40071375 transcript=Brasy2G328900.2 locus=Brasy2G328900 ID=Brasy2G328900.2.v1.1 annot-version=v1.1 MASEPAADGHDHPPAVAPGDDETAAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVQAVLLVLGGCEVPPSLVSMAVPTAYGEKNTTVAAKRVASLMRFREKRKERCFDKKIRYGVRKEVAQKMKRRKGQFAGRADLGDGACSSAVCFSRANGEDDHFLETHCHNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMAVQHPPTLSKMDNLDDDKTILCAENDQTTIKMDSGMSPEQEQRLEPLPPTEDDSMTVSQAKTTS* >Brasy2G258600.1.p pacid=40071376 transcript=Brasy2G258600.1 locus=Brasy2G258600 ID=Brasy2G258600.1.v1.1 annot-version=v1.1 MFSYFCNYLTSEDSPSEDEPVESDDLQMPSKDFVLNGRCNLTEAQEERIDELVQKIRPKIPVLVVMMKKTNVKQHPDLVISKDYAIKHFPSKSEMIMLKLPGKSKDWPCRFRIRHGGDGHNLYLDDFCP* >Brasy2G063200.1.p pacid=40071377 transcript=Brasy2G063200.1 locus=Brasy2G063200 ID=Brasy2G063200.1.v1.1 annot-version=v1.1 MWMRYAPHNTMIVVHLCYTLMYFLTEAAFNRGLNPYVYVTYRHLLVVVLLLPFAYYHEKKLRPKMTLMLFLEIFVLSLLGVSLTMNMYFASLMYTSPTFVTSMANTIASMTFVIAVVLRMEIVDVKSLRGLAKIAGTAASFAGVTTMTLYKGAAIASPWKAPVHIHGSSAVHDSWIKGSLLAVASCVGWSIWYIMQAGSVKRYPAKLSLTAWMATVGGIQSAAFTVFLQHKKEDWLVGFGLSFWCIIYSGIACSGFNVFAQLWCTEKKGPVFVTMFNPVSTIMVAILAYFIFGENLYHNRRSGGHTGHVHAAVGKDRDQEYNTSSSKELQGSDLDCEKQAKMADVSSIQNDSQPETKTRT* >Brasy2G262400.1.p pacid=40071378 transcript=Brasy2G262400.1 locus=Brasy2G262400 ID=Brasy2G262400.1.v1.1 annot-version=v1.1 MDLRRPPRSTSGGVEPKIRQVGFVTPDVSAPTEPAAVGAAPAPQPGTAVGSPTASDLAPGSLSPVMIPPPLHADHLSPDSPSPAASDAILASSAPQPSSMRFDAASELGDEDSRSLAPSAGELEENKGDLAGDSASIPQKQKTSKAERRAIQQAQRAAKAAAKEAGLSGKSTGAASGANTAISKQAKLAKTSQKKDVPHAASTVGSEKKISERLPERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPIHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYSTPPNKTLSRDLTAKISSYVSFFIECRPLSISMGNAIRFLKNRIAKLPLTLSESETKLSLQTDIERFINEKIIVADQVIVSHAITKVRDGDVLLTYGSSSVVEMILNYAHELGRKFRVIVVDSRPKLEGQGLLRRLVAKGINCTYTHINAVSYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVISKVPGRADLGHLKNWADNENLQLLNLMYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEHLWV* >Brasy2G332500.1.p pacid=40071379 transcript=Brasy2G332500.1 locus=Brasy2G332500 ID=Brasy2G332500.1.v1.1 annot-version=v1.1 MAAGARLPRANPAEAIHHLDIRRLPSSSSSPTTSGHVTINGRPCRIPSLKFPPFLWETTKTRPHGGVVSRAAEQRAALITLGSAAATSTADTTTQLEKKRRGLLRLPGDAGSADLLLPLAYEVARRLVLRQFWATRLALLTRRCWAKVAEAVIHQVVVRCQSFTLIGVAGSLVGSVPCFLEGCGVVLESFLLQFRAMSQVVDHAEIIKLLIEALDMFLIGTAMLTFGMGMYFMFYGSRAAENPIHQRLKEGARFQSIVQAKSRFGHAILLLLQAGVLEKFKSVPLVTGLDMACFAGAVLASSAGVFLLSKMAMHPQRPKPTPFAVNG* >Brasy2G401200.1.p pacid=40071380 transcript=Brasy2G401200.1 locus=Brasy2G401200 ID=Brasy2G401200.1.v1.1 annot-version=v1.1 MAAAGEDPQAPHSGMYYRRKNRASDPISGLSLPAGSGVRSWGCGSVTKDMKDIYDRKVQLINFLSTLHEPTRSSVPMVETNVKYCSTKQETKVTDCSVKQTEPILIPDSDDEDGSTAQLAPEKNKQLIPLGLAGTLTARVTSKGKDQVNETQHYGYQNSQIVPYGQSAALINHHSLQTSWQPSIQYESVILQTRPEEERIQYLAAASHAEKMAETQVFPDLPRERKQRKLDPNSQVDGDAGIAPRKRKRKTGPDPAAVDLPSETYNPVEEEEPAEEDKPENKSDGLEDLWKDFSVAMESSKLNTFEELPNEKELGEKDVDNDCNHDIRIHEDLGHVCRVCGMIVRRADTIIDYQWKKASRSRSYFCGTRSKDADEIVIGDIRVSDDLLALDIAIHPRHKKQIRSHQLEGFHFLVKNLVSDKPGGCILAHAPGSGKTFMVISFIQSFLAKYPSARPLVILPKGILGTWKREFQQWQVEDMPLYDFYSVKAEKREDQLKILNSWQSKMSILFLGYKQFSTIICGDGGGTVAAACRDMLLMVPNLLILDEGHTPRNTATNVLESLSRVQTPRKVVLSGTLFQNHVGEVFNILNLVRPKFLRMESSRPIVRRIMSQVAISGTRVSKVVPDNVFTESVEETLLHDENFTRKAHIIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSPRQKEIVHKLEAYEKFKRSAVGTALYMHPCLSEMSEGDAADRANKLTDAAVDSMVQSINVRDGVKASFFINILRLASCAGEKLLAFSQYILPMKFLERLLVKTWGWHVGKEIFVISGDTSPEDRELAMDQFNNSADAKVLFGSIKACGEGISLVGASRVVVLDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSSEESFHETAFKKEVIPKLWFEWSEQHCTTEDFKLNQTDIDACGDELLDNQAMRQDIKALYRR* >Brasy2G304300.1.p pacid=40071381 transcript=Brasy2G304300.1 locus=Brasy2G304300 ID=Brasy2G304300.1.v1.1 annot-version=v1.1 MASSLASASCALPLHPRVATAAAAAAAGPSCRMLLAVTQPRSAASMCRVGILPPLRCSAIEDPGAGGEEGRKEKGSSRKRVRGRPVWRRILFASKKTRSIMILNALTVIYASDIPVLKEVETLTEPAVFNMVRFVVAAIPFLPFVIRSLGDRRIRNGGLELGFWVSLAYLAQAIGLITSDAGRASFITAFTVIVVPLIDGISGSSIPKLTWFGAIVSIIGVGLLECGGSPPCVGDVLNFFSAVFFGIHMLRTEQISRNTDKKKFMALLSFEVLVVAFSSILWFLFKDGFVDVHDSSFESWTFGMLWDSAASFPWIPALYTGVFSTVLCMWAEMVAMAHVSAAETAIVYGLEPVWGAALAWFLLGERWDSAAWIGAALVLCGSLTVQLFGSSPQKSKNVEPSSRNTLETQLKRQDNFSLSVIPVDSRKNLGSQFERKDKTL* >Brasy2G064000.1.p pacid=40071382 transcript=Brasy2G064000.1 locus=Brasy2G064000 ID=Brasy2G064000.1.v1.1 annot-version=v1.1 MDLGAAMADAPGSAAAGAPPYVSPSAAFPIAIVIAIGFMVTSLILVSYYLLVVRCWLRNAGAGGSTLLPRSRREERVSAVFFTDADAAAAQFRGVDPDVVAALPLVKHQRARSGGGGRAECAVCLSEFAPGERLKLLPACSHAFHVDCIDTWLYHNVSCPLCRTVVTAAAAPLPAAAGVGHEEDDLERRIAESAAGVGHGGSCRFFFPKHGELRQEPIRRSFSMDFLPGDRGRKQPRKEEDAVVADVAGGSGSSSGVAVGETSGRFRRLLSSFGLGRSSRSTVLPVLHLDP* >Brasy2G371700.1.p pacid=40071383 transcript=Brasy2G371700.1 locus=Brasy2G371700 ID=Brasy2G371700.1.v1.1 annot-version=v1.1 MEFNSSYFHAFGNPDFAAVFSGGSAQALRPSPGDGAGAGAGAGAGAAVKAEKGAARQSPTNSPVASFAPDEDQLGGEAQHFLNECSRCRRSLTGDIFMYRGDTPFCSEECRRRHIETEKTRHRRKKQNSPKALAQAQAQAQDQAQRDSAPQLRRPQPQ* >Brasy2G190700.1.p pacid=40071384 transcript=Brasy2G190700.1 locus=Brasy2G190700 ID=Brasy2G190700.1.v1.1 annot-version=v1.1 MVLDPVVGGSASRKVEPLTLGPLRAMGPPPPPPIPPTPRMYIGGPPPLPPPGTIPPRPIILRLDPATTVHMDVVRSVSLLKFITGAGVVPSQEEEHRREEVVRELDKIVMDWARQVAYDQRDKHWITTGTVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFVVLRQMLEERPEVSDLHSIESARVPLMRFKFNGVSVDFPYVQLPVINAAEAIHAFDPRLLEQVDGASWRCLSGVRVNRQIMQLVPNMKRFQVLLRCLKLWARKRGIYCHLVGYFAGIHLAVLGAYVCRRHPNASVNTLFSMFFDIFSHWPWPLPVALHDQAPLWGPDGCSLMPIVMPCFPPEFCASSITKSTFNKIKEELRRGFALTKDIRNVDIDWTWIFAPYPYAVKYEHFLHMVLSAPTTEELRDWVGWVKSRFRNLILKLESLDVDCDPDPSEQVDHTIAAPNVVFFWGLIYRSTKISASSLKNDFMKSVINNIYGKEKCAHSDITMSIIETSQLPKSMSGDSVYKTLQNLPPSMLGYQPMNQGCPVG* >Brasy2G190700.2.p pacid=40071385 transcript=Brasy2G190700.2 locus=Brasy2G190700 ID=Brasy2G190700.2.v1.1 annot-version=v1.1 MVLDPVVGGSASRKVEPLTLGPLRAMGPPPPPPIPPTPRMYIGGPPPLPPPGTIPPRPIILRLDPATTVHMDVVRSVSLLKFITGAGVVPSQEEEHRREEVVRELDKIVMDWARQVAYDQRDKHWITTGTVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFVVLRQMLEERPEVSDLHSIESARVPLMRFKFNGVSVDFPYVQLPVINAAERFQVLLRCLKLWARKRGIYCHLVGYFAGIHLAVLGAYVCRRHPNASVNTLFSMFFDIFSHWPWPLPVALHDQAPLWGPDGCSLMPIVMPCFPPEFCASSITKSTFNKIKEELRRGFALTKDIRNVDIDWTWIFAPYPYAVKYEHFLHMVLSAPTTEELRDWVGWVKSRFRNLILKLESLDVDCDPDPSEQVDHTIAAPNVVFFWGLIYRSTKISASSLKNDFMKSVINNIYGKEKCAHSDITMSIIETSQLPKSMSGDSVYKTLQNLPPSMLGYQPMNQGCPVG* >Brasy2G249400.1.p pacid=40071386 transcript=Brasy2G249400.1 locus=Brasy2G249400 ID=Brasy2G249400.1.v1.1 annot-version=v1.1 MEGEDYLPEGGKLPELKLDARQAQGFISFFKKLPKDPRAVRFFDRRDYYTAHGENATFIAKTYYHTMTALRQLGSNSDGISSVSVSRAMFETIARNLLLERTDCTLELYEGSGSSWRLAKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVLRESQLYIGLSFVDMANRKLGLAEFPEDSRFTNVESALVALGCKECLLPADFEKPIDLQPLQDAISNCSVLLTERKKADFKSRDLVQDLGRIIRGSVEPVRDLLSQFDYALGALGALVSYAELLADDTNYGNYTIETYNLDRYMRLDSAAVRALNIAEGKTDANKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFAEDPELRQGLRQQLKRISDIDRLTHALRKRSANLQPVVKLYQSCRGISYIKDVLQQYNGQFSTLLRTRFVSSFEEWLTENRYGRFSEMVETAIDLKQVENGEYRISPGYSSDLAVLKDELSEVENHINNSHMHTATDLDLSVDKQLKLEKGPFGHVFRISKKEEQKVRKKLTSSYIIIETRKDGVKFTSTKLKKLGEQYQALLSEYTSCQKKIADDVVRISCTFSEVFENFAAIISELDVLQSFADLATSCPVPYIRPDITTSEEGDIVLQGSRHPCLEAQDGVNFIPNDCTLMRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQIGSFVPCDQASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALANKNGDQHQDVPYVGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVIALAKSKAEELEDFSAAPNFNDESNDEVGSKRKRVFSPDDVTRGAARGRVLLEEFSALPLDEMDGRKATEVVAKLKSDFEKDAAGNPWLQQFL* >Brasy2G310300.1.p pacid=40071387 transcript=Brasy2G310300.1 locus=Brasy2G310300 ID=Brasy2G310300.1.v1.1 annot-version=v1.1 MADLMDLGCCSCFSFLRKPSVKVGRPRETDGTLSQDLLKRQSSEDLDGSFYTGDDPDRSFYNGNDLDRSFYNGDDPDRSFYDRDGTDYVHESDDEPPRKRSEDIILSRAQSGFACRESLVKETKKVFRSEDELGNKMINQYVHLGKIGAGSYGKVVLYRNIKDGKLYAVKVLNKPYMMKVRVVRSETAMSDVLREVSLMKMLDHPNVVNLIEVIDDPNTDKFYMVLEYVEGKMVCDNGLGEATSRKYLRDIIAGVIYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVEIPDDMNPQLADLLQKILCKDPGDRITLLAAAEHPWVAGDEGPVREYFCRCGFGRRKRNDFQETVQ* >Brasy2G310300.2.p pacid=40071388 transcript=Brasy2G310300.2 locus=Brasy2G310300 ID=Brasy2G310300.2.v1.1 annot-version=v1.1 MADLMDLGCCSCFSFLRKPSVKVGRPRETDGTLSQDLLKRQSSEDLDGSFYTGDDPDRSFYNGNDLDRSFYNGDDPDRSFYDRDGTDYVHESDDEPPRKRSEDIILSRAQSGFACRESLVKETKKVFRSEDELGNKMINQYVHLGKIGAGSYGKVVLYRNIKDGKLYAVKVSLMKMLDHPNVVNLIEVIDDPNTDKFYMVLEYVEGKMVCDNGLGEATSRKYLRDIIAGVIYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVEIPDDMNPQLADLLQKILCKDPGDRITLLAAAEHPWVAGDEGPVREYFCRCGFGRRKRNDFQETVQ* >Brasy2G280800.1.p pacid=40071389 transcript=Brasy2G280800.1 locus=Brasy2G280800 ID=Brasy2G280800.1.v1.1 annot-version=v1.1 MAPLSTSSMLPVLFVLLLATTAADAATFTITNKCQFTVWAAAVPSGGGRKLDAGQAWTVDMPAGTTGGRVWARTGCSFDGSGRGRCQTGDCGGVLQCTGYGQAPNTLAEFGLNKFNNLDFFDISLIDGFNVPMDFLPAAGSGAGCPKGGPRCPRAITARCPGELRAAGGCNNACTVFREDRYCCTGSAADSCGPTNYSRFFKAQCQDAYSYPKDDATSTFTCPGGTNYQVIFCP* >Brasy2G151300.1.p pacid=40071390 transcript=Brasy2G151300.1 locus=Brasy2G151300 ID=Brasy2G151300.1.v1.1 annot-version=v1.1 MGSSVSCMWGASKSGRHQTGQAAAASPRSGRLLSRSGRNVQVFSLKDLKSATRNFNMMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.4.p pacid=40071391 transcript=Brasy2G151300.4 locus=Brasy2G151300 ID=Brasy2G151300.4.v1.1 annot-version=v1.1 MGSSVSCMWGASKSGRHQTGQAAAASPRSGRLLSRSGRNVQVFSLKDLKSATRNFNMMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.6.p pacid=40071392 transcript=Brasy2G151300.6 locus=Brasy2G151300 ID=Brasy2G151300.6.v1.1 annot-version=v1.1 MGSSVSCMWGASKSGRHQTGQAAAASPRSGRLLSRSGRNVQVFSLKDLKSATRNFNMMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.2.p pacid=40071393 transcript=Brasy2G151300.2 locus=Brasy2G151300 ID=Brasy2G151300.2.v1.1 annot-version=v1.1 MGSSVSCMWGASKSGRHQTGQAAAASPRSGRLLSRSGRNVQVFSLKDLKSATRNFNMMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.3.p pacid=40071394 transcript=Brasy2G151300.3 locus=Brasy2G151300 ID=Brasy2G151300.3.v1.1 annot-version=v1.1 MMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.5.p pacid=40071395 transcript=Brasy2G151300.5 locus=Brasy2G151300 ID=Brasy2G151300.5.v1.1 annot-version=v1.1 MMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G151300.7.p pacid=40071396 transcript=Brasy2G151300.7 locus=Brasy2G151300 ID=Brasy2G151300.7.v1.1 annot-version=v1.1 MMNCIGRGGFGPVYKGNLKDGSQVAIKMLSAESKQGTSEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKNRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGQSSSKSNWGPDMHVLVEWTWKLREGERLLEIVDPDLEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVQMLSNQTEIDLQNAVPPGVLKEPRRQMGSLGGLTQDTSSSQSTRGNPAGSSTTQTGDMNSCQFSTTEVLPR* >Brasy2G213700.1.p pacid=40071397 transcript=Brasy2G213700.1 locus=Brasy2G213700 ID=Brasy2G213700.1.v1.1 annot-version=v1.1 MCRRTPPLNGPWRRLSSPRGGAAWQTKRARAPPPPPRRSGACERTPAGRADSPQRSLRGLPARKVRRRHELPHPAASLPRARHAAGARPPPRPSTAPAAPSSAPAACAPRLHLAELLHGRAPPMAARPQAPLPPLLPARLLSRPRAPPRLPSSAPPVARAAQLHARAPAEARHLLPKLCLHELHLRSPPSRARPLLPAAASHSARDQPLPRLCCSPPLAAPRAAPLVAGPARARHSSPLQPSPRRSPSSHLCPIRSPQAKAAATALSLGCCCRCSLHLSVLLLLLLCCLVLLSSVVLLLLSAAPRVLRRAAAQVFASRT* >Brasy2G070300.1.p pacid=40071398 transcript=Brasy2G070300.1 locus=Brasy2G070300 ID=Brasy2G070300.1.v1.1 annot-version=v1.1 MKNPNPPPPKPSASGKPPAGGMAPRKSRWGPPPAGAATNPAGEKTASTSRRTPTPTPATAPSSNSRRQPAAPAPAPLARNPASPAAAIRPPHQQPPRVETPPPPQYGFHNLDRRTMLLADGTVRTYFALPPDYPFEPTPLPPLPHLPRAGLEAWPPQHQMPQQQLPPHDGKRKHHADPEEGFSRHPKQPRFESPHHPQQMRPDAAVDRQAVRRAFLKYSKIINESMAQKRSYLEGGRVQCLPCGRSSKDFADVHGLVMHAYNPPNADSFIDHLGLHKALCVLMGWDYTMVPDNSKAYQSLPPELVRASREDVIIWPPTVVIRNTATGRKKDGRYEGLGNKEMDKKIAELGFAGGKSKSLYGKEGHLGLTLIKFANNPAGLKEAERLAEFLERQDHGRIGWSRAQATHSLDPDKNLYWSRLITGVRKTGCFMDT* >Brasy2G070300.2.p pacid=40071399 transcript=Brasy2G070300.2 locus=Brasy2G070300 ID=Brasy2G070300.2.v1.1 annot-version=v1.1 MKNPNPPPPKPSASGKPPAGGMAPRKSRWGPPPAGAATNPAGEKTASTSRRTPTPTPATAPSSNSRRQPAAPAPAPLARNPASPAAAIRPPHQQPPRVETPPPPQYGFHNLDRRTMLLADGTVRTYFALPPDYPFEPTPLPPLPHLPRAGLEAWPPQHQMPQQQLPPHDGKRKHHADPEEGFSRHPKQPRFESPHHPQQMRPDAAVDRQAVRRAFLKYSKIINESMAQKRSYLEGGRVQCLPCGRSSKDFADVHGLVMHAYNPPNADSFIDHLGLHKALCVLMGWDYTMVPDNSKAYQSLPPELVRASREDVIIWPPTVVIRNTATGRKKDGRYEGLGNKEMDKKIAELGFAGGKSKSLYGKEGHLGLTLIKFANNPAGLKEAERLAEFLERQDHGRIGCFMDT* >Brasy2G497600.1.p pacid=40071400 transcript=Brasy2G497600.1 locus=Brasy2G497600 ID=Brasy2G497600.1.v1.1 annot-version=v1.1 MDELSSPSPPSPPHPVLLSLPKSLRHGRPPIMANSPGRTQPEPWTSSSGRTPPDPGSPGSDPAGWPSTEADHGTPRPRLHLSDDGVPIGHWSTSTYPWPPCAESGPSSPRAFSVILTPTLYFCVMDGKDNNVYKVRERDWVKTRHLDYIHIQGEPASLDFFLRHNFSEGLQGFAMPGCQSEANLFALPIPLYYAFFFPSMLLLPVISLKDFFCKIVACSLMVVLV* >Brasy2G497600.3.p pacid=40071401 transcript=Brasy2G497600.3 locus=Brasy2G497600 ID=Brasy2G497600.3.v1.1 annot-version=v1.1 MDELSSPSPPSPPHPVLLSLPKSLRHGRPPIMANSPGRTQPEPWTSSSGRTPPDPGSPGSDPAGWPSTEADHGTPRPRLHLSDDGVPIGHWSTSTYPWPPCAESGPSSPRAFSVILTPTLYFCVMDGKDNNVYKVRERDWVKTRHLDYIHIQGEPASLDFFLRHNFSEGLQVGLL* >Brasy2G497600.2.p pacid=40071402 transcript=Brasy2G497600.2 locus=Brasy2G497600 ID=Brasy2G497600.2.v1.1 annot-version=v1.1 MDELSSPSPPSPPHPVLLSLPKSLRHGRPPIMANSPGRTQPEPWTSSSGRTPPDPGSPGSDPAGWPSTEADHGTPRPRLHLSDDGVPIGHWSTSTYPWPPCAESGPSSPRAFSVILTPTLYFCVMDGKDNNVYKVRERDWVKTRHLDYIHIQGEPASLDFFLRHNFSEGLQD* >Brasy2G224700.1.p pacid=40071403 transcript=Brasy2G224700.1 locus=Brasy2G224700 ID=Brasy2G224700.1.v1.1 annot-version=v1.1 MIFPKLSKAVLLLALMILSCSHIMCSQGTSTLMTTMHRRNLLLHSEEGSKAMIGATLSADGDNGKGGGGGLGNVEDARPTGPGHSPGAGHADTNNGVGRKLLGVN* >Brasy2G139500.1.p pacid=40071404 transcript=Brasy2G139500.1 locus=Brasy2G139500 ID=Brasy2G139500.1.v1.1 annot-version=v1.1 MPVPCRTAGSDEESDGQGAAEVYDQDLYEEEEDEGEYEEDFEQGRGGVAAAEAVAAPTEAEGVKEGAEAGEGAAGEDVEGKKESEPFAVPTTTASRMPAAMGAEGICIISGPPLTGRCSANRSIVPRLCRPHWNKLVCSERKLTKVLGIWEQSNGIVYLNMTLHPNLW* >Brasy2G476700.1.p pacid=40071405 transcript=Brasy2G476700.1 locus=Brasy2G476700 ID=Brasy2G476700.1.v1.1 annot-version=v1.1 MMPMKDIAAARAGPGAESVRKPARLGSGGDFLEAVLFSAMDRMEGLVLHGLKIQMNPRSANAMAAADVADRKRDETDDSMVVQVVLMQARDPEQGYGAIGDPMVGLLEASLGRRKDGKVKVELLGLHVAGISFACGDNGRALMWSASLGDCRGSRPTGTGGGGQRSYVRNPDRAFQR* >Brasy2G363000.1.p pacid=40071406 transcript=Brasy2G363000.1 locus=Brasy2G363000 ID=Brasy2G363000.1.v1.1 annot-version=v1.1 MASPPAPPLPSTRPSPHKLTLHRRPSPTPPQLHLCSPPRKDRPGDGPPAETYPSAVAPYAVPRQTAQGKNKGKSIHYGGSIPAMLDALERVHDVGEALWPWKDTLNSRERTIILKEQRDWKRAVEIFDWFRRERCHELNVIHYNVVLCVVGRARRWDLVVGLWQQMHSCGVAPDNATYGTLIDAYCKGGRERAALLWLGDMCKRGLVPDEVTMSTILQAYKKAGEYEKAELFFRRWSSESHQRKEGHHCYNLYTYNTLIDTYGKAGHLEKVSDVFNQMLREGVAPDIFTFNTLIHVWGKHHRMEQVASLVRMMEEFQCLPDTRTYNILISLYREINEIDVAEYHFCKMKAENLVPDTVSCRTLLYGYSTRGMVTKAEALIKEMDESGLVIDEYTQSALTRMYVNTRMLERSWRWFERFCHQMGSECFSANIDAFGEKGYIILAEKAFMCCLERKMLCVSVCNVMIKAYGLVEKLDEACEVADCMERYGVLPDYLTYSSLIQLLSTAKLPEKALYYLRKMHAAELPIDCVSYSVIISSFAKNDNLHMAECLFREMATSGIRADAYVYSILIDAYAEVGNVQQAAAYFGLVTKAGLCESTIYNSLIKLYTKAGYLAEAHETYKLLKSLDTDTNLYASNCMISLYSDHCKVNEAREIFEVLKASGSANEFSHAMMVCLYKKVARYDEAHRISKEMQALGLLTQVLSYNSVIQMYISCGRTEEAVKIFQNMLASSTPPNDATFKALKVILVKEGVSNIEITKLESLRRSNSHDCLNEWYKALCLVVRSYGSTSRCIIDHSGTRINPFYIDNF* >Brasy2G134100.1.p pacid=40071407 transcript=Brasy2G134100.1 locus=Brasy2G134100 ID=Brasy2G134100.1.v1.1 annot-version=v1.1 MKRVSSHVSIASEAEINLDLSRLLIDKPRFTLERKRSFDEQSWSELSHRPNDGFDSVMHSPAFPTGLESPFSMGTHFGEPSGPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNEPEIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKSRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKEFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSSAIMDLIEERWDELVGEMPLKISYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELSEARLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA* >Brasy2G014000.1.p pacid=40071408 transcript=Brasy2G014000.1 locus=Brasy2G014000 ID=Brasy2G014000.1.v1.1 annot-version=v1.1 MSSFCSLDGGNIGGIFTLTLSRSSIDGHKYLTQDAIADLIEQLTAVRDNGTVKGLVTTCAEGSFCDGIDYTADDIHPREQLAEGVRKVVKLLLELPVPTVAAVCGNATSLGLALALAHDHLVVWNHTALSLPEAKLGRPLPGYVAALLREKVSYARLRKLLMLDSRPCKGSDLPGNPWWLAHSGSDDKTVVLDNARELLWDIVEAEIGADYAVARQTMLPETCDAVDIEHASKCPPARPSDHELQEEEGEEEEEEEEAAASYPGGGKAKRGVPAAGKPSKPRAQPGKGGKIHRKPA* >Brasy2G327300.1.p pacid=40071409 transcript=Brasy2G327300.1 locus=Brasy2G327300 ID=Brasy2G327300.1.v1.1 annot-version=v1.1 MASSSSALHLSLAMLALVAALSEAGFYDQFDVGGSGQHVRVIEDGRTQQVALTMDQRSGGAGFTSKAQYLYGEFSVQMKLVGGNSAGTVTSFYLTSGEHAGHDEIDIEFMGNLSGQPYVMNTNVWANGDGKKEHQLYLWYDPTADFHTYKIVWNPTNIIFLVDDVPVRTFRKYADLPYPSSQPMKVHFTLWDGSYWATRHGAVKIDWSQAPFVVNYRGYYANACVVNGGSSACPAGSDAWMYRQLDGKGRGTVAWAERNYMKYNYCNDGWRFPKGFPAECFRQ* >Brasy2G170500.1.p pacid=40071410 transcript=Brasy2G170500.1 locus=Brasy2G170500 ID=Brasy2G170500.1.v1.1 annot-version=v1.1 MAKTYPTVSAEYQEAVEKARRKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKKPAEQAHAANAGLDIAVRMLEPIKEEIPTISYADLYQLAGVVAVEVSGGPEIPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTREPLKFDNTYFTELLSGDKEGLLQLPSDKTLLTDPVFRPLVEKYAADEKAFFEDYKEAHLRLSELGYAEA* >Brasy2G245700.1.p pacid=40071411 transcript=Brasy2G245700.1 locus=Brasy2G245700 ID=Brasy2G245700.1.v1.1 annot-version=v1.1 MELSKSSMRALCLVALVAMSSHATGMDIGTMDDTISSIHSCTDRCNELGWNPHRCYCSDSRHCCTDFHYNGTALAPPSK* >Brasy2G242200.1.p pacid=40071412 transcript=Brasy2G242200.1 locus=Brasy2G242200 ID=Brasy2G242200.1.v1.1 annot-version=v1.1 MSSSPRALLELMTAVDAGLVAPPVDVVDSRANLGSSRRRGSSSYSRSRQTAPVVAKTIIPLSVFSTLDTDPSFEFSTAVSNSSASPASMVFSDGQLRAHQFPAVRSSCPGTGASSQVGSPVLGSAKAGSTKRVSFAEDNTGKTTAGKSKKGGGLLGCMGSTCRLSRSEVVEPAMSANHRKVVSV* >Brasy2G273300.1.p pacid=40071413 transcript=Brasy2G273300.1 locus=Brasy2G273300 ID=Brasy2G273300.1.v1.1 annot-version=v1.1 MPPPRARRRRRQRQRSPAPANKGWLSVRRRPSKGRPRREHGDQAVPFGDETLLLVFSNLIDARDLVRCAATCRRWRRLVSSDAAYICRRGPPRCDRFVRRLALGVFIHTEESHGSDGFIPFAASKKKLSLAGLVGGECMRVVTSRGGRVVLDLRRAKTAFALRLCVCDPMTGDAHHLPPLRGKDSPGPYACTLLTPGDGLHLCNTDPASYRVLLLYNHRSYSALRCYDSVSAAWGPEVRVKGARIGRNRKLGIRAHAALARGGVVCWPGLGIGVHLGTMHTSSAPCTVQGFDSPSRDKPPVFSMHLGRLLGLMPDGRLCVVESNSKDNTVRAYVVVYADVVDDGGTSGRKAWRWTLELAPMGLRCVSLRWFCERSGLVLFTAWNVEEDRTLVYAVDLETKEVGRVDWSGHHVDRFSDIDVCGYEMDRVSMLAEL* >Brasy2G442500.1.p pacid=40071414 transcript=Brasy2G442500.1 locus=Brasy2G442500 ID=Brasy2G442500.1.v1.1 annot-version=v1.1 MLARAVAGEAGVPFFACSGSDFDEVYVGVGAKRVRDLFSAAKKQSPCIIFIDEIDAVGGRRNARGSTWERQTLNMLLSEMDGFMQNSGVIVIGATNIPESLDKAHLRPGRLDRQIHVPMPDLEGRRQILEAYLSKVLKADGVDVMAIAKGTPGFSGADLANLVNDAALKAAKDGAEAVVMHHIDYAKDRITMGSERKLAMIPDKCRKNTAYHEGGHALVAIHTDGARSVEKATIVPRGNALGMVTQLPEEDDDYQFKFSRKQMLAQLDVLMGGRVAEELILGESEVTSGASSDLSKATQLAKEMVTRYGMSSRIGPVSYDYDDCGKVVMSQRTSALVDEGVKELLDNAYKNAKTILTEHNKELHALANALLKHETLTGDQIMELVSSVGPVVGSDNSQQNPATPQQQIQETPQRQNLETPQQQSQETPQQQNQETPSP* >Brasy2G105700.1.p pacid=40071415 transcript=Brasy2G105700.1 locus=Brasy2G105700 ID=Brasy2G105700.1.v1.1 annot-version=v1.1 MEDGAPTSMTQEKRLAVVVVPADAAAPVLLANGAAAEEQKAEEDPPAPPPALLPGWPRRTGLYLFVMNVRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEENAIISKYLEENSSQDLEKAPVDSEASNVPVSGAECVNSCIPTECTDLSNQGCKRKYIPSVTSALIVGSFLGLVQAVFLIFSAKVVLGIMGVKHDSPMLEPAVRYLKIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVVSQYLITMILICRLVQQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARDGATIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFANNDNNKVVAATSRVLQLSIVLGMGLTVVLGLFMKFGAGVFTKDAAVIDVIHRGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLAAHNGFIGIWVALTIYMSLRTIASTWRMGAARGPWVFLRKGW* >Brasy2G052000.1.p pacid=40071416 transcript=Brasy2G052000.1 locus=Brasy2G052000 ID=Brasy2G052000.1.v1.1 annot-version=v1.1 MVADAERVFDGMPVRDIVTWNSMVDGYVSNGLGALALDCFREMHEALQVQHDGVGIIAALASCCLDSALMQGQEVHAYVIRHGMEQDVKVGTSLLDMYCKCGAIASAEGMFAMMPSRTVVTWNCMIGGYALNGCPEEAFDCFVQMKAEGHQVEVVTAINLLAACAQTESSLYGRSVHGYVTRRQFLPHVVLETALLEMYSKVGKVKSSEMIFGQLTNKTLVSWNNMIAAYMHKEMYNEAITLFLELLNQPLYPDYFTMSAVVPAFVLLGLLRQCRQMHSYIVRLEYGENTLVMNAVMHMYARCGDVVSSRGIFDKMAGKDVISWNTIIMGYAIHGQGKIALEMFSEMKSNGLQPNESTFVSVLTACSVSGMADEGWIQFNLMQREYGIIPQIEHYGCMTDLLGRAGDLREVLKFIESIPITPTFRIWGSLLTASRNRNDIDIAEYAAERIFELEHDNTGCYVILSSMYADAGRWEDVQRIRSLMMEKGLRRTDARSIVELHGSSCSFVNGDMTHPQSKTIHEVSDVLSRKIGETDYPRNQSDPISLTSRRTIIPNKHSVRLAVVFGLISTEAGTPILVKKNVRICNHCHHALKLISKYSRRRIVVGDTNIYHEFSDGSCCCGDYW* >Brasy2G064500.1.p pacid=40071417 transcript=Brasy2G064500.1 locus=Brasy2G064500 ID=Brasy2G064500.1.v1.1 annot-version=v1.1 MPPTPTPPAAASRTEPPVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYQRPSRRYVFAHLNRSLVSSDAPNPAAPAASNSAPSPSPAAAAVFTTRHQELGTARRGRPPPQVLKQVWQSGERYTLEQFEAKSRAFSKTHLSGLRDPTPLAVESLFWKASADRPIYIEYANDVPGSGFAASAQSRRLKKRKRESVPMDDGEKATGWKLSSSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHIEDHELHSLNFLHTGAPKTWYAVPGDRAAELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPEVLVASGLPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRTPRELLYGIRTSRLRDRRKEERELLVKQEFLQDMISENELLCSFLKKKSIDNAVLWEPDLLPSSTALHSCSSVPKAPEKCDDGCSVKSIPIGNGSFDGIACMTGIKAKCISMDSKSSDAVSAFEGQKLDTDTDDEGDLPFDLSIDSGSLTCVACGILGYPFMAILQPSKKALGEMSLVDRESLTLNFEKGNCSNVPPCSPDVGNSGRPFIANRPSSPVVEASITHQNAKSHKNGVSFTEKQLDGPLLLHSNGSHSCSSENTLNLCINTETTETEILSDGTESSKQTGRGDIDSQVVKSCADSMNWNVTSAFVRPRIFCLQHALEIEELLEGKGGSHALIICHSDYTKLKALAISIAEEIEFQFDCKDVPLANASKSDLHLINISIDDEGYKEDGRDWTSQMGLNMKYFAKLRKETPGSQEQPPLSFWKKLDIADRPLAISVVPNLKWLCRKTRTPHKVVGYVSSPNAAVTPEKINPAVTITEMGTSGSARENGEKQGTAQQDAPLEPSRLQEADDVTDVFTCSEENDKDCLIDIPIAVAEYPMMHQVCEGPASVSTGELDGPASVSTCDDSFCSANSQDSPVVSDEFIIEQQCVQSDEVTSSTSVFVQQFLVNGSMSAEGSSNLENMGSCNVTSECQDKQLRGQQDQENIKFCYNADTNLALVATLENEENCAKSSNCCDTVLKANKSATDTIHLSVKAVKLKSNNATKLQQGYPKRTVIDSEGMEAASMTALSGENGNPVHAGTDSLDILLGVLAEETVLADAPAKDEVGKASLTLMTLASNDHTADDAAENEVVEMPSSSVGASLSCKGQTLSDLASDKLRRIQNPEIQQKQENTENVGGSNYPSFNYDRVAKKSKNPCTPMMNEYVDRPDIVSRSIGSSNRTNIICYVRRKRKRKRGSKLNIDSSQSLGSFTRSPCESLRPRTKPAVIEVEETTKQTKTVQASSANKGKRTKVVEIFQCDIECCDMTFDTRADLRAHQRNICTDESCGKRFSSHKYLKRHQCVHSNERPFKCPWDGCGMTFKWSWAQTEHMRVHTGERPYKCLVPDCGQSFRYVSDYSRHRRKFNHY* >Brasy2G168200.1.p pacid=40071418 transcript=Brasy2G168200.1 locus=Brasy2G168200 ID=Brasy2G168200.1.v1.1 annot-version=v1.1 MKLPSWLTAVPPDPRVYGHLIQLCADSGHLAAGQQLHARLVALSVIPSNFLASKLISLYSRTARLHDARRVFDAISQPNLFAWNAILIALSLHSPEPSAALRLFAGSGVSPDEVTVSALLKSLAASGSGLSPLVTGELHALALLRGFGTDLFVSNGLITAYANANAGDIRSARAVFDEMPRRDVVSWNSLISAYTRVGWYRECLELFNGLVRARAIGGVQPNNVTVTSVLHACSQLKAADFGVNVLRIATESGLDMDVVTWNSVIGFYAKCGRLQYARELLERMPKKDTVSYSAMITGYMNNGHVEEGMRLFWQADAKGINTWNALISGLIQNGCQSDVLGLLHEMVSASMLPNAATLSIIIPSVPLFSTLLGAKQAHGYVIRNDYDQSNNVVSALIDAYSKAGFLDMAKKVFEWDENRSTSVWTSIIAAVAAHGDVVAALGLFNQMVRAGTCPDTVTFTVVLTACAHAGKVAEAREVFDSMQTVFGISPVMEQYACIVSVLSRAGMLKDALELVNKMPFEPNAKVWGALLNGAAAVGDVHLGRFAFDRLFIIEPKNTGNYIVMANLYSNAGKWEDAEIIRSMLWGVGLEKVPGCSWT* >Brasy2G168200.2.p pacid=40071419 transcript=Brasy2G168200.2 locus=Brasy2G168200 ID=Brasy2G168200.2.v1.1 annot-version=v1.1 MKLPSWLTAVPPDPRVYGHLIQLCADSGHLAAGQQLHARLVALSVIPSNFLASKLISLYSRTARLHDARRVFDAISQPNLFAWNAILIALSLHSPEPSAALRLFAGSGVSPDEVTVSALLKSLAASGSGLSPLVTGELHALALLRGFGTDLFVSNGLITAYANANAGDIRSARAVFDEMPRRDVVSWNSLISAYTRVGWYRECLELFNGLVRARAIGGVQPNNVTVTSVLHACSQLKAADFGVNVLRIATESGLDMDVVTWNSVIGFYAKCGRLQYARELLERMPKKDTVSYSAMITGYMNNGHVEEGMRLFWQADAKGINTWNALISGLIQNGCQSDVLGLLHEMVSASMLPNAATLSIIIPSVPLFSTLLGAKQAHGYVIRNDYDQSNNVVSALIDAYSKAGFLDMAKKVFEWDENRSTSVWTSIIAAVAAHGDVVAALGLFNQMVRAGTCPDTVTFTVVLTACAHAGKVAEAREVFDSMQTVFGISPVMEQYACIVSVLSRAGMLKDALELVNKMPFEPNAKVWGALLNGAAAVGDVHLGRFAFDRLFIIEPKNTGNYIVMANLYSNAGKWEDAEIIRSMLWGVGLEKVPGCSWT* >Brasy2G205900.1.p pacid=40071420 transcript=Brasy2G205900.1 locus=Brasy2G205900 ID=Brasy2G205900.1.v1.1 annot-version=v1.1 MARRWLTPNPATPSTAAADDPDPPPHLGWDANRSAVRVAIFGNVVVVLLFFAAVIWRLYFSGTGSARQQQHGAVAPDGEATASASSSGASSPGASPRAAKGLKKGDLMALPVYVHRASSSAEEGKVVECAVCICELKDGDTGRHLPACGHRFHAECVDRWFRSHATCPLCRAVVVSGGSGDVDAKARPGQ* >Brasy2G333800.1.p pacid=40071421 transcript=Brasy2G333800.1 locus=Brasy2G333800 ID=Brasy2G333800.1.v1.1 annot-version=v1.1 MSSPRMDWQAQAGQPRWGSSGDGDVRRPERWMARGRSGRRSFERWRRRAPATVWWSSKQRTATTTSSEQGTAASSSSKGRRGHRRPPSLHIRRIRTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARRGSAGTTGRPRYGVAARRQREEEGG* >Brasy2G203900.1.p pacid=40071422 transcript=Brasy2G203900.1 locus=Brasy2G203900 ID=Brasy2G203900.1.v1.1 annot-version=v1.1 MDRCGVVLMAPLLTLLLLLSGRSAMGAPRHRERREQEAGPEWRPDEEEGPGKGRRLFVLDKVEKVVDSEGGQVHVVRGPAPPPPFVPEQQYGGYCGACREGLMHVGFITMEPKTLFVPQYIDSNLILFVQRGEVKVGWIHNDELVQKRLKMGDVLHIEAGATFYMVNTGKGQRLQIICSIDASDSPIGLGPYQSFFLTGGGNPTSVLAGFDPKMLVTALNTTYDEVARLLRPKTRGRGPIMFFGTEPGSGGKERGQRGDEGDDVGNKEEASRKAGPWIPVGRGEDEERDDEQPTWSWRKLMGSFIGFGGASDSDAARLENKKDKTVRAPEPYNLFDHEPGFRNAYGWSVSVDKHAYEPLDRSDIGVYLVNLTAGSMMAPHVNPRATEYGVVLGGEGDVQVVFPNGSLAMSATVRAGDVFWIPRYFPFAQVASRSGPFEFFGFTTSARRNKPQFLVGANSLLRTMLGPELAAGFGVPEKELGELVRAQKEAVILSSLPEKEEEEEEEGREQQ* >Brasy2G031500.1.p pacid=40071423 transcript=Brasy2G031500.1 locus=Brasy2G031500 ID=Brasy2G031500.1.v1.1 annot-version=v1.1 MGAGASDLAAAGSGLGEMPELCAAEVLLRLGATDICRLARLNRAFRGAAAADFVWEAKLPENYRYLMGFVHGGKEEKDEEESQGMKGRGVGHVPVGKKDVYARLAKAVTFDDGNREFWLDKGNGMVCMALSSKALVITGIDDRRYWVHMPTTESRFRSVAYLQQIWWLEVVGEIDFVFPAGTYSLYFRLHLGKPSSSSTHRDHRKCSESKNRTAIHGWDRKPVRFQLSTSSSAVSQQSQCYLLDKPGSWVLYHAGDFVSPEHRQGQEQEPMKLKFSMAQIDCTHTKGGLCVDSVVVYPKGLIQPERVVRAEQK* >Brasy2G349800.1.p pacid=40071424 transcript=Brasy2G349800.1 locus=Brasy2G349800 ID=Brasy2G349800.1.v1.1 annot-version=v1.1 MAAPPDSKAKSPEELLCAAAESGNTEAVADLLSSGADPTHFDSSGMTPLMHAATGGHVSASRLLLDAGAPWNALSLSGLSAGDLTSDPATYDLLLDHALRSELILGTVARRQAPPTDSSDGVPAESYLDSRVSFSEDRVMDVESKAVMMGWERPLMEAHARAVCTGAGKVLNVGFGMGLVDEAIQRYEPEEHTIIEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVIPQLGSYDGIFFDTYGEYYEDMREFHEHLPKLLKPGGVYSYFNGLCGDNAFFHAVYCQLVALELANLGYSTQFIPLPVKDCLSEKVWEGVKQKYWQLDTYYLPVCQEETESE* >Brasy2G309600.1.p pacid=40071425 transcript=Brasy2G309600.1 locus=Brasy2G309600 ID=Brasy2G309600.1.v1.1 annot-version=v1.1 MMRWSSSAGQAEQPMCDAVAAVVAARQGMEKPLTAVAEAFEELARGMEADGGELRLAPFGDTCALVSVLFNSLGIAFKFAESEYVTKVNDLIGASKEYATLNDILDQDIENDCVKKQGSHSRNLRRVRLGLGLIKALFEQFLATEGTLYDAATIAYGQVCAPFHSWAIRKAVGAGMYTLPTREQLILRLNETDCSVQKEMTRYIDASSPIIEYIDKLFLSRNIVLDW* >Brasy2G396800.1.p pacid=40071426 transcript=Brasy2G396800.1 locus=Brasy2G396800 ID=Brasy2G396800.1.v1.1 annot-version=v1.1 MATARTLPPWVVLDKLVHLDGSDGSSPRATLELECLRSEAIGVNVADSVVGGFTLTARLVDPPGLSFLCIRSSEQVTQALGPVQSALLVTAEEGVLVMTISFNCDFSRGYYLVYDSINLSLVLTPFLSKNAILHTHLLRPFRRGDKTYGLVNMIMCNFFEREDTEGGTEDTKGWKQKRKELLSLWLPSSSTPKPSSSPTLPWWTIPCSSLVQSSTSTLPWEFKEPIYPYAMPSPFATGFEVDFVFSFKGRSFWADQFRGLIYCKQSDLLSDHHVRFNFLPLPEGCHITDKDEEELPNIYRAVGCSGESVKFVSITYSEDLADRKVTTWVLMPDTGLWKRDSQLCVGDIWEQQEFKRAGFPASATPILPMLSPHEDNVIYLMMADFCHMGTFANCSELHMLRLNIHEKLLLSSTRLPSWDHIRALRFLGSDFSKHLPLAPEKLKGKGKKSRWS* >Brasy2G090400.1.p pacid=40071427 transcript=Brasy2G090400.1 locus=Brasy2G090400 ID=Brasy2G090400.1.v1.1 annot-version=v1.1 MAMKGPGLFTDIGKKAKDLLTRDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYSLDVSSVYKYKNTLVDVKVDTESNISTTLTVLDVLPSTKLVTSVKLPDYNSGKVEAQYFHENATFATAVGMKPSPVVEFSGTVGPKGLAFGAEAAFDTSSGKFTKYSAGLGVTKPDFHAAFILADKGDTIKVSGVYHLDEKQKTSAVAEFTRKLSTNDNTLTVGGLYTVDAQTAVKARLNNTGKLAALLQHEVKPKSLLTISGEFDTKALDRAPKFGLSLALKP* >Brasy2G456100.1.p pacid=40071428 transcript=Brasy2G456100.1 locus=Brasy2G456100 ID=Brasy2G456100.1.v1.1 annot-version=v1.1 MRRLTGGARLALLLARRSLSASSPSPSSTSSLFHPSRAYRARWGDLGSRRPPFSSPHSSAAARFFHGTRPVAARDYYDVLGVSKDAGQGEIKKAYYALAKQLHPDTNKGDADAEKKFQEVQRAYETLKDEQKRSLYDQVGPDQYERASAGGGGPGGFEGGFGNPFEDIFGGGGGGGGGMNDFFRNIFRDRESVGHDIQVALEISFMEAVQGCTKTINFQTYVTCETCNGAGVPSGTKPETCLACRGSGFMFMQTGPFRMQSTCTQCGGSGKTIKDFCKSCKGKKVVPGTKTVSLNIVPGTDNEDTIKVLRQGQADPDGLRPGNLLVTIKVRQDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLAGDVVLKVKPGTQPGQKVVLRGKGIKTRNSFSYGDQYVHFNVNIPVNLTQRQRMILEEFAKEEQGEDEKDSKKAAGASG* >Brasy2G384100.1.p pacid=40071429 transcript=Brasy2G384100.1 locus=Brasy2G384100 ID=Brasy2G384100.1.v1.1 annot-version=v1.1 MVKLTMIARVTDGLPLAEGLDDGRDQKDSDFYKQQAKLLFKNLSNGHHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVKEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQAFIRKYAPVAIVIGVVIMLFWAKNKIW* >Brasy2G002800.1.p pacid=40071430 transcript=Brasy2G002800.1 locus=Brasy2G002800 ID=Brasy2G002800.1.v1.1 annot-version=v1.1 MLRLRSCILTNLLSSPTAPPISSLRRLLSAAAAAPAVSTSPSFAVEDYLVGTCGLTRAQALKASTKLSHLKSPSKADAVVAFLAGLGLSSADLAAAVAKNPKLLCASVDRTLAPMVAELTVLGLSRSEIARLFLLASVSLYLKSIVSKLQYYLPLLGSPENLLRAIKRRAYLLMSDLERVIKPNVAFLREHGVVDSDIAKLCIRAPWVLSINPQHFRDMVEWAEGIGVPRSSGMFMEALESVAFLSEEKIAAQVEYLKKAFRWSDADTHIAISKAPILLRRSKDMLQSRSEFLISEAGLEPSYIAHRPTLLGYSLGGRSRPRYYVVKFLKANGLLDLDRDYYSTVMISEKIFLEKYICPHKEAAPHLAEDYAAACKGEVPTRLKFT* >Brasy2G030400.1.p pacid=40071431 transcript=Brasy2G030400.1 locus=Brasy2G030400 ID=Brasy2G030400.1.v1.1 annot-version=v1.1 MDANDGIGNGHCFPYDVLLDILGRLPGRALAASCRLVCRSWRGIVDAHGLVLPHVFPREFPGIFATYYGFYPRSALFAPPAREPRHRRRPPLFWDDWHRVKQHCNGLFLLENDCWRGPEDWDSYVCNPATLRSARLPRPPPMPSICGVEGVFLAFDPAVSRHHEVFYFPTQKMVQRELGTHVKMEPGMEHSFLPSLFEEDEPSEDDRESQRQEQPALYVKEPWEVDLEQMLLPYLSGDGEEEHESAGCASQPNMPAAEVPETECHSGVLMILHCSKGTYNMVQLPGHPYDDKDCHWHALPKRYILASCERGICYVVLKEFQLEKKQPRMTWEMVESDKDLITLFEAESIDESNDVDGDDSTEEGIEEEYEEDNYDEAHAEEEEEQDNVDDTQSEEEDEKGNCDEAHAEGEEEQDSNEEDEEENYDEAHGEEQDSDEEDEQLRSGTGSEYSWNSDEHNFINLDKSAVGDEYIWGWGVTIVGFHPYKDVLLLKFSDTVVAYHLQTSRMQYLGYIYPQQFCQNARDVHGAFPYRPCYIDALLDRKTSLCILE* >Brasy2G367900.1.p pacid=40071432 transcript=Brasy2G367900.1 locus=Brasy2G367900 ID=Brasy2G367900.1.v1.1 annot-version=v1.1 MCRRDWANVSDGPGGLIADRVLASAVGDYFRFRAVCRAWRRCSTGPRGPDFRARIPDVSHARPHGAPATNHAACLLRSAPTSRIDGNMDFRFCNQINGVDAWGSRIHCGSSVLLHLSCSASPGTAPHPRHCQAW* >Brasy2G356800.1.p pacid=40071433 transcript=Brasy2G356800.1 locus=Brasy2G356800 ID=Brasy2G356800.1.v1.1 annot-version=v1.1 MLSLQEAIDFDHLDVMTSGFGGFTPWGADTCPTLEQLMASSSSSVAEEQGDEEELRRRQRRKMSNRLSARRSRARKQQRFDELRAEAAQLRAQKKELGARLHAVARHGLAARGQNARLRAEAAALARRLLALQRLTRQLLVLRPPRPAPAGNGAAGQAGAEGAAAFAAPAAAAPQGLLASSLMT* >Brasy2G120200.1.p pacid=40071434 transcript=Brasy2G120200.1 locus=Brasy2G120200 ID=Brasy2G120200.1.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLQEASHYTGDGSVDIKGHPASKLTTGSWRACFFILGNECCERLAYYGIAKNLVTYLKVQLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAVFSSIYFIGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGIIHEKVAVPMSILWQIPQYFFVGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.2.p pacid=40071435 transcript=Brasy2G120200.2 locus=Brasy2G120200 ID=Brasy2G120200.2.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLEASHYTGDGSVDIKGHPASKLTTGSWRACFFILGNECCERLAYYGIAKNLVTYLKVQLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAVFSSIYFIGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGIIHEKVAVPMSILWQIPQYFFVGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.3.p pacid=40071436 transcript=Brasy2G120200.3 locus=Brasy2G120200 ID=Brasy2G120200.3.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLQEASHYTGDGSVDIKGHPASKLTTGSWRACFFILGNECCERLAYYGIAKNLVTYLKVQLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAVFSSIYFIGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.4.p pacid=40071437 transcript=Brasy2G120200.4 locus=Brasy2G120200 ID=Brasy2G120200.4.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLEASHYTGDGSVDIKGHPASKLTTGSWRACFFILGNECCERLAYYGIAKNLVTYLKVQLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAVFSSIYFIGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.5.p pacid=40071438 transcript=Brasy2G120200.5 locus=Brasy2G120200 ID=Brasy2G120200.5.v1.1 annot-version=v1.1 MGTVSPSFIPWRQAITQVMDLLTSKGILHQSSPQAVGEHASSSWGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGIIHEKVAVPMSILWQIPQYFFVGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.6.p pacid=40071439 transcript=Brasy2G120200.6 locus=Brasy2G120200 ID=Brasy2G120200.6.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGIIHEKVAVPMSILWQIPQYFFVGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.7.p pacid=40071440 transcript=Brasy2G120200.7 locus=Brasy2G120200 ID=Brasy2G120200.7.v1.1 annot-version=v1.1 MGTVSPSFIPWRQAITQVMDLLTSKGILHQSSPQAVGEHASSSWGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.8.p pacid=40071441 transcript=Brasy2G120200.8 locus=Brasy2G120200 ID=Brasy2G120200.8.v1.1 annot-version=v1.1 MDAAEERRLLVQEDGDREPLLHSLGLAVLTLSASLPALQPPACLGSVCPEASLLQNGAFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G120200.9.p pacid=40071442 transcript=Brasy2G120200.9 locus=Brasy2G120200 ID=Brasy2G120200.9.v1.1 annot-version=v1.1 MIALGTGGIKPCVSSFGADQFDDSDPKERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTAFMALAIGSFFSASNMYRFQKPGGSPLTRLCQVVVAAFRKWHLEVPHDNSLLYEVDGQNSAIEGSRKLEHTSELEFLDKAAIISSADAKNDLFTNQWMVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSSLFIEQGMVLDKRVGSFNVPPASLSTFDVISVLIWIPIYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIFAMATAALVEFKRLEIARSEGIIHEKVAVPMSILWQIPQYFFVGAAEVFTAIGQVEFFYDQAPDAMRSLCAAFALVTISLGSYLSSIILTLVSYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYIGCAMRYRYKNV* >Brasy2G108300.1.p pacid=40071443 transcript=Brasy2G108300.1 locus=Brasy2G108300 ID=Brasy2G108300.1.v1.1 annot-version=v1.1 MLINLPDCSKYRHIGGSRPATVVLSAESAFFSVSPHARSDAFLLPLPVSFLLTQRRRPRCPRTPAVHAAALHPVAAYSRACRRALPPAAAGRRPRLRAPPWPPPTAARARPASSVAPAASPPPTAARTPFRPLPSAFPISSRRPRRRCPATRRPRLRAPP* >Brasy2G182400.1.p pacid=40071444 transcript=Brasy2G182400.1 locus=Brasy2G182400 ID=Brasy2G182400.1.v1.1 annot-version=v1.1 MELAVGASEATIKSLLSKLGSLLAEEYALARGVRGDIQFINDELASMQAFLSNLGSGGGGGSEGHDDQTKDWMKQVRDVSYDIEDCVDDFAHSLRPDRQGSGGGWWSQIHGFLYEICTIRRRSRIAAQIADLKDRAKDVGERRGRYGVRDPEPSKKKSSLSAYSAAEHQEAARRLVGVREPVGVKGDMEKLQDWIVSDEKKLGVLSLFGFGGVGKTTAALALYRKCGVQFKRRAVITVSHNTDPDVVLRDILRQVRTQVKDPQAGQNGISDKQSQAPLRLLGKISPCCRSQDEDGRASDKEHEAITRDLGEHLRDNRYLLLIDDVWSASTWQTILKCLPTNDNGSRIIVTTRFEAVARTSLVGHHKFHTMDILSGDDAEELFDKSLSESRGSQGRKASQRDQVPPRVWEMCGGLPLPIVTMAGLVASNKQTKPDEWIEVCDTLFPDKQVCRKPEEFMRIINYCYSVLPSDIKTCSLYLSIFPKGRKISRKRLIRRWIAEGFVSEKQGLSVEDVAEACFNQLIERKILRPVEHSSNGRVKSCQVHDMVLEYIISKAGEENFATVVGGQWSMPTRSNKVRRLSFHNSDSKHGKKDDGMNLSHVRSLTVFGSLNQQPFKSFKTGIVQVLDLQGCRDLRANHVSVKDICEMTLLKYLSLRGTDVSRLPPNIRNLKYLETLDIRETEIQQLPLAVCQLERIRNILGGDKKKRKTIKLPKEVKGAMKELRVLSGVEIVEGSTAASDLGYITRLTKLAIYKLHKDDQMYKDLISSVQYLSGYALQTLVIDDESSDFFNTLDSMSAPPTYLSSLELSGKLLKLPQWLPKLDKLIKLTLSATALRTDNLVLLSKLGSLFSLTFSVSVANQDSEMAAILAKNKSDSGGEIFVPAAGFDSLKLLRIFVPLLPALNFSKKGMPELERLELRFKRLEGLHGMDKLRKLHDVLLTVDDKAGEPTKMVLDELKKKSSSRKYALIVNEYQG* >Brasy2G467700.1.p pacid=40071445 transcript=Brasy2G467700.1 locus=Brasy2G467700 ID=Brasy2G467700.1.v1.1 annot-version=v1.1 MAQVAAAASGMAGVVRPLGGASGTDSLRPAARMPFGPRDARVTWSVSSRGRRESPVASVISRAPQADAVVLPVSPDDDVVKEEENFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWNLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMVDTKGPEVRSGDLPQPIFLEPGQEFTFTIKRGVGTETCVSVNYDDFVNDVEAGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDCYAVSFVKDAQVVHELKDYLRSCNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATITGGETPSNLGQVFKNHMSEMFAYHSTMMANTLGTSIVVFTRTGFMSILLSHYRPSGTIFAFTDQERVRQRLALYLGVCPVQMEFSDCAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV* >Brasy2G378200.1.p pacid=40071446 transcript=Brasy2G378200.1 locus=Brasy2G378200 ID=Brasy2G378200.1.v1.1 annot-version=v1.1 MEASAEREGNSARKMDMQFCTAPKPPRPCQEGGEIEAFEPARRERTINITKSSEHP* >Brasy2G027000.1.p pacid=40071447 transcript=Brasy2G027000.1 locus=Brasy2G027000 ID=Brasy2G027000.1.v1.1 annot-version=v1.1 MEMPRWSPGAGALRFMGMLKQPEEAAFELHESDVVWPAGDGWAATPAPPEVPAPRRQRHAVPQSFGLSSLLAEGGGGLEQQQGMAVPVRAATGKGHGGCAAPRQSAPVRVPTWPGRAAKGGAARESEEEEDEDDEEEMVPPHVVAARRHARSSSVLEGVGRTLKGRDLRRVRNAVLRQTGFLDL* >Brasy2G253500.1.p pacid=40071448 transcript=Brasy2G253500.1 locus=Brasy2G253500 ID=Brasy2G253500.1.v1.1 annot-version=v1.1 MFEQFGQQLYEAGKFNVEEVKSGKIYLARRSASFPREKWAKGMYRVTVNHESREFSCECGCFEHSGMLCCHTLKVLIHLGYEEIPGRYVLKRWRSDARDILPAHLSRYQKDAQAPGLTSYRHSSLHVSCLEVNSLGDSNLECYTEAMTELARLIAKLTPMSQKKDGLGMNEREKGAEARPTEKGSGVDGQAEGHSAGTP* >Brasy2G430800.1.p pacid=40071449 transcript=Brasy2G430800.1 locus=Brasy2G430800 ID=Brasy2G430800.1.v1.1 annot-version=v1.1 MSSNSSAAPPAPSQSSPPPSAAPPPPDSPLPTANSSSPAPSNSDGPSPPPAPSNSSSHGAPSGNPSPPSQSSRGSSRPSSSQASTRRVGSNTTAEIIFAVAGAAALLALLVTACVCCSRRTAPRRRRRKPHDAMHLYADSSAYKGNSSYCTSEPQPQWQSDANAGAPSMSAPGLGSPGCSSWHVPPPDTSSGTHGQPMPGLGKGKGTFTYEELAAATGDFSAANLVGQGGFGHVHKGVLPCGKAVAVKQLKSGSGQGEREFRAEVDIIGRVHHRHLVSLVGHCVAGDRRMLVYEFVPNKTLEFHLHAGKGLPAMAWATRLRIALGAARGLAYLHEDCTPSTDHPPGHQVGQHPPGRKLRGHGRGLRAGQADFGQRQRDARVDPGDGHVRVPGAGVRVEREADGEVGRVLLRGHAAGARDGPAARLLVPGVRRARGLGEAGHGARAGQRRLRRRGRPEAAAGGGRRRLRRGGDGARGGVRRGLCKAVGEEAAQDGTGREGARGGHVAGGPERRGAARAEPGRSTRPRSRPGTGRRLPAATGS* >Brasy2G318600.1.p pacid=40071450 transcript=Brasy2G318600.1 locus=Brasy2G318600 ID=Brasy2G318600.1.v1.1 annot-version=v1.1 MSSTGSGGRGKAKSTKAVSRSAKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQVLLPKKAGAKGDIGSASQEF* >Brasy2G282800.1.p pacid=40071451 transcript=Brasy2G282800.1 locus=Brasy2G282800 ID=Brasy2G282800.1.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHCIKLFHMIKIACGSSLKKKERLSLVGRLNHRRGSSRCGWAPSSARFMILLCTLEFSCSLYKISHADSEG* >Brasy2G282800.3.p pacid=40071452 transcript=Brasy2G282800.3 locus=Brasy2G282800 ID=Brasy2G282800.3.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHCIKLFHMIKIACGSSLKKKERLSLVGRLNHRRGSSRCGWAPSRLEKSSFSILGIMLIVSREFY* >Brasy2G282800.6.p pacid=40071453 transcript=Brasy2G282800.6 locus=Brasy2G282800 ID=Brasy2G282800.6.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy2G282800.7.p pacid=40071454 transcript=Brasy2G282800.7 locus=Brasy2G282800 ID=Brasy2G282800.7.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy2G282800.2.p pacid=40071455 transcript=Brasy2G282800.2 locus=Brasy2G282800 ID=Brasy2G282800.2.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy2G282800.4.p pacid=40071456 transcript=Brasy2G282800.4 locus=Brasy2G282800 ID=Brasy2G282800.4.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy2G282800.5.p pacid=40071457 transcript=Brasy2G282800.5 locus=Brasy2G282800 ID=Brasy2G282800.5.v1.1 annot-version=v1.1 MRKGKTGSNWVSLHPAPQKEKGKKLAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy2G288000.1.p pacid=40071458 transcript=Brasy2G288000.1 locus=Brasy2G288000 ID=Brasy2G288000.1.v1.1 annot-version=v1.1 MQIHHARDYIDHMDDMVADIGITHHQPMEEEPNPSAKAFYRMLNSADELVHETKRKRGRVCRSKGQEVAQNRGGSMPFGMAQKRMADSYGRENASVLDTYAAMKSGFKNLDEHGKPRGEIPPVAQERIDNYVQTTKEIDPDLPNDWHPEEIDGEVLYKSGGGIPHGRLPIADGAVCKDGIIYAGRQAKKSKTLVPLPSRQSLQLQRVIEENVKLKVANETLAQKQALHERLILELFKDLKKEPPAWLGTNLLPTPQNGKSSCCDEDVASC* >Brasy2G311700.1.p pacid=40071459 transcript=Brasy2G311700.1 locus=Brasy2G311700 ID=Brasy2G311700.1.v1.1 annot-version=v1.1 MGSSLRMAGTAERGSGESMSEGSAAAARRGRRSGGAASRSASAASRREAERSAESRPGRRASGDGEDSAKGRSASRSGGECVASARSRTGKVAARSGGGEEGVVAARKKESLEVGGSAGWRRMWRRTGSGSGAAGRSAGGMCGVVRRWLARRVARSASVRPESGAAAVHRRRTAGADGSMMLPCLRSVPRRPCRGTR* >Brasy2G232000.1.p pacid=40071460 transcript=Brasy2G232000.1 locus=Brasy2G232000 ID=Brasy2G232000.1.v1.1 annot-version=v1.1 MASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPPRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRRRASSPLPCRMVPGPSWAAVAALPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKDMISACLGELQKWSSRASKFFAGHEDGIKSLQEVATSVQKCLASPPLSLRVDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPILDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKNVGKGEGEERLGAG* >Brasy2G315100.1.p pacid=40071461 transcript=Brasy2G315100.1 locus=Brasy2G315100 ID=Brasy2G315100.1.v1.1 annot-version=v1.1 MDVVDLNEFPIDLNDESVIEGFGTSLFPLHSTQILVADSVHTHETTVGESKKMP* >Brasy2G038600.1.p pacid=40071462 transcript=Brasy2G038600.1 locus=Brasy2G038600 ID=Brasy2G038600.1.v1.1 annot-version=v1.1 MASSQANLDKMQLRQSYRNLWHTDLMSTIQADFPYCCLSLWCAPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKVEMDKRDGKFGPQPMSVPPVQQMSRIDQPTPPPAGYAPQPAYGQPYGGYPPPPAQGYPQPPAQGYPPAGYPPAGYPPPGYPQAQGSAYPPPGSYPPPQGYYGK* >Brasy2G154700.1.p pacid=40071463 transcript=Brasy2G154700.1 locus=Brasy2G154700 ID=Brasy2G154700.1.v1.1 annot-version=v1.1 MATKGPFGRERNVKTSSHEGRQRGNSQPEQFVQVPKEDSAVRGNIDGKFEDRIRVVKNDNVRRQREPRNTERGAALKISKPWPARKDTTADELVKHMSKVPAYLQRKETADVIQDKALNVGVLDWGLLARWSHQQKYELSSSHGASPSDTTRSVLFSSPSNSSASPSSKSLESNQSPPLNDHQHCSMMSQQSSPADKDHEMVIHSPSPNSAVLSLLPGHGKYICAENNGNSSGLSISKLSLPSDCMIAASGSCTPHEIVDDEDTTRKIEEAVHHCSRRLFTDDDNIGRNFFTSHDNDSMCNDLEQRNGISGVISSSVMENERNGSRSPVDYLEDVGQSHKFPQIPYSCPLPIMDSAEELGSGSSATRDDFVDAAVTIGEKCNQNKYVVSVSKKPPQSSSKFTDMDLLPDRHLVSGLNRVSRCSSLKEAPSPRRLDTPVDRINEDKPANSKGRRSPLRRMLDPLLKSRQTSTSLPMQPSFVPKSHLPSNTNKQSLNLGGSGSQNVQRRSVDAVVISNNHAEANIDQPPRALLNSERYLQQERDSTTTRQALLQLAWKNGLPLFMLSYGDSDILAATVRRKGISNKDDLESAYTLFTVEEPKKKSGAWITAGNKNKKHQLVSSIVGEMRISEKKSRCCHTKDFHVHKEFVLVGSELLPTPEESGVSAVSRELAAFISTVPQQAEIPYQSSSQNCSRSSSAPVVCSCPPLGNSHPNMKNPSSAPTSVTAVLPNGFHGASTSGQPLPLMERWRSGGSCDCGGWDEGCILSVLTADTQENKGYKSVQANQTPDGSHRFDLLAQGRSGEDRQAFSMVSFKEGLYAVEFRSSIALLQAFAMCIVMLHDRYPIRTQADVPASQEHTLLADHKPKVMAVSQGRAPSTYVPHRPPLSPVGRA* >Brasy2G137500.1.p pacid=40071464 transcript=Brasy2G137500.1 locus=Brasy2G137500 ID=Brasy2G137500.1.v1.1 annot-version=v1.1 MTICAPASESSGSGSRAWVLHGLALGAAAAVAAAAYLYRRPRGFRSLAVGIIPARYASSRFEGKPLALILGKPMIQRTWERVMLASSLDHVVVATDDEKIAECCRGFGADVIMTSVSCQNGSERCCEALQKLEKRYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDAFDTNRVKCVVDNQGYAIYFSRGLIPFNKSGKANPQFPYFLHLGISCFDSKFLKTYPQLAPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ* >Brasy2G248600.1.p pacid=40071465 transcript=Brasy2G248600.1 locus=Brasy2G248600 ID=Brasy2G248600.1.v1.1 annot-version=v1.1 MASATAELEAAIAALPTKKQRLRDSFDRLVASAPIPIPFNWDVLDAHISSLQSSIDVRFRQLQGSRHAALTTTDPVEHQGKEDQESHVEHPVRDQGQDSNEEDGERTNRAPSDLNDEEEQEREEEPIEVYLDQEDEVEGEANKASPGPEDDEEDAIDASPGLGLKEVNENAKEAMEAPPASRSNGVMKEKEWRCPIIPDFASVGGAAEAAVRRDLVAASASMDTSTMAEILCWGNKRCLRARRHFLPALRGSPEPHAFVVGAIRDFLARAEPKGDKHWENCSWLLCCVRKLSAEPSVGTLEQANRLAEDWKEMIGKPESCKDLGRLAIYGLFRFLVSYNIALEFDAREIIHHVGNIPRHRKQNCIELCNRLGLIHKMTDSINHLIENGQEPDALRLACVLNLTDKYPPLYIMNEYVDKAKKTAQEIISKENDSPESLNQAMTKQVNALISSWRAVDEYNIDSAHRTSIKAEITQLLHEYAHKRQSLPEACLSALSPHKQHNLQEQCEQHLQTFEEEQQQKLQEKQHQRTQDLQPKPDEEHHYQQKEQEVRKQEGSRQRFGEKRYNKKRKRNYHKQQQQQQRAQEMRQHRYHKQPRLSHFHADSFGHPPHNARLGNHDSQQFSGIRGAPPRYPGPYNHPQLCTARKSAIQARVEAAHDLTDRLN* >Brasy2G325700.1.p pacid=40071466 transcript=Brasy2G325700.1 locus=Brasy2G325700 ID=Brasy2G325700.1.v1.1 annot-version=v1.1 MSTTVVASGRGVPRALAVLGRCVRAPFRALVRARDMYVSACTGGRGPVGMVAMPRSRSHVFYRSAGEDDAELIRAASRGRPAGVGPRSQSLQVAIGRIEEDRPSEFGVDDGAVVVQSLGPRSRSCAVGSTVMTRRRVGGVAGA* >Brasy2G240800.1.p pacid=40071467 transcript=Brasy2G240800.1 locus=Brasy2G240800 ID=Brasy2G240800.1.v1.1 annot-version=v1.1 MLLRPGICPALASMWPLPSYVTARLAAVRADPCGVPLPVFNSLLSALVSSDPSHAHLPLHLFRRLLLPRRRPDAFTLSTLSSSFALLDSSHSHAASASVHAFSLRLGYLHADPVLTNSILLHYLQSPHSSSLALRLFDEMPVRTASTYNTLISYSPAGADTWSFLRCMIADGHTPDRFTVSAALSACMSEREGMELHCFAMKGGMCSHIDFHVSSALVSMYCRACQPGLARRVFERMQRRNVVSWTAMVGGYAENGMFEDAMKAFREMWTIGGILPNRVALISVLSAVEGLTILAEGTQVHGFAVRMGLYGEVSLNNALIAMYAKGGALHYARRVFDGTWHKDVISWGSMVLGYGLHGMGTEAVALFDHMHATGVKPDSIVGLGVLSACCRTGLVVEGLEIYNSLLKDHKVHPTEEMSACIVDLLGRSGLIDDALDFIKSMSVEPGPSVWGALLDASVIHSNKETQDLACRSLLRLEEGSSSNLVLVSNLHASSGRWNIVEQLRAAIKQGSLKKTPGCSWVNPSI* >Brasy2G192900.1.p pacid=40071468 transcript=Brasy2G192900.1 locus=Brasy2G192900 ID=Brasy2G192900.1.v1.1 annot-version=v1.1 MLSFCGLSPWLLAASSGEGGISCLTVWGGEEPRRRRLGAICGLASGEFYRALYASAPPPVRSAVHGSTPHVNVAEGIPQTAPFLSSMSRRELCCF* >Brasy2G265600.1.p pacid=40071469 transcript=Brasy2G265600.1 locus=Brasy2G265600 ID=Brasy2G265600.1.v1.1 annot-version=v1.1 MLGEQGSNTRANRRVNCEDQPLCTSIQCLCQECFPCAMSLSRRRRGAEEVVAAVAQGRGACRSGDEEDCRRQSSLPSLSRGDGGRAA* >Brasy2G173500.1.p pacid=40071470 transcript=Brasy2G173500.1 locus=Brasy2G173500 ID=Brasy2G173500.1.v1.1 annot-version=v1.1 MMLMNAMPMKGLNFLGCYKALITFILYVKLQYYLRQNSFANKELLRVFSNSSSNRLDHKEQIMFPTLQVLSEDRRSKGAAHYFLINLNLKAERFEIMDSLRAEGDVKMMEAVQQLVSAVKFLWLQEYKDSKISILTYPVEYVPAPKQGNLHDCGIFMLKYIESWDGRRMSYFNQGNILNIRMLFMKKWLEWPENRIEWQKILLPS* >Brasy2G400600.1.p pacid=40071471 transcript=Brasy2G400600.1 locus=Brasy2G400600 ID=Brasy2G400600.1.v1.1 annot-version=v1.1 MDQDKESRPSRSPSEPNLFLQWGSRKRLRCVKTREDGSPSPARSDMLRRTIPRVNRPLLGGDVAQFRSPRRPSTLHRRKSEAHASENRQSMSLSPEKDRYYSTRGSPFHFEGNGFDFNGGVEEKGTTALPRFFISLSNKEKEEDFMVMKGCKLPQRPKKRPKLMQKSLLMVCPGAWLSDLSHERYEVREKKSSKKRARGLKALCMESDSE* >Brasy2G419800.1.p pacid=40071472 transcript=Brasy2G419800.1 locus=Brasy2G419800 ID=Brasy2G419800.1.v1.1 annot-version=v1.1 MRVKQGLGGGHGVVQEEEQEKWVDDSSVDHRGRPPLRSATGSWKAAFFIILIEFSERLSYFGIATSLMLYLTKVLQQDMKTAAENANYWMSVTTLMPLLGGFLADAYVGRFSTVLFSTLVYLMGLAILAVSQLAPALKPTASLRRHETLFFVGIYLVSVGTGGHKPALESFGADQFDDGHPGERRQKMSYFNWWNCALCSGVLLGVTAVVYVQERLGWGAADLLLATVMAASLVVYLAGYRTYRFRVPDGSPLSPLLRVAVAAFLKRRLRLPNDAAELHHEDLVALPPQGGSGSKKKKRMLCHTEQFRFLDKAAVVVDGGKWLATVTEVEETKLVLAMVPIWLTTLAFGVTAAQVSTFFIKQGSVMDRRLGPSFEIPPASVFALAALGMILAVAAYDKLLEPYVRRAKGAAAERGISILQRIGVGMGFGILAMAVAAAVERRRLRSPSPASMSVFWLVPQFVLMGVGDGFALVGLQEYFYEQVPDNMRSLGIGLYLSVIGAGSFLSGLIIAAADRVTSRGGSEGWFGKDLAHSRLDLFYWLLAVIGAINLGFYVLVATRYSYKQTVAKAATASSARVGHDIECATLAGDAA* >Brasy2G244100.1.p pacid=40071473 transcript=Brasy2G244100.1 locus=Brasy2G244100 ID=Brasy2G244100.1.v1.1 annot-version=v1.1 MALRVALPLVGAVFLLAAAAAVVASNEEGDALYALRTRLSDPGGMLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNVSGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGSIPKSLSKLNSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSAFPLQSFENNSRLNGPELQGLVPYDFGC* >Brasy2G284800.1.p pacid=40071474 transcript=Brasy2G284800.1 locus=Brasy2G284800 ID=Brasy2G284800.1.v1.1 annot-version=v1.1 MTALQPSFSSTMAMNNSCNTMKFPKTALLPCFGGMSRPQDVQDRNAGFNSSRPKAVSVADQSIQEPAKPRQKKQTVDPTAPEFLPLPSFEECFPRSTKECSEIVHEESGHVLKVPFRRVHLTGDNEHFDTYDTSGPQNISPSLGLPKIRKEWIGRREKLASPRYTQMYYAKQGIITEEMVYCAKRENLTPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMSDMSAEFLAARKTISGEQHGEAGGEIYVPESYVATK* >Brasy2G284800.2.p pacid=40071475 transcript=Brasy2G284800.2 locus=Brasy2G284800 ID=Brasy2G284800.2.v1.1 annot-version=v1.1 MTALQPSFSSTMAMNNSCNTMKFPKTALLPCFGGMSRPQDVQDRNAGFNSSRPKAVSVADQSIQEPAKPRQKKQTVDPTAPEFLPLPSFEECFPRSTKECSEIVHEESGHVLKVPFRRVHLTGDNEHFDTYDTSGPQNISPSLGLPKIRKEWIGRREKLASPRYTQMYYAKQGIITEEMVYCAKRENLTPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMSDMSAEFLAARKTISGEQHGEAGGEIYVPESYVATK* >Brasy2G284800.3.p pacid=40071476 transcript=Brasy2G284800.3 locus=Brasy2G284800 ID=Brasy2G284800.3.v1.1 annot-version=v1.1 MTALQPSFSSTMAMNNSCNTMKFPKTALLPCFGGMSRPQDVQDRNAGFNSSRPKAVSVADQSIQEPAKPRQKKQTVDPTAPEFLPLPSFEECFPRSTKECSEIVHEESGHVLKVPFRRVHLTGDNEHFDTYDTSGPQNISPSLGLPKIRKEWIGRREKLASPRYTQMYYAKQGIITEEMVYCAKRENLTPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMSDMSAEFLAARKTISGEQHGEAGGEIYVPESYVATK* >Brasy2G284800.4.p pacid=40071477 transcript=Brasy2G284800.4 locus=Brasy2G284800 ID=Brasy2G284800.4.v1.1 annot-version=v1.1 MTALQPSFSSTMAMNNSCNTMKFPKTALLPCFGGMSRPQDVQDRNAGFNSSRPKAVSVADQSIQEPAKPRQKKQTVDPTAPEFLPLPSFEECFPRSTKECSEIVHEESGHVLKVPFRRVHLTGDNEHFDTYDTSGPQNISPSLGLPKIRKEWIGRREKLASPRYTQMYYAKQGIITEEMVYCAKRENLTPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMSDMSAEFLAARKTISGEQHGEAGGEIYVPESYVATK* >Brasy2G104200.1.p pacid=40071478 transcript=Brasy2G104200.1 locus=Brasy2G104200 ID=Brasy2G104200.1.v1.1 annot-version=v1.1 MESPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCSPKEICDKYHAIHDEVYKWFDIKFDKFGRTSAPQQTEVCQAIFHKLMENKWLTENTMQQLFCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPTCKVCKNTPRIRDTDHLFLELPLLSDKLVNYINNTSVAGMWSQNAIQATNAWLKEGLKSRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKITNIPPEVWRYYLLMNRPEVSDTLFTWVDLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPDAESHPLTKEFAEKTNKWVEQYLDAMEKVKLKQGLKSAMAISSDGNAYLQESQFWKLFKEDPATCAIVMKTSVGLVYLLACLLEPFMPPFSREVLRQLNLSPDEDLSFCDDKGETAKAKRPWDFVPAGHIIGKPAPLFKELKDEEVESFRSRFAGSQAERSSKAQADSEAKKVAEKLKGTKLSEGGSKKEQKKQSGGSKSKAVEEVTVAKLDIRVGLIRKAEKHPDADSLYVEEIDIGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESALVGERLTFAGYSGEPEASLSGKSKTWEKLSAELHSNGELVACYKDVPFTTKSGVCKVNTIANGEIR* >Brasy2G369500.1.p pacid=40071479 transcript=Brasy2G369500.1 locus=Brasy2G369500 ID=Brasy2G369500.1.v1.1 annot-version=v1.1 GGKKNLTIVYGRRVLCAVDVVELQAMAIISMASRETTTGKKTAIADDMDQDGATDDHRREGTAPVIVGGGEQAGLSMKRSLQRFLEKRKARAAAAGSPYGVPRPAARPPRS* >Brasy2G324300.1.p pacid=40071480 transcript=Brasy2G324300.1 locus=Brasy2G324300 ID=Brasy2G324300.1.v1.1 annot-version=v1.1 MPETVDFASSDAAAWRAALDAYDSRLASLDKPDLLEADSFYRHDLPLLLHGRDPDPFLAKPELVQLLQWKLSRGKWRPRLMDFVKSLDDSVVESASRKAFAALPDLSKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGSTKEYTLKQYLALAEKLQAKAEELSVGEESFTPSDVERALWSSTVASKSRKVPASGKRKR* >Brasy2G024200.1.p pacid=40071481 transcript=Brasy2G024200.1 locus=Brasy2G024200 ID=Brasy2G024200.1.v1.1 annot-version=v1.1 MTWHCGARGGAHHGRTTTNPPPPATTATSSSRARLGRAPPMPPLAAAAAHLLRRRFGRLLSSPPTSSSSFPVRRVFFHRAMESSSSAAAAQQAGGAAVAAEEYEEVLGRLSSLITQKVRAHTGNRGNQWDLMERYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDTPMPPYFRFMALLAFKIFSAEQVDVALLEVGLGGKFDATNVVKAPVVCGISSLGFDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMVALKQRALELGILLQVADPLKPHQLKDQHLGLHGEHQYINAGLAVALATTWLEKQGHVDRIPLNRTDPLPDQFIRGLSSASLQGRAQIVPDSQVNSEEKDPHCSLVFYLDGAHSPESMEICARWFSRVTKEDKSIQSSMEQPQSCRNTRKILLFNCMSVRDPMRLLPHLVDTATQNGAHFDLALFVPNQSQYNKLGSNASAPAEPEQIDLSWQLSLQTVWEKLLHGDKGLNSTDSSETSLVFESLPLAIEWLRKSSREDPSTSYQVLVTGSLHLIGDVLRLLKK* >Brasy2G024200.2.p pacid=40071482 transcript=Brasy2G024200.2 locus=Brasy2G024200 ID=Brasy2G024200.2.v1.1 annot-version=v1.1 MTWHCGARGGAHHGRTTTNPPPPATTATSSSRARLGRAPPMPPLAAAAAHLLRRRFGRLLSSPPTSSSSFPVRRVFFHRAMESSSSAAAAQQAGGAAVAAEEYEEVLGRLSSLITQKVRAHTGNRGNQWDLMERYLQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDTPMPPYFRFMALLAFKIFSAEQVDVALLEVGLGGKFDATNVVKAPVVCGISSLGFDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMVALKQRALELGILLQVADPLKPHQLKDQHLGLHGEHQYINAGLAVALATTWLEKQGHVDRIPLNRTDPLPDQFIRGLSSASLQGRAQIVPDSQVNSEEKDPHCSLVFYLDGAHSPESMEICARWFSRVTKEDKSIQSSMEQPQSCRNTRKILLFNCMSVRDPMRLLPHLVDTATQNGAHFDLALFVPNQSQYNKLGSNASAPAEPEQIDLSWQLSLQTVWEKLLHGLNSTDSSETSLVFESLPLAIEWLRKSSREDPSTSYQVLVTGSLHLIGDVLRLLKK* >Brasy2G345000.1.p pacid=40071483 transcript=Brasy2G345000.1 locus=Brasy2G345000 ID=Brasy2G345000.1.v1.1 annot-version=v1.1 MDAAVQEAKLLRQVNALIVAHLREQNLTQAATAVAAATMTPLYPAIADASLPNHLLRLVAKGLAAERDEGGGAPATFDSAAAGGVGLGRPLGTNAVDFSMQGVSGSSKSFPKHESKHISDHKNVVRCAKFSPDGRYLATGSGDTSIKFFEVPKIKQTISADSKEGSVRPVVRTFYDHTQPINDLDFHPINPILISAAKDNTIKFFDFSKTAARKAFRVIQDTHNVRSVCFHPSGDYILAGTDHPVAHLYDVNTFTCYLSSNPQDCSAAINQVRYSGTGSLYVTASKDGSLRIWDGASAECVRPIVGAHASAEATSAIFTKDERYILSCGKDSSIKLWEVGTGRLVKQYAGAVNGQLRCQAVFNESEEFVLSIDEQNVVVIWDALTTEKVARLPSGHTGAPRWLEHSPVEPVFVTCGTDRSVRFWKQTT* >Brasy2G349600.1.p pacid=40071484 transcript=Brasy2G349600.1 locus=Brasy2G349600 ID=Brasy2G349600.1.v1.1 annot-version=v1.1 MTYLRQIDGQYLHDSLVKYRNTAFSLGTRHLAASRLSCEPVTVTGEASRCTHCVSALNPQNLRFPSHYPKRNPIEATVEKIQMATPSMLTFSPLVLLRPASLAPPHQAAPASSAISVRAAAASSKSPAAAAPKKRAATGIKMPRPVSPALQAVVGAAEVPRTEAIKRLWAYIKQNNLQDPMDKKVIVCDQKLKALFAGRERVGFLEIAKLLNPHFVKAP* >Brasy2G462300.1.p pacid=40071485 transcript=Brasy2G462300.1 locus=Brasy2G462300 ID=Brasy2G462300.1.v1.1 annot-version=v1.1 MELGESQKRLLSLSFCNLQSLCKQHNLPANKSHGELASELAMLLEKEKLNSGPELEKNIGSTQASPTCSAVLSNIKEASRCAQENHKRDSYSDRGGDDRLHVKHKKGPQTQIYEALQSDIGTRMSLPPVSLINVKCSGQGIAQNAKSQSAYEPRSCTKVGANGVDKAIGSTNEILANAKANEKKKEKEKANTNTKAAPFQFFVMSEDEGLDLVVDLNFNPASWVRSFNERMNIPPSTHQSEKGILSDSISSLVGKNDQNTISSLGSITVDTENRAADSIAPRTNSSLGPHSTDGDNSHSGPHPADTINVNSNSSASTLPGTLAEVSGSQEGVPVVHSSCLSSDVQNNMPLDMLAGALGNNVLPQESVGVSVWSERNHAPAADDSIQATSNKDTFSPGYEVISDSNENSFPLSVEKEMLDGTSGVQPGHSGTNDTPKENVLMEAVPMEEDNCNGDRLSSQIARQTVAELPATDASSADCRIAGNFDLTGPTPSSAALDNAITPLALKDGAKTARSHDSVDKKRPHDTEELHDVPTRNILFSLRSASARQKNPSPRRSARLVPK* >Brasy2G462300.2.p pacid=40071486 transcript=Brasy2G462300.2 locus=Brasy2G462300 ID=Brasy2G462300.2.v1.1 annot-version=v1.1 MELGESQKRLLSLSFCNLQSLCKQHNLPANKSHGELASELAMLLEKEKLNSGPELEKNIGSTQASPTCSAVLSNIKEASRCAQENHKRDSYSDRGGDDRLHVKHKKGPQTQIYEALQSDIGTRMSLPPVSLINVKCSGQGIAQNAKSQSAYEPRSCTKVGANGVDKAIGSTNEILANAKANEKKKEKEKANTNTKAAPFQFFVMSEDEGLDLVVDLNFNPASWVRSFNERMNIPPSTHQSEKGILSDSISSLVGKNDQNTISSLGSITVDTENRAADSIAPRTNSSLGPHSTDGDNSHSGPHPADTINVNSNSSASTLPGTLAEVSGSQEGVPVVHSSCLSSDVQNNMPLDMLAGALGNNVLPQESVGVSVWSERNHAPAADDSIQATSNKDTFSPGYEVISDSNENSFPLSVEKEMLDGTSGVQPGHSGTNDTPKENVLMEAVPMEEDNCNGDRLSSQIARQTVAELPATDASSADCRIAGNFDLTGPTPSSAALDNAITPLALKDGAKTARSHDSVDKKRPHDTEELHDVPTRNILFSLRSASARQKNPSPRRSARLVPK* >Brasy2G462300.3.p pacid=40071487 transcript=Brasy2G462300.3 locus=Brasy2G462300 ID=Brasy2G462300.3.v1.1 annot-version=v1.1 MELGESQKRLLSLSFCNLQSLCKQHNLPANKSHGELASELAMLLEKEKLNSGPELEKNIGSTQASPTCSAVLSNIKEASRCAQENHKRDSYSDRGGDDRLHVKHKKGPQTQIYEALQSDIGTRMSLPPVSLINVKCSGQGIAQNAKSQSAYEPRSCTKVGANGVDKAIGSTNEILANAKANEKKKEKEKANTNTKAAPFQFFVMSEDEGLDLVVDLNFNPASWVRSFNERMNIPPSTHQSEKGILSDSISSLVGPHSTDGDNSHSGPHPADTINVNSNSSASTLPGTLAEVSGSQEGVPVVHSSCLSSDVQNNMPLDMLAGALGNNVLPQESVGVSVWSERNHAPAADDSIQATSNKDTFSPGYEVISDSNENSFPLSVEKEMLDGTSGVQPGHSGTNDTPKENVLMEAVPMEEDNCNGDRLSSQIARQTVAELPATDASSADCRIAGNFDLTGPTPSSAALDNAITPLALKDGAKTARSHDSVDKKRPHDTEELHDVPTRNILFSLRSASARQKNPSPRRSARLVPK* >Brasy2G462300.4.p pacid=40071488 transcript=Brasy2G462300.4 locus=Brasy2G462300 ID=Brasy2G462300.4.v1.1 annot-version=v1.1 MELGESQKRLLSLSFCNLQSLCKQHNLPANKSHGELASELAMLLEKEKLNSGPELEKNIGSTQASPTCSAVLSNIKEASRCAQENHKRDSYSDRGGDDRLHVKHKKGPQTQIYEALQSDIGTRMSLPPVSLINVKCSGQGIAQNAKSQSAYEPRSCTKVGANGVDKAIGSTNEILANAKANEKKKEKEKANTNTKAAPFQFFVMSEDEGLDLVVDLNFNPASWVRSFNERMNIPPSTHQSEKGILSDSISSLVGPHSTDGDNSHSGPHPADTINVNSNSSASTLPGTLAEVSGSQEGVPVVHSSCLSSDVQNNMPLDMLAGALGNNVLPQESVGVSVWSERNHAPAADDSIQATSNKDTFSPGYEVISDSNENSFPLSVEKEMLDGTSGVQPGHSGTNDTPKENVLMEAVPMEEDNCNGDRLSSQIARQTVAELPATDASSADCRIAGNFDLTGPTPSSAALDNAITPLALKDGAKTARSHDSVDKKRPHDTEELHDVPTRNILFSLRSASARQKNPSPRRSARLVPK* >Brasy2G462300.5.p pacid=40071489 transcript=Brasy2G462300.5 locus=Brasy2G462300 ID=Brasy2G462300.5.v1.1 annot-version=v1.1 MELGESQKRLLSLSFCNLQSLCKQHNLPANKSHGELASELAMLLEKEKLNSGPELEKNIGSTQASPTCSAVLSNIKEASRCAQENHKRDSYSDRGGDDRLHVKHKKGPQTQIYEALQSDIGTRMSLPPVSLINVKCSGQGIAQNAKSQSAYEPRSCTKVGANGVDKAIGSTNEILANAKANEKKKEKEKANTNTKAAPFQFFVMSEDEGLDLVVDLNFNPASWVRSFNERMNIPPSTHQSEKGILSDSISSLVGPHPADTINVNSNSSASTLPGTLAEVSGSQEGVPVVHSSCLSSDVQNNMPLDMLAGALGNNVLPQESVGVSVWSERNHAPAADDSIQATSNKDTFSPGYEVISDSNENSFPLSVEKEMLDGTSGVQPGHSGTNDTPKENVLMEAVPMEEDNCNGDRLSSQIARQTVAELPATDASSADCRIAGNFDLTGPTPSSAALDNAITPLALKDGAKTARSHDSVDKKRPHDTEELHDVPTRNILFSLRSASARQKNPSPRRSARLVPK* >Brasy2G002900.1.p pacid=40071490 transcript=Brasy2G002900.1 locus=Brasy2G002900 ID=Brasy2G002900.1.v1.1 annot-version=v1.1 MLLLALVAGWFIGSADGNNNNNNNNNNNNNNNNTTPVATHCSTERNFTVGSAYQGNLERLLHGLGESAIQNGGFRNGRFGGPGTGDEAFAVIMCYVDRSWPDCRDCVHRASSFVSAVCLYNAAAAVMYRSCVVQYAADESATRFPIAGDGKFHRYTSGSQFVGDDAVALNRTRWELMSRLVPEAAGSPLRTSNNTSNNNNSNRQVVYGLVQCRRDLAPSDCTRCLNDLVGEMTTKLRKHTGGTVMRFSCSIRYNLNQFNIGIPAPGW* >Brasy2G292500.1.p pacid=40071491 transcript=Brasy2G292500.1 locus=Brasy2G292500 ID=Brasy2G292500.1.v1.1 annot-version=v1.1 MRRPRRAACGLRPPPLLLLLPLAALLSFATFSLHYPDRLVGPTAVAVTATAAAVTDTAHPKLPSPRHRLQISRLDLRALNATPPLHAAAVRAFRTGGRLLRDALSVSAGAAPPHARSPDSPRCPPSVALSGGKLRAAGNALALPCGLALGSHVTVVASPRGVPGNGLAQFAVELRGAGHGDAASTILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRSDEETVDGLVQCEQWAWNTGDTFEELKRIWIRNRVFGQRSKDLIDWPYPFVDDELFVLTLSAGLEGYHVQVDGRHVTSFPYRVGFILEDAAILQVNGDIEVESMVAGSLPMAHPNISERNLELLAELKAPPTEEPVELFIGIISAGSHFTERMAVRRSWMSAVRNSSSTMARFFVALNERKELNEDLKKEADFFRDIIIVPFVDSYDLVVLKTVAICEYAARVVSAKYVMKCDDDTFVRLDSVMAEVKKIPDGKSFYVGNMNYYHRPLREGKWAVSYEEWPKDTYPPYADGPGYIVSSDIANFVVFEMEKGRLNMFKMEDVSVGMWVGQFNGSVKAVEYAHSVRFCQFGCVDDYLTAHYQSPGQMLCLWEKLRRGKPQCCNAR* >Brasy2G292500.2.p pacid=40071492 transcript=Brasy2G292500.2 locus=Brasy2G292500 ID=Brasy2G292500.2.v1.1 annot-version=v1.1 MRRPRRAACGLRPPPLLLLLPLAALLSFATFSLHYPDRLVGPTAVAVTATAAAVTDTAHPKLPSPRHRLQISRLDLRALNATPPLHAAAVRAFRTGGRLLRDALSVSAGAAPPHARSPDSPRCPPSVALSGGKLRAAGNALALPCGLALGSHVTVVASPRGVPGNGLAQFAVELRGAGHGDAASTILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRSDEETVDGLVQCEQWAWNTGDTFEELKRIWIRNRVFGQRSKDLIDWPYPFVDDELFVLTLSAGLEGYHVQVDGRHVTSFPYRVGFILEDAAILQVNGDIEVESMVAGSLPMAHPNISERNLELLAELKAPPTEEPVELFIGIISAGSHFTERMAVRRSWMSAVRNSSSTMARFFVALNERKELNEDLKKEADFFRDIIIVPFVDSYDLVVLKTVAICEYAARVVSAKYVMKCDDDTFVRLDSVMAEVKKIPDGKSFYVGNMNYYHRPLREGKWAVSYEKE* >Brasy2G212400.1.p pacid=40071493 transcript=Brasy2G212400.1 locus=Brasy2G212400 ID=Brasy2G212400.1.v1.1 annot-version=v1.1 MLVLFETPAGFALFKVLDQGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCVHNSAVMELMRGLRNQLTELISGLGTQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIEYAKVVKLMGNRTNAVNLDFSEILTDDEVEAQLKEAAVISMGTEVNDLDLSNIRELCDQVLSLSEYRAQLYDYLRSRMNTIAPNLTALVGELVGARLISHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKAALAIRYDALGDGEDNSIGLESRLKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKGAGALITPAKTYNPSADLVLAKSAEEPAKKPETASKKRKHDEAETTPSTEPAGEAIQEDGDQEVRKKKKKKSKDSEESAATDTNGEKKKKSKGGKEEPADSEKKKKKKSDVQDSKIVAMETESGKKDKKKKKHTDE* >Brasy2G212400.2.p pacid=40071494 transcript=Brasy2G212400.2 locus=Brasy2G212400 ID=Brasy2G212400.2.v1.1 annot-version=v1.1 MLVLFETPAGFALFKVLDQGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCVHNSAVMELMRGLRNQLTELISGLGTQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIEYAKVVKLMGNRTNAVNLDFSEILTDDEVEAQLKEAAVISMGTEVNDLDLSNIRELCDQVLSLSEYRAQLYDYLRSRMNTIAPNLTALVGELVGARLISHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKAALAIRYDALGDGEDNSIGLESRLKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKGAGALITPAKQRSLRRLQRRGNMMRRRLHHQQSPQGKQFRRMVTRRFGRRRKRSPRTVRNLLQRIPMVRRKRSPRGAKRSLLTARRRKRRNLMYKTARLLRWKPSLVRKTKRRKNILMSDE* >Brasy2G281300.1.p pacid=40071495 transcript=Brasy2G281300.1 locus=Brasy2G281300 ID=Brasy2G281300.1.v1.1 annot-version=v1.1 MASRARASVLVLFLLATGVLLAAASREDEQRSLEQCQRRCQEGQATRHQQAQCLQQCKGKQQQEQEGRGRRGEGSSSGREGREDEKQQQQQESRRPYVFGPRSFQPIVQNEQGFIKALPPFSEASRLLRGIKNYRVAVMEANPRSFVVPGFADADGIGYVAQGEGVLTLIQNGERQSYTVREGDVIVAPAGTLMYLANTDGRRKLVVVKILHTISVPGEFQYFRADSLVSSLSKRIQRAAFKASDEQLQKVFGRQRQQQVGQKKGFIIRASEEQLREMRRQASSGSSHGSHWPFGGESSATFNLLEQRPKISNEHGRLYEADARSFRALADHDVRVALANITAGSMTAPFYNSRSVKIAVVVQGSGEVEIVCPHQSSSSSSSEEDPERRRGQSEQGQGKRQQEEQSSEEERQQGREQQQQQPGRYERIRARVSVGSAFVVPPGHPVVEIASSSRGNDNNLQIACFEIRAEKNGRVYLAGANNVFGQLDRIAKDLAFGDARALDEVVKRNQKQGFLPGPEQQQQQEEEEEGQGEEEESRRGDMETFLRMATGVL* >Brasy2G144700.1.p pacid=40071496 transcript=Brasy2G144700.1 locus=Brasy2G144700 ID=Brasy2G144700.1.v1.1 annot-version=v1.1 MAAVGRQLLLLVVVLLCLAGASSATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLMQKAKDGGLDVVETYVFWDIHEPVQGQYDFEGRKDLVRFVKAAADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTEKVVATMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKSYIRWAAGMAVALDTGVPWVMCQQADAPDPLINTCNGFYCDQFTPNSNSKPKLWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTLQNYYMYHGGTNFGRSSGGPFISTSYDYDAPIDEYGLVRQPKWGHLKDVHKAIKQCEPALIATDPSYMSLGQNAEAHVYKAGSVCAAFLANMDTQSDKTVTFNGNAYKLPAWSVSILPDCKNVVLNTAQINSQATTSEMRSLGSSTQASDGSSIETGLALSGWSYAIEPVGITTENALTKPGLMEQINTTADASDFLWYSTSVVVKGGEPYLNGSQSNLLVNSLGHVLQVYINGKFAGSAKGSASSSLISLQTPITLVPGKNKIDLLSATVGLSNYGAFFDLVGAGITGPVKLSGPKGVLDLSSTDWTYQVGLRGEDLHLYNPSEASPEWVLDKAYPTNQPLIWYKSKFTTPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGPYSSSKCLKKCGQPSQTLYHVPRSFLQPGSNDIVLFEQFGGDPSKISFTTKQTASVCAHVSEDHPDQIDSWISPQQKMQRSGPALRLECPKAGQVISSIKFASFGTPSGTCGNYNHGECSSPQALAVAQEACIGVSSCSVPVSAKNFGDPCTGVTKSLVVEAACS* >Brasy2G308600.1.p pacid=40071497 transcript=Brasy2G308600.1 locus=Brasy2G308600 ID=Brasy2G308600.1.v1.1 annot-version=v1.1 MAFSSSKLLTTAMRSSFLRFYRLASTPVLPPTPRRLPGPFLPKRFCSAAATAPSPAALDVAYSAVAAVSAGHPWPEWGDFLEKLRFKGYFVRPPLASGADAAEGAAGSAEAAAVEETVSAADPYPFRDQNRVKNACLKFARERFDLLSSLPKQEIQAIVECGCPNIFRKAVSSAKRLREFVQVDEGDACSICKLRGSCDKAYVIPNAEEAARTVDVVRILLTYAIDPTSLSGENSVGGVVQESARKLLSELTMLCDTTIDPSLPKPVFQTSSKQESSAKLDRGTQPLTKSRVSAGKGRETAVTEMKKGDWLCPNCNFLNFARNRQCLECKLDGPKKIQAATAEMKMGDWICPGCNFMNFSRNKMCFKCEGHRPKRQLNPGEWECPSCDFVNFRRNQECLKCNHDRPEDDTQDNKLGYDVWRNTKGADESRSFDSVHQEDDDGDEEVLPYKGERKHVASRKVIPAQRGFADRSRKGADDYELPYEGGRKHTSSRRAPPVQRGFTGRSRKDNNDEDDVLPYEGARKHESSRRAAPAQRRFTKDDDDEDEVLPYEGARKHVASKRATPSQRRFTAARGQ* >Brasy2G122800.1.p pacid=40071498 transcript=Brasy2G122800.1 locus=Brasy2G122800 ID=Brasy2G122800.1.v1.1 annot-version=v1.1 MNLSEDWRFVFPVSSVFEPPSFAPPAAATHGPLLFSPAPSRTTLLSLPFPFPPPLTDASTTSGLRHALRSFVDSTSFLPSSDLDSLSGYLLAAPAPPFPAPSNLLAALRARSPGSLILFFPYGENADKVAFTPVDLPLATTTTPVSPFVQSDGFMHPGHRILQLAAIPARSSWSPEPDHSCDEGFLLAATLYSVSWFCVKTQDSGPHMLVPVAKQGFDAAVVHACWNKHLPSQCAVLLESGELCWFDLDTRHGGKTRLGFRTDDDDCGHWLSCVYGAQPWVVIIASTKVVLLVDLRSVDCGEYKVIAQVGLPGLFETDPFARTEHYLAFCKAGFDDFHISVVTERHLILLDVRQPLTPVLAWQHGLESPNHVTMFRLSELRPSEDYEWASNSGFAVLVGSFWNGQCSLFCYGPKEQGCSENSRLYAWDTPSWLSLTGQRYCSNRIMKEVFSTPASGYGNYASQHNANSVVGYYVLPNDLSMSEATSAGFTLVRLTTSGKLEMQQYIAFRDLHDDTPCDESEHASRNITSSISLNTEEENFSTRYRFLKLNFLSEHLKGKLCSALVKHDTSVNKQSGRLVISEDVSAFAEDNSKSSSRSVSDFLCNASVPMSIFEIACQSVLNSLPSNILQVTFSQYKDMLSCTKEGLLEYLEVPSCPPNNKPRPFLLAKPSSTGEKVTSKVLSQNAFVGPVLPLHVLLAMELMSKGIGSPSEGETAETDLLSQQSSEILDACVPEISIADADNCDGWSAPQKLNDKKSYFVYEPQIENKFTLDETARKKEKEEQKVGGDACFQTSAAPYKDENFMKFVCGEVVSPDSGSEQPTSDLFDFSPVRMDFDSTDINIQPAEARIYKCLKKQFLTWQNNFKPYQDFCSSHEIQKPQ* >Brasy2G498900.1.p pacid=40071499 transcript=Brasy2G498900.1 locus=Brasy2G498900 ID=Brasy2G498900.1.v1.1 annot-version=v1.1 MSRGSGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDDTSVTHLFPITKYIGLLATGLTADARSLVSQARNEAAEYRKKWGYEMPVDVLAKWIADKAQIYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDSPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD* >Brasy2G143700.1.p pacid=40071500 transcript=Brasy2G143700.1 locus=Brasy2G143700 ID=Brasy2G143700.1.v1.1 annot-version=v1.1 MAFRITWLLHHQQRRLLATAAEASSHRLPRAPLGGAIPKQDVAKAEGLSLKNSRWYMIKSSPSNPLAMRDCRKVFPSLIRPSASYSTQASDKKTQKERKDLSTTEDPFDDAPTYNIPEKPVTFAEGASYSLVILAGLGVAALAGYAVFKELIFEPKEYKIFGKALARVQSDSQVTARIGYPITGYGSETRNRAARQRVPSRIWTDEEGVEHVEVNFYIRGPHGAGKVYSAMFKDNSDRAWKFTYLLVEFTAPHQGQVMLESYIPA* >Brasy2G143700.2.p pacid=40071501 transcript=Brasy2G143700.2 locus=Brasy2G143700 ID=Brasy2G143700.2.v1.1 annot-version=v1.1 MAFRITWLLHHQQRRLLATAAEASSHRLPRAPLGGAIPKQDVAKAEGLSLKNSRWYMIKSSPSNPLAMRDCRKVFPSLIRPSASYSTQASDKKTQKERKDLSTTEDPFDDAPTYNIPEKPVTFAEGASYSLVILAGLGVAALAGYAVFKELIFEPKEYKIFGKALARVQSDSQVTARIGYPITGYGSETRNRAARQRVPSRIWTDEEGVEHVEVNFYIRGPHGAGKVYSAMFKDNSDRAWKFTYLLVEFTAPHQGQVMLESYIPA* >Brasy2G159300.1.p pacid=40071502 transcript=Brasy2G159300.1 locus=Brasy2G159300 ID=Brasy2G159300.1.v1.1 annot-version=v1.1 MGNGITKNPCFSGNPYAAAPAASDTAPEDSHGHSFTYLPMAAAFDRTTTAGAGAMPSETSFFSLSGAAISANVATSASIPSFRLLNEQTWPPLSGGTFESSRSFASVPLLQAAPPRLSMSGPLLSVSSGRFSDTSAGGTGTTASTASDRFSDRPFVSGGTLDSSLSSSSFAGQHQPSVSRLIAERRAARSRRRDERSLFQYLASAASRLPGFRRPAGPRREMESLSEGGYRWPNNGNVQWAQGVVGEDRFHVAVSEEHGWVFVGIYDGFSGPDAADYLFSNLYVAVHRELKGVLWDDIQVGQPADILCSVDDGSAPEAVERKAKKGRTDNADANASASTSFAATAMAMHRSVLQALARALRKTEDAFFEAAEENAEENPEVGLMGSCVLVMLMKGTDVYVMNVGDSRAVLAARREPDLENILGKASQDLKHFKQQIMRELQAHDRDGLQSMQLTPEHSTTVEEEVRRIRSQHLNDREAIDKGRVKGKLNVTRAFGAGFLKDPKWNARLIKRFQIRYVGTDPYISCIPSLCHHRIGSNDKFLVLSSDGLYQYFTNKEVVDQVEMFTAEHPEGDPAHHLVGELVQRAARKHGMDYCTLLGIPRGNRREYHDDVSVIVISFEGRIWRSSV* >Brasy2G458200.1.p pacid=40071503 transcript=Brasy2G458200.1 locus=Brasy2G458200 ID=Brasy2G458200.1.v1.1 annot-version=v1.1 MAPTVVSASLGVLGPLLVKLAGLLAGEYGRLKGVRREIRSLDSELISMHAALKEYTELEDPSGQVKTWISLVRELAYDTEDIFDKFIHHLGKGGVRRGGFKEFLGKISLPLKKLGARRAIVDQINDIKDRIKQVKELKDSYKIDNIPCNSSRCTVGDPRLTVVRSLC* >Brasy2G470900.1.p pacid=40071504 transcript=Brasy2G470900.1 locus=Brasy2G470900 ID=Brasy2G470900.1.v1.1 annot-version=v1.1 MQPVPNVSVVDKTCIDNKKVPPITQPHDQRCYISSFTQCLMTSRNSYLIECLSLGEHD* >Brasy2G033500.1.p pacid=40071505 transcript=Brasy2G033500.1 locus=Brasy2G033500 ID=Brasy2G033500.1.v1.1 annot-version=v1.1 MSKPRLPQSSSMASLRLTMSMALSQQPQLRIGNRVLSNDDWAVLQMLNDAVDGDIPRVKKLAGQLRRAGKSVDEAVAALAGTSHGTRGPLHMAAWAGRLEMCKFLIKHLHLDINAADDSGATPLLYATYGCGSTSVLRFLLDRGANPNRADKDGTTALHYASMIGTHSPTNATRLWAATTRDPCEIAELLLSRGAYVDPICDRGTPLHVAAQNGNVRMLELLLRHQANPNKVVRLFYTPLTLALFAFSLRCVELLIKAGADVNAGRPVTPLVIAATDGLTDCIKCLLEAGADTNIPDEIGRTPIEIARIQGWKDCVEILSPPTFHVATFTGSDDPMLDKNNGCSLEGGGDAAFQEKDYAHAVALYTKAMETDHDDPTLYAKRSLCWLHMSEGDKAMDDANTYKDMELDVSKSCYEQGAALILTKDYDRACKALMSGLKLSFGSDPTPVRR* >Brasy2G239000.1.p pacid=40071506 transcript=Brasy2G239000.1 locus=Brasy2G239000 ID=Brasy2G239000.1.v1.1 annot-version=v1.1 MGIGHTIELAIQQVAYMCVTLLRTKYEWLDKGPFKYLPRGFITCENKFFTLQRMPEEKVADDSYDFCTFVTSQEYMVANMRAEIEQYRKQLWIALGNLSAVADAGVYENEDRYPPRPPAPELAKVDGFTPARGPPRVFENTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy2G463400.1.p pacid=40071507 transcript=Brasy2G463400.1 locus=Brasy2G463400 ID=Brasy2G463400.1.v1.1 annot-version=v1.1 MSDAQTATPGGYFVGRPVNSDAPEKEPVVEQAPVNDNIPGDYFVGPPANQQPKPAAQAAKPSFLAQCCPCLGGGAAAS* >Brasy2G023600.1.p pacid=40071508 transcript=Brasy2G023600.1 locus=Brasy2G023600 ID=Brasy2G023600.1.v1.1 annot-version=v1.1 METVQQHRHPDASAGTASRCPPWLQAAIKDIEQRVRALAVNASSAEDDAAAAEHSFAERAENYYHRRPQLLALLTDLHRRYLYLADRYSQSLLAKSHSQDAAASSDCDCSSDVSDSDAGSSLSFQPPHIDAPTADSANGDVLVAELVMAWVSGDIQADAFSRRAADAARRIELQGSLVEVLESERLVLLGENARLGSRAAAAEAEAAAGLAFARREMARLLAAVGGGRHRRSQACCGGGVEALRALEQRNRECFEAMASWEAERKAAVGEIERLRTENRRLVAAEAEMKAAAAVRRRKRGGNKGGWWWLDRVRMAAEWTPCAPASVTARKVGEQIKGCGKDGAAGVKYSGGCFCL* >Brasy2G115500.1.p pacid=40071509 transcript=Brasy2G115500.1 locus=Brasy2G115500 ID=Brasy2G115500.1.v1.1 annot-version=v1.1 MQSHVTAAGGFGPRQSVLCNLVGDREDGCREVAGVGLNDQALTGDQAMRRTRFGPRALGRAASLPACEAASLEGSATFCGHPLCLPTLAVSRPFPTSARHVPTAASGAGPGSGEMSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRLVDGREMMVQFAKYGPNAERIQKGRIMETLPRPRGRSRSRSPRRGYRDDHRGRDSYRRRSHSRDRYERERYSERDYHRRSRSHSSSPDDYKRRGRDRSPSPSRSRSYSPVDDRRRRRDSVSPRKSPSRSPRRTPSSHEGSPVRRNDDQSPRSRSPST* >Brasy2G115500.2.p pacid=40071510 transcript=Brasy2G115500.2 locus=Brasy2G115500 ID=Brasy2G115500.2.v1.1 annot-version=v1.1 MQSHVTAAGGFGPRQSVLCNLVGDREDGCREVAGVGLNDQALTGDQAMRRTRFGPRALGRAASLPACEAASLEGSATFCGHPLCLPTLAVSRPFPTSARHVPTAASGAGPGSGEMSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRLVDGREMMVQFAKYGPNAERMYRDDHRGRDSYRRRSHSRDRYERERYSERDYHRRSRSHSSSPDDYKRRGRDRSPSPSRSRSYSPVDDRRRRRDSVSPRKSPSRSPRRTPSSHEGSPVRRNDDQSPRSRSPST* >Brasy2G115500.3.p pacid=40071511 transcript=Brasy2G115500.3 locus=Brasy2G115500 ID=Brasy2G115500.3.v1.1 annot-version=v1.1 MQSHVTAAGGFGPRQSVLCNLVGDREDGCREVAGVGLNDQALTGDQAMRRTRFGPRALGRAASLPACEAASLEGSATFCGHPLCLPTLAVSRPFPTSARHVPTAASGAGPGSGEMSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRLVDGREMMVQFAKYGPNAERMYRDDHRGRDSYRRRSHSRDRYERERYSERDYHRRSRSHSSSPDDYKRRGRDRSPSPSRSRSYSPVDDRRRRRDSVSPRKSPSRSPRRTPSSHEGSPVRRNDDQSPRSRSPST* >Brasy2G348100.1.p pacid=40071512 transcript=Brasy2G348100.1 locus=Brasy2G348100 ID=Brasy2G348100.1.v1.1 annot-version=v1.1 MARLVSTALVRGIARSCRASSTAAAVSQPTFQQFMAYSSQVDDSNANMASSTTRVTADPDTHQDFQPTSKGSDSSLHDIVAQDIKDNPVLIYMKGYPDAPRCGFSALAVKVLQQYGVSISARDILSNMKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILNMHQKGELKDLLGDVAQKGE* >Brasy2G237900.1.p pacid=40071513 transcript=Brasy2G237900.1 locus=Brasy2G237900 ID=Brasy2G237900.1.v1.1 annot-version=v1.1 MRHIKLNAYVHAKKTTGLQLSQISYRISKRSTYVRYQKDNWIPVIPNAKKGHILKACIVNSYIWHECTVLHLAENMRLASRSLSPSDRENLRIFAEWLLRVWDGTEPYIAIECEPENAFIQIPQSLLLPSHCRNLDGLIFFVYNSRHQQQNTASYYCDRAILAPTNEVVSQINTRMISELKASEMSYYSADTIDDASSNHSTVEALYPPEFLNTISMNGLPDHILHLKIGVPIMILRNLDPSRGLCNGTRLIVTQLTNRIIEGEIITGKAKGTRVYIPRIVTTSAQSRWPFKLKRRQFRVRLSYAMTINKSQGQTLNRVGVYLPTPVFSHEQLYVTFSRVTSPNGLRVLIENSPSSHANCTHNVVYHDVFSQINRQPGTYLCFHYLQSI* >Brasy2G057100.1.p pacid=40071514 transcript=Brasy2G057100.1 locus=Brasy2G057100 ID=Brasy2G057100.1.v1.1 annot-version=v1.1 MAENSSAPAAATLLSNLTVAEDSSSPAATTLPSVPSTGEDKPKPSPPQLHLFNSMTKKKEPFVPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIDRANKAGETVTSLSTRFINEFLIDMAKLQCLPPTCEPRVTEHIEHIIDLIAEIIKNGKAYVDGDVFFSIDSFPEYNSLSGRNLDDNRPGSRVAVDPRKRNRNDFALWKAAKEGEPFWESPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPDSEVKCWMHNGFVNKDDEKMSKSLNNFFSIRDIITLYHPVALRFFLMRTHYKSDVNHSDKALEIASDRVYYIYQTLHDCDEVLSLYREENISVPVPDEEQKLVDEHHTAFLDSMSDDLRTTDVLDGFMGLLKAINSNLNDLKKLQQKLEQQKKKQQQKKQQQKQQRPQKQPEDHIQALISLEAEIKDKLSILGLMPPSSLVEVLKELKEKALKRAGLTEETLREQIEQRVAARNNKQFDVSDQIRKLLASKGIALMDEPTGTVWRPCERE* >Brasy2G057100.2.p pacid=40071515 transcript=Brasy2G057100.2 locus=Brasy2G057100 ID=Brasy2G057100.2.v1.1 annot-version=v1.1 MAENSSAPAAATLLSNLTVAEDSSSPAATTLPSVPSTGEDKPKPSPPQLHLFNSMTKKKEPFVPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIDRANKAGETVTSLSTRFINEFLIDMAKLQCLPPTCEPRVTEHIEHIIDLIAEIIKNGKAYVDGDVFFSIDSFPEYNSLSGRNLDDNRPGSRVAVDPRKRNRNDFALWKAAKEGEPFWESPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPDSEVKCWMHNGFVNKDDEKMSKSLNNFFSIRDIITLYHPVALRFFLMRTHYKSDVNHSDKALEIASDRVYYIYQTLHDCDEVLSLYREENISVPVPDEEQKLVDEHHTAFLDSMSDDLRTTDVLDGFMGLLKAINSNLNDLKKLQQKLEQQKKKQQQKKQQQKQQRPQKQPEDHIQALISLEAEIKDKLSILGLMPPSSLVEVLKELKEKALKRAGLTEETLREQIEQRVAARNNKQFDVSDQIRKLLASKGIALMDEPTGTVWRPCERE* >Brasy2G082700.1.p pacid=40071516 transcript=Brasy2G082700.1 locus=Brasy2G082700 ID=Brasy2G082700.1.v1.1 annot-version=v1.1 MAEPEVAAAAATAMETEASEANASLKREREEGDDSAAGAAAEAAEEAAAKKPRLEEEAKDVEEKGEEEGAKDVEGKGEEKGAEGEDGKPVKLGPKEFASSVDMFDYFFALLHSWTPQLEINKYEHMVLEDLVKKGHAEPSKKIGAGVEAFEIRNHPVWQSRCFFVRRVDGSADDFSFRKCVDNILPLPEDLKMGKSNGKKGGGGRYKGNGGRGGRGGGGRGGGGFRGGRGRGRRGN* >Brasy2G038000.1.p pacid=40071517 transcript=Brasy2G038000.1 locus=Brasy2G038000 ID=Brasy2G038000.1.v1.1 annot-version=v1.1 MVRGKTQLKRIENPASRQVTFSKRRGGLLKKAYELSVLCDAEVALIVFSPRGRLYEFASASMQKTLERYKASTKDKISSPTAQQDIEKVKADAEGLSQKLEALEAYKRKFLGEKLENCSFEELSSLEVKIEKSLHSIRRMKTQLFEDQLSKLRQKEMALRKDNEDLRGKVTKGSENEDLQGKCKGVADLTLVTSAPMIVAAAAEEENPPHAQPELNKEAMDVETELFIGLPGRNRS* >Brasy2G250400.1.p pacid=40071518 transcript=Brasy2G250400.1 locus=Brasy2G250400 ID=Brasy2G250400.1.v1.1 annot-version=v1.1 MPEPDPALVMEGTANGGGAADIVAPGKKDNAKKEEAGERKDVAVVNKNGEEPNPPSENGSKGLSEGDAKIAEAEDAKEDDAGAAEHVGTEDVKSGGVEDAVAKEDNGAKTEEGEGSKMDAVEDTNDKEDNNAKSAECKDSKTVGVEDADAKNDDDTKAAEQEDVKMAVVGDTDAKEDNDAKATECEDVKMGAVDDADANEDNNGKAAEHEDVKMGTVEDEDTNGDKEAKAAENEDVKMAAVEDEDANEGSDAKATEQEDVNMACLEDKDAKEDNNAKAAECEDAKMAEAEAGDAEVKDKGHKEEKYANKELKDGEHGGSEGQGEGNEQVKNDSAEQVKTKAEETSDIKHGEEEAEESGSADKKDEGGDGKANADEEKQEKGGKTQGKDDSVTEEKVSEADKNDEESKEETPKNKKARSARDRSQGKDKKQDGSKSREAKNLLNSSSPYSTDRPQRDRKIVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRLSRRKPADLKFLHNVLFGRKGKTADFKSHILQFSGFVWHESDEKQRAKAKEKLDKCMKDMLVDLCGLFAIPVPKTNVRKDDIVAKLLDFIAEPHAMNDSGLSDDQGSNSRKRKRGGGSSSKTPDVTPKGSKKQKFGEDAAPSKRQKQALEYDSYEDEGEDQPMKSDSEEDADEAVDEQGGYDSAEEKESKKSSEVKNSAGEKKAATGSNIKTGPPRTTSKSAGKTSPEVSKEKESPDDSAKVFSRKKKPAISKRTPCSEKEIKEKKLSGKEATKGKGEQTEVGLPSKGELKKIIVGILKKVDFNTATFSDILKKLDDHYKIDLTPRKGAIKLMIQDELQKVSEADED* >Brasy2G250400.3.p pacid=40071519 transcript=Brasy2G250400.3 locus=Brasy2G250400 ID=Brasy2G250400.3.v1.1 annot-version=v1.1 MPEPDPALVMEGTANGGGAADIVAPGKKDNAKKEEAGERKDVAVVNKNGEEPNPPSENGSKGLSEGDAKIAEAEDAKEDDAGAAEHVGTEDVKSGGVEDAVAKEDNGAKTEEGEGSKMDAVEDTNDKEDNNAKSAECKDSKTVGVEDADAKNDDDTKAAEQEDVKMAVVGDTDAKEDNDAKATECEDVKMGAVDDADANEDNNGKAAEHEDVKMGTVEDEDTNGDKEAKAAENEDVKMAAVEDEDANEGSDAKATEQEDVNMACLEDKDAKEDNNAKAAECEDAKMAEAEAGDAEVKDKGHKEEKYANKELKDGEHGGSEGQGEGNEQVKNDSAEQVKTKAEETSDIKHGEEEAEESGSADKKDEGGDGKANADEEKQEKGGKTQGKDDSVTEEKVSEADKNDEESKEETPKNKKARSARDRSQGKDKKQDGSKSREAKNLLNSSSPYSTDRPQRDRKIVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRLSRRKPADLKFLHNVLFGRKGKTADFKSHILQFSGFVWHESDEKQRAKAKEKLDKCMKDMLVDLCGLFAIPVPKTNVRKDDIVAKLLDFIAEPHAMNDSGLSDDQGSNSRKRKRGGGSSSKTPDVTPKGSKKQKFGEDAAPSKRQKQALEYDSYEDEGEDQPMKSDSEEDADEAVDEQGGYDSAEEKESKKSSEVKNSAGEKKAATGSNIKTGPPRTTSKSAGKTSPEVSKEKESPDDSAKVFSRKKKPAISKRTPCSEKEIKEKKLSGKEATKGKGEQTEVGLPSKGELKKIIVGILKKVDFNTATFSDILKKLDDHYKIDLTPRKGAIKLMIQDELQKVSEADED* >Brasy2G250400.4.p pacid=40071520 transcript=Brasy2G250400.4 locus=Brasy2G250400 ID=Brasy2G250400.4.v1.1 annot-version=v1.1 MPEPDPALVMEGTANGGGAADIVAPGKKDNAKKEEAGERKDVAVVNKNGEEPNPPSENGSKGLSEGDAKIAEAEDAKEDDAGAAEHVGTEDVKSGGVEDAVAKEDNGAKTEEGEGSKMDAVEDTNDKEDNNAKSAECKDSKTVGVEDADAKNDDDTKAAEQEDVKMAVVGDTDAKEDNDAKATECEDVKMGAVDDADANEDNNGKAAEHEDVKMGTVEDEDTNGDKEAKAAENEDVKMAAVEDEDANEGSDAKATEQEDVNMACLEDKDAKEDNNAKAAECEDAKMAEAEAGDAEVKDKGHKEEKYANKELKDGEHGGSEGQGEGNEQVKNDSAEQVKTKAEETSDIKHGEEEAEESGSADKKDEGGDGKANADEEKQEKGGKTQGKDDSVTEEKVSEADKNDEESKEETPKNKKARSARDRSQGKDKKQDGSKSREAKNLLNSSSPYSTDRPQRDRKIVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRLSRRKPADLKFLHNVLFGRKGKTADFKSHILQFSGFVWHESDEKQRAKAKEKLDKCMKDMLVDLCGLFAIPVPKTNVRKDDIVAKLLDFIAEPHAMNDSGLSDDQGSNSRKRKRGGGSSSKTPDVTPKGSKKKFGEDAAPSKRQKQALEYDSYEDEGEDQPMKSDSEEDADEAVDEQGGYDSAEEKESKKSSEVKNSAGEKKAATGSNIKTGPPRTTSKSAGKTSPEVSKEKESPDDSAKVFSRKKKPAISKRTPCSEKEIKEKKLSGKEATKGKGEQTEVGLPSKGELKKIIVGILKKVDFNTATFSDILKKLDDHYKIDLTPRKGAIKLMIQDELQKVSEADED* >Brasy2G250400.2.p pacid=40071521 transcript=Brasy2G250400.2 locus=Brasy2G250400 ID=Brasy2G250400.2.v1.1 annot-version=v1.1 MPEPDPALVMEGTANGGGAADIVAPGKKDNAKKEEAGERKDVAVVNKNGEEPNPPSENGSKGLSEGDAKIAEAEDAKEDDAGAAEHVGTEDVKSGGVEDAVAKEDNGAKTEEGEGSKMDAVEDTNDKEDNNAKSAECKDSKTVGVEDADAKNDDDTKAAEQEDVKMAVVGDTDAKEDNDAKATECEDVKMGAVDDADANEDNNGKAAEHEDVKMGTVEDEDTNGDKEAKAAENEDVKMAAVEDEDANEGSDAKATEQEDVNMACLEDKDAKEDNNAKAAECEDAKMAEAEAGDAEVKDKGHKEEKYANKELKDGEHGGSEGQGEGNEQVKNDSAEQVKTKAEETSDIKHGEEEAEESGSADKKDEGGDGKANADEEKQEKGGKTQGKDDSVTEEKVSEADKNDEESKEETPKNKKARSARDRSQGKDKKQDGSKSREAKNLLNSSSPYSTDRPQRDRKIVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRLSRRKPADLKFLHNVLFGRKGKTADFKSHILQFSGFVWHESDEKQRAKAKEKLDKCMKDMLVDLCGLFAIPVPKTNVRKDDIVAKLLDFIAEPHAMNDSGLSDDQGSNSRKRKRGGGSSSKTPDVTPKGSKKKFGEDAAPSKRQKQALEYDSYEDEGEDQPMKSDSEEDADEAVDEQGGYDSAEEKESKKSSEVKNSAGEKKAATGSNIKTGPPRTTSKSAGKTSPEVSKEKESPDDSAKVFSRKKKPAISKRTPCSEKEIKEKKLSGKEATKGKGEQTEVGLPSKGELKKIIVGILKKVDFNTATFSDILKKLDDHYKIDLTPRKGAIKLMIQDELQKVSEADED* >Brasy2G357500.1.p pacid=40071522 transcript=Brasy2G357500.1 locus=Brasy2G357500 ID=Brasy2G357500.1.v1.1 annot-version=v1.1 MERFRELGGGGGGGGGSSSSSAGSMASFLQLPLPALLSIPPPAPDAEAVKAKIMAHPLYSPLLASYLDCQKVGAPPDVLDRLSAVAAKQLDAVERRRHREPPRADPELDQFMEAYCNMLAKYREELARPIREATEFFRSVETQLDSITDSNCEGVGSSGDDLDTSCPEEIIDPSAEDKELKHQLLRKYGGYVGSLRQEFCKRRKKGKLPKEARQKLLQWWELHCKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPASEDMPFSVMGGGGFDQDPPGGGSALYMVDRSPFMVDGMYRLG* >Brasy2G493400.1.p pacid=40071523 transcript=Brasy2G493400.1 locus=Brasy2G493400 ID=Brasy2G493400.1.v1.1 annot-version=v1.1 MAVVGVLALQGSYNEHMAALRRIGVKGVEVRKPEQLQGLDSLIIPGGESTTMAKLANCYNLFPALREFVGAGKPVWGTCAGLIFLANKAVGQKSGGQEFVGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSHTCRGVFIRAPGILEVGSDVEILADCPVPAGRPSITITSGEGFEEGVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDKESHAKTFSALSLSTPSRDAHALGGSKSKPLDLPIFE* >Brasy2G179300.1.p pacid=40071524 transcript=Brasy2G179300.1 locus=Brasy2G179300 ID=Brasy2G179300.1.v1.1 annot-version=v1.1 MEAMPRSRLATRLASCILLSSGSATNVQCIEGTFGPYNIRDACSGSDRREMDVLKCILDAD* >Brasy2G306100.1.p pacid=40071525 transcript=Brasy2G306100.1 locus=Brasy2G306100 ID=Brasy2G306100.1.v1.1 annot-version=v1.1 MCPKLNKEKSFVGEAFVRRDVCRRKYSCLEFVSFRRPAFVSPEGCSACVAEGQTQQFPLGQGAGILDHKVLHSYLSESMRHPRV* >Brasy2G493000.1.p pacid=40071526 transcript=Brasy2G493000.1 locus=Brasy2G493000 ID=Brasy2G493000.1.v1.1 annot-version=v1.1 MSLSTLNHPAAAAAAGRGKSFSPAVPAPVSVRLSRRRLPAPASASAVAVEADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLQSNGVSCDGRILVSDRAHLLFDLHQTVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDILFKDAALRFEGFKYSKSMLKEEVEKYKRFAERLEPFIADTVHVLNESIRQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELFGEEGDNLRKAGMEFGTTTGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVAYNRNDGQKLKSFPADLDTLEETQVKYEVLPGWHSDISSVRSYSELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK* >Brasy2G154600.1.p pacid=40071527 transcript=Brasy2G154600.1 locus=Brasy2G154600 ID=Brasy2G154600.1.v1.1 annot-version=v1.1 MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGSRGSRFGCTSVKAWMRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVSHAKQSPSSVLCEQLKGDPIESSSAKYIVQQYIAASGGEWALNKVKSMYAMGKVRMTAAELNSSDADGSNNGGSGHRGGKKGGKGGGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFADDSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESRLGDYRAVDGVNIAHAGRTAVSLVRFGDSQDGNTRTRMEETWNIEEVDFNIWGLSMDCFLPPSDLREGKDGQDVAAVKPARPPPLRIPAVAVRVGPSQVAAVNLDDSDSLIAR* >Brasy2G154600.2.p pacid=40071528 transcript=Brasy2G154600.2 locus=Brasy2G154600 ID=Brasy2G154600.2.v1.1 annot-version=v1.1 MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGSRGSRFGCTSVKAWMRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVSHAKQSPSSVLCEQLKGDPIESSSAKYIVQQYIAASGGEWALNKVKSMYAMGKGLDPMLTASLFADDSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESRLGDYRAVDGVNIAHAGRTAVSLVRFGDSQDGNTRTRMEETWNIEEVDFNIWGLSMDCFLPPSDLREGKDGQDVAAVKPARPPPLRIPAVAVRVGPSQVAAVNLDDSDSLIAR* >Brasy2G440400.1.p pacid=40071529 transcript=Brasy2G440400.1 locus=Brasy2G440400 ID=Brasy2G440400.1.v1.1 annot-version=v1.1 MSAAGKAKASGGKRAGAKDPAEALRTDKRRRDMDDSDPELDSDFKEIVSMLRHIKDKAHKDGQRKTEQAISSVATEIQSMVQDMKTKFEKESRQNFLKALSKTSKECEGSLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDEKEKLIVQYELQRKKEKATLSELEKTVSEKIADAEGSLKKMKQGDKSISILRKSFASFLGPDDEFERDDD* >Brasy2G440400.2.p pacid=40071530 transcript=Brasy2G440400.2 locus=Brasy2G440400 ID=Brasy2G440400.2.v1.1 annot-version=v1.1 MSAAGKAKASGGKRAGAKDPAEALRTDKRRRDMDDSDPELDSDFKEIVSMLRHIKDKAHKDGQRKTEQAISSVATEIQSMVQDMKTKFEKERQNFLKALSKTSKECEGSLKNEYTKFQATHDKFCKDKAAHIQNFKDLFSKFEDEKEKLIVQYELQRKKEKATLSELEKTVSEKIADAEGSLKKMKQGDKSISILRKSFASFLGPDDEFERDDD* >Brasy2G016800.1.p pacid=40071531 transcript=Brasy2G016800.1 locus=Brasy2G016800 ID=Brasy2G016800.1.v1.1 annot-version=v1.1 MVWYYTESKNPSDPSETAMAHGYLATDAFAFVLQAACLAAGFVSLTPWRRSSSDQRSPFWMFLLSAALLLAVALAYGYLDDFCAVAAVAWPGGGGARVAAGRSSELSARTRRADEHDVGAEQSHRRCSPASRP* >Brasy2G499100.1.p pacid=40071532 transcript=Brasy2G499100.1 locus=Brasy2G499100 ID=Brasy2G499100.1.v1.1 annot-version=v1.1 MAVEAGRKKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKSVQLPGISKISGALALLLKAAIQFIMLVWFLCFKIPCPDVFIVQNPPSVPTLAAVKLVSWIRGAKFIVDWHNFGYTLLGLSHGRSHIVVKIYFWFEKHFGQMADGAFCVTKAMQHELAQNWGIKATVLYDHSPEFFHPASLTEKHELFSRLGNTICSAMGSADCISVEKEGEDKNTTVLTSNIDGEILLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWIDIKNGKQFSYPRLLFIITGKGPDRKNYEEQVKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNRNGLLFSTSSELADELMMLFKGFPEECDTLKSLKDGALSTGSSSKWSTEWETYALPLVNQVIGGKT* >Brasy2G499100.2.p pacid=40071533 transcript=Brasy2G499100.2 locus=Brasy2G499100 ID=Brasy2G499100.2.v1.1 annot-version=v1.1 MAVEAGRKKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMNPPSVPTLAAVKLVSWIRGAKFIVDWHNFGYTLLGLSHGRSHIVVKIYFWFEKHFGQMADGAFCVTKAMQHELAQNWGIKATVLYDHSPEFFHPASLTEKHELFSRLGNTICSAMGSADCISVEKEGEDKNTTVLTSNIDGEILLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWIDIKNGKQFSYPRLLFIITGKGPDRKNYEEQVKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNRNGLLFSTSSELADELMMLFKGFPEECDTLKSLKDGALSTGSSSKWSTEWETYALPLVNQVIGGKT* >Brasy2G220000.1.p pacid=40071534 transcript=Brasy2G220000.1 locus=Brasy2G220000 ID=Brasy2G220000.1.v1.1 annot-version=v1.1 PNTHVSPISFFPAAATSSPFSSPLARPPPPFSSLHWPERLLPLLRPTGRRHHAPQSHPEHLFPLLFSTGPSASSLLRPTGRRHHAPQTLPEHLLLSCRPSPSASSCCAVPLSSAPNPALSAMAAIPSPRPSLRCLEAVHRRPRIRGPRALEPPDPRYPSPRAAGSAAPEPRRRQPASTRRIGRHPASSARSGRHRRRRCRFVREVRRGRQSSAPRGEPRRRRRCGPALASVSEQKSI* >Brasy2G123800.1.p pacid=40071535 transcript=Brasy2G123800.1 locus=Brasy2G123800 ID=Brasy2G123800.1.v1.1 annot-version=v1.1 MLKHLTALVKSGARTSTGFKMMHYNGCARALNDHFKQTLYGPQISNHYRTIKKKYLKIKKIKDDCSGAGWDDDTCTLRMDHGMALKYIEKHKWSAEYINKPIENYYEMQTCYGDRLATGKYAKGSSEPLGTAATSLEVDQDEDVLGPQSNIGSSATKPLKRAKKDGGEEESLISTLRGVGTDLASAIAKAGANGDDISHGLYETLCGLEGYNEDEVAQYYGFLVDHPKKAKGFMTMGHEGQLNWMARYIKKEYTD* >Brasy2G456000.1.p pacid=40071536 transcript=Brasy2G456000.1 locus=Brasy2G456000 ID=Brasy2G456000.1.v1.1 annot-version=v1.1 MSTLNTSNNFVCLFLSPDVIGVISHVGPFDYASRTSSHKLRIVRIRNLDEQTQEIRLWGHHGETFDEEAVLRKLQEGIVVGIFAGLTTSDFLGNLTACSTSATKIYVDLDISEVANFRSSENEVQLTDGTE* >Brasy2G286900.1.p pacid=40071537 transcript=Brasy2G286900.1 locus=Brasy2G286900 ID=Brasy2G286900.1.v1.1 annot-version=v1.1 MTGGTTAATTTPTRTLSMKLLVDTKARRVLFAEAGKDVVDFLFSLLALPIGTAVKLLGAGSMVGAAGNLYDSVEKLDDTYVQPGAAKGALLCPVVAPSPADASNSALLGLPAWTPPPSNRFFRCSYYQNSDCRKYVADSCGTKCPECSHAMTSEAKFAPAPAESARLPQDGFVRGVVTYTVMDSLAVSPMSAISSITLLNTFAVTDLSALQEKAVQIGYKEGSEILKAALQSKTVLTDVFLGKKKEVL* >Brasy2G150500.1.p pacid=40071538 transcript=Brasy2G150500.1 locus=Brasy2G150500 ID=Brasy2G150500.1.v1.1 annot-version=v1.1 MASRDKVSVSCCSPNTLPLDRLLASLAVNLEQLGKRWEIAVRDQRAKGRRAAARRAEEADAPVQLHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPVRYRDISSGFRILLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPENAQKFKTVIYLAASASAEVIADIALCPMEAVKVRIQTQPGFARCLTDGLPKLVQSEGAFGLYKGLFPLWGRQIPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFLGGYIAGVLCAAISHPADNLVSFLNNAKGATVADAVTTLGLWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGVISSCHAATALHQVGRVKEN* >Brasy2G003900.1.p pacid=40071539 transcript=Brasy2G003900.1 locus=Brasy2G003900 ID=Brasy2G003900.1.v1.1 annot-version=v1.1 MASTMASRGLLPLLTVVASLCGPAVGKQDKLVVLDYAPSCSNTSNYTDGSQFGKNLDELLSTLTTAASSSEWFNTSTVGDGADQVYGLIMCYADYDEDHCLDCLTRAPAEITQKCPHSRNVSANYNACLLRYSDKRFFDAGDLTYDVDIGTPIPFKPDARFVQDVDSMNQALLRLLDELADRAGDLSRRLYNYSQPYTDRLLGTESISGLAQCRRDLAPSVCNRCISAYRRSVSRLFLNNSGGAIKGYNCYLRYQVGALKITIPPEPAVMPPIQPVLALSPTTSPTQRGNPKQGLVTGLSVGSASFLIILLGFFTMLILRRWRNQAKIHEEGNDDLIMEDDFEKGVGPKRFRYKDLSVATDNFSDQRKLGEGGFGSVYRGFLAESKLDVAVKRVSKSSKQGKKEYISEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPKGSLDTHLYNTDNILPWIVRYEIILGLGSALVYLHQEWEQCVLHRDIKPSNIMLDASFSAKLGDFGLARLVDHGQGPYTTGLAGTMGYMDPECVVTGRTSAESDVYSFGVVLLEIACGRRPAVARDESEDIIHLVQWVWDSWESGRALQAADDRLNADFDNREMECVIIVGLWCTHPNRSLRPSIKQAVNVLRFEAALPSLPAKMVIATFKPTLHTYVSASQLTGGR* >Brasy2G155000.1.p pacid=40071540 transcript=Brasy2G155000.1 locus=Brasy2G155000 ID=Brasy2G155000.1.v1.1 annot-version=v1.1 MAAAPAAGGQGGSMDAALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTASREIFLGQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKNLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGHDKVTEFLLKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKTKFMASNKM* >Brasy2G016300.1.p pacid=40071541 transcript=Brasy2G016300.1 locus=Brasy2G016300 ID=Brasy2G016300.1.v1.1 annot-version=v1.1 MVKLDVLSALLALMLIGSASSQSAPAYPPNPSPGSPNRITNPPSLSPSPPTYPPSVSPSPSLLAYPPTGIVPGVPSQSTSPPIHAPSISIVPSPSSLPIQTQPVYPPSSSTPAFPPSPGPSTIAFPSPSPAPAAVYPPISAPPINSPSPSPSPTPPSPGLTVGHYKYSCPNAEDIVRQAVKAATDKNRGTGAGLIRLFFHDCFVRGFEAIDDAKAALELACPGVVSCADALAFAARDAAFFLGAGAAPLDFAMPAGRRDGRVSLASETVPNLPSPSSTLAQLVAGFGAKGLGMGDMVALSGAHSVGRARCSSFSARLVEPSAMDPELARSLRAQCGGSGESMVVEDFRTPDVLDAGYYENVLRREVLFGSDAALMADGGMVVENARVSGLWERRFAAAMVRMGAVGVKTAGDYDDGEIRKKCWIIN* >Brasy2G460000.1.p pacid=40071542 transcript=Brasy2G460000.1 locus=Brasy2G460000 ID=Brasy2G460000.1.v1.1 annot-version=v1.1 MAWAQPGNLTGLSFQLVALHHSPDEHTNNGSSHTTEDLNLPISTSARFIYGVFMSIGTAEGTRRKVLGLDTGASTSWLMCEPCQPPLLAGRVAHVPWWYLSRDTFHLCSGGSGTAMESVPGIVFGCAHSVTGFHNDGTLGGVLSLSHSPLSFLTLLGARASRRFSYCLPKPTPHNPHSFLRFGADPATTRPHDHLVHAGIPGYHLSIVGISLGNKRLHIDGHVFTAGGGCGINPAVTITRIVEPAYLAVEHALVAHMKELGSGRAKGMPGGPLCFDHMDRPVRAQLPGMSFHFEDGAELRFAAEQLFDVRVMAACFLVTVIGAAQQVDTRFTFDVAAGRLAFVPGTCG* >Brasy2G254600.1.p pacid=40071543 transcript=Brasy2G254600.1 locus=Brasy2G254600 ID=Brasy2G254600.1.v1.1 annot-version=v1.1 MPRSNPLLLTEPPPQAPSPPPQRGAADPLPLPTAAAALCPSPSSTPRRRPSVPLPIRPHSPRTSRRRQGSASSPVRRASSPTARVRLGLVNLEMLPCAGSSTASSITTTAGRLLLFGKAGALPRQFRPGTDPVRRCFRRLAARVGRRFQRDFVRGSSAKLLDGNMNYRNSTVLIFKRQLDL* >Brasy2G208600.1.p pacid=40071544 transcript=Brasy2G208600.1 locus=Brasy2G208600 ID=Brasy2G208600.1.v1.1 annot-version=v1.1 MDRLEAFLRSGEAASNSDGHKRGANDKDLSAMLKRAKDLSAKATSNKRQAAVGNRRLEPWCRLISQYATDPSLPIHTSYFTVGYGAHYDLRLGESSTSSLVCRLKHGTKRGALLEINEPKVVRVNGKALDKNAKVTLSGGDEIVFISPVRRAYIFQQHPQDKSSTSAFSSTCSSIHQGQHSLIKDIQDHLSSKGPKVPSFYFGKSRPPLTPLMPIGSSADPDIFSSLCKTMEDHPNSEENTLSARSQLLKEDLQNATCDANDISESFESCPYYLSEDTKCALMSSAYVHLQCKDYIKFTKHISSLGQRSLLSGPAGTEIYQQYLVKALAKHFGANLLTVDSSMLFGGQISKGSESYKKGDRVRYIGSLQPTGMILDGQSPPDFGSQGEIYLPFEENRSSKVGVRFDKQIPGGIDLGGNCEVEHGLFCSVDSLCLDSPGWENRSKHPFDVIIEFINEKVQLGPLILYLKDTEKICGNNDSYYGLKRKLEHFPAGVFIVGSHIQPDSRKEKANAGSPFLSKFPYSQAILDLALQDIDRLNDKNKEMSKAMRHLTKLFPNKVTILPPLDEIELSRWNQMLDQDVEVLKANDNTSKLRSFLTRIGMECTDLETICVKDRILTNECIDAIAGFALSHQLKHFPTTNPDPSSDLQFSLSSESLKHGVDMLESIQSGPKSSSKRKSLKDITTENEFEKRLLTDVIPPDEIGVTFEDIGALESVKDTLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKISPCVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNRRKILSVILAKEDLADDLDLEAIANLTEGYSGSDLKNLCVTAAHLPIREILEKEKKERTLAEAENRPLPQSCSTNDVRALRISDFKHAHEQVCASVSSDSTNMSELIQWNDLYGEGGSRKKTTLSYFM* >Brasy2G208600.3.p pacid=40071545 transcript=Brasy2G208600.3 locus=Brasy2G208600 ID=Brasy2G208600.3.v1.1 annot-version=v1.1 MDRLEAFLRSGEAASNSDGHKRGANDKDLSAMLKRAKDLSAKATSNKRQAAVGNRRLEPWCRLISQYATDPSLPIHTSYFTVGYGAHYDLRLGESSTSSLVCRLKHGTKRGALLEINEPKVVRVNGKALDKNAKVTLSGGDEIVFISPVRRAYIFQQHPQDKSSTSAFSSTCSSIHQGQHSLIKDIQDHLSSKGPKVPSFYFGSSADPDIFSSLCKTMEDHPNSEENTLSARSQLLKEDLQNATCDANDISESFESCPYYLSEDTKCALMSSAYVHLQCKDYIKFTKHISSLGQRSLLSGPAGTEIYQQYLVKALAKHFGANLLTVDSSMLFGGQISKGSESYKKGDRVRYIGSLQPTGMILDGQSPPDFGSQGEIYLPFEENRSSKVGVRFDKQIPGGIDLGGNCEVEHGLFCSVDSLCLDSPGWENRSKHPFDVIIEFINEKVQLGPLILYLKDTEKICGNNDSYYGLKRKLEHFPAGVFIVGSHIQPDSRKEKANAGSPFLSKFPYSQAILDLALQDIDRLNDKNKEMSKAMRHLTKLFPNKVTILPPLDEIELSRWNQMLDQDVEVLKANDNTSKLRSFLTRIGMECTDLETICVKDRILTNECIDAIAGFALSHQLKHFPTTNPDPSSDLQFSLSSESLKHGVDMLESIQSGPKSSSKRKSLKDITTENEFEKRLLTDVIPPDEIGVTFEDIGALESVKDTLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKISPCVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNRRKILSVILAKEDLADDLDLEAIANLTEGYSGSDLKNLCVTAAHLPIREILEKEKKERTLAEAENRPLPQSCSTNDVRALRISDFKHAHEQVCASVSSDSTNMSELIQWNDLYGEGGSRKKTTLSYFM* >Brasy2G208600.2.p pacid=40071546 transcript=Brasy2G208600.2 locus=Brasy2G208600 ID=Brasy2G208600.2.v1.1 annot-version=v1.1 MDRLEAFLRSGEAASNSDGHKRGANDKDLSAMLKRAKDLSAKATSNKRQAAVGNRRLEPWCRLISQYATDPSLPIHTSYFTVGYGAHYDLRLGESSTSSLVCRLKHGTKRGALLEINEPKVVRVNGKALDKNAKVTLSGGDEIVFISPVRRAYIFQQHPQDKSSTSAFSSTCSSIHQGQHSLIKDIQDHLSSKGPKVPSFYFGKSRPPLTPLMPIGSSADPDIFSSLCKTMEDHPNSEENTLSARSQLLKEDLQNATCDANDISESFESCPYYLSEDTKCALMSSAYVHLQCKDYIKFTKHISSLGQRSLLSGPAGTEIYQQYLVKALAKHFGANLLTVDSSMLFGGQISKGSESYKKGDRVRYIGSLQPTGMILDGQSPPDFGSQGEIYLPFEENRSSKVGVRFDKQIPGGIDLGGNCEVEHGLFCSVDSLCLDSPGWENRSKHPFDVIIEANAGSPFLSKFPYSQAILDLALQDIDRLNDKNKEMSKAMRHLTKLFPNKVTILPPLDEIELSRWNQMLDQDVEVLKANDNTSKLRSFLTRIGMECTDLETICVKDRILTNECIDAIAGFALSHQLKHFPTTNPDPSSDLQFSLSSESLKHGVDMLESIQSGPKSSSKRKSLKDITTENEFEKRLLTDVIPPDEIGVTFEDIGALESVKDTLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKISPCVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNRRKILSVILAKEDLADDLDLEAIANLTEGYSGSDLKNLCVTAAHLPIREILEKEKKERTLAEAENRPLPQSCSTNDVRALRISDFKHAHEQVCASVSSDSTNMSELIQWNDLYGEGGSRKKTTLSYFM* >Brasy2G251200.1.p pacid=40071547 transcript=Brasy2G251200.1 locus=Brasy2G251200 ID=Brasy2G251200.1.v1.1 annot-version=v1.1 MSEGRDLCPAAHDLGGAVGSSCRRGGPKDVCCSSLAAAISPTRRTECMCALANDVLVIAVGLELRDIIEIYTSCINIRAGDIHFPAGGCAGIREGATPDETPPAPEAAAPSPAQEIVTPPARISRTEVPRYLIPILAAVIALLAIALMSALVVLWYTGKWSAGRRRGVQQPHSLHSGSGTVQLAVQSSHSGSEIGEVQSPHSGNERVLTEAHVLAPKTGTSGLINEQQVLAHVVASTTQTPSLIDEPQSPKPATEALKPPARRTERNVPRVDYSGCQ* >Brasy2G152700.1.p pacid=40071548 transcript=Brasy2G152700.1 locus=Brasy2G152700 ID=Brasy2G152700.1.v1.1 annot-version=v1.1 MGGDQRQLGRTMSFGIPDVALGLVMGCVEDPWDRDAISLVCRHWNRVDALSRKHVTVAMAYSTTPDRLFGRFPCLESLKLKAKPRASMFNLIPEDWGGSASPWIRQLSASFHFLKVLHLRRMIVSDDDLDVLVRAKAHMLVSLKLDRCSGFSTPSLALLARCCKKLETLFLEESSIAENENDEWLHELATSNTVLETLNFFLTDLRASPAYLVLLVRNCRRLKTLKISDCFMSDLVDLFRTAQTLQDFAGGSFEDQDQGGESRNYGNYYFPPSLHRLSLLYMGTNEMQILFPYGAVIKKLDLQFTLLTTEDHCQLVQRCPNLEVLEVRDVIGDRGLEVVARTCKKLQRLRVERGDDDQGGLEDEHGRVTQVGLRAVAEGCPDLEYWAVHVSDITNAGLEAIGSFSKNLNDFRLVLLDRELHITELPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLSYVGEFSKTVRYMLLGNVGESDDGLLAFSRGCPSLQKLELRSCCFSERALAVAALQLKSLRYLWVQGYKASSTGTDLMAMVRPFWNIEFIAPKQDEPCPEGQAQILAYYSLAGARTDCPQSVIPLYPSV* >Brasy2G249800.1.p pacid=40071549 transcript=Brasy2G249800.1 locus=Brasy2G249800 ID=Brasy2G249800.1.v1.1 annot-version=v1.1 MEAATLPLLSLVVFLLVKLVVKISTSSSSPRPGKRLPPGPWKLPLVGSLHHVLLSRHGALPHRALRELSRAHGPLMLLRFGAVPTLVVSSPDAAREVLKTHDTSFASRHVSPTLAVFSRGGNDILFSPYGDLWRQLRKVCVLELLSTRRVASFRGIREDEAAGLIRSLADGCSASGNGSAVVGIGERISRATNDTVVRSAVGSRCPRRDEFLKELDKSVKLAAGFNLADLYPSSRVARWLSGALHEAERCNGVVRGILQDIIRDRTAAADQDGGVEGEEDDLLGVLLRLQRDGGDQCLLTTEVITTVVMEIFAAGSETSSTTLEWAMSELIRNPRVLQKAQAEVRDACKGQGKLGEGDVGRLSYLSLVIRETLRLHAPVPFLLPRQCRERCEVMGRDIPEGTKVLVNTWAMCRDAAYWEEAEEFVPERFEESKVDFKGVDFEFIPFGAGRRICPGVTLGLANMELLLASLLYHFDWELPDGRRPEGLDMSEAFGITIRRKSKLVLRATQRVPFAN* >Brasy2G187800.1.p pacid=40071550 transcript=Brasy2G187800.1 locus=Brasy2G187800 ID=Brasy2G187800.1.v1.1 annot-version=v1.1 MRPPPTPSARKNRTPSSPRTRPSPSSRSEPVLAPSPPPDLHRAHVSLSDLQPSAHRARPPPPLLHTLPRCFGTTPRPPSLTRREPSAAAGPRHTPSATLQIPSALPPTYLRLHEYLLSAAAEITRCILVDPRHGRQQPARRALAPGQTCSLEDDGLLLAALPLRRGADELPGVPAPVGATAVAPGQRRRHRHEHRVGAECGVGGDIACAVTIRT* >Brasy2G215700.1.p pacid=40071551 transcript=Brasy2G215700.1 locus=Brasy2G215700 ID=Brasy2G215700.1.v1.1 annot-version=v1.1 MLYIVGLGLGDERDITVRGLDAVRRCSKVYMEAYTSLLSLGLDPASLANLEKLYGKEITVADREMVEERADQMLSEATDADVAFLVVGDPFGATTHTDLVVRAKSMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNSRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMTVNTAISQLLEVEELHGGSAYGPDSLCMGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEQEMLEFYMIK* >Brasy2G208900.1.p pacid=40071552 transcript=Brasy2G208900.1 locus=Brasy2G208900 ID=Brasy2G208900.1.v1.1 annot-version=v1.1 MELSMSGGSLRTFVRCVTCLARIGSELVFQAYPDKLELHTLNSSRSAFASISLKRDFFDQFHLSTGATAPSSTPLQCSVLLKSVLSVLRTPTAAVDSLTASLPDPDATKLQFVLNCLHGVKKTYGISCSAESEVQTLALDRSRFPSRLAIRPRDLARLLDDNFQSTLQELTVIATDPGSGLSCVDDDIGGKAVQLLSYIDPTKDDYDTRLHTQLWVDPSEFLEYVHAGEPVDVTFGRKELKAFLTFCEGCEVDILLFFEKAGEPVLLVPRFGWDDGPSSDFDATLVLATMLASQLDDGNIPQQPPTSAHNAEEPRAAAAASACPVPESNHTRIWSELSGTTPKSFEANTENRAQKEKNGSSSVLNDASKLPNVTNAPFKPPVADNANYILPTQTDHLEEQPEVMSDNPRSQHHPSNWVGADEDNDDEEDEELFVQTTPHYMD* >Brasy2G212100.1.p pacid=40071553 transcript=Brasy2G212100.1 locus=Brasy2G212100 ID=Brasy2G212100.1.v1.1 annot-version=v1.1 MDNCPAWGREIPMAQFVGSANGGLGFLHVNVEDKSAPKWLNMKNCGIIAVTHGTITIKDLQDRLAATWDDTWSWQVRQLEEISFLVRFPPHKKVEDLVGTVKILQWDDEVPDLGELTEIWLQIRGIPPRWISWRVIAQVSKSFGLLLDVDWAEVFKSLYETVRVKLAVRNPAKIPPERMIVMRKKFYPLQFTVEWEDIDIDKIMGLEEKDYETLMDDEIQDLEKAKRSLVNAGLLDSDDDNNNILEVTNVFKTCSMAESDNLRAPVIEAETQNHTTCLAPHMGTDAVPNEVHNDPTLQLQMGTETGPGTEENSNIVPDPEEFPTPAEARKRNNKKNKWGPVVAARQSSRIAQDGRTILDRAQNSMAQKNLEQPTNK >Brasy2G066500.1.p pacid=40071554 transcript=Brasy2G066500.1 locus=Brasy2G066500 ID=Brasy2G066500.1.v1.1 annot-version=v1.1 MALAAAAAAVTSIHPFLSRPVRVLRLPPLLLRASSTSTSDFNITFAEPAPSKKASAPGPSAQPLVPWIARGADGKPSLHTTPPPDVLQAVAAAEAEAKRAKRDANRSQKGAGAVVDASVASVKAKEKKSAPAGPPKYSKAARRFYNENIREHEPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGSVCTSPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSSGEESKSVISLLDDYLKGWNKIQPGIPKPRLFTVGRLDVATTGLIIVTNDGEFAQKVAHPSSNITKEYVVTIDGAVHKKHLVAISEGTKVDGVKVVPDLVEPLDAQPDAKRTRLRIVVHEGRNHEVRELVQNAGLKVYALKRVRIGRFRLPADLGIGKMVELKEADIKSLEGNT* >Brasy2G066500.2.p pacid=40071555 transcript=Brasy2G066500.2 locus=Brasy2G066500 ID=Brasy2G066500.2.v1.1 annot-version=v1.1 MPPSLVSRQRRKSPPPPGRPSTPKRPGGSTMRISGSTSRSVSPRSLPLQECPFLVASRRTSEELIFQGKVTVNGSVCTSPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSSGEESKSVISLLDDYLKGWNKIQPGIPKPRLFTVGRLDVATTGLIIVTNDGEFAQKVAHPSSNITKEYVVTIDGAVHKKHLVAISEGTKVDGVKVVPDLVEPLDAQPDAKRTRLRIVVHEGRNHEVRELVQNAGLKVYALKRVRIGRFRLPADLGIGKMVELKEADIKSLEGNT* >Brasy2G432800.1.p pacid=40071556 transcript=Brasy2G432800.1 locus=Brasy2G432800 ID=Brasy2G432800.1.v1.1 annot-version=v1.1 MAGGGESAPAEAETNLECFDFAFNSEKFSDRLLRIEVVASDDVAEESLPDRKDKGHKRQRIDSSPTMIGTPVLRVKTLHINSAILAARSAFFLKLFSNGMKESDQTHPTIRIADSEEHALLELLSFMYSGKLTTTEPSLLLDILMAADKFEVPSCMRHCSQLLISLPMTTESALLYLDHGCSILLAAEVQRVIGAAKQFIAKTYRDFDKFRDEAMNFSLAAIEAIFSSTDIHVRCEEQVFHFLLRWARTRYLESEERREILNSRLLPLVRFSHMAGTSLQWILTLTDTDIDHEEVTKRITEVLLRKGYPAQLEGALAADAERAYTMKPMKVVAFDQPCRQVIVYWDLTRQECSRLHRSGRKLSGEIFSYPFNLAGQKFCLVTLSGMDEQNKLQRIGLILWIHREPKGSTCITVDYEFAVRTDLTGKFVSRFDRKHTITYDPAREGKDCRVVVQL* >Brasy2G135900.1.p pacid=40071557 transcript=Brasy2G135900.1 locus=Brasy2G135900 ID=Brasy2G135900.1.v1.1 annot-version=v1.1 MCGGLPLAIVTMAGHVACTPCKSAQEWSNFCTSLFPEPAEEIRKKELTQEEVGMIISRCYNDMPAEIKTCSLYLSIFPKSHKISKKRLIRRWIAEGFVSEKQGLSADEVAEAYFNYLVRRKIIKPVEHSSNGKVKKCIVHDMVLEHIVAKASEENFITVVGGNWLMKPPSSKVRRLSLQGSDSKSAKDANKMNLSHVRSLTMFGNFNNQLPSHLFKFGIVQVLDLEGGTGFKPHHILEICTMLLLKYLSLRKTDIKRLPSTIEKLKNLETLDVRETNVVQLPNTICQLEQLVNILGGDKEKRTALKLPEELRKRKKKMKTLRILSGIEIVEGSEDLHYLTELRKLAIYKIKTTGGSADFKELSSSIEYLGGYSLHTLIIDDESPEFIKSLDDLSSPPKFLVALELSCKMVKLPSWMKQLHNLSKLTLSVTALRTDNLNHLSKLEALFSLTFLYRAKEQDRETSAIVAENKLSSDGEITVPNEGFESLQLLRLTAPLLPLLRFSKNAMPKLERLDLRYSTLEGLFGAEKLKKLKEVHFKSNYEAGKDIMTEAIVKQMKNAGKTIILHQ* >Brasy2G061000.1.p pacid=40071558 transcript=Brasy2G061000.1 locus=Brasy2G061000 ID=Brasy2G061000.1.v1.1 annot-version=v1.1 MASSHSSSMASSSSAAASAAALEAVQVLVASLADDSPRARDSALAALREIAPLNPLLVLDCCATVSRGGHRRFGNMAGVFLVMASAVMALDRWDAEREFLRKIAKIATAEIVSSKDFNAIWQRAAATLLVAIGSHDPDLMMEEIFLYFSGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWFYIGDASGLPFDTDVMSFMNSVFELLLKVWTSSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCKKDQEIAFIASHSLHNLLNASLMSESGPPLLEFEELTVTLVTLLPLASVNNSKDERAYVSKGLKTYNELQHCFLVIGLAYPEDLCMFLLSKCKSKDEASIVGALGTIKHLLPRLLESWHTKQILLVEIVKSLLEEQSLGIRMALAELIVVLASHCYLSGHPAELAVEFLVRHSAITDDDLNDLNTLKNEYFQDKRFEMKASLAGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTNPIYTEFNTSNEMPSPEDLFARLLVLLHNPLARGQLATQILTVLCYLGPLFPKNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDDMIINFLAESLDVMNDNVWVISLGDAFATQYDLYATSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCRHSSMSIPVNRLGLAQGIGLVAASHLDTVLEKLKNILDNAGQSALQRFLSFFSFREKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTDMLGRFLYVQHPTAKQAVITAIDLLGRAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSDDLVDFSIELLQTQSVALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCVAVHEVLMKFRNLCSGGFGALGSYPTLTLKQIDQGGSRSLSNLPSAFVLPHRDSLSLGERIMAYLPRCADTDDEVRKVAIQIIALFFNISLSLPKQKASANDIDLESSYGALSSLEDTVSIVRRQASVDQIEIFHTVVSSVCILLSKDELVVLLQSCTLATCDKVKQSADGSIQAIIIFINRRCKDLRDADVLRTTQSLLSSAVAITDKHSRQEVLNAISCLAENTNHIVVFDEVLFVAGRDICTKDITEFVAVGLYKMFSMHSPSIRC* >Brasy2G034800.1.p pacid=40071559 transcript=Brasy2G034800.1 locus=Brasy2G034800 ID=Brasy2G034800.1.v1.1 annot-version=v1.1 MDPVESDGDSKKCNKPKYSKFTQQELPACKPLLTPGIVIVAFSLIGVIFVPIGLASLSASREVVELVGRYDVSCVPNDDKVQFIRNPQNDKKCTITLNVPKYMKSPIHVYYQIGGFYQNHRRYVKSRSDKQLRYKSAAHLTKDCEPEDNASNGAPIVPCGLVAWSMFNDTYVVLVNSNAIEMNKKDIAWKSDKNHKFGKDIYPSNFQKGRLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIEKDIMANDNITVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGIAYLTIGGLCLFLAMAFMVIYMLKTRTLGDPSYLSWNRDD* >Brasy2G202100.1.p pacid=40071560 transcript=Brasy2G202100.1 locus=Brasy2G202100 ID=Brasy2G202100.1.v1.1 annot-version=v1.1 MADEEQMEKKEEQTEITPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLAVTESGEPSFTGLKKKKKKHVELDPSLIEAGDGEDTLDDQIGEDEQGEGIVLGGATQYPWEGTDRDYTYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMTFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKTGFVAQVGRRKAGA* >Brasy2G137600.1.p pacid=40071561 transcript=Brasy2G137600.1 locus=Brasy2G137600 ID=Brasy2G137600.1.v1.1 annot-version=v1.1 MDHFRTDTGLSRKYLTPPNPIPIQIHRPRFSREKRNPAFPFTRRRRRRRLHRKTAPSVPPPPTSDGLRQIRVGGGNGGGRPRGSSSPRPRRRWLYDADGLELLLRCFLALNDERHCYRFMPDCTMVDCPVSAADDGPHLPLHTRHPTASALQLFGFAEAISIGRHSPEKLPPSSPDKHVQPYHGCGC* >Brasy2G202300.1.p pacid=40071562 transcript=Brasy2G202300.1 locus=Brasy2G202300 ID=Brasy2G202300.1.v1.1 annot-version=v1.1 MVSSEINSVTTTHAQGPKLFTGTILLPKGPPDVVLPENIEFDFNDVFGATAVQTPTEVSILTPDSPAPFAESSEEVYNDPVVITKRSHSLVGPTSLVSQSLPLSKLTLHETDSTPDLLECLSKEKESNQDPLSDEELTNPKKEIEVVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRCSFQTKYRLYLVLDFVNGGHLFFQLYQQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDDHGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFFGGNRDKIQQKIVKEKMKLPTYLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKSVNWKRLEARQIQPSFCPNVAGQTCIANFDECWTSMPVQDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG* >Brasy2G274500.1.p pacid=40071563 transcript=Brasy2G274500.1 locus=Brasy2G274500 ID=Brasy2G274500.1.v1.1 annot-version=v1.1 MKHAQECSAVCRCLTVPNLNIMTGYFGLPCCTKCWLVWFCILLKLNVHLCDAA* >Brasy2G320700.1.p pacid=40071564 transcript=Brasy2G320700.1 locus=Brasy2G320700 ID=Brasy2G320700.1.v1.1 annot-version=v1.1 MDSSNTQPEGSHPSVTKNPAMSSCRKKKTDDATFLEDVKDHIDEFINASMDEHKTCFKKTIQKMFGMSKIVAERSSAAKEAEVESVLPLQTSVSR* >Brasy2G485500.1.p pacid=40071565 transcript=Brasy2G485500.1 locus=Brasy2G485500 ID=Brasy2G485500.1.v1.1 annot-version=v1.1 MMMSAAAEQVVGGFSSAVLQRAVDKTIDFLESNYNLSHATEELLTKLRTSLTVVKAITEVADNQLIINASLSKWLKNLHSAAYEAEDVLDRFDCHEIVTGKRKVTELISSSVRALKGLIVPDEGMQMLECVVQKLEHLCTTSSTFLELMKQSNSTSIKEEEIKGETTSRVPVDVKVFGRDEVLELILKIILGSSGSEPESSRVRAKLGARYRIGGVDVLPIVGMSGVGKTTLAQVIYNHENVKGHFRHRAWVYVSKHFSVKRTLQEMLRSFKGNDSSFDYADSLETVVNNIQSVINQDGRFLLVLDSFWDEMCDQWNGLLTAIACELPGSVVLVTTQSKRVADTVATICQVPLAPLPWESFWSVFQYYAFGTTDVVAEDNQTLLLIGEQIAKKLDGLPLAAKVMGNLLRSRLTVDQWRSILESDWWDLTEVFCEILPYMGISYQALQPRQRQSFAFCSIFPHNYLFDKDRLVNMWISHNFIEHSEFGGTRLEDIGSKLFDELVERSFFQATFDNKRYTMHDLVRALAIAVSSYECFLHKETSQRASPTVRHLALQVSKQLHIHELNKYKNLRTILLFGHCDSNAICDVIDTMLANSRSIRVLDLSHLEVLTNMLPSIPSLKNLRFFDLSFTRVNNLRSFPCNVQALYLRGYTRHSIPQSINRLANLRHLYVDSTALSLIPGIGQLSQLQELENFSVGKRNGFMINEFKNMQELSGKICISNIHLIKNTHEAKDASMIEKKHLEALVLKGRNVSADILEGLQPHSNLRELMIEGYGASNLPSWMLQAHIFTKLQSLHVGNCRLLDVLPPFRNFPALKHLTLDNLPSVKHVDGTSFGCLRSLEDLKVSSMTSWIDWSHAEEDHGPLLPHIRRFELHNCPLLEEVTFLSFMSLLSELDISVCGNFVKALTQYAQLLACLKKLKISYCDHPLLLSGHQLNSLEYLHLRNCGGVRLIDGLHCFPNLREVDVLGCPDILTELSDQSIRQDEHGVLHLTSMLTDVSLLNGKSFLPSVRFLRIAYLETLHFTPEQEEWFGQLISVEKIEFLLCYFLQRLPSTLRRLASLKVLQIHMSIPLSLEGVVPQSLQELIMSGVSTEWDNNFKPGGSEWINISHVPYIRLNGITVQNLSVTAASSSSNHQI* >Brasy2G045100.1.p pacid=40071566 transcript=Brasy2G045100.1 locus=Brasy2G045100 ID=Brasy2G045100.1.v1.1 annot-version=v1.1 MRTPRALLVLATVTVAAALAIACRPAHAAPRPPTTRDTTLWTVAIDETCKAAHSIDRLANAGFCRAHLVTRPNARDSARDPRFLAELAAAAGASHASDAVREIGRVLRLLRRDGGDEGRAATGPGAVWRRALERCGTLYGAVRQRYEAARDAVAKGRYGDVRTQLGGVLAIAQMCEAGFQGPSTRPPHWLEDYEVFNTQFAHLAVAITSLIKDKE* >Brasy2G180800.1.p pacid=40071567 transcript=Brasy2G180800.1 locus=Brasy2G180800 ID=Brasy2G180800.1.v1.1 annot-version=v1.1 MWMIKILTVKPQRLGKVQRFFSFDGEVVGMNLFLVTERAVFLPWGTILKCLDRYWTTWEKERGLARSTSLVDGFGAPVGGQSNRHPQGRRDCLNQEHLDIDLVYPKLPSTMLDGEGYPMLPSTMLDAGMILVNTFEEPFGDIRGEGVWAKLGEKASSRINRSVVALASFNGEKRIFACTGFFIGWNGSTKILTSASLIRNSGDENNIVENLRIEVLLPSNKSINGTLEHYDLHYNVALVSVKNCYNLHPANTLPSWPMCSDVAAVGRCFKSRELTATSGKLIFSTSALDCFLLTHSSCKITKLGIGGPLVTLDGDVLGMNFYDKKIGTPFLFWEDICEILASFDKRSTTGEICNDTSGVPLWKMDGDPSDRFNRWPVPLPCWRRPDYVDEDKFDADEREYCYIKGVKFRHA* >Brasy2G416200.1.p pacid=40071568 transcript=Brasy2G416200.1 locus=Brasy2G416200 ID=Brasy2G416200.1.v1.1 annot-version=v1.1 MEAMAGEGRKLQVGRVFEASPERGRPVSAVTARSAPARPMRRVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSESDVIYPSDGVEYVLKGSEIFPGCSSADRFQHLRVTDRSPAKTLALPHSHKQYVERYRDDAVEDPEDDEPAGYQPYHRRAAAARLARPDKPGGVSARTNRGHPVELPVEETSPPSSTSSDKPPAAAPRQQASLCEETAEPNRTGSMLLQLIACGSATAGSAAKCGRAEPRRSCGLVNRLSSRAGADDEDEEEEDAGGELSRRFGHMRVEEKEYFSGSIVESGGRGTPLPASSLKRSNSYNEERGSRLGGGAIGEEAMDERMGGEDAIRGRCIPGRKRQAPQQHK* >Brasy2G039400.1.p pacid=40071569 transcript=Brasy2G039400.1 locus=Brasy2G039400 ID=Brasy2G039400.1.v1.1 annot-version=v1.1 MLITMVTRSALLVAVAALLLCAASGAGASRRPAAAAGGGCCRKHVKRITEYGAVGDGKTLNTAAFARALADLSRRAGGAALVVPEGKWLTGPFNLTSHFTLFLHHGAEILASQDLNDWPLIAPLPSYGRGRDEPGPRYSNFIGGSNLTDVIITGQNGTINGQGQVWWDKYHAKELTYTRGYLLELLYSHDIIISNVTFVDAPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSYVKIEDCYIVSGDDCIAVKSGWDEYGIKFNMPSQHIVVRRLTCISPTSAMIALGSEMSGGIQDVRAEDNIAINTESAVRIKSGVGRGGFVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSNPKALPEVTGINYRDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASGVSPKPCPELGAVGKPCTFPEEELVIGPPELPKCTY* >Brasy2G353800.1.p pacid=40071570 transcript=Brasy2G353800.1 locus=Brasy2G353800 ID=Brasy2G353800.1.v1.1 annot-version=v1.1 MEGLRHKSLRKPRAGTSAGTAGGSSQGTGGGSTRRSVARHGDQPSERNNQRSNSGGIAAERNDQISNSDGIAARRNNSQGTDSVGNGDLGTNSRGGTDSVRTKRAVETRGRRKRQFKYRDYNRGDYKYTTQLGCILKRQYPGVLKDEDGESYPATTWADYYDKINDQGESCADKVKKEFWRLFTVANEHKREAARVLENYCKKRVRNIMYQVRVDSVKLHFERQGEILDDTLACRRKLNENEYLNARVEWCKEDVWPLLAAYWDSDEFKEKRSKAQDSRLSSEDVAQNRGGSRPFSKTQQFIEAVHGPEKATILNTYAVMKSSAKNINSNGSSGVIPSQKAQKRMVYFNLVLFTSCECVCEAMNRDYQEGVEAAHPDDPEQPEVDGHVLYTKGGGLPHGRLIIGDGAVRKEDVIAAAKGRKSRPSTSDSYRHLSEENHELRRANEGLTQHNGAPGSSHAGSQSIHNDGMDGAGTSANGNNNAIIVEINDTAIGLNNNRGDNMAGENKSDDDSEALYANSDIDAGHEADDDACVGREADRDAT* >Brasy2G185200.1.p pacid=40071571 transcript=Brasy2G185200.1 locus=Brasy2G185200 ID=Brasy2G185200.1.v1.1 annot-version=v1.1 MAAADYDRPYRPYAPHAADYDRPYRNEIVPYGDRRIDLVVKPPPSRSPPPPLPVTKSGGGGGLGSAWCFSDPEVKRRRRVASYKAYSVEGKVKASFRRGFRWIKDKCTGLIHG* >Brasy2G243800.1.p pacid=40071572 transcript=Brasy2G243800.1 locus=Brasy2G243800 ID=Brasy2G243800.1.v1.1 annot-version=v1.1 MLHRCHRLRLLSFFRRSIHSSSSSLPHADGELGAATDPTLLGRLTRLLLLHRFPAAARLLSSSPFTHALLHAALRRVRLDPDAALHLFRLAPCRPSLLAHAQLLHILAHARRFPAARALLASLFSARSMAPTLFPDLVEVYKDFSFSAASFDLLLRAHADAGQLKDALYVFDEMGKVGCRRTLRSCNRLLNQLVQAGDIGTAVAVFQQMRCAGTLPDDFTVAIMAKAYCRDGRVAHAADFLKEMEEMGVAVNLVAYHALMDGYCGIGQTEVARKVLHSLQVKGLSPNVVTYTLLVKGYCKEGRMEEAEGVVKEIKENEKIVIDEVAYGALINGYCQSGRMEDANRVRDEMIDAGVQVNMFVYNTIINGYCKLGRMVEVEKLLQAKEYRGVNLDEYSYNTLVDGYCRKGFMTKAFETCDMMVRNGFKGTTLTYNTLLNGFCSRGAIDDALKLWFLMLKRGVMPNEISCSTLLDGFFKSGKTEQALNLWKETLARGLARNVVTINTVINGLCKNKRMTEAEELFHRMKEWSCPCDSLTYRTLIDGYCKLGDLGRATQIRIEMENLGFVPKVEMFNSFITGLFIAKQSGKVNDILVEMSAKGLSPNTVTYGALIAGWCKEGNLHDACNLYFEMVETGLKPNLFICSALVSCFYREGKVDEANLVLQKLADTDMIQDCSASTLNIGKVAHIIESLAGGNHQSAKIMWNIVILGLCKLGRVADARNLFEDLKVKGFIPDNFTYSSLIHGCSASGSIDLAFGLRDAMLSSGLAPNIVTYNSLIYGLCKSCNVSRAVSLFNKLQSKGISPNAITYNTLIDGHCKDGNTTEAFKLKQKMIKEGIQPTVFTYTILIHGLCTQGYMEEATKLLDQMIENNVDPNFITYWTLIQGYARCGNMKAITKLYNEMHICGLLPANWTGHVKQAEPVVNSKNVNICHVKMHRQC* >Brasy2G186000.1.p pacid=40071573 transcript=Brasy2G186000.1 locus=Brasy2G186000 ID=Brasy2G186000.1.v1.1 annot-version=v1.1 MAVSSPSAAPEKKRKWLLSSNRKVIDRYLREARAILTAAPESGGGDAVAALGLVDTALELSPRMEAALELRARALLSLRRYREVAEMLRDYIPSCGKSCSGEDTSSSSSLSSSSGDLGTTSRAKLLSPDRHRSDAAETDTGTARSFRCFDVSELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSEDSFSSSAAAMAAATVSSSKSGSAAAFIIPAVESEAVTQLLAHVKLLLRRRTAAMAALDADLPAEAVRHFSKILEARRGVLPHTFAAACLVGRAASFQAGGRRADAIADCNRALALDPAYIPALRARADLLQSVGALGDSLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYGEIAGAHRKLIARIQGLRSRVAGGEASNIDYYALLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARLSALLLYRMLQKGYSFIMSAVIDEEAAERQRAKEAAAAAALAKQEPAAPPIMPESPGAPVTNCSTVQTAKPKAPKAAAAVPTLPKTAAAPAVTTAAASAAPVYQGVFCRDLAVVGTLLSRGGFDRSLPVKCEAMSC* >Brasy2G090300.1.p pacid=40071574 transcript=Brasy2G090300.1 locus=Brasy2G090300 ID=Brasy2G090300.1.v1.1 annot-version=v1.1 MAMARLRSASTSLRRILRRSSVGSAAVTPDLAGAVSRVSAGEPRRYLFTAVPGVLKYTTTTEAPVPTEATVPSTPQGYPMYFGGLCKFPDNTAEAPDVYFENHPLVMKVKQDRLIIPRGGKGQTYMIPEESRMLIWNGVFLPYILHSSKGLFTKENLVVMSKLGIPAFKEINTVGSIELTESQKNEDIKEALIYFIEKACATLGIDIADLPMEMQDLHAFALSSPDGDQIITDPALLSRESRGLLILRIFGFIKEVLSRTIVDSNMSFHHNTSFDFRLSAAKRKLSGLEPIF* >Brasy2G029300.1.p pacid=40071575 transcript=Brasy2G029300.1 locus=Brasy2G029300 ID=Brasy2G029300.1.v1.1 annot-version=v1.1 MASNSAASDKPTSESSSRCLTECLTTAHNFEIIRFSMLEGMGAGKFLSSSKFSVGGHDWNIRIYPDGWKEEDKAAYMSVFLCFYSRTARDAKVKFTLSLLAKDGKVRNVHSTTHTFQETGQQKEDNYWGWREFIEKPKLQELRSRNDDCFTIRCVLTVIKEPRTEDVSTVLVPVPQSNLHTHFANMLKGGEGVDVTFSVGDKLFSAHRYVLAARSPVFKAELFGQMKETTMKCLKIDGMEPSVFEALLHFIYTDSLPSNNSDVDQNAGLQHLLVAADRYGLDRLRAMCEAKLCQSIDVQTVATTLALAEQHNSVQLKNACLGYLCSQDVLRVVKETDGFKHLIASCPWIMMDILEKVGLPSRV* >Brasy2G130800.1.p pacid=40071576 transcript=Brasy2G130800.1 locus=Brasy2G130800 ID=Brasy2G130800.1.v1.1 annot-version=v1.1 MAAAASYGFNQPGLSPEHKFDPTDDDLVIHYLLPKALGLPGPHEHAIIEADPGGLPPWEVLERHGIDMFASGGADQAFFFGPVPDAAHNAGRMVRRVQGGGFWQGQSGDDGAVVLLRGDGSEVDVRFKSSNLTFYESKDAPTSSGFVMHQFVIVDPPLPGAMLTRIRERRAGKLAKESAAADDCGPEESVRAGKQPVADGGGGGGGDALFIDGGYGGEYSGAPLGVVFPGSGEFSGGGCFVPDDLDTQLEQSMGYTQAEYDEFCQQQYQEFLLFQQYQMEQQYYQTGDPSNGGEGYSGGGGGSDHGSEVGTSSTISHCGDGAAA* >Brasy2G126100.1.p pacid=40071577 transcript=Brasy2G126100.1 locus=Brasy2G126100 ID=Brasy2G126100.1.v1.1 annot-version=v1.1 MSMEESAAPAAAMERERLTAEMSFRGDDDAQGAERAPSIIIKIRRRLPDFAKSVNLKYVKLGIRHGGGSPTSYLPMLCVPVLASAAYSFLRLDVIYYSIDLLTCVACLGTAMLLLTVYYFKRPRPVYLVEFACYKPEDEHRISKEAFLDMTESTGCFNDNALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARLEAEAVMFGCLDALFKATGINPRRDVRVLIVNCSLFNPTPSLASMIIHHYRMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDDEGKVGVSLARELMTVAGDALKTNITTLGPLVLPLAEQFKFLKSLMMRRVFRAGGVRPYIPNFRRAFEHFCVHAGGRAVLEEVQKNLGLEDKDMEPSKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPDAADAAGRDGAQSCNPWVDCVAKYPPKAFV* >Brasy2G329800.1.p pacid=40071578 transcript=Brasy2G329800.1 locus=Brasy2G329800 ID=Brasy2G329800.1.v1.1 annot-version=v1.1 MGGTTTMSASPFPTVDKCSSVDRSGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLLLLSPVAGILYYLVSESAGIQVLIFASTAGAKVCDVEAVARAVLPKFYCSDLHPESWRVFSACGRRCVLTANPRVMVEAFLKDYIGADVVLGTELVVWRGRATGLVRSPGVLVGENKADALRNAFGETRPDVGLGDRKTDYPFMRLCKEGYVVPPPTPKLKPVPREDLPKPVIFHDGRIVQKPSPALALLTLLWIPIGFVLACLRIAAGALLPMRFVYHAFSALGVRVTVKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEIMSPIRTVRLTRDRAADAAMIKRLLQEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPHELTCKGGKTSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKFMGS* >Brasy2G299000.1.p pacid=40071579 transcript=Brasy2G299000.1 locus=Brasy2G299000 ID=Brasy2G299000.1.v1.1 annot-version=v1.1 MAGAESSTFAVLRTHDHLFASRPSNALLDHSMAALTLASCPAARYVTTHLLAAAKVHSPSRGPSATAAKVHCPSLPPPWSAAAAADATSAEEGIGWICSSWTSKPKTCCMVSYLVGSLCSGQNKKKNNAFFTSEKP* >Brasy2G131100.1.p pacid=40071580 transcript=Brasy2G131100.1 locus=Brasy2G131100 ID=Brasy2G131100.1.v1.1 annot-version=v1.1 MHEFVIVDPPLPGTMLTRIRFDKARERRAGKLAKESAAADDCGCPEESVRAGKQPVADGGGDALFNGGYAGEYYGAPLGVVFPGSGEFSGGGCFVPDDLDTQLEQSTSYFPDLDTQLEQSTGYTQAEYDDFLQQQQQYQEFLLFQQYQMEQQYYQTGDPGNGGEGYSGGGDGFSGVEQQQQQQQAGGVFCGGGYDAGSDHGSDVGTDSTISHCGDGTQ* >Brasy2G240700.1.p pacid=40071581 transcript=Brasy2G240700.1 locus=Brasy2G240700 ID=Brasy2G240700.1.v1.1 annot-version=v1.1 MQAAATPECRLDRELRVRRRMRQRDSRARGEVDHEAVASEAELTTKAPTNHPYLEEDVVGCSMPVVFPLAGARHWISPHWRLRRRRRGALTG* >Brasy2G088700.1.p pacid=40071582 transcript=Brasy2G088700.1 locus=Brasy2G088700 ID=Brasy2G088700.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTQAGDAAAKKPKEKERPSGMSNADWAADCTRRSVESVSRRERERKAKKRNADLARQMEAQRVAASAQMAANKAGIAAPWPPSGQHWSSGSQGSSSLSPSPSGISPVSPHMPHDNHGNATPSLSWFSPDYPDTDPLGGFNHNTFAPNPLGGFNPNAFASPPLRRGPLSYGGYSPSASFQQFPAGCSLPAPNPFGGMGKKKAAAEKKKAGGGGRGPKWTSKEDECLAEAWKIVSMDPFTGANQSGVTYWRRVKTAYDERRRIDRQFAAMVAMFTAFREDNDGVEFKFIHVFARIETCNKWIETRNALSKSGTYDPKAAPPAAAEGRPIGHKKAKAMRDAASATERLYTCIEKCMSDAAAQAAKREELAAKREEVAASRWATVIKKQDDKLQVLKANGAAKKRQEDLLILTCDTTGMDAEVKAWYDDQRRLILAKARTPASAPATAAHAASTPSAPSPPKTATPATSTAPAGSEVSSTPADDEGAE* >Brasy2G064800.1.p pacid=40071583 transcript=Brasy2G064800.1 locus=Brasy2G064800 ID=Brasy2G064800.1.v1.1 annot-version=v1.1 MPAPSTADNDAALPRGHRGMMAASGSRRPARASTRRYIRRAAVIRTSAAIRASSAAVGPPPRVLSLIDCNRLKKGSPSGRSALPLGSSTSKLLAWWVIEVGGAVGAFYSGETHRPQVDFQALTHGGTSGHFLQPGADASMYPPIYSMGLI* >Brasy2G020900.1.p pacid=40071584 transcript=Brasy2G020900.1 locus=Brasy2G020900 ID=Brasy2G020900.1.v1.1 annot-version=v1.1 MKTHERAANLALAGLSLAPLVINVNPNLNVILTACLTVYVGCYRSVKAAPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPQGWNDNEIVWRAPYFHSLSVEFTKSQVVASIPGFFFCVWYAMKKHWLANNVLGIAFCIQGIEMLSLGSFKTGGILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGLTVTIVVMNWFQAAQPALLYIVPGVTGFVVVHSLWNGEVKPLLEFTESQPEEQEAGEEEDEEPNQSKKVD* >Brasy2G484400.1.p pacid=40071585 transcript=Brasy2G484400.1 locus=Brasy2G484400 ID=Brasy2G484400.1.v1.1 annot-version=v1.1 MGSRTYDPLLRTSVLQRMQPDDGSLLSRCQSELCRVWNQVEPVFYLGAAAGLCWAAWRYYERKSCLRTYGRYITSNAAGPVIGREDEIDRVVSILCRKTKNCAALVGAPGVGKTAIAEGLAQRIAAGKVPSELRGARVVEVDLGAMLSGTVLRGMFERRLKSVLKEAEDSGGKIILFIDEMHMLLGAGDNWGTSDAANMMKPSLARDRIRCLGATTFDDYRKYVENDAALERRFQKVHIGEPSTQGTIAILRGLKQQYEQHHGVEIQDDALVAAALLAGRYITGRQFPDKAIDLIDEACSTVKKMRRQEEEELAAVRGSANAVKEAIIVAPNHVAQVVSRWTGIPVAALDQEEKDRLIHLADRLHERVVGQERAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSENMLVRIDMSEYVEFGAVARLIGASPSCIGYEDGGQLTEKVRRRPYCVVLFDEVEKANPSVLNVFVQLLDDGVLTDGKGQTVDFKNTIIIMTSNLGAEHLTARMAGESTTEAARHLVMEKVRGHFKPEFINRLTEIVVFEPLLQEQMKEIVKIQMKSVIAKVAEKSISLSASDAALDVILSESFDPMYGARPIRRWVQKNVTTTLSEMLVKGEVGAGSTISIDATEDRKGLKYEVSKKVV* >Brasy2G271400.1.p pacid=40071586 transcript=Brasy2G271400.1 locus=Brasy2G271400 ID=Brasy2G271400.1.v1.1 annot-version=v1.1 MSSPPSAPAPPDPPQTETAWSRALRKLLPAGAPVPDEDQLDYSFSVALSADAQVRGPPTPASRSSYAAPVPPLQPFSRHRRRISRLLRPDPPAPPTAPSPPSSPDASSPTSSPPRNKPPSPPAQPLPPPDSDLPGPSNQRRACARCSKGVIGMGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMHAERGCAPNQVRPDEIVVNGRRLSQGELDLLLGCAVPPVRLTPGQYWYDKDSGLWGKEGEKPDMIVSSKLNVGGKLQADASNGSTQVFINGRQITKTELRMLKFGNVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPPGYSHGLKEDASVYSSRFVPDYLELKRVQKLLLVGVEGSGSSTIFKQAKFLYGSKFSREEILNLKLMIQSNVYRYLGILLEWRECFEDETLEEGRELDTSHHKSDGSTEEPKVAHTKSSLYSLNERLMHFADWLLEIVALGDIDAFFPAATREYAPLVEEVWKDPAIQATYKRNNELSFLPDVASYFLERVVEISSNEYEPTETDILYAEGINQWNGLSLLEFSFDERGPVSNSYLDKPDNPSTQTKYQLIHIDSKGLRGGFKWLDMLEDVRAIIFCISLADYDQMWAHQSSGELSNKMIASRDLFEDVIRRPSFEDTPCVLLLNKYDTFEEKIGMVPLTVCDWFADFSTVQPHHHTKQSLASHAYYYIAVKFKDLYSSALRDHQDRNRKLFVFQTRALERRTVEGALGYVREVLRWDEVRKSNVFGGSVDESSCSIDIDMSTSS* >Brasy2G271400.2.p pacid=40071587 transcript=Brasy2G271400.2 locus=Brasy2G271400 ID=Brasy2G271400.2.v1.1 annot-version=v1.1 MSSPPSAPAPPDPPQTETAWSRALRKLLPAGAPVPDEDQLDYSFSVALSADAQVRGPPTPASRSSYAAPVPPLQPFSRHRRRISRLLRPDPPAPPTAPSPPSSPDASSPTSSPPRNKPPSPPAQPLPPPDSDLPGPSNQRRACARCSKGVIGMGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMHAERGCAPNQVRPDEIVVNGRRLSQGELDLLLGCAVPPVRLTPGQYWYDKDSGLWGKEGEKPDMIVSSKLNVGGKLQADASNGSTQVFINGRQITKTELRMLKFGNVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPPGYSHGLKEDASVYSSRFVPDYLELKRVQKLLLVGVEGSGSSTIFKQAKFLYGSKFSREEILNLKLMIQSNVYRYLGILLEWRECFEDETLEEGRELDTSHHKSDEEPKVAHTKSSLYSLNERLMHFADWLLEIVALGDIDAFFPAATREYAPLVEEVWKDPAIQATYKRNNELSFLPDVASYFLERVVEISSNEYEPTETDILYAEGINQWNGLSLLEFSFDERGPVSNSYLDKPDNPSTQTKYQLIHIDSKGLRGGFKWLDMLEDVRAIIFCISLADYDQMWAHQSSGELSNKMIASRDLFEDVIRRPSFEDTPCVLLLNKYDTFEEKIGMVPLTVCDWFADFSTVQPHHHTKQSLASHAYYYIAVKFKDLYSSALRDHQDRNRKLFVFQTRALERRTVEGALGYVREVLRWDEVRKSNVFGGSVDESSCSIDIDMSTSS* >Brasy2G271400.3.p pacid=40071588 transcript=Brasy2G271400.3 locus=Brasy2G271400 ID=Brasy2G271400.3.v1.1 annot-version=v1.1 MSSPPSAPAPPDPPQTETAWSRALRKLLPAGAPVPDEDQLDYSFSVALSADAQVRGPPTPASRSSYAAPVPPLQPFSRHRRRISRLLRPDPPAPPTAPSPPSSPDASSPTSSPPRNKPPSPPAQPLPPPDSDLPGPSNQRRACARCSKGVIGMGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMHAERGCAPNQVRPDEIVVNGRRLSQGELDLLLGCAVPPVRLTPGQYWYDKDSGLWGKEGEKPDMIVSSKLNVGGKLQADASNGSTQVFINGRQITKTELRMLKFGNVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPPGYSHGLKEDASVYSSRFVPDYLELKRVQKLLLVGVEGSGSSTIFKQAKFLYGSKFSREEILNLKLMIQSNVYRYLGILLEWRECFEDETLEEGRELDTSHHKSDVALGDIDAFFPAATREYAPLVEEVWKDPAIQATYKRNNELSFLPDVASYFLERVVEISSNEYEPTETDILYAEGINQWNGLSLLEFSFDERGPVSNSYLDKPDNPSTQTKYQLIHIDSKGLRGGFKWLDMLEDVRAIIFCISLADYDQMWAHQSSGELSNKMIASRDLFEDVIRRPSFEDTPCVLLLNKYDTFEEKIGMVPLTVCDWFADFSTVQPHHHTKQSLASHAYYYIAVKFKDLYSSALRDHQDRNRKLFVFQTRALERRTVEGALGYVREVLRWDEVRKSNVFGGSVDESSCSIDIDMSTSS* >Brasy2G166600.1.p pacid=40071589 transcript=Brasy2G166600.1 locus=Brasy2G166600 ID=Brasy2G166600.1.v1.1 annot-version=v1.1 MAARALLPSWFRRRRRREARDAHPSYDDAPSPDEEREMERRRCAEERKRLLEGLRPRSRARRNDDVSQEQVLAREEAEKREWIAEQKRLVAEAMQRAGGPDPRWDEEDRRPAVLQEYRPPEKPLFPPGGYNKAPVRETCAYRFGPGSDAAFLESVVARGKSGGAWAAMCEAYSRRQRYLRDYCPFQREEEWLEEEEEESVSEIEEESVSETEEDEESVSETEEESSDAQESDSDATSCSSQYSAIGMNQGGCGHDDVCYAMQSEMPARARLSAILHA* >Brasy2G387800.1.p pacid=40071590 transcript=Brasy2G387800.1 locus=Brasy2G387800 ID=Brasy2G387800.1.v1.1 annot-version=v1.1 MRQTRVSYTAYIRHERTCTVCDMPAMRRDVRRMGHALLFLLLAVAPALVTGQGQVQVATSCTASLISTFTPCLNFVTGSTNGGGSPTQQCCRAVAGVVRTGADCACLILTGNVPFSLPINRTLAISLPKVCKSLSVPLQCRDTATQIPAPGPVAFAPALPPLPSLPPESSVDATATSPAVEAPPAIMQGQRPVVVPSSAWRNARVLLAPIALLVLASILV* >Brasy2G324400.1.p pacid=40071591 transcript=Brasy2G324400.1 locus=Brasy2G324400 ID=Brasy2G324400.1.v1.1 annot-version=v1.1 MAKKQRGSRQQEQVEAAAAAHEDAPANAEEEARPEAGGIVSEEVEGQSSDAANGGVEEGKGEAAAEEEEKEVTFDELGLDEQLKRALRKKGMATTTPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPLLQELLKLSSEGRIRKSAPNALILVPTRELCQQVFNEASSLLELCTSKLKIVQVTASMSDKDIKLALSGPPNILVTTPACVATCISKGIVQGSSIKESLSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSPDIEKLTKLLLHNPFILTLTEVGHAKDDVIPRNVQQFWISCSAKDKMLYILALLKLDLVQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDNKPKEVKQTNKENKKDSRQSRKHLQQTLDAEFGVVRGVDFKNVFTVVNFDMPPNPAGYIHRIGRTGRANKSGASISLVSPEENNIFEEIEHMVQDIAKKDTSCITSFPLLTKNAVESLRYRAQDVARSVTSRDIQEARRQDIKNEILNSEKLKAHFVENPTDLDLLKHDKLLSNKTIPAHLRDVPEYLIDPTTKEASNAVKLSRAAMGLDNPGRRKRMGFKGGSGKSRDPLRTFSAEGKSRRRGGKERDGEQDRRKRRKKAEI* >Brasy2G070800.1.p pacid=40071592 transcript=Brasy2G070800.1 locus=Brasy2G070800 ID=Brasy2G070800.1.v1.1 annot-version=v1.1 MAIAAARRRMWRGLRTAAAASGEGADGTLLARLVAEPECRVKATMEEASSSSAPHLDGAFWEPLAAALLRASSPGKAHLVLEWKLEKLLKEGVHNHNCEPYSTIIRFCRETRNAALAMRVFECVEAQGIQLNTGIFNALINAFLSVGDLLAAVTLYETMEGMEDCKPDSATYDAFISAFSRIGSGDAMMSWYLAAKNAEFIPSIQAFESLIVGLVRLNRLDDAEVVFEEMVSFEIKPNFTILEAQLEVLSRTKEANRVKRFIKFVSDGNWELNKATFVSLTRLCLDGCEVDEMEQLLALIQTGVHLSCVTQLHSGIIRFYASTDRLPDMENAICRMFDDGMTFMCPEDVEAVICSYFRQKDFDRLDMFLNRIRSLYRLTRSTYDILVAGFRRFDLHQRLDSTIKDMREAGFA* >Brasy2G246500.1.p pacid=40071593 transcript=Brasy2G246500.1 locus=Brasy2G246500 ID=Brasy2G246500.1.v1.1 annot-version=v1.1 MTRKKTKIELISNASTRRSTQKKRGNGLTKKLSELLTLCGVEGCLIVFPEGEKSPAQAWPSEDAARRVIERLRAMPELDQFRKMMDAEGFVRELIGKLQDQLRKAERDNRERETKLLLHEVIAGRRPGPGGLAGLTIEQLVSVGWMSENLINKIRDRLACQYERQGGFQQQLPYAGAADLDQAPLQQQQQQGWVMEVVKAGWDLDAPPPAYSTGSASGSGGSYGSTVGAGAGAGGEVMMPQQFPSFDAGFQQWADPGTSNFPPM* >Brasy2G326500.1.p pacid=40071594 transcript=Brasy2G326500.1 locus=Brasy2G326500 ID=Brasy2G326500.1.v1.1 annot-version=v1.1 MKARVESMEDVKEALGQGRVFPTGIFKVFLGFLLLVVGLSVLGMYMARHTLSAAAPALFRPCLGDSSTSGSAEEELEGLERWTRPPPRVRHAMTDEELRWRASFAPRVRPYPFPRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYRANFTSDSVFYQRQIVSKVADWGQMTLCDAERRLLANALLDISNEWFVLVSESCIPISGFNTTYEYFQNSRQSFVMAIDDPGPYGRGRYDYNMMPEIEFAQWRKGSQWFEVDRELAIQIIRDTRYYPKFNEFCRPHHCYVDEHYFHTMLSIEAPQSLANRSVTWVDWSRGGAHPATFGRGDITEEFLKRVQAKRTCLYNNQNTTTCFLFARKFAPSALEPLLVLAPRVLGYG* >Brasy2G278100.1.p pacid=40071595 transcript=Brasy2G278100.1 locus=Brasy2G278100 ID=Brasy2G278100.1.v1.1 annot-version=v1.1 MQAAAAPPPPAPRHRAYTAVDPRCEWARTDDADTLVVDVSGTVMISGCIVSLCICLFVLKRFRKEELKVLYNTSRKLKVTGERPIAGGGSGRWARFLKSFLVSRSVNSGGIRAVMDKEQALLFVILPKGSGTQKEQQPGNHVPLPKDAGGGTGNADGSSGSDSNGTFWSAQEDVEKNRIEEKKMVPEENKGKTQAEEVVATQDVGEEVIATTQDVPITYGSAVANAIDNDGDDGQTCKNRKWWQKIKPLHVVGFAVLILALVGVGALYVILLL* >Brasy2G096800.1.p pacid=40071596 transcript=Brasy2G096800.1 locus=Brasy2G096800 ID=Brasy2G096800.1.v1.1 annot-version=v1.1 MRTCSLLLLLVAGIAAVYLVATSAEEFWYPIDVNNPHVQELGKWAVTEHVKKAHDGLTFSKVTRGEQQDVGGVKYRLSVEAVQGSGTVGKYNTMLIEEPRSKTRNLISFAPADN* >Brasy2G430500.1.p pacid=40071597 transcript=Brasy2G430500.1 locus=Brasy2G430500 ID=Brasy2G430500.1.v1.1 annot-version=v1.1 MGGETARSERIEGETNRRWRRSREDEDERRRVALGSDLLLEGLCGARSIEEPATPAAIVRRICEAYAPHILLITGGSSSSSSFELLIADARMNCFQTFSSTDGKWSAVRQASKPQHPDDLRLDESCNPTVVGRTIYWLFSTLAVLPDRILALDIDSAEATMVAFPTRCLSWMMAVKKGNHLLLASVRGRLSLFVSETQGISMWTRTPAQSKSAPAAWSRQVVICRAEVEMQARRLGLGLGTCHYVTYGPFLLVGFGERSGAVILQLIKGELLRLDLGTNVISKLRSPAGTLNVDRVFLHEMDLVSLLQSMKPF* >Brasy2G454600.1.p pacid=40071598 transcript=Brasy2G454600.1 locus=Brasy2G454600 ID=Brasy2G454600.1.v1.1 annot-version=v1.1 MAAQGRGSAKNMAIVAVLGMAVVLVSAGVAESAVYNVGDKGGWTFNTNSWPAGKRFKAGDVLVFKYDSTAHDVTAVSAAGYKACAKPARAAKVYKSGSDRVTLARGTSYFICGVPGHCQAGMKIAVTAA* >Brasy2G012100.1.p pacid=40071599 transcript=Brasy2G012100.1 locus=Brasy2G012100 ID=Brasy2G012100.1.v1.1 annot-version=v1.1 MPTTAYERRLLAAADLVLASDKHSLLPRRSSADLGVTAQLKPHQLDGIHWLIRRYHLGVNVLLGDEMGLGKTLQAISLLSYLKIQSIAPGPFLVLCPLSVTDGWSSEFARFCPTLKVIQYVGDKAHRRDLRRIMNEGVQKSSAASNSNELPFDVLLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKEHFIMPRRLLLTGTPIQNNLSELWSLMHFCMPSVFGSLDEFLSTFKEAGNLLAGSEANKANGHFKNIKHMLRAFMLRRTKALLTESGILELPPLTELTVIVPMAPLQKKLYLSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHRLGHRVLLFAQMTHTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSIQPTKGVARDDDNPSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRSHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDEDTTDGKGEDLGNEASDMRSIIFGLHQFDPADTATETMNEETLVKLESMSENVIKMRAHEPSEKDDRAFEINPNLTDSSAAVITRTYDSINIDPGVDEAAYLSWVEKFKEASHSIENVTVEQERQRSAPEEKLLKREANKKKAEEKRFAKWKTSGYETLTVKDPDDIPNQNISDSGSVQLVYGDCTNPSKVCPGKPAIIFSCVDNSGTWGHGGMFDALASLSTYIPDAYHRASEFDDLHLGDLHLIQLDEANCSRSLDAPLWVALAVVQSYSPKRKIPRSGISIPDLELCLWKAAFAAAQCSASIHMPRIGQQSGSQRSEWYTIERLLRKYSSLHGIDIFVYYFRRSPSREQIDPMATVRSEDVAAVTGSVEEASTLSDPN* >Brasy2G012100.3.p pacid=40071600 transcript=Brasy2G012100.3 locus=Brasy2G012100 ID=Brasy2G012100.3.v1.1 annot-version=v1.1 MPTTAYERRLLAAADLVLASDKHSLLPRRSSADLGVTAQLKPHQLDGIHWLIRRYHLGVNVLLGDEMGLGKTLQAISLLSYLKIQSIAPGPFLVLCPLSVTDGWSSEFARFCPTLKVIQYVGDKAHRRDLRRIMNEGVQKSSAASNSNELPFDVLLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKEHFIMPRRLLLTGTPIQNNLSELWSLMHFCMPSVFGSLDEFLSTFKEAGNLLAGSEANKANGHFKNIKHMLRAFMLRRTKALLTESGILELPPLTELTVIVPMAPLQKKLYLSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHRLGHRVLLFAQMTHTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSIQPTKGVARDDDNPSGAFVFMISTRAGGVGLNLIGADTRSHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDEDTTDGKGEDLGNEASDMRSIIFGLHQFDPADTATETMNEETLVKLESMSENVIKMRAHEPSEKDDRAFEINPNLTDSSAAVITRTYDSINIDPGVDEAAYLSWVEKFKEASHSIENVTVEQERQRSAPEEKLLKREANKKKAEEKRFAKWKTSGYETLTVKDPDDIPNQNISDSGSVQLVYGDCTNPSKVCPGKPAIIFSCVDNSGTWGHGGMFDALASLSTYIPDAYHRASEFDDLHLGDLHLIQLDEANCSRSLDAPLWVALAVVQSYSPKRKIPRSGISIPDLELCLWKAAFAAAQCSASIHMPRIGQQSGSQRSEWYTIERLLRKYSSLHGIDIFVYYFRRSPSREQIDPMATVRSEDVAAVTGSVEEASTLSDPN* >Brasy2G012100.4.p pacid=40071601 transcript=Brasy2G012100.4 locus=Brasy2G012100 ID=Brasy2G012100.4.v1.1 annot-version=v1.1 MPTTAYERRLLAAADLVLASDKHSLLPRRSSADLGVTAQLKPHQLDGIHWLIRRYHLGVNVLLGDEMGLGKTLQAISLLSYLKIQSIAPGPFLVLCPLSVTDGWSSEFARFCPTLKVIQYVGDKAHRRDLRRIMNEGVQKSSAASNSNELPFDVLLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKEHFIMPRRLLLTGTPIQNNLSELWSLMHFCMPSVFGSLDEFLSTFKEAGNLLAGSEANKANGHFKNIKHMLRAFMLRRTKALLTESGILELPPLTELTVIVPMAPLQKKLYLSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHRLGHRVLLFAQMTHTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSIQPTKGVARDDDNPSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRSHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDEDTTDGKGEDLGNEASDMRSIIFGLHQFDPADTATETMNEETLVKLESMSENVIKMRAHEPSEKDDRAFEINPNLTDSSAAVITRTYDSINIDPGVDEAAYLSWVEKFKEASHSIENVTVEQERQRSAPEEKLLKREANKKKAEEKRFAKWKTSGYETLTVKDPDDIPNQNISDSGSVQLVYGDCTNPSKVCPGKPAIIFSCVDNSGTWGHGGMFDALASLSTYIPDAYHRASEFDDLHLGDLHLIQLDEANCSRSLDAPLWVALAVVQSYSPKRKIPRSGISIPDLELCLWKAAFAAAQCSAKWLSTVRVVYHRTAAQEVLITPWHRHFRVLLPAIAQQGTD* >Brasy2G012100.5.p pacid=40071602 transcript=Brasy2G012100.5 locus=Brasy2G012100 ID=Brasy2G012100.5.v1.1 annot-version=v1.1 MPTTAYERRLLAAADLVLASDKHSLLPRRSSADLGVTAQLKPHQLDGIHWLIRRYHLGVNVLLGDEMGLGKTLQAISLLSYLKIQSIAPGPFLVLCPLSVTDGWSSEFARFCPTLKVIQYVGDKAHRRDLRRIMNEGVQKSSAASNSNELPFDVLLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKEHFIMPRRLLLTGTPIQNNLSELWSLMHFCMPSVFGSLDEFLSTFKEAGNLLAGSEANKANGHFKNIKHMLRAFMLRRTKALLTESGILELPPLTELTVIVPMAPLQKKLYLSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHRLGHRVLLFAQMTHTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSIQPTKGVARDDDNPSGAFVFMISTRAGGVGLNLIGADTRSHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDEDTTDGKGEDLGNEASDMRSIIFGLHQFDPADTATETMNEETLVKLESMSENVIKMRAHEPSEKDDRAFEINPNLTDSSAAVITRTYDSINIDPGVDEAAYLSWVEKFKEASHSIENVTVEQERQRSAPEEKLLKREANKKKAEEKRFAKWKTSGYETLTVKDPDDIPNQNISDSGSVQLVYGDCTNPSKVCPGKPAIIFSCVDNSGTWGHGGMFDALASLSTYIPDAYHRASEFDDLHLGDLHLIQLDEANCSRSLDAPLWVALAVVQSYSPKRKIPRSGISIPDLELCLWKAAFAAAQCSAKWLSTVRVVYHRTAAQEVLITPWHRHFRVLLPAIAQQGTD* >Brasy2G012100.2.p pacid=40071603 transcript=Brasy2G012100.2 locus=Brasy2G012100 ID=Brasy2G012100.2.v1.1 annot-version=v1.1 MGLGKTLQAISLLSYLKIQSIAPGPFLVLCPLSVTDGWSSEFARFCPTLKVIQYVGDKAHRRDLRRIMNEGVQKSSAASNSNELPFDVLLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKEHFIMPRRLLLTGTPIQNNLSELWSLMHFCMPSVFGSLDEFLSTFKEAGNLLAGSEANKANGHFKNIKHMLRAFMLRRTKALLTESGILELPPLTELTVIVPMAPLQKKLYLSVLRKELQTLLSFTGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHRLGHRVLLFAQMTHTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSIQPTKGVARDDDNPSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRSHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDEDTTDGKGEDLGNEASDMRSIIFGLHQFDPADTATETMNEETLVKLESMSENVIKMRAHEPSEKDDRAFEINPNLTDSSAAVITRTYDSINIDPGVDEAAYLSWVEKFKEASHSIENVTVEQERQRSAPEEKLLKREANKKKAEEKRFAKWKTSGYETLTVKDPDDIPNQNISDSGSVQLVYGDCTNPSKVCPGKPAIIFSCVDNSGTWGHGGMFDALASLSTYIPDAYHRASEFDDLHLGDLHLIQLDEANCSRSLDAPLWVALAVVQSYSPKRKIPRSGISIPDLELCLWKAAFAAAQCSASIHMPRIGQQSGSQRSEWYTIERLLRKYSSLHGIDIFVYYFRRSPSREQIDPMATVRSEDVAAVTGSVEEASTLSDPN* >Brasy2G142000.1.p pacid=40071604 transcript=Brasy2G142000.1 locus=Brasy2G142000 ID=Brasy2G142000.1.v1.1 annot-version=v1.1 MATTSSPLTTTHSSFLSSAPCSTTTMAALPRRRRAGARYPRIQAIDLDQNTIVAITVGVVSVAAGIGIPIFYENQIDSSAKRDNKQPCFPCSGSGAQVCRFCTGAGTVTVVIGSGESEVSKCVNCDGIGSLTCTTCQGSGIQPRYLDRREFKDDDD* >Brasy2G357200.1.p pacid=40071605 transcript=Brasy2G357200.1 locus=Brasy2G357200 ID=Brasy2G357200.1.v1.1 annot-version=v1.1 MEIPVKLGTHEEEEEEEYDSVFYEDIEAPKFVDLSAPDATRPTDDPAWFCLRIGCDQNHEHVDPEALHQSFFMRVMAARSPNVRLQKAISRRNQSSMLPKCPHSAPAKPPRTRITRMSRVTEAADMAAKPRLKDHRICSLRDSPIRTKAARIEVSSARKKALTTPRSKTVRPRQEPFLSVKHQKEPIETAAARKGTVVKALFMSTPKKEVCKTTAKSPAPVSEVCSKMKKLNLACREVPSRYLSQLSAPKITKKCEETGAKSVKRVQESRTNGKKKVLGCSVKCANAKIDEEKRSGRENIAADENSTARSNGELKEVLQVSRIEVETSQPHNSDNDKENVSCGDLQSEQTLNGSHPEDENMKQLDNNENVPPQKVAKMQNKVNAEQGGKLKKTTIQRPFRLRTDERQVLKEANPERKQMPVKNNSMAVLKDGNRRVMQMGRCPDGKGRDKLACGENQKKQNTHTATSHLGGARTVLKSIRCNDVRPAVTKGKLVEKSQRDTKAVSSTRTARITSGLRAPSQIGKERKAQVKTSRLQTAAA* >Brasy2G022700.1.p pacid=40071606 transcript=Brasy2G022700.1 locus=Brasy2G022700 ID=Brasy2G022700.1.v1.1 annot-version=v1.1 MEASRFGFDPGTPAVFKFDPTDAEIVAQYLVPLALGLPNPNSHVILEDDPASLPPKELLEKHGHGDSHHAFFLCPPTDKSRNGGRRDRSVRGSTGGSWSGEKGIDTPLTLLRDDGGGELYVEYKKYNLTYRVAGDDGSGKRGKGKAAGWVMHEYQLVHPPLSATVISRIKLTDRKLKEEEEYYLMNEQSAGDQHDYEMSYQMGEPGPSYQMGYQPGPSYHMGYQPGPGYHDPDDPAMTGTWGEGFSAGQQADAGCYYYVAGSTDYYYGDNGGGGQIR* >Brasy2G114900.1.p pacid=40071607 transcript=Brasy2G114900.1 locus=Brasy2G114900 ID=Brasy2G114900.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTSPTPLPPIKCNNPNPSLPIPFLSPCRRHPPVSLLSPDFSPTFSLLSPFSLLSLSLAGDEDGRAPSSLTRAALPPSLSAEGSPLPAAGSMEGGSPFAGAMEIDAIDGGWLHLAAESRRLRPGRRTLTGSLLSLSLPLPLLLSL* >Brasy2G460200.1.p pacid=40071608 transcript=Brasy2G460200.1 locus=Brasy2G460200 ID=Brasy2G460200.1.v1.1 annot-version=v1.1 MAIPLSSHAPIHIFLLVLFFAVSASFSSPSPTNANGSDTDLAALLAFKAQLADPLGILAGNWTTSTSFCHWVGVSCSRRRQRVTALSLPEMLLVGSLAPHVGNLSFLSVLNLTYTNLTGSIPTELGRLHRLRYLSLKGNSLSNTIPTAIGNLTRLEFLILDLNRLSGQIPPEMLMRMHNLREIVMYGNELSGQIPPHLFNNTPSLMYINLGNNSLSGPIPHGVASLSMLEYLNLEVNQLSGLVPQAMFNMSGLQVMGLDGNGNLTGMIPTNQSFSLPMLHEISLDDNEFTGGFPSGLASCHYLQVLSLGGNSFEDVVPTWLAKLPHLEWLKLGGNNLIGSIPPALSNLTGLIHLDLSNGNLKGEIPPELGLMHELLYLHLEGNQLVAQIPASLGNISKLYFLSLRFNQLSGQVPATLGENAALKGNLDFLSALSKCRQLQVLWIGHNFFTGALVGHVGNLTTQLITFDAGYNKLTGGLPEEFSNISSLEQIHLPYNLFTEPIPQSIAMLENLLWLDLSQNGMLGPIPTEMGMLGSLEQLFLEENKFLGSIPSSLSNLSRLEKINLSNNQLSSTIPAGVFHLNKLIGLDISHNSLAGPLPIDVSGLSQTYQMDLSSNFLIGNIPESFGQLNMLTFLNLSRNSFEGSIPGPLEKLKSLASLDLSFNNLSGTIPIFLANFTSLTTLNLSFNRLEGQIPEGGVFSNLTLQSLVGNVGLCGAPRLGFSSCLDRPHAANRHLLKFLLPTLILVFVAIAICLYLCNGLLVAIKVLDMQLEQAIRSFDAECRVLRMARHRNLIRILNTCSNIDFRALVLQYMPHGSLEMLLHRAESTMRLGFAERLGIMLEVSMAMDYLHHEHYELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMISASMPGTVGYMAPEYGSVGKASRKSDVFSYGVMLLEVFTGRRPTDPMFGAQLTLTQWVQQAFPAELVQVIDDQLLQGSSLSSHNFDNDFLAPVFELGLLCSSDRPDQRMTMHDVVVALKKIKAEYTKRTATTSRSAAQ* >Brasy2G193400.1.p pacid=40071609 transcript=Brasy2G193400.1 locus=Brasy2G193400 ID=Brasy2G193400.1.v1.1 annot-version=v1.1 MAVPRAYLDRRLFQVLGLVCALCQIFFVGSATGQTAQLSVDASPQNAQMIPDNMFGIFFEEINHAGAGGLWAELVNNRGFEAGGPNTPSNIDPWLIIGDETNIIVATDRSSCFASNPIALQMEVLCQSSGTDVCPPGGVGIYNPGFWGMNIEKTKIYKLSMYIKSSDSVDLTVSLTSSDGLQNLAAHTITSDEEDFTEWTKVEFDLQASEGNTNSRLQLTTSKSGIIWFDQVSLMPSDTYMGHGYRKDLASMLANLKPKFLKFPGGNYVMGNYLLNAFRWSETVGPWEERPGHFNDVWGYWTDDGLGLFEFLQLAEDLGACPVWVVNDGASRNEQVPSATIGAFVKDVVDGIEFARGDTGTTWGSVRAAMGHPEPFQLRYISMGNQECYMQYYKENYRKFYSAIKASYPDIRIISSCDRSTISPVEPADLYDVHVYTSSGDMFSKSSMFDNTPRGGPKAIVSEYAVTGNDAGRGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFDSWQHYGCPNYWMLHFFKDSSGATLHPSTIHVSNYNQLVASAITWQNSNDGNTYLKIKVVNFGDKALDLSISITGLDSNIQTFGSIKTVLTSGWLRDENSFQKPDKVVPVGSPITNAREQMSFVLDPYSLTSYDLLLDSSTKMHPVLDSSLHSSM* >Brasy2G270500.1.p pacid=40071610 transcript=Brasy2G270500.1 locus=Brasy2G270500 ID=Brasy2G270500.1.v1.1 annot-version=v1.1 MTTRGQNLLHKVSELIDPIVGDWDIALVKQTFCNEDADLIIKIPICEGVEDQVAWQFDKKGMFSVKSSYKVALNMLTKHSRRETGSSSGKKFKSAFSTIPDAQLKTLQLEELKLVLKDCQRPTDVISSIMLKLDTDQCIRACTLMWLWWDERNKANAGERRRNIETVANSMEIHSKEFTNFYRKKRNENLNTRETRKWIPPPVSTLKINLDGAFKEGKSEGGWGYIIRNNEGGVEAAGAGKLNRASDALQAETEALHCSKPFVEPLKLV* >Brasy2G052600.1.p pacid=40071611 transcript=Brasy2G052600.1 locus=Brasy2G052600 ID=Brasy2G052600.1.v1.1 annot-version=v1.1 MATALSLSGGATGRGGGSLLRRYPAASAVTRCCAVPRRSSRRRPPPRRLAASRADDSSPAPFEMTVEGALKLLGVPEGASFDEILRAKSAVVASCKDDQDAIAQVEAAYDMLLMQSLSQRRAGKVVDSSIRYADVKPVKSAGTAPQWMQATMKNVPLTIEAPSSSNLGIQSSIYGALMVFTYASGSSTSLPSAYTSPDVPGIILATGVGASLYFLTKKNMNLGKAALITVGGLAAGATVGSGVENWLQVDIVPFLGIHSPAVVVTEFILLSQLLVSLFVR* >Brasy2G137300.1.p pacid=40071612 transcript=Brasy2G137300.1 locus=Brasy2G137300 ID=Brasy2G137300.1.v1.1 annot-version=v1.1 MDSDHWISRLAAAKRFYAAQLGHGDRGMEELDMDNEEAEEGGGRAAEFACPYCYEDHDVASLCAHLEEEHPFEPHAAPCPICSHKITRDMLNHITMQHGYLFKNRRRLRKFTVPGSQSLSLLSRDLREAHLQLLLGGGGHRSSNNNATNISADPLLSSFGLSIPTPDAEETSKLPISAPSDASVLKETHVQPWQSSIDSSLTSEEREQKREQASGRATFVQDLLLSTLFRDY* >Brasy2G233100.1.p pacid=40071613 transcript=Brasy2G233100.1 locus=Brasy2G233100 ID=Brasy2G233100.1.v1.1 annot-version=v1.1 MNSSKHRLLVLLLLLICSYSTIDAAVKHNTTVLCLPDQASSLLLLKNSFIDVDENLASWRAGSDCCHWVGVTCDMASGRVISLDLGGFDMQGRLLDPALFNLTSLRNLNLAFIDFGYAQLPSYGFERLTNLIHLNFSETYFLGQIPIGIARLKNLVTLDFSGNYNLYLQEPSFETFMANLSNLRELRLDYVDISNSGSTWSVVLVESVPQLQTLSLVQCGISGPIHPSFSRLRSLTEIDLAENVGLSSGLSVCLPDFPVGSNLETLLLAGSTLSCGIPSSFINLKYLKILGASTIGVSKELSLIHKLPSLNTLWLHGQALEKQVLSWTGNLTQLTSLGLDGYDFSRLAPSWIGNLASLTNLQIVNCNFSGPIPHQIGNLTNLAELESLDCSYNEQPLPSWIIKLKKLRGLILYNCNLSGPIPSTLGNLTRLEELKLQRNKLSGEILTKNLFNNALFLVFLFFFL* >Brasy2G081300.1.p pacid=40071614 transcript=Brasy2G081300.1 locus=Brasy2G081300 ID=Brasy2G081300.1.v1.1 annot-version=v1.1 MLVLCPQADMKAHGGGSKGWEFPLFPCTGLRAYLVVTSIYDKLTNSLSKRGDTYRQRMIQLAFIDLSVLPNFVPAGLVILSFLSKKMVMELSNANPVVYEKKERRIRQAPENTDENAADPIDQLEIFDHIRDIKDPEHPYSLEDLNVVTEESVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLSDKERVAAALENPNLLDIVEECLSPTFG* >Brasy2G081300.3.p pacid=40071615 transcript=Brasy2G081300.3 locus=Brasy2G081300 ID=Brasy2G081300.3.v1.1 annot-version=v1.1 MKAHGGGSKGWEFPLFPCTGLRAYLVVTSIYDKLTNSLSKRGDTYRQRMIQLAFIDLSVLPNFVPAGLVILSFLSKKMVMELSNANPVVYEKKERRIRQAPENTDENAADPIDQLEIFDHIRDIKDPEHPYSLEDLNVVTEESVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLSDKERVAAALENPNLLDIVEECLSPTFG* >Brasy2G081300.2.p pacid=40071616 transcript=Brasy2G081300.2 locus=Brasy2G081300 ID=Brasy2G081300.2.v1.1 annot-version=v1.1 MKAHGGGSKGWEFPLFPCTGLRAYLVVTSIYDKLTNSLSKRGDTYRQRMIQLAFIDLSVLPNFVPAGLVILSFLSKKMVMELSNANPVVYEKKERRIRQAPENTDENAADPIDQLEIFDHIRDIKDPEHPYSLEDLNVVTEESVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLSDKERVAAALENPNLLDIVEECLSPTFG* >Brasy2G412200.1.p pacid=40071617 transcript=Brasy2G412200.1 locus=Brasy2G412200 ID=Brasy2G412200.1.v1.1 annot-version=v1.1 MAVSASTSSTLPLLFLHRATTSPNPTALSFTSSLRASPLRSRAAASPTEALADDLPSDTPPVGEGTGIPMPSSIGDDGEQLLFGTTAGKETFVRPCAQLHSSSDSFNVSQEKIVITNSHGEKLVGVLHEAGSKDIVVLCHGFRSSKQSKTITGLTDALTSEKISVFCFDFSGNGESDGTFQYGNYYKEVSDLHAVIQYFKEHQRDTRAIAGHSKGGDVVIIYASMYQDVSSIINISGRFDLKRGIGERLGNDYMERISKHGFIDVEQKTGHFMYRVTKESLMDRLNTDMQTACMSIDPNCRVLTVHGSDDDVVPSEDALEFDKYICNHKLHVIEGADHRYAFHQLELANIVIKFIKSG* >Brasy2G311300.1.p pacid=40071618 transcript=Brasy2G311300.1 locus=Brasy2G311300 ID=Brasy2G311300.1.v1.1 annot-version=v1.1 MGGFFLLLLDACVLLNFQVHGGLSLIHTCDAADLEVLRWAAPLWKEADGRSPQPPLPSAHQRWPERAGSASLREIRCSRVLLALCPHLCPRLYSLPPSLSPSARFPPPQPPLAHAHGCCGDGISSRPESLRPSAQLLPVLLQTLINGVVGLVECLRPADIGGECTSLAMGLLVLVQHELIMYCSCVVMCRMLYQEEL* >Brasy2G284900.1.p pacid=40071619 transcript=Brasy2G284900.1 locus=Brasy2G284900 ID=Brasy2G284900.1.v1.1 annot-version=v1.1 MARGRRNRGGGGGAGWGGGRGRGTVSTDDIQRVAETVREAAARHGLQITDMGTGGWSPQMQFLLACEDGDIDRLKVVVDNMDEDDRESLASVRMGGCGPLHSAASSGNVEICKYLVEQLGFDVDSDADNHDSGVTPLYCAAMEGKVVTAKYFLDKGADPNNKDSKGLAPLHEAAAAGYDDITGLLLSNGADVDVSSPEGTPLVVAAAHGKFSVMKILLEHHADPNKVSWKFGTPLTTTLYATTERMDEPTCLKCMKLLVKAGADVNCTIPETPLVIATSRGLTKCAEYLLEVCAKANGPVNDDNTTDKDRKAQLKSSGAKAVERKDYATASKFYTEAIELDPADAVLYSNRSLCHLKSDEEHNALLDANACISLLPGWNKGYYRKGAALMSLLEYKEASEAFLAGLKLKPGNKEMEEAYRAAVEAMRKEQSEPSLYELD* >Brasy2G247200.1.p pacid=40071620 transcript=Brasy2G247200.1 locus=Brasy2G247200 ID=Brasy2G247200.1.v1.1 annot-version=v1.1 MASWESCPPYCFLPTMFTLYLIGPASHQKEILKLEDDFLAISSVTVGTGRSVLFWSDRWNGRLLKDDWPRLFSFVLDCFLSVEDVVTAPDLPSLFKLPLSLRIRIAEAFLGAQVTLLANSMRWVSSMRLSLRSFLGSGSLGALLVLKSFAWLLLTGDDAHCVVCHGRVLEDWQHLFFSCMFSRRIWSFLQIDWRSGPSIDERNGLIFSGTVPSFSSWRANFLHDLHLLRRRFKPGLVPRFVSWLESLLYISSHR* >Brasy2G488300.1.p pacid=40071621 transcript=Brasy2G488300.1 locus=Brasy2G488300 ID=Brasy2G488300.1.v1.1 annot-version=v1.1 MASEVALSPASRLPSLSSRARPSSSARAAAARSSLPRPALVSTSHQHRRRALLLLRPRATDQQGQVQQQEDEVVDSNVLPYCSLDRKEKKTIGEMEQEFLRALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRLLEASMAYIAGNPIMTDAEFDQLKLRLKQDGSDIVTEGPRCSLRSRKVYSDLSVDYLRMYLLNVPATVVALGLFFFIDELTGFEVNVFQLPEPFGFIFTWFAALPLILLIAQSVTNAIIKDVLILKGPCPNCGTENLSFYGTILSIASGGATNNVKCANCKTVLVYNSKTRLITLPES* >Brasy2G223300.1.p pacid=40071622 transcript=Brasy2G223300.1 locus=Brasy2G223300 ID=Brasy2G223300.1.v1.1 annot-version=v1.1 MGSNASSSSSFLLCTEDSAILWGDDGEVMEGPELVDDYSGFSGPQLESDELVESLMAKEREQLTGTATGFYLERLSHGGLELSCRNDAIDWICKVQAHYSFGPLCVYLAVNYLDRFLSSKQIPNEAAWTQQLLAVACLSLAAKMEETVVPLSQDFQVCGAKYVFEANAIQRMEVLLLSTLEWRMHSVTPFSYIAYFLNKFNEEKPLTNDLVSRSTDLILDTLKVTKFLQFRPCEIAAAVALSVAAEARSVDLHSALAGTKIPLDKQNAGRCHEAIQEMALVKKNTNTRASPSGVLDASCFSVESDDNRTPGISSQTIDSSNVSDNHACSPASKRTKLS* >Brasy2G161800.1.p pacid=40071623 transcript=Brasy2G161800.1 locus=Brasy2G161800 ID=Brasy2G161800.1.v1.1 annot-version=v1.1 MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKIDYCSNLKNLSPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVARDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTAWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTEEIKSLASSPTEANESSTAAHMATSKSTSSGPQTATYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLQERAQLLQDIQNVKPTHVFNAAGVTGRPNVDWCETHKQDTISTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISVEMAKRDCRGTWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLIKYVFEPNSKVATN* >Brasy2G374700.1.p pacid=40071624 transcript=Brasy2G374700.1 locus=Brasy2G374700 ID=Brasy2G374700.1.v1.1 annot-version=v1.1 MKTEKAAVAIGVGDEWRCRKHPAARSGGGVCPYCLRDRLLRLCPNCARVRPCPCTCAGSPSSSSSASGETVGRVHSLIEREHRMARSRSVAASSSAAMASVAAAGVGGRRKARIWGWTPFWKSAAKEGDEDEEEKLGLARSSSVSATAVEAKAVAAKAAAAKARWGGWHFPSPMKAFRHRRSSASLPERG* >Brasy2G345600.1.p pacid=40071625 transcript=Brasy2G345600.1 locus=Brasy2G345600 ID=Brasy2G345600.1.v1.1 annot-version=v1.1 MANIRALATMALFVLVTLSASHIASSFRPSLGVCRASGYLPGKAGHCEKSNDPNCCEDGKKYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNSYHSDKELVVALSTGWFENMARCGHRIKITANGNSVYAKVVDECDSVYGCDDEHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSEA* >Brasy2G426300.1.p pacid=40071626 transcript=Brasy2G426300.1 locus=Brasy2G426300 ID=Brasy2G426300.1.v1.1 annot-version=v1.1 MSDKCVVHCCCIRGFQDTLWAQKYPQLYLECRIKGTVDFIFGAATAVLQKCDIVARRPIQGQSNTITSQGHEEAKDSSGFVFQFCNILSSDDLASVETFLGRPWRHFARVVFMECSLSKIVTPKGWLDCLRSGIHCVRHHHREHGWCCQNQAVAFLAMSDKCVVHRCCIRGFQDTLWAKKYRLLYLECRIEMTVDFIFGAATAVFQKCDIVARRPIQGQSNTITAQDNEEAKDS* >Brasy2G117200.1.p pacid=40071627 transcript=Brasy2G117200.1 locus=Brasy2G117200 ID=Brasy2G117200.1.v1.1 annot-version=v1.1 MAHETRPTAVSYTTLMRALCADRRAGQAVGLLRDMQASGVRPDVVTYGTLIRGLCDAADVDKAVELLREMCEGGVEPNVVVYSCLLQGYCKAGRWECVSKLFVEMSGRGIEPDVVMYTGLIDSLCREGKVKKATQVIHKMMERGLEPNVVTYNVLINSMCKEGSMKEAMSLRNNMLEKGVAPDAVTYNTLITGLSGVLEMDEAMGLLEEMIQGETMVQPNVVTFNSVIHGLCKTGRMRQAFQVRDMMAENGCACNLVTFNLLIGGLLRVHKVKKAMELMDEMASSGLEPDSFTYSILINGFCKMWQVDRAESLLSKMRRDGIEPELVHYIPLLAALCEQGMMEQARNFFDEMHKNCKLDVVAYSTMIHGACRLRDRKTAEQFLKHMLDEGLIPDAVTYSMLINMFANSGDLGAAERVLKQMTASGFAPDVAVFDSLIKGYGAKGDTEKVLELIREMTAKDIALDSKIISTIYTSLVANNEGKALLQSVPGFDTEVSKGTVISPHELTNMLHKLCNKVEPAVAC* >Brasy2G028900.1.p pacid=40071628 transcript=Brasy2G028900.1 locus=Brasy2G028900 ID=Brasy2G028900.1.v1.1 annot-version=v1.1 MEVFYYVVFGALAAVVAGLELGKSGKDRVATTTAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPEYRVLMVGRVLGGIATSLLFSAFESWLVAEHNKRGYDPQWLSITFSKAIFLGNGLIAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDSSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKMKVEGYMQIVFSVSAVTLFLPVATSILVAPSSVKGGSISFGGSLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFIAAILQRRLMVVADLHKLSTKAQEMTVEDEPLNP* >Brasy2G385400.1.p pacid=40071629 transcript=Brasy2G385400.1 locus=Brasy2G385400 ID=Brasy2G385400.1.v1.1 annot-version=v1.1 MASGKITLAAAMAALVVAALLPATASAAAYTVGDGSGWDLGIDYTAWAKGKKFRLGDTLEFQYSLGEGDHNVVVVDETSYASCSVPSNAPTFTSGDDTITLTAAGKWFFICGIEGHCQDGMYLDINVH* >Brasy2G453500.1.p pacid=40071630 transcript=Brasy2G453500.1 locus=Brasy2G453500 ID=Brasy2G453500.1.v1.1 annot-version=v1.1 MASAARSRDSTAARTRDSPPRGSWLRRREPGIRLRGSWLRRREPGIRLRGSWRRRRELGIRLRGGHGGGGTNLGFASEGHGGSGANSGFASEGYGRGIPGG* >Brasy2G018200.1.p pacid=40071631 transcript=Brasy2G018200.1 locus=Brasy2G018200 ID=Brasy2G018200.1.v1.1 annot-version=v1.1 MAGAPYLSLPARLLCSLLPQARPLLSPPPRPSSPCALPPLCSPMADALPAASPRRLRRSTTGTSRGLPPPSLLLLAASPATTLPPASVNLASGRRPLLLHLLLAQPEPGQARHLRPPSGPSTPPPPDLNRVPLHLAPESSPIRLFLPPAQATSPAAAVPSLPTLPQQTNAAADAPLLRPAAISFTLALSSSAGCSPPNPNLRRTLLRGEIC* >Brasy2G355600.1.p pacid=40071632 transcript=Brasy2G355600.1 locus=Brasy2G355600 ID=Brasy2G355600.1.v1.1 annot-version=v1.1 MEVEALEKKGHRAFAKAIKSFNSSERHKRSKSDLENIYGIEALYAADKTCVHPKQDGVKAKVKSDFSKEVQPGRGAQSSLRKEILQLEKHLKDQQGVRGALEKALGPNAVPVNLSHENPMPKAANELIREIATLELEVKNMEQYLLTLYRQAFEQQAPAFSPPDRRAAPKLSVSSRSGQLRERPDAKASCKSRGDSMLRSSYPPPPSCKKWNDPLTDCSTSACSGRPNDSDVLRCQSALSYRGICSSRISPSEESLTRALRSCHSQPFSFLEEGDATAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPAICRDSRRLKEVEDLLQTYKLILYRLEAVDLKRMTNEEKIAFWVNIHNALMMHAYLRYGVPQNNLKKSSLLVKAACKIAGRNINVAVIQNLVLGCNTHCPGQWLRTLLYPRIKSKVSKVGHEWQAFAVAQTEPLLRFVLCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGVWREQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMHRCQQQGGRSSKIIEWVPYNLNFRYLLARDLAFPHLN* >Brasy2G355600.2.p pacid=40071633 transcript=Brasy2G355600.2 locus=Brasy2G355600 ID=Brasy2G355600.2.v1.1 annot-version=v1.1 MEVEALEKKGHRAFAKAIKSFNSSERHKRSKSDLENIYGIEALYAADKTCVHPKQDGVKAKVKSDFSKEVQPGRGAQSSLRKEILQLEKHLKDQQGVRGALEKALGPNAVPVNLSHENPMPKAANELIREIATLELEVKNMEQYLLTLYRQAFEQQAPAFSPPDRRAAPKLSVSSRSGQLRERPDAKASCKSRGDSMLRSSYPPPPSCKKWNDPLTDCSTSACSGRPNDSDVLRCQSALSYRGICSSRISPSEESLTRALRSCHSQPFSFLEEGDATAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPAICRDSRRLKEVEDLLQTYKLILYRLEAVDLKRMTNEEKIAFWVNIHNALMMHAYLRYGVPQNNLKKSSLLVKAACKIAGRNINVAVIQNLVLGCNTHCPGQWLRTLLYPRIKSKVSKVGHEWQAFAVAQTEPLLRFVLCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGVWREQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMHRCQQQGGRSSKIIEWVPYNLNFRYLLARDLAFPHLN* >Brasy2G084300.1.p pacid=40071634 transcript=Brasy2G084300.1 locus=Brasy2G084300 ID=Brasy2G084300.1.v1.1 annot-version=v1.1 MASASPAMQQQADQAPSATPARYRPSPLVIFSACLVLLGAGGPLLLRVYFVHGGRRLWLSALLQLSGWPLLLPPLCVSLFRNRRHGIVDNLLLPPRLAGAAAVLGSFYALSCFVYAMGSQALPLSTSSLLLATQLAFTAVFALLFVGLRLTPFSANAVFLLTIGPAVLGVGPGSGKPAGEPAKAYWTGFCEAIAAAALAGLVLPLVEVAMERYGRKPTGPAASSSRVAPPPYSTVMQMQAVMGAAGTMVCLLGMGIKGDFGALTSEAAEFGLGKNNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVMFLHEKFDGPKGIALVLSLWGFASYIYGEKAQKKQEVQKSELLLLQQQQVAKKTGDLELAAP* >Brasy2G208700.1.p pacid=40071635 transcript=Brasy2G208700.1 locus=Brasy2G208700 ID=Brasy2G208700.1.v1.1 annot-version=v1.1 MASSFLSLRLPTPSPPPASSPLFSPNPLLRSARWCGAPSSGLVAHVAGSAAGAPSPLFNPRGDPFLSTLAAASPEELAAAAGGEHRGDDHLPFLEIFQNTKLMSSPTQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAQLMYLDWTNSSEPAYIYINSTGTARDDGEPVGMETEGFAIYDAMMRMKTEVHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKALIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRGPGRM* >Brasy2G046900.1.p pacid=40071636 transcript=Brasy2G046900.1 locus=Brasy2G046900 ID=Brasy2G046900.1.v1.1 annot-version=v1.1 MEAVLRHPSLSRLKPPNPNSHRPTSLSATAPPRPRFPKRRLVAAAAVFQDQSNPRTAAASSNKGGGDGEEDEGYGEVDRIVSSHTVKNPVFADDGGTAVTTATEYLVEWKDGHEGSWIPAEAIAADVVAEYETPWWDAAKKADADALRALLSDEAPLRRDPDAEDAQGRTAAHFAAGMGSEECLRVLAAAGADMGRAERAGGGLTPLHIAAGYGRASGVRALLELGADPEVPDEKGRTPLDLAREVLAKTPRGGSPAAAERRLALEAAAKEVEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAPWVAEDLVRDFEAGLEYGVAEAVVDRRPAAGAGEEDGDGDGGKWEYLVKWVDIEEATWEPAENVDDELVQEFERRLSGAGTGDGSSPPAETTVAG* >Brasy2G490200.1.p pacid=40071637 transcript=Brasy2G490200.1 locus=Brasy2G490200 ID=Brasy2G490200.1.v1.1 annot-version=v1.1 MMRSSLSLACSFGFLYLELPLFNTPVATHFFLHALVFPSSNTPSSYLLVPVFHHLCLSNLSHPNHKPSHLCLSRKNRPHIFVPWLINC* >Brasy2G302900.1.p pacid=40071638 transcript=Brasy2G302900.1 locus=Brasy2G302900 ID=Brasy2G302900.1.v1.1 annot-version=v1.1 MPMRTSTDAAKKKVVVITAYEELPRRRPSQSSMGAAAGAKSTQAAVGGYSRRAMLLAYTQHLRRRGGQRSSSSPGSSGPRMLGWGEWKQKADLGAGNVDGGEKVRQVAGTRRSWCSRLRSWVRLWIRTFFRRVRRIGESASCKRADLTA* >Brasy2G022000.1.p pacid=40071639 transcript=Brasy2G022000.1 locus=Brasy2G022000 ID=Brasy2G022000.1.v1.1 annot-version=v1.1 MDVPGGGHQMDGYFSGRTKIRSRSIRIAAAGVINRSERLKNFGRVFQEDLKNISLKIYDPQDAFLMRMNRLFLFACIVSVAVDPLFFYLPVVNENQNNTCIGFDRALATFATAVRTAVDAFYLARIALQFRTAFIAPSSRVFGRGELVVDSAAIARRYVGRFFVFDLLSVLPLPQLQIIKFLLRHKGQDLLPIKTALFFIVLVQYVPRLVRIYPITSELKRTTGVFAETAFAGAAFYLLLYMLASHMVGAFWYLLAIERLDDCWREKCTGLKFHQCKTYMYCGGGILGQPGFVEWRTMIRQVLAQECAPIDDGGTGFNYGLYTTAITSGVTYTPNLLARILFCLWWGLQNLSTVGQGLDQTTHYKGEALFAILLALFGLILMALLIGNMQTYLQSMTLRMEEMRLKRRDSEQWMHHRLLPDDLRDRVWRHNQYKWLETRGVDEDGLVSCLPKDIRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYVVREGDPVDEMLFIIRGRLESSTTDGGRTGFFNRGLLKEGDFCGEELLTWALDPKASANLPLSTRTVKAISEVEGFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRCQKRRAAEQRRREEDEMYMAAEAAPSSSSQMKTTFLVSRFAKKAMRGVQRQRSLKADSLVILPKPPEPDFGKMDY* >Brasy2G349200.1.p pacid=40071640 transcript=Brasy2G349200.1 locus=Brasy2G349200 ID=Brasy2G349200.1.v1.1 annot-version=v1.1 MATAAAWDGPTAAELKAAGAEAIPGGVRLKGWVIQTNKGPILNSASVNLFEDKLQITHLPEMVFGESFLSLQHAQTGIRLHFNALDALKAWKQEALPPVQVPAAAKWKFRSSPSDQVILDYDYTFTTPYCGSDAVVLNQDTTQTSLDECSSLCWEDTDDQIDLVALSAKEPILLYDEVILFEDELADSGISFLTVRVRVMPTGWFLLQRFWLRVDDVLMRLRDTRLYCSFGSNEEVKPVVLREICWREATFAAMAAEGYPSNSAAYADPNLVARKLPVVTQKTQKLKIPS* >Brasy2G334600.1.p pacid=40071641 transcript=Brasy2G334600.1 locus=Brasy2G334600 ID=Brasy2G334600.1.v1.1 annot-version=v1.1 MAGKGGKGLLAAKTTAAKTAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE* >Brasy2G253900.1.p pacid=40071642 transcript=Brasy2G253900.1 locus=Brasy2G253900 ID=Brasy2G253900.1.v1.1 annot-version=v1.1 MPPRGRKHWCKHCKKSFPSGNSLGGHMALHRNMHKKRVSGSPGIDSEGYDLRESRWRTRVLSDSTFSDDEPWTLFPKTECQLCFKSFASCDALSMHLKIHSRREKMVVEHKASTGDGVHNVTVSAALMKKKRTKRMVMDTAQSAPTPEMMTYGIEEVGAAHILVMLSEDHGRYAASVDHDKDCEMDGNLGDDELMEPQTSSSYEEVKFVSLSEVIKATTSYECKLCGKVFLSGRALGGHKKFHSVADRKRASNVPESAVTQPCKQLLELDHESLVLSLPATNICNYSSRTPKSEPDPSWVASSLRSEGMLGVL* >Brasy2G145900.1.p pacid=40071643 transcript=Brasy2G145900.1 locus=Brasy2G145900 ID=Brasy2G145900.1.v1.1 annot-version=v1.1 MSEAFDIIEPYCKRLIEQAPQLDKPQECGEELREAAAGIMFAAGWCGDLQELLFARTILADKFGSNFAVVAKEGVGVVDPILAWKLSGNTGSMELKKKVTEEIAAENNMSVEFSELIEETEEGNSSTPNYQELDDQMTCQELDDQMTCQDIMDESSESDDDHPHSHITNTSGLGSDENMHVISNSDGSDDEVIGRRNRRWWHLGCA* >Brasy2G145900.2.p pacid=40071644 transcript=Brasy2G145900.2 locus=Brasy2G145900 ID=Brasy2G145900.2.v1.1 annot-version=v1.1 MSEAFDIIEPYCKRLIEQAPQECGEELREAAAGIMFAAGWCGDLQELLFARTILADKFGSNFAVVAKEGVGVVDPILAWKLSGNTGSMELKKKVTEEIAAENNMSVEFSELIEETEEGNSSTPNYQELDDQMTCQELDDQMTCQDIMDESSESDDDHPHSHITNTSGLGSDENMHVISNSDGSDDEVIGRRNRRWWHLGCA* >Brasy2G073700.1.p pacid=40071645 transcript=Brasy2G073700.1 locus=Brasy2G073700 ID=Brasy2G073700.1.v1.1 annot-version=v1.1 MEGTFLASSFRPSDFAVHDSSSRYPTWVLLDTKAYFAVCENATTAEVVTSTDHTVKVTFCLADPPAISHFCIEPLLLFSAKDLVLLRFSFTVGPRSTSKNAHFVEYLWNSSSPISMTMLRSFYNLHRYNSQTDKWTTTPLRLEIPLGAGKDDLPSPLLDKMIAIGGGFVGWVDLWRGIVRCYVFDNSVLSFIPIPTIIFPIPMLEPKKKRIGDARPVRDVTCCDGFIKFVELQNECDKLRTCYRHTCWDHWRKGHGIDIDDKSVDKDDHVVIPPRLWDAKARRSTLRNLYSTYPTLGIDDDNIVYLMSKVGFDDKNGWMINWFSSEQLGYFKPALLSCAFFKYLNLTRR* >Brasy2G021500.1.p pacid=40071646 transcript=Brasy2G021500.1 locus=Brasy2G021500 ID=Brasy2G021500.1.v1.1 annot-version=v1.1 MCKPRQGKRRQESLSPSSSSPARLRSRGMARKGVSYVSSEQLVSMARDPRVAIVDVRDEERTCDAHIAGSHHFASDGFAERLPELAEATRGKETLVFHCALSQVRGPSCARMFLDYLSEAKEDSGVKSITVLERGFNGWELSGRPVCRCKDAPCKGVCS* >Brasy2G288200.1.p pacid=40071647 transcript=Brasy2G288200.1 locus=Brasy2G288200 ID=Brasy2G288200.1.v1.1 annot-version=v1.1 MSVPPSGHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAAITIQRVWRRYHAIRKVSEQLHEEWDALINNQLDVNPTNQWISSKMLRPFIFFITQPSSWYKGQQTKTVKSISTCFKIILNSINSMDASKNFCSFAMGLPEERSIWLYQAKKLISLCSCILAMRDHSYCKDVNMVEITSIAMRLAISLTDCKTWKNLKSGNVRAADASVETLIEFIGASHSGTYSCVRRYIKSFGPHVNSGKIDPATAPDDQLLITASAVTVALRPFQSTRADMGVDLAGVAKEYFTLVLTIPYLSKRLPPLLLPALKHISVLQPSLSIVLTSKDKIFEEIAKLEQSEVSSVGASIIPYCGWALGNLVNLATEQDDVSNSGCFIQGLDCYLYIDAINCISQNLLKSFEESKGMLQCVGDSDAATSITEETGMDDNSCMRTLFMDLLKPIYQQWHLRKLLALAKEDVSRKSETNHDPTLKQIHSRSLKLTDVICFYYYMLRIFSLLSPSIASLPILNMLSFTPGFLVDLWGELEISIFGQAIHKSQESEHDKQYATSSSGEKISSTRQRRNAKDTANKWVNVLQKITRKSSDADDTNLSDIPLNSENSNDDALIMWDIGAMRQGSEGIGKDVIRMLHLFCAIYTHLLLVLDDIEFYEKQVPFTIEQQRKIASALNTFVYNSFIQNSGSSNRPLIDVTVRCLNLLYERDSRHRFCPISLWLAPARTGRIPIAAAARAHEAAFATLSGTTSGIATRSSVLTTVPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDVSKAAFSPEYGLFSQTSTSDSSLIPSNSAKLLDNGIDMIEFLGRIVGKALYEGILLEYCFSQVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGKRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQIIPFSNAFYRGLSDLISPSWLSLFNANEFNQLLSGGSQDFDVDDLRNNSKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS* >Brasy2G288200.2.p pacid=40071648 transcript=Brasy2G288200.2 locus=Brasy2G288200 ID=Brasy2G288200.2.v1.1 annot-version=v1.1 MSVPPSGHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAAITIQRVWRRYHAIRKVSEQLHEEWDALINNQLDVNPTNQWISSKMLRPFIFFITQPSSWYKGQQTKTVKSISTCFKIILNSINSMDASKNFCSFAMGLPEERSIWLYQAKKLISLCSCILAMRDHSYCKDVNMVEITSIAMRLAISLTDCKTWKNLKSGNVRAADASVETLIEFIGASHSGTYSCVRRYIKSFGPHVNSGKIDPATAPDDQLLITASAVTVALRPFQSTRADMGVDLAGVAKEYFTLVLTIPYLSKRLPPLLLPALKHISVLQPSLSIVLTSKDKIFEEIAKLEQSEVSSVGASIIPYCGWALGNLVNLATEQDDVSNSGCFIQGLDCYLYIDAINCISQNLLKSFEESKGMLQCVGDSDAATSITEETGMDDNSCMRTLFMDLLKPIYQQWHLRKLLALAKEDVSRKSETNHDPTLKQIHSRSLKLTDVICFYYYMLRIFSLLSPSIASLPILNMLSFTPGFLVDLWGELEISIFGQAIHKSQESEHDKQYATSSSGEKISSTRQRRNAKDTANKWVNVLQKITRKSSDADDTNLSDIPLNSENSNDDALIMWDIGAMRQGSEGIGKDVIRMLHLFCAIYTHLLLVLDDIEFYEKQVPFTIEQQRKIASALNTFVYNSFIQNSGSSNRPLIDVTVRCLNLLYERDSRHRFCPISLWLAPARTGRIPIAAAARAHEAAFATLSGTTSGIATRSSVLTTVPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDVSKAAFSPEYGLFSQTSTSDSSLIPSNSAKLLDNGIDMIEFLGRIVGKALYEGILLEYCFSQVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGKRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQIIPFSNAFYRGLSDLISPSWLSLFNANEFNQVDPRILTLMICGTTASTLVVILSQVELLNSSGRLSKDLSQQNVACY* >Brasy2G288200.3.p pacid=40071649 transcript=Brasy2G288200.3 locus=Brasy2G288200 ID=Brasy2G288200.3.v1.1 annot-version=v1.1 MLRPFIFFITQPSSWYKGQQTKTVKSISTCFKIILNSINSMDASKNFCSFAMGLPEERSIWLYQAKKLISLCSCILAMRDHSYCKDVNMVEITSIAMRLAISLTDCKTWKNLKSGNVRAADASVETLIEFIGASHSGTYSCVRRYIKSFGPHVNSGKIDPATAPDDQLLITASAVTVALRPFQSTRADMGVDLAGVAKEYFTLVLTIPYLSKRLPPLLLPALKHISVLQPSLSIVLTSKDKIFEEIAKLEQSEVSSVGASIIPYCGWALGNLVNLATEQDDVSNSGCFIQGLDCYLYIDAINCISQNLLKSFEESKGMLQCVGDSDAATSITEETGMDDNSCMRTLFMDLLKPIYQQWHLRKLLALAKEDVSRKSETNHDPTLKQIHSRSLKLTDVICFYYYMLRIFSLLSPSIASLPILNMLSFTPGFLVDLWGELEISIFGQAIHKSQESEHDKQYATSSSGEKISSTRQRRNAKDTANKWVNVLQKITRKSSDADDTNLSDIPLNSENSNDDALIMWDIGAMRQGSEGIGKDVIRMLHLFCAIYTHLLLVLDDIEFYEKQVPFTIEQQRKIASALNTFVYNSFIQNSGSSNRPLIDVTVRCLNLLYERDSRHRFCPISLWLAPARTGRIPIAAAARAHEAAFATLSGTTSGIATRSSVLTTVPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDVSKAAFSPEYGLFSQTSTSDSSLIPSNSAKLLDNGIDMIEFLGRIVGKALYEGILLEYCFSQVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGKRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQIIPFSNAFYRGLSDLISPSWLSLFNANEFNQLLSGGSQDFDVDDLRNNSKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS* >Brasy2G412000.1.p pacid=40071650 transcript=Brasy2G412000.1 locus=Brasy2G412000 ID=Brasy2G412000.1.v1.1 annot-version=v1.1 MSQPWQCPYCRASGPARCTTTQPPLSRAVSECTACARLVLERHLHTHPFFPLLPSIHPLPLITSDLPTPSSPSHNDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGHLAELERALAVDSPASSTPDPAGPMVSVESLRAYLQIVDVASILRLDRDIADHAFELFKECSTATCLRNRSVEALATAALVQAIRVAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCNLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPDYTPATPPEKAFPMTTIFSARSSSGKDLYQDKLLDSTKQKSSETAELDHMVLVKEEEDRKAGPLGRPLSKPEPHDLNQACWQLNVPFSTSPKLDRDKMETGIRGFNLNEASCPMDSDKADITMKPGFADRWLAEPKVLPSSPSRQPVPWQLKQAAPATGSSHSRIRGMQLDLLPARGKRSAGDGGDAFDKEGK* >Brasy2G251500.1.p pacid=40071651 transcript=Brasy2G251500.1 locus=Brasy2G251500 ID=Brasy2G251500.1.v1.1 annot-version=v1.1 MSIDEGYGPTWDSDDEYDNFIRKMNPPSIVVDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWFMDVFNITDKEGKKLKDKATLAQIEDYIRKSLGADSRYLPARRRSVDVAASANHNVIELTGTDRPGLLSEVSAVLANLKCNVVSAEIWTHNTRAAAVMQVTDQDTGLAVTDTERLERIKERLSYLLRGGNLSRGAAMAVSSGTSTTHTERRLHQMMLDDGDCEQLQRHAPNQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDANDNQAYQEFYVRHVNGSPMNTEAERLRVVQCLEAAIERRVWEGMKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRTAVNTFYVCGSAGEAVDQKTIDSIRQEIGHNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSLGMFMR* >Brasy2G251500.2.p pacid=40071652 transcript=Brasy2G251500.2 locus=Brasy2G251500 ID=Brasy2G251500.2.v1.1 annot-version=v1.1 MSIDEGYGPTWDSDDEYDNFIRKMNPPSIVVDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWFMDVFNITDKEGKKLKDKATLAQIEDYIRKSLGADSRYLPARRRSVDVAASANHNVIELTGTDRPGLLSEVSAVLANLKCNVVSAEIWTHNTRAAAVMQVTDQDTGLAVTDTERLERIKERLSYLLRGGNLSRGAAMAVSSGTSTTHTERRLHQMMLDDGDCEQLQRHAPNQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDANDNQAYQEFYVRHVNGSPMNTEAERLRVVQCLEAAIERRVWEGMKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRTAVNTFYVCGSAGEAVDQKTIDSIRQEIGHNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSLGMFMR* >Brasy2G251500.3.p pacid=40071653 transcript=Brasy2G251500.3 locus=Brasy2G251500 ID=Brasy2G251500.3.v1.1 annot-version=v1.1 MSIDEGYGPTWDSDDEYDNFIRKMNPPSIVVDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWFMDVFNITDKEGKKLKDKATLAQIEDYIRKSLGADSRYLPARRRSVDVAASANHNVIELTGTDRPGLLSEVSAVLANLKCNVVSAEIWTHNTRAAAVMQVTDQDTGLAVTDTERLERIKERLSYLLRGGNLSRGAAMAVSSGTSTTHTERRLHQMMLDDGDCEQLQRHAPNQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDANDNQAYQEFYVRHVNGSPMNTEAERLRVVQCLEAAIERRVWEGMKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRTAVNTFYVCGSAGEAVDQKTIDSIRQEIGHNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSLGMFMR* >Brasy2G248300.1.p pacid=40071654 transcript=Brasy2G248300.1 locus=Brasy2G248300 ID=Brasy2G248300.1.v1.1 annot-version=v1.1 MEMLRRNLKRQASRSLSAFAASSPRSAAAGDDQENLHPNVAAASPPMSPSKHSAAKDLSPRSKPVPNSKSPPPAVAAEEKHAVSAGTAVDVEPPVKVVVRVRPAVSLPVDGKDLFFVRKTSPNSVAVGDRDFAVDGFLDDRASQEDAFDLVGVPMIDSALAGFNTSLVCYGQSGTGKTYTMWGPLAAMFDSRSDRADRGVVPRFFQNLFSQIQGKQESSPEKQTSYQCRCSFLEVYNEQINDLLDPSQRNLQIRETTDNGIHVENLTDEYVSTVEDVNQILMKGLSKRKIGTDSMNLKNSRSHVIFTCVIEAWSKDFSSNGFSSSKTSRITFVDLAGVDMDEPDGASKHITREERHVKKSLSSLGKLVNILSEEPKTQKDDLPYKQSCLTHVLKDTLGGNSRVTFLCSISSEHRYRSETLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELVRTKSGENATCETGYFNAQNARASLHSLRVSLNRSLILPHIEVETEDEMDVDEDDVQELHDQISKLHSSSEDTLDDFMDAESGEEESPCSKVNPKTCEHDDDQPIVDDGEVLRISASPQLAPMQDPTFCSSPKIHKARKSITSPGFSPSKLSESSPGDSNVEISRKSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPGPRRSFIGLSFDHFALNPRQSAKASSALQSLPAGQGSSASTICSSCKKAMSTDEENTGNINSEKQIVTATGVTSNELSNASLQDGNIPQSIVSKREAELEALCEEQATKIKELSILIDKHGKGSEEGWQSDGVTPREELGDEDKIGEQCEDDKLSLNVNEKEALLGEIQRLKDQVKLLTDGSTNDSLLDQIRNGSTDLEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTEELDDALQRSIYGHARIIEHYVELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLREQNRRLRIQLRDTAEAVHAAGELLVRLREAEEATTQEKERSAAMLQENQKLKKQLEKMRKKHEMEMETMKHYLAESRLPESALEGLYRNESSSKEAQEYNHAPSACDDDQSWRSAFTSAYE* >Brasy2G304500.1.p pacid=40071655 transcript=Brasy2G304500.1 locus=Brasy2G304500 ID=Brasy2G304500.1.v1.1 annot-version=v1.1 MAAAAATGPARRLLLRLRLGNPPPLPVPLLSHLTPQLPHPPPAPAPPLLPGSQTSALSPDPSIRDLLFSFHPSRQIYPSLVEPRGDDVREEGGGGGSGSGTEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT* >Brasy2G466700.1.p pacid=40071656 transcript=Brasy2G466700.1 locus=Brasy2G466700 ID=Brasy2G466700.1.v1.1 annot-version=v1.1 MESKKPPPSALVDNHVVPGDVILDLADMTNQTIKLGAGLRQDYDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVDDTVLGIVVDTKPDNFLVDIKGPNFAFLPVLSFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDASGKAAEFGQLQNGYMFDTSTGLSRMLLSSPTCPLLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSSAIIRSESCTQIQQKSMVENLLAKLS* >Brasy2G417200.1.p pacid=40071657 transcript=Brasy2G417200.1 locus=Brasy2G417200 ID=Brasy2G417200.1.v1.1 annot-version=v1.1 MEKEGLVAWNLRIWGLGALTYELQRSCCFSGKIPRDIDDVEYCGVEVESLQKCCHGLQPVRRVAFQGTDTGRRFISCCMEDANRCDFICWCDPEWPVTMKHALEALWKKLDDKEQSVYCQIDSLLNHMLQEKLKAEKGKEEVENEMTEVITDNLRLLDEKIKIELDLGTKRAEFEEKMQVLKKVVEKKNKWFMISVSCNVMMFGVLASVLALKSCI* >Brasy2G269200.1.p pacid=40071658 transcript=Brasy2G269200.1 locus=Brasy2G269200 ID=Brasy2G269200.1.v1.1 annot-version=v1.1 MIRHMLWTKAHMFQDRMRRCATLSMMHTKAAIVGIDIGCKNSRVAVIDSLVPQVLESESGRLVPSYVTLTQLNSCVSCTWALQDLDHVGRRAAVGELAKCKMSVKPSDVVFSVKNLIGKKFDDCDVQKMKERVQFSIIKGPKGESWVDIHGVKFSPAEITTAIFAKLKDIVLMNQFHQKLEVVISVPVFFTEQQKEDIRLAGQRAGLYVLGLIEEPIAAALASTTRKEGFIVVFGMGAGSYSVAILRLSGTNIEMKTQLADPSIGGDQFDDILVDHFVKQIIKLHSVDIHGDKHAMATLAEVVEKAKVKLSSEPEVTVSIPYFTSSAQGQGPVHLNITISRGEFEKLVSNLIELIQDKCQTILKEARLTDNDIGEIVFTGGMTRVPKIREVIYQVFGTHQTAKVEPEEAVVIGSAIQAALAIEEKQEMSRDMIPLSIGIESEEGIFTRVIPRHTTLPTKRTIKIPAWCAQGESLHIRFFAGEHVLVEHNTPLGEVELINHRSSYQGSVDFELTFEVDKDYVVKVHARNADDQLEAVGDVRKALEPSASSVKVIDEKLMSKHVVYTAVRNALLDWPMYAAEINARLRNQARFIINTLSDVLLARKDELPKDLCEDAVKALADLQMALDGVVNVLKDKVLSAKSIELTLLEWKPPSESSNRDHTDYEDIERRQ* >Brasy2G269200.2.p pacid=40071659 transcript=Brasy2G269200.2 locus=Brasy2G269200 ID=Brasy2G269200.2.v1.1 annot-version=v1.1 MIRHMLWTKAHMFQDRMRRCATLSMMHTKAAIVGIDIGCKNSRVAVIDSLVPQVLESESGRLVPSYVTLTQLNSCVSCTWALQDLDHVGRRAAVGELAKCKMSVKPSDVVFSVKNLIGKKFDDCDVQKMKERVQFSIIKGPKGESWVDIHGVKFSPAEITTAIFAKLKDIVLMNQFHQKLEVVISVPVFFTEQQKEDIRLAGQRAGLYVLGLIEEPIAAALASTTRKEGFIVVFGMGAGSYSVAILRLSGTNIEMKTQLADPSIGGDQFDDILVDHFVKQIIKLHSVDIHGDKHAMATLAEVVEKAKVKLSSEPEVTVSIPYFTSSAQGQGPVHLNITISRGEFEKLVSNLIELIQDKCQTILKEARLTDNDIGEIVFTGGMTRVPKIREVIYQVFGTHQTAKVEPEEAVVIGSAIQAALAIEEKQEMSRDMIPLSIGIESEEGIFTRVIPRHTTLPTKRTIKIPAWCAQGESLHIRFFAGEHVLVEHNTPLGEVELINHRSSYQGSVDFELTFEVDKDYVVKVHARNADDQLEAVGDVRKALEPSASSVKVIDEKLMSKHVVYTAVRNALLDWPMYAAEINARLRNQARFIINTLSDVLLARKDELPKDLCEDAVKALADLQMALDGVVNVLKDKVLSAKSIELTLLEWKPPSESSNRDHTDYEDIERRQ* >Brasy2G269200.3.p pacid=40071660 transcript=Brasy2G269200.3 locus=Brasy2G269200 ID=Brasy2G269200.3.v1.1 annot-version=v1.1 MCNSQVPQVLESESGRLVPSYVTLTQLNSCVSCTWALQDLDHVGRRAAVGELAKCKMSVKPSDVVFSVKNLIGKKFDDCDVQKMKERVQFSIIKGPKGESWVDIHGVKFSPAEITTAIFAKLKDIVLMNQFHQKLEVVISVPVFFTEQQKEDIRLAGQRAGLYVLGLIEEPIAAALASTTRKEGFIVVFGMGAGSYSVAILRLSGTNIEMKTQLADPSIGGDQFDDILVDHFVKQIIKLHSVDIHGDKHAMATLAEVVEKAKVKLSSEPEVTVSIPYFTSSAQGQGPVHLNITISRGEFEKLVSNLIELIQDKCQTILKEARLTDNDIGEIVFTGGMTRVPKIREVIYQVFGTHQTAKVEPEEAVVIGSAIQAALAIEEKQEMSRDMIPLSIGIESEEGIFTRVIPRHTTLPTKRTIKIPAWCAQGESLHIRFFAGEHVLVEHNTPLGEVELINHRSSYQGSVDFELTFEVDKDYVVKVHARNADDQLEAVGDVRKALEPSASSVKVIDEKLMSKHVVYTAVRNALLDWPMYAAEINARLRNQARFIINTLSDVLLARKDELPKDLCEDAVKALADLQMALDGVVNVLKDKVLSAKSIELTLLEWKPPSESSNRDHTDYEDIERRQ* >Brasy2G269200.4.p pacid=40071661 transcript=Brasy2G269200.4 locus=Brasy2G269200 ID=Brasy2G269200.4.v1.1 annot-version=v1.1 MCNSQVPQVLESESGRLVPSYVTLTQLNSCVSCTWALQDLDHVGRRAAVGELAKCKMSVKPSDVVFSVKNLIGKKFDDCDVQKMKERVQFSIIKGPKGESWVDIHGVKFSPAEITTAIFAKLKDIVLMNQFHQKLEVVISVPVFFTEQQKEDIRLAGQRAGLYVLGLIEEPIAAALASTTRKEGFIVVFGMGAGSYSVAILRLSGTNIEMKTQLADPSIGGDQFDDILVDHFVKQIIKLHSVDIHGDKHAMATLAEVVEKAKVKLSSEPEVTVSIPYFTSSAQGQGPVHLNITISRGEFEKLVSNLIELIQDKCQTILKEARLTDNDIGEIVFTGGMTRVPKIREVIYQVFGTHQTAKVEPEEAVVIGSAIQAALAIEEKQEMSRDMIPLSIGIESEEGIFTRVIPRHTTLPTKRTIKIPAWCAQGESLHIRFFAGEHVLVEHNTPLGEVELINHRSSYQGSVDFELTFEVDKDYVVKVHARNADDQLEAVGDVRKALEPSASSVKVIDEKLMSKHVVYTAVRNALLDWPMYAAEINARLRNQARFIINTLSDVLLARKDELPKDLCEDAVKALADLQMALDGVVNVLKDKVLSAKSIELTLLEWKPPSESSNRDHTDYEDIERRQ* >Brasy2G111900.1.p pacid=40071662 transcript=Brasy2G111900.1 locus=Brasy2G111900 ID=Brasy2G111900.1.v1.1 annot-version=v1.1 MPQYQELPCGGQVLDIDTALKDGILGCGPEPGDGALGDGGKQPVELRKMMDELDAAVDGGGDEVIPAVFICPISLEPMVDPVTLCTGQTYERSNISRWLALGHRTCPTTMQELWDDALTPNATLRQLIAAWFSRRYTRFKKRSADFNGRAADLVHGLRGTAVLRRHPLKGQARVAALRELRSLASTHQSVTKAIAEAGGVSLLTSLLGPFTSHSVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMDERGFRPETVASLSLLVGAMRLVRDKRHQDGVAAGLELLNSICAVHRPARSMIVSIGAVQQLVELLPELATECVEPALDILDALASVPEGRLALKDCPRTIPNAVRLLMRVSEACTRRALSMLWVVCRMVPEESAPAALEVGLAAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ* >Brasy2G404100.1.p pacid=40071663 transcript=Brasy2G404100.1 locus=Brasy2G404100 ID=Brasy2G404100.1.v1.1 annot-version=v1.1 VHDKSLECQTEGPWSSSPSASSNKSPLQMVTPVQPTKSVDSPLQMVASVQLSKSLDSKSTSCGYGSVGSDSGVSPFDICKGASKGSFQLSPSLCKTNREIRLARELSNSVVPLQHLRPGMVLLKKFLKFDDQVAIIKLCRDLGVGKGGFYTPGYRHGAELGLWMMCLGKNWDPYSSSYGDVRPFDGAQPPSIPEKLKKLVEGAMETSHGFLKGRGGTSNPWVELPPMSPDICIVNFYTSSGRLGFHQDKDESQESLTRELPVVSFSLGDTAEFLYGDSRDDGMASKVDLETGDVLIFGGQSRRMFHGISKIKSNTAPKWLTNIGKADLRPGRLNLTFRKY* >Brasy2G478000.1.p pacid=40071664 transcript=Brasy2G478000.1 locus=Brasy2G478000 ID=Brasy2G478000.1.v1.1 annot-version=v1.1 MRAEAETKRSGQGKITIPKISTPTTEAAAAKRVKGRAARKAAKTNRAKSEGAFMHAKHAAVRAKSEAFEAAKIAKAMAEEAAKIARAKAEAAEEAAKTARAKAEEAVKAREIADEAARLKDKETEWAQSEAELFASRFRRYWNELFARYGITFHQTTSIPAMCYTFPTPENRYYTTTMETLQIVSVKVASIKDSLHWPLQVFGIVAARDTLDRRRNIIFQRPRNNCQTITQDDPYLALTGPSRAVAVCVDPLHIEVSLKVKGTTKSDDKDLSELVLFFRSGCRLSGVYPSRLSTLEFKFGPIQHSVEATICIKVTGGSWPDGFRGVFSAVTSYSDDWEVKLLDSGGDGLPVDADGKIKLSRSVVSVGLGEMLKVSVMACRIHEEQVCEISETALKLRGLASAPKVLS* >Brasy2G309800.1.p pacid=40071665 transcript=Brasy2G309800.1 locus=Brasy2G309800 ID=Brasy2G309800.1.v1.1 annot-version=v1.1 MSTAEATREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRTRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKAGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDSLGEESYKDSTLIMQLLRDNLTLWTSDNADEGGDEIKEASKPEGEGH* >Brasy2G190600.1.p pacid=40071666 transcript=Brasy2G190600.1 locus=Brasy2G190600 ID=Brasy2G190600.1.v1.1 annot-version=v1.1 MSPPTNGAMMLASFPQPMPMGGMSSDALFFPSDGFSFSADDFFAPPPLAADPTRLPLAPSFSNDHAYGSGSSSTPDATTIAAPTSSGVTTAILAPAGGSSSAPAMPLVPSIMAQRTSCYRGVTRHRWTGRYEAHLWDNTCRREGQKRKGRQGGYEKEDKAARAYDLAALKYWGANATTNFPKESYIREITEMQNMSRHDLVASLRRKSSGFSRGASMYRGVTRHHHHGRWQARIGRVAGNKDLYLGTFATQEEAAEAYDIAALKFRGENAVTNFEPSRYNLEAIARMDLPINGPRTQLESHKPAAPEAQGQIAPAPAPPFSQQPSNNNSQSYLLHSLLPLPPCEPLQALPVPAGYSFGEPSWPFGDVEQKVQLDSKMEVAGGLLQLANSAAN* >Brasy2G291200.1.p pacid=40071667 transcript=Brasy2G291200.1 locus=Brasy2G291200 ID=Brasy2G291200.1.v1.1 annot-version=v1.1 MNVEEEVGKLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKFVTYDGELLLQGVHDNVEITLLPPPAVAAA* >Brasy2G091400.1.p pacid=40071668 transcript=Brasy2G091400.1 locus=Brasy2G091400 ID=Brasy2G091400.1.v1.1 annot-version=v1.1 MAATIDLREAFEIAKVESHVAHLEISKTKDAQETFQDRVHSESSKHEDKYENLSVSSGASGRVIEAFTMLQESPEAQDVVASLASDKNVWEAVMKNEKVLQFYKTCGTDLSESSSVTEDSDAESSQSSSVPSPGSGEAFKDYVEKMKAFVSEMVTNLSSIMQDLVATSDEGQSKGRLKTLIMNTKKDFANGPSTFVLLAIASIFVVLLKRA* >Brasy2G311900.1.p pacid=40071669 transcript=Brasy2G311900.1 locus=Brasy2G311900 ID=Brasy2G311900.1.v1.1 annot-version=v1.1 MQDEPRGGKLVILSTVTIGLRGGGHARHWRLGLILSFLLSPPALPLAPYSLCRAPALPQAPSARLSSPCLRSPTLATTHGGRNLAPSPLALASPRRLLPSPRLHKRSPVAAVAERSVFLRIRQQKCRIRWRGSSIQWRGLPARTAVPGAEHGGSLLYRRRRRLAYHRRNASASALLRPHEIPATAAQDSGRLL* >Brasy2G216000.1.p pacid=40071670 transcript=Brasy2G216000.1 locus=Brasy2G216000 ID=Brasy2G216000.1.v1.1 annot-version=v1.1 MATASEHVLVSNPDKRVLLAEIPSMASSDSEPTATLHLLVELCSDHGAGLEDAGTMEDVTCRVPLRDLVCQGAADRAFGELVARLDNPMLRPEVTAATKAAAALVRARCGEDSAAADDGLSGMEFRLRVTFIDAREESVSDEDDDESGSDMEFGEFDLSGARTLHSQTLAGDDYEDDDDDEDGCGAQFSARPYNGGFARAAGGFGGPRDRTTMLLSGFETRSDGPELTDQHELTSWDVQRVVRLALDGDGSVEDDEAYQRALASGTPVSRVSRAAMLDQALQSAGRQQQSKSPGAVFPMRTGDDHRVYSI* >Brasy2G199900.1.p pacid=40071671 transcript=Brasy2G199900.1 locus=Brasy2G199900 ID=Brasy2G199900.1.v1.1 annot-version=v1.1 MRRPPAAAAAGASSSSRRRAVQGFVALAFLAYALFVLSLGSRGAAHASLPGGKGSASASSRPLHLDGEGAGERGAPARPSKRAHRETLEAAPGIVSGLDLRRLNSSRSGSLRKVAAEAAAAGARVFSELQALAGTLSASSDEEERSKCPHSIVLSGDEFRARGWAVELPCGLTLGSYITVAATPNAAHPERDPKITLLKEGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLHGDWSGKPVIEQNTCYRMQWGTPLRCEGWMSRADEETVDGMAKCEKWIQDEGSKESMTSWWLNRLIGRTKKVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRIGFVLEDATGLSLNGNLDVQSVFAGTLPTTHPSFAPQKHLEMLPVWQAPPLPDGPVEIFVGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNMELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRISARYIMKCDDDTFVRLESVMAEVKRIPSSKSLYIGNMNYRHYPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFTNHKLRLFKMEDVSMGMWVERFNKTRPVEYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGRPRCCNMR* >Brasy2G199900.2.p pacid=40071672 transcript=Brasy2G199900.2 locus=Brasy2G199900 ID=Brasy2G199900.2.v1.1 annot-version=v1.1 MRRPPAAAAAGASSSSRRRAVQGFVALAFLAYALFVLSLGSRGAAHASLPGGKGSASASSRPLHLDGEGAGERGAPARPSKRAHRETLEAAPGIVSGLDLRRLNSSRSGSLRKVAAEAAAAGARVFSELQALAGTLSASSDEEERSKCPHSIVLSGDEFRARGWAVELPCGLTLGSYITVAATPNAAHPERDPKITLLKEGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLHGDWSGKPVIEQNTCYRMQWGTPLRCEGWMSRADEETVDGMAKCEKWIQDEGSKESMTSWWLNRLIGRTKKVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRIGFVLEDATGLSLNGNLDVQSVFAGTLPTTHPSFAPQKHLEMLPVWQAPPLPDGPVEIFVGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNMELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRISARYIMKCDDDTFVRLESVMAEVKRIPSSKSLYIGNMNYRHYPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFTNHKLRLFKMEDVSMGMWVERFNKTRPVEYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGRPR* >Brasy2G199900.3.p pacid=40071673 transcript=Brasy2G199900.3 locus=Brasy2G199900 ID=Brasy2G199900.3.v1.1 annot-version=v1.1 MRRPPAAAAAGASSSSRRRAVQGFVALAFLAYALFVLSLGSRGAAHASLPGGKGSASASSRPLHLDGEGAGERGAPARPSKRAHRETLEAAPGIVSGLDLRRLNSSRSGSLRKVAAEAAAAGARVFSELQALAGTLSASSDEEERSKCPHSIVLSGDEFRARGWAVELPCGLTLGSYITVAATPNAAHPERDPKITLLKEGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLHGDWSGKPVIEQNTCYRMQWGTPLRCEGWMSRADEETVDGMAKCEKWIQDEGSKESMTSWWLNRLIGRTKKVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRIGFVLEDATGLSLNGNLDVQSVFAGTLPTTHPSFAPQKHLEMLPVWQAPPLPDGPVEIFVGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNMELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRISARYIMKCDDDTFVRLESVMAEVKRIPSSKSLYIGNMNYRHYPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFTNHKLRLFKMEDVSMGMWVERFNKTRPVELGDQGVAI* >Brasy2G247800.1.p pacid=40071674 transcript=Brasy2G247800.1 locus=Brasy2G247800 ID=Brasy2G247800.1.v1.1 annot-version=v1.1 MEVGEMDDVQAVGEQVISSRGGSVLGKKTILKSDHFPGCQNKRLTPHIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTRVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVMSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVCRISQVDIETEIVFNCQMGRGRTTTGMVISTLVYLNRIGASGIPRTSSIGKVFYAGNDVDDFSPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRSSTLRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQATSSEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLPKIIESADGRPHEMDVVAAMRNGEVLGRQTVLKSDHCPGCQNLNLPERVEGAPNFREIPGFSVYGVANPTVDGIRAVIQRVSTSKGRRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIGRDRVERMEARLKEDILREAERYDGAIMVIHETDNGEIFDAWENVSTDAVLTPLEVYKRLDSEGLPIKYARVPITDGKAPKSSDFDTIALNVAAACKDAAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRSIRIPTIQNNHEDTNDADYSSGEETIDHNGHLNSESWELQTLTDVHPRFDINDILLLRKITRLFDNGVECRQILDTVIDKCSALQNIRQAVLQYTKVINQQNMEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKNWLQQRPEIQTMKWSIRLRPGRFFTVPDEPKATCQPPPGDVTMEAIVKARNGSVLGKGSILKMYFFPGQRRSSSMNFRGAPHVIKVDGYPVYSMATPTVDGARDVLSYLGSKDTTGRSIAQKVVVTDIREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQLDGRLLLHQEEINTTTNQCSVLGYWEHIDPEDVMTPAEVYSTLRAQGYCIDYKRIPLTREREALAADVDSIQSSIDESARYYLFISHTGYGGVAYAMAITCLGLGADAKFVMEQTAETHFVSTSLTKSVSVKTSTDIALRQGDYRDILNLTRALIHGPKSKEEVDRVIDRCIGAGHLREDILQYRKALRDCSHDDDDDETWSYLMDMGTKALRRYFFLITFRSYLYCTSLCEATFASWMEGRPELGHLCDNLKLDR* >Brasy2G344300.1.p pacid=40071675 transcript=Brasy2G344300.1 locus=Brasy2G344300 ID=Brasy2G344300.1.v1.1 annot-version=v1.1 MSWRRFRRGAATSSSWMPTIGRCSMPKTVPPPTPVVVIDGDDDVDVNDGDAASEVFIIDDASEIARAVAACNTKKGNSSCSNVINIDDDDEEGGSGGDRAGPSTVDPGSPAATVPPGRAFPRSRYGLDYVTDSEQSDLSGGWDSDSDGDGSSDCEILDDTGTARKMWESAASRRTTPHDLPKGKDGRASTSASSAESSTQHGENLGNLFDSECPLDDNILRFFNDDVVNKAGPSSTRGGKYGTCRSVPDVHKCSMHNDPNGKETDNRDGSCSSDADTACNSEAANAHKAPVPEKSPEISQPPHIDETRNPEGHTSYSFVSANRVFPACSTADWKVDRPPMDEKIPEGTLPEKDRPNAQNENFKQKKEMCFAQDDPVSTSRSVCSSQSGEYCNKNAPTNVIPGTCSLPQDFSNDPEKLGQSVIAMVQVGPDFQDGLIGAREKHKESVEYKRAAEEEWASRQHQLAIQAEQAKEAKRLRKRKKAEALRLLDMEKRQKQRLEEVRESQRKSEEALQLKEQSRGAVRLELENMERRYNDISSILRALGIPVEGGEVKAAYKQACLKFHPDRVSRNDIYQQVRAEETFKFISRLKEKLKL* >Brasy2G237100.1.p pacid=40071676 transcript=Brasy2G237100.1 locus=Brasy2G237100 ID=Brasy2G237100.1.v1.1 annot-version=v1.1 MSLPKERKSIVGQSYAGLDDLKEKLLSNFHEFEAIHRQLIERPHDPATIQAYEAYKKRSEERNTFKIRVSPPQLQSFGSTSLPKEAKSIEGQSYPEEANILDKEHGECSETTVLDFSRCKGAYVLPYEFRAKEIDDQQEQENIAEQCSVDIDHQNKETRDPEKEEDKALENYSKVGQAIVHVMGPSCSPNVFKVVYLIAVSLQKSSMAICTSLIGGPAQQVCISYHLQAEPPLRESSSSREVISHISTTITKKVTASNKNELTVVSFHKDTNKYAHDSRILAILVD* >Brasy2G433700.1.p pacid=40071677 transcript=Brasy2G433700.1 locus=Brasy2G433700 ID=Brasy2G433700.1.v1.1 annot-version=v1.1 MCHEAALLLSLPAPPAPAEQLPTSAVMTVDALISQVVRPCQSSLLGTQLATPPPAIATRRSGRLGEKNKSCKIPTTKRAEAKLLESFGEAASLAKDPESVATSKMAAYLRMYSEPLTVKVIDAMRVLAGVKDKAKIDLSAMGFTAADLDALGKEVSVI* >Brasy2G329100.1.p pacid=40071678 transcript=Brasy2G329100.1 locus=Brasy2G329100 ID=Brasy2G329100.1.v1.1 annot-version=v1.1 MPPGLKAPNHFVAAVPWQPAPTPTRPRDTRRELRSKNFQGREQPTGAAKPGADVWTLRLAPDSVATRAKTSRHSIVSPYRH* >Brasy2G442300.1.p pacid=40071679 transcript=Brasy2G442300.1 locus=Brasy2G442300 ID=Brasy2G442300.1.v1.1 annot-version=v1.1 MGRPASVLGGMGRKRRWRARWGGRRRCWAGWGGSGGGGRDGEAGVGAGRDVEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAGWGGSGGGGLDGEAGGGAGRYGEPHLGRGGGDPAVVGACCPRRPRLGRGAALAAVRGRPAAVVGGRGGRGRLQPAPARTEERRGGRGRAGRTERRGGEVGGENEIAER* >Brasy2G059200.1.p pacid=40071680 transcript=Brasy2G059200.1 locus=Brasy2G059200 ID=Brasy2G059200.1.v1.1 annot-version=v1.1 MRRCRWHACPAAALAPGISGFVALLWRTSPRRRRPSASSTLHATATCILLKLHLQNLLLC* >Brasy2G448100.1.p pacid=40071681 transcript=Brasy2G448100.1 locus=Brasy2G448100 ID=Brasy2G448100.1.v1.1 annot-version=v1.1 MTLERRPSLKGLGLEELSELDRKRFAAKKQQGVTGFVLEAMPGLYYITVKLGNPSRQYYLAFDTGSDVMWVPCSSCTDCPKPHDIGFSLDLYDPKNSSTSSEISCSDDRCADALKTGHAICHTSHSSGDQCGYNQIYADGVLATTGYYVSDDIHFDIFMGNESFASSSASVIFGCSNSRSGQLQADGVIGFGKDAPSLISQLNSQGVSHAFSHCLDDSDDGGGVLILDEVVEPGLEFTSLVASRPRYNLNMKSIAVNNQNIPIDSSLFTTSNTQGTFLDSGTSLAYFPDGVYDPVIRAIHDAVPHSIRTFIHMGSRCFIFANRSFSSFPTVTLYFEDGAAMKVGPANYLLVLIYMCIAFQRSGGDYKQTTILGDLILHDKIFVYNLKKMQIGWVDYNCSLLNTTTAFAVSGSSSYCNSLIVRIWVAVVSTSIIARRHFDGYY* >Brasy2G448100.2.p pacid=40071682 transcript=Brasy2G448100.2 locus=Brasy2G448100 ID=Brasy2G448100.2.v1.1 annot-version=v1.1 MTLERRPSLKGLGLEELSELDRKRFAAKKQQGVTGFVLEAMPGLYYITVKLGNPSRQYYLAFDTGSDVMWVPCSSCTDCPKPHDIGFSLDLYDPKNSSTSSEISCSDDRCADALKTGHAICHTSHSSGDQCGYNQIYADGVLATTGYYVSDDIHFDIFMGNESFASSSASVIFGCSNSRSGQLQADGVIGFGKDAPSLISQLNSQGVSHAFSHCLDDSDDGGGVLILDEVVEPGLEFTSLVASRPRYNLNMKSIAVNNQNIPIDSSLFTTSNTQGTFLDSGTSLAYFPDGVYDPVIRAIHDAVPHSIRTFIHMGSRCFIFANRSFSSFPTVTLYFEDGAAMKVGPANYLLVLMITIAICALHFKEAGGITSKPLYSEVRCSIQPQRLLSVGLHHTATA* >Brasy2G448100.3.p pacid=40071683 transcript=Brasy2G448100.3 locus=Brasy2G448100 ID=Brasy2G448100.3.v1.1 annot-version=v1.1 MTLERRPSLKGLGLEELSELDRKRFAAKKQQGVTGFVLEAMPGLYYITVKLGNPSRQYYLAFDTGSDVMWVPCSSCTDCPKPHDIGFSLDLYDPKNSSTSSEISCSDDRCADALKTGHAICHTSHSSGDQCGYNQIYADGVLATTGYYVSDDIHFDIFMGNESFASSSASVIFGCSNSRSGQLQADGVIGFGKDAPSLISQLNSQGVSHAFSHCLDDSDDGGGVLILDEVVEPGLEFTSLVASRPRYNLNMKSIAVNNQNIPIDSSLFTTSNTQGTFLDSGTSLAYFPDGVYDPVIRAIHDAVPHSIRTFIHMGSRCFIFANRSFSSFPTVTLYFEDGAAMKVGPANYLLVLMITIAICALHFKEAGGITSKPLYSEILFYMIRYLSTT* >Brasy2G047800.1.p pacid=40071684 transcript=Brasy2G047800.1 locus=Brasy2G047800 ID=Brasy2G047800.1.v1.1 annot-version=v1.1 MDARHVVMCFLGVLVIFGNNPTVVAEQCRFRIFALPYCVNALNASLLEGGARDGCSCQGVSVWGAGGINRHCICFFCTD* >Brasy2G430900.1.p pacid=40071685 transcript=Brasy2G430900.1 locus=Brasy2G430900 ID=Brasy2G430900.1.v1.1 annot-version=v1.1 MWRSCVSRGLREAKAAAAAASRRFSSSSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDKEGTCQGVIALNMEDGTLHRFRSTNTIIATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHIKGDNPDSVIPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLEKDAGQKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLAEGCELITKAQESFHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFTTRDDEKWMKHSLGYWEDEKVRLEYRPVHMNTLDDEIETFPPKARVY* >Brasy2G072400.1.p pacid=40071686 transcript=Brasy2G072400.1 locus=Brasy2G072400 ID=Brasy2G072400.1.v1.1 annot-version=v1.1 MVQVGMAQPESPDAAAHVAHKIPSGDGPYARAKHYQLVEKDLDASIVWFWKAIEAGDKVDSALKDMAVVMKQRGYLKDAIDAIKSLRHLCNPSRQSQESLDNILLDLYKASGRTREEIDLLKQKLRRIFHGEAFPRGKSTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQERNFMAAEAVYRKAQMVDPDANKACNLALCLVEQRRMGDAEKVLDGVLAGAYNMEQDGRGKAVRKAEELMERIRADKGGDQGVEEEEGDGVEADEMAELLDVVVREWARPYRRSDRRLPVFEEITPFCGIAC* >Brasy2G321400.1.p pacid=40071687 transcript=Brasy2G321400.1 locus=Brasy2G321400 ID=Brasy2G321400.1.v1.1 annot-version=v1.1 MNKIEEEEALGVAEDVEIGDLVSRRRRRSAHDGQVSIAEPKGGTTAVVDNAAAGCTTDGCTYVKTT* >Brasy2G207700.1.p pacid=40071688 transcript=Brasy2G207700.1 locus=Brasy2G207700 ID=Brasy2G207700.1.v1.1 annot-version=v1.1 MAGAKPKQKAKTKSLTAGPSHGNRKGRGGAGKFGEKRRHGPHLPNKLRRELESLGPARGRGSDDEEEDDDVVTEDVYEYEEGVPEEEAGKNDRYDGVAKYEYEFDSDGSNADEDVPSDEGEDMEQDDGDADEEKQIRILQETTGMPREAFDGGKKKKKQAQGLLLQPGDGDGPVTLHDLLDNIQDKPGYSKLRKRVQQKEKKSMVMQPPLPKAKRDKIDRSAVYKISRAEATKYEGQVKANREASTLYFENDSNIVVNSVGAIASEFKPRTEFEKKMAGIMHSTEMMEAHKNDGAKILELNKMAVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRIKKKDRLKAASADLEADPEAAKEFAMKQEFKRAEERMTLKHKNNTKWAKRILKRGLLIQDEGTRDAITAQLQQHALLTRKMNSLHDGSSSSDESSDSDDDDDEEGNELEARQLNRGKEKILRVIEEDTEIPKSGVFSLPFMERAMKKREHATYEETRLDFEELEESLRKFEDDNTEENVDLMKVTGKRTFGPAKNAHEEANKRPKLDDAERNSNSEYDSDSSLHFDINEVNKKADEGQADEVQIGTALLDNEQQNDLFMSFDGITKSPGPKAVIEVGMLADNSWKKVKSSEGNNSNSINKSKVLIPSAVDSCPKLDHNSDSDSEEEMVEGFLTISETKEPSQADLIRQAFAGDDVQAEFDKDKMEALNEENPEPEEPALVPGWGQWTHIQQKKGLPSWMVKEHEAAKRKREEALKRRKDAKLKHVIISEHIDKKAEKLLARNLPYPFTSKDAYEGSIRMPLGPDFNPAISVAALNRPAIVKTPGVIIKPIQYEEIDPHVKPDGPKRVIQRAAPNPNRKKASAKQAKGTTSHKRK* >Brasy2G206400.1.p pacid=40071689 transcript=Brasy2G206400.1 locus=Brasy2G206400 ID=Brasy2G206400.1.v1.1 annot-version=v1.1 MRRRNGQRWVNGFRSERDREVGAPVPVWLLRPLRRWRPAATSVRFLQPLRGRRPPAASRGRRPGAASRGRRRGAGSSGRRPAAASRGRPRAAGSSGRRPAAASRGRHRAAGSSGRRPAATSRGRRPAAASRVRRRAAGSSGRCPTGGFEASNCAGQGVRQVGPWMRRRQRTATCVLVREAGRWSHGGFGLGFG* >Brasy2G172400.1.p pacid=40071690 transcript=Brasy2G172400.1 locus=Brasy2G172400 ID=Brasy2G172400.1.v1.1 annot-version=v1.1 MIVCYPSADTDHERSTSRCCCTCGHFYVTMDGNARGGGHSEALKNYNLGRTLGIGTFGKVKIAEHKHTGHKVAIKILNRRQMRTMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIFVVMEYCKYGELFDCIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDDNIPNLFKKIKGGIYILPSHLSALARDLIPRMLVVDPMKRITIPEIREHNWFQNRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLCNRLQNEATVAYYLLLDNRFRATSGYLGADYQESMDRSFNQFTSSEPASSSTRNYLPGSSDSQGSGLRPYYPVERKWALGLQSRAHPREIMIEVLKALQELNVCWKKNGHYNMKCRWCPGFPQVSDMVDGNHSFADDSTIMDNGNVNGRLPAVVKFEIQLYKTRDDKYLLDMQRVTGPQLLFLDFCAAFLTNLRVL* >Brasy2G303400.1.p pacid=40071691 transcript=Brasy2G303400.1 locus=Brasy2G303400 ID=Brasy2G303400.1.v1.1 annot-version=v1.1 MERRDNFLRPPAADNTPTAGKSITFPNAGDTATTNLTSSSGSSSSLTLSPPDFLRQVHAACKRQRPPSSRQTMPHRASRVLVARGEGPTKAGASPSVTQNPEGNAMQKQSGVRGPSRLRNAVPDQKNVNAAVGLLASNRNESLATPSMLSTITDTHIQNSSQNYQQKGEIGLLVDRKKSSLDVSSSQMISQNVLTAGDNFPVAEAPHDQGRKHQEPQIADAAVEMDVKYDAANLPQRGIEEACNQNHGEPMTRCSVIGSSVTAVSLHSGQNIRVLQGNQCASPMQMPECTVESSAVISGLAPPKQQVPNLVNDVSDKAVCGNQDSLPSQGQALSAKDQSTSARDVGTSQASRGQKERRKNNYDPEFFFKVNGKLYQKLGKIGSGGSSEVHKVISMERAIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKNLLKEGSVSPRGGRIKDDQYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLNYMSPEAFMCNEQDSGGNIVKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKFKAVTDRNHKISYEPVDNPWLIDLMQRCLAWDRDERWRIPQLLQHPFLVPLVPGELPCIDRDPCNMLMERVRVHWANPDVSRLCSELRDVIAKLEEDQCSPTTTI* >Brasy2G303400.2.p pacid=40071692 transcript=Brasy2G303400.2 locus=Brasy2G303400 ID=Brasy2G303400.2.v1.1 annot-version=v1.1 MERRDNFLRPPAADNTPTAGKSITFPNAGDTATTNLTSSSGSSSSLTLSPPDFLRQVHAACKRQRPPSSRQTMPHRASRVLVARGEGPTKAGASPSVTQNPEGNAMQKQSGVRGPSRLRNAVPDQKNVNAAVGLLASNRNESLATPSMLSTITDTHIQNSSQNYQQKGEIGLLVDRKKSSLDVSSSQMISQNVLTAGDNFPVAEAPHDQGRKHQEPQIADAAVEMDVKYDAANLPQRGIEEACNQNHGEPMTRCSVIGSSVTAVSLHSGQNIRVLQGNQCASPMQMPECTVESSAVISGLAPPKQQVPNLVNDVSDKAVCGNQDSLPSQGQALSAKDQSTSARDVGTSQASRGQKERRKNNYDPEFFFKVNGKLYQKLGKIGSGGSSEVHKVISMERAIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKNLLKEGSVSPRGGRIKDDQYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLNYMSPEAFMCNEQDSGGNIVKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKFKAVTDRNHKISYEPVDNPWLIDLMQRCLAWDRDERWRIPQLLQHPFLVPLVPDFVPSFVM* >Brasy2G303400.3.p pacid=40071693 transcript=Brasy2G303400.3 locus=Brasy2G303400 ID=Brasy2G303400.3.v1.1 annot-version=v1.1 MERRDNFLRPPAADNTPTAGKSITFPNAGDTATTNLTSSSGSSSSLTLSPPDFLRQVHAACKRQRPPSSRQTMPHRASRVLVARGEGPTKAGASPSVTQNPEGNAMQKQSGVRGPSRLRNAVPDQKNVNAAVGLLASNRNESLATPSMLSTITDTHIQNSSQNYQQKGEIGLLVDRKKSSLDVSSSQMISQNVLTAGDNFPVAEAPHDQGRKHQEPQIADAAVEMDVKYDAANLPQRGIEEACNQNHGEPMTRCSVIGSSVTAVSLHSGQNIRVLQGNQCASPMQMPECTVESSAVISGLAPPKQQVPNLVNDVSDKAVCGNQDSLPSQGQALSAKDQSTSARDVGTSQASRGQKERRKNNYDPEFFFKVNGKLYQKLGKIGSGGSSEVHKVISMERAIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKNLLKEGSVSPRGGRIKDDQYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDSQSR* >Brasy2G303400.4.p pacid=40071694 transcript=Brasy2G303400.4 locus=Brasy2G303400 ID=Brasy2G303400.4.v1.1 annot-version=v1.1 MERRDNFLRPPAADNTPTAGKSITFPNAGDTATTNLTSSSGSSSSLTLSPPDFLRQVHAACKRQRPPSSRQTMPHRASRVLVARGEGPTKAGASPSVTQNPEGNAMQKQSGVRGPSRLRNAVPDQKNVNAAVGLLASNRNESLATPSMLSTITDTHIQNSSQNYQQKGEIGLLVDRKKSSLDVSSSQMISQNVLTAGDNFPVAEAPHDQGRKHQEPQIADAAVEMDVKYDAANLPQRGIEEACNQNHGEPMTRCSVIGSSVTAVSLHSGQNIRVLQGNQCASPMQMPECTVESSAVISGLAPPKQQVPNLVNDVSDKAVCGNQDSLPSQGQALSAKDQSTSARDVGTSQASRGQKERRKNNYDPEFFFKVNGKLYQKLGKIGSGGSSEVHKVISMERAIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKNLLKEGSVSPRGGRIKDDQYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDSQSR* >Brasy2G425600.1.p pacid=40071695 transcript=Brasy2G425600.1 locus=Brasy2G425600 ID=Brasy2G425600.1.v1.1 annot-version=v1.1 MATMKRMATQQLASIFLFFLLLLLPAGQGAASAPSAIISKTCARASNFTTYVGHDYCVGVLTADPAAAAANSTRALAVVATKLALDNVTLTALVLDNLVYNIARCLGKYGGMNYTVTTALEDIRAGHAEAAAGKLSRAAAEPGVCDSFLSNGSAKKNPMRKENHDAGSLSYTAYGITMEALHAKLLAAPPATITKACAGLSNFTTHADYDFCSTYKDMSKTVAAAAYYIGAGGAGAASDLLQEAARQPDNCDILLFQGSAHKNPVMKENVDAIQLSYLAYAIAMLAGSKNQV* >Brasy2G097100.1.p pacid=40071696 transcript=Brasy2G097100.1 locus=Brasy2G097100 ID=Brasy2G097100.1.v1.1 annot-version=v1.1 MKTSLLLVAAVVCAIIAPTKSSPMPGGWAPIPDIDKPEIQELGQWAVAEHVKQAKDGLKFTKVVKGEVQVVAGFNYRLDIHAKNSDGVDGASRAVVWELPTNGRKLISFTKAN* >Brasy2G406400.1.p pacid=40071697 transcript=Brasy2G406400.1 locus=Brasy2G406400 ID=Brasy2G406400.1.v1.1 annot-version=v1.1 MFPAAEAAAFVVEAGVAARQGDPAAGLPPFFMGSMWPAAGAGGGAGAAGSEEEDEAAVAMAEAALHERAVAATRNHREAEKRRRERIKSHLDRLRAVLACDPKIDKASLLAKAVERVRDLKQRMAGIGAEAAVTPQLFPTEHDEIVVLASSGGVFEASVCCDDRSDLLPGLIDTLRALRLRTLRAEMATLGGRVRNVLVLARDAGAEDDDGGGDFLKEALRALVERHGAAGGGGGDRPKRRRTVSDMNMQAAA* >Brasy2G050500.1.p pacid=40071698 transcript=Brasy2G050500.1 locus=Brasy2G050500 ID=Brasy2G050500.1.v1.1 annot-version=v1.1 MESSVESSWWVLPLTLIPAISGQQQHDQSTAAAIATSFVYLAVVACLAWAAKSLLYWAHPGGPAWGRRYWTGPSATAPAPAPIPGPRGLPVIGSLGLMSGLAHSSLAAEAARMPGAKRLMALSLGPVPAVVTAHPDVAKEILDNPAFADRPVNHAAYGLMFHRSIGFAEHGAYWRALRRVASVHLFAPRQVDAFAPYRTRVADDVVAALRYAGSGVVNVRGVLRRASLYYIMRFVFGKEYDVSGKTKKEDQGEVEGLLEMVHEGYELLGKENWCDYFPGLAALDPQGVGARCAELMPRVNRFVHGIIDEHRGKAMAAGAGEEQPRDFVDILLSLQESEGLVGADIAAVLWEMIFRGTDAMAVLMEWTMARLVLHPGVQANVHKELDEVVGKSSHVTESAMPSLPYLQALLKEALRVHPPGPLLSWRHRAMWDTYVDGHLVPAGTTAMVNQWAMSRDPEVWAEPLEFRPERFLPGGEAGPGVSVLGSDGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWMPAKTGAAVDMSEVLRLSCEMATPLQVRVRPRRGV* >Brasy2G147700.1.p pacid=40071699 transcript=Brasy2G147700.1 locus=Brasy2G147700 ID=Brasy2G147700.1.v1.1 annot-version=v1.1 MASNLLLPLILLLLLPLAASWAAVNDDEAVSRSTFPMDGDVAWVVQVSDLHISAYHPERAADLVRLFGPALRAIRPHLLLVSGDITDAKNMAKTTSRQDEDEWITYKKTIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGSKLDFFSTYSVNSQRGRSTINSVLLQGDRSYLFLGIDDTMGVGVRFPSNLFGHPTDKRIEAVNSELQYWTNHSNVPITKVVFGHFPMSFTTSSEQGHRYESVFARQSISAYLCGHLHAKVSRQLWRYHQMRTEKRSSSFWEWELGDWKESRLMRILAIDRGAVSFIDHTLTQAFETSILITYPKDSRNMNTLEPKKGSPINDINALVFSKEIVLNVSARVFDSDNEFKIVEEMPLQLVASSSHKPLFHAKWNAENYISPSPTRYWLQVFVLDSGGKKTISELRPFSVEGKVAIPHRPWLNRLMFEVEWEDMYKVLLWSNLAFTIFLLFIPKILYHLLKKRSSYQRWALSILTSPIQQRKVCFWLICFLMEGASSKLFWFSLVLYVLWTSQMPWFGGYATSENGDIAQMYLSGWSIPSFDMSLTNHKLSNPDVMVITLPFLYLVVVPLVVLAYGLFAERAVAYVRRHRRAEDTADPADTTAVSTCFLTGSPGPEDLIDLSYKNKKIKFLMIKFCGGWTRRILLLACLIIATIHLKLSSILMSAYGTTPVVLSPPFTWMPLLLLSLAAYCTMLPLDYSVDIRSVHDS* >Brasy2G357900.1.p pacid=40071700 transcript=Brasy2G357900.1 locus=Brasy2G357900 ID=Brasy2G357900.1.v1.1 annot-version=v1.1 MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYCKIRLGKMPCQTATAPLLLLSADGGEQTPPASSGSLAAAFHLSKADLEWFARKPSLFCPSRGAAVLKVSVYAGRKGTTCGVSTGRLLGKATVSLDLKGAEAKPAVLHSGWISIGKRAGGGGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVMQVRGSMKQPMFTCKFGCRTNSDLRRSMVPKTEREAAGKERKGWSVTVHDLSGSPVALASMVTPFVASSGSDRVSRSNPGAWLILRPAGDGAWEPWGRLECWRERGGAGSSDSLGYRFDLLVPGVDHAVPIADSTIAASKGGKFALDLTAAHPLSRGGTPCCSPRGSGDLSQWPLGSYRGFVMSAAVEGEGRCSKPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR* >Brasy2G176700.1.p pacid=40071701 transcript=Brasy2G176700.1 locus=Brasy2G176700 ID=Brasy2G176700.1.v1.1 annot-version=v1.1 MPLLYHTPRASPSVLAQAKRRRGLALTCPRPSSPVNERPRRQVVEIGNRTGSSWCCCWSSSGVQYKEKWRIIVLVSTRQIKIFFVCACFCARHAQTVKHMVDKRVVHIGSGPKDS* >Brasy2G242600.1.p pacid=40071702 transcript=Brasy2G242600.1 locus=Brasy2G242600 ID=Brasy2G242600.1.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.6.p pacid=40071703 transcript=Brasy2G242600.6 locus=Brasy2G242600 ID=Brasy2G242600.6.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.7.p pacid=40071704 transcript=Brasy2G242600.7 locus=Brasy2G242600 ID=Brasy2G242600.7.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.5.p pacid=40071705 transcript=Brasy2G242600.5 locus=Brasy2G242600 ID=Brasy2G242600.5.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.3.p pacid=40071706 transcript=Brasy2G242600.3 locus=Brasy2G242600 ID=Brasy2G242600.3.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.4.p pacid=40071707 transcript=Brasy2G242600.4 locus=Brasy2G242600 ID=Brasy2G242600.4.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.2.p pacid=40071708 transcript=Brasy2G242600.2 locus=Brasy2G242600 ID=Brasy2G242600.2.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G242600.8.p pacid=40071709 transcript=Brasy2G242600.8 locus=Brasy2G242600 ID=Brasy2G242600.8.v1.1 annot-version=v1.1 MLTSSLPPPTLPGSKPKPAPATSISTAATAVSADTAVVGGSGRLSALIRSLCAAGRTADAERALDAAGNAAGVVDYNAMVAGYCRVGQVEAARRLAAAVPVPPNAYTYFPVVRGLCGRGRIADALAVLDEMSSRGCVPTPPMYHVIIESACRSGGFRSALTVLEALHAKGSALDTGNCNLVLNAICDQGCVDEGVEMLRKLPLFGCEADIVSYNAVLKGLCAAKQWGDVEELMDEMVKVDCPPNIVTFNTLIGYLCRNGLFEQVHEALSQMAHHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEKAEELLTEMYQKNCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLQHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMPSCGCKPNTVSYTIVLKGLCSTERWVDAEELMSQMIQQGCLPNPVTFNTIINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNMITYSSMAFALSREGRIDKVIQMFDNIQDAAIRSDAVLYNAVISSLCKRRETDLAIDFLAYMVSNGCMPNESTYTALIKGLASEGLISEAQDLLSELCSRRAVRKHLMRRFGIV* >Brasy2G477700.1.p pacid=40071710 transcript=Brasy2G477700.1 locus=Brasy2G477700 ID=Brasy2G477700.1.v1.1 annot-version=v1.1 MRVTAMLRWASAAVALAVLLAAAPAAGFYLPGVAPTDFMKGDELLVKVNKLTSIKTQLPYTYYSLPFCKPSTIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLAVTEKEAKELKEKIEDEYRVNMVLDNLPLVVPVTRQDKNTVAYQGGYHVGVKGQYTGSKDEKHFIHNHLSFLVKYHRDDDSELSRIVGFEVKPYSIKHQFDGKWNDANTRLSTCDPHDNKFVTTSESPQEVEVGKDIIFTYDVHFEESEIKWASRWDTYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYSSSRLYKMFKGSEWKQITLRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPLFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQISKLVSGILYFGYMLLASFSFFVLTGTIGFCACFWFTRMIYSSVKID* >Brasy2G192700.1.p pacid=40071711 transcript=Brasy2G192700.1 locus=Brasy2G192700 ID=Brasy2G192700.1.v1.1 annot-version=v1.1 MGNASGREEDAAAAGEDDVEDGGGDSSARSSERGFPPYGGGGNHVRRACSVGVVGASGGAGSPPGSPGRSLSPRMFVPQTPVPPLVRAADVTPVFNEILMNEQEEEFDGPPQKEIPALIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERRCFPDLPCETDAMGNAVNLLDVHDFVPESVESVSEFEAPPSPESSYSFQAPEEKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER* >Brasy2G180600.1.p pacid=40071712 transcript=Brasy2G180600.1 locus=Brasy2G180600 ID=Brasy2G180600.1.v1.1 annot-version=v1.1 MSKRRRSDDDGEPARSSRRRRHLHLVVDDWAKGFSIYKVDVSGFHSTATSLPGPPVFRPETPNKRYPPPFFAAVGSKIVAVRYDSDEEPGPALLYDTATGGLAVGPCFRAKAGYVYDFVPAYDRLYALGNSTYDRGTEDDHFEVLAAGEKEDSWAWTALREAPPFHMWQAVCHAAHPDGRTIFFSVAGDGTYSFDTETREWRRHGDWELPFQGRACYDPELEAWVGLHQRMVEPAGCVCSCDVVAPPAAAGEDEGSQQGARPPPVWKLSKEELVCEDTDRTRSVALARIGRGRFCLVEHRSRKGVPADVKDKHYLFYMTTFRLCYDKDGGLRAKTRRTCSYMVPWESNSSNWRVFGI* >Brasy2G495000.1.p pacid=40071713 transcript=Brasy2G495000.1 locus=Brasy2G495000 ID=Brasy2G495000.1.v1.1 annot-version=v1.1 MRTSFMLLLVLALVVLSSDVTVKEVMAEENCQEFRYKGRCNLEVCGERCNRIWGKNAHASCEHDFACKCLVCPPPPKN* >Brasy2G192100.1.p pacid=40071714 transcript=Brasy2G192100.1 locus=Brasy2G192100 ID=Brasy2G192100.1.v1.1 annot-version=v1.1 MPLRTTASYNALIAGYFRNHLPDAALGLFHRMPSRDLGSYNALIAGLSLRRHTLPDAAAALASIPLPPSVVSFTSLLRGYVRHGLLADAIRLFHQMPKRNHVTYTVLLGGFLDAGRVNEARKLFDEMPDKDVVARTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGKVILARKLFEVMPDRNEVSWTAMLVGYIQAGHVEDAEDLFNAMPEHPVAACNAMMVGFGQHGMVDAAKAMFERMCERDDGTWSAMIKVYEQNEFLMEALSTFREMLCRGIHPNYPSFISILTVCAALATVDYGRELHAAMLRCSFDTDVFAVSALITMYIKCGNLDKAKRVFNMFEPKDVVMWNSMITGYAQHGLGEEALGIFDDMRLARMAPDGITYIGVLTACSYTGKVKEGREIFNSMGMNSSIRLGAAHYSCMVDLLGRAGLVDEALDLINNMPVEPDAIIWGALMGACRMHKNAEIAEVAAKKLLELEPGSAGPYVLLSHIYTSTGRWEDASDMRKFISSRNLNKSPGCSWIEYNKMVHLFTSGDVLSHPEHAIILNMLEELDGLLMESGYSADGSFVLHDIDEEQKAQSLRYHSERQAVAYGLLKVPAGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGLCSCRDYW* >Brasy2G105900.1.p pacid=40071715 transcript=Brasy2G105900.1 locus=Brasy2G105900 ID=Brasy2G105900.1.v1.1 annot-version=v1.1 MGPRKLLLASITTVSIFLHMFLHAHSETDAFPPEAADGPVADGPFAGGPELSPGPPLMVFDVDDYGASAGNDATEAFLRAWKEACNSSSDPSLFLVPGGKTYRLMPVSFTGPCRATTITAMIKGTLEAPSNRSVWLDRPSDLPERWIAFQDVDHLHVMGGGTINGNGHEWWINSCKVNKSMPCVRGPTALYFGSCAHLVVEDLQVRDSMQMHVVIAYSWKVLVSRLFVTAPGWSPNTDGIHVSNSRDVLISSCIISTGDDCISIVSGSAFVRATGIFCGPGHGIRSVYMMTIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGQGFAERITFQDIKMYNVTNPIIIDQNYCDSKTPCSEQESAVAIRNIRYSSIHGTSPSKVAINFICSKAVHCDGIVMQDVSLVGTAPYLTCSSLNTRVMELGLISPYCRSDM* >Brasy2G494100.1.p pacid=40071716 transcript=Brasy2G494100.1 locus=Brasy2G494100 ID=Brasy2G494100.1.v1.1 annot-version=v1.1 MYARSAGVCLLLVLLLWGSPVSAEHCETERVWLYLCIKQTCEIPCKNRAKDAHSKSWHSWCHGLFNGVCNCKICYAN* >Brasy2G389900.1.p pacid=40071717 transcript=Brasy2G389900.1 locus=Brasy2G389900 ID=Brasy2G389900.1.v1.1 annot-version=v1.1 MMMHPVKVESRASSAAADGAAPRPMDALADAGPTPFLAKTYDMVDDPTTDAVVSWSATNNSFVVWDPRVFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFASEGFLRGQRHLLKNIKRRKPPQASPNQQSRGSYLEVGHFGYDGEIDRLKRDKQLLMAEVVKLRQEQQNTRAGLHAMEERLQGTEQKQQQMMSFLARVMHNPEFIHQLVSQSEMRKELEDAISNKRRRRIDQGPEAVASMGSSSSLEQGSQIMFEPPDPVDAFINGSPSDLEISSVETKGAEVQNDDFWEDLLKGRPVRSLRPLKGRPSRELNDDFWEDLLHQGGLGGDEAGDPVVPDDMNFFAQKMNFP* >Brasy2G389900.2.p pacid=40071718 transcript=Brasy2G389900.2 locus=Brasy2G389900 ID=Brasy2G389900.2.v1.1 annot-version=v1.1 MMMHPVKVESRASSAAADGAAPRPMDALADAGPTPFLAKTYDMVDDPTTDAVVSWSATNNSFVVWDPRVFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFASEGFLRGQRHLLKNIKRRKPPQASPNQQSRGSYLEVGHFGYDGEIDRLKRDKQLLMAEVVKLRQEQQNTRAGLHAMEERLQGTEQKQQQMMSFLARVMHNPEFIHQLVSQSEMRKELEDAISNKRRRRIDQGPEAVASMGSSSSLEQGSQIMFEPPDPVDAFINGSPSDLEISSVETKGAEVQNDDFWEDLLKGRPVRSLRPLKGRPSRELNDDFWEDLLHQGGLGGDEAGDPVVPDDMNFFAQKMNFP* >Brasy2G148700.1.p pacid=40071719 transcript=Brasy2G148700.1 locus=Brasy2G148700 ID=Brasy2G148700.1.v1.1 annot-version=v1.1 MQPPPPPAPFFRLMTPPPPRPQRVVEEEEEARKPGKAIRKGHRSYSLMLNLQLGISYSVGKSSALPFKKLLASDFDPREKIWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEMKVLIRMLRSYYDHVRQHKSTLLTRFYGTHCIKQVGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKTERTIDETTTLKDLDLDYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDFSVPKIGLSQPIALTKSTGKRKSFEGGGGFCELCFMESGCKDRDLVTEPRKPFVQLGMNMPAQAERCSKKILDKFLLHERHLFITTPAGGPCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGRISAVDPKLYSRRFQDFIRRVFIKQQQ* >Brasy2G288600.1.p pacid=40071720 transcript=Brasy2G288600.1 locus=Brasy2G288600 ID=Brasy2G288600.1.v1.1 annot-version=v1.1 MASVSVSPCSPQAGLLLPLLLQLQPRCRLRRLPLLPLGLSAGARRGGGLLLPLPLPAPRAAEGKDEQAVVKEDEVEEEEEEEERGGDDGAREAEGAGAARGSGRFAADYISLGIREPVYEVIEVKSNGRVSTKKISRRKLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILLNLGSLRAIAMHERVLIFNYNSPGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMHVEPRVAALLEVLPTRLTGDVLEQLRLSKQSLVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDRLSDDMECSVPLEKHIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLETNAWAFWATTGGIAVGAVAGFFLMYSYLKARKIL* >Brasy2G387000.1.p pacid=40071721 transcript=Brasy2G387000.1 locus=Brasy2G387000 ID=Brasy2G387000.1.v1.1 annot-version=v1.1 MRTENPWRAHLLPLLLSVAAALGANLQKLSTAAPVTLPGCPDKCGEASIPYPFGTKDGCFLPGFQIICNHTFDPPRAFFAAYDLTNPGSGYNPQIVTVYMDYFNDGTPSGFIEASIVVADRAHRRVSRRGKTTGARPVQLRLQTERDVPLRQRGNCTFPAPFAVPPVGGKHRVDGHREAAISPQLFDTTVTIVEENSQNRRHYVAACSYAMLVDKAWYNFTSLDLDGDAFLRRNDAAGVPVVPGYACTSNNSMCVQVPFYDGQGYFCRCLDGYQGNPYIPTGCQDIDECEMPDMYPCHGTCQNREGGYRCSCPAGTRGNATEGRCTEIFPLQQSTEKKKPGITTSRTEQEDADGDARWRAGGAARWAGGLGRSAVMSELETVRGRGRAEAPWQASGDAVASGGGAPKGEGKGSGVSGWGEESEGDWYEKQVSSSNFIGKGCFGEVYMGFLDNQQVAVKKPITDSVAQNDQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLSKGSLDDILHNENNKVPLDLDVRLNIAAESADGLAYMHSKTGKTILHGDVKPANILLDDDYVPKISDFGISRLIARDKEHAALVIGDRTYMDPVYMQTGLLTEKSDVYSFGVVLLELVSGRKATYLDNNSLVRNFLDAQKKEKMPIELFDKQFEEARDLEFLSSLTMVSMECLNLDVEKRPAMTDVAERLLILKRSRMQL* >Brasy2G475200.1.p pacid=40071722 transcript=Brasy2G475200.1 locus=Brasy2G475200 ID=Brasy2G475200.1.v1.1 annot-version=v1.1 MEDGVPTYTVDEALVSMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFLGMLVGAYCWGLVSDNYGRRVGFNFTALVTGGAGLLSAFAPNYSSLIVLRFLVGVGLGGGPVLASWFLEFVPAPNRGTWMVIFSAFWTIGTIIEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIADAVNVMETMARVNHVALPSGRLTSGHRMELHEVSDSSETAQLVSARKTNPVDHANKSGIGGLNAILRLLSPNLVRATLLLWTVFLGLAFLYYGLVLLTSELSHGNRICGSEGAVTIETNHSNDVNLYRNVFITSFGEVPGLILSAAIVDKFGRKLSMSSMLYISCLCIAPLMFAQTESLTTVFLFGARMCISTSFIVLHIYAPEIYPTAVRATGVGIASSIARFGGILCPLVAVGLVHACHQTAAIMIFITVMLVSAVAVSYFPLETSGRKLSDHIAA* >Brasy2G362800.1.p pacid=40071723 transcript=Brasy2G362800.1 locus=Brasy2G362800 ID=Brasy2G362800.1.v1.1 annot-version=v1.1 MAGAKALLLVAIVGSICICLCSTVLAARELGDADNAMAARHEQWMAQYGRVYKDPAEKAHRLEVFKANVAFVESFNAGNHEFWLGANQFADLTNDEFRASKTNKGIKEGGVRSAPTGFKYSNVNADALPASVDWRTKGAVTPIKNQGQCGSCWAFSAVAATEGVVKLSTGKLVSLSEQELVDCDVHGVDQGCMGGWMDDAFKFIIKNGGLTTEANYPYTGEDDKCKSNETVNVAATIKGYEDVPANDESALMKAVAHQPVSVVVDGGDMTFQLYAGGVMTGSCGVEMDHGIAAIGYGVTSNGTKYWLMKNSWGTTWGEKGFLRMAKDIPDKRGMCGLAMKPSYPTE* >Brasy2G105600.1.p pacid=40071724 transcript=Brasy2G105600.1 locus=Brasy2G105600 ID=Brasy2G105600.1.v1.1 annot-version=v1.1 MIARPAHPSNGEAPLLQRDWPRDASTLLHRPTRPDPPRADAAAMDPRRLLLRLPLLLFLLAAPAVLAWKRDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLAVSPDGSLTAALSHPSRPRPLLLRLSALPPHALRLQIDEDYSTSTPPNRRFHVPDVLLPDVDSRTLHLSKPKTVDGVSTIALSSDLDVVVKHDPFELTVRRAGSGNPVLSFNSHGLFDFEPLQESKPEGETWEEHFRSHTDSRPRGPQSITFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGPGSSSGFFWLNAAEMQIDVLAPGWDGTTSAENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGAPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDRSTFPNPEEMQRKIADKGRKMVTIVDPHMKRDSGYYLHEEATAKGYYVKDVSGKDYDGWCWPGASSYPDMLNPEIRDWWADKFSYQNYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDIIHYGDVEHRELHNAYGYYFHMATANGLLKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNTADWDHLKSSIPMVLTLGLTGMTFSGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFKEASITGVPVMRPLWLEFPDDKETYNNGEAFMVGPSILAQGIYEEGQKSVSVYLPGKESWYDLRNGSPYKGSVSHKLEVSEDSIPSFIRAGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSGAAEGELYVDDGKSYDYQQGAFIHRRFVFAENKLTSTNIAPNHLGKKEFSTECVIERIIVLGLSSRAKKAVIEPGNQEVEIELGPISLRSGSSSVAPTVRRPNVRVVDDWSVRIA* >Brasy2G076900.1.p pacid=40071725 transcript=Brasy2G076900.1 locus=Brasy2G076900 ID=Brasy2G076900.1.v1.1 annot-version=v1.1 MDDIIKLKDYFDRRNEIKSQLQLTKATVSKVEVVGMGDRVCVDLCSIMQPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTIPGDKTSYLSELRSGREVIVVDQNGLWRTAIVGRVKIESRPLILVEAKESSGHDTYSIFLQNAETVALITPDRVWWKKGYSCDITESW* >Brasy2G462400.1.p pacid=40071726 transcript=Brasy2G462400.1 locus=Brasy2G462400 ID=Brasy2G462400.1.v1.1 annot-version=v1.1 MKKEVVVVSCYHTGNITFSVLTNASSSSLANAGRGPPRRWGRLGTSSPATTACKLRRPRYLRRSTSEAVYLNLHSSTTGHSGLLSRLSSSSPIRPEESTRRRHQDRLTRFYFLSISFFLSKQAAVVRECLEPVMVRRSADIRGGAA* >Brasy2G208800.1.p pacid=40071727 transcript=Brasy2G208800.1 locus=Brasy2G208800 ID=Brasy2G208800.1.v1.1 annot-version=v1.1 MPRHALLLLVAALAVSATAAAAGIASDSAGWSSTGTRSCGGGTVEEECVGDDGGLWLSLRRRLDEDQSQYISYSALRRDSVPCSVPGMSYYNCQPDAEANPYTRGCSAITQCRG* >Brasy2G040000.1.p pacid=40071728 transcript=Brasy2G040000.1 locus=Brasy2G040000 ID=Brasy2G040000.1.v1.1 annot-version=v1.1 MAAGWQRRRLLQAAPRHQQGAPSSPDWSPDYINAWLSQRTAVFGLRLWVLMGIAVGAAIVFVLALLFLCLSRRRRRRDGLSAANLYPAADTKPVKQHVQQPTLPKDIQEIVRRQQQMAPPPAPQPAAQAQMAKAEPPPPTLQQQRAQLPVMPTGSKRSTAASGMSATTSGGSERDLATPRSTGSAGPEVSHLGWGHWFTLRELEEATDGLTEENVIGEGGYGIVYKGTLQDSTIIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDIGEVSPLTWDMRLNIIIGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSEASYVTTRVMGTFGYVAPEYASTGMLTERSDVYSFGVLLMEIITGRSPVDYTRAPGEVNLVEWLKNMVAERKAEQVVDPKMPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMEDLVVRDDRKPRRDVPHETSDRHSSKEDGSQSRRDNHRYR* >Brasy2G228700.1.p pacid=40071729 transcript=Brasy2G228700.1 locus=Brasy2G228700 ID=Brasy2G228700.1.v1.1 annot-version=v1.1 MKFGKRLKKQIEESLPEWRGQFLCYKELKRRVKAVSVSAASEAEFVALLDAEVDKFNAFFLEQEEEFIIRQRELQERIERAADSEAEMTRVRREVVDFHGEMVLLLNYSSINYTGLAKILKKYDKSTGGVLRLPVIASVLQQPFFTTDLISRLVRDCEAIMDAVFPLPPTQRLLAEAVGVVGDAEQEEQSIFRNTVAALLTMQELRSGSSTYGHFSLPPITPLPDSELLLQSFRFHFQQPPPLIPTL* >Brasy2G228700.2.p pacid=40071730 transcript=Brasy2G228700.2 locus=Brasy2G228700 ID=Brasy2G228700.2.v1.1 annot-version=v1.1 MKFGKRLKKQIEESLPEWRGQFLCYKELKRRVKAVSVSAASEAEFVALLDAEVDKFNAFFLEQEEEFIIRQRELQERIERAADSEAEMTRVRREVVDFHGEMVLLLNYSSINYTGLAKILKKYDKSTGGVLRLPVIASVLQQPFFTTDLISRLVRDCEAIMDAVFPLPPTQRLLAEAVGVVGDAEQEEQSIFRNTVAALLTMQELRSGSSTYGHFSLPPITPLPDSELLLQSFRFHFQQPPPLIPTL* >Brasy2G007700.1.p pacid=40071731 transcript=Brasy2G007700.1 locus=Brasy2G007700 ID=Brasy2G007700.1.v1.1 annot-version=v1.1 MAEKAVWDDENVRHFIDICKEEISNGNRPLGFFNRIGWKNLGDKFEAKSGKKLTKTQLKNKWDNMKKEYTWFMELKNAATGLGWLDDRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYRLYKSTCGRIGAAADNISSSVQASSAPPPTSHVPSIADVMQMVKDCGVQEGTALMHTATMLIVKPDFREIFSLLQTKEGRFDLLERELKKSM* >Brasy2G246800.1.p pacid=40071732 transcript=Brasy2G246800.1 locus=Brasy2G246800 ID=Brasy2G246800.1.v1.1 annot-version=v1.1 MPPRGRGRSGSRTGGGRSGSRTGGGRSGSGPSDSKPAGLRRIMPERQSSVKVISGSKSEASEGASQSVSSSSSSSSSSSSSSSSSSLLPTEVGRDSDSDTDSEYAFFVNNEFRISSDNGDSDGQIGVIQLHEEGKKLLSLAESLPCHGLMLLLFETPSGFALSTFYGVYCYLDNAVDYLWAHFGDPLRASMVVYPKGFLSFEDKSSAINADTGIDNQLVALINKWHHPRMNLCVGKPEYKTIIESVLKIPCMYCETGLEIMWGIQQQMRTLVPLEKSKLTKEDRLPTSQGLMRFLSNCGFDVKPEMVNEKIFLTACALFHCDADDKRNLGLLRDAGLHIRDISGIACEDWDILKLAIAVKVICCPKKVLTDFHEVLAEDVVSKLKGDAHKYKGVAVKVNWLNTYHAVVSNHRLRIVKKKMLESLVRIAKEAYEAEQAEVCEKVKLHGESKQIAQDHVTSVSNPTTLVGDPTILVGETVRRSPRLKRKLYENSAEGTPHKRPEY* >Brasy2G246800.2.p pacid=40071733 transcript=Brasy2G246800.2 locus=Brasy2G246800 ID=Brasy2G246800.2.v1.1 annot-version=v1.1 MEGNRERAGREGAAVDRQTSKGDRRRQWMEREKARGSKSEASEGASQSVSSSSSSSSSSSSSSSSSSLLPTEVGRDSDSDTDSEYAFFVNNEFRISSDNGDSDGQIGVIQLHEEGKKLLSLAESLPCHGLMLLLFETPSGFALSTFYGVYCYLDNAVDYLWAHFGDPLRASMVVYPKGFLSFEDKSSAINADTGIDNQLVALINKWHHPRMNLCVGKPEYKTIIESVLKIPCMYCETGLEIMWGIQQQMRTLVPLEKSKLTKEDRLPTSQGLMRFLSNCGFDVKPEMVNEKIFLTACALFHCDADDKRNLGLLRDAGLHIRDISGIACEDWDILKLAIAVKVICCPKKVLTDFHEVLAEDVVSKLKGDAHKYKGVAVKVNWLNTYHAVVSNHRLRIVKKKMLESLVRIAKEAYEAEQAEVCEKVKLHGESKQIAQDHVTSVSNPTTLVGDPTILVGETVRRSPRLKRKLYENSAEGTPHKRPEY* >Brasy2G196300.1.p pacid=40071734 transcript=Brasy2G196300.1 locus=Brasy2G196300 ID=Brasy2G196300.1.v1.1 annot-version=v1.1 MAIASGAMAASASCRSPLVWFFSLAAALFFASWYLLLDSAAAPAAHDARRQGPRSASPARKCDPATALLRVFMYDLPLEFHFGLLDWKPGGAAAGAGLWPDVRHGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCNAVRVRDPARADVVFVPFFASLSFNRHSKVVPPARTSEDRALQRRLIEFLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPHSVANIDKDVIAPYLHVVGNFFNDSAGYDARPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGADAVKKGFLINLIKGISRQEWTSMWNKLKEVERHFEYQYPSQHDDAVQMIWKTIARKVPSIRLKVNRLQRFSRFETNKTDESLPRSSWLENQAR* >Brasy2G421800.1.p pacid=40071735 transcript=Brasy2G421800.1 locus=Brasy2G421800 ID=Brasy2G421800.1.v1.1 annot-version=v1.1 MSIPLAPVFQNPRPCWHKRAARQRPMSGSRLWIRNTCNLRFTSVRRLLAK* >Brasy2G290000.1.p pacid=40071736 transcript=Brasy2G290000.1 locus=Brasy2G290000 ID=Brasy2G290000.1.v1.1 annot-version=v1.1 METTSSKQISVPIQSSQPACTLHVPGFGTRHDARPGVHPTKDEGGERLTFSEEGAAVSGGGGRGGAPGDGIRPGRTGRGAALRIRRRLPAVEGGAGPLHWIRRRLPAVEGGAGSLHWIRRRLPAGEDGEGLRGMGSGRGGRGGAPAVTCTDGEGPAGNPRRAPCARERERRCARERERTSAGRKAAGRLGKHLERCFSASS* >Brasy2G198400.1.p pacid=40071737 transcript=Brasy2G198400.1 locus=Brasy2G198400 ID=Brasy2G198400.1.v1.1 annot-version=v1.1 MEALGSGCARMRPRAVFLLAALVLSVCLRVSHAVTNSQDTSVLRALMDQWQNAPPTWGQSDDPCGDSPWEGVTCNNDRVISIKVSTMGIKGVLAADIGQLSELQSLDLSFNHELGGVLTPTIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGSIPASLGNLSDLYWFDIADNLLTGPLPISSNGGMGLDKLTKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGFVGTLEVVRLDRNSLSGSAPANLNNLTKVNELNLANNQLTGPLPDLSGMTLLNYVDLSNNTFDPSPSPQWFWKLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDLGRSISSELSIVSFKDNDLSSVTLTSSYNGTLALAGNPVCDHLPNTQYCNVTQREASSPAYSTSLVKCFSGSCPAAGQSVSPQSCGCAYPYQGVMYFRAPFFGDVGNGTAFQELESKLWTKLDLTPGSVSLQDPFFNSDAYMQVQVKLFPSGDAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPDRNGPSSKSKGAIIGIAVGCGVLVIALVGAAAYALVQRRRAQKATEELGGPFASWARSEERGGAPRLKGARWFSCEELKRSTSNFAEANELGYGGYGKVYRGMLPNGQLVAIKRAQQGSAQGGHEFKTEIELLSRVHHKNLVGLLGFCFEQGEQMLVYEYMPAGTLRDSLAGKSGLRLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDGERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIARQPIEKGKYIVREAKRVFDLSDAEFCGLRAMVDPRIVNTNHLAAFGKFVQLALRCVEEGAAARPSMSDVVKEIEMMLQSEGLSSASTSAATSATDFDVTKGAPPHHPYNDPLPKKGKDVSTDSFDDYSGGYSFQSKVEPK* >Brasy2G198400.2.p pacid=40071738 transcript=Brasy2G198400.2 locus=Brasy2G198400 ID=Brasy2G198400.2.v1.1 annot-version=v1.1 MEALGSGCARMRPRAVFLLAALVLSVCLRVSHAVTNSQDTSVLRALMDQWQNAPPTWGQSDDPCGDSPWEGVTCNNDRVISIKVSTMGIKGVLAADIGQLSELQSLDLSFNHELGGVLTPTIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGSIPASLGNLSDLYWFDIADNLLTGPLPISSNGGMGLDKLTKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGFVGTLEVVNLANNQLTGPLPDLSGMTLLNYVDLSNNTFDPSPSPQWFWKLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDLGRSISSELSIVSFKDNDLSSVTLTSSYNGTLALAGNPVCDHLPNTQYCNVTQREASSPAYSTSLVKCFSGSCPAAGQSVSPQSCGCAYPYQGVMYFRAPFFGDVGNGTAFQELESKLWTKLDLTPGSVSLQDPFFNSDAYMQVQVKLFPSGDAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPDRNGPSSKSKGAIIGIAVGCGVLVIALVGAAAYALVQRRRAQKATEELGGPFASWARSEERGGAPRLKGARWFSCEELKRSTSNFAEANELGYGGYGKVYRGMLPNGQLVAIKRAQQGSAQGGHEFKTEIELLSRVHHKNLVGLLGFCFEQGEQMLVYEYMPAGTLRDSLAGKSGLRLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDGERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIARQPIEKGKYIVREAKRVFDLSDAEFCGLRAMVDPRIVNTNHLAAFGKFVQLALRCVEEGAAARPSMSDVVKEIEMMLQSEGLSSASTSAATSATDFDVTKGAPPHHPYNDPLPKKGKDVSTDSFDDYSGGYSFQSKVEPK* >Brasy2G003600.1.p pacid=40071739 transcript=Brasy2G003600.1 locus=Brasy2G003600 ID=Brasy2G003600.1.v1.1 annot-version=v1.1 MEFNGQEMERVVVVGLWCVHPDRSQRPSIKQALSVLRSEAPLPSLPAKMPVANFKPALCSACRKEKKVSVRPIKLIPTFTAHLVGGGHAGFSLAARAASPPRPTSHWQPSTPTEETPPPRSSPPPSTGTPLPSSPEESVYCIMAGDNKGNTSSPADAPGVIWSRQRLLFRNRKMCRLCLHGGQREDEKLTPDLIFAGELKRDVHDCAHSFCLMECH* >Brasy2G309100.1.p pacid=40071740 transcript=Brasy2G309100.1 locus=Brasy2G309100 ID=Brasy2G309100.1.v1.1 annot-version=v1.1 MGRRLDVLLGRTTKQTARLKSLLGLAVTRLAVLRGHRQVRHAQARGDVEQLLRLGHADRALLRAEQVIREQDMLDVFLLLESYCELVSDRAALVDAAQRDRECPEELREAAAGLVYAAARCGDLPELQEVRALLAAKLGRDFASAAAELRSGCGINTKIVQKLSTKQPSLESRTKVLLEIGAEKEIPVRLPEHSYDHEDSGSSHRRKKKQQDNERHRPPPPAADVEADDIVVSPDSALRYKDVEAAAQAAFESAATAAAAAKAAIELSRADQPGDPDDRYRRKPVRAHMKSGEEMLQGAEDLADEKKFGRAGHVRHYSSEVEDLPGAEERDDDIVESKPKHGEQRDQARSRPASVRTKRGF* >Brasy2G465900.1.p pacid=40071741 transcript=Brasy2G465900.1 locus=Brasy2G465900 ID=Brasy2G465900.1.v1.1 annot-version=v1.1 MDAAQRRLARVAAHLMPSLPLASAPLVAPCPAAASSSPDGDRYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVIILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEAERMRLVNVVVPLVDLEHETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP* >Brasy2G253700.1.p pacid=40071742 transcript=Brasy2G253700.1 locus=Brasy2G253700 ID=Brasy2G253700.1.v1.1 annot-version=v1.1 MYATLALWRILVLVAVLVAALLLAMEIDAVEGRRILGGCVSATPGSPVPHGSINNLG* >Brasy2G004300.1.p pacid=40071743 transcript=Brasy2G004300.1 locus=Brasy2G004300 ID=Brasy2G004300.1.v1.1 annot-version=v1.1 MASSSSSSPAAAAAKVAACASGEVFVRADEIELESLDVEVEKQALAKAVLLRKQGGELRGRPMEPWEIDLAKLEIKEQVKQGQFGTVFRGTYDGRDVANGVATEAEIASRRALFKTEVAVWKELDHPNVTQFVGASMGTIDLKIPADGGESGDLADLPLGACCLVVEYLDGGSLKTHLIKHMKNKLAYKVVVQLALDLARGLNYLHSNKIVHRDVKTDNMLFDSEGNLKIIDFGVARIEADNPKDMTGTTGTPGYMAPEVIEGNPYNRKCDVYSFGICLWEIYCCDRPYADMSYTEATSAIVHQDLRPEISRYCPSSMVNIMRRCWDANPAKRPHMEEVVRLLEGLNTSKGGGMIPDEGRSPGCLCFFNRRGR* >Brasy2G078200.1.p pacid=40071744 transcript=Brasy2G078200.1 locus=Brasy2G078200 ID=Brasy2G078200.1.v1.1 annot-version=v1.1 MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSVPVPILVGVRAVPGRNPFIGNPKDLMQMSTPSGVLEALDQQRKTTKPAELSESEKENSQRKVVIKEQKAGVASRYMLGISSNNGKITNLNSTVDSDKSNGGSSVCDLNHKLVSGATKVKQEPKPQERPNITSPNHAKLISAKQEVNNDTHKNNGRPPSQNGSAVVKKQMPKDSKKESATERSSPPKLYKSSPPTPARTSPSKVRMPTKPNGTSNSAASVPTVKRRVTENVSWDSLPTSLIKSGKAVVRRKTIALIVAAEAQREATAAASLVKGLGIFAEIRQSTEEDLHGTVNKFFQLNRLIIQQNIFWKDNSQESGKESRPEKEKPSRKVSASQNKAAGSTAKNPDDAYTSGKLEWAREDGFQEIRKSWISLKKESQSWFLNFLENALESGFKFEDRTKNNTRERVRGQSKGGDGQIAVRLSQLKETSNWLDQLQSEVDKSADVLVETIERLKQKTYSCLLGTVETAASALESRGGY* >Brasy2G166700.1.p pacid=40071745 transcript=Brasy2G166700.1 locus=Brasy2G166700 ID=Brasy2G166700.1.v1.1 annot-version=v1.1 MDGSLASSDPGPSFAKPPSSSGDSGPCASSTCVSSSSGPGPEVEVRALVSMAGGLYPLARAEALRGLAAVVEKVETSGGGVECCYGCAVELLRDEDESIRLAAVRLIGLCAEKFAGLEEVGDQMDLIFLQLSSTARDMCMKVRIEAFNGLAKMQRVSEGVLLQSLSKKVIRTDTGSGSICKAKKLPPKLIFPCAAGIFAHGIEDEFYQVRIAACKTLGALAKFSSQYAQKALDLLMDMMNDDTEAVRLQTLQTLFNMATYGYLSVQDKHMHMFLATLIDANAIVRNAARKILGLVNLPNLQMFRSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANVAKHLAKEISTAPNGELILDKHRIQALLIVSISAPVSADKHKKLDIPSVIFSHAVPLLGRISSVLGEDIKKDLSYLCHQSGTPSAEKGLMSVEGGGSEFWSVETMRGTHALIEKTGKETNCSDEVLIMQSMRLILETVEETWAMRESCGIEEVRTILRTCKEEVKVFAIKCSGSTGAFLSFLCDYLDAIRCIVEIWQLIQLENSYAIGPTSLDILLEKLDTSVRRMKCCYTGLNRELEVQVLELVLLANLFRLSKFGVCSKLMLDKLHWIINQLDGLCADGSCEFSDFSNTVKQTFDANVIDGTLVVDICNFLKIFRLKPAKDFGMLNAVSAVLQVLGNSSENPLSYICGLPVGVSFDISLSNISGHDRIWIRMISGQSIQHAFLELSCFEGNDEVKSCSTVIPFYATPMACSFVLRVCLVIECPSGSICTQYEEHGGPRDSVVQLCDELNVYFVSTDTLCND* >Brasy2G166700.3.p pacid=40071746 transcript=Brasy2G166700.3 locus=Brasy2G166700 ID=Brasy2G166700.3.v1.1 annot-version=v1.1 MDGSLASSDPGPSFAKPPSSSGDSGPCASSTCVSSSSGPGPEVEVRALVSMAGGLYPLARAEALRGLAAVVEKVETSGGGVECCYGCAVELLRDEDESIRLAAVRLIGLCAEKFAGLEEVGDQMDLIFLQLSSTARDMCMKVRIEAFNGLAKMQRVSEGVLLQSLSKKVIRTDTGSGSICKAKKLPPKLIFPCAAGIFAHGIEDEFYQVRIAACKTLGALAKFSSQYAQKALDLLMDMMNDDTEAVRLQTLQTLFNMATYGYLSVQDKHMHMFLATLIDANAIVRNAARKILGLVNLPNLQMFRSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANVAKHLAKEISTAPNGELILDKHRIQALLIVSISAPVSADKHKKLDIPSVIFSHAVPLLGRISSVLGEDIKKDLSYLCHQSGTPSAEKGLMSVEGGGSEFWSVETMRGTHALIEKTGKETNCSDEVLIMQSMRLILETVEETWAMRESCGIEEVRTILRTCKEEVKVFAIKCSGSTGAFLSFLCDYLDAIRCIVEIWQLIQLENSYAIGPTSLDILLEKLDTSVRRMKCCYTGLNRELEVQVLELVLLANLFRLSKFGVCSKLMLDKLHWIINQLDGLCADGSCEFSDFSNTVKQTFDANVIDGTLVVDICNFLKIFRLKPAKDFGMLNAVSAVLQVLGNSSENPLSYICGLPVGVSFDISLSNISGHDRIWIRMISGQSIQHAFLELSCFEGNDEVKSCSTVIPFYATPMACSFVLRVCLVIECPSGSICTQYEEHGGPRDSVVQLCDELNVYFVSTDTLCND* >Brasy2G166700.2.p pacid=40071747 transcript=Brasy2G166700.2 locus=Brasy2G166700 ID=Brasy2G166700.2.v1.1 annot-version=v1.1 MDGSLASSDPGPSFAKPPSSSGDSGPCASSTCVSSSSGPGPEVEVRALVSMAGGLYPLARAEALRGLAAVVEKVETSGGGVECCYGCAVELLRDEDESIRLAAVRLIGLCAEKFAGLEEVGDQMDLIFLQRVSEGVLLQSLSKKVIRTDTGSGSICKAKKLPPKLIFPCAAGIFAHGIEDEFYQVRIAACKTLGALAKFSSQYAQKALDLLMDMMNDDTEAVRLQTLQTLFNMATYGYLSVQDKHMHMFLATLIDANAIVRNAARKILGLVNLPNLQMFRSALDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANVAKHLAKEISTAPNGELILDKHRIQALLIVSISAPVSADKHKKLDIPSVIFSHAVPLLGRISSVLGEDIKKDLSYLCHQSGTPSAEKGLMSVEGGGSEFWSVETMRGTHALIEKTGKETNCSDEVLIMQSMRLILETVEETWAMRESCGIEEVRTILRTCKEEVKVFAIKCSGSTGAFLSFLCDYLDAIRCIVEIWQLIQLENSYAIGPTSLDILLEKLDTSVRRMKCCYTGLNRELEVQVLELVLLANLFRLSKFGVCSKLMLDKLHWIINQLDGLCADGSCEFSDFSNTVKQTFDANVIDGTLVVDICNFLKIFRLKPAKDFGMLNAVSAVLQVLGNSSENPLSYICGLPVGVSFDISLSNISGHDRIWIRMISGQSIQHAFLELSCFEGNDEVKSCSTVIPFYATPMACSFVLRVCLVIECPSGSICTQYEEHGGPRDSVVQLCDELNVYFVSTDTLCND* >Brasy2G128600.1.p pacid=40071748 transcript=Brasy2G128600.1 locus=Brasy2G128600 ID=Brasy2G128600.1.v1.1 annot-version=v1.1 MEFSLLSTTYVILIVSSLYLLLRHLAAAGRNLPPGPRPWPLIGNLLDLGAQPHRSLARLAGRHGPLMTLRLGAVTTVVASSAEAARDVLQRHDAAFSARSVPDAARACAHDSFSVGWLPPSSPQWRALRKVCSAELFSPARLDGAHQRALRRDKVRQLVSHVARQEGARVVDVGRVAFTTVLNLLSCAVFSADLADLDGGGSSEQFRDVITEFTRAVGVPNLSDFFPAVAPLDPQRLRKRLARVFRRLHDVFDAQIDRRVRERDAGEPPKNDFLDVLLAYRSPDDGGPFDRQTLRSLLTDLFSAGTDTSAGTVEWAMAELLKNPSSMAKARQELSQVIGSRSEIEESDIAQLKYLQAIVKETFRLHPPAPFLLPRQAAATTEIRGYTVPKGTRVLVNVWAIGRDREVWSEPEEFMPERFMGKEVDFRGRDFELLPFGSGRRICPGMPLATRMVHLMVASLLWRFEWRLPREVEANGVDMGEKFGMILGLATPLQAVAQPI* >Brasy2G301500.1.p pacid=40071749 transcript=Brasy2G301500.1 locus=Brasy2G301500 ID=Brasy2G301500.1.v1.1 annot-version=v1.1 MAARHRRHNVKAPKPTWLVVEATPPAPSTGAARKAPAPPAYYSPLLLSPSVWQQKAQHTGIKKGRAAGHGHPGGVPASPRIGCMGQVINGGTSCSSSSARGGQAASRSGLSSEYATGGGGLAGLLMGLFRRRRRTRGRKPRACCKVRDVPSDSSSSSGSTRSNRGSRAPACAIDPPLPVPVPAVRRAAREDNAPSLWERRRGVGKALEGLQLT* >Brasy2G379800.1.p pacid=40071750 transcript=Brasy2G379800.1 locus=Brasy2G379800 ID=Brasy2G379800.1.v1.1 annot-version=v1.1 MPRRASWITETSTSPGARTSAWIWSPWRWPAGHLLRGGGRWHRWKKGPLWQRGPRFGFRARRRRGAHGASLTRFVGGSAFFGTEAFIFWCPPSSRRRAVQGFVALVFLAYGLFVHGFPKLWSLWCHQMWHLASRGNRRVAPDLRGYGGTTVPPDPSSYTVLHIVRDLVALLNALHLHQVFLVVHDWGATVCYNLVPTAAGPGACGRPFMPRGEVPTATITTSAGSRCRIAPVL* >Brasy2G356600.1.p pacid=40071751 transcript=Brasy2G356600.1 locus=Brasy2G356600 ID=Brasy2G356600.1.v1.1 annot-version=v1.1 MGVRRFVNLLVANRTRCTYSLRRFDLSQNQFFYESPEELASHGRVLPIQRYTEGSAYCPLKARKANGKNKKQLAASDIATIRLLPPLFNMRPTPCRPRKPDEQQLDAFALSDSKIILADRHCRVLSYDAASRYALTMPCLHAPKADPLSVSIPGSQQDDGEGSIYIIERILWPEKDQSFQFEALVSDRFRAGRYRPFGLWGCQAFPLPPTSLSEGALVTSNIA* >Brasy2G481800.1.p pacid=40071752 transcript=Brasy2G481800.1 locus=Brasy2G481800 ID=Brasy2G481800.1.v1.1 annot-version=v1.1 MASAATAPPAGKRPASGGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAVDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLMLSDYVKVSKVSVEDMLFDEQDIIRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSHEEDRHLKAAEIPQFSPDVCIVESTYGVQQHQPRHVREKRFTDAIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPELQKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIEPLNSIDNFHDVGPSVVMASPGTLQSGLSRQLFDKWCTDKKNTCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQIFYISFSAHADFPQTSGFLDELRPPNIILVHGEANEMGRLKQKLITQFDGTNIKIVSPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGEPVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESCPEEPDVPTLIVHERVTIRLESETYVTLQWSSDPISDMVSDSVVAMILNIGREGPKVVPVEEAEKTQEETEKVARKVVYALMVSLFGDVKVAEEGKLVISVDGDVAHLDGSSGDVECENAALKERIKTAFRRIQGAVRPIPLSAS* >Brasy2G009200.1.p pacid=40071753 transcript=Brasy2G009200.1 locus=Brasy2G009200 ID=Brasy2G009200.1.v1.1 annot-version=v1.1 MELSPPRPRAHPHPHPQAASSPPLVVRSPRQHTRSLLRNPKREPRRHQPSRTPSLGTGPKPSEVYGFVGSISTVIATGVYLVWAYTPETCLQSIGITYYPTKYWALAVPSLVMVAAALSMLIYMGSNFLATPPLTSLSTIADEYGRERTISTMRLDPITQEEEEEEETPIEPISDIGIHHINNIMFADFTPDPASQGQTTRSRRNNPHDANTAL* >Brasy2G252600.1.p pacid=40071754 transcript=Brasy2G252600.1 locus=Brasy2G252600 ID=Brasy2G252600.1.v1.1 annot-version=v1.1 MFCIKEKFKQMIPAAKCQLKGFITSNACPVYPRQPVDSLTRAKTNFSRHRSFAESSLKASSREQEIVIALGGNVGDRISNFNTALELMKDSGISILRHACLYESAPAYVTDQPPFLNSAVRGTTKLGPHDLLRLLKKIEKDLGRTDGIRYGPRPIDLDILFYGKHHINSESLTIPHERIHERPFVMAPLMDLVGTSTETDVDASWHSLSNCSDGLFKIWENLGGESLIGKEGLRRVLPVGGELLDFAERTHVMGILNLTPDSFSDGGKFQEVEAAVNQVKLLVSEGADIIDIGAQSTRPSARRLSVGEELERLVPVLDAVTKIPEIEGKLLSVDTFYAEVAAEAVSRGVHIVNDVSAGLVDPKILEVVRELGVPYIVMHMRGDPSTMQSDRNLQYEDVCKQVASELYSRVHSAEMAGIPLWRLIVDPGIGFSKDSKQNLQLLAGLSSVRREMSKTSVGASHMPMLVGLSRKRFLGEIYNHANPVERDPATVSAATSAILGGANIIRVHNARFGVDTAKICDALLKQRNTRELF* >Brasy2G252600.2.p pacid=40071755 transcript=Brasy2G252600.2 locus=Brasy2G252600 ID=Brasy2G252600.2.v1.1 annot-version=v1.1 MFCIKEKFKQMIPAAKCQLKGFITSNACPVYPRQPVDSLTRAKTNFSRHRSFAESSLKASSREQEIVIALGGNVGDRISNFNTALELMKDSGISILRHACLYESAPAYVTDQPPFLNSAVRGTTKLGPHDLLRLLKKIEKDLGRTDGIRYGPRPIDLDILFYGKHHINSESLTIPHERIHERPFVMAPLMDLVGTSTETDVDASWHSLSNCSDGLFKIWENLGGESLIGKEGLRRVLPVGGELLDFAERTHVMGILNLTPDSFSDGGKFQEVEAAVNQVKLLVSEGADIIDIGAQSTRPSARRLSVGEELERLVPVLDAVTKIPEIEGKLLSVDTFYAEVAAEAVSRGVHIVNDVSAGLVDPKILEVVRELGVPYIVMHMRGDPSTMQSDRNLQYEDVCKQVASELYSRVHSAEMAGIPLWRLIVDPGIGFSKDSKQNLQLLAGLSSVRREMSKTSVGASHMPMLVGLSRKRFLGEIYNHANPVERDPATVSAATSAILGGANIIRVHNARFGVDTAKICDALLKQRNTRELF* >Brasy2G481100.1.p pacid=40071756 transcript=Brasy2G481100.1 locus=Brasy2G481100 ID=Brasy2G481100.1.v1.1 annot-version=v1.1 MVSSHGRLASLTKQLTRHVNAGRHRDALAFFARMASDPALPPLSDPSFAYAFPLALKSAAALRVPSAASTIHALAVKCGGLLCSPFVASALIASYGACASSPDVARRLFDELPCRNGFVWSAMVSAYARSGDVAAAVQVLDLVDVAPTASCFNSVIAAVVESGEHPARAIELYRRMLRLGVEPCFITLLALVPACTALGALNSVREVHSFAVRHGMSACCHLGSSLIEAYGRCGSLVAARRVFDQVEERDVVVWSSIVSAYAFHGLGDVAMSLFRRMELDNVQPDGIMFLGVLKACGHAGHADDALKYFDVFTEMYGVEACGDHYSCLVDVLGRAGRLHQAYGVIRTMPVRVTAKAWGALLAACRKYGEVGLAEVAARALFELEPGNAGNFVSLANVYSGVGMHAEAERVRRDMEQRGVQRSPGSSWMIHRKSSQLF* >Brasy2G178200.1.p pacid=40071757 transcript=Brasy2G178200.1 locus=Brasy2G178200 ID=Brasy2G178200.1.v1.1 annot-version=v1.1 MLLRPSRLPRLPPRCLRSVSPMASTLALLRPSAPSPLAVTSARSSAAAVRVPSRSRVSLRVSLGSEVAAGPDTLFADYKPTTAFLFPGQGAQAVGMGKYALNVRAAAELFDKANDILGYDLLNLCIDGPKEKLNSTVISQPAIYVTSLAAVEVLRAREDGQSVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEDVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRSPRIPVISNVDAQPHSDPGTIKKILAQQVTSPVQWETTVNNLLGKGLEKSYELGPGKVITGIIKRINKGASIENIGA* >Brasy2G420500.1.p pacid=40071758 transcript=Brasy2G420500.1 locus=Brasy2G420500 ID=Brasy2G420500.1.v1.1 annot-version=v1.1 MGTEGSAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVREVSSAAPPTTAAAAASAPASAAASMFPSGFRQP* >Brasy2G012000.1.p pacid=40071759 transcript=Brasy2G012000.1 locus=Brasy2G012000 ID=Brasy2G012000.1.v1.1 annot-version=v1.1 MLHHHRLPWVALTEGDVVTPSDGRSHRLPSLLDDAITVEGSTDTCLLLGLDPFSGTTVPLTTLDGALHNNHQTCVGICKFVMRSTVDDFIAVVTNNMNYPMAVFRQGKGAWLPAPQTRPYMYIIDVAFLGDTLYAINKAEDLIPLDLAVGGDGKPMVAMGRHVIRQSPDYYDYDMWSASDDDDDDENDDQGEEEEEEINDDDDDVIDHVGEEDEDGIDDDSSDDANETEEEYNDELGIDDVKETSETNILSRHLIESRVLEADASTGAWVPVRIGNGRALFISMNFSKSVSAPCGEVKEDVIYDIDTGEVYDMKSQRTQRFYTPRGGVTWFFSPELVV* >Brasy2G243200.1.p pacid=40071760 transcript=Brasy2G243200.1 locus=Brasy2G243200 ID=Brasy2G243200.1.v1.1 annot-version=v1.1 MEQLLGLGAQQQQHQPHRSQSPRTPTRPHLQHIPSNRFRDHHHAQPHAAAAALKILRITPPFFLILLAAVYLLASFTILSAPASSSLRATENPNRLVLPMAAPSRPPSPEIFELDNGRMRARISNVGATVTSLLVPDKNGVLGDVVLGFDSLDPYLNGTSPYFGCIVGRVANRIKDGMFTLNGVQYSLGINNPPNTLHGGFKGFDKVIWEVTEYNNKGRTPSVTLKYYSKDGEEGYPGDVSVTARYSLLSSTSLKLEMEAVPLNKATPISLAQHTYWNLAGHNSGDVLRHSIQIRASHITPADQTSIPTGEFMPVSGTPFDFLTESEIGGRIGQVPAGYDHNYVLDSPGKVKSGLQHVAKVTEPSSLRVLNIWADAPGVQFYTGNFLDGVVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVHPGEKYMHTMMFEFSTK* >Brasy2G474600.1.p pacid=40071761 transcript=Brasy2G474600.1 locus=Brasy2G474600 ID=Brasy2G474600.1.v1.1 annot-version=v1.1 MADDEDVALSDVDEDPLPPPSSSSSKFPKSDPRHAEAQQRLLDLAAELDEERRLCRAADEALANSKTRLGRLAFVQDALRKRDDLKAQAAASARALAESRPRPPPPPPCSPHFERISAKASLSAPRSRHRPPPPPCSPHFERISAAHPATHLPEVLL* >Brasy2G313100.1.p pacid=40071762 transcript=Brasy2G313100.1 locus=Brasy2G313100 ID=Brasy2G313100.1.v1.1 annot-version=v1.1 MRVSYTTMVGLVLLVLASDTTTASTTKDGYLISTDSVVKTPMPCDEIKRCIDTCTSLSCWKPEISCVNGECNCKFW* >Brasy2G258500.1.p pacid=40071763 transcript=Brasy2G258500.1 locus=Brasy2G258500 ID=Brasy2G258500.1.v1.1 annot-version=v1.1 MSNTCECCKKYGKAKRFVRRMDKNSRHSMVIPERFMNHFTRRMPGNIKLEAPDGNVYDVGVTKHRNRTIFQSGWETFIDANNIVHNNSLMFRYLGGSYFKVAVFDSSGCEKVVPCSRIKSIGQEPITSSVSMSSSFSYHNTQLSVSRSDGCHSGRRGKGAKRAKTYAASSPSEDLSGEDNPYEHDSSESDDHIVSKPLYILSVQCYVTEEQEARIVTLVDKIQPEIPLLVTLISKPNVKPYPDLVIPKDYATAYFPHRSQIITFQLAGQTKTWHCKFRARPDGGRCNLYGCYFVRDNHLLQGDLCLFQPMTNGEGTTFTVMIHVLPKASIDHPSDIIPSSASTKMTSQVRVKEEQSDKTSRRPVGCESGSSSHTRNTTNKTVISSPSEESDDVCTPPGHDYVLSRWSYLAEAQKEKVVMLIRKVQPRSRVFVAIMRKSNVQPLYPCLIICKEYATVYFPRESASVTLQRPGQSKKWHPRFYKRKDASMHKIRGQWSDFVCDNRVKEGDICIFIPAKGGRRSTFTVHLLRVENDMHAGPSDEQEKPYILSQTSSLSPLQQSLVEDKTQAIQSEAPLYVAIMNNTSVGANQRYTMEFGAQFAAVYLPKGEQTMLLQHTGKIWHTKMRVRHGTRWVLGEGWRKFVSDNRLRVGDICLFELKKNRRKLTMVVHIISSHQC* >Brasy2G258500.4.p pacid=40071764 transcript=Brasy2G258500.4 locus=Brasy2G258500 ID=Brasy2G258500.4.v1.1 annot-version=v1.1 MSNTCECCKKYGKAKRFVRRMDKNSRHSMVIPERFMNHFTRRMPGNIKLEAPDGNVYDVGVTKHRNRTIFQSGWETFIDANNIVHNNSLMFRYLGGSYFKVAVFDSSGCEKVVPCSRIKSIGQEPITSSVSMSSSFSYHNTQLSVSRSDGCHSGRRGKGAKRAKTYAASSPSEDLSGEDNPYEHDSSESDDHIVSKPLYILSVQCYVTEEQEARIVTLVDKIQPEIPLLVTLISKPNVKPYPDLVIPKDYATAYFPHRSQIITFQLAGQTKTWHCKFRARPDGGRCNLYGCYFVRDNHLLQGDLCLFQPMTNGEGTTFTVMIHVLPKASIDHPSDIIPSSASTKMTSQVRVKEEQSDKTSRRPVGCESGSSSHTRNTTNKTVISSPSEESGHDYVLSRWSYLAEAQKEKVVMLIRKVQPRSRVFVAIMRKSNVQPLYPCLIICKEYATVYFPRESASVTLQRPGQSKKWHPRFYKRKDASMHKIRGQWSDFVCDNRVKEGDICIFIPAKGGRRSTFTVHLLRVENDMHAGPSDEQEKPYILSQTSSLSPLQQSLVEDKTQAIQSEAPLYVAIMNNTSVGANQRYTMEFGAQFAAVYLPKGEQTMLLQHTGKIWHTKMRVRHGTRWVLGEGWRKFVSDNRLRVGDICLFELKKNRRKLTMVVHIISSHQC* >Brasy2G258500.2.p pacid=40071765 transcript=Brasy2G258500.2 locus=Brasy2G258500 ID=Brasy2G258500.2.v1.1 annot-version=v1.1 MSNTCECCKKYGKAKRFVRRMDKNSRHSMVIPERFMNHFTRRMPGNIKLEAPDVFDSSGCEKVVPCSRIKSIGQEPITSSVSMSSSFSYHNTQLSVSRSDGCHSGRRGKGAKRAKTYAASSPSEDLSGEDNPYEHDSSESDDHIVSKPLYILSVQCYVTEEQEARIVTLVDKIQPEIPLLVTLISKPNVKPYPDLVIPKDYATAYFPHRSQIITFQLAGQTKTWHCKFRARPDGGRCNLYGCYFVRDNHLLQGDLCLFQPMTNGEGTTFTVMIHVLPKASIDHPSDIIPSSASTKMTSQVRVKEEQSDKTSRRPVGCESGSSSHTRNTTNKTVISSPSEESDDVCTPPGHDYVLSRWSYLAEAQKEKVVMLIRKVQPRSRVFVAIMRKSNVQPLYPCLIICKEYATVYFPRESASVTLQRPGQSKKWHPRFYKRKDASMHKIRGQWSDFVCDNRVKEGDICIFIPAKGGRRSTFTVHLLRVENDMHAGPSDEQEKPYILSQTSSLSPLQQSLVEDKTQAIQSEAPLYVAIMNNTSVGANQRYTMEFGAQFAAVYLPKGEQTMLLQHTGKIWHTKMRVRHGTRWVLGEGWRKFVSDNRLRVGDICLFELKKNRRKLTMVVHIISSHQC* >Brasy2G258500.3.p pacid=40071766 transcript=Brasy2G258500.3 locus=Brasy2G258500 ID=Brasy2G258500.3.v1.1 annot-version=v1.1 MSNTCECCKKYGKAKRFVRRMDKNSRHSMVIPERFMNHFTRRMPGNIKLEAPDVFDSSGCEKVVPCSRIKSIGQEPITSSVSMSSSFSYHNTQLSVSRSDGCHSGRRGKGAKRAKTYAASSPSEDLSGEDNPYEHDSSESDDHIVSKPLYILSVQCYVTEEQEARIVTLVDKIQPEIPLLVTLISKPNVKPYPDLVIPKDYATAYFPHRSQIITFQLAGQTKTWHCKFRARPDGGRCNLYGCYFVRDNHLLQGDLCLFQPMTNGEGTTFTVMIHVLPKASIDHPSDIIPSSASTKMTSQVRVKEEQSDKTSRRPVGCESGSSSHTRNTTNKTVISSPSEESGHDYVLSRWSYLAEAQKEKVVMLIRKVQPRSRVFVAIMRKSNVQPLYPCLIICKEYATVYFPRESASVTLQRPGQSKKWHPRFYKRKDASMHKIRGQWSDFVCDNRVKEGDICIFIPAKGGRRSTFTVHLLRVENDMHAGPSDEQEKPYILSQTSSLSPLQQSLVEDKTQAIQSEAPLYVAIMNNTSVGANQRYTMEFGAQFAAVYLPKGEQTMLLQHTGKIWHTKMRVRHGTRWVLGEGWRKFVSDNRLRVGDICLFELKKNRRKLTMVVHIISSHQC* >Brasy2G000100.1.p pacid=40071767 transcript=Brasy2G000100.1 locus=Brasy2G000100 ID=Brasy2G000100.1.v1.1 annot-version=v1.1 MDTVFLFFFLIFSLPHEITFSTADDRCDRRCGDGAPTVPYPFGFSPGCPIPLFCDAANGSMPVVQIRGPNGTSYRVVVFNATTFVVTVPPSCNRTVQEARTALSGPNYGISGRTGLFLRGCRAHASSPNASACSVPAGVISRQLRTAQCGAGIGNDTSAAAVACVASAAQNATADTFLNWDKAEKTECDDVLTSAVYVGGSSSAAEFGVAELGWWLDGTCAAGSCAAKKAACTDMWTPGGMAGHRCQCAEGMNGDGFVAGDGCYLPGEPHFP* >Brasy2G487700.1.p pacid=40071768 transcript=Brasy2G487700.1 locus=Brasy2G487700 ID=Brasy2G487700.1.v1.1 annot-version=v1.1 LPFNRVSSVPITSCYDFGSIRFIYFNPTMSFSKPVRHGPNSDSSQTVQSDDATHYDSNEDNQSFSSSKSATSRTSPKTLYWIIKKFNNIKRELVKEILHLVHFM* >Brasy2G287200.1.p pacid=40071769 transcript=Brasy2G287200.1 locus=Brasy2G287200 ID=Brasy2G287200.1.v1.1 annot-version=v1.1 MAWRSAPATSAPTTAAPASSLPSPEFPTVATGRRAAGSFSGPAPSGSSCTLSIYNVQAAEAATGSDGVKDSDNSGASASTGSSRTVKTALAVSIAVAAVLLVLLAFAFYLLSKRNMKPHRHVPISSADNGNGDEMMSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGILQDGREIAVKRLSTTSQQGHLEMKNEVIFLAKLQHKNLVRLLGCCIEEDEKLLVYEFLSNKSLDKILFDPRRQQELSWDNRHKIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPDMNPKISDFGLAKLFTMDASVGNTSRIAGTYGYMAPEYAFQGTFSTKSDVFSYGVLVLEVVTGRRNAYGQDSEDLVSSVWTQWSRGNVSGLLESCSADGLRPQEMLRCIHVGLLCIQEDAHLRPSMVAIVVMLNSLSITLPAPTTPAYIVPSRVGDNPEGAAAMGRRTRDQPPTRVALVPSINDASVSDLKPR* >Brasy2G376800.1.p pacid=40071770 transcript=Brasy2G376800.1 locus=Brasy2G376800 ID=Brasy2G376800.1.v1.1 annot-version=v1.1 MEPVPVSDDDLPPKDLRYQRAIRVALLAHPEVGDDPHGSPFRRAEQAERHGQLLAVRGDRAPLLLLTGVPRQGHLRRVGLVALEPELPLHLVEHLVDVGRPRVRPVLRREPVRPAVHLHGAEPRRLRQAPVRRLRPERGRRVPVLGPGVHVREDHVVPGVHGADAGQLLERALGELDVAVDPARVQQAPPGRVRRPRGHAPDERARVVQPARAGHEVHHAAVVLRVWCHLVLQPHPVEATEPFLEQPGPAAGVQDADVHGLVGLVALPGHSVEHLERLHPVPVQRQAGHHGAVRPLVLAVHRVEHRRGLVDAAALGVHVHQGRLHERVRRHGVPQQRAVDAPADVVGAQVRARRERAHHGALLGPAPGARHGTERIEAVSPPPGPRVRGQQRAPGSRRRALLGLHLLERAASQLRLAALPVEADEAVAHVLVALEEPELHDVPVELHPLPRGREPRARLEHERERVAVGLDALHQHGGVEPQRGRGVVVGDVAAHQGVVVEGLRLVQRREDGRRVRHAARPRPERARGEERPRVPRGAVEAELDVPRVRLPGLVQAPGRDRRGAGAAWPWRLLPRSDLLRCRRRRSRGVGS* >Brasy2G126400.1.p pacid=40071771 transcript=Brasy2G126400.1 locus=Brasy2G126400 ID=Brasy2G126400.1.v1.1 annot-version=v1.1 MAQPCGLPRGGRPRLQQTGGICLAVALCVLALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLQGLGIGRVRLYDADPNVLRAFAKTGVELFVGVPDQCLAAAADPAGAASWVKENIQPFVVDTKIVALTVGNEVLTGNDSALMRTLVPAMNSLHSALAAVGLDKQIAVTTAHNLGVLGTSYPPSAGAFRKDLLPYLCPILDFHARTGSPFLVNAYPYFAFSDDPKGIHLEYALLEPGYPGVPDPNSGLHYPNLLVAQVDAAYHAIAAANSAASRVVEVRVSETGWPSSGDAGEKAATPQNAARYNSNAMRLVAEAKGTPLKPGVPLRAYVFALFNENLKPGPASERNYGMFKPDGTPVYELSYKLPRDNSTFGGGGGGNGTGGYNNNTGGSSGYYGFSAASRVATDRWTWARAAVAGVVVVLMVAAY* >Brasy2G036400.1.p pacid=40071772 transcript=Brasy2G036400.1 locus=Brasy2G036400 ID=Brasy2G036400.1.v1.1 annot-version=v1.1 MVSEQTPAAMEPELESGDATPVAVVDVKAEDDGAPVVEDVKEGGDEDDEEEEEDDDEDEEEEDGELGVAGSEGSKQSRSEKKSRKAMMKLGMKPVTGVTRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMHDLSKAMAKPDGGAASSGSAGAEEEEEAVDETGIEARDIDLVMTQASVSRAKAVRALQAHDGDIVSAIMELTA* >Brasy2G258900.1.p pacid=40071773 transcript=Brasy2G258900.1 locus=Brasy2G258900 ID=Brasy2G258900.1.v1.1 annot-version=v1.1 MVMLQETKLNSLSTFKLATFLPPSLHNFVHLQADGSAGGILTAWNSARFSKTQEEFGDRSVSVHLECQSSDLAFWATNVYGPNVDGDREQFFQDLINLQSSVTGSWIIAGDFNSVRSSQDRNSGRASFAETELFNDLIRELQVQELPLLDRNFTWSNMQTNPILTRIDRIFFNADWDIALPNSTLYSLPRCTSDHFPLKIEATTQIPKSRKQTSV* >Brasy2G381500.1.p pacid=40071774 transcript=Brasy2G381500.1 locus=Brasy2G381500 ID=Brasy2G381500.1.v1.1 annot-version=v1.1 MLMIERLQREKAAAQMEARQFRRYAEGRADREREVQDELASLSDLAASYHSRLQSHGIDPDSFSDEEEELYEEERERAQQIDMTEAEGNGTDFSSGMEVKAMVDDDDEEEQSTPVEKEFEYTVDVRCASPTMAAVAVVGEYVGSVAGNAGGLYARVEALEADRADMRREIVAMRAERAQLVMAREMARRLCWEMVSEQKSIVKKATAPARSFSALRICKWLLSVIFWRRSSTVRYTFGLSTTFLGLLLLLDRSSMLSPWRRLPRPQR* >Brasy2G032900.1.p pacid=40071775 transcript=Brasy2G032900.1 locus=Brasy2G032900 ID=Brasy2G032900.1.v1.1 annot-version=v1.1 MGGQHGGQMQSYSAIGQGHQQILSHRKKLVQCQKCKELGHVMKECNTAVHCVICAKDTHLTEDCVWPKQAKPVACFVGYGAPGLGCLLVHNTKMAHLAGHVNPMAVIHIKDEEVDEQQLAAGFQAMFRWSWEWRAKAQGQNQFLMRMPSRTKLEELCNFEDFKLKGTGVSVRVTEWIPEVTANGKLNTAWVKVQGLPDSLKNYMGVCEVGSVLGPVLDVDMDQLRGHGVVKIKMGIRDLAKLPIQTEIVTRELLMYDLYFTLDSIVEVGWQKNLRAVAPRGYEEVDARDPKKQRKDLSSSREIIQLGSPSSAQFESAKRAIGGSDGNRFAALLQYKEKEQMHTAQLQNVEEELSKKTALIEKEKRALDDLAVAKTKMEQEVLQHQAQLEKTSRQLKEVQQLLEIHREYGVGVSESQENVDVEEPLDYTASQEKEEPKGPDSQDLDNEAADSQDDRIASSIGINLGVAIDMVDHNVGLLKDLEQARINLYTQKDRNPDLGDSNCNGNFNSGDKVLDELLSELDAEESLADEKDGEGFQEVVGKKKKKWKKQSPDMISVKALVKYRKKK* >Brasy2G331000.1.p pacid=40071776 transcript=Brasy2G331000.1 locus=Brasy2G331000 ID=Brasy2G331000.1.v1.1 annot-version=v1.1 MSDFQTSTHRERWIFQPHDLFVIPVVLSALLMDKWATANQRSAETLAQYGTTRLKVDPVDGSISYPEPAPDHVVGSSTSVKPLSCEEEQLMRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRPIEGFIDDMDGFCKAGNGAHQRLKELHQTANSHVDKMMLTDAPLLYSPGQLALAALSKSNDMHQVLNFERYLGSVFSRQKSNCPVEQFVQTINAINYLVDQLQIPTLKDMRHADRKLKHCLDPSSHDEHKKKEKKSKHKSKRAASDAQL* >Brasy2G331000.2.p pacid=40071777 transcript=Brasy2G331000.2 locus=Brasy2G331000 ID=Brasy2G331000.2.v1.1 annot-version=v1.1 MPQFVIPVVLSALLMDKWATANQRSAETLAQYGTTRLKVDPVDGSISYPEPAPDHVVGSSTSVKPLSCEEEQLMRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRPIEGFIDDMDGFCKAGNGAHQRLKELHQTANSHVDKMMLTDAPLLYSPGQLALAALSKSNDMHQVLNFERYLGSVFSRQKSNCPVEQFVQTINAINYLVDQLQIPTLKDMRHADRKLKHCLDPSSHDEHKKKEKKSKHKSKRAASDAQL* >Brasy2G331000.3.p pacid=40071778 transcript=Brasy2G331000.3 locus=Brasy2G331000 ID=Brasy2G331000.3.v1.1 annot-version=v1.1 MPQFVIPVVLSALLMDKWATANQRSAETLAQYGTTRLKVDPVDGSISYPEPAPDHVVGSSTSVKPLSCEEEQLMRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRPIEGFIDDMDGFCKAGNGAHQRLKELHQTANSHVDKMMLTDAPLLYSPGQLALAALSKSNDMHQVLNFERYLGSVFSRQKSNCPVEQFVQTINAINYLVDQLQIPTLKDMRHADRKLKHCLDPSSHDEHKKKEKKSKHKSKRAASDAQL* >Brasy2G263000.1.p pacid=40071779 transcript=Brasy2G263000.1 locus=Brasy2G263000 ID=Brasy2G263000.1.v1.1 annot-version=v1.1 MPSPSRRTLDRFLSSLSLHGCCRRTPPPAPTPRSPPPLRSPTRYPWLQLRSRSRTAPPQRSPTNPTPWDPAIGLPPRTRKTSLSPAPLVSLLPSFTIQRPNPPTLQIWRRRPIPFSGASPSPLLRCFQSHIRHAPVQRTEVASHLQALLRRHHPQTSTRLVSLKQWAISN* >Brasy2G096300.1.p pacid=40071780 transcript=Brasy2G096300.1 locus=Brasy2G096300 ID=Brasy2G096300.1.v1.1 annot-version=v1.1 MRKHGWQLPYHPLQVVAIAVFAALGFAFYVFFLPFVGSKTSQNVAMGLYTPLITCVVMLYIWCAATNPGDPGISKPSKHLKLDKDGHQSQINSDHGLSHGGRSLSEGFNAVDNSEKLSSMLERKDSPSWPKCSEVLCLVCLPFSCLCKRCLHPDDQLSEQNMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFVLMASAVLLLVVQWLSGVLVIILCIVKRGEFSRQIDSKLGSSFSTVAFVVVVATCTILAMIATVPLAQLLCFHILLIKKGISTYDYIIALREQEDDQQEEVAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMSQNSGSKKMKVAEGARRRNGTVKISPWTLARLNAEEVSKAAAQAKKKSKILKPIARQDPPIVHENRRGRFHSELSLDPLARLSASGTESNSSDAAMETSASLAPLQLEARSAFQPSTAASSRIAASSPESSFGSPDLHPFRISTSTADEMQVVMPHPAQNHIEFTRSTSDGYEASGGEDSDRIPSRIVHRSSNWANSILHSGQAGLAPDQCMPSSEGFLTNTRSS* >Brasy2G473500.1.p pacid=40071781 transcript=Brasy2G473500.1 locus=Brasy2G473500 ID=Brasy2G473500.1.v1.1 annot-version=v1.1 MEKAASFLSSLLGGGGDEGPAAATVKSLLVYPIKSCRGISVPQAPVTSTGFRWDRQWAVVNAKGRAYTQRVEPTMALVEVEMPPEAFHEDWHPTPDSYLVVRAPGMDPLKVPLAAERATLDDVSVWEWSGSAYDEGDEAAEWFSTYFGKPSRFVQFKQESEIRPTNLDYAQGYKITFADCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKNIKINKLAFQGVKLCNRCKVPTINQDNGILGTEPTETMLTFRSDEVLRPGHKNKRQVYFGQNLVCKESLSARGKGQIIKVGDPVYVLQAFPSSNEAPA* >Brasy2G039700.1.p pacid=40071782 transcript=Brasy2G039700.1 locus=Brasy2G039700 ID=Brasy2G039700.1.v1.1 annot-version=v1.1 MAIARLVAAPFFSPLAAAAAAAARARTRLPFAPLSASAARARAASVPVLRVASDGSGQEAQEEDDVAPAPGAAAVSRERAARKESERRTYLVAALLSSLGITSMAAAAVYYRFAWQMEGGGEIPVTEMFGTFALSIGAAVGMEFWARWAHRALWHASLWDMHESHHRPRDGPFELNDVFAIVNAVPAMALLAFGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFDSVPYGLFLGPKELEEVGGTEELEQEIKKRIKRREALDARQ* >Brasy2G298800.1.p pacid=40071783 transcript=Brasy2G298800.1 locus=Brasy2G298800 ID=Brasy2G298800.1.v1.1 annot-version=v1.1 MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYMARWPDYFHAAVNPGDRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKTLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVDKKSIIPLKRPITPDELEYD* >Brasy2G267500.1.p pacid=40071784 transcript=Brasy2G267500.1 locus=Brasy2G267500 ID=Brasy2G267500.1.v1.1 annot-version=v1.1 MRFWRDGGASGSGRELNGGPPCGQVRVLIVGDSGVGKSSLAHLILKGFAIARPAQTIGCTVDVKHITYGSPGSSSNTIKGDAERNFFVELWDVSGHERYRGCRSLFYSQINGVIFVYDLSQRKTKANLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDTAPRDGRRVSSGNLVDVARQWVEKQGLLPSGEELPLVESFPGNSGLLTLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDEELFKRKSYGGQSYKYNGVAPLPAQRNLTPPLTPYPQQPMSSTSENYRYHRYSSSSIPDASSSRANRLDLNL* >Brasy2G230800.1.p pacid=40071785 transcript=Brasy2G230800.1 locus=Brasy2G230800 ID=Brasy2G230800.1.v1.1 annot-version=v1.1 MPNPPPARLQMPTPPLFARPSSAPSTPHSAPPPPLCPSAWTQTKPNRPFLSPRRSRPALLAVGRSKNKDDASFTDRILDYIEGGPKLRKWYGAPDLLPKDWGAEDEEDESSDIEEPRDAVLVTNGDSEIGQMVILLLILKRTRIKALVKDKRSTEEAFGTYVECMVGNIEDKSFTKKTLMGVRAVICPADDGFFSDLIDLKGVEHIVLLSQLSVYRNSGGLQAIMNSKLRKLAERDEEVVIASGIPSTIVRAASLQTTPGGERGFNFAEGIAAKGRISKEDAATICVEALYAVPQTTLVFEVANGDEKVTDWKAWFAERMAKG* >Brasy2G161000.1.p pacid=40071786 transcript=Brasy2G161000.1 locus=Brasy2G161000 ID=Brasy2G161000.1.v1.1 annot-version=v1.1 MTRKHPPPAHRHRWMRSMLLALPLLSLPLLYAALGTARSSSSSSPPPPLAARLGRQQQLPLLPPPRLAYLISGGPGDGPRIRRLLRALYHPWNYYLVGVTGEEERADLEAFVRGEEAPRRYGNVRVAAPGEWAAVSRRGPTELAATLHGAALLLKEFEGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIDHTSNIGWKEQQRARPIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCILGWDNLPRTLLMYFANFLSSSEGYFHTVICNSKYYQNTTVNSDVRFMAWDNPPRTHPVNLTAEYFDAMANSGLPFAHSFTDDNPVLDMIDAKLLRRAPDHFTPGGWCLGSPVGGKDPCTFFGRSFVLRPTSGAGKLEKLLLKLLEPDNFRPKQCI* >Brasy2G077500.1.p pacid=40071787 transcript=Brasy2G077500.1 locus=Brasy2G077500 ID=Brasy2G077500.1.v1.1 annot-version=v1.1 MAVSSSLGSAKALACFPASHLAPRRTASSSTCSRLPSIKMCASPAPPAESKKTVWVWTENRQVMTAAVERGWSTFLFGSKDLAKDWSSTARILPLYIDGLEIFDEGNRKVAAISEISSPSELQLIQPDNVEVQNTVIHFQGDWQVIPAENIVAAFQGFEGTVLAVSKNSTEAQVFLEALEQGFDGVVLKVENMDDIIKLKDYFDRRNEIKSQLQLTKATVSKVEVVGMGDRVCVDLCSIMQPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGDKTSYLSELRSGREVIVVDQNGLWHTAIVGRVKIESRPLILVEAKESSGHDTYSIFLQNAETVALITPDRGSGGRKAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK* >Brasy2G056600.1.p pacid=40071788 transcript=Brasy2G056600.1 locus=Brasy2G056600 ID=Brasy2G056600.1.v1.1 annot-version=v1.1 MGFLAFHTSFVFLLLLLSLSFDPVLGAVSEQEEALRSYIIQLHPREAAGGADATLAASSSKHDWHLSFLEKSSSVPRVEQQDNVVQQQRLSSSRLLYSYHTVFDGFAAQLTATEAASLRAHPGVASVREDRRVELHTTYSPKFLGLNLCPTGAWARTGYGRGTIIGVLDTGVWPESPSFDDRGMPPVPDRWRGACEAGERFDASNCNRKLVGARFYSKGHRANNHPTPGAASATEYASPRDAHGHGTHTASTAAGAAVAGATVLGAGAGEEEGDEATARGVAPGAHVAAYKVCWFNGCFSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNNGPEPGTVANEAPWVLTVGAATMDRRFPAYVRLGDGRVLYGESMYPGKKLHSKNGGNKGQELELVYAAGGSREAMYCMKGALSASEVSGKMVVCDRGITGRADKGEAVREAGGAAMVLANTEINQQEDSVDVHVLPATLVGYKEAVDLKSYIGSTPRPTARLVFGGTRIGRARAPAVALFSSRGPSTTNPSVLKPDLVAPGVNIIAAWTGSVGPSGLDGDRDPRRSNFTVLSGTSMACPHVSGVAALVRSAHPSWSPAMVRSAIMTTADATDRRGKPIADDGGAGDGMPLPADAFAMGAGHVSPARAVDPGLVYDVEPGDYVTHLCTLGYTEKEVFKVTHAGGVNCSDLLRENEGFTLNYPSISVAFEDAGRRAPRKELRRTVTNVGAPNSTYAVEVAAPAGVKVRVTPTTLIFAEFGEKKSFSVSIEAPRMGKDSADGYLVWKQLSGGQGRRRTVRSPIAVTWVLE* >Brasy2G098100.1.p pacid=40071789 transcript=Brasy2G098100.1 locus=Brasy2G098100 ID=Brasy2G098100.1.v1.1 annot-version=v1.1 MQSSQEATMAGPRRSSVEAPLQLPPMAALLLLWIFSWNCGHAAAQIDPANMTALQKHVSFFDLNKDGIITPLETIAGFVAIGCEPAFSSAAATTIHGALGPKTSPADALPGLEIHVENIHKAMHGSDTGALDAKGRFVPQKFEEIFTRFAKIRQDALTFPEIQAMLVAHRDILDLASWASPQAEWGLIYTLASDLLGFLHKDSIRGIYDGSVFTKLEEKWNPSQSDMR* >Brasy2G451300.1.p pacid=40071790 transcript=Brasy2G451300.1 locus=Brasy2G451300 ID=Brasy2G451300.1.v1.1 annot-version=v1.1 MADLAVASPAVPTDLFGEPIEAHPPWFRPDAFLRAGFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLAELRDKVAAFRAAASAALAALRAGLEQRAAAAAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSSAEVVSVDKGYSGNDVTPPNVEARTDVRETQSILLERIASEMNRLKFYISHEQNLPFIENMEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQNIMPLNYAKAVSGVASDDLEDDYQRIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKDFLKNYKASLKFLDFLEGYCPSKSAVTKFRSEPAYADFMRQWHVSVYFSLRFQEIAGGLDSALTTTISPVGMNDSQTKQKKLLLKQSVKLLESLHSCWSDDVLVFSHSDKFLRLSLQLISRYTTWLSLGLAARKASDGSSSSPADAEWALSVPVEDFIYVMHDVNAVIDELSESGNFLGHVNQLLASCPIEVLTLVKQSILQAVEPLKEVLPGIMDVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKTKLRCGSTDKITAIYFDMVSEVVNVARKTESSLQRLRQGAQRRVGASADASDNVISDTDKICMQLFLDIQEYARNLRLLGIDAREIESYRALWQCVAPKDKQDHIQF* >Brasy2G451300.3.p pacid=40071791 transcript=Brasy2G451300.3 locus=Brasy2G451300 ID=Brasy2G451300.3.v1.1 annot-version=v1.1 MNRLKFYISHEQNLPFIENMEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQNIMPLNYAKAVSGVASDDLEDDYQRIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKDFLKNYKASLKFLDFLEGYCPSKSAVTKFRSEPAYADFMRQWHVSVYFSLRFQEIAGGLDSALTTTISPVGMNDSQTKQKKLLLKQSVKLLESLHSCWSDDVLVFSHSDKFLRLSLQLISRYTTWLSLGLAARKASDGSSSSPADAEWALSVPVEDFIYVMHDVNAVIDELSESGNFLGHVNQLLASCPIEVLTLVKQSILQAVEPLKEVLPGIMDVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKTKLRCGSTDKITAIYFDMVSEVVNVARKTESSLQRLRQGAQRRVGASADASDNVISDTDKICMQLFLDIQEYARNLRLLGIDAREIESYRALWQCVAPKDKQDHIQF* >Brasy2G451300.2.p pacid=40071792 transcript=Brasy2G451300.2 locus=Brasy2G451300 ID=Brasy2G451300.2.v1.1 annot-version=v1.1 MEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQNIMPLNYAKAVSGVASDDLEDDYQRIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKDFLKNYKASLKFLDFLEGYCPSKSAVTKFRSEPAYADFMRQWHVSVYFSLRFQEIAGGLDSALTTTISPVGMNDSQTKQKKLLLKQSVKLLESLHSCWSDDVLVFSHSDKFLRLSLQLISRYTTWLSLGLAARKASDGSSSSPADAEWALSVPVEDFIYVMHDVNAVIDELSESGNFLGHVNQLLASCPIEVLTLVKQSILQAVEPLKEVLPGIMDVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKTKLRCGSTDKITAIYFDMVSEVVNVARKTESSLQRLRQGAQRRVGASADASDNVISDTDKICMQLFLDIQEYARNLRLLGIDAREIESYRALWQCVAPKDKQDHIQF* >Brasy2G451300.4.p pacid=40071793 transcript=Brasy2G451300.4 locus=Brasy2G451300 ID=Brasy2G451300.4.v1.1 annot-version=v1.1 MEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQNIMPLNYAKAVSGVASDDLEDDYQRIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKDFLKNYKASLKFLDFLEGYCPSKSAVTKFRSEPAYADFMRQWHVSVYFSLRFQEIAGGLDSALTTTISPVGMNDSQTKQKKLLLKQSVKLLESLHSCWSDDVLVFSHSDKFLRLSLQLISRYTTWLSLGLAARKASDGSSSSPADAEWALSVPVEDFIYVMHDVNAVIDELSESGNFLGHVNQLLASCPIEVLTLVKQSILQAVEPLKEVLPGIMDVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKTKLRCGSTDKITAIYFDMVSEVVNVARKTESSLQRLRQGAQRRVGASADASDNVISDTDKICMQLFLDIQEYARNLRLLGIDAREIESYRALWQCVAPKDKQDHIQF* >Brasy2G134000.1.p pacid=40071794 transcript=Brasy2G134000.1 locus=Brasy2G134000 ID=Brasy2G134000.1.v1.1 annot-version=v1.1 MDSGECSNSKPPAAAAGVWAKLVPTDSAYPEVAVAEDDAVVCSLVAPAAAAGEELSWCEIRRGGDASSATIRNLSSYAIIVDERVIQQEVVDIKPGSQIVPGPQKEGHLVYTFDITAAKDHDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSTNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIAMLESYASVKSNLVLGKQKNQSRKRPLPRPSDESDDSELPCPQCGTEFVGFRCSPGAAHLQCSGCGGMMPARSNTSIQQKCLGCDRAFCGAYWYSQGVNSTHCNRICNQETFRRISQHHISSLPETLHGGNPFEKDITERCIQQSGKTLQAVISEWITKFDNKELDRSRLQLNNVDTITSRTHLCNHCYDKFIDFLLYWFRVSTPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNL* >Brasy2G134000.5.p pacid=40071795 transcript=Brasy2G134000.5 locus=Brasy2G134000 ID=Brasy2G134000.5.v1.1 annot-version=v1.1 MDSGECSNSKPPAAAAGVWAKLVPTDSAYPEVAVAEDDAVVCSLVAPAAAAGEELSWCEIRRGGDASSATIRNLSSYAIIVDERVIQQEVVDIKPGSQIVPGPQKEGHLVYTFDITAAKDHDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSTNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIAMLESYASVKSNLVLGKQKNQSRKRPLPRPSDESDDSELPCPQCGTEFVGFRCSPGAAHLQCSGCGGMMPARSNTSIQQKCLGCDRAFCGAYWYSQGVNSTHCNRICNQETFRRISQHHISSLPETLHGGNPFEKDITERCIQQSGKTLQAVISEWITKFDNKELDRSRLQLNNVDTITSRTHLCNHCYDKFIDFLLYWFRVSTPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNL* >Brasy2G134000.2.p pacid=40071796 transcript=Brasy2G134000.2 locus=Brasy2G134000 ID=Brasy2G134000.2.v1.1 annot-version=v1.1 MDSGECSNSKPPAAAAGVWAKLVPTDSAYPEVAVAEDDAVVCSLVAPAAAAGEELSWCEIRRGGDASSATIRNLSSYAIIVDERVIQQEVVDIKPGSQIVPGPQKEGHLVYTFDITAAKDHDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSTNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIAMLESYASVKSNLVLGKQKNQSRKRPLPRPSDESDDSELPCPQCGTEFVGFRCSPGAAHLQCSGCGGMMPARSNTSIQQKCLGCDRAFCGAYWYSQGVNSTHCNRICNQETFRRITERCIQQSGKTLQAVISEWITKFDNKELDRSRLQLNNVDTITSRTHLCNHCYDKFIDFLLYWFRVSTPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNL* >Brasy2G134000.3.p pacid=40071797 transcript=Brasy2G134000.3 locus=Brasy2G134000 ID=Brasy2G134000.3.v1.1 annot-version=v1.1 MDSGECSNSKPPAAAAGVWAKLVPTDSAYPEVAVAEDDAVVCSLVAPAAAAGEELSWCEIRRGGDASSATIRNLSSYAIIVDERVIQQEVVDIKPGSQIVPGPQKEGHLVYTFDITAAKDHDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSTNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEVLGKQKNQSRKRPLPRPSDESDDSELPCPQCGTEFVGFRCSPGAAHLQCSGCGGMMPARSNTSIQQKCLGCDRAFCGAYWYSQGVNSTHCNRICNQETFRRISQHHISSLPETLHGGNPFEKDITERCIQQSGKTLQAVISEWITKFDNKELDRSRLQLNNVDTITSRTHLCNHCYDKFIDFLLYWFRVSTPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNL* >Brasy2G134000.4.p pacid=40071798 transcript=Brasy2G134000.4 locus=Brasy2G134000 ID=Brasy2G134000.4.v1.1 annot-version=v1.1 MLLIFLPGHLVYTFDITAAKDHDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSTNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIAMLESYASVKSNLVLGKQKNQSRKRPLPRPSDESDDSELPCPQCGTEFVGFRCSPGAAHLQCSGCGGMMPARSNTSIQQKCLGCDRAFCGAYWYSQGVNSTHCNRICNQETFRRISQHHISSLPETLHGGNPFEKDITERCIQQSGKTLQAVISEWITKFDNKELDRSRLQLNNVDTITSRTHLCNHCYDKFIDFLLYWFRVSTPRNLLPPDAANRDSCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNL* >Brasy2G069500.1.p pacid=40071799 transcript=Brasy2G069500.1 locus=Brasy2G069500 ID=Brasy2G069500.1.v1.1 annot-version=v1.1 MSAAAASSPNSILLHRPTAAAPAAVSSIRLPARAAKISCAAVATPSASSPHALAGAGERGVYNFAAGPATLPLSVLQKAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLSVPDTHEVLFLQGGATTQFAAVPLNLCAGASDPVDFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGIIYAGAQKNVGPSGVTIAIVRKDLVGNAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNQHKAGILYDTIDASGGYFICPVEKSVRSLMNVPFTLAKGADFEKQFIAEAAKEGMLQLKGHRSVGGVRASIYNAMPLSGVEKLVAFMKDFQARNP* >Brasy2G113200.1.p pacid=40071800 transcript=Brasy2G113200.1 locus=Brasy2G113200 ID=Brasy2G113200.1.v1.1 annot-version=v1.1 MEDFARAVEDGLKLSKRLVLPGGLPPPRPPAGMERGPDAAASLQLLPTAPMAYAVVSDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEIDLAADCALDAAAVTLRARWWLHCITRSRECDVRLVVPMGEQGSILGAEVTVGRRSYNTQVIEVEDHTMENIGKADTGGFLKPHMFCLTIPQVEGGADIVATIRWSQKLHYDNGQFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGEKLSFLHEAVVEFWSSKDFTFSYSVYSGDFSGSILVQPSTSQDYDDRDRFSIFLLPGSGNRKVFKKAVVFVVDTSGSMHGKPLENVKNAVSTALSELVQGDYFNIVTFNDELHSFSSCLEKVNEKATASAIDWMNQNFVGEGGTDIMHPLSEAMALLSTVHDVLPQIFLMTDGSVDDEHNICQTMKTELINRGPKSPRISTFGLGLHCNHYFLRMLASVGKGHYDGALETESVESRIVKWFRRASNTIVSNISIDATKQLDDFEVDSEYIPDISAQCPLCVSGQYRGKFPETVVAKGYLADMTEISIELKVQHIKDTHLDEVLAAQQIALLTAKAWFSADKQLERKVMDLSIQNSVLSEYTRMVVLQTNLGAAQKGKQKPKGHKGSNEPLRIPLHGLKLGFGDKAATRENLLTGFGEEKPPETLKIFKKAGGCCGRLADCLCCMCCIKACNRMNDQCAIMMAQICAALSCLGCYECCSEVCCGGSES* >Brasy2G113200.4.p pacid=40071801 transcript=Brasy2G113200.4 locus=Brasy2G113200 ID=Brasy2G113200.4.v1.1 annot-version=v1.1 MHFRLAVAIHLTRLLCCILSYAVACDWNAWLHYFYQGSILGAEVTVGRRSYNTQVIEVEDHTMENIGKADTGGFLKPHMFCLTIPQVEGGADIVATIRWSQKLHYDNGQFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGEKLSFLHEAVVEFWSSKDFTFSYSVYSGDFSGSILVQPSTSQDYDDRDRFSIFLLPGSGNRKVFKKAVVFVVDTSGSMHGKPLENVKNAVSTALSELVQGDYFNIVTFNDELHSFSSCLEKVNEKATASAIDWMNQNFVGEGGTDIMHPLSEAMALLSTVHDVLPQIFLMTDGSVDDEHNICQTMKTELINRGPKSPRISTFGLGLHCNHYFLRMLASVGKGHYDGALETESVESRIVKWFRRASNTIVSNISIDATKQLDDFEVDSEYIPDISAQCPLCVSGQYRGKFPETVVAKGYLADMTEISIELKVQHIKDTHLDEVLAAQQIALLTAKAWFSADKQLERKVMDLSIQNSVLSEYTRMVVLQTNLGAAQKGKQKPKGHKGSNEPLRIPLHGLKLGFGDKAATRENLLTGFGEEKPPETLKIFKKAGGCCGRLADCLCCMCCIKACNRMNDQCAIMMAQICAALSCLGCYECCSEVCCGGSES* >Brasy2G113200.2.p pacid=40071802 transcript=Brasy2G113200.2 locus=Brasy2G113200 ID=Brasy2G113200.2.v1.1 annot-version=v1.1 MENIGKADTGGFLKPHMFCLTIPQVEGGADIVATIRWSQKLHYDNGQFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGEKLSFLHEAVVEFWSSKDFTFSYSVYSGDFSGSILVQPSTSQDYDDRDRFSIFLLPGSGNRKVFKKAVVFVVDTSGSMHGKPLENVKNAVSTALSELVQGDYFNIVTFNDELHSFSSCLEKVNEKATASAIDWMNQNFVGEGGTDIMHPLSEAMALLSTVHDVLPQIFLMTDGSVDDEHNICQTMKTELINRGPKSPRISTFGLGLHCNHYFLRMLASVGKGHYDGALETESVESRIVKWFRRASNTIVSNISIDATKQLDDFEVDSEYIPDISAQCPLCVSGQYRGKFPETVVAKGYLADMTEISIELKVQHIKDTHLDEVLAAQQIALLTAKAWFSADKQLERKVMDLSIQNSVLSEYTRMVVLQTNLGAAQKGKQKPKGHKGSNEPLRIPLHGLKLGFGDKAATRENLLTGFGEEKPPETLKIFKKAGGCCGRLADCLCCMCCIKACNRMNDQCAIMMAQICAALSCLGCYECCSEVCCGGSES* >Brasy2G113200.5.p pacid=40071803 transcript=Brasy2G113200.5 locus=Brasy2G113200 ID=Brasy2G113200.5.v1.1 annot-version=v1.1 MENIGKADTGGFLKPHMFCLTIPQVEGGADIVATIRWSQKLHYDNGQFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGEKLSFLHEAVVEFWSSKDFTFSYSVYSGDFSGSILVQPSTSQDYDDRDRFSIFLLPGSGNRKVFKKAVVFVVDTSGSMHGKPLENVKNAVSTALSELVQGDYFNIVTFNDELHSFSSCLEKVNEKATASAIDWMNQNFVGEGGTDIMHPLSEAMALLSTVHDVLPQIFLMTDGSVDDEHNICQTMKTELINRGPKSPRISTFGLGLHCNHYFLRMLASVGKGHYDGALETESVESRIVKWFRRASNTIVSNISIDATKQLDDFEVDSEYIPDISAQCPLCVSGQYRGKFPETVVAKGYLADMTEISIELKVQHIKDTHLDEVLAAQQIALLTAKAWFSADKQLERKVMDLSIQNSVLSEYTRMVVLQTNLGAAQKGKQKPKGHKGSNEPLRIPLHGLKLGFGDKAATRENLLTGFGEEKPPETLKIFKKAGGCCGRLADCLCCMCCIKACNRMNDQCAIMMAQICAALSCLGCYECCSEVCCGGSES* >Brasy2G113200.3.p pacid=40071804 transcript=Brasy2G113200.3 locus=Brasy2G113200 ID=Brasy2G113200.3.v1.1 annot-version=v1.1 MENIGKADTGGFLKPHMFCLTIPQVEGGADIVATIRWSQKLHYDNGQFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGEKLSFLHEAVVEFWSSKDFTFSYSVYSGDFSGSILVQPSTSQDYDDRDRFSIFLLPGSGNRKVFKKAVVFVVDTSGSMHGKPLENVKNAVSTALSELVQGDYFNIVTFNDELHSFSSCLEKVNEKATASAIDWMNQNFVGEGGTDIMHPLSEAMALLSTVHDVLPQIFLMTDGSVDDEHNICQTMKTELINRGPKSPRISTFGLESVESRIVKWFRRASNTIVSNISIDATKQLDDFEVDSEYIPDISAQCPLCVSGQYRGKFPETVVAKGYLADMTEISIELKVQHIKDTHLDEVLAAQQIALLTAKAWFSADKQLERKVMDLSIQNSVLSEYTRMVVLQTNLGAAQKGKQKPKGHKGSNEPLRIPLHGLKLGFGDKAATRENLLTGFGEEKPPETLKIFKKAGGCCGRLADCLCCMCCIKACNRMNDQCAIMMAQICAALSCLGCYECCSEVCCGGSES* >Brasy2G184200.1.p pacid=40071805 transcript=Brasy2G184200.1 locus=Brasy2G184200 ID=Brasy2G184200.1.v1.1 annot-version=v1.1 MPYTNCLKKHPTRLFFPYANSILRASLEKGSPQKSLMDYSTMLNSTTFCPDYRTYGILLRACLKYSDIYAAMQIHSRLTKVGLLRNQHIIAPLLRLYIDYELMTEARELFWLMLEWSTDPFHGNLMLTGFLKGGQLDKAYQIFKRMPVKDVVSWNSMIAGAVRSSHLKDAMILFSRLVNSGLVPDGFSFSSVLSACARAGALFYGVWVHRLMDELGVEKNNILISALIDMYAKCGRIDVSVEIFNTVKRNHVPMWNTMIGSLAAHGLGQDVVVLFHRMEHAGVVPDGVTFVALLTACSHCGMVEEARQYFEAMTTKYYITPKVEHYGAMVDTLSRAGLLDEAYNLVRSMVVKPDAVIWRALLSACRRHHQTKLGEVTIEQMACQGSGDYTLLSNIYSSINRWVDSENVWKERKKKKVRKNKGLSWVELGGSTHEFKAGDRSHPDTDDIYRVLHGLSKKAKAEGYSPSTELVMKDVSHEEREENLTFHSEKLAVAYSVLKTGPGTEIMVSKNLQTCGDCHEWIKIISKVLCRVIIMRDRARFHRFESGCCSCKDYW* >Brasy2G000700.1.p pacid=40071806 transcript=Brasy2G000700.1 locus=Brasy2G000700 ID=Brasy2G000700.1.v1.1 annot-version=v1.1 MQAEADTARLNMDTVLLLFFLVFSLPHETFSAAVDPCNRRCGNTDVPYPFGFSAGFSAGCPIPLSCNANASTLTLPIRAPNGKSYRVVSFNSSASTVIVTVPPSCARTVEEARTALSGHNYGVSARTGLFLRGGECRPDGGLPTNASVCSLPSGVISSLLRNVQCSGEDGAVACIASAAVLNGTFFLSWEIKAENCEDVLTSVVYVDATGQGTAGSMQFGVAELGWWLDGTCAAVGDRGAGSCAANATCTDVRTPGGTAGHRCACHAGLHGDGFFAGDGCYVPPR* >Brasy2G177500.1.p pacid=40071807 transcript=Brasy2G177500.1 locus=Brasy2G177500 ID=Brasy2G177500.1.v1.1 annot-version=v1.1 MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNVEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEARSCEDKYNKSKLVHSIMRHVAETLEIDLEPIYQRIGWPLYRKYGHAFEAFKLIVADPDAILDVLTYEEKETGPDGQEVINVVPAVTPEVKETLVQNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNNNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAVKACMTEIEKHKGKLVVKEAPRAVSERESKLLDAQFETLVEQNAEVAGDDDSEEEDEGMGDIDVSNSGVHAE* >Brasy2G140300.1.p pacid=40071808 transcript=Brasy2G140300.1 locus=Brasy2G140300 ID=Brasy2G140300.1.v1.1 annot-version=v1.1 MAPRKCHDEMTTSDDDGVPRVSGTHDFTIRNYSRTTCKGIGFGERIHSDEFTVDGLAWKVAFFPDGCSFFDWRDPAVFLKTARTPSVAVHADFDASSYSRGFRRFVPRKRLEAEALHGDSVTLRCTVSVLNPADDDAPGPVEVPVPPSCFAENAARFLASGRAPFDVTFDVGGVLLGAHRLVLASQSPWFDALLYGHWEETTSAGGAVEIRGTSPEAFKALLDYVYSDRMPPDADEAATRRLFVAADMFLLDRLKAMCAGRLCRRFLRDGNVDSVMRLVEAHACAQLACQNYLARRHPT* >Brasy2G295300.1.p pacid=40071809 transcript=Brasy2G295300.1 locus=Brasy2G295300 ID=Brasy2G295300.1.v1.1 annot-version=v1.1 MVPPAAAAAPGGGAWQMRRAKAVRAMAGAHALLGLASAAFALHSLAHWLSLSPQAGGQRAPTAAQAAYARGAALLVLQTGAGQAAAAAAGGMLAEAGAGGGPARWLLAFGTFLGAFNAYCLYHVARGVAAVAVGTCLSQAFRALLVASYVLAATPLCLLLLVGFAIVLLD* >Brasy2G260600.1.p pacid=40071810 transcript=Brasy2G260600.1 locus=Brasy2G260600 ID=Brasy2G260600.1.v1.1 annot-version=v1.1 MTSKKKSGQHKKLHSRHSSHPPVASICRRRGRRLAARQELRVLEIVLEFPTASRDRNGTSVSQQIRSPPPPPPRSPGPGRYSREGKAELPHARPPPPEKVKPPTFTSRSTTARRRGCCARYCIRMGLVMGGVDGIRRGDGRGAACCSRPEERKKIPSRSRKFPSRIHRPGAEIPSRSCRP* >Brasy2G228000.1.p pacid=40071811 transcript=Brasy2G228000.1 locus=Brasy2G228000 ID=Brasy2G228000.1.v1.1 annot-version=v1.1 MAAVAPPLCTWIVAACLSAACGADEKEKQRNYGGGGGGLFGSRRRLGARRRGGARSGVPIAVSLHPERGALENKKSDTKKRRVVVTGMGVVTPLGHEPDEFYNNLLQGISGISEIEAFDCSSYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMQYLIVAGKKALENGGITEDIMNELDKSRCGVLIGSAMGGMKVFSDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIIRGETDLMLCGGSDAPIIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCVENALADAGVTKEDINYVNAHATSTQMGDLKEFEALVRCFGENPQLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWIHPNVNLDNPEKDVDVSLLVGSQKERCDVKVALSNSFGFGGHNSSILFAPFK* >Brasy2G407700.1.p pacid=40071812 transcript=Brasy2G407700.1 locus=Brasy2G407700 ID=Brasy2G407700.1.v1.1 annot-version=v1.1 MDGGSEQVGHDVGKGMRLTGSTNGGGAQGKGRWWRAGAGEVGCRENGGGGGSGGRGEEPAPEREEAASPRKRSASSGPAARGDAGAAAVVGGVTPVSVVTTHNCGFGRD* >Brasy2G457200.1.p pacid=40071813 transcript=Brasy2G457200.1 locus=Brasy2G457200 ID=Brasy2G457200.1.v1.1 annot-version=v1.1 MAALSSSARIPVFILALLLAVLAAASSSNPTNGSDTDLAALLAFKAQLADPLGVLACNWSTGTSFCHWVGVSCSRRRQRVTAISLSDMSLIGSMAPHVGNLSCLSFLHITNVSLTGSIPAELGRLHRLRHLDLRENSLSNAIPTALGNLTKLEVLILGVNKLSGQIPQEMLLRMHKLGVIYLSENHFNGQIPPCLFNNTPSLKEIDFSNNSLSGHIPHAVASLSMLEVLILEDNQLSGLVSQTIFNMSKLQELALAVNRNLTGVIPSNQSFSLPMLQVIELSYNKYSGRFPSGLASCQYLQVISLAGSSFVDVVPTWLAKLPRLEWINLGYNNLMGSIPAALSNLTSLAKLALPSCNLQGEIPPELGLMQELSYLDLDANNLIGKIPVSLGNLPKLSLLYLEGNQLSGQVPTTLGKKTTLIELDLSSNNLDGNLDFFSSLSNCRQLQQLIIDGNCFTGILPGSLSNLTSQLITFSAQYNKLTGDIPVAISNISSLQLLDLSNNLFTGPIPESIVMLQNLVLLDLSFNAMLGPIPTQMGMLGDLERLFLHVNNFSGSIPSTFSNLTRLEMVDLSNNYLNSTTASFFHLDNLISLDISHNSFSGALTIDVSGLSQIYQMDLSSNFLIGNIPESFGKLNMLTFLNLSHNSFEGSISGPLKKIKGLASLDLSFNNLSGTIPIFLANFTYLTTLNLSFNRLEGQIPEGGVFSNLTLQSLIGNAALCGAPRLQFSPCLDRSHDSSNRHLLQFLIPTLILAFISIAICVYLWFGGKLKREDKASIDPTDAIGHQIVSYHELVRATNSFNEDNILGSGSFGKVFKGQLSNVRLYISCTCIL* >Brasy2G439300.1.p pacid=40071814 transcript=Brasy2G439300.1 locus=Brasy2G439300 ID=Brasy2G439300.1.v1.1 annot-version=v1.1 MKNKKGGRRRPNKAAAHLKGEAASVGAGGDRLSMLPDDILLNVLERVDTLDALRTCILSKRMLKLPTMLSRFDINVGNLVHHHDVATHGYKVGHVIQYNNVVADVTEKILSARNLEIPIRKIRLRFYVGRDGCLSISKAFAGTMATQRVEHADAFDHDDLLYYAQQFNNCLGDYPAAFAGLTHLWLRGTRFGELDIPNILSTCKRLVSLRLSCCDAGVRSLNSLPKLQHVKFVGWCYPDPLTFGSVPQLSKLCLEQMGISSTKNLQLSQFLANAPLISDLHLDFLSEKIWVLPESPKLLAPVLGELQILNLDNLPEGCDMAWTMFILEAAPSLRELCITVWDHWCKIVTDKEIRRKNGYCEKANVEWQPSAFDFKHKNLVKLTIYGFQPDEIFVQYVRRILKVSVNMREISLHDRKVCERCVDLDPEIKVCPSRYPKTVEEKDMLKMEMAKELRIASPAVIHFRS* >Brasy2G443000.1.p pacid=40071815 transcript=Brasy2G443000.1 locus=Brasy2G443000 ID=Brasy2G443000.1.v1.1 annot-version=v1.1 MRTSSSSSLIFLLIAVAGAMISGATGCGEPFKQEPGISEHDLSVGSWEPILNLNDPSIQELGSWAVAQYGMHANCRLKFNKVASGRKQLVAGVTYELFIDASPELVAAGGGSSTGLYKAVVYERAGADSRKLVSFAKAKL* >Brasy2G341200.1.p pacid=40071816 transcript=Brasy2G341200.1 locus=Brasy2G341200 ID=Brasy2G341200.1.v1.1 annot-version=v1.1 MGSLHKIKYVLLLLCLGVSGRAEYLKYKDPKQPVAVRIKDLLGRMTLAEKIGQMTQIERENATAEAISKYFIGSVLSGGGSVPAPQASPEAWASMVNEMQKGALSTRLGIPMIYGIDAVHGQNNVYKATIFPHNVGLGATRDPMLVKRIGEATALEVRATGISSVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTTLISGLQGDAPSGYTGRPYVGGSKKVAACAKHYVGDGGTYMGINGNNTIIDTHGLMSIHMPAYYNSIIRGVSTVMVSYSSWNGDKMHANHFLITDFLKNKLKFRGFVITDWQGIDQITSPPHLNYSYSVEAGVGAGIDMIMVPFAYTEFIDDLTSQVTNNIIPMSRIDDAVFRILRVKFTMGLFENPFADPSLASELGKQEHRELAREAVRKSLVLLKKGKSSYTPLLPLPKKAGKILVAGSHANNLGNQCGGWTITWQGEPGNNNTAGTTILSAIMSTVDPGTQVVYSENPDSSAVEGGKYDYAIVVVGEPPYAETAGDNLNLTIPEPGLAVIQTVCRSVKCVVVLISGRPLVVEPYMDAMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFRSVEQLPMNVGDERYDPLFPFGFGLTTEARQ* >Brasy2G341200.2.p pacid=40071817 transcript=Brasy2G341200.2 locus=Brasy2G341200 ID=Brasy2G341200.2.v1.1 annot-version=v1.1 MGSLHKIKYVLLLLCLGVSGRAEYLKYKDPKQPVAVRIKDLLGRMTLAEKIGQMTQIERENATAEAISKYFIGSVLSGGGSVPAPQASPEAWASMVNEMQKGALSTRLGIPMIYGIDAVHGQNNVYKATIFPHNVGLGATRDPMLVKRIGEATALEVRATGISSVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTTLISGLQGDAPSGYTGRPYVGGSKKVAACAKHYVGDGGTYMGINGNNTIIDTHGLMSIHMPAYYNSIIRGVSTVMVSYSSWNGDKMHANHFLITDFLKNKLKFRGFVITDWQGIDQITSPPHLNYSYSVEAGVGAGIDMIMVPFAYTEFIDDLTSQVTNNIIPMSRIDDAVFRILRVKFTMGLFENPFADPSLASELGKQEHRELAREAVRKSLVLLKKGKSSYTPLLPLPKKAGKILVAGSHANNLGNQCGGWTITWQGEPGNNNTAGTTILSAIMSTVDPGTQVVYSENPDSSAVEGGKYDYAIVVVGEPPYAETAGDNLNLTIPEPGLAVIQTVCRSVKCVVVLISGRPLVVEPYMDAMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFRSVEQLPMNVGDERYDPLFPFGFGLTTEARQ* >Brasy2G010800.1.p pacid=40071818 transcript=Brasy2G010800.1 locus=Brasy2G010800 ID=Brasy2G010800.1.v1.1 annot-version=v1.1 MSAMKFCRECNNILYPKEEKDRRVLLFACRNCEHQEVSDNNCVYRNEVHHAATERTQVLQDVASDPTLPRTKLVRCTACGHGEAVYFQATARGEEGMTLFYVCCNLSCGNRWRE* >Brasy2G418300.1.p pacid=40071819 transcript=Brasy2G418300.1 locus=Brasy2G418300 ID=Brasy2G418300.1.v1.1 annot-version=v1.1 MADPAAPRPTVLVTGAGGRTGQIVFNKLKERSDQFVARGLVRTEESKQKIGGADDVYVADIREADSLVPAVQSVDALVILTSATPKMKPGFDPSKGGRPEFYYEDGAYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGKDDELLQTDTKAIPRADVAEVCVQALQYEEIKFKAFDLASKPEGVGTPTKDFKALFSQVTSRF* >Brasy2G041900.1.p pacid=40071820 transcript=Brasy2G041900.1 locus=Brasy2G041900 ID=Brasy2G041900.1.v1.1 annot-version=v1.1 MAAAEPPNEALETPKLASKGEVPVSSSAPKTTPVSADEMRAVARKFADQPLQNPEPGVWAVLTAISKNARLRPEGMNILLNADEHILGRMVDNPRFRISSLSVSGAHCKIYRDTVLGELNRNEPVPVFLKDTSTNGTFINWKKFTKSSSPTKLNHGDIISFTTAPNNEASYAFVYREVNAVSCVENGATILKRKSGEVGSESKRLKGLGIGSAEGPVSLDDVRRLEKSNAELREQLEKHVVTIETLRTASKLAQEQHEKELMEVKETTSSNYVGQTESLHLALKEKQTQVDSLSTLTTDLQNSIKDLDERLTASKQSRTDADEIIHSQKANICELEEQLSEERNLRIEERDKAAEDLKSALHKVQAEAQEEIKRQTEIYLKQQREQKEFITKLQESEKETRLRVETLRSKLEDSRESLVTSEKRVRALEAQLQDEQLVSANNRKKSDNLEAELRKLKKELENEKQAAREEAWAKVSSLELEIDATIRDLSIEKRKYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLQDEENYESTLMGIDVDLNEVPLANAITDDAHVKPADYAKDTMEASGASTENTEASEHSCSDENANMTEQQDGGTRVEGSTQDLECTSPERSVEQFRPDSHGDVAPRAPEQEPTDTEKFPETESQAGNVGCNDHSSTHGDMGGETMQLEDEVQPQENEDSALICKDGGQTPETEEPATALTLKDGIGQCSEEKREGDGSEGKPEDTQTGTIVTADLLTSEVPGSWAVETGPSVNGENDSPWSLGDPRGGQDDNVGERAAADALTSLVNSDGQAAGSQTNVDNVITKIPDDRRVLGHMIGLLDPEKKLGDDDSMSDAETEDGSEAMVEDSVG* >Brasy2G041900.2.p pacid=40071821 transcript=Brasy2G041900.2 locus=Brasy2G041900 ID=Brasy2G041900.2.v1.1 annot-version=v1.1 MAAAEPPNEALETPKLASKGEVPVSSSAPKTTPVSADEMRAVARKFADQPLQNPEPGVWAVLTAISKNARLRPEGMNILLNADEHILGRMVDNPRFRISSLSVSGAHCKIYRDTVLGELNRNEPVPVFLKDTSTNGTFINWKKFTKSSSPTKLNHGDIISFTTAPNNEASYAFVYREVNAVSCVENGATILKRKSGEVGSESKRLKGLGIGSAEGPVSLDDVRRLEKSNAELREQLEKHVVTIETLRTASKLAQEQHEKELMEVKETTSSNYVGQTESLHLALKEKQTQVDSLSTLTTDLQNSIKDLDERLTASKQSRTDADEIIHSQKANICELEEQLSEERNLRIEERDKAAEDLKSALHKVQAEAQEEIKRQTEIYLKQQREQKEFITKLQESEKETRLRVETLRSKLEDSRESLVTSEKRVRALEAQLQDEQLVSANNRKKSDNLEAELRKLKKELENEKAAREEAWAKVSSLELEIDATIRDLSIEKRKYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLQDEENYESTLMGIDVDLNEVPLANAITDDAHVKPADYAKDTMEASGASTENTEASEHSCSDENANMTEQQDGGTRVEGSTQDLECTSPERSVEQFRPDSHGDVAPRAPEQEPTDTEKFPETESQAGNVGCNDHSSTHGDMGGETMQLEDEVQPQENEDSALICKDGGQTPETEEPATALTLKDGIGQCSEEKREGDGSEGKPEDTQTGTIVTADLLTSEVPGSWAVETGPSVNGENDSPWSLGDPRGGQDDNVGERAAADALTSLVNSDGQAAGSQTNVDNVITKIPDDRRVLGHMIGLLDPEKKLGDDDSMSDAETEDGSEAMVEDSVG* >Brasy2G051300.1.p pacid=40071822 transcript=Brasy2G051300.1 locus=Brasy2G051300 ID=Brasy2G051300.1.v1.1 annot-version=v1.1 MPASSLPLLLPFSSPIQTLSPLPASASRFHTPSMPLALSLCPRPLVSSSSSFAVAAVDDDYDEDVALGDCLVFEDEAFEDPGLDLGRPSPSPPPRPTASRRSRDKPISATAGGGGGLVPERWKDAVEEINLTKKEKRRIAHGLRFGSRLDRRVPSAVAAPDEYRAYREGRLDAELGHVARDYVEPLENKSRAYREREARLGAEHGHMARDYVEPFEKSLSPEKEEAPPPPDTRTRAAPRNPRMGMDVGGLEDIAELFSSKEYAPSEMEDGKKRRPKLFTDEEKALLNKRIPDLESATSSKWLPLHTLAASGDFYLLDNLLKHKVDVNALDKDGLLAIHKAIISKKHAIINYLLRNSANPFIYDKDGATLMHYAVQTACHQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVRLLLIKGADRTSKTQDGLTPLELCLRLGHHVRTYELIKLLKSFRLPKQHDSF* >Brasy2G368600.1.p pacid=40071823 transcript=Brasy2G368600.1 locus=Brasy2G368600 ID=Brasy2G368600.1.v1.1 annot-version=v1.1 MPLCSFYASTSLPVAKPHHSTKPPPTAAAAATTVPPITATTASADSALSPLHLPELPSHTRDKILSLELMGVDYGRALALNPSLRDASPESIHSVVTFLQTRGGLQFKDLGRVFGMCPSILTSSVRHDLAPVLAFLTSGLGVPESAYRRVLVKCPRVLACSVRDQLTPALIYLRRLGFRDARALAFQDPVLLVSSVERTMAPKLEFLRDGLGMSRDEAVAMVVRCPALFTFNVERNFKPKFKYLVEEMGGGVEDVKAFPQYFTFSLEKRIAPRHRAAVEAGVVLPLPDMLKATDDEFREMIHKSAS* >Brasy2G172100.1.p pacid=40071824 transcript=Brasy2G172100.1 locus=Brasy2G172100 ID=Brasy2G172100.1.v1.1 annot-version=v1.1 MEGSPATRLPEADALPDGFVESSSADHAPPLSSAPAAGDSAHPALGPDHETATVANGEETLGTPSSLAAAADALQSLSVKAALEPERALGQQEPAADIGDAKESLKDSFMVEPAESQVNQKMSGEPKRKVVKRSSKLEKDRELLELAQRYHRVVEERDAAIAVKEKLESLCREFQRQNKMLKEECQKVSTDGCSFRLQLKEKFDNAMKAVGDKLEEQKNSIIAQFEENNMLRGKLKDLSGQYSVIEQKYSHQLKEKTLELELAKLKIQQYQAKAAQEHAQMVLYAEQVSQLGVTEKNLRQQVAADGKKFEQFEDSLSKSTEAFEIYKKEMAQMVTMIKEIKKQNGALKSKCENSDIALVKLIEERELMKKELDKCKNQRDKLESLCRSLQEERKQGPSLSVPEAMVIDLNASPEPE* >Brasy2G069200.1.p pacid=40071825 transcript=Brasy2G069200.1 locus=Brasy2G069200 ID=Brasy2G069200.1.v1.1 annot-version=v1.1 MDTDAIRDGETMAAAEGHRDGSAGGKPAGGGGRKHLSSVANNVLQQCSQTLDRSVDDLVADFELDLKTVAVDNYSRRLVEYCSLQALQSLTSPDLGDAIHEGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDRKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVVNARFTFEALTATTANRLHYPAYDKFLREMDKSFKFLQNLPAPTGVELGEDELILHIEGTAGTQRVVRHVGTSSWPGRLTLTNKALYFEASGKISYGPAFKVELSNTEMDQQVTPASTGPFGAPLFDKAIAFESLPEPLLLEFPEMTSSTRRDLWLTIIREVIFLHRFISVYNIESPIHKWEVHSRITLGVIRLHAAREMLRMSPPSPSSFLIFSLYDDLPKGDFVLEQLANNLKETSTITSFSASYVFKGLGKSQPIGLSAEMAKEHDRDSSSHEQPLASLGNTIDQVRDEAREVTVANAAIEGMKEEGVTDSLLVLVGLVSPISKLGPVIQEITSWDRPHVTGSVLAVTLLIIYKEWVGCALAACLMLAVGAMVWARQRKIGVICSEVIIDTSSDKTTMESIVEAQHSMKKVHEHIKTANVVILRLWSIVLARSPKHTETVIWMLTGLAVALAVIPLKYVLMGLTAGCFLANTRVVKAVSNPRGGRRWREWWESIPAVPVHTVDSHKGEQRTT* >Brasy2G468700.1.p pacid=40071826 transcript=Brasy2G468700.1 locus=Brasy2G468700 ID=Brasy2G468700.1.v1.1 annot-version=v1.1 MSSAAGDSSSSAALTKLTPLEAVLFDIDGTLCDSDPFHFLAFRELLQQVGFNGGVPITEEFYSANISGWHNDALAGALFPDLDHAEAMDFMDRKEALFRKMAAGQLKGLDGLQDLCGWIERRGLKRAAVTNAPRANAELMLSLLGLNSFFPVLVIGSECERAKPAPDPYLRALQLIGASPDHTFIFEDSSSGVRAGVAAGVAVVGLTTGNPEKVLMDAGASLVVGDFRDPKLLAILQELGPAPADN* >Brasy2G426600.1.p pacid=40071827 transcript=Brasy2G426600.1 locus=Brasy2G426600 ID=Brasy2G426600.1.v1.1 annot-version=v1.1 MKFGKDFRNHLEGTLPDWKDKYLAYKALKKLIKTLPPDADHPAPPPPPPAAAAAEGFGYGDDVALGNWFARILDVELHKLNDFYMEREEWYVIRLQVLKERIEQVKAKKNDAFTSKIEFTEEMLEIRRDFVLIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFLTTEPLTRLVRECEANLELLFPVEEEVLESGSSSKLQPDHNVATHGPAPSRDSETAKVYQSTLAAMKAIEGLKKASSTYNALSLARFFHGEDGEAFSGAITSESSLLDSMTDSQVEDADRDDKEVQSKEQTAAQTKHNAEAGRRGG* >Brasy2G247500.1.p pacid=40071828 transcript=Brasy2G247500.1 locus=Brasy2G247500 ID=Brasy2G247500.1.v1.1 annot-version=v1.1 MAAWWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLASPPIPFPAVATTTTTTTTNNNNASGPAQPETIYNRPIWKPPPRGSRMPPPRAFRLTRDMVRARARDGVIVVTFGNYAFLDFILTWVHHLTALGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMVTEDAGWGSPAFHKMGREKVLLINTLLPFGYQLLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVVPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKKLAKDWKDLVLSDDEIWDQNAFNDLAHKVFGQPVQGQDELVYSYDGKLKLGVLPATIFCSGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDPPPYYDSPGGFLSFRPSIPKKLLLDGAHTVESHFALVNYQMKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEINVMMKDLPEEEFGPHIDFREYSFLENPSLPKQVKESFLEVQLCDEHSTRCSTASGTNKHIPLILARNSTEETLLNVFSPYKNIKIIQFSSMVDAFRGFADAAVETKFRDRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPRNREEDHPPWS* >Brasy2G247500.2.p pacid=40071829 transcript=Brasy2G247500.2 locus=Brasy2G247500 ID=Brasy2G247500.2.v1.1 annot-version=v1.1 MAAWWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLASPPIPFPAVATTTTTTTTNNNNASGPAQPETIYNRPIWKPPPRGSRMPPPRAFRLTRDMVRARARDGVIVVTFGNYAFLDFILTWVHHLTALGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMVTEDAGWGSPAFHKMGREKVLLINTLLPFGYQLLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVVPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKKLAKDWKDLVLSDDEIWDQNAFNDLAHKVFGQPVQGQDELVYSYDGKLKLGVLPATIFCSGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDPPPYYDSPGGFLSFRPSIPKKLLLDGAHTVESHFALVNYQMKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEINVMMKDLPEEEFGPHIDFREYSFLENPSLPKQVKESFLEVQLCDEHSTRCSTASGTNKHIPLILARNSTEETLLNVFSPYKNIKIIQFSSMVDAFRGFADAAVETKFRDRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPRNREEDHPPWS* >Brasy2G247500.3.p pacid=40071830 transcript=Brasy2G247500.3 locus=Brasy2G247500 ID=Brasy2G247500.3.v1.1 annot-version=v1.1 MAAWWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLASPPIPFPAVATTTTTTTTNNNNASGPAQPETIYNRPIWKPPPRGSRMPPPRAFRLTRDMVRARARDGVIVVTFGNYAFLDFILTWVHHLTALGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMVTEDAGWGSPAFHKMGREKVLLINTLLPFGYQLLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVVPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKKLAKDWKDLVLSDDEIWDQNAFNDLAHKVFGQPVQGQDELVYSYDGKLKLGVLPATIFCSGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDPPPYYDSPGGFLSFRPSIPKKLLLDGAHTVESHFALVNYQMKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEINVMMKDLPEEEFGPHIDFREYSFLENPSLPKQLLNVFSPYKNIKIIQFSSMVDAFRGFADAAVETKFRDRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPRNREEDHPPWS* >Brasy2G247500.4.p pacid=40071831 transcript=Brasy2G247500.4 locus=Brasy2G247500 ID=Brasy2G247500.4.v1.1 annot-version=v1.1 MAAWWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLASPPIPFPAVATTTTTTTTNNNNASGPAQPETIYNRPIWKPPPRGSRMPPPRAFRLTRDMVRARARDGVIVVTFGNYAFLDFILTWVHHLTALGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMVTEDAGWGSPAFHKMGREKVLLINTLLPFGYQLLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVVPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKKLAKDWKDLVLSDDEIWDQNAFNDLAHKVFGQPVQGQDELVYSYDGKLKLGVLPATIFCSGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDPPPYYDSPGGFLSFRPSIPKKLLLDGAHTVESHFALVNYQMKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEINVMMKDLPEEEFGPHIDFREYSFLENPSLPKQLLNVFSPYKNIKIIQFSSMVDAFRGFADAAVETKFRDRVKRYVGIWCCVELREIGHIYYDMYWDEKPGWKPHPPRNREEDHPPWS* >Brasy2G488800.1.p pacid=40071832 transcript=Brasy2G488800.1 locus=Brasy2G488800 ID=Brasy2G488800.1.v1.1 annot-version=v1.1 MSDHPRSTATSSSSSSSSSSSPRLTTGVVNFLARRAMTATATQQRAAAFRVPLDSPGSSTGSADSAPWSGGRAPADSHDLLPFDTNDADEMLLLDMLSSQAAAPMAVPTTTAPAVKREEEAAAVNGVGRAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSPEAAAMAYDQAAFAMRGGAAVLNFPAEQVRRSLEGVAMDDGNGHGPVLALKRRHSMRRRPAAAASGRKAAMSKGAGRSQSRPEGVMELEDLGAEYLEELLGASDSQSMGSSLWSHHSV* >Brasy2G457000.1.p pacid=40071833 transcript=Brasy2G457000.1 locus=Brasy2G457000 ID=Brasy2G457000.1.v1.1 annot-version=v1.1 MVKMDSSLGEQRSWGRRCRRSRGHRESAGGGDKRKMIRKILVPLTCGSHMLVGSIRKYRETQNPPMFGG* >Brasy2G038400.1.p pacid=40071834 transcript=Brasy2G038400.1 locus=Brasy2G038400 ID=Brasy2G038400.1.v1.1 annot-version=v1.1 MEHHHHQQPPPSPMENNSKPPTPSSTPTSRLFAAPSSRLPSGAPPRPSPSSSSAPTTPASRTIYSDRFIPSRTGSNLALFDLAAAPSPSSPHQAAASSSGSAAPAASPYCTLLRAALFGPDTPDRLASSAAACSSSSSAGASPVGSPGSGNIFRFKAEVPRNAKRALFAGGDDEDLLFPGVFKAKGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLTVGLGNCVYLWNACSSKVTKLCDLGVDDTVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKNILHYDIRAPDDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNQHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMAKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGGTSFVRSYIR* >Brasy2G335400.1.p pacid=40071835 transcript=Brasy2G335400.1 locus=Brasy2G335400 ID=Brasy2G335400.1.v1.1 annot-version=v1.1 MGPAVLDPDAGDLPARGSAAAAADIAGNTWDLAPFSPPSAALRGGEVFIYRNTYNLVPRSIGECRRGGLRALKFFGNDVEVLPPEAGELDALESLQVKVSAPRVSGAVLRRMRALRELELSMVPPRPSACSVLAEIAGLKCLTKLTICHFSIRFLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRFSHTLIVPSWICCDMRENGENAVKRDGLQYLGIPSVSSSAEPGTVSCACNGALSCSHTETSPNLKAHATQKMKKGWKRRDCLQQQARQERLDSSRSKLSENDVEEMAVNMTEDECLSSLHDIENKPVMKGIAEDTSVQDLLKETSSEDLSCIVDYDSDGLIKDTGMMLQDHHDNGSGIVTGPACLSRSSIHSIENELEDTASSTCKVVHVVEENPSETSKFTSKSKRHPDMDNNPKPSKCPRPIDECSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMPLEEYERSLGLYAREVILLDREKDEELDAIASSAQLLLSSLKRPVFSETDEDAGQDLLRASVLALFVSDCFGGCDRSASLRITRRAIVSLRKEQPFICTCSAGNMCYSNESSKQINTPMGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRQGNIWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLHLALDDESYTPRSSFPSVSLCKEIEATASSAVYHCKIGAVDAAAKIRYLDTRSASNDEIKNFEYKLLGEVRMLNALRKHRSIVDIYGHQLSSKWVQNDSDKEYRIMQSIILMEYVKGGSLKAYLAKLLKDGEKHVPIDLAFYIAREVACALLELHRKLVIHRDIKSENVLVDLDSKGHGAPVVKLSDFDRSIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVVQAMHEKIQYGLEVDIWSFGCFILEMLTLHIPYQGLPDSQIYDLIKRKKQRPRLTRELEAFWTMDEPITRLNLGITCDAHAEKLRLLIDLFYKCTRGIASRRPKAEHIYNLLCSLPTCYDLS* >Brasy2G183500.1.p pacid=40071836 transcript=Brasy2G183500.1 locus=Brasy2G183500 ID=Brasy2G183500.1.v1.1 annot-version=v1.1 MAFVLSSFPVHRVLLLSSFLCFLPRHDAARVGSYFTVSTASFAASSSTICDDDPGGVIAQQQQLRGNGTSAVLRLTHRHGPCAGPSRSASAPSFAEVLRADERRAEYIQRRMSGARGSKGPGGLQQFAAASSSKSVTVPANIGHSIGTLQYVVTVSLGTPGVAQTVEVDTGSDVSWVQCAPCAPPACYAQKDQLFDPAKSSSYSAVPCAADACSELSTYGSGCSAGSQCGYVVSYGDGSNTSGVYGSDKLTLTDADAVTGFLFGCGHAQAGLFAGIDGLLALGRKGMSLTSQTSGAYGGGVFSYCLPPSPTSTGFLTLGGPSSASGFATTGLLTAWDVPTFYMVMLTGIGVGGQQLSGVPASAFAGGTVVDTGTVITRLPPTAYAALRAAFRAAMAPYGYPAAPATGILDTCYDFTGYDTVTLPTVSLTFSGGAALKLDAPGFLSSGCLAFAANSGDGDPAILGNVQQRSFAVRFDGSSVGFMPHSC* >Brasy2G463300.1.p pacid=40071837 transcript=Brasy2G463300.1 locus=Brasy2G463300 ID=Brasy2G463300.1.v1.1 annot-version=v1.1 MDSQSIVARSELEGMLCDETAEPKAMPLSLLAEITNDFSDKQQIGQGGFAVVYQGILQKGNVAVKRLSNAHMHEKEFHREVECLMMVKHKNVVRFLGYCADTQGRTERFNGKFVITDVRQRLLCFEYLPKGSLHEYIADASSGLEWRNRYQIIKGICQGLHYLHQNNIVHLDLKPGNILLDANMVPKITDFGLSRCFNETQSREITTNICGTFGYLAPEFHGGVITYRFDFYSLGIIIMELLTGKRVYQPIETVLESWSDGSKKSQSDIQLEQIRVCAEIGIECTDFNPAKRPDTQHIINRLRETESMEEDIGIGVIASQLAQNDPNELHQDTQLVPGGTSSEDFSYSWTSNLYLGRKQPAQMSEVVLLAVTKIVSVLTEEATMATIAMLSEKVTVLKELPRKLELIRQQLTMLISVIRNTGMCYLWDEVVQSWILEVRNLAYHAEDVMDKCSYHVLQIKEEGFLTKFFIKGTHVKVFSEIAAEVDRVETEIQQVVQMKHQWSQNSQIALNPLVDIERQRSQDFPEFFRDDDLVGIKQNRILLTRWLYSEEPGSTVITVSGMGGLGKSSLVTNVYELEKINFPIHAWIVVSQICTVDVLLRKLLWKIGYTEQPWSADINKMDVFDLKKEIRPRLRDKKYLIVLDDVWESDVYYRIHDVFHNLQGSNIIITTRKDQVAGISPTRHLKLQPLNKPDAFDLFCRRAFYNKKGHMCPEELEAIATSIVERCNGLPLAIVTIASMLPSR* >Brasy2G449100.1.p pacid=40071838 transcript=Brasy2G449100.1 locus=Brasy2G449100 ID=Brasy2G449100.1.v1.1 annot-version=v1.1 MDRRRRAGFAGDWPLPGPRRLSRGRDPLPNHKSQVVPAQSFRSEAEKGAASRPHRCPVHHSTGLPASRPAAFWTGQGNAVVPVHTHDQGPRPRATQMSSLELRWPATNSSMAITVGNGRAGRQLRQDGVPVPAPVRKQTRGQHEFRQ* >Brasy2G492100.1.p pacid=40071839 transcript=Brasy2G492100.1 locus=Brasy2G492100 ID=Brasy2G492100.1.v1.1 annot-version=v1.1 MSDFVSVKRRPRYLDCMRNHAAALGKYCVDSCAKFVPPAVGDSLNCAGCGCHRTFHRYEEAEVEVHTCPSPRRAPQLQQPMPAAAGGSNADTVPPLQQQFPPLPPPAAVAQQQQPAAQQAQQPRRSYFNQEQKTRMEELSERLEWRYLKRDLATIEEGCRQIGVTSLAFKNWMSNTKRRRASDSANNAGAATPPPAAST* >Brasy2G309700.1.p pacid=40071840 transcript=Brasy2G309700.1 locus=Brasy2G309700 ID=Brasy2G309700.1.v1.1 annot-version=v1.1 MGAFEKVLRRRRRTEKKERSARRGASAMGGRRREEKGREGKKGKKKGAGPTSTPSVTAWPTGSCRSRPGRREPARPTHAGTPRFRLARTPRFDRTSALVAPPGARRVGLPLDGCPRCEASFSRGRTYRSPIFLSPPPPFPLLPIPPRTCSAHTRSAAERGAREEVPSAGGKVPSKFV* >Brasy2G479900.1.p pacid=40071841 transcript=Brasy2G479900.1 locus=Brasy2G479900 ID=Brasy2G479900.1.v1.1 annot-version=v1.1 MEENSSVSEHVLRMSGYAERLSQLGIVIPNELGIDRLRRHPELSLRLLHLHASLGLLAYTHHIFDHLLPAHTRRDEAFPWNCLMAGYAHLGRHADALAVYLQMDEEGVPRDRFTFLCALRACAGVGAGVAAEIGRAVHRDAVRAGLADEVSVCDALVDMYAECGDLEMARKVFDAMPQRDRVSWNVLLAGCLRHGPLLRATEVWRRMLAEGHEPDSVALSTMLSLSSLRPGNGGKQGWEVHAWVIRHGLETELSVANALVEMYSRKNELGHAVSVFESMAVRDLVSWNAIISAHRRNFGVLMVFRRMVDSGTRPDETTFAAVLSACEDLGLVEGGTRLFSEMENEYRIQPALEHYTCVVNMLGKAGLEVERVKKMMRDKRLLWNH* >Brasy2G343200.1.p pacid=40071842 transcript=Brasy2G343200.1 locus=Brasy2G343200 ID=Brasy2G343200.1.v1.1 annot-version=v1.1 MYTSLPPSAGRVKSCNLKKLAATRMTLLLYLIIQFFAVSAQQACALVSPVRSNRVVRVISASQEGKISVGVEDSSELVRSFHHVLPLLPLLAFLAPSSATATPSAIRLPRRLACAEPRCRIPRCTTGRATARLRTGGSATPDPLSILHGLPAFLLKLFLWRSRGHLRHELLVSVLNHLRRRLVMSSGLESSQNSRRFCGSSSPVTYRSKKLHDGDPPSPQVLIAHNFLDQSIDGLVQPFN* >Brasy2G311000.1.p pacid=40071843 transcript=Brasy2G311000.1 locus=Brasy2G311000 ID=Brasy2G311000.1.v1.1 annot-version=v1.1 MVLIRDSNGSDEFEWDEDSDDKARISSSPALRNSDAPGPSTLVWQDAGGRANGAAPSASSVGKYVGMGFPKEMVLKGIKEIGDRDPNALVELLLTYKVLGDFSSVGNCSTSSGCIPQSVEDNDDDDLNSENWDDSDDADESDHIPISDSSGDEDFLQEMSQMDKKIKSLVSMGFPEHEANMAITRCSSSTAISVLVDSIYASELTEENCFNSSVERKKARFIEDSKKMKKRYGSRAQRYQPPLDDGHERPLHLPNPMVGFGLPGERLQSGNRRLPALAIGPPFFYFENVARTPKGSWEIISSFLYDIEPEFVDSKFFCAAIRQRGYIHNLPIEGRFPILPLPPKTILEAFPQFKKWWPSWDSREQFNCLLTCVGSAKLAERIHRALSKSGNPPSQGDQEYVMRECRKWNLVWVGKNKVAPLEPNEMELLLGYPADHTRGVAKMERYKSLGNSFQVDTVAYHLSVLKEKFPGGINVLSLFTGIGGAEVALHRLGIRLRAVVSVEISEVSRSILKGWWDQTQTGTLIEIHEVQSLTDDRIESFIRRLGGFDLVIGGSPCNNLSGSNRHHRVGLEGAQSALFYDYVRILKSVKSIMARM* >Brasy2G055700.1.p pacid=40071844 transcript=Brasy2G055700.1 locus=Brasy2G055700 ID=Brasy2G055700.1.v1.1 annot-version=v1.1 MGGPRLLLQHHYRSRSASSSFARSPSTDSTGNDAAHHSLVVPADTAVACPFGGPLDGLARADIREAAYEVFFMSCRAGASKGVGGGALAYYPDGGGGDVSPTVGGPRGSTGMSIVNSRVKRALGLKARRSSQPSTALRSGVMNASSSSAPGSPGRAMRAVNHHQHPSSVPGSPRARRPMTSAEIMRQQMRVTEQGDARLRKTLMRTLVGQAGRRAETIVLPLELLRQLKLADFADSAEHHQWQRRQLKLLEAGLILHPSIPIDRGSNDAVLKFREVMQTAEARAIDTGKASDAMRALCDAVLALAWLSAPAGEACHWADGYPLNVLLYVSLLQAVFDLRDETVVLDEVDELLELMTRTWATLGVNRMLHNVCFAWVFFQQYVATGQVEPDLAGAALAMLTEVAADAKQETRDPVYARVLSSALATILEWSEKRLLDYHEMYGKGICGGGNSIAAMECATSLALAAGKIIAQSVPGMGISATNTHGVGCFAANRVDYYIRCSMRSAFTKMLENGLGQEDGVITDRDEDTSEILTRLAKDTEQLASSEREGFSRALRRWHPFPAATAAVTLHGCFGVVLKQYLAKAASLTNELVHVMHAAGRLEKALVQTVVEDVADSDDGGKSVVREVVPYDVDSVLVGFLRAWIEERLRVANECLLRAKDTESWMPRSKTEPYAQSAVELMKLAKATMDEFFGIHVSARDDMVRDLADGLGTIFQEYISFLASCGNKQSYLPSLPALTRCNQDSTIKRLWKKAAVTPCRVPPSSPRACMPYGAAAGAGHNPRPSTSRGTQRLYVRLNTLHFMLSHIQALDKSLSFFSSSSSSGAGAGCGSPSANRRLAAPPCHFDQARASAHSAIGHVVEVAAYRLIFFDSHHSFYDGLYAGGVADARIRPALRTLKQNLSLLLSLLVDRAQPVAVREVMKASFQAFLTVLLAGGNHRSFTKEDHFMIEEDLRSLKRAFCTRGEGLVTEDVVDSEGEVAEGVVTLMAQTAEQLVEELSITTSCGSPRMSSGQRMPLPPTTRRWSRSDPDTILRVLCYRDDEVASHFLKRAFQLPKRR* >Brasy2G280000.1.p pacid=40071845 transcript=Brasy2G280000.1 locus=Brasy2G280000 ID=Brasy2G280000.1.v1.1 annot-version=v1.1 MVWRKKSGSSESSSSGVSPCYDDDEGKKVPRGHVPVVTSSGERVVVPVRLLADPGIAELLEMAAQRYGYDQPGVLRIPCAAGHLRRVIDSALQRADRLAGRV* >Brasy2G184500.1.p pacid=40071846 transcript=Brasy2G184500.1 locus=Brasy2G184500 ID=Brasy2G184500.1.v1.1 annot-version=v1.1 MDLKRVLDVVEEEVVDGDEEELASPDAKRRRTLLNSSSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAENKQPLRIVLTDAVNNQTITSGPLSSMKVELLVLDGDFNADERLEHTEKECSESIVFEREGKRPLLSGEVIIVLEKGVASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQNGLRGLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIILLFNCIHEIVGVIVGSHCFTLNALTTTQKALVVKLQQDAYKFPNRIVEFKVQSQCAADQSPPMPAGPSCTHIPSLPRDEPNLQDDGLLNPLPLGEALEDVLQLQATSGSHQHHRAEPWITSGFDARDPFDALQFSGSSQPCGLLLSSTGARL* >Brasy2G184500.2.p pacid=40071847 transcript=Brasy2G184500.2 locus=Brasy2G184500 ID=Brasy2G184500.2.v1.1 annot-version=v1.1 MDLKRVLDVVEEEVVDGDEEELASPDAKRRRTLLNSSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAENKQPLRIVLTDAVNNQTITSGPLSSMKVELLVLDGDFNADERLEHTEKECSESIVFEREGKRPLLSGEVIIVLEKGVASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQNGLRGLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIILLFNCIHEIVGVIVGSHCFTLNALTTTQKALVVKLQQDAYKFPNRIVEFKVQSQCAADQSPPMPAGPSCTHIPSLPRDEPNLQDDGLLNPLPLGEALEDVLQLQATSGSHQHHRAEPWITSGFDARDPFDALQFSGSSQPCGLLLSSTGARL* >Brasy2G140700.1.p pacid=40071848 transcript=Brasy2G140700.1 locus=Brasy2G140700 ID=Brasy2G140700.1.v1.1 annot-version=v1.1 MGKGAPATSGTTASGGVANEDCLLHQSPAPSSASSLDAGASPELPTIDPVQPSHRPTAIRVESRTHHTRAPFPNPNPRRPLNPLAAFSPRPSSNTTAAAAPTARGLVLASPRGGLPLPP* >Brasy2G299400.1.p pacid=40071849 transcript=Brasy2G299400.1 locus=Brasy2G299400 ID=Brasy2G299400.1.v1.1 annot-version=v1.1 MEAVHGIESLAAGHDRHHLSRTLGPALLISVGYLDLGKWVATLDAGARFGYDLVLLVLLFNFSAVLYQYMSICIGMFTAKNLAEICRQEYSQFICVGLGLQAGLFLFISELTMISGIAVGFNLLFEHDDLITGIIFACVVVNLLPYILSPRDKRMAGTLNACIAGFTILCFVLGLLISQPEIPLHVNVMFPKLSGESAYSLMALMGANIIVQNFYVHSSVVQVQRRSHVLTLGTMFHDHLFSILFIFTGVFLVNYVLMSSAAAESSNNAILTFHDAVELMNQIFTNPMAPLVLLAVLLFSSHIISLTSLIASHVVTENFFGANMSLSAHHVLLKVFAMIPTIYCAKVAGSEGIYQLLILCPVIQATLLPSSVIPVFRVASSRPIMGNYRISLYVEILAFLAFLLMLFTNIIFVAEILFGDSTWTNNLKGNTESPVVIPHTVIVLMSCASIAFTLFLAVSQQVVKLKLRSFLSTLREKHWTLLIIDKTLLWNMLHMKKFKESDHGTRQSTAYSAAVPEDRPSASSNWDEIKSVAMVDLSESMPNVCTATVAEQSTAENSKMKRTTKKDVEKEADVCTDKDNKTSHNLKFAKPAGGKLPSLSSDDPASLTMSRDEDTNSGTGSGGLPRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTPEANAERFHLLLGLDLTTDISAVRMDNQNIEASKSPFMKDAVRGSQTSWDSVSRNTEISCPDLTFGLQMGALGSSTWSQSMHLPSKDGPSSRSTLRDKNSKLHSNFDVDSVDQFCQPATIDGYQLTNYLKGIHTGRSPLSTLDPRRPPISSESTGVNYADSGMRACRRNVLGSFGSTYIESPVMNLLSTMTIERSYYSPTAIDGSETGFSSAYSKKYHSSPDISAIIAANRNSLLNKANLGSTPGNQSHLSRLASQRSHHMGTAATFQRDVLSMQSSMITNAHPTSLWSQQPSEQLFSAPSVELNRGKVNTEQRSGGIKDDFSYTESEAKLLQYLRFCIMKLLKLEGSVWLFRQNGGCDENLIDQVAAAVRVSQETTDGRDANCMHRLLNCGGDCVWQVSLVISFGVWSVRRVLDLSLVETRPELWGKYTYVLNRLQGILDPAFTSFGNP* >Brasy2G299400.2.p pacid=40071850 transcript=Brasy2G299400.2 locus=Brasy2G299400 ID=Brasy2G299400.2.v1.1 annot-version=v1.1 MEAVHGIESLAAGHDRHHLSRTLGPALLISVGYLDLGKWVATLDAGARFGYDLVLLVLLFNFSAVLYQYMSICIGMFTAKNLAEICRQEYSQFICVGLGLQAGLFLFISELTMISGIAVGFNLLFEHDDLITGIIFACVVVNLLPYILSPRDKRMAGTLNACIAGFTILCFVLGLLISQPEIPLHVNVMFPKLSGESAYSLMALMGANIIVQNFYVHSSVVQVQRRSHVLTLGTMFHDHLFSILFIFTGVFLVNYVLMSSAAAESSNNAILTFHDAVELMNQIFTNPMAPLVLLAVLLFSSHIISLTSLIASHVVTENFFGANMSLSAHHVLLKVFAMIPTIYCAKVAGSEGIYQLLILCPVIQATLLPSSVIPVFRVASSRPIMGNYRISLYVEILAFLAFLLMLFTNIIFVAEILFGDSTWTNNLKGNTESPVVIPHTVIVLMSCASIAFTLFLAVSQQVVKLKLRSFLSTLREKHWTLLIIDKTLLWNMLHMKKFKESDHGTRQSTAYSAAVPEDRPSASSNWDEIKSVAMVDLSESMPNVCTATVAEQSTAENSKMKRTTKKDVEKEADVCTDKDNKTSHNLKFAKPAGGKLPSLSSDDPASLTMSRDEDTNSGTGSGGLPRLPGLGRAARRQLAAILDEFWGHLFDYHAVRMDNQNIEASKSPFMKDAVRGSQTSWDSVSRNTEISCPDLTFGLQMGALGSSTWSQSMHLPSKDGPSSRSTLRDKNSKLHSNFDVDSVDQFCQPATIDGYQLTNYLKGIHTGRSPLSTLDPRRPPISSESTGVNYADSGMRACRRNVLGSFGSTYIESPVMNLLSTMTIERSYYSPTAIDGSETGFSSAYSKKYHSSPDISAIIAANRNSLLNKANLGSTPGNQSHLSRLASQRSHHMGTAATFQRDVLSMQSSMITNAHPTSLWSQQPSEQLFSAPSVELNRGKVNTEQRSGGIKDDFSYTESEAKLLQYLRFCIMKLLKLEGSVWLFRQNGGCDENLIDQVAAAVRVSQETTDGRDANCMHRLLNCGGDCVWQVSLVISFGVWSVRRVLDLSLVETRPELWGKYTYVLNRLQGILDPAFTSFGNP* >Brasy2G437200.1.p pacid=40071851 transcript=Brasy2G437200.1 locus=Brasy2G437200 ID=Brasy2G437200.1.v1.1 annot-version=v1.1 MVMAAALLAGAFRRGRAGGARYLAGESLRRLVSTLVVAEHGGGLVKPSSLSALAAAEAIAKENKVSLLLGGSGPTLHKAAQHAASSHPMVNEVLVADSDVFAHPLAEPWAELLRSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVIAISEPRVFVRPIYAGNALCTVRYTGENPCMMSIRSTSFSPTTESMPETKVAPITQVDLSFLNEVSSRKSSWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYIAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVGDLFQVLDELLMKMPDKK* >Brasy2G437200.2.p pacid=40071852 transcript=Brasy2G437200.2 locus=Brasy2G437200 ID=Brasy2G437200.2.v1.1 annot-version=v1.1 MVNEVLVADSDVFAHPLAEPWAELLRSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVIAISEPRVFVRPIYAGNALCTVRYTGENPCMMSIRSTSFSPTTESMPETKVAPITQVDLSFLNEVSSRKSSWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYIAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVGDLFQVLDELLMKMPDKK* >Brasy2G359500.1.p pacid=40071853 transcript=Brasy2G359500.1 locus=Brasy2G359500 ID=Brasy2G359500.1.v1.1 annot-version=v1.1 MPAPVAQDPRPQTRRQQRRRRVRGRTVHVDVFNYYLDYLLPPPPVPDWTELPLDVTLRILRCLDPVELLGRAARVCRFWRRATRDEPELWRRIDTRACSRDLPLDAFAREAVRRSAGRCEAFSGEYFGDDDFLLFLAEQAPALRTLCLDFFYKVTNEGFTKAIAKYDHYSIDDPNNDREAMAIASMRELRSLQLFRDTLTDKGLAAIIDSCPHLESLDIRSCGNITMDDALQAKCARIKMKTLRMHRSKSTVPDLEDFEPGSSISVCSTCMMSEDFRKLREEREKNCIADSEDYYDPYDYYLSVLDEAHLEEHGRVLGKSMRRYNL* >Brasy2G206700.1.p pacid=40071854 transcript=Brasy2G206700.1 locus=Brasy2G206700 ID=Brasy2G206700.1.v1.1 annot-version=v1.1 MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSLRRLVKFMEGKENQIAVIVGTITDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV* >Brasy2G051800.1.p pacid=40071855 transcript=Brasy2G051800.1 locus=Brasy2G051800 ID=Brasy2G051800.1.v1.1 annot-version=v1.1 MAPATTTYGCAACGADLNLSSAHLYPAGVYFEAGNKGTLSFSWADESRLRLAPEDRIRPFFETLDYWGIQRKRTRISCDACGRLLGHVYDDGPPAMEGTGQFGMGPSQVVPRRPRYRFKIKAVTPIGRGGAASSAAAASA* >Brasy2G051800.2.p pacid=40071856 transcript=Brasy2G051800.2 locus=Brasy2G051800 ID=Brasy2G051800.2.v1.1 annot-version=v1.1 MAPATTTYGCAACGADLNLSSAHLYPAGVYFEAGNKGTLSFSWADESRLRLAPEDRIRPFFETLDYWGIQRKRTRISCDACGRLLGHVYDDGPPAMEGTGQFGMGPSQVVPRRPRYRFKIKAVTPIGRGGAASSAAAASA* >Brasy2G215100.1.p pacid=40071857 transcript=Brasy2G215100.1 locus=Brasy2G215100 ID=Brasy2G215100.1.v1.1 annot-version=v1.1 MAFLHSNNRRNAAAAGGAILLLALVLSLSVPARHAKSGGVTTRAAREQGSCQEELRALDDRAARCRYVQSRPPCAPLGYLDYLAAFYCGAWPAWACGAAMAAWLAALFYLLGDTASEYFCASLEGLSAALGLPPAIAGATLLPLGNGAPDVLSSLVAFSSSGDGAGDVGLSGVLGGALFVSTVVAGAVALVAARKSRGGGGGVVIERPGFVRDACFLLVALCYLLAVLVTGAVTVWAAAAFLSLYVAYVLLVWTSHCCPETHAAYDDEDSTSTKPPCDNADLAAPLLPVLGSSNSNSNSNSKQQQPTTAGPSGPRRPLFALLLAALHAPLYLPRRLTIPDLAAHRWSRPSAIASALLSPLLIAATTTTTPSLTALLAGALAGILLAIAAALTTDPSSPPQARARLPWLAGGFLMSVLWSYLLAQELVSLLVSAAIIAGVPASVLGVTVLAWGNSVGDLVSDVAMAAVSDAGARTAVAGCYAGPAFNTVVGLGLSLAVAAAARYPEPYEVPVEASAYVTVGFLAAGLAWALAVLPARGMRLDAVLGAGLILVYLCFIAVRLADAVGVISLDSLLT* >Brasy2G014900.1.p pacid=40071858 transcript=Brasy2G014900.1 locus=Brasy2G014900 ID=Brasy2G014900.1.v1.1 annot-version=v1.1 MALDSVPSYPGDLGSSTTRASAGGGGRTQHRIRKEERTWTADTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCNTTSRPIGSAPDAVEQPSSRKAVSICFGSGAAAEQRPAFLTPPSTAALHSPASRGIHHPQDRGTYAPRFQWAETSSSLSTGNAPVKMEADDLAAGGAGSSSSSITGGGGALSRTLLPIGQSRCIEYFQFL* >Brasy2G257100.1.p pacid=40071859 transcript=Brasy2G257100.1 locus=Brasy2G257100 ID=Brasy2G257100.1.v1.1 annot-version=v1.1 MAQCLRGKGGAAAVGEALRKAAPWRRAASASYHHTIQAVPRETTGPRAAARERRNGHVPAVLLTLAGAGPGEGVAHRKLLTTDRKQLSEMLKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHTDESTGNILNLVMVQADEGTMIKVNLPVVFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNLDIGDRVLMNDIPVHPSLKLLSKNETMPVCKLLASKPVE* >Brasy2G158300.1.p pacid=40071860 transcript=Brasy2G158300.1 locus=Brasy2G158300 ID=Brasy2G158300.1.v1.1 annot-version=v1.1 MEYEERCLMVQEPKFDCLLFDLDDTLYPMSSGISSHVKTNIEDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYNFDYDEYHSFVHGRLPYDNIKPDPVLKHILKNMRIRKLIFTNGDMVHAVRALKRLGLEDCFEGIICFETLNPPCLLPGDQAPEIFDIAGHFSGSGSTDELPRTPVLCKPNVDAMEAALRIANVNPYKAIFFDDSVRNIQAGKRIGLHTVLVGTSHRVKGADHALESIHNIREALPELWEEAEKAEDVLYSDRVAIETTVTA* >Brasy2G355200.1.p pacid=40071861 transcript=Brasy2G355200.1 locus=Brasy2G355200 ID=Brasy2G355200.1.v1.1 annot-version=v1.1 MALSLRKKQLDLITRMLHLHQQQSSPDGGGDGEEEAYKILVMDGPCISLLSPVLRVGDLRRRGITLLLNIDKARQQVADAPAVYLVRPTPANADRIAADAAAGLYASFHINFSTSVPRPVLERLASATASSRSAHRVARVADQYLDFVCLEDGLFSLAQPRAYVALNDPAAAEADITALVDAVALGLFCVVATLGSVPIIRCASGGPAEMVAAALDSRLRDHLLAKPNLFTEAASSAASSFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLSLKLNKLKLPAEKYDLDDSDPFWVANSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYYECENGMLVNGTVDRNMLLSLLRGKGTKEDKLRLAVTYLLSFEAPPPSELEQVEAALRESEVDMSAFQYVKRIKSLNMQFAAASSTASRSNIVDWAEKLYGQSISAVTAGVKNLLSDGRQLALARTIEALMEGKPNPEVDNYLLFDPRAPRSGTGGQFRGPFREAIVFMIGGGNYIEYRSLIELGQQSQPSKHVIYGATEILNGVEFIQQLAELGQKAGLGGGSNNL* >Brasy2G249300.1.p pacid=40071862 transcript=Brasy2G249300.1 locus=Brasy2G249300 ID=Brasy2G249300.1.v1.1 annot-version=v1.1 MGWAALRGSWPTVLQILQLLREQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAIFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTIISMGILKLLGLKGRSEPFVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAVDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPESQKHLQLNREGRGGGMELARDLLLCTGREQRGGGARPVVERQWRAAAPVGSGARPRGLRWRGRRAVWRRLHCRFSSAGAVARRTVTEGKGMWICAAAGDMGEDKSLGACSLAGARDRGKEDLPEDGRSEDNERKRPLSLNCCGFFFLVDRRSGTWGILRKSEGKGSESWEGWSACAEIGSVLSYNSTLQFDGLPNSRIEAGKFQFPILGLNTNIQTGYSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFYVDANTSIDDLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKREENDEYQDEGSDHQDDRERTQAYELEILEANARKVSKVSFKPISSECVDVDNKGVNKLMSKKIIKRKKKDSGDSSDSDDDDVCPDITENGCPAELVSYSNDKSAELEDVGSPTATR* >Brasy2G249300.2.p pacid=40071863 transcript=Brasy2G249300.2 locus=Brasy2G249300 ID=Brasy2G249300.2.v1.1 annot-version=v1.1 MGWAALRGSWPTVLQILQLLREQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAIFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTIISMGILKLLGLKGRSEPFVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAVDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFYVDANTSIDDLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKREENDEYQDEGSDHQDDRERTQAYELEILEANARKVSKVSFKPISSECVDVDNKGVNKLMSKKIIKRKKKDSGDSSDSDDDDVCPDITENGCPAELVSYSNDKSAELEDVGSPTATR* >Brasy2G249300.4.p pacid=40071864 transcript=Brasy2G249300.4 locus=Brasy2G249300 ID=Brasy2G249300.4.v1.1 annot-version=v1.1 MGWAALRGSWPTVLQILQLLREQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAIFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTIISMGILKLLGLKGRSEPFVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAVDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFYVDANTSIDDLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKREENDEYQDEGSDHQDDRERTQAYELEILEANARKVSKVSFKPISSECVDVDNKGVNKLMSKKIIKRKKKDSGDSSDSDDDDVCPDITENGCPAELVSYSNDKSAELEDVGSPTATR* >Brasy2G249300.3.p pacid=40071865 transcript=Brasy2G249300.3 locus=Brasy2G249300 ID=Brasy2G249300.3.v1.1 annot-version=v1.1 MGWAALRGSWPTVLQILQLLREQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAIFGEAGVSAATGVMTVAVLLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTIISMGILKLLGLKGRSEPFVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAVDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFYVDANTSIDDLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKREENDEYQDEGSDHQDDRERTQAYELEILEANARKVSKVSFKPISSECVDVDNKGVNKLMSKKIIKRKKKDSGDSSDSDDDDVCPDITENGCPAELVSYSNDKSAELEDVGSPTATR* >Brasy2G113500.1.p pacid=40071866 transcript=Brasy2G113500.1 locus=Brasy2G113500 ID=Brasy2G113500.1.v1.1 annot-version=v1.1 MSMAPMSSSLLLRLLAVSALCLCLCLFRGLLCADASVHGYAGERFAAVGNAFVLNGGSEGIYASSPSADSFVRFEKVAFRRTPESAAAAEEDGNRTATVTAVLFEAADRDAVGAAAVSGSGSPRRELCCTPAMSRRGACTEGSLVVLPKRNANSSGGWPKVISASFLPGALDASFPDETIPIPCTGMYNLRFVHCHASLGLSSVSFSGKTIWKNGLGGYLPGRMAPLETFYGAMSLAFAALAAFWFLRYARHWRDVAPVQNLLTLAVALGMLEVTAWYLDLAEFAESGVRPPATTFWAATASASRRAVSRVLALLVAMGYGAARHTLRRGVGVRVAALGATFFAASEALEVVQHVGAVSDDHDYSYSPAPGSGKKTLLLLALPVAALDAVFVCWIFRSLSRTINKLKARRVAAKLDAYRRLGNAATIAAAVSLGWAAFEAHFRWTEGGDEDSGRWRVAWVIPAVWQLISFALLCAVCLAWAPSHDSASRLAYCCSDAEDHDDEDDDHGEGGGGGGKMIGAAGPLSYVGSWACYVTQDDTKIILRTDSGGVYAKAGQEDKRV* >Brasy2G024400.1.p pacid=40071867 transcript=Brasy2G024400.1 locus=Brasy2G024400 ID=Brasy2G024400.1.v1.1 annot-version=v1.1 MFQLGSAPGKRFWARHRWKVLLSLGVAGAGYATYRLYDAHRSQLVRVEQRQLEERAADELVKNQLQAHFENVQRISDTTTLPFAMHYLRSRIVEELDISHLTEKLLQGKGESGVLTPKEKYDTWEKIKIMSFTRTVSSMWAMTLLSLYVRVQVTILGRHLYLDFARGTDGSQLQAESDTLSRNGHKDFLATADYLATYGINTLIMQMEHAATEILKGKQLKDPMSMDQVLQTVLQIFDQFMSLCEDKSWINYLVPENANSYAQLLAVSGSGFDDSSLLMDVRKLDQLMTETRIVLASDDFRNVMDMSLRKIADVVIEDLGAQLGAAVPPSGLPLAKLLARVAQLSSPLLEEPSKNKHIQIIRSMPEVELFYTFLYANMPPQT* >Brasy2G412900.1.p pacid=40071868 transcript=Brasy2G412900.1 locus=Brasy2G412900 ID=Brasy2G412900.1.v1.1 annot-version=v1.1 MRRQGNEASPSIIHTSSIALLQERFRNLQRVKEMREGRELQRVHRSPDSADRAGSPAPALNLSLQPVASGGNEQPRWFLHPDLVRPSRPLQGPAGSGGLGVNGVQATSQPAAASSWGDVSRMQNSGYRGDVDVDTSLHL* >Brasy2G063300.1.p pacid=40071869 transcript=Brasy2G063300.1 locus=Brasy2G063300 ID=Brasy2G063300.1.v1.1 annot-version=v1.1 MCPKTSQQRQVQLRTYNIPYKAKEIDSAALHLCFIAKLTGRSKQFEQQGQSFNKLTKHQSQEVPDVEIIDEKTFSKNIQLGGRPNLNKQTKAAPPNDSEEVQVLGERNFRERCNSLTEQSDKMYNQNIRSKNLIMNTSNLPSTAIANFLPPRRVLQPSKWLCSPYETNRINVTSEEARHYQSIMCIGSINEYQYRYAIFLDKCKVHFKTFAESFSIQGWVEGWVINAFCRKLFRGKHPSESGKHFFFHTISEYFLEQYDNEERKEYMRQRVIHAFEGAGSANSLYLTNMLFFPSLHMKHWFLFIVDMRDEKFLFLDSLFGSDNVLHKEADPLLIRNFIATWKEMGLPSVRFNEFQIMYPRVPKQTNGDDCGIYVMKLMEQWDPRNQPPCSFARDDIASIRIKFCNDLMFCKYNKLEESKQFVRQFNS >Brasy2G421400.1.p pacid=40071870 transcript=Brasy2G421400.1 locus=Brasy2G421400 ID=Brasy2G421400.1.v1.1 annot-version=v1.1 MRRTRGAKSWKGRPREGGGEDSRCDFYPTRLARKSATAPSGAGARPCAPPERK* >Brasy2G184000.1.p pacid=40071871 transcript=Brasy2G184000.1 locus=Brasy2G184000 ID=Brasy2G184000.1.v1.1 annot-version=v1.1 MTAVPPPSSLAVTSPSRSPSAESVLPSIRVIPRPLPPGPPDGILGVGPGGNHGVARMEMEPSSSMATSTRGPGSDPSTRPDPPSLPTSTRGFSLASLLGHFWRRRSEPPPRVGAPSGVWRGTISGDRHSFAQVVSSPCARRSMVAARGGYGQRREGFGAGRGRGDGRGRGRGLVWERNTEARGQARGARGGQGRGAGAAVAATGAAGAQGAATVTAESASAGSAEVGEAVETTASPPRQRAPAAQQLQLQDGTPQIPSTKSCDKCGLIGHKVRECSVRFLEDYVAPMCGFQSRDQGFFFIPPIPSEKSLKDKNSSAVITVVEGVASARQIEAAFNPVFAGTWRCTARPIGPGKFVMRFPNAKKVEEFSFFKGFSLKYTNAKVDIAPWSPSVGAKGELQQAWVKVSNIPSDMRSEAIVAYVGSLVGVTKDIDMQSLSKPEYVRILLGCRDVYEIPPTAEGCIGDYIYDFYYELDTIVIGSSKKTDVSITNPASDDEIHSPSKRQKFDEPPPNSAPPRMGGGTFQGGKQHVVAPVEVGDDEEESEEEDRGLLIDSLQDEAELQRLQRDDQVLSVFSVMQTAGSYTPLCLGNGPSADDVLLEEKFTFSEDDGPFS* >Brasy2G441200.1.p pacid=40071872 transcript=Brasy2G441200.1 locus=Brasy2G441200 ID=Brasy2G441200.1.v1.1 annot-version=v1.1 MALWQDMFVPHPQNLQDLFPSSKTAKIFVSLPKQRGKEIELSFSITTFCRRRRRVTRRPCTNGALAKKKRSHRSASMGGGGGGGDRLSELPDCLLHVILSHLTALQVVRTSSLSSRWRHIWRSVPCLHIDSHDFRRPRPPTPHGPDPHAAAAAEDDESALEDFADSLLTQRRSGGPSPPPPPLDTFRLSLAKRPGGCGACESPDRPCRWVRRALEDCSPKVLDVSISVSSKSFSLLHELHPLLVFPGSGIISRLTHLRLRNATLHAGDADSFASAGSSGFPALEAMDLSGCDYRLRRIESATLRTLAIHGECHNWLREPVVIAAPNLATLRLAVAFVRDRQSRCYVQWRDAAFAVDDEAAPLRRLAEASVAVLDRHPVGTEDRMEPLQSTAFFRTMRTLLGSLSNVSGSLELSGFKATSGQCPYILLKNMRLDWNRVPTELRDDIALEFDKFASVPLLEAVLDKEQGRMPVFGNLRTLILNECHISNNLQTLWRLLRLTPLLEKLTLQCCKFPDCIKREGTSNMLREFPNLKLEDIESSDTDDVPNYLRLVEIKYKDRDEDGLTKVLQEMSIKYKDQETLQREIVLLRIRMKLPKATVQVTKIESN* >Brasy2G131800.1.p pacid=40071873 transcript=Brasy2G131800.1 locus=Brasy2G131800 ID=Brasy2G131800.1.v1.1 annot-version=v1.1 MGLCRAVAAWRRAAVAICLLPVALPLALLWLPPLSFALAVVRFRRRRRTMMKTDAATRGAGCCLGGGGGRSSPLPPAREEGGAGALLHKYLEDQMELVAAEAGAAALARNP* >Brasy2G308900.1.p pacid=40071874 transcript=Brasy2G308900.1 locus=Brasy2G308900 ID=Brasy2G308900.1.v1.1 annot-version=v1.1 MAQGRGSARAGGNGAAVLALVLLCVLLHGEFAESAVYTVGDRGGWTLNSGGWPRGKRFRAGDVLQFKYGRGAHNVVAVNAAGYKSCSAPRGARVYSSGNDSVKLSRGTNYFICSIPGHCGAGMKMAVTAA* >Brasy2G408900.1.p pacid=40071875 transcript=Brasy2G408900.1 locus=Brasy2G408900 ID=Brasy2G408900.1.v1.1 annot-version=v1.1 MQLSRLNQQTFLSLLKSAASASPSAYSHHLCSLHGVCTKLGFLLCTRTNNAFIQGYCSAGRVTDARRVFDGMPRRDTVSFNSMIHGYAVSGDVSSAQRLFERVPAPTPVTWTSMVAGFCRWGRRVGQARLQEMPERDLVSWNAMISGCVGNRLPVEALRLFRWMMEAGFVPNRGTVVSVLSACAGAGALETGKWVHVFVEKKRLRRDEFLGMALVDMYAKCGAVELALDVFAGLRARNTCTWNAMINGLAMNGYSAKALDMFRQMELDGTAAPDEVTFVGVLLAYSHGGFVDAGKEHFYTIPKKYGVELILEHYACMVDLLARSSHLQEAHKLITEMPMKPDVVVWRALLGGCRLHKNVKMAENVISEMEATCSGDHVLLSNLYAAVGRWNGVEDVRRTMRSKGIEKIPGCSSVEMDGSIHEFISGDKSHPSYDDIHAKLIEIGGRMQQQGYVTETAEVFYDIEDEEKAQALGYHSEKLAIAFGLIGGPPEATIRIVKNLRFCTDCHSFAKLVSKIYHREIVVRDRARFHHFEGGACSCNDFW* >Brasy2G150900.1.p pacid=40071876 transcript=Brasy2G150900.1 locus=Brasy2G150900 ID=Brasy2G150900.1.v1.1 annot-version=v1.1 MAFFSHHHLQQPHPAAPPQQQQQPAPLSFRNALPVPVDGQIPAPLAFFNQTPAFPDQAGQPQLVDAVGLTAAAGMGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGSGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIRAQSERLRQSILEKVQAKQFEALASVEDKILRKIQDKESEVQNINKRNSELEDQIKQMAVEVGAWQQRAKYNESMISALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGALNLQLMPKENNQNKDLTTCRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM* >Brasy2G402200.1.p pacid=40071877 transcript=Brasy2G402200.1 locus=Brasy2G402200 ID=Brasy2G402200.1.v1.1 annot-version=v1.1 MSIPWTTTVSVVDMVWAVLAAWVSACLAAAAAVARSVRTGELIGPFRVVSWA* >Brasy2G408500.1.p pacid=40071878 transcript=Brasy2G408500.1 locus=Brasy2G408500 ID=Brasy2G408500.1.v1.1 annot-version=v1.1 MAAADDRAAAAALKPFEERASDAEARLAKLEALLLKKDGLSSGSETSSSAMTDLQSKLDAVSAECLAEKEKNRKLTMENEKLQYRISHLIRAMKETDSRQKAL* >Brasy2G432700.1.p pacid=40071879 transcript=Brasy2G432700.1 locus=Brasy2G432700 ID=Brasy2G432700.1.v1.1 annot-version=v1.1 MEPAAGSPSASADGGVFLEFVDYAISMLVSSSSDDGYESPGAGPAPARPPWGWAVTQVLKSCRAYSSGVTAAILLSDLHQSWTEQRKSLTAKRKVELTNLLNTRTKRRRLPSTITIDSIHEKNFLSPKSVLEAVVIDVFILPGTKIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHCTLKKGREVVLTGCCLRTAVEGSGRARILPTEYMVMLLDEDQDDDAMLLGAQFCTYSFSSMKQEKSRNDVVYSFYARIEKIESLEPFTCTERKQIVLVDTDGGKIKFILWGEQGLLANLFSIGSMLALDNPFIANFVDSNHEESQELCLEYGSATQLYLVPIAQHEEQVLLTPTPIRSQGPRLSCVPVDNMASQVTLSRDLHGSVDFSKYPFRVCVSELHDKMVGVSLFGTVTSVCKASTSGTTFYLEIEDTTGAVLMKLAFAGHWSVGRVGVGHMVYISGLTCTLSITKIPEVTWCEKESGSLFVNLSLLPALLNSTCLYNLSLLSDLPHSANRTHICRVRLDHIDRNSLKLSLFHNLCGYVVNELSDGLLQCSFCKVACHNGCTHGFQLHLTIADDSEKVFAWCNGQTAVEFLQISPDEYMELPEDERMMYLFTLQNESFTVAIANTNKRGDRSSEIKEALPVWEITRAQKCD* >Brasy2G432700.2.p pacid=40071880 transcript=Brasy2G432700.2 locus=Brasy2G432700 ID=Brasy2G432700.2.v1.1 annot-version=v1.1 MEPAAGSPSASADGGVFLEFVDYAISMLVSSSSDDGYESPGAGPAPARPPWGWAVTQVLKSCRAYSSGVTAAILLSDLHQSWTEQRKSLTAKRKVELTNLLNTRTKRRRLPSTITIDSIHEKNFLSPKSVLEAVVIDVFILPGTKIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHCTLKKGREVVLTGCCLRTAVEGSGRARILPTEYMVMLLDEDQDDDAMLLGAQFCTYSFSSMKQEKSRNDVVYSFYASIGSMLALDNPFIANFVDSNHEESQELCLEYGSATQLYLVPIAQHEEQVLLTPTPIRSQGPRLSCVPVDNMASQVTLSRDLHGSVDFSKYPFRVCVSELHDKMVGVSLFGTVTSVCKASTSGTTFYLEIEDTTGAVLMKLAFAGHWSVGRVGVGHMVYISGLTCTLSITKIPEVTWCEKESGSLFVNLSLLPALLNSTCLYNLSLLSDLPHSANRTHICRVRLDHIDRNSLKLSLFHNLCGYVVNELSDGLLQCSFCKVACHNGCTHGFQLHLTIADDSEKVFAWCNGQTAVEFLQISPDEYMELPEDERMMYLFTLQNESFTVAIANTNKRGDRSSEIKEALPVWEITRAQKCD* >Brasy2G432700.3.p pacid=40071881 transcript=Brasy2G432700.3 locus=Brasy2G432700 ID=Brasy2G432700.3.v1.1 annot-version=v1.1 MEPAAGSPSASADGGVFLEFVDYAISMLVSSSSDDGYESPGAGPAPARPPWGWAVTQVLKSCRAYSSGVTAAILLSDLHQSWTEQRKSLTAKRKVELTNLLNTRTKRRRLPSTITIDSIHEKNFLSPKSVLEAVVIDVFILPGTKIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHCTLKKGREVVLTGCCLRTAVEGSGRARILPTEYMVMLLDEDQDDDAMLLGAQFCTYSFSSMKQEKSRNDVVYSFYASNHEESQELCLEYGSATQLYLVPIAQHEEQVLLTPTPIRSQGPRLSCVPVDNMASQVTLSRDLHGSVDFSKYPFRVCVSELHDKMVGVSLFGTVTSVCKASTSGTTFYLEIEDTTGAVLMKLAFAGHWSVGRVGVGHMVYISGLTCTLSITKIPEVTWCEKESGSLFVNLSLLPALLNSTCLYNLSLLSDLPHSANRTHICRVRLDHIDRNSLKLSLFHNLCGYVVNELSDGLLQCSFCKVACHNGCTHGFQLHLTIADDSEKVFAWCNGQTAVEFLQISPDEYMELPEDERMMYLFTLQNESFTVAIANTNKRGDRSSEIKEALPVWEITRAQKCD* >Brasy2G346400.1.p pacid=40071882 transcript=Brasy2G346400.1 locus=Brasy2G346400 ID=Brasy2G346400.1.v1.1 annot-version=v1.1 MAKGHVMVLPMPCQGHVVPLMELSHRLVDHGFEVTFVNTEVDHALVLAALPGGGEALRGIHLASIPDGLADDEDRKDLNKLIDAYSRHMPGYLEALVADMEAAGRPKVKWLVGDVNMGWSFPVARKLGIRVASFWPASMACLAIMLKIPSLIQDGVLNDQGWPEREETLELAPGMPPLHTSLLSWNNAGAPEGQHIIFQLVCRNNKLNDLAEITVCNSFHEAEPGAFGLFPDILPIGPLFADAGLQKPVGQFLREDTGCLGWLDARPDGSVVYVAFGSFAIFDARQFQELAEGLELTGRPFLWVVRPDFTPGLSKPWLEEFRQRVSGRGVIVSWCSQQRVLAHRAVACFVSHCGWNSTMEAARNGVPVLCWPYFCDQFLDRSYVTDVWRTGLAVAPGKGGVVGKEEVRGKVEMLVGEEGIREKARGLKDAASKSVGEGGSSHENFTRFVELLGE* >Brasy2G346400.2.p pacid=40071883 transcript=Brasy2G346400.2 locus=Brasy2G346400 ID=Brasy2G346400.2.v1.1 annot-version=v1.1 MAKGHVMVLPMPCQGHVVPLMELSHRLVDHGFEVTFVNTEVDHALVLAALPGGGEALRGIHLASIPDGLADDEDRKDLNKLIDAYSRHMPGYLEALVADMEAAGRPKVKWLVGDVNMGWSFPVARKLGIRVASFWPASMACLAIMLKIPSLIQDGVLNDQGWPEREETLELAPGMPPLHTSLLSWNNAGAPEGQHIIFQLVCRNNKLNDLAEITVCNSFHEAEPGAFGLFPDILPIGPLFADAGLQKPVGQFLREDTGCLGWLDARPDGSVVYVAFGSFAIFDARQFQELAEGLELTGRPFLWVVRPDFTPGLSKPWLEEFRQRVSGRGVIVSWCSQQRVLAHRAVACFVSHCGWNSTMEAARNGVPVLCWPYFCDQFLDRSYVTDVWRTGLAVAPGKGGVVGKEEVRGKVEMLVGEEGIREKARGLKDAASKSVGEGGSSHENFTRFVELLGE* >Brasy2G096600.1.p pacid=40071884 transcript=Brasy2G096600.1 locus=Brasy2G096600 ID=Brasy2G096600.1.v1.1 annot-version=v1.1 MRTSQLLILLLAASALCAVATPAAALDGPWQKIKDVADPHVQELGAWVAAAINKVANCGVKFSKVVGGEVQIGAGKKYSLDVDALRPGAKDAVYIRRWCTRRTSRPAIRASSSSSTVPGPYCNRVVLINSMF* >Brasy2G336200.1.p pacid=40071885 transcript=Brasy2G336200.1 locus=Brasy2G336200 ID=Brasy2G336200.1.v1.1 annot-version=v1.1 MPTAAAATAAGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDNAMERHAVHLVLYCRAAPGVGRDALKESLSEALSLYPAMTGRLVRAGQGEEGCAGAAAAGGWIVKCNDAGVRTVDARAAVTLDEWLATASADEEMDLAYFEQMGAEPYIWSPFYVQLTEFTDKSYALGLSCTHVHNDPTAASLFFHGWAAAHRRTTSPYPPFLHSPSFAVSPTTPPSPPPLLAAKSAAAAPPSADAAAMSSATFHFPAAAMRALLSSLEPGATPFAALAALFWLRVLGNDADAAEGESQRELTLALDFRKKIRAPLPSGYYGSAVHFTRARADLSSPLAAVAAALDARVAGVPEEELWATLEWLHARQASPAPGGGEPFQMYGPELTCVALDHVPMYGAEFVAGEPPARVACRVGGAPGEGVVIVMPAAEGGEARDVVVTLPAEAAARVCRDGELLRYGVQVVAGSKVETGPKAQ* >Brasy2G450400.1.p pacid=40071886 transcript=Brasy2G450400.1 locus=Brasy2G450400 ID=Brasy2G450400.1.v1.1 annot-version=v1.1 MATVAALRLAGVAARGAASGLGREREAPCGAARPGPQCWRRRGLVLRCQSGAAATLKKGEEASSLESSSAEAARDGATGFTVVMKFGGSSVATAERMREVADLVLSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIEELAVIKELHLRTVDELGLDESIVSGFLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDLGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAVPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITKTRDMRKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWSRELIQQELDNVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKQVNISLVVHDSEAKQCVQALHSAFFENGFLSEVEEADLAQNGSPVPVNSNGALYGN* >Brasy2G450400.2.p pacid=40071887 transcript=Brasy2G450400.2 locus=Brasy2G450400 ID=Brasy2G450400.2.v1.1 annot-version=v1.1 MATVAALRLAGVAARGAASGLGREREAPCGAARPGPQCWRRRGLVLRCQSGAAATLKKGEEASSLESSSAEAARDGATGFTVVMKFGGSSVATAERMREVADLVLSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIEELAVIKELHLRTVDELGLDESIVSGFLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDLGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAVPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITKTRDMRKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWSRELIQQELDNVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFLSEVEEADLAQNGSPVPVNSNGALYGN* >Brasy2G457100.1.p pacid=40071888 transcript=Brasy2G457100.1 locus=Brasy2G457100 ID=Brasy2G457100.1.v1.1 annot-version=v1.1 MVSWRRMLFSGGLIFFVWCVNMYGWLTATSRVGTTTWIRRLFHSTTSGVGVLCRATSGVGTTAWVRRLFHSTTSGVGVLCRATSGVGTTAWVRRLFHSTTSGVGVLYRATSGVGTTAWIRRLFHSTTSGVGVLCRATSGVGTTAWIRRLFHSTTSGVGVLCPGMAREEGNSSLKQMPPTSNMRGCHSIYRLWKLKDYNIAPRQLTVMPSVFILG* >Brasy2G123400.1.p pacid=40071889 transcript=Brasy2G123400.1 locus=Brasy2G123400 ID=Brasy2G123400.1.v1.1 annot-version=v1.1 MSQETVNPKAYPLADAQLTMTILDLIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQNLKDAIEKLLI* >Brasy2G075800.1.p pacid=40071890 transcript=Brasy2G075800.1 locus=Brasy2G075800 ID=Brasy2G075800.1.v1.1 annot-version=v1.1 MREIFLRVPPDDPASLVRAAAVCKAWRAILLSSDDAASFARDYRAFHGPPPPMLGFLHNKCYKTHCVSRFVSTTAAPPFRPPACHGRRHWYALDSRHGRALFYTPKSDEDFVVCDPITDDRWPIHADPRYSDVMWKPQDGDEDEEEKTWNAAVLCAKDRCDHLDCHGGPFLVAFVGSDEQRGTFASVYSSETDQWSKAIFLNQPNAIMEAGHSAVLGSKVYFPCKESTQIVEFNMGEHKLAVINKPFNQAYLALVGAEDGMLLFAGLSESKLHLLSMEAGPNGALAWVRCRVIELKPLLPSRALLKASVVGFAEGFGAIFLNTEAGLFKIELNSGQSRKIYRKMFFEKVMPYMSFSTGVRNVGGCSGGASGAVVSATTAI* >Brasy2G318500.1.p pacid=40071891 transcript=Brasy2G318500.1 locus=Brasy2G318500 ID=Brasy2G318500.1.v1.1 annot-version=v1.1 MLSSSSSSSSSEVAAGGASGSPRAAAAGGAASSSGAAARGESSWARAAVRVQMGVAPFQDGQYVRLFNRGRGGYLFADETGRGVSVDHRRGMVNTAWVVQIVETKTSYYALLRGAYGRHLAVTRAQPRPGHVGCSAAQCAFDEPEDTHVMWWTTPGKKGSVVLLHGTSANLGALRANGRYRRWHRCVTVEAINRTRVTSMMEWEVEVIPLRVERPPYQLRQGGANIPWCLGSAEFMEVSYIVADDNGSTNGRDWVIVPFYGRSLMEMGNELARRLGNGINFQDITLFIQAGNLGQPTLLLTDLPHRDDPVDIVVFKVGTPGHDRLRFPDLHAEQ* >Brasy2G318500.2.p pacid=40071892 transcript=Brasy2G318500.2 locus=Brasy2G318500 ID=Brasy2G318500.2.v1.1 annot-version=v1.1 MLSSSSSSSSSEVAAGGASGSPRAAAAGGAASSSGAAARGESSWARAAVRVQMGVAPFQDGQYVRLFNRGRGGYLFADETGRGVSVDHRRGMVNTAWVVQIVETKTSYYALLRGAYGRHLAVTRAQPRPGHVGCSAAQCAFDEPEDTHVMWWTTPGKKGSVVLLHGTSANLGALRANGRYRRWHRCVTVEAINRTRVTSMMEWEVEVIPLRVERPPYQLRQGGANIPWCLGSAEFMEVSYIVADDNGSTNGRDWVIVPFYGRSLMEMGNELARRLGNGINFQDITLFIQAGNLGQPTLLLTDLPHRDDPVDIVVFKGTTGYVFRIFMLSSRREG* >Brasy2G318500.3.p pacid=40071893 transcript=Brasy2G318500.3 locus=Brasy2G318500 ID=Brasy2G318500.3.v1.1 annot-version=v1.1 MLSSSSSSSSSEVAAGGASGSPRAAAAGGAASSSGAAARGESSWARAAVRVQMGVAPFQDGQYVRLFNRGRGGYLFADETGRGVSVDHRRGMVNTAWVVQIVETKTSYYALLRGAYGRHLAVTRAQPRPGHVGCSAAQCAFDEPEDTHVMWWTTPGKKGSVVLLHGTSANLGALRANGRYRRWHRCVTVEAINRTRVTSMMEWEVEVIPLRVERPPYQLRQGGANINSCAICMCSHGA* >Brasy2G427300.1.p pacid=40071894 transcript=Brasy2G427300.1 locus=Brasy2G427300 ID=Brasy2G427300.1.v1.1 annot-version=v1.1 MDVEKAASKGHGFFGLFDWGKSKKSKRRLFSGSGGVSPAPGNTVHGKEVDGSAPSTPSNSILEDASEHSCSSSVIDDEARVRRGPTVVARLMGLDSMPVASSTESYAMPLTVQQTFQNNVHDEFIGRSYFSSPSPHKMPSSPIDRFRMEALPPRFAKRTLSVAQHKLFSPVKNPTHISRRNAADIMEAASRIIGPGVENTSTYRVRDVGYSNDVRAFNPTEIARVQQMSQAAKKRDGLASLKSQSANSLDGSLITSEASSSSRVSQSNGGAPVGPKVKAGSRSLPDLRGTHVHGREGISNSSRKLATRRDPEHNMVERNGCNQQKSNNQVGRASSSNVLVQNNRKQNAMCVENKANSKTATLSQQRSNLHSTNAAPKKAAVTSTHAANSTKGNKKAELQPTKYANRRVNSAAKTIPKPRRLPDGRMHSKKSQSSDKILADRSPRRVRHNIVIEEQSSFSTNKKKISTEIVSFTFTSPVDKLKSLPGSHFPNHSVETRSIENVNAVSTSSNTSNAESDIIDGDYLRLLLDQKLKELTSGVRSPYSKPDKGVRIYAPSPVLDDMASTCDTSSIASTDCDRDSIQSFKDGKAKLPLTDVASKSGQSSQSGKYDNDFIDQVELEHLHLSPHSTWEASLSTETCSSAESWRNTNNSRFSSTEGAPTSAHDGRLLEADALSEYSDTASSITVTTAEIVPSESSSLCRMDRRQEIHFLREILNSTSLSCHPCSLLERFGSSGILDPHLLEELNGKCRLAVGEEEGKTFRMIRRLLFDCTNEMLSLKCAYYFNAGYNSWFMGMAVLQKLSPEEIYQEMNSLKVAEEWMVDELVCREMSGPLGSWVDFKMESYEAAKDVTAELLGSLIDEMVADLLYW* >Brasy2G275400.1.p pacid=40071895 transcript=Brasy2G275400.1 locus=Brasy2G275400 ID=Brasy2G275400.1.v1.1 annot-version=v1.1 MRPNIITEAGITTRFNQWWSSTPFITSGVILICGAIYLVCLLIGYDSYREICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLTLAPLGTELERIMGSVRLLFLMFLLATTNAVLHLIVAFLVAYNPLYAVPSLVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNIPAKWYAWILLILFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSLLSICVRRPGFILCTGGTTYGQLPTHYNMSTSTAPSSLINGNLLRNISSWIPSRQTSTTQASPTQEQEDPRFPGRGRTLASTGTEPTAREASANLHARLLDNTTPSDPLTNSQLTAANTVRADATVTTDQPDTFDEELKKLVGMGFEKTQAEVALAAADGDTNVAIEILMSQQD* >Brasy2G275400.2.p pacid=40071896 transcript=Brasy2G275400.2 locus=Brasy2G275400 ID=Brasy2G275400.2.v1.1 annot-version=v1.1 MRPNIITEAGITTRFNQWWSSTPFITSGVILICGAIYLVCLLIGYDSYREICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLTLAPLGTELERIMGSVRLLFLMFLLATTNAVLHLIVAFLVAYNPLYAVPSLVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNIPAKWYAWILLILFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSLLSICVRRPGFILCTGGTTYGQLPTHYNMSTSTAPSSLINGNLLRNISSWIPSRQTSTTQASPTQEQEDPRFPGRGRTLASTGTEPTAREASANLHARLTAANTVRADATVTTDQPDTFDEELKKLVGMGFEKTQAEVALAAADGDTNVAIEILMSQQD* >Brasy2G275400.3.p pacid=40071897 transcript=Brasy2G275400.3 locus=Brasy2G275400 ID=Brasy2G275400.3.v1.1 annot-version=v1.1 MRPNIITEAGITTRFNQWWSSTPFITSGVILICGAIYLVCLLIGYDSYREICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLTLAPLGTELERIMGSVRLLFLMFLLATTNAVLHLIVAFLVAYNPLYAVPSLVDECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNIPAKWYAWILLILFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSLLSICVRRPGFILCTGGTTYGQLPTHYNMSTSTAPSSLINGNLLRNISSWIPSRQTSTTQASPTQEQEDPRFPGRGRTLASTGTEPTAREASANLHARLLDNTTPSDPLTNSQCP* >Brasy2G365700.1.p pacid=40071898 transcript=Brasy2G365700.1 locus=Brasy2G365700 ID=Brasy2G365700.1.v1.1 annot-version=v1.1 MASTVNFLAMIMACTLLASSTCHAARHLADTTPAAAAPAVPAAAVPGLPAVPTLPTVPTMPAVPAVPAATMPPMPAVPAVTTPTVPAVPTMPAATVPPMPTVPAITVPTMPAVPAVTVPQVTLPPMPAITIPSVPKVTMPPMPAIVIPKVTVTMAPMPSIVIPKVTMAPMPAIVVPKVTLPPMPSIPTVNVPMPFLAPPPSA* >Brasy2G247600.1.p pacid=40071899 transcript=Brasy2G247600.1 locus=Brasy2G247600 ID=Brasy2G247600.1.v1.1 annot-version=v1.1 MATISSCSRLSGGATASHRHRSRPARAAVITFRRFSAAVRAAAAASATAAPPATVSVPQSKECALPTWADFELGKAPVYWKTTNGLPPSPGEGLKIFYNPGPNKLTPNEQFGIGFNGGFNQPIMCGGEPRQMTLQARGKADPPMYTIRIRVPQHATTLVFSFTNGKDWDGDYTLKFRVPKPWLNKPLSFFNDGLADELNMEGACDRAIFPDENIVITSCDIGGFYEAGGDRCKLDIVSGCMDPTSDMFDPLATVDDGSCPLESDSEE* >Brasy2G288400.1.p pacid=40071900 transcript=Brasy2G288400.1 locus=Brasy2G288400 ID=Brasy2G288400.1.v1.1 annot-version=v1.1 MAAGDTQEEVAPAESGVSQDDAPEPLLESEAAGEETASDHAPVSPDASREEGSRGAGEAAQEDAPESEEEQEEAEGGQEVDGDATEEDEEEERRDGNEEDTDEEEEAPSHLPFPPAEEGLLDDTTTVDPSYTISLIRQLMTKGSNVLKEFSVKESFPEEMSANSDDGESTQPDNKDPSGKSDKPGFPDDRSVNSDDGESTQTDDKDPWEEYGCILWDLAASKPQAELMIDNHVLEVLLENLRVSQSCRVKEICLGIMGNLACHEFLVNAVCKEKGLIVTVMEQLFLDDIGCLSETFRLLAAILRSSASISWAEVLLPDKILSRILSILGNTMSCTLLEKGIDFISTVMDAQDVIAMLIQPLLRVGLADHAIGLLITEIQKSPDEKVDRPGCLDLILHFMEELSAIHSVAEVMSSSDQLIKVLVSMMKSPDKLEVSSYCASVVIIISNILTDGKHLVPMVSHDLPFLESIFDILPVVPDDDQARYALWCTLSRVLAQVQETEINSSSLDQFAALFLGKFTLIKDDLASHEVDEENLSAEDSYVMGWASRCLRAISFVMERWITEKSSQSKEDATPTGTSIDNARELLSYCQKMLH* >Brasy2G288400.2.p pacid=40071901 transcript=Brasy2G288400.2 locus=Brasy2G288400 ID=Brasy2G288400.2.v1.1 annot-version=v1.1 MAAGDTQEEVAPAESGVSQDDAPEPLLESEAAGEETASDHAPVSPDASREEGSRGAGEAAQEDAPESEEEQEEAEGGQEVDGDATEEDEEEERRDGNEEDTDEEEEAPSHLPFPPAEEGLLDDTTTVDPSYTISLIRQLMTKGSNVLKEFSVKESFPEEMSANSDDGESTQPDNKDPSGKSDKPGFPDDRSVNSDDGESTQTDDKDPWEEYGCILWDLAASKPQAELMIDNHVLEVLLENLRVSQSCRVKEICLGIMGNLACHEFLVNAVCKEKGLIVTVMEQLFLDDIGCLSETFRLLAAILRSSASISWAEVLLPDKILSRILSILGNTMSCTLLEKGIDFISTVMDAQDVIAMLIQPLLRVGLADHAIGLLITEIQKSPDEKVDRPGCLDLILHFMEELSAIHSVAEVMSSSDQLIKVLVSMMKSPDKLEIYPSWRAYLTFFQWSLMMTKLDMHFGVPYHVSWHKCKKLR* >Brasy2G060500.1.p pacid=40071902 transcript=Brasy2G060500.1 locus=Brasy2G060500 ID=Brasy2G060500.1.v1.1 annot-version=v1.1 MDGAGVLWSRQMESSSSTLPAILFTVAALAAGAFAAYFYAPSWRLRRVPGPLAYGLIGHLPLFTKHGPEVFGVLARRYGPIYRFYLGRQPVVVIADAELCREAGIKKFKSIVDRSVPSTIRSSPIHFKSLLFTKHSMRNVIIAIYQPSHLASLIPAVHPYIRRAALFLNHGDEIAFSDLAVKLFSDTIGQAAFGVDFGLTKPNDSNNSDDINTKNKATDDFIEKHLYALTSLKADLNGSLSMVLGTAAPLLQEPARQLLLRVPGSADRRMDETNRALSGLVDAIVAERAAAQSGGEKKNFLSVLLKARESSEAMRELFTADYVSALTYEHLLAGSGSMSFTLSGLAYRVAMHPEVEEKLLREIDAFGPKELVPDAEELNTKANTKFTYLEQVLKETMRFYSSSPLVSRETTEDVEIGGYLLPKGTWVWLATGQLSKDPKHFPDPYTFRPERFDPEDEECKRRHPYAFLPFGIGPRGCPGQKFAMQQLKLTVIHLYRRYVFRHSPGMEFPLQLEFSIVNNFKHGVKLQVIDRQEH* >Brasy2G125400.1.p pacid=40071903 transcript=Brasy2G125400.1 locus=Brasy2G125400 ID=Brasy2G125400.1.v1.1 annot-version=v1.1 MGEGTKTMLAKPIKLADHVAKQAGSGQCFRPECKELRARAEKLAAALRQAARAELYERPAERIVAGTMQALAKADAMAARCFDSHRCFDSHSRLRRFLTFNPVNGFPRTFALLDTALEDIAWLIRISSPRAEADADADDGEDADLRGLPNIAQNDPILFLIWDHIARLHTGSLAVRADSASTLASLARDNPHFAKLIVEEDGVAPLVRLLKEGTDDGQEAAATALGFLGRDERSVEKLLHAGVCSVYAAALKEPPMRVQAAVAEAIASLAHHSQICQDLFAQNNTVRHLVSHLVAGTIQEHSRYSVGGSSSSTRSTPPSPAPPEPMTSLHSVVLASTPSMLPGVSTYSANDRPSSSQAAGNNQTTPTMTRVTPSPGRPQLSSNGSSGRGSRETEDPATRALMKATAAKALWKLARGHPGVCKSITESRGLLCLARLLEKGDSGAGTHLRFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLCVVRKGDYDELLLPCISSLGCLSRTFTASETRVIGPLVRLLDDREPPVTKEAVVALTKFACKENHLHENHCRAIVDGGGARHLVQLVYLGDQLQIEALILLCYVAMHFPESEEVAQAGVLAVLLWASKQVQLVQDLRVEALLTGAKAQLDLFQSRGSTV* >Brasy2G013000.1.p pacid=40071904 transcript=Brasy2G013000.1 locus=Brasy2G013000 ID=Brasy2G013000.1.v1.1 annot-version=v1.1 MGSRKVRQRQGQGQAHPYLQAHPCPHPSPCCTHTTKSSGNKTSSKICKSIPSFCTTYIVSKDGLSSVYSPGLGSDTSSCRSSNSQAFSDEMSLRSDLSDSSARTLLGLPSLPSSNLASENLKSSSSAERNRSFTLYDYISGSASVYADKDRRITSCTDSESSISSKLRASDKAPTQRSSLQGLMFSETKDDVNIELEKLRLELRHVQGAHKLVQDESVDASRQVVELAAKRVEGKAQLREIQSRVDKVNDEVQEEKARRCATEEVVTHVKDLVRAEVMQKNRLLIKASKVADQKSRLEELFVLHGNSYSTFTWEEIDNATSSFSESRKIGAGSNGTVYKGHLNHLDVAIKVLHSDDRSSTKHFNQELEVLGRIRHPHLLMLLGACPDRGCLVYEYMGNGSLADRLQCKNGTPSIPWFHRFRIAWEIVSALVFLPSTKPNPIIHRDLKPENRPPRPRSSQQDW* >Brasy2G051100.1.p pacid=40071905 transcript=Brasy2G051100.1 locus=Brasy2G051100 ID=Brasy2G051100.1.v1.1 annot-version=v1.1 MSPPDPIEPVSPPPPPAMDSALPSAVVATILSRLDVRSLLLAAAACRCLRSCASHALSFLPAFHLLEVALTHDLLRPLMPRNPSLRSLRLDCAKLEDAAVGCLARPGLHELTLLNCDNLSGRLLRELSATCRDLRVLSLNSVAERRDLAMGFSDLEALLSGCSNLESLSLALDFSKFDDPNFGHVWSSASEGLSSLEIGYIPLSMLLTLLTVAIELKRSMDCIEAAVFFPSLQKLYLSVDFITDHLIESISTALPSLTHLDLQDAPILEPTSESDLTNAGLQQINLKGKLKHISLIRSQEFLFTSFRRVNDLGILLMSEKCSHLESICLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIGATSLCLTHVSLRWCNLLTNVGIERLSCNKDLNVLDLRDCKSLGDEAVRALSCLPRLHILLLDGTDITNQALKYLGLGTCPLVSLSLRGCRNLTNDCIPLLFAGSVKQSLQVLDLSRIPSLTDDAIMLIARSRTPLTELRLRENPKIGDASVMALASMQFDGGIYGSTLQLLDLYDCCGITPLAMRWFKKPYFPRLRWLGLTGSLNRIMVDALVRSRPFLHMSCGGEELGTAYWDSSSDWYRHDEDDSDGLDGEPVSDSDTITED* >Brasy2G051100.2.p pacid=40071906 transcript=Brasy2G051100.2 locus=Brasy2G051100 ID=Brasy2G051100.2.v1.1 annot-version=v1.1 MPRNPSLRSLRLDCAKLEDAAVGCLARPGLHELTLLNCDNLSGRLLRELSATCRDLRVLSLNSVAERRDLAMGFSDLEALLSGCSNLESLSLALDFSKFDDPNFGHVWSSASEGLSSLEIGYIPLSMLLTLLTVAIELKRSMDCIEAAVFFPSLQKLYLSVDFITDHLIESISTALPSLTHLDLQDAPILEPTSESDLTNAGLQQINLKGKLKHISLIRSQEFLFTSFRRVNDLGILLMSEKCSHLESICLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIGATSLCLTHVSLRWCNLLTNVGIERLSCNKDLNVLDLRDCKSLGDEAVRALSCLPRLHILLLDGTDITNQALKYLGLGTCPLVSLSLRGCRNLTNDCIPLLFAGSVKQSLQVLDLSRIPSLTDDAIMLIARSRTPLTELRLRENPKIGDASVMALASMQFDGGIYGSTLQLLDLYDCCGITPLAMRWFKKPYFPRLRWLGLTGSLNRIMVDALVRSRPFLHMSCGGEELGTAYWDSSSDWYRHDEDDSDGLDGEPVSDSDTITED* >Brasy2G227000.1.p pacid=40071907 transcript=Brasy2G227000.1 locus=Brasy2G227000 ID=Brasy2G227000.1.v1.1 annot-version=v1.1 MEGQQQQLQAIAMYGSPYRVALFTGELPDDLSMAVFDSSKGSWEAPVALARRPYSSADAPPAQDADTVYFLSKSGDVVATNMQRSASKQYSSVVVPSDSNEHSVVAYFLSHSGTVVACDTAARTFAELPRILPVHFEYSIDVVACNGAAYAVVLSEHLDTASLRVWQFDAGAWRQVAAMPPAMSHGFCGKKADINCVGHGDRLMVCVNGDGEASGCFMCDVSSNRWEELPKCVDDDGEVNEFLAAFSFEPRLEINV* >Brasy2G248800.1.p pacid=40071908 transcript=Brasy2G248800.1 locus=Brasy2G248800 ID=Brasy2G248800.1.v1.1 annot-version=v1.1 MILQVTLLLLLRAASQVPAAATAGSQLDALAASCSGTRTFAADSSFAGNLQSLMSLLETKAPAIGFDIGTAGGRGDQDEQRVHGLAICRGDIPRASCAECIRSATAQARRLCPSKKEAVLWLDGCMLRYSGEPFFGEVDADHVTFVPEAAGNSVIQSAARSAGLDREVAAMMKRLTRTAYLSPLLFAAGVAEAVGVGGSRQHRLHGIAQCTKDLSGGDCKLCLEAAIGRLAARGCAKEGGQVLGGSCRLRSSWIHTNTRKCNFRFNFGWSASTFSTFISLTHFYFLKWWTTRGVIIKGVRFETNFKPTVQKHCHTRTNRYFFGFTESVAASSSYLLVLC* >Brasy2G380600.1.p pacid=40071909 transcript=Brasy2G380600.1 locus=Brasy2G380600 ID=Brasy2G380600.1.v1.1 annot-version=v1.1 MFGRGPPKKSDNTRYYEILGVPKEASQDDLKKAYRKSAIKNHPDKGGDPEKFKEIAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCAGCQGAGYKMQIRQLGPGMIQQMQQPCNDCRGTGETISDKDRCPQCKGDKVSQEKKVLEVVVEKGMRHGQKITFPGEADEAPDTLTGDIIFVLQQKEHPKFKRKSDDLFYEHTLTLTEALCGFQYVLTHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVDFPDSLNPDQCMALEAVLPPKPASQYTDMELDECEETMAYDIDIEEEMRRRQQQQQQEAYDEDEDMPGGGGQRVQCAQQ* >Brasy2G058900.1.p pacid=40071910 transcript=Brasy2G058900.1 locus=Brasy2G058900 ID=Brasy2G058900.1.v1.1 annot-version=v1.1 MLWRARARRRRSRRPRQRRPRMKRKRSGHAAIDGEESRSVPEWREEPGRLDTGSASLSEQRARGAGEGNSMAGAGSSAMNDSRSRSPSAASSPRLSGTSSSSPPASQIKHQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGAERSPREHLLRTTLNIEAMANQKRQWYQVHSRARDLKQFDEPTTLFEHFYVVGLHSYANVTVIEDAFAKKKASKSNVDQYHGSIPTMEPQILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G058900.4.p pacid=40071911 transcript=Brasy2G058900.4 locus=Brasy2G058900 ID=Brasy2G058900.4.v1.1 annot-version=v1.1 MLWRARARRRRSRRPRQRRPRMKRKRSGHAAIDGEESRSVPEWREEPGRLDTGSASLSEQRARGAGEGNSMAGAGSSAMNDSRSRSPSAASSPRLSGTSSSSPPASQIKHQARHVRTSSFQRFRQQMQRAWKWGPIGGGGGAERSPREHLLRTTLNIEAMANQKRQWYQVHSRARDLKQFDEPTTLFEHFYVVGLHSYANVTVIEDAFAKKKASKSNVDQYHGSIPTMEPQILFKYPPGKRVEINESDLPSFCFPEGVKEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G058900.2.p pacid=40071912 transcript=Brasy2G058900.2 locus=Brasy2G058900 ID=Brasy2G058900.2.v1.1 annot-version=v1.1 MVLKRVKILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G058900.5.p pacid=40071913 transcript=Brasy2G058900.5 locus=Brasy2G058900 ID=Brasy2G058900.5.v1.1 annot-version=v1.1 MVLKRVKILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G058900.3.p pacid=40071914 transcript=Brasy2G058900.3 locus=Brasy2G058900 ID=Brasy2G058900.3.v1.1 annot-version=v1.1 MEPQILFKYPPGKRVEINESDLPSFCFPEGVKARLIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G058900.6.p pacid=40071915 transcript=Brasy2G058900.6 locus=Brasy2G058900 ID=Brasy2G058900.6.v1.1 annot-version=v1.1 MVLKRVKILFKYPPGKRVEINESDLPSFCFPEGVKEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEVVQRAPGILGAVSPLNPTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAQPVPRSLKEQDLLKYAVPVNSISGLVSSTALPSERDVHPYLFRSWQPNSPESISANCMSENMESRCNSFGRASSTCEDGHTSPDLLSTHSSTSTRLERAHSMESLHSSVKGAVSDEEEDEVNVKNEITVDDEKVMGWAKTHSNEPLQIVCGYHALPLPPRGGEIVFQPIEHLQPVKYSRPGLSLLGLVDTNLDNGLTSAETNMVIVDACLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSVIPMIRPFQWQSLLLPVLPRKLFDFLDAPVPFIAGIQHKPPDIKLKVSSLVRINVQKDQVKASSVPQLPCYKELFSDLSPIHARLSCEDALAKKHPIYRCSEVQAKASWQFLSVLRTYLESLCSDLRSHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKKMANSMHSPGSINFSFYNFLWRRRCSLFYQILDFPHLKMSTHKGLFLLEIKTSNIPNSGNNVFFLV* >Brasy2G449800.1.p pacid=40071916 transcript=Brasy2G449800.1 locus=Brasy2G449800 ID=Brasy2G449800.1.v1.1 annot-version=v1.1 MPPYHLLPLTLSSSSLPPPPLSSPRPPRPALTESQRRHVIAQCGTSWDTPKISGTEVTLAESSVAVQGGNTAPLVQALESTAAQDVSCFHFPGHNRGKAAPNSLSKLIGPGTFLHDLPELPELDDLLYPKGVILDAQNRAAELFGSFKTWFLVNGSTCGIQAAVMATCAPGDYIIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGVTPLQVDKALKELEKDGKKIGAVLVTSPTYHGICSNVQGIVDVCHPLCIPVIVDEAHGAHFRFHDSFPRTAIEQGADLAVQSTHKVLSSLTQSSMLHMAGHLVNADKVTQCLQLLQSSSPSYLLLSSLDAARAQLSENAKSFDEPVAMALETKDQLTMIPGLSVLDLSSFVSDFPAIDPLRITLSASDLLLSGYEADDILAEEHQIVSELVGTQAVTFAVNVGTRRQDVKKLVQSAHHLSEKYFSANESRFRKHNYVRSPLDKISVKLTPREAFFTKKRSVCTEDTLGEICGELICPYPPGIPVLIPGEVVTQDSLSYLIDLRDQGMTISGAADGELNSIMLPHLVLFRKGRLNLNRL* >Brasy2G217100.1.p pacid=40071917 transcript=Brasy2G217100.1 locus=Brasy2G217100 ID=Brasy2G217100.1.v1.1 annot-version=v1.1 MDRPPHQRISKPSASPSVPEAPAMSQYDAGDLPPAPEKKKSPAEEAAEKRREKLTPGSLMKAVIRSGSGDATPADGHQVVLHCTTRTMDGIVVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMEPQIHYAEDDCPVTAPDGFPKDVELQFEIEMLDFFKAKVVAEDLGVVKKIVEEGKGWETPREPYEVTARITARTVDGKEILHSKEVPYFFTLGKSEVPKGLEMGIGTMVREEKAMIYVSCTYLTESSLMPQVEGLEEVHFEVELVQFIQVRDMLGDGRLIKRRVVDGRGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTQIDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSVVTCPPDFAYDKFPRPTNVPEGAHVRWEIELLGFEVPKDWTGLTFKEIMEEADKIKNTGNRLFKEGKFELAKAKYEKLLREYNHVHPQDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSIEACNKVLDSNPVHVKALYRRGMSYMLGGDFDDAKKDFEKMVTVDKSSEPDATAALLKLKQKEQEIEKKARKQFKGLFDKKPGEISEVGAEPADGKNVGDAAGSEEAVTSADRDGSRKPSPRAESEHAFEEERPGLIGRFWPSARRIFSSLGLNRCTIL* >Brasy2G217100.2.p pacid=40071918 transcript=Brasy2G217100.2 locus=Brasy2G217100 ID=Brasy2G217100.2.v1.1 annot-version=v1.1 MKAVIRSGSGDATPADGHQVVLHCTTRTMDGIVVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMEPQIHYAEDDCPVTAPDGFPKDVELQFEIEMLDFFKAKVVAEDLGVVKKIVEEGKGWETPREPYEVTARITARTVDGKEILHSKEVPYFFTLGKSEVPKGLEMGIGTMVREEKAMIYVSCTYLTESSLMPQVEGLEEVHFEVELVQFIQVRDMLGDGRLIKRRVVDGRGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTQIDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSVVTCPPDFAYDKFPRPTNVPEGAHVRWEIELLGFEVPKDWTGLTFKEIMEEADKIKNTGNRLFKEGKFELAKAKYEKLLREYNHVHPQDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSIEACNKVLDSNPVHVKALYRRGMSYMLGGDFDDAKKDFEKMVTVDKSSEPDATAALLKLKQKEQEIEKKARKQFKGLFDKKPGEISEVGAEPADGKNVGDAAGSEEAVTSADRDGSRKPSPRAESEHAFEEERPGLIGRFWPSARRIFSSLGLNRCTIL* >Brasy2G492900.1.p pacid=40071919 transcript=Brasy2G492900.1 locus=Brasy2G492900 ID=Brasy2G492900.1.v1.1 annot-version=v1.1 MEMCYGRKRSSWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRVWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAVSGPPAAQHHQHRGQLVRWLPASSGATASPGGAAGVPAYGLLNLNAQHNVHVIHQRLQEIKNSSSSKPASSSKIITTTSAPLSDQMLLPATLPASSPSSTVTTTTNAMPPPAADSSVSCFQALELGVTGESAPCSEGHGFGGDKPQLDLKEFLQQIGVLRHDDNDGGARGKDNGEAAAMADGFGFGGNGGEFDWDALAADMSDIAGGHGVSGGLGLGVGVNGAFNMDDLEQFGCTYMPVPVWDI* >Brasy2G489900.1.p pacid=40071920 transcript=Brasy2G489900.1 locus=Brasy2G489900 ID=Brasy2G489900.1.v1.1 annot-version=v1.1 MARGDGHGEVELSVGVGGGGGGAGGALEAPVKISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDKCTSKWGRRRPFILTGCVLICLAVVIVGFSADIGAALGDSKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGQHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLRTRACCEACANLKGAFLVAVLFLSFCLAITLVFAKEVPYKATAPLPTKANGQVEVEPTGPLAVFKGFKNLPAGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGNPNEVTAFNDGVRAGAFGLLLNSIVLGFSSFLIEPLCKRLGPRVVWVSSNILVCIAMAATCIISWWSVKDFHGYVQHAITASKDIKAVCFVLFAFLGVPLAILYSVPFAVTAQLAASKGGGQGLCTGVLNIAIVIPQVIIAVGAGPWDELFGKGNIPAFGMASGFALIGGIAGLFLLPKISRRQFRAVSTGGH* >Brasy2G267600.1.p pacid=40071921 transcript=Brasy2G267600.1 locus=Brasy2G267600 ID=Brasy2G267600.1.v1.1 annot-version=v1.1 MVSNMTEFVFFSAAASACFAVLPTHASVHRQLGRQVEKQQVMSVLLQENFPDPFAPAVLPVIGISRVGKKTLVAHVCSNERVRSRFSSVLHLKGENICKMEHDQASIPAGPGRTLVVVEFTSDIDDESWQKFSSSARRMGRGSKIIIISRNKKLARLGTVRPVLVNNLSLEEYSYFFKVLAFGSTDPEEHPRLASVANELAVLLGGSLVTANVCADIFRNNQNVEFWLHVLNKYRNVVASNLSTFREHPKLLMERDRRIDITKLVSTSAPLHLMPPHCEDDDSTRGLRKVRFGDLIAGSAVVPKEEFELVAWESRIPPYKKFLNVAKFSDELKSSLQQHRRPSPSSKRQRLD* >Brasy2G258300.1.p pacid=40071922 transcript=Brasy2G258300.1 locus=Brasy2G258300 ID=Brasy2G258300.1.v1.1 annot-version=v1.1 MPGQVMEAPLHQLPQLTTQTTHPSYCKQDATAATMADMARFLQQQQQQPTNPNTNTATAAREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRLRPAPQQPLRHRPPVHFASPPPPPIPQQQAAQSQQGLLGSLFALGGAPLLLEGRVGFDLGLGLPGLAGQLGGLTGSGTGAGEVGLHSLGLRGGGHSAGPTSSSAPLLWPSSLFENNGGNNLDTWKVSGGGGAGAMWAPAPEFSSAATVPQVGGAFHGGARIM* >Brasy2G395200.1.p pacid=40071923 transcript=Brasy2G395200.1 locus=Brasy2G395200 ID=Brasy2G395200.1.v1.1 annot-version=v1.1 MAMAASLLAASYPLPPASSRRWRPLLAAPAPTLRLSTPASPPPPRIQPHHRISRNGDAVARAAFAVAPAPGGDETAGRGQAPDWAALAGRLVLGALLARAVLGCGAALAAEDSIRASGFGLRVASSLRRLGWPDDAVVFTLATLPVLELRGAIPAGYWMRLDPVRLTVLSVLGNMVPVPFIILYLKKVATFLSRRSATATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFMGVVLAGLLVNLLMNLGLKYAIVTGIALFFVSTVMWSVLRSLKSSLNTK* >Brasy2G401500.1.p pacid=40071924 transcript=Brasy2G401500.1 locus=Brasy2G401500 ID=Brasy2G401500.1.v1.1 annot-version=v1.1 MASARAWAFCPAACPDVRRLNPRVFVGLKEWAPCHLICRSASRQANSKHDMCLLIWTLICARRCHSVAFGMDAVSFRDPVKDKHNRTNMVGLSDGRPANLIDIAPRDVVKGKDLAPLDKDARRRVMEGFPELSMHAQRLLKREITLEKYEREAEREREAERERELEGDVDGTLPSTAESGGS* >Brasy2G401500.2.p pacid=40071925 transcript=Brasy2G401500.2 locus=Brasy2G401500 ID=Brasy2G401500.2.v1.1 annot-version=v1.1 MASARAWAFCPAACPDVRRLNPRVFVGLKEWAPSASRQANSKHDMCLLIWTLICARRCHSVAFGMDAVSFRDPVKDKHNRTNMVGLSDGRPANLIDIAPRDVVKGKDLAPLDKDARRRVMEGFPELSMHAQRLLKREITLEKYEREAEREREAERERELEGDVDGTLPSTAESGGS* >Brasy2G401500.4.p pacid=40071926 transcript=Brasy2G401500.4 locus=Brasy2G401500 ID=Brasy2G401500.4.v1.1 annot-version=v1.1 MGTVRYVSFETWANSKHDMCLLIWTLICARRCHSVAFGMDAVSFRDPVKDKHNRTNMVGLSDGRPANLIDIAPRDVVKGKDLAPLDKDARRRVMEGFPELSMHAQRLLKREITLEKYEREAEREREAERERELEGDVDGTLPSTAESGGS* >Brasy2G401500.3.p pacid=40071927 transcript=Brasy2G401500.3 locus=Brasy2G401500 ID=Brasy2G401500.3.v1.1 annot-version=v1.1 MGTANSKHDMCLLIWTLICARRCHSVAFGMDAVSFRDPVKDKHNRTNMVGLSDGRPANLIDIAPRDVVKGKDLAPLDKDARRRVMEGFPELSMHAQRLLKREITLEKYEREAEREREAERERELEGDVDGTLPSTAESGGS* >Brasy2G310100.1.p pacid=40071928 transcript=Brasy2G310100.1 locus=Brasy2G310100 ID=Brasy2G310100.1.v1.1 annot-version=v1.1 MEALNELCDLVAANPDLLLADKLTWLSSRCAPASSSSPQRASRAHLHSLLALARLLPAGAAGGSPPPPLLAFLSSHAFLSPAFWPQSFAPAPFLSKLLPLLASAPASPALSSALSSAVLAALDVADPASAPLARAFLSAVAANPPQLLPADSAPVSERLLLEFPGSEEAPPRAKGKGEDAAGEQNGGIKEVVQRFEEEGVEVLERKEVAFRLLVHMMGGEGGLEADKVVKVRNAAARQVRSLTDFLKIRKRDWREQGPQLKARINTKLLCCQAAVVVLVRSVSAMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKVCEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRDRNDYEEEDGKGKEAVPLMRLNVVRLLAELCVCLKRWEVVDMILPLFIEHLEEGDASAPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSADNNTLPTEATTERNETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDTVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQTPSKPVSTPLNTAESVSSVALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGDEKAAVGQRTALAAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCDGGILSSASTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFEAMLSWLEDRISDIGEGADVRESVLSGHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQILWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWSGIRTANVPAVMDSAAAASGARKEAPDITLEVLSTAVVSATAKCNHAGEIAGMRRLFSTMGGLNMGTSPGTQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQQIDNSQFRETCSQATALLLDHMVSDTRTNLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMKYCGPDAKLRPHLIPGEPETPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSVEQLLLLGRMLQGTMRSPTHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQRCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSLFVHNLQNASSPSDSGSKSQGREGEPNTADQIHPVWGSVDNYATAKEKRKQLLLMLSQNEADRLEVWANPINTKDTTTFRGKISSDKWTDHSRTAFAVDPRIALSTAMRFPTNAVLQSEITQLVQTHILELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFFTSPYKGHPRVMAYVLRVMETYPPETVTFFMPQLVQSLRYDDGKLVEGYLLGAARRSNIFAHILIWHLQGECEESDNEKEAGATKTSAFQSLLPAVREKIVDGFTPDARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVIDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVQLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK* >Brasy2G310100.2.p pacid=40071929 transcript=Brasy2G310100.2 locus=Brasy2G310100 ID=Brasy2G310100.2.v1.1 annot-version=v1.1 MEALNELCDLVAANPDLLLADKLTWLSSRCAPASSSSPQRASRAHLHSLLALARLLPAGAAGGSPPPPLLAFLSSHAFLSPAFWPQSFAPAPFLSKLLPLLASAPASPALSSALSSAVLAALDVADPASAPLARAFLSAVAANPPQLLPADSAPVSERLLLEFPGSEEAPPRAKGKGEDAAGEQNGGIKEVVQRFEEEGVEVLERKEVAFRLLVHMMGGEGGLEADKVVKVRNAAARQVRSLTDFLKIRKRDWREQGPQLKARINTKLLCCQAAVVVLVRSVSAMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKVCEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRDRNDYEEEDGKGKEAVPLMRLNVVRLLAELCVCLKRWEVVDMILPLFIEHLEEGDASAPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSADNNTLPTEATTERNETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDTVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQTPSKPVSTPLNTAESVSSVALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGDEKAAVGQRTALAAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCDGGILSSASTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFEAMLSWLEDRISDIGEGADVRESVLSGHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQILWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWSGIRTANVPAVMDSAAAASGARKEAPDITLEVLSTAVVSATAKCNHAGEIAGMRRLFSTMGGLNMGTSPGTQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQQIDNSQFRETCSQATALLLDHMVSDTRTNLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMKYCGPDAKLRPHLIPGEPETPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSVEQLLLLGRMLQGTMRSPTHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQRCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSLFVHNLQNASSPSDSGSKSQGREGEPNTADQIHPVWGSVDNYATAKEKRKQLLLMLSQNEADRLEVWANPINTKDTTTFRGKISSDKWTDHSRTAFAVDPRIALSTAMRFPTNAVLQSEITQLVQTHILELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFFTSPYKGHPRVMAYVLRVMETYPPETVTFFMPQLVQSLRYDDGGECEESDNEKEAGATKTSAFQSLLPAVREKIVDGFTPDARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVIDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVQLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK* >Brasy2G310100.3.p pacid=40071930 transcript=Brasy2G310100.3 locus=Brasy2G310100 ID=Brasy2G310100.3.v1.1 annot-version=v1.1 MEALNELCDLVAANPDLLLADKLTWLSSRCAPASSSSPQRASRAHLHSLLALARLLPAGAAGGSPPPPLLAFLSSHAFLSPAFWPQSFAPAPFLSKLLPLLASAPASPALSSALSSAVLAALDVADPASAPLARAFLSAVAANPPQLLPADSAPVSERLLLEFPGSEEAPPRAKGKGEDAAGEQNGGIKEVVQRFEEEGVEVLERKEVAFRLLVHMMGGEGGLEADKVVKVRNAAARQVRSLTDFLKIRKRDWREQGPQLKARINTKLLCCQAAVVVLVRSVSAMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKVCEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRDRNDYEEEDGKGKEAVPLMRLNVVRLLAELCVCLKRWEVVDMILPLFIEHLEEGDASAPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSADNNTLPTEATTERNETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDTVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQTPSKPVSTPLNTAESVSSVALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGDEKAAVGQRTALAAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCDGGILSSASTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFEAMLSWLEDRISDIGEGADVRESVLSGHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQILWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWSGIRTANVPAVMDSAAAASGARKEAPDITLEVLSTAVVSATAKCNHAGEIAGMRRLFSTMGGLNMGTSPGTQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQQIDNSQFRETCSQATALLLDHMVSDTRTNLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMKYCGPDAKLRPHLIPGEPETPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSVEQLLLLGRMLQGTMRSPTHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQRCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSLFVHNLQNASSPSDSGSKSQGREGEPNTADQIHPVWGSVDNYATAKEKRKQLLLMLSQNEADRLEVWANPINTKDTTTFRGKISSDKWTDHSRTAFAVDPRIALSTAMRFPTNAVLQSEITQLVQTHILELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFFTSPYKGHPRVMAYVLRVMETYPPETVTFFMPQLVQSLRYDDGKLVEGYLLGAARRSNIFAHILIWHLQGECEESDNEKEAGATKILLK* >Brasy2G310100.4.p pacid=40071931 transcript=Brasy2G310100.4 locus=Brasy2G310100 ID=Brasy2G310100.4.v1.1 annot-version=v1.1 MEALNELCDLVAANPDLLLADKLTWLSSRCAPASSSSPQRASRAHLHSLLALARLLPAGAAGGSPPPPLLAFLSSHAFLSPAFWPQSFAPAPFLSKLLPLLASAPASPALSSALSSAVLAALDVADPASAPLARAFLSAVAANPPQLLPADSAPVSERLLLEFPGSEEAPPRAKGKGEDAAGEQNGGIKEVVQRFEEEGVEVLERKEVAFRLLVHMMGGEGGLEADKVVKVRNAAARQVRSLTDFLKIRKRDWREQGPQLKARINTKLLCCQAAVVVLVRSVSAMETDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKVCEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRDRNDYEEEDGKGKEAVPLMRLNVVRLLAELCVCLKRWEVVDMILPLFIEHLEEGDASAPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSADNNTLPTEATTERNETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDTVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQTPSKPVSTPLNTAESVSSVALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGDEKAAVGQRTALAAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCDGGILSSASTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRAFEAMLSWLEDRISDIGEGADVRESVLSGHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQILWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWSGIRTANVPAVMDSAAAASGARKEAPDITLEVLSTAVVSATAKCNHAGEIAGMRRLFSTMGGLNMGTSPGTQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQQIDNSQFRETCSQATALLLDHMVSDTRTNLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMKYCGPDAKLRPHLIPGEPETPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSVEQLLLLGRMLQGTMRSPTHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQRCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSLFVHNLQNASSPSDSGSKSQGREGEPNTVESILCCT* >Brasy2G333500.1.p pacid=40071932 transcript=Brasy2G333500.1 locus=Brasy2G333500 ID=Brasy2G333500.1.v1.1 annot-version=v1.1 METHREIRAPWNTAASPGALRDGGREAAGVEGTGRQERGGDVHAAGAVEENRGGQGGGRDVRRLTREVAHAREKEGRWLGEGGEREIECGGWGRRDGDGGDSGGEGRALLRRKREGRGQIEEGGDRGGGRQIGEEIEEEGGDRGGGSQIGEEIEEEGGRKERIGGREEMCGRGGEMCGRRGAGAFIRRPPNPRIGNGLGQNGFFGTR* >Brasy2G414200.1.p pacid=40071933 transcript=Brasy2G414200.1 locus=Brasy2G414200 ID=Brasy2G414200.1.v1.1 annot-version=v1.1 MVYCEMCGVFWESFVKSAKDGLIKVGSINGVSSDSGTHAVSSSHCSVRQTFVVIPRKSMLAHPKDLDIHTLSLRY* >Brasy2G404000.1.p pacid=40071934 transcript=Brasy2G404000.1 locus=Brasy2G404000 ID=Brasy2G404000.1.v1.1 annot-version=v1.1 MRTPSGLLLLSVVVLVSVQREAAAAANDEYQPGPEKVTKLHFYLHDTLSGKDPSAVLVARGAAAPPARPGDPTPFSSVYATDDVLTEAPQRASRVVGSAQGLYASSGRKGLSLVLGMDFELTDHGNGSSFVVFSRNPVLAGDGRELAVVGGRGKFRMARGFALLRTQYLDTGNGDAIVEYNVTLFHH* >Brasy2G491500.1.p pacid=40071935 transcript=Brasy2G491500.1 locus=Brasy2G491500 ID=Brasy2G491500.1.v1.1 annot-version=v1.1 MPGLLSLPVSLPSPRSLISLTVGCQADAIREQGREAKGGGLRGVPGTAQRPKEEVDRVCWPVAEQQADGGAWRSTARWSLRLAARGG* >Brasy2G066400.1.p pacid=40071936 transcript=Brasy2G066400.1 locus=Brasy2G066400 ID=Brasy2G066400.1.v1.1 annot-version=v1.1 MADSAALSSSLPRSLASRRPLSACSSSPLRGGGRSRSPRRCRFGSRLRARARKDEPEDPYGPYPWEQPLDLSSGFDIEWVQEDKITLFTSDGLVQIGGSLVPRRVSASEMRKQKAKGTHKSRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVIAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIFWQWDLF* >Brasy2G330700.1.p pacid=40071937 transcript=Brasy2G330700.1 locus=Brasy2G330700 ID=Brasy2G330700.1.v1.1 annot-version=v1.1 MQRAIQAIGSHGSMLKSAVLQHVSVAKPAMLPAVFSRSMSVSSAQIEESGFESGTVADILKSKGKSADGSWLWCTTEDTVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTDKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHKEELNRLNAYIQGGY* >Brasy2G355100.1.p pacid=40071938 transcript=Brasy2G355100.1 locus=Brasy2G355100 ID=Brasy2G355100.1.v1.1 annot-version=v1.1 MGLCVSCDAAADGAATARVVLPSGELRQYSPPATAALALEDVAAQQQQQQGWFLCDADAMGFEGSVAAVAGGEELRPGQIYFVLPAETLRRRLTGEEVAALAVKASAALVKAAASSATGGRRSRRGGSVSPLVFAPSEEDYSADTVSFAAKAAVVQQKRRVVAYRGGRSPPRFSPDLTAIPETE* >Brasy2G004700.1.p pacid=40071939 transcript=Brasy2G004700.1 locus=Brasy2G004700 ID=Brasy2G004700.1.v1.1 annot-version=v1.1 MESTDPGEPPNPAPQLEPSGSEAPLPPVPPPPPAPVPVPAPATDPAEAAAPSPMPAPAPTAVWSAVSLPPAEANGSSDRKKKRKADEGEGCRTCSCKKSRCLKLYCVCFASGSHCSELCGCEPCYNKPTHGVPRNTPALPLKVFQTVEAGQDSAEQLIRSPMDLVRRKCTCKKSGCLKKYCDCYQGGAGCSINCRCDDCKNPYGRKVGVILDGKGMLPSPTPNERNGTEADSSDDDDEEDDYYMNRPLSPIPPSPVSRESSFQQETLVGVEVHTMNGHLYPKPLTQVRPESWQLSRRPTEEPRGEPWRYLRRPSEDGTSDVMEGHAEPKFQRDNINKQPESHLDRFSIPRCIEVMNAMEDLSPIDKSLAPDIFLDASNREIFLSLSVDIRTMWLKRKMRNLV* >Brasy2G313600.1.p pacid=40071940 transcript=Brasy2G313600.1 locus=Brasy2G313600 ID=Brasy2G313600.1.v1.1 annot-version=v1.1 MIENNPHDSSPKLEPKEGTYRYPRPSPLPPPLHALADTRAGVARDSPIPYPPRDSRPRTGELASGLCSPRDPAMEVRTVKVGNISLSALKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVENYQLPPEACKQLSGEKSLSTESAVRKAEDVVSSMIAKGFVLSKDALNLARSFDECHNILSNATATVASLDRQYGLSEKITLGRAIVGSKCKEVDERYQVSELTKSALAVAEQKASIAGSAIMGNQYVSAGASWLTSAFGMVTKAAGDMSTMTKDKVDRAEEERKEIMWEERNGLVSEYAKIHLDEHSSWEPAVLPLESVDEQKLQAV* >Brasy2G354500.1.p pacid=40071941 transcript=Brasy2G354500.1 locus=Brasy2G354500 ID=Brasy2G354500.1.v1.1 annot-version=v1.1 MAASVASRCSGLLLLPGQQHGFRRRSPAPFCLHPRKGSRRPGNLLRVAPPDSTEPKTEEQDVKADMGEETVQTTSSSTSQVAAQNPPVPDKDLNRRVALLSTLAAAGLFASQRLQLGGFSLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYRDRINFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGKLPKQYFVDNVIALASGDPTIPHSRAVGQYSSAEFRKVHQVADPRSHG* >Brasy2G142100.1.p pacid=40071942 transcript=Brasy2G142100.1 locus=Brasy2G142100 ID=Brasy2G142100.1.v1.1 annot-version=v1.1 MDNHQLPYSAQPPATTGGGAPLPAGATGPPPVPQHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSSALGVFAAAAGGPGGGAPAAGLPYYYPPMGQPAAPMMPAWHVPAWDPAWQQGGGADVDQAAAGAGFGAEEGQGFTGHGHGGPAGYPSGPPSSE* >Brasy2G289500.1.p pacid=40071943 transcript=Brasy2G289500.1 locus=Brasy2G289500 ID=Brasy2G289500.1.v1.1 annot-version=v1.1 MVSPPNSLCSLLLLSHEGPSFNSISPCARAPLLKLHLPEPPSSNSISLSPSLPSSSSVGPAASLVPDAAMAYRPPPPPWKPVPAPPDPAPTPPDPAVPTPPPPDPAPTPPDPYAPAPPPPDPAPTPPDPYAPAPTPPDPARSAGPDPCLLWSSWRNNCSTAMERRRGEEPIPASFGDPPPGDEEAAAPEPACPRNRFHLPRFVRRWLG* >Brasy2G044900.1.p pacid=40071944 transcript=Brasy2G044900.1 locus=Brasy2G044900 ID=Brasy2G044900.1.v1.1 annot-version=v1.1 MAAVQRLLRAASSGGSAAAARRRMTSLAPDQTPSSAAAAAAFPSAGAERRRRPAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRAELASTGPLAEQLAEIVNQGKLVSDEIIINLLSKRLKKGEETGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVIVQKCLGRRICSQCNKNFNLACIDVKAENGLPPIYMSPLLPPNNCMSKLITRADDTEEVVRNRLRIYNDMSQPVEEFYQKQGKVLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA* >Brasy2G263100.1.p pacid=40071945 transcript=Brasy2G263100.1 locus=Brasy2G263100 ID=Brasy2G263100.1.v1.1 annot-version=v1.1 MILVISMCQDSENEFNMKINCCNTFFRCCRDDIGEHQTPLSSLVMCYFPGLWDYVLFPSITYYRIIIPFGSCVTFDIYFGFTCVYSNYVLLVFGYPLL* >Brasy2G319800.1.p pacid=40071946 transcript=Brasy2G319800.1 locus=Brasy2G319800 ID=Brasy2G319800.1.v1.1 annot-version=v1.1 MSLRKRQRSASSSRLATLSSPPSPPRPASSSASPPPLSFPNADLLLRLHLDSSCDEGGGGAGGDYHLHHTTFLDIHVSSASLLRSRYFAALLSDRWCPPPSSSPAGRLSLAVPITSSCSRPFHAHVEVLRLLHTLDFAGTIRSPGDALDILPVALQLLFDACVEACIRFLEAVPWSEEEEARVLDLAPLLPDDEAADLLARVSLPPGAAAGEVTRSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVHKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDEREAIQKLNLHSAVLNVKHLLWLIERMVDMRVADNAVKLWSEQAPLAADLQKLLNDADMWRNMAPGLPMLVTRCMLRLANSVVTGETLVPRQVRMKVVKSWLPVLNVCRDIAQPMHSGYKSSNCQELEDTFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLVSAFKTWFRRAGRAPQGGEN* >Brasy2G373200.1.p pacid=40071947 transcript=Brasy2G373200.1 locus=Brasy2G373200 ID=Brasy2G373200.1.v1.1 annot-version=v1.1 MAAVHPTTAALPTCQSLPSFPRPSTLSWSASITQHRVPLSPRLALSPPPAANRRSFVVRAAWTRRSRGELEKSPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRNTLPSLVDDNACRTIGRLIAERSMDADVFAMAYEPKKNERVEGKLGIVIDTIKEYGIIFA* >Brasy2G411500.1.p pacid=40071948 transcript=Brasy2G411500.1 locus=Brasy2G411500 ID=Brasy2G411500.1.v1.1 annot-version=v1.1 MAPPPAAAAALEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYVDYLSSIGVLIPCIDSSGEIEEEEEHKVEQVPLKNVEKKVEKVEKKAEMQQMLEKIEDLIGLCKMTLCVFVVLVALMVYVVAQK* >Brasy2G261100.1.p pacid=40071949 transcript=Brasy2G261100.1 locus=Brasy2G261100 ID=Brasy2G261100.1.v1.1 annot-version=v1.1 MSGSNQMDSDGKFGKGPRELTGAVDLISRYRLLNHHSFFCKKPLPLAISDTHYLNNVVGDTEIRKGEGMEIDQLIQNSHLRENTAYIQPFDMETLGHAFQLRETAPVDLPSAEKGTPTISGKSKLKSRDKVKKHKKHKEKDKDKEQKKHKHRHKDRSKDKDKDKEKEKKKDKSVHDLGGDHSKKHHEKKRKHEGMEDLADVRNHKKTQKRKN* >Brasy2G261100.2.p pacid=40071950 transcript=Brasy2G261100.2 locus=Brasy2G261100 ID=Brasy2G261100.2.v1.1 annot-version=v1.1 MSGSNQMDSDGKFGKGPRELTGAVDLISRYRLLNHHSFFCKKPLPLAISDTHYLNNVVGDTEIRKGEGMEIDQLIQNSHLRENTAYIQPFDMETLGHAFQLKKVLLLYRENQSLSPETKSRSTKNTRRKTRTRNKRSTNIAIRIGVKIKTKTKKKKKRRIRVSMIWEVIIPKNIMRRRGSMKEWKTWQMCVTTKKHKSAKINEMGSERC* >Brasy2G146500.1.p pacid=40071951 transcript=Brasy2G146500.1 locus=Brasy2G146500 ID=Brasy2G146500.1.v1.1 annot-version=v1.1 MVVMIDDKPRSCLWLEQCCARFISTAGDMGYKHQTREHHMCHVSSLMYFRN* >Brasy2G396500.1.p pacid=40071952 transcript=Brasy2G396500.1 locus=Brasy2G396500 ID=Brasy2G396500.1.v1.1 annot-version=v1.1 MGTSKRSFNCTKGILMATTVSINPESKSRATNREVLSELIKLHGKKSLGGKLPAYDGRKSLYTAGSLPFESEEFVVTLVDPEKKDKERAEREYKITIRIAGRTDLFHLQQFLAGRQRDMPQETIQVLDVVLRDYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLWPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDLSRPLNDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPIPMSQLIFPVDERGTRMTAVQYFMERYNYRLQYTSWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLRYHDSGREKTCAPSVGQWNMINKACLHGVHHFLCSILDRSCISFSRMRPEEVHRFCCDLVQMCNATGMSFCPRPLLDIRTATPNNIENALRDVYRRTAEIEKGKQLQLLIVILPEVSGSYGKIKKVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTVLERDFVRNGIPFVSEVPTIIFGADVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVIKDPQRGTTVNGGLIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFSEVHGRREMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLCDENHFTADALQSLTSNLCYTYARCTRAVSV >Brasy2G400300.1.p pacid=40071953 transcript=Brasy2G400300.1 locus=Brasy2G400300 ID=Brasy2G400300.1.v1.1 annot-version=v1.1 MSSMLSAFSQWFVNPRRNPLARLHKYTVASRLTKFGLRYDDLYDPYFELDIKEALGRLPREVVDARHQRLKRAMDLSMKHQYLPDDLQALQTPFRSYLSDMLALVKKEAAEREALGALPLYERTLP* >Brasy2G497100.1.p pacid=40071954 transcript=Brasy2G497100.1 locus=Brasy2G497100 ID=Brasy2G497100.1.v1.1 annot-version=v1.1 MQARAGTPLPAGATAHAMKQQQATTTTLFALLSLSLLLLRLLLRLRLAAFRDAFLSLHLLARLRIRPIHLRLPGPHPTTLRVWCPSGPSSKPPLLLLHGFGGDAKWTWARNLGPLSRDFHVYAPDLCFFGSASRSRSPLRSVAFQARCAADAMRLLGVPRYDVVGISYGGFVAYRLAAVEARGSVGRVVVMTTGVAATVEEMGEMAAREERAVEDALLPDTADGLRRLVRRSMHRPPPWMPDFVLHDFIQLMFVDQRKERTELLQELLKHGAGIDPLPVLPQKTLLLWGDKDQVFPVDLGYRLHRHLGGESRLEIIKDAGHALQLEGAEKVNRFIRSFLIDEPNGLEFGVARN* >Brasy2G459200.1.p pacid=40071955 transcript=Brasy2G459200.1 locus=Brasy2G459200 ID=Brasy2G459200.1.v1.1 annot-version=v1.1 MALTATVSHASGALPRRRHRHRAPASSTRLTTPSLPSASSPATATLDRVLADLESNPRLLTPALLAPLLAALPLHDSPRRRLSVLRGLLPVSLLRRHPDLSLRLLHLHASLGLLAYAHHIFDHLLPEQARRDSAFPWNCLLAGYAHLGRHGDALAVYLQMGEEGVPRDRFTFLCALRSCAGAGVGAAAEIGRAVHRDAVRAGLADEVSVCDALVDMYAEYGDLEMARKVFDAMPERDSVSWNIMLAGCLRHGPSPRVTEVWRRMLGEGHQPDSVALSTMLSLSSLQPGKQGLEVHAWVIRHGLETELSVENALVEMYSEKNELGHALSVFESMAVRDLVSWNAIISAHRRDFGVLMMFRRMVDSGTRPDETTFAAVLSACENLGLVEGGTRLFSEMENEHRIQPTLEHYTCVVNMLGKAGLVNEAYEFISKRAPLGREPTILKALLNVSSVHGNIRIGEIAAKMLSDLEADNVHNFVT* >Brasy2G492500.1.p pacid=40071956 transcript=Brasy2G492500.1 locus=Brasy2G492500 ID=Brasy2G492500.1.v1.1 annot-version=v1.1 MEMSYGRKRSSWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRVWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAVSGPPAAQHHQHRGQLVRWLPASSGATASPGGAAGVPAYGLLNLNAQHNVHVIHQRLQEIKNSSSSKPASSSKIITTTSAPLSDQMLLPATLPASSPSSTVTTTTNAMPPPAADSSVSCFQALELGVTGESAPCSEGHGFGGDKPQLDLKEFLQQIGVLRHDDNDGGARGKDNGEAAAMADGFGFGGNGGEFDWDALAADMSDIAGGHGVSGGLGLGVGVNGAFNMDDLEQFGCTYMPVPVWDI* >Brasy2G185300.1.p pacid=40071957 transcript=Brasy2G185300.1 locus=Brasy2G185300 ID=Brasy2G185300.1.v1.1 annot-version=v1.1 MKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG* >Brasy2G321000.1.p pacid=40071958 transcript=Brasy2G321000.1 locus=Brasy2G321000 ID=Brasy2G321000.1.v1.1 annot-version=v1.1 MASTPNNPKSFKIILGSSSPARREILSDMGYEFTVVSADIDEKAIRRDKPEELVKALAEAKAEAVRLKLYGSSGENRDSRDQHILLITSDQVKVSKGIVRERPRSMEETREFINAYSGDRASAVNYVLVTNLSTGSTKGGWDISEIHFHHIPDAFIEEVVKEGGMTCVAGGLRLMHPSALPFIKELVGTADSVRGLPRDLTDKLIRESLESNLA* >Brasy2G237000.1.p pacid=40071959 transcript=Brasy2G237000.1 locus=Brasy2G237000 ID=Brasy2G237000.1.v1.1 annot-version=v1.1 MRGLLFPKVLVCAGLLAMPHPAGSLEADGRFLLGQCRRPAGSHFPVGSRVSPPASRGLGSFLPSFAFVSQIRSSTHRLSSSPGLPLLSCHCRRVRGWELEHTGEAVARSRFCRSNMVAPPPLPSTEEEEPGASLVATCSRGGGTSWQIPRQGHLLNNTFQQSRSTDVLFLFLPS* >Brasy2G348200.1.p pacid=40071960 transcript=Brasy2G348200.1 locus=Brasy2G348200 ID=Brasy2G348200.1.v1.1 annot-version=v1.1 MGASRHPSTPASKIARTPSLTPGGSSRSKEEKIFVTVRVRPLSKKELAVKDQVAWERADRQTILYKGPTQDRAAPTSYTFDKVFGPGCKTDLVYEDGAKDVAMSAITGINATIFAYGQTSSGKTFTIRGVTESAVSDIYRHIENTPEREFIIKISAMEIYNEIVKDLLQPDSGPLRLLDDPEKGTIVEKLEEKIADDRQHLRHLIDICEEQRQVGETALNEASSRSHQIIRLTVESRLREVSDCVKSLVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPARTHIEQSRNTLFFAACAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAVLRTPDRASSSEIAIMEKDRKLRLMEKEMEELKKERDNARAELEELRKKTGDNQQGWNPFDSPQMARKCLTFSGSLHSSNKIKIRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKQGNQDAAETVAKLQAEIREMQSVRSENTDVEMVADEGNGSDLKDEITRLHMQDTDIAKLEAKLENVQRSIDKLVMSLPNVVTQCNGTTTKFSVSKKKRRMLLPLGVSNINRPNLIRAPCSSSRPSESEPENRAPESDMVCHEDQGKATPTKCEDTGDVSSRDETPRNRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNESKPNDTEEDSVENVPCLQDGPESWDRLFKEQMQHIIHLWDICHVSIIHRTQFYMLFRGDTSDQIYIEVEVRRLLWLQQHLDEVGEAPADDLAVSRASSIKALRNEREFLSRRMGSRMAEEERERLFIKWQVPLEAKQRKLQLANMLWTEPGDEAHVEESADIVARLVGFCEGGNVSKEMFELNFAVPASRKPWLMGWQPISNMIREKAVLWT* >Brasy2G348200.2.p pacid=40071961 transcript=Brasy2G348200.2 locus=Brasy2G348200 ID=Brasy2G348200.2.v1.1 annot-version=v1.1 MSAITGINATIFAYGQTSSGKTFTIRGVTESAVSDIYRHIENTPEREFIIKISAMEIYNEIVKDLLQPDSGPLRLLDDPEKGTIVEKLEEKIADDRQHLRHLIDICEEQRQVGETALNEASSRSHQIIRLTVESRLREVSDCVKSLVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPARTHIEQSRNTLFFAACAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAVLRTPDRASSSEIAIMEKDRKLRLMEKEMEELKKERDNARAELEELRKKTGDNQQGWNPFDSPQMARKCLTFSGSLHSSNKIKIRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKQGNQDAAETVAKLQAEIREMQSVRSENTDVEMVADEGNGSDLKDEITRLHMQDTDIAKLEAKLENVQRSIDKLVMSLPNVVTQCNGTTTKFSVSKKKRRMLLPLGVSNINRPNLIRAPCSSSRPSESEPENRAPESDMVCHEDQGKATPTKCEDTGDVSSRDETPRNRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNESKPNDTEEDSVENVPCLQDGPESWDRLFKEQMQHIIHLWDICHVSIIHRTQFYMLFRGDTSDQIYIEVEVRRLLWLQQHLDEVGEAPADDLAVSRASSIKALRNEREFLSRRMGSRMAEEERERLFIKWQVPLEAKQRKLQLANMLWTEPGDEAHVEESADIVARLVGFCEGGNVSKEMFELNFAVPASRKPWLMGWQPISNMIREKAVLWT* >Brasy2G060400.1.p pacid=40071962 transcript=Brasy2G060400.1 locus=Brasy2G060400 ID=Brasy2G060400.1.v1.1 annot-version=v1.1 MGCGYVAISMLLCFLFASWYLPLAESTDAGGNLQMNDKKATLLVPTGGAKNQDGERQNITLSTTKPIWFPRRANILDGGDNIISHYAMWRTTTGKYYGFRAEMSIWGSPNQHYSQESGSAIQMYCAEGDRYRLIEAGFHVAPALYHNRDVRFFTYWTKDTNLAGCYNLNCPGFVPARGAALVPGQAIAPTSTYDVQDRYVRLSINEDPHSGDLVVYRHDLERPSFLGHFPRELCPGKSRIQALTGFVNYLLTIKGPPMGSGHFPSTNPKRSGYFKHIKIYDSKGHAWDPHTTPIKKLADKWDCYNQTNLYLERDMGYMFYYGGPSGCVG* >Brasy2G441300.1.p pacid=40071963 transcript=Brasy2G441300.1 locus=Brasy2G441300 ID=Brasy2G441300.1.v1.1 annot-version=v1.1 MSASAAASSSASPVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGAAVQPCKCPICRRLINLLVPANISEDQNDDPQLQRVLGEIQHYNHIFGGAPRSLIQRLQDLPFFMRRLFRELMDPQRTLPLVFRARMILMVALSAVYVLSPVDILPESMLGLFGFFDDFLILVIVFLHLAAVYRSLLLYRHGGH* >Brasy2G441300.2.p pacid=40071964 transcript=Brasy2G441300.2 locus=Brasy2G441300 ID=Brasy2G441300.2.v1.1 annot-version=v1.1 MSASAAASSSASPVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGAAVQPCKCPICRRLINLLVPANISEDQNDDPQLQRVLGEIQHYNHIFGGAPRSLIQRLQDLPFFMRRLFRELMDPQRTLPLVFRARMILMVVLFVTSFILVPSPGGPCNGCEA* >Brasy2G094200.1.p pacid=40071965 transcript=Brasy2G094200.1 locus=Brasy2G094200 ID=Brasy2G094200.1.v1.1 annot-version=v1.1 MTSASELFTARRARAPRLSVEPDPDPDPHAEAPPLDPHGVGGRRRRRGCRSRRQLDAAGDVRQHLHTGPPPPRRRGSYTDRILSYIDSSNIGDSAATRNRLDRLMFRTNERLPGAVLQAQARVLERLRGVSIGSSASRRASITLDEFSATDVFRIIDFGSREAPHEANWPGSSSAQLGSGSDEEIQTISSTTSNRSHGLSKAAFLRLQIEIFEAKKDDNREASPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS* >Brasy2G094200.2.p pacid=40071966 transcript=Brasy2G094200.2 locus=Brasy2G094200 ID=Brasy2G094200.2.v1.1 annot-version=v1.1 MVAQEMGTGTVIRVKQIKAGTDTWATRPTPLLEDRILSYIDSSNIGDSAATRNRLDRLMFRTNERLPGAVLQAQARVLERLRGVSIGSSASRRASITLDEFSATDVFRIIDFGSREAPHEANWPGSSSAQLGSGSDEEIQTISSTTSNRSHGLSKAAFLRLQIEIFEAKKDDNREASPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS* >Brasy2G094000.1.p pacid=40071967 transcript=Brasy2G094000.1 locus=Brasy2G094000 ID=Brasy2G094000.1.v1.1 annot-version=v1.1 MQTETQFSSAAMKRTSDWIRSQEFPSDITIQVGEGTFNLHKLPLASKCGYIRKLVSGANGSRVTHLEITGLPGGAKAFELVIKFCYGANFEITADNVAMLRCAAEHLEMTEECKPGNLIGRAEAYLEEVALASLAGAVAALRASEELLPASEHVQLVGRCVDAIALMTCGGDGYESGVSVPAKAAVDDWWADELTALRIDTFQRVMIAMKARGFKGIALGTLIMLYAQKSLRRLDMNGRERKKMDPRQEHEKRVVLETIVSLLPREKNTMSVSFLSMLLRAAIYLDTSLACRLDLEARMAAQLGQAVLDDLLIPSSASPDAGSGAAFDVDAVQRILGGYLEHHEGGDAAAAMATPRGLDYNTDDDFVSVASPPPTDVALVGRLMESYLAEVSSDVNLPVDKFVALAQLVPERARFNEDGMYRAIDIYLKAHPSLGEGERKKVCGVMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLRATSQTPSHSQAPSYAGGGGESPALSYRPTTPSFNGRERDRSAQPSSEMSRLQRENDELRLELMQMKMRLRDPSAAPPVAAGRGGAPASGKPPLPKKQGGAGGGGGGFMKKLGRLNPFVRDSVGGAKFRAKPPKDRRHSIS* >Brasy2G189300.1.p pacid=40071968 transcript=Brasy2G189300.1 locus=Brasy2G189300 ID=Brasy2G189300.1.v1.1 annot-version=v1.1 MSVLALFVVATALVTFAAAHEHHGEAPTCAGGGGRVLAEFRPGEVTLDGHPADWDAVEASEFALLPALDPDDDKAYPGGKVAVKAVHDGVNVFFMLKIDGGYAYSKGENKKCPSVALMFQVGEKATYYNMGGCKDLPGSCTRKSCRGYEVDIMHFSVGNAIPGRLYGGNHMDNAVGNGADRFGHLVDVYAWNPHCRYLDGIGPKGNNSNAQNDWHGAWWHSSLTFHSGFVDDDNPYGKQDDKGTYYFEFSRPLRTMDQFQQDAQFTIGEPSNMAVAFWYPTEGKPWSNSEHYSASCNWLSLDIQPSVDAAQYRPSPNRSWDAATAFALLLSVVAVCVSIFVGYSASKNKSSVQFTPLEDIS* >Brasy2G268200.1.p pacid=40071969 transcript=Brasy2G268200.1 locus=Brasy2G268200 ID=Brasy2G268200.1.v1.1 annot-version=v1.1 MARPDDPSINRGLDRYGIHEIQGPLMVGGVGFLTKSTALFRDSYVSQMLSSKQRFEAYMQFREQAFIIFATELVSASKNPVDLVVFTDRDGERFQNDYWFDYIMFRDNSHHFIVDDQSKESGVDNNSATSVTAGDQNNLIDDYKKNKGSHLQKLHYTQRYFAYRKGLLKLDISQLNKDPKKAVEWLKSSTGWSELVDEELRRGLKSHMDFLKEQEMGNMNQGSSESENVALEKINAELSSALEKRLETSDWTARMNHYYNRTKLRNLARVCSIQAQETGVVLVKQALPVREICKEQILSTSPRLVNLPALRHTVKRSVEGFMKQTVTQPLEGLMKQTMEQSAKGYMGSLHRMHEKFGRGAARRMAWFSIIPLVTLATVGMSDKP* >Brasy2G486700.1.p pacid=40071970 transcript=Brasy2G486700.1 locus=Brasy2G486700 ID=Brasy2G486700.1.v1.1 annot-version=v1.1 MGVRRFVNLLVANRTRCNYSLRRFDLSRNDFFYATPEQVASHGRVVPIQRYTEGSAYCPLKARKANGKNKKQLAASDIATIRLPPPLFTMPAGKARRATAGRVRSLGYKIMLADRHCRDLSYDAASRCALTMPCLHAPKADPLSVSIPGSPQDDGEGSIYIIERILWPEKGQSFQFEALVSDRFHAGGYRPFGLWGCQAFPLPPTSLLSEGALVCSAAAVGNAICVSISGAGTYCFDTASRTWTHAGDWMMPFFGVAEHVPELNLWFGISGHDFINFPCAADLSPVARGQPPEPGLFWGHDRHLPDEWHYRLGTPSQMVSLGSGRFCILRIPCPDEVIVDKSYAVFTGLEVLPSKGKHGIRMVSHKYRRCRNPETNFIQRLL* >Brasy2G484900.1.p pacid=40071971 transcript=Brasy2G484900.1 locus=Brasy2G484900 ID=Brasy2G484900.1.v1.1 annot-version=v1.1 MFSHGADSARDAAGAAVGVSAAVPTRFVWPYGGKRVFLTGSFTRWSEHLPMSPVEGCPAVFQAICSLSPGIYQYKFFVDGEWKHDERQPTITGDYGVVNTLYLTREYDHINNVLSPSTPGSRANMDVDNDSFQRTVSLSDSALQEGPPRISEAAIQISRCRVSEYLNAHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQWRSNQHLVHATPFESLRDIAMKILQTGVSTVPIIYSTVSDGSFPQLLHLASLSGILKCICRYFKNSTGSLPILNQPVCTIPLGTWVPKIGDPNGHPLAMLRPNTSLSSALNLLVQAGVSSIPIVDDSDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGLFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDVFKLLLS* >Brasy2G113800.1.p pacid=40071972 transcript=Brasy2G113800.1 locus=Brasy2G113800 ID=Brasy2G113800.1.v1.1 annot-version=v1.1 MKFGKWLKRQIEQSLPAWREYFLRYKVLKGIVSSDAPPSPAKFVALLEADIDKINAFFIEQEEEFIIRHREAIRRAVERGAAQEVAAIRREMVNFHGEMVLLLNYSSVNYIGLAKILKKYDKRTGAALRLAVVETAVLRQPFFTAETVSLMVREYEAMMMFPAAASASASAGPGEAMAAAAAAEQWVFRDTVAVLLAMEDVRSGSSTRGHHSLPPLTLPDSDWLRSFQPPSPVPIQIQ* >Brasy2G356700.1.p pacid=40071973 transcript=Brasy2G356700.1 locus=Brasy2G356700 ID=Brasy2G356700.1.v1.1 annot-version=v1.1 MDSLVTFSRRRRRWILFAALGTASALGAYKIYHHPAVAARRRRVVRLAGAVAAFLDAAASSADAAALVASDLADFVRSDSDELPRSVTQLAKLAAAPEVSATVSSLSQAVAAGILRGVGSTSGPGSADKAALSDRLVDKLFSESGVRLASAVAGSFARHLITALYSAPSPPGETSSPSKWVNVVATGKGQRAISNWVEVFVGTAVGVFIDKTIHINTYEQLFEGLTNPSHDAKIKELLVSVCNGAVETLVKTSHHVMSNANGKLDDDGNRTSNDSGNSGVREGWVETVSSTLAVPSNRKFVLDVTGRMTFETVRSFLEFVMWKVHDGARKSGDTVLDSGLRTMRYMSDKSMLVATICITLCLHVLNGTRFLVTA* >Brasy2G402800.1.p pacid=40071974 transcript=Brasy2G402800.1 locus=Brasy2G402800 ID=Brasy2G402800.1.v1.1 annot-version=v1.1 MAAAASSSAAASASSSGGDRSNPAATAAGSARADAACPCPICLEAFKDEAYLDTCLHSFCYKCICQWVKIVASKHEEPLSSVRCPLCKTANVSIIHAFDGETFQRHYITQDPAKRHLLDAHELISQFYNTRDISDNTSSVQQYWKQRKYLRKNTWLETWLRREIQALTQDENVEAIVHHIHGVIESFMKRQEKPHASKKISLENTREEFKSLLSDAARPFLLGQTSRFVAEVELFLVSQMNIDAYSRVRVKRFKESALHLRREQDALPQDRPLEDHYLYFLSDEIDCVGGEM* >Brasy2G402800.2.p pacid=40071975 transcript=Brasy2G402800.2 locus=Brasy2G402800 ID=Brasy2G402800.2.v1.1 annot-version=v1.1 MAAAASSSAAASASSSGGDRSNPAATAAGSARADAACPCPICLEAFKDEAYLDTCLHSFCYKCICQWVKIVASKHEEPLSSVRCPLCKTANVSIIHAFDGETFQRHYITQDPAKRHLLDAHELISQFYNTRDISDNTSSVQQYWKQRKYLRKNTWLETWLRREIQALTQDENVEAIVHHIHGVIESFMKRQEKPHASKKISLENTREEFKSLLSDAARPFLLGQTSRFVAEVTAAHKGSPSTCGQEKLRYRDWIATEYEL* >Brasy2G343900.1.p pacid=40071976 transcript=Brasy2G343900.1 locus=Brasy2G343900 ID=Brasy2G343900.1.v1.1 annot-version=v1.1 MQRGRYIQPFGCLLAAHPETFALLAYSENAAEMLDLTPHAVPTIDQRDALAVGVDVRTLFRSQSAVALHKAAVFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCAAIPVKLIQDDNLSQPISLCGSTMRAPHGCHAQYMANMGSVASLVMSITVNEDEEEDGDTGSDQQPKGRKLWGLVVCHHSSPRFVPFPLRYACEFLLQVFGIQLNKEVELASQAKERHILRTQTLLCDMLLRDAPIGIFTQSPNVMDLIKCDGAALCYQNQIMVLGSTPSEGEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGASALGEIVCGMAAIKISSKGFILWFRSHTAKEIKWGGAKHEPGDADDNGRKMHPRSSFRAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANNNNVTSIVEAPSDDMKKIQGLLELRIVTNEMVRLIETAIAPILAVDNVGNINGWNNKAAEITGLPTIEAIGMPLVQVVHGDSVEVVTQILNSALQGLEEQNLEIKLKTFHHLESNGPVILMVNACCSRDLSEKVVGVCFVAQDLTGQKMILDKYTRIQGDYVAIVKNPNELIPPIFMINDLGSCLEWNEAMQRITGIKREDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPEKLPFGFFDADGKYTESLLTANKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAATHSFKELTYIRQELKNPLNGMQFTRNLLEPSELTEEQRQLLASNVLCQEQLKKILHDNDLEGIEQCYMEMNMVEFNLEEALNTVLMQGMSLSKEKQISLDRDWPVEVSSMYLYGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRKESIGSGMQIAHLEFRLNHPVPGVPEALIQEMFRHSPGVSREGLGLHISQKLVKTMSGTVQYLREAETSSFIVLVEFPVAQLNSKRPRPSTSKSNF* >Brasy2G278700.1.p pacid=40071977 transcript=Brasy2G278700.1 locus=Brasy2G278700 ID=Brasy2G278700.1.v1.1 annot-version=v1.1 MAEAALEGSEPVDLSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ* >Brasy2G354700.1.p pacid=40071978 transcript=Brasy2G354700.1 locus=Brasy2G354700 ID=Brasy2G354700.1.v1.1 annot-version=v1.1 MQGGRKMKNTREMAPEQQQQQPSPKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSKSKKIHDLITNRESSQAKQEGGEHQAAEEGGSESGEIREVEIVLEVDEESADAHRIRLPDFPGGAEAFELAAKFCYGVKLDLTAATAAPLRCAAERLGMSDAHCDDNLASRADRFVSSAVLRSPRDAIRALKSCEGPLLFPIADGLGLVSRCVDAVAAKAAAATPTALFGWPVGESAGAGGGDRPPPPRRKNNAAAPSTLFDDLAGLSLVTFTRVIAAMKERGVGPEVIEGALIAYAKRSIPGLSRSDRHVAGAAAAAAAPRSADGDQKALLETVIANLPEETIKSSAHTGTAVGATTARVLFGLLRTANILQASGASRDVLERRVASRLPDAAVDDLLVPSYSYLVETLYDVDCVERVVRHFLEGRGGIAEEEDEEGCSEAETPGRAGSRRAMLAVGRLMDAYLGEIATDANLKPDKFCDLAWALPDCARVYDDGLYRAVDIYIKAHPALREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIAQTIVANEGGAAGQGGEGVDSDGGRTWRVATRGNQMLRLDMDSMRNRVQELERECTTMRKAIQKMDRRGGPPVDRGAAPAGEGRWGSMVTKRFGCKFPAQVCQSQPRSVVARPRRPRIEQSP* >Brasy2G417500.1.p pacid=40071979 transcript=Brasy2G417500.1 locus=Brasy2G417500 ID=Brasy2G417500.1.v1.1 annot-version=v1.1 MPWTNASNTITLILDGSPDEVQRNYTKYVYEVRMWNYKHGLRVDSDPIKGTRRHLYTIPEEGTCFVRVSKHEVTFVIEARSWWLKGYCVGYDVYEMLPDRNNPKYMPHKNHKSLGFKGNHNSISNGDPGGLIIGLDQMRKKLEELVQNGVRQDPTTVGLWTLYLCEGPKIQEAFVGLCTSLVDPSISRLDISCPRINDHLKSWSHSTKQVMMHMIYINKGLEPPQLIHRRMIENMDTIQLFLESIRILHVDGLNEGLFTHSLKGPETWQVLKETKRMDTEGDTSATIGGEERCILDDTRFHTLGVPGRILSLKIETNELPVCSLKSSKLKHHPEKSKGTLANYIPKRRMSSECPKTNNDNSYIGKLTMHLLRNVKGYKNTRRVAPFQTERSCIMRSSELLKQTNNVNSYKGKLMIHLLRNVKGSKNTRRIAPFQTEGRCIMRSNEVLKQTNNVNSYLGKLMIHLFRNVTGYKNRRVAPFQTEGSCIMRSNEVLKQCGSFNHERKLQPLENIQHKKLDIAATTCKFILRILPRRP* >Brasy2G060200.1.p pacid=40071980 transcript=Brasy2G060200.1 locus=Brasy2G060200 ID=Brasy2G060200.1.v1.1 annot-version=v1.1 MGSSPGTSSYDCSFKILLIGDSAVGKSSLLVSFVSASPTDHDISPTIGVDFKIKFLTVGEKKLKLTIWDTAGQERFRTITGSYYRGAHGILLVYDVTKRQSFTNLADVWAKEIELHSTNKECVKMLVGNKVDKDEERMVTREEGLAFAQECGCLFLESSAKTRENVEKCFEELALKILEVPSLSEEGSSVVKRNSLKQKQENNAKHGGGGCCQ* >Brasy2G266900.1.p pacid=40071981 transcript=Brasy2G266900.1 locus=Brasy2G266900 ID=Brasy2G266900.1.v1.1 annot-version=v1.1 MRFWRDGGASGSGRELNGGPPCGQVRVLIVGDSGVGKSSLAHLILKGFAIARPAQTIGCTVDVKHITYGSPGSSSNTIKGDAERNFFVELWDVSGHERYRDCRSLFYSQINGVIFVYDLSQRKTKANLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVVANKVDTAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLVESFPGNSGLLTAAKDARYDKEAVVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDEELFKRKSYGGQSYKYNGVAPLPAQRNLTPPLTPYPQQPMSSTSENYRYHRYSSSSIPDASSSRANRLDLNL* >Brasy2G266900.2.p pacid=40071982 transcript=Brasy2G266900.2 locus=Brasy2G266900 ID=Brasy2G266900.2.v1.1 annot-version=v1.1 MRFWRDGGASGSGRELNGGPPCGQVRVLIVGDSGVGKSSLAHLILKGFAIARPAQTIGCTVDVKHITYGSPGSSSNTIKGDAERNFFVELWDVSGHERYRDCRSLFYSQINGVIFVYDLSQRKTKANLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVVANKVDTAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLVESFPGNSGLLTLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDEELFKRKSYGGQSYKYNGVAPLPAQRNLTPPLTPYPQQPMSSTSENYRYHRYSSSSIPDASSSRANRLDLNL* >Brasy2G126600.1.p pacid=40071983 transcript=Brasy2G126600.1 locus=Brasy2G126600 ID=Brasy2G126600.1.v1.1 annot-version=v1.1 MATFLCFVPNGPNVFFPVKSLSCRNPVGFLCSERHLNLFRSLGLHCLSFPRAPYSALLPLTVSPAAPARPVPLLRRAPRSLASAAGEAGPLVGVPSRELERHRLVLSLTATRRRWCPLLPSLSTPAAKSSSAAGMVRSSAWSLWNQQGAARPRLHRRGGDPQSGGGGGGDGIHGRQRGHLSPSQQPRRQR* >Brasy2G270100.1.p pacid=40071984 transcript=Brasy2G270100.1 locus=Brasy2G270100 ID=Brasy2G270100.1.v1.1 annot-version=v1.1 MITGKIPPSLSKLSKIGILNLNNNLLSGEIPQEISNMTLLYNLSLASNHLSGPIPAELGKIGNLAYLDISGNKLNGSIPEELGGCTKLQFLNINNNNLSGNLPGAIGKLTSLQTMLDLSNNKLDGSLPEQLGRLQMLEILNLSHNQFMGSIPDSFASMISLSVFDVSYNSLEGPIPKGHLFQNASVHWFVHNKGLCGNLPSLPPCHLTPLEHHTRRQLGLILSIVLPVSSIILLTTVGIVTFICKKREAQEIATTEGRHIFSVWNFDGKLAFEDIIRATENFDGKYVIGEGGYGKVYKAHLQDGQLVAVKKLHPTDEVTDETRFQSEIEVLTKIRQRSIVKLYGFCSHSQYKFLVYDYIQQGSLHVTFENEELAKELSWQKRATLVNDVAQAISYLHHDCSPPIIHRDITSNNILLDTTFKAYVSDFGTARILKPDSSNWSTLAGTYGYIAPELSYTSVVTEKCDVYSFGVLVLEVVMGKHPGDLLQHLTSSREQYTLVNEILDQRPLAPTTTEEKSITFLIRVAFSCLKASPQMRPAMEEVHKTLAHYQSSSSQSTHHSALTLDELWDG* >Brasy2G190100.1.p pacid=40071985 transcript=Brasy2G190100.1 locus=Brasy2G190100 ID=Brasy2G190100.1.v1.1 annot-version=v1.1 MCPGGRYAGLALPAGAGAADLRPAFDVLDADHDGRISREDLKSFYAKAGAEERFDDDDIAAMIAAADADLDGFVQYDEFEGLLGRGAAVGTGGRSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPVADDEIRAMIGMAGDGDGGVGLEAFARVLAVDLEDIV* >Brasy2G296600.1.p pacid=40071986 transcript=Brasy2G296600.1 locus=Brasy2G296600 ID=Brasy2G296600.1.v1.1 annot-version=v1.1 MGAFSRYVPAGLLLTLIAIMPAALLRTAVAANPPFSCGPGSATQGYAFCNKALPVERRAADLVARLTLAEKVSQLGDEADAVPRLGVPAYKWWSEGLHGLSFWGHGMHFDGAVRAITSFPQVLLTAASFDQDIWYRIGQAIGTEARALYNLGQAQGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGTSPTTLQTSACCKHATAYDLEDWNGVVRYNFNAKVTVQDLADTFNPPFKSCVEEGKATCVMCAYTNINGVPACASSDLITKTFKGDWGLNGYVSSDCDAVALLRDAQRYRATSEDTVAVALKAGLDLNCGNYTQVHGMSALQQGKMTEQDVDNALKNLFAVRMRLGHFDGDPRTNALYGSLGAADVCSPAHKNLALEAAQSGIVLLKNDAGILPLDPSAVASAAAIGHNANDPAALNGNYFGPPCETTTPLQGLQGYVKNVRFLAGCDSAACGFAATGQAVTLASSSDYVVLFMGLSQKEEQEGIDRTSLLLPGKQQSLITAVASAAKRPVILVLLSGGPVDVTFAKSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGDGLSYSKFSRRLVSSTSAHQVPNTNLLTGLTARPATDGGASYYHVEEIGAEGCDKLKFPAVVEVENHGPMDGKHSVLMFLRWPNSTGAGRPVSQLVGFRSQHLKAGEKASLTFDVSPCEHFARAREDGKKVIDRGSHFLVVGKDEREISFHS* >Brasy2G221100.1.p pacid=40071987 transcript=Brasy2G221100.1 locus=Brasy2G221100 ID=Brasy2G221100.1.v1.1 annot-version=v1.1 MSISMGAFQLIRRTEMQWQWEHFNWCLPGSLLSWFQFLMHVRCLWDGNGEALQKYQRPDFQVWIACSW* >Brasy2G032000.1.p pacid=40071988 transcript=Brasy2G032000.1 locus=Brasy2G032000 ID=Brasy2G032000.1.v1.1 annot-version=v1.1 MLQSFRLPRPVLCIGGGVVKIELLGRVQKHAANDKYYICVCHAQVIGRSLSPVFMVDIPDPGSYAVLKYLPGVRNLCSEDVKQEDARDSPLLPSPTSEWHKLVARFRKMRHSA* >Brasy2G284500.1.p pacid=40071989 transcript=Brasy2G284500.1 locus=Brasy2G284500 ID=Brasy2G284500.1.v1.1 annot-version=v1.1 MSANVGESTSVGSGGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHMHAHTPECPVCKAIVEEDKLVPLYGRGKDRVDPRSKNTPGADIPHRPAGQRPATAQQADPNNNFMNAHANQWFTGMGTGVPLANARWGNYAFSAAFGGLFPMLNFQMHGFADPTAYAQPAGFHYGYGHGHGFHGGHMGHAHGVPRQGPLGQQQQADVYLKALLLMVGVLVIASLLAF* >Brasy2G444100.1.p pacid=40071990 transcript=Brasy2G444100.1 locus=Brasy2G444100 ID=Brasy2G444100.1.v1.1 annot-version=v1.1 MNRENPLICRLEADSIGPGRHRRLQKRRRSAGSGRTRAARHRIRQPRTRNRQQDPRRPVHAPSQGRRQEGPSPTVPMHLTGELPDHRSHKRRRKTEGRRQIRPGSSRIRPKPARSGRPLRRDGPEQPRAQEQTGRRPPRKKAPPPPSPSGLCPPATPGGGEGEGWRCGG* >Brasy2G439400.1.p pacid=40071991 transcript=Brasy2G439400.1 locus=Brasy2G439400 ID=Brasy2G439400.1.v1.1 annot-version=v1.1 MDKDWELQLLPITVVCSAAGAGLMPPATTATVFSKPPREQKWRASISSGWEIGLWSWAVATRPRPAASA* >Brasy2G410300.1.p pacid=40071992 transcript=Brasy2G410300.1 locus=Brasy2G410300 ID=Brasy2G410300.1.v1.1 annot-version=v1.1 MAPAPVVAARFCAPHATAFTLTEAAISWPWRNFTVTKSAAAAGAPAVMRVEAFRGLFDCHRRSLFLDPRRGPLLTLVSRRSLFGRRRWEAFRGDAAADDELLFTADARGWMSTPFPMGRVDVFRAGRRQRLDPTARSPDFQVSCRGLFSDRKYTVDSRGGAGIAEIDRTSWPFAWFQTYDVRVKAGVDHAFVLALAVILEELRHDEARRNKNKGT* >Brasy2G033400.1.p pacid=40071993 transcript=Brasy2G033400.1 locus=Brasy2G033400 ID=Brasy2G033400.1.v1.1 annot-version=v1.1 MAMVMARLASTASWVTTRGTMVMEARIRTLAGPLHVTHTLSHTCYGSAADPREIRNSNRGEWSVRENQPHLGAADTSGWPRVGLGGDTPSTQLLVSYAEVVGMAARGDGQDDSREGRHGGSNYNPLRWEAVCGQDSFIQHGHEAGLVGWDLWRSMGGTSSSQMHQGGGFVWPHLFPGGFGAAPSSSFMGQGSSFPSTQIWFDPFFRPALSNGDVTEQICFQSDSTHGSAHGLGRNMIASGVQQSNASPISSDATKMGEAANSGSSKSLNCYRCELPGHGVKDCKTIILCDICCSDTHLRSKCVLPYQPKPTAHFVGYAPDGLQIFVASFPTKTSINTKETIAIINVHSGEVNAEQLAASFSKMFQCGWEWSAKVFGPQCFLVKFPSDEMIIKMSRRHIFELIEVKAEVYVSNWSPQNIANFELTTVWVRASGVPPELLNHPGFCKVGSLIGSVWEVDMVTYRKTSIVRIKVRVLDHEKIPESAWLIVEPSLYQISFQLEQPEKSGTMIRSIPVMRNSNEEVFNSGISAVKDTKRQKDVKFQGVPAPRKKDNNRKARKLNGNTKNSKYGDNMVLSSKPRIDAQIPNHGGYGVRFQVPINNQPSSDKVNQKFVEDAGMMDKVEHIDADNDNPSTHGPNHFARGCGMNTQAISEVNAIDYPVGSPKHVRPISNQEVHIGSEKIPKPAYADVVKSGHKAKGVSNDLVKKVKGRKQVVVDDGNKCKSNMNPDGDIYTLGKAMEMAKYRNKKAGKGWSKKA* >Brasy2G459600.1.p pacid=40071994 transcript=Brasy2G459600.1 locus=Brasy2G459600 ID=Brasy2G459600.1.v1.1 annot-version=v1.1 MAAALDEDYPETVLLNRKAYFDDRSNGTTAASSPDAALSKRCTTEFGGEPAVACSEAGLVLLHFPFNSSDPDGRDELFVYRAGRRGSPPYPDALGCPCAMDRGSFGLLPCCHSPCLFPVAGGGGGEEPEFEFLLVSLAKTFSATAFNLHVFSSRAARWTTRRAAQPPAAANDLREEEDMPAWGDISKVVSLGGGKLGWVDLSHGILVCDLLDLELNPGDPAQLRLIPLPNPSTAFYLDKAQYGGFLGPISPSFFSRDVACDNGMLRFVDMEQLGTSSAFMSPPNSGHGGDGGVHVLRDSNVLRRNKERPVFVPAGWRLRSAVRCDEVAVGNPTFPARLPELRQHGYGPHGGGGRLSLRCLATAFPCLAVNDGGGMVYLVCNVSGNVWVAGVHLGKKTLQVLEPYNDSRRGASSSFLEPDICAYAFSKYLNNRSPNV* >Brasy2G349000.1.p pacid=40071995 transcript=Brasy2G349000.1 locus=Brasy2G349000 ID=Brasy2G349000.1.v1.1 annot-version=v1.1 MVKEEGIVAEAGGGGRGYLDMLVLGEDAAAMADYYFLYPSPSSSSYLHSSAAISAAPSAAVASPGCASYLQPPPPPPPCHNILSFGGRAGPYYGGGQAIPAAVPQKSSPTAECSSSISSMSSSPTATAVSAISSCEAKASKKMGSRSSDQRKADAPAVPANKRPRVRREKLGERIQALQQLVSPFGKSDTASVLHEAFGYIRFLHGQVQALSTPYMQRLPSSARGPAAAVEPRASSVDLRSRGLCLVPVACTEHVTGGVADVWSSSSSGLASTAPEGQQQDEMLRGDHHPGQGQLA* >Brasy2G047700.1.p pacid=40071996 transcript=Brasy2G047700.1 locus=Brasy2G047700 ID=Brasy2G047700.1.v1.1 annot-version=v1.1 MTPSSRRDRWITHLQDVQCRGSEPALLSDRHPERRLNVPYKAFEEAELAKLKEEKPGLTLLLYKDMIWML* >Brasy2G074200.1.p pacid=40071997 transcript=Brasy2G074200.1 locus=Brasy2G074200 ID=Brasy2G074200.1.v1.1 annot-version=v1.1 MQWENRREEEKKGQTPGHEDQAAAAAAEYISRGLGARLFNFPSNSPALAPAPINTPPDPVSFFRNQRCCRCRSVFFTLYSVSAMADDAKHGEELAEVAARPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTIVAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLLKTIGYSFFAAVFNVGWAATQVSHMSMVNCMTSNPTSRVALASCRNASTMVANLGLYGIALAVFGAVKAKSCSDIVLQYRWIAYVSIFVGCCFLVLFHVGTKEPTLKSEPNCKKRARIAWSYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFFVSVVLQEMKWNSRRLKSLLAIGATLWVISGAAVFVLPGEMKNLMYPLAMVIGAANALVMVTTIGLESALVGEDLNGCAFVYGSLSFLDKMSCGVALFVLESYDVAPGCGGGARGLNTASRYGAGLIPSCLAVLTLVVVSTLRLRDDDAGEDTRGGRTAAALEAPLLV* >Brasy2G077800.1.p pacid=40071998 transcript=Brasy2G077800.1 locus=Brasy2G077800 ID=Brasy2G077800.1.v1.1 annot-version=v1.1 MASNGMASSPSAFFPPNFLLHMQQTPPVHHDPEEHHHHHHEHHLLPPPPHHGGHPHNPFLPPSSQCPSLQDFRGMAPMLGKRPAMFGGEGGGGGDDVNGGGGANEEEMSDDGSQAGGEKKRRLNVEQVRTLEKNFELANKLEPERKIQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFDAVKAENDALLSHNKKLQSEILGLKGCSREAASELINLNKETEASCSNRSENSSEINLDISRTPPPSEGGGPMDAPPQQSHQNGGGMIPFYPSAVVARPDIDQLLHASSVPKMEQHHHGADTPSFGNLLCGVDEPPPFWPWADHQHFH* >Brasy2G206500.1.p pacid=40071999 transcript=Brasy2G206500.1 locus=Brasy2G206500 ID=Brasy2G206500.1.v1.1 annot-version=v1.1 MNSYSGDRSSTRPTTTSSFDSYQFDFGANASRSSTSRPLRDQRQGGATNPSPRPATTTTWSHQPVKTSWTHQPSPAAATAAPGSGPTSMVGDIFGRSWASAAPSSGIGLRQSNNPNLFSDLLGPALGSSTRAQSNAPLRSAAAQPSKPASANPSPGTNGSSFSMGGMASTLPKNTGAPMASGGYGVGGRPMKPVGMASATVAQPTGQKKDPFGSIDPFSAKPGSLNAAKQTGSVKSDQGFGAFQGVSSGANAGFSGFQSSGTKPSSFVPPPAPAPAPAPAAAVNSGLDPLENLFASTTSAPTASVASNGGGGGDMFGEMDGWVDVESEFGGGGDSGVTTTELEGLPPPPSGLTVSVAKAKGMENYKGGQYADAIKWLSWAVLLIEKTGKNAGIAEVLSSRASSYKEVGEYKKAIADCSKILEQDKENVPVLVQRALLYESSEKYRLGADDLRLVLKIDPGNRLARSMIHRLNKMAD* >Brasy2G374300.1.p pacid=40072000 transcript=Brasy2G374300.1 locus=Brasy2G374300 ID=Brasy2G374300.1.v1.1 annot-version=v1.1 MAAMVNPPPAALVPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDIAGPGSDGGDDSSGPAFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLWNRIFGSGSGGAGRGHGADSSTGQQQDPWNVTPSEGMDETLINKITVCKYKRGDGFVVDGTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDPWLKSHSSCPLCRCNIAVGELEGRAAASPRPRPREDRRDHEFVLTIGDYSPASPGQAREEPAPQPPVASGNDQGAKDERPGRSGEANGVVEIREDGARTPSSVSVQVPETQREARMSIADVLQASLEDELTVAREGGLLAGSSRRFHGEHSKVPADAANPPAPTKRLPPVGRSCFSSKSGRGKDSVPPM* >Brasy2G019800.1.p pacid=40072001 transcript=Brasy2G019800.1 locus=Brasy2G019800 ID=Brasy2G019800.1.v1.1 annot-version=v1.1 MSATTEQNGPTVAPFPPMAKFSFDDADEDPPAAPAAAAGPGTAKRKREGSPAAADDADGGGPPPTSKARNSAVVGGERDGRAVVGCERRVSGDSDPGSGGISMRIDPDLLDCSICFEPLCPPLYQCQNGHVACFSCWSRLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCKLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFTYGQSFEVNLEVSLPFLVLLGEDDHLFLLLNKNMMPFGHAFTVVCLRNGNLNWNFSYEIEAASRGNPGNCLRLKASVTNTKEWGGLHPAEAFLLVPYAFCSSANQTLSVSVARSASV* >Brasy2G019800.2.p pacid=40072002 transcript=Brasy2G019800.2 locus=Brasy2G019800 ID=Brasy2G019800.2.v1.1 annot-version=v1.1 MSATTEQNGPTVAPFPPMAKFSFDDADEDPPAAPAAAAGPGTAKRKREGSPAAADDADGGGPPPTSKARNSAVVGGERDGRAVVGCERRVSGDSDPGSGGISMRIDPDLLDCSICFEPLCPPLYQCQNGHVACFSCWSRLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCKLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFTYGQSFEVNLEVSLPFLVLLGEDDHLFLLLNKNMMPFGHAFTVVCLRNGNLNWNFSYEIEAASRGNPGNCLRLKASVTNTKEWGGLHPAEAFLLVPYAFCSSANQTLSVSVARSASV* >BrasyJ019700.1.p pacid=40072003 transcript=BrasyJ019700.1 locus=BrasyJ019700 ID=BrasyJ019700.1.v1.1 annot-version=v1.1 MGAQQSVNAGKAKVDVHVDLTNMLCEALLLPPVRSSSASISQIVGRISLKHPSLFGRSEKLDVILDKGINDSNVVVAFRRPRPEWLSQQSFVIQVGILLNLFIFIFFWLSEIE* >BrasyJ101400.1.p pacid=40072004 transcript=BrasyJ101400.1 locus=BrasyJ101400 ID=BrasyJ101400.1.v1.1 annot-version=v1.1 MLLNACDAEGDGEAGVAYGGEVGSFRARPGERQHGVALRVRGRPRPSCARGLSRPPPATALRGLVARRCCRSSLPPPLNAAAAALRGLRPARPTSPLFAATALRAQRRRRSLRTPRTPPLPLPFAAAAPRAPRRRSSRLPPRTPPLPLPFVAAAPRAPHRRQEQSRGGDHGRRRGGGGDQEERGGSGEEEIGRVVRLLDRPITVVACARWIDLPSARPRALPPPRVPAAACRRAPNHRPARVHACCGPPSRRRGPRTPPLRVPAAVARPTAGAARLAAAEGGLRGRARPRALPLRVPAAARRARPSAAAAAAACRAAVTRAAARHRALADRRRPTSGRAPAATGRRRRRAPAAHAAAHLPCRCSR* >BrasyJ102000.1.p pacid=40072005 transcript=BrasyJ102000.1 locus=BrasyJ102000 ID=BrasyJ102000.1.v1.1 annot-version=v1.1 MAGDAPSSSSPTGADPATSTPLLQLRRRGSYTRSMSHARDELRSFRSCLRWMCVDHSDGASAAASWLVFAALAVAVPVSARVALPRRAYDTQVQASLTLSAALAYVTLTSLIRRRGLRRLLYLDRLRHDSQDVRAGYTVQLAGSFRLLACFVLPCFLADAAYKVFWYCANRPFAVPWWWSAAACALEMASWMYRTAMFFMACVLFRIICYLQILRMTGFARDFGQCADVAAVLRHHRRIRDQLRRISHRYRRFILYCLLLVTASQFSALLGITRPHAKVNIATAGELALCSLSLVTGLLICLHSAAKITHKTQAITSIAAAWHADATINSVDRDQENPYPRTPSKAHLLQVPAASNSSGDESDDDDEMSPSEDSLDTSRFTSLHVTHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS* >BrasyJ102100.1.p pacid=40072006 transcript=BrasyJ102100.1 locus=BrasyJ102100 ID=BrasyJ102100.1.v1.1 annot-version=v1.1 MGRREYSEGIQAGPLTFTILPYGLRGLAGPVGHLYFGPSLYRAPQPPSLRRSSSSSRERRHPSLPSRCRAPQTPASSSSTVDPLSQFSEEMARTPRGRNLSSRHPRSSPSAVPSGYQKAKRSAKQNDLQLTSEKKDWKHATCPICLERPHDAVLLLCSSHTKGCRPYMCGTNYHQSNCLEQFKNAYLKEKPAHEVATAAAAIKKPKDMELACPICRAEVKGWTVVEPARQFLNRKRRTCMHEDCSFIGSYKKLCKHVKANHPSSKPREVDPVRLAEWKELESEKDRQDAISIVTGLNPGSIIVGDYFVDPNSGSSDYSMDTSDWSDSSDSYGDIESLRRVVRRAHRINGERPRRNVPNRALGNSGIRRSGLAISRSNGRRSGITISRSSGRGCGLPRTRGRRSGSTGGRRPRVDSAPSARSTNDS* >BrasyJ101300.1.p pacid=40072007 transcript=BrasyJ101300.1 locus=BrasyJ101300 ID=BrasyJ101300.1.v1.1 annot-version=v1.1 MALSRAQTNHGESLISSTFASRYVRTALPRFKIPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIQNSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKMLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIDKMGYFDIASKDSGVPLVAFSLKDSSRYTVFEVVESLRRFGWIVPAYTMPADAEHIAVMRVVIREDFSRGLAERLIADLDKVMGEMDAHAKKHVAAAEPHVAKKTVHEIEKEVATYWRRLVDRKKSSLVC* >BrasyJ101100.1.p pacid=40072008 transcript=BrasyJ101100.1 locus=BrasyJ101100 ID=BrasyJ101100.1.v1.1 annot-version=v1.1 MQVITVGEKEITSKRNQNHCKSHESMNTREHTSRINTQTNQQINTQTNGDRGDRRWRGPALLVSMAAATLGLGDRQRRRMTPYRRADREQLEREAAAARAPVQQVAGGGGRRCRCSWRCRVPVQEVAGRGGRRCRRSSVEEASRGRFMER* >BrasyJ101200.1.p pacid=40072009 transcript=BrasyJ101200.1 locus=BrasyJ101200 ID=BrasyJ101200.1.v1.1 annot-version=v1.1 MASMELLGRSFLQGSAGPATAAAPRGGRERGGGGLCFASVGGGRQVRSSRRTLRSKPPVGALAERVVLTPAPAERVGRPEAHSQSVAARAVVTVRRKRKEDVKERVAEQMDAYADRVGRSVLLELVSTETDPRKGGPKKSKKSRLVGWFEKRDVKAELVVYTAEFTVDAGFGEPGAVTVLNRHQREFFIESIVVEGFPSGPAHFTCNSWVQPTRVSGGAAPRVFFTNKPYLPSKTPAGLRELRRRELKELRGSGTGERRITDRAYDYDVYNDLGNPDKGAGFERPVLGGEGMPYPRRMRTARPSTITDEGAESRVEYPEPVYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPASRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQEFPPVSKLDPAVYGPPESAITEEHVIGNLNGMTVRQALEENRLYMLDYHDIFMPFLDRINSLDGRKAYGTRTLFFLTAGGTLKPIAIELCLPPMKEDCKHAKRVFTPPADATSIWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIISAHRQMSAMHPVFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYDNLWRLDQEGLPADLIRRGMAVEDASQPHGLRLLIEDYPYATDGLLLWSAISRWCEAYVAAYYASDESVQSDYELQSWYAEAVRSGHPDKRDAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEHANLVADPHRFYLSALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDDDWTADPAALAAAREFAADVRRAEEEIERRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI* >BrasyJ101800.1.p pacid=40072010 transcript=BrasyJ101800.1 locus=BrasyJ101800 ID=BrasyJ101800.1.v1.1 annot-version=v1.1 MVLAHVQSDEAAASAAVFASRYVQEPIPCFELGEQSIPKEAAYQIIHDELLLDGSPRLNLASFVTTWMEPECDRLIIEGMNKNYADMDEYPVTTELQNRCVNIIARLFHAPLGAAETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGCYVMDPDKAVEMVDENTICVAAILGSTLTGEFEDVKRLNGLLAAKNKRTGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARTLREGLVRTGRFDVISKEEGVPLVAFVFRGGTARDGSLAFKLSASLRRFGWIVPAYTMPADLEHMTVLRVVVREDFGRPLAERFLSNVRMALSELDLADKGPVPKMRLTIELGPPRGADEEASVRVVKREAVSAHRSVSLVTGKTKGVC* >BrasyJ101600.1.p pacid=40072011 transcript=BrasyJ101600.1 locus=BrasyJ101600 ID=BrasyJ101600.1.v1.1 annot-version=v1.1 MSMSLPRGSSRSSQIPEFLAGSGGRSLPAVGFGTARFPFVAEDVKNAVLAALELGYRHLDTASLYRSEQLVGEAVAEAAQRGIIASRGEVFVTTKLWCTQCHPDLVLPSLKESLQNLEMEYVDLYLVHFPISVRPSEPHFPMKREDVVPMDLRGVWQAMEECHRLGLAKMIGVSNFTTKKLQELLSIAKIPPAVIQVELNPSWQQKKLIEFCKEKGIHLTAYSPLAKAGHPIPTQYCTLKF* >BrasyJ101700.1.p pacid=40072012 transcript=BrasyJ101700.1 locus=BrasyJ101700 ID=BrasyJ101700.1.v1.1 annot-version=v1.1 MASVVPEVALRSGNPRPMPAIGMGTAKFPIVPETTRDAVLAAVELGFRHFDTASMYSTEKPLGEAMAEATRRGLVASREEVFVTSKLWCTQCHPHLVLPSLRESLQNLQMEYVDLYLIHWPISLKPAPPVFPAKPEDAVPFDFEGVWRAMEECQRLGLAKAIGVSNFTTKHLDKLLAAATVPPAVNQVEMNPVWQQRTLRKYCAEKGIHVAAYSPLGGQNWTGEGNAVLDSEVLAEIAQARGKSVAQVALRWIYEQGVTPIVKSFSKERLKENLEIFDWGLTDDDLLKISQIPQKKVVKAIGILFPTEGEFTSVDPSDIDIVEE* >BrasyJ101000.1.p pacid=40072013 transcript=BrasyJ101000.1 locus=BrasyJ101000 ID=BrasyJ101000.1.v1.1 annot-version=v1.1 MCRMFCVFKPVSKSTFSSYLIRLLLAYQWTTSPHDNSGSSLNANRYFCCVIAVDMHTEGWNRLSTLLQSAKGISPIP* >BrasyJ101500.1.p pacid=40072014 transcript=BrasyJ101500.1 locus=BrasyJ101500 ID=BrasyJ101500.1.v1.1 annot-version=v1.1 MDRICHSARPPHESLAVAAHGGQLGKSPPILAYICQHLSPGPCHYSATPPQLRADNTPSQLTATMARSSSSSRRFWRSLQLLLLWRLCCVHLCPAASSAFDVTHLPGFDGPLPFSLETGYVEVDEANGMHLFYYFVQSEKDPVGDPLVLWMQGGPGCSGLSGLVFEMGPFQFDVQGYRGGLPTLLYRPETWTKVSNIIFIDTPIGSGFSYATSKEGLKSSDTMAVKKLVIFLKKWLHEHPQFLSNPLYVGGESYCGMTIPVLALAIDISNKESGEEPLLNLKGYFAGNPVTDDRFDTAGKIQFFHGMGVIPDELYEIAKENCRGNYSDPPNASCEESMQAIDNLTKDINLSHILEPSCETIWSPRIQRAAARDGASLLTADDSSGDDILFLFKCRSDSHQLSYIWANDEAVRESLGVRKETKGEWKRCDFDIPYTKDITSSVEHHLRLRKEGYPALIYSGDHDSKFSFVGTQAWIRSFNLSITDDWRPWYVDGQVGGFTRSFSSNLTYATVKGAGHTAPEYKSKECLAMFARWISGEPL* >BrasyJ101900.1.p pacid=40072015 transcript=BrasyJ101900.1 locus=BrasyJ101900 ID=BrasyJ101900.1.v1.1 annot-version=v1.1 MQLFSGRSKRNGGSGKSQSDTSTANAKHKDGGSRCRALCCGASTRLSVSSSSSASCSSCLDAPDQPRGHLPSLAHGMVQARLQSMIDAAGNDNDSRSSARRGTTTTEPAERRRWPPCSCSCASGGGGYYEKKKSSAPKPKPKPARERKPPCVVLVAVDKRTYAPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSCKGN* >BrasyJ005700.1.p pacid=40072016 transcript=BrasyJ005700.1 locus=BrasyJ005700 ID=BrasyJ005700.1.v1.1 annot-version=v1.1 MAMPRATAAICRPRRAGAAYLQLPVARSMASWFGHVEAAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLQCVREAERRIAGNMNITFLVREINKMNGRGRKLLCMMPGLIAEYLPMGGSANMIEESLRLAYGEDSEFIKDKRITAVQTLSGTGACRLFADFQKRFLPDSHIYIPTPTWANHHNIWRDAQVPQRTFAYYHPESRGLDFAGLMNDIKVKNHFPFFDMAYQGLLVLHVSASSCVADHNLSSYAFFDQSFVLLLSIILCDDEMQAVAVKSQLQQIARPMYSNPPFMAHHQYWWGLIVIFLLLFVISGMADRIIGMRNSLKESLEKLGSPLSWEHITNQIGMFCYSGMIPEQVDRNVTYLANAIHEVTKSN* >BrasyJ110000.1.p pacid=40072017 transcript=BrasyJ110000.1 locus=BrasyJ110000 ID=BrasyJ110000.1.v1.1 annot-version=v1.1 MTGVDDSEFQKEFRNLKSLRHDNIVELVGFCNEAEEVVAEFEGNQVVALKMHTALCLEYVSNGSLQKHISDENTGLNWHIRYKIIKGICVGLKYLHEGLEFSVWHLDLKPDNILLDQNMEPKITDFGLSRLLGDEKTRKTMSSVGTCGYWPPEYLNHQIITKEFDIFSLGVIITKIMTGREGYTSIADMRPGKFVKHVHNNWRNKLCKILRPASLEVYCNQVKRCIEIALECLKPNRQERPTIQYIVSNLEETETVIGDISLHIEQFNNDGESTLHSESNMTSGHSSGGGEASSSTYFDTPTAKAVPFQLLESMTDGFSEERIIGKGGFTRVYKGVYENGQVIAVKRYNSSLRLNDNEFENEIQYLMKVHHPNIIQLVGYCMHTEHQLIEYEGKMVFAEIQNKVLCLEYCSNGSLDQHLSDISYGLDWLTRYRIIKGTCEGLRQLHERSSPIIHMDLNPSNIFLGECMIPKIGDFALARVLEDEYSIQTIRMIGTRGYMPPEFIDKQVISIKSDIYSLGVVIIEIMTGQKYHAYYELSIQELIQHVHENWRKRLCATSDDTSVEGYCQQVKKCIKLALSCVEPERLKRPAIGEIIDMLNETETFIHELTLKDKSELLDVHPVELYFPIEPKKAISCLLQLNNKGSDRVAFMVMAKSQKMYCTKLPFCSVVSPGCSYTIAVTMRKQNQQPPSSIRVLHSAERRGGRS* >BrasyJ109700.1.p pacid=40072018 transcript=BrasyJ109700.1 locus=BrasyJ109700 ID=BrasyJ109700.1.v1.1 annot-version=v1.1 MSAAVANPPGAYFVGHPTHPEEQKEPQPVDNQNASNVQASGAYYSAQPLGLNSADGANAQASGHDAGNLTQPSTANGQKKRGSLAKFFSGCFSSREVAN* >BrasyJ109900.1.p pacid=40072019 transcript=BrasyJ109900.1 locus=BrasyJ109900 ID=BrasyJ109900.1.v1.1 annot-version=v1.1 MNSDEVQEMTLPVCWDPPAEGTESEPNQSRIEIIATQDSQQVSSVDVHPSEPWIMTTHHGGSLRVWDYQTMATLQSFQVTDEPVHAAKFIEREKWIIAGDGNGCIHVYNYEEKELTSFDAHDSGITSLAVHPTQAVVLSFSHDDHLIKLWDWEKDWECTRTFQGHTNRVTQITFNPNGADSFTSASRDGKVKIWSVHSDGGSPITLDGHDQPRSSLC* >BrasyJ109800.1.p pacid=40072020 transcript=BrasyJ109800.1 locus=BrasyJ109800 ID=BrasyJ109800.1.v1.1 annot-version=v1.1 MASGGAGRGKPEENKPTVLSTLPKELPLDFLKTITDQFSEDRVLGTGAFGTVYKGISPDGENITVKKLAENSPVARDKAFANEVQNIMALHHENVVKLVGYCHEGQKKVVQNNGRYIVADIVESLLCYEYLPVGSLQKNLFDVPIKMSWDTRFKIIKGICQGLQFLHSIPIVHMDLKPENILLDNNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLIMETTTGEKNCPSNEPSAVQFIKNVRENWTEQRIASEYRSLDADCLQQVKKCIEIGLECVEIDRQKRPSVETILEKLKGVYPSR* >BrasyJ109800.3.p pacid=40072021 transcript=BrasyJ109800.3 locus=BrasyJ109800 ID=BrasyJ109800.3.v1.1 annot-version=v1.1 MASGGAGRGKPEENKPTVLSTLPKELPLDFLKTITDQFSEDRVLGTGAFGTVYKGISPDGENITVKKLAENSPVARDKAFANEVQNIMALHHENVVKLVGYCHEGQKKVVQNNGRYIVADIVESLLCYEYLPVGSLQKNLFDVPIKMSWDTRFKIIKGICQGLQFLHSIPIVHMDLKPENILLDNNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLIMETTTGEKNCPSNEPSAVQFIKNVRENWTEQRIASEYRSLDADCLQQVKKCIEIGLECVEIDRQKRPSVETILEKLKGVYPSR* >BrasyJ109800.2.p pacid=40072022 transcript=BrasyJ109800.2 locus=BrasyJ109800 ID=BrasyJ109800.2.v1.1 annot-version=v1.1 MASGGAGRGKPEENKPTVLSTLPKELPLDFLKTITDQFSEDRVLGTGAFGTVYKGISPDGENITVKKLAENSPVARDKAFANEVQNIMALHHENVVKLVGYCHEGQKKVVQNNGRYIVADIVESLLCYEYLPVGSLQKNLFDVPIKMSWDTRFKIIKGICQGLQFLHSIPIVHMDLKPENILLDNNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLIMETTTGEKNCPSNEPSAVQFIKNVRENWTEQRIASEYRSLDADCLQQVKKCIEIGLECVEIDRQKRPSVETILEKLKGVYPSR* >BrasyJ109800.4.p pacid=40072023 transcript=BrasyJ109800.4 locus=BrasyJ109800 ID=BrasyJ109800.4.v1.1 annot-version=v1.1 MASGGAGRGKPEENKPTVLSTLPKELPLDFLKTITDQFSEDRVLGTGAFGTVYKGISPDGENITVKKLAENSPVARDKAFANEVQNIMALHHENVVKLVGYCHEGQKKVVQNNGRYIVADIVESLLCYEYLPVGSLQKNLFDVPIKMSWDTRFKIIKGICQGLQFLHSIPIVHMDLKPENILLDNNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLIMETTTGEKNCPSNEPSAVQFIKNVRENWTEQRIASEYRSLDADCLQQVKKCIEIGLECVEIDRQKRPSVETILEKLKGVYPSR* >BrasyJ039900.1.p pacid=40072024 transcript=BrasyJ039900.1 locus=BrasyJ039900 ID=BrasyJ039900.1.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEYILRRDLASCPVFCKLKTLVLNEWVAIIDLDVLASVLQHSPIHERLTLRLEDSGHLVRAIGPEENYDPREQPFACTHLKVVNIECEQVDEKVRKILKFLSSCGILAEKISIKKIYGFWLDLSRGSWSSWVHLFQRQMSHLQPLMATEMCDSGIYLNLFWSQQ* >BrasyJ039900.3.p pacid=40072025 transcript=BrasyJ039900.3 locus=BrasyJ039900 ID=BrasyJ039900.3.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEYILRRDLASCPVFCKLKTLVLNEWVAIIDLDVLASVLQHSPIHERLTLRLEDSGHLVRAIGPEENYDPREQPFACTHLKVVNIECEQVDEKVRKILKFLSSCGILAEKISIKKIYGFWLDRFSFERLLEQLGPSIPAPDVTPSTTDGH* >BrasyJ039900.4.p pacid=40072026 transcript=BrasyJ039900.4 locus=BrasyJ039900 ID=BrasyJ039900.4.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEYILRRDLASCPVFCKLKTLVLNEWVAIIDLDVLASVLQHSPIHERLTLRLEDSGHLVRAIGPEENYDPREQPFACTHLKVVNIECEQVDEKVRKILKFLSSCGILAEKISIKKIYGFWLDRFSFETLPE* >BrasyJ039900.5.p pacid=40072027 transcript=BrasyJ039900.5 locus=BrasyJ039900 ID=BrasyJ039900.5.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEHLVRAIGPEENYDPREQPFACTHLKVVNIECEQVDEKVRKILKFLSSCGILAEKISIKKIYGFWLDRFSFETLPE* >BrasyJ039900.2.p pacid=40072028 transcript=BrasyJ039900.2 locus=BrasyJ039900 ID=BrasyJ039900.2.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEASVSRRSRSKLIATSHVFEGFSFERLLEQLGPSIPAPDVTPSTTDGH* >BrasyJ039900.6.p pacid=40072029 transcript=BrasyJ039900.6 locus=BrasyJ039900 ID=BrasyJ039900.6.v1.1 annot-version=v1.1 MSERTENNEESNETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRYLPSLSFVVEKPSAEAPTFRSTEHFNEFVNLLIALREPLPLVDCEIDLYQTDTSEFNDDEKFPYPYLNQWIEYALTCQAQFLRVIVDDCIDQQFLLNVPLVSRHLMTLHLNNVDLLCSMDFSSCPVLEDLEVSSCDIHAHTVSSRSLKHLYIINCNFSLDYRTLFSTPSLSSLHLAYFGGMIPLTESMPLLETAFVRLAFSSDHCYCINFAVEDCGDQSRVGCFGYPVGGNQSVLLNGWSNAINLVLIARPQEVDEKVRKILKFLSSCGILAEKISIKKIYGFWLDRFSFETLPE* >BrasyJ039300.1.p pacid=40072030 transcript=BrasyJ039300.1 locus=BrasyJ039300 ID=BrasyJ039300.1.v1.1 annot-version=v1.1 MFGDLQRKTCLSSCLSLSSKSELPCPRRVGRLFGIGRRGLQAIVAVRLVGGFCGEVGVASSRSDV* >BrasyJ039700.1.p pacid=40072031 transcript=BrasyJ039700.1 locus=BrasyJ039700 ID=BrasyJ039700.1.v1.1 annot-version=v1.1 MAERTENEESKETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRRLPSLRLVVEKPFAEAPTFSSTEHFNVFVNSLIALREPLPLVDFEIDLYQADIDELSNEIFPYPYVIQWIQYALASHARLVRVIVDDDGYFDQKLQLNVPLVSQHLMTLHLNSVDFLCSMDFSSCPVLEDLKVSNCEIHAHMVSSRSLKRMCIDGCCFPNDYRTLLSTPSLNSLQLYDCDGMTPLTESMPLLETAFVRLAFSSDCCYYSKNLAVGNCGDASCVGCFGYPVGGNQSVLLNGLSNAINLVLVAKPEEYIFRRDLASCPVFGKLKTLVLNGWVAIIDLDALASVLQHSPILEILTLELKDSEDLVSAIGPEENYDPREQPFACTHLKVVNIECEQDNEKVRKILKFLSTCGILAEKISVKKIIGLWLNWFHAAIPQ* >BrasyJ039700.2.p pacid=40072032 transcript=BrasyJ039700.2 locus=BrasyJ039700 ID=BrasyJ039700.2.v1.1 annot-version=v1.1 MAERTENEESKETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRRLPSLRLVVEKPFAEAPTFSSTEHFNVFVNSLIALREPLPLVDFEIDLYQADIDELSNEIFPYPYVIQWIQYALASHARLVRVIVDDDGYFDQKLQLNVPLVSQHLMTLHLNSVDFLCSMDFSSCPVLEDLKVSNCEIHAHMVSSRSLKRMCIDGCCFPNDYRTLLSTPSLNSLQLYDCDGMTPLTESMPLLETAFVRLAFSSDCCYYSKNLAVGNCGDASCVGCFGYPVGGNQSVLLNGLSNAINLVLVAKPEEYIFRRDLASCPVFGKLKTLVLNGWVAIIDLDALASVLQHSPILEILTLELKDSEDLVSAIGPEENYDPREQPFACTHLKVVNIECEQDNEKGFMLPSPSNQA* >BrasyJ039700.3.p pacid=40072033 transcript=BrasyJ039700.3 locus=BrasyJ039700 ID=BrasyJ039700.3.v1.1 annot-version=v1.1 MAERTENEESKETSEDHISALPDDLLLDVLSLLTADEAVQTCVLARRWRDLWRRLPSLRLVVEKPFAEAPTFSSTEHFNVFVNSLIALREPLPLVDFEIDLYQADIDELSNEIFPYPYVIQWIQYALASHARLVRVIVDDDGYFDQKLQLNVPLVSQHLMTLHLNSVDFLCSMDFSSCPVLEDLKVSNCEIHAHMVSSRSLKRMCIDGCCFPNDYRTLLSTPSLNSLQLYDCDGMTPLTESMPLLETAFVRLAFSSDCCYYSKNLAVGNCGDASCVGCFGYPVGGNQSVLLNGLSNAINLVLVAKPEEYIFRRDLASCPVFGKLKTLVLNGWVAIIDLDALASVLQHSPILEILTLELKDSEDNEKVRKILKFLSTCGILAEKISVKKIIGLWLNWFHAAIPQ* >BrasyJ039200.1.p pacid=40072034 transcript=BrasyJ039200.1 locus=BrasyJ039200 ID=BrasyJ039200.1.v1.1 annot-version=v1.1 MNQEWTMKIGNRDGTTEFSLCLVSCATILVNLCRAHIEGLLISDRTMAA* >BrasyJ040200.1.p pacid=40072035 transcript=BrasyJ040200.1 locus=BrasyJ040200 ID=BrasyJ040200.1.v1.1 annot-version=v1.1 MERRSAHRRQEFSFAGGGAERLAANPMAPRAGEEDFRWLQASRLGSPESAVLSGSGTPSPRLWTHLDDDRSLCPGSSPSRAQAIAGYRREMLDLVRGLPEAAYELSLRDIVEHRSSPLPPLPPAVAVAGEDEGSSKQATATTVAAAMAEDEEDEEQRKQSIGGKKQGKQGKKMGRARSRSMERSVSLDTGLLIKLFMPLSVGGGGRTKKKKISPSPKPVADKDGLKKKTKKQGKKKKKHEEQQEQEWWKKSEFSSEAGSSSRTSSSGSSNSNGSASRNANSAAAVAGGGNLKAPARSRSRKRLGCYGFFRSDKSKNGAIQE* >BrasyJ040400.1.p pacid=40072036 transcript=BrasyJ040400.1 locus=BrasyJ040400 ID=BrasyJ040400.1.v1.1 annot-version=v1.1 MARTRNGKRLKPDGGAVCADCISALPDELLHHVLSFLPARDAVRSCVVSSRWRDVWRSSPVIRVTRSSNRWRSSQHLNKFVNGLLLLRRPVLLREFELETFRYIPRSIVRCVVAGGKFNDSEEPLRYVELWMAYAINFHVEVLRVRVRSLTRPLELVQVPLASQHLMTLELECVALCRRALDFSRCPVLEDLKMRLCRFTARLCITNCQFDDRFRTRISAPGLVLLQLRGTSGRGPVLESMPLLVTASVMLDIFCTDNSMHNDCGDCHCGVCLNCYGVRDGSDKSVLLRGLSNATHLALEAERGVRVFKLDMTWCPTFSKLKTLVLDGWDLDSDFHTVLLHFLEHAPILEKLTLELLEERFMSIEEICSPVEQLTRYLTDQPAHLTDQSHLTKQPAHLTEQQTHLTDQPAHLIDQSHLTKQTAHLTPQPTHLMDQQTHLMAQPGHLMEQPVLDFTSVDHLGS* >BrasyJ040100.1.p pacid=40072037 transcript=BrasyJ040100.1 locus=BrasyJ040100 ID=BrasyJ040100.1.v1.1 annot-version=v1.1 MAYPLLFARSRRKGRSVAQALEDNRWVLDLRRDFSTALLLEFIHAWRELHQANTVLQPGVPDSICWIMTDSGQYSARSTYLLHFIGRTNSDLLQATAHHLFVECPFTIHLWLEAAMWPNCRRVAAALQSFTVSVPNFRESLMLETDAAHRQGMSSLFILICSSIWRERNERIFRDKESSLRRIITFIKDEAQAWAFAGARALGKLLWEPP* >BrasyJ040000.1.p pacid=40072038 transcript=BrasyJ040000.1 locus=BrasyJ040000 ID=BrasyJ040000.1.v1.1 annot-version=v1.1 MEHGTRPVSCKDERLFMCSNLYNAAFEGHTRKVTGLLEGSSSDALQAGHHHGQHGSRTRCSAKEVTGELNTLLHIAAGQGHRALITELCCRDSSLLSSLNRALDTPLHSAARAGHADAVEAIIRLAGGDDNVEEGRLHGVLCWRNDAGDMALHLAARHGHGAAVEKLVRLAPEMAAELDGAGVSPLYLAVMSRSVDAVRAIIASEGDASVAGPDSQNALHAAVLQSSEMVSLLLRWRPELASNLDINKSSPLHFVSSDGDCSIVKAILTHSAPSTAYLQDSNGLSALHAAARMGHVAAVRLLLQFYPSCADIRDNQGKSFLHAAATNGHSSVISYAIKNRMLEHLLNTQDKEGNTPLHLAVVAGEHKVISKLLSSGKVQGHIMNSSGRTPLDLVQSSTGFSSMVRLVVKLYVSGAQFKPQRQDHIEKWNGQDIMKWREKISNNLAVVSTLVATVAFSAAFNVPGSYGSDGKANLSGDWLYDAFLVLDTIAVTASVVATILLINGRASRSHRSWIGFMVSLHFLWLSLNSMMLGFFAAIVAVMGHKNQMNIALSQLIYYGLYVLITLLGILATPGSLIGILRYLVGNCFRRRRRANRRIGRQFPFVMFYAINVVVFIVINIASLSAINVIGGLSAKK* >BrasyJ040000.2.p pacid=40072039 transcript=BrasyJ040000.2 locus=BrasyJ040000 ID=BrasyJ040000.2.v1.1 annot-version=v1.1 MDCVDLDMRRCDNKSFEGHTRKVTGLLEGSSSDALQAGHHHGQHGSRTRCSAKEVTGELNTLLHIAAGQGHRALITELCCRDSSLLSSLNRALDTPLHSAARAGHADAVEAIIRLAGGDDNVEEGRLHGVLCWRNDAGDMALHLAARHGHGAAVEKLVRLAPEMAAELDGAGVSPLYLAVMSRSVDAVRAIIASEGDASVAGPDSQNALHAAVLQSSEMVSLLLRWRPELASNLDINKSSPLHFVSSDGDCSIVKAILTHSAPSTAYLQDSNGLSALHAAARMGHVAAVRLLLQFYPSCADIRDNQGKSFLHAAATNGHSSVISYAIKNRMLEHLLNTQDKEGNTPLHLAVVAGEHKVISKLLSSGKVQGHIMNSSGRTPLDLVQSSTGFSSMVRLVVKLYVSGAQFKPQRQDHIEKWNGQDIMKWREKISNNLAVVSTLVATVAFSAAFNVPGSYGSDGKANLSGDWLYDAFLVLDTIAVTASVVATILLINGRASRSHRSWIGFMVSLHFLWLSLNSMMLGFFAAIVAVMGHKNQMNIALSQLIYYGLYVLITLLGILATPGSLIGILRYLVGNCFRRRRRANRRIGRQFPFVMFYAINVVVFIVINIASLSAINVIGGLSAKK* >BrasyJ040600.1.p pacid=40072040 transcript=BrasyJ040600.1 locus=BrasyJ040600 ID=BrasyJ040600.1.v1.1 annot-version=v1.1 MAQCRDKNKLASETSGIDISDLPNDVLEHILSFLSSREAVKTCVLSKNWQYLWKLCQPYASKCMTDTWIFHVATTCQVREFSLINYNEEDFMYLRNQGLFSKNLRRLHLEKVELKFHTVDFSSCPALEDIEMDVCNIHAKHITSKSLKHLTAMNCEFNGMGSRSCIYAPRLVLLKLIGSCCSPLLEPMPSLQDACVRFDCFCDDYCHYSDIGGCDNEDCTGCYDDDKEHSLVLEGVSTATRLELITEPGMFHFKGDLRRCPLFRNLRTLILNEYWIVTTNLQGLRCILHNSPVLEELNLLLCDVVEFQSTGDHNQMGKLLVMERLNKVKVRCQKLTKWVVTIIKTLISFGISHEKFIVQEIR* >BrasyJ039800.1.p pacid=40072041 transcript=BrasyJ039800.1 locus=BrasyJ039800 ID=BrasyJ039800.1.v1.1 annot-version=v1.1 MWIHYALACQVQVLNVTDDCGQQRLLLNVPFISRHLTTLHLKSVKLQCSMDFSSCPELENLEMQFCSIYAPKMASKSLKRLCIDDCCFMNEFRTRISVPSLISLRLDDCGYRTPLLESMPLLETAFIRLGDECEDECDCSSNLNCGQQSCQGCYGFGGDQCVLLNGLSNATTLELIALPEKFIFRRDVAWCPTFCRLKTLLLNDWCVAFDLHSLVRVLKCSPVLEKLTLQLLNDRDLVSTVGVEGHHDPELSSVCAHVKVANIECKGLYEKVHKVWNILRTCGIVPEKICIKTRQSSLNNKLTIVILHDWLEI* >BrasyJ040300.1.p pacid=40072042 transcript=BrasyJ040300.1 locus=BrasyJ040300 ID=BrasyJ040300.1.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEITDKVKELQSTTISKDSVKIAKDKWSRRSTHSSPNRVAPSNDKATMLLDDITRKVLVPVECLPFHEIICFKNVGVLQSALLGNPRRMVQLDLLKSQSCLKCSCCSRNRIAVSASLHDTSIMCNLAQEYGDVINVHDWYLSFDGITNSTHSKGKRRLLGSPSKKKSKAMPRQSESMIQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGP* >BrasyJ040300.3.p pacid=40072043 transcript=BrasyJ040300.3 locus=BrasyJ040300 ID=BrasyJ040300.3.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEITDKVKELQSTTISKDSVKIAKDKWSRRSTHSSPNRVAPSNDKATMLLDDITRKVLVPVECLPFHEIICFKNVGVLQSALLGNPRRMVQLDLLKSQSCLKCSCCSRNRIAVSASLHDTSIMCNLAQEYGDVINVHDWYLSFDGITNSTHSKGKRRLLGSPSKKKSKAMPRQSESMIQYP* >BrasyJ040300.2.p pacid=40072044 transcript=BrasyJ040300.2 locus=BrasyJ040300 ID=BrasyJ040300.2.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEITDKVKELQSTTISKDSVKIAKDKWSRRSTHSSPNRVAPSNDKATMLLDDITRCNLAQEYGDVINVHDWYLSFDGITNSTHSKGKRRLLGSPSKKKSKAMPRQSESMIQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGP* >BrasyJ040300.4.p pacid=40072045 transcript=BrasyJ040300.4 locus=BrasyJ040300 ID=BrasyJ040300.4.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEITDKVKELQSTTISKDSVKIAKDKWSRRSTHSSPNRVAPSNDKATMLLDDITRCNLAQEYGDVINVHDWYLSFDGITNSTHSKGKRRLLGSPSKKKSKAMPRQSESMIQYP* >BrasyJ040300.5.p pacid=40072046 transcript=BrasyJ040300.5 locus=BrasyJ040300 ID=BrasyJ040300.5.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEITDKVKELQSTTISKDSVKIAKDKWSRRSTHSSPNRVAPSNDKATMLLDDITRKVLVPVECLPFHEIICFKNVGVLQSVQPSPRIW* >BrasyJ040300.6.p pacid=40072047 transcript=BrasyJ040300.6 locus=BrasyJ040300 ID=BrasyJ040300.6.v1.1 annot-version=v1.1 MDSPSHEAPLAAANNIEPFFILSKAAAANAAAGSSSSPPTTPRTRRRIDVSQPSSPNPKSAERPRKNEEEDEEEGDVALYERLRLEAFHRTWSKIQSTIDEVLRDINLKLFDQVLQWVHESFSAIRSAATPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLTDHLESNGCHLAKLSATEFAAKHGVGGCLRSLLRQLLSDVPDVADVSALASWYCGVENYDQPIVIIIDDLEQCSGDVLGELVIMLSEWVVKLPMFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFSISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSVEPLSFFCMGMLEEDSENFWRDKFDALPQAMRKRAFGLPSCTRENYSVKSGNMVEGLSELMKFQKDWSSVLLCLYEAGRHDKMQLLDIFCEVINPHMHTQRASKSDLLMSKVASENLSSGKSGDGRRFVDRALDTVRYMPTETLLRVLDVWSTHLKGMNEEINT* >BrasyJ040500.1.p pacid=40072048 transcript=BrasyJ040500.1 locus=BrasyJ040500 ID=BrasyJ040500.1.v1.1 annot-version=v1.1 MSREQEAVSRRRNATPEAIIDGGDGNGVDVLPDELLQHVLSFLPVPEAVQTCVLARRWQHLWKSMPVLRVTGEGWILNRRGVRKLNKFVNHLLLLRDRTAPLHTCVVELGTFRSQDDPQINLWIRHALLCQAQVLSVHLSRDNNCFDLEDLPLVSRHLTRLELCNVVLNGNILNFSRCPALEELWMRDCYMALDVILSQSVKRLTILDCMFYQNARTRISIPSLVTLEFTECWGRTPFLESMPSLVTGSVKLTNCDDCCGKEAAASCFSVNNTCENCGANNDGNEDCVLLNGLSEAKSLELIAKPGVFIFRRDLMRCPTFSKLKILLLNEWCLKTNLSALICLLQHTPVLEKLTIQLCEAINSQTVTKESYNPIDPPFTTKKLKVLEIKCETIDERVHKILAVLGTYGIHIGQINIHRSIGSSEGIGSWTSSTPDSEQALPHRTLSFGA* >BrasyJ039500.1.p pacid=40072049 transcript=BrasyJ039500.1 locus=BrasyJ039500 ID=BrasyJ039500.1.v1.1 annot-version=v1.1 MPETCKKAAAASDEEDRLSALPRELLLHTLSLLPSDDAVRTCVLARRWRDLWRYTPSIRLVHDEDEDEYEESWFRSAEHFNKFASHLIVLRDRSPLVKCEIDLYPYTTPEPHPYVEAVQMWIQYALACQVHTLKVTDEFNSYRLVLNVPFISGHLTTIHLTFVTLQWFMDFSSCSVLENLEMQYCRIWVPEIASESLKRLCITHCAFRSVYRTLISVPSLISLRLDDIDLCTPVLERMPLLETAFIRLAEKCQDECDNRLDGNCSDDDCDGCYGFDEYQSVLLNGLSDAISLELISDPYKYIFRRDLAWCPIFGKLKTLLLNDWCVAFNLHALGCILQHTPLLEKLTLQIASKWPDYNENDKRAIENHDPIEQSLACPHLKVANIECQKVDQKIYEILNVLSACGIFPEKISINTDGRF* >BrasyJ040800.1.p pacid=40072050 transcript=BrasyJ040800.1 locus=BrasyJ040800 ID=BrasyJ040800.1.v1.1 annot-version=v1.1 MPVLRLTGCRPVQESQEWLILCDHCPLDALVLDVDAVSESDVRCVNLWLRYAVSCNVRVVSFASFKRSSLPATSHNLTQLELSPSNLCGAFLNFSGCPLLEELKITNCRISADEFISHTLKILSTVGCCFSNFSKRRISVPSLTSLQLNNFGSRTPFREDMPALATATIVFGSECPDSLGNFEPKNCGDLSCECCYSELHSVSKYCYDASFKCCYGTDDSSGGCVLLNGLSAATDLKLVAEPELIIRGKGFETMSYIL* >BrasyJ041000.1.p pacid=40072051 transcript=BrasyJ041000.1 locus=BrasyJ041000 ID=BrasyJ041000.1.v1.1 annot-version=v1.1 MLKKLENLYLQRNKFSGSIPEGLSKLIKLEYMILSDNQLSSTIPPSLFHLDSLLQLDLSQNFLNGALPIDISHLKQINNIDLSSNRLVGSIPDSIGQLLMIANLNLSHNSFDGSLPDSFRKLTSLQTLDLSHNSLSGTIPKYLVNFTILTSLNLSFNKLQGQIPDGGVFSNISLQSLLGNSGFCGASRFGFAPCPSYIHRTKVHMLEFLLPAAIIVVVVAFSMYAFAKRKVIKQPEMTVSTGMVDIISHQLVSYLELVRATDNFSGSNLLGSGSFGNFFKGQLRSGLIVAIKVLDMQLDRAIRSFDTECGALRMAWHRNLIRILNTCSNLDFRALVLQYMPNGSLETLLHNTQVTTPLGFHERLGVMLDVSLAMEYLHHEHYEVVLHCDLKPSNVLFDADMTAHVADFGIARLLLGDSSSTVSVSMPGTVGYMAPEYGAGGKASRKSDVFSFGIMLLEVFTRKRPTDPIFIGDLSLRRWVFQALPAEIANVADHQLLQGPSSFSLEGFLVPIFELGLLCSSELPEQRMTMRDVVVRLKKIQTEYNQVSSASEIDASC* >BrasyJ039600.1.p pacid=40072052 transcript=BrasyJ039600.1 locus=BrasyJ039600 ID=BrasyJ039600.1.v1.1 annot-version=v1.1 MPEVSGRKIASATGKDHISALPDALLLHMLSLLPSDDAVRTCVLARRWRYLWRYTPSLRLVHDAESKFRNVGSKKKKRFRDADHFNEFVSHLIVLRDRSPLVECKIDLYPYRRRNDYPYVQAVQMWIHYALACQVQVLNVADNYGEQRLLLDVPFISRHLTTLHLKSFKLRCSMDFSSCPELENLEMQFCSIYAPKMASKSLKRLCIANCLFINDFRTRISVPSLISLMPLLETAFIRLDEKCVDECVYSSNVNCGQESCHGCHGFGGDQSVLLNGLSNATNLELIALPEKYIFRRDVAWCPTFCRLKTLVLNGWCVAFDLHSLDLVSTVGVEGHHDPELSSVCAHIKVANIECNELDEKVRKVWNVLRTCGILPEKICIKSRQSRLNDNLTVVILHA >BrasyJ039400.1.p pacid=40072053 transcript=BrasyJ039400.1 locus=BrasyJ039400 ID=BrasyJ039400.1.v1.1 annot-version=v1.1 MLRLRSCILSRIVSSPATSLHRLLSVAAPAVPPSPAFSVEDYLVETCGLTRPQALKASTKLSHLKSPAKPDAVLAFFAGLDLSSADVAAAVVNDPMLLCASVKRTLGPNVVGLTGLGLSNSEISRLASLSYGRFRCRSIVPQLQYYLPLLGSCKKILRLLERRSYILKVSLERVVKPNVALLRECGLGSCDIAKLCTVIPTMLTSNPERVRVKVACAEGVHVPRGSGMFRQALMAVAYLSKETIAARVEYLVKTFRWSDGEVGIALSKAPSLLVRSKDMLLHRSEFLISDVGLEPSYIAHRPVMLTYSLEGRLRPRFYVLKFLKANGLLDRDRDYYNTVVVTEKVFAEKFLRPHKEAVPHLAEDYAAACRGEVPNIFRFT* >BrasyJ040700.1.p pacid=40072054 transcript=BrasyJ040700.1 locus=BrasyJ040700 ID=BrasyJ040700.1.v1.1 annot-version=v1.1 MDERRDKKKLAAETSGIGISELPNDILEHILSFLSSREAVKTCVVSKNWCNLWKFVPALCIRETVEDCSKFLRNFLFARNHAPISVGDIEFTDLESVEMHDVDTWIFHVATTCQVRELRLVISIQDDFMYLRNQALFSKHLRRLHLAKVELKFHTVDFSSCPALEDLEMEVCNIHARHITSKSLKHLTTDDCDFNGMGSRTRIYVPSLILLKLIDSSSSPLLEPMPSLQDACVRFDRFCGDHCQYSDIGGCDNEECIGCYYDEKEHSLVLEGLSTATRLELISEPGIVC* >BrasyJ039100.1.p pacid=40072055 transcript=BrasyJ039100.1 locus=BrasyJ039100 ID=BrasyJ039100.1.v1.1 annot-version=v1.1 MCCAAEKLICIVQLLLLLFLQLQGVPRVSGAGDGILSVPSATSLARCPTRCGDAEFVYPFGTEPGCFRQGFELTCDTTTQPPKLFWANSTTRMLGTDRTDHWFAYASIGFSITMTPGTSTYTRSWESPARGFIVDSDTHMYVVGCDVEVLLFDTGTNLTIGSCTSSCPGDRGTMGGGNESVAVAGNCNGLGCCSIALPDYLQGFRFILSRRGHMSKMRRPSSISVKVFLTEDYEFDASDLYSSWINTSTVLTSLEIFATDQPSCEIASANKETYACSPGSSCQTGKWGGYYCYCNPGVNGNNPYILDGCIEGYNPHPKGNCKRSCGNMSIPFPFGLEEGCFAHQKFRLSCVSDKFLVLDRGDGTKYQVTTLQVDDGYLGVTSMLNDSSSNDDEVVVVHTTNGDFGYRVPREAMRNLIEFSQEFDIRMRWAVSNLTCETASQRSTTYACISAHSKCVNVTHGTLYLGYRCKCWPGFYGNPYVQDGCKDIDECLEPNNCNGLCHNTIGGYYCSSCPHGKVFVPTKRKCVTSAKQHNLLFGIAIGIGCGLSSIILPLCATIIARKRKQGIQKRIRRAYFKKNQGLLLEQLISDESTTNKTKIFSLEELEKATNNFDATRVLGHGGHGTVYKGILSDQNVVAIKISKIAEQIEIDQFINEVAILSQIVHRNVVKLFGCCLETEVPLLVYEFISNGTLYSFLHSNASAKCLLSWDDRIRIAAESSGALAYLHSAATIPIFHRDVKSSNILLDATFSTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYRTGQLTGKSDVYSFGVVLVELLTRKKPIFINDSGMKQSLSHYFIEKLQEGDIMEIIDSHIVEEADQGEIGDLISLAQACLRTKGGERPTMKEVEMKLQFLRTSRSRKVHDLPGNNGEIGHLFCPQDTNSHTQLDLVNASRLPSEGTRAYSQEKEFASSINLPR* >BrasyJ040900.1.p pacid=40072056 transcript=BrasyJ040900.1 locus=BrasyJ040900 ID=BrasyJ040900.1.v1.1 annot-version=v1.1 MAAVRFPNISILFLIALSSVLASPNPANSNGRDSDLSALLAFKGRLSDPLGVLASNWTTGTSFCRWLGISCSRRRQRVTAVQLPNLPLHGSLPPQLGNLSFLSVVNLTNSNLTGSIPDDLGRLHRLKYLDLGQNGLSGGIPQTLGNLTKLHLLFLSFNNLSCPVPVDFQNLHNIRKINLYMNYLTGSIPTNLFNNTHMLAFLNMGNNNLLGPIPSSLASLPTLEFLNLEFNYLTGLVPPAIFNMSQLYALGLRHNQNLTGSLPAGNDSFSLPLLEKLSTGENNFTGPIPLGLASCQYLQLLSMGTNSFQGVVPAWLCKLAQLTYISLGGNDLVGPIAVELSNLTWLYALDLSFCKLTGAIPGEFGKLSQLSTLHLSENQLTGPIPDSLGNLSEFENLILDDNMLTGSVPATFGNIQDSLVHVDVSRNHLQGDLTFLSKIFFYL* >BrasyJ112100.1.p pacid=40072057 transcript=BrasyJ112100.1 locus=BrasyJ112100 ID=BrasyJ112100.1.v1.1 annot-version=v1.1 MAAVVAAVEAGVVAVASGRSSKLVRSLYWRLRAGIRRLQSPDRRRGWRRRERFSFHYDALSYALNFDDGRAGADFGLV* >BrasyJ072600.1.p pacid=40072058 transcript=BrasyJ072600.1 locus=BrasyJ072600 ID=BrasyJ072600.1.v1.1 annot-version=v1.1 MDSNSSRIQFLLGLEFPNTTCLPNNLVAFDRAGYGESDPNPGRNVKSEALDIEELADKLDLGEKFYVLGVSMGGYSVWGCLQYIPHRLAGSALVVPVINYWWRSFPAEVYSMQAFKKLIVPEQRTLRISHNAPYLLYLWMTQKWFPSSAAAMHHPEIFSKHDVEVIQKMMAMPRIIENKSRQQGIYESIHRDVLVAFGNWEFDPMNITNPFPTNEGSVHIWQGYEDKLVLVELPRARS* >BrasyJ072700.1.p pacid=40072059 transcript=BrasyJ072700.1 locus=BrasyJ072700 ID=BrasyJ072700.1.v1.1 annot-version=v1.1 MPNICNGTCQNYPGGYNCNGCAHGKEFNPTTGKCVISAKRHNLIVGRSYILLMLLQFTKLHKSFITPASPACIGIAIGIGCGLVATILALCTTALVNKWKTGIQKRVRRAYFKKNQGLLLEQLIMDECTTDKTKIFSLEELDKATDNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHSDLCAKCLLSWGDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNVLLDSNLTTKISDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYYTCQLTEKSDVYSFGVILVELMTRKKPIFINNEGTHQSLSRYFVEGLEEGVLMEIMDPQVLEEANQEEIGDIASVAEACLRTKGRDRPTMKEVETRLQILRTTMLRNRLAPRKLQVNIFPSAYICNTEFPPQTLKKRATMRSKSFLPLLLLCLAMAAAAPRSSAAAPSGVAAVGGGGLMHIPTAAELAHCPTRCGDVNIVYPFGIGPGCFRQGFELTCHGNRKLFLGNSTAQIQELIISYRYVEASVDLGLDMRPGVDTSYNVSWETPVKGLTLSGYNSVYVVGCGVDAYLFDHDTNHLIGSCMSVCLDDREAMEKDDALANHGCQDRRALALRIDRLRDGTGQADHQLLPKVKAFLSEEYLFQTGDLYSSRIHGIQEGTILHIAITDQSSCKSAQMEKSRYPQGGGYSCWCQNQRNPYTADGCTPDYDPNPKGKSCKRSCGNTQIPFPFGLEQGCYALEKFRLNCTGNVTILDRGVQYLVTNVSVNKGYLSIRKTKLENNSRSMEKDDRPLTDLLDLTEEEEDMKMWWSVANLTCLTVTSTDLRDIYACRSTNSSCINVTRVNGTMHLGYRCKCFEGYEGNPYTQNGCNDIDECLLPNICNGTCQNYPGGHICVISAKRHKLILGIAIGIGCGLVATILASGATALLKKWRRVVKKRVRRTHFKKNQGLLLEQLILDESATDKTKIFTLEELEKATNNFDCTRVLGCGGHGTVYKGILSDQHVVAIKKSKMVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHSDLSVKCFLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSIDETHVVTIVQGTFGYLDPEYYHTGQLTAKSDVYSFGVILVELLTRKKPIFINNVGTKQSLSHYFVEGLAQGGLMEIMDLQVVEEANQEEIDDIASVAEACLRTKGGDRPTMKEVEMRMQILRSTRLRRNQLASRKGGEIEPFLCPDTNSSDARTNSAGTVDVPSSCMSGMYSLEQEFASLPR* >BrasyJ072100.1.p pacid=40072060 transcript=BrasyJ072100.1 locus=BrasyJ072100 ID=BrasyJ072100.1.v1.1 annot-version=v1.1 MTPCISRSRAAVPVQVLRRHAVGRSRTASPAGRSRSDTTSAQPSPSATTALPFQCSCDLSYGCTEVQQITLRRDTTLMEYTMCLLGRSTPTKMEGAFLCSMVMPCHCDVALHLGVGQRVAVCTP* >BrasyJ072200.1.p pacid=40072061 transcript=BrasyJ072200.1 locus=BrasyJ072200 ID=BrasyJ072200.1.v1.1 annot-version=v1.1 MRQGCDGDAPFGAKRRRNAPPPPLRGSSRPLRCPEPPLPSISLSLRLSAHPPRRRHPTLSTILLRRSSSSLPSAGEVVVGRIETTGQSSARRLPAAGPLPDLRSLLLPLPFSDPRHLSHPPPFSHPLRVTCALQSDGRRPSRPVKSCHRDAAGSGSSGLDAAGSGRPGHHLH* >BrasyJ072000.1.p pacid=40072062 transcript=BrasyJ072000.1 locus=BrasyJ072000 ID=BrasyJ072000.1.v1.1 annot-version=v1.1 MSPQTQAATTTATAGKRKMLANALAVKSTNPVSKKTRKQKKHRPPAEQDQINAAAAAGEPAGGGVLLSAALPPARQLEFLLRSFERAAKMRLSPLELGTYSEGCMVQLTQGASQDVESFGDHVKRTFGASWKEDLCEGNLIEGLVEAGSPALLVISLGALRSLELLRGLKMFTRGCRAVKLFAKHMKVEEQAALLKARVNIACGTPSRIKKLIDMEALSLSRLKLVVLDMQRDPKSFNLFTLPQVSNEFWDLYKGYLEQKVKEGDTRICFYGAVSEKDANKILPPAE* >BrasyJ111400.1.p pacid=40072063 transcript=BrasyJ111400.1 locus=BrasyJ111400 ID=BrasyJ111400.1.v1.1 annot-version=v1.1 MNQLTKNLASEWAKDHIRTNSVAPWYIKTSLVEQFALRAIPQDLAKEEFMDSIVSRTPMRRVGQPEEVSSLVAFLCMPGSSYITGQTISVDGGLTLNGLFPTKG* >BrasyJ111700.1.p pacid=40072064 transcript=BrasyJ111700.1 locus=BrasyJ111700 ID=BrasyJ111700.1.v1.1 annot-version=v1.1 MAAADQTSAKTVAPRRWSLHGKTALVTGGTRGIGRVVVEELAALGATVHTCSRKEAELGERLQEWGGKGFRVTISMCDLSVREQRERLIREVGDRFGGKLDILVNNVGTNIRKPTTEYSSEEYTFLMATNLESAYHLCQLTHPLLKASGSGSIIFVSSISGIVALYGGTVYSMAKGAMDQLTKNLACEWAKDNIRTNSVAPGYILDFTDRRSFGK* >BrasyJ111300.1.p pacid=40072065 transcript=BrasyJ111300.1 locus=BrasyJ111300 ID=BrasyJ111300.1.v1.1 annot-version=v1.1 MAAADTAGAHAGRWSLHGKTAFFTSGTCGIGRAVVEELAALGAVVHTCSRKEDLLGERVKKWEATGSVCDVSVRDQRERKLRARRCRPIRRKAQHPGDALPALHPLYQT* >BrasyJ111800.1.p pacid=40072066 transcript=BrasyJ111800.1 locus=BrasyJ111800 ID=BrasyJ111800.1.v1.1 annot-version=v1.1 MAEICSEVAPAVAEGKQGAECDAGSRAARRRRMEIRRLRLAAERGADEEASRIKRRKLECRSEEEDVETGPARYGVTSVCGRRRDMEDAVSIRPEFLPGHHFFGVFDGHGCSHVATSCGERMHEIVADEALSAGSEDGEERWKGVMERSFARMDAKAVGSRGSSDPAPTCRCELQLPKCDHVGSTAVVAVVGPRHLVVSNCGDSRAVLCRGGAAIPLSSDHKPDRPDELERIQAAGGRVIFWDGARVFGVLAMSRAIGDSYLKPFVISDPEVRVVERKDGEDEFLILASDGLWDVVSNEVACNVVRSCVRGNAKRRDGGGRSSPTSNLSPRQSSGSGSGSGSSSGDEEAANDDCGGAGSESDEESCEVDKACGEAAILLTKLALARQTSDNVSVVVVNLRRRPRS* >BrasyJ111500.1.p pacid=40072067 transcript=BrasyJ111500.1 locus=BrasyJ111500 ID=BrasyJ111500.1.v1.1 annot-version=v1.1 MAAEDAMGGSSAARWSLHGKTALVTGGTRGIGRAVVQELAALGAAVHTCSRKESELGERLKEWEAKGFRVTGSVCDVSVRDQRELLLRDVAGRFAGKLDILVNNVGTNFTKPTIEYSADDYSFIMTTNLESSYHLCQLAHPLLKASGSASIVFISSVSGVVATFSGSIYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEEHLAREDFVDSIVRRTPMRRVGEPEEVSSLVAFLCLPGSSYITGQTISVDGGMTINGLYPTQD* >BrasyJ111600.1.p pacid=40072068 transcript=BrasyJ111600.1 locus=BrasyJ111600 ID=BrasyJ111600.1.v1.1 annot-version=v1.1 MAAAETSGKAGAPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKESELGERLKEWEAKGFRVTTSVCDLSVRDQRDRLAGEVAERFGGKLDILVNNVGTNIRKPTTEYSAQDYSFVMATNLESAYHLCQLAHPLLKASGSGSIVFVSSVCGVVAVFSGTIYAMTKGAINQLTKNLACEWAKDGIRANSVAPWYITTSLTEGLLANKEFEASVVNCTPLRRVGEPGEVSSLVAFLCMPGSTYITGQTISVDGGMTVNGLYPS* >BrasyJ007400.1.p pacid=40072069 transcript=BrasyJ007400.1 locus=BrasyJ007400 ID=BrasyJ007400.1.v1.1 annot-version=v1.1 MPEARHEGLVLPIGFRFLPTDEELTVYLRKKALSFPLPSDIIPAARDTKTSFSSAGRPVPRCGRRARGVAAPGAAGVWKASGTEGLVVVSPRRVPMALKQTLVFFSADGARTRWVMHEYRLHPVMLTRAANIGKAVENWVVCRVFQKATRRDGNLPDVRVHRRPRPLPA* >BrasyJ007300.1.p pacid=40072070 transcript=BrasyJ007300.1 locus=BrasyJ007300 ID=BrasyJ007300.1.v1.1 annot-version=v1.1 MFPLTDSPKCVAFRSKRTGNYLCSVAYRSQEGDSKFFDELSSAGDDNDVLASPYARFYLEPSKEHEGLLHVRCCYNNKYWVAKHVDGDGSSHWIIGIINEPDDDLSKPSCTLFQPVPLTDKDSNLSIRFLHPRQQTPSSESDMEKETPQEACYLLLGTGADQEKPVHEFTVLDLSQQLVLPKYVAFKGDNDKYLRARVIQRYNYLEFAATDIADPTVLNIIYPNYADGNIRVKSNHFDRFWRRSPNWIWADSPDTHSRDRDTLFRVVQLPGYISLQNLGNYNFCKRLTADRKTSCLNAAVSSITEEAKIRVEEAVLSREIYNVEFNLSEAKIYGEKALSIPNVHSVNRTSEQNKKILTLKYEETESKTWSSTVSMKIGVMAKFKAGIPIIANGKVEVSTEFSAEYEWGASIVKTTTQEVNYEAVVPPMTKVTLRAAVTQGSVDVPFSYTQRDVLVTGEVVTTRMDDGMFSGINNYNFNYETTEESIV* >BrasyJ072300.1.p pacid=40072071 transcript=BrasyJ072300.1 locus=BrasyJ072300 ID=BrasyJ072300.1.v1.1 annot-version=v1.1 MEKDQPAKEKERLVSSLMRMKPASLKGKKPTVGKKAPAGKLGDDKVHSKSNPTILLVNAPAAAAPAPATRRRGQGLPPPPPPRRGRQSPTDQAVASGSRTSRAGARGAAASGTTVEEEEEEVPEDSMDEQNKEYEPAEENIHMDEDEDEDLSDDVMSDDDPLFGFSRGTDEVDQETVAVNSDEEHGKRMLRVGGYLRSNHLRREAV* >BrasyJ105900.1.p pacid=40072072 transcript=BrasyJ105900.1 locus=BrasyJ105900 ID=BrasyJ105900.1.v1.1 annot-version=v1.1 MENDGESAANPGSLRCKKGPPSPSTHPSDEDSATSDEDDDSGSDEEEEDGNEDQGTIRPFTVDDFPRVSSDHYEQTCRLPYIPDLLLRGPHELVLFPAFKDSPVGKGSHGYGEDYQLHDESELRVDDVDCSNSCYRYPMPLVQFIDLKIAGYRHEQPGCAKIFGFFAARDIIKPLRNYIYKREINNYEAVTVKRKRGTAHLSLRSPARGINVTDHVLFEFKLCKRTEDQLEDGPKDEVLIEGCTVLNNRFYTKSVIQTKRLYGEKCGLDLKFAVLLSAVQAKVDIEILHAPACGLNLNLYAKTSGFGDVICLFRGDAKAGSRFSSLVAVTMDSYLDLCVKGSCKEGLCRTSPQDGDDLGESMSRYSMWEGRFDSCCSERVDKEEVKFDDFGTISVEVTWSMVERRHVPKA* >BrasyJ105200.1.p pacid=40072073 transcript=BrasyJ105200.1 locus=BrasyJ105200 ID=BrasyJ105200.1.v1.1 annot-version=v1.1 MYLQLRRLLTQLPGTLKDSCSIVFYLFTDVQNFYAMKHWFDRNSYLEAIVYVTNIEDHQKLSKDVESTEMQQLWPTEEFCVTFRNHSQPFQRQMKTKYISVFGHSHFLPAGM* >BrasyJ104700.1.p pacid=40072074 transcript=BrasyJ104700.1 locus=BrasyJ104700 ID=BrasyJ104700.1.v1.1 annot-version=v1.1 MASLLSQTTARPSSSGTGRVLLPRVTAMATQGPKSGSGTRRSTGTTTVFPVGKPAGGPAKTTKGSAAPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELGVLSAATDPGTPGTLQGLALLLLAAGPAVVFLVPEQYPWEVALQAVVALACVAGGSAAFAASSFVSRLQGTS* >BrasyJ105000.1.p pacid=40072075 transcript=BrasyJ105000.1 locus=BrasyJ105000 ID=BrasyJ105000.1.v1.1 annot-version=v1.1 MDRDEEKGHGDRSLLFIGDEDDDLGADRDGGSPPSSDAGSSFSDERSDDDDGPADGDGGGGSGSDDDDDDEDGADGDGKGGAPKVARQQGAWPQSYRQSIDMMSVLPSPTVSTIMAASPSLSKLGSSFLKAGSSFFLKKAAAEGSLPLSRPLLPPALSQQSPSQQQQPAAARQSTDSLPPRPPAPQQVPAEAAVQSRPSAACLKSTYIELPPPSSKCSRGQSVINGLNVLCGVGILTTCFGIKQGGWLSVLLLPLLGACSCYTGLLLKRCIDSSPTIETYPDIGRAAFGISGRIFVSVVLYLELYTCCVEYITLLGDSLSSVFPSAHLAFTGIYLNSHNLFAISMALAILPSVWLRNLSLLSYLSAGGVVATIAVIVCLFWIGIGEVGFHPSGTALNLTQLPVALGLYGYCFSGHSVFPNIYSSMKERSQFPFVLVFCFIVVTIVYAGVACTGFLMFGESTMSQFTLNMPQQYVPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPRNMRNYLVGMCVRTLLVLSTVVVALSFPYFALVMALLGSVFTMLVALILPCACYLSIKWDSVPLWEVVLCIIIILIGMCSACIGSYTSIHQMTST* >BrasyJ107200.1.p pacid=40072076 transcript=BrasyJ107200.1 locus=BrasyJ107200 ID=BrasyJ107200.1.v1.1 annot-version=v1.1 MWRMARVAVEAHGMAHVPALNAQTCAKRERSWTSVPYGPPSPWGSHAAVAGDSRAGGPICGKRDRAARERESERTRENRERAEGRGGGGGAIPRGGQIRVSRAEGGRPQGSRIQVGRAEEAADGRRGAGRRGPAARAGGGRPQGGRIQVGRAEEAVAGRHDAGRRGPAAPARWWKSSSATADWRRWEREREREREREREREAGWWKKRGIGREDREKERTGSAAGTRGRENETGGG* >BrasyJ104900.1.p pacid=40072077 transcript=BrasyJ104900.1 locus=BrasyJ104900 ID=BrasyJ104900.1.v1.1 annot-version=v1.1 MSDRVGSSGTGSGSGSGDEFGRAVARAAVAQALEAAGFDCAHRSAVDALVDVLVRYIAHLGRAAAFHANLAGRALANEYDIIRSLEEIGTDFDGFTGAGTSGGCLVGSGIVRDLVAFAESKDEVPFTRPLPRFPVPRAPQPTPSFAVSGRETGMRHVPEWLPVFPDPHTYVRTEVWSEQAAKDRVDMVEQVRQRRKAEKSLLSLQQRLALAGGDGFRPSVAVDSAAKGKEIQPAGSKRNPFLEPALPHGEKVVAEVDMPPVRKQLSILEAFAPAIQGADAMELDAGTGWDQNLNQKSIVPKERAPVHMKIGIDKKPLAAMVNSKPLDLLDDPSFLKEETKDERKRRAGMILRVSMENPQELPQL* >BrasyJ107000.1.p pacid=40072078 transcript=BrasyJ107000.1 locus=BrasyJ107000 ID=BrasyJ107000.1.v1.1 annot-version=v1.1 MVTSTSILRLFSPHVAIRQRILTAPNRVTFAVYSTAAQISSFISKKPYTPPSWALDLSPIPSHNFTLGQFPTPIHKWNLPNLPKGTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITIGGIQSNHCRATATAAKYVNLDCYLILVTSRLLVDEDPGLVGNLLVSRLLGAHIDLVSKGEFIKFGSVALTDLLNKRLLEKGRKPYVISGGGSNSFGNWGYIEAVREIEEQIQLSGDVQFDDIVVACGSGGTVAGLALGSQLSSLKTNVHGFSVCYNLRYFYDNVQGLIDGLQSGLNSHDIVRIEDAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYRMLKDMSNNPTKWEGRKVLFVHTGGLLGLYDKVDQLSSFAGSWQRMDLEEFVHAKKALSNVA* >BrasyJ108000.1.p pacid=40072079 transcript=BrasyJ108000.1 locus=BrasyJ108000 ID=BrasyJ108000.1.v1.1 annot-version=v1.1 MKITFFLLALLALVASATAFSRYANDPTGQDPHVRGDKGSSYEQQCQQEQIKLDSCKDYVTERCTLPGEVLFTKPYKWGKSSCQEVKNRCCQELEKTSSECRCKAISEMIEGELGGFWGVPEDQVKKVLQTAKSLPSMCNKGEACDIPAVAKGYYYYYNY* >BrasyJ107600.1.p pacid=40072080 transcript=BrasyJ107600.1 locus=BrasyJ107600 ID=BrasyJ107600.1.v1.1 annot-version=v1.1 MGLLDLQQAMSMQREQELRRRQIRTGNGLVVSLDERKASACQKGCVATGSIASRGKRKGSPCHQEDSSQSGEEKGYPGPELPEVIWLRIHSLMPMRDAARAACVSRAFLCSWRSHPNLSFSEETLGLKGMVSENLEISRDYANKVDHILKGHSGVGVKTFQLFGAPSYSVKDHHYLDSWLQMAVKPGIEELAISPCSGINKYKFPCSLLSDGNGDSIQSLHFASCAFHPTVGFGCLRSLTSLYLYMVHITGNELGWLLSSSFALERFTLRYCCEIYRFKIPCHLRRLRYLEVLHCSELKVIESEAPNLSYIRVAAGFQLQLLLGGAASQVKNLNMYYPGAVRYTCTKLASSMPNLETLDIESTKEMVNKPMVSSRFLHLKYLNIYVTMDNSPRFDYYFLVSLIDASPSLASLVLDVSQRRMEHVSIFEDPSELRQMPEQMHGKLQNVQFSGFSSAKSLIELTRHILGVSTSLKKLVLNTRPGRSVHVRPDYKIGKCFGMERDHIVEAFRAVSAIEIYIKPKVPSTVNLFVRAPCSRCHVVKDLGDPTPRLSTH* >BrasyJ107700.1.p pacid=40072081 transcript=BrasyJ107700.1 locus=BrasyJ107700 ID=BrasyJ107700.1.v1.1 annot-version=v1.1 MPRLGDAASRPAEDHVIISATPEMQQEAALLSTNAAVAWLNGAREDVSTAAVVEAFATTFGARPADISVVRHYPEQFFVRFIHQHHCTDAIARRDFPFRDFHVQVRPWRLEANADNVDMLHHVQLCLEGIPLHAWNDHVVSKVIGKACSLDYIENRSRNKTDTRTLGLWAWKENPSAIPKVKWITLPARGQRARGRRGLRHRVLIHLAIVEDYSGSGDGPPPPPHEFQWEYGVIDGQGRRRDYREPPRRGDDRRDRHDDHRRDRRDDGNGGRRGRDDTRGQGGWRERLQRSLSRAPRDRSRDQVDGRRHQAAPPTLLLGLGSMGPASASSRGRSPLRSRSPGTSRRRSAAARTPPVSPVAPSSPTSVLPMTSPEPKGRSETSMMLPRAGNLFQVQLSGAADCSVGLQRVIRILTPPRLIPLLPSSGGTPKYPPGFENECIDSTPAATTESTPSAATPPLVPTEPTTPTNRVSLFVPAPAALLESPAAGSSRPLPPANRRKTFAGGFTVRRSSIRIKSKHRETPIAKMAEKNLCRRLGIIGKEEQVTDQAIESFVKLFQQQLPSTAIAALRALFRLDCVHAEAVEEALIRHGGQGAMDQEVHLEASSA* >BrasyJ104400.1.p pacid=40072082 transcript=BrasyJ104400.1 locus=BrasyJ104400 ID=BrasyJ104400.1.v1.1 annot-version=v1.1 MDKQKNHKNAEMGTDVFRRTILSQIARGVGFEEENVPCNTPRNSVHSRFGGSFVASTSESTGAESVSPGEYVRDPGSILSLQPWIFKRSGAQNNEERIVVSGSKVGRGKNLVDGFRDGSTVDVSARSPALGSGPGRGRGALRSRRSHRHFIKPLVPMEDSYIPQLYNGNFEFEECTFAPVPSPASARPFIVTDGRRIISKSSYGPVPVPFDIGLNKEECRNGSNVLESVVEIAPLPELNRDSTVSHDARLSLSSSKRDSEPSKSAGLLERMLMFSSGVSIGVISSSLSNKKDLDSLNGTLKRMENLVQDLQDELEMKEGLTLKELPNESEHDDGNIKVHTVDSEPMSKIEAELEAELARLELNITSNHLEEEPPFDFSEVDQEFIGDIVQGELKIDMIRRDIVDYSSESDHGRDSRQSSPDCTHDANYPVSPRDLSLRLHKVIQHRLEERIKELETALAQSQKQAQLQMMARERVFSEQTSSNSVSGSSSNQGSPLFIQETSSSAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVNEDYSVDRSLIWGLEGDSTTELKEVLTWEQAVKSGDPNRAQESDGEDESSDEDDHDSKMLIQQIIERTKQGSPVLIHAQKMLFSVDE* >BrasyJ106700.1.p pacid=40072083 transcript=BrasyJ106700.1 locus=BrasyJ106700 ID=BrasyJ106700.1.v1.1 annot-version=v1.1 MCRAFARPLRLLLGSRPSPARSRPFFTDPGRRRGDPGRRREWRSAVGERRDRRGDLAEEEAGGEKRRPAAGERRDRRGDLAEEEAGGERRRPAAGLGRGGGRRRDSAEEEAGGEKRRPAAGLGRGGGRRRDSAEEEAGGGIRQRSTSAGRRGDRR* >BrasyJ105500.1.p pacid=40072084 transcript=BrasyJ105500.1 locus=BrasyJ105500 ID=BrasyJ105500.1.v1.1 annot-version=v1.1 MWILLEPKSNLGFGGIVTNNGRRFEKTSDQGHEGLKIMAGSESLHTDAMYDDLVGLNLELFQVGYQKLHSSFYTLRKECVGLFVVLLYEGPRFLPVFEMNLNTLILMNSTEVGKNNKNLIRSWCNISRDCYGVAKGVKSFVISEGTHQNIRKAATILRVMCRTEWNNWVKDSQGGGESSRGR* >BrasyJ105400.1.p pacid=40072085 transcript=BrasyJ105400.1 locus=BrasyJ105400 ID=BrasyJ105400.1.v1.1 annot-version=v1.1 MTYRGEAAMARGAVGTLPPFASAAALGSRPVFRRPPLLPGIRLPASALPSLPLHEQPPPVAAPLGPVAVRPLPADRDLHTTHSRIRRSGLLNSSPRSARPAYCDLAFPPRRNRQDAVPGSPSGSFLQPRRRGPTLRFRHPWRDSP* >BrasyJ106900.1.p pacid=40072086 transcript=BrasyJ106900.1 locus=BrasyJ106900 ID=BrasyJ106900.1.v1.1 annot-version=v1.1 MDSHDRAQQEKDDSGARIVAKLEYYQPLCSIKDRPAISMIEDAEEKGLITPGISTLVEVTSGNTGIGLAYVAALKGYKFIAMMPAGYSMDKQILLVYLGAKILVLDLVGSSSSLRNCERKIPTYSSLTSSITPQTKMPMSSRQAQRFGVIPPVTWISSSQHQAPGAVSGVGRYLKAQNPDVKVICVEPAESRVLSGYKAQPHRIQGVGPTFVPKNFDRSVVDEIIPVTSDAAIENARRLATKGLLVGISSGANMAASLEVAARQENKGKMVVTVFPSGGERYMSSDLFEEARRVAQGLTF* >BrasyJ106900.2.p pacid=40072087 transcript=BrasyJ106900.2 locus=BrasyJ106900 ID=BrasyJ106900.2.v1.1 annot-version=v1.1 MDSHDRAQQEKDDSGARIVAKLEYYQPLCSIKDRPAISMIEDAEEKGLITPGISTLVEVTSGNTGIGLAYVAALKGYKFIAMMPAGYSMDKQILLVYLGAKILVLDLVGSSSSLRNCERKIPTYSSLTSSITPQTKMPMSSRQAQRFGVIPPVTWISSSQHQAPGAVSGVGRYLKAQNPDVKVICVEPAESRVLSGYKAQPHRIQGVGPTFVPKNFDRSVVDEIIPVTSDAAIENARRLATKGLLVGISSGANMAASLEVAARQENKGKMVVTVFPSGGERYMSSDLFEEARRVAQGLTF* >BrasyJ106900.3.p pacid=40072088 transcript=BrasyJ106900.3 locus=BrasyJ106900 ID=BrasyJ106900.3.v1.1 annot-version=v1.1 MDSHDRAQQEKDDSGARIVAKLEYYQPLCSIKDRPAISMIEDAEEKGLITPGISTLVEVTSGNTGIGLAYVAALKGYKFIAMMPAGYSMDKQILLVYLGAKILVLDLVGSSSSLRNCERKIPTYSSLTSSITPQTKMPMSSRQAQRFGVIPPVTWISSSQHQAPGAVSGVGRYLKAQNPDVKVICVEPAESRVLSGYKAQPHRIQGVGPTFVPKNFDRSVVDEIIPVTSDAAIENARRLATKGLLVGISSGANMAASLEVAARQENKGKMVVTVFPSGGERYMSSDLFEEARRVAQGLTF* >BrasyJ104800.1.p pacid=40072089 transcript=BrasyJ104800.1 locus=BrasyJ104800 ID=BrasyJ104800.1.v1.1 annot-version=v1.1 MCGIAFVLSGGRIVIAPSATAAAASGTRFSDEGKGVSVAELEAALRRRGPDSLGSHRVRLCPDDTIPGSAGDAGCDGGDDVVGGVGATELCFIGATLQLRGAEPVSQPLASQSGSVLVYNGEIYGGIHIDIDGNDTQSLLSSLESCCCCDSCIVVRDVAYPCCESVGKSVPQILSTIKGPWALIYWQTDSKTLWFGRDAFGRRSLLVHWPTPDDSRFILSSVSPPPFSRNNSPSAVNGLESGADPDFSDHTKVSYWEELPCGIYSVHLKDLGNKATCMKEGSVIEVMKHDWTDSSLHTLMRWERKSVVPIVDGLIPDQSSVDNGNYQLSPSFSNSREAEDMTSDWLEKRDQPSDFSSSPANCITQSAHRVLISLRESVRLRTNVNRVYQADLNKIADDELAPIAILFSGGLDSMILAALLDQCLDFKWTIDLLNVSFDGQLAPDRVSSVAGLKELQRISPLRRWRLVEIDTDLANLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVNQSTCNMQEGHRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDEYVIKTLLEIPLWEIAKLDEPVGKGDKKILREVAKMLGLREAAFLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEVHQHTR* >BrasyJ106300.1.p pacid=40072090 transcript=BrasyJ106300.1 locus=BrasyJ106300 ID=BrasyJ106300.1.v1.1 annot-version=v1.1 MATRWMLLISTIPMIIVARTNSDLKQEEQDLLGILTKTNNSRYLSSYQAQKEKSDKD* >BrasyJ105300.1.p pacid=40072091 transcript=BrasyJ105300.1 locus=BrasyJ105300 ID=BrasyJ105300.1.v1.1 annot-version=v1.1 KRPPSPSTPPSDGADSSKSAASDEDDNGWAISDDEEGDEEGEYRPFTVDEFPRVSSDDDEQSIAVYMTPEISRRGPSPLMRLPAFKPANAKLGISPRYKLSDESERGPRACSDSGQRCWTYTSGGPLSGEVQFFDLKIAGYRHAHPGCAKIFGFFAARDRIDSLRNYVYRHDIDNYEAVTVNPKTGTARLSLTSPARGISMRSQVLFEFKLYICTEDPPEDGPKCDTLIEGCAEIWDFHKTVSFFENRRLYGEKCALDMKYAVLINAVQAFVNVEILRAPDCGLNLNLYAKTSGYRDVICLYRGVAKVGWRMNSVVAVERSSY* >BrasyJ106200.1.p pacid=40072092 transcript=BrasyJ106200.1 locus=BrasyJ106200 ID=BrasyJ106200.1.v1.1 annot-version=v1.1 MASSSTDLPTRAWSDRRLRQGGRGGSGGASRQRSSPRPPFQYGTIDLDPAAFFGAGANSDLISSAFLKGMEEANKFLPSEGKLLIDLEASSSGQFLKGVEEGNKFLPKEEKLVVGINGRAAPASATAAAASVKKEEPVDLVSPKGRKSPSHDDELELEGGRSCKQSAVQGEDIAARELFDRVLMLSPEMCVEQTKNLRMAMQEAVAKNNSGSGKAGYWQRRGWFGGLHVVDLQALLINCAKAVAMDDRRSATELLKQIKPHARCDGDGTQRLAHCFAGGLQARLAGTGGLVHQSLMATRISAVHMLKAYQLYMAAICFKKVSFIFSNSTIYNASLGKKEIHIIDYGIQYGFQWPCFLRTISEREGGPPEVRITGIDLPQPGLRPAGRIKETGRRLSKYASKFKVPFKYHAIAVTKMESLRKEDLNIDPEEVLIVNWLFQFKNLMDESVVTESPRDIVLNNIRKMWPHVFIHAIVNGSLSAPFFVTWFREVLFYYSALFDVLDTTTPRDNEQRMLIEQNIFGCAALNIITCEGADRVERPQMYKQWQVRNQRAGLKQLPLNPDIIEKVRDEVKNCYHKDFVIDVDHNWLLEGWKGRILYAISSWVANDASSHF* >BrasyJ106200.2.p pacid=40072093 transcript=BrasyJ106200.2 locus=BrasyJ106200 ID=BrasyJ106200.2.v1.1 annot-version=v1.1 MASSSTDLPTRAWSDRRLRQGGRGGSGGASRQRSSPRPPFQYGTIDLDPAAFFGAGANSDLISSAFLKGMEEANKFLPSEGKLLIDLEASSSGQFLKGVEEGNKFLPKEEKLVVGINGRAAPASATAAAASVKKEEPVDLVSPKGRKSPSHDDELELEGGRSCKQSAVQGEDIAARELFDRVLMLSPEMCVEQTKNLRMAMQEAVAKNNSGSGKAGYWQRRGWFGGLHVVDLQALLINCAKAVAMDDRRSATELLKQIKPHARCDGDGTQRLAHCFAGGLQARLAGTGGLVHQSLMATRISAVHMLKAYQLYMAAICFKKVSFIFSNSTIYNASLGKKEIHIIDYGIQYGFQWPCFLRTISEREGGPPEVRITGIDLPQPGLRPAGRIKETGRRLSKYASKFKVPFKYHAIAVTKMESLRKEDLNIDPEEVLIVNWLFQFKNLMDESVVTESPRDIVLNNIRKMWPHVFIHAIVNGSLSAPFFVTWFREVLFYYSALFDVLDTTTPRDNEQRMLIEQNIFGCAALNIITCEGADRVERPQMYKQWQVRNQRAGLKQLPLNPDIIEKVMRKMGISTCGKSK* >BrasyJ107500.1.p pacid=40072094 transcript=BrasyJ107500.1 locus=BrasyJ107500 ID=BrasyJ107500.1.v1.1 annot-version=v1.1 MLLLWVCQRLLEPECCFCVHTTVRIEDEAIGVAAEEDKSRDVIPRRSRGKSQAGQVSPAVNVGGIDPSVDNMLRIRRAKGEEVIYMEIYMIRHAKGA* >BrasyJ106600.1.p pacid=40072095 transcript=BrasyJ106600.1 locus=BrasyJ106600 ID=BrasyJ106600.1.v1.1 annot-version=v1.1 MRSSSSRRRRDEEAAARQGAAPQGGGATRSSSSRRRRRGAAPQDGHDDEEQLLKATAGRGTTAQDGLVVSLDERKASACQKDCVATRLLASQGKRKGSPCQQEDSSQSGKQKGYPGPELPEDIWLHIHSLMPMRDAARAACVSRAFLCSWRSHPKLTFSQRTLGLKGCVRENVEIARDYANKVDHILKKHSGVGVKRFHLLDAPSRSVEDHHNLDSWLQMAVKPGIEELAISPCFGINEYKFPCSVLSDGNGDSIRSLYFASCAFHPTVGFGCLRSLTSLFLHMVRITGNEIGWLLSNSLALERFTLNGCSDIYRLKIPCNLRRLSYLEVIYCSELKVIESEAPNVSNMRVIARFQLQLLLGGAASPVKHLFMYFPGSVCYTCAKLSSNIPNLETLYIESTKEMVNRPMVSSRFLQLQYLKIHVIMDNCPRYDYFFLVSLIDASPSLTTLLLDVSQQRMEHVSIFEDPTELRQMPEQMHGKLQNVQFSGFSSAKSLIELTRHILGVSTSLKKLVLNTRPGRNVDVRPDYKIGKCFGMERDHIVEAFRAVMAIDIYIRPKVPSTVNLCVQAPCSRCHVVKDLGDPTSLSTH* >BrasyJ107900.1.p pacid=40072096 transcript=BrasyJ107900.1 locus=BrasyJ107900 ID=BrasyJ107900.1.v1.1 annot-version=v1.1 MKITFFLLALLALVASATAFSRYADVPTGQDPHIRGDKGSSSEQQCQQEQMKLDSCKDYVTERCTLPGEIPFTKPYKWGKSSCQEVKKQCCQELEKTSSECRCKAVWKMIEGELGGFWGVPQGQVKKVLQTAKSLPSMCNKGEACDIPVANGYYYYYNY* >BrasyJ106400.1.p pacid=40072097 transcript=BrasyJ106400.1 locus=BrasyJ106400 ID=BrasyJ106400.1.v1.1 annot-version=v1.1 MGSSPYLPSSMDSPGSDDPSLYLYISDLVPGSPPASPSAYLDLPPTPHHEQPQQQRSSDYDDLSGGGGGGGGDKSSSTPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLDILSDPSSNTTSSDSSNNNNPCSPSDASFPLPPTPAAAVDSYDHQPFQYDLDPAAFFSAGANSDLMSSAFLKGMEEANKFLPSQGNLVIDLEASSGQFLKGIEEGNKFLPKEDKLVVGFNGRAAPVSTPTAVASVKKEEPVDAISANSRSGSRGRKNPYHDDELEREVGRSSKQSALQGEDISARELFDRVLMPSPEMCVEQMQNLRIAMQEAVAKNDTGSGKAGNGKGRGRRGGSDVVDLRTLLIHCAQAVATDDRRSATELLKQIKLHARHDGDGTQRLAHCFAEGLQARLAGTGGLVHQSLMATRISAVDMLKAYQLYMAAICFKKVCFIFSNFTIYNASLGKKKIHIIDYGIQYGFQWPCFLHRISEREGGPPEVRITGIDLPQPGFRPAGRIEETGRRLSKYASEFKVPFKYHAIAVTNMESLRKEDLNIDPEEVLIVNCLFQFKNLMDESVVIESPRDIVLNNIRKMQPHAFIHAIVNGSFSAPFFVTRFREVLFYYSAMFDVLDTTTPRDNEQRMLIEQNIFGRAALNVIACEGADRVERPETYKQWQVMRKMGSSRCGKSTR* >BrasyJ107100.1.p pacid=40072098 transcript=BrasyJ107100.1 locus=BrasyJ107100 ID=BrasyJ107100.1.v1.1 annot-version=v1.1 MDLNAVKLLAQKTREVGEVAYRYAMEGRVAALAMLLLVVEDKISSTVSVVIDGVRTKRSIYNSVVDEVLSMGDASARDGNDRRKALLCEIQLLNQFGASSWRDRNADRRILPPLLRAAKVGDMNVTKMLLMGDVDVNETDPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHTAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSVHCVKLLLRFGANPKAQNLRKQRPVDVATSQDIRFILNSANVVPLNHSSLQKNHVTKKERRKELLDDDFDDYVNDDFDESYTERQASVGHRDFRSSNYSTQGTKSKSQNAPKQGPKFSRHNDYWEKHDYTRKIFVGGLPPSVDNAYLGKFFAAEFGPVEEAMVIMLKIDNHIQSRCFGFVKFQREKDMLSAKEAQYVYVLGKRVEIKDAVARPYSPEPRRAIPHHIHESPRVTHSVPESEPTEQHYGKRRPLPEKSLPSWFFIFRKWLPGFLVDATERHGGRYPLSSVKSDFRSSCRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGTGPATHMVLLPPLSRPKYVPLLEPYSFDHDELPESVSDDHSPRSALTANNTEDAPHNIDSQHGDACSESNVQSPHDDECSRSNADSPRDGDSTSNGSLLDEITVSTAKLDLIDLPSGKPDLIESEPLPQRIESRPLWKPDLVESAPKVDSIECRTPRKPDLVEPGPTRTLDLVECRLPRKPDLVNPVLERRLDFTESRPTTCFVDHPVERAAVTPSSCETEMKFSFFQSQWDKYLTPYPKSDYCIICRSFDAAMKLVPCLHKICVACMMRCNVRACMTCGTAVSGVKSSPVLDARCRYVGVMGCVSDQKCQLMVACGGADAIVQCSPCMHTIACRGCLLASVTLLKNCTVCGCMIEHFKFGSQGLAP* >BrasyJ105600.1.p pacid=40072099 transcript=BrasyJ105600.1 locus=BrasyJ105600 ID=BrasyJ105600.1.v1.1 annot-version=v1.1 MSLVQFIDLKIAGYRHEQHGCAKIFGFFAARDIIKPLRNYIYKREIDNYEAMTVKRKRGTAHLSLRSPARGINVTDHVLFEFKLCKRTEDQLEDGPKDEVLIEGCTVLNNRFYTESVIQTKRLYGEKCGLDLKFAMLLSAVQAKVDIEILHAPACGLNLNLYAKTSGFGDVICLFRGDAKAGSRFSSLVAVTMDSYLDLCVKGSCKEDLCRTSPQDGDDLGESMSRYSMWEGRFDACCSERVDKEEVKFDDFGTISVEVTWSMVERRHLPKA* >BrasyJ105100.1.p pacid=40072100 transcript=BrasyJ105100.1 locus=BrasyJ105100 ID=BrasyJ105100.1.v1.1 annot-version=v1.1 MTAMFSTKQPLQLATPINKWRPRLHLVKMQYSSKKRTSAAMSSSSNTEATEIIEPIVKMCGITSARDAEFAAKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAQPVGVFVDDDEETILRVSDSCNLQLIQLHGDSSRALLPALAKNNQIIYVLNADEDGKLINSPPSEEYAIDWFLVDSAQGGSGKGFNWEKFRMPSVKSKNGWLLAGGLHADNVCEAASALKPNGLDVSSGICSPDGISKDPERISSFMRSVKSLRF* >BrasyJ105100.3.p pacid=40072101 transcript=BrasyJ105100.3 locus=BrasyJ105100 ID=BrasyJ105100.3.v1.1 annot-version=v1.1 MTAMFSTKQPLQLATPINKWRPRLHLVKMQYSSKKRTSAAMSSSSNTEATEIIEPIVKMCGITSARDAEFAAKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAQPVGVFVDDDEETILRVSDSCNLQLIQLHGDSSRALLPALAKNNQIIYVLNADEDGKLINSPPSEEYAIDWFLVDSAQGGSGKGFNWEKFRMPSVKSKNGWLLAGGLHADNVCEAASALKPNGLDVSSGICSPDGISKDPERISSFMRSVKSLRF* >BrasyJ105100.2.p pacid=40072102 transcript=BrasyJ105100.2 locus=BrasyJ105100 ID=BrasyJ105100.2.v1.1 annot-version=v1.1 MTAMFSTKQPLQLATPINKWRPRLHLVKMQYSSKKRTSAAMSSSSNTEATEIIEPIVKMCGITSARDAEFAAKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAQPVGVFVDDDEETILRVSDSCNLQLIQLHGDSSRALLPALAKNNQIIYVLNADEDGKLINSPPSEEYAIDWFLVDSAQGGSGKGFNWEKFRMPSVKSKNGWLLAGGLHADNVCEAASALKPNGLDVSSGICSPDGISKDPERISSFMRSVKSLRF* >BrasyJ107400.1.p pacid=40072103 transcript=BrasyJ107400.1 locus=BrasyJ107400 ID=BrasyJ107400.1.v1.1 annot-version=v1.1 MSLFRTVFKLTLVQNVCLLNFAEPAALVNTLPKLHVLKRKKVEVESIVGLNFLDVNGIRNQKCLPSCSTAVTEDIQEVNVAMDGLRKEYRAMLCSILPRYGFCCTMECQLDVVVALLLEEISFIYLRSTSHFCSGTVGRETFRAFLFIYSDKSTRHAVFYPTTMSLSVRLDEATDWGTGLSTWEIGQGI* >BrasyJ104600.1.p pacid=40072104 transcript=BrasyJ104600.1 locus=BrasyJ104600 ID=BrasyJ104600.1.v1.1 annot-version=v1.1 MATAAAVKAAMEKIRAFWDSQYHNEQNWEVNYRVLKAGGLFAGSIILMHNFGDSMAV* >BrasyJ106500.1.p pacid=40072105 transcript=BrasyJ106500.1 locus=BrasyJ106500 ID=BrasyJ106500.1.v1.1 annot-version=v1.1 MIYGLLYSSPWFCNRNVQACICPLKSDLILHKLDEVEISLKKMQATLEGEEASKMKIKPS* >BrasyJ106100.1.p pacid=40072106 transcript=BrasyJ106100.1 locus=BrasyJ106100 ID=BrasyJ106100.1.v1.1 annot-version=v1.1 MKWRQEKRKQRSTHGVISQIQAAVCALAARIEGQSKWREAAGELNDAMKNELAEADRGLEHGHGIACMDGGAARGASQQGWRSYGRPRGRTGRSM* >BrasyJ104300.1.p pacid=40072107 transcript=BrasyJ104300.1 locus=BrasyJ104300 ID=BrasyJ104300.1.v1.1 annot-version=v1.1 MRLWWLWAAATWAWLAALAAAAHPGGGEQPLSRIAVGSTTLAVDGSARVKASPTVLGQEGENSGWVQLEFFSPNPSGDDWIGVFSPANFSAATCEPENKWQYPPVLCSAPIKYQFANFKNDGYSKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMIVTWTSGYDIKEAVPFVEWGEKGGRRLLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSMYTYRLGHRLQNGSRIWSKSYSFKASPYPGQDSLQQVVIFGDMGKAEADGSNEYNNFQPGSLNTTNQIIRDLDNVDMVLHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEHCLSSIDRQKQPWLIFLAHRVLGYSSNSYYGFEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSQCVVNASNHYSGPFQATTHVVVGGAGASLSDFTSSKIQWSHFRDFDHGFAKLTAFNHSSLLFEYKKSRDGNVYDHFTVSRDYRDVLACSVDNCPRTSLAS* >BrasyJ105700.1.p pacid=40072108 transcript=BrasyJ105700.1 locus=BrasyJ105700 ID=BrasyJ105700.1.v1.1 annot-version=v1.1 MRRRIPLAARGPTSTEKATAAPRARRPAPPRAATAAWAPPSFFLPLPWRSPPPSFFLHPMATSPLLLPP* >BrasyJ108100.1.p pacid=40072109 transcript=BrasyJ108100.1 locus=BrasyJ108100 ID=BrasyJ108100.1.v1.1 annot-version=v1.1 MKITFFLLALLALVASATAFSRYANDPTGQDPHVRGDKGSSYEQQCQQEQIKLDSCKDYVTERCTLPGEPYKWGKSSCQEVKNRCCQELEKTSSECRCKAISKMIEGELGGFWGVPEDQVKKVLQTAKSLPSMCNKGEACDIPAVAKGYYYYYNY* >BrasyJ107800.1.p pacid=40072110 transcript=BrasyJ107800.1 locus=BrasyJ107800 ID=BrasyJ107800.1.v1.1 annot-version=v1.1 MRRYSPPHRSPPRRGYGGSPAHRSPPRRGYGGSPPHRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLVRNIPLSARAEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEDMRSRTRIRGYSGGQEGRRSSHYGRSRSRSRSLSPRPRGGRPRSRSYSPAPRRRDDYSASPRGRESHRTKSPIRHPKENEEDKRRSYSPAGRDGDQRDADNDYEKRSPPPDSDGSPSRRRAPARPSSGSPPGSRSRSPDASPARSD* >BrasyJ107300.1.p pacid=40072111 transcript=BrasyJ107300.1 locus=BrasyJ107300 ID=BrasyJ107300.1.v1.1 annot-version=v1.1 MEEQSEIPSMEAKREMILQFAVGIAGVIVPVSAATLIAVNPLPPLSELPDGAIRSTVNYSSLPPALRDLLRGGGDQSPPSTVDVVVLLSAPEAADPHSPMSFDGGDDLNVRARDDELKEIGNDKMKICDDDRDNDRTRNNDRASDTQEPQKVVLVVNTVDDLKSARVGTHYILQFGNLHRLADASAYRNVITSRGLIWEPTRQAQDINLLADINDNFVRIDHLLSTGLNRGEDLDVLRAVLCSARLIRNKAKVLRRRARSGQKWPGSAAVNRLRRVISRIVNPKCTRTMSFLSGRVTGLLEKIHEAQDGAIVFA* >BrasyJ106000.1.p pacid=40072112 transcript=BrasyJ106000.1 locus=BrasyJ106000 ID=BrasyJ106000.1.v1.1 annot-version=v1.1 MEMCMDDKWKMSKKGSRRSSAAVAPAAAGGSSPVGLKGRAGPSRRGSGQSVPGRLARLAKEQRARFYIMRRCVTMLVCWRD* >BrasyJ106800.1.p pacid=40072113 transcript=BrasyJ106800.1 locus=BrasyJ106800 ID=BrasyJ106800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVQKAVGIGHTIELAIQQVAYMCVTLLCTKYERLDNGPFKYLPRGFITCKNKFFTFPGLPEEKVANDSYDFCNFVTSQEYMIANMRAEIEHYRKQLWIALGHLSAVIDAGMYENEVRYPPRPPVPEFDKLFQVDGFQFF* >BrasyJ105800.1.p pacid=40072114 transcript=BrasyJ105800.1 locus=BrasyJ105800 ID=BrasyJ105800.1.v1.1 annot-version=v1.1 MEVDGESAAIPVLVRGKNRPPYPSTPPSDGDDSATSDEEDDRWSVSDSGSDEEEEDGNEDQDQGTVRPFTVADFPRVSSDHFEQTRKLPYVPDLHLRGPRRLVLFPAFKDSPIGSHGYGEDYQLHDESELRVDNVDCSNSCYAFPMELVQFIDLKIAGYHHEQPGCAKIFGFFAARDIIKPLRNYIYKREIDNYEAVTGTAHLSLTSPARGINVTDHVLFEFKLCTRTEDQLEDGPKDEVLIEGCTVLNNRFYSESVIETRRLYGEKCGLDLKFAVLLNAVQAKVDIEILHAPACGLNLNLYAKTIGFGDVICLFRGDAKAGSRFSSLVAVRIGSYLDLCVKGSSKEGLCRTSPQDGDDLGESMSRYSMWEGRFDAWLSERVDKEEFLES* >BrasyJ104500.1.p pacid=40072115 transcript=BrasyJ104500.1 locus=BrasyJ104500 ID=BrasyJ104500.1.v1.1 annot-version=v1.1 MVPSFLQEELAPLPSVIHKGAKLFPVPLPAAGGHGRRGRYLLRCQSRVRPQPLCHQLRTPLLPPNRPLLQHPPNRRGAPWRAGCGGGRGHAGQGEGALQSTGRVEGALQSDGRGVGCSKLNPSVAKEDLKLKTKEETVQNLEKANVYGLVS* >BrasyJ104500.2.p pacid=40072116 transcript=BrasyJ104500.2 locus=BrasyJ104500 ID=BrasyJ104500.2.v1.1 annot-version=v1.1 MVPSFLQEELAPLPSVIHKGAKLFPVPLPAAGGHGRRGRYLLRCQSRVRPQPLCHQLRTPLLPPNRPLLQHPPNRRGAPWRAGCGGGRGHAGQGEGALQSTGRVEGALQSDGRVRALTAAPFNLFVPIISQYHYFHKHFM* >BrasyJ076300.1.p pacid=40072117 transcript=BrasyJ076300.1 locus=BrasyJ076300 ID=BrasyJ076300.1.v1.1 annot-version=v1.1 MPRSFASAAVAASRRFLCTTTADTTLFPTHLLALPPVVPSPAADELARLLLSHHNPFHPAESTLQLLSGGGVSLSQSLLVQILLRLRGASKLALSLLNSARLHTSPSVSSPPNADAYDAVVDALGRAHQFDAAWRLVVEATADGAATSRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAGEFTTLLDTLCKYKYPKVATEVFNKRKYKYEPNEKMYTILIYGWCKVNRNDMSQKFLKDMIDHGIEPNIVTYNILLNGVCRHASLHPDNRFDRTVRAAEDLLKEMRDRGIEPDVTSYSIVLHVYSRAHKAELCLCMFRSMKDRGICPTVATYTSVIKCLVSCGRLEDAERLLHEMASEGVCPSPATYNCFFKEYRGRKHVIGALELYKKMKAPASPIAPDIHSYHILLGMFVKLNQHGTVTELWNDMCKSTIGPDLDSYTLLIHGFCENEKWREACQFFMEMIEKGFLPQKITFEILYRGLIQADMLRTWRRLKKRVDEEAARFGDEYKLYHIKPYKR* >BrasyJ027200.1.p pacid=40072118 transcript=BrasyJ027200.1 locus=BrasyJ027200 ID=BrasyJ027200.1.v1.1 annot-version=v1.1 MAGLKALGYEFDNNEFHANVHGTLPYGNLRPDPVLRTLLLSIPQRKIIFTNSDKVHAEEILRRLGLEDCFEGVICFETLNPPAAPSNGLSKSQDCMLFSGEPSSDLDDLNGSDLRPKSPILCKPTIESMEAAIRITNVDPKKTIFFDDSTRNIASGKAAGFHTVIVGRSAVVRGADHALESIHNIKEALPELWEGHDSSESDAVLASAAVETTVVA* >BrasyJ027300.1.p pacid=40072119 transcript=BrasyJ027300.1 locus=BrasyJ027300 ID=BrasyJ027300.1.v1.1 annot-version=v1.1 MNQLIFSVDERGTRMTVVQYFMERYNYKLQYRSWPCLQSGSDSRPVYLPMEACKIVEGQMYSKKLNDKQVTNILRATCQRPQQREQIISEMVLDNKYAEDKFAQEFGIKVCSDLVSVPAHVLPPPMLRYQETGREKTCAPSVGQWNMINKKMINGGTIEKWACISFSRMRPEELHKFCCDLVQMCNATGMAFCPRPLLDIRTATPNNIENALRAVNRRTSEMIGEEKKGKLPEHSGSYGKIKKANETDPWIVSQCCLPRHASRPNKQYLENIALKINVKVFLKILDFGIKQMSFPQNTSIEDVVFAGFFELGISLFDFTVKHNGIPLFQLQNTLANLNISTDDTICISCRLRGGNLSTNGILLWTHVCALQDELFDVLTFPNGVTSPGMDLYFVSLGLKAIDFTTMIFDLLESYHSNNECVGFFGLDDIIYHPCSKKVSFLPEVGTVPWCIDGYYKNMNCVGNVISSIFLFDENKVLSRTAGIHLKPSDLGDANVCGKLPKFVELLVFDLKEGIRTYPGAGTTAEKRQYFKHHVGTKTAAARLSFVLSFDLEVEYLGGENHIVSYVGSGLMTKSWSNTARAIPLLSGTFQHRNYSRFHVGAQWSCVRNWLTHASKNTNGKVTLKQAEAFIMLVFPVFLYQVILKMTFPGAVFVWNVDPRPSASQQLVSVTPQATPAAPSAQQSQLVHALPARQPKKQWVPRTSTSSQSQSVAPPKKLVINNKFAVKDLHLS* >BrasyJ027000.1.p pacid=40072120 transcript=BrasyJ027000.1 locus=BrasyJ027000 ID=BrasyJ027000.1.v1.1 annot-version=v1.1 MSISRIPNDCLLNCFVHTDYTQYTAAADDQGLITLEQQPRLILRCGDKKAAPARIYSQEEAEDLLHGMEIGIYRSAAPLSLSCLSIPRCSQYTSILAVDKNVMVLDSSSHDNRNCYFVYDSVQGSLRMIPLPEDSSYRPVVSSRILIARHGGSSSSYALVLPRKLAIDIGRERDVLLIWRPAPSSEMTNPRTSWSESKKARFPELVNGRGYEADVVFSSNGYAYWADLLRGAMYCSCKDILDDATNGAAVVECGFLHLPSTSNLKAGSCSTRQGRWSCRVSQPETYRTMGVAQDSLTFVSINGFHEHVDLKDRTVTVWRLQSGQGQGQHEWDMEHQLSLETLWGLDGFGDLPKDLTPMFPMLSKEDKDVLYIALGEYSEKLHKWKFVTGYLRYLLAVDLRNKVVLGASRLTEWCCQELEDDAGGDGLPSSLLLSWQLIRHLASEESMDTAVIPHH* >BrasyJ027100.1.p pacid=40072121 transcript=BrasyJ027100.1 locus=BrasyJ027100 ID=BrasyJ027100.1.v1.1 annot-version=v1.1 MCHEAALLLSLPAPPAPAEQLPTSAVMTVDALISQVVRPCQSSLLGTQLATPPPAIATRRSGRLGEKNKSCKIPTTKRAEAKLLESFGEAASLAKDPESVATSKMAAYLRMYSEPLTVKVIDAMRVLAGVKDKAKIDLSAMGFTAADLDALGKEVSVI* >BrasyJ113700.1.p pacid=40072122 transcript=BrasyJ113700.1 locus=BrasyJ113700 ID=BrasyJ113700.1.v1.1 annot-version=v1.1 MASSFAFGSSGAAGSTASPFSFSTAPSAFSSSPAPAFGSSPAPAFGSYPFPSSAAASSTPTFGSSLFGSAPASAAAPTTASSPSPFGFGSTGFGIAQPASSSASTLFGAPAASAAATTSSLFGSATTNPSPFGAPAASAAATTPGLFGTTSTAVTPGLFGATPAASTTPSIFGAASSAASTPSLFSTPATGFGFGSSASGATTATTAAPTPSFGFGINSAAAPSSTAATSAPAPGFGAPTGSALFGSTPAAPLFGNIAASSPATTATTVPSVGFSLPAATTASAPSFGFTPSSGSTTTGSTTPSLFSSAPSASAFSIPNNASAAPTTPASAPTSGFSLATSQAASAPSLFSNTGAAASSSASSLSFPFGASVATPTPTFASVSATSASTVPPTTAASTTSGSLFSVPAPASSSSGFSFTVPPSSSSSAAATTTITTASTSATSTTTSQATSSALQASSTGPTTTAITPAASQAPKLPSEIVGKCVEEIIRDWNNGLQDRTAKFRKHATAISEWDRRILQNRNVLIRLEADVAKVVETQTSLERQLELIETHQREVDKALQSMEEEAEQIFQDERVLLREDEAASARDTMYEQAEIVENELQHMTEQVKSIIQTLNSTQGGELEATDSMTPFDVAVRILDNQLRSLMWIDEKANEFSNRIQRLPNNSAAAERDSGIPRFWLN* >BrasyJ113600.1.p pacid=40072123 transcript=BrasyJ113600.1 locus=BrasyJ113600 ID=BrasyJ113600.1.v1.1 annot-version=v1.1 MAASLWRAVMGAGAPSADVDTTGGVEFWRAPERVGWLDKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRASVPRGVIPVATCLTAKGAEDVLNRKFAFELSTQRETMYFVADSEKEKEEWINSIGRSIVQHSLSATDDEILDYDSGRPTTGDKS* >BrasyJ108800.1.p pacid=40072124 transcript=BrasyJ108800.1 locus=BrasyJ108800 ID=BrasyJ108800.1.v1.1 annot-version=v1.1 MGIRSSKKRKTRSRTTADPPPCRRRKVIDVHDRLPAPARDQWRDWSALPDDVLWLILGRIPQADILPGAGLACLAWRRAAVHEPLLWRCIDLAGKEDDGDEDKGAPAGWQAMARAAVARSAGLRAAASPSAAPAPLLRSLRVTSRFDTTTRDEKEKLMAAMKKLHLLERLVLSRGVVELSWFVALLDHCPRLELIDAGGCVASGRTRSARATLASRIRDVRLPRRLVGLLLSLRIILPPPPPAARDR* >BrasyJ108900.1.p pacid=40072125 transcript=BrasyJ108900.1 locus=BrasyJ108900 ID=BrasyJ108900.1.v1.1 annot-version=v1.1 MFRRTSSDPPSCRRRKVVDVHRLPLVEWRDWAALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVRATLESRIKIVRLPRRRVGYVLSVRNIPPPPPPPPPPPPARYRRPHRRPGRRPRRLPARRGVGRRGNDDWYRWLGKAG* >BrasyJ109100.1.p pacid=40072126 transcript=BrasyJ109100.1 locus=BrasyJ109100 ID=BrasyJ109100.1.v1.1 annot-version=v1.1 MGIRSKKRKTRSRTIAARPSCRRRRRKVVGMHDLLPAQGEWRDWAALPHDVLWLILSRIPQADILLGAELACSAWRQLAVHEPLLWRHIDLADGEEDDESDGPKAWRRMARAAVDRSAGRCESFRGRVDGGFLIYLAHRAPLLRSLHMTCRFDITLEGKLMAGIKKLQQLQQLVLSAGRIEEPSSSLVALLDHCPRLQLLDASGCRTYNLISDTARARLESRIKHLRLPCHSIFCRRLLMCYSSTKIRRSGQGTHSVRSKVIEGTEGVLSTE* >BrasyJ108200.1.p pacid=40072127 transcript=BrasyJ108200.1 locus=BrasyJ108200 ID=BrasyJ108200.1.v1.1 annot-version=v1.1 MGIRSKKRKTRSRTIAARPSCRRRRRKVVGMHDLLPAQGEWRDWAALPHDVLWLILSRIPQADILLGAELACSAWRQLAVHEPLLWRHIDLADGEEDDESDGPKAWRRMARAAVDRSAGRCESFRGRVDGGFLIYLAHRAPLLRSLHMTCRFDITLEGKLMAGIKKLQQLQQLVLSAGRIEEPSSSLVALLDHCPRLQLLDASGCRTYNLISDTARARLESRIKHLRLPCHSIFCRRLLMCYSSTKIRRSGQGTHSVRSKVIEGTEGVLSTE* >BrasyJ108400.1.p pacid=40072128 transcript=BrasyJ108400.1 locus=BrasyJ108400 ID=BrasyJ108400.1.v1.1 annot-version=v1.1 MFRRTSSDPPSCRRRKVVDVHRLPLVEWRDWAALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVRATLESRIKIVRLPRRRVGYVLSVRNIPPPPPPPPPPPPARYRRPHRRPGRRPRRLPARRGVGRRGNDDWYRWLGKAG* >BrasyJ108600.1.p pacid=40072129 transcript=BrasyJ108600.1 locus=BrasyJ108600 ID=BrasyJ108600.1.v1.1 annot-version=v1.1 MHDLLPAQGEWRDWAALPHDVLWLILSRIPQADILLGAELACSAWRQLADDESDGPKAWRRMARAAVDRSAGRCESFRGRVDGGFLIYLAHRAPLLRSLHMTCRFDITLEGKLMAGIKKLQQLQQLVLSAGRIEEPSSSLVALLDHCPRLQLLDASGCRTYNLISDTARARLGEQDQASPAAVPQHLLPQAIDVLLVDQNTEIRAGTHSVRSKVIEGTEGVLSTE* >BrasyJ109400.1.p pacid=40072130 transcript=BrasyJ109400.1 locus=BrasyJ109400 ID=BrasyJ109400.1.v1.1 annot-version=v1.1 MGIRSNSRRSGAHASSFPPPPPPPQRRIHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRAAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPRLRLLDAGGCRTSRAIGKRFVERCKGSIKELRMPRFGGGRCGCCTRYAQRYADQHDE* >BrasyJ109200.1.p pacid=40072131 transcript=BrasyJ109200.1 locus=BrasyJ109200 ID=BrasyJ109200.1.v1.1 annot-version=v1.1 MPPPQGHRRARSSSSSSADQWRDWSALPDDVLWLILGRIPQADILPGAGLACLAWRRAAVHEPLLWRCIDLAGKEDDGDEDKGAPAGWQAMARAAVARSAGLRAAASPSAAPSTPISCSTSPTAPFGGSAPLLRSLRVTSRFDTTTRDEKEKLMAAMKKLHLLERLVLSRGVVELSWFVALLDHCPRLELIDAGGCVASGRTRSARATLASRIRDVRLPRRLVGLLLSLRIILPPPPPAARDR* >BrasyJ109000.1.p pacid=40072132 transcript=BrasyJ109000.1 locus=BrasyJ109000 ID=BrasyJ109000.1.v1.1 annot-version=v1.1 PTAADQEPTPPPSRRRRRRRNDAVHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPRLRLLDAGGCRTSRAIGKRFVERCKGSIKELRMPRFGGGRCGCCTRYAQRYADQHDE* >BrasyJ109300.1.p pacid=40072133 transcript=BrasyJ109300.1 locus=BrasyJ109300 ID=BrasyJ109300.1.v1.1 annot-version=v1.1 MFRRTSSDPPSCRRRKVVDVHRLPLVEWRDWAALPQDVLWLILSRIPQADILRGAGLACSSWRRLAVHEPFLWRRIGLADEEEDDDEDEDDDGDKGAPEGWQATARAAVQRSAGRCESFRGRVDGDFLLYLADSAPLLRSLHVTCRFGTTREEEEKLMAAMKKFHLLERLVLSEGVVEVPSLVDLLDHCPRLELLDAGGCHASDPIRSTVRATLESRIKIVRLPRRRVGYVLSVRNIPPPPPPPPPPPPARYRRPHRRPGRRPRRLPARRGVGRRGNDDWYRWLGKAG* >BrasyJ108500.1.p pacid=40072134 transcript=BrasyJ108500.1 locus=BrasyJ108500 ID=BrasyJ108500.1.v1.1 annot-version=v1.1 MGIRSNSRRSGAHASSFPPPPPPPQRRIHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPREATVPALPESHEETSMEAPESHGETTQRDP* >BrasyJ108300.1.p pacid=40072135 transcript=BrasyJ108300.1 locus=BrasyJ108300 ID=BrasyJ108300.1.v1.1 annot-version=v1.1 MGIRSSKKRKTRSRTTADPPPCRRRKVIDVHDRLPAPARDQWRDWSALPDDVLWLILGRIPQADILPGAGLACLAWRRAAVHEPLLWRCIDLAGKEDDGDEDKGAPAGWQAMARAAVARSAGLRAAASPSAAPSTPISCSTSPTAPFGGSAPLLRSLRVTSRFDTTTRDEKEKLMAAMKKLHLLERLVLSRGVVELSWFVALLDHCPRLELIDAGGCVASGRTRSARATLASRIRDVRLPRRLVGLLLSLRIILPPPPPAARDR* >BrasyJ108700.1.p pacid=40072136 transcript=BrasyJ108700.1 locus=BrasyJ108700 ID=BrasyJ108700.1.v1.1 annot-version=v1.1 MGIRSNSRRSGAHASSFPPPPPPPQRRIHPPSPAEPRDWASLPHDVMCVILRRVPQIDILRGAGLACASWRRAAAHEPQIWRHIDLAGSRKAALRAWRALARAAVDRSAGRCESFRGPVDGNFLLYLSDRSPSLRSLHITRWSKYMWDDEEREELFARVVEKLPLLEQLVQKGRGGVFGKGQISALLQHCPREATVPALPESHEETSMEAPESHGETTQRDP* >BrasyJ017700.1.p pacid=40072137 transcript=BrasyJ017700.1 locus=BrasyJ017700 ID=BrasyJ017700.1.v1.1 annot-version=v1.1 MAETLALAPVQDPEAPLDAAAIRSRFEQLQMLRGAGDEEPVDDEDAVLGLRSGWEVDLQEVDVWDSSAAALGGDSLEAYIGWLRNEASLAEEENCKLSKEISATGETVFTDTILLDADIQALERSLVTTESEGLEHSEASSITGLSVSTDSGRNQTNVEDYKYEVLELDYQIGKSETDLKLLELQSTSMQRDEAMWELQSMLSGYNVLECNGNCLRVLLKAPILTPDCVIYGQKMDCVADSFVSDHELLIEVDEGSMEVKKVQIFPSDICVDILFEKLKSSREIISVPSLGWLIRQCQHHIIINTLRRSLVNDANNSRHSFEYLEKDETIVAHLVGAIDVFIKITADWPLSSYGLKLISIRNSGTQPTDISLNLLCKTKEHANGLELQTRQHLVRFVDTVDEILVRERQSELQASRGSG* >BrasyJ017600.1.p pacid=40072138 transcript=BrasyJ017600.1 locus=BrasyJ017600 ID=BrasyJ017600.1.v1.1 annot-version=v1.1 MMLGQCTNHVFLTEGSSNVLHCPSSRGTASRSRGTVRFHRCCVRANLWRTDHLHVNIARSEVMVHDVLQVSHVLNSINNWSKLQLVTVTGLVACVVLVVPSAGATDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNDCAVSRKKCVPKKSDVGEFPVPDPSALVKNFNIADFTGKWYISSGLNPTFDTFDCQLHEFHVEGDRLVANLAWRIRTPDTGFFTRGAVQRFVQDPSQPAILYNHDNEYLHYQDDWYILSSKIENKEDDYIFVYYRGRNDAWDGYGGAVVYTRSKELPETIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVDRIEKTVEKGEKIIVNEVKEIEGELEGEVEELEKEEETLVKRLADGLTEVKQDVMNFFQGLSKEEMELLDQLDLEATQVEELFSRSLPIRKLR* >BrasyJ017800.1.p pacid=40072139 transcript=BrasyJ017800.1 locus=BrasyJ017800 ID=BrasyJ017800.1.v1.1 annot-version=v1.1 MAFRACLPSHRASPSPSVPQRRANNGPPPAVAMASTINEVKTAKKPYAPPREVQLQIMHSLPAQKQEIFDSLQSWARDNLLNLLKPVEKSWQPQDFLPDPSSEGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYMYLSGRVDMRQIEKTIQYLIGSGMDPGTENNPYMGFLYTSFQERATFISHGNTARHAKQFGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGEDDNLFEHFSSVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDYVCTLATRFRRLDERAQARAKQGPAISFSWVYDRKVQL* >BrasyJ017500.1.p pacid=40072140 transcript=BrasyJ017500.1 locus=BrasyJ017500 ID=BrasyJ017500.1.v1.1 annot-version=v1.1 MYLVKQQPRIPTFGDWENSEDTPYTQKFEGARKNKKTGIYSNPNDPGHQPEPPRRSPLNPSSYTPDAREQGPRNPPHGRRSETDPHNREPAPRRHSTTQREQGGNTSTPRSPYRTAAGSASPMQPNNTSKPKHRAAGGQTPERRASSDVHGQHTPGRSRMRQGYQGYNAEEEVAVPPFGAWDEANAASGEKFTGIFNRVRDDKLSPNSSARQSSNANHGQENKVQQTCPCCIL* >BrasyJ000600.1.p pacid=40072141 transcript=BrasyJ000600.1 locus=BrasyJ000600 ID=BrasyJ000600.1.v1.1 annot-version=v1.1 MLEQSASLSEFEDHIRCSEDIKAILLSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVSLKVDDLKDLICQPGSIKVILDTSELNSVLSDVEEWERNSLTLLSNLRTLLHFNVIGSTVDPLERNLEGLRNKFSGEIEIGLSRGFELKVLNELKDSLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLCDSEKSIKPKLEKVENILAEHQEIDVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCREMVMVVLGIRKIDGWFNQCRSTLSPDGNVNGSLLSMLLQISRSLSRAISLGHFNVRGTKLKLIR* >BrasyJ000500.1.p pacid=40072142 transcript=BrasyJ000500.1 locus=BrasyJ000500 ID=BrasyJ000500.1.v1.1 annot-version=v1.1 MQLVIAFFPLSEDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRTNGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSIMPPRSNPNFIGSEEDPMCIICQQYLNLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKASLSGENIKQSFFLLNDGPMPSKKVKDQYISYAQLAEDWLSKSDHILHMPFLDTAYSTALEAAEQFLWGDHDMDSVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDGSEKVNYVEIKELVAVRCKPSCDPSLKQLQAYAEKGKMMISEINIALSSLSTVEHLETLYSRASEFPVELTETSTLSYEISFANSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKQWNEAESLRMRCQSYLQDPPVLKELESFLLAFNGTKFSIPELNLLKQHFSDASSWASHVNNILGKLFERNDYHNIVEELTGILKDGKSLRVKVDELPIVEKELRRSFCRKQASEINY* >BrasyJ000700.1.p pacid=40072143 transcript=BrasyJ000700.1 locus=BrasyJ000700 ID=BrasyJ000700.1.v1.1 annot-version=v1.1 MREKIRNREGESERTERGREDTPAAGGFGGGGSGAGGLGGGGSDGGRPRALRKRRLGRRGSGGAGARALHNRWHGGSGAAEAAMAEDGRIRGAVDDDRRIRSGERWREAAAEDDDDGGGVCATTTAVDCGKPWPPARRPAIHPAAFQLEPRPIGFFSGRSSAINNPLTVVSSKKELISKRKLELSGGDFCQPICC* >BrasyJ000300.1.p pacid=40072144 transcript=BrasyJ000300.1 locus=BrasyJ000300 ID=BrasyJ000300.1.v1.1 annot-version=v1.1 MSNKRQHQQLDGGRAVRRPRPAPKKHLYVVLDDWDKGFSIHKIDADTLQDTCTTDLQAGFPDHAVLRLPAPVHGLHMGFAALGSNILIATNARCLQTPALVYDTETAGISVGPRLPLSLLGNDTITIAAGGTLYALTRHHINEQHHFRAISWAPVENDDDEPWDPRPAMRWSWKSVPSPPTFDMEDHEITSYALHPDGHTIFISAHERRYPYLPSGTFSFDTKHSEWRCHGEWTLPFQGQGYFDNELDAWVGLRKDGYICSCQVASRSNTSTVEPEWKMVKEKLFLKVPERQLKSARATLAYMGNSNFCLVEFLLREGVEFKYAFGDRDGCVLQMSMFGLKYDRKGELQIMRHRTNSFVVSKHLLTVSPVVFWM* >BrasyJ000200.1.p pacid=40072145 transcript=BrasyJ000200.1 locus=BrasyJ000200 ID=BrasyJ000200.1.v1.1 annot-version=v1.1 MEASRWYWSPPVLTRMCCYLGHVHNDELYAKVVRLALVLIQQSHQ* >BrasyJ000400.1.p pacid=40072146 transcript=BrasyJ000400.1 locus=BrasyJ000400 ID=BrasyJ000400.1.v1.1 annot-version=v1.1 MIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVPLAALGNTEVVSLMATAVEHLVTGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVVDQGFDNPANVELALDYLQKSRGIERKSLHKNMLTLRSRP* >BrasyJ069100.1.p pacid=40072147 transcript=BrasyJ069100.1 locus=BrasyJ069100 ID=BrasyJ069100.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVHIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYLPRGFITCENKFVTLPGLPEEKVASDSYDFCNFMTSQEYMVANMRAEVKHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPCQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ071800.1.p pacid=40072148 transcript=BrasyJ071800.1 locus=BrasyJ071800 ID=BrasyJ071800.1.v1.1 annot-version=v1.1 MKTTDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQPAIIAKTQKENTPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNENLTGLDVPQSQHSRLVIP* >BrasyJ071600.1.p pacid=40072149 transcript=BrasyJ071600.1 locus=BrasyJ071600 ID=BrasyJ071600.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYHDFNQEYYRAVVRIHQDMPSDRFPVHKALAIQQVAYMCVTLLRTKYERLDKGPFKYLPRGFITCKNKFFTLPGMPEEKVPDDSYDFCNFVTSQEYMVANMREEIEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELAKVFQVEGFTPARGPPRVFVSTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ071700.1.p pacid=40072150 transcript=BrasyJ071700.1 locus=BrasyJ071700 ID=BrasyJ071700.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGLSTLVQKLSHLPFFLNITAHRRTIAEGNEIEECLQQSWIYAYN* >BrasyJ071900.1.p pacid=40072151 transcript=BrasyJ071900.1 locus=BrasyJ071900 ID=BrasyJ071900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELTIQQVAYMCVTLLRTKYERLNSGTFKYLPRGFITCENKFFTLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPHQFLYGEQKGDAYVFPYSPQLLPRF* >BrasyJ017900.1.p pacid=40072152 transcript=BrasyJ017900.1 locus=BrasyJ017900 ID=BrasyJ017900.1.v1.1 annot-version=v1.1 MSGVWVFKDGIVRRVEKDNPAGSSSNSGSMGRPKVLVHVPSGEVVLSYDILERRLQELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRFKLVHMYDIVVKTRNVFEVRDA* >BrasyJ017900.2.p pacid=40072153 transcript=BrasyJ017900.2 locus=BrasyJ017900 ID=BrasyJ017900.2.v1.1 annot-version=v1.1 MSGVWVFKDGIVRRVEKDNPAGSSSNSGSMGRPKVLVHVPSGEVVLSYDILERRLQELGWERFKLVHMYDIVVKTRNVFEVRDA* >BrasyJ018400.1.p pacid=40072154 transcript=BrasyJ018400.1 locus=BrasyJ018400 ID=BrasyJ018400.1.v1.1 annot-version=v1.1 MEHDAEMASPISSDMVTAADDWAARDDFEDSPAESHAHPQAGAGAAAAVTEDEAREAAPPAPPSEDANGIQSTLQSLELQPSDAPHNRVQIEAEERNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLIVVINKMDDPTVGWSKERYDEIEGKMTPFLKSSGYNVKKDVQFLPISGLLGSNMKTRLDKSICSWWNGPCLFELMDCIEVPLRDPKGPVRMPIMDKYKDMGTVVMGKIESGTISEGDNLVIMPNKANVKVISVYCDEDKVRSASPGENVRVKLSGIEEEDIAAGFVLSNIGNPVGAVSEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKKKKESDPKKRKPKKKPLFVKNGAVVVCRIQVSNLICIENFSDSPQLGRFTLRTEGKTIAVGKVVAVPPTGSSTFKA* >BrasyJ018200.1.p pacid=40072155 transcript=BrasyJ018200.1 locus=BrasyJ018200 ID=BrasyJ018200.1.v1.1 annot-version=v1.1 MQSIWRAVCPYQYSKLDYQQKDRVWSDFTSRIVQSIWRAAFSGLPPRLKEITQISSQNKDGWHPLWAFISPEIFHGLSPVEKTRVWQEFITGQQKLETDKDDKPPEKREVDSEDDDGIEKVANEIVQLLNEGKWKPIRGDMVKCPFCNRKLNPRFLSILQHAESYKPDRPNAGDKRGTHRGLMRFMRANVPPNLQAMMAARDQKLGINYIPGAHFMCLSTTLMAKLFFAILNLPFFRFFVSIFLLLFFCFPLVFPRLERMFSYLEMKFNSPPAVRLKQISIQQSTCCSFKTNFNSTVHLLFV* >BrasyJ018300.1.p pacid=40072156 transcript=BrasyJ018300.1 locus=BrasyJ018300 ID=BrasyJ018300.1.v1.1 annot-version=v1.1 MVLSFPSKLQESQVGKFRANAAAGWLVPANMLVNSEPTLRLHVISPRHMWLNSVCLLPEIVFSIFQ* >BrasyJ018000.1.p pacid=40072157 transcript=BrasyJ018000.1 locus=BrasyJ018000 ID=BrasyJ018000.1.v1.1 annot-version=v1.1 MHSLPYAVPEEVVVAPAEEAAEGVASAAADSSTHTPPSLPYRLQLLPTAAPPLPAVAQPGSGEAGPQRWLRSAPLPLPYFLSLVVELQQRQAAHGAAAEASAAAAAGCGLGERKRRSRGRLGEQQRRARRVAAATRGSRRGAAAARGACGEQQPAARGSRRRAASSGQRRSVYPLCVAARGSGSAGPAASGGTAGQGLRASSEQIGIIIHRPDLPAYRSRAAAAHPCVRRNPVMDRTGWVEWLVDGGKSYLRVAPPQVLACCAVDGRASRKLDMLRRRELCIDSSVSLAVSRLGSGGVPRELGACSSNVSGQQTSQGSMLPPMGLLDVVPNT* >BrasyJ018100.1.p pacid=40072158 transcript=BrasyJ018100.1 locus=BrasyJ018100 ID=BrasyJ018100.1.v1.1 annot-version=v1.1 MSVSLHVVMGFDNDKTLLIHTVKLAVRISWEVLPTEIMTKNSATMSEIIGKKEATGLLPMDVVLLLMRGSEEDMIEGETVALVDGTLNNMTVQPVVMVMSLMTRMVFHIVVLLPTEMMVVTSVVTKMIETTPQESSCKFPSSREKKMQMLILIGKNNVIRFFASTIFMIQRG* >BrasyJ018500.1.p pacid=40072159 transcript=BrasyJ018500.1 locus=BrasyJ018500 ID=BrasyJ018500.1.v1.1 annot-version=v1.1 MDEAEVDANTGPRLASLGKSATSVPSPPLKKALKLVIITRPWKRWVLCPEASVALAISMPYRFCFLHSWSLMES* >BrasyJ036400.1.p pacid=40072160 transcript=BrasyJ036400.1 locus=BrasyJ036400 ID=BrasyJ036400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNTGPFKYIPRGFISCENKFFTLPGLPEEKVANDSYDFCNFATSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYQNEVRYPPRPPAPELTKVFQVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYPPQLLPRF* >BrasyJ023900.1.p pacid=40072161 transcript=BrasyJ023900.1 locus=BrasyJ023900 ID=BrasyJ023900.1.v1.1 annot-version=v1.1 MRELAFGAKLHKFEYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ036700.1.p pacid=40072162 transcript=BrasyJ036700.1 locus=BrasyJ036700 ID=BrasyJ036700.1.v1.1 annot-version=v1.1 MDKLVQFGRKAWFVVRVLSGYEERRIRSYRLQLHKRLKMAQARKEEVRKQPEQIILSEVRQVVQQMQTLNQHLEEAETAIDEYFKPIDKNAQIITDMQMEKEEKQMKEMAKVMQEQIKMQREIAMRRAEAAAVEPIDTQASEATVENPPKQETVK* >BrasyJ036600.1.p pacid=40072163 transcript=BrasyJ036600.1 locus=BrasyJ036600 ID=BrasyJ036600.1.v1.1 annot-version=v1.1 MHSNSRLISLFPHRIARRRSHPSRNSRFSLGTSTTIVSKSSVLIALPERSSSTRLANPRMNKFSKCVQPSREILETLISFLSAVVDGRRCFGGSSTVGVHWDNGP* >BrasyJ036800.1.p pacid=40072164 transcript=BrasyJ036800.1 locus=BrasyJ036800 ID=BrasyJ036800.1.v1.1 annot-version=v1.1 MSMVNPPTIIAAHGHSVLFEARVPHVDQRCPDFYPIDYFVYTACRSAKSRRSGGSHLASREGEWTTKLTTSSQPYRLQQQRGMSSGNIGLLCCGDEEFTVAELSSSGELCLLHHAPGEGNEAKDWDIKALQMPYGEGIPDFFGSSWQTDVVIPFGGSYLCWVDYYLGLLFVSVGGEDTNKPYYVPMPAFLESRRLYIDAGAPDLARRVCVTDSGVIKLICISDRAGRSLHDRSCSNFKITSWNLIKRKWNKDATMEASDFWAVIDADKRLPHLRPEFPTMSLVDPDVVCFVLNENYRIYWLIEVNLKKKVLGLVTCISAMMKKVSLLRFPAATGISMKKKMKCALTT* >BrasyJ036500.1.p pacid=40072165 transcript=BrasyJ036500.1 locus=BrasyJ036500 ID=BrasyJ036500.1.v1.1 annot-version=v1.1 MVYRRHRCWWRHRVDNLQNTDNEKVMYFHGWSGFGASAVLRSIADVLSSRRTAPLLCFDRIIHIDCSVWKNRRAMQRAIAEELKLDRSVMAILDEEDEDDDFYGVLETSRNEVLGVSRAIYQTLKDIKFVLIFHNGSDEEIDLNGCGVPSFSKFGNNFLIWTFGRRFLTIYKRP* >BrasyJ063100.1.p pacid=40072166 transcript=BrasyJ063100.1 locus=BrasyJ063100 ID=BrasyJ063100.1.v1.1 annot-version=v1.1 MEHCRSPRTPPWDAVPPPRTGAVGERRRPGLLLRFQPPPPCPPRGKAHGDDFVSPDPASPSLDAISHLSSRLPLSSRAPIGPRGKRAMIAGLRQWSPVPPARSRPPPRSSLPASSLGSSPSTDKERPEEFIRKFRGLLAPRCPLPPLGRAQAR* >BrasyJ063000.1.p pacid=40072167 transcript=BrasyJ063000.1 locus=BrasyJ063000 ID=BrasyJ063000.1.v1.1 annot-version=v1.1 MRSRPPGQPLPIDGGGEPATQRQDGLWRRRGLCCWRGFGGQPAPSMRRRTPPPLHSSVRQRRGEFILGGLSLQISIQLSNAGMCSGLSNAGCQGRTGGVSSNKQEDRTSEIFVNSLAFSKDTEGASRKCVSLSDFGN* >BrasyJ079200.1.p pacid=40072168 transcript=BrasyJ079200.1 locus=BrasyJ079200 ID=BrasyJ079200.1.v1.1 annot-version=v1.1 MDVEAPKEPLPVGAARGSSSNNKAMHWLMVALNCGMLTLGTTGGPLLSRLYYSKGGHRQWLSAWLETGGWPLLLLPVSFSYLARRARDGPGAPLVLTRPRTLMAAAALGLATGADDFIYAYGLSYLPVSTSAILISTQLAFTVFFAFLVVRQRLTAFSVNAVALLTVGAVVLGLHASSDRPAGVTRGQYWLGFFLSLGAAALYGLVLPLIELAYKHAAGGGREVTYALVLEMQLVMGFFATAFCTVGMVVNNDFQAISREARAFELGEARYYVVLVSCAVLWQFFFLGAVGVIFCVHTLFAGILIAVFIPVTEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYSDAKAKKKKAALQAQDP* >BrasyJ010700.1.p pacid=40072169 transcript=BrasyJ010700.1 locus=BrasyJ010700 ID=BrasyJ010700.1.v1.1 annot-version=v1.1 MPVSGDTASAIVRSLGGPALPAEWRAPLGLGEDVGGIGPGPTLVNFTYQEDRKMATIKDIFATIEGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDVARRFGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLGDLHSKAVAYLNVDCAVQGMGLFAGSTPQLDKLLVDVTKQVKDPDVEGKTVHDTWSTTNGGINIERLARTDSDFAPFLHHAGIPCLDFYYGKEFPGYHTALDSYVWMEKHGDPFFHRHLAITEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFVAVMNNNQSVNFINGVINALSGAATEVQKEAKELKQLDTRDGHTLMRRRLLNDRLLLAERSFLQAEGLQGRAWFRHLLYSPPEDYESELSFFLGSRTPSLGRGTRARSNGRRRCGTRCGGSPWPSRGPQVCLEVDLANKMNHST* >BrasyJ010800.1.p pacid=40072170 transcript=BrasyJ010800.1 locus=BrasyJ010800 ID=BrasyJ010800.1.v1.1 annot-version=v1.1 MSASLDTEGNPRRCAACKYLRRRCARDCVLAPHFPPRIPARFFGAGNVARMLQQLPSEERRAAADAMVVEASRRAQDPVYGCAGVIHRLQEEIRAVECELARTRAQIAMHQATTTTSRLPDLRDDDQQMPSVELLHGFLSG* >BrasyJ015200.1.p pacid=40072171 transcript=BrasyJ015200.1 locus=BrasyJ015200 ID=BrasyJ015200.1.v1.1 annot-version=v1.1 MGMGQDSPIVLSSDSKEGEPDQGFKKVKEDREDLKEPPNWLPDGWIMEVQRGEDGCFYQYFISPVTDVRFRMKAEVLNYLFSGMEERFLETKKCAASNTLPSTHLWLPKGWLIEIRAGGENMEKMFKFYIYPAMGIRLLSKEDVLLYANDMVVAACDTEGQCDTGSEDNVCILLTTQCNYILAQVELNPSPLPEGWVKEIVYRKTKKGIRKDPYLTDPVSHYVFRTLRSAMSYLQTRKVTSRSCIQKTSVHDLYNFDKCADLHKFLRKRLNNNWKTNNTPTRSSKSVRSSSPMENEDCNDQTSDRFDGGESSTNIGSPRDHQESKKKKEEGQ* >BrasyJ015200.2.p pacid=40072172 transcript=BrasyJ015200.2 locus=BrasyJ015200 ID=BrasyJ015200.2.v1.1 annot-version=v1.1 MGMGQDSPIVLSSDSKEGEPDQGFKKVKEDREDLKEPPNWLPDGWIMEVQRGEDGCFYQYFISPVTDVRFRMKAEVLNYLFSGMEERFLETKKCAASNTLPSTHLWLPKGWLIEIRAGGENMEKMFKFYIYPAMGIRLLSKEDVLLYANDMVVAACDTEGQCDTGSEDNILAQVELNPSPLPEGWVKEIVYRKTKKGIRKDPYLTDPVSHYVFRTLRSAMSYLQTRKVTSRSCIQKTSVHDLYNFDKCADLHKFLRKRLNNNWKTNNTPTRSSKSVRSSSPMENEDCNDQTSDRFDGGESSTNIGSPRDHQESKKKKEEGQ* >BrasyJ014700.1.p pacid=40072173 transcript=BrasyJ014700.1 locus=BrasyJ014700 ID=BrasyJ014700.1.v1.1 annot-version=v1.1 MDIQTGSFARFLALLATTCLLWNKASGFRSSGVSRAFATFYGGSDASGTMGGACGYGNLYSTGYGTSTAALSTVLFNDSAACGQCYRISCDYAADPRFCRRGTSVTVTATNLCPPNYALPNDDGGWCNPPRQHFDMAEPAWLDIGVYSGGIVPVLYQRVACAKRGGVRFAVSGHDYFELVLVSNVGGCGSIRAVSIKGSRAGRWMPMSRNWGVNWQSNALLSGQTLSFQVTSTDGQTITFPNVAPAGWGFGQTFQTSRQFS* >BrasyJ014800.1.p pacid=40072174 transcript=BrasyJ014800.1 locus=BrasyJ014800 ID=BrasyJ014800.1.v1.1 annot-version=v1.1 MEVTPNHTQTVSGWAATDESGKVAPFTFKRRENGVDDVTIKVEYCGMCHTDLHFVNNDWGITRYPVVPGHEITGVVTKVGTNVSGFKPGDRVGVGCISGSCLDCEHCARSEENYCDKVTLTYNGVFWDGSVTYGGYSGMFVAHKRFLVRIPDSLPLDAAAPLLCAGITVYSPMKQHGMLDQRGAGRRLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPAKEREARENLMADDFVLSTDQKQMQAMARSLDYVIDTVSASHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHGITCDIELVSTDRINDALARLARNDVRYRFVLDIGGSNARL* >BrasyJ015100.1.p pacid=40072175 transcript=BrasyJ015100.1 locus=BrasyJ015100 ID=BrasyJ015100.1.v1.1 annot-version=v1.1 MSYLLSYISKMMCIKIPLSEAKQDVDGAGSGGECCVCLSRIRAGEATRRLPCRHAFHRDCVDRWLALCKRTCPLCRVYVVDANSRQAAAKHSGEPLADDLVIWFSTMLVPGF* >BrasyJ014900.1.p pacid=40072176 transcript=BrasyJ014900.1 locus=BrasyJ014900 ID=BrasyJ014900.1.v1.1 annot-version=v1.1 MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGILDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIREIRRLQYARWKEECREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATANQNTSDGASTSSNSGNNVDDSADRITDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAQKKVSKSKLKGVRHFAKWDKDKDKDKDTKNVSEDGDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQAKKT* >BrasyJ014900.2.p pacid=40072177 transcript=BrasyJ014900.2 locus=BrasyJ014900 ID=BrasyJ014900.2.v1.1 annot-version=v1.1 MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGILDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIREIRRLQYARWKEECREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATANQNTSDGASTSSNSGNNVDDSADRITDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAQKKVSKSKLKGVRHFAKWDKDKDKDKDTKNVSEDGDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRVQAKKT* >BrasyJ014900.3.p pacid=40072178 transcript=BrasyJ014900.3 locus=BrasyJ014900 ID=BrasyJ014900.3.v1.1 annot-version=v1.1 MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGILDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIREIRRLQYARWKEECREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATANQNTSDGASTSSNSGNNVDDSADRITDKQIIDWKLTLHQIGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAQKKVSKSKLKGVRHFAKWDKDKDKDKDTKNVSEDGDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQAKKT* >BrasyJ014900.4.p pacid=40072179 transcript=BrasyJ014900.4 locus=BrasyJ014900 ID=BrasyJ014900.4.v1.1 annot-version=v1.1 MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGILDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIREIRRLQYARWKEECREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATANQNTSDGASTSSNSGNNVDDSADRITDKQIIDWKLTLHQIGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAQKKVSKSKLKGVRHFAKWDKDKDKDKDTKNVSEDGDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRVQAKKT* >BrasyJ015000.1.p pacid=40072180 transcript=BrasyJ015000.1 locus=BrasyJ015000 ID=BrasyJ015000.1.v1.1 annot-version=v1.1 MDIEAPIIVASASEGRWSQAGTTLPVRNVQALASSAGELTADKIERYIRPDIDSYEVLSEHSGEVPVIDLGKLLKPESAETEAAKLRFACEDWGFFQLVNHGIPDGVIANIKSDIQKFFQLPLDVKNAYAQRVGDLQGYGQAFILSDEQKLDWADMFGLFSQPPQARDMSYWPSQPPTFRNSIEEYSSELTKLAHSVVTFIAKTLDVDPELMADKHVGQFLRMNYYPPCTSTPEKVIGFSPHSDGSFITILLEINSVQGLQIRRSGAWIPVKPRADALLVNVGDFLEIMTNGKYKSIEHRVTINAHKERLSISAFQLPKYDGIVSPILGRTEEKVLYKTMRVEEYAKLYMSNKRDGKRTLDHAKVSPI* >BrasyJ006600.1.p pacid=40072181 transcript=BrasyJ006600.1 locus=BrasyJ006600 ID=BrasyJ006600.1.v1.1 annot-version=v1.1 MGKKKRNRRTYAEHAQGGGGEPHKKRNKPIEEAGGTDRSEGARASTQGSGLSAPAAASCTRREAEEHGGALDGATGRTRLCTHNTYINLSLVSPGYHRPREKPYMGRQDGHAQTDGADKLRRRRRGMFQLRPRRLNSQGGGGDGKPPVSTATTRMAPDTRPTISSYQANIFDGEEPHKAKERGGALREEPARQAAASAQGGAGFAGHGDPADHGSRTGGHLYKHAQDQPAINTNIHGTAEVTQTCIPTPTCTSGMALTTELARGQEVAINRHQHPWASPEPAVDSLPDAKELAT* >BrasyJ006400.1.p pacid=40072182 transcript=BrasyJ006400.1 locus=BrasyJ006400 ID=BrasyJ006400.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPYVICWIGGAEGLDRGAAGCRTEGQRETGQGGSANPRRSCGVLPGAVEGATQVSGGCCGVVAAEVLAGVRCRWGERRGGGREGCIGARGWEGRRRGRARGKGKIGVFFRVGSRRTLGASM* >Brasy5G213200.1.p pacid=40072183 transcript=Brasy5G213200.1 locus=Brasy5G213200 ID=Brasy5G213200.1.v1.1 annot-version=v1.1 MGTMTLPPGFRFHPTDDELVGYYLKRRVDNLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFVPRDRKYPNGSRTNRATTTGYWKATGKDRKVSCDGGAVCGVRKTLVFYRGRAPGGERTDWVMHEYRLCQDLSLHGASVFLGAYALCRVVKRSEAGLINGDAAAKAMVPAVAGKGARMSKVASSSSLVTTDHQQPSNGSSPFTPSPPRLDIISGGGGGGTESWRNMAPAPLCFPPQQEDAAFFVGGGGDLFPSAAGECDPFIFGDIGGGGGALIPENELRWDSFPCTNNAFPATHGFMPSSGAGELWNPAPPNAGGAPLLCRQASDGIDDLTAWFSPVDENMVVF* >Brasy5G072100.1.p pacid=40072184 transcript=Brasy5G072100.1 locus=Brasy5G072100 ID=Brasy5G072100.1.v1.1 annot-version=v1.1 MPAAPAAASWRRHASSTPRALLFLFPALILLLLVLSRAPDLTFSPTTPAAAPPRLLPAPFDCYASPQASPVFAGLVEGVARPFFYSLADMGSLPDRPHRNIARLLKGKRFRKPDISETIQQLLLGKDSGDGLVVDVGANVGMAAFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVQDKLVVYHAAASDRLGNITMHKVIGRLDNSAISATGAKLAFKSNEEIAVEVATIPLDEVISDTERVVMIKIDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDERLLQASNSSAQEIRAFLGNVGYNHCTRRGTDAHCTKE* >Brasy5G432300.1.p pacid=40072185 transcript=Brasy5G432300.1 locus=Brasy5G432300 ID=Brasy5G432300.1.v1.1 annot-version=v1.1 MSAARKLLLLPLLAAGRRSARPSPPLLFRRSYSADKAGESANRGKRSPVRRVLSIGVISLAGGVALSALNDLAIFHGCTRKAIEKATENREVVEAIGVPIVRGPWYDASVAVGHRRRSVLCTFPVSGPQGSGLFHVEAVRNGEDGMLSFLRHHDWEILVMDAHLEAPSDGDNQKTVIVNLTSSADRDYESTGGEFEGLNS* >Brasy5G432300.2.p pacid=40072186 transcript=Brasy5G432300.2 locus=Brasy5G432300 ID=Brasy5G432300.2.v1.1 annot-version=v1.1 MSAARKLLLLPLLAAGRRSARPSPPLLFRRSYSADKAGESANRGKRSPVRRVLSIGVISLAGGVALSALNDLAIFHGCTRKAIEKATENREVVEAIGVPIVRGPWYDASVAVGHRRRSVLCTFPVSGPQGSGLFHVEAVRNGVVQRMVCFRFCGTMIGRYLSWMLI* >Brasy5G496400.1.p pacid=40072187 transcript=Brasy5G496400.1 locus=Brasy5G496400 ID=Brasy5G496400.1.v1.1 annot-version=v1.1 MSPAWKAWLAGCFGGSETSNYHCQRLIDDILNNLVDDNAMSSINGGIWRSVVYRVPLQNQSKVEVVKKVQNESGNAVDACLHDHCQSEMNLLNSICHDNIISLADHIRRDNFILLIYDHEENGSLHHRLHSPALTQQAAEGEVLDWPTRRDIAIGVAEGLYYLHHGRKNPVVHHNINSTNILLDANLKPKIAGFDLARVSLAGQPVPISELAASNMFGYTAPEYLTTGATAMVDSYSYGVVLLELVTGRVASRPLVANEAIADDHLGIWAGIHCEHLMENAADFSDIVDKTIPDRARYLKEMAAMFKLGVDCTVEQPQ* >Brasy5G496400.2.p pacid=40072188 transcript=Brasy5G496400.2 locus=Brasy5G496400 ID=Brasy5G496400.2.v1.1 annot-version=v1.1 MSPAWKAWLAGCFGGSETSNYHCQRLIDDILNNLVDDNAMSSINGGIWRSVVYRVPLQNQSKVEVVKKVQNESGNAVDACLHDHCQSEMNLLNSICHDNIISLADHIRRDNFILLIYDHEENGSLHHRLHSPALTQQAAEGEVLDWPTRRDIAIGVAEGLYYLHHGRKNPVVHHNINSTNILLDANLKPKIAGFDLARVSLAGQPVPISELAASNMFGYTAPEYLTTGATAMVDSYSYGVVLLELVTGRVASRPLVANEAIADDHLGIWAGIHCEHLMENAADFSDIVDKTIPDRARYLKEMAAMFKLGVDCTVEQPQ* >Brasy5G119400.1.p pacid=40072189 transcript=Brasy5G119400.1 locus=Brasy5G119400 ID=Brasy5G119400.1.v1.1 annot-version=v1.1 MAKHPSSPSAASAAQMGPPPQQIADAKDLPAAADAVICSPPSPLAGFIFMCNGATKPECFRYRVLGLPRWRLDTVSRIKRGAGLFLYDFDARYLYGPYLADSDGGLALEPAAFQGRYPAQVKFTIHGDFMPIPDNSLRSAIKENYSRGKFTPELTFAQVEKLTSLFRPVTLLPESAPGHYVDDRHPASSVSYLPPLASHATQPAIDRHPAPSAAYLPPLASHPTQPAIDRHPPPPDAYLPSSASHPAQPAAYVHLPTSHVPPAAYSHLAPPTAQFNTHPYYVAPTGHLYQAGYEAYGPLPPTYQYLQDPPNHYFYAQAQHPMPEHAPAPVYSIDPYFTANRNDPYRYDAVKFNYQQSTSERTVYGAPHQVVLTNLQPVRHHGSAPSSEAAAAEAAATNLGLERSYGSIPSSATEGSTQPNA* >Brasy5G336900.1.p pacid=40072190 transcript=Brasy5G336900.1 locus=Brasy5G336900 ID=Brasy5G336900.1.v1.1 annot-version=v1.1 MRAVSALLRVTTARVLRRHDFLCLALVLLLVFGSSGVTAQGGARRQRQVVDVGVILDMKTWLGNTSWACMEMALEDFYANATQARYRTRLKLHLRDTGPDAVDAASAGVDLLKNVQVQAIVGPQTSTQAKFLAELGNKSSVPIISFSADCPSRSGRTPYFIRTAWNDSSQAEAIASLVKKYNWREVVPVFEDDDSNTRFIPDLVDALRQVDARVSYRCKIHPSANEDDIRRAISGLKYNWTSVFVVRMSHLLALKFFQLAKDEKMMGQDFVWITAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTVELQNFTRRWREKHRLENPGTSLSEPTIYCLYAYDTIWALALAAEEARYNDSNFVSVTNNGSTDFERIGTSKAAEKLRGTLLKTNFQGMSGKFQIQDMQLLSTKYEIINIVGQEKRVAGFWSPEFPISGNSSSMAELGTIIWPGGNKTPPRGWMLPMNKILKIGVPVKPGFDEFVKFENGIPKGFCIDVFEAVVGELPYNVSRNYSEFGDGKVSKGTYDDLVYSVYLKDYDAVVGDITILANRSKYVDFTLPYTESGVRMLVPVRDQRQKTAWTFLKPLTADLWLGTGAFFIFTGFVVWFIEHRTNKDFRGPPASQIGSVFYFSFSTLVFAHKERIVNNLSRIVLVVWLFVVLIVQQSYTASLSSILTVEQLQPTVTNLEEVIRKGSHVGYLNDSFLPGLLKRLKIDESKMIAFDSPAEYNEALSTGKVAVIIDEIPYLKVFLSRYCQNYTMIGPTYKFDGFGYAFPRGSPLTPDISRGILKFASDSKMVELQKTLYGDMSCPDKDDSQISSSLTLHSFQGLFIITGASSMLALILHAVITIYNNRRDLSSDNSLTSWCRWLAIISKLFHEGDSPNTPDKDELAMANVGNTIESPLSIPNHVIERLDSGTDTGSLPEVEGTADRDLSVQDAEPTPSAYVHSERGHNGVASLSRSGSSIRRRQRIME* >Brasy5G336900.2.p pacid=40072191 transcript=Brasy5G336900.2 locus=Brasy5G336900 ID=Brasy5G336900.2.v1.1 annot-version=v1.1 MSHLLALKFFQLAKDEKMMGQDFVWITAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTVELQNFTRRWREKHRLENPGTSLSEPTIYCLYAYDTIWALALAAEEARYNDSNFVSVTNNGSTDFERIGTSKAAEKLRGTLLKTNFQGMSGKFQIQDMQLLSTKYEIINIVGQEKRVAGFWSPEFPISGNSSSMAELGTIIWPGGNKTPPRGWMLPMNKILKIGVPVKPGFDEFVKFENGIPKGFCIDVFEAVVGELPYNVSRNYSEFGDGKVSKGTYDDLVYSVYLKDYDAVVGDITILANRSKYVDFTLPYTESGVRMLVPVRDQRQKTAWTFLKPLTADLWLGTGAFFIFTGFVVWFIEHRTNKDFRGPPASQIGSVFYFSFSTLVFAHKERIVNNLSRIVLVVWLFVVLIVQQSYTASLSSILTVEQLQPTVTNLEEVIRKGSHVGYLNDSFLPGLLKRLKIDESKMIAFDSPAEYNEALSTGKVAVIIDEIPYLKVFLSRYCQNYTMIGPTYKFDGFGYAFPRGSPLTPDISRGILKFASDSKMVELQKTLYGDMSCPDKDDSQISSSLTLHSFQGLFIITGASSMLALILHAVITIYNNRRDLSSDNSLTSWCRWLAIISKLFHEGDSPNTPDKDELAMANVGNTIESPLSIPNHVIERLDSGTDTGSLPEVEGTADRDLSVQDAEPTPSAYVHSERGHNGVASLSRSGSSIRRRQRIME* >Brasy5G412000.1.p pacid=40072192 transcript=Brasy5G412000.1 locus=Brasy5G412000 ID=Brasy5G412000.1.v1.1 annot-version=v1.1 MAAGPVYWMMLDRFVFRRDDDASFPDDEAAPFRAHHSNSRAGPFTVAFLVSEPPKISRLYLRWPGGPDPNQGSSCHLVAAHRNLLLLRLTTSPVKKDDGSLVYPQEYFICGAPSSADQQPPLLVLQNVPMCARRRSFDLHTVGLLCRGEEEEFAIAHLSLSMPDSWFDKIEAELCLLRSKVNNVDHNWEIEQRLPIHYGDQDSSVQSDLRSWRTHRVVPFQNYLCWVNYDERGGVLFCKVFEERPAISFLRLPVHYQPPIGDLQRFHDWNCSLCTTEGGDKLMFVDVARKDKKLVGPMIPGRGFTVTCHALGITESGGMAWDKIFVVPSDTLWERNTFLPHEAMMFPLVSMVNSNVVYFLLSEEGKRGIDKVSVVSVDMISKIVSIHPYIKGGEDLMGKDDDMVRRKSHLLQPFIPSELPKFLGLSRYLQHVVY* >Brasy5G130100.1.p pacid=40072193 transcript=Brasy5G130100.1 locus=Brasy5G130100 ID=Brasy5G130100.1.v1.1 annot-version=v1.1 MQESFMAVRLAPGCLRVEVAPPPPDQFSSSSPQPSPQLRTSPSWTIDVPNARTIKVTNISGSATADNIKEFFSFSGDIEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISDVSVNITPVEDYHLPPEAYTHAEEMGSPRTPTEAAVKKAEEVVSTMLARGFVLSQDALKRARSFDDRHQLLSSASARVARLDRRFGLSDKFTLAVRGVDERLQVSERARGAITTAETIVAASPYASRGAAWVSAAVGAVARAAADVGAMTMDKVESMNDDAVDSATPPAAAQVHAVQVDDVARAGACS* >Brasy5G041900.1.p pacid=40072194 transcript=Brasy5G041900.1 locus=Brasy5G041900 ID=Brasy5G041900.1.v1.1 annot-version=v1.1 MIPHVGLEAGERRRGKKHHVVSSDDRMDAFHMQISWPISTLEF* >Brasy5G011100.1.p pacid=40072195 transcript=Brasy5G011100.1 locus=Brasy5G011100 ID=Brasy5G011100.1.v1.1 annot-version=v1.1 MDQLVSLTNLFRLSSGPLCRRRSPASESIAAVFLLPWPPQPPPPSPPLWRKFKCCVDE* >Brasy5G159100.1.p pacid=40072196 transcript=Brasy5G159100.1 locus=Brasy5G159100 ID=Brasy5G159100.1.v1.1 annot-version=v1.1 MDVAGAQARTLPLLLRRPDFCSRGSVSFSSSFPRGGARRSWAASATAEGEGYERVAMDTPGAYRLVDRRTGKSVIVWGGIDDEGGGEEPSVPSPAVLSRSTRSGSTDSPSASKGAANPGSSGVGSFGRLKAQKVQALARRSLANLKREATSSRTSTTRRNQSSSVDSAEGGNDFGRRKYGSEYPARRAKPNNNARDEKTREVRSLDSVLRQYRGDDDSDFRNEEATSGPKVWGKVADATSYRREDRKQKVPLDSGFFSRRSFKEIGCGDEILGALRSFGFPQPSHIQAMAYGHVLEGRSCIIADQSGSGKTLAYLCPIIQNLRKEEAMGVHKSSPRNPRVIILTPTAELSSQVLQNCRSISKSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLLQEGFVQLNNLRCVVFDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPSVHRTSARLEEILVDCSGDDNDEKNPETAFSNKKSALVKIIEESPVRKTIVFCNKIETCRKVENVLTRLDRKASQIKVLPFHAALDQEKRIANMKAFLNKQSSDSMFLVCTDRASRGIDFTNVNHVVLFDYPRDPSEYVRRVGRTARGASGDGKAFVFAVGKQVSLARRVMERNLKGHPLHDVPCF* >Brasy5G197100.1.p pacid=40072197 transcript=Brasy5G197100.1 locus=Brasy5G197100 ID=Brasy5G197100.1.v1.1 annot-version=v1.1 MGGARSQVNKAHKTRFASKASRHSHKIDKARSGKPESSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSIVGSSSAPHVIVLCGLSSSAHVRPLAEDLLTFAAGGDENLTSNTVASSTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVVSANSLYVGDSSSPIDEFGSQCLSVFRAMGLPSTAVFIRDLPSDTKSRQELKKATVSFLSPELPEDTKFYAAETKDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEEASIKPGDSIGLCTLIVSGYLRTHNLSVNQLVHVSGAGDFQLGEIDILKDPFPVSERKKFDVMDSDDNQIQIVDTFVPDPLNQEPLLVENISDPLAGEQTWPTEAEMEEAHENNKQRKLVKRLPRGTSEYQAAWIVDDTDDEGNDSEDDNPDGAGMVIDEKDQSEYGSDGSDMDEVSHFREKFDEETIGGTEMGDDENLTNEQIQAEIKKIKESNAEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSSWDPKESLPPEYAKIFAFDNFTRTQKHVLAKTAELDGGTSKDCAQIGSYVMLHVKNVPTDVASKLCHPSRGLPVLVSGLLQHESKISVLHFSIKKHDSYEAPVKCKEHLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSIASVYAPITFPPAPLIVLKYRDGEQPAIAAVGSLKTVDPDQVILKKIVLTGYPQRVSKLKAIVRYMFHSPEDVRWFKPVELWTKHGRRGRIKETVGTHGSMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI* >Brasy5G219900.1.p pacid=40072198 transcript=Brasy5G219900.1 locus=Brasy5G219900 ID=Brasy5G219900.1.v1.1 annot-version=v1.1 MGNILKRCFSGGEEEDGQYPYYHPASRPHYQPHSPHPHEQEEGHGVAALAQDLLNFESTASMVPEGLRQHVTASKKAQVKWYQNMLEAYKNTTPPPRTPAEAAQLVASALDWIQRADLEGILEFYGFPIPSLPAASSTHHPQLLPEGVQFVLNTLPVNNKNIGDGDGFTAYVATTDPRESAIVPLEVHEMVIERNQARNRRDYQSADALQNRLNEAGYKILVCSDEEILARKYRIRMRGIDAPELKMAYGKESRNALVKLIGGKRTIIHVYGLDQFERYVGDIYCDNVFIQEQMLKNGHAWHFKKYDKRGEFAKWEREARAAYRGLFALENPEKPWDWRKEQRNGGIPVY* >Brasy5G035400.1.p pacid=40072199 transcript=Brasy5G035400.1 locus=Brasy5G035400 ID=Brasy5G035400.1.v1.1 annot-version=v1.1 MAAMELGAEQEEDCSPCGGFSLTCQEDGADLGDGVVDDGDLFLLYSGAAADDDEYVDQLVSKEGFFSDSGDADAECSSAASEDWFLEARLASVKWILQTRGCFGFAHRTAYLAVAYFDRFCLRRRVDRAAMPWAARLLSMACVSVAAKMEEYRAPALSELDGGYEFCSDSVRRMELLVLSTLGWRMAAVTPFDYLPCFSSRLDRHGGGGHDPARVAIKSIGFIFATAQASSVLDYRPSTVAAAAILAASCGALLTQEALEAKMGYLSPSCLIEKEHVHACYSMMVGGLKNRMSNGKRSLPCSEDSNEAATSTYDSVVDDVADTAAFVAAVSETNKRIRLELPGIR* >Brasy5G143200.1.p pacid=40072200 transcript=Brasy5G143200.1 locus=Brasy5G143200 ID=Brasy5G143200.1.v1.1 annot-version=v1.1 MGSKSEQTNVLPQSRRQADLEEITRKYSMEYLASYAGKNYTMIAQGIHPSYLAALPQVKSTYRSKVTFEGTDKDGEEIAVKVLQERTGGNHDSEFNNEFYSLLELRHQNIILLVGYCYETEKRINNFTKKFDEITRAALCFEYAPNGNLRNYISDEDHRLDWHTRYNIIKGTCEGLNYLHNHSKGPIYHLELKPENILLTGNMVPKIADFGMSRLFTDKTTKTTTRAIGTWRYQPLEYKSKGTISKGFDIYSLGVIIIEMVVGPKTYDDFDQFCRPEVIELADKRWRPKLKVTENYQSLESYYQQVKICLEIALKCVDIKQNRRPTIADIISHLNEVENKTPKRDSVVQESWKDSGSSTRQVQSMRGLWTPGKNKEEQPATEQIITKQAKAGNTRPEIDYARTMSGRSLVDSKRVNTRNIQDEVVRLQKKMIGPMPSTWTPEKSMVQQLATTQIIRKQDNTTNTRLEVDQEQKVSGNTSEDSNHVGSKNIQDKDTDQAQKETKSMEHKPAPTQITTKQENTANTRPAVDLEQNVRESTTLGSNRAGTKGKHDGDVLQMQKETIRSMPSTCTMGKSMEQQPATTQIMMKQVNTPDTRPEVAGEQNLMANTSVGSNHTINTANIQDHDALLAQNETVESKTITWKEKSMEEQLAIAHTLKEKTNTATRPEVDYEHKLKGNTSAVSKLASASNMQEEDVVRMKNKTVLSMPNKGTTGTSTKQSATTQIMMKQTDNAKTRPEVDHEPKVSGITSVGSNGENTKNKQYEHPVQMKDETVQSMPRAWTTGKSMGQLPALVQIILKEANTPARPEGSTAADSNCISTRNKQDEDAGRRKNKNVRSMRTSRLRKSSEKQPATMQTVPKEATSLNTRPEEDHEHQVRCITSVGSKHDVTGNIQDEDVLQTKDETVRSMPTLPSTLMSKSTEQHPATVQIIMNQVNTPNTRPEVDCEQKVVGSTSVDSNITSTISIRDEAVVGMQNGSVQLTHSMWKLGKSMEKQQTTTQTVMKNDSTTNTRLEVDREQKLRDSTSVDSDGHTCARNIPDENEAHAQNKSVQSMSPVWTPGKSMEQPPVTTQIIVKQANIPNTRPEEHCEQTVRGSTWLGSNRADKRSIQDEDVIQRLNEIVQLLPSAWRLGNRTVQQPALAEIILEQSNSINTRLEVDHEKKLRRDTLLSSTSANKINIQDKDAVGSVPTMLIPGKSTRQQPTTMEFMLKQANTPNTRPEVQTMSCVWTPGKSTEQPHVTMQTILKQANIPNTRPEEHCEQKVRGSTSLDSNRADKTNIQDEDVNQVQNKIVQMMTSTWRPSKSTVQQQAKREIILEQTNTTNTRLEVDHEHKLRGDTLASSTRANTRNIQDEDAVQMQNENVRSAHTLLIPGKSTKQQPATMEFEVKQANTANARPEIDREPKLSGSTLVGFKHTNKINIQDKDAVRMQKRTVRISKFVFNSLNPIYDHLHEH* >Brasy5G028100.1.p pacid=40072201 transcript=Brasy5G028100.1 locus=Brasy5G028100 ID=Brasy5G028100.1.v1.1 annot-version=v1.1 MITGGQSYVSAPPAFSADGRLLLVCSGRVVSVFSTSTGMLVSELEGHEGDVTAVVVSPPPANAAAAAKVASYCWTAGLDGMLIYWDFAAAELVRKVHVGLPVHSMVIPNISRTSKVTEVYTPFAFVSVEDTSKSANEAKALRGQLRIYDLTKGRQVGSLLAETRKPPKIVASCSGEFLGIVNKRKLYIWSIPTKDFKPDKIRKIKLGHTKNLNTLAFHPSERIVAGGDVTGRILIWRGFGNAKFSKAHGAKSQADEQRDGVRGEDDAETCTTWHWHSSGVKFLKFASDGAYLYSGGMEGVIVVWQLDTGKRRYKPRLGSPLLFFVDSPDSSISCVSCTNNQVHLLKMPNMGVLKTIAGIKLPLTSPNLARRDVCGFDCTNGLVAVPTEDYCIQFYNLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIKGNFMCTVEVKLPEEELGGLVTLKFWNHGSRAGQFHMSTVIYEPHSDAAISAVAFHPGKNMAVSSSVGGNFKVWVQSSSLQSSDEKSQSGWRCQSVGSYKKKPMTAAAFSGDGSVLAVAAESVITLWDPDNNALVGVIAEALSPITKLSFGGTSAYLMSLSQSSKQQVAVWNVSNLSMQWSYTLFAEAACSSSKGEFAVLSLLSYPEGETPAEQDGVILLFNVENSNPISSWSVKKAKGGSLAFVKDDQSLDTRGEDASLLVYVNGSHEYAIFDPRKNEESQLSKSAQKNIQADEPALIGYVSIYGQLPKLELKKVVSDIPFIPSERPWETIFTGSSHVLPPLTKLCSVFLASLLEKRPVANE* >Brasy5G113400.1.p pacid=40072202 transcript=Brasy5G113400.1 locus=Brasy5G113400 ID=Brasy5G113400.1.v1.1 annot-version=v1.1 MSPWGFMICCGILGSALLWLAARLLDFLWWHPRGLEQALHAQGIRGTPYRFLTAGDVVEYGRRNREAWARPVPPRCHDISPHVAPFLHDTLREHGKTCVSWFGPIPKVTLLDPELAKEVMSNEFGHFQKLRFSALSMLLAKGLADYEGEQWVKHRRIGSSAPHSISRSLSSCCRHFLHAPKSLSADGRRPLVLTVRPSWMSIQTSRDLLEMSFLAPRSAVATDKEARFSSCKQSRPSAS* >Brasy5G288400.1.p pacid=40072203 transcript=Brasy5G288400.1 locus=Brasy5G288400 ID=Brasy5G288400.1.v1.1 annot-version=v1.1 MGRTAYTDEGAPSMVHWLRDSIDGSIKSVDKDLDYLFEHVRVDEDVEHLMGSGYDSSVVIRKMRAVMEAKINDEKRKNNKLLDEMMAMRTTLEAQIAEGKIHMEELKNELEERKKKDVEVRDFAVVSCSVALTLAAVLFSLCAKRS* >Brasy5G392000.1.p pacid=40072204 transcript=Brasy5G392000.1 locus=Brasy5G392000 ID=Brasy5G392000.1.v1.1 annot-version=v1.1 MSVSVSAAAFSSHPPLSCRRSPPASRLPPNSHRIQLHSGPKPRRPRLLSSARAPILPAAAMATDSAAPAASGAGSKRNLLIFDAEEDLAVSLAKYTADLSAKFAAERGAFTVVLSGGSLIEALRKLAEPPYLETVDWSKWHVFWVDERVVPKDHEDSNYKLALDGLLAKVPIPPGQVYAINDALSAEGAADDYETVLKQLVKNGVLAMSTATGFPRFDVMLLGMGPDGHIASLFPGHPLVKENQKWVTHIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQASSDLLPVEMAILQDGEFTWFTDKEAVSMLQNK* >Brasy5G448100.1.p pacid=40072205 transcript=Brasy5G448100.1 locus=Brasy5G448100 ID=Brasy5G448100.1.v1.1 annot-version=v1.1 MARLKFPRRYVIVFLTFICTNVCYIERVGFSIAYTVSADAIKVNQANKGMILSMFYYGYVLSQIPGGWAAQRMGGRRVLLLSFLLWSLICGLIPLDPNRVVMLVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGPQSVFFVEAVLGVAWSLIWLKFSSEPPRTDLPKVAMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVIMNWLPTYFELALKLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSVTKTRKLLNTIGFVVSAVALMALPSFGTPSGTVICSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEAAKASNMDLTSSETWKTVFFVPGYLCIFSSVIFLIFSTGEKIFE* >Brasy5G161700.1.p pacid=40072206 transcript=Brasy5G161700.1 locus=Brasy5G161700 ID=Brasy5G161700.1.v1.1 annot-version=v1.1 MDAAVACCLLLVLAIMTASSGHAQQADGGCFTNERDLLLSFRAGITKDSQSLLVSWNGQDCCLWSGVGCSNRTGHVINLDLRNNFFLDELMFTETIDLSWYSGKTHELHGKISSSLLALGHLEYLDLSGNCLGGVGVSIPRFLGSLQSLVYLNLSCMNFGGKVPPQLGNLSRLLYLDLNTIWNPNDFIVDDNNLHSEDISWLQRLPLLKFLDISGVNLSTIGNWVQVLNMLSNLRALRLRSCRLVFPHTPIVHCNLTSLRMLDLSASGVDTLNPTYWFWDVGTVRHLDLSYNTRIIAEAFPDAMGNMTSLKALHLGGNSLTSVKSKALKNLCNLRVLTLQENWINQGMPEFLEGLPRCARSKMELLDLSMTNITGEIPNWINQWTDLSILKLSSNRLVGSIPLEIGALSKLSLLYLDSNQFNCSITEEHLASLVNLEELDLSYNSLHMIISSNWTLSFKLQLAYFPSSKLGRRFPLWLKGQRNVTYLDISDAGIVDNLPDWFWLVFSNVQYLNISCNQISGRLPRTLEFMSSAMPIIFDLNSNNLTGALPQLPRHLVELDISRNSLSGPLPQKFGGPFLGDLLLSENKINGTIPADICQLQFLNALMSIRQR* >Brasy5G329100.1.p pacid=40072207 transcript=Brasy5G329100.1 locus=Brasy5G329100 ID=Brasy5G329100.1.v1.1 annot-version=v1.1 MDVGEGARAAAAAGGGSGGFIHSVVGFSSSSATPLFFWLLTVALVAAIHVASAYMSSPSSSSASSEDTETEKKERRGGFGGEEREAEADRNDDRVLQMMRSFSFVHASEEDFVEGMATYERAFDDPAPAAAEPASPPSPAPSSLSFSFHHQVVPEIQREAAAVSRSAPVQEKEQHVPEQENESPSPSGPVAWREEHEDEAEETEEVLEEEEEEEPRIVPATHNYRFLTERDFRGFVKEPEAMTVRVQESFLPPPPPAPAAQPEERRVVDVASRRGTGLATTNSLQPVNKPGAHDRVASPGKKTASRRRKPARTSSLASKGSAAVSARTSFASDFSGFGDSDSESSASDGYSVKELVVDSDSDWFLSEKDFPPAAHDAASLRSYKAKVLQAMESLEEVDALERSFRHSTPTTVSPGSIGLASPDSIKYPEDMWSRSPSPEAEYKEDEEKETGEAEDSEGSCVDMSDDDDDEPMAEAPVYDADSTAENSLEHSEKETITINDHSDEAISDARSSTGAATDRELVVSPYQAVHDTKRSPEPEPSERVLVGTSDNSPELVSVDRAESASTNDQPDASISDDKRSPEHSDQEFVGTGDQSHELVIADVWKEIVGREEQAGEVAYDEKRSPELSVKELSVTNDHSNELMPDDRKAIVDINDQSGAVVSDDNIIPEQEFGTNDHHHGVIPKGNSEPTEDKRASADDHPGKTPRHVHFSVPEKAKALEEEEDQEGKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSVGLPTILEESESPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSTNSLKTMSPTVTSILSNNFQSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDSYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEDVMEESMHILWEFIKADRSETPTSVLKGLSSSHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIILVENRRIHREASFLLFPC* >Brasy5G329100.2.p pacid=40072208 transcript=Brasy5G329100.2 locus=Brasy5G329100 ID=Brasy5G329100.2.v1.1 annot-version=v1.1 MDVGEGARAAAAAGGGSGGFIHSVVGFSSSSATPLFFWLLTVALVAAIHVASAYMSSPSSSSASEDTETEKKERRGGFGGEEREAEADRNDDRVLQMMRSFSFVHASEEDFVEGMATYERAFDDPAPAAAEPASPPSPAPSSLSFSFHHQVVPEIQREAAAVSRSAPVQEKEQHVPEQENESPSPSGPVAWREEHEDEAEETEEVLEEEEEEEPRIVPATHNYRFLTERDFRGFVKEPEAMTVRVQESFLPPPPPAPAAQPEERRVVDVASRRGTGLATTNSLQPVNKPGAHDRVASPGKKTASRRRKPARTSSLASKGSAAVSARTSFASDFSGFGDSDSESSASDGYSVKELVVDSDSDWFLSEKDFPPAAHDAASLRSYKAKVLQAMESLEEVDALERSFRHSTPTTVSPGSIGLASPDSIKYPEDMWSRSPSPEAEYKEDEEKETGEAEDSEGSCVDMSDDDDDEPMAEAPVYDADSTAENSLEHSEKETITINDHSDEAISDARSSTGAATDRELVVSPYQAVHDTKRSPEPEPSERVLVGTSDNSPELVSVDRAESASTNDQPDASISDDKRSPEHSDQEFVGTGDQSHELVIADVWKEIVGREEQAGEVAYDEKRSPELSVKELSVTNDHSNELMPDDRKAIVDINDQSGAVVSDDNIIPEQEFGTNDHHHGVIPKGNSEPTEDKRASADDHPGKTPRHVHFSVPEKAKALEEEEDQEGKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSVGLPTILEESESPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSTNSLKTMSPTVTSILSNNFQSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDSYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEDVMEESMHILWEFIKADRSETPTSVLKGLSSSHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIILVENRRIHREASFLLFPC* >Brasy5G500400.1.p pacid=40072209 transcript=Brasy5G500400.1 locus=Brasy5G500400 ID=Brasy5G500400.1.v1.1 annot-version=v1.1 MVLVYHNLGNWSEHYYPLLPGYSYLSPVLGLLAYDAANLSAAGLPELNIVVTGGPILVSFSNVRAVVAGGPPPRCVWFDLDGVPQLQDLEASNVCSMNRQGHFAIVANSSELAPAPVPSAAIAPPVPADGSPAKGSSQGWKIAVSVVGSAIALGLLATLLLCLVRYKKVKKLEVMERNSEVGETLRMAQVGRTQAPVALWTRTQPVIESEYTA* >Brasy5G162100.1.p pacid=40072210 transcript=Brasy5G162100.1 locus=Brasy5G162100 ID=Brasy5G162100.1.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G162100.2.p pacid=40072211 transcript=Brasy5G162100.2 locus=Brasy5G162100 ID=Brasy5G162100.2.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G162100.3.p pacid=40072212 transcript=Brasy5G162100.3 locus=Brasy5G162100 ID=Brasy5G162100.3.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G162100.4.p pacid=40072213 transcript=Brasy5G162100.4 locus=Brasy5G162100 ID=Brasy5G162100.4.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G162100.5.p pacid=40072214 transcript=Brasy5G162100.5 locus=Brasy5G162100 ID=Brasy5G162100.5.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G162100.6.p pacid=40072215 transcript=Brasy5G162100.6 locus=Brasy5G162100 ID=Brasy5G162100.6.v1.1 annot-version=v1.1 MLLVLRKKGSALLLVCVCAVGPRSKGARRIERVRYSPVPPPLPPPASPNPLSCGGWLRDRYPMSQQGFQTQIHHRASSLRAAGDRLSSPRVLVLLRLPSTSNLTSALGRHHWNCVETAGAYSSANDILLCCFSVAPTPIFMLAARRRNCAASSWVEHRACEQDDHSSPAAWNKQIRVLTLSSRLPPFTQIRVLTVGVVNGMLQIYSCQQGVR* >Brasy5G114400.1.p pacid=40072216 transcript=Brasy5G114400.1 locus=Brasy5G114400 ID=Brasy5G114400.1.v1.1 annot-version=v1.1 MSASVPLFLIPHPHLLSRPETPPSQPLFLSLSPPIPAAIPAGWVSPRSSGPARSVLASVWTLELQIWWRRGVAGLHADLAGGASCGARFLLHVAGEVRGGYAEFLTVRSRTSTTMGASTSRGCRIRPLVGGIDYCLRI* >Brasy5G353200.1.p pacid=40072217 transcript=Brasy5G353200.1 locus=Brasy5G353200 ID=Brasy5G353200.1.v1.1 annot-version=v1.1 MSRDLDCPVQTQMAVAVLDRSFSSDYPASNLAEGKSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQIALNVSTEESSLTFGDLVLNNDLSSIRNDSPLLLTRNQLHRSSSTPCLSPTADVQQRDRSGPIAILGCSSPSSQLKQLAKDVVKAMRNGVDPVAVNSGMGGAYYFKNIWGEHVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDHDNFANVPATMLVKITHTAFNVNDTVCCKNKVFPNKSQAVSKIASLQQFIPHDYDASDHGTSSFPVSGVHRIGILDIRIFNTDRHSGNLLVRKVGPGADNFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDAEMLRMELPMIRKACLRVLMLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWIDDIELFLPEGGVEDDDDGFTQFSLDGEDDSDAFESPPFSNLGPMKASHRNPLSKLDECDEEDDDSGKGTINDDADPLSGTFPQMIPSISKLSASLKGASFFGKAKAYHRGIPKSKVTAKTNSSGEGSMHQSGSRSANEMLPPSASFVKLSDMGPEEWTAFLDKFQALLPGAFQARKHTAGVGPRPMQRLGTSCQF* >Brasy5G353200.2.p pacid=40072218 transcript=Brasy5G353200.2 locus=Brasy5G353200 ID=Brasy5G353200.2.v1.1 annot-version=v1.1 MSRDLDCPVQTQMAVAVLDRSFSSDYPASNLAEGKSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQIALNVSTEESSLTFGDLVLNNDLSSIRNDSPLLLTRNQLHRSSSTPCLSPTADVQQRDRSGPIAILGCSSPSSQLKQLAKDVVKAMRNGVDPVAVNSGMGGAYYFKNIWGEHVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDHDNFANVPATMLVKITHTAFNVNDTVCCKNKVFPNKSQAVSKIASLQQFIPHDYDASDHGTSSFPVSGVHRIGILDIRIFNTDRHSGNLLVRKVGPGADNFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDAEMLRMELPMIRKACLRVLMLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWIDDIELFLPEGGVEDDDDGFTQFSLDGEDDSDAFESPPFSNLGPMKASHRNPLSKLDECDEEDDDSGKGTINDDADPLSGTFPQMIPSISKLSASLKGASFFGKAKAYHRGIPKSKVTAKTNSSGEGSMHQSGSRSANEMLPPSASFVKLSDMGPEEWTAFLDKFQALLPGAFQARKHTAGVGPRPMQRLGTSCQF* >Brasy5G348400.1.p pacid=40072219 transcript=Brasy5G348400.1 locus=Brasy5G348400 ID=Brasy5G348400.1.v1.1 annot-version=v1.1 MGLKGFVEGGAASVVAGCSTHPLDLIKVRMQLQGEAAAAAPPMRFALAFPPGVSLQGQPPRKPGPVAVGAQILRAEGPAGFLSGVSATVLRQAVYSSTSMGLYDAIKKRWERDGGGGALPLHRKIAAGLVAGGVGATVGNPADVAMVRMQADGRLPAAERRNYRSVAHAIGRIARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPAGDGLATHVAASFTAGLVAAAASSPVDVVKTRVMNMKVQPGAPPPYAGALDCAIKTVRSEGALALYKGFIPTITRQGPFTVVLFVTLEQVRKVLKDFDF* >Brasy5G298700.1.p pacid=40072220 transcript=Brasy5G298700.1 locus=Brasy5G298700 ID=Brasy5G298700.1.v1.1 annot-version=v1.1 MSCPLSEMIISVNKIKLSVGDRNNNPHSDSEICPLGLNKGRKGSISMVV* >Brasy5G142300.1.p pacid=40072221 transcript=Brasy5G142300.1 locus=Brasy5G142300 ID=Brasy5G142300.1.v1.1 annot-version=v1.1 MRMEAAPLSKPEEKITRAINKLLDALGPLPGRISELLEQHRGRRRAGAAVAAAAADDPQLRSLEFIQAELSGMVASLGQLQSSELGPDYVGNDDFKKWLRALSLVAPEIRDYLEKSEQAARLGCHAQLHRAAVNYFLRAAGLPLPFLPGVKAMEETMSDVAERPFRYGLLLANDDADRAAAEQLHLHTDDGNLVGVEESLRNKVISRFMDDGEGNKNLSLISIVGQAGVGKTALAKVIFKKLQEKEHCATTTAKVEVSQRPHTKEVVLRSIFSQIGLGGEQNLTLVVDNIKRDIKAYLQDKRYLIVLDGLWKKTDWDGIKDVFPQNNLGSRIIVTTSLQSVAEYCCLIDEYCLGEVHEVSPMSERDSKILLFGKTFDSEVSCPNHLQQVCCEILKRCGGIPLFISGMADWLKEEQLDHEDLKLFPMLKHFERTLSAAYDGLPYWLKVQLLYINMFPEGYVLKKKSFNDKLRAELLLVESSEIAEDYFLELIDRNIITQVKNSQVEEEESCHWQVNYFMLQFLASRSAEKGFVYNSGTITLVPGAASESSSGGGGGGGKKPRRLSLHQPDSQLPEHLAEMSLSHTRSLAVSGEVDGIPLDKFICLMVLDLEGWQCFKEQDWLPICKMFLLRYLSLRSVKTGASKVSPKIKELCKLETLDLSHTQITELPSQVCELSELRVFDLRGTPVKRLPDKIRKMRQLRHLLLGGNGVDPLDTTVTKVPEEIGHLHDLDTLIIDLSGCSASLLEGLVGIWKLKVLAITLSLEQSTDRKFQEVLCSSIGKWKLESLSIHCGLGCSMEFFGSESSDQFSLSDSLKKFKVTGGRFFNLPTRLGELARLVSIEITLYKLVEGDLSTLGNLGNLEGLVLGLYLLPEEDIVIQGGPHLFSNLKRLSVGCRVPWISFKQRAMPRLRDLELNIGGSPASDKSVPSGIANLESLSEVVLNYKKCYDDSNNVRAIVEAVKKGVNEHRKMINLIINGQLQT* >Brasy5G287100.1.p pacid=40072222 transcript=Brasy5G287100.1 locus=Brasy5G287100 ID=Brasy5G287100.1.v1.1 annot-version=v1.1 MALFLAEENEPSPAGAQGSTTVSGPWQRKTNHRNHCRAACIGCTSPVLAYSVVAFPVCCSGVLVMATR* >Brasy5G184100.1.p pacid=40072223 transcript=Brasy5G184100.1 locus=Brasy5G184100 ID=Brasy5G184100.1.v1.1 annot-version=v1.1 MRRASIIFFSSVWLPGKSRPGLEVAAGKDRRNLHTAVCLICWSIWKHRNSVVFDGATPPSVLHLIQEIGREGDAWRRVGLFDGSLLFSDFGVVDVAWIVRE* >Brasy5G252900.1.p pacid=40072224 transcript=Brasy5G252900.1 locus=Brasy5G252900 ID=Brasy5G252900.1.v1.1 annot-version=v1.1 MDTQGPRAYSRTESVKSYPFTFAGHQWVVSYYPNGLPTSGCISVYLDIDYADAKDEVRVKVRSSLLAEDGAPVPSYIRPTREHIFFSVWNRLHLHSITHKDLQDSGHLVDDCFSIRCDITVLKDTVNRGDQFVVVPPSNLHQHLGDLLSSTDGADVTFHVGGDTFSAHRSVLAALSSVFKTELLGAMKENSCNPIKIDDMEACVFKSLLNFIYTDSPPQMTQMTNEDEGTDENAKRGDVVMASHLLVAADRYNIERLKLICEQKVCSTIDSAMVATSLTLAEQHSCPGLKEACLQFLVSPSNFKAMMESDGYEHLKSSCPAVLRELIVRLLPVELKLAKDIVMAI* >Brasy5G064600.1.p pacid=40072225 transcript=Brasy5G064600.1 locus=Brasy5G064600 ID=Brasy5G064600.1.v1.1 annot-version=v1.1 MFEVTNLREDSLREYDELELESVKIPGRKHASGIGRLDTVDDQAVLLNPGFKALTQIIQGDVFREFEFRQYIFACQAKVFR* >Brasy5G119300.1.p pacid=40072226 transcript=Brasy5G119300.1 locus=Brasy5G119300 ID=Brasy5G119300.1.v1.1 annot-version=v1.1 SLGFLSVGASSYLGKNMISILLSQQIIIFPRGVVMSFYGIAGLFISSYLWCTILWNGTVCIFRWGFLGIKRRVFLRFLMRDIQSIRIQVKEGFYPRRILYMEIRGQGVIPLTRTDEKFFTPREIEQKAAELAYFLRVPIEVF* >Brasy5G172700.1.p pacid=40072227 transcript=Brasy5G172700.1 locus=Brasy5G172700 ID=Brasy5G172700.1.v1.1 annot-version=v1.1 METEWVAVEKENQAAAEEGMGTSWKALFLRRVVMADANCRAAHGLLEDLVAAFGEQGPLDTAAAAAAGDGSGEEEEETRRALEAASAELGLAAAHIGAARHLALRCCARPSPTDPASLFACSSSAPAPSAAADEDEDLLADPEVRRAMGLLRDAAKLVRAVHDLVESARGHLGAAEHLRLVLGENYDDGRDDAAAAPWLHGPCAGEQLSGVLDVAQAWAHATELAETTGEARDAAFGFSDD* >Brasy5G323100.1.p pacid=40072228 transcript=Brasy5G323100.1 locus=Brasy5G323100 ID=Brasy5G323100.1.v1.1 annot-version=v1.1 MRRRRQGGRCAAPRRHPRGSGGWRRGEPDPWRIRGGRVAGARLAVGRAGDGWARGRRGRGALEEGGGASSRRGRRRGLVRRRWGGAVALAGAGGGDREREARRRREERAQGEKRGSSGGGGAEGEKRRGWTG* >Brasy5G052900.1.p pacid=40072229 transcript=Brasy5G052900.1 locus=Brasy5G052900 ID=Brasy5G052900.1.v1.1 annot-version=v1.1 MGIGYVIGVLGGALLAHAAYATIQYRAVLKITEEEFSRPPVDVVMQLLLGLALCMWAGVSVPAKFVSVLPHSEENRIVSLPANMDFMIFNHRGRALPSDADLKLKI* >Brasy5G455000.1.p pacid=40072230 transcript=Brasy5G455000.1 locus=Brasy5G455000 ID=Brasy5G455000.1.v1.1 annot-version=v1.1 MDVLPDDVAADVLGRLDPRSLAACRCVCKPWRATVDGHSLLRIRDLLPLSLAGIFVLYTVDYGCGEFYPPIFFSRPPSSINNPPIAALRQDITGHCNGLLLLHGTVANPATRQSARLPFYPYCEDPWFDEAFFHQRAFLAFDPTTTPVSSQPHFEVFFMHCPYFPLTRFRRERRTTEVDPGNPGSEWPPSPFVMSVFSSRTWRWEERSFLREGMALRSIASVAPFLERVPFRYDAVYWRGRLYVCHIYFIIRLNLEDSKYQVIQLPPIKETCGSIDPYFGKSKKGVYYGFVYGWYKLQVWFLDESTHGKHEWMLKHDSDIDLEPLRGNFPWKYGDGPWSVQATLEKEEKPEWDSDNDDGITIATDADDIIWKGFSISILGFHPYKEIVFLHTSSDRVMAYHLNSSKAEDLVSLHLDRFDYDMQMQLGLSFTYTPCWMEINCLRETSVDRALGQL* >Brasy5G135000.1.p pacid=40072231 transcript=Brasy5G135000.1 locus=Brasy5G135000 ID=Brasy5G135000.1.v1.1 annot-version=v1.1 MVMIQAAYDILVQDLVQRVAALGLPRVHRSEFGFCFQATGAAFAHLPSVALHTAQGDSIVLGPRKLFMQVSQDMCLAVVPSQHITIIGAMQQVDTRFVYDLAGSKLYFADENCEADTAPHD* >Brasy5G491600.1.p pacid=40072232 transcript=Brasy5G491600.1 locus=Brasy5G491600 ID=Brasy5G491600.1.v1.1 annot-version=v1.1 MKQQQQEAARCHLLAGAASRHDAADAEAPAVTKGCATIWVGASAEEKGRDRGDQELRRFAVPVALLGHPRILELLGEAREEYWYAHQGAVVVPCGAERFQEAVDAARADQRHRHHHHFGGLPHQLAGCFRPSRVVA* >Brasy5G372600.1.p pacid=40072233 transcript=Brasy5G372600.1 locus=Brasy5G372600 ID=Brasy5G372600.1.v1.1 annot-version=v1.1 MLAAYVARGEVADARKVFDGMAGRDLVSWNTMIHGYAVRGDVGMAREIFDGTRDRDAFSWSSMISAYAKGRRSKEALELWREMRMAGVAPDCISMVSVLSACSDMGALAIGAEVHRFVESNRVEVDMKLGTALVDMYAKCGDIENSLKVFYAMPVKDVLTWSSMIIGLANHGLGHDALSLFSEMISEGLQPNEITFIGVLIACTHVGLVNDGKKYFSSMSDVHGVVPRMEHYGCMVDLLGRAGHVEEAMELIRSMPFKPDPIIWRALLGACRIHKNVEIAEETMAKLKVLDPLADGHYVLLSNIYAQANSWEGVAEMRKTIRRENIQRVPGRSSIEWENTVHEFVSGDRSHPRIEEIYKMLEEMMDRLRQAGYRPMTSLVLQDIDEQSKKRALAEHSEKLAIAFGLLVTPSRSTLRITKNLRACEDCHSAIKLISLAYDRKLIVRDRNRFHHFSEGQCSCKDYW* >Brasy5G415600.1.p pacid=40072234 transcript=Brasy5G415600.1 locus=Brasy5G415600 ID=Brasy5G415600.1.v1.1 annot-version=v1.1 MIHPKKLARLAKKCHTMLAAGAGAHRQATNMVPDECCSTVANEGHCVVYTADGARFEVPLAYLGTTVFGELLRMSVEEFGFANGGGGGGDGLRHVSGQERGPRGGCDGVPKLHSWALPQPECQLCGAINGTHPSVCLCLISY* >Brasy5G479600.1.p pacid=40072235 transcript=Brasy5G479600.1 locus=Brasy5G479600 ID=Brasy5G479600.1.v1.1 annot-version=v1.1 MDDDGDHYGGGEGSITLRCNECSATEVYSPDDAVDGFFSCRRCYAVHTSTQVTATDPNDFNDSMPFLRRVPTTQPQTPAPCPTPYPAHGGPAARPFDDFDEPSVPQDFAPGADAWGGPEELAAQVRRRYVLGLQVILQRQLEVLVERHRVGALVCGVAGTIWVRLVAASKVFDEMWPQKVLAQEEAVEKLKRSANEGDQKPHQVKYELADAALTRKGTRKKFEFIFLRSLRTMLPVYSTLAVSFLACHIAREAILPTDITRWAIEGKLPYVAAFTEVDKLLGSSLKCPLDSRQLFRPVRVIGTWQLEAAAGSIAQRIGLRLPSVNFYAIAQRYLNELSLPVERILPHACHIYEWAMPAELWLSSNSDRIPTRVYVMAILIVSIRVLYNINGQGIWEEICEAGITAGGSDPDANSLPSMKPEGGTNAFGTKELLCTLADACDKIDVGHDYSKDLRSYLKYCKDIVFPGIAYSVEETHLIEIFHDMYKAGEDENPKAHMEEMQTTNGVNKRCRDGTFVGARRFSTSSGIQRIKSEMEDHGFCYMPPRRGIRSDNYLHYRRKTVSGSLVCASHADYYILIRAFAKLAEVDIRIMHTSVLKLERRLAWIEKRIGRSLDALQNLPSHSNG* >Brasy5G479600.2.p pacid=40072236 transcript=Brasy5G479600.2 locus=Brasy5G479600 ID=Brasy5G479600.2.v1.1 annot-version=v1.1 MDDDGDHYGGGEGSITLRCNECSATEVYSPDDAVDGFFSCRRCYAVHTSTQVTATDPNDFNDSMPFLRRVPTTQPQTPAPCPTPYPAHGGPAARPFDDFDEPSVPQDFAPGADAWGGPEELAAQVRRRYVLGLQVILQRQLEVLVERHRVGALVCGVAGTIWVRLVAASKVFDEMWPQKVLAQEEAVEKLKRSANEGDQKPHQVKYELADAALTRKAILPTDITRWAIEGKLPYVAAFTEVDKLLGSSLKCPLDSRQLFRPVRVIGTWQLEAAAGSIAQRIGLRLPSVNFYAIAQRYLNELSLPVERILPHACHIYEWAMPAELWLSSNSDRIPTRVYVMAILIVSIRVLYNINGQGIWEEICEAGITAGGSDPDANSLPSMKPEGGTNAFGTKELLCTLADACDKIDVGHDYSKDLRSYLKYCKDIVFPGIAYSVEETHLIEIFHDMYKAGEDENPKAHMEEMQTTNGVNKRCRDGTFVGARRFSTSSGIQRIKSEMEDHGFCYMPPRRGIRSDNYLHYRRKTVSGSLVCASHADYYILIRAFAKLAEVDIRIMHTSVLKLERRLAWIEKRIGRSLDALQNLPSHSNG* >Brasy5G517300.1.p pacid=40072237 transcript=Brasy5G517300.1 locus=Brasy5G517300 ID=Brasy5G517300.1.v1.1 annot-version=v1.1 MESDRYICVRETSPQNSVVIVDMAMPSQPLRRPITADSALMNPNTRILALKAQIAGTTQDHLQIFNIEAKTKVKSHQMPEQVVFWKWITPKLLGLVTQASVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVAGNENPSTLICFASKSSNAGQITSKLHIIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAADSPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYDDLVKYLLMVRQKSREPKVDGELFFAYAKTDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPAKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVSVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIASYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKEQEEKEVVAQQNMYAQLLPLALPAPPGMGGMGMPQMGGMGMPPMGPGPMPAYGMPPMGSY* >Brasy5G517300.2.p pacid=40072238 transcript=Brasy5G517300.2 locus=Brasy5G517300 ID=Brasy5G517300.2.v1.1 annot-version=v1.1 MEGLQQEPPSAAMAAADAPIAVREALALTSVTMESVTFRNVTMESDRYICVREETSPPPHNSVVIVDMASPTQPLRRPITADSVVINPNTLIIAIKGDSEPTKMFDRTANLANNQIINYRCDPTEKWLVLIGIAPGAPEQRSQALEAHAASFATFKVAGNENPSTLICFASKSSYAGQITSKLHIVELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAADSPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEANVYDDLVKYLLMVRQKSREPKVDGELFFAYAKTDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPAKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVSVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIASYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKEQEEKEVVAQQNMYAQLLPLALPAPPGMGGMGMPQMGGMGMPPMGPGPMPAYGMPPMGSY* >Brasy5G319000.1.p pacid=40072239 transcript=Brasy5G319000.1 locus=Brasy5G319000 ID=Brasy5G319000.1.v1.1 annot-version=v1.1 MASREGAGAGGAHASRPPLRVGSTGYRMGRDTQLLAAEGAPVNLFVLCGDRFEGTQLFRSGALSVHMIRVEGHPVSMASCTVGDHQWMLARDALVARVDARVFVFELPGFFYAAVVPPDAGGGDRKCATLAEIFSRFAAYQDLSNAEGAEQAGEQSQHANPWVRAHARIQRLGRPTTPPNAPSSPAAISSERQLERAVRTSAVVKLLNRSLLAGVLHPARHLTITLGAGANAGAINAGGATRTGPNAFAAALPSKSVVCALLEAIETSRAPANNAPRFRRGGNGPGWWNLNVEGVMLLLRVVQAIRGRRLPGATATLGVGTKRPRDEGNNGSGAAGHDGLKGGSGGGAPFGGARRCGGKQRKLGNTLGACGSSRR* >Brasy5G183400.1.p pacid=40072240 transcript=Brasy5G183400.1 locus=Brasy5G183400 ID=Brasy5G183400.1.v1.1 annot-version=v1.1 MVTATQMDNKLAEVQQAIQQLATPTSSVPQTGSASSSGFKPVVFLLLTCGTSWGQDYNHECTVRETNFSLDFTWEFLDCWEHCFGNMTLET* >Brasy5G471000.1.p pacid=40072241 transcript=Brasy5G471000.1 locus=Brasy5G471000 ID=Brasy5G471000.1.v1.1 annot-version=v1.1 MDTLLPEDVLAEVLRRLSPRSLAATRCVCKGLRAIIDDRRLLRAELLPHSLGGIFINFNALEVSEFFYPLVGPAANSGNLTSRVDDHCNGLVLLDDGSVVNPATRQWARLPLLPTLRTNQMRAFYKAGVFLIPRIPNQTDLGPISEKSQWPPSTFILYVYSSRTRHWEERPFVREEGDAGSTVAHHILQARHGEKRYGVYLRGALYVHCQNHFFYKISPSTGKYRVIKPPVGIMSSNCHPEVYLGKSENEVHCALVDRPYQLRVWILDESARGRHEWALKHCVDLKHVMQLHDHLQQVDDPWFLEDTNYNDYSSGDYNDQGPEEEENRWSSVYVTFIGFHPFKDVVFLSDTGYQEGYDDYMGIETFIEASFPFTPCWMGELSEKRLI* >Brasy5G391700.1.p pacid=40072242 transcript=Brasy5G391700.1 locus=Brasy5G391700 ID=Brasy5G391700.1.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGDCIYAAGRRLGEWYEYSLVNGTCDVCYAEYVGAPPLNNNSPIRPLVWVFPSLMQA* >Brasy5G391700.2.p pacid=40072243 transcript=Brasy5G391700.2 locus=Brasy5G391700 ID=Brasy5G391700.2.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGDCIYAAGRRLGEWYEYSLVNGTCDVCYAEYVGAPPLNNNSPIRPLVWVFPSLMQA* >Brasy5G391700.3.p pacid=40072244 transcript=Brasy5G391700.3 locus=Brasy5G391700 ID=Brasy5G391700.3.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGDCIYAAGRRLGEWPLVWVFPSLMQA* >Brasy5G391700.4.p pacid=40072245 transcript=Brasy5G391700.4 locus=Brasy5G391700 ID=Brasy5G391700.4.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGDCIYAAGRRLGEWPLVWVFPSLMQA* >Brasy5G391700.5.p pacid=40072246 transcript=Brasy5G391700.5 locus=Brasy5G391700 ID=Brasy5G391700.5.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGLWFGFSRA* >Brasy5G391700.6.p pacid=40072247 transcript=Brasy5G391700.6 locus=Brasy5G391700 ID=Brasy5G391700.6.v1.1 annot-version=v1.1 MATEKRRRHHSPPAIATGDSSSSIPTTSVHSPSPPLDLLPDIARRLTSLEDFFSLRASCTAYRALLPASRDLLASQAPLLLVSLFPSFSEALFHPRLRRLHRFRLPWGHHLPPSRHTLFYAHGFLATATTAATQYPPRLLLLHLFTGEQQRLPKVPTPFSRVILSDDLLAVLFLPGRPTIQHCHPGDALWRVAAADTPHVFDDLIFVDSTLYALVGLRLAILELSESSLELSFLGGEYDEESRPVGERFMLGECEGQVLLISEEHGETVVYRVFRWVSGEGKWAMITSLGGRTLFLGFNGFAACVGPGFPEIRGLWFGFSRA* >Brasy5G068900.1.p pacid=40072248 transcript=Brasy5G068900.1 locus=Brasy5G068900 ID=Brasy5G068900.1.v1.1 annot-version=v1.1 MVLHALLDLLPHTGNSEIQNRRCSRTKLPTLPHTLGSPQPKNSPLLLAPSLPTKLEARRANPSAAAAAAAPPLPHPLSGEPCSSVAADSAFVRRHFRPSSIAADSALGRRQFRCSSAACTPLPPPAAAPRTASSSSASPSPSDRPIFIYSSPCGPVLARPSPPRTASSSSASASPRARPIFVYSSPCGPVLSPPPFLAAVSFRAVLLLSHSQSSTACRHIHRW* >Brasy5G437100.1.p pacid=40072249 transcript=Brasy5G437100.1 locus=Brasy5G437100 ID=Brasy5G437100.1.v1.1 annot-version=v1.1 MEMPNCSKSHKGHSGLIIGLSVASGPCFLLLVLCEILIRMIISLEELEKATNNFDETHKLGKGGQEVDEGGQGPVYKGILSGLHVVAIKKSNIVVEKEIDEFINEVAMLSQINHRNIVKLFECCLESEVPFLAYEFVSNRTLSYYLHKEPPRLIPWTERLRIATEIVRALACLHSAISVPIIHRDVKSPNILLDDAMTAKVSGFGTSRYKHRIFRSYTRRLTEKSDVYSFGVILVELLTRKVPTTYESAEGDGLVVQFVKLFEEGNLVEILDPQVVKEGGGTTEQVAALAASCVKLRGEQRPTMRQVEIALEGLEVHVPDDLRNVRSHVATE* >Brasy5G018800.1.p pacid=40072250 transcript=Brasy5G018800.1 locus=Brasy5G018800 ID=Brasy5G018800.1.v1.1 annot-version=v1.1 MCRVRVCRLRYYLSECILCRRTINCHMMISIVDRPTGDLNVCTNNGRTRVETKWKLYLAAHKQANSRQYCLL* >Brasy5G167900.1.p pacid=40072251 transcript=Brasy5G167900.1 locus=Brasy5G167900 ID=Brasy5G167900.1.v1.1 annot-version=v1.1 MSNADWVADCTRRNVENAARRGRSGEEGQGKRRRPGPADGGAEGRGEEEAGGLVDSDMRHHRHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTAHLYSIGAITARNSNYGHFYTTGGLGSAINAGG* >Brasy5G010900.1.p pacid=40072252 transcript=Brasy5G010900.1 locus=Brasy5G010900 ID=Brasy5G010900.1.v1.1 annot-version=v1.1 MEEYRCFVGGLAWVTDDQSLENAFRSFGEILDAKVILDRETGRSRGFGFVTFATELAMQNAINAMNGKDLDGRTISVNMAQARNNRGGGGYGASGSGGQWRN* >Brasy5G143800.1.p pacid=40072253 transcript=Brasy5G143800.1 locus=Brasy5G143800 ID=Brasy5G143800.1.v1.1 annot-version=v1.1 MYNGIGLQTARGSGTNGYVQTNKFFIRPRTGGPPKAPLPTYGDAPAAGLGGMRKPNKEILEHDRRRQVELRLVVLRETLDEQGYTEGEIEERVEEARKEAEMEAAAAVVAAETGGHGSGAGRPPHQAEGFTGTQSHHVAARKEKQLETLRAALGLDVEVGQKKNGDVDSDPESGELVPGKDFEELDIDVQKGSKALKDGKKHAKKGKKEKGNDKKSHSRSSRKSKHGYDSEDDSESDFDEEKGKKYTKRSFLDRNVDFVPDHKKEKHGKSTRHASEADSDSDHGKKTKHAKSNHEEGKKAPGKSSRHDSKGEKPRSSNHKDDSHSDSESDGSYGDSGSDYNKNKKRQKSLKGSLHESKVKHGSKAVRKDKYSDESESDSDSGHDDRSKHAKEKPLFSREEGKKAVKAPVKSSRHDSKGEKSRSSKPKDDSYSDTESDGSYSDSGSDYNQKRGKPSKSSLRESKVDKYGSRAVRKDKYLDESDSDLDSGHGDRSKHAKKKPLINVVRKDQSPDKTKVDSRNDDHKKKKPTKTNQHDIEDERPHSKVLRKDKYGEEPETETYVKEKRNPGNSSHHNSKDDKQAPRSKGKEAGLAKNVDKRKRHDSDSDSDGLKRHLDSTVKKIVEEKRRVSSSSESSFYSSSSSSSESDVSADSRENRKSDRGLKNSNNDLNYGKRASYKNELEERKRSQDGRRKDLEKQRQVDEERMEQEKQKQREEERKVLEKLKQREEERKELEKLKQREEERKELEKQKQREEERKELEKQKQREEERLKEREHERRKGGHDGERDYKRKVGEDRYDPNSNELSDDENRDRRKHEEYGRHRTRDSDGHDSKRSRYDDSYRHSRRDYEQRYSRDEYRDRRHR* >Brasy5G226300.1.p pacid=40072254 transcript=Brasy5G226300.1 locus=Brasy5G226300 ID=Brasy5G226300.1.v1.1 annot-version=v1.1 MAEEQAGGGESSAPLLEEKKATTYVEGCPGCGVERRKAANAGVPYGSFIYVWVVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVIVFGIFSVVIFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAEKYPSIFSPDSFFGRFPYFLPCLCTSMFAAVVLVSCIWMPETLHKHKVNENGNQCVEALEAPLIDPKGNAVQSDNVDTKKSLFKNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDRKYGGLSLSSEDVGQTLAITGASLLVYQLFIYPRINKVLGHVRSSQIAAVLCIPILFAYPYMTYLSEPVLSIVLNIASVIKNNLGVTIITGTFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGILFSWAQKRQHAFFFPGDQMVFFLLNIVELLGLVLTFKPFLAVPEQYDRN* >Brasy5G226300.2.p pacid=40072255 transcript=Brasy5G226300.2 locus=Brasy5G226300 ID=Brasy5G226300.2.v1.1 annot-version=v1.1 MAGKEQGERSSPLLRKTEHQPGCPGCDLDRRKALRSGMPYKEFFYVWMVSLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVIVFGIFSVVIFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAEKYPSIFSPDSFFGRFPYFLPCLCTSMFAAVVLVSCIWMPETLHKHKVNENGNQCVEALEAPLIDPKGNAVQSDNVDTKKSLFKNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDRKYGGLSLSSEDVGQTLAITGASLLVYQLFIYPRINKVLGHVRSSQIAAVLCIPILFAYPYMTYLSEPVLSIVLNIASVIKNNLGVTIITGTFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGILFSWAQKRQHAFFFPGDQMVFFLLNIVELLGLVLTFKPFLAVPEQYDRN* >Brasy5G318100.1.p pacid=40072256 transcript=Brasy5G318100.1 locus=Brasy5G318100 ID=Brasy5G318100.1.v1.1 annot-version=v1.1 MVNTYEPALTMKQRNRMKRIFTEYPAIGLLNVAVRLMGIPSLCRLLRLSFTDRTNDKPECINEGSNRPRDSVAIDDVIKRTTDYFESNIRGAEPGLFQVKKSFSLKKLHECEIWVTSQFSAQKFSALGHGAFLEFLERHAHHFSPNWSSFLNGDLSCSSSLEVSVSNWLENGEFSMDSLFLLLKRQFLTITVDIMQNKLGGLVDAIESQKKSSIQTNTTKFSIALLEKQWSGIGNAGMLRSDISEQTYPGSVSSQEAIKCLLKAPLLSDLLLWSNWDLLFAPSLGSFTHWLLNTGSIQELSCIVTTDGRFIRIDPSATVEHFLEAIIQQSPFQVAVKLLSLLHIYNGSSNAPISLLKCYAQRAIDAIINSNSDLVSAGSEGKIFVHGELRREIAEKLDCSSHSNGRIQRSLEIPYVRRIKSKSLSNIDHTLHLIAKFILDCLGHLPSEFWSLAADILLSGLRNVTKNCYSSILHACSETWQLCMLRNIGLSLGVAEWVEDCRATCQTKHVHANGEINSSSQHSSAVSGRHPHENTNMLTATDVHTMNERSRPFHGLEVTSDENRELLTKVDMADLLATSNPPTIEEINLDEAALIIETIRKEEFGLDQTLSYTDKSFLEKQHARLGRALHCLSQELYSQDSHIILELIQNADDNTYLKDVEPTLGFVLQENGVAVLNNEKGFSADNIRALCDIGNSTKKGSNMGYIGNKGIGFKSVFRVSDAPEIHSNGFHVKFDISEGQIGFILPTAIPPFDTSSLSRILSPEDGHDAGSLWNTCILLPFRSNFREGTGMCSVMSMFSDLDPSLLLFLHRLKCIKFKNMLSGKFLVMRRKSLADGIVRISCGNDTVSWLVVTKKLEGSIVRHDVCCTEIAMAFSLQETEEGEYVPYLKQQPVFAFLPLRKYGLKFILQGDFVLPSSREEVDADSAQNQWLLSEFPTLFVGMKDSFCALPCFQKSPGKAVTALMSFVPLVGEAHGFFSQMPHLILSKLRLARCMILEGSNLHWVHPCNTLWGWDEQARTLMSDAVLREHLHLGYLSKDVTISDTLSRALGIHEYGPKVLTDIMSSICRTDGCIESMGLEWLCAWFVTLHSVLLTSLESDILCSLQKMQCVPLSDGSFSSIANGPIWLNYDLLNSPPESEISMQSFPFLYCNLRIVSPSILSMSGKNSYIIGETRANDLIDILLRIGVRKFSGHDIIKNHILASLSNATDAKAEEKMLTEYVSFIMQHLQSSCTSCNSEKEEIVSELRKRSILLTNNGYKCPADVPIHFSKHYGNSVDMCKLLQNVDTTWIELDTCYLMHHSAASLQFKKNSWRQFFEELGVTDFVQVVKVEKSISGVDYVQDGITFQGDVSGTSCIVYDWESPVLTNMLSTFSSKNFRKNCIYLLEVLDKYWDGYYSTKSRSLTSATHCGEKRTVESSFMRCVRNFKWIASSMDDDLHYPRDLFCDLGNVRSLLGNVAAYAKPMLSSKSLQKDIGFKTKVSHGDALLILKHWIASQSPFSARMDQMCKFYAFLSEGEANGEINIKRDFLALCSIFTPLHRSRSTNLVTGRFMPSKDLYWHDPTGCFEMADAFVSVQRNMFLRRMLSMAYPSLHEFFTEICGVPIIPTFSDYLEILLQLSSVSLPSQVGNHVFRVFVRWANDLQSGSDKMNCIFYLKESLQKLETTVLPTVVDKWVFLHPSFGLLCWADNDELKQEFNNSSEVDFIQFGELSLDDKQTLNGRVAALMDILGMPALSKVVHREAKFDGAGNNREKASLISWLLPYMQRYIYKMHRDTYNRFQQNEAMKLSSLEVIVVQKLFYKYMLKEHDSSSKRRFECQCILQAFRILLGNILYATREVDPHSVFLELSRLFFDGSVDLHFANFLHMVKTMADSGSTVKQIEFFIVNNQKVPELPEQESVWSFSSSFVAKEIQIFTSQTVQFQPPHEPSHILKRKRDPGIISSNLLSDPKTAPDLRTPPRSKQDIKVNDIASTSELSKPVKCGHMEDTSVSAKLEGVHVVKKDLMTENMSVEESMMEIGDEPACLDLEAGSLPSLVDETELTNIDEKLADVAEEKDNLDTGPPDGRQLGAGTPGEATIRKTDERSRTGRLGEAVVHQYLVGQLGSNNVKWVNEENESGLPYDILITSGDGTTEYVEVKATVASSKDWFHITPREWQFALEKRDLFSIAHVLLKCSDKANIVMLKNPQKLCQQKLANDRTVRNASRSIPTEQSTSQNEPPAH* >Brasy5G154600.1.p pacid=40072257 transcript=Brasy5G154600.1 locus=Brasy5G154600 ID=Brasy5G154600.1.v1.1 annot-version=v1.1 MTIISNGHTTPVTDEWSSVTVACLPVTDDHLSVTGVSFPLADFLLLRRPSPCHRGEDTKPTKSRRCHGGWRRPPPPPVPTFRAARKPALCLPRRPRSRFLLPLPFSRSLSPVPSLTAPPLFPSSSNSSGARRLEDLQRRRRIRRPRPRRGSGPEATGSGLSSPPARILLPRAPAAAAAEDHGVAVLVGLARRRRIRRPRPCPRSDLAPLTPRAAG* >Brasy5G398100.1.p pacid=40072258 transcript=Brasy5G398100.1 locus=Brasy5G398100 ID=Brasy5G398100.1.v1.1 annot-version=v1.1 MANASSGAGVHDDCNLRFVELKSKRLHRFITYKLQDQKEIVVEKVGERTATYEDFTGSLPENDCRFAVYDFDFFTAEDVPKSRIFYIFWSPDTAKVRSKMLYASSNEKFKRMLDGIQVEMQATDPSEISIDEIKDRAR* >Brasy5G273000.1.p pacid=40072259 transcript=Brasy5G273000.1 locus=Brasy5G273000 ID=Brasy5G273000.1.v1.1 annot-version=v1.1 MMDWLRDSVDSSIDSVEKRNQGYFDPDYLLEHEHDDDDDVEQNLGTMGRVVPETSDVLKNMTAAMEAQLNEEKEKNQKLLSDMVKLETELAFQDQLIEELETKISEGQQQIEELKRVLAEAVKMKAEIGQVRDVAVASWSVAITLGVVFICLAKMLR* >Brasy5G099700.1.p pacid=40072260 transcript=Brasy5G099700.1 locus=Brasy5G099700 ID=Brasy5G099700.1.v1.1 annot-version=v1.1 MSLLFDCTLLSPATSSPFPPKPPPSKRASDAAAIRCRAAPSGDAALRAFRAHHVAGRALDANPALMPALAACGRLLTAAAEAEQIHTLLVKSGLPRSASGVYASTSLARVYARHGRLGDARKVFDGMPERTVVSWNVLLDGLVRAGDLEAAWGLFVEMPERNVVSWNTVMAGFARLGRAQEAVELFVEMTTVYGLVPDEATMVGFVSAVRNIGLLGLGRSAHGYVIRREFSLDGALGVGLINMYARCGSMSAAYLCFSSVTSKNVEHWTSAIGGFAAHGHPDMALRLFTEMRELGIEPNDVTFVAVLNACSHGGLVDEGFKYFNMMRKMGIRPSIQHYGCLVDLLGRAGFLEEAFNLASSLPKDPGFVAWSSLLAACQTHGNVDMAEVAAQKLADAEPNHGSSYVLLSNAYAHAGQWEDLKRTRSRMEAHRVVKKPGLSWIEVDGSVHSFGTADKLHTENKGIYQMLENLKLNLTSAGYEPETFSLSEV* >Brasy5G229900.1.p pacid=40072261 transcript=Brasy5G229900.1 locus=Brasy5G229900 ID=Brasy5G229900.1.v1.1 annot-version=v1.1 MGLQLRNGLAPAQLNGPVVCYTKCLRNHALAVGKHAVDGCGEFMAFGSDPVAALTCATCGCHRNYHLREIMYEFSAPPPPPTVIDCRSPLNGAQRPRIRDPLAAAI* >Brasy5G126300.1.p pacid=40072262 transcript=Brasy5G126300.1 locus=Brasy5G126300 ID=Brasy5G126300.1.v1.1 annot-version=v1.1 MLSAAMADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLVSALLRAAASAASSASLQSSLESALSPLSSSPDLSDLRSLSDRLLAARRELRERQEHLAAASSVASLSARLRAARASANPLHAAAAAAELKPLLLDPEGSGSVGDEPIVFGLLRDEFEQLIDELQVGLAKNLEECVEFAPEGGKVVVSAAPRGSSSGTCGVELHVALQALEIIDSLDYGMAKTADLMIKHVLIPAISNISVTVSVEVLEQGGPTAPASVLSIVPSEELQGYKDSSVLYSRIIDVIKFVHKFICLENITWMQSFAKLTWSRISDLIITHFLSKAVPIEASKLIEFQDVIRSTTEFENTLKNMMFISPDRMDGKLTQFVENVEVHFAIRKRNEILTKAREILVQYDYDNPLASDDRGDSVVDLLFQPEKCFVSKSVLQLMRLVHGALKDACLSSTRVAKEFCHAARDALLLYKAIVPVQLEKQRGSISQVAAIIHNDFYHLSQETLGLAFEYRADFPSALQKLVVFVDLAPIFSQMADSVLRRQIQLVAVNLSEAIDGADGFQNTHQSQHYESAKFSIEQVVFILEKIHIMWESILPRSIYRRSMCHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDGKFLDHDTWNQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEACCVAILMDIIIMWKPKGSTMAEQLRAFLSLL* >Brasy5G126300.2.p pacid=40072263 transcript=Brasy5G126300.2 locus=Brasy5G126300 ID=Brasy5G126300.2.v1.1 annot-version=v1.1 MLSAAMADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLVSALLRAAASAASSASLQSSLESALSPLSSSPDLSDLRSLSDRLLAARRELRERQEHLAAASSVASLSARLRAARASANPLHAAAAAAELKPLLLDPEGSGSVGDEPIVFGLLRDEFEQLIDELQVGLAKNLEECVEFAPEGGKVVVSAAPRGSSSGTCGVELHVALQALEIIDSLDYGMAKTADLMIKHVLIPAISNISVTVSVEVLEQGGPTAPASVLSIVPSEELQGYKDSSVLYSRIIDVIKFVHKFICLENITWMQSFAKLTWSRISDLIITHFLSKAVPIEASKLIEFQDVIRSTTEFENTLKNMMFISPDRMDGKLTQFVENVEVHFAIRKRNEILTKAREILVQYDYDNPLASDDRGDSVVDLLFQPEKCFVSKSVLQLMRLVHGALKDACLSSTRVAKEFCHAARDALLLYKAIVPVQLEKQRGSISQVAAIIHNDFYHLSQETLGLAFEYRADFPSALQKLVVFVDLAPIFSQMADSVLRRQIQLVAVNLSEAIDGADGFQNTHQSQHYESAKFSIEQVVFILEKIHIMWESILPRSIYRRSMCHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDGKFLDHDTWNQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEVRNFVKAIFADSPLRKECLGWISRTPA* >Brasy5G126300.4.p pacid=40072264 transcript=Brasy5G126300.4 locus=Brasy5G126300 ID=Brasy5G126300.4.v1.1 annot-version=v1.1 MLSAAMADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLVSALLRAAASAASSASLQSSLESALSPLSSSPDLSDLRSLSDRLLAARRELRERQEHLAAASSVASLSARLRAARASANPLHAAAAAAELKPLLLDPEGSGSVGDEPIVFGLLRDEFEQLIDELQVGLAKNLEECVEFAPEGGKVVVSAAPRGSSSGTCGVELHVALQALEIIDSLDYGMAKTADLMIKHVLIPAISNISVTVSVEVLEQGGPTAPASVLSIVPSEELQGYKDSSVLYSRIIDVIKFVHKFICLENITWMQSFAKLTWSRISDLIITHFLSKAVPIEASKLIEFQDVIRSTTEFENTLKNMMFISPDRMDGKLTQFVENVEVHFAIRKRNEILTKAREILVQYDYDNPLASDDRGDSVVDLLFQPEKCFVSKSVLQLMRLVHGALKDACLSSTRVAKEFCHAARDALLLYKAIVPVQLEKQRGSISQVAAIIHNDFYHLSQETLGLAFEYRADFPSALQKLVVFVDLAPIFSQMADSVLRRQIQLVAVNLSEAIDGADGFQNTHQSQHYESAKFSIEQVVFILEKIHIMWESILPRSIYRRSMCHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDGKFLDHDTWNQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEVSRCEISSKQFLLIRLFGRSA* >Brasy5G126300.3.p pacid=40072265 transcript=Brasy5G126300.3 locus=Brasy5G126300 ID=Brasy5G126300.3.v1.1 annot-version=v1.1 MLSAAMADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLVSALLRAAASAASSASLQSSLESALSPLSSSPDLSDLRSLSDRLLAARRELRERQEHLAAASSVASLSARLRAARASANPLHAAAAAAELKPLLLDPEGSGSVGDEPIVFGLLRDEFEQLIDELQVGLAKNLEECVEFAPEGGKVVVSAAPRGSSSGTCGVELHVALQALEIIDSLDYGMAKTADLMIKHVLIPAISNISVTVSVEVLEQGGPTAPASVLSIVPSEELQGYKDSSVLYSRIIDVIKFVHKFICLENITWMQSFAKLTWSRISDLIITHFLSKAVPIEASKLIEFQDVIRSTTEFENTLKNMMFISPDRMDGKLTQFVENVEVHFAIRKRNEILTKAREILVQYDYDNPLASDDRGDSVVDLLFQPEKCFVSKSVLQLMRLVHGALKDACLSSTRVAKEFCHAARDALLLYKAIVPVQLEKQRGSISQVAAIIHNDFYHLSQETLGLAFEYRADFPSALQKLVVFVDLAPIFSQMADSVLRRQIQLVAVNLSEVVFILEKIHIMWESILPRSIYRRSMCHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDGKFLDHDTWNQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEVRNFVKAIFADSPLRKECLGWISRTPA* >Brasy5G126300.5.p pacid=40072266 transcript=Brasy5G126300.5 locus=Brasy5G126300 ID=Brasy5G126300.5.v1.1 annot-version=v1.1 MLSAAMADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLVSALLRAAASAASSASLQSSLESALSPLSSSPDLSDLRSLSDRLLAARRELRERQEHLAAASSVASLSARLRAARASANPLHAAAAAAELKPLLLDPEGSGSVGDEPIVFGLLRDEFEQLIDELQVGLAKNLEECVEFAPEGGKVVVSAAPRGSSSGTCGVELHVALQALEIIDSLDYGMAKTADLMIKHVLIPAISNISVTVSVEVLEQGGPTAPASVLSIVPSEELQGYKDSSVLYSRIIDVIKFVHKFICLENITWMQSFAKLTWSRISDLIITHFLSKAVPIEASKLIEFQDVIRSTTEFENTLKNMMFISPDRMDGKLTQFVENVEVHFAIRKRNEILTKAREILVQYDYDNPLASDDRGDSVVDLLFQPEKCFVSKSVLQLMRLVHGALKDACLSSTRVAKEFCHAARDALLLYKAIVPVQLEKQRGSISQVAAIIHNDFYHLSQETLGLAFEYRADFPSALQKLVVFVDLAPIFSQMADSVLRRQIQLVAVNLSEVVFILEKIHIMWESILPRSIYRRSMCHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDGKFLDHDTWNQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEVSRCEISSKQFLLIRLFGRSA* >Brasy5G165400.1.p pacid=40072267 transcript=Brasy5G165400.1 locus=Brasy5G165400 ID=Brasy5G165400.1.v1.1 annot-version=v1.1 MAFSSVLRSSPLPAAASPRRLSVLHRGSCRLARVVSGSRSRAAARALSVRCEQSSKGGSAVDVWAGRLAMMSFATAVVAEVSTGKGLVENFGVATPAPALALVVTALVGGLAVLFIVRSGKQQD* >Brasy5G225300.1.p pacid=40072268 transcript=Brasy5G225300.1 locus=Brasy5G225300 ID=Brasy5G225300.1.v1.1 annot-version=v1.1 MSARGMMLEDFFTLTEMKDGISTVARIGELVSEIEKLKSVVTLNEADLIRQCSTAANTLASTKNEECLQHFIQLNGVVFLNQWLQDAQNCSKNANISAEDLILAILTALECLPDTVQSTYCGILPTVQHLLAHANTKINQKARVLCHKWSRTPECCTDNQEMVTKEARQTDQPKLSEVSQNTENNKIDGSHEAVIVENELKPEAMLCQGVALSNPSLTNDNRDIMKQPRLLTSPDSSNGNAILGDMNSSVSSVVSHGSSEKLPVTEETSATNDVGLATNSLPRSDSLGAKSCVGQVAPQDVTTEAKSPELNKPEHSFVGRKMDVEDQNVPANLDIEKVESLSADMSQSEKNTMEDFNHLANVSLGMQDLSAEESMGKEAPTSSSDTNADGTVNEFRLKRCMSSFADSSKAAETKFSALKGDKSTSAADYDDTDALEVARLVAIEVEREVIDYRGPFCSSPDINSGRSDSPDLEARRQPEPPIEGSNDNKSSTTGDDSGSSSSLKEDGSGITDDSGPFSRKRRRDTELGDFDLNENQCAEETDCHTKSILSNSINLSTPIAVAASRASSVFPARLHFEGQHGWKGSAATSAFRPASPRRTPEGEKSMSATSQKSSNMFDLNLADNDNAIVGEPLSTTIQLASGQSSRDTSVAVAVRGGIDLDLNFPCGDEETIMTSNVPSFLNRERFTGNWNQPSSSSSSRQPSVKNFDLNDNMSLLYGSSRGVDTSSVKSSRKDTSDLSAVTILGKRIVVGQQEQRQQIQHDFLGSSVQSGVHARSSQSYTHAPPNHSVASYPFQPALSFPPPFYASGGVPYMFDARGAPVMPPLPGLGVGISHPSFSSRTIPPSSTELSYFHPSMGLNYGLSSEVAQTEEGSYWPVSFQGQTIFVDERMRNMSRGGSTSEVVLKRKEPESGWDLYPRR* >Brasy5G086900.1.p pacid=40072269 transcript=Brasy5G086900.1 locus=Brasy5G086900 ID=Brasy5G086900.1.v1.1 annot-version=v1.1 MEEEHLNLGKSTAAQAILLMEKAFSCLGGRSGYSDEGKAKLLQDLHTIHREYVDKPLPRYLGPQVFRRSVESVQDAIDDIEYKHLSHRLEGELPHPISLQELRNAIRVLGYEAGYFASRTGENTAFDSSGASQRPSFQRATGDVFGRDKEKEQIVHWLTQVASSSPISCFAIVGMAGMGKTALAQLVCKDSRVSVNFDLVVWVPQAVDLGAEAITTEILRSIGLSVPSRIESMKYHLAEELRGNKILLVLDDVWEDESTDKWANLASPLRSAMRGSKILLTTRMQSVADMAADVVGGEAESLTLDELDEHSNFLLFKSQLTPHINFEDHADLLLIGEQIAQKFGGCPILTLAITSRLKDNLLASYWHTVLHEDMQKITGMCGIFEVLQLSYDRLPTQVQVCFRYCGIFPNGYRFSKEELVNMWLCSGLIPFVSSKPGGIGLHFASPKPEDIPKTGDIGLHFASPKPGDSGFPRTENFSLPNPEDVGQHCFRALTRKSFFCHVLERDPSTGDQKEYYVLHRIMHYFAEFVSQGECARTNGYFGNDYLVPEIKHLAIGHFSNITEDDIRMVFVSSGTLRTLIIQSEACLDQQTEVLLEKLLGGLTRLRLLYLDVPSLSHALVRVPNLTKLRYLFLFSCDGYHLQKAFKLYHLQVFKLNYLTGKEAGFYGIYNLHSLRCLHVPDNVLSNINHVGWLTSLQELHGFDVMENDGHRLSALSNLKNLEKLSLKNLQNVRNSEETMEVKLKEKQHIRFLSLSWNKYSNDPENLSSRVLDSLEPNKEIQHLHINGYNGVLLPQWIEKLLPIHLVSLDLEYCMKWKTLPSLQDLNSLKYLKLEHLFQLEYIGAEDHFGTSKSEYAPLPPFLNTLIVRSCPSLKNLPSIPCTLEQLIIKHVGLEVLPRMYQRYTGSLTFGNWESASASASSVKSDLAFLHIESCTHLTTLDEGFLKQQEHLQSLTTLIIRHCERLCHLPKKGFSELPRLNILEMVGCPVLRDSKTEGSVLPVSLTNLDINPCGDIEVSVLMSLQNLTFLRRLSLLSCSNLEKLPSENVFGSLNNLYDVSIARCKNLLSLGALGIVASLRVLSILCCDKLHFSYSQQAGCSFKLLKLEIDSQALLLVEPIKSLRYIKELQICDDNAMQSLPGEWLLQNALSLHSIEIGVAESLCSLPSQMIYLESLQSLHIERAPLIQSLPQMPMSLRKLTIWGCDRMFLKRYEKDVGLDWGRIAHIPDVDMKAYSEGMSCSGDNSTSNPCCEFVVVD* >Brasy5G086900.2.p pacid=40072270 transcript=Brasy5G086900.2 locus=Brasy5G086900 ID=Brasy5G086900.2.v1.1 annot-version=v1.1 MKYHLAEELRGNKILLVLDDVWEDESTDKWANLASPLRSAMRGSKILLTTRMQSVADMAADVVGGEAESLTLDELDEHSNFLLFKSQLTPHINFEDHADLLLIGEQIAQKFGGCPILTLAITSRLKDNLLASYWHTVLHEDMQKITGMCGIFEVLQLSYDRLPTQVQVCFRYCGIFPNGYRFSKEELVNMWLCSGLIPFVSSKPGGIGLHFASPKPEDIPKTGDIGLHFASPKPGDSGFPRTENFSLPNPEDVGQHCFRALTRKSFFCHVLERDPSTGDQKEYYVLHRIMHYFAEFVSQGECARTNGYFGNDYLVPEIKHLAIGHFSNITEDDIRMVFVSSGTLRTLIIQSEACLDQQTEVLLEKLLGGLTRLRLLYLDVPSLSHALVRVPNLTKLRYLFLFSCDGYHLQKAFKLYHLQVFKLNYLTGKEAGFYGIYNLHSLRCLHVPDNVLSNINHVGWLTSLQELHGFDVMENDGHRLSALSNLKNLEKLSLKNLQNVRNSEETMEVKLKEKQHIRFLSLSWNKYSNDPENLSSRVLDSLEPNKEIQHLHINGYNGVLLPQWIEKLLPIHLVSLDLEYCMKWKTLPSLQDLNSLKYLKLEHLFQLEYIGAEDHFGTSKSEYAPLPPFLNTLIVRSCPSLKNLPSIPCTLEQLIIKHVGLEVLPRMYQRYTGSLTFGNWESASASASSVKSDLAFLHIESCTHLTTLDEGFLKQQEHLQSLTTLIIRHCERLCHLPKKGFSELPRLNILEMVGCPVLRDSKTEGSVLPVSLTNLDINPCGDIEVSVLMSLQNLTFLRRLSLLSCSNLEKLPSENVFGSLNNLYDVSIARCKNLLSLGALGIVASLRVLSILCCDKLHFSYSQQAGCSFKLLKLEIDSQALLLVEPIKSLRYIKELQICDDNAMQSLPGEWLLQNALSLHSIEIGVAESLCSLPSQMIYLESLQSLHIERAPLIQSLPQMPMSLRKLTIWGCDRMFLKRYEKDVGLDWGRIAHIPDVDMKAYSEGMSCSGDNSTSNPCCEFVVVD* >Brasy5G463600.1.p pacid=40072271 transcript=Brasy5G463600.1 locus=Brasy5G463600 ID=Brasy5G463600.1.v1.1 annot-version=v1.1 MGRSRCLSEQGHATRVCCTHGTEFQGWLQGRLLHVTGSLSTECVSIITREVPAEQALLQRFLYSSIALLSFFCRYYNATPSQPYNAINFLVRSYWQELVNSSLQVLQ* >Brasy5G070700.1.p pacid=40072272 transcript=Brasy5G070700.1 locus=Brasy5G070700 ID=Brasy5G070700.1.v1.1 annot-version=v1.1 MAAPASRHRREPSSRSWALLFVAILAVHSVAIYLFTRGFLLTRTELDVHSHRDDRTGVSPGCSSWPQPAVDRLVIVVLDALRFDFVAPSTFFPEKQPWMDKLQVLQKLAAEEKNSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWTQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLRENDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNKILEDVIDTLKSLSTPGGPHENTLLLVMGDHGQTLNGDHGGGTSEEVETSLFAWSSKTPPEAVLSVLGANSCNFDLHGAEVCISTMQQLDFAATVSALLGIPFPFGSIGRVNPELYALSAGTWDNQKMGIDACTPQNDLEAWKRRYAEALCVNSWQVKRYIDQYSGSSIVGFSAEYLHHVAELYSKAQANWSVALRSTCPSETANEEEFKASTSSPLQLQIDAYSDFLESFAKLARSAWTEFDLWSMGIGLLLMILSVITQACVLVKLNTICQPSDKENASSRAIPKLSFAFMLVAIRAASILSNSFILAEGRVANFLLATSCIAGVWHSATKGNFSIEEFVFLLLNIFARFGIEFGMSKQIAGPTVTKDHPVSIILDMFGSSFCNGLMDIFPIISLALVAYIVLKFITYAIYQRFLKYFIMSGTILSYIFIAIHWASESTLFFHSKAIRDIGISLAPRFVYAIGGFSLAISALCRLFGSIGTLRLNERITSLSAAMLCSWSPTILILLGRQGSFVALICITGAWCIIMLQQKYQKDLKVDIIGTCVANPVSVTQWSLFAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLGLPFIAMHCYNKASKKSKVKDFTTNILIQVHLMYGLITAITTTLTIICVTIQRRHLMVWGLFAPKYVFDVIGLLLTDFLICLASLYYS* >Brasy5G496900.1.p pacid=40072273 transcript=Brasy5G496900.1 locus=Brasy5G496900 ID=Brasy5G496900.1.v1.1 annot-version=v1.1 MAPFAGVVALLLFLASSAFAADEAVLGRKGGVMSDESKEAPPGSVKYAVIFDAGSTGTRVHVFKFDKNMDLVKIGDDIEMFAKVSPGLSSYAGRPQEAAKTMIPLLDEAKSVVPSQDMSTTPLKLGATAGLRLIGDKQSEEILQAVRDVVHSRSKFQYNPKWINVLVGSQEGSYLWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSANAAANAPAAPDGKDPYITEEYLKGKDYNVYVHSYLYYGNMAARVEILKAKSGPFSSCMLSGSTGDYAYNGKDYDAAASPEGAVYGKCREEARRALKLGAPCESKNCTFGGVWNGGGGAGQKELYVASFFYDKATQVGWVDKVAPSASSSPVEFMAAAEKICPMSVEEAKAAYPRVRDTEFICMDLVYQYTLLVDGFGLAPEREMTLVTKVKHGEFLIGAAWPLGEAIEAVATTRIHHFP* >Brasy5G496900.3.p pacid=40072274 transcript=Brasy5G496900.3 locus=Brasy5G496900 ID=Brasy5G496900.3.v1.1 annot-version=v1.1 MAPFAGVVALLLFLASSAFAADEAVLGRKGGVMSDESKEAPPGSVKYAVIFDAGSTGTRVHVFKFDKNMDLVKIGDDIEMFAKVSPGLSSYAGRPQEAAKTMIPLLDEAKSVVPSQDMSTTPLKLGVRDVVHSRSKFQYNPKWINVLVGSQEGSYLWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSANAAANAPAAPDGKDPYITEEYLKGKDYNVYVHSYLYYGNMAARVEILKAKSGPFSSCMLSGSTGDYAYNGKDYDAAASPEGAVYGKCREEARRALKLGAPCESKNCTFGGVWNGGGGAGQKELYVASFFYDKATQVGWVDKVAPSASSSPVEFMAAAEKICPMSVEEAKAAYPRVRDTEFICMDLVYQYTLLVDGFGLAPEREMTLVTKVKHGEFLIGAAWPLGEAIEAVATTRIHHFP* >Brasy5G496900.4.p pacid=40072275 transcript=Brasy5G496900.4 locus=Brasy5G496900 ID=Brasy5G496900.4.v1.1 annot-version=v1.1 MIPLLDEAKSVVPSQDMSTTPLKLGATAGLRLIGDKQSEEILQAVRDVVHSRSKFQYNPKWINVLVGSQEGSYLWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSANAAANAPAAPDGKDPYITEEYLKGKDYNVYVHSYLYYGNMAARVEILKAKSGPFSSCMLSGSTGDYAYNGKDYDAAASPEGAVYGKCREEARRALKLGAPCESKNCTFGGVWNGGGGAGQKELYVASFFYDKATQVGWVDKVAPSASSSPVEFMAAAEKICPMSVEEAKAAYPRVRDTEFICMDLVYQYTLLVDGFGLAPEREMTLVTKVKHGEFLIGAAWPLGEAIEAVATTRIHHFP* >Brasy5G496900.2.p pacid=40072276 transcript=Brasy5G496900.2 locus=Brasy5G496900 ID=Brasy5G496900.2.v1.1 annot-version=v1.1 MAPFAGVVALLLFLASSAFAADEAVLGRKGGVMSDESKEAPPGSVKYAVIFDAGSTGTRVHVFKFDKNMDLVKIGDDIEMFAKVSPGLSSYAGRPQEAAKTMIPLLDEAKSVVPSQDMSTTPLKLGVRDVVHSRSKFQYNPKWINVLVGSQEGSYLWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSANAAANAPAAPDGKDPYITEEYLKGKDYNVYVHSAKLLRLQLLVLRQHGCPRGDPQGEIRAVQQLHAERIHRRLRLQRQGLRRRRIAGGSRVWKVPGGGSPGAEAGRAVREQELYLRRRMERRRRRGAEGALRGILLLRQGDAGRVGGQGGAEREF* >Brasy5G460100.1.p pacid=40072277 transcript=Brasy5G460100.1 locus=Brasy5G460100 ID=Brasy5G460100.1.v1.1 annot-version=v1.1 MLTGNSSNTMDASPPAQPDAAAPPSSTSASTTPSAPPPNPTPSAPTPSPAPAPTPGPSPSPAQTLKPPTPSLASARPPPPPRMRPPYTHLASPITMSSSSSSPATAAASSATLSMPRGGVAIGLPAHPRGTHAPAGCTGFVPPPPVAHQFSPMHRGPGQPPPPAPQLRQPAPGIQNIGMIGSINTSQLRPGATDRPQQPRPGLPSSATPSPSGSQFPVSQKTPMHSLTRPLSISPSTALQQTQTNASAPFRPQQRPQVPQQRPYQVAQSAPVASHQQNIVSGQQQLPQHQLLQQQQQHQQQQHQSQPQSSLQQSQQPATLKTQEQPARTPVSLTHNADFPATQQATNTQVVDMGSTDTAAGESSNRLLSKRSIHELLAQIDPSERLDPEVEDVLIDIAEDFIESVGRFSCFLAKHRKSSTLEAKDVLLHAERSWNITLPGFTGDEIKLYKKPHVNDIHRERLTLIKKSMASEGNTRSSAAQAASNQKNQTPKPPATGSP* >Brasy5G460100.3.p pacid=40072278 transcript=Brasy5G460100.3 locus=Brasy5G460100 ID=Brasy5G460100.3.v1.1 annot-version=v1.1 MDASPPAQPDAAAPPSSTSASTTPSAPPPNPTPSAPTPSPAPAPTPGPSPSPAQTLKPPTPSLASARPPPPPRMRPPYTHLASPITMSSSSSSPATAAASSATLSMPRGGVAIGLPAHPRGTHAPAGCTGFVPPPPVAHQFSPMHRGPGQPPPPAPQLRQPAPGIQNIGMIGSINTSQLRPGATDRPQQPRPGLPSSATPSPSGSQFPVSQKTPMHSLTRPLSISPSTALQQTQTNASAPFRPQQRPQVPQQRPYQVAQSAPVASHQQNIVSGQQQLPQHQLLQQQQQHQQQQHQSQPQSSLQQSQQPATLKTQEQPARTPVSLTHNADFPATQQATNTQVVDMGSTDTAAGESSNRLLSKRSIHELLAQIDPSERLDPEVEDVLIDIAEDFIESVGRFSCFLAKHRKSSTLEAKDVLLHAERSWNITLPGFTGDEIKLYKKPHVNDIHRERLTLIKKSMASEGNTRSSAAQAASNQKNQTPKPPATGSP* >Brasy5G460100.2.p pacid=40072279 transcript=Brasy5G460100.2 locus=Brasy5G460100 ID=Brasy5G460100.2.v1.1 annot-version=v1.1 MLTGNSSNTMDASPPAQPDAAAPPSSTSASTTPSAPPPNPTPSAPTPSPAPAPTPGPSPSPAQTLKPPTPSLASARPPPPPRMRPPYTHLASPITMSSSSSSPATAAASSATLSMPRGGVAIGLPAHPRGTHAPAGCTGFVPPPPVAHQFSPMHRGPGQPPPPAPQLRQPAPGIQNIGMIGSINTSQLRPGATDRPQQPRPGLPSSATPSPSGSQFPVSQKTPMHSLTRPLSISPSTALQQTQTNASAPFRPQQRPQVPQQRPYQVAQSAPVASHQQNIVSGQQQLPQHQLLQQQQQHQQQQHQSQPQSSLQQSQQPATLKTQEQPARTPVSLTHNADFPATQQATNTQVVDMGSTDTAAGESSNRLLSKRSIHELLAQIDPSERLDPEVEDVLIDIAEDFIESVGRFSCFLAKHRKSSTLEAKDVLLHAERSWNITLPGFTGDEIKLYKKPIKKSMASEGNTRSSAAQAASNQKNQTPKPPATGSP* >Brasy5G222700.1.p pacid=40072280 transcript=Brasy5G222700.1 locus=Brasy5G222700 ID=Brasy5G222700.1.v1.1 annot-version=v1.1 MSKEEVLKVQTCVFKVNIHCDGCHKKVNKVLSKIDGVYQSSVDPEQGKVTVSGLLDPDTIIRKLNKAGKPAVLWGSKPGAAGAAVAANQFQKLKLDGGGGGGGKNKGQQKDAGGGGGKGQQHPKDAGGKGHKSGGGGKDAKMKPIHQQMQMKGAPPPPTAQQLQQLQMQMKGMKLPPQFMAAAAAGKMPFAHGPPPAAAVAASKDPRSVKFSLPPRDDGWGDDDGDDLGGSEFDDDEFDGFDDDEDFDDDDGFYDDDLYDDPKVMTKHMAPQPHAGDKKGGGNGGKKGGGGNEMPAAQFKGNNGGKKDAGGKGQHQGGGGGGQPNNAKGGGAAPGLGKKAGGPMGAMPPQQQQHAMPRPANMMGGGAGAGGFPGHMGQHPGHMGSGGGGGFPGMGQMGQHPGHMGSGGGSRMPPPAAFYQGGGAGGGGAEMLQATAAAGNPIAQQQYMQLIQQQQQQMAALMQQQQQQMVMNNGGHGHGGHGGGAAGYQAAQMMGYGGGYGRPQPPPMMMPSYPAPPPPAPHGEPYNYFSDEDPTSSCSVM* >Brasy5G489500.1.p pacid=40072281 transcript=Brasy5G489500.1 locus=Brasy5G489500 ID=Brasy5G489500.1.v1.1 annot-version=v1.1 MVDNEGGIMNMSYFPVFILLFLFSSCKSDDQLTQAKPLSPGNMLVSKEGNFALGFFSSANSNRNLYVGIWYNNIPERNRTVLWVTNRDKPATTASSSTTTLTVSNSSNLVLSDLKGQTLWMTKNNMTAEQGMVGSYAVLLDTGNFVLRLPNGTVIWQSFDEPTDTILPGMRFLLSYKNHAVGRLVAWKSLDDPSPGDFSFSIDPSSYLEMVTWNETKLYCRILVWNGVSVFGGTYPRDASSVMYQAIINTGDMFYLMYTVSDGAPYTRTTLDYMGTMRMLIWNNYSSSWTATAELPSGSYGVYGSCGSFGYSDFTGVVPTCQCLDGFEPNGLNSSRGCRRVEELRCDRPSHFVALPGMRIPDKFVHIQNITFEQCASECSRNCSCTAYAYANLSNAGTLADQTRCLVWTGELVDTWKTTFNGEKPCGFSCFWVRELHDDLMKDTKQGNFHENRNLMKIVLPVIACLLILCVALVLRCKNRGRNKKIHKKLMLGYLRPSSELGGENVEFPFLSFEDIIAATDNFSDSCMLGRGGFGKVYKGILGDKDVAIKRLSNGSGQGTEEFGNEVVLIAKLQHRNLVRLFGSCIHEAEKLLVYEYMSNRSLDAFLFDDTRRYALDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDKEMTPKISDFGTARIFGGNQQQGNTIRVVGTYGYMSPEYVMSGAFSVKSDTYSFGVRLLEIISGLKISSPQLITNFPNLTSYAWKLWEDGSARELVDSSVVDSCPIHEVLRCIHVGLLCVQDHCDARPLMSSVVFMLENETTSLPEPEQPAYFSPRNHENAHARENMENSLNAMSITTLVGR* >Brasy5G185200.1.p pacid=40072282 transcript=Brasy5G185200.1 locus=Brasy5G185200 ID=Brasy5G185200.1.v1.1 annot-version=v1.1 MDAQRALNSLLGRLTTVLVNEAQLLGGIRGDVEFIKDEMESMNGLLLHLAEANHHDHQVRAWMKQVIGLTRDCDGNVELYIQYVAGATPRATGLLGYLQQVLRFVRTILARRRVAMRIRQLKIRARDVGDRRLRYGVVVPSTADQDDAIFIDHVLAQLEPAEEENVHSHALFATDIELPDDEETLVNKSIDRMIKWLTEEAPAAGGGFEPQLRIVPILWNSHNPKEEETLAVRLAQEVYQHQSVVNPFDCKAWVYVGRNSDLCHILKEILVKVAPLPVPTQQENTLLTQAEQTVETVLDGEASQLTKKLQDCLKGKRFLIVLDNVPERLPWDGIRSALSPAGNDCPPGSAIVITTWSDDVAKSSSPYKIFQPQSLFFVFYDKAREITGGSDNSTMANVGRILGACYPEVFAMKIFLHLLYVNPNRSRTQLDNFVKKLSDCVSYKRAAKQMVRFSYDDLPTKYRSCLLYLTIAPDNDRIRRTTVSRRWIAEGLIAARENRAEDEADCCFHALVSWGLINPGEISDAGKIKSYTIHHVVREVVARIARDLNFVDADLPPGLARHLPVHNGMGVQASHCSHPVEAGSDGILSLLPYWAKLPQWQLLKMLDLEGCKGLKKHHLKSICKILLLKYLSLRNTDVTELPKQIKKLRCLETLDIRQTVVQALATKSIMLPMLKHLLAGQTDSPSSNRTDRFKEPFATLRLPSGIRRMTKLEILSHVEVSDNIDDLIDVGQLTQLRKLGLVLHGDKTGLALVLQQVEKLHGCLRSLSIRVNQLDNSEGTPVTEGVVALTSPPNLLQSLNISGITSGLPLWIEELHQLAKITLSETGLGEAALRVLGKLRTLRCLRLRHKSYTRSELSFEDEEFQSLKSLVVEGSDITSIAFNVGAGPELETIVWSFAHMDSISGVLCLPKLKKLKLNGDCDPGPVRDAIEEHPNRPDFKHNG* >Brasy5G451600.1.p pacid=40072283 transcript=Brasy5G451600.1 locus=Brasy5G451600 ID=Brasy5G451600.1.v1.1 annot-version=v1.1 MAATSYSSSSSAAGLGGGGAAAAAAGLKTYFKTPEGRYKLQYEKAHPAAVLHYNHGGKTVSQLTAAYLKERSTGQGSTPSTPSSSSGMRSAAARLLGNGNGSRALSFVGGNGVSRAVSGSSRIGGSLGTSTSLGGSQPVANYDGKGAYIIFNAADTLFISDLNSQDKDPVKSMHFSNSNPLCHAFDSEAKEGHDLIIGMGSGDVYSMSLRQQLQEPGRKPVAAQHYNKGDKDGTSISSRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNIECTFPAIKDPTQLMVSHAKSSKSNPIARWHICHGSINAMSFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVAFDPYWSPPNSDGTGENVVYRFGSVGQDTQLLLWDLAMDEIVVPLRHPSGGSPTFSGGSPSAHWDSACPPTGILQPSPRMRDVPKLSPLIAHRVHADPLSGLVFTNESILTICREGLIKIWSRPIRSENNQQSNSSELGIDNTVSKDRVITSSRLKQAVPVSSNLHLFS* >Brasy5G350200.1.p pacid=40072284 transcript=Brasy5G350200.1 locus=Brasy5G350200 ID=Brasy5G350200.1.v1.1 annot-version=v1.1 MHLALVVVLASVLAAAAAMATTMPAPAYRGVERLPDGRWRGYVTNNKGDKFTVGRFDTAEAAALAHDRAIVALVGPAACSEAFNFRAGFSDTELRFLRGPHAPGRADAIIALIGDQAGAAYEAQLTRFAEHAYDAYMDPELAFDIMSFRLAHRDDMVHQYCKQMAAAIGPAAVRDFEREAFLEAAKNKATNKDWVSSFHRRRHEFGPTFEDENRWPPLVPPIDDVYVGDKFAGNELIYLPHGSWYVDEMIIS* >Brasy5G199200.1.p pacid=40072285 transcript=Brasy5G199200.1 locus=Brasy5G199200 ID=Brasy5G199200.1.v1.1 annot-version=v1.1 MERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEVLGEAADDQTLLFTHSRNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPIRSVGDKPFESFKGTDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMRFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNVRGKDREAHWKFGLALYSLDRLYQAVDLYPNGTYAWSTRWKEHIFYLAKPGTEKTDQLAVLLRLKDMYDCLPSSSGYLILQ* >Brasy5G199200.2.p pacid=40072286 transcript=Brasy5G199200.2 locus=Brasy5G199200 ID=Brasy5G199200.2.v1.1 annot-version=v1.1 MERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEDQTLLFTHSRNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGLSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPIRSVGDKPFESFKGTDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMRFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNVRGKDREAHWKFGLALYSLDRLYQAVDLYPNGTYAWSTRWKEHIFYLAKPGTEKTDQLAVLLRLKDMYDCLPSSSGYLILQ* >Brasy5G490700.1.p pacid=40072287 transcript=Brasy5G490700.1 locus=Brasy5G490700 ID=Brasy5G490700.1.v1.1 annot-version=v1.1 MKGVGNQIGCQPKWRKVAYGGRQPGYDDNHTDDSFLEEMVMNANVVKRDFLKVMIDSVSISQYLCIVALVVSTWTHTLNLAIDEITLLKFDIGLLLVGFSVLLLTTSPFSLKLLWKYVLNISFFTSGLYVLAPICHTLTRSICSDSIWALTVFLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNGSIVASVLVASRLPSWLHVFVIMLFSLQVFLFAPLVTFCIKKYSCRLHLLFSFALMVMALSVTYQLHRMLFILLLALLIFISLVCPYWLIRIQEYKFEINGPWDEAKLCFDITE* >Brasy5G256000.1.p pacid=40072288 transcript=Brasy5G256000.1 locus=Brasy5G256000 ID=Brasy5G256000.1.v1.1 annot-version=v1.1 MPQKAGPFRAAPLGPAAPALIRVSLLGGGYTAVAGAASGGGCPRAPSSGGPRRARGCGSRRRRRRPARPIADGGAASARLLVVARAAPESAAAGGGGAQSSGGGAAARARGFGGRRTGWCALAHWRWQCTRSTSAVAALVLGGSGGGGSRVTAAMPLLAMGKIRRHGSLAFMAAVAGGATLDGVAPSPPKIGQQVPHAAGSIGNCAGDLVSKRPARKIQS* >Brasy5G032500.1.p pacid=40072289 transcript=Brasy5G032500.1 locus=Brasy5G032500 ID=Brasy5G032500.1.v1.1 annot-version=v1.1 MKGVSSIPAAAIIPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWFWGVNLWVFAQSSINYSRVFDLSQTHLSHREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAVLLIILLSPFDMFYLSSRFFFLRTMWCIILPLQAITFPDFFMADIFTSMSKVFSDLERSSCRMVHRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPEIWISFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSVWTNLLYGQTWVYYWVLGSNLVLRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLDSGSHTV* >Brasy5G032500.2.p pacid=40072290 transcript=Brasy5G032500.2 locus=Brasy5G032500 ID=Brasy5G032500.2.v1.1 annot-version=v1.1 MKGVSSIPAAAIIPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAVLLIILLSPFDMFYLSSRFFFLRTMWCIILPLQAITFPDFFMADIFTSMSKVFSDLERSSCRMVHRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPEIWISFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSVWTNLLYGQTWVYYWVLGSNLVLRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLDSGSHTV* >Brasy5G032500.3.p pacid=40072291 transcript=Brasy5G032500.3 locus=Brasy5G032500 ID=Brasy5G032500.3.v1.1 annot-version=v1.1 MKGVSSIPAAAIIPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWFWGVNLWVFAQSSINYSRVFDLSQTHLSHREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAVLLIILLSPFDMFYLSSRFFFLRTMWCIILPLQAITFPDFFMADIFTSMSKVFSDLERSSCRMVHRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPEIWISFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSVWTNLLYGQTWV* >Brasy5G511800.1.p pacid=40072292 transcript=Brasy5G511800.1 locus=Brasy5G511800 ID=Brasy5G511800.1.v1.1 annot-version=v1.1 MEPPLPSKMLSTLSLIAALIMMAMAAATPSLQEQAQALLAWKATLQGNQSQASLQSWGNMSSSSSSAPCSWRGIKCGMHKRHERRPVITGVSLQRLQLRGTLEPLNFSALTTLTRLDLSRNGLTGSIPPGIEALNVLHSLLLHGNEIRGSIPPSLANLTRLHFLMLHDNQLTGEIPRQLIGTMGSLASLNLSCNHLDGPIPCEIGHLKHLVTLDLSDNNLSGTVSFSPNNSSKQNATKTFSSRYIPVVNLENLNLLSIARNNLAGSFPQGIVNLFNLQHLDISQNKFSGSIPNTIGDLRKLTRMYLSGNRFSGSVPHEIGNLVNLEVMHFGKNKLTGYIPKYMGNLTKLTSLQLWRNGFFGRIPRELGNLVHLEIMDLSENSLSSSIPSSLKNLSKLTAMYLYRNQLSGHIPGELGYLVNLEVLELSHNTLTSSIPNNLCNLTTKLATLYLHKNQLSGHIPQELGYLVNLQTLTLSNNTLIGSIPNSLGNLSKITTLYLSNNRNIWGSLPSGLCTGNRLQKFSINDNNIAGPLPRSLLRCTSLVRVRLERNQLQGDISEMGIYPNLVYIDISSNKLVGQLSRDWGDCYNLTMLRASNNNITGVIPSSLGQLPQLGILDISSNKLQGHIPPEIGNIVTLFTLSLGNNMLEGNIPQEIGSLNNLEYLDLSSNKLAGLMPRQVQHCLKLRFLQLSHNNLTGSIPMELGMLVYLQGLLDLSDNYFYGAIPNLLSGLRMLEALNLSHNTLNGSIPPSIQSMTSLLSMDVSYNELEGPVPQSKFFEEAPIKWFTHNKKLCGSVRGLPPCYLPQSGQERKKSRSILLAIIPAFVSFVLITALVMLQCKKKKCQEESSDDLQQQTNLFAIWNFDGEDVYKKIVDATENFSDTHCIGIGGNGSVYRAQLPTGEVFAVKKIHLIEHDETFNREIEALMHIRHRKIAKLFGYCSAAQGRFLVYEYMCRGSLQASLKSRKTALELDWDRRLNIVKDVAHALSYMHHDCFAPIVHRDITSSNILLDLEFRACISDFGIAKILYTNASNCTRLAGTKGYIAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGEFLLSLSSMVKKSVLLGDLLDSRLPLPKAVIPSEIFKVIAVAFRCIEANPSHRPTMQNAIKMLSTAEGPDNHLDYLHTGIVIPAQ* >Brasy5G511800.2.p pacid=40072293 transcript=Brasy5G511800.2 locus=Brasy5G511800 ID=Brasy5G511800.2.v1.1 annot-version=v1.1 MEPPLPSKMLSTLSLIAALIMMAMAAATPSLQEQAQALLAWKATLQGNQSQASLQSWGNMSSSSSSAPCSWRGIKCGMHKRHERRPVITGVSLQRLQLRGTLEPLNFSALTTLTRLDLSRNGLTGSIPPGIEALNVLHSLLLHGNEIRGSIPPSLANLTRLHFLMLHDNQLTGEIPRQLIGTMGSLASLNLSCNHLDGPIPCEIGHLKHLVTLDLSDNNLSGTVSFSPNNSSKQNATKTFSSRYIPVVNLENLNLLSIARNNLAGSFPQGIVNLFNLQHLDISQNKFSGSIPNTIGDLRKLTRMYLSGNRFSGSVPHEIGNLVNLEVMHFGKNKLTGYIPKYMGNLTKLTSLQLWRNGFFGRIPRELGNLVHLEIMDLSENSLSSSIPSSLKNLSKLTAMYLYRNQLSGHIPGELGYLVNLEVLELSHNTLTSSIPNNLCNLTTKLATLYLHKNQLSGHIPQELGYLVNLQTLTLSNNTLIGSIPNSLGNLSKITTLYLSNNRNIWGSLPSGLCTGNRLQKFSINDNNIAGPLPRSLLRCTSLVRVRLERNQLQGDISEMGIYPNLVYIDISSNKLVGQLSRDWGDCYNLTMLRASNNNITGVIPSSLGQLPQLGILDISSNKLQGHIPPEIGNIVTLFTLSLGNNMLEGNIPQEIGSLNNLEYLDLSSNKLAGLMPRQVQHCLKLRFLQLSHNNLTGSIPMELGMLVYLQGLLDLSDNYFYGAIPNLLSGLRMLEALNLSHNTLNGSIPPSIQSMTSLLSMDVSYNELEGPVPQIMLQCKKKKCQEESSDDLQQQTNLFAIWNFDGEDVYKKIVDATENFSDTHCIGIGGNGSVYRAQLPTGEVFAVKKIHLIEHDETFNREIEALMHIRHRKIAKLFGYCSAAQGRFLVYEYMCRGSLQASLKSRKTALELDWDRRLNIVKDVAHALSYMHHDCFAPIVHRDITSSNILLDLEFRACISDFGIAKILYTNASNCTRLAGTKGYIAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGEFLLSLSSMVKKSVLLGDLLDSRLPLPKAVIPSEIFKVIAVAFRCIEANPSHRPTMQNAIKMLSTAEGPDNHLDYLHTGIVIPAQ* >Brasy5G511800.3.p pacid=40072294 transcript=Brasy5G511800.3 locus=Brasy5G511800 ID=Brasy5G511800.3.v1.1 annot-version=v1.1 MEPPLPSKMLSTLSLIAALIMMAMAAATPSLQEQAQALLAWKATLQGNQSQASLQSWGNMSSSSSSAPCSWRGIKCGMHKRHERRPVITGVSLQRLQLRGTLEPLNFSALTTLTRLDLSRNGLTGSIPPGIEALNVLHSLLLHGNEIRGSIPPSLANLTRLHFLMLHDNQLTGEIPRQLIGTMGSLASLNLSCNHLDGPIPCEIGHLKHLVTLDLSDNNLSGTVSFSPNNSSKQNATKTFSSRYIPVVNLENLNLLSIARNNLAGSFPQGIVNLFNLQHLDISQNKFSGSIPNTIGDLRKLTRMYLSGNRFSGSVPHEIGNLVNLEVMHFGKNKLTGYIPKYMGNLTKLTSLQLWRNGFFGRIPRELGNLVHLEIMDLSENSLSSSIPSSLKNLSKLTAMYLYRNQLSGHIPGELGYLVNLEVLELSHNTLTSSIPNNLCNLTTKLATLYLHKNQLSGHIPQELGYLVNLQTLTLSNNTLIGSIPNSLGNLSKITTLYLSNNRNIWGSLPSGLCTGNRLQKFSINDNNIAGPLPRSLLRCTSLVRVRLERNQLQGDISEMGIYPNLVYIDISSNKLVGQLSRDWGDCYNLTMLRASNNNITGVIPSSLGQLPQLGILDISSNKLQGHIPPEIGNIVTLFTLSLGNNMLEGNIPQEIGSLNNLEYLDLSSNKLAGLMPRQVQHCLKLRFLQLSHNNLTGSIPMELGMLVYLQGLLDLSDNYFYGAIPNLLSGLRMLEALNLSHNTLNGSIPPSIQSMTSLLSMDVSYNELEGPVPQM* >Brasy5G123400.1.p pacid=40072295 transcript=Brasy5G123400.1 locus=Brasy5G123400 ID=Brasy5G123400.1.v1.1 annot-version=v1.1 MAAATMTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFKMTKSDHLADLKEKFKRMCDKSQIRKRYMHLTEEILEDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIVGADPEEHVERPLFQLVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKALEEAFKPLGIDDWNSVFWVAHPGGPAILDMVEAKINLNKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGAVATA* >Brasy5G187100.1.p pacid=40072296 transcript=Brasy5G187100.1 locus=Brasy5G187100 ID=Brasy5G187100.1.v1.1 annot-version=v1.1 MLAVPSSGRCPSLGPAPPPPMQASPPPPPPPAYASPPPPPLPTPPPPPLSPAAQPAMAPGPGQMISCNDCNIECNRPCIASILSKCSPRCDGIKASCNKCKTELIKNCKAGGTCADGSCDCDNLGTYNSRSCNRDCDEWFCQDCGKELSKECGQNCARQCHDHGCVGN* >Brasy5G063000.1.p pacid=40072297 transcript=Brasy5G063000.1 locus=Brasy5G063000 ID=Brasy5G063000.1.v1.1 annot-version=v1.1 MACQQPAWPGLPRHLPGSVLSRLPSLADHARLRAVCRPWRIKLLALPAPPQLPWLALPLAGTVYDVANNKTYRLQIPNQDAAGDNMVFLHHNDGPCYSAGDNMFFFLHQDANDDGGRCSCSLVDGLSGAATPLPELAALLQQPHTVNTNKMKIEKATVSSSSSAAAASSRPPGRLLAVLAWDGHKSRVFISTCRPAAAGEITNTCVEMREFPRIVDIAFFQGKLYAHLAMYYKLVAVDLSDGCLDGDDEPPQGDLGHGPRMGRWIKKARGLDGWAFFVGKPCSRAVRASGVVEGGARGDCIYFVGDYGNPFQHSGVYSMADEAARPLLRSSVSSKFKRTRDNYQRWRFPAWFFPVEV* >Brasy5G044100.1.p pacid=40072298 transcript=Brasy5G044100.1 locus=Brasy5G044100 ID=Brasy5G044100.1.v1.1 annot-version=v1.1 MNKIVCPQNRALSLRIGLNWLRLLPSVLHPHCCRPVHRPPSLPEFGHRPSPAAAAAVPLLRSDHPFLSLYFSLDLLLAFQPKPSRGYTISLPPPPSNSSSQTPALGRRSGVGSQGRGPPPGEHHGRSCSTVEEVGHRRTPWFRTSVAPGGRGSAACSRRGREGRGRQPGGMGEMKTCYAVRGGRRHDSTLRTSKQELGIACRSSEARPMRRGRVAEFAVRLAGEGAAELGVRPRRRRGGVQAAKGCGQEAS* >Brasy5G285800.1.p pacid=40072299 transcript=Brasy5G285800.1 locus=Brasy5G285800 ID=Brasy5G285800.1.v1.1 annot-version=v1.1 MTQIRNNKHAKEACVASDKDPFETLPEDVLQYLLSFLPSRDVVRTCVLARRWRTLWKAVPSLLINTDDEKEETYKSAYALNKFVHNLLLSRERVPLYVCKITSYGADDTTHLTRLDLKFVEFWGCSLDFSTCPALKVLKAEDCTLEVERISSQSLTHLIINGGSFLVINGRTRISVPSLIVLELTNYEGYTPVLDCTPSLVRAFLRFGCLDGYCDDCYENGNYLENCRSRAGSCYGRNDDNNDCVFLEALSGATNLELISPPELDGF* >Brasy5G313600.1.p pacid=40072300 transcript=Brasy5G313600.1 locus=Brasy5G313600 ID=Brasy5G313600.1.v1.1 annot-version=v1.1 MPLPREVIVEFDIDSHWLERCCVRAAGSLYWHICMSRHVLALDAATLEFSFLPVPTEMSPGVEWHVQKNRVGEMPQDGRLCIGSLEREELQLWVRVDGRWVTERKTCLRQVFDAVSCLPRDPVSRHMGSWLSDIDAGRTGRVFIRTWGSGCYSYHLDTGKLQYLATDNGMEYSHPILPYFWYPED* >Brasy5G023500.1.p pacid=40072301 transcript=Brasy5G023500.1 locus=Brasy5G023500 ID=Brasy5G023500.1.v1.1 annot-version=v1.1 MSSSFTTTAVRSPLLCSISTSTAGLRRRAVRAQAGGVDSSDKSVEIMRKFSEQYARRSSTFFCSDKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGEDQAISLDEIKEATSKF* >Brasy5G237700.1.p pacid=40072302 transcript=Brasy5G237700.1 locus=Brasy5G237700 ID=Brasy5G237700.1.v1.1 annot-version=v1.1 MAAMEEAPNLRFVRCLPKCLELLVEHPSIPVYRCGRCGTVLRAACSQYADSGPVRQSTSPSSVQGSLLVCSDDERKVASSNAPHSEGVVEGNISDAGKTPTVILKLMTKGKQMTTVYC* >Brasy5G130000.1.p pacid=40072303 transcript=Brasy5G130000.1 locus=Brasy5G130000 ID=Brasy5G130000.1.v1.1 annot-version=v1.1 MSVETASAPSSPPPAAATASFPTSAGRPPEPPGPPLAAAAPAGPACALSAGALCRCARRRRLRPCPRQSRPGPCPQPSSAPTTAPSSGRAALCHCARRQWLRPCPPPFGLARFGRFILHGAATETEGGR* >Brasy5G110600.1.p pacid=40072304 transcript=Brasy5G110600.1 locus=Brasy5G110600 ID=Brasy5G110600.1.v1.1 annot-version=v1.1 MLNHLFFLVAEKDKNPGLAPLVAARCAASGRAARRAAAGAGAGRRPALGVARRAAAGAGAGRRAGPRGGRRWGRREASDRAAAGAGAARRVGPSGGRRLDGGGTTRD* >Brasy5G332200.1.p pacid=40072305 transcript=Brasy5G332200.1 locus=Brasy5G332200 ID=Brasy5G332200.1.v1.1 annot-version=v1.1 MVAPNVKAETMRLMDRRTALEAEMNAIITSLSAPGGPGITGGLVDAEGFPRADIDIPAVIAQRRKLGELRNDHKDITNKIEKNLEVLHSTKLSRNEQSIPRSSGISAPLHSGLSENDPMEEDLVTRLPFAMIDEITEGSPAAVDGLMLGDEIVKFGNVEAGDRLQERLVSEAISNEGNQVSLVINRQGSPMNVAVTPRKWHGRGLMGCHFHIL* >Brasy5G332200.2.p pacid=40072306 transcript=Brasy5G332200.2 locus=Brasy5G332200 ID=Brasy5G332200.2.v1.1 annot-version=v1.1 MLLLWPPPSQVAQGFPRADIDIPAVIAQRRKLGELRNDHKDITNKIEKNLEVLHSTKLSRNEQSIPRSSGISAPLHSGLSENDPMEEDLVTRLPFAMIDEITEGSPAAVDGLMLGDEIVKFGNVEAGDRLQERLVSEAISNEGNQVSLVINRQGSPMNVAVTPRKWHGRGLMGCHFHIL* >Brasy5G523200.1.p pacid=40072307 transcript=Brasy5G523200.1 locus=Brasy5G523200 ID=Brasy5G523200.1.v1.1 annot-version=v1.1 MADWTSDNNDSDKFEWESDGEAEPSSAPTFRNLDAAGPSTLDSNGWANEEAPPTSLVEGYVLMGFPKEMVLKGIKEIGNTEIYQFHFIVFLCLFPPILTRFLLELLLTYKTLGDDAAVGNCSSSGCVPQSVEDDDDLDFENWDGDDDADGREPNPDSSGDEHAFPALEDTSLLLAKNFLPISCHFLDIWG* >Brasy5G306700.1.p pacid=40072308 transcript=Brasy5G306700.1 locus=Brasy5G306700 ID=Brasy5G306700.1.v1.1 annot-version=v1.1 MGKAQSGPGESETQRRTRSTYELLDSAASLEPGTARRLCEAVREMRRADADMEEARQLLSSCGAMKDYAAVYRAWIATMDTDSGGIGAARELVLDWGCVCAAEGTADEYAAFWIEYLAFELRHGGGARASVRAVVVDAARACPRDAAVRAMCAVAERRLAAAGPAKRCRWWTRLARRTWMAPSAAVMAVAACSCSPAAAWVRSDIADELQK* >Brasy5G514900.1.p pacid=40072309 transcript=Brasy5G514900.1 locus=Brasy5G514900 ID=Brasy5G514900.1.v1.1 annot-version=v1.1 MDPRLPSHWNKVVFDFHRSVVVLEDVSGGIGTGFVIGSSSSQSLIMTCCHIAPNAKVSSEVTIQLLGVGSLVGQIIILDTQTDVALVVVNTSDKLAIGDPLEFSECDAEATSGKELILLGYFSVRHGTIVLPGTSHGYSKGRAIRKKKPSVEFAKGTYTSQAGTSGSPVFLIETGRRPLVIGMHQKSLDGHEERCFVTVKTIKTVLSNFLGLNSTDAPISYMAALILQVTPHGNILPTRKWKRRC* >Brasy5G373600.1.p pacid=40072310 transcript=Brasy5G373600.1 locus=Brasy5G373600 ID=Brasy5G373600.1.v1.1 annot-version=v1.1 MDRNVQVLVRMRPISAAESTAHGQKRCLMQDSSKTLSWTGHPETMFTFDHVACETISQEKMFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKEARELNNDSGLTPRIFEYLFARIKKEEERRREDNLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESHWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKTRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNVPGSHSFRLLNSGSDNEYNTLSEDHQSGCDMNLLKQKQVSHLEDVLVGSLRREKLAEGDIRKLEAEIKHLNRLVNLMESDSQRLRMMLKLRDEKLRRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAAAPPENIEAQGDEITKDLNNCRKELEACLENNVLLAREVNKLRCELKQYQQSSPNQVAPETQKNYGVPEMQQDPAGWNFLNLASNTAENAFMDAGITTNTAESLQPKLPSEIATEDQEFHCHLYPFDSQACGLKDSTEVPECGDEFSKCYIVPLEFSRNVLDKAIVLSGDEETLHLQLDEIDQIHETAPQMDMHSQTSLSHQEIEIVSSSKHAVLDELAHIKSINQELREKLVIMAEESTKLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYSNNSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQQLDNDESSQEAPGAVDFLRRKDCNVEPKSCLFAESVERHSRDELLFPDNLTDMSAQQTHNEDALIVDQANANYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDNCSQAVELLLAEKSSLIHGLHELEASSSCTAQRYGKLHRQMNECVKEMSEIAALIKESFHQIQGVTTVELFGIFSEIINYGQDLKRCISDSRSYLGNVVSLLEEKGRCSVQQVHHLDANAYTCVCKQVGSRSCEMDGTNVMFLHETQAMLDNSSKHIISITDMAEEVKGISSMHAVPMCSAEELEDSNTNSMCDVTVIKDIVFSIAEMWDVFFKKVSCIKNSDAYPGVGCHGQNYTDPPSALARLESEQIYTAVPLQCKGSIEGHSGEEDNTDLLHDVQRLKHHLAQLMVPLSKFINKNDIMGYETGNEKQFLTVLNKIQNSLIFVIDFFSSLVLSDQGDFQNAPSLRRIFHDISNIENKSFMLHQMCLRNGHSQAGYSTGYASLRRDFDRKSDIAEGLSFDLKLLQESTSYARDMKDKAEGVSSELRKVQKELEFKSSLTEDMLIKQKALVEELAENGAALMILRSELEQSQSSSSELLKENNDLRVMLEEEIVKASETKALLEDKAKVIEGLESEILLLNSSVEGRLVSDIEKLNNSIKVISNDNGYLEAEILKLNDRLQMAMALAEENEAAAIEARQAAEVSKIYAEEKDEEVTILERSVEELESTITVLEEEVSNLKEEVRSYQVHKQSVAECQAVEGMLTVENASDCDGGEELSPGICQLERRLRAKIIAHQDARRKIEGLKMETKRKDEEITQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQTFGPHESNSETVHTKIEKPSARTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEALLSNKQKEICLLTARLGAVDSMTHDIIRELLGVKLDMTNYANLLDQEELHKLLTASQQQIEQSKAKDAELDVLKEQFSHLIQERDSLLDGMDERKTDLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQKIMELDETIEELLAAHNQFGVNTALGTGDNQLQQRPGTGSSEFSRRLAQSDMLVSHARRHEHSRGHAAGSSRTRHGGRR* >Brasy5G373600.3.p pacid=40072311 transcript=Brasy5G373600.3 locus=Brasy5G373600 ID=Brasy5G373600.3.v1.1 annot-version=v1.1 MDRNVQVLVRMRPISAAESTAHGQKRCLMQDSSKTLSWTGHPETMFTFDHVACETISQEKMFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKEARELNNDSGLTPRIFEYLFARIKKEEERRREDNLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESHWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKTRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNVPGSHSFRLLNSGSDNEYNTLSEDHQSGCDMNLLKQKVSHLEDVLVGSLRREKLAEGDIRKLEAEIKHLNRLVNLMESDSQRLRMMLKLRDEKLRRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAAAPPENIEAQGDEITKDLNNCRKELEACLENNVLLAREVNKLRCELKQYQQSSPNQVAPETQKNYGVPEMQQDPAGWNFLNLASNTAENAFMDAGITTNTAESLQPKLPSEIATEDQEFHCHLYPFDSQACGLKDSTEVPECGDEFSKCYIVPLEFSRNVLDKAIVLSGDEETLHLQLDEIDQIHETAPQMDMHSQTSLSHQEIEIVSSSKHAVLDELAHIKSINQELREKLVIMAEESTKLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYSNNSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQQLDNDESSQEAPGAVDFLRRKDCNVEPKSCLFAESVERHSRDELLFPDNLTDMSAQQTHNEDALIVDQANANYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDNCSQAVELLLAEKSSLIHGLHELEASSSCTAQRYGKLHRQMNECVKEMSEIAALIKESFHQIQGVTTVELFGIFSEIINYGQDLKRCISDSRSYLGNVVSLLEEKGRCSVQQVHHLDANAYTCVCKQVGSRSCEMDGTNVMFLHETQAMLDNSSKHIISITDMAEEVKGISSMHAVPMCSAEELEDSNTNSMCDVTVIKDIVFSIAEMWDVFFKKVSCIKNSDAYPGVGCHGQNYTDPPSALARLESEQIYTAVPLQCKGSIEGHSGEEDNTDLLHDVQRLKHHLAQLMVPLSKFINKNDIMGYETGNEKQFLTVLNKIQNSLIFVIDFFSSLVLSDQGDFQNAPSLRRIFHDISNIENKSFMLHQMCLRNGHSQAGYSTGYASLRRDFDRKSDIAEGLSFDLKLLQESTSYARDMKDKAEGVSSELRKVQKELEFKSSLTEDMLIKQKALVEELAENGAALMILRSELEQSQSSSSELLKENNDLRVMLEEEIVKASETKALLEDKAKVIEGLESEILLLNSSVEGRLVSDIEKLNNSIKVISNDNGYLEAEILKLNDRLQMAMALAEENEAAAIEARQAAEVSKIYAEEKDEEVTILERSVEELESTITVLEEEVSNLKEEVRSYQVHKQSVAECQAVEGMLTVENASDCDGGEELSPGICQLERRLRAKIIAHQDARRKIEGLKMETKRKDEEITQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQTFGPHESNSETVHTKIEKPSARTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEALLSNKQKEICLLTARLGAVDSMTHDIIRELLGVKLDMTNYANLLDQEELHKLLTASQQQIEQSKAKDAELDVLKEQFSHLIQERDSLLDGMDERKTDLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQKIMELDETIEELLAAHNQFGVNTALGTGDNQLQQRPGTGSSEFSRRLAQSDMLVSHARRHEHSRGHAAGSSRTRHGGRR* >Brasy5G373600.2.p pacid=40072312 transcript=Brasy5G373600.2 locus=Brasy5G373600 ID=Brasy5G373600.2.v1.1 annot-version=v1.1 MDRNVQVLVRMRPISAAESTAHGQKRCLMQDSSKTLSWTGHPETMFTFDHVACETISQEKMFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKEARELNNDSGLTPRIFEYLFARIKKEEERRREDNLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESHWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKTRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNVPGSHSFRLLNSGSDNEYNTLSEDHQSGCDMNLLKQKVSHLEDVLVGSLRREKLAEGDIRKLEAEIKHLNRLVNLMESDSQRLRMMLKLRDEKLRRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAAAPPENIEAQGDEITKDLNNCRKELEACLENNVLLAREVNKLRCELKQYQQSSPNQVAPETQKNYGVPEMQQDPAGWNFLNLASNTAENAFMDAGITTNTAESLQPKLPSEIATEDQEFHCHLYPFDSQACGLKDSTEVPECGDEFSKCYIVPLEFSRNVLDKAIVLSGDEETLHLQLDEIDQIHETAPQMDMHSQTSLSHQEIEIVSSSKHAVLDELAHIKSINQELREKLVIMAEESTKLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYSNNSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQQLDNDESSQEAPGAVDFLRRKDCNVEPKSCLFAESVERHSRDELLFPDNLTDMSAQQTHNEDALIVDQANANYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDNCSQAVELLLAEKSSLIHGLHELEASSSCTAQRYGKLHRQMNECVKEMSEIAALIKESFHQIQGVTTVELFGIFSEIINYGQDLKRCISDSRSYLGNVVSLLEEKGRCSVQQVHHLDANAYTCVCKQVGSRSCEMDGTNVMFLHETQAMLDNSSKHIISITDMAEEVKGISSMHAVPMCSAEELEDSNTNSMCDVTVIKDIVFSIAEMWDVFFKKVSCIKNSDAYPGVGCHGQNYTDPPSALARLESEQIYTAVPLQCKGSIEGHSGEEDNTDLLHDVQRLKHHLAQLMVPLSKFINKNDIMGYETGNEKQFLTVLNKIQNSLIFVIDFFSSLVLSDQGDFQNAPSLRRIFHDISNIENKSFMLHQMCLRNGHSQAGYSTGYASLRRDFDRKSDIAEGLSFDLKLLQESTSYARDMKDKAEGVSSELRKVQKELEFKSSLTEDMLIKQKALVEELAENGAALMILRSELEQSQSSSSELLKENNDLRVMLEEEIVKASETKALLEDKAKVIEGLESEILLLNSSVEGRLVSDIEKLNNSIKVISNDNGYLEAEILKLNDRLQMAMALAEENEAAAIEARQAAEVSKIYAEEKDEEVTILERSVEELESTITVLEEEVSNLKEEVRSYQVHKQSVAECQAVEGMLTVENASDCDGGEELSPGICQLERRLRAKIIAHQDARRKIEGLKMETKRKDEEITQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQTFGPHESNSETVHTKIEKPSARTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEALLSNKQKEICLLTARLGAVDSMTHDIIRELLGVKLDMTNYANLLDQEELHKLLTASQQQIEQSKAKDAELDVLKEQFSHLIQERDSLLDGMDERKTDLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQKIMELDETIEELLAAHNQFGVNTALGTLQQRPGTGSSEFSRRLAQSDMLVSHARRHEHSRGHAAGSSRTRHGGRR* >Brasy5G373600.4.p pacid=40072313 transcript=Brasy5G373600.4 locus=Brasy5G373600 ID=Brasy5G373600.4.v1.1 annot-version=v1.1 MTLVDVANGKTRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNVPGSHSFRLLNSGSDNEYNTLSEDHQSGCDMNLLKQKQVSHLEDVLVGSLRREKLAEGDIRKLEAEIKHLNRLVNLMESDSQRLRMMLKLRDEKLRRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAAAPPENIEAQGDEITKDLNNCRKELEACLENNVLLAREVNKLRCELKQYQQSSPNQVAPETQKNYGVPEMQQDPAGWNFLNLASNTAENAFMDAGITTNTAESLQPKLPSEIATEDQEFHCHLYPFDSQACGLKDSTEVPECGDEFSKCYIVPLEFSRNVLDKAIVLSGDEETLHLQLDEIDQIHETAPQMDMHSQTSLSHQEIEIVSSSKHAVLDELAHIKSINQELREKLVIMAEESTKLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYSNNSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQQLDNDESSQEAPGAVDFLRRKDCNVEPKSCLFAESVERHSRDELLFPDNLTDMSAQQTHNEDALIVDQANANYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDNCSQAVELLLAEKSSLIHGLHELEASSSCTAQRYGKLHRQMNECVKEMSEIAALIKESFHQIQGVTTVELFGIFSEIINYGQDLKRCISDSRSYLGNVVSLLEEKGRCSVQQVHHLDANAYTCVCKQVGSRSCEMDGTNVMFLHETQAMLDNSSKHIISITDMAEEVKGISSMHAVPMCSAEELEDSNTNSMCDVTVIKDIVFSIAEMWDVFFKKVSCIKNSDAYPGVGCHGQNYTDPPSALARLESEQIYTAVPLQCKGSIEGHSGEEDNTDLLHDVQRLKHHLAQLMVPLSKFINKNDIMGYETGNEKQFLTVLNKIQNSLIFVIDFFSSLVLSDQGDFQNAPSLRRIFHDISNIENKSFMLHQMCLRNGHSQAGYSTGYASLRRDFDRKSDIAEGLSFDLKLLQESTSYARDMKDKAEGVSSELRKVQKELEFKSSLTEDMLIKQKALVEELAENGAALMILRSELEQSQSSSSELLKENNDLRVMLEEEIVKASETKALLEDKAKVIEGLESEILLLNSSVEGRLVSDIEKLNNSIKVISNDNGYLEAEILKLNDRLQMAMALAEENEAAAIEARQAAEVSKIYAEEKDEEVTILERSVEELESTITVLEEEVSNLKEEVRSYQVHKQSVAECQAVEGMLTVENASDCDGGEELSPGICQLERRLRAKIIAHQDARRKIEGLKMETKRKDEEITQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQTFGPHESNSETVHTKIEKPSARTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEALLSNKQKEICLLTARLGAVDSMTHDIIRELLGVKLDMTNYANLLDQEELHKLLTASQQQIEQSKAKDAELDVLKEQFSHLIQERDSLLDGMDERKTDLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQKIMELDETIEELLAAHNQFGVNTALGTGDNQLQQRPGTGSSEFSRRLAQSDMLVSHARRHEHSRGHAAGSSRTRHGGRR* >Brasy5G373600.5.p pacid=40072314 transcript=Brasy5G373600.5 locus=Brasy5G373600 ID=Brasy5G373600.5.v1.1 annot-version=v1.1 MTLVDVANGKTRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNVPGSHSFRLLNSGSDNEYNTLSEDHQSGCDMNLLKQKVSHLEDVLVGSLRREKLAEGDIRKLEAEIKHLNRLVNLMESDSQRLRMMLKLRDEKLRRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAAAPPENIEAQGDEITKDLNNCRKELEACLENNVLLAREVNKLRCELKQYQQSSPNQVAPETQKNYGVPEMQQDPAGWNFLNLASNTAENAFMDAGITTNTAESLQPKLPSEIATEDQEFHCHLYPFDSQACGLKDSTEVPECGDEFSKCYIVPLEFSRNVLDKAIVLSGDEETLHLQLDEIDQIHETAPQMDMHSQTSLSHQEIEIVSSSKHAVLDELAHIKSINQELREKLVIMAEESTKLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMINSFPYSNNSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQQLDNDESSQEAPGAVDFLRRKDCNVEPKSCLFAESVERHSRDELLFPDNLTDMSAQQTHNEDALIVDQANANYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDNCSQAVELLLAEKSSLIHGLHELEASSSCTAQRYGKLHRQMNECVKEMSEIAALIKESFHQIQGVTTVELFGIFSEIINYGQDLKRCISDSRSYLGNVVSLLEEKGRCSVQQVHHLDANAYTCVCKQVGSRSCEMDGTNVMFLHETQAMLDNSSKHIISITDMAEEVKGISSMHAVPMCSAEELEDSNTNSMCDVTVIKDIVFSIAEMWDVFFKKVSCIKNSDAYPGVGCHGQNYTDPPSALARLESEQIYTAVPLQCKGSIEGHSGEEDNTDLLHDVQRLKHHLAQLMVPLSKFINKNDIMGYETGNEKQFLTVLNKIQNSLIFVIDFFSSLVLSDQGDFQNAPSLRRIFHDISNIENKSFMLHQMCLRNGHSQAGYSTGYASLRRDFDRKSDIAEGLSFDLKLLQESTSYARDMKDKAEGVSSELRKVQKELEFKSSLTEDMLIKQKALVEELAENGAALMILRSELEQSQSSSSELLKENNDLRVMLEEEIVKASETKALLEDKAKVIEGLESEILLLNSSVEGRLVSDIEKLNNSIKVISNDNGYLEAEILKLNDRLQMAMALAEENEAAAIEARQAAEVSKIYAEEKDEEVTILERSVEELESTITVLEEEVSNLKEEVRSYQVHKQSVAECQAVEGMLTVENASDCDGGEELSPGICQLERRLRAKIIAHQDARRKIEGLKMETKRKDEEITQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQTFGPHESNSETVHTKIEKPSARTRGSGSPFRCISSIVQQMNSEKDQEISLARQRIEELEALLSNKQKEICLLTARLGAVDSMTHDIIRELLGVKLDMTNYANLLDQEELHKLLTASQQQIEQSKAKDAELDVLKEQFSHLIQERDSLLDGMDERKTDLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQKIMELDETIEELLAAHNQFGVNTALGTGDNQLQQRPGTGSSEFSRRLAQSDMLVSHARRHEHSRGHAAGSSRTRHGGRR* >Brasy5G146900.1.p pacid=40072315 transcript=Brasy5G146900.1 locus=Brasy5G146900 ID=Brasy5G146900.1.v1.1 annot-version=v1.1 MPASMAPRGHGRRLAAALWVVVAAAASVASARFVVEKNGVKVLSPRSLRGHHEASIANYGVPDYGGTLTGVVLYPQDAELATGCYPFGTATPFKSRSGRPVVLLVDRGGCYFALKTWNAQEAGAAAVLVADTVDEPLLTMDTPQEQTPDMAFLANITAPSALISKRFGDALRLAANSSSATEVVIRLDWRESMPHPDARVEYEFWTNSNDECGARCDEQQSFVAAFRGHAQLLEKSGFAAFTPHYITWFCPREYLQTRQCEAQCINKGRYCAPDPEQEIGAGYDGKDVVLENLRQLCVHRVANARNVSWVWWDFVADYRVRCSMKEKKYSRQCARDVVSSLGLPVEMVEECMGDPEADADNDVLRTEQIVQVGQGARGDVTILPTLVINNVQYRGRLESTAVLKAICAGFKESTEPPVCLTQDLETDECLNNNGGCWLDDKSNITACKDTYRGRICECPVVGGVQYQGDGYMDCKAVGPGRCAVDNGGCWKDTRDGKTFSACAGSESLSGCSCPAGFKGDGLHCEDVDECGEKLACSCPSCSCKNTWGGYDCSCGSGLLYIKVEDTCVGKSTSAMGWLATALVLSCLVGAGVVGFAFYKYRLRRYMDSEVMAIMSQYMPLDSQTTENRPLREEAVQA* >Brasy5G207800.1.p pacid=40072316 transcript=Brasy5G207800.1 locus=Brasy5G207800 ID=Brasy5G207800.1.v1.1 annot-version=v1.1 MATILHALVVSCAKKLQEIIIEEAVMILGIKEDLKELQRTMNQIQCFLNDAEQRRTVESAVNNWLGELKDAMYYADEIIDLARSEGAKLLSKHHSSSRKSAACSGISLFSCISCIQKRHKIGVQIRDFNAELEKISKLGKRFLKLQNMQTKAEVQTVKQMRTSHLVEPNLVGKETLHACSRLVELVLAHKENKAYKLGIVGTGGVGKTTLAQKIYNDQKIKGNFSNQAWICVSQDYSETALLKEILRNFAVHHEQNETVGELSSKLAMAIADKSFFIVLDDVWVPEVWTNLLRIPLHAAAAGVILVTTRHDTVAQAIGVEDMQRVDLMPEDVGWELLWKSMNINLEKDVENLRHIGMDIVRKCGGLPLAIKVTASVLTTKEKTENEWRTVLDRGAWSIGNLPAELRGALYLSYDDLPRHLKQCFLYLALYPEDWYMERGDLIRFWVAEGFVEEHENQLLEDTAEDHYYELIYRNLLQPDPLRFDHDRCKMHDLLRQLAHHLSREDTFCGDPQSMKAISLSKLRRVSIATDKDSMHPIMDKEKIKARTLLNWSAKKLSIENTVFKFLPCMQVLSLNGSSLQNIPDCIGSLIHLRLLDFDFTDISCLPESIGFLMNLQVLNLQGCQALQCLPLAITQLCNLRRLGLRGTPINQVPKGIRKLECLNDLEGFPVGGGSDNAKIDGWKLEELGHLLQLRRLHMIKLERASPSTTDSLLADKKYLKLLSLCCTKHLVEPYSEGDVSNIEKIFEQLIPPHNLEDLVIVDFFGQRFPTWLGTSHLVSVKYLQLIDCNSCVHLPPLGQLPNLKYLRIDGAAAVTKIGPEFVGCKGDNPRSTVAVAFPKLESLLIRDMPNWEEWSFVGEEDAAAAAIEGEEDGFAEIRKGEAPSPRLQVLPRLKKLQLVGCPKLRALPRQLGQEATCLEELGLRGASNLKVVEDLPFLSEALTICGCDGLERVSNLRQLRELYAQDCPHLRCVDELGNLQQLWLDDDMQAVSKLWVSGLQQLRGEDLDVYMW* >Brasy5G114200.1.p pacid=40072317 transcript=Brasy5G114200.1 locus=Brasy5G114200 ID=Brasy5G114200.1.v1.1 annot-version=v1.1 MLSGFNSSLWMQEEHDGGHEQQPAGIMGMIPMMGMEGGNNNDQEQLLAMASGGAGGEFRVPGTVSDDWLFGGAPGAAAMYLGPPAPPEPQGASSSSGFGAASQTTFPIFNLGGAGPFDVSGFDLGNNNNNPGAEFMSFLGAGNSASSLMPPAGNAASSFFGSFGGFGTAPAPAPQMPADFASAGFDSFQAPPSAAPALSAPFSLRPLEVVPTLGAQPTLFQKRALLRRNAGQEDSAHNNKKRQVEAAMSGGCDTVLDDADEDVDVSIDASGLNYDSEDGDDGKESNNANSRVITAGGGAAEGGKGKKSKGMPAKNLMAERRRRKKLNDRLYMLRSVVPRISKMDRASILGDAIEYLKELLKKINDLQNDLESSPTTSSMPLTPTSFHPPTPTLPTLPSRVKEELYPSALPSPTGQQPMVEVRLREGEAYNIHMLCARRPGLLHSTLNAIDALNLDVQQAVISCFNGFVMDIFKAERVGKDAPLPQPDQIKEVLLQIAGFHPMI* >Brasy5G121500.1.p pacid=40072318 transcript=Brasy5G121500.1 locus=Brasy5G121500 ID=Brasy5G121500.1.v1.1 annot-version=v1.1 MNPGCLPCQPDRHNCFSVSLLLCHKWFMFPLRKALIWLLISWKQAWAVWPPKCAWLTLQTSGKSHSYNIVSRYHKIWKDTKDVISAKDVLRKEPVSGVIALKESMKYFDADFFDDSKPREMEDGAEEFNVPAFRENRKLVALENGGLHNPSVLVFKSSWNGNNKVKESKSFNYPRTSMVHLPSNDEDIAFMMITELGELIRTKKITSQQLTDVFLRRLKRYNTVLESVITYTEGLAYKQAKEADDLLDQGKYLGPLHGIPYGLKGTIAVPQYNTTWGSKTFKNQVIDMEAFVYKRLKSTGAVLLAKLVAGSLGYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAADCAIILDLIRGMDAGDPSSCEVALEDPFHVDITKLTVGYLDSAEMEVVDVLSVKGVKLVPFKLNYTVSSVQSILDITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLVPAVDYIQAQRARGKLITEVRESFTVDAFIGNVTDWELVCLGNLVGMPVVVVPTGFKSIEHPPKDGTRRRTMATTGIYARPEHDHIALALAMAYQSVTDHHKQRPPVDDLGPNDNVHK* >Brasy5G472500.1.p pacid=40072319 transcript=Brasy5G472500.1 locus=Brasy5G472500 ID=Brasy5G472500.1.v1.1 annot-version=v1.1 MAPNHSARASQAPKDGPNKKGPTPPQTLAALDSSLPRSAARPAAQLPPRSHTALLCRHPSRAARPSTPPPIPRDAPITATTCPGPQSSPAPSQRRGPHRHSIEPGGTAPHPRHPVDVGPSDTAWTAGRAYRRSEEQL* >Brasy5G423000.1.p pacid=40072320 transcript=Brasy5G423000.1 locus=Brasy5G423000 ID=Brasy5G423000.1.v1.1 annot-version=v1.1 MAALPLYLLLLLPLAIVPFLFLKRAGAARRRAAGRPPPSPWALPVLGHLHHLALAGSLPHRAMRDLARRHGPLLLLRLGGLPVVVASSADAAREVMVTRDVDFATRPISRMTRLCIPDGAEGIIFAPYGDAWRQIRKVCTVELLSARRVRSFRPVREEEAARLLRAVAAAPGAAVNLSGLLSAFAADSAVRAIVGSRFKDRDRFLALLERGIKLFAKMSLPDLYPSSRLAMLVSRMPRRMMRHREEGAAFMDAIVREHQENRAAGADDEEEEDLLDVLLRIQRDGDLQFPISTDSIKSTVGDMFAGGSDTAATTLQWAMAELVRNPRVMQKAQAEVRRVLAGQPRVTEDSLGELSYMRLVIKEALRLHPPAPLLLPRECRADGCKVLGFDVPRGAMVLVNAWAISRDPELWDAAEEFLPERFERGDAVDFRGADMAFTPFGAGRRMCPGMAFGLANVELALAGLLYHFDWEMPADGPGETLDMAEAEEMGVTVRLRHDLMLVPVVRVPVPVD* >Brasy5G091400.1.p pacid=40072321 transcript=Brasy5G091400.1 locus=Brasy5G091400 ID=Brasy5G091400.1.v1.1 annot-version=v1.1 MAFALRPLPRRLLRSIHCSNPLSTSASSHDLRELHRIQRILNPATTTHPPQTQEHPRATTDLHDLLHRTAGLTAAEATSFLRGVPNTHRLGRLLRELRGLRLHGDEIKNALESDPDGLLSMDPGEPSRLVELLDGLRCRGAVKDRVLSHGVLWAAIAARQRVELLHARGLNRPDALRVLSVEPRAILYGLEDVERKLEFLVSRMGFEIGWLVEYPEFLGVNLDRWIIPRHNVVEYLASVGGLGDPIEMKHYVRFSRQQFYNMFVKPYPECERIFGGLVRERKNELRRRHPVGLWKLFKPTKHESTEEDVKNMKFVVESLH* >Brasy5G184900.1.p pacid=40072322 transcript=Brasy5G184900.1 locus=Brasy5G184900 ID=Brasy5G184900.1.v1.1 annot-version=v1.1 MAAAGVSREERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEERRREWEEKGFQVTVSVCDVSSRADREKLMETVKQTFDGKLDILVNNAGQLLFKPAVEWLPEEYSHLMATNLESCFHLCQLAHTLLLRSSRIGGASIVNISSIGGSISYVNSALYAVTKGGTNQLTRSLATEWAHDKIRVNAIAPGFITSDMTNSLELEILEEENSKTPMRRIGEPVEVAVAVSFLCMPAASYITGQVISVDGGRTISA* >Brasy5G327400.1.p pacid=40072323 transcript=Brasy5G327400.1 locus=Brasy5G327400 ID=Brasy5G327400.1.v1.1 annot-version=v1.1 MVASPYCLLEAYRFAASSLPNANPMPLLPPLRLLLSSRRRPLAPHNRRLSASAATAATPAPSSDRAAHLAAAVHGSVAAKDFPHAIRLTKSLVQASFPSAHPGGALFAVFSSTSSLPAPALGVLVIALSQMGLHDDAQSVFRRLPTLPALPACNAILDGLVKACRFDRVWKLFDEMLSRGMVPSLVTYNILINACRHEGAMAKAWEVWNQMVARRIDPNVVTYSTMICVLCEEGCIIEAERLFIMMKETGVQPNLYTYNALMSSHCKRDGVNRTLALYQELLKSGLVPNAVIVTTLIDGFFQVNRISDAKNAFLEMHRYGIAPTVPVYNSLIDGAFRSGDAQEALTVYQDMTHVGLCPDEFTCSIIVRGLCCGGQVQVATRFLELMQQSGINLNAAAYNALIDEHCKDGNLAEALATCTRMNEVGIEPNVVTYSSLIDGHSKKQKMEIAMGIYTEMVAKGVEPNVLTYTALIHGHAKFGDLDAAFRLHKEMSEKGISSNAITVSVLVDGLCRESRVQDAVMFIMKYAGQKKPGDIHSVCSNYTTEEDRSILNSVTYMTLIYGLYIDGQYHEAGKFFSCMRESDMVPDSFTYSLLIRGQCMLGYVLNAMMLYADMVKIGVKPTRYATVCPEIWSRELPNDFKSVET* >Brasy5G343900.1.p pacid=40072324 transcript=Brasy5G343900.1 locus=Brasy5G343900 ID=Brasy5G343900.1.v1.1 annot-version=v1.1 MEGLNVEGVHQVSVDSNTDDLLASLADSKPLISSGFPGSSPDAHTRHFSEDLSSLTINDLRMKGEEVCHSQFEGKGLGSHGHARNFSEDISSLTINELRAIKVEENCHNQLEGKVISRHNSAERNIFKAAEIAERFIQALDNRVLVETAAPIESVKDAVSKFGGILDWKERRKNVQVALDKVREDVPEYRRRLEFAEVDKRKVMRELCSTRRIIEGLKLSLEKVQTEALQAQQDSEIAEIRYKEIQQGIARRESAAVKAEIELAKERHTSALADLQSVKEELEQLQNEHRSLITQRENAEAKAHESTIASQEIEKSVEDLTLELISLKESLTSSHATHIIAEERRINVDLAYQQEKANWQNELKQADEEVQKLNDEISANKDLESKLEAASVLLENLQGEFTNYVEGILPEMASVTGEEERPVVSVRMTLAKTRKELEDMRTNIEKAKDEVKGLWNTAAALRADLEKEKAELTALKEKEHRASVSASSLQEELSKLTRELIVVQERIKAARMPPELQQATQETERAKAKARLACDEVAKAREEAARAKAEVNVIQLRLEAVSREILAVNASEEIAMASVNALQEYKHEAEIDPQADRRSNNNVMVSLEEYDALSKRAQEAEDLAKKRVIKAVEKIKEAKEGEVRSLDKLYQLTKQIDDRRVALRAAQEKAIAAQDGKLTMENEMRKRRAQHEQQHKAGEADLAIADMCTLKSACSFDAAGSSASNPHMHGGCLPRAETIGTTAIAESKPRKSYFPRSIVTMFMNRKKTHLK* >Brasy5G414100.1.p pacid=40072325 transcript=Brasy5G414100.1 locus=Brasy5G414100 ID=Brasy5G414100.1.v1.1 annot-version=v1.1 MSAVMHTLFIFCSASSGQHSIGTPPRRPPAPSSSRSASRTRRNRCPDLSRPTAISLSWSAESVPMLPKQRNTTLRSGCASSHARHSFFFLPALLPPPLPINGPTQYTGGRTRPGTQSGSLSDPAARLSSDSNVFTRTPMQLPDEMRRRHRRDAEEIEGRVPEILQARSPAGNQRRELGHDGQARGARGEERVDRDAGRGGGVGGVDAEHVEDEGVQAFPGDGAEESLERPVAAKSGAGRGGRTWKETDGLEAAMRSTKLLPPKSDDLKGGSVSTMVTS* >Brasy5G417900.1.p pacid=40072326 transcript=Brasy5G417900.1 locus=Brasy5G417900 ID=Brasy5G417900.1.v1.1 annot-version=v1.1 MPLPCRPPPPPALPRLASITVSLLPLRAAAALPLHERRCLRCISPVGDPPPRPATKIHPAGRRPRHQSPPPPPSSSPIDAPLYRALLWHRRRLRWRSRRK* >Brasy5G216700.1.p pacid=40072327 transcript=Brasy5G216700.1 locus=Brasy5G216700 ID=Brasy5G216700.1.v1.1 annot-version=v1.1 RPRIGPGGQIDYRNMGLINRFISEQSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTAPRAPLLLQDVL* >Brasy5G100500.1.p pacid=40072328 transcript=Brasy5G100500.1 locus=Brasy5G100500 ID=Brasy5G100500.1.v1.1 annot-version=v1.1 MAMFANVTNGNSYNSDIRRNYQVVVAATHEMGIGKDGALPWKLPSDLNFFKELTMATSDPSKKNAVIMGRKTWESIPAKFRPLPGRLNVILTRSGSFDFATVENVVICGSLDSSLELLASTPYSLTIEKAFLIGGGQVLRDSLNAPACEAIHLTDIESTIECDTYIPPIDLSVFHPWYSSFPVVENNIRHSFATFVRVRKPVAEVNDSNGNDAKKERFEIKNFSFLPKMIFERHDEYQYLNLVQDIIQSGARKNDRTGTGTLSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSIGLSQREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGYDQLIDVINKIRNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADIGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYSTHVRALEEQIKKQPKPFPILKINPVKKDIDSFVASDFELVCYDPHQKIEMKMAV* >Brasy5G440100.1.p pacid=40072329 transcript=Brasy5G440100.1 locus=Brasy5G440100 ID=Brasy5G440100.1.v1.1 annot-version=v1.1 MASGDGGEEEDLGMFVDALPLPDDFDDVLHLLDIPDVAEAEPPVLQPPLATAAAAVVPAQQHNADYYDYQPAVSCSTHGLSGNARPYWPRMMFADGCYSTGAAVHGEPSTSAAAADCSGCQVLREVVHSNGLEVAKLCIHGGPAPGVFNHAMADVYTLNNNSGGSAPQSMTHHSCIDFRGRDYDWVRRYLKDYALRRAAGNYALVSDSLSVFHDVLCTDMNPSIILLDDDGGGGHELPRAAGTNASRQLGAVVDSAAAVQPTIQIRNTEPDQRAAGPSQTPRCATDVRVQQPFHPVAAGRSALALQRERTGKMKFDDIAPYFHLPIVEAAEKLDVCTTVLKGICRRVGVQRWPHRKVKKIDREITKLRRSGNGAWQKNQIQRLSAERRRIFDGIG* >Brasy5G410200.1.p pacid=40072330 transcript=Brasy5G410200.1 locus=Brasy5G410200 ID=Brasy5G410200.1.v1.1 annot-version=v1.1 MEKNSWIKFHDGDDRIVSSFRAPRSHHRSAIDFLHQAAMARPLPPPRGPLLRRILLASALAASCSYYLLVLQAQASVPPRYDGFAYRAAAWKDSVLVEAFLDPLCPDSRDAWHPLRLAVERYSPRVSLIVHPFPLPYHTYSFHACRALHIANKLNSSSTYPLLELFFKNQGKFSNRALSSLSSTAITGEISKMAVQAVGNSVSEFQSGFSDGRTDSAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYTTWTNILDPLVAQHGEKIDMFTSM* >Brasy5G176100.1.p pacid=40072331 transcript=Brasy5G176100.1 locus=Brasy5G176100 ID=Brasy5G176100.1.v1.1 annot-version=v1.1 MSAALDRATTRGVEEDIIVPLLARLAAIGVVLDAAHQDNTPATRAKAVAQLEKVRREMAHLEGVFRTIDGAEKRIRHGFDPVEQHLDNALQIPDAPPSAGRILRELLAAAADIEAIKASIREAYSIPCDDLGGGGGGEGPSSAVPTGTPMAMTNRMGEIRHGPQMRHLRLAVGGLEARLRGCVLCLAAFPSGAVIKKRLLIHWWIGEGFVKSADAGKRRFDELVAKGFIVPAAPTTSLCSTVHRCTVRPWMRDLLVSVARSSGFLDLDPGNDFTLARRPCLRSGVGGRKTLPPAGLSPDLRAIYNIDQKYLELDDGWFAGKKELRALQLGQWREFRPLQQIADPMDSHIEVSGVERLADLGVCKRLRYVSFRGISRIESLPDSIGRLRELVVLDLRACHNLEELGQGITKLDRLEYLDLSECHLLGGMPKGLGRLTRLQVLKGFVVANSNSKDPCHLNELTKLEKLRKLGIVIGKMAVPTDDEFMKLGEFAALESLTIRWGLIASEKNRNGDRAASHPIAMTKFALPRNLKKLDLHCFPVAEFPQWVPKGVRKLYIRGGKLATLGDEEGWEVEVLRS* >Brasy5G263800.1.p pacid=40072332 transcript=Brasy5G263800.1 locus=Brasy5G263800 ID=Brasy5G263800.1.v1.1 annot-version=v1.1 MARRQEQGSRRREQQERERRRREELEKGESTAAAAMAAAEKEKGKDKEKDKEKEESTVAGEKENGEDKEKDKEGEEEKFGQQFFRVFLPQQYGERLRIPLSFNQYLRNQPAGMVSLKGQSGNIWLAELAVDTEGLFFVNGWKEFVRDHSIETGHFLTFRYDGRSKFSVVVFDGKCIEKPSAFHAKPCKDLVVKLENGEGDMDMNATDPSQILVSPLGGSNENTRERLSEMDANGTILQRFSSVLEKGTKISPGVLVGTNKTSSTSLNKDMSVADQSQSESVPHEESIGTTRKRIREMDANGSTSEKCSKVSEMVMKKGPEASVVNNEASTSLNMDMNAAGPAESLVATPEDSNGTTRTRVKEINVNDSTFKKCSSASVKGKEKCPVASVGTYKSTSTSRNSTKGSDSESSMLEQSISCIKAEISSPMRLRKNKDVPKCGKYIATGQRQLLVISQRPPVTEEQKNHALQRAKKFKSKNPFALQIMKESYVYVGFFMNLPCGFVRDCLPRANKKLKLWDPQGKYWDVNYVYYSSRAVGAFSGGWSKFSLGNNLEKFDICVFELISKDDIKVHIYRVVPEITPFLPGTNRK* >Brasy5G233800.1.p pacid=40072333 transcript=Brasy5G233800.1 locus=Brasy5G233800 ID=Brasy5G233800.1.v1.1 annot-version=v1.1 MLLVELLHKKVDAILAAMSSSPLRAVHHQTLWEAIDQIVRIAWIGYLIYSYVHGFRRPGFTILWLLTLVKAAQRVAAVELAKRSFAVGRNAHLVVGYAAQMIEEEEIGNDDEQASRGAALLRKCWYAVMGEERLKREAGPDGYRVVLPDPDEKDDDLVTVGDIWELAEGGQGKPADPLLADHPKLKDLCVSFALFKLLRARFENRRVDDELATKNRDLIFRGLGAVDGDNSEEAAERVFRVIELELNFVSDYYHSVVPLVLSSPWFFVGNYLFVILIVVNQSIMVLFITGNGRLLPIIGCLARYLAMMSHRAIDLFKCLGHKLLKTIIIAFSSLNILVSLLLFLTFLLMEAWEFVVYVLSDWFLVSLLCEYARRPKWQASRCVRRAFGALLWVKRLGRRARPRAKNGLRFNQVCVLDLRRHTPWMTVSKLLQHRFLGMPSVPVPGEVKHAVFAAVAGFCKDDGHRRRRRLSNGVALLHRLGWTQLLWACESSSVAEVILVWHIATSLFEMKKKEKNQDSKAPPSAEETVATTLSKYCAYLHGGVRAGALPG* >Brasy5G022200.1.p pacid=40072334 transcript=Brasy5G022200.1 locus=Brasy5G022200 ID=Brasy5G022200.1.v1.1 annot-version=v1.1 MGRQRRSSAGEQSAQSVEPDSRTVTRSRSRAAGSVTSPQSEMAPTVTQEEAAGSPSTASRRRWRRRLSSAPRRRRRGITGADGGARVVTPALTAAEELPTPASTVGVEEVEVVPPRSVAPAASDPMEAATAFVPNSPVRMPYLVEYDSNGQPLPEPSVWQDPELSKAYLNAYQQYYERMGQLLKLPTLDKDTAIDSIPIQDSAADTILKASKFVLGLSAYIDGVLLRQSSGILMEWNKDKGTILTTTHLFCSRSPNLDVWLGGQEYARDAEVRVQLLHIDDIEATGQLIYLDEQYGFALISVPMDPAETLPRFCNELIFSEDIFLLGRDRWDLQIENSEVMNKGAGSYQHHHYMYFDAVVSGCCFGGAVINLEGVVIGLIASAIEFIPSSTILKCLHLWRTFNCIPRIHLGMKLFGIKCLNLMSKEKISRKYHIDAGLIVVEVSGGSNAENHGVRIGDIIQAVNRECIATAIQLENMLLDTCKGYLEKGIGCDSDKDVVLVLDVFNTTKCLPGRIHLTAKLSRGVEFIERGKYEVSMKTCSTSI* >Brasy5G220300.1.p pacid=40072335 transcript=Brasy5G220300.1 locus=Brasy5G220300 ID=Brasy5G220300.1.v1.1 annot-version=v1.1 MEVRFFLLLLPACSLLFLRLHSASGKPTVRDSLDPAQVTNPAMPITVPSTNPTPTIITVPSTNPTITIPSLNPLPTPITTPSADPSTTLPLPTPSTSAPNTPVTNPVTTPSTVPSSAPLTNPAANPMAPTTGITPPPAAPVTTPVTAPSVSGQQAWCVAKAGSAETALQDALDYACGIGGADCSPIQPSGSCYYPNTLEAHASYAFNSYYQKNPAPSSCDFGGAAMLANANPSSGTCVLASSMSSPTSSTAGYNPGSVDPTTSSTNPVTSASGSDPGSSVLNASGSGISGSSDFGSDFPGEANKGNSWRSIFPFGRCWAGLFSILALPYVGGIF* >Brasy5G368900.1.p pacid=40072336 transcript=Brasy5G368900.1 locus=Brasy5G368900 ID=Brasy5G368900.1.v1.1 annot-version=v1.1 MGQPSRHYHCNKLRLGVVVLLSWAPWLPVSTGTTTFTISNYCPYTIWPGTLAGSGTPQLSTTGFELGPGQTARLAAPAGWSGRMWARTGCVFDASGAGTCQTGDCGGRVECRGAGATPPATLFEVTLAKAGGAGAQDFYDVSLVDGYNLPVVAIPRAAQAQAQACNATGCMADLNRSCPKELQVECGAGAIACRSACEAFGEERYCCSGAYDTPGACKPTAYSSIFKAACPRAYSYAYDDSTSTFTCNAADYTVAFCLPTSGIKESEAVFLGAQIGVQGNAPPAFGNGGADAYKPPIYSSSNNNDGGGDGGAYSYRPPIYNYGRGGARAPPAMAASSAITRHIVRPWLPLLLLVFSF* >Brasy5G302100.1.p pacid=40072337 transcript=Brasy5G302100.1 locus=Brasy5G302100 ID=Brasy5G302100.1.v1.1 annot-version=v1.1 MGITHGTSTQKSHTRSKRSHEIQKQVRSRYKIETIEARGKEGRAASPPFWAASTTAAGNCSGSSERGGWGRGGQIRRRRGRGQPDPAPSMAWGRGHGPAQDGGSGPMTQEIKSLRETPIHGSGFGQRESLQHEEQREARDEAEREGAVRDS* >Brasy5G163600.1.p pacid=40072338 transcript=Brasy5G163600.1 locus=Brasy5G163600 ID=Brasy5G163600.1.v1.1 annot-version=v1.1 MPLRRLLGFSARGLHRFHSTAAALDSDSSWSLYMLEKITMADRSAAPCPSVAFANPPHVSRLLMPEHILAKASRSILPCSDPERGVLRLYTGHPSCSSQDGLLLLSFEGIRIETSIFGKQEGNRERRLAGITNDPDGSIPDMAHFVFNPLTREISPRLPVFQAPRKGSQLGLLTEADGGGCGPPDRYAVAVAKPEERSMLRFLSETGEWETVGCSPCGLPAARRMVPNQETVAWNGMLWWVDVTWGAVFANPFTDRPEPRFVELPSGSVLPADAFMDVVGQWSQLPDAEEEEDVWWMPLPVMFRRVGVSGGRLRYVEVSQEVPFVLSSFAIDEDFSSWTLEHRVALSQVWADGARPWLPLRGKMTPQIGVLDPFDSNVVHLIVGEHVVVVDMEKGEVIGHCRPCQRGDIYFVPCVLSPWLPTTRIPSAGKKDTTKKKTLADVLVRSDRR* >Brasy5G313900.1.p pacid=40072339 transcript=Brasy5G313900.1 locus=Brasy5G313900 ID=Brasy5G313900.1.v1.1 annot-version=v1.1 MKYVVRIALYVRHCSSDLGPTKQSSVSQLSGSCLNGSIEASVRKSDEMPFRIEVLLASCLGCLGTRAKTKTPAEKGRRE* >Brasy5G470400.1.p pacid=40072340 transcript=Brasy5G470400.1 locus=Brasy5G470400 ID=Brasy5G470400.1.v1.1 annot-version=v1.1 MAAVGDVRVLRHLLVGLVSHWAAEEMAVPVLVDVTTAALCPAAAMAGTSSSCPEAIYLTGFQETVGGIFRAVGFTLMGQLSDEHGRKPLLLLAAGASIFPCCVLALSSTKAAVYAYLALRTLCFMIGKGTVSCLALAYTADVVEPSKRAFAFGCVTGINSASRALGNVLSRFLPERWVFKVSLVLLICSVIYMKIFLVETLQKAAASGSCQRLSVPSLVLVLPRQRWESIKENITMIKMNDTLRRITYVSFFYELGMEGISDVLLYYLKLVFGFDKNQFSEIRMVVGIGSIFSQILLLPVIIHAMGEKGVLCISILASIAYALLYGLAWSWWVPYFASSLGIIYVLVKPSTYAIISREVVSANQGKAQGFVSTVQCTAILLAPSFMSPLTSYFISEEAPFNCKGFSFLVAGFFLVISLGFAWMLDPESKNRCTGIAVSDQLDQEAVQAPLLAARH* >Brasy5G185600.1.p pacid=40072341 transcript=Brasy5G185600.1 locus=Brasy5G185600 ID=Brasy5G185600.1.v1.1 annot-version=v1.1 MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARPTKRSSYPNGEEPVATSVSGRADENAWRCSSDTFDLSGRAFENSENWAVLSTEGDKPSPRYDHAAAMVGSKMIVFGGDSGHHLLDDTMILSLDKLTWDSVAPKVRVSPGRRSQKFRPCKGHCLVPWGKNVILVGGKSELSSDRISVWTFNTETEIWSHMESKGDIPVGRCGHTVTRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESRWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVSFGGNRKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSSPSSKELADHLNNCDPLYSTSSVARRSLATTVESSSGRKSLPDSMLQHSNLGNSSLRRQFRQEEECSLAQKLQKPIDDDKYKDVDECSELPSIGNQKLRIDAYPSPDADAKAKRLGRSSSDINHQYDTKIANLVRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEDLEKRLVERDREVEVLKEKVTGFELAQEESNTATNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRLPTMDGRSPTPRKPQNL* >Brasy5G185600.2.p pacid=40072342 transcript=Brasy5G185600.2 locus=Brasy5G185600 ID=Brasy5G185600.2.v1.1 annot-version=v1.1 MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARPTKRSSYPNGEEPVATSVSGRADENAWRCSSDTFDLSGRAFENSENWAVLSTEGDKPSPRYDHAAAMVGSKMIVFGGDSGHHLLDDTMILSLDKLTWDSVAPKVRVSPGRRSQKFRPCKGHCLVPWGKNVILVGGKSELSSDRISVWTFNTETEIWSHMESKGDIPVGRCGHTVTRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESRWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVSFGGNRKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSSPSSKELADHLNNCDPLYSTSSVARRSLATTVESSSGRKSLPDSMLQHSNLGNSSLRRQFRQEEECSLAQKLQKPIDDDKYKDVDECSELPSIGNQKLRIDAYPSPDADAKAKRLGRSSSDINHQYDTKIANLVRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEDLEKRLVERDREVEVLKEKVTGFELAQEESNTATNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRLPTMDGRSPTPRKPQNL* >Brasy5G185600.3.p pacid=40072343 transcript=Brasy5G185600.3 locus=Brasy5G185600 ID=Brasy5G185600.3.v1.1 annot-version=v1.1 MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARPTKRSSYPNGEEPVATSVSGRADENAWRCSSDTFDLSGRAFENSENWAVLSTEGDKPSPRYDHAAAMVGSKMIVFGGDSGHHLLDDTMILSLDKLTWDSVAPKVRVSPGRRSQKFRPCKGHCLVPWGKNVILVGGKSELSSDRISVWTFNTETEIWSHMESKGDIPVGRCGHTVTRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESRWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVSFGGNRKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSSPSSKELADHLNNCDPLYSTSSVARRSLATTVESSSGRKSLPDSMLQHSNLGNSSLRRQFRQEEECSLAQKLQKPIDDDKYKDVDECSELPSIGNQKLRIDAYPSPDADAKAKRLGRSSSDINHQYDTKIANLVRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEDLEKRLVERDREVEVLKEKVTGFELAQEESNTATNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRLPTMDGRSPTPRKPQNL* >Brasy5G100200.1.p pacid=40072344 transcript=Brasy5G100200.1 locus=Brasy5G100200 ID=Brasy5G100200.1.v1.1 annot-version=v1.1 MWRRAGPIRFHLAAIAGRRVRPPPEPAAPEATFSCSASSSSSFHHNLGAFRDSMGARSRSLSNQTGGGECWSCGAKGAFLSCGSCRSVQPVDPAVDYFRIFGLDRGYDIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLPRALYLLQLEGIHVDEEKTINDPELLMEMMEIRESVSDASNSQTLEKIQSQMKRKVETWSNSFQEAFDKGDFDRAVEATQRMRYYERAMEETVKKL* >Brasy5G100200.2.p pacid=40072345 transcript=Brasy5G100200.2 locus=Brasy5G100200 ID=Brasy5G100200.2.v1.1 annot-version=v1.1 MWRRAGPIRFHLAAIAGRRVRPPPEPAAPEATFSCSASSSSSFHHNLGAFRDSMGARSRSLSNQTGGGECWSCGAKGAFLSCGSCRSVQPVDPAVDYFRIFGLDRGYDIKDNNLEGKYKDWQKKLHPDLVHSKSELQLEGIHVDEEKTINDPELLMEMMEIRESVSDASNSQTLEKIQSQMKRKVETWSNSFQEAFDKGDFDRAVEATQRMRYYERAMEETVKKL* >Brasy5G403100.1.p pacid=40072346 transcript=Brasy5G403100.1 locus=Brasy5G403100 ID=Brasy5G403100.1.v1.1 annot-version=v1.1 MATRKAAALCLGYVLVVVAVTAAVGDPGKIGICHGRVGSNLPSPEAAAALLKQNGITKARLFLPDPAVLPAFAAAGIDLTVGVPNENLTFLSAAGPEGALRWLRSAGLAPGSGPVAGRLRYLAVGNEVLYNNQFYAPHLVPAMRNLHSALAALGLEGQVKVSSAHASSVLASSYPPSAGAFDAASLEVLRPMLRFLADTGAPFMVNTYPFISHANDPANVPLAYALSSSGAGESAAPPVRDGGLVYASLFDATVDAVAAALEREGFGGVPVAVTETGWPTAGHPAATPQNAAAYNGRMVDRTARGVGTPRRPGVPVEVFLFDLYDDDGKPGDEFERHFGVFRTDGSKAYDINFA* >Brasy5G402200.1.p pacid=40072347 transcript=Brasy5G402200.1 locus=Brasy5G402200 ID=Brasy5G402200.1.v1.1 annot-version=v1.1 VTSSNGTPLLSKELAKYRGKVTTKAASSQPGIMVGGSQSHTTAEKTSKRKKKVSNATMSEATTILK* >Brasy5G446000.1.p pacid=40072348 transcript=Brasy5G446000.1 locus=Brasy5G446000 ID=Brasy5G446000.1.v1.1 annot-version=v1.1 MAAWMMARRAARRLAGEMSPRPSTWFLGSSMAPAWGRRGFCSVRRFAGDSAAAAAAEEEEEEPENGRYSAGRDQVDFPGGKISFVGEMNFLPESQRERINCYRVLDDDGGTIYGSRFREVSKELALKMYNGMVTLQIMDTIFYEAQRQGRISFYLTSNGEEAINIASAAALTVDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPQAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVTEAPVIFFCRNNGWAISTPTTEQFRSDGIVTRGQAYGIRSTRIDGNDALAVYSAVRTAREMAITEGRPILIEAMTYRVGHHSTSDDSTKYRPADEIEHWRTARDPISRYRKWVQGNGWWCDAEESELRNKVRQELLQAIQVAERMPKPRLTELFSDVYDQIPSNLREQERSLLDTINKHPADYPADVPV* >Brasy5G036500.1.p pacid=40072349 transcript=Brasy5G036500.1 locus=Brasy5G036500 ID=Brasy5G036500.1.v1.1 annot-version=v1.1 MEGRRATAATLGFLLFVLMLVPNPTLADTCEKVRFSSLICMRWQCQLDCISNNPTKIIKDAFCTVKHAVVRYCNCLVCDK* >Brasy5G088200.1.p pacid=40072350 transcript=Brasy5G088200.1 locus=Brasy5G088200 ID=Brasy5G088200.1.v1.1 annot-version=v1.1 MASSSAAPLAALLLFFISAAGSEAAAAPLEQLCANLGGFYVTPETCTSSLCANNSSACRSARDAPELATLAAGLASANATATKASLEAALASSPGKGPAEALRSCLQLYAGAIPALQWAARAVAAGRYPGATAVLEAARYIAAGCAGVADGAAVLPGENEGFGIMANVAHAVVASVSHAS* >Brasy5G088200.2.p pacid=40072351 transcript=Brasy5G088200.2 locus=Brasy5G088200 ID=Brasy5G088200.2.v1.1 annot-version=v1.1 MASSSAAPLAALLLFFISAAGSEAAAAPLEQLCANLGGFYVTPETCTSSLCANNSSACRSARDAPELATLAAGLASANATATKASLEAALASSPGKGPAEALRSCLQLYAGAIPALQWAARAVAAGRYPGATAVLEAARYIAAGCAGVADGAAVLPGENEGFGIMANVAHAVVASVSHAS* >Brasy5G118400.1.p pacid=40072352 transcript=Brasy5G118400.1 locus=Brasy5G118400 ID=Brasy5G118400.1.v1.1 annot-version=v1.1 MAGFLLFLFLALATSNIRTNNHPAAAAAGEVIIVDLQGKGSEEAALAVQSHDLSMAGFTNGSGHWHAFAGHEHVITGSTPLPFGSSYSDLIGGLANLPGVLLGREAALQAVRVLSAHDPLTAGGDLEPLKRALAAQMVMVCEAARLKPVFETVSGGWESGARVAPEHLPYIRHWDAISFEIIRAQRTGKWVGGPFTKMLERSANIRTLEEALEVVRVLVDRDFGQVLKAHAVEIS* >Brasy5G331400.1.p pacid=40072353 transcript=Brasy5G331400.1 locus=Brasy5G331400 ID=Brasy5G331400.1.v1.1 annot-version=v1.1 MDAGSGSGGAPPTEGRPGLLPLFDTSPPPPPVEEILEEKLRRVREENRRLAGKLGAILADHPRLRALATPPASVATGSGSASAANAAREEQAAGVTAEPRPKVRTVRVRAEPSNSSDANLAVKDGYQWRKYGQKVTRDNPHPRAYYRCAVAPSCPVKKKVQRDAEDRSMLVATYEGEHNHAQSPEREFVSNGSTGQAGPRQCSIGINSLCRTSTLDLTNEGSGSSMEGIARGVVTPEFQKLLVEKMVDSLRNDAEFMGPLTSAVAEKVVERIPDRL* >Brasy5G286900.1.p pacid=40072354 transcript=Brasy5G286900.1 locus=Brasy5G286900 ID=Brasy5G286900.1.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTVKGCSLTDAARALAKHVHRSSDGWWGSLHGSDLNKNQLASEVIHHLLSECCWMNVHVTQPYGPVFEIRVREGYGARWSHNGLKCVAQSCHCSLPHDVQFIGFLEPYTPEGFLNGWKH* >Brasy5G286900.2.p pacid=40072355 transcript=Brasy5G286900.2 locus=Brasy5G286900 ID=Brasy5G286900.2.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTVKGCSLTDAARALAKHVHRSSDGWWGSLHGSDLNKNQLASEVIHHLLSECCWMNVHVTQPYGPVFEIRVREGYGARWSHNGLKFIGFLEPYTPEGFLNGWKH* >Brasy5G286900.8.p pacid=40072356 transcript=Brasy5G286900.8 locus=Brasy5G286900 ID=Brasy5G286900.8.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTVKGCSLTDAARALAKHVHRSSDGWWGSLHGSDLNKNQLASEVIHHLLSECCWMNVHVTQPYGPVFEIRVREGYGARWSHNGLKVHVQFCYFVAHFGSSLM* >Brasy5G286900.6.p pacid=40072357 transcript=Brasy5G286900.6 locus=Brasy5G286900 ID=Brasy5G286900.6.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTSYHFVNFLLHLRLVVSYCNGGERLFFN* >Brasy5G286900.3.p pacid=40072358 transcript=Brasy5G286900.3 locus=Brasy5G286900 ID=Brasy5G286900.3.v1.1 annot-version=v1.1 MHTPYMLEDFPFPEKMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTVKGCSLTDAARALAKHVHRSSDGWWGSLHGSDLNKNQLASEVIHHLLSECCWMNVHVTQPYGPVFEIRVREGYGARWSHNGLKFIGFLEPYTPEGFLNGWKH* >Brasy5G286900.4.p pacid=40072359 transcript=Brasy5G286900.4 locus=Brasy5G286900 ID=Brasy5G286900.4.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTE* >Brasy5G286900.9.p pacid=40072360 transcript=Brasy5G286900.9 locus=Brasy5G286900 ID=Brasy5G286900.9.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTE* >Brasy5G286900.5.p pacid=40072361 transcript=Brasy5G286900.5 locus=Brasy5G286900 ID=Brasy5G286900.5.v1.1 annot-version=v1.1 MATTEHLSSPTSPGVGTVPLSSALGKLLRFVLSSHLTAPDPALPLSPSYCSRLLDDDLCEKLAAELAGCIEERRIPESPVGSGALRIPAEEEGAELKRMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTE* >Brasy5G286900.7.p pacid=40072362 transcript=Brasy5G286900.7 locus=Brasy5G286900 ID=Brasy5G286900.7.v1.1 annot-version=v1.1 MHTPYMLEDFPFPEKMYDGVEFVLHVQEPYFTQLSAGTKNVEGRLAAGNYNRITQGSWLLFNKCLLLEVEAVRKYSSFLEMLQAERISNVLPGILSIEEGVKVYRKFYTEEKENSSGVLAISVSKPARLTTFLLALLPELLARLGYDGLGRLLGLANTAGTVPDGVPPPRSVLISSCMKLHQPTSYHFVNFLLHLRLVVSYCNGGERLFFN* >Brasy5G109500.1.p pacid=40072363 transcript=Brasy5G109500.1 locus=Brasy5G109500 ID=Brasy5G109500.1.v1.1 annot-version=v1.1 MTMEHGEDCCVKVAVHARPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDHVYGSSGTPSAAMFEECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTSCKEGSHVGIIPRAMAALFDKIEGLKNQVEFQLRVSFIEILKEEVRDLLDPATVAAGKLENGNGHVGKLSMPGKPPVQIREGSNGVITLSGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIVTSDGMPIEDINDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQVEYLQAELVLARGGVGSDDVQGLRERISWLEHTNEDLCRELYGLRNHAHTDPCEPELNKTGSGYTKSEGLKRSLQSTEPFDVLMTDSVRAGNPKDIDDEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELEEEKRAVQKERDRLLAEVESLNSDGQTHKLRDAQLQKLKTFEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMGEAKSLLQYIFSVAADARCVVREKEIEIKEMKEQMTELVGILRHSESRRRELEKQSKQKEQTAPMATTPPGSGNGSAKHSADDSSTPLSPVAVPAQKQLKYSAGIVNSPSKGGAAFNKQELKLVPIAQLPMGKKISISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRARPRPQLRIAQKPQKVM* >Brasy5G109500.2.p pacid=40072364 transcript=Brasy5G109500.2 locus=Brasy5G109500 ID=Brasy5G109500.2.v1.1 annot-version=v1.1 MTMEHGEDCCVKVAVHARPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDHVYGSSGTPSAAMFEECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTSCKEGSHVGIIPRAMAALFDKIEGLKNQVEFQLRVSFIEILKEEVRDLLDPATVAAGKLENGNGHVGKLSMPGKPPVQIREGSNGVITLSGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIVTSDGMPIEDINDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQVEYLQAELVLARGGVGSDDVQGLRERISWLEHTNEDLCRELYGLRNHAHTDPCEPELNKTGSGYTKSEGLKRSLQSTEPFDVLMTDSVRGNPKDIDDEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELEEEKRAVQKERDRLLAEVESLNSDGQTHKLRDAQLQKLKTFEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMGEAKSLLQYIFSVAADARCVVREKEIEIKEMKEQMTELVGILRHSESRRRELEKQSKQKEQTAPMATTPPGSGNGSAKHSADDSSTPLSPVAVPAQKQLKYSAGIVNSPSKGGAAFNKQELKLVPIAQLPMGKKISISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRARPRPQLRIAQKPQKVM* >Brasy5G109500.4.p pacid=40072365 transcript=Brasy5G109500.4 locus=Brasy5G109500 ID=Brasy5G109500.4.v1.1 annot-version=v1.1 MFEECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTSCKEGSHVGIIPRAMAALFDKIEGLKNQVEFQLRVSFIEILKEEVRDLLDPATVAAGKLENGNGHVGKLSMPGKPPVQIREGSNGVITLSGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIVTSDGMPIEDINDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQVEYLQAELVLARGGVGSDDVQGLRERISWLEHTNEDLCRELYGLRNHAHTDPCEPELNKTGSGYTKSEGLKRSLQSTEPFDVLMTDSVRAGNPKDIDDEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELEEEKRAVQKERDRLLAEVESLNSDGQTHKLRDAQLQKLKTFEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMGEAKSLLQYIFSVAADARCVVREKEIEIKEMKEQMTELVGILRHSESRRRELEKQSKQKEQTAPMATTPPGSGNGSAKHSADDSSTPLSPVAVPAQKQLKYSAGIVNSPSKGGAAFNKQELKLVPIAQLPMGKKISISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRARPRPQLRIAQKPQKVM* >Brasy5G109500.3.p pacid=40072366 transcript=Brasy5G109500.3 locus=Brasy5G109500 ID=Brasy5G109500.3.v1.1 annot-version=v1.1 MFEECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTSCKEGSHVGIIPRAMAALFDKIEGLKNQVEFQLRVSFIEILKEEVRDLLDPATVAAGKLENGNGHVGKLSMPGKPPVQIREGSNGVITLSGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIVTSDGMPIEDINDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQVEYLQAELVLARGGVGSDDVQGLRERISWLEHTNEDLCRELYGLRNHAHTDPCEPELNKTGSGYTKSEGLKRSLQSTEPFDVLMTDSVRGNPKDIDDEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELEEEKRAVQKERDRLLAEVESLNSDGQTHKLRDAQLQKLKTFEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSSSRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMGEAKSLLQYIFSVAADARCVVREKEIEIKEMKEQMTELVGILRHSESRRRELEKQSKQKEQTAPMATTPPGSGNGSAKHSADDSSTPLSPVAVPAQKQLKYSAGIVNSPSKGGAAFNKQELKLVPIAQLPMGKKISISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRARPRPQLRIAQKPQKVM* >Brasy5G144700.1.p pacid=40072367 transcript=Brasy5G144700.1 locus=Brasy5G144700 ID=Brasy5G144700.1.v1.1 annot-version=v1.1 MSFVPGDYPCVRRFRDRRLIAYLFLQGYQITYRSMLHQTVDFFSAEHLEHLVSQGRWADALRYVSPRFLWVDHASSSRPQSVEARVLYYFLRINFTVSQIVSGAKEGAALEARLIPCLRSEKSTQPVTARLHSILCSLLYSQRVRDSLDWGRVRSRSAVIVRDLVNNTPELKERLLLPDGPMGPQNVLPIGFGFAPFRRTCHLKKRARQPEASAIAKLYLDKRRSLPSSQCSSENTVLSFIESDAKVFGWVSDLLEECFEASKFPELCHGCPLQYTAKEVSQTMCSNVTNPPRNSQTTSVIDPGPAAVGNVITHSCHHLQGLVD* >Brasy5G144700.2.p pacid=40072368 transcript=Brasy5G144700.2 locus=Brasy5G144700 ID=Brasy5G144700.2.v1.1 annot-version=v1.1 MSFVPGDYPCVRRFRDRRLIAYLFLQGYQITYRSMLHQTVDFFSAEHLEHLVSQGRWADALRYVSPRFLWVDHASSSRPQSVEARVLYYFLRINFTVSQIVSGAKEGAALEARLIPCLRSEKSTQPVTARLHSILCSLLYSQRVRDSLDWGRVRSRSAVIVRDLVNNTPELKERLLLPDGPMGPQNVLPIGFGFAPFRRTCHLKKRARQPEASAIAKLYLDKRRSLPSSQCSSENTVLSFIESDAKVFGWVSDLLEECFEASKFPELCHGCPLQYTAKEVSQTMCSNVTNPPRNSQTTSVIDPGTGRLVEDLQT* >Brasy5G056100.1.p pacid=40072369 transcript=Brasy5G056100.1 locus=Brasy5G056100 ID=Brasy5G056100.1.v1.1 annot-version=v1.1 MAAADIQKNKLFFSHGGGAHQPAQKRHPVIRNRAPPAAAKLAVVDVEGALLLPRSLFPYFMLVAVEAGGFLRGLLLLLLYPFILALSSFFSGADAAVRAMAFVAFCGLRAARFRAGRAVLPRWLLQDVALQGFDLAVAQRRSASAAVWVSRMPRVMVEPFLKEYLLIQDQESRDDVSPDVIVVAREMKTAWGFYTGFMEDYHWEHQTGVVDDDAIGFSGASSSSSSSMEFFLGSLCKEIYTVTEEEKTKWPRRSHPRPVVFHDGRLAFLPTPIAFAATLTWLPLGAVLAVARIAIALSLPYRLATPLLAATGQSWRLRSSSPPPSPEHVKGQLYVCNHRTLIDPVYVSIALNRPVRAVSYSLSRFSDLISPIGATVHLTRDRAHDGAAMAGLLERGSQVVVCPEGTTCREPYLLRFSPLFAELTDGVVPVALAVETAMFHATTAGGWKWLDPLYYLANPRMCYTVEFLPRVETKAAASSAEVANKVQRLVAEALGYECTMLTRKDKYRMLAGNDGVVQRRQRSSMEERN* >Brasy5G430000.1.p pacid=40072370 transcript=Brasy5G430000.1 locus=Brasy5G430000 ID=Brasy5G430000.1.v1.1 annot-version=v1.1 MVLPDGRDGVGAGPGVPRDDEAAVVLGGGSGGGGGGGVRTGAPGHVAGGGWRVAGDGAAEAREMDGGEGVDGAAHHEVEEPRVGAVQRGGEVAGRGGILLLLLLRGLLVVGCGRGCEGSGVGEEREAE* >Brasy5G190900.1.p pacid=40072371 transcript=Brasy5G190900.1 locus=Brasy5G190900 ID=Brasy5G190900.1.v1.1 annot-version=v1.1 MKTQTTAGEDHGGGLLVPGGDDDGSRTEVPQWAELPDDLLAMVRGRATSPFDRVRFAAVCRSWRAAAASRRPAARPSPPPLLLSVPSGPRCESCYSTENRLYCLEDGREYTGLLLDVPLLQSKALRREIVGSHDGGWLAALFTTRPHAAAGHQQGAMVVIFNLFSRAEVALSPKQRDIAELRVDDDKPLVVSKMVFSKDPTSRGCVLAAMLGRCRVAVCRISCPDQGWTVHKTCSWGTCLWDQLQDIVFFNGELYGLTRERLIKLNVGARNRDGARPVVTTVHQLGPQISKQIVHGDDDNTSNFFLVELRRKLAIAVSDHSPRSSCRLFELAEAEDGYKCAEVTSLGNYTLFLGSRCSKAVENSNGGFDHQHEMFHHICGVYRHWEDEDEITRNCVELGGAFYPRCDGTWLLPPEL* >Brasy5G496700.1.p pacid=40072372 transcript=Brasy5G496700.1 locus=Brasy5G496700 ID=Brasy5G496700.1.v1.1 annot-version=v1.1 MEQVFDPWRSVTYQVHLQGTSRAVVVKKLENKTGSAVDASLDDRCQSEVNLLDNICHDNIISLVACIVKDNFNVLVYDHKENGSLNQWLHYPELTAEGVLDWPTRQAIAIGVARGIYYLHHGRNNPIVHYNINSSSILLDTDLKPKIAGFDLARVNLAEPDQPVPIWELTAGNMFGYTAPEYMTAVTSKVDVYNLRVVLLELVTGRVANKAVADGHLATWAGNHCNRLMGNAGDFSHVIDMAISAPDRARYFKEMAAMFRLGVACANKDLRERPPMHEVLSRLRNRGH* >Brasy5G495700.1.p pacid=40072373 transcript=Brasy5G495700.1 locus=Brasy5G495700 ID=Brasy5G495700.1.v1.1 annot-version=v1.1 MRAHGDGVINLISYGVREMRALDRRDGLKSSLVAEEPGAEKGRETNLCSPGGGSTAPGRTATRGGRRRSYQGGRGHGRPGSHAWAAPATMQAIRGADGRPYGRPGARSLDGVRGRGGGRRRGCGLRRGVLEQRRPVREEGPEWINWSRRRKGGRIERMEITGG* >Brasy5G278600.1.p pacid=40072374 transcript=Brasy5G278600.1 locus=Brasy5G278600 ID=Brasy5G278600.1.v1.1 annot-version=v1.1 MRHPLGLERKRNQEKTGDKIASRARTWRVLALQGSTNTTAAAAEGGLVCTPNSPPPPRIGSWASRLIRSIAWPSPPTASSSLACTGTQENNPPSRTMDSSRIVRQPPPHSRASPSALASASPCRRLPVVALLLQRAASPATTPSQAPTVDRCAPAAEPLSCPAARPPLLPVSLLQRALLPLPLLPSPAAGPSPLWSISSPAAPSPAAAAL* >Brasy5G486000.1.p pacid=40072375 transcript=Brasy5G486000.1 locus=Brasy5G486000 ID=Brasy5G486000.1.v1.1 annot-version=v1.1 MLSAMMQQRTADSSACADAYGPPPLPLPSPSYEACLAASPAVTAPQHRARRGATKRRPRPSRRAPTTYIRAGPTEFRRMVHQVTGADDIDLLLNPTPPPPPPQQQAADQRLRLHQCRPAPVLAAGGRAAVMLPTLDTSASFLLDGCRPQPAAARTEAPPPPPPACEASLDLDDGTSGGCAGFPTLESWDLL* >Brasy5G501200.1.p pacid=40072376 transcript=Brasy5G501200.1 locus=Brasy5G501200 ID=Brasy5G501200.1.v1.1 annot-version=v1.1 MDGNNNNSMASSMAAREEIEALLRRQQQLVTQLRALILPSLLRDNVGGGESAELAVQLFDDVIGCNTSAVSSLLRAGGGSLFGAEPAVDDKSLVRKKMLSRVISPGEIRLEEEERARPVRSVATKRRRKDGKRSRSLVTNVPHYDGHQWRKYGQKNINGMQHSRSYYRCTYKERNCSATKTVQEQDHNRSSFSYGDETVKYTVVYYGHHTCNGESISNGSVDLPRLVSMDLDQTVEEMARMPTYQAQEFDEGDLDVPALLEVLDNPLLNWDMW* >Brasy5G320900.1.p pacid=40072377 transcript=Brasy5G320900.1 locus=Brasy5G320900 ID=Brasy5G320900.1.v1.1 annot-version=v1.1 MAALVELFLRSSSSSAPVDWEAEAYPAYGDYAVLPFLVAFFPALRFLLDRFVFEVLARRLIFGKGYDKLAETDERRKKINKFKESAWKFVYFLSAELLSLCVTYNEPWFTNTRYFWVGPGDQLWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRKDFGVSMSHHVATVVLIVMSYICRLSRAGSIILAIHDASDIFLEIGKMAKYSSCEGLAVVAFLLFVASWIILRLMIFPFWVLRSTSYEVAVILDKEKHQFYSSVYYYLFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRVGDDVRSDSEGEDDHED* >Brasy5G129100.1.p pacid=40072378 transcript=Brasy5G129100.1 locus=Brasy5G129100 ID=Brasy5G129100.1.v1.1 annot-version=v1.1 MRAALQGSSSMPGQKGMASESAPFCLLGTGGGGKGAATRAAAHGRVHDALVFAAGAGAAVLVLLCTASFLSPSPVPSIVSFPAFPSVPSSSPLAGAGGDDDDGVLAADRRRTFYDDPELSYAVGSGRRLTGWDAKRAEWLRIHGLNNNGGGQERVVMLSGSQSHPCKGAGGDHALLRFLKNKVDYCRLHGIQLLYNTALLHPEMLAYWAKIPVVRAAMLAHPEAEWVWWVDADAVFTDMDFSLPLPKYKNHNLVFYGWDREVYGEKSWVGLNAGVFLIRNCQWSLDFMDAWAAMSPTSPDYDEWGKILMDTLKWKSSNDSDDQSALVYLLMKNRRKWGRKTYLDHDYFFQGYWAEIVDRLDGVAARYLAAERRAARPGTAALLRRRHAEAEHGMYAAARNAVVRRAVPGPAGGGQTGWRRPFITHFAGCQPCGGTPNIIFPNGSCAEGVRRALNFADDQVLRAYGFRHAGPLSDAVQPLPFGYPRSPARA* >Brasy5G438300.1.p pacid=40072379 transcript=Brasy5G438300.1 locus=Brasy5G438300 ID=Brasy5G438300.1.v1.1 annot-version=v1.1 MARAGNSCLQTVGVVFLAMMILLASTDLHGPQLAVASRPAKEAAAGGERKEALAARRLTGPSPSCCSNHVTCPPGSICPP* >Brasy5G200900.1.p pacid=40072380 transcript=Brasy5G200900.1 locus=Brasy5G200900 ID=Brasy5G200900.1.v1.1 annot-version=v1.1 MVAAAVAAGSALKLIFSLVIAVRAASTKAKRNGDDCRDIAARACSLEASLSSLDDDGGAVAKHPAVASALDRLHDALHEALQAVMECEEDGAVARRFNAGKVSEDLRKLNQSIGDRILEVILATSLHTNSILLGAQQPKHHGDGRLALRPAPQIQEGSLANSFMEREPAATSSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWGFTAPEYMLSRRFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.5.p pacid=40072381 transcript=Brasy5G200900.5 locus=Brasy5G200900 ID=Brasy5G200900.5.v1.1 annot-version=v1.1 MVAAAVAAGSALKLIFSLVIAVRAASTKAKRNGDDCRDIAARACSLEASLSSLDDDGGAVAKHPAVASALDRLHDALHEALQAVMECEEDGAVARRFNAGKVSEDLRKLNQSIGDRILEVILATSLHTNSILLGAQQPKHHGDGRLALRPAPQIQEGSLANSFMEREPAATSSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWGFTAPEYMLSRRFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.2.p pacid=40072382 transcript=Brasy5G200900.2 locus=Brasy5G200900 ID=Brasy5G200900.2.v1.1 annot-version=v1.1 MVAAAVAAGSALKLIFSLVIAVRAASTKAKRNGDDCRDIAARACSLEASLSSLDDDGGAVAKHPAVASALDRLHDALHEALQAVMECEEDGAVARRFNAGKVSEDLRKLNQSIGDRILEVILATSLHTNSILLGAQQPKHHGDGRLALRPAPQIQEGSLANSFMEREPAATSSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.6.p pacid=40072383 transcript=Brasy5G200900.6 locus=Brasy5G200900 ID=Brasy5G200900.6.v1.1 annot-version=v1.1 MVAAAVAAGSALKLIFSLVIAVRAASTKAKRNGDDCRDIAARACSLEASLSSLDDDGGAVAKHPAVASALDRLHDALHEALQAVMECEEDGAVARRFNAGKVSEDLRKLNQSIGDRILEVILATSLHTNSILLGAQQPKHHGDGRLALRPAPQIQEGSLANSFMEREPAATSSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.3.p pacid=40072384 transcript=Brasy5G200900.3 locus=Brasy5G200900 ID=Brasy5G200900.3.v1.1 annot-version=v1.1 MLARGLPVLARRRRWRGGEAPGGRLRARQAPRRAPRGPPGRHGVRGGRRRRPPLQRRQGVRGSSEAEPEYWGQDIGGDPCHEPAHQQHLAWCAAAETSWGWKTSTSPGAPNSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWGFTAPEYMLSRRFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.7.p pacid=40072385 transcript=Brasy5G200900.7 locus=Brasy5G200900 ID=Brasy5G200900.7.v1.1 annot-version=v1.1 MLARGLPVLARRRRWRGGEAPGGRLRARQAPRRAPRGPPGRHGVRGGRRRRPPLQRRQGVRGSSEAEPEYWGQDIGGDPCHEPAHQQHLAWCAAAETSWGWKTSTSPGAPNSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWGFTAPEYMLSRRFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.4.p pacid=40072386 transcript=Brasy5G200900.4 locus=Brasy5G200900 ID=Brasy5G200900.4.v1.1 annot-version=v1.1 MLARGLPVLARRRRWRGGEAPGGRLRARQAPRRAPRGPPGRHGVRGGRRRRPPLQRRQGVRGSSEAEPEYWGQDIGGDPCHEPAHQQHLAWCAAAETSWGWKTSTSPGAPNSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G200900.8.p pacid=40072387 transcript=Brasy5G200900.8 locus=Brasy5G200900 ID=Brasy5G200900.8.v1.1 annot-version=v1.1 MLARGLPVLARRRRWRGGEAPGGRLRARQAPRRAPRGPPGRHGVRGGRRRRPPLQRRQGVRGSSEAEPEYWGQDIGGDPCHEPAHQQHLAWCAAAETSWGWKTSTSPGAPNSGLKKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRDGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPASAKEGTVDTVIGTWFSIKSDVYSFGVILLELITGWTRHQEAKNCKDPVNVLVRGLWKTGKLDECVDPRLSGAAGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIASRRSPRRPAYTM* >Brasy5G092700.1.p pacid=40072388 transcript=Brasy5G092700.1 locus=Brasy5G092700 ID=Brasy5G092700.1.v1.1 annot-version=v1.1 MSLAPERLGEYKKEIVFCLERMTVLQLVTLLFVLLLCCGSSIPIHESSPPGTDMRAPAVVLNVTLKFCRNDAGGGCDAGADAALQAQFDGMDVKPADGECASLVKSMLCSKCDLFSAALFDIGSIPRTVPLLCSSFSARGSSQPERPTHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.4.p pacid=40072389 transcript=Brasy5G092700.4 locus=Brasy5G092700 ID=Brasy5G092700.4.v1.1 annot-version=v1.1 MSAVQKCDLFSAALFDIGSIPRTVPLLCSSFSARGSSQPERPTHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.2.p pacid=40072390 transcript=Brasy5G092700.2 locus=Brasy5G092700 ID=Brasy5G092700.2.v1.1 annot-version=v1.1 MLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.7.p pacid=40072391 transcript=Brasy5G092700.7 locus=Brasy5G092700 ID=Brasy5G092700.7.v1.1 annot-version=v1.1 MLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.5.p pacid=40072392 transcript=Brasy5G092700.5 locus=Brasy5G092700 ID=Brasy5G092700.5.v1.1 annot-version=v1.1 MLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.6.p pacid=40072393 transcript=Brasy5G092700.6 locus=Brasy5G092700 ID=Brasy5G092700.6.v1.1 annot-version=v1.1 MLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEPYKVVDLISKGGNYGWRAAYEDHNVHYPPWSSQGTKPANGIIFPIMGYTVPPTTESAAIVGGYVYRGSADPCLHGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCYDSTDSPLGPIFSFGQDNKQDGFILASQGVYRIVQPILCDYVCLNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAERHAR* >Brasy5G092700.3.p pacid=40072394 transcript=Brasy5G092700.3 locus=Brasy5G092700 ID=Brasy5G092700.3.v1.1 annot-version=v1.1 MSLAPERLGEYKKEIVFCLERMTVLQLVTLLFVLLLCCGSSIPIHESSPPGTDMRAPAVVLNVTLKFCRNDAGGGCDAGADAALQAQFDGMDVKPADGECASLVKSMLCSKCDLFSAALFDIGSIPRTVPLLCSSFSARGSSQPERPTHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDIGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDKGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVFNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQFVVLYI* >Brasy5G476300.1.p pacid=40072395 transcript=Brasy5G476300.1 locus=Brasy5G476300 ID=Brasy5G476300.1.v1.1 annot-version=v1.1 MELAISAVTGELVSRFVSFLANRYQSSRESSEEKQIERLQQLLPRARMVVEEADARYITNSGMLAQLKVLADAMYRGYWALDASKYMSLELEETPMVSNPSALKRFRTVRGRARKNKARHVFEVRGASEILENVLANLTEFLVILGGCDRVMPRRPYDAYLYNENIMFGRHAEKQNLLNFMLQQDSHGDGGLPAVLPVIGAPLVGKRTLVAHVCKDDRVRAHFFSILRLNGDISLCGISDHGSSLLSGKKILIILELYSEVDEKDWARFYSSVASMARGSKVIILSRRKNSELLGTVKPIILNALSYEEFSYLFKTLAFGSANPAENLRLARIADEFAKDLHSDWSLVTANLFANVMRRNLDVRFWLEMLIRCRRLVRRNISMFGEHPKFLCKSRQVDVSDIVLCDHAAPLSIVSCCIPGSSRTELVAARKELPKVALGDLLVDPGVRPKGEFNVFVESRLPPYTSFVHFVPNRSAQDTPQLGMKRRGVPL* >Brasy5G419900.1.p pacid=40072396 transcript=Brasy5G419900.1 locus=Brasy5G419900 ID=Brasy5G419900.1.v1.1 annot-version=v1.1 MAPVGLPPGFRFHPTDEELVNYYLKRKIHGQHIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVMQHHGGGGGVRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDTQDSDDAMAIQDTYALCRVFKKNAICAEVEELQEGQCSMALLEGACQQLLASGSNSQEYQTPSPDVPVGSTSGGGDADADDDKDDSWMQFISDDAWCSSTAEESTSCVALAG* >Brasy5G439100.1.p pacid=40072397 transcript=Brasy5G439100.1 locus=Brasy5G439100 ID=Brasy5G439100.1.v1.1 annot-version=v1.1 MRSWPGRPDSSLLAPFLAASCLLLVAGSHQEFHEATGSRIHHQTNPHTPEVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHAENDMFREQEEHKIHFDVNEWRCGFCKKSFRAEKYIDQHFSNRHNNLLDNSQGRCLADLCGALHCDLTMEFKKPKSKCNAAAAARNRHLCESLADNCFPVNQGHSASRLHEFFLRQFCDAHTCNGGSKPFPKGGRKQTNRFYLALCILTLLLLPLFYLIVFLHQREMKKGVQVFKRIPKTVHKKKPS* >Brasy5G439100.3.p pacid=40072398 transcript=Brasy5G439100.3 locus=Brasy5G439100 ID=Brasy5G439100.3.v1.1 annot-version=v1.1 MRSWPGRPDSSLLAPFLAASCLLLVAGSHQEFHEATGSRIHHQTNPHTPEVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHAENDMFREQEEHKIHFDVNEWRCGFCKKSFRAEKYIDQHFSNRHNNLLDNSQGRCLADLCGALHCDLTMEFKKPKSKCNAAAAARNRHLCESLADNCFPVNQGHSASRLHEFFLRQFCDAHTCNGGSKPFPKGGRKQTNRFYLALCILTLLLLPLFYLIVFLHQREMKKGVQVFKRIPKTVHKKKPS* >Brasy5G439100.2.p pacid=40072399 transcript=Brasy5G439100.2 locus=Brasy5G439100 ID=Brasy5G439100.2.v1.1 annot-version=v1.1 MRSWPGRPDSSLLAPFLAASCLLLVAGSHQEFHEATGSRIHHQTNPHTPEVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHAENDMFREQEEHKIHFDVNEWRCGFCKKSFRAEKYIDQHFSNRHNNLLDNSQGRCLADLCGALHCDLTMEFKKPKSKCNAAAAARNRHLCESLADNCFPVNQGHSASRLHEFFLRQFCDAHTCNGGSKPFPKGGRKQTNRFYLALCILTLLLLPLFYLIVFLHQREMKKGVQVFKRIPKTVHKKKPS* >Brasy5G439100.4.p pacid=40072400 transcript=Brasy5G439100.4 locus=Brasy5G439100 ID=Brasy5G439100.4.v1.1 annot-version=v1.1 MRSWPGRPDSSLLAPFLAASCLLLVAGSHQEFHEATGSRIHHQTNPHTPEVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHAENDMFREQEEHKIHFDVNEWRCGFCKKSFRAEKYIDQHFSNRHNNLLDNSQGRCLADLCGALHCDLTMEFKKPKSKCNAAAAARNRHLCESLADNCFPVNQGHSASRLHEFFLRQFCDAHTCNGGSKPFPKGGRKQTNRFYLALCILTLLLLPLFYLIVFLHQREMKKGVQVFKRIPKTVHKKKPS* >Brasy5G413600.1.p pacid=40072401 transcript=Brasy5G413600.1 locus=Brasy5G413600 ID=Brasy5G413600.1.v1.1 annot-version=v1.1 MITPKRLVRQAKKWQQMAALGKWRLMMMGAIKETNICGASAIADKGHCIVYTAGGERFEVPLAYLGTTVFGELLRMSEDEFGFMSEDRIMVPCDAAVMAYLMCLLRRKPSEEVERAVLRSVVMPHNCETRITVFSKDLGLSLAI* >Brasy5G524700.1.p pacid=40072402 transcript=Brasy5G524700.1 locus=Brasy5G524700 ID=Brasy5G524700.1.v1.1 annot-version=v1.1 MREQRRCGCDARQEKKKTTFGLGRAMECWAYMGWAGPKRRLLPYILSFFFVPKDNFPDLTIADPQEEAKQSDRFQEARRRRMKAFKKEFTLEERANESAAMIANYPDRIPVIVERFSRSNLPEMEKRKYLVPREMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTANLMGSVYDVYKDKEDGFLYMCYSSEKTFGSSACN* >Brasy5G498300.1.p pacid=40072403 transcript=Brasy5G498300.1 locus=Brasy5G498300 ID=Brasy5G498300.1.v1.1 annot-version=v1.1 MGNCGTREENAVVAAHAQVQQLHLLQHSTKNALADRKHTRTSSDVSDPPTPRKIEDAENISIYNNVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDDNVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLLRKTATPLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWVRPKLSDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGGSGNDGAAVHVGGLPDYRVHRRLTGNSVHCRAIPNPKCSPAVPACRVR* >Brasy5G082900.1.p pacid=40072404 transcript=Brasy5G082900.1 locus=Brasy5G082900 ID=Brasy5G082900.1.v1.1 annot-version=v1.1 MEDLPEALLSEIVKRVTRRSDLNSLSLVSKRFFTLEAELKGSIRVGCGLCLATESLASLFSRFPNLSKVEIDYAGWTPFHGGQLDNQDLFVILSCCPSLTDLTLSFCSHIDDAGLGYLRYSQKLISLRLKSAPEITSSGLLSVAVGCKSLSCLHLIDCQKIGSTEWLEYLGWNGSLEELVVKNCKFDFAIDQYNLLNFGPGWMKLHKFDFEIKGRFWDFHEGYDHMEIVG* >Brasy5G401400.1.p pacid=40072405 transcript=Brasy5G401400.1 locus=Brasy5G401400 ID=Brasy5G401400.1.v1.1 annot-version=v1.1 MINQCLCCVAGSDSEPEAAASRSRRNPSRSSRGNRKRGVEYPWEMYTLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPTSQQHPPLDWPRRLSIALGAAQGLAYLHHEASPHIIHRDIKASNVLLDADLVPKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAAQLRAVVETAMLCSQSNGESRPTMAEVVDMLRFAGGGGGGERRNKEIVPVQDAACSEDITMTTEHDDVTGSTEPLDRGSWKLTTLR* >Brasy5G401400.2.p pacid=40072406 transcript=Brasy5G401400.2 locus=Brasy5G401400 ID=Brasy5G401400.2.v1.1 annot-version=v1.1 MINQCLCCVAGSDSEPEAAASRSRRNPSRSSRGNRKRGVEYPWEMYTLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPTSQQHPPLDWPRRLSIALGAAQGLAYLHHEASPHIIHRDIKASNVLLDADLVPKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAAQLRAVVETAMLCSQSNGESRPTMAEVVDMLRFAGGGGGGERRNKEIVPVQDAACSEDITMTTEHDDVTGSTEPLDRGSWKLTTLRHTASVETRPWLGRHASTNQER* >Brasy5G312700.1.p pacid=40072407 transcript=Brasy5G312700.1 locus=Brasy5G312700 ID=Brasy5G312700.1.v1.1 annot-version=v1.1 MQSPVMWFCRVGEGCCWSRHGYDIGCYDLPVEYCPIPKKKNFFDIAAVNGRFFFFDWIGSLGTLDFAYNDGSELEARLGAIAIPHIDVSEGITCTYILESCNDLFLVTIAFHGFCLDRPGELDVYRMDFSEPPAWRKTHCIGDRAFLLGGSNFAASCPASGCALKANCVYWVNCLSEQNSDLHVFGLEAGTSEIVQRFENVQGAFWIVPVVVA* >Brasy5G173200.1.p pacid=40072408 transcript=Brasy5G173200.1 locus=Brasy5G173200 ID=Brasy5G173200.1.v1.1 annot-version=v1.1 MSKKAVPYAAAIAIQAIYTGIFVVSKAAFDATGAINTFVFMFYRLAAASALLLPIALLLSSRPSSSSPTMSPRLLCKLFLHALLGNTFTLNVYNASLKHTSATVASAAINSMPVFTFLIAVLMGMEKVGKLRSRSAAWKLAGVALCVAGVLVIALYSGPTALRPLTGRPVLFGNGSAGTKAAAASNGAVWIRATFLVILATATSWSLSIVLQVPLLKEYPNKLMATAMQCLFGAIQSFVVAVVVERDFSKWKLGFDIGLLAILYSAFLGTGAVMYLQAWCAEMKGPVFVAMWNPMALIFTIFCSSVFLGESVHLGSILGGILLVGGLYNVLWGKSKEKENKIAPATPEGSQEGTTIQEKDKEEELTSQV* >Brasy5G397500.1.p pacid=40072409 transcript=Brasy5G397500.1 locus=Brasy5G397500 ID=Brasy5G397500.1.v1.1 annot-version=v1.1 MGSQQLDSAVENYHHHLGGGMWGSMEQWRLPPPQMQMQQFPFFGGGRGAAPDAISGMQQLQQQMPAGISNYPFEPDAGDGSGEGFAAGGQMMGGGGDKQVVPGSAGLITQLASVKMEDNPPAMAREFLGLPAAAAGSLQFWAGGGGGNNGVSGGGGGAPGGGGGWVDRLAGFNSSSSGNIL* >Brasy5G359700.1.p pacid=40072410 transcript=Brasy5G359700.1 locus=Brasy5G359700 ID=Brasy5G359700.1.v1.1 annot-version=v1.1 MDVHELTLEFWITTNHTNSSRHNRASRSRIQCSLRRIAQKSRDMAEHVVAAVLQRAGAAVIQEAASLRQVPAKVETLKSELRRMQCFLRDTDARMERGEMANHLVSEVRDVAYSIEIIIDMANILARENNIQWSFMGAISKGAHYPFHCMHLYNIVKRIDRVTARVHAIFQELTKYKIVGISLNEMRYSMDEDASLRAKRLILPDFEDEVDVIGFHTEINQINDDLLDSENKDLTVISLVGPGGAGKSTVARKVYNLVAKKHFNSCAWICISQQFTVYGALKDIVKGTMGTQTSEEPGKMSEAEIIKKINNFLKDKTYLVVLDDVWRMEDWDMIQAAFPDVKNGSRMVVTTRNSAVSNHPNTRKITQELNLLNDEESIELFNRKAFPPYVVHDRNDMDSLREIGKALALKCNGLPLAIVVLGGFLSKNLRITEWRRMVASINWDAMKNEGDIKAILDLSYYDLSSNLKACFLYITSFPEDYAVPVGLLTKLWIAEGFIPNVRECSLEETALRYVEELAQRCMVLTEKRSSRCIKTVKVHDVLRDWGIGRARREGFFKDCSSSNDVETSYSNEMRAYRVVLYDSVCVKVGVSIPNLHSLLILNAARLDRNVAFSFHGLYYLRVLYFDGMRGKWQIPTEIGKMVHLRYLGLKGGTYVLPASISNLTNLHTFDARDATVEALPIALLCIWTLKHVHIYKVESWSMLKTTIQSNLKSLFILLASNMPKQWEAAIDRMESNPSWCFGKHYQSVKQLEIVGSFEDKFGVPNDLHLPDLLLLPHNLRRLKFSCPNLLNDEDPMPTLGSWLPFLNVLEIGFRSYTGATITCSSRWFPNLYNLVLHDLDVEEWVLEDGAMPKLRILTLCKCTKLKALPEGLQHLKELRKLKVIAMPKLCQVLCYLLHRAGREVIIRSSEEDFQHVEIPKDDR* >Brasy5G359700.2.p pacid=40072411 transcript=Brasy5G359700.2 locus=Brasy5G359700 ID=Brasy5G359700.2.v1.1 annot-version=v1.1 MDVHELTLEFWITTNHTNSSRHNRASRSRIQCSLRRIAQKSRDMAEHVVAAVLQRAGAAVIQEAASLRQVPAKVETLKSELRRMQCFLRDTDARMERGEMANHLVSEVRDVAYSIEIIIDMANILARENNIQWSFMGAISKGAHYPFHCMHLYNIVKRIDRVTARVHAIFQELTKYKIVGISLNEMRYSMDEDASLRAKRLILPDFEDEVDVIGFHTEINQINDDLLDSENKDLTVISLVGPGGAGKSTVARKVYNLVAKKHFNSCAWICISQQFTVYGALKDIVKGTMGTQTSEEPGKMSEAEIIKKINNFLKDKTYLVVLDDVWRMEDWDMIQAAFPDVKNGSRMVVTTRNSAVSNHPNTRKITQELNLLNDEESIELFNRKAFPPYVVHDRNDMDSLREIGKALALKCNGLPLAIVVLGGFLSKNLRITEWRRMVASINWDAMKNEGDIKAILDLSYYDLSSNLKACFLYITSFPEDYAVPVGLLTKLWIAEGFIPNVRECSLEETALRYVEELAQRCMVLTEKRSSRCIKTVKVHDVLRDWGIGRARREGFFKDCSSSNDVETSYSNEMRAYRVVLYDSVCVKVGVSIPNLHSLLILNAARLDRNVAFSFHGLYYLRVLYFDGMRGKWQIPTEIGKMVHLRDATVEALPIALLCIWTLKHVHIYKVESWSMLKTTIQSNLKSLFILLASNMPKQWEAAIDRMESNPSWCFGKHYQSVKQLEIVGSFEDKFGVPNDLHLPDLLLLPHNLRRLKFSCPNLLNDEDPMPTLGSWLPFLNVLEIGFRSYTGATITCSSRWFPNLYNLVLHDLDVEEWVLEDGAMPKLRILTLCKCTKLKALPEGLQHLKELRKLKVIAMPKLCQVLCYLLHRAGREVIIRSSEEDFQHVEIPKDDR* >Brasy5G447700.1.p pacid=40072412 transcript=Brasy5G447700.1 locus=Brasy5G447700 ID=Brasy5G447700.1.v1.1 annot-version=v1.1 MLHPVHRLPAAPTSRDVCPAAPPTFRLRRQHARVAVARTATPTTPVVCCAGFAGSLPVANQGAEFEPRSGSIYRGLGSTVVARANPVAADPRFLASKNGGRGRHVASPSASLNHAPSPRFRNRRGSRFIVRAEADFYSVLGVSRNSSKSEIKSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGSGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDESYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGSGESSTPCKTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDSVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGVVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAEPANSRR* >Brasy5G181100.1.p pacid=40072413 transcript=Brasy5G181100.1 locus=Brasy5G181100 ID=Brasy5G181100.1.v1.1 annot-version=v1.1 MAEADTARDSPGWVGLEARKLPVAWNHLICIHSEAPVHRPERGKMAFGLWLRTQNCRCTSALTVVPCIQF* >Brasy5G092900.1.p pacid=40072414 transcript=Brasy5G092900.1 locus=Brasy5G092900 ID=Brasy5G092900.1.v1.1 annot-version=v1.1 MLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSSTPNNSLVCFNGHGVSFNQRRNSSPSPNGMCLEKIGNGSYLNMVGHPDGTSKAFFSRQDGKVWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLIISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNQSLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMRPFQLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDIHYPPWASQGTKPTNGIIFPIMGYMVPSSTASAAIVGGYVYRGSADTCLYGRYLFADMYSSAMWTGTINSDGSGKYTSASIPLSCSEKTPLPCDDSTDSPLGPIFSFGQDNKQDGFILANQGVYRIVQPSLCGYVCVNDATTEQATASVSGGSQGMATILKVLIGVASVLGTAGAIYLVRTCFCNNSVILGNRIGTMQVINNTTTRGDNSSLATTTKAGAIELAVTKPAEYHAR* >Brasy5G345000.1.p pacid=40072415 transcript=Brasy5G345000.1 locus=Brasy5G345000 ID=Brasy5G345000.1.v1.1 annot-version=v1.1 MNSAQSGHIPAVKYFLDRGGDLMKSDDNGCTALHHAVAAGRCKATEFLLSKGAPVDIECGRGSPLYLATTKDQDCTLKTLLKHNANPNIIVNGSTTPLIGAIINRSLECFKILIKAGADVNGEGALMTPLVFATTQGGYTNFIHFLLKAGADPNIPDDLGRLPVELAALRDCMEEVKMLFPLTSPIPNVPDWSIHGIISHAKIEDAKPMDQTMLLERRQVVLKSRADDLFKRKDYKMASKFYGWAIDDAPCAKLYANRSLSRLLMGDGEGALSDALKCRNLRPNWAKACYREASAHMLLKEYKKARDALLDAKKLDPGSVDIERELRKATELIKEPHGDGAQ* >Brasy5G268200.1.p pacid=40072416 transcript=Brasy5G268200.1 locus=Brasy5G268200 ID=Brasy5G268200.1.v1.1 annot-version=v1.1 MEALASSSLGAMAPLLRKLDSLLCPDRRLPKPLEDRIELLKEDLEELSSALLEQSMADSPNHKAKYWMDEVRELSYEAEDCIDSMMLTHAGPVAKRPKSRSVRRHRVRRVKVSRLFRTRKPCTRIAKIAELRALVREASERHERYQLDDFSSNSSRVFTVHSQVPALYGKVGEYLIGIDDSRNKLTKRLTGDADQQLKVVCICGSAGVGKTTLARRLYLELGARFECQAFVRASRKPDTRRLLGGILSQVQQRPEPSNSFTVQDLIDNLMKYLHDKRYFIVIDDLWETTTWDIVKSAFPEGNNYSRIVTTTESEVIALQCCGYQSDNILEMETLGNHDSAQLFFSIVFGSEHQCPDQLREVSYRIIRKCGGLPLGTIHVAGLLASQTDNSELWHHVQKCLCSNLSTSSTLEEMLKEVLNLCYNSLPSYLKTCLLYLSMYPEGYTMWKVDLLTQWISEGFVTATEENEAEKIAEGYFDELVNRGMIQPEQINHNDEVLSCTVHHTVLDFIMYKSKEGNFITSIDYSQAIIIPSTMVRRLSLHSSSAKYATKMEGVMLSQLRSLFFFGLIRCLPSVVELKLLRVLVLEFWGDHYGHTSLKLMTICSLLHLRYLKVSCDIIVELPAQMRALQFMETLEINARVSAVPLDIIHLPALLHLSLRDEPNLPDGIGQIRSLHTLQYFDLGNNSEGNVLSLGELKNLQDLHLTYSAVQCDERFKRNIVALASSVGKLVNLRSVILVPGALSTPIYHDVSSSVPHPLVFLQSLQRIGLLPPICMFSRLPKCVKQLQKLCYLSIVVRELQRDDMDSVTGLPALTVLSLYVRQPPEQSIIFNTGTFPVLKYFKYMCGVLCLAFQEGALPNLRRLKLGFNAHKAEQYEHFLAGIEHLLNLKEIDGRIGLADGAEEPDRRAAESAFKDAIDKHLRFPSPSYVNVKRVDWIEEEHELRTKVEDSPCEQHEILQKQYGVEVAEEDTENCANSWPTNQIVQKFPENKDLCLNDTQLQDESSARSMNFSSTTASAQGSQSLSTPSSCWTLYKEYTGVPARSPCPGALSSYVLTPGNIKGPALPYPTSSPEDNILHTQGNWVSPIKTGLSNHPAPKVELSSVAGQWEKGKLIGVGTYGCVYEATDRLTGALCAIKEVNIISNDVESVEFFKQEIKSLSQFKHENIVQFYGSDTIEDRFYIYMEYVHPGSINTYIQQHCGVITESLVCDFTRHILRGLAFLHGRNIMHRNIKGSNMLIDVNGVVKLTDFGVTKHLSIIAPNPSSGVHWMAPEMVRATLVKDVSCDLAVDIWSLGCTIMEMLNGKPPWSNLEGAAAMFKILNKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATQLLDHPFIQNSSRYSEQQVEEVIAASCEPSFEGKPTAGEANDARPYESSSALQSTPRTIQVSGVPEPRLTCGPFMGIKVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCVL* >Brasy5G268200.2.p pacid=40072417 transcript=Brasy5G268200.2 locus=Brasy5G268200 ID=Brasy5G268200.2.v1.1 annot-version=v1.1 MKYLHDKRYFIVIDDLWETTTWDIVKSAFPEGNNYSRIVTTTESEVIALQCCGYQSDNILEMETLGNHDSAQLFFSIVFGSEHQCPDQLREVSYRIIRKCGGLPLGTIHVAGLLASQTDNSELWHHVQKCLCSNLSTSSTLEEMLKEVLNLCYNSLPSYLKTCLLYLSMYPEGYTMWKVDLLTQWISEGFVTATEENEAEKIAEGYFDELVNRGMIQPEQINHNDEVLSCTVHHTVLDFIMYKSKEGNFITSIDYSQAIIIPSTMVRRLSLHSSSAKYATKMEGVMLSQLRSLFFFGLIRCLPSVVELKLLRVLVLEFWGDHYGHTSLKLMTICSLLHLRYLKVSCDIIVELPAQMRALQFMETLEINARVSAVPLDIIHLPALLHLSLRDEPNLPDGIGQIRSLHTLQYFDLGNNSEGNVLSLGELKNLQDLHLTYSAVQCDERFKRNIVALASSVGKLVNLRSVILVPGALSTPIYHDVSSSVPHPLVFLQSLQRIGLLPPICMFSRLPKCVKQLQKLCYLSIVVRELQRDDMDSVTGLPALTVLSLYVRQPPEQSIIFNTGTFPVLKYFKYMCGVLCLAFQEGALPNLRRLKLGFNAHKAEQYEHFLAGIEHLLNLKEIDGRIGLADGAEEPDRRAAESAFKDAIDKHLRFPSPSYVNVKRVDWIEEEHELRTKVEDSPCEQHEILQKQYGVEVAEEDTENCANSWPTNQIVQKFPENKDLCLNDTQLQDESSARSMNFSSTTASAQGSQSLSTPSSCWTLYKEYTGVPARSPCPGALSSYVLTPGNIKGPALPYPTSSPEDNILHTQGNWVSPIKTGLSNHPAPKVELSSVAGQWEKGKLIGVGTYGCVYEATDRLTGALCAIKEVNIISNDVESVEFFKQEIKSLSQFKHENIVQFYGSDTIEDRFYIYMEYVHPGSINTYIQQHCGVITESLVCDFTRHILRGLAFLHGRNIMHRNIKGSNMLIDVNGVVKLTDFGVTKHLSIIAPNPSSGVHWMAPEMVRATLVKDVSCDLAVDIWSLGCTIMEMLNGKPPWSNLEGAAAMFKILNKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATQLLDHPFIQNSSRYSEQQVEEVIAASCEPSFEGKPTAGEANDARPYESSSALQSTPRTIQVSGVPEPRLTCGPFMGIKVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCVL* >Brasy5G268200.3.p pacid=40072418 transcript=Brasy5G268200.3 locus=Brasy5G268200 ID=Brasy5G268200.3.v1.1 annot-version=v1.1 MKYLHDKRYFIVIDDLWETTTWDIVKSAFPEGNNYSRIVTTTESEVIALQCCGYQSDNILEMETLGNHDSAQLFFSIVFGSEHQCPDQLREVSYRIIRKCGGLPLGTIHVAGLLASQTDNSELWHHVQKCLCSNLSTSSTLEEMLKEVLNLCYNSLPSYLKTCLLYLSMYPEGYTMWKVDLLTQWISEGFVTATEENEAEKIAEGYFDELVNRGMIQPEQINHNDEVLSCTVHHTVLDFIMYKSKEGNFITSIDYSQAIIIPSTMVRRLSLHSSSAKYATKMEGVMLSQLRSLFFFGLIRCLPSVVELKLLRVLVLEFWGDHYGHTSLKLMTICSLLHLRYLKVSCDIIVELPAQMRALQFMETLEINARVSAVPLDIIHLPALLHLSLRDEPNLPDGIGQIRSLHTLQYFDLGNNSEGNVLSLGELKNLQDLHLTYSAVQCDERFKRNIVALASSVGKLVNLRSVILVPGALSTPIYHDVSSSVPHPLVFLQSLQRIGLLPPICMFSRLPKCVKQLQKLCYLSIVVRELQRDDMDSVTGLPALTVLSLYVRQPPEQSIIFNTGTFPVLKYFKYMCGVLCLAFQEGALPNLRRLKLGFNAHKAEQYEHFLAGIEHLLNLKEIDGRIGLADGAEEPDRRAAESAFKDAIDKHLRFPSPSYVNVKRVDWIEEEHELRTKVEDSPCEQHEILQKQYGVEVAEEDTENCANSWPTNQIVQKFPENKDLCLNDTQLQDESSARSMNFSSTTASAQGSQSLSTPSSCWTLYKEYTGVPARSPCPGALSSYVLTPGNIKGPALPYPTSSPEDNILHTQGNWVSPIKTGLSNHPAPKVELSSVAGQWEKGKLIGVGTYGCVYEATDRLTGALCAIKEVNIISNDVESVEFFKQEIKSLSQFKHENIVQFYGSDTIEDRFYIYMEYVHPGSINTYIQQHCGVITESLVCDFTRHILRGLAFLHGRNIMHRNIKGSNMLIDVNGVVKLTDFGVTKHLSIIAPNPSSGVHWMAPEMVRATLVKDVSCDLAVDIWSLGCTIMEMLNGKPPWSNLEGAAAMFKILNKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATQLLDHPFIQNSSRYSEQQVEEVIAASCEPSFEGKPTAGEANDARPYESSSALQSTPRTIQVSGVPEPRLTCGPFMGIKVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCVL* >Brasy5G268200.4.p pacid=40072419 transcript=Brasy5G268200.4 locus=Brasy5G268200 ID=Brasy5G268200.4.v1.1 annot-version=v1.1 MKYLHDKRYFIVIDDLWETTTWDIVKSAFPEGNNYSRIVTTTESEVIALQCCGYQSDNILEMETLGNHDSAQLFFSIVFGSEHQCPDQLREVSYRIIRKCGGLPLGTIHVAGLLASQTDNSELWHHVQKCLCSNLSTSSTLEEMLKEVLNLCYNSLPSYLKTCLLYLSMYPEGYTMWKVDLLTQWISEGFVTATEENEAEKIAEGYFDELVNRGMIQPEQINHNDEVLSCTVHHTVLDFIMYKSKEGNFITSIDYSQAIIIPSTMVRRLSLHSSSAKYATKMEGVMLSQLRSLFFFGLIRCLPSVVELKLLRVLVLEFWGDHYGHTSLKLMTICSLLHLRYLKVSCDIIVELPAQMRALQFMETLEINARVSAVPLDIIHLPALLHLSLRDEPNLPDGIGQIRSLHTLQYFDLGNNSEGNVLSLGELKNLQDLHLTYSAVQCDERFKRNIVALASSVGKLVNLRSVILVPGALSTPIYHDVSSSVPHPLVFLQSLQRIGLLPPICMFSRLPKCVKQLQKLCYLSIVVRELQRDDMDSVTGLPALTVLSLYVRQPPEQSIIFNTGTFPVLKYFKYMCGVLCLAFQEGALPNLRRLKLGFNAHKAEQYEHFLAGIEHLLNLKEIDGRIGLADGAEEPDRRAAESAFKDAIDKHLRFPSPSYVNVKRVDWIEEEHELRTKVEDSPCEQHEILQKQYGVEVAEEDTENCANSWPTNQIVQKFPENKDLCLNDTQLQDESSARSMNFSSTTASAQGSQSLSTPSSCWTLYKEYTGVPARSPCPGALSSYVLTPGNIKGPALPYPTSSPEDNILHTQGNWVSPIKTGLSNHPAPKVELSSVAGQWEKGKLIGVGTYGCVYEATDRLTGALCAIKEVNIISNDVESVEFFKQIEDRFYIYMEYVHPGSINTYIQQHCGVITESLVCDFTRHILRGLAFLHGRNIMHRNIKGSNMLIDVNGVVKLTDFGVTKHLSIIAPNPSSGVHWMAPEMVRATLVKDVSCDLAVDIWSLGCTIMEMLNGKPPWSNLEGAAAMFKILNKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATQLLDHPFIQNSSRYSEQQVEEVIAASCEPSFEGKPTAGEANDARPYESSSALQSTPRTIQVSGVPEPRLTCGPFMGIKVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCVL* >Brasy5G268200.5.p pacid=40072420 transcript=Brasy5G268200.5 locus=Brasy5G268200 ID=Brasy5G268200.5.v1.1 annot-version=v1.1 MKYLHDKRYFIVIDDLWETTTWDIVKSAFPEGNNYSRIVTTTESEVIALQCCGYQSDNILEMETLGNHDSAQLFFSIVFGSEHQCPDQLREVSYRIIRKCGGLPLGTIHVAGLLASQTDNSELWHHVQKCLCSNLSTSSTLEEMLKEVLNLCYNSLPSYLKTCLLYLSMYPEGYTMWKVDLLTQWISEGFVTATEENEAEKIAEGYFDELVNRGMIQPEQINHNDEVLSCTVHHTVLDFIMYKSKEGNFITSIDYSQAIIIPSTMVRRLSLHSSSAKYATKMEGVMLSQLRSLFFFGLIRCLPSVVELKLLRVLVLEFWGDHYGHTSLKLMTICSLLHLRYLKVSCDIIVELPAQMRALQFMETLEINARVSAVPLDIIHLPALLHLSLRDEPNLPDGIGQIRSLHTLQYFDLGNNSEGNVLSLGELKNLQDLHLTYSAVQCDERFKRNIVALASSVGKLVNLRSVILVPGALSTPIYHDVSSSVPHPLVFLQSLQRIGLLPPICMFSRLPKCVKQLQKLCYLSIVVRELQRDDMDSVTGLPALTVLSLYVRQPPEQSIIFNTGTFPVLKYFKYMCGVLCLAFQEGALPNLRRLKLGFNAHKAEQYEHFLAGIEHLLNLKEIDGRIGLADGAEEPDRRAAESAFKDAIDKHLRFPSPSYVNVKRVDWIEEEHELRTKVEDSPCEQHEILQKQYGVEVAEEDTENCANSWPTNQIVQKFPENKDLCLNDTQLQDESSARSMNFSSTTASAQGSQSLSTPSSCWTLYKEYTGVPARSPCPGALSSYVLTPGNIKGPALPYPTSSPEDNILHTQGNWVSPIKTGLSNHPAPKVELSSVAGQWEKGKLIGVGTYGCVYEATDRLTGALCAIKEVNIISNDVESVEFFKQIEDRFYIYMEYVHPGSINTYIQQHCGVITESLVCDFTRHILRGLAFLHGRNIMHRNIKGSNMLIDVNGVVKLTDFGVTKHLSIIAPNPSSGVHWMAPEMVRATLVKDVSCDLAVDIWSLGCTIMEMLNGKPPWSNLEGAAAMFKILNKDPPIPDNLSHEGKDFLQCCFKRNPAERPTATQLLDHPFIQNSSRYSEQQVEEVIAASCEPSFEGKPTAGEANDARPYESSSALQSTPRTIQVSGVPEPRLTCGPFMGIKVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCVL* >Brasy5G451500.1.p pacid=40072421 transcript=Brasy5G451500.1 locus=Brasy5G451500 ID=Brasy5G451500.1.v1.1 annot-version=v1.1 MRDRRPVDWGARDNRRPDDRGMRDQRRSPSPGYRLRSPSPDRRPRSPSPNRRLRRSRSLDRGARRLRDDDGGRDPPRRGQGDYGRVPYRQERERYDHLGRADRSPRGSYNHSGRGNGSPRRSYDGRGDRVPNRSYDGRGDRGTIDEYDAPPAYMLPEHPSDLGRAAKDDFFGGPGGRSINKVSELYGDAGSTGLGRTSSPYRDRRSPPLPPPPPYRDRRSPLPPPPPYHDRRSPPLPPPPPYRDHRSPPLPPPPAMLPSPRPLYPSVPPTATGFLTGGSTTKVGESFGAGTARLPHDDSGFQYGDRLPGPYVGGRETERLGPGRDALVDRNGEMDRLYPSRGELESDIAPSMQLNGYAGSSSSVLARDRPYRVHDESYEPSNGYEPGSLGHGSGHAHLFSESSLERGSGHDKVPLDISRQTHSKHPPRAASMDYAEEGYGRRGPANDSYLAAGNLYGNDSRDSRASIRHTLITSTSTDLEDGRSNRQVGLSRRMEEDEHAKEYKRHNSYHGDTPARYSTSRDAHMRYSRSPETDHLEIARRPIPEREFASFEDGFEFSDQEVSPMAYRRGLRGAAYGGHDMDIYQTGGPPGRESYNGVIGRERYSDEIGRGRYSDEIGRECYDDEINAYDLSPERMSRSYDDDEVGYNARYDVSTSRDVFSRIALPNNIQGNPNMLVHGRLKYKPISQRLSRPMVQPQLGGSAMLGRGRGGWTKGAKKRLRAGHPQFNGGYTSERNEFVRPNKSSKLSEDNQKGTESNHDDASDEEDLSVQKDPPEGSEEFSKQVHEAFLKFSKILNESPTMQKRYREAAKGSLSCCVCGSVARKFPDIDALMSHAYDTCKLGLKTKHLGFHKALCVLMGWDVHVAPDGTKAYKSVPAEEVNAMKRDLMLWPPVVVVHNSSITNKTNAAEAKVVSIEEIEGVLADIGVTCGKAKVTHGKPAIQSVFVVKFLPTISGFQEAMRIDEHFTAENHGKEDLQQIRGEKGKSAAPADKLEELLYAHIAVAEDLGYLDDETKKRCVVRSKSEIEAKANATLNLDS* >Brasy5G451500.2.p pacid=40072422 transcript=Brasy5G451500.2 locus=Brasy5G451500 ID=Brasy5G451500.2.v1.1 annot-version=v1.1 MRDRRPVDWGARDNRRPDDRGMRDQRRSPSPGYRLRSPSPDRRPRSPSPNRRLRRSRSLDRGARRLRDDDGGRDPPRRGQGDYGRVPYRQERERYDHLGRADRSPRGSYNHSGRGNGSPRRSYDGRGDRVPNRSYDGRGDRGTIDEYDAPPAYMLPEHPSDLGRAAKDDFFGGPGGRSINKVSELYGDAGSTGLGRTSSPYRDRRSPPLPPPPPYRDRRSPLPPPPPYHDRRSPPLPPPPPYRDHRSPPLPPPPAMLPSPRPLYPSVPPTATGFLTGGSTTKVGESFGAGTARLPHDDSGFQYGDRLPGPYVGGRETERLGPGRDALVDRNGEMDRLYPSRGELESDIAPSMQLNGYAGSSSSVLARDRPYRVHDESYEPSNGYEPGSLGHGSGHAHLFSESSLERGSGHDKVPLDISRQTHSKHPPRAASMDYAEEGYGRRGPANDSYLAAGNLYGNDSRDSRASIRHTLITSTSTDLEDGRSNRQVGLSRRMEEDEHAKEYKRHNSYHGDTPARYSTSRDAHMRYSRSPETDHLEIARRPIPEREFASFEDGFEFSDQEVSPMAYRRGLRGAAYGGHDMDIYQTGGPPGRESYNGVIGRERYSDEIGRGRYSDEIGRECYDDEINAYDLSPERMSRSYDDDEVGYNARYDVSTSRDVFSRIALPNNIQGNPNMLVHGRLKYKPISQRLSRPMVQPQLGGSAMLGRGRGGWTKGAKKRLRAGHPQFNGGYTSERNEFVRPNKSSKLSEDNQKGTESNHDDASDEEDLSVQKDPPEGSEEFSKQVHEAFLKFSKILNESPTMQKRYREAAKGSLSCCVCGRFVFLQRLC* >Brasy5G384100.1.p pacid=40072423 transcript=Brasy5G384100.1 locus=Brasy5G384100 ID=Brasy5G384100.1.v1.1 annot-version=v1.1 MQANQPPSHPNRPQIQRRIANRLPCAVRVAPPPPQSPHPPFSATRIDDAAAAQATLLSWRNLVFRGGSSRRGLTCPGTAAAAPPAVPSASSPAPVKRSLPPTPASEGNKRWREHYGSKQEGAKPSRVIIASRRTPSIIEGRTCGTWFWQFGTVCHGKQREADAAIKIKY* >Brasy5G247100.1.p pacid=40072424 transcript=Brasy5G247100.1 locus=Brasy5G247100 ID=Brasy5G247100.1.v1.1 annot-version=v1.1 MSRALLPRGGYLVLRFRVLGGLRRFSSQAAERWRGQPQQREEESKAVKVSVWWDFERCHLPPGANPCRVAPRVTAALRSAGVRGPVEITAFGNVNVLPRAVQEGLAATGVAFSHVPRLGGKEGSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPSADPSVLCSAATIMWPWEALVNGVGFSPKHFNQPPDGLSSSWYSHYRGALDDPFLQAESKHSMTSPMHTKKPEKPPVVPKFVIHCIRRTLKSYPEGVNLQDLRSEMKRNGVPMDKGYFGFKTFTDLLRAIPDYVKFIDPLPGESQPVVIGEKNFESIESGESNGEAKCLNEPNGVKPPSSSVPSSPSDILPTEQKKIPVIDALSSQSGSLSRDQRKAPPIDFTQSEPPACHMEADVVTAAGTPFSGVQGTSKKGLFERFRILWNGPNPIMPDTSRSKGSDNVARYRGHNTDQHNRHFEMTTKDFSTTDNLNGHSPVSTSLSNVPSSNRSELNAKENFINSKNQSSKPENIQGLGESNEGIFSWAARWWSSGKSATQENTDYTDATDETREDLDKGSAFVKRPDSASGQQVGAELFEKSYFWDALEQYLLTPHGSKLISEAKTREELAHGLQKQGCWPLKGLDKKNLHQLVHLLVSERKWIEESHSETFHFLLTLPQRGGSTPLPSSKSEGPSPPFARPGRNRNGQASYKGDANQGNGDDLAWEELGPVSSSGDPRQETDKVRRYQPPTPSDDDFSDDETNAASLQEGKDSDDEFWEDENHEATQQGGKDESGSSLVKILASWSTSKDDGSRKRDHGVGRLHGTPPRNIMVDCSQTDGSYRQCWRPSSKHHS* >Brasy5G247100.2.p pacid=40072425 transcript=Brasy5G247100.2 locus=Brasy5G247100 ID=Brasy5G247100.2.v1.1 annot-version=v1.1 MADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPSADPSVLCSAATIMWPWEALVNGVGFSPKHFNQPPDGLSSSWYSHYRGALDDPFLQAESKHSMTSPMHTKKPEKPPVVPKFVIHCIRRTLKSYPEGVNLQDLRSEMKRNGVPMDKGYFGFKTFTDLLRAIPDYVKFIDPLPGESQPVVIGEKNFESIESGESNGEAKCLNEPNGVKPPSSSVPSSPSDILPTEQKKIPVIDALSSQSGSLSRDQRKAPPIDFTQSEPPACHMEADVVTAAGTPFSGVQGTSKKGLFERFRILWNGPNPIMPDTSRSKGSDNVARYRGHNTDQHNRHFEMTTKDFSTTDNLNGHSPVSTSLSNVPSSNRSELNAKENFINSKNQSSKPENIQGLGESNEGIFSWAARWWSSGKSATQENTDYTDATDETREDLDKGSAFVKRPDSASGQQVGAELFEKSYFWDALEQYLLTPHGSKLISEAKTREELAHGLQKQGCWPLKGLDKKNLHQLVHLLVSERKWIEESHSETFHFLLTLPQRGGSTPLPSSKSEGPSPPFARPGRNRNGQASYKGDANQGNGDDLAWEELGPVSSSGDPRQETDKVRRYQPPTPSDDDFSDDETNAASLQEGKDSDDEFWEDENHEATQQGGKDESGSSLVKILASWSTSKDDGSRKRDHGVGRLHGTPPRNIMVDCSQTDGSYRQCWRPSSKHHS* >Brasy5G408200.1.p pacid=40072426 transcript=Brasy5G408200.1 locus=Brasy5G408200 ID=Brasy5G408200.1.v1.1 annot-version=v1.1 MMQSHMPSPARLGMTASSPSLPPNPAPVNPTSSPPQASSSASAAAAGAGAPTPTLTTSPSLLPLLPPLPRAQSLLHIMSSLAANLFELSPNRTAWISSYRGSLPTFLPSSSTSGMPPPLPTPVSNTKEAMSLLASVQTQLFETVTELQETLDLQDARARLVREARAKDAALLAFAKKLREAHHVLDRLVDDYADYRRDPKRPRGAPAVDGPEPVSHGDFGASLHSKLKLDDILTYAHRISYTTFAPPEHGAGMPLRGALPPAPQDNELRMSQLYQFADLDVGVPKKPLETKDGAAVETEATPLFEPPQEEPPRPSMLPITVPPGWPKGLPKDLPVDIPLPPPGWKPGDPIELGGIMAGVKAEEPRPSMPMPVGVQPMVPRAQEPIQVAAVELDINISSSSDEYSSDVGSSEEDDED* >Brasy5G004100.1.p pacid=40072427 transcript=Brasy5G004100.1 locus=Brasy5G004100 ID=Brasy5G004100.1.v1.1 annot-version=v1.1 MKTFFFLLALLSLGLAASSAFARCNDVQGQGGYGQCHEDPIKKLDLCQDYMMERCREIGSWRWVPNCQDLRRQCCEQLSETSPQCRCKAICKGFQRELSELLRQSAELGPPFTPSLQEQVPKLVQRANSLPSMCNMNPGYCNISPIGDCY* >Brasy5G426800.1.p pacid=40072428 transcript=Brasy5G426800.1 locus=Brasy5G426800 ID=Brasy5G426800.1.v1.1 annot-version=v1.1 MAAAATTATASRSVAREAARFVQRRFRSSGGKVLGEEEKAAENIYIKKMEQEKMEKLARKGPSPSPGEQASSTPSSTDVKTGRGPTESTSAGVSTNKNRNYAVLAGTVAAVSALGWYLLSNPKKAEETVD* >Brasy5G314000.1.p pacid=40072429 transcript=Brasy5G314000.1 locus=Brasy5G314000 ID=Brasy5G314000.1.v1.1 annot-version=v1.1 MDSQIEKFRKKWGHVKEYWTKEQWLEMHPDGDPEFEPDGCYVALEMFWCNEVDLVH* >Brasy5G150800.1.p pacid=40072430 transcript=Brasy5G150800.1 locus=Brasy5G150800 ID=Brasy5G150800.1.v1.1 annot-version=v1.1 MEDAAAAGGWRDWAWLPEEFLLIIMEAMEIPDLVRSGAVCSSWHAAYSAFRRLRLPLPTSGKHLPCLLYACHDYAPDDASLCCPFTGDSIRVPLPLPPLTRHSTIGSAHGWLVTADEASNLRLLNPVTGAQAALPPITAMHNVESCVDADGNLIYNVFGRGDPEPTPFHAREARDCMYHRVTLSCSPSAWSACIALLVRMSMGELSYARLGDERWTWISPDDLQCMGGISWGFMDSFYNEDEGLFYLLRGHRSVFTLNLNGPSPVLKKIMRREKQRRMDPSSMYITQAPWGDILQIWRWRDYIESSTPVEVPEDPDGLYENDIHRFLELRTTEIEIYKVDLDKQKLVKMTNLADHALFLGYNGTMCLPIKDFPVLKSNCVYITDDSFEYVSLKYNWREIGVWNMESKSLQSFDSSMFPHPWLNWPSPVWITPSLF* >Brasy5G216100.1.p pacid=40072431 transcript=Brasy5G216100.1 locus=Brasy5G216100 ID=Brasy5G216100.1.v1.1 annot-version=v1.1 MITTTVTVEVGGGIDHISSLPDDLLGEIISLLHTKEGGHTRFHAHRWRHEWCVAPLNLDCGSIAIVHWHDLSLGYLGTDGMDRQISSWRNPCFSANLRVMDLNGFILGDDDVQHLRFPVVTQLGLVDVGISERSLLGLIGGCPVLEFLLIMSGSGLLSRTLRRIGVSNADSELENFIVESAPALEMFLHLNIRKDLGHEGGLTSVSPRVRTLALNTNFIKLDIVILLMKLFPLLEKLCVFFTQGLRRYHDRRVRPRGLEVGDLVLRRIQSTKAGNKLTSNWESPFRAVQVTRPGVVRLETEEGLPVQNSWNIEHLRRFYP* >Brasy5G150700.1.p pacid=40072432 transcript=Brasy5G150700.1 locus=Brasy5G150700 ID=Brasy5G150700.1.v1.1 annot-version=v1.1 MAIEYGLELLKEGIMWRVGTGAKIRTWRDPWVPRNYSQRPTTLQGRCRLRWVADLLDHGGNWNVQLLKQYFRPGDVDAILKIKPSRRNDQDFLICRSKDETSYHALMRCPHALALYFFENTTPATSHLLMQISGLRPPSPPRRCGGRDPGQRRRVWLGTFGSAVEATMAYDSPQSQSIPLSSSLLRVGAIDLQGLVLLVPAERGPDAALPPASAVQVG* >Brasy5G161500.1.p pacid=40072433 transcript=Brasy5G161500.1 locus=Brasy5G161500 ID=Brasy5G161500.1.v1.1 annot-version=v1.1 MKGRLITVLSIDGGGIRGLIPSTILACLETKLQKIDGPGARIADYFDVIAGTSTGALLTTMLAAPGDDKRPVKAASELSEFYLEHGPKIFPRKKLGFLNKAANLVGAVMGPKYDGKVLRDKIKEVTRDVKIKDTVTNIIVPTFDVKNLQPVIFSTYEAMEDPLKNAYLSDICISTSAAPTYFPAHLFKTYHKGPKAEVTDKEREYNLIDGGVAANNPTMVAMTTMITREVPDFSLRNPDDYNNFLIISLGTGYTRPEEEYTAPKCAKWGARQWIYNGGFKPMVDIFFHASADMVDIHVNVLFKALRMEENYLRIQYDYLKGDTSSVDLATDKNMHALIGIGEKLLESKVARVNINTGVHETVEGKGTNEEELARFATKLAEERNLRQVNVNSY* >Brasy5G385600.1.p pacid=40072434 transcript=Brasy5G385600.1 locus=Brasy5G385600 ID=Brasy5G385600.1.v1.1 annot-version=v1.1 MLFSSTAYKKISASALSKNHILPPNHNTKYILLDPASLQSICIPSTAKNTSID* >Brasy5G033100.1.p pacid=40072435 transcript=Brasy5G033100.1 locus=Brasy5G033100 ID=Brasy5G033100.1.v1.1 annot-version=v1.1 MLLNERQQNQRFCTCAGGSLNRIKWESRDSLKEADSLSK* >Brasy5G477400.1.p pacid=40072436 transcript=Brasy5G477400.1 locus=Brasy5G477400 ID=Brasy5G477400.1.v1.1 annot-version=v1.1 MGHIRSLAEALEIAYPLPGDEDDLPRLVLTIRRRAAGARAGVDAAWRAARTPPPASSAAMAALRETTGSESTEESCAVCLEEYKAEEKLREMPCGHCYHEGCIFPWLRVSGACPLCRQRL* >Brasy5G417600.1.p pacid=40072437 transcript=Brasy5G417600.1 locus=Brasy5G417600 ID=Brasy5G417600.1.v1.1 annot-version=v1.1 MAQAKRYVLRLFISLKFVTANVVDRQSGRVVVTASSVERPLRDGLECGRACNAKAAAAVGEVLAMRLKVDGLAREPIHANADKEVEKKGFKNRTKVWAIINALCTHGVNLRLDDDGDHRRHV* >Brasy5G182800.1.p pacid=40072438 transcript=Brasy5G182800.1 locus=Brasy5G182800 ID=Brasy5G182800.1.v1.1 annot-version=v1.1 MTGRRAVRRKRPAGGPGSWRRGRRLRRSSRCSASKWRQREPGSSSLPPSLPGPDALHGPHGHTSRLPRSAMLPLPPETTSRCAEPPTYTRTAASDVHTHSSIRRKGAEAEDQAAAYMARAAVPRAFSLLNDFSRSRPPPAVQRRPPLAAVVPPPCLSPTSRLPNRSTSRRRRKWGPSSSVRSSASSRARGAASPLPRSGRSAVAAVSFVPCAAAAVLTAAAATLRAAHSRGPRGGHRRPSPHAPAPFSSCATAAPSSVHPAVAVLAAAAAIFRAARRLLSVRPHPPPFFPAQRAACWAGEREAAPRAGCRAAAAAARWGEGEGKGGRARWGGEGEGKGGRAGAGRGRRRRGPGRGRGQGRPRRGLV* >Brasy5G372400.1.p pacid=40072439 transcript=Brasy5G372400.1 locus=Brasy5G372400 ID=Brasy5G372400.1.v1.1 annot-version=v1.1 MDLRLGRGRGFFDCWRCRSHASRHNPWIHPFGSESRALVGRCMGAPAGRVRWLIEESSPLPTASGLVAQNGFSATGSRSVPRSRPIRPSPPSSQPISRAGEPVFSPGRSRAYPTCDQATGGCSNSLFLKIPPDLATAQPNPGRCSCGTPRLRPRPSHRPTLPWALRLLLAGSTPWPPADPCLHPLRRPPPIHEDHELPVSLSLSRRTEKEKQIAWPIPASVSTPGPTPGHVAPKHRALYPFNLTRARLPEPEGSHSQTFRVRRRRRSPHRREAAAARRLPDIPFGGGASLPIGGGASVQTGGGGASLPRYYPDPLNPRVYPHRGGSSFPTATLEL* >Brasy5G086700.1.p pacid=40072440 transcript=Brasy5G086700.1 locus=Brasy5G086700 ID=Brasy5G086700.1.v1.1 annot-version=v1.1 MASDPNPAAYQAEDSVAAPPPLQGAAEPETEFVPAVQGANVEVIMVGGLPMEVEPMSPDWPFPQPASLQEPPLAVGSPSHKRPRSDDLDSEPEMAGFDDAMGLPYGTDIHNEMPSLPPDASSTIYAEGLPSNLTRRELAHIFRPFNGFREVRLVNDNNHKIAFVDYATPAEAFSAMRALQGYWLDIDDQDSHRLHLQFSRDQL* >Brasy5G400400.1.p pacid=40072441 transcript=Brasy5G400400.1 locus=Brasy5G400400 ID=Brasy5G400400.1.v1.1 annot-version=v1.1 MVPAVEGTRNVLHATGGARRVVVTSSVSAMVPSPGWPAGEVRDDIDYCQNNGVWYPASKTLADKASCKFAEENELCSQQCFDIISHITQVWYPASKMLADKATWKFAENELDVVVVNPGTVLSPKIPPTVNAIMAIFLRLLEGCTQEYADFFMGAVHVEDVALAHILLYSNPSATGRHLCVFSGDYSKRKDPAVLKQKEPILELITSAPVNRHKIKFTKQDTSSLWFLCKQEGGLLNITNQACQFFTEAEDRGNKDFCPFDDFKKQKKIVEPHLKHDYDRLCKIKKLVVTEGPSAFSSKLSGSILAERVPC* >Brasy5G400400.2.p pacid=40072442 transcript=Brasy5G400400.2 locus=Brasy5G400400 ID=Brasy5G400400.2.v1.1 annot-version=v1.1 MINFSPILFYWINWVWYPASKTLADKASCKFAEENELCSQQCFDIISHITQVWYPASKMLADKATWKFAENELDVVVVNPGTVLSPKIPPTVNAIMAIFLRLLEGCTQEYADFFMGAVHVEDVALAHILLYSNPSATGRHLCVFSGDYSKRKDPAVLKQKEPILELITSAPVNRHKIKFTKQDTSSLWFLCKQEGGLLNITNQACQFFTEAEDRGNKDFCPFDDFKKQKKIVEPHLKHDYDRLCKIKKLVVTEGPSAFSSKLSGSILAERVPC* >Brasy5G071300.1.p pacid=40072443 transcript=Brasy5G071300.1 locus=Brasy5G071300 ID=Brasy5G071300.1.v1.1 annot-version=v1.1 MPNMFGFSRRRIKLGRSKGHQSDPLHGSRTPGRHLSLTNGGDPITTSVSGRADDLAYLCSSDSFDLDARALDSLENWEVLSTEGDKPNPRFAHAAATVGSKMVVFGGDSGHGFLDDTKILNLEKLQWDSAAPKVRPSPSGRSLKLPACKGHCLVPWGNSVILVGGKTEPASDRLSVWTFNMETEIWSLMEAKGDIPVARSGHTVIRAGGALILFGGEDTKGKKRHDLHMFDLKSLTWLPLNYKGSGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKTHGSHPSPRAGCSGALCGTKWYIAGGGSKKKWHAETWVFDVLESKWSVHAVPPSSSITTKKGFSMVPLYHRDKLVLVAFGGNKQDPSDKVEVLVVLQNEHSFSWRSAPDADPLMYEYSPSTKELAGHLNKCAPLYSNSSVARHSLTSTIERPPRGDSFSQHAALGTSLHRQYRQVEECSLAQKLQKSIDDDKYDDVDDWASCQTSTPKEHQNKRTGADFQIDKARIVALKEENSETEGPTGRRVARSSSDISHLYNSKITDLIRRNAALEDQLAAALASKDQAEKNLSLVINSREQLEKRLANKGKEAELLKEKISGLELAQEESNNISNTVHADNVRLEREVAFLKAITDETQKELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQLVEGRAGTPRKPHPS* >Brasy5G033900.1.p pacid=40072444 transcript=Brasy5G033900.1 locus=Brasy5G033900 ID=Brasy5G033900.1.v1.1 annot-version=v1.1 MKRIRRQRPIPEPPVKTAKARDRISDLPDAILGDIISLLPCDEGARAQLLNRRWRHLWRSAAPLNLDCRRLPGGDLEAQASALSRVLSSHPGPCRRLCVCADLFRRVHDAAWLRSAALRNLQELDYFFFNLNYSPPPRAPISRFSPTLRVVRISCCDLSDGNVLQGLGFPSLKELQLHLVTISEHSLHNVILGCPALDCLKIFGGYGFRCVRINSLGLRSIEVRTSRMINDGKKLQFEELVVEDAPCLESLLQVGRSDDMLISVISAPKLEALSFRLRQGSSTRISFGSMVIQGFQVDNPAMVVHTIRILVVDMDPPSLDTVIYLMKCFPCLEKLYIKVMILH* >Brasy5G399600.1.p pacid=40072445 transcript=Brasy5G399600.1 locus=Brasy5G399600 ID=Brasy5G399600.1.v1.1 annot-version=v1.1 MEEGNRDRNADANRGLHGSYPGGYPAPPGYPGYPVPVNAYPSTHGYAAPPGYYPSPGGYPQSGGYPQSHVAYPPSGYPAGYPQYPTTYPPAGYPGHGPPMPVPPCGHGGMYGGGHGFSGVVNGGAAAAYGAHKVSHAGAYGMYGHGHGHHHGKFKHGKFKHGKYGRHKKMFGRKWK* >Brasy5G171100.1.p pacid=40072446 transcript=Brasy5G171100.1 locus=Brasy5G171100 ID=Brasy5G171100.1.v1.1 annot-version=v1.1 MAARHYAREAKTENTSCPPKRKARPPEARRKERLCQEAIDSLLARSANPGRRRPPRRLSRESIDRLMRHRTPEEREEFLAVSTIGVLNLQACYDLEDELVRIYHEKGYVEVEVDDDDDTD* >Brasy5G099100.1.p pacid=40072447 transcript=Brasy5G099100.1 locus=Brasy5G099100 ID=Brasy5G099100.1.v1.1 annot-version=v1.1 MPLESTHHVLPRRPWRSISCDGTAVISRRYLGFSSGRPEESSFSPRDARDVRPSHGWYRAVLLDCA* >Brasy5G425700.1.p pacid=40072448 transcript=Brasy5G425700.1 locus=Brasy5G425700 ID=Brasy5G425700.1.v1.1 annot-version=v1.1 MPMSLGPPPPRLGAFRRDAALFCAGQSRALSGCAILGRTNLGAGRAYCLFSGGGGDRRKQEEARGALESALGQKKTGFEKWGMGVEKRQQRDRRGGPGPAAGGGGGRSGGGGAWLRWFSSGGFWDAAKQTVLTILGIIAAFFLIANFNVLVAALVNPLLIVLRQIRRSLSFAAHCVSRGMSAPTARPKSPPVDSGVLAPVPVKGRVGMSAKERVIRKWGSD* >Brasy5G041100.1.p pacid=40072449 transcript=Brasy5G041100.1 locus=Brasy5G041100 ID=Brasy5G041100.1.v1.1 annot-version=v1.1 MAVEPVLEAAAPTTSASASAPASRFEERGSKRKRPRLMAPTTEEEHLALWLLMLARGDRELERQHGCSVCGKAFPSYQALGGHKASHRKPPSLPSSGAAEQKPQATAALSGSASGGSWAHVCNVCGKAFATGQALGGHKRRHYDGTIGHKASPTSKINSNRGFDLNLPALPDVVVAAEHQEVLLSPVSSSLEKQPS* >Brasy5G123900.1.p pacid=40072450 transcript=Brasy5G123900.1 locus=Brasy5G123900 ID=Brasy5G123900.1.v1.1 annot-version=v1.1 MLMGVFLEAKAAWYASLSDVLAAEALACRDGALICKIRTDDKVILETDRKVSIKFWMTRKKNRAAILLILKEIEEISVFYCFWLVLC* >Brasy5G346000.1.p pacid=40072451 transcript=Brasy5G346000.1 locus=Brasy5G346000 ID=Brasy5G346000.1.v1.1 annot-version=v1.1 MDPVPKLRFDTPLLRVYEDGRVERPFGTDTTPPGFDAATGVTSKDVVIDGTTGVFARLYIPDLPGSGSQSNKLPILLYFHGGDLVLDSAASPTYHRYLNSIVSKARVLAVSVNYRLAPQHPVPAAYDDSWTALGWAASREDPWLSEHGDAGRIFLAGDSGGANIVHNIAIMAGTQEHGLPPAGTVLEGAIMLHPMFGGKEPVDGEATDGREFTENLWILICPEGTEGADDPRLNPMAHGAPSLQKLPCRKLLVCSAERDFARARAAAYCQAVKASGWRGTVEWLESKGEEHVFFLNKPESDESVALLDRVVAFLGGK* >Brasy5G468300.1.p pacid=40072452 transcript=Brasy5G468300.1 locus=Brasy5G468300 ID=Brasy5G468300.1.v1.1 annot-version=v1.1 MPSSKYLSVCIYICNTESPPQTLTKEISHEQDENSHDEIQVLSAAAARVLGNGSCCTAIVGGGGILHIPTAADLAHCPSRCGDVNIVYPFGIGPGCFRQGTFELTCNNNKLFLGNTTTQIQLPVLYAYRETMEKDDAYAGQSDCNGRGCCSIRLSQDRRALGLRIDCLRDGRAQADHQLLSKVKAFLSEKSRYACTRGSTCQDSPHGGGYSCWCQNRGSNNPYTADGCTPVYQPDPKRKNCKRSCGNMSIPFPFGIEEGCYALEKFRLNCTGNDTFLDRGVQYLVANIFVNEGYLNLRKSQFHDSLTSYDYEEEEEEAIIIRKNGTTMEESDRPLGDLFDLTKEDGMKMWWAIENLTCSMAMSKDTGYIYACRNTNSDCLDVTRVNDTMQLGYRCKCSQGYEGNPYTPNGCTDVDECAIPNICQGTCENHPGRYSCKGCAHGKVFDPTKGKCVMSAKRRNLIVAILAFGTTALVNKWKADIQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLDRGGHGTVYKGILSDQRVVAIKKYKMVEQVEIDQFINEVAILSQIIQHNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHVDLSVACLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGALRSLSLDETHVVTIVQGTFGYLDLEYYHTGQLTEKSDVYSFGVILVELLTRKKPFFINNVGTKQSLSHYFVDGLTQGVLMKIMDLQVVEEANQEEIDDIASVAEACLRTKGVERPTMKEVESRLQILRATRLRRSQVTPSKGGDFDPFLHPDTSSSHAQTNFVSTIDVPSACISGMYSLEQEFASLPR* >Brasy5G468300.2.p pacid=40072453 transcript=Brasy5G468300.2 locus=Brasy5G468300 ID=Brasy5G468300.2.v1.1 annot-version=v1.1 MPSSKYLSVCIYICNTESPPQTLTKEISHEQDENSHDEIQVLSAAAARVLGNGSCCTAIVGGGGILHIPTAADLAHCPSRCGDVNIVYPFGIGPGCFRQGTFELTCNNNKLFLGNTTTQIQLPVLYAYRETMEKDDAYAGQSDCNGRGCCSIRLSQDRRALGLRIDCLRDGRAQADHQLLSKVKAFLSEKSRYACTRGSTCQDSPHGGGYSCWCQNRGSNNPYTADGCTPDVDECAIPNICQGTCENHPGRYSCKGCAHGKVFDPTKGKCVMSAKRRNLIVAILAFGTTALVNKWKADIQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLDRGGHGTVYKGILSDQRVVAIKKYKMVEQVEIDQFINEVAILSQIIQHNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHVDLSVACLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGALRSLSLDETHVVTIVQGTFGYLDLEYYHTGQLTEKSDVYSFGVILVELLTRKKPFFINNVGTKQSLSHYFVDGLTQGVLMKIMDLQVVEEANQEEIDDIASVAEACLRTKGVERPTMKEVESRLQILRATRLRRSQVTPSKGGDFDPFLHPDTSSSHAQTNFVSTIDVPSACISGMYSLEQEFASLPR* >Brasy5G193800.1.p pacid=40072454 transcript=Brasy5G193800.1 locus=Brasy5G193800 ID=Brasy5G193800.1.v1.1 annot-version=v1.1 MKWLTSPHIADLVWGRGQEGRRPAPRPTTLMAPARRSRGGVGVTADLACALLEKGGRDEGGASGCRWARAGSEEDGASGRTEVLRVAARSEGVRRRCRGLWATKSGTWCSGHGAYGATPAKCLTVTGTTKNSLGCRCSSAGRRVAAAQQCQPCSRPSGPRPRPSAPPSAGPGRRFSSWPSSPVHGGRRSRRCGGYNPPPSRRCSSQAAAPFPWVSSPLRRRPAWNEALHTSSAA* >Brasy5G492300.1.p pacid=40072455 transcript=Brasy5G492300.1 locus=Brasy5G492300 ID=Brasy5G492300.1.v1.1 annot-version=v1.1 MLPAPPFSHGDFVAVISRCATLAHLEQLHGRSIVTARAASQSTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAASPAQAYAHDALALFLRMLRHGRPAPNQFVYPLVLRAACAVGVQLVRSIHSHAFKSGFHAYDVIRTSLLDGYSRYGMMLHARKLFDGLTERNVVSWTALVSGYARAGKIGDAIVLFERMPERDVAAWNAIITGCTQNGLFVEAVGICSRMVDEGFQPNGTTVSCVLSACGHLGMLKIGKVVHGYAWRSCVGFGSSVVNGLIDMYGKCGNLKEARWIFDEVSDRNLATWNSLINCLALHGHSKSAIAVFSEMRGEGIEADVVTFVGLLNACTHGGFVDEGLQYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDAMNVINNMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANIYSEGGSWEEVTKIRKLMKEDIMGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDELAASMEM* >Brasy5G492300.2.p pacid=40072456 transcript=Brasy5G492300.2 locus=Brasy5G492300 ID=Brasy5G492300.2.v1.1 annot-version=v1.1 MLPAPPFSHGDFVAVISRCATLAHLEQLHGRSIVTARAASQSTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAASPAQAYAHDALALFLRMLRHGRPAPNQFVYPLVLRAACAVGVQLVRSIHSHAFKSGFHAYDVIRTSLLDGYSRYGMMLHARKLFDGLTERNVVSWTALVSGYARAGKIGDAIVLFERMPERDVAAWNAIITGCTQNGLFVEAVGICSRMVDEGFQPNGTTVSCVLSACGHLGMLKIGKVVHGYAWRSCVGFGSSVVNGLIDMYGKCGNLKEARWIFDEVSDRNLATWNSLINCLALHGHSKSAIAVFSEMRGEGIEADVVTFVGLLNACTHGGFVDEGLQYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDAMNVINNMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANIYSEGGSWEEVTKIRKLMKEDIMGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDELAASMEM* >Brasy5G492300.3.p pacid=40072457 transcript=Brasy5G492300.3 locus=Brasy5G492300 ID=Brasy5G492300.3.v1.1 annot-version=v1.1 MLPAPPFSHGDFVAVISRCATLAHLEQLHGRSIVTARAASQSTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAASPAQAYAHDALALFLRMLRHGRPAPNQFVYPLVLRAACAVGVQLVRSIHSHAFKSGFHAYDVIRTSLLDGYSRYGMMLHARKLFDGLTERNVVSWTALVSGYARAGKIGDAIVLFERMPERDVAAWNAIITGCTQNGLFVEAVGICSRMVDEGFQPNGTTVSCVLSACGHLGMLKIGKVVHGYAWRSCVGFGSSVVNGLIDMYGKCGNLKEARWIFDEVSDRNLATWNSLINCLALHGHSKSAIAVFSEMRGEGIEADVVTFVGLLNACTHGGFVDEGLQYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDAMNVINNMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANIYSEGGSWEEVTKIRKLMKEDIMGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDELAASMEM* >Brasy5G492300.4.p pacid=40072458 transcript=Brasy5G492300.4 locus=Brasy5G492300 ID=Brasy5G492300.4.v1.1 annot-version=v1.1 MLPAPPFSHGDFVAVISRCATLAHLEQLHGRSIVTARAASQSTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAASPAQAYAHDALALFLRMLRHGRPAPNQFVYPLVLRAACAVGVQLVRSIHSHAFKSGFHAYDVIRTSLLDGYSRYGMMLHARKLFDGLTERNVVSWTALVSGYARAGKIGDAIVLFERMPERDVAAWNAIITGCTQNGLFVEAVGICSRMVDEGFQPNGTTVSCVLSACGHLGMLKIGKVVHGYAWRSCVGFGSSVVNGLIDMYGKCGNLKEARWIFDEVSDRNLATWNSLINCLALHGHSKSAIAVFSEMRGEGIEADVVTFVGLLNACTHGGFVDEGLQYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDAMNVINNMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANIYSEGGSWEEVTKIRKLMKEDIMGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDELAASMEM* >Brasy5G492300.5.p pacid=40072459 transcript=Brasy5G492300.5 locus=Brasy5G492300 ID=Brasy5G492300.5.v1.1 annot-version=v1.1 MLPAPPFSHGDFVAVISRCATLAHLEQLHGRSIVTARAASQSTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAASPAQAYAHDALALFLRMLRHGRPAPNQFVYPLVLRAACAVGVQLVRSIHSHAFKSGFHAYDVIRTSLLDGYSRYGMMLHARKLFDGLTERNVVSWTALVSGYARAGKIGDAIVLFERMPERDVAAWNAIITGCTQNGLFVEAVGICSRMVDEGFQPNGTTVSCVLSACGHLGMLKIGKVVHGYAWRSCVGFGSSVVNGLIDMYGKCGNLKEARWIFDEVSDRNLATWNSLINCLALHGHSKSAIAVFSEMRGEGIEADVVTFVGLLNACTHGGFVDEGLQYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDAMNVINNMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANIYSEGGSWEEVTKIRKLMKEDIMGKKLPGCSWIEVDRKTHRFYSGDDAHPESEDIYDTLDELAASMEM* >Brasy5G507500.1.p pacid=40072460 transcript=Brasy5G507500.1 locus=Brasy5G507500 ID=Brasy5G507500.1.v1.1 annot-version=v1.1 MATTLLASACSPYPFAPPLSKTLNPTSKTLHPPALCLPRLTAPSPLRLPRRGRRDVSAAAYGDGGDMDDDFGDAGDFDLDGDDGVGDDEDLDNEQDYDVDYDRLLAPVKPPRAGGAGGEDGEGDIAMVSADSFVSTRESASDTVVDYTVDEDEFHKISLLHCDFFIRKVPDPDHDVYDFREMYVTQPDTDVYSIPRVLAPMPQKYVRCAKKNYGRYHVTEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRFNDPDFFLDFEEIYVIDSKTRSITRAKVVVNVPEGKKRDRRNDLLLIRDGGESFRITDKTQRDDATTIIQREEWKKSRQDVEKHFRKLRDFDYSNWF* >Brasy5G208800.1.p pacid=40072461 transcript=Brasy5G208800.1 locus=Brasy5G208800 ID=Brasy5G208800.1.v1.1 annot-version=v1.1 MRTGLSLAILLLAVAASADDLGYGGGGAETKAEPAADYLGAVKKPEAAPAAEKKAEVVAEKKAEVADAYAAEKKPEAVAEKKAEVVAEKKAEAADAYAGGEKKPEAVAEKKAEVVAEKKAEVKAEKKAEVKAEKKAEVKADAYAEKKAEVKAEKKAEVKAEKKAEVKADAYAEKKAEVKAEKKAEVKAEKKAEVKADAYAEKKAEVNAEKKAEVKAEKKAEVKANAYAEKKAEVKAEVKAEKKAEVKAEKKAQGKSDAYAAPKAEKKAEKKAEVKAEKKAEKKAEKKSKGKADAAAYPGAEKKPKGKVETAKPEAGKADSYVAAKKAKHEKREKAKKAESKAPDAYAAPKAEPKKEEPKAPVAITPDAYAAPTKEEPKTPASTPEVPKKAEPAATTPETPKKEEPKAPAPAAGTPDAYAAPKAEAANTPAPAAGTPDAAPKTEAAKAPAPAAGTPDAYAAPKKEEATAPAPAAPKEEAKAPAPAAGTPDAYAAPKKEEASAPAAGTPDAPKKEEASAPAPAAGTPDAYAAPKKEETPAPATPATATAPAAGTPDAYAAPKKEESKETPAPESAVAEKKAEPETPAAATTDSYAAPTKAEPETPAAPKTAEPATPAAPATTDAYAAPKTAEPATPATPATTDAYAAPGAGGDAWHKKLNPFSARN* >Brasy5G051600.1.p pacid=40072462 transcript=Brasy5G051600.1 locus=Brasy5G051600 ID=Brasy5G051600.1.v1.1 annot-version=v1.1 MAGDREEDEEGEGVALAPRPATATERVAKAVDAATTRSTAGEYRNAYKRPLLALSRRIRLLGPFAEELRERRAPAEKEEEGEEKTLAPLADALEKALDLLRLGREGSRISLVFERDRVMKQFQEVIAQLEQALCDFPYDELDISDEVREQVELVHAQLKRAKERVDMPDDEFYNDLLSLYNKTYDPSAEQAILERLSEMLHLMTIIDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTRNPEMGPPMVSTVTDSNGEQKTIAVPDEFRCPISLELMKDPVIVATGQTYERTCIEKWLASGHHTCPTTQQRMANTTLTPNYVLRSLISQWCETNGVEPPKRSSQPDKPTPVCSPSERASIDALLTKLCSPDPEEQRSAAAELRLLAKRNAHNRLSIAEAGAIPLLLSLLASSDLRTQEHAVTALLNLSIHEDNKASIMSSGAVPSVVHVLKNGSMVARENAAATLFSLSVVDAYKVIIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHQEGKAAIGAAEPVPALVDLIGSGSPRNRENAAAVMLHLCCGEQQLVHLARAHECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEHESHSRLLAASVQVLPEAPVQVQEGEIPDQLDSPVPQYPVLL* >Brasy5G421000.1.p pacid=40072463 transcript=Brasy5G421000.1 locus=Brasy5G421000 ID=Brasy5G421000.1.v1.1 annot-version=v1.1 MGTRGGGETSGAEAPRRSGRGGAGGMRLFSPEYYALCAGGGMLAAGTTHLAITPLDVLKVNMQVNPMKYNTIFSGLSVLVKEEGASSLWRGWGGKLFGYGAQGGCKFGLYEYFKKRYSDVLVDSNKSTIFFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLLDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQKVIQKKKEDCSTAQKLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIHAVKSIGLRGLFTRSLPIRITLVGPVITMQWFFYDTIKLLTGLPPSGGLPRELEEANTNHRFYKS* >Brasy5G502400.1.p pacid=40072464 transcript=Brasy5G502400.1 locus=Brasy5G502400 ID=Brasy5G502400.1.v1.1 annot-version=v1.1 MASSSEGIKAPVDADSSPPKAGEEQGDNEAVLAKPEPEPKKKKMRRFTQQQIDCWIADGDPVLPEVETRSFMENLSADFLAKLPQPVRDNLAKMDADREPRRARRAALQEALRKERQDILDEYYSKGYVEYEIDEEDDDDEEAPAPRAIRPGRRRFRPGLVSREASRRKHE* >Brasy5G081000.1.p pacid=40072465 transcript=Brasy5G081000.1 locus=Brasy5G081000 ID=Brasy5G081000.1.v1.1 annot-version=v1.1 MGQWFSRGCRGRIQRRQQEEGGSSGTPDPAAAKSVCEREGVRERWRWGEREGDSYRDDGVGYGRRGAGGRIRGRRGRGIRIRRWQGRGRRNTPLHTAGGRGLGNHGAAYQLHCKREREGGRERERLPAVGGGVGDGGRIQRRGASWRPDPALGPTGPRREADRGGAADRRAEAEGEPDPAGSLAARAAGRGRRSGRGETRREIEREGGEGRSRAAREGRGGEENEGMGRENIGRKRWASGSVPPLLSQ* >Brasy5G120500.1.p pacid=40072466 transcript=Brasy5G120500.1 locus=Brasy5G120500 ID=Brasy5G120500.1.v1.1 annot-version=v1.1 MKYRNKTTGLREGATSGSPVQRKGIASEGPPRTPRSFHTVPSISTRLPTRPHHSFAPRSHLSCTPTPAGELAKRKKGHHFQVPSTNHRPSLLSPARSVVLCGLCVKCLHARERAREEEKLAAMALQLQSPSPLPRAAPAAGPALRSAFCAPPLSVRLPGRATSVAAPASAARITMRFGGVASKQAYICRDCGYIYKDRIPFEKQSDDYFCPVCAAPKRRFRPYDPPVAKNANATDARKARKEQLKKDESVAKALPIGIAVGIVALAALFFYLNSVY* >Brasy5G409500.1.p pacid=40072467 transcript=Brasy5G409500.1 locus=Brasy5G409500 ID=Brasy5G409500.1.v1.1 annot-version=v1.1 MASGVEAVHRHPLVELQGIRGPPYRFVVGSLLEIKRMMVAGRAKAPLDTGCHDYTPLATPFFHKWFSDYGKTFLYWLGPIPAICSSDIELVKQVLEDRTGLFPKDYLNPSLEIIFGKGVVFANGDDWKRHRKVVYPIFHPDKLKSVQAMASESAQKMIEQWCAQIEKQGDGHQAEMDMAHCSEELTLEVTEQVIFGKTYREVREAFVAGKELQKLAFYALSDPPIPGFRYLPTPRNIRSWKLDKLIKTTIIQLIKARHEVAGHYHGDDLLGLMLQSRGPEAEALSTEEIIGECKTFFAAGQDTSANLLTWGMFLLSRYPEWQDKIREEVLRECRRDDGEVIDLGKLKP* >Brasy5G397400.1.p pacid=40072468 transcript=Brasy5G397400.1 locus=Brasy5G397400 ID=Brasy5G397400.1.v1.1 annot-version=v1.1 MAPATPKSGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGVADKKK* >Brasy5G397400.2.p pacid=40072469 transcript=Brasy5G397400.2 locus=Brasy5G397400 ID=Brasy5G397400.2.v1.1 annot-version=v1.1 MAPATPKSGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGVADKKK* >Brasy5G397400.3.p pacid=40072470 transcript=Brasy5G397400.3 locus=Brasy5G397400 ID=Brasy5G397400.3.v1.1 annot-version=v1.1 MAPATPKSGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGVADKKK* >Brasy5G397400.5.p pacid=40072471 transcript=Brasy5G397400.5 locus=Brasy5G397400 ID=Brasy5G397400.5.v1.1 annot-version=v1.1 MAPATPKSGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGVADKKK* >Brasy5G397400.4.p pacid=40072472 transcript=Brasy5G397400.4 locus=Brasy5G397400 ID=Brasy5G397400.4.v1.1 annot-version=v1.1 MAPATPKSGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGVADKKK* >Brasy5G323000.1.p pacid=40072473 transcript=Brasy5G323000.1 locus=Brasy5G323000 ID=Brasy5G323000.1.v1.1 annot-version=v1.1 MDDGGIQEELPPSARRLTPKRSGGGSRWVDASEVDSSESARWSLDEERSLRGLSTADEAEDEAEAEIEFVMPSEADMAAAALSRKSSSGGFRRRLGKRAKRVDSLYVEAMNVQGAHGHSDQDISLLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNSEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELQRALSVKECLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVAGFDTDAVVIVSILVLLLLFSVQRFGTGKVGFMFAPVLALWFLNLSSVGIYNIIKYEPSVVKAFNPMYIYLFFKMNGTKAWSALGGCVLCITGAEAMFADLGHFTVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPLAAERIFYDSVPEVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLVFVLCFFIFFGAMEFVYLTAVMSKLLEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMCGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRLGHKDYHMFRCVARYGYKDVRKEDHCFFEHLLVESLEKFLRREAQEIALEVSTMEVERDDVSDVSEIPPSHATAAGDLHVPLLSDQRLVDDNRMSGTEGSVPLLPSSSISPEEDPSLEYELTALREAMASGFTYLLAHGDVRARKQSFFTKKFIINYFYAFLRRNCRVGTATLKVPHSNIMRVGMTYMV* >Brasy5G006000.1.p pacid=40072474 transcript=Brasy5G006000.1 locus=Brasy5G006000 ID=Brasy5G006000.1.v1.1 annot-version=v1.1 MRRQWYSVSSPLQLSPFHAILRVLRLAKSTAPASSPWRRILRTILCPRRQQPWIVLQDGFFMDDLALVFSNCGPDPTTAFPDKLACVGSTDSWLALDYSFSFTDINGGGGSKRIRRRRRSSNHAYLLHNPFSRATVSLPQLDAVLNDNVSDLFLIRKVLVRSGDDDLVVVLTNNRNLPIIFVQTVGGRVVHPRKRRGSEGANPLLVAIIDIAFLGNRLYGITRGEDLISFRFIFDDDHLLSEVTYQWVIQSNVMNGGDDVDDDDYYYTVGGGEENNNNNDENDENNDNNAAEDDDEVLSQEDQEEEEDDEKEHRAIIKLMDETGDGLVGDDIQREDEFMIFRYLVAEPCSGKLLMVWRQVRTAGFTRKVEVFEADASAHSWIPVSNGLGGRTLFVSRRFSKSVVARGEVEPDAIYFADFGEVFSMKAPRLGSCISYFLVGDCPGYMCQPTWIFPPN* >Brasy5G311400.1.p pacid=40072475 transcript=Brasy5G311400.1 locus=Brasy5G311400 ID=Brasy5G311400.1.v1.1 annot-version=v1.1 MDRRKGSGGRDANGGLAEATASRLRFEDADEVAEEVGMEVEESAAAEGEAAAAEVIGSEKTSADYYFDSYSHFGIHEEMLKDVVRTRSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKKNGFSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLDTVLYARDKWLVDDGVVLPDKASLHLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMSSGDASFTVPFKLVAERNDFIHALVAYFNVSFTKCHKLMGFSTGPRSKSTHWKQTVLYLEDVVTICEGETLSGSMTVAPNKKNPRDIDITLKYSIDGHRCKVSRTQHYKMR* >Brasy5G358800.1.p pacid=40072476 transcript=Brasy5G358800.1 locus=Brasy5G358800 ID=Brasy5G358800.1.v1.1 annot-version=v1.1 MSSSSAREGGAGESLRNSCSDFARSLARLPVSIVEGLSRSIARRAPRNRPRSIQVHRQQPPQQGPPPPFVPEELFFFSTFEQQYGSYHPFFYGCRFSEVLGIAQREGKLVLVYLHDPDHPYTEPFCRSTLCSDVVVEFLDANFVSWGAVSNRGEGMGMVASLQPGSFPFCAVVGPVSNESITVLQQVEGPVTSSELVEILQRTIDEQRAAFRPSRAADEEAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSTKSLHPEGITKPKASQKYPGQAARETTTKTSQIRAPRHQGTAPSHRTEANTKIMIRFPNGERRQQSFHHTDTIREIYKYINSLGIPGIGNYQLVRSYPRKTYGHQQLLMTLQDAGRRIGNATRKEKGNARDGPCHGNSP* >Brasy5G358800.5.p pacid=40072477 transcript=Brasy5G358800.5 locus=Brasy5G358800 ID=Brasy5G358800.5.v1.1 annot-version=v1.1 MSSSSAREGGAGESLRNSCSDFARSLARLPVSIVEGLSRSIARRAPRNRPRSIQVHRQQPPQQGPPPPFVPEELFFFSTFEQQYGSYHPFFYGCRFSEVLGIAQREGKLVLVYLHDPDHPYTEPFCRSTLCSDVVVEFLDANFVSWGAVSNRGEGMGMVASLQPGSFPFCAVVGPVSNESITVLQQVEGPVTSSELVEILQRTIDEQRAAFRPSRAADEEAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSTKSLHPEGITKPKASQKYPGQAARETTTKTSQIRAPRHQGTAPSHRTEANTKIMIRFPNGERRQQSFHHTDTIREIYKYINSLGIPGIGNYQLVRSYPRKTYGHQQLLMTLQDAGFHPSVTLYIEQLQ* >Brasy5G358800.2.p pacid=40072478 transcript=Brasy5G358800.2 locus=Brasy5G358800 ID=Brasy5G358800.2.v1.1 annot-version=v1.1 MSSSSAREGGAGESLRNSCSDFARSLARLPVSIVEGLSRSIARRAPRNRPRSIQVHRQQPPQQGPPPPFVPEELFFFSTFEQQYGSYHPFFYGCRFSEVLGIAQREGKLVLVYLHDPDHPYTEPFCRSTLCSDVVVEFLDANFVSWGAVSNRGEGMGMVASLQPGSFPFCAVVGPVSNESITVLQQVEGPVTSSELVEILQRTIDEQRAAFRPSRAADEEAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSTKSLHPEGITKPKASQKYPGQAARETTTKTSQIRAPRHQGTAPSHRTEANTKIMIRFPNGERRQQSFHHTDTIREIYKYINSLGIPGIGNYQLVRSYPRKTYGHQQLLMTLQDAGFHPRETNVQR* >Brasy5G358800.4.p pacid=40072479 transcript=Brasy5G358800.4 locus=Brasy5G358800 ID=Brasy5G358800.4.v1.1 annot-version=v1.1 MSSSSAREGGAGESLRNSCSDFARSLARLPVSIVEGLSRSIARRAPRNRPRSIQVHRQQPPQQGPPPPFVPEELFFFSTFEQQYGSYHPFFYGCRFSEVLGIAQREGKLVLVYLHDPDHPYTEPFCRSTLCSDVVVEFLDANFVSWGAVSNRGEGMGMVASLQPGSFPFCAVVGPVSNESITVLQQVEGPVTSSELVEILQRTIDEQRAAFRPSRAADEEAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSTKSLHPEGITKPKASQKYPGQAARETTTKTSQIRAPRHQGTAPSHRTEANTKIMIRFPNGERRQQSFHHTDTIREIYKYINSLGIPGIGNYQLVRSYPRKTYGHQQLLMTLQDAGETNVQR* >Brasy5G358800.3.p pacid=40072480 transcript=Brasy5G358800.3 locus=Brasy5G358800 ID=Brasy5G358800.3.v1.1 annot-version=v1.1 MSSSSAREGGAGESLRNSCSDFARSLARLPVSIVEGLSRSIARRAPRNRPRSIQVHRQQPPQQGPPPPFVPEELFFFSTFEQQYGSYHPFFYGCRFSEVLGIAQREGKLVLVYLHDPDHPYTEPFCRSTLCSDVVVEFLDANFVSWGAVSNRGEGMGMVASLQPGSFPFCAVVGPVSNESITVLQQVEGPVTSSELVEILQRTIDEQRAAFRPSRAADEEAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQVLSMRGYSSALHDTMYISGSGLYLIGCVNAGKRKIHEEPSSGGNHKAKGKPEISWTSSKRNYYQDISD* >Brasy5G327200.1.p pacid=40072481 transcript=Brasy5G327200.1 locus=Brasy5G327200 ID=Brasy5G327200.1.v1.1 annot-version=v1.1 MGVQGGRKPPGILDDLYGVQLGHRPPQAQRNDEVIRTSVTDSSTCDEPNGRRTFTQQKQQRLLIRRLWHQRPSCLKPIHCSITCDKHAGETIANVVTSLPFIVLGLQTPRKNMKTAIYANSLVGVGIASGLYHSSKGEVRKFLRWADYTMIATTTLCLSRAVRNENPGLLMAASTLLLPFQPLMVSAVHTGMMEVSFAKRALVEPELRMVHNLHKMSSVLGGVLFIADDYFPETPYIHAAWHLAAAIGIGTCNKLLR* >Brasy5G357000.1.p pacid=40072482 transcript=Brasy5G357000.1 locus=Brasy5G357000 ID=Brasy5G357000.1.v1.1 annot-version=v1.1 MVVASVWVVSFAVFLCSRNRKNSHGNGNDAPKKKPSAAPAATRRPASVTPATSKARSGSIGTTTGLYGAAYVGPAGGCHGHGHGGGGGGCGGGGGGGGCGGGGGC* >Brasy5G004800.1.p pacid=40072483 transcript=Brasy5G004800.1 locus=Brasy5G004800 ID=Brasy5G004800.1.v1.1 annot-version=v1.1 MKELQHHKLLCMLQVKFAGHGVCLYFRVRPSLSGQRIRGGGDRATGMEMERWVEISEPATRVEAYL* >Brasy5G086300.1.p pacid=40072484 transcript=Brasy5G086300.1 locus=Brasy5G086300 ID=Brasy5G086300.1.v1.1 annot-version=v1.1 MDKEQRQQQPEADELEAPLLLLTDAADADAADAAAAGSAYALVCSLVASAISVIYGYNRGVMSGAQKFVQEDLGVSDARIEVLIGATSIYSLVGSLAAGWACDRLGRRRTMALSAAMFFAGSAVTGAATGYAALMAGQLVAGVACGFGLVVAPVYIAEMAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPRGLNWRLMIGVGAVPPLFLAVAALLAMPETPRWLVLHGHHDEARRILARTTGDRALADRRFNEIVSSVHETPNAKDKNKKASGTSVWREILVRPTPPVRRVLLAVLGLQFFQQASGVAALVLYAPRVFNHVGITSQRAVLGATVLLGLVKTVSIVVPLFLADKLGRRPMLLASAAGMAASLLVLGFSLRASSPSGNAEDAWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGVGTAVNRVMSAVVGMTFISLYEAVGMAGSFYIFAGCAAAAWVFVYACLPETKGKSLEEMEALFATAAQSSPSTPSLPL* >Brasy5G237000.1.p pacid=40072485 transcript=Brasy5G237000.1 locus=Brasy5G237000 ID=Brasy5G237000.1.v1.1 annot-version=v1.1 MWKWKKKLGHSLSRFLSSKPPFNLAKPKPNLAPPPPPPPPQPPLPSNYPIPPQPAMPHGRPPPPAFPGGHVFPQAASTVLPDPSRFFAPGLLSAPLPTNCFFQNFTLKNGDQPEYIHPYSVKSAAAGLTVCYPTRNHSPAFDIQTFAADLTVSSPSDAAAAGQPHRIVAFDDLSVTLDFSPSLRAFLVRGCPFVTVATADAAGGPVDISVASVHAFIEAAPCDDARTKWRFRMNSGQTFLLYASAPIQLSQSSVTQLAARGFSGVIRIAYLPDAAMEAVLDQYSRCFPTAGEAALNRPFCVDYTWRKQGWGDLLMLAHPLHLRLLSEDCSVRVLDDFRYRSIDGDLVGVVGDSWILKTDPLSPTWHSTRGVNDNGVGEIVAALRKDVDSLASNPITTTSSYFYGKAIARAARLALIAEEVGCPDAIPAVHRFLKATVTPWLDGSFQGNGFLYDPKWGGLITKQGMKDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMSQAYSMVADFMTLSRKCGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALLGLSYGDAHLVSAGATLAALEMLAAQTWWHVREGEGIYEDDFSGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDIGFVKDLVSWTAPALARDGVGEGWKGFVYALEGIYDKESALAKTRALASHDDGNTLTNLLWWLHSRGSADDGVARSCWYRQYGH* >Brasy5G362300.1.p pacid=40072486 transcript=Brasy5G362300.1 locus=Brasy5G362300 ID=Brasy5G362300.1.v1.1 annot-version=v1.1 MEQEEGRRKREPAVVVVLECVAGSSKAEEWGGGGDVVQEGDVVEAVRVGLGSGAESLDPPFKGGRAGLHKALHKAFKRGDTSVEVRVRGGRGLQACILPHPGGGRKQYVLRSLHDPNYVLGFGDRLESECLVLQGTRGTRVASALSKAQLQDGYVAYPWEKKMRDSLRIPNSSCHLSMLVLPKALDMNACRYESFEDTLARASAWLYSSQDSGIPVEFINVQSEALLTKISGETASATVNSGSLSDMSNLVNATLYGFEDYHGVDIGVVKAARLWYSSTAGEMPLEIQLQEGDTRLGFAVSRTEEGFIYISSVVDDDEDNEAPSTRSGLRDLFRRAKEASKLLIISRVSNEKVLPWMISSSGAVRCFDTISLSQKLSLHRLALHSIQLHLLMWEKPVGLVQSIVFPPKLPPQLLLPQVPQNIIESIEPRLDDEEDYVGDQSFRFADSPVSSWV* >Brasy5G152800.1.p pacid=40072487 transcript=Brasy5G152800.1 locus=Brasy5G152800 ID=Brasy5G152800.1.v1.1 annot-version=v1.1 MKHLEKALVATYIATITKMGYSYPCTFMVVEDASESSICGTLRRVESSRLGEMRQGRQMMERLPTLHLAVVALLGFCCLIHASSAAISFPPAASGVLLQETDAIPASSGADDQKVVVTGEGEARGVSRRMGMEMELEDYPGSSANGRHSPWWQERRN* >Brasy5G152800.4.p pacid=40072488 transcript=Brasy5G152800.4 locus=Brasy5G152800 ID=Brasy5G152800.4.v1.1 annot-version=v1.1 MKHLEKALVATYIATITKMGYSYPCTFMVVEDASESSICGTLRRVESSRLGEMRQGRQMMERLPTLHLAVVALLGFCCLIHASSAAISFPPASGVLLQETDAIPASSGADDQKVVVTGEGEARGVSRRMGMEMELEDYPGSSANGRHSPWWQERRN* >Brasy5G152800.2.p pacid=40072489 transcript=Brasy5G152800.2 locus=Brasy5G152800 ID=Brasy5G152800.2.v1.1 annot-version=v1.1 MSPHGCRRRVESSRLGEMRQGRQMMERLPTLHLAVVALLGFCCLIHASSAAISFPPAASGVLLQETDAIPASSGADDQKVVVTGEGEARGVSRRMGMEMELEDYPGSSANGRHSPWWQERRN* >Brasy5G152800.3.p pacid=40072490 transcript=Brasy5G152800.3 locus=Brasy5G152800 ID=Brasy5G152800.3.v1.1 annot-version=v1.1 MSPHGCRRRVESSRLGEMRQGRQMMERLPTLHLAVVALLGFCCLIHASSAAISFPPASGVLLQETDAIPASSGADDQKVVVTGEGEARGVSRRMGMEMELEDYPGSSANGRHSPWWQERRN* >Brasy5G297700.1.p pacid=40072491 transcript=Brasy5G297700.1 locus=Brasy5G297700 ID=Brasy5G297700.1.v1.1 annot-version=v1.1 MCGNSFCHSSTYRPEPTSHTLWMLSFQEQQRRLHHVLCTGVQCQQKIVLKLQADDERKRRKAFKAAVGIAGVTSATMEGDKIIIVGDGIDPIALTTMLRRRLGYAELLSVSSGDDKKKDGYGAYGAEKKKDSYGYSDGGMSYGGKESKGSGGGGGYHQNTVAPIPYPAYQQQYNAMPSYPAYSYPPYQRQEQDPGCSIM* >Brasy5G297700.3.p pacid=40072492 transcript=Brasy5G297700.3 locus=Brasy5G297700 ID=Brasy5G297700.3.v1.1 annot-version=v1.1 MCGNSFCHSSTYRPEPTSHTLWMLSFQEQQRRLHHVLCTGQKIVLKLQADDERKRRKAFKAAVGIAGVTSATMEGDKIIIVGDGIDPIALTTMLRRRLGYAELLSVSSGDDKKKDGYGAYGAEKKKDSYGYSDGGMSYGGKESKGSGGGGGYHQNTVAPIPYPAYQQQYNAMPSYPAYSYPPYQRQEQDPGCSIM* >Brasy5G297700.2.p pacid=40072493 transcript=Brasy5G297700.2 locus=Brasy5G297700 ID=Brasy5G297700.2.v1.1 annot-version=v1.1 MVKQKIVLKLQADDERKRRKAFKAAVGIAGVTSATMEGDKIIIVGDGIDPIALTTMLRRRLGYAELLSVSSGDDKKKDGYGAYGAEKKKDSYGYSDGGMSYGGKESKGSGGGGGYHQNTVAPIPYPAYQQQYNAMPSYPAYSYPPYQRQEQDPGCSIM* >Brasy5G018400.1.p pacid=40072494 transcript=Brasy5G018400.1 locus=Brasy5G018400 ID=Brasy5G018400.1.v1.1 annot-version=v1.1 MANLTSLASNPNPNKSFEVLPNPGDSLSSLSFSPKSNLLVATSWDNQVRCWEIVGSNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDGPVKEIAWIPQMSLLVSGSWDKTLRYWDTRQQNPAHVQQLPERCYALSVNYPLMIVGTADRHIVIFDLRNPQTEFKRIQSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHIDDAQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNMDGSIFAYGVCYDWSRGAENHNPTNAKTSIYLHSPQEAEVKGKPRIATGRK* >Brasy5G018400.3.p pacid=40072495 transcript=Brasy5G018400.3 locus=Brasy5G018400 ID=Brasy5G018400.3.v1.1 annot-version=v1.1 MANLTSLASNPNPNKSFEVLPNPGDSLSSLSFSPKSNLLVATSWDNQVRCWEIVGSNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDGPVKEIAWIPQMSLLVSGSWDKTLRYWDTRQQNPAHVQQLPERCYALSVNYPLMIVGTADRHIVIFDLRNPQTEFKRIQSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHIDDAQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNMDGSIFAYGVCYDWSRGAENHNPTNAKTSIYLHSPQEAEVKGKPRIATGRK* >Brasy5G018400.2.p pacid=40072496 transcript=Brasy5G018400.2 locus=Brasy5G018400 ID=Brasy5G018400.2.v1.1 annot-version=v1.1 MANLTSLASNPNPNKSFEVLPNPGDSLSSLSFSPKSNLLVATSWDNQVRCWEIVGSNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDGPVKEIAWIPQMSLLVSGSWDKTLRYWDTRQQNPAHVQQLPERCYALSVNYPLMIVGTADRHIVIFDLRNPQTEFKRIQSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHIDDAQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNMDGSIFAYGVCYDWSRGAENHNPTNAKTSIYLHSPQEAEVKGKPRIATGRK* >Brasy5G181600.1.p pacid=40072497 transcript=Brasy5G181600.1 locus=Brasy5G181600 ID=Brasy5G181600.1.v1.1 annot-version=v1.1 MTSHGGGQGYHEGGRRQLCAGGVKCLKVRTWESYLGHGNLDLLGAEFISLLGLEDKFLHLHYLSHIEIPYPIHLEILVQLLQYHIQDVPSLHLLRFFLNYYSNWNSFITSIKSFFSFKKRK* >Brasy5G166200.1.p pacid=40072498 transcript=Brasy5G166200.1 locus=Brasy5G166200 ID=Brasy5G166200.1.v1.1 annot-version=v1.1 MNGGGVSRELARLPTVESTAPPTRRRGRGFKVRRLGFRQVRPSRKDHGGGTTLPSLGAPSTSSATGTATALVRGTSPPTASATAPLPGTSSPTAAATAALRGPSPPKAATTAPLGPATSAVSFNPPPLKLSDFLMVDNRGGDTLKDKWIFTDSDASEVNYTADYSDDEDEYSLKARWCNGA* >Brasy5G052400.1.p pacid=40072499 transcript=Brasy5G052400.1 locus=Brasy5G052400 ID=Brasy5G052400.1.v1.1 annot-version=v1.1 MTRKKERSCAAAALLLAAVGLLVVCSSAAGVPAVYVFGDSLVDVGNNGFLPPPAPRAAFPCGVDLGSAGRFTNGYNLADIVAQRMGFNMSPPAYLSLTPQTSFNLLRGRVGANYASGGSGILNITGNGTITLREQVQLFVNTKASMINSGKLGNDMANRLLSRSLFLVSTGGNDFAAFSEGRVTIDEAPAYIASMVSIYIKHIKALYKLGARRLGILDVLPVGCVPSTRTWSNDGVCDVPANSLARGFNALLRAEMATAAAAAMPDLIYSIASIYNIFYDMINNPQLDGLEEVASACCGGGRFNAEEDCSVRSNLCADRDRYLFWDKVHGTQAAYKRAVAAMFDGVGAGRYTEPISFEQLVGNQALLMQGPVVNLERRSVQWVAGI* >Brasy5G052400.2.p pacid=40072500 transcript=Brasy5G052400.2 locus=Brasy5G052400 ID=Brasy5G052400.2.v1.1 annot-version=v1.1 MTRKKERSCAAAALLLAAVGLLVVCSSAAGVPAVYVFGDSLVDVGNNGFLPPPAPRAAFPCGVDLGSAGRFTNGYNLADIVAQRMGFNMSPPAYLSLTPQTSFNLLRGRVGANYASGGSGILNITGNGTITLREQVQLFVNTKASMINSGKLGNDMANRLLSRSLFLVSTGGNDFAAFSEGRVTIDEAPAYIASMVSIYIKHIKALYKLGARRLGILDVLPVGCVPSTRTWSNDGVCDVPANSLARGFNALLRAEMATAAAAAMPDLIYSIASIYNIFYDMINNPQLDGLEEVASACCGGGRFNAEEDCSVRSNLCADRDRYLFWDKVHGTQAAYKRAVAAMFDGVGAGRYTEPISFEQLVGNQALLMQGPVVNLERRSVQWVAGI* >Brasy5G052400.3.p pacid=40072501 transcript=Brasy5G052400.3 locus=Brasy5G052400 ID=Brasy5G052400.3.v1.1 annot-version=v1.1 MTYAQRMGFNMSPPAYLSLTPQTSFNLLRGRVGANYASGGSGILNITGNGTITLREQVQLFVNTKASMINSGKLGNDMANRLLSRSLFLVSTGGNDFAAFSEGRVTIDEAPAYIASMVSIYIKHIKALYKLGARRLGILDVLPVGCVPSTRTWSNDGVCDVPANSLARGFNALLRAEMATAAAAAMPDLIYSIASIYNIFYDMINNPQLDGLEEVASACCGGGRFNAEEDCSVRSNLCADRDRYLFWDKVHGTQAAYKRAVAAMFDGVGAGRYTEPISFEQLVGNQALLMQGPVVNLERRSVQWVAGI* >Brasy5G326000.1.p pacid=40072502 transcript=Brasy5G326000.1 locus=Brasy5G326000 ID=Brasy5G326000.1.v1.1 annot-version=v1.1 MPIMPNLETLTICSFREMISTPLLSSKFFHLKNLIISFALWTFSPAYDFFSLVSFLDASPSLETFRLEAPSLRWKHDSIFQDPTNVRRIAMTTLKAW* >Brasy5G352900.1.p pacid=40072503 transcript=Brasy5G352900.1 locus=Brasy5G352900 ID=Brasy5G352900.1.v1.1 annot-version=v1.1 MDMNESSEKGMEGNGSSGPGNGIPPVEWQSQFGGGFSAQQQQHTHMMDSFAAAASGMWAAPSALSDVSGMSRGHGPGFLGPVPGAFLPPSCLGGHFPVDSGFIERAARSSCFAGPGAFGSGGGDQHMGGAFGGVSEGYLDHRSSDKAEPEIAGNQGVPSSEAAGGDCSSKGSDSKKRRRPSEVMGADQVQSSNVAADSANESVHSKDKGEESSPATTTTGKSKGKGAKESSEKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVSSSSIGFSPEMTHPQLQLSQPGLMQGGAAGMANQDVFRRIMQAQLGGKDGSQMPHALNGSFSDHVAQMAYPSMGSSQQDGFQM* >Brasy5G156600.1.p pacid=40072504 transcript=Brasy5G156600.1 locus=Brasy5G156600 ID=Brasy5G156600.1.v1.1 annot-version=v1.1 MAEACLAAGATKGLLGWIIPCLQEEWRRPKLLKDEAEHLKDDLQDIRAILAEAGLDANFGQAEHLCYQKFMKLAYKIEDTVAASMASRWSWRSLGLLGDMKALRATILAAHERYERTQRTQPRVDQVRRRRRPPSMRPAADGISRPREELMKELLVVLPRGQEEPVPASEEERLRRNKAVRLVTVLGSTREGRTALANVVYQDVRGRFDCAAFVFALPSTTPKNVLTSILHQVSMQRGSSSHTTEASSTPEDRTVEPSSSSPEDKEVDRIRKQIRSLLQHKRYLIVIDSDESAWNRVGGHILAENDGGCIPLGESNRGSRIITTTSHKTIAARLIDSLSFEGYDLGRDAAHLSSTAYHNYSMASEIWACLLYLSIFPENHIMDRGDLIRRWIAEGLVHAENPYEVGDGYFDKLVEASMILSVHADAYGRVQACRTHETVLKFITFMADREKFVTILSRKHYTQPNNQTNNPPNSRILYPPNMIVSLRNKFPVHNRRRIIKGLNDVRSLSCLFPDAAAAGSFKLPVLSWFRLLRVLHLQDCEGSSRLRGIGKLIHLRYLRVSGAGVVKLPKEVGELKLLETLDVKETGIKKLPDSMVKLQKLVSLRVGLEVELPKGIFVGMRLLEELSEVNVSINPSIAAEIETQSNGLKLEITLGTPAPAPAAETASAPAPETALAPAPNSLLKLKTIEALQDLRVFAPQGSTLNFMPDVCWIPEKIKRLAVVCPGFGILDIDTPSSSESSPPWSKLPSWIDELAELSSLCITVGMLAQDDLDTLGKLPRLAYLDLQVVKAQGQDGTQSLLTTSHHLIAGGRNTTSGADVVAFTSLVELKFSSRTVVLRFRKHAAEKLKRLSLGFPLAVARNVPRDVDGPASERAGVPGENLGLNYLASLETVEVSVDCRGAWLWEVSAAHAAMWGATNRQTNRPAFHFRTHSETKMMKDGYCRSQQNDGRCDKIGPWGGPGGREWHTNMDEPLHSHRLVSVEVRTGTIVNSLGFTCEDEQRKRHTRDHWGGSFGEKTHKFTLGEGELLQRVSGTMDWFGPWNTVVRSLTFYTNLRNYGPYGEEAVNGYHFDINPPAGRSIAGFFMRGGDYIDAIGVYLSSGSQPDKGKIGPWVMEGGKRCLRNTLGKPPMRPHRLVSVEVCSGMVVGALGFSYKDEEGERHNMPAMRSGEGQEHQKFTLVDTEEDREILEKVYGTIGPFGDCREVVTSINFRTNRRKYGPYGNEGNVTNSFEINPGRSIVGFCVRGELYIDAIGVYLSPTSSPGVPTTSD* >Brasy5G156600.3.p pacid=40072505 transcript=Brasy5G156600.3 locus=Brasy5G156600 ID=Brasy5G156600.3.v1.1 annot-version=v1.1 MAEACLAAGATKGLLGWIIPCLQEEWRRPKLLKDEAEHLKDDLQDIRAILAEAGLDANFGQAEHLCYQKFMKLAYKIEDTVAASMASRWSWRSLGLLGDMKALRATILAAHERYERTQRTQPRVDQVRRRRRPPSMRPAADGISRPREELMKELLVVLPRGQEEPVPASEEERLRRNKAVRLVTVLGSTREGRTALANVVYQDVRGRFDCAAFVFALPSTTPKNVLTSILHQVSMQRGSSSHTTEASSTPEDRTVEPSSSSPEDKEVDRIRKQIRSLLQHKRYLIVIDSDESAWNRVGGHILAENDGGCIPLGESNRGSRIITTTSHKTIAARLIDSLSFEGYDLGRDAAHLSSTAYHNYSMASEIWACLLYLSIFPENHIMDRGDLIRRWIAEGLVHAENPYEVGDGYFDKLVEASMILSVHADAYGRVQACRTHETVLKFITFMADREKFVTILSRKHYTQPNNQTNNPPNSRILYPPNMIVSLRNKFPVHNRRRIIKGLNDVRSLSCLFPDAAAAGSFKLPVLSWFRLLRVLHLQDCEGSSRLRGIGKLIHLRYLRVSGAGVVKLPKEVGELKLLETLDVKETGIKKLPDSMVKLQKLVSLRVGLEVELPKGIFVGMRLLEELSEVNVSINPSIAAEIETQSNGLKLEITLGTPAPAPAAETASAPAPETALAPAPNSLLKLKTIEALQDLRVFAPQGSTLNFMPDVCWIPEKIKRLAVVCPGFGILDIDTPSSSESSPPWSKLPSWIDELAELSSLCITVGMLAQDDLDTLGKLPRLAYLDLQVVKAQGQDGTQSLLTTSHHLIAGGRNTTSGADVVAFTSLVELKFSSRTVVLRFRKHAAEKLKRLSLGFPLAVARNVPRDVDGPASERAGVPGENLGLNYLASLETVEVSVDCRGAWLWEVSAAHAAMWGATNRQTNRPAFHFRTHSETKMMKDGYCRSQQNDGRCDKIGPWGGPGGREWHTNMDEPLHSHRLVSVEVRTGTIVNSLGFTCEDEQRKRHTRDHWGGSFGEKTHKFTLGEGELLQRVSGTMDWFGPWNTVVRSLTFYTNLRNYGPYGEEAVNGYHFDINPPAGRSIAGFFMRGGDYIDAIGVYLSSGSQPDKGKIGPWVMEGGKRCLRNTLGKPPMRPHRLVSVEVCSGMVVGALGFSYKDEEGERHNMPAMRSGEGQEHQKFTLVDTEEDREILEKVYGTIGPFGDCREVVTSINFRTNRRKYGPYGNEGNVTNSFEINPGRSIVGFCVRGELYIDAIGVYLSPTSSPGVPTTSD* >Brasy5G156600.2.p pacid=40072506 transcript=Brasy5G156600.2 locus=Brasy5G156600 ID=Brasy5G156600.2.v1.1 annot-version=v1.1 MAEACLAAGATKGLLGWIIPCLQEEWRRPKLLKDEAEHLKDDLQDIRAILAEAGLDANFGQAEHLCYQKFMKLAYKIEDTVAASMASRWSWRSLGLLGDMKALRATILAAHERYERTQRTQPRVDQVRRRRRPPSMRPAADGISRPREELMKELLVVLPRGQEEPVPASEEERLRRNKAVRLVTVLGSTREGRTALANVVYQDVRGRFDCAAFVFALPSTTPKNVLTSILHQVSMQRGSSSHTTEASSTPEDRTVEPSSSSPEDKEVDRIRKQIRSLLQHKRYLIVIDSDESAWNRVGGHILAENDGGCIPLGESNRGSRIITTTSHKTIAARLIDSLSFEGYDLGRDAAHLSSTAYHNYSMASEIWACLLYLSIFPENHIMDRGDLIRRWIAEGLVHAENPYEVGDGYFDKLVEASMILSVHADAYGRVQACRTHETVLKFITFMADREKFVTILSRKHYTQPNNQTNNPPNSRILYPPNMIVSLRNKFPVHNRRRIIKGLNDVRSLSCLFPDAAAAGSFKLPVLSWFRLLRVLHLQDCEGSSRLRGIGKLIHLRYLRVSGAGVVKLPKEVGELKLLETLDVKETGIKKLPDSMVKLQKLVSLRVGLEVELPKGIFVGMRLLEELSEVNVSINPSIAAEIETQSNGLKLEITLGTPAPAPAAETASAPAPETALAPAPNSLLKLKTIEALQDLRVFAPQGSTLNFMPDVCWIPEKIKRLAVVCPGFGILDIDTPSSSESSPPWSKLPSWIDELAELSSLCITVGMLAQDDLDTLGKLPRLAYLDLQVVKAQGQDGTQSLLTTSHHLIAGGRNTTSGADVVAFTSLVELKFSSRTVVLRFRKHAAEKLKRLSLGFPLAVARNVPRDVDGPASERAGVPGENLGLNYLASLETVEVSVDCRGAWLWEVSAAHAAMWGATNRQTNRPAFHFRTHSETKMMKDGYCRSQQNDGRCDKIGPWGGPGGREWHTNMDEPLHSHRLVSVEVRTGTIVNSLGFTCEDEQRKRHTRDHWGGSFGEKTHKFTLGEGELLQRVSGTMDWFGPWNTVVRSLTFYTNLRNYGPYGEEAVNGYHFDINPPAGRSIAGFFMRGGDYIDAIGVYLSSGSQPDKGKIGPWVMEGGKRCLRNTLGKPPMRPHRLVSVEVCSGMVVGALGFSYKDEEGERHNMPAMRSGEGQEHQKFTLVDTEEDREILEKVYGTIGPFGDCREVVTSINFRTNRRKYGPYGNEGNVTNSFEINPGRSIVGFCVRGELYIDAIGVYLSPTSSPGVPTTSD* >Brasy5G412400.1.p pacid=40072507 transcript=Brasy5G412400.1 locus=Brasy5G412400 ID=Brasy5G412400.1.v1.1 annot-version=v1.1 MVLQSKTAAAIALLLVAVVAVSSLPAASSFGCFDDCYERCANGLKQDPACTKMCNEACGGSGGGVAGQVIAGVGAAANTGASVAAAGAGAAATGAATAVGAGAAVTGGVGAAAVGGPPAA* >Brasy5G106800.1.p pacid=40072508 transcript=Brasy5G106800.1 locus=Brasy5G106800 ID=Brasy5G106800.1.v1.1 annot-version=v1.1 MGGETVGSERVEGETNRRWQRGGRRERGLVGGSQQRNGPEGGRGVAVLARGGRRAREGIVKELVVAATADGERPDEAGGGANGYPSERRQHAYRSAQVTRSPDRGRATRAPLPAAHPPPPSPSVELSRIAPCTIAPSPWRRRSSKNLP* >Brasy5G076600.1.p pacid=40072509 transcript=Brasy5G076600.1 locus=Brasy5G076600 ID=Brasy5G076600.1.v1.1 annot-version=v1.1 MMEYPEDGNGITMSFSYSYSEEYIKNARGMRLFTCRWLPANPRQPIKALVFICHGYAVECSVTMRGTGERLASAGYAVYGMDYEGHGRSDGLRGYVPSMDALVDDCDAFFTSVIAAARNNNNPPDPAPAPDPNSNSNPDPDDSPSSPPPLPRFLLGESMGGAVALLLHRSRPSYWSGAVLVAPMCKIADGMKPPKAVIRFLEAIATLVPRWKIVPTRDVIDAAYRTAAKRAEIRRNPWCYKGRPRLGTAHQLLTTSVRVEKEVLPLVSLPFLVVHGGADTVTDPAVSGLLHRTAASQDKTMKLYPGMWHALTSGELQENIDAVFADIVDWLDHRSASSSPSVSRSTVA* >Brasy5G431800.1.p pacid=40072510 transcript=Brasy5G431800.1 locus=Brasy5G431800 ID=Brasy5G431800.1.v1.1 annot-version=v1.1 MVSDASKKKAAQKKAAAAAKRGAKVPSSTSSSSSSASAKAAADALAAIHLSDRTCTAVLTSHPLSRDIHIESLTLTFHGHDLLVDTELELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPEHMDIYHLSHEIEASEMSALEAVISCDEERVKLEKEAEILAAQDDGGGEALERVYERLEAIDVSTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFERILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNFDQYVQTRAELEENQMKQFRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDRILTFRFTDVGNLPPPVLQFVEVTFGYTEDNLIYKKLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLDLSALQYMMNEYPGNGEERMRAAIGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMEFKEHLRNKSGLSDD* >Brasy5G112700.1.p pacid=40072511 transcript=Brasy5G112700.1 locus=Brasy5G112700 ID=Brasy5G112700.1.v1.1 annot-version=v1.1 MALSLSTSFLPTPAAAAARTTTRNLRSLVPSQRMRCSMRKKGLHPEIFEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDSSALVVMDSQIEKFRKKWGHVKEYWTKEQWLEMHPDGDPEFEPEDDETRK* >Brasy5G497000.1.p pacid=40072512 transcript=Brasy5G497000.1 locus=Brasy5G497000 ID=Brasy5G497000.1.v1.1 annot-version=v1.1 MARFVGIVALLLFLASSAFAEDEAVLGRKGNIIGIGDESREDAQAPPGSGKYAVIFDAGSTGTRVHVFKFDKKMDLLKIGDDIEVFAKVSPGLSSYSGRPQEAAKTMIPLLDKAKSVVPSRVMSRTPLKLGATAGLRLIGDKQSEQILQAVRDVVHSQSKFQYNPKWINVALNYLLELERLGGDYSKTVGVIDLGGGSVQMAYAVSANAAATAPAVPDGKDPYITKEYLKGKDYNVYVHSYLYYGNLAARVEILKARSGPFSSCMLRGSTGDYTYTGKDYDATASPEGAVYGKCRKEVRRALKLNAPCKAKNCTFNGVWNGGGGAGQAELYAASFFYGKATQVGWVDKAAPSARSSPAEFRAAAKKICPMSVEEAMAAYPGRGSGEGVHLHGPGVPVHAACRWVWAGAGEEDHGEFFMEAAWPLGEAIEAVAPKKRLSGDE* >Brasy5G362400.1.p pacid=40072513 transcript=Brasy5G362400.1 locus=Brasy5G362400 ID=Brasy5G362400.1.v1.1 annot-version=v1.1 MVAAGLNDIYQDNRSRSENWSGIALDRDGGSGEAAAAYSDYDNHNGGFSSSNSEVQCYVPSSSDHLRSRGAYRIHPAFLQSAPLAGRFPASTGRAGLNKVPASTCSSSSAFRPATIGRDHDNMGALRFLSSNSRVPLSSSHQPSAHSKAKQRGSQIFSWLFTKAKKKAKPETQTVIERENMSQLLKEWGLLSLDSLKKELAEANAHRDAALEDAAEMRSSLGELTTKLLSVEAYCSELKKALRQATGNDGTQSRSRRSSARSIGSSRELPMPVSHEAMVEGFLQIASEARLSVKQLCKALIQQVEEPDNGLSDKLNLLLQPYQLAVTGRHCSKAVLYHLEAIMNRSLYQDFENCTFQKNGAPRWLDPEQDRQESFASFAALRNLSWNEVLRKGTRYYSEDLSRFCDQKMSCVVATLSWSWPWPEQLLQCFFIATKCVWLLHLLAFSFSPPLPILRVEENRAFDQMYMEDILPDKQQVQNPCSVKVMVMPGFYVRDRVLKCRVLTTGSVA* >Brasy5G133400.1.p pacid=40072514 transcript=Brasy5G133400.1 locus=Brasy5G133400 ID=Brasy5G133400.1.v1.1 annot-version=v1.1 MAAAAAVIRCAALVVLAVVGLAEGLGVNWGTMATRRLPPKTMARLLRDNGFSKVKIFDADESTMSGLAGTGIEAMVAVPNDLLAAVSDFDGARKWVRANVTKYAFRGGVNIKFVAVGNEPFLKAYNGTYDNVTVPALKNIQRALNEAGHGSSVKATVPVNADVYDSPPDNPVPSAGAFRGDIAGVMADMVAFLNHSGAPFSVNIYPFLSLYGNDDFPLDYAFFDAGNGTGPPPPNPVVDNGINYTNVFDANFDTLVSALKRAGFGDLPVVIGEVGWPTDGDKHATVPYAERFYAGLLKRIASGRGTPLRPKARIEVYLFGLMDEDAKSVAPGNFERHWGIFTFDGRPKFPLDLALRGGSGTPAMPKPARGVHYLPRRWCVLNTNSSGITNETALADNVGYACSRADCTALGLGCSCGALDAAGNASYAFNVYYQAQGQVDSACDFQGLAVVSHEDASRGACNFSVQVAGESLGTAAVNATTSAAPAAAAACGWGAGLLAALLLLVSA* >Brasy5G217800.1.p pacid=40072515 transcript=Brasy5G217800.1 locus=Brasy5G217800 ID=Brasy5G217800.1.v1.1 annot-version=v1.1 MAAPSKLLQALLFLLLLAVSAATQLEESAAAPPGPAPPPSTTPPNLTLHNLCPYTVWPLITPNSGLPSLLPSDSDADDNSFTHLSNGAILTLPFPHGQAWSGRVVARTGCVSVRITAVGPYASDPDSELFSATRCATGDPPEPVTVAQVSVGGGNDGTLAEYSVSLVDGFNVPLVFSGSGGGGECPTLGCAADLDAVCPEDAKAPGGGCRAEDERVRAMFKRRCPDARTTPTDVEVTPQQCLAPQELRIVFCPDAGGN* >Brasy5G387800.1.p pacid=40072516 transcript=Brasy5G387800.1 locus=Brasy5G387800 ID=Brasy5G387800.1.v1.1 annot-version=v1.1 MKRLRSSSLLSHFRRAPVPRPDDNARRLLCEVPSEQRLPYRDRRVLLARAYPEHPRQVLLARAYPTRWILHQQPYRWRRVLRPKLYRWRRELLPRLPKRMIRTFELQSWWKFPMEKAWGSSPKREIIWEYTNPNIDYAKCISALKYVMKYPVVIQKILFGDNWFTEFLTSSGSKLISGMALLLVKNKVNGVSFYDPITPDTFVVDQHGNIQIKEGTLMKRGSSYSCDLDITVAADALVWMFTYLSGNTLPPSVWSFSDLMRFPTEICTKC* >Brasy5G221300.1.p pacid=40072517 transcript=Brasy5G221300.1 locus=Brasy5G221300 ID=Brasy5G221300.1.v1.1 annot-version=v1.1 MEPEHAEDPLLAACKEKLKQFRLKELKDVLFELGLPKQGKKQELVEKITALLYDQHDQVSQIYGLGKNPVAENEVMKIVEETFRKMHDPVNALSVSGSQIGPGHGVKPKRKPDDSTQSDVKVRCPCGISMATGSMIKCDDPQCNVWQHVDCVIIPEEPADSVPPELPSSFYCEICRISRVDPFWVTINHPLLPAAIAPSIVALDGLYTAHYIEKTLLLSRLNRDMILKGEYDIQVWCILLNDRVPFRMHWPLHSEMQVNGIHVNVVNRQATQQLGANGRDDGPVLTDYLKEGPNKIVLTSSDSRAFCFGVRIVKKRSLEEVLNLVPKEQDGEKFDDALARVRRCVGGGTEEDNAGSDNDIEVVADSVSVNLRCPMTGSRIKVAGRFKPCLHMGCFDLEAFVELNQRSRKWQCPICLKNYSVDNMVIDPYFNRIISLIRCCGNDISEIDVKPDGSWRAKGGAELKDLTQWHLPDGTLCMSTDTRSESNIGVANHETKEGPLPKELGSRLKLGLRKNNNGKWEISPRGGVNSMPSSENDQRGHPESGNGATLTSSTDHENTKDGSYNSEPGQFGQSTSNAYDLSSSPVDKEQGVIVLSDSDDENVEVLSPSAFLPDSGNLFPVPNPGEASGMLEEQPERSSFESPFFLGDHFVDLGLSFWEYPPRTQDNPGTHGTDNLGEPVSSVNLGVAANLPEYGHDSDLRVSLNLGCSDESLNDAKSAPQKRKNPGDELPALDASVLTNAVYDDGDDSAGDRSGSPSSSPQPPRSVRQRLIQNVETDSD* >Brasy5G221300.2.p pacid=40072518 transcript=Brasy5G221300.2 locus=Brasy5G221300 ID=Brasy5G221300.2.v1.1 annot-version=v1.1 MILKGEYDIQVWCILLNDRVPFRMHWPLHSEMQVNGIHVNVVNRQATQQLGANGRDDGPVLTDYLKEGPNKIVLTSSDSRAFCFGVRIVKKRSLEEVLNLVPKEQDGEKFDDALARVRRCVGGGTEEDNAGSDNDIEVVADSVSVNLRCPMTGSRIKVAGRFKPCLHMGCFDLEAFVELNQRSRKWQCPICLKNYSVDNMVIDPYFNRIISLIRCCGNDISEIDVKPDGSWRAKGGAELKDLTQWHLPDGTLCMSTDTRSESNIGVANHETKEGPLPKELGSRLKLGLRKNNNGKWEISPRGGVNSMPSSENDQRGHPESGNGATLTSSTDHENTKDGSYNSEPGQFGQSTSNAYDLSSSPVDKEQGVIVLSDSDDENVEVLSPSAFLPDSGNLFPVPNPGEASGMLEEQPERSSFESPFFLGDHFVDLGLSFWEYPPRTQDNPGTHGTDNLGEPVSSVNLGVAANLPEYGHDSDLRVSLNLGCSDESLNDAKSAPQKRKNPGDELPALDASVLTNAVYDDGDDSAGDRSGSPSSSPQPPRSVRQRLIQNVETDSD* >Brasy5G060500.1.p pacid=40072519 transcript=Brasy5G060500.1 locus=Brasy5G060500 ID=Brasy5G060500.1.v1.1 annot-version=v1.1 MSDLSRTRNSQVQPPTDPAEVVRFRDSFDKAAEVFGLNFKFHAVETKIHRFPEGLRGLGDRYIVPMAVAIGPYYHGSPQLMEMEKVKDAAAHHFIEHTGSTFEVIWQTFYNYTVQARLYYADHVMQGISDAAFVRMMFCDACFLLQYMLSIPSSHTSPTPSSHTSPTPSKHLHKVDQSLRNLFVSNRASIENDIMLLENQLPWKVLKALMKLWHVHEVDVDEFIVKMGAKFDILSKHNYMLSNTRSASAADHHRYSPPHLLGLLRSHKIAASKEADGDSQVEEPMKMSVPIGASQLEINGIKLTASKSAEFNGVELTKGFFYDKFSLAPLSLDRTTACWLVNMAAFEVWTAASFSEGSEKTAVSSYLALLAMLTDREEDVHKLRKDRLVRTELTDKQTLEFFDNIVEHISPGRCYIKILADMDECRRKRCVRISVHKFVKRNSKAIVTVLTIIGVLVGIFKTLFTLMQPRQIS* >Brasy5G021200.1.p pacid=40072520 transcript=Brasy5G021200.1 locus=Brasy5G021200 ID=Brasy5G021200.1.v1.1 annot-version=v1.1 MAMASTHAPSSAGSLAPGRTPRPRAPAGLPGFLGLGPSSKPALRRPLALANRVPGARPCPPHFTCAASSSPAAAARPASAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALQPADLHSRKLKVVDVGGGTGFTTLGIVKHVEPENVTLLDQSPHQLEKARQKEALNGVTIIEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACLIGPVHPTFWLSRFFADMWMLFPTEEEYIDWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRERGDSPLQLGPKAEDVSKPVNPITFFFRFLVGTICAAYYVLVPIYMWIKDQIVPKGMPI* >Brasy5G268800.1.p pacid=40072521 transcript=Brasy5G268800.1 locus=Brasy5G268800 ID=Brasy5G268800.1.v1.1 annot-version=v1.1 MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPACVHLVSWEKENVSSEALEAARIACNKYMTKNAGKDAFHLRVRVHPYHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVDIGQVLLSVRCKESNATHAEEALRRAKFKFPGRQKIIKSRKWGFTKFNKADYVKYKSEGRIVPDGVNAKLLGVHGPIANRAPGQAILA* >Brasy5G359100.1.p pacid=40072522 transcript=Brasy5G359100.1 locus=Brasy5G359100 ID=Brasy5G359100.1.v1.1 annot-version=v1.1 MATGAFAAAAPHQRLGPLAGGVVDEQQAAGVGILLQISMLVLSFVLGHLLRRRKFYYLPEASASLLIGMIVGAFANISNTQKSTRRWFNFREDFFLLFLLPPIIFQSGFNLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYLGGLIYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVAISLYRTMASMRTNSSGQNFLLVILRFLENFVGSMSSGIGVGLISALLFKYAELGVENLHNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFIFIYMGFDIAMERQSWSHIGFIFFSVVFILIARAANVFSCAYILNLARPPHSQIPRQYQLALWYSGLRGAMAFALALQSVHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYRHPYEENFDGSNAAYEEGTSTPSKFQLKLRDLRRSTSSFALLDRNYLTPIFTSQHDDDNDDDDDDDDDDPSEELSTPRA* >Brasy5G359100.2.p pacid=40072523 transcript=Brasy5G359100.2 locus=Brasy5G359100 ID=Brasy5G359100.2.v1.1 annot-version=v1.1 MATGAFAAAAPHQRLGPLAGGVVDEQQAAGVGILLQISMLVLSFVLGHLLRRRKFYYLPEASASLLIGMIVGAFANISNTQKSTRWFNFREDFFLLFLLPPIIFQSGFNLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYLGGLIYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVAISLYRTMASMRTNSSGQNFLLVILRFLENFVGSMSSGIGVGLISALLFKYAELGVENLHNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFIFIYMGFDIAMERQSWSHIGFIFFSVVFILIARAANVFSCAYILNLARPPHSQIPRQYQLALWYSGLRGAMAFALALQSVHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYRHPYEENFDGSNAAYEEGTSTPSKFQLKLRDLRRSTSSFALLDRNYLTPIFTSQHDDDNDDDDDDDDDDPSEELSTPRA* >Brasy5G359100.3.p pacid=40072524 transcript=Brasy5G359100.3 locus=Brasy5G359100 ID=Brasy5G359100.3.v1.1 annot-version=v1.1 MATGAFAAAAPHQRLGPLAGGVVDEQQAAGVGILLQISMLVLSFVLGHLLRRRKFYYLPEASASLLIGMIVGAFANISNTQKSTRRWFNFREDFFLLFLLPPIIFQSGFNLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYLGGLIYIIYRLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVAISLYRTMASMRTNSSGQNFLLVILRFLENFVGSMSSGIGVGLISALLFKYAELGVENLHNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIRYTFYNLSEDSQRFTARFFHLLSSLAEAFIFIYMGFDIAMERQSWSHIGFIFFSVVFILIARAANVFSCAYILNLARPPHSQIPRQYQLALWYSGLRGAMAFALALQSVHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLEALQVVGDSNRYRHPYEENFDGSNAAYEEGTSTPSKFQLKLRDLRRSTSSFALLDRNYLTPIFTSQHDDDNDDDDDDDDDDPSEELSTPRA* >Brasy5G468200.1.p pacid=40072525 transcript=Brasy5G468200.1 locus=Brasy5G468200 ID=Brasy5G468200.1.v1.1 annot-version=v1.1 MSFISFLLLFLAAAAAPRASASAAAGSGGILHVPSAAELARCPSRCGEVDIVYPFGIGPGCFRQGFELTCHNHKLFLGNTNSSTTTALIQDLGYDYAVVSVDLSFDMRPDVDTYNVSWETPVKGLTLYESTFLYVVGCGVDAYLFDHGTDDIIGSCITVCSDDKETMEKIGVTDNNVKSCNGVACCIISLKARRRPLAVRIGRLRDGISGTLPKPDELPSGVKVFLSDEYLSPMGDASGTGGTRGVYFDLAMTDQPSCESAQLKSSTYACNNNSNCQDGLPGGAGYSCYCQTTGNPYTSDGCTAGGYDPNPKRRKCKRSCGNTRIPFPFGIEQGCYALEKFRLNCTRNVTILDRGVQYLVANISVNEGYLSIKMRGLDSSGSYDDNKEIELHFDAEEGITGKTDRPLEDLLDLIEEDNMKMWWAVTNITCPVAMNKELSYTYACRSANSGCLNVTRVNSAMQLGYRCKCSEGYEGNPYTPDGCTDINECLLPNICNGTCQNYPGGHSCKGCTHGEEFNPMKGKCVTSAKRHNLILGIAIGIGCGLLATILALGAIATVNKWKRGLQKRVRKAHFKKNQGLLLEQLILDKSVTDKTKIFSLEELDKATDNFDVTRVLGCGGHGTVYKGILSDQRVVAIKRSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEVEVPLLVYEFISNGTLYDLLHSDLSVKCLLSWDDRIRIASEAASALAYLHSAAAIPIFHRDVKSSNVLLDGNFITKVSDFGASRSLSLDETRVVTIVQGTFGYLDPEYYHTGELTEKSDVYSFGVILVELLTRKKPIFINSQDTKQSLSHYFVEGLEQGVLMEIIDPQVVEEANQQEINEIASVAEACLRTKGGERPTMKEVEVRLQILRTTRLRRSQIVPRKGGEIEPFLCPDASSSHEQTNFVNNVDLTLACISGRYSLEQDFASLPR* >Brasy5G399500.1.p pacid=40072526 transcript=Brasy5G399500.1 locus=Brasy5G399500 ID=Brasy5G399500.1.v1.1 annot-version=v1.1 MDDGEFPGVEFRVPAFHPLLKVRDDELSLPPPPPPPPPPPPAPTPTALTSTLVRRCGAFVGVAAGLLAFYLLRRWRGHRGHEIIMGNDDHGGAGPGAGDAAQAYGGRGLLGLFGDDMGGGGGDGGGGGAHNAHLTLATGFMMRRGSIGIMANYNDTPIAKMTEKNLSRRTTGIIDGVERVTEKAVSSITIQQQLPSSAMAALRALFRLDCDQAAAVVDQDTKDSEIPA* >Brasy5G111000.1.p pacid=40072527 transcript=Brasy5G111000.1 locus=Brasy5G111000 ID=Brasy5G111000.1.v1.1 annot-version=v1.1 MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILILFLVFATSAYCAAFGESASHAALTITSVVFIHFLFAGLVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLIAHGFFPALLSNLLFMVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRHFLSLYFS* >Brasy5G111000.2.p pacid=40072528 transcript=Brasy5G111000.2 locus=Brasy5G111000 ID=Brasy5G111000.2.v1.1 annot-version=v1.1 MWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVILILFLVFATSAYCAAFGESASHAALTITSVVFIHFLFAGLVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLIAHGFFPALLSNLLFMVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRHFLSLYFS* >Brasy5G098400.1.p pacid=40072529 transcript=Brasy5G098400.1 locus=Brasy5G098400 ID=Brasy5G098400.1.v1.1 annot-version=v1.1 MEDAANPNQTSSTSTAAAAAAAAQHQQFQRQFFLMQQAQAQAQAQAQAQAQSQSHPPAQQLSQQAMSRFPSNIDAHLRPLGPHRFQQPPPSQPQPHSQGPSQPQPQSQPQAPPQQQLAAQARGRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVSRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMMELRAEIESREKAGREAAEAKMRMAMAEQARVEAQAHSDMIGQGPLRSNAAASQGDDGPSHDMVQEQGEEGWENAQRDDDDPSEDFLNDENEPENGNSDMQEDWRRSGEFDLNSR* >Brasy5G098400.3.p pacid=40072530 transcript=Brasy5G098400.3 locus=Brasy5G098400 ID=Brasy5G098400.3.v1.1 annot-version=v1.1 MEDAANPNQTSSTSTAAAAAAAAQHQQFQRQFFLMQQAQAQAQAQAQAQAQSQSHPPAQQLSQQAMSRFPSNIDAHLRPLGPHRFQQPPPSQPQPHSQGPSQPQPQSQPQAPPQQQLAAQARGRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVSRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQAMMELRAEIESREKAGREAAEAKMRMAMAEQARVEAQAHSDMIGQGPLRSNAAASQGDDGPSHDMVQEQGEEGWENAQRDDDDPSEDFLNDENEPENGNSDMQEDWRRSGEFDLNSR* >Brasy5G098400.2.p pacid=40072531 transcript=Brasy5G098400.2 locus=Brasy5G098400 ID=Brasy5G098400.2.v1.1 annot-version=v1.1 MEDAANPNQTSSTSTAAAAAAAAQHQQFQRQFFLMQQAQAQAQAQAQAQAQSQSHPPAQQLSQQAMSRFPSNIDAHLRPLGPHRFQQPPPSQPQPHSQGPSQPQPQSQPQAPPQQQLAAQARGRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVSRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILEEKQAMMELRAEIESREKAGREAAEAKMRMAMAEQARVEAQAHSDMIGQGPLRSNAAASQGDDGPSHDMVQEQGEEGWENAQRDDDDPSEDFLNDENEPENGNSDMQEDWRRSGEFDLNSR* >Brasy5G473600.1.p pacid=40072532 transcript=Brasy5G473600.1 locus=Brasy5G473600 ID=Brasy5G473600.1.v1.1 annot-version=v1.1 MGQQSKRTRAPAPHLVPPAPAPHLVPPAPRPLLPSAFPSAYGPGAWMPLRPPPSVPREGSSAQGPCWAPRAEIGGSTAPWYTNGNIDDSDPQACLIKNNSPQPINIANDTNGGDSARTGKRLQWTKEEDCRLSNYKKNDQYWKDVAAVYNSTTPKDRARLVKQVKDRFGRIKKRVAWFCASWKEANALYASGESDVDLRERAMKTYEADHKEDGPFMYEHCWEFLKKEPKWDAYLERLEDLEPDKRKFSVDDEVGQHFNLDDARDERPVGGKQAKEQRKRKRKDQDCIIDLEDELSKFVEAQTTANEGRKEMLETQRRVSSENLEARKFACLAAKDNKECAMLETYRSLMMQDTTGMPEDVRSEHVLALKCFRERLFGKTD* >Brasy5G097300.1.p pacid=40072533 transcript=Brasy5G097300.1 locus=Brasy5G097300 ID=Brasy5G097300.1.v1.1 annot-version=v1.1 MILTGISSIPISHMVMFWPPATRRPTSIYELMGLTPAPYSIPASFTLGDRSTRRGAAPSGVAPCLHSTAAYSPFAQAASQVSRTTASSNVYWHANVSRAQAGLVSRSHRFSF* >Brasy5G170600.1.p pacid=40072534 transcript=Brasy5G170600.1 locus=Brasy5G170600 ID=Brasy5G170600.1.v1.1 annot-version=v1.1 MEADAGKLFIGGISWDTNEDRLREYFEKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQQALSKSGGSTHGSPGPSRTKKIFVGGLASTVNEADFRTYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPAGGINYVMNRANSFLNGYTQGYNPSPVGGYGMRMDTRFGLLSGGRSSYPSFGGGYGIGMNFDPGMNPGIGGSSSFNNSVQYGRQINPYYSGNSGRYNSNISYGGVNDNSGSVFNSLARNLWGNSGLNYTSNSANSSSFMSSANGGLGGIGNNNVNWGTPPVPAQGASAGSGYGSGNFGYGSSENNFNLSPGAYGRNAGSGGVNASLNQSSNGYGRNFGDSSGGGGGSIYGDTTWRSGSELDGTSPFGYGLGNAASDVTAKSSAGYMGH* >Brasy5G170600.2.p pacid=40072535 transcript=Brasy5G170600.2 locus=Brasy5G170600 ID=Brasy5G170600.2.v1.1 annot-version=v1.1 MEADAGKLFIGGISWDTNEDRLREYFEKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQQALSKSGGSTHGSPGPSRTKKIFVGGLASTVNEADFRTYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPAGGINYVMNRANSFLNGYTQGYNPSPVGGYGMRMDTRFGLLSGGRSSYPSFGGGYGIGMNFDPGMNPGIGGSSSFNNSVQYGRQINPYYSGNSGRYNSNISYGGVNDNSGSVFNSLARNLWGNSGLNYTSNSANSSSFMSSANGGLGGIGNNNVNWGTPPVPAQGASAGSGYGSGNFGYGSSENNFNLSPGAYGRNAGSGGVNASLNQSSNGYGRNFGDSSGGGGGSIYGDTTWRSGSELDGTSPFGYGLGNAASDVTAKSSAGYMGH* >Brasy5G170600.3.p pacid=40072536 transcript=Brasy5G170600.3 locus=Brasy5G170600 ID=Brasy5G170600.3.v1.1 annot-version=v1.1 MEADAGKLFIGGISWDTNEDRLREYFEKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQQALSKSGGSTHGSPGPSRTKKIFVGGLASTVNEADFRTYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPAGGINYVMNRANSFLNGYTQGYNPSPVGGYGMRMDTRFGLLSGGRSSYPSFGGGYGIGMNFDPGMNPGIGGSSSFNNSVQYGRQINPYYSGNSGRYNSNISYGGVNDNSGSVFNSLARNLWGNSGLNYTSNSANSSSFMSSANGGLGGIGNNNVNWGTPPVPAQGASAGSGYGSGNFGYGSSENNFNLSPGAYGRNAGSGGVNASLNQSSNGYGRNFGDSSGGGGGSIYGDTTWRSGSELDGTSPFGYGLGNAASDVTAKSSAGYMGH* >Brasy5G163100.1.p pacid=40072537 transcript=Brasy5G163100.1 locus=Brasy5G163100 ID=Brasy5G163100.1.v1.1 annot-version=v1.1 MSNTSLSSVGRSGTGTPPWQTASAIVARAEPVSGSHVLKIDGYSRTKGLGNGKWITSESFTIGGCRQFITRKDLEESAYLKDDVFSIKCEVTVPKEIFTEPTSLLAEVPPSDMHQHLGRLLLGGEGSDVMFKVCGETFFAHRWLLAARSSVFMAEFFSPMKEKTAVCVKINDMEAKVFKFLASLGNILKTVMASDGFEHLRSSCPSVLKELVAKLAPRDP* >Brasy5G254400.1.p pacid=40072538 transcript=Brasy5G254400.1 locus=Brasy5G254400 ID=Brasy5G254400.1.v1.1 annot-version=v1.1 MSSLDGVHPRRDPVHPRRDPGHSSVDPGRRRGDPSRRREWSSAVGERRDRRGASAEEPFGGEKRRPARGFGRGAVRRGVEEAGAGIRQRSRRRGVEEAGEGIRQRSSSAGRRGGRRGDSAEEQFGREWRRPARGFGRGAGEEVMTGKWGEKIRVHVIETDSNILNA* >Brasy5G230400.1.p pacid=40072539 transcript=Brasy5G230400.1 locus=Brasy5G230400 ID=Brasy5G230400.1.v1.1 annot-version=v1.1 MARLLSGATVLLIFLIAAVSVYTCAVLGRTLESSKRSPAAAATKKLQLLFGFSCTKIGVSYTHNRRHTTEERPSSSLSPSPTVVMSSLGGASFFVSRAYGQQMRKQDGNESFSGEIVAATKVGPWGGSGGQSFYMRIGGGTVRLRSVTLYHSDAIHAFSYDYIDLPFTRRAYHRRRGDVRAVPEHDPSRHHLADVPYGQREDTRALWQTIRGAVLRPNCEWMHHWLLGTLWLAPRRYWSLHCTVLRKRRPS* >Brasy5G329500.1.p pacid=40072540 transcript=Brasy5G329500.1 locus=Brasy5G329500 ID=Brasy5G329500.1.v1.1 annot-version=v1.1 MFVQLISSLSIGNNASLGEGREEGGYKYAMRWRGLVYAESKLAGSKEAEKRKRGHLMMKRLVVLRRCEPILQFRCCSVRYGECRRNHAASTGGYAVDGCREFIADGEDGGAALKCVACGCHRSFHRRVQVYEVAWDYESDTPSSSSSSSSSD* >Brasy5G329500.3.p pacid=40072541 transcript=Brasy5G329500.3 locus=Brasy5G329500 ID=Brasy5G329500.3.v1.1 annot-version=v1.1 MFVQLISSLSIGNNASLGEGREEGGYKYAMRWRGLVYAESKLAGSKEAEKRKRGHLMMKRLVVLRRCEPILQFRCCSVRYGECRRNHAASTGGYAVDGCREFIADGEDGGAALKCVACGCHRSFHRRVQVYEVAWDYESDTPSSSSSSSSSD* >Brasy5G329500.2.p pacid=40072542 transcript=Brasy5G329500.2 locus=Brasy5G329500 ID=Brasy5G329500.2.v1.1 annot-version=v1.1 MFVQLISSLSIGNNASLGEGREEGGYKYAMRWRGLVYAESKLAGSKEAEKRKRGHLMMKRLVVLRRCEPILQFRCCSVRYGECRRNHAASTGGYAVDGCREFIADGEDGGAALKCVACGCHRSFHRRVQVYEVAWDYESDTPSSSSSSSSSD* >Brasy5G288900.1.p pacid=40072543 transcript=Brasy5G288900.1 locus=Brasy5G288900 ID=Brasy5G288900.1.v1.1 annot-version=v1.1 MAADPSAAAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYAPLRCKPPCSALLNPFARVDYAAKIWICPLCFSRNHFPPHYAGISESNVPAELFPQCSTVEYLVAGAPGGPVPGSPGPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKDQILDQLGLAGAGRPGFPKMPQQPGVQQVNGMHPSATAGVNRFLLPVSECECTLSTLLDELQPDQWPVETGHRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGVIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLARQLVSQGHVLDVFASALDQVGLAEMKLAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTLEINCSKDIKVQGVIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGQQNPHLYIQFVTSYQHPEGQMRIRVTTISRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEIEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMCYQNQPEHQQFAQLLQAPQDEAQVIINGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS* >Brasy5G165900.1.p pacid=40072544 transcript=Brasy5G165900.1 locus=Brasy5G165900 ID=Brasy5G165900.1.v1.1 annot-version=v1.1 MGMDFDAIAQWKLSGAPIDYDQMSKWVGFDAEEGPHTTKKNVGKKTKIKTVIYEVTPENLDMLDQKVEPVPQSVFEENPKAAVFQRKVNDLILMLQDKIRREYELKGYGTYNVEVTDDEEEVEDAAAHGHEEAAAPIAPHQATTGRSMK* >Brasy5G104500.1.p pacid=40072545 transcript=Brasy5G104500.1 locus=Brasy5G104500 ID=Brasy5G104500.1.v1.1 annot-version=v1.1 MEEQQVKPSPPPPASPMDSQDTAAPAAVATVDSVSHATSNASTTQVASISHVTPSSPQASNSATTQDAAGRESPSSMFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRSSPKDQQQDESAEGSSTPTTAQAGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGIFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCQSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLVYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKELMPEDFWFTAPKKGIMVFALPGEPGLAEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNTTDFDDFDKRKLPSPGFQVEVVLVDYDGTLPPKQKPAAGSADKKPDDDSSASTGTKENSAAPAESNKEAGSDDKDDVFSDNEAEDGSSKGRKEKVSSHSQGTANAAKPSVTSAAEKEASAAASGIEKVTISSDQGTSRVPDAISLKTEASNQSSSTTAQPPVMESSSMSEFKAIAADASVFSFGDEDDYESE* >Brasy5G181200.1.p pacid=40072546 transcript=Brasy5G181200.1 locus=Brasy5G181200 ID=Brasy5G181200.1.v1.1 annot-version=v1.1 MGKEARVMLPLEKLSLELPNGGIVLGHDKDISTLQEEISALRSRQRHLNRRRREALDKLIDLKGSIRVFCRVRPSISTSNFKIKSPVTVEQEKIAVQAVGIKKDFSVDRVFDQESTQDEVFHEVKPILRSALDGHNVCIIAFGQTGTGKTYTMEGTIGNLGVVPRAIQELFSHAAQDSSSTYAFSISMLEVYMGSLRDLLAPKQTLFRPTECNTTCNLSILATKSGAVEVEGLTDVVIADLKKANQWYCRGRRSRSTSWTNVNNVSSRSHCLTRITIRRCGGATEEVSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPCKDDVGETICSLGFAKRARLIESSRELSEDLKMLKQRRLSELDQEICDAEKELKDLNEQIRSAENSLAEMKKVLPSVCQALSDEKGSPRSTLVVGRIDAADSPQATEKSKSRVSHGSVPHFMSPTVCSQTRHGVARDYVNKPRLTKSVSRYPAELSGSQSFSHSSSKNVAKARSVAFSSGVPMSKCLPVKSDQINMSSNSIDSTAASVPQRRESFISRPVQRAPLHQHRRRMSCFT* >Brasy5G181200.2.p pacid=40072547 transcript=Brasy5G181200.2 locus=Brasy5G181200 ID=Brasy5G181200.2.v1.1 annot-version=v1.1 MGKEARVMLPLEKLSLELPNGGIVLGHDKDISTLQEEISALRSRQRHLNRRRREALDKLIDLKGSIRVFCRVRPSISTSNFKIKSPVTVEQEKIAVQAVGIKKDFSVDRVFDQESTQDEVFHEVKPILRSALDGHNVCIIAFGQTGTGKTYTMEGTIGNLGVVPRAIQELFSHAAQDSSSTYAFSISMLEVYMGSLRDLLAPKQTLFRPTECNTTNLSILATKSGAVEVEGLTDVVIADLKKANQWYCRGRRSRSTSWTNVNNVSSRSHCLTRITIRRCGGATEEVSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPCKDDVGETICSLGFAKRARLIESSRELSEDLKMLKQRRLSELDQEICDAEKELKDLNEQIRSAENSLAEMKKVLPSVCQALSDEKGSPRSTLVVGRIDAADSPQATEKSKSRVSHGSVPHFMSPTVCSQTRHGVARDYVNKPRLTKSVSRYPAELSGSQSFSHSSSKNVAKARSVAFSSGVPMSKCLPVKSDQINMSSNSIDSTAASVPQRRESFISRPVQRAPLHQHRRRMSCFT* >Brasy5G079400.1.p pacid=40072548 transcript=Brasy5G079400.1 locus=Brasy5G079400 ID=Brasy5G079400.1.v1.1 annot-version=v1.1 MEKGKCGKATWDAVAHRVFLDVCIEEVRANNRPTGCLNPIGYANLISKFNDRTKRKDPVTKTIAASDDWWENEIKRCPEAAKFRHAPLADEDKMREIFDLHSVTNEHARVPPPSQVNLEAEGDSGCELDDESSPAQPRLKKLKKRLCPYSPSPTAAAKIATESSSKMALERMVEIFERRENSRNSVTSQVTVDPVRQELKEMMALVVQDGGVPGSDAHFYASQLFMKKEYRDAFSCLEDAKPEHRVDWLKRTWEERKNNN* >Brasy5G349500.1.p pacid=40072549 transcript=Brasy5G349500.1 locus=Brasy5G349500 ID=Brasy5G349500.1.v1.1 annot-version=v1.1 MAEKGVKVFGMWASPMAIRLEWALRLKGVVYEYVDEDLGNKSDALLRYNPVTKKVPVLVHDGKPVVESAIIVEYIAEAWKDGYPIMPADPYERAQARFWANFADEKCNAAIYPVFTATGEAQGKVVHEAQRCLKTLEKALEGKKFFGGDAVGYLDLVVGWYAYWLPIIEEVSGASVVTDEELPLMKAWFDRFLAVDVVKETLPPRDKLLALNKARREQLLSA* >Brasy5G053300.1.p pacid=40072550 transcript=Brasy5G053300.1 locus=Brasy5G053300 ID=Brasy5G053300.1.v1.1 annot-version=v1.1 MASSSLHATDKRWAAPTRKSGMTVLGKIPKPINLPSQRLENHGLNPNVEIVPKGTHTWGTKPGPTTPNAWSTTSLLSPKKDGNSSAPSQFNGRPSSGGGSRPSTAGSESLDSPNAWGPNSRPSSASGTLPSSHLPVVTNRPRSADTRPGSSQLSRFADNSLEYMNVSIRTIDKSGSSAHGHGFTLSTGDFPTLGSEKSSESNNQRGHSSKGRPTSSSGKDGSQNGLGKSPTAGSGQSLLSANNQPADIMKTEQHEHDGAAPFVATGPPNEAQQPQSYPPNYGMPPPQFDSWRAPPGHPPEGMWHRGPAPGGPYRPVGPSSSFPVEPFTYYGQFPPNSEAAARQGSGHSGYHPKSGDAAQSVPPNSYIMNRPVIPLRPVYQGPLPYDGCFVPQRANFNNSNVRDSPFIGGPHQPGILNQFPNQNDKFQTGVSQSRPVKHEAVHKELSESARVHLVSRGQPMVLHDNPDRSGGPKETEKKTQPAPPLLPHPDGNRSDVNMRTDTRDAYSERNMLLMKSVADQRGPARLNHSSALENSHSLHKETDDGTPLKNFKGDNATLDQQPIIKKNAALIEKIGSLNYKARNVDVRYGADPSSSKETNKKQQKGTDSKADQMIINVSTSAATTVFSSASVEAARVSPISPLTQKVPNMPSDGSVVGLLHPHLNEFSKAGKLGGSTHDRPHKIGDSSRNNHRGPAKEMPAKISAGHGRAENSRAESLPVVQLRSSQHDQPLAHASQLPPVTITDDMPASPDYEFQREKMRELAVQRAKQLQAEEEERTKRQRAKALAKLEELNRRSSVHQKNSNDPPTVNDDVHNKQKAGLDATTEPASSTTESREVAASDNLSTLQPPHVQEDVPIQSMSTLPHAAVTAGYPVGQNTSMSGRNIQSNKMEHILQKSISRSHDVGVPRRHAVSEEKIPGEKSSIAVSTENAKKTVEASLTTPTAVVTSHDNPPATNKKSARNSRSKKRVDDAPATSICPPVVLNEQNTVSVSTEPKTQTAGVIISSSIIPTEGIMLTVGSITVGGISFGSFNQEHVKSPEEAHNTVKSRPRPQQAKRSGKNQHAVRPVEKPHGNEGVAWAPVKPPGKNEQSEELMMNAAVAARPQPIGNAAIAGRPQPIVKYTNDGENVTRTKRAEMERYVPKPLSKELQQQSSEKSCLENKSNDKAVEKSPGAKVDAAIESKKWEDKKTSRGHGKSHSSWRRRNTDESALVVPQPAEQADNCQGSHEVQKPSGQHQQLEADKQADYAVGNSLTPTETLALPGSAAKEHTAANRQRRQHVKAAKTEGTNYINGNKDREGRKDVNSEHRNMSRSEVKSSGAVTHSRAHWKPKSSNPQTNPQGNGAMDGQVDSVTLQDSSNRNLAPNSSRNDENDVPSNQGKGDMTRGDDNQKSESHENAEQQQLDHATRRQGHHNGRYHKGSGSGMHRERGYDAGKPSQGANVEKRRGGTHLEYQPVGSSSKPTDFQQNPSVDDRSVGPPAPGPLYRECGQHRGTRHAGHFVKRNPASGPATNSYQVE* >Brasy5G053300.2.p pacid=40072551 transcript=Brasy5G053300.2 locus=Brasy5G053300 ID=Brasy5G053300.2.v1.1 annot-version=v1.1 MASSSLHATDKRWAAPTRKSGMTVLGKIPKPINLPSQRLENHGLNPNVEIVPKGTHTWGTKPGPTTPNAWSTTSLLSPKKDGNSSAPSQFNGRPSSGGGSRPSTAGSESLDSPNAWGPNSRPSSASGTLPSSHLPVVTNRPRSADTRPGSSQLSRFADNSLEYMNVSIRTIDKSGSSAHGHGFTLSTGDFPTLGSEKSSESNNQRGSGQSLLSANNQPADIMKTEQHEHDGAAPFVATGPPNEAQQPQSYPPNYGMPPPQFDSWRAPPGHPPEGMWHRGPAPGGPYRPVGPSSSFPVEPFTYYGQFPPNSEAAARQGSGHSGYHPKSGDAAQSVPPNSYIMNRPVIPLRPVYQGPLPYDGCFVPQRANFNNSNVRDSPFIGGPHQPGILNQFPNQNDKFQTGVSQSRPVKHEAVHKELSESARVHLVSRGQPMVLHDNPDRSGGPKETEKKTQPAPPLLPHPDGNRSDVNMRTDTRDAYSERNMLLMKSVADQRGPARLNHSSALENSHSLHKETDDGTPLKNFKGDNATLDQQPIIKKNAALIEKIGSLNYKARNVDVRYGADPSSSKETNKKQQKGTDSKADQMIINVSTSAATTVFSSASVEAARVSPISPLTQKVPNMPSDGSVVGLLHPHLNEFSKAGKLGGSTHDRPHKIGDSSRNNHRGPAKEMPAKISAGHGRAENSRAESLPVVQLRSSQHDQPLAHASQLPPVTITDDMPASPDYEFQREKMRELAVQRAKQLQAEEEERTKRQRAKALAKLEELNRRSSVHQKNSNDPPTVNDDVHNKQKAGLDATTEPASSTTESREVAASDNLSTLQPPHVQEDVPIQSMSTLPHAAVTAGYPVGQNTSMSGRNIQSNKMEHILQKSISRSHDVGVPRRHAVSEEKIPGEKSSIAVSTENAKKTVEASLTTPTAVVTSHDNPPATNKKSARNSRSKKRVDDAPATSICPPVVLNEQNTVSVSTEPKTQTAGVIISSSIIPTEGIMLTVGSITVGGISFGSFNQEHVKSPEEAHNTVKSRPRPQQAKRSGKNQHAVRPVEKPHGNEGVAWAPVKPPGKNEQSEELMMNAAVAARPQPIGNAAIAGRPQPIVKYTNDGENVTRTKRAEMERYVPKPLSKELQQQSSEKSCLENKSNDKAVEKSPGAKVDAAIESKKWEDKKTSRGHGKSHSSWRRRNTDESALVVPQPAEQADNCQGSHEVQKPSGQHQQLEADKQADYAVGNSLTPTETLALPGSAAKEHTAANRQRRQHVKAAKTEGTNYINGNKDREGRKDVNSEHRNMSRSEVKSSGAVTHSRAHWKPKSSNPQTNPQGNGAMDGQVDSVTLQDSSNRNLAPNSSRNDENDVPSNQGKGDMTRGDDNQKSESHENAEQQQLDHATRRQGHHNGRYHKGSGSGMHRERGYDAGKPSQGANVEKRRGGTHLEYQPVGSSSKPTDFQQNPSVDDRSVGPPAPGPLYRECGQHRGTRHAGHFVKRNPASGPATNSYQVE* >Brasy5G053300.3.p pacid=40072552 transcript=Brasy5G053300.3 locus=Brasy5G053300 ID=Brasy5G053300.3.v1.1 annot-version=v1.1 MASSSLHATDKRWAAPTRKSGMTVLGKIPKPINLPSQRLENHGLNPNVEIVPKGTHTWGTKPGPTTPNAWSTTSLLSPKKDGNSSAPSQFNGRPSSGGGSRPSTAGSESLDSPNAWGPNSRPSSASGTLPSSHLPVVTNRPRSADTRPGSSQLSRFADNSLEYMNVSIRTIDKSGSSAHGHGFTLSTGDFPTLGSEKSSESNNQRGPPNEAQQPQSYPPNYGMPPPQFDSWRAPPGHPPEGMWHRGPAPGGPYRPVGPSSSFPVEPFTYYGQFPPNSEAAARQGSGHSGYHPKSGDAAQSVPPNSYIMNRPVIPLRPVYQGPLPYDGCFVPQRANFNNSNVRDSPFIGGPHQPGILNQFPNQNDKFQTGVSQSRPVKHEAVHKELSESARVHLVSRGQPMVLHDNPDRSGGPKETEKKTQPAPPLLPHPDGNRSDVNMRTDTRDAYSERNMLLMKSVADQRGPARLNHSSALENSHSLHKETDDGTPLKNFKGDNATLDQQPIIKKNAALIEKIGSLNYKARNVDVRYGADPSSSKETNKKQQKGTDSKADQMIINVSTSAATTVFSSASVEAARVSPISPLTQKVPNMPSDGSVVGLLHPHLNEFSKAGKLGGSTHDRPHKIGDSSRNNHRGPAKEMPAKISAGHGRAENSRAESLPVVQLRSSQHDQPLAHASQLPPVTITDDMPASPDYEFQREKMRELAVQRAKQLQAEEEERTKRQRAKALAKLEELNRRSSVHQKNSNDPPTVNDDVHNKQKAGLDATTEPASSTTESREVAASDNLSTLQPPHVQEDVPIQSMSTLPHAAVTAGYPVGQNTSMSGRNIQSNKMEHILQKSISRSHDVGVPRRHAVSEEKIPGEKSSIAVSTENAKKTVEASLTTPTAVVTSHDNPPATNKKSARNSRSKKRVDDAPATSICPPVVLNEQNTVSVSTEPKTQTAGVIISSSIIPTEGIMLTVGSITVGGISFGSFNQEHVKSPEEAHNTVKSRPRPQQAKRSGKNQHAVRPVEKPHGNEGVAWAPVKPPGKNEQSEELMMNAAVAARPQPIGNAAIAGRPQPIVKYTNDGENVTRTKRAEMERYVPKPLSKELQQQSSEKSCLENKSNDKAVEKSPGAKVDAAIESKKWEDKKTSRGHGKSHSSWRRRNTDESALVVPQPAEQADNCQGSHEVQKPSGQHQQLEADKQADYAVGNSLTPTETLALPGSAAKEHTAANRQRRQHVKAAKTEGTNYINGNKDREGRKDVNSEHRNMSRSEVKSSGAVTHSRAHWKPKSSNPQTNPQGNGAMDGQVDSVTLQDSSNRNLAPNSSRNDENDVPSNQGKGDMTRGDDNQKSESHENAEQQQLDHATRRQGHHNGRYHKGSGSGMHRERGYDAGKPSQGANVEKRRGGTHLEYQPVGSSSKPTDFQQNPSVDDRSVGPPAPGPLYRECGQHRGTRHAGHFVKRNPASGPATNSYQVE* >Brasy5G492700.1.p pacid=40072553 transcript=Brasy5G492700.1 locus=Brasy5G492700 ID=Brasy5G492700.1.v1.1 annot-version=v1.1 MDARAPRHQRLRTPRGPPTPNHPRNPSTPGQNPSRSPAAAPRSVATAMEDDAITTLMDIDDSPRSASGAGFLDDDDEGDLLHSHRVGFRGNEVRGPLPFAGFFNTFDGADFDDSDLA* >Brasy5G364500.1.p pacid=40072554 transcript=Brasy5G364500.1 locus=Brasy5G364500 ID=Brasy5G364500.1.v1.1 annot-version=v1.1 MQTEVMAPAVEGTLNILRVCSSMKVQKVVVVSSTAAVHFNPNWPQGRPKDESCWSDRKICMENELWYSVSKTVAEETALEYAEKNGLHVVTLCPCIVFGPQLQPIVNASSELLVYVIKGDPKNAHLMRLDGAAANLRLFKADVLDHAALAAAVAGCEGVFHVASPVPADKIVGPETEVMIPAVKGTLNTLQVCSSMEVQKVVVVSSTSAVQFNPNWPHGKPKDESCWSDRKICMDNELWYFVAKTVAEETALEYAENNGLHVVTVCPCIVFGPQLQPTVNTSSELLIYVIKGGPNAISDMSWHIVDVRDVADALLLVSKKPESSGRYICAPNYVSTKVVLEFLKKTYPDYNYVKCKAGVDHDTRITPISLEKLRNLGWKPRKLEETLLDSVKYYREMGLLQDIEGRAYRLPNVFHFFHTAEE* >Brasy5G375700.1.p pacid=40072555 transcript=Brasy5G375700.1 locus=Brasy5G375700 ID=Brasy5G375700.1.v1.1 annot-version=v1.1 MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICELAEKCVIASSGFQGDIKALQKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVYSYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKVEIVVINKAGTRREYIELRKD* >Brasy5G167700.1.p pacid=40072556 transcript=Brasy5G167700.1 locus=Brasy5G167700 ID=Brasy5G167700.1.v1.1 annot-version=v1.1 MMARLYFLPTILLVVHLILILSSGTLARLGCTYGPCGVPKDCPDPDPGKRCERPPC* >Brasy5G181400.1.p pacid=40072557 transcript=Brasy5G181400.1 locus=Brasy5G181400 ID=Brasy5G181400.1.v1.1 annot-version=v1.1 MGSLLVARPYARRVRLNGRTGKVSTKEIIENGKKWMGEEVMLAFEEYIELHPNLKDMEYGLDEIQQQCFSVATYDDTIHHFNFTVKMKKNSDDDWSLTPYFAQVREFHGRKNYTCFKLSPNDDGHCYACTNQGVHALKHPIVPIMYVGGGAFTSCPFTYFSDDSDLE* >Brasy5G045100.1.p pacid=40072558 transcript=Brasy5G045100.1 locus=Brasy5G045100 ID=Brasy5G045100.1.v1.1 annot-version=v1.1 MSIKYCVVYLSVFVTFTLVIPALPHQKFSSSSATARHWRNTKQPKSTPGPTAIVVDLHVTLLSPSDKETLVPSSGTQCHMCPKVFSTCNSPREHMRKHNQKKVLGKPIEEAPGLMEALVIADGDNDVMFSPPVKRKRSKREMPVHTFGETDAAITLLLLSEHSSKISAYEDCYGEDKDRTILIPNVSKDVKLNSFDHLLVRSAEFKKPKGHKSSAYDDCYGQCEKDNNWIPNAPKKDTHLFASDPKCNPLVPNVPKNSAYEDCYGQCEKDNGLILDDPKKHTNLIPDVPEKDSTLIPNIPKDTCLIPNVPEKDNHSIANAPNEIELNVLDYGLTEDAELRKPRTDNPVEEMKGSAAVKVKRYQCNACEKSFGSGQSLGGHMRCHHPRCNDRHQGLADCPDSVVTKQQKKKLELDSRLLDLRLPALAVRDYICSGLNSEPEPVCLSSTVH* >Brasy5G317700.1.p pacid=40072559 transcript=Brasy5G317700.1 locus=Brasy5G317700 ID=Brasy5G317700.1.v1.1 annot-version=v1.1 MEACRYVIDEIKASVPIWKKEVYDDGEVWKENREFLDRTDASAPATAAKGKGAGGGGGGCCSTKVRVVES* >Brasy5G469300.1.p pacid=40072560 transcript=Brasy5G469300.1 locus=Brasy5G469300 ID=Brasy5G469300.1.v1.1 annot-version=v1.1 MAFVHLAGLAFLLLLQLAAQGGAMATDVSEYVPVPRVAYRNLSSSLMAGSTPFETCKDCKCCQPPAPGVSTNEFDTTGQTCVNTKCCYKINCNLPGKPFGTCAFTPIKCGCTSDNDCPAKQPSSLN* >Brasy5G397300.1.p pacid=40072561 transcript=Brasy5G397300.1 locus=Brasy5G397300 ID=Brasy5G397300.1.v1.1 annot-version=v1.1 MGNSLACFCCGGGGMGAKGPRRRHVAPAALPSDPAYDEGLGHSFCYVRPEKLAPLFPDDDDYDLVADAKTAGEESAASAVGATTFRAISGAALSANVSTPLSTSVLLLLPDESSATTASSGFESSGSFAAVPLQPVPRSSFPSSSGPVSSSLSAAPFSGGFLSGPIERGFLSGPLDPASAAHLLLSGPLPASGRLIGGGPVPALRRSLSHGGRRIRDFTRAILARSADKLHHGSSADLGSSPDAAAAAMGVDSQGLQWAQGKAGEDRVHVVVSDERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWEQQQDHQDPPASAPSTTASDHQDQCTRRRRARRSRPPRSSSADVDDEQRRWKCEWEQRDGSSLKPPTQHPPRSYGEHDHIAVLKALARALRKTEDAYLGIADKMVGEFPELALMGSCVLSMLMKGDDMYIMSVGDSRAVLATTDGGDDLEHVSEGSFGGLSGDGSPCLSALQLTSDHSTSVQEEVRRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYITCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSCV* >Brasy5G255400.1.p pacid=40072562 transcript=Brasy5G255400.1 locus=Brasy5G255400 ID=Brasy5G255400.1.v1.1 annot-version=v1.1 MDFLYLGLLLLLLPLGPSSSIQSIQLKLEGNVYPAGHYYTSISIGNPARPYFLDIDTGSNLTWLECHHPDHGCERCTKKRIHEYLYKPDHSILTKVQCGGKICGEVLEDLHGNNNVCKNKDPHQCHYKVKYVDGTTEGVVANDTITLGGKHYFFIFGCGYNQQPVANFSPVDGILGLGMGTMGFMAQLKKHRIITKDLIAHCLSMHGGGHLYLGNLTPLPAGVTWVPMIKHGSYYSPGRGKVIFNGHPMGANPILAVFDSGATYTYMPVGIYKELVSKVKATLSKSLKEFNDGSLPECWKGSKPFKSIQEAKVFFKPLALQFAHNDNQHYFHIPPENYLIVTKYGNVCLGILEGTTHGQGDKIIIGDISMQDLLVIYDNEAGQIGWIRACPRAKNSGSNI* >Brasy5G477200.1.p pacid=40072563 transcript=Brasy5G477200.1 locus=Brasy5G477200 ID=Brasy5G477200.1.v1.1 annot-version=v1.1 MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYHSSIDAEQGKVTVSGMLDPATIIKKLNKAGKPATLWGSKPGVLANQFQKLNLDGGGGKGHPKDAGGGKGHSKDAGGKGGHKGGGGGGGGKDQQAKMMMPQPTAQQQQQLQQLQMQMKGMKLPPQFMDGGNNKMPLPMGKDPKSVKFAEDDDFGDDGSEFDDEFDDEFDDEFDDEFDDDEFDDDEFLDDPKMMMLKQMGMPSGGDKKGGGGNGGKKGNEIPVQIKGNANNGGGGKKDAGGKQNQGGAGAGKGGGQPNNGKGGDGGAGQPGKKGGGGAGGPMGGGNAMPPQQQQAMMRPPNMMGGGGAGFPGMGGPPMGGPGQPMGHRPHMGMGPMQGQGGGGGPAPPAYYPGGGPEMLQAAAAAGNPMAQQQYMAMMHRQQQQQQQQQMMQQQQMMMQGGHGGAPAGYPPAMGYGYGGRPPMPQYPMMPYPMPPHPHSEPFNYFSDENPNSCSVM* >Brasy5G477200.2.p pacid=40072564 transcript=Brasy5G477200.2 locus=Brasy5G477200 ID=Brasy5G477200.2.v1.1 annot-version=v1.1 MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYHSSIDAEQGKVTVSGMLDPATIIKKLNKAGKPATLWGSKPGVLANQFQKLNLDGGGGKGHPKDAGGGKGHSKDAGGKGGHKGGGGGGGGKDQQAKMMMPQPTAQQQQQLQQLQMQMKGMKLPPQFMDGGNNKMPLPMGKDPKSVKFAEDDDFGDDGSEFDDEFDDEFDDEFDDEFDDDEFDDDEFLDDPKMMMLKQMGMPSGGDKKGGGGNGGKKGNEIPVQIKGNANNGGGGKKDAGGKQNQGGAGAGKGGGQPNNGKGGDGGAGQPGKKGGGGAGGPMGGGNAMPPQQQQAMMRPPNMMGGGGAGFPGMGGPPMGGPGQPMGHRPHMGMGPMQGQGGGGGPAPPAYYPGGGPEMLQAAAAAGNPMAQQQYMAMMHRQQQQQQQQQMMQQQQMMMQGGHGGAPAGYPPAMGYGYGGRPPMPQYPMMPYPMPPHPHSEPFNYFSDENPNSCSVM* >Brasy5G143500.1.p pacid=40072565 transcript=Brasy5G143500.1 locus=Brasy5G143500 ID=Brasy5G143500.1.v1.1 annot-version=v1.1 MEQLGGKKSNKITEIVRLQQMLKKWRKMSVTPKVETVTAGGNGGESKAKKFLKRTLSFTESPSSSGSPTGPPPKGHLAVSVGPAQRRFVIPTEYLKHQAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILRAVEKNKSGGGAAKDSAANFCYCSFEYPAGADEVGRGTPPLCR* >Brasy5G256400.1.p pacid=40072566 transcript=Brasy5G256400.1 locus=Brasy5G256400 ID=Brasy5G256400.1.v1.1 annot-version=v1.1 MEEMESPEPARTEGRGKNKTAAESVEFPADAPHLEKCTACLEPGHRAGSIFCALTPPKPKRGRGRPKPVVPEQVPTEPVVEEQVQTEPFVAEQVATDNEANWEPWPNDNGYDWPAEGDWQTQNLPSQNEDDWPAEVGEGSEHSENAEDAAGSEDSEHSENSEDSDHSEDLVINEHQKDVPIGVLVKEYRKKRKRGGKKCSVSSFYGRAM* >Brasy5G326600.1.p pacid=40072567 transcript=Brasy5G326600.1 locus=Brasy5G326600 ID=Brasy5G326600.1.v1.1 annot-version=v1.1 MSRGAASGDTAAMMGGRGAPGTWRRVRRAAQEAAAHALLLCFTVLLALKLDGIFSGCWWLLFIPLWLFHAVVARYRFSLPAPSLSSPQNCQRIPCHSIVATPLLIAFELLLCIYLEGINGRDESFLDLKLVFLPLLALEIITLVDNFRMCGALMPEHGETITDEEIWERLPGTPSNARFIPFRAIFSPIFLLQVVSVFFAVWRFFERLVIKLQGGIISEEYISASSKINALCMIVQHGSRFITWTIDEDSKEEQAHLCYTNNIGYSTFCSYPPEMVKGMPKKVLVDEVQRLQLALEEQSKKAHLSQQRCDKLKNERILCRICFERDVCIVLLPCRHHVLCEPCSNKCQPCPICRVPIESKLSDAVNSAAPLSEIV* >Brasy5G027900.1.p pacid=40072568 transcript=Brasy5G027900.1 locus=Brasy5G027900 ID=Brasy5G027900.1.v1.1 annot-version=v1.1 MASSSLLSVVLLLCLAASASAQLSPTFYDTSCPKALDTIKAAVTAAVSKENRMGASLLRLHFHDCFVQGCDGSVLLSGNEQNALPNNGSLRGFEVIDGIKSQVESLCKQTVSCADILTLAARDSVVALGGPSWTVPLGRRDSLTANEALANSDLPPPFFDLVNLTKSFGDKGFSLTEMVALSGAHTIGQAQCLNFRDRLYNETASIDAAFAASLKANCPRATGTPGDGNLAPLDVSTPYYFDNKYYVNLQAKKGLLHSDQVLFNGGDADNIVSNFASSAAAFSGAFASAMVKMGNLGPLTGSQGQVRLSCSKVN* >Brasy5G231500.1.p pacid=40072569 transcript=Brasy5G231500.1 locus=Brasy5G231500 ID=Brasy5G231500.1.v1.1 annot-version=v1.1 MERAANFIPSPNQELLDIKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSFTTFNATVNGFSHAGTSTHTPIDATPISAYKTRSSADGDQTSASERKAKRSGGLGADGSNGVKVKRPKPIYKNLVAGKELAFLPESSGNPRGIVEAVHMTFEALRRRHLQMDETQEASRRADLKAGAIMMASNIRANMGKRVGTAPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGNDEDSVAICIVAAGGYENEDDDTDTLVYSGSGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPACATGKIYIYDGLYKIQESWKERTKTGINCFKYRLQREPGQPDGAAIWKMTQGWMQDAATRGRVILHDLSSGAEPIPVCLVNEVDHEKGPGHFTYANQVKYLRPRSSMKPLQGCSCQSVCLPGDADCACGNHNGGDLPYSSLGLLVCRKPVVYECGEACHCSLNCRNRVTQKGIKFHFEVFRTTNRGWGLRCWEPIRAGAFICEYVGEVIDELQVNLNDSEDDYIFQTVCPGEKTLKWNFGPELIGEQSTYVSADEFVPLPIKISAKSMGNISRFMNHSCSPNVFWQPVQYDHGDEKHPHIMFFALNHIPPMTELTYDYGVAGADPSHRTKNCLCGSSTCRRVF* >Brasy5G417100.1.p pacid=40072570 transcript=Brasy5G417100.1 locus=Brasy5G417100 ID=Brasy5G417100.1.v1.1 annot-version=v1.1 MDHRAKRVAIVGAGTSGLAVCKHLLARGFRPVVFEAGAAVGGLWTRTLASTRLQSPAAVYRFSDFPWPDSTDAFPRSAQVAAYLAAYARRFGVLERVRFRSTVVSAEHVGDGGCEDAADGWDRWNGNGEAFGDGTGVWRLTVRHCHGEPEPEKTTTEVCEFDFLILCVGRFSGVPNVPAFPPGRGPDAFRGGRVLHSMELSDMGHADAAALLKGKRVAVVGSGKSAFDIAADCADANGAERPCTMLCRNPQWLLHDTQIWGKLNIGYLYMNRFAELMVAKPGAGVLSRLLATLLTPLGWLVSAVTGAYYRSAIPMREHGMEPGHGFASCVSSCLIAMLPDGFYEKVRQGSVVFRKLPATSPFTFSGDGLEFLGEDGKSAVNIPADVVILATGYRGDQKLRDMFASPRLKAIVAGSPDAAVPLYRNCVHPWVPQMAVVGYAEGLNNIYVCEMMAKWVARLLDGAFRLPGVRRMEGSVAEWGRYYRRSGGGGEHFRRSCLGAVNVWYNDELCRDMGCDPRRKKGLLAEWFQPYGAVDYAGIQ* >Brasy5G169600.1.p pacid=40072571 transcript=Brasy5G169600.1 locus=Brasy5G169600 ID=Brasy5G169600.1.v1.1 annot-version=v1.1 MGPRFMVSSQYVNPGVQILRILSHYSVSYSLGLMQLSTSEQGSATNDLFQVRAVLIVTMQDSVSIGRPYKPQKMSTVDLLTSLWSANQLWAKTAMYLKVPLWHLGASIDNMELVGDYMTSSSSQHADHDACPQTMVGYMYLKPRFRFQLDHTRPEEPITLDKVWSHHESSDRLLGKDADGRFKDVCLSFAHYKLLRRRFFDFPIPEVDHLAMRRLVSQIILDDNHERVFRVTEVELSFLQDLSYSKHAVVFAAGFPTQRLMLSLLMTSAASYLVYAVHDLPRETTAVTAGGRLAKITHGVLVTRWIILIMRPKGWVPTLQRWMTEKAARIMFWLVWRGRWDEKIQQYNLLIGAQVKITPNRRLHSRSVELGSNIKKVIFESLNKALSDASSLAEDGKTNDSSSSSLAQRKRNKLLMSHHWKAFAKKRLLQSKISTIYRALKAGDTHKVPSWHVATSLCQIKQLLLLGEEATGAPGDLYTLNLPSTLRQGLEAHYATVVSLSNYCTYLVTEALVPDNGLVANNVFNAMHEEASYDLRDCRTLWEVHNTLVAAAWVPDWQKGPSIIKIGTKLLMELLPTYGAVDREDLWESLGKFWAGFLLHLSASTIAAKHQIHLQGKGELTTHLWALLSHAGFLGADNDHGQQLLDPVDLNAA* >Brasy5G233200.1.p pacid=40072572 transcript=Brasy5G233200.1 locus=Brasy5G233200 ID=Brasy5G233200.1.v1.1 annot-version=v1.1 CRGAKPPTADGGGGVFPCGRRPDWRGQRAASSGAQGSEARAGGVQGIAVRRGAGRLGGRLGARERGAGRRGGRKGARRRGAGRRGPGRGGPAR* >Brasy5G466900.1.p pacid=40072573 transcript=Brasy5G466900.1 locus=Brasy5G466900 ID=Brasy5G466900.1.v1.1 annot-version=v1.1 MAMAAAALLPGLLPTPPMCIIIPPVASNPKFPKPGRADAVERWDAHKNDDKKPGSPASSSGSTSPGRADSVERWDINKKKKKKKPITSSTSSSSSSSSSMYDDSNKRPPGRADSADRWDAHKKPRPRAAAAQPDAESEEQKKTIVDKEAPEDETTLKKMIPTEARSDPVFSGPTFVASPEPSMLPMPAFFPRRAGLLPLPAFVQAH* >Brasy5G315100.1.p pacid=40072574 transcript=Brasy5G315100.1 locus=Brasy5G315100 ID=Brasy5G315100.1.v1.1 annot-version=v1.1 MFVAHLQYARVADLMEHKSNVRVVEMSARVVWMRDLGPTFVVREGETRSRREIAGVDWQYNAYGVFSGSFGAEDPHLNTRKRQEEYLTEDNLVARKILELERVPRFKTSFVLEGGSIDVDGEGTCITTEQCLCHGNRNPHMSKDEIEKQLKIYLGVSKVIWLPKGLYGDEMISGHVDNICCFAGPSTVLLSWIDDTSDPQYAHSAAAFDVLSSTTDAKGRKLDIIKIHVPGPLYTTEEEGRQFADLGHLFDFTFLLHCFPSPIKVDKGQERLAGSYVNFYIANGGIIAPAFGDKWDEEARKVFEKTFPNHEVVMVEGGREIVLGGGNIHCATQQQPALCLPPPSP* >Brasy5G315100.3.p pacid=40072575 transcript=Brasy5G315100.3 locus=Brasy5G315100 ID=Brasy5G315100.3.v1.1 annot-version=v1.1 MFVAHLQYARVADLMEHKSNVRVVEMSARVVWMRDLGPTFVVREGETRSRREIAGVDWQYNAYGVFSGSFGAEDPHLNTRKRQEEYLTEDNLVARKILELERVPRFKTSFVLEGGSIDVDGEGTCITTEQCLCHGNRNPHMSKDEIEKQLKIYLGVSKVIWLPKGLYGDEMISGHVDNICCFAGPSTVLLSWIDDTSDPQYAHSAAAFDVLSSTTDAKGRKLDIIKIHVPGPLYTTEEEGRQFADLGHLFDFTFLLHCFPSPIKVDKGQERLAGSYVNFYIANGGIIAPAFGDKWDEEARKVFEKTFPNHEVVMVEGGREIVLGGGNIHCATQQQPALCLPPPSP* >Brasy5G315100.4.p pacid=40072576 transcript=Brasy5G315100.4 locus=Brasy5G315100 ID=Brasy5G315100.4.v1.1 annot-version=v1.1 MEHKSNVRVVEMSARVVWMRDLGPTFVVREGETRSRREIAGVDWQYNAYGVFSGSFGAEDPHLNTRKRQEEYLTEDNLVARKILELERVPRFKTSFVLEGGSIDVDGEGTCITTEQCLCHGNRNPHMSKDEIEKQLKIYLGVSKVIWLPKGLYGDEMISGHVDNICCFAGPSTVLLSWIDDTSDPQYAHSAAAFDVLSSTTDAKGRKLDIIKIHVPGPLYTTEEEGRQFADLGHLFDFTFLLHCFPSPIKVDKGQERLAGSYVNFYIANGGIIAPAFGDKWDEEARKVFEKTFPNHEVVMVEGGREIVLGGGNIHCATQQQPALCLPPPSP* >Brasy5G315100.2.p pacid=40072577 transcript=Brasy5G315100.2 locus=Brasy5G315100 ID=Brasy5G315100.2.v1.1 annot-version=v1.1 MCGFVIITNMTHHIFLLCEVFSGSFGAEDPHLNTRKRQEEYLTEDNLVARKILELERVPRFKTSFVLEGGSIDVDGEGTCITTEQCLCHGNRNPHMSKDEIEKQLKIYLGVSKVIWLPKGLYGDEMISGHVDNICCFAGPSTVLLSWIDDTSDPQYAHSAAAFDVLSSTTDAKGRKLDIIKIHVPGPLYTTEEEGRQFADLGHLFDFTFLLHCFPSPIKVDKGQERLAGSYVNFYIANGGIIAPAFGDKWDEEARKVFEKTFPNHEVVMVEGGREIVLGGGNIHCATQQQPALCLPPPSP* >Brasy5G184400.1.p pacid=40072578 transcript=Brasy5G184400.1 locus=Brasy5G184400 ID=Brasy5G184400.1.v1.1 annot-version=v1.1 MMSREERWSLAGATALVTGGSKGIGHAIVEELAGFGVRVHACSRNAAELEECRRRWEEKRFQVTVSVCNVSSRADREKLLETVKQNFDGKLDILVNNAGRVDGKIATEYTARDYSTIMATNLESSFHLSQLVHPLLLGASIAGGGSIINISSIGGLIGYPGLAVYGITKGGMNQLTRSLATEWARDKIRVNCVAPGAIKTDMMKNMESEALEQEVLKVPMRRVGTTVEVASVVSFLCMPAASFITGQVINVDGGRTISA* >Brasy5G205800.1.p pacid=40072579 transcript=Brasy5G205800.1 locus=Brasy5G205800 ID=Brasy5G205800.1.v1.1 annot-version=v1.1 MSAKCFVRKNEPFTKAMIRSHSAELLRDGISLEQTLGPDCSVEPNETITSDSIRVLVQTILSDKKPEEVPSLVESLLSKVIHEFERRMANQNDLVKYNIDPNDSSSLSRTESTDTPQEMEATSTCDQGKMDEEDHKPVTNNVKMDEEDHNSSNNNMMMDEEDHNSVSTTGELNSAALVHDDSVEKRIQAKAEINFDLQQKHIQDLKNNLCTVKSGIEHFKLQYSEDLAKLGNHLRIISHAASGYHKVLEENRKLYNQLQDLKGNIRVYCRVRPFLPGKVSSSSSVAGTEDRTITVMTPSKHAKDARKSFTFNRVFGPLATQEEVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGINYRSLNDLFNIQAERKDTICYEISVQMIEIYNEQVRDLLQNGPNKKLEIRNSSQKGIAVPDGNIVPVASTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCVTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFIHIAPEPDAVGESISTLKFAERVATVELGAAKTNKEGGEVKELKEQIACLRAALATKDGENESIRSTHSSPDIYRLRPGHGSPASGQPMEDGCLETQSNGSPRHTKPNFELSDMLVENDPSPWPDTCNGDNIQLRSSNSLPELGPDVTHDLALYQRNSPEQQWNWAGSVATEDSDDCEVATNCSSEQDSVRPASAPKPRGTSAAKKAQPKGAKSADIRGTNPAKRTSPLQKKANGAAQIPTKNGKQTNLGGVEGRKTPNGKASTKK* >Brasy5G452900.1.p pacid=40072580 transcript=Brasy5G452900.1 locus=Brasy5G452900 ID=Brasy5G452900.1.v1.1 annot-version=v1.1 MMCRRDAPRMMAARRMHGKRGAAGRLSNILLLAASVVSFAKAVRGVDVLWDHKVATQEHQDFLGHFHDVLANNQAPMQIVGHDVMAPQRAWEHKRDTPPERWIKPTLFGEGDDSTTVWFCDDNLYFLAFTNKTGHLHTMRGYDAMFVEPFNPLPFSETYTELTDAPRDANGRLTVKPHNLLVNVPLGKASLLDAVRVLSSYNPATVSVSEAKLAVLKILLMIPESLRFHPVRNAYILRWHVEESCLTEAVTNYVPKWPLISKLLMEWDRYDGHQPYHWSVSRAAGELLADTNINSAEEALGVVDLVIRII* >Brasy5G206300.1.p pacid=40072581 transcript=Brasy5G206300.1 locus=Brasy5G206300 ID=Brasy5G206300.1.v1.1 annot-version=v1.1 MAPQPPLYPPAAFDRHSGLPPWDPTLTSSNPSFDQGFLKAAFDGDLLDVRKGAMVVGRGLEGRRLAERLGAVRDGFGMGLLHSAALGSSLPMCRFLVEDLRLDIDAAGPQGETPLTIAIGLRNVDVVRYFLEQGADPEKVNAEGSTPLHFAAGQANREIVELLLSNGACVNALSLGGTALHAAVHYGRDDIVKVLLDHHADHKITLSGTGYTALVIATTVGSLKSVKLLLEAGADVDGNSKETPLMIAATGGLTDILKCLVLAGADANVPDSLGRAPIEIAARSGRRKDVEILFPVTSRIPGIRDWSVDGIISHVKSVRPEKKAMLATAKFKAHEAFKNGNYLVAARIYKEAVELDPHNATLLANSSLCFLRFGNGVEALKDAQACRMMRPGWSKACYREGTALMLLKEYGKASGAFLDGLKLEPGNVEIEEGLREAMKALKMSS* >Brasy5G459600.1.p pacid=40072582 transcript=Brasy5G459600.1 locus=Brasy5G459600 ID=Brasy5G459600.1.v1.1 annot-version=v1.1 MGLASLFLCILAATAAAPVLVVLSSAQRFDYPTANLSTLWINNKDSLPHSVSYTDGSAVRAIVLRSPQTFFGPSFAAGFFCASPCNVFLFAVFIVYTNSGAGITMPTNGIPQVVWSANRAHPVRENATLELSSDGNLLLHDADGTLVWSSSSSGRSVAGMVITEFGNLALVDLKNATVWQSFDHPTDALVPGQSLVEGKRLVASTSATNWTESHLYMTVLPNGLSAYVGSAPPQLYFSQLVNTNKTANSRTEVIFTNGSLSIFVQSKQPNDPDASIQLPAAKSTQYMRLESDGHLRLYEWSEGKWTVVSDVIKIFPDDCAFPTVCGEYGICTGGQCVCPLENNSSSSYFKPVDDRKANLGCTPLTPISCQEKQHHQLLTLTDVSYFDASHTIVNATKRDDCKQA* >Brasy5G334000.1.p pacid=40072583 transcript=Brasy5G334000.1 locus=Brasy5G334000 ID=Brasy5G334000.1.v1.1 annot-version=v1.1 MPPPTASSLAAAADPALPAAFLSLPSPFLPSSSAPLPAAVVAAPSHASFLPRARGGSRALAVSVSVTGPTSTAASRLHHMWGEFARFVRLHGNQIAPLGFGSLGLAVGGGGGGGKADGNGGGGGGEGADGAVEEDGMARAEAPKKVLILMSDTGGGHRASAEAIKAAFAQEFGDDYQVFVTDLWTEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRVLRSRGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPVQPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATARALDNALYDESLGEPMGQILVICGRNKKLASRLQSISWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGRFSKCPEHIAKIVADWFGPRSDELQVMSQNALKLARPDAVFKIVHDLHELVRQKSFVPQYACAT* >Brasy5G360700.1.p pacid=40072584 transcript=Brasy5G360700.1 locus=Brasy5G360700 ID=Brasy5G360700.1.v1.1 annot-version=v1.1 MDTRLFVFTYQIIYVHLPDYLCLFIWTYKKVQSLMVTRMFVSTHQIICAHLSDYLHLLIRTYKKYKVSGLPGSLYLLTRLFVLIYQIMYAWLPRPIKIPSLMFIGLFSHTYQVIYSYLPDYLASKTNMPPRINKQQTTNMATSRLDSGNLLDITCCYILLNFSVGTHVNFSIGTAPGECLNELLRTRLINLLIQVQTKLQAAGLRCGSRHTGSSATGRAPSLLRRRACPSAGQRCSAAAAALLECRRGPAPAGPPPCCCSSAASAPAPPQPCSSVAAAPALLHNPSSVQRWESGRERWEATGIEEAVEWTGKVGVRRSKPRDWIGPAIPNPKARRTIRQGWARARNRLCHVNILAFKTNLKESRVRK* >Brasy5G518800.1.p pacid=40072585 transcript=Brasy5G518800.1 locus=Brasy5G518800 ID=Brasy5G518800.1.v1.1 annot-version=v1.1 MDGSELAGEPRIRLEHGPAQPRPELRAQARRSHQEHLGQELELQISLACATTARWRCGLSARGRRGPGRGGSWTSPWTRRPGARPWRRPACTAGSRAPRYRNRLYDATYEGFDHVPAAGHRRAGALARDVRPRPGLDHRGRGHGPLPALVDAPTASTTTPTTALPIRCGSLMPPCLASLSESSFLSV* >Brasy5G267900.1.p pacid=40072586 transcript=Brasy5G267900.1 locus=Brasy5G267900 ID=Brasy5G267900.1.v1.1 annot-version=v1.1 MHRVEPAASDSSLESTRATRSKTDPEMLLLRSHHTPRRAPLLPVVLHRLTMCNSAPASTSPPPAPLAAVDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPSPVIPLPNPPPVASCVHYPALFHSPTPPPQPLSLDSLSDHLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHVLFRDPEDPGRLIVSHYAPRDHLLETRATVPATSGCSALLPPPATAVLALSSIFWREAWKYGERALRYCNHDAGHALAAVAIAAATLGWDARVLDGLSDQDLGRLVGVDKGCPAPPEGIPDKMVRGKAPWVERHHPDCAVLLFPAGSEPEVDYARMSEALWGFHGLEWVGNSNGLSKDHVVWDVIYRTAEAVKKHGPKPGERFSVSPWRTSVELSERLYKELTVQEVVRQRRSAVDMDGMHVMRKEEFYQILLHCLPSGEVSLGERQGPQSALPFRVLPWDAEVHAALFVHRISGLPKGLYFLVRNEEHLDKLRCAMRQDFEWARLEGCPDGLPLYRLMEGDCQRLAMQISCLQDIASHGCFSLGMIARFEPVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDREFQSLYHFTVGAPVVDRRILSLPAYPGPGIDA* >Brasy5G400600.1.p pacid=40072587 transcript=Brasy5G400600.1 locus=Brasy5G400600 ID=Brasy5G400600.1.v1.1 annot-version=v1.1 MVPAVEGTRNVLHAAGGARRVVVTSSVSAMVPSPGWPAGEVRDDIDYCQNNGVWYPASKTLADKASWKFAEENELCSQQCFDIISHITQVWYPASKMLADKATWNFAENELDVVVVNPGTVLGLKIPPTVNAIMAIFLQLLEGCTQEYADFFMGAVHVEDVALAHILLYGNPSATGRHLCVFSGDYSKRKDPAVLKQKEPILELITSAPVNRHKIKFTKQDTSSLWFLCKQEGGLLNITNQACQFFTEAEECGNKDFCPFDDFKKQKKIVEPHLKHDYDRLCKIKKLVVTEGPSAFSSKLSGSILAERVPC* >Brasy5G265600.1.p pacid=40072588 transcript=Brasy5G265600.1 locus=Brasy5G265600 ID=Brasy5G265600.1.v1.1 annot-version=v1.1 MLAARCSRPAARPELLAARHAMSAARDAPPRAACPVLPLPTTRCCRELLDASRHELLAPRCRCPTRAAPSCSPRAAAARRATPPTRCCRELLAARRHELLTAALPRAARPKLLAPRCRYPPRAAHHTLLAPCCRYPPRAAHHTLPPRAACRPPPARERGREPTERAQATGRDRYMVHRESSGGEACASEKKTKEREEAAGRVPFC* >Brasy5G504400.1.p pacid=40072589 transcript=Brasy5G504400.1 locus=Brasy5G504400 ID=Brasy5G504400.1.v1.1 annot-version=v1.1 MSSFSMDRLLVFSGLLALLLLLIQSIGIADAVPAEQYWKLALPNTPMPASISRLIKTSSSDGCDKSAMKAKLRDRLIAYRYEKAATDAEIHHVAGVALFFLESDLRPGKKLTLHFMEETGVKFLPRGEADAIPFSSDKIPEILSRLSLNPGSAEAAEMTETLRDCETPAGKGHRKVCATSLESMVDFATSSLGTSHVRAMSTAIVAGKEGSFEKQGFTVTGVKRATGTQPLVVCHAEPYAYAVFSCHMTRATRAYTVSVVGEDGTAAEAVAVCHTDTAGWNPRHISFVILGVQPDTVPVCHFVPQNDVVWAHSG* >Brasy5G239400.1.p pacid=40072590 transcript=Brasy5G239400.1 locus=Brasy5G239400 ID=Brasy5G239400.1.v1.1 annot-version=v1.1 MEHISVGHRYKECRPRRSNSCPTKLLVGKDVLKELEQRRSSPSVIAKLMGIDVLPPTYVANNRHQEFKDVFEVSEEPLEAVTKERSHPFPKGLPSLKRSAMRFRRLMPSKSPYGDGTFDNDLEYRNGMDHLNSLEIDNPLFEKRPHDVSYSPNHKHVSDTASTFRKYPVGLANSSLRDIRNSSRQDYGGFNDIVVLEPGLGSSHDPENSFSMPFFSDVNRNPRRDRKQKQAEFAVMSNQRVSQHFLGAEDVNLARMKKERHFTGNAINPLSKGQEPPFDQFNMADLNSTGSSQRYASSDVNCRKNNMSSSNSLPRKIFRKYEQGDVGSKTLAEMFALSDSERIRRNPDSRAQVQQSKLDQGTGHSKEGCFIVLPTHAPPLPLQSSLDKSSSGGGSPYSENFSNISCNSSMFHFDSLRAKPSLKQIARDSQNNTRNASIVKNLALEQRGPASASPSLDESRSHSWRLADNISPSDCINEKVLFTTDEDSVQEPAETVTYAFHSPLSRERKVSAMPFQRHDYDSITISNHVDTPKACKSSEEFQQPSPVSILEPPTDEDSYCSGFFKPELQDMPNVWNRIGNYRLRDEPEVSTSSDDDNDSSYQSLEAFQVEEDRDFSYLLDILISSGMIVADWQLLCKSWYLPGFPVGPQVFDRLERKYNKVTTWSKPERRLLFDLANSVLSDVLAPCTDMQPWVSSARQCMPIWGPEGPVEKVWQMMVRQQAELGIGHPDDKVLDPNWLEVGDDINMVGKQIARMLYAGLLGEVVTELISGSVVSPRSNSIPPMYH* >Brasy5G323500.1.p pacid=40072591 transcript=Brasy5G323500.1 locus=Brasy5G323500 ID=Brasy5G323500.1.v1.1 annot-version=v1.1 MEQGKAALGWAARDASGHLSPYNFSRRVQKDDDVTIKVLFCGICHTDLHIIKNEWGNALYPIVPGHEVVGVVTDVGPGVKSFKAGETVGVGYFLDSCRTCGSCSKGHENYCPTLVLTSNGVDYDGATTQGGFSDVLVVSQDYVVRVPDTLPLAGAAPLLCAGVTVYSPMMQYGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISSSLRKREEALDRLGADDFLVSSDAEQMKRAAGAMDGIIDTVSAGHPIVPLLELLRPMGQMVVCGAPSEPLQLPAYAVITGGKRIAGNGVGSVSDCQAMLDFAGEHGITADVEVVKMDYVNTAVERLERNDVRYRFVIDVAGSLQGGAA* >Brasy5G286300.1.p pacid=40072592 transcript=Brasy5G286300.1 locus=Brasy5G286300 ID=Brasy5G286300.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILALLFMPGSMAAAVASFDATRNQHLPLPRGYLRGPESVAFDGEGHGPYSGVSDGRMLKWNGDKVGWTTFAYGPDYSSEACTASKLRPETVTESHCGRPLGMQFHHKSGNLYIADAYKGLMRVGPAGGEATVLVNQVDGAPLRFTNGVDVDQMTGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPRTNDVITLQSGLTYPNGVSMSHDRTHLVVASTGPCKLLRYWIKGPNAGKTEPFADLPGYPDNVRQDKRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKVLEEMRGPKSVRPTEIMERANGKYYMGSVELPYVSVVTHK* >Brasy5G091200.1.p pacid=40072593 transcript=Brasy5G091200.1 locus=Brasy5G091200 ID=Brasy5G091200.1.v1.1 annot-version=v1.1 MLPALSLLTGLAQRALSPAEAGQLHAQLLLRGLPLPARAAVTLIASSSSPQYARAIFDSVPAASANVYLWTATVSSYAKHASPAIAAEAFALFMLMLRSGPRPNGFTVSSVLKSLSKLRAAHQVCQVHGFLVKVGLASSLHVGATLLDSYGNLCRVRDARRVFDEMPRSNVVVGNAMVSGYVKAGDVEAARHIFDGMVEKDPISWNTLMSGYLRQGDAGLARGLFDEMPQRNVNSWNMMITACSEVGLWADSVAVFNQMRLMSFRPDAATMAVLMSACAQLGFLSVARQVYGLLQKGCVKMNCHVHNSLVDMFAKCGCFSEAHLLFAETDPKDVVSYNVMVSALAHHGHGKDALKLFEQMIEEGLQPDAVTFLGVLSACAHAGLVDSGKYYFETMGTTYAIEKSADHYACMVDLYGRVGLIEEAHCFVKIMPVKPHAGVWGALLNACRKHCNIDVGEVAARELIRIEPMNPGNYVLLSNTLAWSQRWDAVGDVRRLMRGNGIDKNTGFSWVQVESVVHEFLTGDFSHPCFGEICNILELLHPQPT* >Brasy5G245200.1.p pacid=40072594 transcript=Brasy5G245200.1 locus=Brasy5G245200 ID=Brasy5G245200.1.v1.1 annot-version=v1.1 MRESADERDDRELRGREALASRRRPSCSPELFSGARAAATAPTSPRGGGGGRRAGTGGCGVGDEAALCDDLLQEVLRLLPPDAAPAVSLVSRRWLALLRASTHRLTLRLPPPLSGAETPASASAAVVAPLAELLSRYPYLTALAVVSSASAAHDADAVLLAVAGAPSAGRLAALRFSLGSPVSPAALREASVTLSGLTSLHLTALGPLSFTWLASLPRLKSFALVNSPAAAASAVDSAGPGLDDEGDDAAVEEVLPLERLSLCGVRSGDRGLGWLWRRCGGLRWLQLRACDGVGDGPASLAFPGCLAGLLALELRACRTVADRVLLLAADRCRVLMSLLVYDGGSREALLQFIHQRGAVLRTLDLRLPLDLHNDHLMAIGVGQGHHSQRGLAVLRLQSCVLVTGDGLRSLARTANGAGIEEVALVSCDVVEREPGLLTFLSQSLCRLRRLDLSYNETLKDKEVGAMLSSCRNLIDIRLRGCRSLTGESLVSLLKHCGRSVEVVDISRCPAIIGASVEFFAQRATRLNHLIIEESSVSEELKAIAQMKGMKVGSLPCEGSF* >Brasy5G393800.1.p pacid=40072595 transcript=Brasy5G393800.1 locus=Brasy5G393800 ID=Brasy5G393800.1.v1.1 annot-version=v1.1 MLGSVLRVPAAPIPHFLLPAPSRPALHLCRRLPQAPMADAKKPDAPVSAPEPPEKPLPGDCCGSGCVRCVWDVYYEELEDYQKALAAHSSSSPPAGDKAADHKASDDDKVKS* >Brasy5G108600.1.p pacid=40072596 transcript=Brasy5G108600.1 locus=Brasy5G108600 ID=Brasy5G108600.1.v1.1 annot-version=v1.1 MASSEANARPPCADQGWRPHRYKNVALKDGMVKGMVPPTCWYYTWIDLEQNESVLAIMKIERNILRERWQEMMRLDAQEEARKVKVQEERKKKEKEERIKKEARQAERERKRERARIAQEEEEERNRKGKWPRVTQ* >Brasy5G349200.1.p pacid=40072597 transcript=Brasy5G349200.1 locus=Brasy5G349200 ID=Brasy5G349200.1.v1.1 annot-version=v1.1 MWREQTWTRSHSHSMSPRPQHQKETSCDKASAGRTFCLHQEKYIKHEMNNITRPANADSNVYFTTKRPYSYRKQASRH* >Brasy5G109000.1.p pacid=40072598 transcript=Brasy5G109000.1 locus=Brasy5G109000 ID=Brasy5G109000.1.v1.1 annot-version=v1.1 MERLEMELVDGALHMALHHPSAAAYLLEKRDPVQAELVNTVGRILSPCCVQRAGGTSRDVAFDRLRRRKPEELVLRLALVAYMPTRTVALRVKAIALLRDVMHSKSAQTSWFHLSSDGMQTLGSVLLKCLFSDPGNAIARELRELIVEIAQRGIWPQLIPDLLNAVADNEIVRVDQSLCLLLAALPKSQDFKTHWAQLHVLLESYLSHPSSCELRIAAVCVYAHAVHCSDDRHGMGQLLSSTMKVYLDMRDSKSKKFIWT* >Brasy5G484000.1.p pacid=40072599 transcript=Brasy5G484000.1 locus=Brasy5G484000 ID=Brasy5G484000.1.v1.1 annot-version=v1.1 MHPWSRTPGRCSTRPRPTPRSRPPSSSPPAISPSAAASLPVVDLSLPRDEARRAVLAAGRDIGFFQVVNHGVPAETMRAMEELCSEFFRLPAGGRAWLGGAVVGGQEEAQPPLLRRHLRHRRAEVKYCRDCLRSPHRLPFPPRLRDDLPMARQSPWPQVPIHSSLSSRAVIEKFTFQTRSAGMEILMLLSEGSDLPTDYFCGDIAGGDVILNVNHYPPCPNPEKALGQPPHCDRNLITLLLPGSVNGLEVACNGDWIKVNPVDNAFVVNFGQQLEVVTNGLLKSVEHRAVTNSVKARTSVATFIMPTEDCAIGPDERFVNEENPAGYRTTVFSDFMRIYNVVNLGASLNLTTNLKDVQKEL* >Brasy5G397600.1.p pacid=40072600 transcript=Brasy5G397600.1 locus=Brasy5G397600 ID=Brasy5G397600.1.v1.1 annot-version=v1.1 MVFSSVPGYLDPPNWNNNNNQPQQQVQVQQQQQQQLTHGHGGLIFPSAVGGGGGVEAHHHHQQHHHQQQQQQMPAALMAPPRPPDGSSSMGGLLGSGGGAAAAGAAVKAGSMTDRARMAKIPAPEAGLKCPRCESSNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRSSKSSSKSSSSSASASAATAAAASSTSSATTGGGGIMPALGQMPFFAASLVSGSGGEGQYGGGGGGLLGGVSRGLGFPGG* >Brasy5G407500.1.p pacid=40072601 transcript=Brasy5G407500.1 locus=Brasy5G407500 ID=Brasy5G407500.1.v1.1 annot-version=v1.1 MDRLRRCYQSCPRADTHPQDLSARLAVPSGAGSDVVFLDSGRFTDVALRAAVRLLRPSNPNQAHGSFAADGAEPRDAARERRRAGSGGAQQRGDMRQRGGPRRAAEAAAYGERRRPTGSGGDGGGPRGAAARSGGGGG* >Brasy5G215800.1.p pacid=40072602 transcript=Brasy5G215800.1 locus=Brasy5G215800 ID=Brasy5G215800.1.v1.1 annot-version=v1.1 MALSSKRNSATSVACLAALIMVVIMAATMLQSCDAQGGDFCVNINDGGCNQQKCAAICDKSGYNKSKAFCQKVTDKCCCPMGGSKVDRRW* >Brasy5G310500.1.p pacid=40072603 transcript=Brasy5G310500.1 locus=Brasy5G310500 ID=Brasy5G310500.1.v1.1 annot-version=v1.1 MEIAASKKRALATRDAFVGKKEFSAAGRKSTVTGPERAQKVLRERFQSELVAVRRLLQKAALPPSAPRGDGARRGSLAAAPRPRSEDEPPAKKKRKASPLPVTIQRSDQAPKKMTAAERELLAADLAGLSAELPAHIIELLKKQSRGIHGDEMEIDIHAVQDAALFELKTQLDKFLGQRNPPSREHQDSKMADQEEEEDVDICGGVSPLVVAPAPLQLAEEEDDFVDICGDASPVVMPNNLGASPDSSSSSDSGSDSSSSDSDSESGESISGPAPAERAVRSPTPISELLARAKESLEGRRREARARAREKARQEMLDMERTAVSGETVDPMVLLDLLGIAPQEQYMMSQSPNCILRQLGLFLKDEDDGFESIEEQQQPSLEQDLEEGV* >Brasy5G044600.1.p pacid=40072604 transcript=Brasy5G044600.1 locus=Brasy5G044600 ID=Brasy5G044600.1.v1.1 annot-version=v1.1 MAPRGRPKHVCNMCYRSFPSGKALGGHMSCHWRKTKQPKSTPGPTAIVVDLHVTLLSPSDEETLVPISGTQCHLCSNVFSTCNSPREHMKHSEKKVLGKPIEEAPGLMEALVIADGDNDVMFSPPVKRKRSKREMPVHTFGETDAAITLLLLSEHSSKISAYEDCYGEDKDRTILIPNVSKDVKLNSFDHLLVRSAEFKKPKGHKSSAYDDCYGQCEKDNNWIPNAPKKDTHLFASDPNCNPLVPNVPKNSAYEDCYGQCEKDNSLILDDPKKHTNLIPDVPEKDSTLIPNIPKDTCLIPNVPEKDNHSIANAPNEIELNVLDYGLTEDAELRKPRTDNPVEEMKGSAAVKVKRYQCNACEKSFGSGQSLGGHMRCHHPRRNDRHQGLADCPDSVVTKQQKKKLELDSRLLDLRLPALDVRDYICSGLNSEPEPVCLASTVH* >Brasy5G010300.1.p pacid=40072605 transcript=Brasy5G010300.1 locus=Brasy5G010300 ID=Brasy5G010300.1.v1.1 annot-version=v1.1 MKLESPHWFLLICSIYTLLSFPPSHDDSSSLPPQPVVLNHLYIEKEKSRSVVACLFVFWVTLVHAKAIPLSLWMITWLLGEMKYLVWSDEFSAKMFGITQIAVICMYSCQGCQESVYNHKCL* >Brasy5G350300.1.p pacid=40072606 transcript=Brasy5G350300.1 locus=Brasy5G350300 ID=Brasy5G350300.1.v1.1 annot-version=v1.1 MPQASDRTLPRAMARKARGSPSPASARDRLLAGLMMVKLRDGVSVPALEEHLAVGGGLGAELLQPWLRTGASGRALRGSPGLGVDLRAEPDEIVDAAAIRGGEAKPWPVFRWRRRRGCPTKETTREAKPIRSDPRLCRSLIDTRDGGQRTRTSRGSEPSHAGASDPKPTASGRGAHGGGGGGGRSGGQGATIGERRIVASAVCEEEADRGGRARRRRGEEEIGDLDLDPIARVRVRDGM* >Brasy5G397900.1.p pacid=40072607 transcript=Brasy5G397900.1 locus=Brasy5G397900 ID=Brasy5G397900.1.v1.1 annot-version=v1.1 MAAFSKSFRSSSKSDCENKYQGTLVASPAKAISPKTINHIVPKQLILSRESTGHVASFLVKVLALEVVRRISKARCPFIWNSIQALQVLSYPPFRWIQRWAPLKFVIQGIQKLSMPLLFLSVTSTIGDLSSKRDDEPSSDTESPEIPVESHEMASTSDTRDAADGDGTNDIVSENWLVQLSKELEIQGITLPERFSEDELRRFHIAANGDFSSLLSSVKKTIRWRETFHILTLQELENWSHLVFWHGFDTMLRPCLVIRLGLACSIIAPRDRPRFGQAVVSQIDHGIIHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFVTIVQENYPNRLGVLFVVRLPPVVRVIAQTFLQLLNPSTKQKLRFEGESYKKTLAEFLQVVPSFLGGKCSCSRCKKPRDGPAIQAGEGSKSQPRQIGTDSGSPIGSMDFDEAELQSPYSCENAIRAAIVGMLMLWIFIAFLAGMNDPQSAS* >Brasy5G184000.1.p pacid=40072608 transcript=Brasy5G184000.1 locus=Brasy5G184000 ID=Brasy5G184000.1.v1.1 annot-version=v1.1 MAAGPRAAESTGVEVRETGSARVASGKGRIRRYGGPRSSPAPRVACRAAAACQSGLRAREGEGAGTPRAREREQGWRREGMHELRDGEEKGSARETRCREGEGRRGGTRVRGRREGRRLGK* >Brasy5G307700.1.p pacid=40072609 transcript=Brasy5G307700.1 locus=Brasy5G307700 ID=Brasy5G307700.1.v1.1 annot-version=v1.1 MAEGKSHDCDIIPTKDNHLFHLRVRFSEPKDAHTFVPMVSPVVAAYDCEVIYTTAASGQMQMRLSLAACYVLNPELDGAAQEVIPHMVFLDKRGSPAPSVGTAEPVQSSYSETMIRCYNLDAERDEVEANCVVDDYFVVLCSIDVIRDGTTSASWTEKELPDLGYDLAVMSHKQELTDVVLDVDGESFDAHRLVLAARSPVFRAELYGPMTESKMPSITIQDMGASTFRSMLHYLYHGSLPESGKADVSSTMTEYQHLLVAADRYGIERLKKICEDELCGSRDSITIDNVVSMLELADVHICPTLKARCLDFLVDGENFKMVGTSGEYLHLMQAFPSLLVEVRNRFKIAHESVMKPGAHKKS* >Brasy5G001900.1.p pacid=40072610 transcript=Brasy5G001900.1 locus=Brasy5G001900 ID=Brasy5G001900.1.v1.1 annot-version=v1.1 MADPYGDGRALKQQQQQRPKLKPALEMEDFINLLHGSDPVRVELTRLENDLHYKEKELGDAQAEIRALRLSERAREKAVEDLTEELAKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVLEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDRLAIAERAARSEAQLKDKFQLRLKVLEEGLRMPTSRTNASAARRQSIGGAESVSKTNGFLSKRPSFQMRSPVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGIGMNVSTDSSEDKESNNSDEKPSEFTSESEDTVSGMLYDMLQKEVVALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKMRREVAAMEKEVVAMRIEKEQDTKARRFGNSSGSANSSSLPPGRTLPRSGSARNM* >Brasy5G001900.2.p pacid=40072611 transcript=Brasy5G001900.2 locus=Brasy5G001900 ID=Brasy5G001900.2.v1.1 annot-version=v1.1 MADPYGDGRALKQQQQQRPKLKPALEMEDFINLLHGSDPVRVELTRLENDLHYKEKELGDAQAEIRALRLSERAREKAVEDLTEELAKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVLEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDRLAIAERAARSEAQLKDKFQLRLKVLEEGLRMPTSRTNASAARRQSIGGAESVSKTNGFLSKRPSFQMRSPVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGIGMNVSTDSSEDKESNNSDEKPSEFTSESEDTVSGMLYDMLQKEVVALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKMRREVAAMEKEVVAMRIEKEQDTKARRFGNSSGSANSSSLPPGRTLPRSGSARNM* >Brasy5G234700.1.p pacid=40072612 transcript=Brasy5G234700.1 locus=Brasy5G234700 ID=Brasy5G234700.1.v1.1 annot-version=v1.1 MARRGTEAFPELGAHCDREDCNQLDFLPFDCDGCGKVFCAEHRTYREHGCARAADQGRTVVVCEACGDAIERPAASAGGGRENTDAEILEAHARSRRGCDPARKRKPRCPAPRCKETLTFSNTSECKGCGRKLCLRHRFPADHACARVPPSGAAAAARRKGAGDCARDAQKKDGGWTLPPLIRNFKMF* >Brasy5G069200.1.p pacid=40072613 transcript=Brasy5G069200.1 locus=Brasy5G069200 ID=Brasy5G069200.1.v1.1 annot-version=v1.1 MRQRGGAPEQDIFGDLIHRRHLSRRKSLEVQIPVLDNVGQIAPGVDNVKMLEEIEHHLLGSSKSTKRFSPDQMTMIKVKQFGGLLNIKVQSMSNEF* >Brasy5G049400.1.p pacid=40072614 transcript=Brasy5G049400.1 locus=Brasy5G049400 ID=Brasy5G049400.1.v1.1 annot-version=v1.1 MSPAAAATAKSFAANLAASTAPSSRVRPYLALRRTPVPRRTVVSMAVSAPKPPAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPDEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILNGPDVPTKLPSTKNEAVVQAELAIDGLAWHVTCVSMGNPHCVTFGAKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAILEGRAERKCVVDLPGGPLEIEWREDNNHVYMTGPAEAVFYGSVVL* >Brasy5G049400.2.p pacid=40072615 transcript=Brasy5G049400.2 locus=Brasy5G049400 ID=Brasy5G049400.2.v1.1 annot-version=v1.1 MSPAAAATAKSFAANLAASTAPSSRVRPYLALRRTPVPRRTVVSMAVSAPKPPAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPDEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILNGPDVPTKLPSTKNEAVVQAELAIDGLAWHVTCVSMGNPHCVTFGAKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAILEGRAERKCVVDLPGGPLEIEWREDNNHVYMTGPAEAVFYGSVVL* >Brasy5G177300.1.p pacid=40072616 transcript=Brasy5G177300.1 locus=Brasy5G177300 ID=Brasy5G177300.1.v1.1 annot-version=v1.1 MRASCRAWAERCHLGPARPGTFRLTRVGPVLPPPRCPISQLPRRLPAVPGEASTAASFASSLPPSRDPSLPQVTAVGNSIGATSRGIRRELAAAKVGQHPVSSPSRLAAPVARSIAIPVATSTAAPGRSLRHELAVPHLRRHSPSHAAGGLLTARVAPPEQDLPILTAAVPPSGWRHAVDGAPFAMNIEEGPFPTKHSSHLVLACCISSPRPHSARPGDAMQPRRERKLQIGASDCKQ* >Brasy5G502200.1.p pacid=40072617 transcript=Brasy5G502200.1 locus=Brasy5G502200 ID=Brasy5G502200.1.v1.1 annot-version=v1.1 MLRFTQQQIECCIAIGEPVLPKVTTLLFMENLSADFLAKLPQHFRDTFAELDATREERQAKRDALQEELRKERRDVRGQYYSKGYVEYEIDGEDDDEDDDEEAPAPRVAHPGRRRFRPGLVKRRGGSSTKKLN* >Brasy5G452100.1.p pacid=40072618 transcript=Brasy5G452100.1 locus=Brasy5G452100 ID=Brasy5G452100.1.v1.1 annot-version=v1.1 MALHTMITWAVALCLASPPPTSIAATARAPPPNATAAADPTEGFTAVTLNEHNFLLQHPYDESIRARCSFDGTVRRLWVLASDKPHARQSHTSPRTEMRMKGYTVTCSCANSYDYSSGMWQFEGYGYVPSGTSGVSIMSSAAARRPPRSCGGGEAATTLMLHIYGSALRYYDRQVVEDGIYDRWFRLNVVHDVEASVLTVFVDGVEKLRPGWRHALLQFGVYAQNHDSSRMESRWKDVKVLKKD* >Brasy5G044200.1.p pacid=40072619 transcript=Brasy5G044200.1 locus=Brasy5G044200 ID=Brasy5G044200.1.v1.1 annot-version=v1.1 VLGDRLHITDMFGDWLSSFPNVQRKLLVCGAAAITWTIWKVRNDACFRHKFPNDPSVFIFSLCSNLNSWALLQKGSNRRNLEAGSLLIKQVLMDVFSRRQGWAPLGRRLDG* >Brasy5G076500.1.p pacid=40072620 transcript=Brasy5G076500.1 locus=Brasy5G076500 ID=Brasy5G076500.1.v1.1 annot-version=v1.1 MIHIHIHVLENEGNKKGGIHELLVEDKRWEERSSRQQQDHSIHGGLILCCWCPDDGPKAPVIWSANPDNPVSQKATLNFTEEGNLLLNDGNGTVIWSTETKNKSVTGMRLDVSGNLVLFDQNNSSVWQSFDHPTDTLVLGQSLCRGMNLSVKPSKTKWPSARIYLTAELEGLRYSYQPAAYSQLITKTNIETSNCYAFVNGSFGFPNQVFSLPPTRSSQIMRLESDGHLRLYEMHGYSNPRLLFDILSVAMNFCDYPLACGDYGVCSHGQCSCPSLSYFRSENERRPDAGCVHLTSISCNHAHDHQLIPLNNITYFSDSMFRSLATSSPSEEVCKQSCLTDCACRVSLFQYYGNYSDDGSCLLLSEEKLISLAEGSWYRLSAYIKIQGNQSIKRRIRTIVGSTIAGISALAILCSAAIWKRCKKEEEQLFDSIPGTPKRFSFHELKVATNNFSVKLGGGGFGSVFKGNISRETIAVKRLEGVEQGTEEFLAEVMTIGRMHHNNLVRLIGFCAEKKHRLLVYEFMCNSSLDKWIFHPCPIFTLSWKTRRNIIMAIARALSYLHEECKEKIAHLDIKPQNILLDDKFNAKLSDFGLSKMINRDQSKVITRMRGTRGYLAPEWLGSKITEKADIYSFGIVVVEIICGRENLDETQPEESIHLISQLEEKAKSGQLFDLVDSGSNDIQFHMEEVMEAMRLAMWCLQVDSSRRPLMSTVAKVLEGVTSLEATPDYSFVPSFASNHAGVAGSSSSYVPPDSHLSGPR* >Brasy5G460400.1.p pacid=40072621 transcript=Brasy5G460400.1 locus=Brasy5G460400 ID=Brasy5G460400.1.v1.1 annot-version=v1.1 MSTGSSLFVAAAAVKLSRSGLFIILGLSMQFLEQQALSRDEH* >Brasy5G142400.1.p pacid=40072622 transcript=Brasy5G142400.1 locus=Brasy5G142400 ID=Brasy5G142400.1.v1.1 annot-version=v1.1 MVSPKIKELWTLDLSNTQITAFPSQLCELSELRMLPKRGTPVKRLPEKIRKMRQLRHLLLGGNGVDPLDTTATMVLEEIGHLHDLNTLAIDLSDCPESL* >Brasy5G353800.1.p pacid=40072623 transcript=Brasy5G353800.1 locus=Brasy5G353800 ID=Brasy5G353800.1.v1.1 annot-version=v1.1 MQEPGSRTAPPFAGVDLRRPKGYPALAPAEGEACPRCESRDTKFCYYNNYNTTQPRHYCRSCRRYWTKGGSLRNVPVGGASRNNTTSSPPKRTKTSNSKRRRVVPEPEHEPVGTDASAPAAAGRAATATTEAVATEDAAADDLAGPPAVDTEPSVKLGVGLADAGGKEPSPFEWPSGCDDLQGISYWGTGVLADTDPAMFLNLP* >Brasy5G329700.1.p pacid=40072624 transcript=Brasy5G329700.1 locus=Brasy5G329700 ID=Brasy5G329700.1.v1.1 annot-version=v1.1 MDVKYKPVAFPNGSAKKAVKPAAAAAMAPPPPSSQVVTYQDCLRNHAANLGAHAVDGCREFLPTPENNPADPWSLKCAACGCHRNFHRRVLVEDSPPPMPQRRGEEEKPVERLPGVDSDSDSDGSGSGYDDERSMSPPPLPQQQQPPPPVAHNPVPVAQQPPAAPPGAYFSPALAPAPHMLLSLNSSAPGAAQQRLPVSPVAAQMLPAGPGPAQHLGVGAMMPAQRKRFRTKFTPEQKKRMQELSERLGWRLQKRDEAVVDERCRDIGVSKGVFKVWMHNNKHNYLGGHSARRSASASSAAATPTTASEADATAAAPPPPFNPSAAPAATGFNMNGTGGHPVNGGASSSQSA* >Brasy5G266800.1.p pacid=40072625 transcript=Brasy5G266800.1 locus=Brasy5G266800 ID=Brasy5G266800.1.v1.1 annot-version=v1.1 MYEQRGDDQLRASEYDELRSATNDFSPAQKLGEGGFVGVYKGSVRPLNSKGDRITVSVKCLDQQSLQVITS* >Brasy5G190000.1.p pacid=40072626 transcript=Brasy5G190000.1 locus=Brasy5G190000 ID=Brasy5G190000.1.v1.1 annot-version=v1.1 MGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIDLILLMFSPSGRPTICVGDRSNLEEVIAKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEVHFDICTARTMNSSWNLPFSVFWYLRLIVSIILQELASHLGALQCQMADVQKRLSYWSEPEKVENIDHIRAMEQSLKESLNRIGIHKENFAKQHLMGLQCAAAQFQNDMQLPLGIPGDPNSSSWFHSSSNSDGQQTMMLPDEAGLQSYPSYFSMSKQSTETGGEQHQQQAAVAAQHQPEFSQQAADCLTSLHLTGQFPYQSSFDHTSLLNDRLFRPDMELHDDNASAAMDFGGHYDHLPRPGDEASFQNWASATCGVTMYDHQQQPASAQLIVQNMTESLTVGTMQQQL* >Brasy5G211100.1.p pacid=40072627 transcript=Brasy5G211100.1 locus=Brasy5G211100 ID=Brasy5G211100.1.v1.1 annot-version=v1.1 MFGCSQNSELVFATHFCGIGLQLKEPLHNTELFPSVLRGIGADRNPGPFLTPHELLLQPFAAVSDHRRRFAAAGQHHRRRFAADHRRSYAAAGRHHAGVSPPTTTVGDSLLTAAASPPPLERVVREKRMVEEKQQAAFREQAAMEDILDELRFIRKL* >Brasy5G444800.1.p pacid=40072628 transcript=Brasy5G444800.1 locus=Brasy5G444800 ID=Brasy5G444800.1.v1.1 annot-version=v1.1 MDMVEVLIVGAGPSGLSTAACLSKFSIPYVIVEREDCIASLWHKHTYDCLKLHIAKEFCELPHMSYPIDAPTYIPKKDFLRYVDEYVEHFNIIPKFNTSVESCIYDEARKCWVILARDKVNGTILDYASRFLVVATGENSASNIPEIIGLQSFPGETIHSSSYKSGNDYVGKNVLVVGSGNSGFEIAYDLAVHGAKTSITIRSPMHVMKKELIHLGMVLAKWHIPLKFVDFILMVLAYLLFGDLSKYGIVRPRMGPLLLKAKTGRSAVIDVGTTELIKKGDIKVLGPISHIRGDLVEFEDGNERCYDTIVFATGYKSTVNLWLKNDMGMLNNDGMPKNDLPNHWKGAKGLYCVGLGRRGLAGVAKDANMVANDIHDTIEMMSFN* >Brasy5G438600.1.p pacid=40072629 transcript=Brasy5G438600.1 locus=Brasy5G438600 ID=Brasy5G438600.1.v1.1 annot-version=v1.1 MLKRRGPSSEHDRDCACTCKRARPPATQKRHLYLALDDRDKAYSLYKVDVLAAGEVDQAESHLPETPVLRLEVPESGDTCHFAALGTKIIALSNRSPPLVHWRWAWSPADSSSPVPFDPRDIACHAVHPDGRTVFVSARRRVNGASWLDHGTFSLDAGGGISGEWTRHGGWLLPLKGQAFFEAQLDAWVGLDARGRLCSCDGVSRRGARGAAPARKVCEETLLLCREPDPDSDGQRDAVLAHMGDARFCVVERAARDGGGGAECVVHVTTFAVRYGKRGELKVAERRLVGSYLVPSHNVFFKVQAFFV* >Brasy5G141100.1.p pacid=40072630 transcript=Brasy5G141100.1 locus=Brasy5G141100 ID=Brasy5G141100.1.v1.1 annot-version=v1.1 MRLAKAALALMSLHAVAVLLLLPQGVFADGECGKVRCGMGSCSESGDYAFGFACRCNPGWSQFRVGDTEFPFLSCVIPNCTINNSCRDDTSTSGSDTAAAPCLLQYCGGGSCEKAAGSGFAHRCACRVGFRNLLDDDAYPCYRQCSLGSDCSGLGINILNGSDPNTPPPAPVSFTIQKNGAADGSSPSAHRLPVLLMLVSFFWFQTVL* >Brasy5G304200.1.p pacid=40072631 transcript=Brasy5G304200.1 locus=Brasy5G304200 ID=Brasy5G304200.1.v1.1 annot-version=v1.1 MVAAWGGYGQRREGFGAGRGRGDGRGHGQQIRGRGLVWERKPDQEQQQRASGQAARADEVRDPPTPETEETHGDAAETALTSVAAPGVGGAHTLQRSPAKHPRDEHGGHGREGQSSGSFSGSCGKCGFMGHTVKECSVSFLEDYSALMCGFQSPNQGFFFIPPIPSEKSVKNKNSSVIITVVKGTATARQIEEAFNVVFAGTWRCSARPIGPGKYTMRFPNAKKVDEYSTFKGFSLRNTNAKIDVDPWSPAVGAMGELQQAWVKVSNIPIDMRSEAVVAYVGSLFGVTKDIDKSTLYKPEYVRIVLGCPDVYSIPPTAEGYIGDFLYDFFYELDSVILGPSEKKESVKTNTPATDDMAHTPKRHKPNDPPPKSAPPW* >Brasy5G476200.1.p pacid=40072632 transcript=Brasy5G476200.1 locus=Brasy5G476200 ID=Brasy5G476200.1.v1.1 annot-version=v1.1 MELAISAVTGELVSRFISLLVNKYHSRRESSEEKQIERLQQLLPRARMVVEEADGRYITNSGMLAQLKVLADAMYRGYWALDASYYMSLELEETPIEEEKVSNSSAFKRFRTVHGRARNKNKSRHLLELREASEILDNVLANMTEFLVILVGKRTLVAHVCKDDRVRAHFFSILRLNGDISLSGIADLGSSLLSGKKILIILELYSDVSKEDWAKFYSSVANMGRGSKVIILSRHKNSELLGTVKPIILNALSYEEFSYLFKTLAFGSANPAENLRLARIADEFAKELHSDWSLVKANLFANVMRRNLDVRFWLEMLIRFRRFVRRNISMFGEHPKFLVKSRQVDVSDIVLCDHAAPLNIVSCSIPGSSRTELVAARKELPKVALGDLLVDPGVRPKGEFNVFVESRLPPYTSFVHFVPNRSAQDTPQLGMKRRGVPL* >Brasy5G384200.1.p pacid=40072633 transcript=Brasy5G384200.1 locus=Brasy5G384200 ID=Brasy5G384200.1.v1.1 annot-version=v1.1 MVPGSRNPMWGEEFNFFVDSLPVKIKVKIYDWDIVWKSTTLGSATVPVESEGQSGPVWYMLDSSSGQVCLDIKAIKVHESSSRALNNSAEADARRRISLDKQGPTVVHQKPGHLQTIFGLPPDEVVEHSYSSALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGCPDGRVRYKFASFWNRNHTIKALQRAVKNFHTMIEAEKQERAQSALRALSSSRKNSRKEINVPEDCADLTGQLQPFVKEGVLVSVFDGTFPCTAEQFFDNLLSDDSSYITVYRTARKDKDINLGQWHLADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEIHCRWSVKTINSSSCSLNISAGAHFKKWCIMQSKIKSGAVEEYKKEVQEMLGFAESYVLKANQQDSDLTERDNMALDPDDMSGYQ* >Brasy5G384200.2.p pacid=40072634 transcript=Brasy5G384200.2 locus=Brasy5G384200 ID=Brasy5G384200.2.v1.1 annot-version=v1.1 MVPGSRNPMWGEEFNFFVDSLPVKIKVKIYDWDIVWKSTTLGSATVPVESEGQSGPVWYMLDSSSGQVCLDIKAIKVHESSSRALNNSAEADARRRISLDKQGPTVVHQKPGHLQTIFGLPPDEVVEHSYSSALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGCPDGRVRYKFASFWNRNHTIKALQRAVKNFHTMIEAEKQERAQSALRALSSSRKNSRKEINVPEDCADLTGQLQPFVKEGVLVSVFDGTFPCTAEQFFDNLLSDDSSYITVYRTARKDKDINLGQWHLADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVRISRNGA* >Brasy5G473500.1.p pacid=40072635 transcript=Brasy5G473500.1 locus=Brasy5G473500 ID=Brasy5G473500.1.v1.1 annot-version=v1.1 MDDDDDDAQNLVGDDDLSLEERRLATTDSQEEELDDTMPPPGFELGPWGSHGGHGGADVSTAGSGLGWVVEPYYTPRSPGPAVPNWGSWERQTPQHGRSHGHGSADVPMAASGFGSWDMEAPIYTPGSPRPPVPNWGSWERQTPQHGSHGGQGGADVPTAPSWDIMEPYYTPGSPGPAAAPPTGSSWGSWERVTPQHGRSHGHGSDLDWASHGQGADVSAASPGAAEHGWAGFVPVGPRHTPMAGRGVALDWVRISGTLSEERRYFNEVLMGMDPEEEDDDYGDEGVTMDVASANGGFGAVPASGESVDALETRNYDGGGGGGGGCVICLEEYEVGEELSVVPCEHRHEFHRGCLEQWLALSRLCPLCRHALPADGDE* >Brasy5G324800.1.p pacid=40072636 transcript=Brasy5G324800.1 locus=Brasy5G324800 ID=Brasy5G324800.1.v1.1 annot-version=v1.1 MTLMDGSPQDNPQHRRLQEEQGLKVVVECRSFTTTPPRWGTTLKSAAAAGTDVRVTTVGEEEPPTPEPAGANHTRSQAPATRAPKSPDRAGTRPPTHRSEGPDSDPANTCGKKTAHAEDAPAPPRRPRLHLAGAAAPHRAPAPPPRLLRLHHHRASCAPAPPPRASTWPEQQPRTARLHHHRASCACTTTARLHHRASCAPAPPPRACTRPPRSPAPDPAAASANRRPRRQGRRRQASEAAAPTSASPATRGRRHHEEPPRPFEAWGPPPLRQRRPAAAAAREGWRGGPSGGG* >Brasy5G386600.1.p pacid=40072637 transcript=Brasy5G386600.1 locus=Brasy5G386600 ID=Brasy5G386600.1.v1.1 annot-version=v1.1 MAPAAALSRAHLASSYPSAGRRLRGTTDHVRLSLALPASLLPRVSLKQGSGPNTRGRVWADASGSFEQYRIGEDAVSPPPQGVEEGKVDFLKILKSANSIIPHVVLGSTILALMYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYIGQFMFKPFFGFLFGTLAATTFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYLLIGKKLPVDVIGMMSSIVQIVVAPIAAGLLLNRFLPRLCSAIQSFLPPLSVFVTALCVGSPLAINIKSVLSPYGLSIVFLLFAFHTTSFVAGYHLAGTWFHQSADVKALQRTISFETGMQSSLLALALANRFFPDPLVGVPPAVSVVLMSLMGFGLVMVWSKRTKV* >Brasy5G011300.1.p pacid=40072638 transcript=Brasy5G011300.1 locus=Brasy5G011300 ID=Brasy5G011300.1.v1.1 annot-version=v1.1 MASAAHRLHFFFFLASVLLHLHAAAAATPSSELAALRNFKASLAIPAPSASFFASWDDPAAASPCNFTGVTCSSGAVTAISVADLNVSSAAVPFASLCAALGSLSTLSLPSNSLSGSIAGVTACAKLTELTLAFNVFSGAVPDLSPLTSLRVLNLTQNAFSGAFPWPSLSSMPNLVVLAAGDNLFLDETPTFPDQITKLASLTALYLSAANIAGEIPASIGNLVNLTDLQLADNHLTGRIPASMAKLVNLQSLELYNNNLTGPLPPGFGKMTKLQYLDASANKLTGGLSELRTLTKLVSLQLFFNGFSGEVPAELGEEFKDLVNLSLYNNNLTGELPGSLGRWSEFDFIDVSTNQLSGPIPPDMCRRGTMKKLLMLENRFSGEIPASYGGCKTLKRFRVSSNELSGEVPAGIWALPEVEIVDLAENEFTGGIGDGIGEAASLTNLILAGNKFSGEIPWSIGDAMNLQKLDLSGNRFSGEIPESIGKMKNLDSVNVEGNEISGVIPGSIGGCFSLTAVNLAGNKIAGEIPPELGEMTRLNSLDVSRNEITGEIPASLAELKLSYLNLSENRLQGPVPAALAIAAYGESFMGNPGLCSDNSNGFLRRCEPRAGGRQPASAAVVRTLITCLLGGMAVLLAVLGVAIFVRKRREAEAAAMAASASGTKLFGKKGSWSVKSFSRMRLTAFDEREIVAGVRDENLIGRGGSGNVYRVKLGTGAVVAVKHITRTTMATSTVAATAAMLRPSPSVSARRCREFEAEVGTLSSVRHVNVVKLLCSVTSSEDGGNGGGDGTRLLVYEHLPNGSLQERLPELRWPERYEVAVGAARGLEYLHHGNGDRPILHRDVKSSNILLDADFKPRIADFGLAKILHDSAAVPPEGYSSGSGVVAGTVGYIAPEYGYTRKVTEKSDVYSFGVVLLELVTGQAAIVGGCEEDIVEWVSRRLREKAAVVDGKAVTEEWEKEEAARVLRVAGMCTSRTPAMRPSMRNVVQMLEDAAVGREYYYSAAGAASEQKKPAAVVEVKVIR* >Brasy5G505300.1.p pacid=40072639 transcript=Brasy5G505300.1 locus=Brasy5G505300 ID=Brasy5G505300.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLVELIEGEYKLQTTGLKKDVESLLRELKTIEVALTKVAEVPREQLDKQVALWANNVKQLSYEMEDIVDSFMVRVEGSEPDTDLKRSKRFRKKIANFFKKGKTRHQIADKIQDIKIRVKEVADLREKYKVDDVRANPAAAANTIDPRIIALFKDQKELVGIEEPRNEVIKRLMMEGDDNGGVLNAKMQLKILSIFGFGGLGKTTLAKAVYDLQQSNFVCKAFVSVGQNPNLKKVFMDILLQLDKAESGLNASMLNEEQLIRKLRGFLENKRYLIVIDDIWDKIPWNIMKCALIDSNCGSRIITTTRILEVAKEAGDVYKQKPLSSGTSKELFFTRLSIGKCTITSGQPIEISEKILQKCGGVPLAIITIASMLAIKPWEDWSEVYDSIGFGDADSRYVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEKPYESIIYACRVHDLVLDMICYLSKEENFVTIHGSSNTEPQPSQSNVRRLALQNVAMDEEPNSDNTEIRQVRSFNAIMCRVNRRSFLSSFQGLRVLSMERCTFINVGCYLLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRYSGIKELPESVGQLRRLKCLRLPDNFRGALGWIGNLALLEELFLPHVSLEIVKELGKLTELRDYVACFKKSDDPMVDSILFNNMMKSLEQLEKLQAIQVSSHTSWAHVDLAYCEGYVLSRHLRCLELQIAFEKLPAWINSSSLPNLSHLTVGPDAVETQDVEVLGRFPELVYLDLKVDPDVIIPDIMGGGAFPKLRCYYTAASVRFLQGAMPSLECVECCIDDEGNGAKFERDIASIGNLPCLDRVIVYFGCDRVTREKGEAALRQAVEVHPNNNITLQVGGRSW* >Brasy5G513900.1.p pacid=40072640 transcript=Brasy5G513900.1 locus=Brasy5G513900 ID=Brasy5G513900.1.v1.1 annot-version=v1.1 MPPMQQPPVVLLLLLLVFFLASSYALASGSTDDEGAAATYIVYLNPALKPSPYATHLHWHHAHLESLSLDPTRSLLYSYTTAAPSAFAARLLPSHAAELQSHPAVASVHEDVLLPLHTTRSPLFLHLPPYDAPAAADVTGGADVIIGVLDTGVWPDSPSFVDTGLGPVPSRWRGSCDTKAADFPSSLCNRKLIGARAFFRGSSASAGAAAAAGGGRNGSSSSSHGVNGEVSTSPRDRDGHGTHTASTAAGAVVAGASLLGYARGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEQAIDDGVDVLSLSLGGGALPLSRDPIAVGALAAARRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAKLGNGETHAGMSLYSPGGGDEDDNDDKMFPLVYDKGVRTGSKLCMPGSLDAAAVKGKVVLCDRGGNSRVEKGQVVKQAGGVGMVLANTAQSGEEIVADSHLLPAVAVGAKSGDAIRRYVESNDDAEVALSFGGTAVDVHPAPVVAAFSSRGPNRLVPQLLKPDVIGPGVNILAGWTGSVGPTGLIADERRPKFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYAIDNNGSPLLDAAGDNTTATPWSFGSGHVDPVKALNPGLVYDTSIDDYVAFLCTVGGASSPRQIQAITGSSTANKANPTCQRKLSSPGDLNYPSFSVVYPLRKSRSTVKYRRELTNVGAAGSVYTVKATGGPSSVSVAVKPARLVFKKAGDKLKYTVAFKSSAQGAPTDAAFGWLTWSSADGEHEVRSPISYTWGM* >Brasy5G513900.2.p pacid=40072641 transcript=Brasy5G513900.2 locus=Brasy5G513900 ID=Brasy5G513900.2.v1.1 annot-version=v1.1 MPPMQQPPVVLLLLLLVFFLASSYALASGSTDDEGAAATYIVYLNPALKPSPYATHLHWHHAHLESLSLDPTRSLLYSYTTAAPSAFAARLLPSHAAELQSHPAVASVHEDVLLPLHTTRSPLFLHLPPYDAPAAADVTGGADVIIGVLDTGVWPDSPSFVDTGLGPVPSRWRGSCDTKAADFPSSLCNRKLIGARAFFRGSSASAGAAAAAGGGRNGSSSSSHGVNGEVSTSPRDRDGHGTHTASTAAGAVVAGASLLGYARGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEQAIDDGVDVLSLSLGGGALPLSRDPIAVGALAAARRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAKLGNGETHAGMSLYSPGGGDEDDNDDKMFPLVYDKGVRTGSKLCMPGSLDAAAVKGKVVLCDRGGNSRVEKGQVVKQAGGVGMVLANTAQSGEEIVADSHLLPAVAVGAKSGDAIRRYVESNDDAEVALSFGGTAVDVHPAPVVAAFSSRGPNRLVPQLLKPDVIGPGVNILAGWTGSVGPTGLIADERRPKFNILSGECTLCFLCSRLY* >Brasy5G081300.1.p pacid=40072642 transcript=Brasy5G081300.1 locus=Brasy5G081300 ID=Brasy5G081300.1.v1.1 annot-version=v1.1 MSTAEGKPPSPDPAAVDEMTREATAWCAMHGLVVGDRAGQRSGTVPGVGLVHAPISLLPARLPESFWRQACELAPIFNELVDRVSLDGDFLQDSLSKTRQVDDFTSRLLEIHRKMMDINKEENIRLGLHRSDYMLDSETNSLLQIEMNTISVSFPGLCSLVTKLHRVLINQYGNLLCLDSKRIPENVASQQFAEALSRAWDEFNVDSAVVMMIVQPEERNMYDQYWIVKYLKESYGVTTIRKTLSEVEAEGQVLPDGTLVVDGRTVAVVYFRAGYTPNDYPSEAEWSARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLENKEEIAKLRQCFAGLWSLDDEEIVKSAIEKPDLFVLKPQREGGGNNIYGLDVRETLIRLQKEGGDALSAYILMQRIFPKASLANLVRGGVSHEALTISELGIYGAYLRNKDKVVMNDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDK* >Brasy5G169200.1.p pacid=40072643 transcript=Brasy5G169200.1 locus=Brasy5G169200 ID=Brasy5G169200.1.v1.1 annot-version=v1.1 MALSWQRSRMSVESYMARLSSITHPCTLLSVMFLLSASARKFLRSPSLSLILSISTETPSSRCISSAAISVSMPCR* >Brasy5G453800.1.p pacid=40072644 transcript=Brasy5G453800.1 locus=Brasy5G453800 ID=Brasy5G453800.1.v1.1 annot-version=v1.1 MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD* >Brasy5G234000.1.p pacid=40072645 transcript=Brasy5G234000.1 locus=Brasy5G234000 ID=Brasy5G234000.1.v1.1 annot-version=v1.1 MAALGAVPLVGGIVFGAYAGWRDQWMVSRGHVATCAATTMVTREAGSRRGLRRRPSVVFCVCPCSVLCGSDGVRALALQSSLLCLLAVKSESPAGGAVADDDDAVWWTRWVPPAVWWRRCLVEGGSVFGDLQRKTCLSSCRSLSSKSELPRPRRVG* >Brasy5G125000.1.p pacid=40072646 transcript=Brasy5G125000.1 locus=Brasy5G125000 ID=Brasy5G125000.1.v1.1 annot-version=v1.1 MSRGQPVIPHGFVLPPQVDVSSSACSAPPPRPSSPVPPPPPFSSSARSLAPARPQSFLLSLLPGCRGSFTLEKRLVDSGR* >Brasy5G470600.1.p pacid=40072647 transcript=Brasy5G470600.1 locus=Brasy5G470600 ID=Brasy5G470600.1.v1.1 annot-version=v1.1 MEAGAAMGDFLLSRGASARWFVGLVYLVLTVCVLATAVALQVARRWPWCGCKVCRAYMSGSWARDFASVSDWYAHLLRRSPTRTLRVHVLGCTVTADPGNVEYMLRTGFDNFPKGKGVSAILGDLLGAGIFNVDGDAWRHQRKMASFQLGSVAVRSYAFRIVAREVDARLMPLLARAADVGMVVDLQDVFRRFAFDTICKISFGLDPGSLDIDMPMSDAAAAFDTATRLSAVRGMAVSPLLWKMKRLLSVGGEGEIKKAIKVIHELATAMIRERRSLGVDNKSPDLLSRFMSAGSHSGTANAMDNDNEDKFLRDIVVSFLIAGRDTISSGLTTLFMLLSKHRAAAAAMRAEATGTGTTKTSDANAMTYEELKSLHYTHAAVHENLRLFPPVQFDSKFCAAADVLPDGTYVDAGSRVMYHPYAMGRMPSIWGDDCEEFRPERWLTGPGATFEPVSLYKYPVFQAGLRVCLGKELAIMEMKAVCVAVLRAFDVEVVGERAVRECAPVFAPGLSASIQGGLPVKVSRV* >Brasy5G137800.1.p pacid=40072648 transcript=Brasy5G137800.1 locus=Brasy5G137800 ID=Brasy5G137800.1.v1.1 annot-version=v1.1 MFSFSFLLSILSVFLLQFRLAWLHGLLRRCRQLRRRHARADLPSPSLPLRGLLRPHSRALHIPLLRSRRAACAATVRPLRACSTPSAAFPFAAACLVCPTTARPCSAPHRRTKLRRSSRASLCRRARGMLFPQRRAKLRPCCCMLFHRRSSAPLRRQAACFAPQSRTNLGRAKEKVKSCKGRDGAEVALTGAGGGDHLLTAGEVAAARARKEELEKGGKRN* >Brasy5G464300.1.p pacid=40072649 transcript=Brasy5G464300.1 locus=Brasy5G464300 ID=Brasy5G464300.1.v1.1 annot-version=v1.1 MAESTIASSSSSSSSSFPATAAATVEDLPADVLRLVLRRLDGASLAALGSASSCFRGLADDPDTWRALCLAAWPSVRGLPSTLFSGAGGHAYYRSLYADAFPFPSSTSLPSSVATCARRRRRLPARLVSAVDLCHGGVHVMSRVVDTDATCPWFLGSPFRVDALLQEGFTVASSSPSPATSFAPEELTLSWILIDPATGRAVNASSRRPVRVDRPPRWLGNGDAVARFCLVIAGAGEEDGATSAVAMEAAVTCDGGGRGHVREAGGVSGEDARAAVAAAMAGGRRCGRRGEEDEEARRRSYEEFGKRRRERKEWKKRREGAIDLCCSGVVGAAALVAFLVLLVFR* >Brasy5G470800.1.p pacid=40072650 transcript=Brasy5G470800.1 locus=Brasy5G470800 ID=Brasy5G470800.1.v1.1 annot-version=v1.1 MEAEAYQLRRHALFATADEQHADITPELLIRALESDLQLPWDAVRAAPAFPEDFLIRFAEPHLRDMALERGFVMVRGVRYKFSVWEPCPLGCHRVWRYYCRVAIERIPHHAWRLDSLKRILGGTCIIDRVERQTDHMDNAAAMFVWVWTWCPDLIPRSSEFSIIERAGDSKAWLSVPEGTPADDGRQGPMLTVLIHLDTTKDYTPINPDISPGRRAPAWPRIYRYIWVLGVLDGGQAVQRRRIRSGATGPSRRRDDDEGGDNGGRRRTSHRSGRKRGVWHMVLEQAHCKDNSRVDPAPRRGRHRQSALGVCCSPSSVAISSPRPTTVLADSLTRRSSAPPPLSRSLPHPSHVQPATPAILLPSLPATSPSAATSTVPLGSPPPSPVAEISPLDEVLLAAGMRPDRSKGKAPVSDCFSQVDLAASAVPFASIPFLTDSLPATGLPTLPGSPKMLFSPSCQQICPVDDSSFWGLAAKVDDGLLCADAWPSSLWSSPMEPMLCEQPAFARPLSPCRSILAPPAAVEVGWPITGPFPELGSLGSPLRVFGLPLEPTSDCVFGPPTDYVFGPGAQLVPVPEGPALCAIDFAISDEEVRARRALEKANFTGPGLLDAITARVASLQIDEQRRFMTKIAVVLSPSLLGMPLIDHDANPPKSPVRKRLFSSNRKAARFTKTLSYMKTRSSQAVICRRLGLIENKADFTDDTLKLYLSFFRNPLPPSQSEALADLTGVNTAAKIALPDAELQAILDELLAMEG* >Brasy5G281100.1.p pacid=40072651 transcript=Brasy5G281100.1 locus=Brasy5G281100 ID=Brasy5G281100.1.v1.1 annot-version=v1.1 MGSSSGLVDWRGRPINSKKHGGVRASIFIHALVLLSNAANIANIMNLVTYLRGTMHMGVAEASTTASNFFAALQMFSIPAAFLADSYIKRVYAVLIFGPIEILGYILLAIQAHVPSLHPPPCSQTSGATTCEPVHGSNLSLLLLGLYLIPIGDGAARACLPALGGDQFDTADPAEQRQETSFFNWYTFAVSSGGFLGLVLIVWVQNRRGWDIGFALCALCVLLGMLIWIAGFPFYRNQLPGGSAITRMLQVLVVAFKKRNVELPKDTSELKQMNQDDSNNGLEKLERTAGFQCLEKSAIDMGETGPWSLCTVTQVEETKIVLRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTKLGGIHISPATLFLIPTVFQMVILVLYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATLATGVAALVETKRKRVAEENGLMDAATGIPISVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASTGMKSVGSSIFYCILGVSAWLGSLLIQVTNRVTRRGGSGAAAATGWLDGTNLNMGKLDRFYWLLAVLELVAFFIYMFFAWRYEYRNNQRVVDNTGATKAPLAPEGAAGDLII* >Brasy5G479900.1.p pacid=40072652 transcript=Brasy5G479900.1 locus=Brasy5G479900 ID=Brasy5G479900.1.v1.1 annot-version=v1.1 MVQSVPYDHTANIECLNNPTKPLYNGGIIQNSEFDNGLAGWSVPFGVNTTVVSSPSGNKFAETSNRAQPSRSVSQKFLMQANHHYSLSAWLRVSSGTGAVVKATFKAPDGAFVAGGAAVAKPGCWSMLKGGMTSYASGPAELFFEAGGAAVGIWVDSVSLQPFSFPEWDAHASLSSSKTRKTTVKLLARQRSSGDPLANATLRINLLKPGFPLGNAMTPEILSDPVYENWFASRFTAATFENEMKWYATEPRQNLEDYRVPDAMLRLAEKHGIKVRGHNVVWDDPKTQMNWVESLSLPDQLRAAVEKRVRSVVSRYAGKVVAWDVVNENLHGDFYESRLGADVSAQLYSQVGQTDREALLFMNEYNTLEVPADANALASKYVAKMNRIRNYPGNAGLKLAVGLESHFSSPNIPFMRATLDMLAQLRMPIWLTEVDVAAGPNQAGYLEAVLREGYGHPAVQGMVMWAAWHAKGCYVMCLTDNGFRNLPVGDVVDKLIAEWRTHPLEVTTGCDGAVELDLVHGEYSFTVTHPDLESPAVHTLTVDHAASSSYASVSSDISLDIKV* >Brasy5G454300.1.p pacid=40072653 transcript=Brasy5G454300.1 locus=Brasy5G454300 ID=Brasy5G454300.1.v1.1 annot-version=v1.1 MGAQKRWRFAMVCSSNMNRSMEAHAVLGRAALDVESYGTGSQVKLPGPSMHEPNVYDFGTPYGGIYEDLRRKDPDLYKRNGLLPMLKRNISVKLAPQRWQDNAGDGVFDMILTFEERVFDLVVEDMNNREPRLLKSVLIINMDVKDNHEEASVGAKLALDLCQKLQAVDDDWEEIIDDLIAAFEKQHKRKLTYYTSFY* >Brasy5G454300.2.p pacid=40072654 transcript=Brasy5G454300.2 locus=Brasy5G454300 ID=Brasy5G454300.2.v1.1 annot-version=v1.1 MGAQKRWRFAMVCSSNMNRSMEAHAVLGRAALDVESYGTGSQVKLPGPSMHEPNVYDFGTPYGGIYEDLRRKDPDLYKRNGLLPMLKRNISVKLAPQRWQDNAGDGVFDMILTFEERVFDLVVEDMNNREPRLLKSVLIINMDVKDNHEEASVGAKLALDLCQKVFKQHSICSG* >Brasy5G347200.1.p pacid=40072655 transcript=Brasy5G347200.1 locus=Brasy5G347200 ID=Brasy5G347200.1.v1.1 annot-version=v1.1 MCVVLDASSSARQLKMFKSDEIDHLGLPKETGLEQFPELLRTSKFKLPTRRTDFTSKLPPPSRPSPEPPTPLSPYPLLSRVLPRPVLLSSLPLGFRRRRALSSPPVSLAQALAASAKIHAHPDAPPPLLRHHLALRLLLPSAEALRPAAVESRRDVQDLVQDLVAGAPPSLRPSPCCS* >Brasy5G384600.1.p pacid=40072656 transcript=Brasy5G384600.1 locus=Brasy5G384600 ID=Brasy5G384600.1.v1.1 annot-version=v1.1 MLAQLLLVLAHFLFLLPSSSSSSSHHHHHRREGRHYQRYGGRRALHEPLFPLENAPSLPLPPPPPAPFFPFLPDAAPPQTTTAPGIAGAGAGTDGGDSSSPNPTAQANISSLAQPNSPSPPLRSFLSSHRSLTILLPFAAVSAAVLAAALVYLLTVRRRDRPEPEEPPVVYKKPALPLPTKPALHDDDQQRGRGSTATVSGTSSPELRPMPPLPRQFQQTRMSSLSSSEPVLGEDGTGDKVAPLGVPVPPPPPPPPLPPSKGGVSAPAAPAPPPPLPRAGNGSGWLPRRLSERPAPTVIRASAGAVHPEDQSSEPEEKEADAAAPRPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRMSKNGFKEANGACCNQENKVLDAKKSQNIAIMLRALDATKEEVCKALLDGQAESLGAELLETLLKMAPSKEEDIKLREYREDALSKLGPAESFLKAVLAIPFAFKRAEAMLYMANFDSEVDFLKASFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGTDGKTTLLHFVIEEITKSEGANIVASGETNNQASTVDDLQCKKVGLKIVASLGGELNNVKKAAAMDSDSLASCVSKLSAGVSKISEVVQLNQQLGPDDRCKKFRASISEFLQKAEAEITAVQAQEGLALSLVRETTEFFHGNSAKEEGHPLRIFMVVRDFLTVLDRVCKDVSRMNERTSATGFVSSRRPENATALPRFNVVQSSSSEEESSSS* >Brasy5G175000.1.p pacid=40072657 transcript=Brasy5G175000.1 locus=Brasy5G175000 ID=Brasy5G175000.1.v1.1 annot-version=v1.1 MPAPSPDPVPPPSSPPSNAAAAPSRHTPSSPPIKGRRHALPSPAPTTRREAARDRPGADRPSRRPSPLLPPRWKGPKDQQLRRPRQRRPAFAHVPLLKKVPEATCY* >Brasy5G069500.1.p pacid=40072658 transcript=Brasy5G069500.1 locus=Brasy5G069500 ID=Brasy5G069500.1.v1.1 annot-version=v1.1 MTLAYLQPEALHLNLRPFSTPYNYEHHAVPRGSFQGMQQAYVNSPCGVPRAAASPDSRHEEQPKQEIESDASSPEEDGRKGVRINWNDEENVRLASSWIENSNDPVEGNAKKSEFYWRSVTEDFNKNRPTNGTIRTAKQCKSHWSTLNKGIAAFNGVYERAKSAYSSGQCDKMLKSKTREWYKAENNQKAFTMEYLWDQVKDNPKWRRIYMKDDKSKRTKISETGAYASSSNPEGEETTREMRPEGQKAAKARRKAKAVIDEPCENMKMYHSAMSKKSEGQVAIACATNQRTKFNKMSKYLDMMEKDTSSFSEERLKRHNQALDQMQLELFG* >Brasy5G207600.1.p pacid=40072659 transcript=Brasy5G207600.1 locus=Brasy5G207600 ID=Brasy5G207600.1.v1.1 annot-version=v1.1 MAAVLDPLVGSCITKLQEIIAEKAVLILGVKDELKKLQRAMKQIRCFLDDAEERRIEESAVNNWLSDLRDAMYDADDIVDSARFEGSKLLKDHESSSRNSTACCGISFLSCFPVIQKRHEIAVKIRELNDRVEKLSKHGNSFLHLSAGPTPQGSTSKRRESSKLVQPNLVGKEIMHSSKKLVDLVLACKGHKDYKLAIVGTGGVGKTTLAQKIYNDQKIKPDFQKQAWVCVSQECNEVNLLKEILRNIGVYQDQGETIAELQNKIAETIEGKSFFLVLDDIWKSSVIDLLEAPIDFAASSVILVTTRNDRIAMDIHAAHTHRVNLMSEEVGWELLWKSMNINEEKEVQNLRNTGIEIIKKCGYLPLAIKVIARVLTSKDQTENEWKKILSKISAWSDNKFHDDIEGALYLSYNELPHHLKQCFLYCALYPEDSTINRDDLVRLWVAEGYVEEQEGQLLEETGEEYYYELIHRNLLQPDGSTFDHDSCKMHDLLRQLACYLSRDECFTGDPESLEGQSMTKLRRVSAVTKKDMLVFPTMDKEHLKVRTLRKLYGVAQGVDHSLFKKLLLLRVLDLTGSSIQTIPDCIANLIHLRLIGLDGTAISCLPESIGSLINLQILNLQRCDALHSLPSTITQLRNLRRLGLEETPINQVTEGIGRLKFLNDLEGFPIGGGSDISKTQDGWKLEELGQLSRLRRLGMIKLERASSCSIEPLLMDKKYLKILHLICTKHPVEPYLEEDVGNTETIFEQIIPPDNLENLNIINFFGRRFPTWLGTTHLVSVKHLNLIDCNSCVHLPPLWQLPNLKYLRIEGAAAVTKIGPEFVGCRGVIPYPRWQLLSPGLNR* >Brasy5G157800.1.p pacid=40072660 transcript=Brasy5G157800.1 locus=Brasy5G157800 ID=Brasy5G157800.1.v1.1 annot-version=v1.1 MESSPPPAVVVEAEAAAREPKKKRRLTQEEIEHAMAMERRPPFRPPGLDLFARLGARGQAVLESLARAAEQVEKIQDERMAYKERVIREFAAKGYVEVTDYEDDDEEEEEKEWHRDMIFDDDEE* >Brasy5G501000.1.p pacid=40072661 transcript=Brasy5G501000.1 locus=Brasy5G501000 ID=Brasy5G501000.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLAELLQEEYNLQTGVKKDVESLSRELESIEVALTKVAEVSLDQLDKQVRLWARNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIADKIQDIKVRVKEVADLRDRYKVDDVRANPGATNTVDPRIMALFKDQRELVGIEEPRNELIKRLMMDGDDGGSNSKMQLKTLSIFGFGGLGKTTLAKAVYDMIQSNFVCKAFVSVGQNPSLKKVFMDILRQLDENSYLNATMLDEEQLIGKLRGLLEKKRYLIVIDDTWDKSSWHMMKCALVDSNCGSRIITTTRIFEVAEEADDVYKQKQLSSGTSKELFCTRLSIGKCKITSRQPIEVSEKILQKCGGVPLAIITIASLLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEKPYESIIYACRVHDLVLDMICYLSKEENFVTIHGSSNTEPQPSQSNVRRLALQNVAMDEEPNSDNTEIRQVRSFNAIMCRVNRRSFLSSFHGLRVLSMERCTFINDGCYHLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRHSGIKELPESVGQLRRLKCLRLPDNFRGALGWIGNLALLEELFLPDVSLEVVKELGKLTELRDYVACFKKSDDPMVDSILFNNMMKSLEQLEKLQAIQVSSHTSWAHVDLAYCEGYVLSRHLRCLKLRIAFEKLPAWINSSSLPNLSHLTVGPDAVETQDVEVLGRFPELVYLDLKVDRDVIIPDIRGGGAFPKLRCYLTNASARFLQGAMPSLECVECYIPDEGNGAKFETDIASIGNLPCLDRVRVDFWCERGTREKGEAALRQAVEVHPNNITVEVAGRSW* >Brasy5G110100.1.p pacid=40072662 transcript=Brasy5G110100.1 locus=Brasy5G110100 ID=Brasy5G110100.1.v1.1 annot-version=v1.1 MAFAALFADSLYCPEEHLDLFHEPAEEEEQWGPVVDDEAQALLEALRGKEEELMAMAPEVVGDGGYGEGGREAAVGWAAGAAARLGFSALTAALATAYLDGCFLPLRMRLDGRPWMARLAAVACVALAAKVEETRVPALLDLQLCAAAGAEEEEGGAYVFEPKTVRRMELLVLSTLAWRMHPVTPFSFLHPLALPAPRLQRCEAALLAAMPDRRWPRHRPSSWAAAALLATTTGDDDAQLLALINAPEDEVAECANILIVLNGADSNKRKRAAGNNASPPLSPSGVIAAAAFFSSESSADSWPPASLPASVSSSPDRTGRPFKRAATDDAWP* >Brasy5G039900.1.p pacid=40072663 transcript=Brasy5G039900.1 locus=Brasy5G039900 ID=Brasy5G039900.1.v1.1 annot-version=v1.1 MKLWRRLVDDEVHRAREKRGPVLKMTAWSGGSGQVRRPAAAVGKGGRGGSGGGGDELGAAGAVGKGGRGSCGKGSCSGGDELGAAAAAVKEKGLRDRGQEARRGVVLLVGGEHEAVIRWGALRMESGEGKNPTEGWRPFLRF* >Brasy5G397000.1.p pacid=40072664 transcript=Brasy5G397000.1 locus=Brasy5G397000 ID=Brasy5G397000.1.v1.1 annot-version=v1.1 MAERTVNFVTSAETGELTSRVFSGLIQRYSKHSATPEKLQQLEMLLIKIHSAVEAYENRIIENSWLLCWRDNLKEAAKQGDKVLASFQQRVKDAQATKTDGNPQQGEAASSAAAAPVTVVSAPSFPRNYLSSIVQGIRCAREMMFSSGNKAVELADRRDLKDLEWLAYEAGILRLAKEPGRDVLDTTRSCKTSNVEDSKKSTVECDQDKDVLTFVLNLEGLAKDVEYFSKLVYLSHLQEEQQGALLQDRLKAAFAEICKAVELADSRDIEGLEWLAYGEGTRQYQKDELGGFLHRLESLARDVGHFSKLVHLFPAC* >Brasy5G192900.1.p pacid=40072665 transcript=Brasy5G192900.1 locus=Brasy5G192900 ID=Brasy5G192900.1.v1.1 annot-version=v1.1 MATPSSSAHITIFMTVLLLAVSVSSSPSPTNDSDTDLAALLAFKSQLADPLGILAGNWTSGTSFCQWIGVSCSHRRQRVTALLLPGMPLVGSVAPHLVFCSIAICLYLWIGKKLKKKGDVKSHVDLTAGIGHEIVSYHELVRATNNFSEENILGTGSFGKVFKGQMNSGLVVAIKVLDMQLDQAIRSFDAECRVLRMARHRNLIRIHNTCSNLDFRALVLPYMPNGSLEMLLHQSHSTIHLGFLERLGIMLEVSMAMEYLHHEHFEVILHCDLKPSNVLFDDDMTAHVADFGIARLLLGDANSMISAGMPGTIGYMAPEYGSLGKASRKSDVFSYGIMLLELFTGRRPTDAMFNGELSLRQWVDKAFPGELVHVADVQLLQDSSPSSCSVDNDFLVPVFELGLLCSCESPEERMAMKDVVVKLKKMKTEYTKRRAAVQTAECRTAAAQQ* >Brasy5G303000.1.p pacid=40072666 transcript=Brasy5G303000.1 locus=Brasy5G303000 ID=Brasy5G303000.1.v1.1 annot-version=v1.1 MPVVSTPSQTNITMCYKLRVVRLMVAGILVRMLTTKSPRVFFKPSRICQPAFSLGARTSPTSVTSNPNTKQTPFRELQRQVSFKIDETSKILKNARQMFLDAIVDSTFKFADQVLHPSESNFAPVDENSGSIEILQIEGEIPQDFPEGVYIRNGSNPLFGALHSTISVFGQSREIWVEGEGMLHALYFTKNHSSSWSVSYANRYVQSETLKIEKAREKPCFLPAVEGDSAAIIAAYILNYLRFGKVNKNISNTNVFEHAGRVYAGAESDHPHEIFIQNLETGNTWDLGREWDRPFTTHPKVAPGTGELVIFGSDAQRPFLVVGVVSDDGTKLKHKVDLKMGRCTLCHDIGVTLKYNIILDLPLTIDIARVTKGGQLIKFEKDGYARIGIMPRYGDAESVMWFDVEPFCMFHLINCFEEGDEVIVQGLRSADSAIPGPRHGLNKHDMLPETCDLTRNGKIMKQGINEKLFSRLYEWRLNLKTKTVLGEYLTGTELSLEFPMINDQYTGVHHNYAYAQIVDSVTRSAGTSEKVLPKYGGFVKLYLEERDNVIIKTSAEDLIKMENHWLGEDQFCSGASFVRRVGASREDDGWIISFVHNERTNTSQVHIIDTLRFEGAPIVKITLPQRVPYGFHGTFVHNPKTGV* >Brasy5G224400.1.p pacid=40072667 transcript=Brasy5G224400.1 locus=Brasy5G224400 ID=Brasy5G224400.1.v1.1 annot-version=v1.1 MPRRTEDAVFAKPAEPEECLKFDDHEEDVEEEEVEYEEIEEEVEVDDDEEDILEEVEVDEEEEEEEEEEDPEEREVFDEVNDRQDSDSKLEVVPQQDAPKDGKDKDKHAELLGLPPHGSEVYLGGISNDASSEDLKELCEPVGEVVEVRIMPGKRESKGYAFVTFKTKDLALKAIEKLSNTTFKGKKIRVSSSQAKNKLFVGNVPNSWSHDDLKKAVEEVGPGVLKVDLIKDPRTDRNRGYGFVEYYNNACAEYSRQKMSTPNFKLDTNAPTVSWADPKNGDSASTSQVKSVYVKNLPKNVTQGQLKKLFEHHGEITKIVLPPSKDGHDNRYGFVHFKDRHMAMKALKNTEKYELDGQLLDCSLAKPPADKKDDTVSVSSSHKSSAKGGPLLHAPLGYGIMPRPDAYGAPPYGAAQPMLYAPGAPPGAAMVPMLLPDGRLVYVVQQPAAQQHFASPPPQARQHHHQHQHFASPSPQARQGGRYGGSGGSSRSGSSTKRQRGDDNNSGSRNKGRQRR* >Brasy5G224400.2.p pacid=40072668 transcript=Brasy5G224400.2 locus=Brasy5G224400 ID=Brasy5G224400.2.v1.1 annot-version=v1.1 MPRRTEDAVFAKPAEPEECLKFDDHEEDVEEEEVEYEEIEEEVEVDDDEEDILEEVEVDEEEEEEEEEEDPEEREVFDEVNDRQDSDSKLEVVPQQDAPKDGKDKDKHAELLGLPPHGSEVYLGGISNDASSEDLKELCEPVGEVVEVRIMPGKRESKGYAFVTFKTKDLALKAIEKLSNTTFKGKKIRVSSSQAKNKLFVGNVPNSWSHDDLKKAVEEVGPGVLKVDLIKDPRTDRNRGYGFVEYYNNACAEYSRQKMSTPNFKLDTNAPTVSWADPKNGDSASTSQVKSVYVKNLPKNVTQGQLKKLFEHHGEITKIVLPPSKDGHDNRYGFVHFKDRHMAMKALKNTEKYELDGQLLDCSLAKPPADKKDDTVSVSSSHKSSAKGGPLLHAPLGYGIMPRPDAYGAPPYGAAQPMLYAPGAPPGAAMVPMLLPDGRLVYVVQQPAAQQHFASPPPQARQHHHQHQHFASPSPQARQGGRYGGSGGSSRSGSSTKRQRGDDNNSGSRNKGRQRR* >Brasy5G363200.1.p pacid=40072669 transcript=Brasy5G363200.1 locus=Brasy5G363200 ID=Brasy5G363200.1.v1.1 annot-version=v1.1 MWLLAFERFMSVPGRQHTQARGSTSIASVAKRKGSPSQQEDNSQGSKRIRCSGTDLPKALHK* >Brasy5G131400.1.p pacid=40072670 transcript=Brasy5G131400.1 locus=Brasy5G131400 ID=Brasy5G131400.1.v1.1 annot-version=v1.1 MSTTKRRQGQANYCDRTGKRLRPVQKHKHLYLILDDWTKGYSIRKIDLDTLQNSSTDLDLDLEPTVLRLVAPDPGHVMNFAVLGSNIFAASYPQKKKKKHLRRELGISWHPEALATGPPLPDPLLDGLRIFVATADMQHLYALKYNFRERQQYFAVMSTFGGIKDHPTHSSNPSQDWSWQSVPSPLPFSKDASITSYALHPDGSTIFMSTLARRCPRRTFSFDTGLCEWRCHPEWTLPFVGQGYFDSELDAWVGLHEDGYICSCQAVSCSSSTVTTQPDWKMTKEKLLKHPRGKGATLTYMGNTRFCLVESVVREQHEFDDDSDDDCNGYMLYITIFGLKYSREGELQTTIRRTAKSYKVSKHFMSFSPVAFWL* >Brasy5G253300.1.p pacid=40072671 transcript=Brasy5G253300.1 locus=Brasy5G253300 ID=Brasy5G253300.1.v1.1 annot-version=v1.1 MVTKAPLGVLAILVIAVLAARQGCAAAKTPQAVTVMDKIVKQEVQKAINRDPGVGAALVRLVFHDCWVRGCDASVLLDKTPSGGSVEKKAANNIGLRGFEVIDNIKARLHDVSCADIVAFAGRESARILSKGNIDYDLVTGRLDGVVSSATEADATLPEPTFNFQQLKDNFNKTAGFDVEDLVILSGAHSIGVAHRTSFQDRLHEATQTPIDPNYQRALGCVVKIDEQKTPNPTEKNNIRDTNELFQCFSGYNSSGVILDHPKAGSLDNSYYSANLQFKVLFRSDWELNNDGFAVDRLNEYKDNATDWELDFSDAMSRLSNLTTSGPLFEIRKNCRRTNSRTNTDDSY* >Brasy5G350600.1.p pacid=40072672 transcript=Brasy5G350600.1 locus=Brasy5G350600 ID=Brasy5G350600.1.v1.1 annot-version=v1.1 MPDDFASPTFAANVNDSHQRSKVLDDDMYSPKYPKSVIRSRSSSYASDPESEPLEEMDKTDELPSSESPNSPEERENKKQAYLSPILPTEDEGTQISLPSFGKGYKSRKWLSDVDSPDKSPPRVDFLLPSVLLLLSAPLKFASTASSNELPPHTAVGSQSHLLPPVLILLCTSRLPCPSGDGSARLSMCGAAAQLR* >Brasy5G165500.1.p pacid=40072673 transcript=Brasy5G165500.1 locus=Brasy5G165500 ID=Brasy5G165500.1.v1.1 annot-version=v1.1 MEGESFSGMGMGMNGVGGQGQGQQGVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHHGGAASSDADSSGTLRSSAAAAAAAGQKRLRPA* >Brasy5G165500.3.p pacid=40072674 transcript=Brasy5G165500.3 locus=Brasy5G165500 ID=Brasy5G165500.3.v1.1 annot-version=v1.1 MEGESFSGMGMGMNGVGGQGQGQQGVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHHGGAASSDADSSGTLRSSAAAAAAAGQKRLRPA* >Brasy5G165500.2.p pacid=40072675 transcript=Brasy5G165500.2 locus=Brasy5G165500 ID=Brasy5G165500.2.v1.1 annot-version=v1.1 MEGESFSGMGMGMNGVGGQGQGQQGVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHHGGAASSDADSSGTLRSSAAAAAAAGQKRLRPA* >Brasy5G165500.4.p pacid=40072676 transcript=Brasy5G165500.4 locus=Brasy5G165500 ID=Brasy5G165500.4.v1.1 annot-version=v1.1 MEGESFSGMGMGMNGVGGQGQGQQGVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHHGGAASSDADSSGTLRSSAAAAAAAGQKRLRPA* >Brasy5G165500.5.p pacid=40072677 transcript=Brasy5G165500.5 locus=Brasy5G165500 ID=Brasy5G165500.5.v1.1 annot-version=v1.1 MEGESFSGMGMGMNGVGGQGQGQQGVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHHGGAASSDADSSGTLRSSAAAAAAAGQKRLRPA* >Brasy5G005600.1.p pacid=40072678 transcript=Brasy5G005600.1 locus=Brasy5G005600 ID=Brasy5G005600.1.v1.1 annot-version=v1.1 MATPSSRAARTRWSALAASALIQCFAGSSYCFGVYSPALKASQGYDQSALDAVAFFKDVGANAGVLSGFLAAWEPAVGRRRPWLVLLAGAALCAAGYLPMWLAVKGFAPAPLPLMCFYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVHRTLHIDPGSFILMLAILPTAITLLLMYFVDVHSSHRRYNKKFLDAFSLIAVTVAGYLMVVIIFDQVFEISSAVQSVCFVILLLLIMSPVAVVTKAQKTQSAELEEPISEERTGLLREETAEDSENASSSTALVGSTEDMSSGKENLNVVQAMCKLNFWLLFLAMSCGMGSGLATVNNISQIGDSLGYTSRETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGLGRPFFIGATLLVMSIGHAIISSGLPASLYIGSVLVGLCYGSQWALMPSITSEIFGLNHFGTIFNTVAVASPVGSYILSVRVVGYIYDRESTIQGKLACAGKHCFALSFMIMACVCVFGSAVAFVLFIRTRKFYRRVVYARLQCFVEK* >Brasy5G137700.1.p pacid=40072679 transcript=Brasy5G137700.1 locus=Brasy5G137700 ID=Brasy5G137700.1.v1.1 annot-version=v1.1 MSSAGRRGRSPPSKRPWHEDDIPSSSHCGCARPSSSPRRRRSDDENDPELQHVLRVSELLDTCEQRAREADHRQMAAARRASKVEAYAIECRRGGAGGVGVTDRAPQLGAYQHCRGAGEAVLAAVDAMHAEDDDSGSDSTTDNDGDDAAL* >Brasy5G172100.1.p pacid=40072680 transcript=Brasy5G172100.1 locus=Brasy5G172100 ID=Brasy5G172100.1.v1.1 annot-version=v1.1 MASADQGQQLSCMDESQMQQPDDPVYYSEAEEEEAPRDTADEESKEEKIPPDFMTKVKTKVSKEEKIPQDFMAKMKTKVSKAIAKRPPEPPTICEVPGDLADGNKGAYTPKVVCIGPLFDSQRNTASMLRLEKYKWCCVRKLIVGRKRPVATSWSQEVHEPLLLECFRKMMRLVPRVRAAYNTFSSSSSNEDVLALGGTSDEQLATKMLLDGCFVLRRLLKYDRIAKLRSSNVKDRDEDDLDEWTQMMGRCWVWGTVKRDLLLLSNQVPFFVLRKLFKHLNTGGDLVNGGLQLLSSLHPHRLNSAPIACKGVHHLLHLYYVSIDFPRDLEKPNPPNESSSSGLMEPEAELTRWLPCAKELEEAGVGFIPRTREQGATSFLDVRFRGGNIEIPPLQLYDYSEPLFRNLIAFEQTYPRTPGRITAYFIFMDCLLKKAQDVRILHRSGVLVNHMNGDRADTAMEFFSRLCAEVHTSADRNYLAGVMEDVARYQRGSWPRWRVALVSGYLTNPWVITSVVVAAVVLALTVLQSYYSVASYYK* >Brasy5G133900.1.p pacid=40072681 transcript=Brasy5G133900.1 locus=Brasy5G133900 ID=Brasy5G133900.1.v1.1 annot-version=v1.1 MTTTTPAPLEQEDEEMLVPHQEVAAVAADAAQPMEVVAQTEVVSTADCQPPEDPQTSRFTWTIQNFTRLIGKKHYSDVFVVGGYKWRVLIFPKGNNVEHLSMYLDVADSANLPYGWSRSAQFSLAIVNQIDQKYTTRKDTQHQFSARESDWGFTSFMPLSELYEPSRGYLVNDTIVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLADQWYKFDDERVTKEDTKKAFEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQTGKDIYFDLVDHEKVRSFRIQKQLPFNTFKEEIAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEETQSVGQLREISNKAQNAELKLFLEVEFGLDLQPLPPPEKSKEDILLFFKLYNPEKETLCFVGRLFVKALGKPSDILRKLNEMAGFTPDEEIELYEEIKFEPNVMCEHIDKKLTFRSCQLEDGDIVCFQKSPKANSDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFCLELSKLHTYDDVVERVARQLGLDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHSATKDEVVIHSIRLPKNSSIADVINDLKTKVDLSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNPSPHDRLIHVYHFTKDPLQNQQIQNFGDPFFLAIHEGETLAQVKERIKRKLQVADEEFSKWKFAFVSMNRPDYLEDADVVSARFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHTHEKPVKIYN* >Brasy5G133900.2.p pacid=40072682 transcript=Brasy5G133900.2 locus=Brasy5G133900 ID=Brasy5G133900.2.v1.1 annot-version=v1.1 MTTTTPAPLEQEDEEMLVPHQEVAAVAADAAQPMEVVAQTEVVSTADCQPPEDPQTSRFTWTIQNFTRLIGKKHYSDVFVVGGYKWRVLIFPKGNNVEHLSMYLDVADSANLPYGWSRSAQFSLAIVNQIDQKYTTRKDTQHQFSARESDWGFTSFMPLSELYEPSRGYLVNDTIVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLADQWYKFDDERVTKEDTKKAFEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQTGKDIYFDLVDHEKVRSFRIQKQLPFNTFKEEIAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEETQSVGQLREISNKAQNAELKLFLEVEFGLDLQPLPPPEKSKEDILLFFKLYNPEKETLCFVGRLFVKALGKPSDILRKLNEMAGFTPDEEIELYEEIKFEPNVMCEHIDKKLTFRSCQLEDGDIVCFQKSPKANSDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFCLELSKLHTYDDVVERVARQLGLDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHSATKDEVVIHSIRLPKNSSIADVINDLKTKVDLSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNPSPHDRLIHVYHFTKDPLQNQIQNFGDPFFLAIHEGETLAQVKERIKRKLQVADEEFSKWKFAFVSMNRPDYLEDADVVSARFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHTHEKPVKIYN* >Brasy5G011600.1.p pacid=40072683 transcript=Brasy5G011600.1 locus=Brasy5G011600 ID=Brasy5G011600.1.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEERLAGASLLVFANKQDMQGALKPAEIAKVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.5.p pacid=40072684 transcript=Brasy5G011600.5 locus=Brasy5G011600 ID=Brasy5G011600.5.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEERLAGASLLVFANKQDMQGALKPAEIAKVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.4.p pacid=40072685 transcript=Brasy5G011600.4 locus=Brasy5G011600 ID=Brasy5G011600.4.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEERLAGASLLVFANKQDMQGALKPAEIAKVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.2.p pacid=40072686 transcript=Brasy5G011600.2 locus=Brasy5G011600 ID=Brasy5G011600.2.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEERLAGASLLVFANKQDMQGALKPAEIAKVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.6.p pacid=40072687 transcript=Brasy5G011600.6 locus=Brasy5G011600 ID=Brasy5G011600.6.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEEVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.7.p pacid=40072688 transcript=Brasy5G011600.7 locus=Brasy5G011600 ID=Brasy5G011600.7.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEEVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G011600.3.p pacid=40072689 transcript=Brasy5G011600.3 locus=Brasy5G011600 ID=Brasy5G011600.3.v1.1 annot-version=v1.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCQAELHNLLKEEVLNLAAMDKDRHWKIVGCSAYTGDGLLQGFDWLVQDVASRIYVLD* >Brasy5G082000.1.p pacid=40072690 transcript=Brasy5G082000.1 locus=Brasy5G082000 ID=Brasy5G082000.1.v1.1 annot-version=v1.1 MTPGSCFTDVSFFAASRSPAVERRLIADDVEGPPWLLPECAPARDVVPHRRVRKIVDSPVALHLEEGRPGAAEMLRDPLLPARSRRRRRHGPAAQAENPAVGKATVQRHGLAGPRQRLGLIEAEPRRVGGLFPFPRLPRQSLRHRCLGLGAPAKRRGTQQNGEAEAAAPSVMGRGADASDTPWLLLASWKPRDAVAHHRGSAPPRWPPEWPGRERDGKEGEGGEGIATLGCFFFLESRR* >Brasy5G497300.1.p pacid=40072691 transcript=Brasy5G497300.1 locus=Brasy5G497300 ID=Brasy5G497300.1.v1.1 annot-version=v1.1 MESDGEVSPPSPPPQGPCGAGHRASHSLPTSAGGRVCVSCAAALLSSASAPSHHVSHVLASLSLALADPAFLAPLRAAHPHLLAAPLADALAGAASRRDAALAAQASDLAADLASAVGPPAASGLVARLARLLSSGSLVKHLHTLHCMGVLLNSIEGAASYIGEKISLFLNLVNDLRLPSDEIRGEILFVLYKLSLLNSTPWDNICDNDNVDLSAIGRSLLQLSLEVLLKTQNNAVRLNCIALLLTLAKKGAFDILLLGDQNLMNSIEAEGSMQTDDVSLNASIIVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIFVLLQTLIDENVADYVFEVLRLSGSNDTLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVFHYVAEIPFHPVQPQVLRLVWICIVNCSGILSLSQEEQIACTLTSILRRNGNGELGNKENISVKKDLEDSVTNICGANLLQWLESAVADGDDDEALGEILQIFHIILSRTSHNKQLKFAEMLASSSWFSLSFGFMGLFPTEDVKSVVYLVTSSVVDKILGCKYGETIRDAYVYLPSDPAELMHLLGQCSLEDFNLAACQCAILVILYACSFYNERLVGDNQILALVEQYILLNGAKFPYEITGPVMLTLLVHLYAFVRGVSFGCSISHSPEVEKTLFRVMACNEWDFLLIRVHPIALKWLFQKGELMEPLSIQMLNFCRTFSEDKTVMLSNSSQLVDIQMIAELVLVGETSISYLLVSLLNQVVNEGTEDDVFSVVNVIHEILVIAPCSSDQFTSCGVVDAVGSIYCSPYSSRIKTLCSFLIFNILCSASVMALAQEDEWLALTMKLLEYFNSSLDYISSHQEQNILIGIFCLILHHSANKVLMEPAKAIILNSSLVSLTDGVIQEACAKGPSLLQHNQETDFGGFMIFILQLVYFSLRSLHAILDPSIDWQEFLQDSDNPQSFSVVGISCHDLCRLMYFGPCPIKLIASQCLLELITRISEQRSYLSAELRCSAKYMKSIIAVVEGLVLSQDSRVAENCGSSLSMILRWEKFGSQENTLVRKSKWSRLIMEEFAVSLTAPGLTSKSFSNHQKIAASIAVSLLKLSPVPDWLTSLFDYSLISGVVANLSARNITAEIVNLFSELMAKKYLTQEHIVALHNLFQVCRKQVYERSSKACLSDKKAEEREARSVDDERALLFDMVLNQRAASVQMEQQKLLHEIDLFFQESSQRQQQ* >Brasy5G497300.3.p pacid=40072692 transcript=Brasy5G497300.3 locus=Brasy5G497300 ID=Brasy5G497300.3.v1.1 annot-version=v1.1 MGVLLNSIEGAASYIGEKISLFLNLVNDLRLPSDEIRGEILFVLYKLSLLNSTPWDNICDNDNVDLSAIGRSLLQLSLEVLLKTQNNAVRLNCIALLLTLAKKGAFDILLLGDQNLMNSIEAEGSMQTDDVSLNASIIVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIFVLLQTLIDENVADYVFEVLRLSGSNDTLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVFHYVAEIPFHPVQPQVLRLVWICIVNCSGILSLSQEEQIACTLTSILRRNGNGELGMCSETFVLVCLMLIEILRSPSAHNIQALPSFIEEASKHAISSTLPHGYDCAFLVPHSLRLLKEALIFCLEGNKENISVKKDLEDSVTNICGANLLQWLESAVADGDDDEALGEILQIFHIILSRTSHNKQLKFAEMLASSSWFSLSFGFMGLFPTEDVKSVVYLVTSSVVDKILGCKYGETIRDAYVYLPSDPAELMHLLGQCSLEDFNLAACQCAILVILYACSFYNERLVGDNQILALVEQYILLNGAKFPYEITGPVMLTLLVHLYAFVRGVSFGCSISHSPEVEKTLFRVMACNEWDFLLIRVHPIALKWLFQKGELMEPLSIQMLNFCRTFSEDKTVMLSNSSQLVDIQMIAELVLVGETSISYLLVSLLNQVVNEGTEDDVFSVVNVIHEILVIAPCSSDQFTSCGVVDAVGSIYCSPYSSRIKTLCSFLIFNILCSASVMALAQEDEWLALTMKLLEYFNSSLDYISSHQEQNILIGIFCLILHHSANKVLMEPAKAIILNSSLVSLTDGVIQEACAKGPSLLQHNQETDFGGFMIFILQLVYFSLRSLHAILDPSIDWQEFLQDSDNPQSFSVVGISCHDLCRLMYFGPCPIKLIASQCLLELITRISEQRSYLSAELRCSAKYMKSIIAVVEGLVLSQDSRVAENCGSSLSMILRWEKFGSQENTLVRKSKWSRLIMEEFAVSLTAPGLTSKSFSNHQKIAASIAVSLLKLSPVPDWLTSLFDYSLISGVVANLSARNITAEIVNLFSELMAKKYLTQEHIVALHNLFQVCRKQVYERSSKACLSDKKAEEREARSVDDERALLFDMVLNQRAASVQMEQQKLLHEIDLFFQESSQRQQQ* >Brasy5G497300.2.p pacid=40072693 transcript=Brasy5G497300.2 locus=Brasy5G497300 ID=Brasy5G497300.2.v1.1 annot-version=v1.1 MGVLLNSIEGAASYIGEKISLFLNLVNDLRLPSDEIRGEILFVLYKLSLLNSTPWDNICDNDNVDLSAIGRSLLQLSLEVLLKTQNNAVRLNCIALLLTLAKKGAFDILLLGDQNLMNSIEAEGSMQTDDVSLNASIIVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIFVLLQTLIDENVADYVFEVLRLSGSNDTLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVFHYVAEIPFHPVQPQVLRLVWICIVNCSGILSLSQEEQIACTLTSILRRNGNGELGMCSETFVLVCLMLIEILRSPSAHNIQALPSFIEEASKHAISSTLPHGYDCAFLVPHSLRLLKEALIFCLEGNKENISVKKDLEDSVTNICGANLLQWLESAVADGDDDEALGEILQIFHIILSRTSHNKQLKFAEMLASSSWFSLSFGFMGLFPTEDVKSVVYLVTSSVVDKILGCKYGETIRDAYVYLPSDPAELMHLLGQCSLEDFNLAACQCAILVILYACSFYNERLVGDNQILALVEQYILLNGAKFPYEITGPVMLTLLVHLYAFVRGVSFGCSISHSPEVEKTLFRVMACNEWDFLLIRVHPIALKWLFQKGELMEPLSIQMLNFCRTFSEDKTVMLSNSSQLVDIQMIAELVLVGETSISYLLVSLLNQVVNEGTEDDVFSVVNVIHEILVIAPCSSDQFTSCGVVDAVGSIYCSPYSSRIKTLCSFLIFNILCSASVMALAQEDEWLALTMKLLEYFNSSLDYISSHQEQNILIGIFCLILHHSANKVLMEPAKAIILNSSLVSLTDGVIQEACAKGPSLLQHNQETDFGGFMIFILQLVYFSLRSLHAILDPSIDWQEFLQDSDNPQSFSVVGISCHDLCRLMYFGPCPIKLIASQCLLELITRISEQRSYLSAELRCSAKYMKSIIAVVEGLVLSQDSRVAENCGSSLSMILRWEKFGSQENTLVRKSKWSRLIMEEFAVSLTAPGLTSKSFSNHQKIAASIAVSLLKLSPVPDWLTSLFDYSLISGVVANLSARNITAEIVNLFSELMAKKYLTQEHIVALHNLFQVCRKQVYERSSKACLSDKKAEEREARSVDDERALLFDMVLNQRAASVQMEQQKLLHEIDLFFQESSQRQQQ* >Brasy5G497300.4.p pacid=40072694 transcript=Brasy5G497300.4 locus=Brasy5G497300 ID=Brasy5G497300.4.v1.1 annot-version=v1.1 MGVLLNSIEGAASYIGEKISLFLNLVNDLRLPSDEIRGEILFVLYKLSLLNSTPWDNICDNDNVDLSAIGRSLLQLSLEVLLKTQNNAVRLNCIALLLTLAKKGAFDILLLGDQNLMNSIEAEGSMQTDDVSLNASIIVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIFVLLQTLIDENVADYVFEVLRLSGSNDTLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVFHYVAEIPFHPVQPQVLRLVWICIVNCSGILSLSQEEQIACTLTSILRRNGNGELGNKENISVKKDLEDSVTNICGANLLQWLESAVADGDDDEALGEILQIFHIILSRTSHNKQLKFAEMLASSSWFSLSFGFMGLFPTEDVKSVVYLVTSSVVDKILGCKYGETIRDAYVYLPSDPAELMHLLGQCSLEDFNLAACQCAILVILYACSFYNERLVGDNQILALVEQYILLNGAKFPYEITGPVMLTLLVHLYAFVRGVSFGCSISHSPEVEKTLFRVMACNEWDFLLIRVHPIALKWLFQKGELMEPLSIQMLNFCRTFSEDKTVMLSNSSQLVDIQMIAELVLVGETSISYLLVSLLNQVVNEGTEDDVFSVVNVIHEILVIAPCSSDQFTSCGVVDAVGSIYCSPYSSRIKTLCSFLIFNILCSASVMALAQEDEWLALTMKLLEYFNSSLDYISSHQEQNILIGIFCLILHHSANKVLMEPAKAIILNSSLVSLTDGVIQEACAKGPSLLQHNQETDFGGFMIFILQLVYFSLRSLHAILDPSIDWQEFLQDSDNPQSFSVVGISCHDLCRLMYFGPCPIKLIASQCLLELITRISEQRSYLSAELRCSAKYMKSIIAVVEGLVLSQDSRVAENCGSSLSMILRWEKFGSQENTLVRKSKWSRLIMEEFAVSLTAPGLTSKSFSNHQKIAASIAVSLLKLSPVPDWLTSLFDYSLISGVVANLSARNITAEIVNLFSELMAKKYLTQEHIVALHNLFQVCRKQVYERSSKACLSDKKAEEREARSVDDERALLFDMVLNQRAASVQMEQQKLLHEIDLFFQESSQRQQQ* >Brasy5G497300.5.p pacid=40072695 transcript=Brasy5G497300.5 locus=Brasy5G497300 ID=Brasy5G497300.5.v1.1 annot-version=v1.1 MGVLLNSIEGAASYIGEKISLFLNLVNDLRLPSDEIRGEILFVLYKLSLLNSTPWDNICDNDNVDLSAIGRSLLQLSLEVLLKTQNNAVRLNCIALLLTLAKKGAFDILLLGDQNLMNSIEAEGSMQTDDVSLNASIIVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIFVLLQTLIDENVADYVFEVLRLSGSNDTLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVFHYVAEIPFHPVQPQVLRLVWICIVNCSGILSLSQEEQIACTLTSILRRNGNGELGNKENISVKKDLEDSVTNICGANLLQWLESAVADGDDDEALGEILQIFHIILSRTSHNKQLKFAEMLASSSWFSLSFGFMGLFPTEDVKSVVYLVTSSVVDKILGCKYGETIRDAYVYLPSDPAELMHLLGQCSLEDFNLAACQCAILVILYACSFYNERLVGDNQILALVEQYILLNGAKFPYEITGPVMLTLLVHLYAFVRGVSFGCSISHSPEVEKTLFRVMACNEWDFLLIRVHPIALKWLFQKGELMEPLSIQMLNFCRTFSEDKTVMLSNSSQLVDIQMIAELVLVGETSISYLLVSLLNQVVNEGTEDDVFSVVNVIHEILVIAPCSSDQFTSCGVVDAVGSIYCSPYSSRIKTLCSFLIFNILCSASVMALAQEDEWLALTMKLLEYFNSSLDYISSHQEQNILIGIFCLILHHSANKVLMEPAKAIILNSSLVSLTDGVIQEACAKGPSLLQHNQETDFGGFMIFILQLVYFSLRSLHAILDPSIDWQEFLQDSDNPQSFSVVGISCHDLCRLMYFGPCPIKLIASQCLLELITRISEQRSYLSAELRCSAKYMKSIIAVVEGLVLSQDSRVAENCGSSLSMILRWEKFGSQENTLVRKSKWSRLIMEEFAVSLTAPGLTSKSFSNHQKIAASIAVSLLKLSPVPDWLTSLFDYSLISGVVANLSARNITAEIVNLFSELMAKKYLTQEHIVALHNLFQVCRKQVYERSSKACLSDKKAEEREARSVDDERALLFDMVLNQRAASVQMEQQKLLHEIDLFFQESSQRQQQ* >Brasy5G329900.1.p pacid=40072696 transcript=Brasy5G329900.1 locus=Brasy5G329900 ID=Brasy5G329900.1.v1.1 annot-version=v1.1 MSSVLGRPCQNSIRESDGAKKIWPCPACSSRAALMLRDQTHSLSRSITQPGPSLVSAASSGLTLTGPAVDPERFKSVCDLVNIALPPDGCGIYEIAPKALRGSCRGGRAAQRSRREPGRRGVAAVGAGGRRGGATRHSGDLTGGGAGAGRGLRRGGAVQGLASGTEERHDGIPHGRRVRELHRLGRGRRCQPAMAGSLDGD* >Brasy5G378300.1.p pacid=40072697 transcript=Brasy5G378300.1 locus=Brasy5G378300 ID=Brasy5G378300.1.v1.1 annot-version=v1.1 MGLPQVPAVKEEVPPTLNSCVLSPPCFGGSGTGDLGKLSTASSNSRAFPYPSISDLKRKAALDSLNRFDGHFRAINAVDGPAGFQGLKPDSRDPSSRSCPKLGSSVQMPAVRIIGFESSFAGSTGDSDTMVADKMHSSLVIDTCHSSVEQHGLQERKRVLSPLTNVLPGQFLGDVLSIGSDDGKIQHSDCGRQLYTSGFQDCKKAHTATLDSVDSPTWAALSYSNWSKGQAVDKFSSNIFTDGPLLEGRKSYSCSDHLEAERTMNLGQVAVPLAKLAHSPPLTLSPLGPKWMQRANNSGAHKDFVREIENDFLGMKETERSIGEDYSKQGDRIRVMDATGKTTILHVDFDKMTPKRCSDRRFQNCVPESPVSPRAGCARGLSLFPVRRSLVGSFEESLLSGRYSWGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPTNSSKSPKHKRSSNNNDSRSAKSRLHIPVKGRIQLVVSNPEKTPLHTFFCNYDLSCMPAGTKTFVRQKVTLSSVPISNPMKEGSYTSPTKVESVQYGSELRECGDLFSECCEHGQNCYSTDEPGKGGYTNTTCCSMECDIRESNDSNPIGNSENRSNANGCNCQIDTLHLGEKKSCCRPSKVNDSSAGGVLRYALHLRFLSPFSKKSSRSMQRSKPGLSSEPLNRNTVTEEERRFYLYNDVRVVFPQRHSDSDEGELRVEHDFPADPKYFDIGN* >Brasy5G378300.3.p pacid=40072698 transcript=Brasy5G378300.3 locus=Brasy5G378300 ID=Brasy5G378300.3.v1.1 annot-version=v1.1 MPAVRIIGFESSFAGSTGDSDTMVADKMHSSLVIDTCHSSVEQHGLQERKRVLSPLTNVLPGQFLGDVLSIGSDDGKIQHSDCGRQLYTSGFQDCKKAHTATLDSVDSPTWAALSYSNWSKGQAVDKFSSNIFTDGPLLEGRKSYSCSDHLEAERTMNLGQVAVPLAKLAHSPPLTLSPLGPKWMQRANNSGAHKDFVREIENDFLGMKETERSIGEDYSKQGDRIRVMDATGKTTILHVDFDKMTPKRCSDRRFQNCVPESPVSPRAGCARGLSLFPVRRSLVGSFEESLLSGRYSWGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPTNSSKSPKHKRSSNNNDSRSAKSRLHIPVKGRIQLVVSNPEKTPLHTFFCNYDLSCMPAGTKTFVRQKVTLSSVPISNPMKEGSYTSPTKVESVQYGSELRECGDLFSECCEHGQNCYSTDEPGKGGYTNTTCCSMECDIRESNDSNPIGNSENRSNANGCNCQIDTLHLGEKKSCCRPSKVNDSSAGGVLRYALHLRFLSPFSKKSSRSMQRSKPGLSSEPLNRNTVTEEERRFYLYNDVRVVFPQRHSDSDEGELRVEHDFPADPKYFDIGN* >Brasy5G378300.2.p pacid=40072699 transcript=Brasy5G378300.2 locus=Brasy5G378300 ID=Brasy5G378300.2.v1.1 annot-version=v1.1 MGLPQVPAVKEEVPPTLNSCVLSPPCFGGSGTGDLGKLSTASSNSRAFPYPSISDLKRKAALDSLNRFDGHFRAINAVDGPAGFQGLKPDSRDPSSRSCPKLGSSVQMPAVRIIGFESSFAGSTGDSDTMVADKMHSSLVIDTCHSSVEQHGLQERKRVLSPLTNVLPGQFLGDVLSIGSDDGKIQHSDCGRQLYTSGFQDCKKAHTATLDSVDSPTWAALSYSNWSKGQAVDKFSSNIFTDGPLLEGRKSYSCSDHLEAERTMNLGQVAVPLAKLAHSPPLTLSPLGPKWMQRANNSGAHKDFVREIENDFLGMKETERSIGEDYSKQGDRIRVMDATGKTTILHVDFDKMTPKRCSDRRFQNCVPESPVSPRAGCARGLSLFPVRRSLVGSFEESLLSGRYSWGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPTNSSKSPKHKRSSNNNDSRSAKSRLHIPVKGRIQLVVSNPEKTPLHTFFCNYDLSCMPAGTKAVNMGRTVTQPMNQEREVTQTPHVAQWNVTLENQMIQILLGIQKIAPMLMVATVK* >Brasy5G378300.4.p pacid=40072700 transcript=Brasy5G378300.4 locus=Brasy5G378300 ID=Brasy5G378300.4.v1.1 annot-version=v1.1 MPAVRIIGFESSFAGSTGDSDTMVADKMHSSLVIDTCHSSVEQHGLQERKRVLSPLTNVLPGQFLGDVLSIGSDDGKIQHSDCGRQLYTSGFQDCKKAHTATLDSVDSPTWAALSYSNWSKGQAVDKFSSNIFTDGPLLEGRKSYSCSDHLEAERTMNLGQVAVPLAKLAHSPPLTLSPLGPKWMQRANNSGAHKDFVREIENDFLGMKETERSIGEDYSKQGDRIRVMDATGKTTILHVDFDKMTPKRCSDRRFQNCVPESPVSPRAGCARGLSLFPVRRSLVGSFEESLLSGRYSWGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPTNSSKSPKHKRSSNNNDSRSAKSRLHIPVKGRIQLVVSNPEKTPLHTFFCNYDLSCMPAGTKAVNMGRTVTQPMNQEREVTQTPHVAQWNVTLENQMIQILLGIQKIAPMLMVATVK* >Brasy5G363100.1.p pacid=40072701 transcript=Brasy5G363100.1 locus=Brasy5G363100 ID=Brasy5G363100.1.v1.1 annot-version=v1.1 MPADLPLPSLLLALLCIALLSAPRGTVACNADDRAALLRIKSQLGDPVQLSSWLPSVANCCAWEPTAVVFCSSSGRVTGLALSSLAGLRAPVPPALGELTELVILQVQSVRGLSGPIPPSLANLTRLENLNIAGTSISGPVPNFLSASLRTLVIADGKLAGPIPQSLTSLPNLRYLDLSGNMLTGSIPVGLLHGSFRFLILANNRLTGEIPGDADVDTIDLSRNALSGDPSAFLFGTAKRVAKVDLSWNELEFDMTEVRFPHHLRFLDLSHNRINGSVAKSLRDVRLEHFDVSDNGLCGEIPKGRFMSAHGPECYQRNRCLCGAPLPPCTATVAIDHTLP* >Brasy5G286700.1.p pacid=40072702 transcript=Brasy5G286700.1 locus=Brasy5G286700 ID=Brasy5G286700.1.v1.1 annot-version=v1.1 MEKPKKPNLPSHRLPGSVSRDVKKSSSSLNMSTSSLPSISEDVDTTTARRPAVVRFAPPPTARRSSIPASQQAPPKAAASAAARRPASSCGQRPSRPSAAARSLPLPEYSGPKALRRSWGWTGSAGDHREETENGDLRSEGTAASLVPIRMAKEEKPLQRRESKDKITSRTMSRSSNPSPKQNVPLKPSSAQRSPSIAGKSSEKDPPSSTVSLDNMVRVPPPRKTSSSTTPGASWESLPSDLKNLGSEVMSYKDAAEMAAVEAMQEASAAEIVLRCLSAFADLAAAAAKQPPQHTVDEFLALQAAITRSTAVLSNHQQHRSGGHASDWLRAAVTADLAPFSLYRKKKQQSAEDEPPAACFPSASPLAAGEESAAAAAAEEEMEMEITWLEAAARRLGEEMSAWFAGHVEGLVDGDVAGTLGQLKRVNDWLDKEDAGPRRSEAGERLRKKIFGYLLDHVESAVVALNGGAAPNRGKSNRSLYSVP* >Brasy5G427800.1.p pacid=40072703 transcript=Brasy5G427800.1 locus=Brasy5G427800 ID=Brasy5G427800.1.v1.1 annot-version=v1.1 MLTEMAKEEVAMLIGVSNGIDNLGVKLSDLKNFLADADKRNITDESVRRWVEELKRVMYDVTDILDLCQLKVMEQGPSKDMGCLNPLLFCMRNPLHAHDIGIRIRALNEKLDGICTRGSKFNFAKLEAYNQKTTRSLVTDRKTDSLMERSGAVGEKIKEDTRALVELLTKEADGDKSDCIMVIAIVGQGGIGKTTLGKKVFNDEAIEGKFTKKIWLSITQDFNDVELLSTAITAAGGDLPGGGGARDKALLVLALRNAIRDKKFFLVLDDMWGVNVWDKLLMTPFSYGGPGSRVLITTRHDTVGRSMKAIYYHHVDKLGPEDAWSLLKKQVLTTEKDEPDVDMLKDIGLSIIAKCDGLPLAVKVMGGLLCKKEKTRNDWEDVLNNDIWSVSQVPEDLNYAIYLSYEDLPCFLKQCFLYFSLIPKNTLVSVNEISSMWIGEGLIQASCNLVEEGEKYYKGLTLRNLIEVDTEYPGQHCGNMHDLVRSFAQFVARDEALVAHGGGTTKAKLRTHNFLRISIETHGVESDEFEWGYLRGQKSLRTLIVTGNFKIQSGDSLITFSIDSVHQLKHLRNLTLKGCTDIARLPENIHELKFLQHINLEDCEGFVKLPDSIVKLRELRYLDIDRTHVSSVPRCFRALTNLRVLFGFPAYTDGAWCSLEELGPLSQLMELGLHGLDNVSTSSSVAKARIGAETHLSTLFLSWGSRMGDDGLVKEVSEEEARRAEEVFHEIFPLPCLERISIRGYFGRDLPGWMMSTGIMPLMSLRILAMHDLACCTELPDGLCHLPCLEFLKVNQAPAIKRVGSEFVLPRSPRCHPSSQATAAFPRLYNMILHQLVKWEEWEWQEEAQAMPVLEKLSIARCKLAHLPPGLASHGRALKKLNIWNVKCLHSLENFASVVELDLCNNPDLTRISNFPKLQKLRISCCQKLESLQGMTALRRLLLTVYYSEKQLPLYLQTVKPSHLLLNCCPKVLASVAAGKSGTKWDKLSHIQRVEAYADDEGVEKRWHLFYTYEPYSVETNIKPNLQEQSEDEYEEDGNEDGKRVDEGENKEDEDEEELTTT* >Brasy5G160300.1.p pacid=40072704 transcript=Brasy5G160300.1 locus=Brasy5G160300 ID=Brasy5G160300.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAQLQAQQQAGQLQAQATAAAQAQAQAMAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTQEKSRVP* >Brasy5G464600.1.p pacid=40072705 transcript=Brasy5G464600.1 locus=Brasy5G464600 ID=Brasy5G464600.1.v1.1 annot-version=v1.1 MERGGDSDSSPWDITSSEDKGESKEEDGPIERAKNASWITLVLDDVRHEAQDQVRVETDVRLSLVHEDNEEVIDAMAKSERTAALEDLRRKEEFTVDMC* >Brasy5G219600.1.p pacid=40072706 transcript=Brasy5G219600.1 locus=Brasy5G219600 ID=Brasy5G219600.1.v1.1 annot-version=v1.1 MTANSWKESTPSPSRSNRQIISRHSFSSNSFSPFCTNVNFPSILPRLAGVILLDDPWSAYMANASLRHRCRSSSSFPSPAPASRAERSSSPSPSASAAVRTSLHRSSDANTLPSPSLSKAANNDQDTMALVPICMYL* >Brasy5G021800.1.p pacid=40072707 transcript=Brasy5G021800.1 locus=Brasy5G021800 ID=Brasy5G021800.1.v1.1 annot-version=v1.1 MTQTLPENDGEQRCLNSELWHACAGPLVSLPVVGSRVIYFPQGHSEQVAASTNKEVDGQIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPEEQKEPFLPIELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRSAEKVFPPLDFSLQPPCQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRHANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRSSPSEFVIPLAKYVKSVYHTRVSVGMRFRMLFETEESSVRRYMGTVTAISDLDSVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPSMHGMFNGVKNDDFARYSSLMWLGNGDRGTQSSNFQGLGVSPWLQPRIESPLLGLKPDTYQQMAAAALEEIRAGDPLIQSSALLQFQQTQNLNGGLDSPYANHVLQPMQYQSHQSSLPTVQEGYNQYSGNSGFLQSHLQQLQLHNPQQLQKQQELPSLQQQRHQILQQQSHQEMQQQLSSSCHRVSDVDSTMPGSESASQSQSPFYQQNLLEGNNDPSLHMHNSFRDFSSQEASNLISLPQGSQLMAPEGWPSKRLAVEPLAHIESRSGQPKLENGNHQNSISHFAGTLASQSARDCSSVQTCGSNVDNQLLSSSLHDGMSSVRGGSGNGTVSMAIPLFRYGGEDLPPANSLATSSCLGESATFNSLDNICGVNPSQGGTFVKVYKSGSPGRSLDITKFSSYYELRSELEHLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVHQMVRNGEGLLSASGARMIQGNVCDDYSASHDLQNLTGNIASVPPLDY* >Brasy5G041400.1.p pacid=40072708 transcript=Brasy5G041400.1 locus=Brasy5G041400 ID=Brasy5G041400.1.v1.1 annot-version=v1.1 MEITLPYYALLFFLSPLLPLFFLHLLSSRGNSNKHPSNGGNPNPIPSPPGLPIIGHLHLFKKPLHRSLAARHDGADTGLLLLRFGKKPVVLVSSQAVAEECFTAHDVALADRPGLSSSRLLTGDNCPSIAMARYGPLWRALRRAATVHALSAPARDAEARAMATKLLWLAETRAGGIGVKAVANEFVVKAIVAMAAGGRRMGDGEVRRFVAMTEARMAAAGAANRQDFLPALRAVNFGRTARMLKGLAKEHLAFGQRLIDEYKMISGGAIAAEGEPRRWTLIGDLLRPAAGAGAGVSMLQAGTDTSSSTIEWAMALLLNNPAALAKATREINTVLGASRLQEHDLAVLPYLRGVIAETLRLYPAAPNLVPHEASSDCAVAGGKHVIPRGTMVLVDVYSMQHDPASWEDPEKFIPERFTDGEVDDVGVGVDGNKRRMMMPFGMGRRKCPGEGLAWRTVGVALGVMLQCFEWGRVGIRWWT* >Brasy5G041400.2.p pacid=40072709 transcript=Brasy5G041400.2 locus=Brasy5G041400 ID=Brasy5G041400.2.v1.1 annot-version=v1.1 MEITLPYYALLFFLSPLLPLFFLHLLSSRGNSNKHPSNGGNPNPIPSPPGLPIIGHLHLFKKPLHRSLAARHDGADTGLLLLRFGKKPVVLVSSQAVAEECFTAHDVALADRPGLSSSRLLTGDNCPSIAMARYGPLWRALRRAATVHALSAPARDAEARAMATKLLWLAETRAGGIGVKAVANEFVVKAIVAMAAGGRRMGDGEVRRFVAMTEARMAAAGAANRQDFLPALRAVNFGRTARMLKGLAKEHLAFGQRLIDEYKMISGGAIAAEGEPRRWTLIGDLLRPAAGAGAGVVRRRRHPRHLAEHAASRDRHVIKHDRVGNGAPTKQPGGSRKSHEGDKHRFGCIPFAGT* >Brasy5G211500.1.p pacid=40072710 transcript=Brasy5G211500.1 locus=Brasy5G211500 ID=Brasy5G211500.1.v1.1 annot-version=v1.1 MEVAVVVGPLVKLLPKLLSVVDNKRKQLDGLEVNAGFIRRDLQSIQEYIIGRPRSCRSITDHWIRDLRRLADDMEDCIDRFQVGKTSRIRFASQICKLRKRSKDTLDQLLNCINIAAANAATAPPDSDPAGVKDPEQEVVALLGPRSPSEGKLKVISVAGFGGSGSMTRLAHRVYCHGDVRGQFPLRAWVRAAPGMGVEKILQEIHDQLRLLILGKKQDDAAMAMGASSSNSIVPGVNGDAEVDRPRLAGLLKTGRYLVVIDDVKTRELYDVLSAFSWADGVDGRIITTTAIELPEETCCRCGNGSPLAMDSQVFIGELTESGFVEACHHLRDDTLARMQRSNNEILSSPLAQDLLLYFCMFPRDHPVRRNPLIRRWLAEGLVFPQPETESFSQDVAAKNLEILINRNIIQPIQLRNYEKVKRCHASGMMLNSISGKSKSQNFITMLCGGQSQRMNQSGNVRRLSLHLNGAAKDRLSLPKDLSRLHTLAVFPDDANVARYEADLNYGKYKLLRVLDLKECADVKAEHVGKICHLLLLKYLSLGDSIGKVPRKIAKLKWLETLDMRRTQVVTLPIEVLQLPLLKHLLGKFQLSEGDRKRKKLEELLSKESELISQKKKRE* >Brasy5G234300.1.p pacid=40072711 transcript=Brasy5G234300.1 locus=Brasy5G234300 ID=Brasy5G234300.1.v1.1 annot-version=v1.1 MPASSVSGSSGPGAVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMLPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGVGAGGGDAAARQAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYDQQCDEACKIFAEYQRRLHHFVNQARDVRRSSIGVAGTADAVEDMQLQSERDDLYSTVKSNRLSEDLVLVETSRERSIRKACETLAADMVEMIQSSFPAFEGNGINSSCQLDVAKLGTDLDGEIPPDVKAVALDSLKNPSLLLQSIITYTSRMKTLVRKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKIGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTVDTAGTKKLPIGNTSQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALLRANMEASAFWEQQPLSARGYASRTIIPACSAVVDMSTNSRDLIERELAAFGQSLENSLCRLPATPQALLEALGSNGATGSEALSAAEKHATMLTARAGARDPSAIPSICRISTALQYNSVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLAENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVAERWLPELKNAVQEAQRCFEDCRRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSAGDD* >Brasy5G383900.1.p pacid=40072712 transcript=Brasy5G383900.1 locus=Brasy5G383900 ID=Brasy5G383900.1.v1.1 annot-version=v1.1 MSWTLVDVACIIRIVGQFAALRNLELGYWQEAMQGCSLANCYFVRMKSLLFSKMCAEYCRPCC* >Brasy5G162500.1.p pacid=40072713 transcript=Brasy5G162500.1 locus=Brasy5G162500 ID=Brasy5G162500.1.v1.1 annot-version=v1.1 MFPLTDSPKCVAFRSKRTGNYLCSVAYRSQEGDSKFFDELSSAGDDNDVLASPYARFYLEPSKEHEGLLHVRCCYNNKYWVAKHVDGDGSSHWIIGIINEPDDDLSKPSCTLFQPVPLTDKDSNLSIRFLHPRQQTPSSESDMEKETPQEACYLLLGTGADQEKPVHEFTVLDLSQQLVLPKYVAFKGDNDKYLRARVIQRYNYLEFAATDIADPTVLNIIYPNYADGNIRVKSNHFDRFWRRSPNWIWADSPDTHSRDRDTLFRVVQLPGYISLQNLGNYNFCKRLTADRKTSCLNAAVSSITEEAKIRVEEAVLSREIYNVEFNLSEAKIYGEKALSIPNVHSVNRTSEQNKKILTLKYEETESKTWSSTVSMKIGVMAKFKAGIPIIANGKVEVSTEFSAEYEWGASIVKTTTQEVNYEAVVPPMTKVTLRAAVTQGSVDVPFSYTQRDVLVTGEVVTTRMDDGMFSGINNYNFNYETTEESIV* >Brasy5G462000.1.p pacid=40072714 transcript=Brasy5G462000.1 locus=Brasy5G462000 ID=Brasy5G462000.1.v1.1 annot-version=v1.1 MEIGERLKERDHQAARQGHTTDRQTEDTTTHPNNPPDHTIRMRILTSAPLLTEQQRALEERANSSLDFKPCFAFLSFANTFISLPLVLVWFSCPPHHNGICICMIGAGDSSEAGSRSFILFFCLATASTVMMIWLVSPGGSLRCVA* >Brasy5G012900.1.p pacid=40072715 transcript=Brasy5G012900.1 locus=Brasy5G012900 ID=Brasy5G012900.1.v1.1 annot-version=v1.1 MPMARQLSLLAFAVVFTAAFMSVLPPCSAAVTTATDSLPVPAAAAAATLPAATTPAAAGLPESKKFPLAPPDQVFEPAAEVVSALPVPVPETLPSAEGLGFGSNNGLGCCGGFGYNNGFGYNNNAGPLFINSAPPAATGSGSLGLLPLLLACAAAAAMLAL* >Brasy5G373100.1.p pacid=40072716 transcript=Brasy5G373100.1 locus=Brasy5G373100 ID=Brasy5G373100.1.v1.1 annot-version=v1.1 MAASDVPMSPELEQVDGEIQDIFRALQNGFQKIDKIKDSNRQSKQLEELTGKMRECKRLIKEFDRALKVEEKKNTSEVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDEQLAGVNVQMASEMSNQQLIDSGMKQMDQTDQALERSKMVVKQTVEVGAQTAATLTQQTDQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKVVNPHNKNIPDIPGLAPPAQNRKLLSIEAYRML* >Brasy5G503600.1.p pacid=40072717 transcript=Brasy5G503600.1 locus=Brasy5G503600 ID=Brasy5G503600.1.v1.1 annot-version=v1.1 MQGPGHFFNVTFHKTTLLRLAAPHICGSKRSTGATKPCNSTVLSICGCTTKNFKQTWIFGSIGIISIMLIGVKSKFDPPIEVQNRLILPPMISNLEEEKS* >Brasy5G061400.1.p pacid=40072718 transcript=Brasy5G061400.1 locus=Brasy5G061400 ID=Brasy5G061400.1.v1.1 annot-version=v1.1 MNMDSFERLQADSKLRDALRRIQYEEGTDEEEDEDERGAEEELACPFCDDEYDSVGLVLHIDDDHPLESKAGRCPICSERVGLDLVGHMTVQHPTFFKGRSRNRQASSGSHSSSRYSELKKDAAYIQYRYGGSSRACSLNTVPDPLLSSFVSSFIDEDLPKEVQEVFLDDVIEKSDSLEPKAAESAEEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL* >Brasy5G253800.1.p pacid=40072719 transcript=Brasy5G253800.1 locus=Brasy5G253800 ID=Brasy5G253800.1.v1.1 annot-version=v1.1 MDCRPRHHYSIQLCTHPAVSTRPSDGNGTMSSELYLAVCGKRKEEAMALLLRIRQVSTGRNTVLHLAAEQGHDELIQEVYASPGGNDLLSSLNSNQLETPLHCAARAGHGSTVSLLIQLEPSMLECEKESGDTALHLAARLGHGATVNAIVSAMPELASRVNNAGVSPLYLACAVRAITTRCKHAHVAGLRSQNALHAAIFQGSDWKLSLTSESDGSGSSPLHFASSVGELSVVRTILRVTPPCVVRMQDLGGHSALHVAAGMGHSCIAKALMKACPDTAQLRDNQGRTFLHAAARGGHSNVVWVAIQQRTLHGILNVQDRDGNTPLHLAVAACAPGMVEDLMCGGKLGLVVTLAAFEAQPHPARQDHVKQWRGQDMTERIQKASNSLAVVAVLIATVAFTAAYSLPGSYEQADSMAPGRNREMVFRGMAVLQGNNIFVCFMLLDSLALVTSVVAVILLIYGKASRSTGSWMSFMWALHFIWVSLSSMILTFYAALSTVTSTSARYRIVLNIIYVGFCILCRSRRDSVLDRRIRQQYPAAEVYILNLVIFVATNLLAVGALGEATRSRAPAPSSVLS* >Brasy5G289600.1.p pacid=40072720 transcript=Brasy5G289600.1 locus=Brasy5G289600 ID=Brasy5G289600.1.v1.1 annot-version=v1.1 MDRTPRFLLLLVLLAFAAGVGSAADNEATESGISLGRRAGGLLHGLKKDLVVDGDHGVALDEVGPGLFDALLASLSMILVSEIGDETFIIAALMAMRHPRSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRFFARFCTPIFLEAFVFTFLAEWGDRSQIATIALATHKNAIGVAVGASVGHTLCTSIAVVGGSMLASKISQRTVATIGGVLFLGFSLSSYVYPPL* >Brasy5G289600.2.p pacid=40072721 transcript=Brasy5G289600.2 locus=Brasy5G289600 ID=Brasy5G289600.2.v1.1 annot-version=v1.1 MDRTPRFLLLLVLLAFAAGVGSAADNEATESGISLGRRAGGLLHGLKKDLVVDGDHGVALDEVGPGLFDALLASLSMILVSEIGDETFIIAALMAMRHPRSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRRFFARFCTPIFLELATHKNAIGVAVGASVGHTLCTSIAVVGGSMLASKISQRTVATIGGVLFLGFSLSSYVYPPL* >Brasy5G415100.1.p pacid=40072722 transcript=Brasy5G415100.1 locus=Brasy5G415100 ID=Brasy5G415100.1.v1.1 annot-version=v1.1 MAGAKRLAQLAKKWQRVEALGRKRLMVTAKEDEECCTSVSAKGHCIMYTADGRRFEVPLVYLSTTIFGELLRMSQEEFGFASDGKITLPCDAAVMEYAMCLLRKNASAEVEKALLSSMVTSCHYIGCAMPTVGASQQICCL* >Brasy5G224100.1.p pacid=40072723 transcript=Brasy5G224100.1 locus=Brasy5G224100 ID=Brasy5G224100.1.v1.1 annot-version=v1.1 MHGAGSQEQRMQDHLAQLGPVLCECAVHVAEGSFDKTDCSLRKIRELASIVDGPLQRLSLIIADSLFRRLLRPMQGFASALIDPSRYIEKWCLRVARNSFASISPYLATGFVTINRAILEQVQDKKVVRIIDLSGSTTHQWQWLKILHDFHGRPGGPPELRLTVVHEDSEFLDYMQALLSKQAGTLMPSFHFDKVVGRLEALDFSNLREILKINFGEAVVISCALQMHCLLAVDDDDDASRDGIAQLQQMANKAHLKQMMMASSPRSTLSYPQTPSPQRQIPKLLVSFLSAVRALKPNIVVMMEQEADHNALLFRERFAEMLRYYAALFDSLHAAAAGRADDERARVERVLLREEIKNMLVCEGAQRHERHEKLSQWEMHMNRCEFQSVPLSFDAIREAKEKLMSSGLKECRGIEEDKHRLLLSWGETHLYSISAWRPHV* >Brasy5G327600.1.p pacid=40072724 transcript=Brasy5G327600.1 locus=Brasy5G327600 ID=Brasy5G327600.1.v1.1 annot-version=v1.1 MGKDGKAKEAKGKGKQAASSSDDAGGGKGGKGKGKGGKGGDDLGTCTYVKARHILCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFNTPVGATSAPFKSTHGYHFILCEGRKN* >Brasy5G248100.1.p pacid=40072725 transcript=Brasy5G248100.1 locus=Brasy5G248100 ID=Brasy5G248100.1.v1.1 annot-version=v1.1 MDVVYKALVVAVAAFAAVCFPCLLLAFLCRHRKHRLLLPRCSSSSPPPPAMAAAAELSASSSWSLYGSSSSPSSALQKLSLDDLAKATGGFSPDNIIGDGSFGFVYRAVLPGVLPGGHPVAVKRLSADHGGGDREFRAELEVLGGLSHRHLARLLGFCAAGRDRVLVYELLERGSLDAWLHGAGPAGAGEDEDEEEGEEAMRLLPWAARLRVTRGAAAALAFLHHDRQPPVIHRDVKSSNVLLDEGFEAKLADFGHARVVSGGPAASHLSTQAAGTAGYMAPEIREGMGASVKADVYSFGVLMMETVTGRRPNWPMKNVGGKEVSLLKWAREKVEAGMSSEIADRRMGLIQGEKEAKEVKAFLDVAQSCTEECPRYRPSMQEVVEMLNRL* >Brasy5G297100.1.p pacid=40072726 transcript=Brasy5G297100.1 locus=Brasy5G297100 ID=Brasy5G297100.1.v1.1 annot-version=v1.1 MPVAVRELGRISASVRVELEVEQVADEDAEAEPPVDARAPRIPWTRPPPALLRCVPRPCARPPPAWWPAKILIFHCQDPAIASSYAAWALPDLADGAVPPAAPWPPASLAAACPPSVCAVPQLARPPSAGAVRPAARLPCTGGGHYEGTRRWSR* >Brasy5G227500.1.p pacid=40072727 transcript=Brasy5G227500.1 locus=Brasy5G227500 ID=Brasy5G227500.1.v1.1 annot-version=v1.1 MDASALNNPRLQSLLEEEKNKAMANEVIAKLTDTCWDKCITGSIGSSFSNSEASCLSNCAKRFIEVKMVTMGRFQQ* >Brasy5G276500.1.p pacid=40072728 transcript=Brasy5G276500.1 locus=Brasy5G276500 ID=Brasy5G276500.1.v1.1 annot-version=v1.1 MRVESTVRRMPSMEREPKALSLHELKYAREAALYVLRTHSSEDAVRIFTQGLKPVVGVRKNDSVDDDDEEEDDMFDPDAFLDDGEESVLRGSSHRCGRGAAEGRDVATAPF* >Brasy5G148600.1.p pacid=40072729 transcript=Brasy5G148600.1 locus=Brasy5G148600 ID=Brasy5G148600.1.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEQIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQMGKRRRLWKAGSLPLGQLVFYNQTVPLDNRWHVLGLGRDSNTEREEIESAAVIHYSGNLKPWLEISIPKYRDYWNRFLDYDNTYLQQCNIHG* >Brasy5G148600.2.p pacid=40072730 transcript=Brasy5G148600.2 locus=Brasy5G148600 ID=Brasy5G148600.2.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQMGKRRRLWKAGSLPLGQLVFYNQTVPLDNRWHVLGLGRDSNTEREEIESAAVIHYSGNLKPWLEISIPKYRDYWNRFLDYDNTYLQQCNIHG* >Brasy5G148600.3.p pacid=40072731 transcript=Brasy5G148600.3 locus=Brasy5G148600 ID=Brasy5G148600.3.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEQIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQMEKLSLKTNLFLGKTIPVK* >Brasy5G148600.4.p pacid=40072732 transcript=Brasy5G148600.4 locus=Brasy5G148600 ID=Brasy5G148600.4.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQMEKLSLKTNLFLGKTIPVK* >Brasy5G148600.5.p pacid=40072733 transcript=Brasy5G148600.5 locus=Brasy5G148600 ID=Brasy5G148600.5.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEQIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQM* >Brasy5G148600.6.p pacid=40072734 transcript=Brasy5G148600.6 locus=Brasy5G148600 ID=Brasy5G148600.6.v1.1 annot-version=v1.1 MRAGGGGGGRREGAPLWLLLLLIATACGFVFLLLNLPDHRRNSRSGPGGERAGGARLSAKERLQIGEVTRLNALPEEIEGQADEIAAEEDERISRSPPSTKEKIWVMQDQLIMARAYSQFASSHGSSHLARELKLRMKEIERAISHSSGSSHVSGSALQKMKAMELTLSKAQKAYPHCSQMTSKLRAMTHNSEELVRAHRTESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSLPMDGFHHYAIFSDNVLASAVVINSTIAASKDPKRIMFHVVADALSFPAMMMWFLTNPPSPATIQIENLDEFKWLPSDFSSRFKQKGIRDPRYTSALNHLRFYLPQVFPSLSKVLLLDHDVVVQKDLSGLWEIDMKHKVNGALETCTSGYGYLRLENFVNFSDPSIFNKFDAKACIYAFGMNIFDLTEWRNNGLTATYDKWFQM* >Brasy5G506800.1.p pacid=40072735 transcript=Brasy5G506800.1 locus=Brasy5G506800 ID=Brasy5G506800.1.v1.1 annot-version=v1.1 MAMFLLFLAGLLLSSGVSGNDDAIKTACTGTPYPEYCESQLSASSKSAYASVPPVLAVQVAARVFVKGSQDAGSLINIETELPAAEEECVVDCQGAIRVAVELLQNASDKYPERAAVLADARAYLAATAKDKLRTRWNCGRCLDKTKKTPPPNDLDKITDTVSSLIDRDAADADDNVDKACADTPYPEMCVSILSVFQGSKGADASVLAGLAIHAAPVLLDRAVTGALTRQNDQFVTNATVSCFKECQAKMAGALDVLRAASPDEEAPGNGTRLADVRSFLDKAKADHVAWHCDVCRPDKKVQDAVSEGNTLDMLMAILPVLLNHVASK* >Brasy5G370400.1.p pacid=40072736 transcript=Brasy5G370400.1 locus=Brasy5G370400 ID=Brasy5G370400.1.v1.1 annot-version=v1.1 MAASSSTTQHRRGHAILRLAAFLLLASPSATVLAASSSRACESEKFPAGRSYATCADLGALGATLHWTYDASTSSLSVAFAAKPPPGSTTSGGWVAWGVNTAGDGMKGAQSLVAFKPTGSSAYVVNTYNLTGYRPLGAASTPLAFKATGLAADASGSDGKVRMYGVLQLPKGTEAVNHIWQVGAAVNNGAPAKHAFAKENLDAKGRLLLAGAGAPEAAPSPVAGGGSVEAAGNSNVETGKASPEPSGGKSSSSATACTLARAMSMLLALAGFLAIV* >Brasy5G140600.1.p pacid=40072737 transcript=Brasy5G140600.1 locus=Brasy5G140600 ID=Brasy5G140600.1.v1.1 annot-version=v1.1 MGRSSSSKRSAVWCLLLSGLLLAAVAAAEVEGSENDSVVTDDCYERCSRYTGDQKFICIIGCTPGLGEEAVADGGANKNDDDVRGMPCKDYCMWYFRGPDRVQCVKDCRAPKADAGAGAGGAILQAV* >Brasy5G116900.1.p pacid=40072738 transcript=Brasy5G116900.1 locus=Brasy5G116900 ID=Brasy5G116900.1.v1.1 annot-version=v1.1 MRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEESKDK* >Brasy5G306600.1.p pacid=40072739 transcript=Brasy5G306600.1 locus=Brasy5G306600 ID=Brasy5G306600.1.v1.1 annot-version=v1.1 MARAAWLLGLLLAALLLVASPATAEKEQAAASAKKTSSSGPVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDSGERLIGEAAKNQAAANPRRTVYDAKRLIGRQFGDVEVQKDMKHLPYKIVEKQGKPHVELEVKEGDVRVLSPEEVSAMVLTRMKETAEAYLGEKVRDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAAIAYGLDKINNDKEKNILVFDLGGGTFDVSVLAIDNGVFEVLATNGDTHLGGEDFDQRLMDYLIKLVKRKQGKDIAGDARALSKLRRECERAKRALSNQHQVRVEVESLFDGVDLSEPLTRARFEELNSDLFRKTMSPVKKAMADAGLGKGDIDEIVLVGGSTRIPRIQQLLKDYFNGKEPSKGVNPDEAVAYGAAVQGSIVRGDVEQEVVVLDVTPLTLGIETAGGVMTSLIPRNTVVPTRKTQVFSTYQDRQTTVTIMVFEGERGMTKDNKLLGKFDLAGIAPAPRGVPQIEVTFEVDVNGILHVKAADKGTGKSEKIEITSAADRRITQEEIDRMVREAEEFAEEDKKVREKVDARNKLEAYVYNVRTTVDGEAAKGMDGGDKERVEEAAREASEWLDANPDADKEDYVEKLKELEDVCNPVFAATRGGHEDGAEDDDDQDEL* >Brasy5G163200.1.p pacid=40072740 transcript=Brasy5G163200.1 locus=Brasy5G163200 ID=Brasy5G163200.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLSVIRDEAQLLGGVGGDVQFIKEEMESMNSFLMHLARKTPRSGEHDEQVSTWMKQVRDLAHDCSNSIDVYLRRRDPAVYRARGVLLGHLWWVPWFLKKTLAQHLAATQLRDLKARARDVGERRLRYGVEVPAKAADSDKLLMLPTEELFHTAAAGVVAEGEHDLEEDYYRATNDDPRRELAFSEPRLLWKCAASLMNWLLRQHEDGPFQAIAVAAPDEEDGKDIIDEALVHDSVMENFDHNFLLWDMFCLEEPRDFLGRILKKLQSPEPDEISIIEEDIDEKIWKIKEKIEEHLEEAGNKGDRVEPLRVLQGVLRALLQDVAVLACKDQTQENKILEDTVEKTKKYLESAREKGSCSIVVQEHHLEFVAILQELLPKQDNTPTKAATNKPGEDHIVKNIRDITLKIQVQIQPELLPVKSPHQQDKSVEESPLSPDEDAEHCRERIKQVLKRIKEHLLIQETMGSVRKHLKGKRTLVILQNASGYKWEETARALRDLGCSSMAVVVTTKYKQNANDFCYGTEPIIYSSIEYYHDTALQLTKQCVKDDKYSATIFHEILEKCRPDVFCIKMFIHALFVNPMRRREELDKLSNNLVFGGSVETNGYKMIKFAYNDLPRDYKTCLLYLAIFHKDEKINRTRLIGRWVAEGLITRQDWSSSVGQAERCFDVLADLWLVCPSDVDAGGKVKSITLHPLVYSFITKMAKKEHILDTRLSRHSARHFSILSNIRLRPSDSIVDFLKQPSNASSQLKLVKVLDLEGCASLRDNQRLLRNVCTLLILLKYLSLRNTDVTQLPKEINRLQQLEVLDIRRTPMNASAIKHLMLLKLKRLLAGQSAYSDDMAGQSACFDDTGGGDASILSTVMMPHKVRKMTDLEVLSHVQASKHHATELREVGQLWQLKMFGVVIYDWKAQLDNLLQGISDLNECLVSLSIEIKPLPTSEAVATPPDADAISAHCENTPKLLESLSISGVTMYGRLLPFFARGCHKLAKVTLHNTLLDQDDMESLAGLPNLRGLMLRHVKLHTEGKLIIQTNGFQNLKNLLVEGGGITDINFKHGEAPKLEKIVWLIDEIESLSGINNLPKLNKMVLNDGFRLPHQVFQAIEAHPNFIDVYGASAVP* >Brasy5G158700.1.p pacid=40072741 transcript=Brasy5G158700.1 locus=Brasy5G158700 ID=Brasy5G158700.1.v1.1 annot-version=v1.1 MMSLSDARDLVSSLFIMLNKKKFILFRIEFLVVLVTLLFFAMFLIDFFRRIIHNSFMRAVFSVFDAVSDSIVLYLLGAMQSAPFKNQLFPVWALVLVNFRYSADYISGYGVPDRGGRRFIEWRNVLKLLGTAFLNWSLGSRFARPLWSLWALQILRSGYRFHSHDLASQSVWHGGSSELRKSEEFDLETMNGYEYLVYGESKQGMKLRKPQYALSVDSANRPRKIRQTRGHSDTGENATSSSRSPQERSPLITLDKIWGCLTRLLNNPLGNDGKDLSLAFALSRLLRCRLEDVTLQGYIFGVNRRLVYNPPNDELANLVRGFNVDTNDAFRIMELQLALTNDYFNTRYPMVFWHGLLSFFMSLLPSAAMFSVVCWLGVDIRKVYNPPNDELANLVRGFNVDMIITWAFIVLMMIKEIWEIVTYLFSDWMRLLLVCKYVQRNDKSISGGFMDHIMLPFFRSKITAKKWHGLIDQYVFVQSYNDRPICWNHFHNLTTGMVPKKENGAKLNSAIKVPEYVREAVQKKLHIILMEAHGHSLPNVIKTLSDVTGDRREQLQQYLPYFYLPTSSHIILVWHIVTSLCEMELAKTQSVDLSNPGFLCSLFSCFAGCVSSNPYLMGVGEKKRKNIFSWFTNSSPPQSNGKQKVSGKLPDNLQETYITANSLSRYCAYLLVSKPDLIPDSFLVPRIVFQKAVKTACDGILKNCDSLQSRHIKLKEEADKHIKETDNQDVLKQGAGLGKKLLNHESEEGRWEILAGVWAELLIHIAPTWNAEAHKKCLSGGEFITHIWALLWHCGIHKSSLWPTEDATENNASAPQGDSVRNDNRQAVEEMQQAGAGMRNYQIGIKVHEADEIEGSKDPEIVNLRRGPRRMEGIGNSEIEEASEATALESTNIQGGIAGQAQNEAEAKKVEDMVPEQPKVT* >Brasy5G084400.1.p pacid=40072742 transcript=Brasy5G084400.1 locus=Brasy5G084400 ID=Brasy5G084400.1.v1.1 annot-version=v1.1 MPTVSVGRDLLFAALGRKYTQEEFEALCFLFGTELDDVTTEKAIIRKEKHLEADGEADGEDEVIYKIEVAANRYDLLCLEGIARSLRIFIGTEATPLFKISPIPRRSMLQMHVRPQTSQIRPYVVCAVLRGVTFDEVRYNSFIDLQDKLHQNICRKRSLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEQKFRADALMDFYRSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKANIVLNTMVTMFSEYCENKFEVEPVEVVSSDGSKAIYPDLSCYEMEVSLSDIVGPIGISLDETQVISLLKKMQLQAELCPSNGEPRFSVSVPPTRSDILHARDLAEDVAIAYGYNNVPKSKPKSMTIGGRQPLNRFSDKIRAEVTRAGYMEVLTFVLTSHEENFDMLNRTDDGNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVALDASRDVGASNNRRLAALYCNSNSGFEEIMGLVDRIVKIVRAPHINFGKTYYVPTNEPEFFTKRQCKIVTSDGKQVGYLGIVHPEVLRKFGIPDPCTFVEIDIEALL* >Brasy5G097600.1.p pacid=40072743 transcript=Brasy5G097600.1 locus=Brasy5G097600 ID=Brasy5G097600.1.v1.1 annot-version=v1.1 MAAMPRLILVVLAALLCAVEISLLAHRAAGTGKTGQLTVFCGRHKDEGSLREACDSGMYTAVVISGHDSKYQHHLDLGGHPLAGTGDDIKHCQINGVPVSLSLLGALPTKQSALDLSDHLWHSYFSGFRKGIRRPFGDAKLDGVDFFLDHGITEDHYGVLAKDLQAKAKRSRQSPGNPPLQLTATPRCALSPGRALAAGVTLERIHDAWGKWAAACPGSRIYLGLTASGKPEDGYLYPKELYYGVIPEVQEAANYGGVMLWDRYYDKRNEYSSYVKYWA* >Brasy5G244500.1.p pacid=40072744 transcript=Brasy5G244500.1 locus=Brasy5G244500 ID=Brasy5G244500.1.v1.1 annot-version=v1.1 MELSPISASLGAMGSLPRKLDDLLATGHWALSGVVMDEIEELTADLHILCNILLKLSNAHDPPPTVRFWMKEVRELSYDVEDCADQFVLANVMRRAKIHRAAIRKIIITRLKISRLPERRKWRLWITDKVAEFRTRAQDATQRYWRYKFGDCASNPRYSSVGRELPTVYAQPGDLVGIEGPMNELEQWLTDGEEQLKVISIVGVGGVGKTTLAQKLWATLKGQFLCRAFVRTAQKPDMRGILKNILLQVRPHQLPDHGEMHHLIHDLREYLQDKRYFVIIDDLWAASVWDVVSRAFPEGNCCSRIVTTTEIMEVALACCDYCPKHICKMETLSDDDSEKLLLERIAVSGNQIPQQLDDVLPQITRNCGGLPLAIIIVASLLVSQPEKLEQWGHTQNSFGSIFGTNPTMEGIIRQILSISFNGLPYYLKTCLLYLSTYPEGCLFLKDDLVKLWVAEGFIHAKEWEDMEELAGLYFDDLVNAGLIQVMDINYNYKLPSYSVHHMVLDFITYKSIEENFITVVDYSQTTIPLTDKVRRLALHFGSATHAATPASTRLSNVRSLFFFGLFNCMPSFMVFKLLRVLILQFWGDPGNSSFNLTGLCELVWLRYLQVSCNVTIKLPDQVESLEHLETLEINARVCAVPPDIVRLSRLSHLQLRGGTIPSGIECMLSLRTLKYFDLVNSSVDNLRGLGELANLRDLCLTYSSSVPSECLKRNLIALASSLGKLCNLKSLTLASGTAPTVVLFDGSVSMSSAPVFLERLKLLPPICMFSRLPKWIGQFRKLCILEVAVRELLTNDINSITGLPSLTVLSLCVQTAPEQRIVFNDGAFPILKYFKFRCGVLCMSFMVGAMPNIRRIKLRFNAHVGEKYGNMLAGIEHLLNLQDIAGQIGAATESDRRAAELAIKGAISKHPRCPRFNLQLVDPIKEECRPSAKQHERQEEGSSGENFGVLEEAKDMNKHAADRALYGYELPGVLSSVATHDLSSGTRAAKVVSALITPKLQDGYVAYPCKKMSDLLCMPMFSISLPSPGVLSMLVIPKALEDTCQYESFVDTSARATAWLYFSQSSGIPIRFVMVQSEEYLTKISGEASATVNSGPLSGMANLAKETPKAARLFYNLTAVEMLLEIQLQEGDLRLGFAVSRTEEGFIYISSVAEDDVKDSETPSTRSGLRDLFNQAREASKLLIISRVSNEKVLPWEISGSGAIRCFDLVSLGQYLSFHRHALHIIQLHLLMSNKPFSPVRRITRGPKLLPQSALPQKPRSSNEPFEPRLAVEASEGSLWVDHPPVTSISV* >Brasy5G244500.2.p pacid=40072745 transcript=Brasy5G244500.2 locus=Brasy5G244500 ID=Brasy5G244500.2.v1.1 annot-version=v1.1 MELSPISASLGAMGSLPRKLDDLLATGHWALSGVVMDEIEELTADLHILCNILLKLSNAHDPPPTVRFWMKEVRELSYDVEDCADQFVLANVMRRAKIHRAAIRKIIITRLKISRLPERRKWRLWITDKVAEFRTRAQDATQRYWRYKFGDCASNPRYSSVGRELPTVYAQPGDLVGIEGPMNELEQWLTDGEEQLKVISIVGVGGVGKTTLAQKLWATLKGQFLCRAFVRTAQKPDMRGILKNILLQVRPHQLPDHGEMHHLIHDLREYLQDKRYFVIIDDLWAASVWDVVSRAFPEGNCCSRIVTTTEIMEVALACCDYCPKHICKMETLSDDDSEKLLLERIAVSGNQIPQQLDDVLPQITRNCGGLPLAIIIVASLLVSQPEKLEQWGHTQNSFGSIFGTNPTMEGIIRQILSISFNGLPYYLKTCLLYLSTYPEGCLFLKDDLVKLWVAEGFIHAKEWEDMEELAGLYFDDLVNAGLIQVMDINYNYKLPSYSVHHMVLDFITYKSIEENFITVVDYSQTTIPLTDKVRRLALHFGSATHAATPASTRLSNVRSLFFFGLFNCMPSFMVFKLLRVLILQFWGDPGNSSFNLTGLCELVWLRYLQVSCNVTIKLPDQVESLEHLETLEINARVCAVPPDIVRLSRLSHLQLRGGTIPSGIECMLSLRTLKYFDLVNSSVDNLRGLGELANLRDLCLTYSSSVPSECLKRNLIALASSLGKLCNLKSLTLASGTAPTVVLFDGSVSMSSAPVFLERLKLLPPICMFSRLPKWIGQFRKLCILEVAVRELLTNDINSITGLPSLTVLSLCVQTAPEQRIVFNDGAFPILKYFKFRCGVLCMSFMVGAMPNIRRIKLRFNAHVGEKYGNMLAGIEHLLNLQDIAGQIGAATESDRRAAELAIKGAISKHPRCPRFNLQLVDPIKEECRPSAKQHERQEEGSSGENFGVLEEAKDMNKHAADRALYGYELPGVLSSVATHDLSSGTRAAKVVSALITPKLQDGYVAYPCKKMSDLLCMPMFSISLPSPGVLSMLVIPKALEDTCQYESFVDTSARATAWLYFSQSSGIPIRFVMVQSEEYLTKISGEASATVNSGPLSGMANLAKETPKAARLFYNLTAVEMLLEIQLQEGFYLYILSG* >Brasy5G476900.1.p pacid=40072746 transcript=Brasy5G476900.1 locus=Brasy5G476900 ID=Brasy5G476900.1.v1.1 annot-version=v1.1 MASHADTACASLRAAAAAAGGARAPSGIISYASLHACTLRGDRRLSLPLLILLLLLHFRILAAAAGAHFSPAVSRLASRLRLSPSMAAVTLLAMGNGAPDAFASAAALRGGGGMPRAGLAAVLSAGAFVSAFVVGAVALIAPPHFAVPPASFSRDVFLYLLAASALFCVYLSAEIFLWQAVALVLFYAFFVGLVFYMDLGAAAATAGKAVVGSAELDQMTSALPVSVEHQKRQRAKLWTVLTKVTRVWDWPVTFVLKLTIPSTLPSEWNKFYICANICLCPLILLYSFSSFIPLDSRIVFLLPHIRFPLWSVMLLVSFCLALSHFHFEKEAPETENIASTLISFIMSVFWISTMAGELLNCLATIGIIMDLPPAILGMTVLAWGNSIGDLVADVALAKNGQPTIAIAGCFAGPMFNMLVGLGTALVMQTARVYPKPFILEFHVGIVVAFVFLLLSLMGTLLVVTWARYRVPRFWGYCLMGLYILFTIVSIAIASSSG* >Brasy5G481000.1.p pacid=40072747 transcript=Brasy5G481000.1 locus=Brasy5G481000 ID=Brasy5G481000.1.v1.1 annot-version=v1.1 LIQVVVTLFCAYDNFLEPWKGCLERIRELRAVLLPLAPATLLSTALILPACPANSPICRDIAVIVIISYLHAYIWLAVFPLVAIAFIYALCPPAVAAAGKTGCDELRPNSAGNGGVDRTTRKRTLKVMAAVPFFALLLMAQLEDDATNRFTLSQFLFLSTTLGALAYMLMRLPACGVAPASELLHKAFLLLFLVTTHVVTAEALGKEEAVVMACAPELLPMVMWFGLHLDGNSSIISLDKMKWAGKYVLGVLAPVAVALLTYLATSMGESGLSRCTTIMVSCGVSGLLTYYLVFMLRQWPGQQTAAGKINDVAPVDTSLQPKKKGGKGKSTTASSGEAARPEEQTAASSEDAVQILKLWAMFLLTVAAALLIVQYVAARRLGLQESQLLDTFCRNFQRLCW* >Brasy5G150600.1.p pacid=40072748 transcript=Brasy5G150600.1 locus=Brasy5G150600 ID=Brasy5G150600.1.v1.1 annot-version=v1.1 MPNASAIAADAIGNAPDWAELPEEMLSMFMEAMEIPDLVRSGAVCASWHAAYAAFRRLRLPLPTSPKQLPCLLYASKDYAPDAAGLWCPFTGDSVRVPLPLTRYFTVGSAHGWLVAADEVSNLRLINPTTGAQAALPPITAIHHVESSADADGRPMYNVFDKDDPEPLPFNPREARGFIYHRITLSRSPSAGSACVALLLHMPYGELSYARVGDERWTWISRDDHQEMGRSSTGFMDSMYKDDEGLFYVLRHRRSVFTLNLNGSSPVVKQIMQGETDASGFCLVPSNMYILQAPWGDILQILRWRDHIDSSIPVEVPEDPEGDYEDGTRRFIELRTTEIEIYKVDLDKQKLVKMTSLADHALFLGYNGTMCLSTKDFPVLKSNCVYITDDSCEYVNMCKYNWREIGIWDMKSTSFQSFDDGNGLPHPWLNWPSPVWITPSLF* >Brasy5G092400.1.p pacid=40072749 transcript=Brasy5G092400.1 locus=Brasy5G092400 ID=Brasy5G092400.1.v1.1 annot-version=v1.1 MPRDHLRQSVPVSAAAIARSAALSLASTPGCELSPPARSRAVSRHHVTGHRPKALSCGCCSSAAQEHWKRWMLCLEASVAMAISMPYRPRFGWTK* >Brasy5G496600.1.p pacid=40072750 transcript=Brasy5G496600.1 locus=Brasy5G496600 ID=Brasy5G496600.1.v1.1 annot-version=v1.1 MLRAQQWWPIYNNEDILNNLGDVISRVNGGTWTSELRRVQLPDTSWAVQKLQNASGTVDASLNDRWQSLVNLLDNIGHKNIISYAGSIPGDDYILLVLDHKENGSLYQRLHPDPPQLAAQGVLGWKRRQAIAIGGAKGLCYLHHGRNNPIVHHNINSGSILLDADLKPKIAGFDLARVSLAGPDQPVPIWELTAANMVGYTAPEYLTVGATAKVDVYSFGVVLLELVTGRVAYEPIEDGHLAAWAGRHCKRLMENTGDSSGVVDMAIPRRARYLKEMAAMFKLGVDCTVEEPRERPSMNEVLYRLRNRGR* >Brasy5G082500.1.p pacid=40072751 transcript=Brasy5G082500.1 locus=Brasy5G082500 ID=Brasy5G082500.1.v1.1 annot-version=v1.1 MPTTSLPKLEVRGLDYAEDHILSAPTLVFSVGRRPAIDDPDGPRRLIPAVDAALARRADGPDVDALDISFVYGVCRDQYAALQSQAGYALRHGHAAGITSAHLSAWLRFAARRVTGSFALAVPLVPPAAPSTSPLCIKGDTSLEARLPATARAETMSLALATATLAPGHDPEQRGRAGLLLSSCCSPRLRRLRLEHIDGLATLRLDAACTLQELRLLHVPDLSSLELYARGLRALHIESCPSMSSLEGTARISAPRLESLVFGDVCGPDRLELTGAASVRRLGKLHLWSHCLPDTNGAAVGLLQRCAAAESVDLTLMPPFGEHWTADHEVMSLLPQLPSVASLTLDAWTSVHIGYAAHRLGGSIATLVARCSNVQRLQIAFTYWDGECSDPGCFCRQGNNGAMDMSLERLREAKITGFRPSLDDQASLVRLLVASAPGLETMTLELCDTEGAPDLEMVPCDRGHWSCVSDSESWIYTWTPEMPSGEQQDEEEASGSCYIISALATSALLLLHCFLLPFKLLYKEAM* >Brasy5G085400.1.p pacid=40072752 transcript=Brasy5G085400.1 locus=Brasy5G085400 ID=Brasy5G085400.1.v1.1 annot-version=v1.1 MSASTSGGGALSPQAAAALQEGIRLVFGRWTALQMAVENQWGGRDSRAKADQLAASILSWFSNSKGPFYFDDLENMMHDTISDSFNADFEDDSVEEEVAEQLLIMHEECLQSNYSSIEMLRNAQCSRKCGLTK* >Brasy5G085400.2.p pacid=40072753 transcript=Brasy5G085400.2 locus=Brasy5G085400 ID=Brasy5G085400.2.v1.1 annot-version=v1.1 MSASTSGGGALSPQAAAALQEGIRLVFGRWTALQMAVENQWGGRDSRAKADQLAASILSWFSNSKGPFYFDDLENMMHDTISDSFNADFEDDSVEEVAEQLLIMHEECLQSNYSSIEMLRNAQCSRKCGLTK* >Brasy5G359500.1.p pacid=40072754 transcript=Brasy5G359500.1 locus=Brasy5G359500 ID=Brasy5G359500.1.v1.1 annot-version=v1.1 MPPTSAARTTTPNPPPLLAAMGTPLLLLLGVLLFSTTCPAAASPHISAVVSQSGLDFAKDLLVSQAAETLEHLSVPDIERSVSIPVIGTVSMVASGIVLEGVSVANSTVAAGDTGVVVSATFSGVNLTMEWSYSYSSWLVTISDSGNASIQVEGMDVGVSMGMKNEKGSLKLFVIECGCYMKDFDITLNGGSSWFYQGFIDAFSNHIRSSVENAITNKIMEGASKLDLFLERLPKEIYVDEVAAMNVTFVNDPLFKSSSVEFDIDGLFIPSGKTAIRRYMHSGDIKFAKPLGGCSRMLWISLDEDVFNSIFALYFEAGLLQRMVDKVPDQFLLNTASWRFLIPRLYRKYPDKNMVLNISAISPPSVKINVGRIDATVDLDVTVNILGIGDIVPVACISLSVDVSGGASVSGNNLAGRVGLDYFSFTLKWSDIGKLHTGIVQSVMRIFLKSLFVPYVNSYLEQGFPLPIIKGFFISDAYILISHSRIIVSSDVAFIETTKKSGIKQVDEQVSADMAITMNQVV* >Brasy5G079600.1.p pacid=40072755 transcript=Brasy5G079600.1 locus=Brasy5G079600 ID=Brasy5G079600.1.v1.1 annot-version=v1.1 MPSPLTRLRDREFYSPPSEAPADRFIPTRALMDLDLARNSLYAPRRHDPSATTSPDASREQYRRWVEENLRAGPDGKSFRMLPFRSPHGGDGCRRSLRLVDDMLDEAKDFSSPVSAPRWRCIPKRPDQVFDAPGMTKNFYLNLMDWGKENILATAIGSVVYLWKEEGTSVQQLPQRDEGTVCPTSVAWSCDGKTLAVGFEDSQIEVWDIHAMHRIRTFIGHTDRVGSLCWNGNILTSGSRDKYIINYDVKSGKGVYHLKGHRSEVCGLRLSPDGMRLASGGNDNALYLWHSLNLEPTKFLYRFTEHTAAVRALAWCPFKTNRLASGGGTADKCIKLWNTETGACAQTAETGSQILHFALVQFTSNYLLTASGSNLDVITFDALWKVCALVWDRHENKIISAHGYSNNQLSLWSYPSMEKVADLKWHRSRVLELSQSPDGSKVASASADETICLWKISEPRLPSKNVTDDGDSFLSLKRPQIR* >Brasy5G193900.1.p pacid=40072756 transcript=Brasy5G193900.1 locus=Brasy5G193900 ID=Brasy5G193900.1.v1.1 annot-version=v1.1 MAESHKRMRSANSSGGGSPMNATAAAVKREQAGQAPASSSSSAQGSSDGTGKFVIVVVDKSLWLHCSGCFATLKTPIYKCEAAGHKVCSACRGNGSNLCRACANDNNGAAAVASNYTRCGDDLDGLARAMCVPCPHKPYGCRKQVPYLDVDDHRRSCPDAPCSCSVFPCSGGFLGSPRALHDHLAGPAHSWPTTEIYTDSCGKAQQLDLTLTGPQSRQLLVSKDDGSVFVLLAAASAGGEQAAAAHVSLTCLRATATAQYWAVLWAHAPKDPVTGKEDRLQEEFLVQSRRSPCAGEDDGTLVSLKRKYLHGCGEEERKIQLYLRIDKLKSPSASSSARLWLR* >Brasy5G384500.1.p pacid=40072757 transcript=Brasy5G384500.1 locus=Brasy5G384500 ID=Brasy5G384500.1.v1.1 annot-version=v1.1 MEATAVSLARTVLDGVLGSAATAMADEAALLLGVRREVDFIRSELEMMRSFLRSSSAACSAGGCCKDTLKTCVKQVRDLACDLEDCLLDFTLHAARPPWLRLADLAARHRVADRIRDLKASVEELNQRNQRYNVFVVDADKAAPPPPADKHGHEGEHLLHPDLASGSTSKLSQVVGRDEDKEALRKKLVEGAGVVSAVWGMGGMGKSSLVTMLYNDRALIDGFDCRAWVTVPHPLESPDEFGRRLEKQLGVDDGRGVSACLKEKRCLVVVDDVSSGEEWDHIRPCFDAICDGGRVVVTTRRKDVARQCAGDNVYELKPLAPGKALKLLCQKVYKDDEYKLLEHMKEQASLILRRCRGLPLAIATIGGLLANRPKTSREWMNLGDHLGSELESDRDIMRVITSSYDGLPYHLKSCFLYLSIFPENYEIRCTRLLRRWMAEGYIAKPRDMTIEEVGRRHYKELINRSMIQPSKKIILSKSVEENQLFIMDNHCNEAPQSKIRHLVVTRRKKIFGECPLSLITPKLRLLRVLDLEDTIGLENDDLKHVGELRHLRYLGLRGTNISALPSSLQNLVCLETLDVQDTKVTWFPHGITKLENLRYLVGGINFAKDLVEKMGKKNATKGNNNCLKTLADFSHCLCSGCTCEFSVRAPERIEKLRNLLVLGVFLKDMNKDKSPPKHLTSLRLCGRLGNLPSWMSSLNDLSKNLKTIHIEDGTLTVLENLRVRKCLQLCGEKPELEKALQLQIVGLANRPTLITGKSIQIVHKDRRLNR* >Brasy5G118800.1.p pacid=40072758 transcript=Brasy5G118800.1 locus=Brasy5G118800 ID=Brasy5G118800.1.v1.1 annot-version=v1.1 MQLLQKLLSRVTVSEVCMISATSWGFLLLLYVFVQMTRLVVVSVMYPLLRSLGYGLDFKEATVIVWSGLRGAVSLSLSMSVKRASDAPQPFLAPEVGTMFVFFTGGIVFLTLILNGSTTHFLLHVLGMDKLSATKLRVLSYTRYELLNKALEAFGDLRDDEELGPADWVTVKKYITYLSNLEGEHVHPHADNHEHVQTMNLRDIRVRLLNGVQATYWRMLEEGRITQATANILMRSVDEAMDIVASGSLCDWNGLRSNVHLPSYYRFLSMTRLPQRLITYFTVKRLETGCYICAAFLRAHRIARRQLQDFLGDSEIARIVIDESNAVGEEARIFLEEVRCTFPQLLSVLKTRQVTYSVLTHLSEYVQNLQKTGLLEEKEMVYLDDALQRDLKKLKRSPPLVKMPRVGDLLDTHPLIGVLPSTVRAPLLHNTKENVIGRGTTLYNEGSRATGTWIVLSGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLTGKSYICDMITDSLMRCFFIEAEKIDQLRRSDPSIDTFLWQESALVVAKLLIPRIFERMVMHEMRLLIANGSSMSLYTGGEDIVLEHNYIGILLEGFLKAENQNNIVPPVVLLPLNMDLKMLGLESSAMNHMSNCYAAARYQVEARSRVIIFEAGRLLLSEANVQGSSSLLSWPESSQRPNSLTSSRDESASFSAKALKLSMYGSMEESSEEDVTVRVDSPSKLSLLGQSSGAPRGN* >Brasy5G276000.1.p pacid=40072759 transcript=Brasy5G276000.1 locus=Brasy5G276000 ID=Brasy5G276000.1.v1.1 annot-version=v1.1 MVKDKVSNYLLDQYKVMEGMEKQHKILKPAAILDVITDAEEQATHREGAKAWLKDLKTVADEANEQQAPAFKQWRLTDSIIVDPENIVSRSRDEEKQKIFKILVDHAKVATLMVLPIVGIGGLGKTALAQLIYNDPEVQKHFQTQRSLMDQTMLTMRKHHRSFRKNSVKRHTLLYWTMPGTKILISGTS* >Brasy5G398300.1.p pacid=40072760 transcript=Brasy5G398300.1 locus=Brasy5G398300 ID=Brasy5G398300.1.v1.1 annot-version=v1.1 MANATSGVSVAEECVRAFQELRTGRAHRFVVYKVNNTDADESAAEQVVVDKVGGRDAAFEDLVAALPAGDCRYAVYDLDFTVAAATAAHADGEAPRSKIFFISWSPETAEVRSKMVYASSNEGFKKELDGTQIDVQATDPSELTLQILKDLAS* >Brasy5G207000.1.p pacid=40072761 transcript=Brasy5G207000.1 locus=Brasy5G207000 ID=Brasy5G207000.1.v1.1 annot-version=v1.1 MGWAARFLTAASFLAAGVLFAPDNPPLLGGGSGTNAGVVAVARLAHLLCFATAWGAALWVTFVGGIVMFKYLPRHQFGNLQGKMFPAYFMLISMCSAISVAAFAYLHPWKTASTIERYQLGFLLAALGFDLSNLLVFTPMTIEMMMKRHKLEKDLGIGDEVGRSRNAEVAKTNPALASMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLDL* >Brasy5G316500.1.p pacid=40072762 transcript=Brasy5G316500.1 locus=Brasy5G316500 ID=Brasy5G316500.1.v1.1 annot-version=v1.1 MNKDKVHHKETHGTSNDITEETSVAKVKGPNLLERAKEEIEALVVAVHDKMEHHSSSHRKESELHKDSKEETGVTMHKKIHENETHGTSNDISEDTPVGKVKGPNVFERAKEEIEAIVEAIHPKKESDK* >Brasy5G091500.1.p pacid=40072763 transcript=Brasy5G091500.1 locus=Brasy5G091500 ID=Brasy5G091500.1.v1.1 annot-version=v1.1 MQAVRPAAREEEAQEVREVVKEEAPQEKEVAVVGEHQHSKAKGAQAAAEEEEELVDVEADQAEAEGEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVSEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSPKSADDEIKV* >Brasy5G318000.1.p pacid=40072764 transcript=Brasy5G318000.1 locus=Brasy5G318000 ID=Brasy5G318000.1.v1.1 annot-version=v1.1 MEMERTGRTSMRVVVRVWHLISEGALTSVLLLSTRILQQPGTGRWHYTVCRCASSSTTHSATLDIIFAEHVGFCPP* >Brasy5G419100.1.p pacid=40072765 transcript=Brasy5G419100.1 locus=Brasy5G419100 ID=Brasy5G419100.1.v1.1 annot-version=v1.1 MKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLLLEVVTGIRRSSTTMGFPNLIIYSWNMWKEGKIEELVDSSIMDTSSPDEVLLCIHVALLCVQGNPDDRPVMSAVVFILENGSTTLPTPNHPAYFARRSAEMEQIGVDIQNSVNSFTLTEIQGR* >Brasy5G025300.1.p pacid=40072766 transcript=Brasy5G025300.1 locus=Brasy5G025300 ID=Brasy5G025300.1.v1.1 annot-version=v1.1 MVSATKLEGEYSFHGKNPLLDQSEGVKVHLYRFGIEHQGNDDLKRITCIDQGSPTLLDTIILSQWENFAREGHLGYDVTTCKLKVIEGERNFVVQMNDKWDSFLLKEYGKFRQPLGCLKLDCTESHEELLLCIAQGEKDVPEVVPSATPVNDGVLLIANAYPVEYGHIFLVPSATNQLASFWDRRMFGLIMRSASEVNNAAFRVFFDDGTSIVPDRMFFEACYFANPLPVESASTVAIYDGKARSGIRVYEIVDYPLKALVFTSNNVNTLVNVVSEVSSTLHENNTAYSLLISNHGRNVCLFPQAKSLVTGCCLSAWECCGYFVYGTKADFDRASETEISDRMASFSFQDDAFEDLKNLCCAVADNHAM* >Brasy5G025300.2.p pacid=40072767 transcript=Brasy5G025300.2 locus=Brasy5G025300 ID=Brasy5G025300.2.v1.1 annot-version=v1.1 MVSATKLEGEYSFHGKNPLLDQSEGVKVHLYRFGIEHQGNDDLKRITCIDQGSPTLLDTIILSQVIEGERNFVVQMNDKWDSFLLKEYGKFRQPLGCLKLDCTESHEELLLCIAQGEKDVPEVVPSATPVNDGVLLIANAYPVEYGHIFLVPSATNQLASFWDRRMFGLIMRSASEVNNAAFRVFFDDGTSIVPDRMFFEACYFANPLPVESASTVAIYDGKARSGIRVYEIVDYPLKALVFTSNNVNTLVNVVSEVSSTLHENNTAYSLLISNHGRNVCLFPQAKSLVTGCCLSAWECCGYFVYGTKADFDRASETEISDRMASFSFQDDAFEDLKNLCCAVADNHAM* >Brasy5G443900.1.p pacid=40072768 transcript=Brasy5G443900.1 locus=Brasy5G443900 ID=Brasy5G443900.1.v1.1 annot-version=v1.1 MSEWTDGPNNEMDVPMAELKALKFDLMTSADIETLSSANIIEASDVTSAKLGLPNAAPQCATCGSQNVRDCDGHSGVIKLPATVYSPYFLEQLVQFLNQICPGCWTPKQNRDTKRSEVATIQEPCKYCSKDGLYPSVIFKVLTSPRITLSKSKLQRNTSVMDKVSVTAEVINMSKNKSSLEVLPHDYWDFVPHNRPPQPNTTKIVLSLYQVFHILKKVDLELITKFASRRELLFLSCLPVTPNRHRVAEMPYRFSDGPSLAYDDRTKAYKRTVDASRRIDDYRQHPQFSVLASSFVTSRVMECLQSSKLYSKKTDKESSTDSYGTSVKKKDSYGTKWLKDAILSKRSDYAFRSIMVGDPKIRLHEIGIPMDLADLFVPEHVSIYNFKSINLKCNLHLLAKELLIARRNGKLIYVRKENQLEIGDIIYRPLQDGDLILVNRPPSVHQHSLIALSAKLLPVQSVVAINPLNCAPLSGDFDGDCLHGYVPQSIGSRVELGELVSLSHQLLNMQDGRGLVSLTHDSLAAAHLLTSSGVLLNKTEFQQLQMLCVSLSPTPVPSVIKSINPQGPLWTGKQLFGMLLPSGMNFSPDPKLHIKDSEVLACSGGSFWLQNNTYGLFSVLFKQYGGEALEFLSSAQDMLCEFLTMRGLSVSLSDIYLFSDHYSRRKFTEEVNLALDEAEEAFRVTQILLSPNSIPHLKCYDDCDDLSDSYEQSDFVQSNLPIIKSSIMAFKSVFSDLLKMVQQHTPKDNSMMAMINAGSKGSMLKFVQQAACVGLQLPASKFPFRIPSELTCASWNRHKSLDCDISEGARKRLGGQNSHAVIRNSFIEGLNPLECLLHSISGRANFFSENADVPGTLTKNLMYHLRDIYVAYDGTVRSSYGQQIVQFTYDTAEDIYTDRGQEGEFGAPVGSLAACSISEAAYGALDHPVNVIEDSPLMNLQEVLKCQKGTNSLDHFGLLFLSKNLKKHRYGFEYASLYVQNYLEPMDFSELVDTVMIRYDGGGVQKTKGSPWITHFHISKDMMKRKRLGLRLLVEDLTEHYNAKRDQLNNVIPKVYISKCKCSDDDECINNQTCCITVVAQDESNSTSTSQLDDLRKRAIPVLLATPVKGFLEFKDVEIQCQRDNELVVKVNMSKHCKSGIFWTTLKKACIGIMGLIDWERSRPGSVYDIFCPCGIDSAWKYFVESLRSKTDDIGRNIRREHLLVVADTLSVSGQFHGLSSQGLKQQRTQLSTSSPFSEACFSRPADTFIKAAKQCSVDNLCGNIDALAWGKEPPTGTSGPFKIIYAGKPHEPIQNENIYGFLHNPEVWGPEKNHMETGSTRTKIASERWSSGNGTFNGGTISVDLGAKVGVWDSIIDMRTCLQNMLREYQLDEYVLELDKSRVIEALRFHPRGREKIGVGIRDIKIGQHPSHPGTRCFILVRNDDTTEDVSYKKCVQGAADSISPQLGSHMEKILKSRSFCRDSWR* >Brasy5G443900.2.p pacid=40072769 transcript=Brasy5G443900.2 locus=Brasy5G443900 ID=Brasy5G443900.2.v1.1 annot-version=v1.1 MSEWTDGPNNEMDVPMAELKALKFDLMTSADIETLSSANIIEASDVTSAKLGLPNAAPQCATCGSQNVRDCDGHSGVIKLPATVYSPYFLEQLVQFLNQICPGCWTPKQNRDTKKDGLYPSVIFKVLTSPRITLSKSKLQRNTSVMDKVSVTAEVINMSKNKSSLEVLPHDYWDFVPHNRPPQPNTTKIVLSLYQVFHILKKVDLELITKFASRRELLFLSCLPVTPNRHRVAEMPYRFSDGPSLAYDDRTKAYKRTVDASRRIDDYRQHPQFSVLASSFVTSRVMECLQSSKLYSKKTDKESSTDSYGTSVKKKDSYGTKWLKDAILSKRSDYAFRSIMVGDPKIRLHEIGIPMDLADLFVPEHVSIYNFKSINLKCNLHLLAKELLIARRNGKLIYVRKENQLEIGDIIYRPLQDGDLILVNRPPSVHQHSLIALSAKLLPVQSVVAINPLNCAPLSGDFDGDCLHGYVPQSIGSRVELGELVSLSHQLLNMQDGRGLVSLTHDSLAAAHLLTSSGVLLNKTEFQQLQMLCVSLSPTPVPSVIKSINPQGPLWTGKQLFGMLLPSGMNFSPDPKLHIKDSEVLACSGGSFWLQNNTYGLFSVLFKQYGGEALEFLSSAQDMLCEFLTMRGLSVSLSDIYLFSDHYSRRKFTEEVNLALDEAEEAFRVTQILLSPNSIPHLKCYDDCDDLSDSYEQSDFVQSNLPIIKSSIMAFKSVFSDLLKMVQQHTPKDNSMMAMINAGSKGSMLKFVQQAACVGLQLPASKFPFRIPSELTCASWNRHKSLDCDISEGARKRLGGQNSHAVIRNSFIEGLNPLECLLHSISGRANFFSENADVPGTLTKNLMYHLRDIYVAYDGTVRSSYGQQIVQFTYDTAEDIYTDRGQEGEFGAPVGSLAACSISEAAYGALDHPVNVIEDSPLMNLQEVLKCQKGTNSLDHFGLLFLSKNLKKHRYGFEYASLYVQNYLEPMDFSELVDTVMIRYDGGGVQKTKGSPWITHFHISKDMMKRKRLGLRLLVEDLTEHYNAKRDQLNNVIPKVYISKCKCSDDDECINNQTCCITVVAQDESNSTSTSQLDDLRKRAIPVLLATPVKGFLEFKDVEIQCQRDNELVVKVNMSKHCKSGIFWTTLKKACIGIMGLIDWERSRPGSVYDIFCPCGIDSAWKYFVESLRSKTDDIGRNIRREHLLVVADTLSVSGQFHGLSSQGLKQQRTQLSTSSPFSEACFSRPADTFIKAAKQCSVDNLCGNIDALAWGKEPPTGTSGPFKIIYAGKPHEPIQNENIYGFLHNPEVWGPEKNHMETGSTRTKIASERWSSGNGTFNGGTISVDLGAKVGVWDSIIDMRTCLQNMLREYQLDEYVLELDKSRVIEALRFHPRGREKIGVGIRDIKIGQHPSHPGTRCFILVRNDDTTEDVSYKKCVQGAADSISPQLGSHMEKILKSRSFCRDSWR* >Brasy5G443900.3.p pacid=40072770 transcript=Brasy5G443900.3 locus=Brasy5G443900 ID=Brasy5G443900.3.v1.1 annot-version=v1.1 MLYSKKTDKESSTDSYGTSVKKKDSYGTKWLKDAILSKRSDYAFRSIMVGDPKIRLHEIGIPMDLADLFVPEHVSIYNFKSINLKCNLHLLAKELLIARRNGKLIYVRKENQLEIGDIIYRPLQDGDLILVNRPPSVHQHSLIALSAKLLPVQSVVAINPLNCAPLSGDFDGDCLHGYVPQSIGSRVELGELVSLSHQLLNMQDGRGLVSLTHDSLAAAHLLTSSGVLLNKTEFQQLQMLCVSLSPTPVPSVIKSINPQGPLWTGKQLFGMLLPSGMNFSPDPKLHIKDSEVLACSGGSFWLQNNTYGLFSVLFKQYGGEALEFLSSAQDMLCEFLTMRGLSVSLSDIYLFSDHYSRRKFTEEVNLALDEAEEAFRVTQILLSPNSIPHLKCYDDCDDLSDSYEQSDFVQSNLPIIKSSIMAFKSVFSDLLKMVQQHTPKDNSMMAMINAGSKGSMLKFVQQAACVGLQLPASKFPFRIPSELTCASWNRHKSLDCDISEGARKRLGGQNSHAVIRNSFIEGLNPLECLLHSISGRANFFSENADVPGTLTKNLMYHLRDIYVAYDGTVRSSYGQQIVQFTYDTAEDIYTDRGQEGEFGAPVGSLAACSISEAAYGALDHPVNVIEDSPLMNLQEVLKCQKGTNSLDHFGLLFLSKNLKKHRYGFEYASLYVQNYLEPMDFSELVDTVMIRYDGGGVQKTKGSPWITHFHISKDMMKRKRLGLRLLVEDLTEHYNAKRDQLNNVIPKVYISKCKCSDDDECINNQTCCITVVAQDESNSTSTSQLDDLRKRAIPVLLATPVKGFLEFKDVEIQCQRDNELVVKVNMSKHCKSGIFWTTLKKACIGIMGLIDWERSRPGSVYDIFCPCGIDSAWKYFVESLRSKTDDIGRNIRREHLLVVADTLSVSGQFHGLSSQGLKQQRTQLSTSSPFSEACFSRPADTFIKAAKQCSVDNLCGNIDALAWGKEPPTGTSGPFKIIYAGKPHEPIQNENIYGFLHNPEVWGPEKNHMETGSTRTKIASERWSSGNGTFNGGTISVDLGAKVGVWDSIIDMRTCLQNMLREYQLDEYVLELDKSRVIEALRFHPRGREKIGVGIRDIKIGQHPSHPGTRCFILVRNDDTTEDVSYKKCVQGAADSISPQLGSHMEKILKSRSFCRDSWR* >Brasy5G189800.1.p pacid=40072771 transcript=Brasy5G189800.1 locus=Brasy5G189800 ID=Brasy5G189800.1.v1.1 annot-version=v1.1 MRRRPGLGGRWPRGRLERGLRRPRKMRAETRLGRRDRRRGVRREERGGTGWVEGGGGGALEEGEDGAGAEGGDGALEEGDDGRRHRRGERWWKAAMLCQEGGEGLGEN* >Brasy5G383800.1.p pacid=40072772 transcript=Brasy5G383800.1 locus=Brasy5G383800 ID=Brasy5G383800.1.v1.1 annot-version=v1.1 MEAEVPPGGNPACFSVSSLQQAENAVDRAAELLRNRFVASTLEPRPCRVIVRELDRLRHAQAMLLRLRFAVREMGWRVTNLRHLVVLVRFDDLLRRIHDDVLPSVVDNPEDGRAMRRLWRLGSRLLTLGATYRGGADPVILYLTVENFRRIQRVYADRLTPVQVAATLQSLRDSVARARHDFFVPLPNP* >Brasy5G266400.1.p pacid=40072773 transcript=Brasy5G266400.1 locus=Brasy5G266400 ID=Brasy5G266400.1.v1.1 annot-version=v1.1 MAAEWTEDNTRIITELFVEQVRLGNRPNTHLTPTAYEEVARKFKMLTGNDYKQSQLKNKWDKLKGDYGIFKRLKMQTGGEWNIEKNTVTQDAEWWKKDILGCGKFKKQGLRNEENLKIMFEDITSHGTDHWNPTSGVPPPSSEALADAMNVDDIQDLDNEDTEMQPSPGNAGASNSVKRLGKFVHDGSKKPKTAMVMQEQITRIGDVAVQSQSSFESFIRADDASSVKTVMDAVIECDANEGSDEHYIATELFAKRDQREIFMHMSVGSRLAWLRRKYDNKYPK* >Brasy5G031100.1.p pacid=40072774 transcript=Brasy5G031100.1 locus=Brasy5G031100 ID=Brasy5G031100.1.v1.1 annot-version=v1.1 MDLGPFKLDINELIADYAKENYTAFTDFKRVWTTKKFSYIYEGRPKTNSGVFMQSLFLHCIGHMTSQSSLPQKLAGLYCLYCLYECQPYKPHFKIYLSLEESRKLKDFVVEAKQNGVGFLPALVKRMLDKGMFLFGFVNLLGVHGAKQVDELNESQNKRVKYACDKLFADTQIESYMRTDLGEEFEVDNIKKLSMDYAKAKELAFAEASETVDVEDTNHILQNGKLLGDRVEEIVKEWDAQKEEFYEKTGVSRHYELAVVENEDPGEFHDDNYGFDELEQLLLE* >Brasy5G031100.2.p pacid=40072775 transcript=Brasy5G031100.2 locus=Brasy5G031100 ID=Brasy5G031100.2.v1.1 annot-version=v1.1 MDLGPFKLDINELIADYAKENYTAFTDFKRVWTTKKFSYIYEGRPKTNSGVFMQSLFLHCIGHMTSQSSLPQKLAGLYCLYCLYECQPYKPHFKIYLSLEESRKLKDFVVEAKQNGVGFLPALVKRMLDKGMFLFGFVNLLGVHGAKQVDELNESQNKRVKYACDKLFADTQIESYMRTDLGEEFEVDNIKKLSMDYAKAKELAFAEASETVDVEDTNHILQNGKLLGDRVEEIVKEWDAQKEEFYEKTGVSRHYELAVVENEDPGEFHDDNYGFDELEQLLLE* >Brasy5G459700.1.p pacid=40072776 transcript=Brasy5G459700.1 locus=Brasy5G459700 ID=Brasy5G459700.1.v1.1 annot-version=v1.1 MGPWGAYLVVGGIRVGRAEGGRIRRPPAQGRPDPAAAGPRMAGSGSGGVGGGRPSRLGEAATRGVGRRWPEAPALWWRSLAATADRRRWERERESRVEDRERRDREYEKIGRGREDRRRLGLEERKKRSEGIRVCWIFIPLRWLGHLGLIWVGPKNTSGELQLTNRH* >Brasy5G099400.1.p pacid=40072777 transcript=Brasy5G099400.1 locus=Brasy5G099400 ID=Brasy5G099400.1.v1.1 annot-version=v1.1 MANTRSGAGAQKDGAGDTGAGTSSTRMASAATKAKAPKSATTSASSASELESRSSALQASDVQEPNLRRSSRETRGKNPYLKGAASPSTPVSQKSTRGADTPKSSAKKLKGVAELTAKASTPRMSNRVKNNSVSGSAASNDSNGISSLVATPNKTAKRQIDDHESMKNKHDGSESGSRPLKKQKRLTGRSYAKLFKKCSEVNEISPVSAPKVDEGNISVAHTEDNVSVSGYEESDAQEQDNQAPLSQAVNKIWKGSTSGLCEAPKMTLETDGNSVPVSEALMPTDLCSEDNVADSSLAMEAREQTDGYSNKSLVPEPPNSPNSNIDSNEAKKSIEEDDSIRIQGACALKQTEVTQYDETDCDEHICVVCRSAETPGNLKSCDGNGCKRKYHISCLDFPLECFSLGIWLCSICSKERLLSGVYTASGGIESLWDVKEGVQNCKQYFVKYKNLAHVHNRWTPESDIGHDLVSKFCKKIQKEKTIMWRQEWAEPHRLLMKRSLMPPKEAEEFFHSTGNKLPFCNVEWLVKWKDLGYEHATWELDTSSFLCTCEAEELKRNYENRHEAARKASDTAKIKKVKQSPFQKLRRLPDGCPPGLDNVHLSSLNQLREFWHNSRGAILVDDQERIIKTVLFAMSILPDVCCPLLIVSTSVSLWEAKFNRLAPYINVVVYNGEKDVRKTIRDLEFYDNGSMMLQVLLAHPDAILEDIETMKYIVWEAVIVDDCQISRVSKCLEQLKHLHTDFRMVLLSSPLKENIVDYINLLSFVNSEGNDISSISNVNSIDTPGTIAMLKSKLALHVAFERKAESSKFLEYWVPARLSRVQLEIYCYTLHSNSSALRSHSKTDSVGALRDILLSLRKCCDHPYLADQMLQASLTKDRPATDILDIGVHASGKLLLLDKMLQEIRGKGMRVVILSHVQPGAGAGNPMGDILDDFVRQRFGFESYERVERNLPAQKKHIAMSMFNDKTKGRFIFLIDSRACLPSIKLSSVDAIIIYCSDWNPANDLRILQRISIESQSERVPIFRLYSSCTVEEKALILAKHDHVLDSNIQNITPILSHSLLSWGASFLFSRLEELKNNTYSSKDSDAEKLFMDDVLLESLTKLSTKVDISTKVSNAAISQAHLSGTFYSRDTVLSGEREGISSPDGDLPKFWTFWFNLLNGKFPRWQYITEPAQRCRRKIQNMEEQGKVPANETDEANTKRRKIAEIVDPSRNVLAGKDTGSMLPENYMASSSQQISVGDTWQELGVENQHGTQKGLHVQLRPELSKLHKLLELPESVKCLCEEFLEYILKNHQVTQDPKGILHAFNIALCWRAASLLKHKVNRRESLVLAAKHLNYECNEDLASYVYDKLRILKKKFSHRAGGTSKHNQSTSVKNISPYQEEISPKSGNDEPMVATVEGNLENGSHQEDTHDLLIEAIVPGEKELLFVPEAHKNQHLSKDVLLSRITEKRINLVNMVFSLREKNIHETQANEAAMLDMHRHNGVIKLREACKIVVEHLRKSRACPGRDCQIKLIIEWFTMLLYAYLGHIRYQHEKLYLLQSSVWTKELQFKENFLQEAKFGQLGDTFDQHIPLPDSGFSMEEFSHFSCLVDTVTLANCPQSLDDTSAMEITSVRSVIPSEVINAEVARNGSAEVLIHTEGGPASEGSGLTENRIQSSSDVIDSQGGASLTVHHQFSSSPVFHNSINQASLGGEHRGTEHVEGESGVGLQPLLGGTNPHIGGAEMEVNTSNGDSTLADTPHIEPPQTMAPVPGQASLQMSKEVDAIAMQSDQSCVAPAQPLQEEAEQAGLCGSASAETLQPETQPSASREIETQANLIIQCAQPSMTPAQLPRREAEQAGLSHVPSAPCLPPGMEPSVQVSNILLERTRPDLSEPSHRPEAAPGSVQSAQLFPAASMMFNHPPVGDEPLKNEVHRLWLYIDSLNKTHELKQSQLRTECSQEMEKIKQKYDLLLQEEDSTHLQQRKTLDDLCDKVLLNQSLADDFRAKFISSSGAQARAHSPPIRQAPQASQQIPTRPSGVTLTASPIVSSSPGRPPLQVDRPSSLLQVSRTSSPSSHTVRPGPSIPANLVRSASAPFSQTPVAPRGSYGVQGELTRAPAPHLQRRLPPRAHQQQLPTRLEGSSARTQSTPATPVRQSPSHALSQGNPSPSSSLPSSHLITPAAVRPSSPHETHQGPSPPSSSSNQTRLLPPLSSISCASLQLTPPPGFNTSSSIVSSVASDVLPSGCVGPSVSGTRQSDSDSVSLDEWLNKTLDLSSEPPGAAAGTDLVCLSDDDE* >Brasy5G167100.1.p pacid=40072778 transcript=Brasy5G167100.1 locus=Brasy5G167100 ID=Brasy5G167100.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPSGVLISCPPIDSGPGCNRPPC* >Brasy5G167100.2.p pacid=40072779 transcript=Brasy5G167100.2 locus=Brasy5G167100 ID=Brasy5G167100.2.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPSGVLISCPPIDSGPGCNRPPC* >Brasy5G128100.1.p pacid=40072780 transcript=Brasy5G128100.1 locus=Brasy5G128100 ID=Brasy5G128100.1.v1.1 annot-version=v1.1 MDPSKKNPGRRPPVKSSSNSRSDSPSTATKPPQAQGAGAGHSVPARAQRPSKYVDDQEREQDANNIEGGDDADEDSENDEMSDHSRGQDNKEEEDDVVEITETQMSTTKVAAGKRKKKSGVAAVAKKKTRVKRAECWKYFKLVKAVSKKKPDEVVEKAKCLGCFSLLCYQGGTTSLNRHRDSCYQIQNKKARALRQGTITFDPEKPGASLIVNHET* >Brasy5G324500.1.p pacid=40072781 transcript=Brasy5G324500.1 locus=Brasy5G324500 ID=Brasy5G324500.1.v1.1 annot-version=v1.1 MMKDKMKDFMKKVTSSSAPSFKGPSHVLGSAPAPSASSSTSSSSRPSNPNPRPPPKQQPPHLAGPSDFTPFTPIVSSSSRRPDANGGETVACPNCADAFSSEFAVSEHLDGCLAAAGGARVRAAEYLASDPPAAAVEVVKKLLGNLLKEPGNDKYRRVRLGNPRIKEAVADREGGLELLEAVGFTIGDESGELFAVMDEVPQDARLGGIRQAVFLLERSHPSTPLQTQVEAESKKSCNNGVDEQREVKKIVDRQIRVFFNVPGIPVAENDIPDSFYKLSSQEVSNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDGVILQGVFLPAEATGSLYEFVASALKQPSLEFDLICPAVPKSRVLPHYPNTGERARTLQDEGLVPAALLKFKPNETDSVVFTGLLDKLLEASEPFTSASS* >Brasy5G390800.1.p pacid=40072782 transcript=Brasy5G390800.1 locus=Brasy5G390800 ID=Brasy5G390800.1.v1.1 annot-version=v1.1 MLRHPHAFHLFLHPVHRILHARLTPRSAAALRLEADAITSLRPAAILRLRKLLLLAPPHHRLRLEHIRLLRRDFGLPDDFADSIILSNPTLFCLTPDQFVEFVPSPTDPPDLTVAAVERSRERHYREHRAPGAGEEDARFAFPSRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAKRRMEKRAVSAVHELLSLTVEKRTTLERLALFRDALCVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVETNEISAARRKLEELLLMSREKANLDRMFTSMGRGWDELGGGRRGGAELREKFLGDASGRKRKIGAEDDDDGADSGEDSGVESLYIE* >Brasy5G091100.1.p pacid=40072783 transcript=Brasy5G091100.1 locus=Brasy5G091100 ID=Brasy5G091100.1.v1.1 annot-version=v1.1 MATTASKRSPLACCVLLVTILHMLAVGYSSELMPPTTDEMIPGGYSGEKHNDLMMGRFQGWMAAQGRSYWTAEETTRRFEVYKSNVRYIEAVNAEAATAGLTFELGEGPFTDLTHEEFSALYNGSMPPPEEEEEGDDIREDEQVITTVVDGADDDVAVHSTNLSAGPRPWPPRSRDWRKHGAVTPIKDQGRCGSCWAFPTVATIEGKHKIVRGNLVSLSEQQLIDCDYTNSGCKGGLVTRAYRWIRKVGGLTTSSAYPYKGARGKCMKKRRAAARIAGWRSVRSRSEAALVNAVAGQPVAVSISASGKNFQHYKKGILNGPCDTARLNHAVTVIGYGRQAETGAKYWIVKNSWGTTWGQEGYILMKRGTRNPRGQCGIIATSPVFPLMKVGSSIIM* >Brasy5G001700.1.p pacid=40072784 transcript=Brasy5G001700.1 locus=Brasy5G001700 ID=Brasy5G001700.1.v1.1 annot-version=v1.1 MAGEPSPSPPTSSPAKHRRRSRDDADPDASPKRRRHHRHHRHRSHRHRHVEDDEPPLPATADDDVEEGEILDDGSAAAAAMDVDTHANNDSASAPDLFANHVDTNSTTDATKLHALRLSSHSPSKDERESNHTARESESGGIFSSDAENAKGYEQSQRVSKSPEPTRDEGRRHKDEQTTSSKDSHPKDHTKRSPSSRHHTEVHARNHSRAGEADAEANGSRASPRDDSDHVNSDRNGFGRHVTRVRQDERHRSSSHTVHEGHGDRHDGRERYRDERRHSSNVVDRDNVDRRHRERSSSYSRPERRESAHHTRDESRERERRSGSSRHKDHGRRESSTDRYKEYNMLGTGNDKDKVREDRDRGRHRDMESGTRKTGAGERKDRVSNIDRQRDSSRSKYSTSDVHKGRPRSREKGRDSDSRSQRSKEMKENSFKEEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESVSRSADEEVRTTDRVETVDVKDDNDSNSVDNEEAENKQDSSDAFVGEADFTVGKSPAYDAAVAHVEALGNERTTAVSGLGEGTPKSERSADMFCDDIFGESPAGFRKLGKDDGLCIEKNALHDNWDDPEGYYTHRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEVVAIKIIRKNETMYKAGKQEVSILEKLASADHEDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNDAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTVQHFDQDLNFNAIDEDPVTKKPVRRLILNIKPKDMGSFISNFAGEDPKMLSNFKDLLEKIFVLDPEKRITVSQALSHPFITGK* >Brasy5G001700.2.p pacid=40072785 transcript=Brasy5G001700.2 locus=Brasy5G001700 ID=Brasy5G001700.2.v1.1 annot-version=v1.1 MAGEPSPSPPTSSPAKHRRRSRDDADPDASPKRRRHHRHHRHRSHRHRHVEDDEPPLPATADDDVEEGEILDDGSAAAAAMDVDTHANNDSASAPDLFANHVDTNSTTDATKLHALRLSSHSPSKDERESNHTARESESGGIFSSDAENAKGYEQSQRVSKSPEPTRDEGRRHKDEQTTSSKDSHPKDHTKRSPSSRHHTEVHARNHSRAGEADAEANGSRASPRDDSDHVNSDRNGFGRHVTRVRQDERHRSSSHTVHEGHGDRHDGRERYRDERRHSSNVVDRDNVDRRHRERSSSYSRPERRESAHHTRDESRERERRSGSSRHKDHGRRESSTDRYKEYNMLGTGNDKDKVREDRDRGRHRDMESGTRKTGAGERKDRVSNIDRQRDSSRSKYSTSDVHKGRPRSREKGRDSDSRSQRSKEMKENSFKEEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESVSRSADEEVRTTDRVETVDVKDDNDSNSVDNEEAENKQDSSDAFVGEADFTVGKSPAYDAAVAHVEALGNERTTAVSGLGEGTPKSERSADMFCDDIFGESPAGFRKLGKDDGLCIEKNALHDNWDDPEGYYTHRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEVVAIKIIRKNETMYKAGKQEVSILEKLASADHEDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNDAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTVQHFDQDLNFNAIDEDPVTKKPVRRLILNIKPKDMGSFISNFAGEDPKMLSNFKDLLEKIFVLDPEKRITVSQALSHPFITGK* >Brasy5G508900.1.p pacid=40072786 transcript=Brasy5G508900.1 locus=Brasy5G508900 ID=Brasy5G508900.1.v1.1 annot-version=v1.1 MSQIPGPTTNKRSRNFTDKEDEVLVIAWLHVSMDPIVGTEQKHAAYWNRIHEEYELHKSEGSDRNANSISHRWSVVKEQVGRFCGCYEQIMHRHENGKTEQDKIVDALKLFKSQDKTHKGFVLLHCWNMLRFEQKWLAQVDRSSQSNKKQKSSSNASPSMSSHETNTIHLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKRTELEEAREMARETARQAREIAREASKKERYAGALAMEERKMEMEIMNKDNSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy5G508900.2.p pacid=40072787 transcript=Brasy5G508900.2 locus=Brasy5G508900 ID=Brasy5G508900.2.v1.1 annot-version=v1.1 MDSESPMGLYTNLLSEGYTQEAWGQNLSSPFGEQIVDALKLFKSQDKTHKGFVLLHCWNMLRFEQKWLAQVDRSSQSNKKQKSSSNASPSMSSHETNTIHLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKRTELEEAREMARETARQAREIAREASKKERYAGALAMEERKMEMEIMNKDNSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy5G508900.3.p pacid=40072788 transcript=Brasy5G508900.3 locus=Brasy5G508900 ID=Brasy5G508900.3.v1.1 annot-version=v1.1 MHRHENGKTEQDKIVDALKLFKSQDKTHKGFVLLHCWNMLRFEQKWLAQVDRSSQSNKKQKSSSNASPSMSSHETNTIHLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKRTELEEAREMARETARQAREIAREASKKERYAGALAMEERKMEMEIMNKDNSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy5G508900.4.p pacid=40072789 transcript=Brasy5G508900.4 locus=Brasy5G508900 ID=Brasy5G508900.4.v1.1 annot-version=v1.1 MLRFEQKWLAQVDRSSQSNKKQKSSSNASPSMSSHETNTIHLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKRTELEEAREMARETARQAREIAREASKKERYAGALAMEERKMEMEIMNKDNSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy5G491100.1.p pacid=40072790 transcript=Brasy5G491100.1 locus=Brasy5G491100 ID=Brasy5G491100.1.v1.1 annot-version=v1.1 MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPKGAVVGSVQEVKEVLNKVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAEGLAAKMLNQILVTKQTGPQGKVVGKVYLCEKMSLVNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAGKVVDGLAPKTADRQASIEQIKKLYELFCKSDCTMLEINPLAETADKKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKAYIK* >Brasy5G232500.1.p pacid=40072791 transcript=Brasy5G232500.1 locus=Brasy5G232500 ID=Brasy5G232500.1.v1.1 annot-version=v1.1 MELHGRVLKLGCGADRYVQNALVSMYGKLGWLGDARKVFDGMPARNAVSWNALVAAHGSAGDSQGAERVSRETPERSMSWWNAEITRHARVGDMEAAARVFREMPGRDAVSWNSLIGGYAKLGWSARALEVFREMQDSGVEPAVLGACAEFGELELGKCVHGYIGSKGVAADGYVGNALVDMYTKCGSLEFARQVFESMSTRDITCWNAMIVGLSVHGHSREALELFDAMRVEPDHVTFLGVLIACSHGGLVDEGRVYFRSMTEDYKIVLGVKHYGCMVDMLCRHGKVAEAYQIVNDMPVKAKSVLWKMLLAACRLHGHIDLANKAFHELHRLMPIDDGDVITISNVYAEAKRWDDVEHFRMKVIEPTVWKHGAHSQVDVTNWSEQ* >Brasy5G320000.1.p pacid=40072792 transcript=Brasy5G320000.1 locus=Brasy5G320000 ID=Brasy5G320000.1.v1.1 annot-version=v1.1 MGQHRDQEQDRRRTLLLVSLASIMERADEALLPAVYREVGAALHADPTWLGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSQTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIAGWRIAFHLVAVISVAVGALNWFFAVDPHFTTSDPAAGNEQSARRVVEEMIAEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHRGTAVLMTIFWVASSLGGLLGGKMGDLLALRYPDAGRIVLSQISAGSAVPLAAVLLLGLPDDPATGVLHGVVLFVMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGILAQRVYGYRPDDKGRSVRLDRENAASLAKALYTAIAIPFTVCTAIYSFLYCSYPRDRDRARMQSLVESELHQIQIEHESSRLEDGDCDGDGDGVNRLEESSPEAEKDTVKLLSDV* >Brasy5G356000.1.p pacid=40072793 transcript=Brasy5G356000.1 locus=Brasy5G356000 ID=Brasy5G356000.1.v1.1 annot-version=v1.1 MTRRGRKGKGTKWLKHYSSAQSILIVGDGDFSFSMALATAFGSGANLVATSLDSYESNVMELKIMGATVLHGVNAKKMRSHTYLKTRQFDRIVFNFPHAGFKAKDYKEVQMVNLHKVLVKGFLANARCLLHPYGEIHISHKIGYPYDEWNLEQLASESSLTMIKKVRFQKEDYPGYNQKRGDGAKCNRSFPLGACCTFKFCIESEEPEDETPLVCLVAALANVNLSQSHGNYVTV* >Brasy5G055400.1.p pacid=40072794 transcript=Brasy5G055400.1 locus=Brasy5G055400 ID=Brasy5G055400.1.v1.1 annot-version=v1.1 MGKNGATRTAKPEEPRRVLTLLEVDPAKEDPAVLDAISGTPWYIVSNAAEHMTGNLHLLTNFTPTRPGRLVRTHTGAMLQVGGKGSLSSNQLSVPGVSYVPGLAENIISVTQLTDSGFSVAFGPHGCTVTRICNGKEVGCAVHAGGQMYQLDHLEVAPSK* >Brasy5G179900.1.p pacid=40072795 transcript=Brasy5G179900.1 locus=Brasy5G179900 ID=Brasy5G179900.1.v1.1 annot-version=v1.1 MMAWRPDCVGVVGAPVFFFGSEFDIYSSYLFMLALAVVKKDTRSFGSQTQNIEFRVYI* >Brasy5G391200.1.p pacid=40072796 transcript=Brasy5G391200.1 locus=Brasy5G391200 ID=Brasy5G391200.1.v1.1 annot-version=v1.1 MANGGADAGNGGCGGEGTRRSVLVTGGAGFIGTHTALQLLEKGYDVTAVDNFHNSVPEALDCVRHIVGPALSTRLHFILGDLTIKEDLDKVFAAKRYDAVIHFAGLKAVGESVAHPEMYNRNNIVGTVNLYDAMKEHGCNKLVFSSSATVYGQPEKVPCVEDSALKALNPYGRTKLYLEEMLRDYQHANPEGRTILLRYFNPIGAHESGEIGEDPRGIPNNLLPYIQQVAVGRLPVLNVYGHDYRTRDGTAVRDYIHVVDLGSGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEMVHAFEKAYGKKIPIKMCPRRPGDSEEVYASTEKAERELGWRAQYGIEEMCRDQWKWAAKNPYGYRGSAEKKD* >Brasy5G456900.1.p pacid=40072797 transcript=Brasy5G456900.1 locus=Brasy5G456900 ID=Brasy5G456900.1.v1.1 annot-version=v1.1 MLLWRRQRKCIGEWCRHIGASPTESSPRCRFSVIAASVQPEYSSSGDERLNFAANELAERRSCPLSPANVVKTLQCLKRRPAIAFAYFKDTESVGFRHDFSTYAEIIHILSHSGQGRMLFSLFCEIVSPTSSGGPEIVPLMDQLKRTCTASYPLLFATNCLITACTTCCDARDTIGLFGELCRLGVVPPVWTCNILLKFAAESCDSEIVLSAYDEMKFFRLTLDAHSLRIITRSLFQEKKADKAFKVWVEMIEMGVKPDVHGHSSFIIGLCDCGKIDLAYAILQEIIREKVQVEAIAFNVVMDGLCKEMRLEEVEKLLENKVRQGLTPDIYGYSYLIRSYCKVGNLLKVLDHYQAMVSHGLEANCHIMSYLLQCFTKLGMASQVTEYFQKFRDSGLHIDGVLYNIAMDAYCKLGNMDEAVKLLGEMITVGLSPDRIHYTCLIKGYCLKGDIQNARQAFEEMLKANVKPDVVTYNILASGLSKRGLVMEVFDLIGHMEDRGLEPNSLTYGVVIDGFCRGDNLSEAEVLFNIVEEKGIDNIEVLYSSMVCGYLHKGWTDNAYVLFLRVAKQGKLVDRFSCSKLISDLCRDGNAQGASTVCSTMLEKNDVPDLISYSKLISAYCQTGDMHNARLWFHDMVQRGLPVDVIVYTVLMNGYCKIGRMQEACELFAQMTSLGIKPDIIAYTVLLDGHLKEDLQRRWQGISRDKRSLLLRAKQNRLLSSMKEMEIEPDVPCYTVLIDGQCKSDYLEQARGLFDEMLQKGLTPDHYAYTALINGYCSQGEVAKAEDLFQEMVDKGIKPDVLTFSVLNQRVLRNRQDQSYV* >Brasy5G370600.1.p pacid=40072798 transcript=Brasy5G370600.1 locus=Brasy5G370600 ID=Brasy5G370600.1.v1.1 annot-version=v1.1 MDGDYVASLLMGGSSGLDFGVLGMDGGFLETLCGGGGGGGVGFAERAAAGMSGAVSGGQFGVAEGSGAASREGSSVSDPAWASYARPDGANAKKRKAPAGGSGKGKEAAAAAAACFGKVGEATGPDSKKCKVEVAPVKPKVEETASDGSAGAERGRKQAKGKSSKSKPADDEPPRDYVHVRARRGQATDSHSLAERVRREKITIKMKMLQDLVPGCNKVIGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSTLSTLLHKDMNEAFGPSPSSVFPLESAGGAFPFYDQADIFQSFGSGSMENQCALGLLDTVLPHATNPQYAFHKQQQNFWDENLQNALHIDNEQSRGNGVSAPNVDGQLQAADHTETEF* >Brasy5G370600.2.p pacid=40072799 transcript=Brasy5G370600.2 locus=Brasy5G370600 ID=Brasy5G370600.2.v1.1 annot-version=v1.1 MDGDYVASLLMGGSSGLDFGVLGMDGGFLETLCGGGGGGGVGFAERAAAGMSGAVSGGQFGVAEGSGAASREGSSVSDPAWASYARPDGANAKKRKAPAGGSGKGKEAAAAAAACFGKVGEATGPDSKKCKVEVAPVKPKVEETASDGSAGAERGRKQAKGKSSKSKPADDEPPRDYVHVRARRGQATDSHSLAERVRREKITIKMKMLQDLVPGCNKVIGKALMLDEIINYVQSLQQQVEQQNFWDENLQNALHIDNEQSRGNGVSAPNVDGQLQAADHTETEF* >Brasy5G217500.1.p pacid=40072800 transcript=Brasy5G217500.1 locus=Brasy5G217500 ID=Brasy5G217500.1.v1.1 annot-version=v1.1 MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKVAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMSQMNRQMNLPALQKIMQEFEMQNEKMEMVSEVMNDAIDDALEGDEEEEETEELVSQVLDEIGIDINSELVKAPATAVSKPVAAGKVPAQAEAAGGMDGGIDDDLQARLDNLRKM* >Brasy5G226900.1.p pacid=40072801 transcript=Brasy5G226900.1 locus=Brasy5G226900 ID=Brasy5G226900.1.v1.1 annot-version=v1.1 MRIIFLNDEKIYYFKKIARMFTRVGPTCKRCSLFSFWRRPHRCWCLVVVEGGGEENREKEEMPHRARPMTGLLAFMGVNLLLLNTITPVYDFVCFHPYWDRRRERRQREREELQVKSSLGTAK* >Brasy5G226900.2.p pacid=40072802 transcript=Brasy5G226900.2 locus=Brasy5G226900 ID=Brasy5G226900.2.v1.1 annot-version=v1.1 MRIIFLNDEKIYYFKKIARMFTRVGPTCKRCSLFSFWRRPHRCWCLVVVEGGGEENREKEEMPHRARPMTGLLAFMGVNLLLLNTITPVYDFVCFHPYWDRRRERRQREREELQVKSSLGTAK* >Brasy5G457800.1.p pacid=40072803 transcript=Brasy5G457800.1 locus=Brasy5G457800 ID=Brasy5G457800.1.v1.1 annot-version=v1.1 MEGNNLPSGNCMQETVRKPMQMRSLNSGNQGFNSQIPVLFPMPMNQVTDSDHLSELQFGERGKTDHHHHHQTKDSMSDDEEHDLNEDATDGHIGKGKKGSAWQRMKWTDSMIKLLITAASYTGEDPGADLGGGRKSCAMMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTTCRVVANPALLDHMDNLSDKLKDDARKILSSRHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKEENDLKRGVSGDADEDDQTADSDSEEDNDEEHHMTHSSKGALPMLKRMRHMTDHEDVGFGNSSSSHDCSERSNPHGIALDINKVFLDGTSSALAQKDLALQLEQLEKYRFQIEIQELELAEQRFKWEQFCTKKDRELERMGSENEQMRVENKRLALEVRRKELEFELKLKGNDNH* >Brasy5G512400.1.p pacid=40072804 transcript=Brasy5G512400.1 locus=Brasy5G512400 ID=Brasy5G512400.1.v1.1 annot-version=v1.1 MISTLTDYCKEGPNKIVLSRSDSRTFLLGVRTAKRRSLEACLRV* >Brasy5G012200.1.p pacid=40072805 transcript=Brasy5G012200.1 locus=Brasy5G012200 ID=Brasy5G012200.1.v1.1 annot-version=v1.1 MAASAAAGSKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTVMALAGNKADMLEARQVPMEEAKTYAQENGLFFMETSAKTATNVNDVFYEIAKRLLQGQQAQNPQAGMVLSQRPTERMVSSSSCCSS* >Brasy5G004200.1.p pacid=40072806 transcript=Brasy5G004200.1 locus=Brasy5G004200 ID=Brasy5G004200.1.v1.1 annot-version=v1.1 MKTFFLLALLSLVASTAFAQDVQGRDPYYEQCPIRKLDVCQDYIAQRCNPGKTAYRWYKSCQEVQGLCCQQLEETSQQCLCKTICKGVQSELSAILTKSDLYGPNLQGEVTMLMERAKNLPYTCSIPAVTYCNIPITTSVAVIYLN* >Brasy5G072600.1.p pacid=40072807 transcript=Brasy5G072600.1 locus=Brasy5G072600 ID=Brasy5G072600.1.v1.1 annot-version=v1.1 MVRTWLRRGGAATVVRRSSLRIFYCIIFRSSRRWERETSENEGRGRGRVETSQNCLTWAAIELSLTGLACPLSARYNERRPRRKRKGDCGHMEVPRGPWRKRKGKDAAGAGPADPAADAGDSNRLLAGYLAHEFLARGTVRGERRAAGGPGTGSEAGQEQAFVRYEALAALVQHGGARVPGVVNPAQLAAWATTTR* >Brasy5G072600.2.p pacid=40072808 transcript=Brasy5G072600.2 locus=Brasy5G072600 ID=Brasy5G072600.2.v1.1 annot-version=v1.1 MVRTWLRRGGAATVVRRSSLRIFYCIIFRSSRRWERETSENEGRGRGRVETSQNCLTWAAIELSLTGLACPLSARYNERRPRRKRKGDCGHMEVPRGPWRKRKGKDAAGAGPADPAADAGDSNRLLAGYLAHEFLARGTVRGERRAAGGPGTGSEAGQEQAFVRYEALAALVQHGGARVPGVVNPAQLAAWATTTR* >Brasy5G358000.1.p pacid=40072809 transcript=Brasy5G358000.1 locus=Brasy5G358000 ID=Brasy5G358000.1.v1.1 annot-version=v1.1 MAEVTLVLVITKLGIAVAGETLKIAKPLLARNAEVVAALPANMKLIKDELEIINAFLKEIGLKGCKGEVIETWIRQVRRLAYDMEDVVDQFMYVVAEKEVTGSWAYLKKIFKKPQCSISLDDIATKADIVNKELIELSKRRSRWTQPIVGLNDIPTTSYDNEQLLYLPGHDRSINDDELIGIYQNKETLIEMLHFKDRSMRIIAVWGMGGIGKSTLVNNVYTNELSHFSCRAWVSISQSYKLEDIWRNMLRELVKDNREFDAEKMYSAELRTELKKILKEKRYLIILDDVWRAGDFFKISEVLVDNGLGSRVIITTRIEDVASVAADGCKIKVEPLKDHDAWFLFCRKAFPNIENHTCPPELCECGKAIVGKCDGLPLALVAIGSLLSLNTKSNKKWRVFYDQLISELHNNENLNRVEKILNLSYKHLPNYLKNCFLHCAMFPEDYLLHRKRLIRLWIAEGFVEQRGASNLEDVAEGYLIELVERSMLHVVNRNSFDRIRCLRMHDLVRDLAISQCKKESFCTVYDDTDGVVVQLGLDPRRVAVLHCNNDIRSSIDPTRLRTFISFDTSMLSSSWSSFIPSESKYLAVLDLSGLPIETIPNSFGELFNLRYVCLDDTNVKLLPKSMKKLHNLQTLSLKRTELLNIPQEFSNLKKLRHLLIWKLVDATYTSLNNWESVEPFDGLWKLKELQSLTEIRATKDFVAELGNLSQLRTLCITYVRSSYCAQLCDSLSKLHHLSTLHIRAYNEDELLLLEDLTMPKPLEKLGLIGRLSEGTFKSPFFSTHGNRLLNMELSWCQFTENPVARLFELSNLTELHLTRAYTGHQLNFHAKWFEHLKKLALSDLPRVNQICIHEGALVSLEYLHIYSLKELRDVPTGIKFLNSIKEAYFTRMHPDFILQMEKLNHIPRVHWSTQEASTLQTEIVNRPASASNNPEWRILGGSGWAFI* >Brasy5G455200.1.p pacid=40072810 transcript=Brasy5G455200.1 locus=Brasy5G455200 ID=Brasy5G455200.1.v1.1 annot-version=v1.1 MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKAFPRDDQQKSCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVIVGLVAYVKTPRGLRTLNSVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDTDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVEAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKVGQETHDASTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALENINLKFIDTSSKFGHGRFQTTEEKQRFYNKLKA* >Brasy5G134300.1.p pacid=40072811 transcript=Brasy5G134300.1 locus=Brasy5G134300 ID=Brasy5G134300.1.v1.1 annot-version=v1.1 MHGQKGVIGFLESQENFPFTCQGIVPDVVINPHAFPTRQTPGQLLEAALGKGIALGGAMRYATPFTPASLEVISEQLHKAGFSRGGAESVINGRTGERMHSLIFMGPNFYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICRTCERVANVVMRGVPGGKRIRGPYCGFCRSSENTVRIDVPYGAKLLYQELFCMGICLKFQTEIC* >Brasy5G100300.1.p pacid=40072812 transcript=Brasy5G100300.1 locus=Brasy5G100300 ID=Brasy5G100300.1.v1.1 annot-version=v1.1 MARRNAATRLLASSPPPFHSSHHQHHQRRRLPLLLPASRSKTSSSKPPRPSPRTDSAGAACNPAAAAGPRPSLFQEISGLVASAAETGNDPPSQPRVNEQVWGGDEALLQCTEGACGKAPENAAATSASFIGRIPDQGVLDGHPDNRGGPCANAKGAAPSWGSSCQVAGDGNAQDSDIDNISADVQRVAEVLRSEVPGLPVEERLGSLGVTYTPRLVNMVLKRCFKKRHLGCRFFYWVKQLPGFRHTTETYNTMMYIAGEERSFGLMVELMDEMDQEMCSKDIKTWTILIASYGKARKISSMLSTFHAMKKSGSVAVDTTVYRRILLALCNDARHELALEFYKDMPKNMYVGSDILRLLLCLLAEANNVEAVFLIRDDMIKSTRYPEEFCYLEALRSFCVSGKIVEAQKVFQQMTNKSMDSSSAFETLLRGLCKSGRMDEALQVMEHMKNRSCISSTAFGFLIDGYLRKGERMKALELLQGMREYGCVPLASSYTQLIQHLFTFDQYEAACELYEEMQKNGVQPDIVTITALIAGHISNGHISKAWDVLRRIKENGQRPTMKAYTVFIKELCKASRPLESLELLKEMLESDFRPSEGIFCRVVCALRDNNYLEEARNVEKIQASFDLRRPKEEMGCRPLEKIDKIDEFQKFCKYDPERKKMMSESVGHSSDKDSEVSRCSVYDEAQHTEQTKGYCGEDVEEICQVLSSSDNWSLMQQALEMKSLQFTPNLVNAIMKRCKRKSHAALKFFSWVSRRAYYMQTTETYNTAMKLAGSAKDFKHMRHLYREMAGAQCSPTVDTWNVMICQYGNAGLSEMALDTFYLMKQQGFQPDKTTYNHLIMYLSRRKGRKVGAAVKILKEMIHAGYLLDNEVLRTHLSALCECGMLVEARSSLVSLCKQGFAIQVGYSILLRSLCRSDRKDEAVSLFDDIEEYGCSRNDHMYGSLIHALLRWDCFEDAVAKLAEMKNAGIRQSTHMYTSFIIYFFRKRDAAKAMDMFKEMAENGCEPTVITYSALIRGYMGMGMVSEAWDVFERMKLKGPSPDFETYSMFMTFLCKAGRSEDGLQLIHDMLDGGIIPSAVNFRTVVHGLNVEGKHDLANSVLQSKWHLRRDRNVTNSSFV* >Brasy5G338000.1.p pacid=40072813 transcript=Brasy5G338000.1 locus=Brasy5G338000 ID=Brasy5G338000.1.v1.1 annot-version=v1.1 MREYWTSLASLMGALAFLQGVLQAVFPAELRAAVARLLGRATRAFSPYCYFDVTETDGMSNNEIYDAVQLYLSSTAAPASGARLSLTRPHNASSFTFGLAASDRVADSFLGAAVTWEHVVAPRQSPGFSWRPLPEEKRRFTLRIRRGDREKLLPAYLDHILATAQDIKRRSQDRLLYTNARGGGMDARGLPWDPVPFKHPSTFDTLAMDPDRKADIMADLRDFSNGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVSSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAAAMAQPAPKPRPSIIDGTADHDTAGAATGRSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCSFPALKILLKNYLCFQGDSDDCADVVRAMEEWIDAAEITPADVSEVLIKNRRNGKKKTLVELLEVLKARAEKRQRDSGTAAARKDAGDNEEEEEEKRALESPKEGKGQAGKDSCQDGQDEETDAKKQL* >Brasy5G421400.1.p pacid=40072814 transcript=Brasy5G421400.1 locus=Brasy5G421400 ID=Brasy5G421400.1.v1.1 annot-version=v1.1 MDTQTETAAALMTATAADDDEHHPALHTQNVAEVIPTLPLETRWPPFALRRYRGYWLLERFLPAMAAFYDHFEPAPGDVFLATCPKSGTTWLKALAFATAHRAAHPPSSADHPLLRRSPHGCVMFLDTVFDRPVDAARGVLAACPSPPRVFGTHWAYSQLPERITGGPTRVVYLCRDPKDVMVSWYWFLNKIAGAHGTTGQHELDGRLDFHNLFEVFCEGRSGMGPVWRHAAEYWAASRSRPERVLFLRYEELTRDPKGSLLKLAEFLGCPFTAAERDAGVVDAVLDLCSLERLKNLEVNRSGEQEEELVVANDVFFRKGVVGDWSNHMTPAMAARLDKIVEDALQGTGFSFADSTRQSSTPEDGAQ* >Brasy5G277300.1.p pacid=40072815 transcript=Brasy5G277300.1 locus=Brasy5G277300 ID=Brasy5G277300.1.v1.1 annot-version=v1.1 MAASTSGVVAQQKMNRRLLEAAMFGDSKSMKEMASSNASVLLGTTPQGNTCLHISSVHGHEGFCKDVLVLNHSLLSDVNSDRETPLITSVASGHASLSLVLLRHCEELGLRHAILQQDKGGCNVLHHAIRNGYKDLALELIAAEPALSQGVNKCNESPMFDAAMRDFTDVFERLLEIPDSAHAGHCGYNALHAAARNGNSVIAKKIMQTRLWMARKEGRNWFSPMVPAILLDKIDVLRVLLEHDSSLGYEVSDDTGPLLMSAAFRGHVDAARELLKHCPDAPYCNSDGWTCLHQAISSGRTQFVEFILRVPQLRKLINMRDGNGKTALHYAVRKCNPKIVAALLSQKDIDITMRDKYGNPAAWELAIAMDHAKTLNWNEVFMLMLKVDPRNAPVLYNVHEQTKEKVTDASRKDAKSLTQTYTSNASIVAILVAAITFAAAFTLPGGSWKPGATFHGKEVCVPGVPYL* >Brasy5G303500.1.p pacid=40072816 transcript=Brasy5G303500.1 locus=Brasy5G303500 ID=Brasy5G303500.1.v1.1 annot-version=v1.1 MLPVAETYAEGCPLRRPDHAYAYATYRHRDANLIPKEIDIDIPEPPMPELGDDDLGHELEPPPMLRHHLIVHLDSISVLPARRSGSSSRDPSRRETYRFNWPRRRVDGTADTGPLQPPARAHPCRDADEDASVAVPTPATLVVAPPEPASQHVPILEPLLAPMAPPALEIIAASTALAPPVSAPLPAAVPDAVVVVPAATAVYTRARTIAKSPLLADAAAVVATPPVAAPAAVADVATTAMVTAAMATPAAAGALVEPPPNLADFLQRVAEAITPGLLQLARPTKTPQRIAPRVVPSATRRSRRLAAKEPASPDHVMSKAKRLICKKLGVVFEEAASDDAAMLARYAASFDKPLSEAQIAALTALAQRGAEKKMKAPA* >Brasy5G306400.1.p pacid=40072817 transcript=Brasy5G306400.1 locus=Brasy5G306400 ID=Brasy5G306400.1.v1.1 annot-version=v1.1 MTCLSRAPAVSTPRSRTQSAAVRLRALASPRRLHAAAPSIPASDLPPSRPREPPPSPRVDWDPGPATSAPRHLHAATGPRPCHLRPPPSPRREWDRLSLSLPARPPPPPTALPRLAVLSLPNSPSSPSPRPEGALCLDGAGARSGWHPSVPPPAA* >Brasy5G381700.1.p pacid=40072818 transcript=Brasy5G381700.1 locus=Brasy5G381700 ID=Brasy5G381700.1.v1.1 annot-version=v1.1 MMLEIPEANALTSSQCLSGSTREAGSTCLSSPQIPSACHCSSSDLTFSPPAPCAAPGRLARVQPAL* >Brasy5G509800.1.p pacid=40072819 transcript=Brasy5G509800.1 locus=Brasy5G509800 ID=Brasy5G509800.1.v1.1 annot-version=v1.1 MDGDEENVGPFRRTSSRTRRMASRMASALSSSDNRAQAAMARLDALESDNAGVEVVDLNDDEYGSTDEEDHVLMQKKQSKNMKRKTRQGKAMEKKATRSFMDVLQEANLESLPPHVPTYLKAAVGPPSTSSRRHYCSVCGSSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA* >Brasy5G136000.1.p pacid=40072820 transcript=Brasy5G136000.1 locus=Brasy5G136000 ID=Brasy5G136000.1.v1.1 annot-version=v1.1 MRTSQILLLALALILLSPDVGGARLKCTDLLITNECDNGEIACQHYCYDHFNGTGKCIPRGCQCNFCVASPPAKRSSPAAS* >Brasy5G513200.1.p pacid=40072821 transcript=Brasy5G513200.1 locus=Brasy5G513200 ID=Brasy5G513200.1.v1.1 annot-version=v1.1 MARSGVEVIASRGCSRLFLPGMQPSSASAASSFASASRTSAAAQRLPEGAFAGLVICVTGLSKEARIQVKEAAERLGGEYSGSLHPKCTHLVVQSFAGRKFEHALKHGPRNGLFVVTLGWFVDCVRRNMRLDESLYSIKNIGENGLPLGESNRLVGVPVSEQSCLPPMIFQDKACSGATQKHQLQAPREKPEHDLFVFANDMIYIDPGISGEMKKKISDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARQVAVVLENFQTSQENRKLGIVPSLDSKGSGLPPTGMEVNETHQERQNFVEVAKKDIRERRVRRMQSCEVPIHPLTPVTLLDSICWTISEPASAACIYTDSSWSDDANEQQSTTYFDANGDGKDRDQSADNLSRPLRESERSEIIFKNHFFTILFPLDRFGELGPSSRTFFSNGGFTCVQVLDHIYNFYQENMSTDEINVALHTDSRHADRLRSLYASDESVERGFVAFRRIDFLGSRKSFEALKRLNREKNSNVYELVIRA* >Brasy5G049500.1.p pacid=40072822 transcript=Brasy5G049500.1 locus=Brasy5G049500 ID=Brasy5G049500.1.v1.1 annot-version=v1.1 MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTAHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCHPETPSITGIDEQELHGGSNCITSILKGMPADMDGYLMDQIWMEIEAPEAPSGPSFHDGKDNLYNSLSGPLLPSPMWDYYCTEARWKTDDDIKMAPQFGYSKGMDPCY* >Brasy5G049500.2.p pacid=40072823 transcript=Brasy5G049500.2 locus=Brasy5G049500 ID=Brasy5G049500.2.v1.1 annot-version=v1.1 MTAHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCHPETPSITGIDEQELHGGSNCITSILKGMPADMDGYLMDQIWMEIEAPEAPSGPSFHDGKDNLYNSLSGPLLPSPMWDYYCTEARWKTDDDIKMAPQFGYSKGMDPCY* >Brasy5G049500.3.p pacid=40072824 transcript=Brasy5G049500.3 locus=Brasy5G049500 ID=Brasy5G049500.3.v1.1 annot-version=v1.1 MTAHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCHPETPSITGIDEQELHGGSNCITSILKGMPADMDGYLMDQIWMEIEAPEAPSGPSFHDGKDNLYNSLSGPLLPSPMWDYYCTEARWKTDDDIKMAPQFGYSKGMDPCY* >Brasy5G049500.4.p pacid=40072825 transcript=Brasy5G049500.4 locus=Brasy5G049500 ID=Brasy5G049500.4.v1.1 annot-version=v1.1 MTAHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCHPETPSITGIDEQELHGGSNCITSILKGMPADMDGYLMDQIWMEIEAPEAPSGPSFHDGKDNLYNSLSGPLLPSPMWDYYCTEARWKTDDDIKMAPQFGYSKGMDPCY* >Brasy5G049500.5.p pacid=40072826 transcript=Brasy5G049500.5 locus=Brasy5G049500 ID=Brasy5G049500.5.v1.1 annot-version=v1.1 MTAHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCHPETPSITGIDEQELHGGSNCITSILKGMPADMDGYLMDQIWMEIEAPEAPSGPSFHDGKDNLYNSLSGPLLPSPMWDYYCTEARWKTDDDIKMAPQFGYSKGMDPCY* >Brasy5G253000.1.p pacid=40072827 transcript=Brasy5G253000.1 locus=Brasy5G253000 ID=Brasy5G253000.1.v1.1 annot-version=v1.1 MRRPVIQPPPPLAPSPCASSRHRPRPRGHPAAIVPVPMRIQPPSSLSPCASSRRPRPRKHPATATVCIRVRRRPATTHPAAVDASRHTRSSPRKFGGCCFCLRVACCCPAC* >Brasy5G136800.1.p pacid=40072828 transcript=Brasy5G136800.1 locus=Brasy5G136800 ID=Brasy5G136800.1.v1.1 annot-version=v1.1 MPAGGFSVSAQSVSGVEFEAKITPIVVISCVMAATGGLMFGYDIGISGGVSSMEDFLHQFFPTVLRKMHENPGSNYCKYNDHGLQLFTSSLFLAGLASTFVASYTTRRLGRRATMLVAGVFFIVGVIFNGAAQNLATLILGRILLGFGVGFANQVRNFGLQIICNFLFNWQLLRTFLTATFQSFWVNGFYTRLFPIEIN* >Brasy5G136800.2.p pacid=40072829 transcript=Brasy5G136800.2 locus=Brasy5G136800 ID=Brasy5G136800.2.v1.1 annot-version=v1.1 MPAGGFSVSAQSVSGVEFEAKITPIVVISCVMAATGGLMFGYDIGISGGVSSMEDFLHQFFPTVLRKMHENPGSNYCKYNDHGLQLFTSSLFLAGLASTFVASYTTRRLGRRATMLVAGVFFIVGVIFNGAAQNLATLILGRILLGFGVGFANQGLLWIYATQIIHGIYPFRRMEEEISSTF* >Brasy5G404700.1.p pacid=40072830 transcript=Brasy5G404700.1 locus=Brasy5G404700 ID=Brasy5G404700.1.v1.1 annot-version=v1.1 MCLVYELHCTDTAAWTWRHTYGDTGYGIFQNTVIRGYGKYINNQKKMIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQVAKSETSLQIKEDLQKVMHHLTGRLTTVADSNMNNPLKDAQNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G404700.2.p pacid=40072831 transcript=Brasy5G404700.2 locus=Brasy5G404700 ID=Brasy5G404700.2.v1.1 annot-version=v1.1 MCLVYELHCTDTAAWTWRHTYGDTGYGIFQNTVIRGYGKYINNQKKMIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQVAKSETSLQIKEDLQKVMHHLTGRLTTVADSNMNNPLKNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G404700.3.p pacid=40072832 transcript=Brasy5G404700.3 locus=Brasy5G404700 ID=Brasy5G404700.3.v1.1 annot-version=v1.1 MCLVYELHCTDTAAWTWRHTYGDTGYGIFQNTVIRGYGKYINNQKKMIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQDAQNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G404700.5.p pacid=40072833 transcript=Brasy5G404700.5 locus=Brasy5G404700 ID=Brasy5G404700.5.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQVAKSETSLQIKEDLQKVMHHLTGRLTTVADSNMNNPLKDAQNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G404700.4.p pacid=40072834 transcript=Brasy5G404700.4 locus=Brasy5G404700 ID=Brasy5G404700.4.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQVAKSETSLQIKEDLQKVMHHLTGRLTTVADSNMNNPLKNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G404700.6.p pacid=40072835 transcript=Brasy5G404700.6 locus=Brasy5G404700 ID=Brasy5G404700.6.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQYKAEDGGPKQVDMEKLVSSLPLHLLAVCLELGRDSDLTYVLRGIRFLHSLSELATRYTRLEQVLLDDVKLSEQVMDLILYVLTILSHRKKENHLGASPFIHSSLVAASLHLLTSYFSSQCHELVHILLAHSKVDIFMDVAFESLHEDMKLLSLRLSTLGTDAFPVGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRKGGILSLSLTILKLGVPECLQGSTHIVSSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTLALEVLDLLKSAFGRKEKPAAGSHDKSFPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFSSSWCSVNMPVMEEDANLEYDPFGAAELALVAASDINMLTEAKANYACPLRTISVSTITYAQTRTSYVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASDEATTVCRNLESLSHYAKSLIPNHLLNEEDVQLLSEFAYKLQTWRESLAGLRTFQDAQNMEESTPTPSMKQEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTNTGYPGVSTATSTELQHCRSVDHLKTPEPTTESVLQDDDERQRKRKKRTIMNDGQVNEIENALVDEPEMHKNATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPCEGDNADKLSTPATSHFGDSSESAGEESYFPPPRVMNA* >Brasy5G285300.1.p pacid=40072836 transcript=Brasy5G285300.1 locus=Brasy5G285300 ID=Brasy5G285300.1.v1.1 annot-version=v1.1 MDEIGTPNYSDGGSVPDMDLLMLSAFEGLDSFTELVAGPTFHDSILSSSSVSPVQQLLHISASSSTVNPEEQGDVSITDGSDCTASLASGEFMHMSTATVPRTVHGGVNLAERMLRVLAMLKKTSNGGPLLAQVWIPVRNGEHQVLSTSNQPFLLDDRLTGYREVSKQFTFSATEGSGLFPGLPGRVFISGMPEWTSNVMYYNTSEYLRVDYAIRNEVRGSLAMPVFKSSGGTCCAVLEVVMMQEKDNFCAEMDNLSNALQSVHLSTMKARAHPQSLTRSQESAFMEILDVLRAVCHEHTLPLALAWVPVCPNSNLNVSAEYGDQAIKFGLRKTDVLCVQESACYISDTRMYDFVRACAEHPLEKGQGVAGNAILSNNPFFSSDVREYNMHDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYVLEFFLPLMCRVKEEQQLLLDNLSVTMQRVCSSLRTVSDAELEEGGITKSSGIQCLSSDISINSCIQIDASSAIKTNMPLENQIRSTVEQLVDQEHANEFKQGTTSHGQKMRSSTEKNVSLSVLQQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIHNVISSVHGVEAVVKYDPATGCLVSSVPPSEEPSMMNVEHRSSDPLSIEFDLSNRKFGHDYDAYRREHLGKVVLTKAEYEKMSEIHITTNHGGLVPSSHFGRTLKGPQCQDASNDSYLTNQMTHVVRTDMWVEGAERKNIVCDSVSMPQQFKMKKEADKDNTNVDHSLPSTSSTTDYSSGVTSSDCPKSQPVNDNSKSITVKATYKEHNIRLKLLRSMKYQNLVEEIAKRLKLSASTFQLKYKDDEDEWVILASDADLQECFEVLDNTESHIVKVQVRDVLCATGSSSGSCSTWCR* >Brasy5G266200.1.p pacid=40072837 transcript=Brasy5G266200.1 locus=Brasy5G266200 ID=Brasy5G266200.1.v1.1 annot-version=v1.1 MAPHVSPPPSLSLLSPSSLASMAAAAAASSLSSHPTFLLLPAPAPRLFPNSTATLRAKPPPISCTAATSPSPATTPAAPAAKGGSWRDLCTLNAWVVRDYHRLVAAVSALDPPLRRLSDEQLKGKTEEFRARLGRGETLADVQAEAFSVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRKKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNQEAARYPVAAKVADLLMEGAHYTVELKGNNIDLTEDGVTYAEMILGTNDLWDENDPWARFVMNALKAKEFYRRDVQYIIRNGKALIINELTGRVESKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVREEVESMYQLGRPVLVGTTSVESSEYLSDLLKCRNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEILEDNILPFLTHDIPDVETEGESTSHKGLSKIKLGPSSLALLAKAAIMAKYVHKSERNEWSFQKAKSTIAESIEMSNTIGMEKLQERVTEVTEMYPLCDAIALAYITVLTDCEIHCLTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYSLRQVILSGDSESCSEQIFQYMQAVVDEVILGNVDPQKPPKTWNLAKLLDEFISLGGNLLTETFKEIQEEDLQSSVEQILKYASVETDNFSLPNMPVPPNSFRGIRKKTSSVMRWFTICVDDSSKKGRYTNTVNLLRKYFGDFLIATYLDVLQESRYHDAYIRGIEREVLLKTLDALWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLNATRRLTVESLLHYWSSPMESEEIFNTAGR* >Brasy5G266200.2.p pacid=40072838 transcript=Brasy5G266200.2 locus=Brasy5G266200 ID=Brasy5G266200.2.v1.1 annot-version=v1.1 MAPHVSPPPSLSLLSPSSLASMAAAAAASSLSSHPTFLLLPAPAPRLFPNSTATLRAKPPPISCTAATSPSPATTPAAPAAKGGSWRDLCTLNAWVVRDYHRLVAAVSALDPPLRRLSDEQLKGKTEEFRARLGRGETLADVQAEAFSVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRANYRCDITYTNNSELGFDYLRDNLSRKKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNQEAARYPVAAKVADLLMEGAHYTVELKGNNIDLTEDGVTYAEMILGTNDLWDENDPWARFVMNALKAKEFYRRDVQYIIRNGKALIINELTGRVESKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVREEVESMYQLGRPVLVGTTSVESSEYLSDLLKCRNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEILEDNILPFLTHDIPDVETEGESTSHKGLSKIKLGPSSLALLAKAAIMESIEMSNTIGMEKLQERVTEVTEMYPLCDAIALAYITVLTDCEIHCLTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYSLRQVILSGDSESCSEQIFQYMQAVVDEVILGNVDPQKPPKTWNLAKLLDEFISLGGNLLTETFKEIQEEDLQSSVEQILKYASVETDNFSLPNMPVPPNSFRGIRKKTSSVMRWFTICVDDSSKKGRYTNTVNLLRKYFGDFLIATYLDVLQESRYHDAYIRGIEREVLLKTLDALWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLNATRRLTVESLLHYWSSPMESEEIFNTAGR* >Brasy5G060800.1.p pacid=40072839 transcript=Brasy5G060800.1 locus=Brasy5G060800 ID=Brasy5G060800.1.v1.1 annot-version=v1.1 MPAVAGSRKLRQSLLVVLFLVSLRNTVTASLSQIGRMVQRNVDRSFQKSQAAMFSKLESLEGQLRGLHQEMKQLTRLYSSSQAAQQTRLETNHEHGTAERSNTRLCFLNDLQTPIYTDKNIAAENNTAIKIGIFEGQNIIRKGPLSNIKVEVMVLRGDFSNDGQESWSEEEFNSHIVKGRYGQGFVLGGDNCVVRLTNREASLGKLRFKEGSSRTRSRKFVLAARVCKTENTGVRVQEAVMKPVTVRDRRNEANEKRHPPKLDDDVYRLEEISKDGIYHKRLQKAHIFTVHDFLKALNKNDTKLREEVLQMRKQHNSFVKMVNHARECCLREKYDLKAYENEEGNVRLYFNCVDQLVGAEFQGDYVTQEEFDPAQKAQANKLKECAHDKLEDISFDYVMRNNFPAQICTSSNAAVTGPFFPNPSGQNMSNQGTSAAVSLGHDEVSSLAEPLLSTEEQVPLGPTNSCNSLVEHDPLSDLVFEEDDFDVFQDFNAFSEPDFPCFRDDAVQPLWADDDPQPSSSSFPGMFHGNE* >Brasy5G046900.1.p pacid=40072840 transcript=Brasy5G046900.1 locus=Brasy5G046900 ID=Brasy5G046900.1.v1.1 annot-version=v1.1 MDRRAAASHGDYDEQDRRVKGTEVFVGGLPRSATEGTLREVFSPCGEIVDLRIMKDQNGVSKGFGFVRFAERECAYTAKRQKNGIELQGKRLAVDLSLDQDTLFFGNLCKEWSVEEFEELIHKTFKDVISVDLATASNLDSSTSKRRLNRGFAFVRFSSHGAAARVLRIGSGTDFLLGGVLHPAINWAERESNVDAGEMAKIKTAFVGNLPANVNEDYLKKLFGRFGEVVRVAVSRKGQYPVGFIHFGSRSELDNAIKEMDGKTVSGPDRGPTFKIQVSVARPAVENDNKRSREEVKTRRSNVSGDKLDYSHSRYKHDSLDRQAKAPRLSNLVADVTDPYEAAIMSLPSAVNELLRRILRLGIGTPYDIDIHCIKSLNELPESGAVAVLNQFLITGADKRNKGEYFASLVAKRKVEAFGVAQILHDTTYMSRNPEIQTKRYRHQDYDYTSSRSSRYSSLGAYPTASYADDPPTVSQSRKYAEERPSVVICPELRLRQDEIAHEPRQNTTERHLDRRYTQEQSYIGTSTHEPRQNTGSHLDRRYMQEKSYIERSAHEPRQNTGRHLDRRYTQEQSYIERPAEEAVFPRERRLLSAAGYTTDIGREFSSRSSAEYSTEHQQMRFDPFTGEPYKFDPFTGEPIRPEPNPRRSGSLY* >Brasy5G046900.2.p pacid=40072841 transcript=Brasy5G046900.2 locus=Brasy5G046900 ID=Brasy5G046900.2.v1.1 annot-version=v1.1 MDRRAAASHGDYDEQDRRVKGTEVFVGGLPRSATEGTLREVFSPCGEIVDLRIMKDQNGVSKGFGFVRFAERECAYTAKRQKNGIELQGKRLAVDLSLDQDTLFFGNLCKEWSVEEFEELIHKTFKDVISVDLATASNLDSSTSKRRLNRGFAFVRFSSHGAAARVLRIGSGTDFLLGGVLHPAINWAERESNVDAGEMAKIKTAFVGNLPANVNEDYLKKLFGRFGEVVRVAVSRKGQYPVGFIHFGSRSELDNAIKEMDGKTVSGPDRGPTFKIQVSVARPAVENDNKRSREEVKTRRSNVSGDKLDYSHSRYKHDSLDRQAKAPRLSNLVADVTDPYEAAIMSLPSAVNELLRRILRLGIGTPYDIDIHCIKSLNELPESGAVAVLNQFLITGADKRNKGEYFASLVAKRKVEAFGVAQILHDTTYMSRNPEIQTKRYRHQDYDYTSSSRYSSLGAYPTASYADDPPTVSQSRKYAEERPSVVICPELRLRQDEIAHEPRQNTTERHLDRRYTQEQSYIGTSTHEPRQNTGSHLDRRYMQEKSYIERSAHEPRQNTGRHLDRRYTQEQSYIERPAEEAVFPRERRLLSAAGYTTDIGREFSSRSSAEYSTEHQQMRFDPFTGEPYKFDPFTGEPIRPEPNPRRSGSLY* >Brasy5G046900.3.p pacid=40072842 transcript=Brasy5G046900.3 locus=Brasy5G046900 ID=Brasy5G046900.3.v1.1 annot-version=v1.1 MDRRAAASHGDYDEQDRRVKGTEVFVGGLPRSATEGTLREGFGFVRFAERECAYTAKRQKNGIELQGKRLAVDLSLDQDTLFFGNLCKEWSVEEFEELIHKTFKDVISVDLATASNLDSSTSKRRLNRGFAFVRFSSHGAAARVLRIGSGTDFLLGGVLHPAINWAERESNVDAGEMAKIKTAFVGNLPANVNEDYLKKLFGRFGEVVRVAVSRKGQYPVGFIHFGSRSELDNAIKEMDGKTVSGPDRGPTFKIQVSVARPAVENDNKRSREEVKTRRSNVSGDKLDYSHSRYKHDSLDRQAKAPRLSNLVADVTDPYEAAIMSLPSAVNELLRRILRLGIGTPYDIDIHCIKSLNELPESGAVAVLNQFLITGADKRNKGEYFASLVAKRKVEAFGVAQILHDTTYMSRNPEIQTKRYRHQDYDYTSSRSSRYSSLGAYPTASYADDPPTVSQSRKYAEERPSVVICPELRLRQDEIAHEPRQNTTERHLDRRYTQEQSYIGTSTHEPRQNTGSHLDRRYMQEKSYIERSAHEPRQNTGRHLDRRYTQEQSYIERPAEEAVFPRERRLLSAAGYTTDIGREFSSRSSAEYSTEHQQMRFDPFTGEPYKFDPFTGEPIRPEPNPRRSGSLY* >Brasy5G041500.1.p pacid=40072843 transcript=Brasy5G041500.1 locus=Brasy5G041500 ID=Brasy5G041500.1.v1.1 annot-version=v1.1 MPMPMPMLRAAMDAATGGITGVLLLLPLLLAGALAVFARLSLSSSSAPSPASSLPLLGHLHLLKKPLHRSLAALAAQAPPMPLASLRLGARRALLVSTHAAAAECFTGARDAALAGRPRLLAGRHLGYGHSTVVWASHGAHWRGLRRFLATELFSASRLAALAAARQAEAASLVRNLLLDSSAAAAAAGGGDGDEICAAAIALRPRLFELVLNVMLRAVTGHAAAGAGVGDDDDCVRRRRVAFQEIVEESFAVSGAPSFGDFFPALRWVDRLRGVEAALAGLQRKRDAFVAGLIDDHRRMPGGRDVDKKKKKKGVIDVLLEQQQADPEQYTDTIIKGIVLVLLSAGTDTSALTTEWAMALLLKHPEAMKARAEIDANIGTGRLVEEHDITNLPYLQCVVKETLRLCPVAPVIPAHEAMEDCVVGGFRVRRGTMILVNAWAIHRDADAWDASGEFRPERFLDDADIGMGAAAAPMLPFGLGRRRCPGEGLAMRLVSLALAAMVQCFEWGVVEDVDMAEGAGLTMPMATPLAAVCRPREFVQSLLSASG* >Brasy5G040700.1.p pacid=40072844 transcript=Brasy5G040700.1 locus=Brasy5G040700 ID=Brasy5G040700.1.v1.1 annot-version=v1.1 MEPSLVFLLVLLSCSAIATLVTCSSSNEAEAGLRMKLAHVDDKGGYTTEERVLRAVAVSRRQQQQRLMAGAGGDVTAPVHRATRQYIASYLIGSPPQQAEALIDTGSDLIWTQCATTCLPKSCAKQGLPYYNLSQSSTFAPVPCADEAGFCAANGVHLCGLDGSCTFIASYGAGRIIGSLGMESFAFESGTASLAFGCVSLTRITSGALNGASGLIGLGRGRLSLVSQTGATRFSYCLTPYFHSSGASSHLFVGASASLGGGGASMPFVKNPKDYPYNTFYYLPLEGITVGKTRLPAVNSTTFQLQQLFKGYWVGGVIIDSGSPLTQLASHAYEALKEEVAAQLGNGSLVPAPEDSGLELCVAREGFQKVVPALVFHFSGGADMAVPAASYWAPVDKAAACMVILEGGYDSIIGNFQQQDMHLLYDLRGGRFSFQTADCTML* >Brasy5G346400.1.p pacid=40072845 transcript=Brasy5G346400.1 locus=Brasy5G346400 ID=Brasy5G346400.1.v1.1 annot-version=v1.1 MSDADAGGDEVIHEAPNFIRVYKSGRVERFLPVDFAPPSIDPTTGVSSKDVPILPGAGVSARIYLPAAPAGGHQSKAPVLVFFHGGGFCLGSAFDAAMHGHANQLAAQASAIVVSVEYRLAPEHPVPALYGDAWAALQWVAAHAAGQGPDPWLTAHADFGRVHVGGESAGANIAHHTAMRAGVEEPGHGVKVNSLVLIHPYFLGGDSSESDEMGMALLRELFRLWPVVCPGTSGCDDPWINPMADGAPSLSGLGCGRVLVCVGGKDAMRGRGRLYCEKLMGSGWHGEVEVWEADGQGHGFHLFCSTSTQAKVQQPKQQSRQSTRLYE* >Brasy5G209200.1.p pacid=40072846 transcript=Brasy5G209200.1 locus=Brasy5G209200 ID=Brasy5G209200.1.v1.1 annot-version=v1.1 MATSLMDDHDSELNRLRIVIDNYASDGATVIRVENLRGRLGDFVRTISDLGLVIHDCRFRYHSSRLWHSVWVFNVTDDNGNKIAYERAISDIQTAIEEMERRHLTRMNAPPLELDESYLYMLDPWSFGHAAPIMRQCDLPSIIASLRDVFKKTGLNIFDAQSHDPAEPIMERRENPLVLPDSKWSAVAMVMRSWFRLSDSNFTIDNEASDDATVVRIFGSDTPFCAAVQANIANGLGLTICSASTHEETVFGVTDREGNKVTDEATISDIRAVINRTEHRPQITRVSVLNDGSDYAAFLRATRNCLDMWDNPLGDSEYLAALPRSNRVDKRTLLNPSHYCEERCGSKMYALVTTRSSMSRDLGTLVPCLWLDSGASHHMVGDITILINLRDLPIRRVITTADGSRLAVAKVGDIQMPDIKIRDVFFVPGLQMSLVSVRQLGKNKVVTTFYENHAELWSEGEQIGGAVVDDTVTLYKLRYLH* >Brasy5G410000.1.p pacid=40072847 transcript=Brasy5G410000.1 locus=Brasy5G410000 ID=Brasy5G410000.1.v1.1 annot-version=v1.1 MGAAVPALPLLLAVVAAMAAGAEALSLDVHHRYSAAVQGLAGHLRAPPPAGTAEYYAALAGHDLRRRSLAAAGGGGAGNLAFADGNETYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCIKCAPLTSPDYGDLKFDMYSPRKSSTSRKVPCSSSLCDPQADCSAASNSCPYSIQYLSENTSSNGVLVEDVLYLTTESGQSKITQAPITFGCGQVQSGSFLGSAAPNGLLGLGMDSKSVPSLLASKGIAANSFSMCFGEDGHGRINFGDTGSSDQLETPLNIYKQNPYYNISITGAMVGGKSFDTKFSAVVDSGTSFTALSDPMYTEIASTFNAQVKESRKHLDASMPFEYCYTISAQGAVNPPNISLTAKGGSIFPVNGPIITITDTSSRPIAYCLAIMKSEGVNLIGENFMSGLKIVFDRERLVLGWKTFNCYNFDNSSKLPVNRNPSAVPPKPALGPSSSNPEAAKGASPNITQINVPHSSSSSETRLHLSRTFLSATIALLFLAALDQLPAIYITLY* >Brasy5G519400.1.p pacid=40072848 transcript=Brasy5G519400.1 locus=Brasy5G519400 ID=Brasy5G519400.1.v1.1 annot-version=v1.1 MLPHAPYQPSLQQVPILIMQVDAELEDYQIVMQRKKLREGRIAPAMYRGRPVSPPNNPTSGASFHILRLVNPNTPGRCVDFLIRDANQYLVAYRRLVEGEWSPWYHFEDMKDYMPQFMTEAEYMSLNFKASHNRETTLPGGLDGVLDDMFFVLLKPDSNDEDLERVLFRAVLLFCEATRLSSVKNDIFRRWMDHALEVQELNVTLWSKIGKWSKMSKCSLNCRANQDVVNPSLLAGLVGCDLANFDAVIEELQLILYGSEYIQLANQPPLVYPEDFNDMFPLLDEAEEDLQEQAPAPAQAQGRGRGRGRGRGQGRGRARGGARGRGR* >Brasy5G202800.1.p pacid=40072849 transcript=Brasy5G202800.1 locus=Brasy5G202800 ID=Brasy5G202800.1.v1.1 annot-version=v1.1 MEKAAATAFAKVAMGKAMALIEEKYKDRASIKDNCESLERSLLFLAAALDDELARRKGAAPPVALARAYSKVMRELTHDIEDCMERFLYRVSRKKGSSRIRRVFHWAATVGLRDQFASEIKKLKKRSEDANGTIRNLIASSASSGNHAAPPAELQPYCVVPEPLGIKEPIEKILSLLDLTGHEPEKLKVIAIAGFGGSGKTTLAQEVFKVVSPSFTCSALVGTLDCGNANDLLNKIIRKVSQAATAVLQEADCTSLQDRLKVHLRDKRCLIVIDDIDVHYWDIVIPIFNFRDSLPMGSRILVTTSSHHVANTCTSGHGKGYMHKMETLGEEASKGIVLPRIPPPPELARGSTALLKKCDGLPLALTSVRRQLTSEKELTGESCKELNSKLGYYLEGDKGPYFARLRGVLMDNYAGLPDYNARNCLLYLGIFPINRPLRRNVVTRRWLAEGYARSHQGQQHDVWAAQQNFEKFIDRGIIGPVVPGSNATVELCKTRGIVHEFLLRKSMCEQLRHLSIHPGNDDTGYSMMSDVDLSRVRSLTISGNAGDAISDFGKYKIVRVLDLEECTDITDVYMKDICMRWNLRYLSLGPTVTKLPKEIAQLTLLETLDLSKTKVDVLPVEVIELPSLVHLIGKFKLPGGISKEQLPKERKLETLAGFVVNGHHEFLQLIAHMKKLNMVKIWCHSESGQGFQKLILDMNTQLIEAIKQYTGADITEGNFRSLSVDFRSLPKDAQLALAEVCNQRLLPGNTEYYLTSLELCGSSSTLPRFITLFPYLTELCLILTTIMTQELLSVLASRMHFLLYLKLTVVNQIDGFNVQAGEFKSLQRLCFVSHVENPILPAREDGCQGGLDIKRGALLQLVSLQLICRHLVGLSCINIAHLKELKEIVLDARVSDTTTATWVEEVTKHPNRPNVMTVEWADDDDSTDDDERGSAPDQRPTTVRNGIVEQEQPEHSEVQTAGGSSIHNFKHNCSGLTLHIPTSVQLPN* >Brasy5G488000.1.p pacid=40072850 transcript=Brasy5G488000.1 locus=Brasy5G488000 ID=Brasy5G488000.1.v1.1 annot-version=v1.1 MLLSIIYFFIVSIQTPTAAAGVSDKLDKGQNLMDGDTLVSAGGSFTLGFFSPGASTTKRYLGIWFTVSNDTVCWVANRDNPLLDRSGVLLFNDAGSSLVLLDGSNRATAWSYSFPQAAYSAAVVRLLGSGNLVVRNGSDSEDDEAGAAYLWQSFDYPSDTLLPGMKLGKSLWTGAVWELTLPPHAGDLTGPWNGVFFNGVPEASAYADKYPLRATSSEWEVTYGYTAKPGAPLTRVVANYTGMAERLSWDASTGWCGPFGLCNASAAASQSFCRCLDGFSPASETEWQMKNTEGGCRRDAPLDCAGGKTTTSDWFAVVRGVKLPDTHNATVDVGVGVELEDCRARCFADCSCVAFAAADIRGGGGDGTGCVMWKDAIVDLRLVDGGQNLYLRLSKSELDDKKRFPTLRVAAPVASAVSILLVALVIWWRRKRTIIAAIPQNPAMAVPSVNLAIIKDITANFSESNMIGQGGFSIVYKGKLPEGRVVAVKRLKQSALTTKGKKDFAREVEVMAGLRHGNLVRLLAYCNHGKERILVYEYMQNKSLNAHIFGTARLRASLNWARRLELIHGVAHGVAYLHGGSGESVVHRDLKPGNILLDDEWKPKIADFGTAKLFAVDQNMGPDQTIVVSPGYAAPEYARQGEMTLKCDVYSFGVILLETLSGERNGGMQRLISHAWELWEQNRTTELLDKATVPLLDPESEPELLSELKRCVQIGLLCVQETPCDRPAMSAVVAMLTSTASQVDQPRRPALDSGIAVTSSRGRETDLLCPTTIDLT* >Brasy5G300100.1.p pacid=40072851 transcript=Brasy5G300100.1 locus=Brasy5G300100 ID=Brasy5G300100.1.v1.1 annot-version=v1.1 MKPEDVFNKLTKKLQDPADFVDFDLPPALKEWKLGYYIPIKRNVYLTKKRVEDDGIFCSCPFISGSSVACGKDCQCGMLFSCCSSNCKCENRCANRSFQLRPLKKTKLIKTEKCGFGLVADDGIQKGEFVIEYVGEVIDDRTCEERLWKMKRQRYTNFYLCEVSSNMVIDATNKGNKSRFINHSCQPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSKCRKMVGTSKSVNSIVLHNGNSGSSQDQHIVKKRKITSDNCIGEIIRLWDRRDKMYVPAVIYDYDEYTAMHTLLFDEETTEKFDLGEEDWNFLPLDTALHKRFKSVQH* >Brasy5G184500.1.p pacid=40072852 transcript=Brasy5G184500.1 locus=Brasy5G184500 ID=Brasy5G184500.1.v1.1 annot-version=v1.1 MAAMAAAGAGDTLSREQRWSLAGATALVTGGSKGIGHAIVEELAGFGVRVHTCSRNAAELEECRLRWEEKGFQVTVSVCDVSIRADREKLIETVNRTFEGKLDILVNNAAQLLGKAGVEWTSEEYSHLMTTNLESVFHLSQLAHPLLRHTSIAGGGSIINISSITGSLGFPGLALYSVSKGGMNQLTRSFATEWAQDKIRVNCVAPGVTRTDMGSSLAPEIVESEMLRTPLRRMGEPEDVASVVLFLCMPAASFVTGQVITVDGGRTIAGLVS* >Brasy5G190300.1.p pacid=40072853 transcript=Brasy5G190300.1 locus=Brasy5G190300 ID=Brasy5G190300.1.v1.1 annot-version=v1.1 MAARVAEDGAPAEGESGGKRAGRSTGRVRAPRRGGRPAWPGLHLDVGDGQGAASPCLAVGRRGAGLLFHPRARPRRACACALRRRRRFYRRLLGSGIGGGSGGGGVQGCGRVRPGLGAGRRQRVRPGLGAPTACGGRDRGWGRPGGGEARRIEREE* >Brasy5G465700.1.p pacid=40072854 transcript=Brasy5G465700.1 locus=Brasy5G465700 ID=Brasy5G465700.1.v1.1 annot-version=v1.1 MDLPDELLHDVLRRVPPRLLAACRRVCKSWRAVIDSRALVTAHLAPGPVRGMFLNFTDNRTHGFFSRAGAGATAATAPPPSIDGSLLFLPKPRRRRADDGSSRRARVWDHCNGLLLYQNSSRRTMYVCSPATRRWQRLPRRPLRPNAGPRVYRDRLHLVFDPAVSLRYRVFFFPEVPGEPTPTATPPPAPPSHGKRPRDEYCVGSEIENLPARSYEEEVKNVGSMEWPPPSYALQVFSSETGLWEERCFVRQGDAVTTVSEIWSRTPRSSPACGRALRCYAGVYSQEAFYLNWPGGFIMSEQENVLAYPMCIWQNPSRESTTPFSWGTDFKYGYYAEHRNHAKCRNGS* >Brasy5G120400.1.p pacid=40072855 transcript=Brasy5G120400.1 locus=Brasy5G120400 ID=Brasy5G120400.1.v1.1 annot-version=v1.1 MREQIARFSGWQQSVRATGGTNKRLSFSFSPWPSASTLIAFHLPANRLHPFPRPQVSNPGRGRLCYMSLRSPGPMLDALGKKPDGPGTAAAAVMEAKAKETKDGVVKEVIRLERESVIPILKPKLAMKLAYLIEQDNDRAEFMKLCKRVEYTVRAWYLLQFEDLMQLYALFDPVSGEKSLEQQSLTPNEIETLELNFLTYLFQIMDKSNFKLLSDEENEVAHSGQYLLNLPIKVDESKVDKKLLTKYFKEHPHDNLPAFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRFWRFLLKVTMIDKLFSKKRQLRPKKDTKKTDEVNEEEEADLFVERIRLEKMELSIKNLLRQMTIQEPTFERMIVVYRRASKETKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADIWVVTAIVSGLVGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEIIVSYYILMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEEMVIRAQQAPAGP* >Brasy5G233400.1.p pacid=40072856 transcript=Brasy5G233400.1 locus=Brasy5G233400 ID=Brasy5G233400.1.v1.1 annot-version=v1.1 MTRSHTPKSLAVLLRARMYADPIPSPPPAPSPPASPDPSAPPAAGAASVRHWLHASVSAASPSPAALDCFSDGYRSLDRRGRHEILRSLATDYDVPRARLRDLMLQYVSISTTGEGADEQPGAEREEGGAASALYRMERGLRDALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENAPVLRALDGYLKEKLVTWLSPAALALHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGIGRRCFGYFHPAIPGEPLIFIEVALLKDMATSIQEVLWDDPPIAECEAKCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAETELQEGNSLEGASSTFRESILLPEEEKIIHNVVLPFALESGEQVNDKQGIELLQDVLKSSQWVKSDKLSAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIESINWMADQSEKGIKQSGGIMVNYLYRLESIEEYALSYSATGLIHASPGLS* >Brasy5G233400.2.p pacid=40072857 transcript=Brasy5G233400.2 locus=Brasy5G233400 ID=Brasy5G233400.2.v1.1 annot-version=v1.1 MTRSHTPKSLAVLLRARMYADPIPSPPPAPSPPASPDPSAPPAAGAASVRHWLHASVSAASPSPAALDCFSDGYRSLDRRGRHEILRSLATDYDVPRARLRDLMLQYVSISTTGEGADEQPGAEREEGGAASALYRMERGLRDALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENAPVLRALDGYLKEKLVTWLSPAALALHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGIGRRCFGYFHPAIPGEPLIFIEVALLKDMATSIQEVLWDDPPIAECEAKCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAETELQEGNSLEGASSTFRESILLPEEEKIIHNVVEQVNDKQGIELLQDVLKSSQWVKSDKLSAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIESINWMADQSEKGIKQSGGIMVNYLYRLESIEEYALSYSATGLIHASPGLS* >Brasy5G078600.1.p pacid=40072858 transcript=Brasy5G078600.1 locus=Brasy5G078600 ID=Brasy5G078600.1.v1.1 annot-version=v1.1 MARDARPAPREGAPYALYQFGTSGAAVAVATAFTHPLDVMKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGFQLHLMSSCIAGTAGTLVTAPIDMIKTRLMLQREAKGARVYRNGFHCAYQVVLTEGVKSLYKGGFATFARLGPQTAITFVVCEKLRGLAGMTAI* >Brasy5G078600.2.p pacid=40072859 transcript=Brasy5G078600.2 locus=Brasy5G078600 ID=Brasy5G078600.2.v1.1 annot-version=v1.1 MKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGFQLHLMSSCIAGTAGTLVTAPIDMIKTRLMLQREAKGARVYRNGFHCAYQVVLTEGVKSLYKGGFATFARLGPQTAITFVVCEKLRGLAGMTAI* >Brasy5G078600.4.p pacid=40072860 transcript=Brasy5G078600.4 locus=Brasy5G078600 ID=Brasy5G078600.4.v1.1 annot-version=v1.1 MKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGFQLHLMSSCIAGTAGTLVTAPIDMIKTRLMLQREAKGARVYRNGFHCAYQVVLTEGVKSLYKGGFATFARLGPQTAITFVVCEKLRGLAGMTAI* >Brasy5G078600.6.p pacid=40072861 transcript=Brasy5G078600.6 locus=Brasy5G078600 ID=Brasy5G078600.6.v1.1 annot-version=v1.1 MARDARPAPREGAPYALYQFGTSGAAVAVATAFTHPLDVMKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGRVASLEQLVHL* >Brasy5G078600.5.p pacid=40072862 transcript=Brasy5G078600.5 locus=Brasy5G078600 ID=Brasy5G078600.5.v1.1 annot-version=v1.1 MKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGRVASLEQLVHL* >Brasy5G078600.3.p pacid=40072863 transcript=Brasy5G078600.3 locus=Brasy5G078600 ID=Brasy5G078600.3.v1.1 annot-version=v1.1 MKVRLQMQLAGQKGNLVGMGTIFKQMVEREGPRSLYLGISPALTRSLVYGGLRLGLYEPCKHVCIYAFGSTNFAFKFASGIVAGALATALTNPMEVLKVRSQMSTSRISTIGVMRIIVAEEGLKALWKGVGPAMARAGCLTASQMATYDETKQALLKWTRLEEGRVASLEQLVHL* >Brasy5G024300.1.p pacid=40072864 transcript=Brasy5G024300.1 locus=Brasy5G024300 ID=Brasy5G024300.1.v1.1 annot-version=v1.1 MPEQRTATDREQHLYLLFDDWDSGFSIRKVSLSRRSGKQPLSAGSDKEGVEPVPEVFMHMRAPRGYPDLFTSAFGTKITAMDTDMDDTAEGIPMIDVQEQALVFGPDPCNYNGFPIYFPVGDNKLFVLDMGTFDCYLRTPEDSEEPWGSYNLACPPFDRTDVSSYGVLPDGCILVSTTKSTFIFDTTKEEHVWKPYGNWVLPFTGRGHYDTSLGAFVGLSEDGYLYCSTMAASTGTGLDTGKTLHPSPDVKRTKNKVYTEGQHVSATLVHMRQGRFCLVECVSADNGRTGQELKSWFNEFRVPPGATAWDVVGGGSQGGRCMYRLKTFSLIYDTKGFFLKLTACKVRRYSLPHEARIGSVCMDPVAFWL* >Brasy5G516900.1.p pacid=40072865 transcript=Brasy5G516900.1 locus=Brasy5G516900 ID=Brasy5G516900.1.v1.1 annot-version=v1.1 MATAWLLFASQGYFGDDSNDTTATASTRAGRSIKASFFPARPPHPSKLCVHCPGATAEGIEAPRVLAVAGDLILFRVAIETDGRPWVTRPLSLVESDYFIYRADPNRPMLRRLPCIGRPRTYFQDYEVGILPRGDDDYTIAALVPSNCKFRLLTFRSEAWAWSVSVKLSVGEKKKFPIELPSGEPNLEIHLTTNVVIIGGEHGTMGWVDLWRGIVFCDVLREPATLRSIPLPLPMTKIIGREHLGRGMNSRGVAFVKGAGGGCLKFVELELDAVDVSGVTDDETGFPILLVKGWSVTTWSNTGMSSRYEDWQEDLPAPVHAWQIRPDDSAVFSHSQQQQKPENGLRLVLPAQGGGYCCEKAATTTMHSLQKLVVTDPYPSLDGDDVVYLIARPKLWYPKTWILALDMKNNTLQAVVPFGILEEPDPNVIYCTSSLLH* >Brasy5G320700.1.p pacid=40072866 transcript=Brasy5G320700.1 locus=Brasy5G320700 ID=Brasy5G320700.1.v1.1 annot-version=v1.1 MASAAVQLEEEDELRFEDLAKEMERFGLHEWLEVEDNGQHHEDTAATATEGLFPLPVAAGPSRRERDLYRPDELRFPGDHPGRGHAHALHNFPGAGSVPPHAAWPSFSSDAYMAPPWAWPGRAAAINTQLDDYIVYLAKNEQMVLYTLFSGSPEDAQIVADLIVRCAVNLLESSHGTRLLDLVLNRCNHSLHGLIVARITRDKNRFFRICGQRSDEVARVIRSCRSMKSLQLLRNAIMPWIAPSMMHQLVTDSNRLRVVQAFVQCVPDPYFAKFIFYAIAKNCLALAYGSHGVSLLRSCLEHAEWTEKDDVLSKISCWSIELAQNRFGNYIVQDVLKQRNPSHLAIIASCFRNNYVLLSKQRYSSNVVETCLQVFVDAERFFIIDELIWCPHFKDLVTHEFANYVISRALRTCTFPLQHRLATAILSLQNVNRRHPHCLKMFKILSDLGYRH* >Brasy5G135300.1.p pacid=40072867 transcript=Brasy5G135300.1 locus=Brasy5G135300 ID=Brasy5G135300.1.v1.1 annot-version=v1.1 MMHDCYRTTLVKRDFLYCMLLQLELRNIASAFGPIIESVQVMAYDYPSELCGCLYSLPHVSCLR* >Brasy5G452200.1.p pacid=40072868 transcript=Brasy5G452200.1 locus=Brasy5G452200 ID=Brasy5G452200.1.v1.1 annot-version=v1.1 MSLRLLLLPPRGGILLHLLSPLRRRLPAPLRTAAAVGVPPRTSSFLALPIVRAFTGGPGMALAGPPQRCVEVRESVELTEEEERIFRRLLDVVAHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYSELMGEEQKGIGVIQCNPDQSKHLETARMLIYDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDALRRDLTINSLFFNINTKSVEDLTGRGLEDLKKGLIVTPLPAKSTFLDDPLRVLRAIRFAARFSFTLAEELKEAASDEKVKSELGTKISRERVGHEVDLMMSDKHPVNAMYYIRDLGLFYVVFAFPEKLDPPVLDKHDWLCVSHIEVAWNLARSIGRSVFSGGSDSKSQDEQQRLCLYSALFTPVRNMVYMDKKSKKVPVVSYIIRNSLKLKASDADTVHIPEQIVNIHVASEKFAELIVLFESNENLEIVKEKLDDEYLEIPTDLVKRVLAGLILREIKDFWRVALFISTLIHPEVDKPSGSLSQQDELHLRKEKYISVERSISDLGLDSAWKMKPLLDGKAIMGAMQVKSGGPLIGKWQQRLVKWQLAHPEGTVEECLEWMKQSQQSKRQKVECGSGS* >Brasy5G452200.2.p pacid=40072869 transcript=Brasy5G452200.2 locus=Brasy5G452200 ID=Brasy5G452200.2.v1.1 annot-version=v1.1 MSLRLLLLPPRGGILLHLLSPLRRRLPAPLRTAAAVGVPPRTSSFLALPIVRAFTGGPGMALAGPPQRCVEVRESVELTEEEERIFRRLLDVVAHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYSELMGEEQKGIGVIQCNPDQSKHLETARMLIYDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDALRRDLTINSLFFNINTKSVEDLTGRGLEDLKKGLIVTPLPAKSTFLDDPLRVLRAIRFAARFSFTLAEELKEAASDEKVKSELGTKISRERVGHEVDLMMSDKHPVNAMYYIRDLGLFYVVFAFPEKLDPPVLDKHDWLCVSHIEVAWNLARSIGRSVFSGGSDSKSQDEQQRLCLYSALFTPVRNMVYMDKKSKKVPVVSYIIRNSLKLKASDADTIVNIHVASEKFAELIVLFESNENLEIVKEKLDDEYLEIPTDLVKRVLAGLILREIKDFWRVALFISTLIHPEVDKPSGSLSQQDELHLRKEKYISVERSISDLGLDSAWKMKPLLDGKAIMGAMQVKSGGPLIGKWQQRLVKWQLAHPEGTVEECLEWMKQSQQSKRQKVECGSGS* >Brasy5G219000.1.p pacid=40072870 transcript=Brasy5G219000.1 locus=Brasy5G219000 ID=Brasy5G219000.1.v1.1 annot-version=v1.1 MEKKNTHEKVELRKRKRDEDEMRMEDKDRIAEKVNKGNGGGILTSKLFSELYISELTAKAIREMNYTHLTEIQARSIPHLMLGNDVLGSTRTGSGKTLAFLIPAIELLHKACFMPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGTNMRNEANQLVKGINLLVATPGRLLDHLRNTASFNYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPQDRQTVLFSATQTQKVVEFANFTFGKNEERHRKLVYVRVDDSKLKPTVEGLQQGYCVIPSEKRFLVLYAFLRRMQRRMQHRKEDVKVMVFFSSCSSVKFHAEFLNFLGIGCYDIHGQQKQQKRTSTFFQFLKEKHGILLCTNVAARGLDIPDVDYIVQFDPPDDPKDYIHRVGRTARGDKGKGSALLFLLPEELKLLIYLQAANISLTEYVFSEKHVPKSQSQLENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFYVHQLDLKKVGASFCFKKPPKVNLDLDSSASKHRKMRKVDGAKRHGIGPSNPYGRRGCYDSRQFARF* >Brasy5G219000.2.p pacid=40072871 transcript=Brasy5G219000.2 locus=Brasy5G219000 ID=Brasy5G219000.2.v1.1 annot-version=v1.1 MEKKNTHEKVELRKRKRDEDEMRMEDKDRIAEKVNKGNGGGILTSKLFSELYISELTAKAIREMNYTHLTEIQARSIPHLMLGNDVLGSTRTGSGKTLAFLIPAIELLHKACFMPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGTNMRNEANQLVKGINLLVATPGRLLDHLRNTASFNYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPQDRQTVLFSATQTQKVVEFANFTFGKNEERHRKLVYVRVDDSKLKPTVEGLQQGYCVIPSEKRFLVLYAFLRRMQRRMQHRKEDVKVMVFFSSCSSVKFHAEFLNFLGIGCYDIHGQQKQQKRTSTFFQFLKEKHGILLCTNVAARGLDIPDVDYIVQFDPPDDPKDYIHRVGRTARGDKGKGSALLFLLPEELKLLIYLQAANISLTEYVFSEKHVPKSQSQLENIVGGNYFLNQSAKEAYRSYLLAYNSHSMKDIFYVHQLDLKLVHLSVSRNLLK* >Brasy5G499900.1.p pacid=40072872 transcript=Brasy5G499900.1 locus=Brasy5G499900 ID=Brasy5G499900.1.v1.1 annot-version=v1.1 MENLLALILVLLGLALFYSCNILWLRPEKIRNKLRRQGVKGPKPTLLNGNTGEIKSIRHEFKPVKKQESNNYISTLFPHLLVWREAYGPVFQYSAGGREILHVSQPDMVKDIGHWTPSELGKPSYLKKSRKALLGGGLFTVNGDEWAYQRKVMAPEFFVDKIKDMIQLIEDATAPLLEAWESILDGAGGSSEIVVDDYVRNFSADVISRACFGSSFAKGEEIFCKLRQLQKATAQRDAFVGLSVVWKHLPTKSNREIRNLVEEVRLLILDLAKANRNENGAEHAATHNNGLLRAIIDGSHGPGHGGTAEEFIVGNCKSIYFAGHESTAVTSIWCLMLLATHPEWQDRARAEALEVCHGRMTLGVDGLHRLKILTMVFQETLRLYPPASLMMREALTDIKIGDLDVPRGTIVQVTRLMLHLDKDAWGADADEFRPDRFANGVAAACKPAHMYAPFGLGPRICIAQNLAMAEMKVLLARLLCRFAFSLSPRYRHSPVFRLTIEPGFGMPLVVTRL* >Brasy5G357400.1.p pacid=40072873 transcript=Brasy5G357400.1 locus=Brasy5G357400 ID=Brasy5G357400.1.v1.1 annot-version=v1.1 MARLLALAVAATVLMAQPGQQLASAARTSPAEAFWRAALPGAPMPDALLELLHHESAGVAEGDYNDNDPPPPMNFNYDDYRALPRSRTGSSTNAAAPRFLYRAGVRNDAATRVDNAATMVFFLEDAVRVGESLPLMHGTQRRAAAGAGASAGLDLYAVRSVRAVEGPGLVVCRGDAAGHGHGAVYGCRAVGPAARVYSLALAGDEDTDTVAVCRTDTARWDPEHAAFRLLGVKPGGPAVCHAVPNAQVLLSAKNGESPSAN* >Brasy5G150400.1.p pacid=40072874 transcript=Brasy5G150400.1 locus=Brasy5G150400 ID=Brasy5G150400.1.v1.1 annot-version=v1.1 MKPQPNPLPAPPPCGSALDEPSHPPAATGGGDVQDARAPVWGTSPCSPPQPLTAAPHPSTRSPRLHLRRLLARVIHRQPQSRKVNTTTAGDCCCFRWIMDGSIVDEQVSGQGGFSYSWCCRHLEEQQLLILLHEDVMLLLIYEIYIDSDSYALGDSCDNL* >Brasy5G245800.1.p pacid=40072875 transcript=Brasy5G245800.1 locus=Brasy5G245800 ID=Brasy5G245800.1.v1.1 annot-version=v1.1 MAVAAVVAALGGAEHRAVEGFDDGAFGRGREPGKAAQLVRDRVPDGGRGEERAAVAAVEHEPAGEVAAGGAEGGDEAGDETLAGSQHVEVPQQRRSPVGVELPVAAAEGGAREEPAPGPADERRARQARRVVRREAEEDLLNEVVHQRRRRHGHGDRRRNGFGSTS* >Brasy5G139600.1.p pacid=40072876 transcript=Brasy5G139600.1 locus=Brasy5G139600 ID=Brasy5G139600.1.v1.1 annot-version=v1.1 MHCRYFGSRHVWPLHADIKQVCAIADSKRHGYLGFDMLLLRCSSCLCRKLGREVGPPVQSSTSVYQSAIHKIVTTQFVEPCAVAAPSHSASSNTSKTKPPMGVLKLMKPDNLTIYQVKCCLQCSLV* >Brasy5G469500.1.p pacid=40072877 transcript=Brasy5G469500.1 locus=Brasy5G469500 ID=Brasy5G469500.1.v1.1 annot-version=v1.1 AGTSLLSRRWRGLWTGLRKLVFRDVPFLLLEEALSRFSPNVSLLEIRIPEEPGDIANTAGHLNSLLRAAARLEPEEFIFTVPSSLARVCAEINLPCFHRAKSIVVNFDNFITLEPTDGSNVTDAEAFLRRCQRLRSFTIWAPTTTSLLELVVAVTTAWGELIDIDAPELKLSWSCLYTRGTNGFGLWNLEKVTLLKALGEGQPPSLIIQACNASRYFSPEAASLVQEIQKHMVTDFSDLELCLRTTGHAFGAFVSLILGMQTIRTDVKRLKVVIWRPRVERACEPNCPCEPLTGWRTQDISLHALEEVEISGFEFGNHDFEFLKLIVRSAPMLKTLSVSLLPRGIPSRNDVCAKVRNILGAHSSVEFTFDLSSGYHCLSNFCRNLKPSC* >Brasy5G097800.1.p pacid=40072878 transcript=Brasy5G097800.1 locus=Brasy5G097800 ID=Brasy5G097800.1.v1.1 annot-version=v1.1 MGKHCAAKKPMSFLALQVLLVSALFLLPVAECLSSQGGGGGANLTVTGTVFCDACSSSSFSNHSYFLPGVRVRIDCTFRASSTSNKEEIKITAEKTTDSYGAYQLDIPAIDGVGCTTTTTGVETAAVSFCRAAALDNPSALCNVPALTTTAGHISFSTQAQEPNSNSNANVCVYNLNSLYYRPRPNKGGPGLCSDGGGPLQQPAALNDSLFYCPRWPWPPIPFCTPRPWFPPIPFFTPPPPAFPFPLPPFPPFPFFTPPSPPPPQFPFNLPPWPWTPPAPAQAPPTFPFPRLPPIFSAPSPPPPPPPAFPFPFPNLPPFPHLPPFAFPPLPSFYSPPPPPPPPPPPPPSFHWPFPPLPFFPPTTSPSAPSPPPLKRSRKDPTTWSSSKTTP* >Brasy5G093100.1.p pacid=40072879 transcript=Brasy5G093100.1 locus=Brasy5G093100 ID=Brasy5G093100.1.v1.1 annot-version=v1.1 MESKEPMPLLTPHKLSPSLSLSHRIILAPLTRKRAYGNVPQPHQATYYAQRATAGGLLVTEATGVSDTAQGYHDTPGIWTAEQVAAWRPVVDAVHAKGAAIFCQIWHAGRVSAFEFQPGGKAPVSSTAKGVSPQMSADGRLEDLENRCRFALEVVDAVVKEIGGDRVGIRLSPFADYMDCHDSDPHALAMYMSTKLNEHGVPYLHMIEPRMAMVEGRRVVPKRLLPYRETFKGTFIANGGYDMEEGNKAVDEGYTDLVAFGRLFLANPDLPKRFEVGAELNKYDRMTFYTSDPIVGYTDYPFLLE* >Brasy5G461000.1.p pacid=40072880 transcript=Brasy5G461000.1 locus=Brasy5G461000 ID=Brasy5G461000.1.v1.1 annot-version=v1.1 MLHCIRHYNGDELEQPLTLKGYTRMQHTFLFELLSIENNNTRLPVPVLKIIGEPKEF* >Brasy5G106200.1.p pacid=40072881 transcript=Brasy5G106200.1 locus=Brasy5G106200 ID=Brasy5G106200.1.v1.1 annot-version=v1.1 MIKRRYFRQDHGDNSGSSSSSSSSGSDSDRDPAEEEVADDEVEEEEDEAATEESGEEEEDKVEQQVEDEGLGYQSEDSSGHAVDKPSGLLSDDENSIATHEQYHMRNLPVKKASSCNADCAKDAAKEDDAIEVDFNNYILKCKSVYKCKLCPRIMCLSEKMVRVHLESKRHARSKKLLGEGRLKLMLNSDGELQEEEETHAERHARTIALAQQVQKPKKDSGRQRQARRRKKKRLQNHPEQKEESQNSDKKRRRLKDKNSEKLGTRVKNASADKKRRKTTK* >Brasy5G106200.2.p pacid=40072882 transcript=Brasy5G106200.2 locus=Brasy5G106200 ID=Brasy5G106200.2.v1.1 annot-version=v1.1 MIKRRYFRQDHGDNSGSSSSSSSSGSDSDRDPAEEEVADDEVEEEEDEAATEESGEEEEDKVEQQVEDEGLGYQSEDSSGHAVDKPSDDENSIATHEQYHMRNLPVKKASSCNADCAKDAAKEDDAIEVDFNNYILKCKSVYKCKLCPRIMCLSEKMVRVHLESKRHARSKKLLGEGRLKLMLNSDGELQEEEETHAERHARTIALAQQVQKPKKDSGRQRQARRRKKKRLQNHPEQKEESQNSDKKRRRLKDKNSEKLGTRVKNASADKKRRKTTK* >Brasy5G039600.1.p pacid=40072883 transcript=Brasy5G039600.1 locus=Brasy5G039600 ID=Brasy5G039600.1.v1.1 annot-version=v1.1 MASPAGIIASIPTLFLFFLFAGAAAASTNKEVFLEFTSRCPFPVWPAIVPLRQGLQLREVPGVVPGEYFGLEIPVADGGPTTYVWGRTGCRFGRAGRGRCRTGDCGGLRNCGNDTAVPETVTRAEFSLQGGRYHYGVSALKGFNVPVEFLCITDAARCTEAGCHDAAYRNQKHRQHVCRGKDVRLRVVFCPP* >Brasy5G524000.1.p pacid=40072884 transcript=Brasy5G524000.1 locus=Brasy5G524000 ID=Brasy5G524000.1.v1.1 annot-version=v1.1 MARSRRGTVPEKVQPYSATEKRERIEKYRSKRCRRNYQKRILYTARKVNADNRVRINGRFAPRGYQPPAAAAGDDDGDRPPQLKEEKEEEEEEEEEEGNGGGRWWPAMHEALDKAREADVITRILSGDDWDREMCL* >Brasy5G186300.1.p pacid=40072885 transcript=Brasy5G186300.1 locus=Brasy5G186300 ID=Brasy5G186300.1.v1.1 annot-version=v1.1 MDGSSNQHPINISDDSEQGISAQRTIDHKKRRGWSGTATREFAGTSVKRHVRLMKGTDGRATISTNWPSFVQAAAIREGDIIAFVFRAHRNKLRLTAHRL* >Brasy5G270300.1.p pacid=40072886 transcript=Brasy5G270300.1 locus=Brasy5G270300 ID=Brasy5G270300.1.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQRWKSDCQTYSTGVVPQGKCTT* >Brasy5G270300.2.p pacid=40072887 transcript=Brasy5G270300.2 locus=Brasy5G270300 ID=Brasy5G270300.2.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQRWKSDCQTYSTGVVPQGKCTT* >Brasy5G270300.5.p pacid=40072888 transcript=Brasy5G270300.5 locus=Brasy5G270300 ID=Brasy5G270300.5.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQEALEI* >Brasy5G270300.6.p pacid=40072889 transcript=Brasy5G270300.6 locus=Brasy5G270300 ID=Brasy5G270300.6.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQEALEI* >Brasy5G270300.3.p pacid=40072890 transcript=Brasy5G270300.3 locus=Brasy5G270300 ID=Brasy5G270300.3.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQRWKSDCQTYSTGVVPQGKCTT* >Brasy5G270300.4.p pacid=40072891 transcript=Brasy5G270300.4 locus=Brasy5G270300 ID=Brasy5G270300.4.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQRWKSDCQTYSTGVVPQGKCTT* >Brasy5G270300.7.p pacid=40072892 transcript=Brasy5G270300.7 locus=Brasy5G270300 ID=Brasy5G270300.7.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQEALEI* >Brasy5G270300.8.p pacid=40072893 transcript=Brasy5G270300.8 locus=Brasy5G270300 ID=Brasy5G270300.8.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGREVVGKPLITILRQNNPGLYSVIDVVKAARFDDVTPIEISRLVGQKYQLVVSISAKSFSTASEQLSFQVNRNAQVLQYSSGAGYLGVRRALFCSDKDSSAASINQEALEI* >Brasy5G270300.9.p pacid=40072894 transcript=Brasy5G270300.9 locus=Brasy5G270300 ID=Brasy5G270300.9.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.10.p pacid=40072895 transcript=Brasy5G270300.10 locus=Brasy5G270300 ID=Brasy5G270300.10.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.11.p pacid=40072896 transcript=Brasy5G270300.11 locus=Brasy5G270300 ID=Brasy5G270300.11.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.12.p pacid=40072897 transcript=Brasy5G270300.12 locus=Brasy5G270300 ID=Brasy5G270300.12.v1.1 annot-version=v1.1 MDGRRHWDGVGEGRRSSERVAWAPARGVVLGKGGGTRAVGDGRRCKSSAGVTVRTKMPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.13.p pacid=40072898 transcript=Brasy5G270300.13 locus=Brasy5G270300 ID=Brasy5G270300.13.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.14.p pacid=40072899 transcript=Brasy5G270300.14 locus=Brasy5G270300 ID=Brasy5G270300.14.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQGNCMYVQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.15.p pacid=40072900 transcript=Brasy5G270300.15 locus=Brasy5G270300 ID=Brasy5G270300.15.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G270300.16.p pacid=40072901 transcript=Brasy5G270300.16 locus=Brasy5G270300 ID=Brasy5G270300.16.v1.1 annot-version=v1.1 MPFTPLSDITHGSKRWEVIVLFSRLWCYRDGTDKGPIKHMDAVVLDKQISVEAAKRFEHLLHEGKVYSLRNILVSPSKTAFRPVEAKNMINITKYTSITEHPGIENEFPLCTYNLTSFAELPKPAKVVTSFVDVIGKIVAVSEVFEVHPMNQAEPSSTRTVVLQDLLGHELKLLLWGDRAVEFDADQVVSVGAEEHVVAIFVGTLPKNVEAAKGLSGSAACRWYINEDIPDINSFKSSLGDTVMPVAVYTPSALNLGAARARATPKGLRYVCDVTIIIIRLVPNERWWFCSCNDCHKSAVPGATDYTCSNPECASKDADPTYCIATMASDGTEEAEFVFFDRIGRELGLTMSLP* >Brasy5G200800.1.p pacid=40072902 transcript=Brasy5G200800.1 locus=Brasy5G200800 ID=Brasy5G200800.1.v1.1 annot-version=v1.1 MVLVAAGSVSVLKLIFSLAVAINAAASKARRNRADCLDIATRASTLDAALSALDDSPAGGAPAKGKHPAVAAALEGLHLALHRALQAVMDCQEDGAVSRHVNADSVSAELRRVNQVITDRMMDVILVAGLHTNSVVVLDAHQSKHHGDGGSPLRPLPQIQEACLPNSCKEEKLFATSGFNSFDFSELEVATNKFSEENLIGKSDSCTVYKGELPNGSEVAVKEYSKNQYKACRNECQNEENLAAKLLHKNILKLEGRCSSGGRYYQVYPYMHNRSLSKHIHGNGLPWPELFNVIRGIARGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGISKVLPASAKEGVVDSVVRPCGFAAPEYIKSGRFSAKSDVYSFGVLLLELVTGWSRYRKGDDNRDERLNELVWEFWQNGREDDCVDPMLARATGTMGSQFQEMKRCIHVALLCLEEDPVLRPDMAGVLRMLADNNNPMPRPQHPAYTT* >Brasy5G200800.2.p pacid=40072903 transcript=Brasy5G200800.2 locus=Brasy5G200800 ID=Brasy5G200800.2.v1.1 annot-version=v1.1 MVLVAAGSVSVLKLIFSLAVAINAAASKARRNRADCLDIATRASTLDAALSALDDSPAGGAPAKGKHPAVAAALEGLHLALHRALQAVMDCQEDGAVSRHVNADSVSAELRRVNQVITDRMMDVILVAGLHTNSVVVLDAHQSKHHGDGGSPLRPLPQIQEACLPNSCKEEKLFATSGFNSFDFSELEVATNKFSEENLIGKSDSCTVYKGELPNGSEVAVKEYSKNQYKACRNECQNEENLAAKLLHKNILKLEGRCSSGGRYYQVYPYMHNRSLSKHIHGNGLPWPELFNVIRGIARGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGISKVLPASAKEGVVDSVVRPCGFAAPEYIKSGRFSAKSDVYSFGVLLLELVTGWSRYRKGDDNRDERLNELVWEFWQNGREDDCVDPMLARATGTMGSQFQEMKRCIHVALLCLEEDPVLRPDMAGVLRMLADNNNPMPRPQHPAYTT* >Brasy5G200800.3.p pacid=40072904 transcript=Brasy5G200800.3 locus=Brasy5G200800 ID=Brasy5G200800.3.v1.1 annot-version=v1.1 MVLVAAGSVSVLKLIFSLAVAINAAASKARRNRADCLDIATRASTLDAALSALDDSPAGGAPAKGKHPAVAAALEGLHLALHRALQAVMDCQEDGAVSRHVNADSVSAELRRVNQVITDRMMDVILVAGLHTNSVVVLDAHQSKHHGDGGSPLRPLPQIQEACLPNSCKEEKLFATSGFNSFDFSELEVATNKFSEENLIGKSDSCTVYKGELPNGSEVAVKEYSKNQYKACRNECQNEENLAAKLLHKNILKLEGRCSSGGRYYQVYPYMHNRSLSKHIHGNGLPWPELFNVIRGIARGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGISKVLPASAKEGVVDSVVRPCGFAAPEYIKSGRFSAKSDVYSFGVLLLELVTGWSRYRKGDDNRDERLNELVWEFWQNGREDDCVDPMLARATGTMGSQFQEMKRCIHVALLCLEEDPVLRPDMAGVLRMLADNNNPMPRPQHPAYTT* >Brasy5G129500.1.p pacid=40072905 transcript=Brasy5G129500.1 locus=Brasy5G129500 ID=Brasy5G129500.1.v1.1 annot-version=v1.1 MWPPPQTSPPTCSLNRAHELVTSKKLSHLKSACKLRCVLAQSPSSPTPASPPRNCSHPRCRPAAPLPQGGNNLPPFRHPCPLAAAPSCPCRSSIVCFLLAAGFVALRSRHDPSLVPSTN* >Brasy5G462500.1.p pacid=40072906 transcript=Brasy5G462500.1 locus=Brasy5G462500 ID=Brasy5G462500.1.v1.1 annot-version=v1.1 MDAVLELLAEKKPLPPKGRLLQSVMAPMIGIGIDGGSGGVDKGGAGSEREHQSAVPTGGGRSSRKGRENLLVYQLFICPPINKVLGHVRSSEIAAVLCIPILFAYPYMTYMSEPGLSILLNIASFIKNNLASGPKRSSKRIGHDRNVLFQGSCSGSSEVPKEQCVTSMTYTGFTNTPLLVNLIDKASEPCQMYCSLGRRNTSMLSYFQASKQGYGLMLKVNLYASAAFSIAPSNAVLPRGYRRQALLPTHLSM* >Brasy5G228900.1.p pacid=40072907 transcript=Brasy5G228900.1 locus=Brasy5G228900 ID=Brasy5G228900.1.v1.1 annot-version=v1.1 MVLLGQFLRYRRVSWLPELVLAALIWLEGGRVQLGAYQCLHSERRRWQLKLCLSIDNAVQSLNLYAEAQTSEDYS* >Brasy5G252700.1.p pacid=40072908 transcript=Brasy5G252700.1 locus=Brasy5G252700 ID=Brasy5G252700.1.v1.1 annot-version=v1.1 MDEDDLGDCIFFTQTRTPTKRCKISHDTVSQSEKGSYVLKVSGYSNAKETVKNGNCLKSSTDLTPEHADCVSLYLRLVDCYKDIKAKFRFSLLDEDGEPVPAYSFANDVHTFSGKSFSRGHPDFIKKAGLEASLYLREDCFSVRCDVSVVKIGGERRRSSKGARFVDVPPSNLHLHLSDLLKSQDGADVTFYVAGEKFSAHRSVLEARSSVFKVELCFGDTEEKSIGGGSRCIEIRDMEPHVVRSLLHFMYTDSVLGLPETARRKGEPRGDVVMAGHLLAAADKYDVERLKLICERKLCNHIGSDTVATSLALAEEHGCRGLKEACFEFLTSPTNLEAMVASDGCPNVIKELIAGFLPAELKAGKDIVMELCFGGV* >Brasy5G212600.1.p pacid=40072909 transcript=Brasy5G212600.1 locus=Brasy5G212600 ID=Brasy5G212600.1.v1.1 annot-version=v1.1 MAAAARGQKALATLVKSLRSEPISNANAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWTPKTFAEITAESLSIFKIVHPIPEILILGCGSQVQPVSPELRKFIRSTGMKLEAIDSRNAASTYNILNEEGRPVAAAVLPFGVTS* >Brasy5G218300.1.p pacid=40072910 transcript=Brasy5G218300.1 locus=Brasy5G218300 ID=Brasy5G218300.1.v1.1 annot-version=v1.1 MAPQAMAHRSKAATLLAVLSVAAMFLAGPVSAAGKTGQVSVFWGRNKAEGSLREACDAGTYTMVIISFLDVFGHGGYHLDISGHDVSAMNADIKYCQFKGVPVSLSLGGFGSSYSLPSSKAALDLFDYLWNSYFGGSKPGVHRPFGDAWLDGIDLFLEHGSPNDRYDVLALELAKHNIRGGPGKPLHLSATVRCGFPPASHVKRALDTGIFERVHVKIYENGQDDKKCNVYGAWQDAWDRWTAAYPATRFYIGLTAEDKSYQWVHPKNVYYGVTPVVQKKDNYGGVMLWDRYFDKQSNYSTYIKSYA* >Brasy5G490000.1.p pacid=40072911 transcript=Brasy5G490000.1 locus=Brasy5G490000 ID=Brasy5G490000.1.v1.1 annot-version=v1.1 MMQQHGVVVDVAAACGWKIGSSRVDQLLIDDDEATEILADVLARDRLVGQPLIQMGGGRELYFIRHDEAINVRRTDASRIYYIMYLGWPLDFIDAEFFRRAVSRFGVPLNWVDRHNKKTYILLRCLVKDNLMVPRSTIIEHISESGGRGRSWTVPTIVLVGDFDDMLPFEEDLPPNRNRHIIMPPSPPVGEGWDPWGLPPQEN* >Brasy5G147200.1.p pacid=40072912 transcript=Brasy5G147200.1 locus=Brasy5G147200 ID=Brasy5G147200.1.v1.1 annot-version=v1.1 MATNSAAAPITPAAAAAPHLHPSHLLLFHGRRRGAARVVRAVSGNGGRGAGAGSPSYLDMWKKAVERERRSAELAYRLQSSSPPPADTEAAPAPDVARRTARFEEMLRVPREERDRVQRTQVIDRAAAALAAARAVLKDPPQQNPPPPMQEQKPGTDVELAGSGDGLGSWKAPGGSDWSSSSLAEVEPPPSPSQSAKVPNTGDSSPCKQSSSKLGTPGPDFWSWLPPVENSSETRESNTGLKPSKKAESFSSQPDLLEKERSADFLSLPFVTSFFEKKEDRSLPPFQSFAEPENVDSEVKPAADAEEVFETQFSKNAAEAARALSTSDEKSSHGIDPDGSKWWKETGVEQRPDGVICKWTVIRGVSADGAVEFEDKYWEASDRFEHKELGSEKSGRDARGNVWREYWKESMWEDSTSGLMHMEKTADKWGKNGKGEQWQEQWWEQYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSVKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWEGKYGDRWNRTWGEGHNGSGWVHKYGRSSSGEHWDTHEPQETWYERYPHFGFHHCFENSVQLRSVPKQPPKNLKPGKRVDA* >Brasy5G072000.1.p pacid=40072913 transcript=Brasy5G072000.1 locus=Brasy5G072000 ID=Brasy5G072000.1.v1.1 annot-version=v1.1 MAYPVRAAHACWSAYPTAAGIARNQIKQNFAPMSIS* >Brasy5G039300.1.p pacid=40072914 transcript=Brasy5G039300.1 locus=Brasy5G039300 ID=Brasy5G039300.1.v1.1 annot-version=v1.1 MAGDRRPRARRGLLRELPGMEQGDYFGFLMPVADGTPSTYLWARTGCRFGRAGRGRCRTGDCGGLRHCENGGGNNTVPETDMAWRAEFLLYEGRYYYGVTTLREGSTCPWSSPAALGMPFGVGRPAAMTLTRIRDITGMSVAAAKMHVRFRVLFCPP* >Brasy5G101500.1.p pacid=40072915 transcript=Brasy5G101500.1 locus=Brasy5G101500 ID=Brasy5G101500.1.v1.1 annot-version=v1.1 MNPSVYSGRFNCNGCNAIQLNLPECTLQPKRLHRSLTQGTSPKWILVFSVQTIACRLRIYLVYEI* >Brasy5G081400.1.p pacid=40072916 transcript=Brasy5G081400.1 locus=Brasy5G081400 ID=Brasy5G081400.1.v1.1 annot-version=v1.1 MSGGGCSVRAIWILTPHDVVAFSKRFGVVEKRWRVSWEKDGAGRGAKMPLPADYEVAVAFADRRRREGTARGSGIRTSISSVGSDSWVDDPITRHIISLRIDKEEEDGFMLWPVVLQKRGGYYVLVLPLVDPQSFRAFESLLKRPDCGSSAKENGNLSSILLNLPCITGAFMVANVLGDIITGDVAEPEVVVSSGPSVGGLLDSLTGSIGISARPKPITTPVAAPIASVSSPVGASQSESLKGGMRPFDKDLLRNFIIGAMPFGTPQDLNYGNVTSIRTTGFSADPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDSLSVSGQVTCRAELEGLPDVSLPLTGLKAARVEVSSFHHCVQASEPTGDKQSLIFQPPLGNFVLMHYQAPCNIDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFIMEFCMVTMPFPRRRVTSYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEANFSGTVRFHPRTTQRMNSSFRSVSTNTFAEDSDCEQDNAKNGANLDDYLMEKMNRDLQAVDLEEPLSWQAYNYAKVSFKIVGGTLSGLIIDPRSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSVALPKEL* >Brasy5G081400.2.p pacid=40072917 transcript=Brasy5G081400.2 locus=Brasy5G081400 ID=Brasy5G081400.2.v1.1 annot-version=v1.1 MAFMVANVLGDIITGDVAEPEVVVSSGPSVGGLLDSLTGSIGISARPKPITTPVAAPIASVSSPVGASQSESLKGGMRPFDKDLLRNFIIGAMPFGTPQDLNYGNVTSIRTTGFSADPLPTDQKQPAWKPYLYKGRQRILFSSLETINAALYDRDDVPDSLSVSGQVTCRAELEGLPDVSLPLTGLKAARVEVSSFHHCVQASEPTGDKQSLIFQPPLGNFVLMHYQAPCNIDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFIMEFCMVTMPFPRRRVTSYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEANFSGTVRFHPRTTQRMNSSFRSVSTNTFAEDSDCEQDNAKNGANLDDYLMEKMNRDLQAVDLEEPLSWQAYNYAKVSFKIVGGTLSGLIIDPRSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSVALPKEL* >Brasy5G428800.1.p pacid=40072918 transcript=Brasy5G428800.1 locus=Brasy5G428800 ID=Brasy5G428800.1.v1.1 annot-version=v1.1 MLLWIFSRKGASGFSWTSTADQVTAGISASGLTAIVTGASSGIGVETTRVLAARGAHVVMAARNLAAAESVRQAVLSETPAASLDIMELDLSSMASVRKFAADFAAKGLPLNILVNNAGIMATPFSLSKDGIEMQFATNHVGHFLLTHLLLETMKKTSRESNVEGRIVNVSSEGHRFAYQEGIRFTKINDESEYGTIGAYGQSKLANILHANELTKRFKEEGVNITANSLHPGSIITNLLRHHSIIDVLHRTLGKLVLKNAQQGAATTCYVALHPEVKGVSGKYFCDSNLYEPSEKAKDMELAKKLWDFSIELIT* >Brasy5G282100.1.p pacid=40072919 transcript=Brasy5G282100.1 locus=Brasy5G282100 ID=Brasy5G282100.1.v1.1 annot-version=v1.1 MADVEARRVCGMPEKMQLHVAMLSLQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLNFVIQFFFLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPGGGGSDKLMSMGRPEVSWTAALAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLVIAAFLERDAEAWVFHSGSEIFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAALIITGLYLVLWGKSEERARMGKEAALMAATASNSSDGDHVVRSAKASSITQPLLPSSTSSDNV* >Brasy5G437600.1.p pacid=40072920 transcript=Brasy5G437600.1 locus=Brasy5G437600 ID=Brasy5G437600.1.v1.1 annot-version=v1.1 MPLSRFHLAQFPSSPSPPAIFPRPAATDGTRRANTAVPYRRPSPPRPLAAVPAPRRLPSPPRALAAMDGALGSSAAAAVHAGAAVAGKKNSSGPKLESLKRKERRHRAAELKRSQENAAARTLAGVAATVEEDALAAEAARNQQLAAQQATLQYNRQAVADVFVQQAASTTIALQESLKEMISVKEASSGKRGEIRELKKDDLFKSFMDTIQEKYRADAAAAAEKVRIEADMAATALLDATSRAKEVELKVLVEESRIMTMDLSTLDDVSRAWFIKKKKKIADRQD* >Brasy5G141500.1.p pacid=40072921 transcript=Brasy5G141500.1 locus=Brasy5G141500 ID=Brasy5G141500.1.v1.1 annot-version=v1.1 MRALARAASLLRRAAACTQPQHLGAGARPLLAKILPSVYVNGYSTLLAPANEGMIPSELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFEDLDVPSHKTKNIVQYIKQMDETKKVLLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR* >Brasy5G141500.2.p pacid=40072922 transcript=Brasy5G141500.2 locus=Brasy5G141500 ID=Brasy5G141500.2.v1.1 annot-version=v1.1 MIPSELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFEDLDVPSHKTKNIVQYIKQMDETKKVLLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR* >Brasy5G141500.3.p pacid=40072923 transcript=Brasy5G141500.3 locus=Brasy5G141500 ID=Brasy5G141500.3.v1.1 annot-version=v1.1 MIPSELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFEDLDVPSHKTKNIVQYIKQMDETKKVLLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR* >Brasy5G455900.1.p pacid=40072924 transcript=Brasy5G455900.1 locus=Brasy5G455900 ID=Brasy5G455900.1.v1.1 annot-version=v1.1 MASLLPSPSLLICLLLAATVAAAAMMTTTQQLDAAGGGGGGGYPTAEFVKVDLSAGNFQVQSPYNVPESQRYSYRDGVRQFWVRETDKPFNTATHTNPRTEVRLRIHCEEGAVHAMILMLHVYDGVLRFYDGQVVEKDIYDRWFRLNVVHDADRSAVTVYVDGKQRFATGVIPSRSYYFKFGVYMQHRD* >Brasy5G023800.1.p pacid=40072925 transcript=Brasy5G023800.1 locus=Brasy5G023800 ID=Brasy5G023800.1.v1.1 annot-version=v1.1 GCTGYTQCHFCSANETIDHQFFRCSAARFIWQVVTCALGFIRAPDGVSVLFGNWFHSFPGDQKKLMFCGAAAIIWTIWKTRNNTCFRNLFPSDTAALVFFVCNNILVWNSLHTESKRRRLDEGVSKIKTVMADANSRSHGWNLTRRRIAV* >Brasy5G027300.1.p pacid=40072926 transcript=Brasy5G027300.1 locus=Brasy5G027300 ID=Brasy5G027300.1.v1.1 annot-version=v1.1 MASAAASRPPAPPAPPPPPPPPPPQAMQWLSPRVSFSLDDAGCGGGGREAVVMGAGKPSADFEFLLAGCSAVSTMLPADELFSGGKLVPLRLPAQTPAICSTARRPPLAPPEPETATTPKAEELAKDDVSMVAVPEEEDGPKVVPARRWRDLLRLRKQQASSSSSASASSTETTTKPLRRLLRRGPKPPAEQEPSLSLPLLRPDDEPSPPPLPQPSTPSHQQQQQNHHNLPPKIRLTPAQQATAPPPPPPPPPAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRAHRCGMERSYSAHVRVTPVLNVPVCRKSVSVFGIDRLFSPSSASAAHAAAASKKGKVARKEAAPVTAAAAAAAPSPQ* >Brasy5G424600.1.p pacid=40072927 transcript=Brasy5G424600.1 locus=Brasy5G424600 ID=Brasy5G424600.1.v1.1 annot-version=v1.1 MASEKHFKYVIVGGGVSAGYAAREFAKQGVQPGDLAIISKEAVAPYERPALSKAYLFPQSPARLPGFHVCVGSGGERLLPEWYSEKGIELILGTEIVKADLASKTLTSAAGATFTYEILLIATGSSVIKLSDFGTQGADSNNILYLREVNDADKLYAAIQAKKGGKAVVVGGGYIGLELSAVLKMNNLDVTMVYPEPWCMPRLFTADIAAFYESYYANKGVKIVKGTVAVGFDADANGDVTAVKLKDGTVLEADIVVVGVGGRPLTALFKGQVAEEKGGIKTDASFETSVPGVYAVGDVATFPMKIYNDVRRVEHVDHARKSAEQAVKAIKGKESGEAVPAYDYLPYFYSRSFDLSWQFYGDNVGETVLFGDSDPSSAKPKFGSYWIKDGKVLGAFLEGGSPDENSAIAKVARAQPPVSSVEELKKEGLQFASKI* >Brasy5G267600.1.p pacid=40072928 transcript=Brasy5G267600.1 locus=Brasy5G267600 ID=Brasy5G267600.1.v1.1 annot-version=v1.1 MAPQLLGYYWVSSWPRFPHVPPWPRASHAQRRVVLRLATPSRRSCAVRVVADAGPALALDRGARAVEIQLPTEEEEGNDEDDEAVGERERMRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRLAMQDPKVKKKLMNLGHAQSEETKIKISLGVRRGWNLRLQKLMVQDGCFVEWRDMIADAARKGFAGGDAYEWNSHIILTEQLRQEWLEKVQKRRSMPRPTGNRRAPKTPEQKRKIAEAIAAKWLDQDYRERVCSAINSYHGSSAGSKVPRKPRFPKEPGAKSESVKKKPFQHRAVRAVTLEDAHVKNATVKRKKSTTPYKDPMAGAKLEMITKIRAQRTSLEIEKKEAIKRARSLILEAEKATDALETVAATNPFAQASLIEARKLVTQARISLECVDDEGPAENCCEHTSEDTSVSEPHNYDLENQNDVIKQENNTVNGMKLPPSSINGMDFYQRIENSMERAYLLPSASSAAQDVNGRLGVNDLYGSQPSVSNDQIDQIAAEITEKFPAEPPEDASSPASKPKMRWVRGRLVEVEE* >Brasy5G267600.2.p pacid=40072929 transcript=Brasy5G267600.2 locus=Brasy5G267600 ID=Brasy5G267600.2.v1.1 annot-version=v1.1 MNLGHAQSEETKIKISLGVRRGWNLRLQKLMVQDGCFVEWRDMIADAARKGFAGGDAYEWNSHIILTEQLRQEWLEKVQKRRSMPRPTGNRRAPKTPEQKRKIAEAIAAKWLDQDYRERVCSAINSYHGSSAGSKVPRKPRFPKEPGAKSESVKKKPFQHRAVRAVTLEDAHVKNATVKRKKSTTPYKDPMAGAKLEMITKIRAQRTSLEIEKKEAIKRARSLILEAEKATDALETVAATNPFAQASLIEARKLVTQARISLECVDDEGPAENCCEHTSEDTSVSEPHNYDLENQNDVIKQENNTVNGMKLPPSSINGMDFYQRIENSMERAYLLPSASSAAQDVNGRLGVNDLYGSQPSVSNDQIDQIAAEITEKFPAEPPEDASSPASKPKMRWVRGRLVEVEE* >Brasy5G267600.3.p pacid=40072930 transcript=Brasy5G267600.3 locus=Brasy5G267600 ID=Brasy5G267600.3.v1.1 annot-version=v1.1 MNLGHAQSEETKIKISLGVRRGWNLRLQKLMVQDGCFVEWRDMIADAARKGFAGGDAYEWNSHIILTEQLRQEWLEKVQKRRSMPRPTGNRRAPKTPEQKRKIAEAIAAKWLDQDYRERVCSAINSYHGSSAGSKVPRKPRFPKEPGAKSESVKKKPFQHRAVRAVTLEDAHVKNATVKRKKSTTPYKDPMAGAKLEMITKIRAQRTSLEIEKKEAIKRARSLILEAEKATDALETVAATNPFAQASLIEARKLVTQARISLECVDDEGPAENCCEHTSEDTSVSEPHNYDLENQNDVIKQENNTVNGMKLPPSSINGMDFYQRIENSMERAYLLPSASSAAQDVNGRLGVNDLYGSQPSVSNDQIDQIAAEITEKFPAEPPEDASSPASKPKMRWVRGRLVEVEE* >Brasy5G209000.1.p pacid=40072931 transcript=Brasy5G209000.1 locus=Brasy5G209000 ID=Brasy5G209000.1.v1.1 annot-version=v1.1 MEAEELLKKIRVLEEGQAELKREIGKLIPERRGGVGVGGQSSSAAAAAASPSTRRPFQQQQQQQTPSPRVRALALFPQASSSRHHHHRAGLSDRHCHRILQSLGQAVHVISLEGKVLYWNRFAEHLYGYSASEAIGQDLLELICDPDDFGPANDIIRSIFMGKCWRGKFPVNNKSGERFSVVCNNTPLYDEDGSLVGLTCLSGDARILEEMVCSSASAKFYLNSAKPHLQVISRPKSGLPNKGSSDSQQPLQSAITSKITTLATKVTSRVRSRIKTGQNCDEQYDSGCEGQYSGNYAREELTSSEASTPGGDILHGAFVAEENFPGKSKKRNSDDSGEGKGGLHKLFSSKAEALFSKKGISWPWKGNENDGGYGNNNVTSPKLHAKQENAQSRQGVPIPEPIIIPDCQDTEYVRAGKHEVSGSWWTFNNNSTSSTMSSTVSSSSSPIERADYEADCLDYDILWEDLALGEQVGHGSCGTVYHALWYGSDVAVKVFSKQDYSEEMIQTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEYLPRGSLFSLLRRTTGKLDPRRRIHMAIDIARGMNYLHSCSPTIVHRDLKSSNLLVDKNWNVKVADFGLSRLKLETFLSTKTGKGTPQWMAPEVLRNEPSNEKSDVYSFGVVLWELLTEKIPWDNLNIMQVIGAVGFMDQRLEIPSDMDPQWASMIKSCWDSDPQHRPSFQELLERLRGMQKQYALKAQTQRKMAGKDAEKVIDEDC* >Brasy5G088700.1.p pacid=40072932 transcript=Brasy5G088700.1 locus=Brasy5G088700 ID=Brasy5G088700.1.v1.1 annot-version=v1.1 MEKKQMKMAILRQEQTFRHQVNELHRVYQVQKQLMTEMHVVKMNRAQAGEDNQAEAMVETNHLQLYSNSGEKKPPPVEDFNLELTLATGSDRRKQEMASNSDSGATMSSSTSAESESGQRFPESNVNVRFQNKSERHDDKHMQSPWLYQCLSLKMA* >Brasy5G365000.1.p pacid=40072933 transcript=Brasy5G365000.1 locus=Brasy5G365000 ID=Brasy5G365000.1.v1.1 annot-version=v1.1 MWIFLQPVCALDATSHFRLLCPICTSRQSHCGVRKAVGRGGPCGRGRGEGGGGEMEPPQRVCVTGGGRFIASWLVKLLLSRGYAVHATVRGPCDPKNAHLKQLDKAPENLHLFKADVLDCETLTHAIEGCEGVFHLATPVPEDRIIDPQAEVMAPAVKGTSNVLKVCLAAKVQKVIVLSSTAAVDFNPNWPQDRLKDESCWSDIEFCKENEDWYSVAKIVAEHASFEYAQKNGLNVVTLCPPLVFGPFLQPTVNTSSKFLIYVIIGGPDIMSNKLWHMVDVRDVANALLLVYEKAESSGRYICAPNSICTKDLVNLLKKMYPVPRVQLCE* >Brasy5G240400.1.p pacid=40072934 transcript=Brasy5G240400.1 locus=Brasy5G240400 ID=Brasy5G240400.1.v1.1 annot-version=v1.1 MPPLRRATALSSLTEDSVLVWYRRRRHLRQMSVSWSIGVRAPTLFDGMPQPEGYVHSLHEETVFQAAYREGVLLTESTLCAILSTCTS* >Brasy5G058900.1.p pacid=40072935 transcript=Brasy5G058900.1 locus=Brasy5G058900 ID=Brasy5G058900.1.v1.1 annot-version=v1.1 MGSSSKVVRPEEVLDSLKNDGTVDALRKKIIAQLKANEDMKKNTLMMVEQSKVLKTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNAGLGKEINETVEKVYCRLSGIDSMPPPASTSSARQEKRTNMAIEEGDKSKEMDASEPSSSSRKRSFTGAMQNGGALYQHEEHEDGN* >Brasy5G216400.1.p pacid=40072936 transcript=Brasy5G216400.1 locus=Brasy5G216400 ID=Brasy5G216400.1.v1.1 annot-version=v1.1 MKIMSWNCQGMNTSRAIRALLDLQRQIKPDVIFLSESHLGKVKAEKLRRNLRFDEMLIHESDGRSGGLLLIMNNAWLVLGDFNEILFSWEKDGGAPRALRYMQAFQQCLTSCSLEDLGYTGDVFTWSRGRIRERLDRAVANEAWNEFFPFAKVVNEGMTKSDHRPVTVDTEYLAGVHVSGGPATRRFEARWLEEETVELIVQNAWNRACANGDFPNLMQKTTKVHDELHAWDRRELKAPRKKIEKLKRELEALRRGPVSDESLADQKELMLKIELLLEQEEIHWIQRGRANWLRHGDSNTKFFHNFATARKKKNTIKYLIDEAGVKWEDPDGMSNLIKFYFSELFTSERNECCSACSVYG* >Brasy5G488100.1.p pacid=40072937 transcript=Brasy5G488100.1 locus=Brasy5G488100 ID=Brasy5G488100.1.v1.1 annot-version=v1.1 MGGPARMMNDYKPTRSRWRMTGCSSSWLNSVGLMKVWHVDAHSRFDSVLCIDYSIDSDF* >Brasy5G416000.1.p pacid=40072938 transcript=Brasy5G416000.1 locus=Brasy5G416000 ID=Brasy5G416000.1.v1.1 annot-version=v1.1 MVSAKRLAQMAKKWQRMAALGRKRLMWTATKEADECHTSVAVNGHCAIYSAEGRRFEVPLVYLSTPIIRELLRMSQEEFGFTSDGRITLPCDAAMMDYVMCLLRRNAPEEVERAFLSSVVRPCQYGNGLEPFMGLSQQVAVFNC* >Brasy5G194100.1.p pacid=40072939 transcript=Brasy5G194100.1 locus=Brasy5G194100 ID=Brasy5G194100.1.v1.1 annot-version=v1.1 MADIRRAAALCLLLPLLVFSLPNPYLVEAGKYACMSKFPMMPFCAEWMCTAECWTEAKLNLATVKEHRCVKGGIKGYCYCVFCGTHLKEDEDQEPKPQELIHN* >Brasy5G211400.1.p pacid=40072940 transcript=Brasy5G211400.1 locus=Brasy5G211400 ID=Brasy5G211400.1.v1.1 annot-version=v1.1 MENSSFAHGRAQQRLGGELTRYPCNRDMGSVRLLSTAMTSGARTGNMTILPAVEASHRVSALGHAMIRGKTLQTFVPESLPVTLDDSLRRRWSLHSNSRRSDQTPRFQLSPPTLGLDNLRRSKSPLVNSRLGGRGRQRRVSTSRAIHRSSSSTVRGPESGMGAAQSTWGVATEEALGSTTTGDGRSTSSSAERGESSSPKKPQSSFSGESSSGSEKSQEPEEQRMEGGGEAALGGEAIWHGWEAEAWGLGGGATRWPRPPPGTSRPDQVDLGWPVALLVQKEGRPWRGPKPNRKYDPTIWTK* >Brasy5G316600.1.p pacid=40072941 transcript=Brasy5G316600.1 locus=Brasy5G316600 ID=Brasy5G316600.1.v1.1 annot-version=v1.1 MSGAGAIAALLRAHRLPMLHSPFACSLAAARSSLRAAHLPLLRATAATPPLSSRVSLPHLHSARSFSSSRGAVSSSAADNEEPRQSELIFLGTGTSEGIPRVSCLTHPSKTCPVCTKAAEPGNRNRRRNTSILLRHVTPSGTSNILIDAGKFFYHSALQWFPAFGLRAIDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAQRDYEVMKMTHHYLIDTSVVIPGAAVSTLLFNIINEEPFRVHNMEVIPLPVWHGQGYRSLGFRFGDICYISDVSDIPEETYKLLEDCQILILDALRPDRSSSTHFGLPRALEEVRKIRPKKTLFTGMMHLMDHEKVNDDLARLMETEGLDIQLSYDGLSIPVRF* >Brasy5G316600.2.p pacid=40072942 transcript=Brasy5G316600.2 locus=Brasy5G316600 ID=Brasy5G316600.2.v1.1 annot-version=v1.1 MSGAGAIAALLRAHRLPMLHSPFACSLAAARSSLRAAHLPLLRATAATPPLSSRVSLPHLHSARSFSSSRGAVSSSAADNEEPRQSELIFLGTGTSEGIPRVSCLTHPSKTCPVCTKAAEPGNRNRRRNTSILLRHVTPSGTSNILIDAGKFFYHSALQWFPAFGLRAIDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAQRDYEVMKMTHHYLIDTSVVIPGAAVSTLLFNIINEEPFRVHNMEVIPLPVWHGQGYRSLGFRFGDICYISDVSDIPEETYKLLEDCQILILDALRPDRSSSTHFGLPRLRYILQLYRPLRKSGKSDQRKLCLLE* >Brasy5G194700.1.p pacid=40072943 transcript=Brasy5G194700.1 locus=Brasy5G194700 ID=Brasy5G194700.1.v1.1 annot-version=v1.1 MKLAGLKSVDGAHEESVWAAAWAPAAEHRPTALLLTGALDETVRAWRADDLAAASPPARGHALGVVSLAAHPAGVIAAAVSLDSYIRVFDVDSGASVATLDAPPSEVWGVQFHPKGVALAAAGGGSGSVKLWDTEKWQPIASLPVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDAHIHIYDAKEKGLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINARASVQTMSNHSDQVWAVAFRPPGGEGVRAGRLASASDDKSISLYDYS* >Brasy5G025000.1.p pacid=40072944 transcript=Brasy5G025000.1 locus=Brasy5G025000 ID=Brasy5G025000.1.v1.1 annot-version=v1.1 MDIMTWAHMAAGCGRERLASLVDRHWPAVSRACVCSSCFVLAALRQWQGCTARGFLALASLGPAAVFVILWSFFVCMTSPVCALYALLSLGATGAVIHYMGYTPGLLIVGLFGILIMWMYGYFWITGMLLVAGGSMCSLKHARFVIPVLAAYAVYCVAVRVGWLGVFLTLNLSFLTNDLLNKLLQGYEGSTEEMQFEEMKDPHPGMDEFYPSYEYPSTPDSEPETVSSAKPFCVSPTQDVLHVQKEASPSKIVKSDSTVLDEMKRIMDGSTYYEIFGILRNRSVDLDKLKKDYRKMAKLVHPDKNMGSSLASESFKKLQSAYEVLSDLTKKNSYDEQLRKEESRQMTQRSRVVSQQSGVEFLSEESRRIQCTKCGNFHLWICTKRSKAKARWCQDCSQHHVAKDGDGWVENGYSTSLKIEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFDLWLQQALATGVFSDSPKRRKSWSPFKLPQKGVRNWRRSS* >Brasy5G474000.1.p pacid=40072945 transcript=Brasy5G474000.1 locus=Brasy5G474000 ID=Brasy5G474000.1.v1.1 annot-version=v1.1 MAGLWYNCLGEDHIKAFCPNSSGCFVCGQEGHHLSSALRWFPSAWRSLHSGHCSAAGAPSLAWSLLRLLLRLPVVVRWLVRPLRLLRAPRVLTRLGSTWPNVQAQSRLRSSIWEFGEKLDRDARCLVCHRLFRGYNVTKLRKHLQGRGEGHTAKCLAATKYVYDRLQRIVDGELEAQDEAAEPPTPPHALPIGDDANIGNGDHHHHQGAAPPRQAAAPPTPQHAPPNSNDDVDANANQDHQGAAPPAQ* >Brasy5G161600.1.p pacid=40072946 transcript=Brasy5G161600.1 locus=Brasy5G161600 ID=Brasy5G161600.1.v1.1 annot-version=v1.1 MVFVKTQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLTVGLTNYAAAYCTGLLLARRVLKYRELDQEYEGNVEATGEDYSVEPSDERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVADYMRSLADEEPEKFQSHFSEYIKKGISADDMEALYKKVHAAIRADPSMAKSTKEPPKTHKRYNLKKLTYDERKASLVQRLNALNSSAGADVDDDEDDE* >Brasy5G179300.1.p pacid=40072947 transcript=Brasy5G179300.1 locus=Brasy5G179300 ID=Brasy5G179300.1.v1.1 annot-version=v1.1 MGSKKNKRAAAAAAAGTGAGKVNGDAPPLDLKAGADGDAVPVPVVEAANGGEPAAGAGSGEESPRDPSPEPEAEGKVAALAARFGEPPVDSKGDGVPPPPIAGAGSDEETPRDPSPEREAERLKALNGVLVKEAVESRGQVAALAARVDESSADAGALAGVEGELFRAVLAAPFLSAAKEGQELRARLAADQAALQAAEARAALEADARAGAGARLEAADAEKLRIQRLLGVKEAEAAAASKNVSELEARVSELAGKTAELGADKGRLEKQLGEKTSLAHSVLAQKAEVEESFKDYKINAVKYQQQMEVKIGEKLKELEDLKSSKVELEARFRSLGMELSAAVARNGQLEVEVEKSKTELAAARTEVEKLRFVVADLNNKHTTVGSQAEYLRSEIDKMMAIKQEAESAFSAEKMKLEREIEGLKRKVDKVEANKNAVMATVSEKDAEAAKLRAELDQLRVSIAEKHALCDELMANTSCLQDDKVAVLKALDEVKAEGGDLRMRIGELESYSGEKDREIGVLKAEVEVKVGHIDTLDGEIKQLQLAVAEAQRMGKSGVWTWLCPATTTVIAAASFAYAARSR* >Brasy5G502600.1.p pacid=40072948 transcript=Brasy5G502600.1 locus=Brasy5G502600 ID=Brasy5G502600.1.v1.1 annot-version=v1.1 MGGGYVTVSGSMIGSACKVIRSACKVALVLGAGRWLIMGGGFVAAFGWMIGSACKVALVLATGQWLGCLTLKAFLSVMGYLLTLQLPLASSLRRTLELEQYLHRPAPGRRRFRPGIVKRPGGTIEKLFVKI* >Brasy5G357300.1.p pacid=40072949 transcript=Brasy5G357300.1 locus=Brasy5G357300 ID=Brasy5G357300.1.v1.1 annot-version=v1.1 MDPTKSSTPPPPPVLGAPVGYPPGAYPPQPGAAAAAYAQQLYAPPPAAAAQQAAAAQQHLQMFWAEQYREIEATADFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAAGIPRPAAGVPATDPMAYYYVPQQ* >Brasy5G243000.1.p pacid=40072950 transcript=Brasy5G243000.1 locus=Brasy5G243000 ID=Brasy5G243000.1.v1.1 annot-version=v1.1 MRRQYAELLRRAAAQPSLPLVASLHAASLRRAAVPVASLIQAYSACGDPAAARSLFDGLPAQDQTLSARTALASAMSAHGRCSEALAMFRGVEGEMDDRAVTVALAACARAGMVAEGRAVFARVTRPALQHYTCMVEMLGRAGLVEEAEALLACVEARPDRVICGVLLAACRVHGRVDVAERVDRLMRKYGIA* >Brasy5G513800.1.p pacid=40072951 transcript=Brasy5G513800.1 locus=Brasy5G513800 ID=Brasy5G513800.1.v1.1 annot-version=v1.1 MAATAIDEPETVEVKLRAVGPSRPTTLRLPPLISVADLHRSVAHDRRLPEDRLRLILRGTTLPWGDDAHVKLRDGDSLIVAVAPKPPAKHLRDDDDDDDDDEELKFKIPQTTTWWKRRIFIFLRDKLRLPDILLMALFSITMKAWIIITMWFLLAPVARKYEVGPLYILATGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTFNAERIDRDIRAGQF* >Brasy5G513800.2.p pacid=40072952 transcript=Brasy5G513800.2 locus=Brasy5G513800 ID=Brasy5G513800.2.v1.1 annot-version=v1.1 MAATAIDEPETVEVKLRAVGPSRPTTLRLPPLISVADLHRSVAHDRRLPEDRLRLILRGTTLPWGDDAHVKLRDGDSLIVAVAPKPPAKHLRDDDDDDDDDEELKFKIPQTTTWWKRRIFIFLRDKLRLPDILLMALFSITMKAWIIITMWFLLAPVARKYEVGPLYILATGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTFNAERIDRDIRAGQF* >Brasy5G383500.1.p pacid=40072953 transcript=Brasy5G383500.1 locus=Brasy5G383500 ID=Brasy5G383500.1.v1.1 annot-version=v1.1 MGLLALNRFMSAQRDRRRQRIQAHDGSPASVSKRKSSPFQEDRDYEGGKRMKYLGPILPEEIWCHIHSLMSMRDAARAACISHAFLRSWRCHPNLTFSRKILCMNENVRGWEETATDLSSQVDQILMKHSGTGVKKFKLEFYNYNVNAFYLDRWLQIAVTPAIEELTVQLPWNKKTYNFPWSLLWNGSGNLIQYLNLSDCALRPTVRLSLRSLTGLHLCDVRITEDELACLLHNSFALEQLKLKRCLKIISLKISRLMQRLSYLEVFECDRLQAIESEAQHLSSFTFVGHHHVRISLGQALQLKRLDIECRAAFCYARAELPSSVPNLETLFVHSRREMVSIPMMPSKFSLLKHLSVDLAAAKFPQTYDYCSVISFFDASPSLETFYLNVSWTSLQQPSVFADDPSDLRQMPEHRHNKLKRVTIVGFSSAKSLVELACHILANTTSLEYITLDTTEGHCLPGCSANKFGECFPIGRDIILESRKALLAIRTYIEGAVPSKVKLTVVEPCSRCHVV* >Brasy5G383500.2.p pacid=40072954 transcript=Brasy5G383500.2 locus=Brasy5G383500 ID=Brasy5G383500.2.v1.1 annot-version=v1.1 MNISLSAAVFYSPSVLVPNAASTCLQKTEIWCHIHSLMSMRDAARAACISHAFLRSWRCHPNLTFSRKILCMNENVRGWEETATDLSSQVDQILMKHSGTGVKKFKLEFYNYNVNAFYLDRWLQIAVTPAIEELTVQLPWNKKTYNFPWSLLWNGSGNLIQYLNLSDCALRPTVRLSLRSLTGLHLCDVRITEDELACLLHNSFALEQLKLKRCLKIISLKISRLMQRLSYLEVFECDRLQAIESEAQHLSSFTFVGHHHVRISLGQALQLKRLDIECRAAFCYARAELPSSVPNLETLFVHSRREMVSIPMMPSKFSLLKHLSVDLAAAKFPQTYDYCSVISFFDASPSLETFYLNVSWTSLQQPSVFADDPSDLRQMPEHRHNKLKRVTIVGFSSAKSLVELACHILANTTSLEYITLDTTEGHCLPGCSANKFGECFPIGRDIILESRKALLAIRTYIEGAVPSKVKLTVVEPCSRCHVV* >Brasy5G469200.1.p pacid=40072955 transcript=Brasy5G469200.1 locus=Brasy5G469200 ID=Brasy5G469200.1.v1.1 annot-version=v1.1 MPERRAKKAGMGSMLGSGDATKVGPEKTRCLRGAVSSVSAVSSFCCSLSSQARLSASGTASRGFLCASQRSADDARLGGLLYLSLLPWIDMEEEDDVLLLAFLFLLMSQSSQESARPGLVLPHDDAGLLGFLFLFASHLSTASARPGLGNLGLDAAGRMMMHGAGVLIGGGVWSRPGRSAAAALAIARSTDAMDDLLDGLYFPDIE* >Brasy5G089000.1.p pacid=40072956 transcript=Brasy5G089000.1 locus=Brasy5G089000 ID=Brasy5G089000.1.v1.1 annot-version=v1.1 MVVSTFSGPGIGLGFGVGCGFGIGWGFGGMPLNAFGLGIGGGCGVGLGLGWGFGNGYGCQYRSSKVQFQGIEFQKKSEGDDSPKLVSSGLVEKSRPYG* >Brasy5G329800.1.p pacid=40072957 transcript=Brasy5G329800.1 locus=Brasy5G329800 ID=Brasy5G329800.1.v1.1 annot-version=v1.1 MALAGRLLVLLAVALVAVSIAGHKAAALGNEEHGVNGYQGQHGTLQRYQCSPLCDYRCSDTKYRKPCLFFCNKCCNTCLCVPSGFYGHKYECPCYNNWKTKEGGPKCP* >Brasy5G145800.1.p pacid=40072958 transcript=Brasy5G145800.1 locus=Brasy5G145800 ID=Brasy5G145800.1.v1.1 annot-version=v1.1 MSRLMAAMLIGGARAASAAALTGTTAAKQALPCRPGFLASRPHAASWSPLCLQTAPRSSQAYNNAPEERREIKDKYKGAAEQAKDATSQAKERAKEMAREAKDETKDMAGEAAEKASHMTEKAKRVTKETAHEAADNASGMKDKPAKEMAGLAAETAEETAEKAAEKTKDMKERAKETAAAAGQKTKETAGQAAEKTKETAGQAAQKTKETAGYAAEKAKETAEGAMEGTKHAGEKAAEKTKETAGAAGEKARETAEGAMEGTKHAGGKAAEMTREGASKVAETAQALSDAAKRAAKDAWGATKEAAQGVKDKVADATTVDRDQEHSTWEDVEAATKERNDRIAQEERKRQAREKGAGLP* >Brasy5G421700.1.p pacid=40072959 transcript=Brasy5G421700.1 locus=Brasy5G421700 ID=Brasy5G421700.1.v1.1 annot-version=v1.1 MLSTGRVLSSPACARRRSFLDDARPMRAVAAPWRRSAVARGGSSNPAGCLEAGVAAPWSSALAHAPPSHLLDDTPLPSEQRVRQVVLKQAALAASPHETPRPARLAGGGLDAAFDRCGAVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHITALALDRWESRLDDVFAGRPYDMLDAALADSVAAFPVNAQPFRDMIEGMRMDLVKSRYQSFDELYLYCYYVAGTVGLMTVPVMGISPDSRAEAETVYQGALALGLANQLTNILRDVGEDARRGRIYLPQDELAMAGLSEDDIFAGRVTDKWRSFMKGQIARARAFFRQAEQGAAELNQESRWPVWASLLLYRQILDEIEANDYDNFTKRAYVPKAKKLVALPRAYLRSLVLPSSQGAAS* >Brasy5G338200.1.p pacid=40072960 transcript=Brasy5G338200.1 locus=Brasy5G338200 ID=Brasy5G338200.1.v1.1 annot-version=v1.1 MKPTSSSSSLQASFFPTSSTLCTPYLLLVPLGLLAAVLVLPSLGSSHVRSDGLGVVLCPVLPATEAEKKADDASPTTAPEFRLLVGVLTTPARHERRDIVRLAYALQPPAPAYAHVDVRFVFCDVADPTERVLVSLEAARHGDVLILNCTENMNDGKTHQYFSSVPRLFAASPYDYVMKTDDDTYLRVAAMAEELRPKPRRDVYLGHGFAVGDDPMPFMHGMGYVVSWDVAAWVSGNEDILRHNDTHGPEDLLFGKWLGIGGRGKNRYSLKPRMYDLNWYMDNFRPDTVAVHMLKDNRRWAAAFRYFNVTREVNSSSTLYHHLP* >Brasy5G375900.1.p pacid=40072961 transcript=Brasy5G375900.1 locus=Brasy5G375900 ID=Brasy5G375900.1.v1.1 annot-version=v1.1 MRGGSRLAPSSAPCLLLLALAACWAGRAAGHGVQPLSRVAVHRARVALDASAAVRASPPLLGSRGEDTAWVTVDFSVPRTGDSDWIGVFSPSNFNASTCPGSHGSGPGPAICSAPIKYQLTNYSSGYAKSGKGSLKFLLINQRQDFSFALFTGGLSNPTLVAVSNKIAFANPKAPVYPRLALGKTWNEMAVTWTSGYGISEANPFVKWGMKRNPSVRTAAGTVTFGRENLCGGPASTVGWRDPGFIHTAFLKNLRENKEYYYKIGHELPNGEVIWSKSYSFTAPPCPGQKSLQRVVIFGDMGKAERDGSNEYQNYQPASLNTTDTVAKDIDNIDIVFHIGDISYANGYLSQWDQFTQQVQPITSRVPYMIASGNHERDWPNSGSFYNGTDSGGECGVLAETMYYTPTENKANSWYSTDYGMFRFCVADSERDWREGTEQYRFIERCLATVDREKQPWLVFIAHRVLGYSSAFSYGQDGSFAEPMARQNLEPLWQRHRVDLAFYGHVHNYERTCPMYAEKCVSSERSRYSGAVNGTIHVVVGGGGSHLTNFTAEAPPWSLYREMDYGFAKLTAFNRTSLQYEYKRSSSGELYDSFSVHRE* >Brasy5G432100.1.p pacid=40072962 transcript=Brasy5G432100.1 locus=Brasy5G432100 ID=Brasy5G432100.1.v1.1 annot-version=v1.1 MGSVSLVTLLRGAWLAVRHSMVVPALQVAVYLCAAMSLMLFVERLYMGFVVAGLWLRRRCKRRLNSASAADDDKKLIMADSDDLESAGEHYRPMVLVQIPMFNEKQVYRLSIGAACGLWWPSERLVIQVLDDSTDGGIRSLVQAECLRWASKGVHIQYENRSNRSGYKAGAMREGLKKHYARGCEFVAVFDADFQPDADFLRRTVPVLQADPGLALVQARWRFVNADECILTRIQEMSLDYHFSVEQEVGSACHAFFGFNGTAGVWRVQALGDAGGWKDRTTVEDMDLAVRASLRGWRFVYVGDVQVRNELPSTFKAYRYQQHRWSCGPANLMRKMFREIVVSRQVSPWKKLHLLYGFFFVRKVVAHLVTFLFYCVVIPACVLVQGDVRLPKYVAMYVPAVITLLNAACTPRSWHLLVFWILFENVMSMHRSKATIIGLMEASRANEWVVTEKLGSSSTAVAAMTTTTAAKGKRKRDQNQSFHAHEILMGLCMLYCAIYDIIFGHDHFYVYLLMQSAAAFTIGFGYVGTQ* >Brasy5G356500.1.p pacid=40072963 transcript=Brasy5G356500.1 locus=Brasy5G356500 ID=Brasy5G356500.1.v1.1 annot-version=v1.1 MRSMHSSLKNHRVLLAPVVLIASLSLLQRPASAEEKSYVVYLGGHSHGREGAALASNQERAKNSHYRFLGSVLGSEEKAQDAIFYSYTKHINGFAATLEEEDAMEISKHPSVISVFPNRAHRLHTTRSWEFLGMEKGGRVKTNSIWAKARFGQGVIIGNLDTGVWPEAGSFNDDGMGPVPARWRGVCQNQVRCNRKLIGAQYFNKGYLATLGGEAASPATARDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGARVAAYKVCWHPRAGSECADADILAAFDAAIHDGADVLSVSLGTSPVDYFREGVAIGSFHAVMNGIAVVASAGNAGPRAGTVSNTAPWLFTVAASTMDREFPAYVVFANNSRRIKGQSLSPDRLPENKHYPLISSEEAKATNATVQQARFCMEGSLDKTKVEGKIVVCMRGKAPRVEKGQSVRRAGGVGLVLANDEATGNEMIADAHVLPATHVTYSDGVELLAYIKSTRFVSGYITSPNTALETKPAPFMAAFSSQGPNIVTPQILKPDITAPGVSILAAFTGLVGPTSLPFDSRRVLFNAESGTSMSCPHVSGIAGLLKALHPDWSPAAIKSAIMTTARVQDNTRKPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDMGAADYLRFLCSLGYNSSVIETFMADGHRTTPHACPAMRRPKPEDLNYPSIAVPHLSPSGKPSTVARRVRNVGAAPASYGVRVDEPRGVSVSVSPARLEFAAAGEEKEFTVTFRARQGVYLPGEYVFGRMAWSDADGRHHVRSPLVVRNKVRRRRRWKTEAQATAWSTAALAGAGACDVGVRDGKAGQEAFRSITRSYYRGAAAALLVYDITRRETFSHLTSWLEEMRQLADGNNNITIVLVGNKLDLEQRRVVSTEEGEQFAKENGLAFIETSARTRLNVDEAFVKTASAVYHKIQEDAIDMSKAARMLAHHHQSMSTPSPRAARRN* >Brasy5G151500.1.p pacid=40072964 transcript=Brasy5G151500.1 locus=Brasy5G151500 ID=Brasy5G151500.1.v1.1 annot-version=v1.1 MESASPDWTQLPPDLLTTIFGELEIPDLLHSGAVCTSWHSAYSTFRRLRLPSPKQSPCLLCASAACGPDAASLYFPSTGATFRMPEPALRFGSLQLAGSGHGWLVAADEVSNLHLLNPVTGGHVALPPITEMRGVESSLDDQGDPAYTFTDFTTPAEEEDPFTLPAIEARETMYHRAVLSCSPSAGSACVVLLIHMPLAELSFARVGDERWTSLAECTGLQRRNFHSNAAYNAADGLFYVLSYDGSMHTLDLNGPSPVATKIIPGVTKFDQPFSSYLVQTPWGDLLQAWRFRKYLDPPTPWEGDEDEDPRVELNTTELRLYKVVAHGQKLVEVDSVGDHALFLGYNGSMCLPIKEFPWLRPNCAYITDDSFEYIMCCKSSKREIGVWSIQNQNLHHLGGTSPPWLNWPTPIWMVPSLL* >Brasy5G198400.1.p pacid=40072965 transcript=Brasy5G198400.1 locus=Brasy5G198400 ID=Brasy5G198400.1.v1.1 annot-version=v1.1 MAQWDNLGRAATIAQLVGVDTLGLISMIVQAAQTVRRNKETCQELVQDVQLINDLLRMLQDPEMMQRAEIVNALNGLEGTLQEAYNLISSCQDCSAAYRIFMGWKQTDQFRRVKKKIAKHLRFYPMISHADITRCLERLSNGALSSTCSSQGVGVLTSSTSHSNSEAWTEGSLQPVELKRFQAETESILVEEYQQAGEREIGETSSARKLRFKWGHSLPRKKVETAPCFRELTGPAHGALPAGLSTFRFSELSAATNSFAFECKIKSCRYADMYKGRLRGGLDVMIKRSQRNKSHSSLLFENEFKFHAKLQHKNIVKFIGSCCERGEALLVYESMPNGSLADAITGTRVLLNWFERFKIIKGIARGVAYLHDCCGMHIAHGDLKPERILLDSDMVPKITDFAFAKVCGLDGHEEHKGTFHGMRGHMDPGYIHGSCSVKNDVYSFGVTLLEIITAKRPDALFTDEGHLLKFSTVGGPMEMYQMIDPVLHGEPRVAEIMRCIRIAERCTVPNGEDRPSMWDVLLMLSCESVPVPESTESWCDTLEADEGVLSDSDIGEPR* >Brasy5G435900.1.p pacid=40072966 transcript=Brasy5G435900.1 locus=Brasy5G435900 ID=Brasy5G435900.1.v1.1 annot-version=v1.1 MAGLEELKKKLQPLLFDDPEKDGVSTRVPFPEDACDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSTGADESDFGEKAYRCASHDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEASCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKVKKSIPEQVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANMLINLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPTPPADAYSPEFCSFVNDCLQKDADARPTCEQLLGHPFIKRYENAGVDLIAYVKGVVDPTERLKEIAEMLAVHYYLLFNGSDGLWHHMKTFYMEESTFSFSGNVYVGKNDIFDTLSSIRKKLKGDRPREKIVHVVEKLHCRANGETGIAIRVSGSLIVGNQFLVCGEGLQAEGMPSVEELSIDIPSKRVGQFREQFMMLPGISMGSYHISRQDLYIIQA* >Brasy5G107900.1.p pacid=40072967 transcript=Brasy5G107900.1 locus=Brasy5G107900 ID=Brasy5G107900.1.v1.1 annot-version=v1.1 MVIAVEGSFVRQEEVEEEGEHPMRYLPLGRVYSSSAPCPPLPKKPRAAAAVDDKPPVTVFYRRRRKKPRLEGSPPASPVTSAPEPRQVDEDAEAEVRTSRRKCPLKHELLSLGPTSPALSADSGGSDLVRRRQSRRTGGGESISTGRRRKHGGQKEAASSAGRRWVELAIEGADPQAFIGLGCKVFWPLDDDWYKGSITGYKEVTKKHSVKYDDGEAEDLTLADERIRFTISSEEMKCLNLKFGMSNMDKKGHDELLALALSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESNVPANRALKEVRLDQSVLVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFCRGLEEAKEFLLTQQLPESMLQLQKSNDGSDVNCQDDTIGAYDNLPEDRATENGDDEEMTQIELGNLCVSKLGRIVSDSDHFHNKMHIWPEGYTAFRKFTSVKDPHLVTSYKMEVLRNSDIKARPLFRVIAEDGLQIDGSTPNACWKEIYRRIKEKQCDVASESEGNVCQRSGSDMFGFSNPQIRQLIQELPNARSCLKYFENGVDTLRGYRAVNVNWKDLDYCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGKLWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLICSICTVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDLCIRLLSYCKKHRQPSSKRPSLKSDLGNPAMVVQTDVASSSGCARTEPYNFHRRRGQQQPQVTATASVKRLYVENRPYIVSGYCQNRGGCDTSYEPIQSVCPSDAALQEVVNVSSMVEKYKRMRATFRRRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPVSDIREGRIYNSLVGAGTYMFRIDDERVIDATRSGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDIAPWEELTYDYRFVSNDQRLPCYCGFPKCRGVVNDVEAEVQSANIKVTRSDLFQQD* >Brasy5G107900.2.p pacid=40072968 transcript=Brasy5G107900.2 locus=Brasy5G107900 ID=Brasy5G107900.2.v1.1 annot-version=v1.1 MVIAVEGSFVRQEEVEEEGEHPMRYLPLGRVYSSSAPCPPLPKKPRAAAAVDDKPPVTVFYRRRRKKPRLEGSPPASPVTSAPEPRQVDEDAEAEVRTSRRKCPLKHELLSLGPTSPALSADSGGSDLVRRRQSRRTGGGESISTGRRRKHGGQKEAASSAGRRWVELAIEGADPQAFIGLGCKVFWPLDDDWYKGSITGYKEVTKKHSVKYDDGEAEDLTLADERIRFTISSEEMKCLNLKFGMSNMDKKGHDELLALALSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESNVPANRALKEVRLDQSVLVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFCRGLEEAKEFLLTQQLPESMLQLQKSNDGSDVNCQDDTIGAYDNLPEDRATENGDDEEMTQIELGNLCVSKLGRIVSDSDHFHNKMHIWPEGYTAFRKFTSVKDPHLVTSYKMEVLRNSDIKARPLFRVIAEDGLQIDGSTPNACWKEIYRRIKEKQCDVASESEGNVCQRSGSDMFGFSNPQIRQLIQELPNARSCLKYFENGVDTLRGYRAVNVNWKDLDYCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGKLWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLICSICTVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDLCIRLLSYCKKHRQPSSKRPSLKSDLGNPAMVVQTDVASSSGCARTEPYNFHRRRGQQQPQVTATASVKRLYVENRPYIVSGYCQNRGGCDTSYEPIQSVCPSDAALQEVVNVSSMVEKYKRMRATFRRRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPVSDIREGRIYNSLVGAGTYMFRIDDERVIDATRSGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDIAPWEELTYDYRFVSNDQRLPCYCGFPKCRGVVNDVEAEVQSANIKVTRSDLFQQD* >Brasy5G149700.1.p pacid=40072969 transcript=Brasy5G149700.1 locus=Brasy5G149700 ID=Brasy5G149700.1.v1.1 annot-version=v1.1 MPRRRSTAGRMDAAIDHFTPMGYNKTQVRSVVNALLKVYGREDGKQPWALLEDNCYQVVQDVLFEKQEEEEKLQLQVLQEQEQQSEEEGGAQEQQHEEDEEMEEEAPFEVPVVVGRTRPPCHGWIDESESDPDYEEHFGNRKREAPVPTPGGDGLCKTKRPSRWDVK* >Brasy5G514200.1.p pacid=40072970 transcript=Brasy5G514200.1 locus=Brasy5G514200 ID=Brasy5G514200.1.v1.1 annot-version=v1.1 MELMPFVLSVLFLSCVRGASSDSRYFTSMFTLGDSYIDVGNFLVMAAQAMPALTVWHDKPPYGMTFFGHPTGRASDGRVTIDFISEEFGLPLLRASLLNNSDVSRGVDFAVGAATAIDVDFYERNNLVQFKLLNNSLNVQLGWFEELKPSFCNTTQGCRSCFSKSLFFVGEFGVNDYNFIWMAGKSEDEVRSYVPQVVRNIAMGVERLINEGAIYIVVPGNPPNGCSPFMLTLRLNSSKTDYDHTSCLRDINHVAMYHNSVLRGALGALRRKYAHARIIYADFYNPIVTILENPGRFGVAGVDALRTCCGGGGVYNWNISALCGMPGVPACKDPSAFVSWDGVHYTEATNRYIAHGCSMVLSPIHLYSMLLATDFRKTTIFIGTNMLNIF* >Brasy5G292000.1.p pacid=40072971 transcript=Brasy5G292000.1 locus=Brasy5G292000 ID=Brasy5G292000.1.v1.1 annot-version=v1.1 MGRKVPPFAPAGASTETAAAAAEGRKRKGASLAPLTPCKRLAPASGGWASLPTDIVGLVTRRLLAVDGDGDVVDYIAFRAVCSGWRACTPTPRDPTLRDPRLLPRAWVALCDGDAVRPDDACEIAFFHTRTARRLRVRLPEVRGHRIVCITDGLVILLHKRTTAVRVLHPFTRVVVDLPPIAPVYHKVVRSKRDLLAMNAAVCSSATSATAISVVVWFPWTTPVLAAVPDSGWEVIHRGLQVCSALPFQGRLYATLSCSREIVQVYPPERLSLEDSHLVVAHVPSIFGDIVFCEFLLVESGGRMLLVVRYPPGFGRKMDWSRSVGFGIYAVDLNRSLVELVPVSCLGDFVLFLNTDRCLSVSARDLPSLSSSSIYFSLSDSPVVLHSQRTGLSEQLAASCQIHDMKDRIRPSVRPFTIVDHLLTYCHPDKWTKGLMFHEYHYIPQSFKELRKNMQEKNSQLRIPCIGGH* >Brasy5G131700.1.p pacid=40072972 transcript=Brasy5G131700.1 locus=Brasy5G131700 ID=Brasy5G131700.1.v1.1 annot-version=v1.1 MNLCAMGSQVAAAGGNRRICRRRARTAASSRGAGDAHERPRTTEAHGEAASDRGAGDAHGEAASGGGAGDAHGRPHPTKEHRELRWRRDPGGVSQARRMGGGGSLLGGWSGGGMHQSFRRRGRTDGGIMPRGSGGVGIWPRGSGGAEDGGERRSSCAEVRDERWDALFLFGCA* >Brasy5G141800.1.p pacid=40072973 transcript=Brasy5G141800.1 locus=Brasy5G141800 ID=Brasy5G141800.1.v1.1 annot-version=v1.1 MPINPKIHPNKCEHQYQQGIKCSQMKTVEMKTVDGYFPNAVARPQRVRDRQAHHFLSFCSGDSPMSLPLAGHRILFLLLLLLLLVELLAPPRCAGESATCLAVYRQGGAPAVYQSAHCPRWSLHPGGEEDGEQRSSSSTPRICHVAARRGRRRSQEDRAVCALGIRIPFIEGTRIKEVDVGVMAIFDGHNGSEASEMASKLLLEYFLLHVYFLLDGIYSIMFKKSTGKLTYKEVAILNNILNMYKEDQSIHGERSCWTSPAILDRSFHMEILKESLLRAVHDIDLTFSKEALRKNFESGSTATVVLIADGQIIAANVGDSKAFLCSESHAPNRKRRRKRNSGNHDDFALVNYDGPLYNVKELTKDHHPDREDERSRVEAAGGTVLEWAGVYRVNGELALSRAIGDVPFKRYGVISTPELTGWQLLSANDSFLIASSDGVFEKMTMQDVCDMMLHAKLGVNQGFETSVVAQQNLADYIVHLALQKGTTDNVATVVVPLVSASSSVVTIEDELHLEENSRKSVLPLHTIPYQHNSDDRVSSAVMDMEYFKHSSTKFQRFLVDAKLNSLGCFYLSESLDEDMDYIFRVPESYQHGGVRDFNHITTENVLYSDGYLEKYKDRNFCWYLGHQDDELGRCNSPEGFANYFGLLDSVSHNGSNLNSSHSFGYNIADIRYKLKKRFDRGSYGEVWLAFRWNCSDDIDAHKVPSHFSTILTPDSHNCTSSNTASSDEDNVSDIIDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASKTLEELSRMESSSTAFPVDMQFIQYTFPEQNMSAIEESLKHVARFIESFESESKEIWLVYRNEGRSLSKLIYAAEETKLVTGDDNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLETGKCLREIPSEAKENKLNMRLIDFGSAIDDFTLKHLYGSGPTRSEQTFEYTPPEALLNSSWFQGSKTARLKYDIWSVGVVMLELIVGSPHVFQISDRARVLMDQRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGNSERGHAGLASWKCSEESFAHQVKIRDPLKMGFPNLWALRLARQLLVWHQEDRLTVDEALNHPYFQEPP* >Brasy5G217000.1.p pacid=40072974 transcript=Brasy5G217000.1 locus=Brasy5G217000 ID=Brasy5G217000.1.v1.1 annot-version=v1.1 MKVGLKKYKETGSSSSIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKIIYSSGGGLPHGRLAIGDGAIKRSEVRAIAKQHNIRPANSLSYQDLLRSHQQMEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALLGDSDLVSSPSHQNCTPPTGSSHAGGRSSTPQSRGQNVTPVHSPNDVDGVA* >Brasy5G217000.2.p pacid=40072975 transcript=Brasy5G217000.2 locus=Brasy5G217000 ID=Brasy5G217000.2.v1.1 annot-version=v1.1 MKVGLKKYKETGSSSSIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKIIYSSGGGLPHGRLAIGDGAIKRSEVRAIAKQHNIRPANSLSYQDLLRSHQQMEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALLGDSDLVSSPSHQNCTPPTGSSHAGGRSSTPQSRGQNVTPVHSPNDVDGVA* >Brasy5G475300.1.p pacid=40072976 transcript=Brasy5G475300.1 locus=Brasy5G475300 ID=Brasy5G475300.1.v1.1 annot-version=v1.1 MASSSNGERKRARPDGRRRQSAPPSADDPLYATDDYIMIGPNEPFAIPPGMSREEAIRFFEEAEQAAKKVIALYGDGRPADRIPRASHLPNSCHRDGSIYTVNFGWHVDYCISNRAETQLEPMMLAEPTDCQPNRETCDFHFPDPMMQIFSLKLAKILSGISPFQLYGYLAVRDIRDSGLNYIFNHTCVSKQRCDEVSIICIKKTSFATKTGSLIEMTGPKRGIGMSCDVLIEYDMKIKKGGKEEDDLQLIDGVSNYSAVYNTSCKAFMHRIDGDGGSVDITLALIRRAVEATIEVVVSEVYNEGFDLCLSSFVSGIGKEIQLFHGVIDDPCGLRRSVVAVALDTLMELKFKVCHGCGEIERCVNFKAIKHGSVSQDIKFDQASIRVKQEQVQAICQICRTRAQCSTAGKAGGRF* >Brasy5G373700.1.p pacid=40072977 transcript=Brasy5G373700.1 locus=Brasy5G373700 ID=Brasy5G373700.1.v1.1 annot-version=v1.1 MEPGNSGSQPTGTIAFASVGVTSFGFDVFSVAVPQSPDTESTTAAGLAERCHTDGVSVNFNAQFTDDEEEVAFVSERTGSAALFLCRPGRRATPLPAVEESLFHDRPTLRGGRLYFVSAHEKPGPGAPFRSWAALYRTDLAGSQGETARVTPEGVVDMSPAVSPSGELVAVASYGGRPWAFEFRGALDTDVTVFRAADAGIHGSRRVVVSAARGAGWPAWHGESAVFFHRVATDGWWSVFRVDLDPETLSPSPAGETRVTPPGVHCFTPAASGNNNHRWIAVATRRKGLPHRQIELFDLETSQFTPITTLLNPEIHHYNPFFSRSGARLGYHRFRGHGAPGESKIPYLSPVRSPVSSLRMLRVHGSFPSFSPDAKHLALNGDFFKSPGVTVVKSDGSRRWVVADQPDLFYTSWSPTEPGVVFTSMGPIFESPKATVRIARVEFGPAQLRDDNDGIEVALKVLTRPEAGNDAFPAVSPCGRWVVFRSGRSGHKNLYIIDAAHGEDEPTTAPVRRLTEGEWIDTMPCWAPDGELVAFSSNRHDPGNAAVFSIYLVRPDGTGLRRVYVAGPPGSAEADKERINHVCFSPDGEWLLFTANLGGVNAEPVSGPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPALDLEDGVESLALGDPAAEDSLGEFDEPLWLTCDV* >Brasy5G274600.1.p pacid=40072978 transcript=Brasy5G274600.1 locus=Brasy5G274600 ID=Brasy5G274600.1.v1.1 annot-version=v1.1 MATIAMGLYIRTLTQRRSLIAWAVTLLANERHDELLDPRLRHGEVVSKEVKQAVDVATMCLQEENSLRPNISDVVVVLSFLTCSGEDDDDEQVASRSRDKICMPHFDLICAGGSTRQGATSEEGRGCSGGAWHGFGVGPDPKEMAIGARWRPKVDEIIGHEDEALHLFFPAADFFLAFHGDGS* >Brasy5G274600.2.p pacid=40072979 transcript=Brasy5G274600.2 locus=Brasy5G274600 ID=Brasy5G274600.2.v1.1 annot-version=v1.1 MKPGLYIRTLTQRRSLIAWAVTLLANERHDELLDPRLRHGEVVSKEVKQAVDVATMCLQEENSLRPNISDVVVVLSFLTCSGEDDDDEQVASRSRDKICMPHFDLICAGGSTRQGATSEEGRGCSGGAWHGFGVGPDPKEMAIGARWRPKVDEIIGHEDEALHLFFPAADFFLAFHGDGS* >Brasy5G014400.1.p pacid=40072980 transcript=Brasy5G014400.1 locus=Brasy5G014400 ID=Brasy5G014400.1.v1.1 annot-version=v1.1 MAGSSAVYTPCRPHHAHGHQQRRWRTSALAHGLLPTAARRRGFLARASLAAAPAAGRTAAEEAVYEVVLRQAALQGSASRTARDAAGGKGRRRWREEEVDDAELGWGLLGDAYERCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTASKFPIDIQPFRDMIEGMRLDLWKSRYKSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKTSAESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEEDIFRGKVTHKWRRFMKGQIQRARLFFDEAEEGVMHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYARAAVSS* >Brasy5G087200.1.p pacid=40072981 transcript=Brasy5G087200.1 locus=Brasy5G087200 ID=Brasy5G087200.1.v1.1 annot-version=v1.1 MATSFQGTTTKCNACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLFCKHHHIQLIKEKGNFSQLENDSEKTSQSAGSVDDEESDY* >Brasy5G087200.2.p pacid=40072982 transcript=Brasy5G087200.2 locus=Brasy5G087200 ID=Brasy5G087200.2.v1.1 annot-version=v1.1 MATSFQGTTTKCNACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLFCKHHHIQLIKEKGNFSQLENDSEKTSQSAGSVDDEESDY* >Brasy5G087200.3.p pacid=40072983 transcript=Brasy5G087200.3 locus=Brasy5G087200 ID=Brasy5G087200.3.v1.1 annot-version=v1.1 MATSFQGTTTKCNACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLFCKHHHIQLIKEKGNFSQLENDSEKTSQSAGSVDDEESDY* >Brasy5G188200.1.p pacid=40072984 transcript=Brasy5G188200.1 locus=Brasy5G188200 ID=Brasy5G188200.1.v1.1 annot-version=v1.1 MTGLAADVLVDILLRLPPSCRRRSRLVCRHWRHIVDTRTAEMQSRPKVLVDTSDAMPRRAMEQAGQIIVANPVTGDALDVPLPASSTVDRDINGAADLHLEYSFAYHPVTGRYKVVQAPCWSDHWNGKFLAVQVYTLGSHDASTWRSIVIPAPAARCDASAGIVSVNGVTYWITYVKKLTSLELNDESITTLGSPLDTLSSRADSWLLTEVHGKVAIVIGRFSRASEQTELSRARLAHPYFVHGEHILMEKLGVKPGYVLYGHNPNRTTEARNKARRPGAVDVGEVDSQSCYYADLAAGDHRTFAYVETTEPLSFHILA* >Brasy5G207500.1.p pacid=40072985 transcript=Brasy5G207500.1 locus=Brasy5G207500 ID=Brasy5G207500.1.v1.1 annot-version=v1.1 MSLPHTTHACKKRRLHQRVLRISLLFLAFLFHQCVICCFWMCDAGQRSLHRIRTCVQFCSA* >Brasy5G340700.1.p pacid=40072986 transcript=Brasy5G340700.1 locus=Brasy5G340700 ID=Brasy5G340700.1.v1.1 annot-version=v1.1 MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWMVGKRIVGHLTLRLQQLDVRCETKTKDNVFVTVVASIQYRPLAGKESDAFYKLTNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGMGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVRDIATQIRDGLLQGQSAAHQ* >Brasy5G340700.2.p pacid=40072987 transcript=Brasy5G340700.2 locus=Brasy5G340700 ID=Brasy5G340700.2.v1.1 annot-version=v1.1 MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWMVGKRIVGHLTLRLQQLDVRCETKTKDNVFVTVVASIQYRPLAGKESDAFYKLTNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGMGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVRDIATQIRDGLLQGQSAAHQ* >Brasy5G445600.1.p pacid=40072988 transcript=Brasy5G445600.1 locus=Brasy5G445600 ID=Brasy5G445600.1.v1.1 annot-version=v1.1 MDSYTVVVDVVSANGLSGSRDSLNLCVELRFAGETATTSVKNKDCRPVWNETFRFSALDKEKVSYGTLEAYVYNIVTAGRKSLVGRVRISGSMVPDSSDDVAAGPYPLRGGIFPRSKGTLHLKVVLEKDGAIATLDPLITVVPSRLFTREVQESATARVDAAVKEITPAFEHGMIVERMPHVFVRVVKARHLPGADARGRIDRYVEVKVGDHRCSTQYMDMEQNTDWNTTFAFSKLEMDRNQLTMVYVIVKNMDSARDDCVGMVWFDASTIPRRTLQSHEPLLPEWYPLCDESGVTTEGELMLAVWTGSQADEAFPDAYKTDSHIGAHVYHLPRMWYLRIRIIEFKCVAVAGGAKVVDLDVAIAHGIQLQTTKKVKKPLGSHFWDEEFMLVSAEPFEDGVQITVRAHVIGPPERQIIMGEVTIPLETFQRRVDGRSIKSQWFDLQMPREAQAVDGGRARDDETAASSCQICITSCLEGGYFVPCDSTHFVDDYRPSAMEIMDPPIVGKLEIGILRAQGLYPRKRTNGAATLYPYCVAKYGRKWMRTRTINNSCNPAFNEQYRWDVYDTSAVLTIGVFDNAQLQGYSSEEDKSVKIGKVRIRLSHLQPGRTYAHSYPLLVLRPSGVKKMGELHLAIRFSSESIVKRVRMYSTPRLPEMHYRHPISVMRINYLRHHALMIVAAQFGRMDPPLWKEAVEYMCDASGNLWSIRRSKANFFRIMDALSFFITFIGWFHGVCLWKNPATTLLVHAIFAMLVLYPQLILPAVLIYVFFITVRNYRHRPTHPPHVDTKLSYSEGAHPDELDEEFDTFPTSRSGMLVMMRYDRLRSVAGRIQTVIGDVATQIERIQALASWRDTTATAIFALFTLVAAIVICFTPWRVLVAIAGLYTMRPPMLRWDTPTPSLLVNFLRRLPDKTDSLH* >Brasy5G445600.2.p pacid=40072989 transcript=Brasy5G445600.2 locus=Brasy5G445600 ID=Brasy5G445600.2.v1.1 annot-version=v1.1 MDSYTVVVDVVSANGLSGSRDSLNLCVELRFAGETATTSVKNKDCRPVWNETFRFSALDKEKVSYGTLEAYVYNIVTAGRKSLVGRVRISGSMVPDSSDDVAAGPYPLRGGIFPRSKGTLHLKVVLEKDGAIATLDPLITVVPSRLFTREVQESATARVDAAVKEITPAFEHGMIVERMPHVFVRVVKARHLPGADARGRIDRYVEVKVGDHRCSTQYMDMEQNTDWNTTFAFSKLEMDRNQLTMVYVIVKNMDSARDDCVGMVWFDASTIPRRTLQSHEPLLPEWYPLCDESGVTTEGELMLAVWTGSQADEAFPDAYKTDSHIGAHVYHLPRMWYLRIRIIEFKCVAVAGGAKVVDLDVAIAHGIQLQTTKKVKKPLGSHFWDEEFMLVSAEPFEDGVQITVRAHVIGPPERQIIMGEVTIPLETFQRRVDGRSIKSQWFDLQMPREAQAVDGGRARDDETAASSCQICITSCLEGGYFVPCDSTHFVDDYRPSAMEIMDPPIVGKLEIGILRAQGLYPRKRTNGAATLYPYCVAKYGRKWMRTRTINNSCNPAFNEQYRWDVYDTSAVLTIGVFDNAQLQGYSSEEDKSVKIGKVRIRLSHLQPGRTYAHSYPLLVLRPSGVKKMGELHLAIRFSSESIVKRVRMYSTPRLPEMHYRHPISVMRINYLRHHALMIVAAQFGRMDPPLWKEAVEYMCDASGNLWSIRRSKANFFRIMDALSFFITFIGWFHGVCLWKNPATTLLVHAIFAMLVLYPQLILPAVLIYVFFITVRNYRHRPTHPPHVDTKLSYSEGAHPDELDEEFDTFPTSRSGMLVMMRYDRLRSVAGRIQTVIGDVATQIERIQALASWRDTTATAIFALFTLVAAIVICFTPWRVLVAIAGLYTMRPPMLRWDTPTPSLLVNFLRRLPDKTDSLH* >Brasy5G462800.1.p pacid=40072990 transcript=Brasy5G462800.1 locus=Brasy5G462800 ID=Brasy5G462800.1.v1.1 annot-version=v1.1 MAYGGGSRQQRSCCGGLCSFIIAAGFVILIYWAIFQPRHIRATVASATLTNLTVSGAGAATVSYSLSLALDLYNPSLRVAIYYDDFPRADLRSSSGVFLGPAAAVSPSEFLQSKRSTDSVKLEFDGTKGVGVPGDVAGEMGKEAAAGALRFEVAVDARVRYKFASIKIRQKPRIWCVVSVPVKPEARGAGFEGAIVSGDRCSVKY* >Brasy5G204800.1.p pacid=40072991 transcript=Brasy5G204800.1 locus=Brasy5G204800 ID=Brasy5G204800.1.v1.1 annot-version=v1.1 MARTTTRRVLVVSLPAPSAHQNPRPRSAADHGDGGPAESVGRRTRSRRQDAPAAIAVLHQDVLIWEILARLPPAALLRCRAVCRAWRGITSDPAFLLAHHRRQPSLPLFVLRESSTDAADPERGGRRVLGLDRGDHGYIGSFTLHASCDGLLLISNRGRFSICNPVTRQHARVPGLAGCANVDALYLHRPSGEHRVLYWYHKNETNKGHSVCYVLAVPRARKPRHVVVPAACRDTADYCPIPRPSVMLGGCLHWIPSRRPLAGTVAVFDVF* >Brasy5G064800.1.p pacid=40072992 transcript=Brasy5G064800.1 locus=Brasy5G064800 ID=Brasy5G064800.1.v1.1 annot-version=v1.1 MGAARPPPSRSRGGGSVPSLTPAAPEVRRRSSTSYELLSALISPAEQSAAAISSSPTPIYSRATSSRARQGVLLSGLLSPDPGPSAPGGREPCRDGSLWRQRTRRRVLPRPPPSFWLTQEPWFWQRMSEIC* >Brasy5G500800.1.p pacid=40072993 transcript=Brasy5G500800.1 locus=Brasy5G500800 ID=Brasy5G500800.1.v1.1 annot-version=v1.1 MTCPSSAATAWSCCCWSTTTPRHLLSILLLATMASPDAEEWAAVERRGAHLVTATAAGAEEVPFIIHGFNTYWLMSFAADEATRPRVTAAIAEAAGAGLNVCRTWAFADGGYRALQTAPFCYDEEVFQALDFVVSEARKHKMRLILSLCNNWEDYGGKAQYVRWGNEAAGLDLASDDEFFSDPTIKSYYKAFVEAVLTRINTITNVAYKDDPTILAWELINEPRCSSDPSGDTLQTWIEEMASYVKSIDPVHLLEIGVEGFYGPSTPKLLGINPDDYSGHAGTDFIRNHQALGIDLASVHIYSDTWLPDSKEENHLEFVNSWMQQHIDDAANLLAMPIMIGEFGLSLKDGKFDSGFRETFMETVYNNFLSSWEGGVIGGGCLLWQLFPEGAEHMDDGYAVFIAKSPSTLNVLENHSRRLDS* >Brasy5G290000.1.p pacid=40072994 transcript=Brasy5G290000.1 locus=Brasy5G290000 ID=Brasy5G290000.1.v1.1 annot-version=v1.1 MTSSSSSSPPPPFGAQNPSAPPGSGPGAAALQAPFSNMQISRASAPPPGAPRGLTPQAAPPAAQVGNGPPSAYSARPSVIRGPATGPPAARPFPGSPPAPSQPSFVRPPTTSAGAPFGGPPAAAFSQPPPFGGPPGAVSQQPLPFGGPMSRPGPPFGGPPAAVSQAPPPFGGLPGAVSQPPPFGGSSTAWSQAAPPPFGVQRPAFSGQPITTGVAPSQANPSLGAPQQQAPSFSGPPRFGGPPPGMQPPFAAQSSSMSQQGPFTGPSRANVPAFGPPSRQSQGAFYGAMQPPMPTIPGGMPPSMPGQGLPPPTTPTFPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETCQGGQASIPPAASSEFIVKDTGNCSPRLMRCSVNQIPCTSDLLTSSGMPLCLMVQPFSLPHPSEEPIQLVDFGEMGPVRCSRCKAYINAFMRFIDQGRHFICNLCGFSNDTPRGYLCNLGPDGRRRDADDRPELCKGTVEFVATKEFLVRDPMPALYFFLIDVSMNAVQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAVHFYSLKRAQQQPLMLIVPDVQDVYTPLQMDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKAAFLAMKSTGGKLLVFQSELPSVGVGSLSGREAEGRANVVSIGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSEKTVMVTFKHDDKLQENSECGFQCALLYTTVFGQRRIRVMNLSLQCTSMLSNLFRYADSETQFACFLKQAANSIPTVPLPHLREEVTNRCINILQSYRKNCASVSSSGQLILPEALKLLPLYTSALIKSIGLRNEGRVDDRSYWISVISPISVLLAVPFVFPRLISLHNLTSRGDDESLIPSPLMLNSDNVHEDGLYLLENGEDGLIYVGDAVDPAMLEQIFGVTSLTALPSQLVFEQFDNEPSRKVNEVLNEIRRQRCSYLRLRLCRRGEPSGNFFRSFLVEDKAPGGLSYVEFLVHVHRQIQSKMT* >Brasy5G290000.2.p pacid=40072995 transcript=Brasy5G290000.2 locus=Brasy5G290000 ID=Brasy5G290000.2.v1.1 annot-version=v1.1 MTSSSSSSPPPPFGAQNPSAPPGSGPGAAALQAPFSNMQISRASAPPPGAPRGLTPQAAPPAAQVGNGPPSAYSARPSVIRGPATGPPAARPFPGSPPAPSQPSFVRPPTTSAGAPFGGPPAAAFSQPPPFGGPPGAVSQQPLPFGGPMSRPGPPFGGPPAAVSQAPPPFGGLPGAVSQPPPFGGSSTAWSQAAPPPFGVQRPAFSGQPITTGVAPSQANPSLGAPQQQAPSFSGPPRFGGPPPGMQPPFAAQSSSMSQQGPFTGPSRANVPAFGPPSRQSQGAFYGAMQPPMPTIPGGMPPSMPGQGLPPPTTPTFPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETCQGGQASIPPAASSEFIVKDTGNCSPRLMRCSVNQIPCTSDLLTSSGMPLCLMVQPFSLPHPSEEPIQLVDFGEMGPVRCSRCKAYINAFMRFIDQGRHFICNLCGFSNDTPRGYLCNLGPDGRRRDADDRPELCKGTVEFVATKEFLVRDPMPALYFFLIDVSMNAVQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAVHFYSLKRAQQQPLMLIVPDVQDVYTPLQMDLILPISEAAFLAMKSTGGKLLVFQSELPSVGVGSLSGREAEGRANVVSIGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSEKTVMVTFKHDDKLQENSECGFQCALLYTTVFGQRRIRVMNLSLQCTSMLSNLFRYADSETQFACFLKQAANSIPTVPLPHLREEVTNRCINILQSYRKNCASVSSSGQLILPEALKLLPLYTSALIKSIGLRNEGRVDDRSYWISVISPISVLLAVPFVFPRLISLHNLTSRGDDESLIPSPLMLNSDNVHEDGLYLLENGEDGLIYVGDAVDPAMLEQIFGVTSLTALPSQLVFEQFDNEPSRKVNEVLNEIRRQRCSYLRLRLCRRGEPSGNFFRSFLVEDKAPGGLSYVEFLVHVHRQIQSKMT* >Brasy5G433200.1.p pacid=40072996 transcript=Brasy5G433200.1 locus=Brasy5G433200 ID=Brasy5G433200.1.v1.1 annot-version=v1.1 MSGFLAVAGKIGTFLLWVLFLVLQTATKVVGSLLAAPPAEEPQHEQHQEEAAARRRSPPASAPPRADPYEPQPQLWDPPPSPYSAPVTDEYSSASSAYRRRASAPPAAEDLVVSSSAYSRSAVAAPPRAPVHSVSAPPLLRANKKPPAPARAGAAVAGKRPKLERMYSKIVDQYRSLDEVKEALAQAGLESSNLIIGIDFTKSNEWTGKRSFDGMSLHHIGEAPNPYEQAISIIGETLSSFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVNTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMEEFDDNIPARSFDNFQFVNFAGIMSKKMSQGKKETEFALSALMEIPLQYKATLQLGILGHQIAKSPERVPLPPPFASYNTISRAAPSRANSFQSVPSHPREDATIDSTITASVTSPPAADTRASELQLCPVCLSKPRDMAFGCGHQTCSECGPQVADCPICRRPIDTRVKLY* >Brasy5G168600.1.p pacid=40072997 transcript=Brasy5G168600.1 locus=Brasy5G168600 ID=Brasy5G168600.1.v1.1 annot-version=v1.1 MHKEKNIDDQKELHFVFFRKPTRCLPSKNGSTVGAVELETTLLKRQKSRVFLINFWHRF* >Brasy5G402400.1.p pacid=40072998 transcript=Brasy5G402400.1 locus=Brasy5G402400 ID=Brasy5G402400.1.v1.1 annot-version=v1.1 MSHPPPPPVQFKFCKKIPQTSRSFEIWNSILKFKTKSSRRAALRLRPAVVAPPEARGAPAGLLPELAGADIHRAALRRRPAVLAPPEASGAPTAVGKRSSRRQSSRTRRVSGRLAALPPTAAPLPPQLPPPLLPRRLSPLRRGRRSPKTWTRTRSSPSSEDEIEVVAFLCSPNFTPCRGMCTNLHNTYKAEFPPILQEANAQILQEANAQIPREVAPFDLNEIAQEAAQISQEETGAQIAQEAAQEEAGAQIAQEAAQEEVAPFDLNEIAPEAAQEAAAQEEAAQEMDAQEEAAQEMDAQEEAAQVDAQAHPKAELTNEQRHAVYFALDVIRTRDGGIQATDKQLIATMLDTSVRTVERIWATALEQIARGEEVDVSNKKKDKKAFFCDTPKRSLQEMGNDEEEGPPVAEQPTAVLPPAMEDKGGSHGGGGTTRRPLSPHSSPCPPDRIQGGGATEPPQSSTADPTSRRSGAARASGGGAAHHSGAARATGDARRSRTTGDDVAKRSGAAERCGMGGG* >Brasy5G075700.1.p pacid=40072999 transcript=Brasy5G075700.1 locus=Brasy5G075700 ID=Brasy5G075700.1.v1.1 annot-version=v1.1 MGSLAGLYGGGRGSAGAAKMSGGSGPWRAPAPVRQLYWRVRKAMLPRPRRDDARFGYDLQSYSRNFDDGQQLVFA* >Brasy5G048900.1.p pacid=40073000 transcript=Brasy5G048900.1 locus=Brasy5G048900 ID=Brasy5G048900.1.v1.1 annot-version=v1.1 MSPAPPAAPRAATPAAAATSPHPNPPNQQIHKPTPSPTWSTPARLSWADACEEEEEGEFVPDSLPPLGWPKPQIQSVLVRPEAAVDRIYLVRRPHWWRHEAQGAARLAPTAKEKERRTALLGRMRGRCFRCLSPNHKASQCRDFQGRPAEDQSIMEITQEMVVAEHSFNTHAVLINIGGDRPRTEPRHVTEALCREFGITVDEVRVSAHYPEDFFVYFTEPAVKEAAVVMEQFHHHGREFLILPWCAGRHSDWVSMPYHVRLCVENVPLHAWTKETAARIVGRRAKVHFVQDRSIRREDTTTFNFWVWTANPAAIPRRHSFWLMENKDAINPAAPLFMPVVVHDMPAAGLEGRRITLLIHIDVVENLTALTPAREGGSISSRGRRVIQGYTWIHGEEDTGRRDDGRRPALPTRHCKDGRRGGRRDEDRDDDKDGSAPYPQWRMVPADASSRYGGRRAGSFGPLRVRCRRGSVTRPSPAASRAATPQGFIDTICMVMPPPAPTATLELQVTPAPATTTATTDQPRVHIRRSAKLACRPLASLTTEQAAQELVARRLGSLPPSAPFDAAAKEAYLALFRTPLSEQAIAAIEDLVKEAKKAKKRPRVLDAGRRGCGVAVV* >Brasy5G105200.1.p pacid=40073001 transcript=Brasy5G105200.1 locus=Brasy5G105200 ID=Brasy5G105200.1.v1.1 annot-version=v1.1 MEEHQHWPVAHGGAAAAGRDAAGSVGHDPARHRIRPPSALQRPDPASPTANRAPQPTVPAETDFPAADIVGVRLGEQFLWPATTIAVRSGLLLFL* >Brasy5G116800.1.p pacid=40073002 transcript=Brasy5G116800.1 locus=Brasy5G116800 ID=Brasy5G116800.1.v1.1 annot-version=v1.1 MAGGPSHAKLLLTTVLLHALMDSTAPACSVDAIYSFGDSIADTGNLLREGPVGFFSSIGSYPYGQTYRKPTGRCSDGLLIIDYLAMALKLPLINPYLDSGADFSGGVNFAVAGATALDRTVLVQNAIVMTPGNMPLSSQLDWFKSHLNATCTSQEDCAKRLAGALFLVGEIGGNDYNYAFFQKRSIEAVKAYVPQVVQSIMNVAKELIELGATQIMIPGNFPIGCSPSYLSLFSVAGSGSTDHDERGCLVSYNSFAAYHNEQLQSAIDGLRKLNPDVSVVYADYYGAFLHLLDHASVLAYRIRSVTGFDEGSLLKACCGAGGGEHNFDMELMCGGLGPARAPTRQGT* >Brasy5G116800.2.p pacid=40073003 transcript=Brasy5G116800.2 locus=Brasy5G116800 ID=Brasy5G116800.2.v1.1 annot-version=v1.1 MAGGPSHAKLLLTTVLLHALMDSTAPACSVDAIYSFGDSIADTGNLLREGPVGFFSSIGSYPYGQTYRKPTGRCSDGLLIIDYLAMALKLPLINPYLDSGADFSGGVNFAVAGATALDRTVLVQNAIVMTPGNMPLSSQLDWFKSHLNATCTSQEDCAKRLAGALFLVGEIGGNDYNYAFFQKRSIEAVKAYVPQVVQSIMNVAKELIELGATQIMIPGNFPIGCSPSYLSLFSVAGSGSTDHDERGCLVSYNSFAAYHNEQLQSAIDGLRKLNPDVSVVYADYYGAFLHLLDHASVLGFDEGSLLKACCGAGGGEHNFDMELMCGGLGPARAPTRQGT* >Brasy5G279300.1.p pacid=40073004 transcript=Brasy5G279300.1 locus=Brasy5G279300 ID=Brasy5G279300.1.v1.1 annot-version=v1.1 MLGWHSSFLSFFPIDLAHRLFSHRPGEGAVQPPGTACRRCHCIDAPTRKHVVVAFCYAPEPSLLRARLPCLESLVLKGKRRSAPVMDYPTVRLVRAPAAAGAAAAALRARKGGPGGDVRLP* >Brasy5G135200.1.p pacid=40073005 transcript=Brasy5G135200.1 locus=Brasy5G135200 ID=Brasy5G135200.1.v1.1 annot-version=v1.1 MFGFCFRATGAAFAHLPSVALHTAQGDSIVLGPRKLFMQVSQDMCLAVVPSQHITIIGAMQQVDTRFVYDLAGSKLYFANENCEADTAPHD* >Brasy5G338900.1.p pacid=40073006 transcript=Brasy5G338900.1 locus=Brasy5G338900 ID=Brasy5G338900.1.v1.1 annot-version=v1.1 MTSSAFGDFGPLTERRRAEKARQQQKRLMIAGGIVIIIILIVCGVAFVYNGKSGKDKDGKGSSSKKGSSSSKGKSGSSSSSSSGDDEESSAAPMDLKAVSKTITILCKQTDFQATCQESLSKAANASTTSPKDVVRTAVQVIRDAISQAFDRADLIMSNDPRVKAAVADCKEFFEYAKDELNRTLSGMDAKDSLTRQGYQLRVWLSAVIAHQETCIDGFPDGEFRTKVKDSFVKGKELTSNALALIEQASTFLAGIKIPEKRRLLAEEGEPVLGDDGVPEWVPESERRVLKGGGFKNTMTPNVIVAKDGSGKFKTINEALAAMPKTYAGRYVIYVKEGVYEEYVVITRQMANVTVYGDGAKKSIVTGKKNFVDGLTTFKTATFAALGDGFMAIGMAFQNTAGPEKHQAVALLVQSDKSIFLNCRMDAFQDTLYAHSQTQFYRNCIITGTVDFIFGDAAAVFQNCIITFRRPMDNQQNIATAQGRADGREATGFVLQKCELNAEAPLTAPGRPPIRNYLGRPWREFSRTIIMESEIPALIDKAGYMPWAGDFGLKTLFYAEYANKGPGADTAGRVNWPGFKKALSKEEATKFTLENFLHAQPWIDPTGTPVKYDFFG* >Brasy5G070900.1.p pacid=40073007 transcript=Brasy5G070900.1 locus=Brasy5G070900 ID=Brasy5G070900.1.v1.1 annot-version=v1.1 MAPPTTAAAAMAAVRCHPQILRRGLHPASSKLPFASRVTSTPLRVPPLRFFLSPVPKTISSSSSSHIPVRSLFTGIVEEVGRVRRIGPPSTPSGGGGGGGDAPGVDLEVETRSLLAGTQLGDSVAVDGTCLTVAAIDAAASTLTFGVAPETLRCTSLGDRVTGDDVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPEGDSVWVTVRAPPEILRLLVPKGFVAVDGTSLTVVNVDEEAGWFDFMLVRYTQDNVVLPKKKVGDKVNLEADILGKYVEKLLAGRLQATPKADS* >Brasy5G039500.1.p pacid=40073008 transcript=Brasy5G039500.1 locus=Brasy5G039500 ID=Brasy5G039500.1.v1.1 annot-version=v1.1 MASSSLFIVALVLTVATVDAATFTIFNKCSYTVWPAAIPVGGGTRLDPGQKTVLTAPAGTGGARIWARTGCKFDGGGRGSCVTGDCGGVLVCTAGGATPATLAEYTLGTGGKSDFYDISLVDGFNVPMSFGPVGGSCHAISCAADINAKCPAALKVDGGCVSACTKFNTEQYCCRTSGPNVCQPTDYSRFFKGLCPDAYSYAYDDKSSTFTCAAGTNYQITFCP* >Brasy5G278100.1.p pacid=40073009 transcript=Brasy5G278100.1 locus=Brasy5G278100 ID=Brasy5G278100.1.v1.1 annot-version=v1.1 MMACRLRFHQLRLIGVRPACCSPSREFAAVKSQKIQLPKKKRRLDEVCLERFQQYSRTYIQSWILQGKVHVNGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGVDCDGKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHEHVSVIERTNLRHLSELPQLVDLVTLDLSFISILVVMPAVVKVMKTESTLITLIKPQFEARRSQVGSGGIVRDPLVHKEVVDRIISGIEQFGFRNEGWIESPLKGAEGNIEFLACFHRIPVPEPQEEAEAQVAQAQAQET* >Brasy5G483800.1.p pacid=40073010 transcript=Brasy5G483800.1 locus=Brasy5G483800 ID=Brasy5G483800.1.v1.1 annot-version=v1.1 MGGGEEGGAAEAPLLPPAEWEGCPGCAMERRKESCGGRIPYTELFFVGLTTLASSLPITCLFPFMYFMVRDFHIAKTEEDIGSYAGFLASSYMVGRACSAIFWGIVADRIGRKPVIAFSILSVVIFNTLFGLCTEYWMAIATRLVLGSLNGLLAPIKAYAIEVCQAEHQALGLSVVNTAWGFGLVIGPALGGYLAQPAEKYPQTFSKDSVFGRFPYLLPSLGVSLFAAIVLISCIWLPETIHKHGIREKGIQIVKTLASEEAYWDSPRKKSLLQNWPWMSTMAPYCLFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGEVLAVAGASLLVYQLFIYRWVHKFLGPVISARVGSALSLLIVSTYPFMTYLSGAKLSLALYSAAMMRGIVAITASTGVCLLQNSAVRQEQRGTANGVSTTAMSFFKAIAPVGAGILFSWAQKHQDSAFFPGDQVVFLALNLVQILGLIFTFEPFLVLPTVEECS* >Brasy5G483800.3.p pacid=40073011 transcript=Brasy5G483800.3 locus=Brasy5G483800 ID=Brasy5G483800.3.v1.1 annot-version=v1.1 MMTLLTCQWDMDSSTTSVSEFGPNFSGVWFDLLPTCLDFQQNPVRDFHIAKTEEDIGSYAGFLASSYMVGRACSAIFWGIVADRIGRKPVIAFSILSVVIFNTLFGLCTEYWMAIATRLVLGSLNGLLAPIKAYAIEVCQAEHQALGLSVVNTAWGFGLVIGPALGGYLAQPAEKYPQTFSKDSVFGRFPYLLPSLGVSLFAAIVLISCIWLPETIHKHGIREKGIQIVKTLASEEAYWDSPRKKSLLQNWPWMSTMAPYCLFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGEVLAVAGASLLVYQLFIYRWVHKFLGPVISARVGSALSLLIVSTYPFMTYLSGAKLSLALYSAAMMRGIVAITASTGVCLLQNSAVRQEQRGTANGVSTTAMSFFKAIAPVGAGILFSWAQKHQDSAFFPGDQVVFLALNLVQILGLIFTFEPFLVLPTVEECS* >Brasy5G483800.4.p pacid=40073012 transcript=Brasy5G483800.4 locus=Brasy5G483800 ID=Brasy5G483800.4.v1.1 annot-version=v1.1 MYFMVRDFHIAKTEEDIGSYAGFLASSYMVGRACSAIFWGIVADRIGRKPVIAFSILSVVIFNTLFGLCTEYWMAIATRLVLGSLNGLLAPIKAYAIEVCQAEHQALGLSVVNTAWGFGLVIGPALGGYLAQPAEKYPQTFSKDSVFGRFPYLLPSLGVSLFAAIVLISCIWLPETIHKHGIREKGIQIVKTLASEEAYWDSPRKKSLLQNWPWMSTMAPYCLFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGEVLAVAGASLLVYQLFIYRWVHKFLGPVISARVGSALSLLIVSTYPFMTYLSGAKLSLALYSAAMMRGIVAITASTGVCLLQNSAVRQEQRGTANGVSTTAMSFFKAIAPVGAGILFSWAQKHQDSAFFPGDQVVFLALNLVQILGLIFTFEPFLVLPTVEECS* >Brasy5G483800.2.p pacid=40073013 transcript=Brasy5G483800.2 locus=Brasy5G483800 ID=Brasy5G483800.2.v1.1 annot-version=v1.1 MVGRACSAIFWGIVADRIGRKPVIAFSILSVVIFNTLFGLCTEYWMAIATRLVLGSLNGLLAPIKAYAIEVCQAEHQALGLSVVNTAWGFGLVIGPALGGYLAQPAEKYPQTFSKDSVFGRFPYLLPSLGVSLFAAIVLISCIWLPETIHKHGIREKGIQIVKTLASEEAYWDSPRKKSLLQNWPWMSTMAPYCLFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGEVLAVAGASLLVYQLFIYRWVHKFLGPVISARVGSALSLLIVSTYPFMTYLSGAKLSLALYSAAMMRGIVAITASTGVCLLQNSAVRQEQRGTANGVSTTAMSFFKAIAPVGAGILFSWAQKHQDSAFFPGDQVVFLALNLVQILGLIFTFEPFLVLPTVEECS* >Brasy5G240200.1.p pacid=40073014 transcript=Brasy5G240200.1 locus=Brasy5G240200 ID=Brasy5G240200.1.v1.1 annot-version=v1.1 MGSTGEPDRKRRLSGSFPQQGVAAQVAAKRPALPPCSDDKKLDFAVLKYKNQKLSEQLEVHKSGYRALEGKFDDLKQRQKAHHETHDLVNKSWESLVTDLKSISLCKSGSQNFSCSSGHSNVSTDGACIPKDKDFLSRLVETGATESSGCHMENNAHSATTDVLQNVFLSIDSWDANNKFQPAFVAALPENDSSRELQSTLNELVLEFNDAIQALSDLHLKHRKLTEKYQNQKYLSVQRKAEQIRLKEELASAVAELEESNHKLAVLKTQGDTTHGTPTFFPTLGNKNMAEDNVRDKQKELQDLEACHKDFTDLVSQRLVEIRRLHEERIEILNKLATFQNTLTDCKSIYSSQAFQVLKVQLQKSQAELDHCRTLLETLQVDKDKLIWQERQVNAKVDLAGISHRVYVNCESSIAFLEQNLRKVVDEKNMLLLKLEESSREPGRNQIISEFKALVSSLPREMGAMQNELCKYKDDASELHCLRAEVHSLSDVLTNKENAINELLCRSARAGSEIRDLRSTVCELRQTNCELKLFLEMFKRESTDSRDVLEFKDREYREWAHVRSLESSLDESRLEQRVKAAIEAEATSQQRLASCEAEIAELRGKMDSSRRDFGNLSELLKSKHEEGESYLSEIESIGQAYEDIQTQNQQYLQQIIERDDHNTKIFMEGVKVKQTQDTLHLEVCSLNRNLRQAKSLIDLYKEKISQLDDKLKVWSEQAARLSEDERRHSVSSGNAQRKLVDVQGEAQQLRCSMDQVQAKVGSSRLEVAGLLIELEKDRFSKRRIEDDLELMSRKASSLRAKTEESSVLEKLHQEAKEYREILKCGVCHDRQKEVVITKCYHLFCNECIQKLLRNRQRRCPSCGLSFGANDVKPIYI* >Brasy5G035800.1.p pacid=40073015 transcript=Brasy5G035800.1 locus=Brasy5G035800 ID=Brasy5G035800.1.v1.1 annot-version=v1.1 MRPQRQTPRQKNPTFLLRSPPRRATASSNSRSVAPRRGVHWPPPLSLLPLSPRQLLIRRYIAASRLSKPYSFSVASAAAPDTPCGGAMDDGKRSGKRRHAPVDRPSRPRTEDKKKPRRRNSQSQSQAPPSSEVSPPPTAPSEEEHGGVSAEVEAMVLKQLRRFRATDAIKTKEIAELMKDINGERTLTVESSFGDTDDGSLESLEARKVALLVSQSIVSLSSFAGGKRIRVCSGFVMCWNCSPHTSMILTSATLVRSLNGDNNVISGLIVKVLLPDGNITDGYIILVDFHYNIAAVEVAANLKHLEIAHPKNITGRTGVLALGRAYEGCNLMCSRGQVINKTSIFGCSELLVSSCEISMAGSGGPLVNYDGQVVGINFYEENQTSFIPLEIVSRILEHHQNFSKIIHPWLGLRYTSLQTVPLEVLEPIYQKFPDVEKGLYISNVVEGSPADLAGLCVGDVIVKCGGEDLSSAPEFGAMLLNACKEHVKTNGGSFGDYAEENMTVEIMIKQQRDGSTVSKSITADVLKELHYNRWPAPMPSYKIRLINVTRYR* >Brasy5G425400.1.p pacid=40073016 transcript=Brasy5G425400.1 locus=Brasy5G425400 ID=Brasy5G425400.1.v1.1 annot-version=v1.1 MSASSSLPPHQIASVRSSAPNMEAAEDAAAAAPDSWETADLDGAMSRLLLSARRVNSSPDLADDDDPAANAPVQTQPPARGDDLVAQVDQFLREALEKPRERLSVLRMEQDILKFIHDPRQTQFEFQGLPTSYLRLAAHRLAQHYFLQSIALPDNSLPDGTSSRIILRKTSPECRLPAIRLADIPVNLPQEEGSSVAKVAIKQRPQKNYHGSAGAGANSSRGNLQKSVEERKEEYNKARARIFNNSSGSGNVADGRPADEVILPDTLHRSTSLELNPNTRLGEVSEATLERSLTSTSSSSRSNRSRIEKEPPVNRNRQGNRVAIFRDRDSDRKDPDYDRSYDRYMQRFDPGFGFNGGSYSIQPLYAPAVTYNTEFPQLGSAHMSPVPVEQQPHPIAQHIPGPWSPAQSPNGIGYRPPDGVIPPYSPGHAGAPVRSSVFMHTSQQYAMPSRPGVTFVHPQDSMRPFAQTHQQQPEASLRLARPR* >Brasy5G377500.1.p pacid=40073017 transcript=Brasy5G377500.1 locus=Brasy5G377500 ID=Brasy5G377500.1.v1.1 annot-version=v1.1 MASSRAPPVTLMTLLVATVVSTLVGGAEASPFLPNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKANSTLSEMISLEAERMTDQHGVYKLDVPPVEGFECREGHELRSSCRATLLRSSSSACAVPGLRGSSQHIALRGRPRNGNGNGASSCFLNLNALNFRPAKRDAALCRGSGNGGFGEPLFFWPLMPLLWPPFQGLPFPSPAGGTVSFPWPFPVPEWLAPFLRPPFLPFPLYQPASAPPPPLFPPSQAAASGGP* >Brasy5G000800.1.p pacid=40073018 transcript=Brasy5G000800.1 locus=Brasy5G000800 ID=Brasy5G000800.1.v1.1 annot-version=v1.1 MSDGSNNSVHSKWELTLTYSLNRPEHTGRILGEGEGAPWKNFFGKDDSKCRKRKRPEQLEQRKLSLCYSMAYTKAAWTLMRCCKSPGRKAFMVFCFKVSPRAIGVRHVRRGAVALLPGLPLPQFQSLIRVQATTSR* >Brasy5G491000.1.p pacid=40073019 transcript=Brasy5G491000.1 locus=Brasy5G491000 ID=Brasy5G491000.1.v1.1 annot-version=v1.1 MEEGKKQRMRQGHPLLRGGGGVSRSSYTHGFSATQMLALTAACGALVPSLPPDDAGSKAVREFFLASAAEPPVPDEVAQLMSAMCLREALALIRTLLWLLGTRLGTLALCGSRCLSWQAPFVRRFAEMPVERREDALRRWSRETVLPPLRLFFLLLKVFCLYVFYSRIDENSENPHWGAIGYSLPTDEAPAAEEQPTTKRPLDDGVVETIHHTDASLPACLAEKGLTVTDDAARNLFRVECDVAIVGVRGGVAAAVLAAAGHKVVVIEKGSYFTSKDYTSIEGPSMRQLYESGGFVSTLSGSGLILAGSTVGGGSAVNWSACIKTPESVRGEWAGTHGGLPLFASPEYTAAMDAVFRRLGVTSGCEEEGLQNKVLRKGCEKLGYKVEPVSRNSSEGHFCGSCGYGCRTGDKRGTDTTWLVDAVRHGAVILTGCKAEKLLFSDLAGMTMGDKKKKKRCVGVVVATSSDKGITRKIEVRAKVTVSACGSLLTPVLLRDSGLANPHIGKNLHLHPIAMAWGYFPEDTTIPDLKGKMYEGGIITSIHKVEGGDGVPPRAILETPLMGVAAAGTQFPWVSGRDMKERMLRYGRSVHIFSLVRDRGSGTVHGERRIAYHMDPADRENQREGLRRALRVLVAAGATEIGTHRSDGQRMKCKGATEEEVEEFIDGVTVVRGPQSKSENWSLCCTAHQMGSCRMAATARDGAVDPRGESWEAERLYVCDASVLPSAVGVNPMITIQAVAYCLANGIAEQLKRDLPSGKN* >Brasy5G491000.2.p pacid=40073020 transcript=Brasy5G491000.2 locus=Brasy5G491000 ID=Brasy5G491000.2.v1.1 annot-version=v1.1 MPRREGAHGDRRRGPESVPGGVRRRHRRVRVRGGVAAAVLAAAGHKVVVIEKGSYFTSKDYTSIEGPSMRQLYESGGFVSTLSGSGLILAGSTVGGGSAVNWSACIKTPESVRGEWAGTHGGLPLFASPEYTAAMDAVFRRLGVTSGCEEEGLQNKVLRKGCEKLGYKVEPVSRNSSEGHFCGSCGYGCRTGDKRGTDTTWLVDAVRHGAVILTGCKAEKLLFSDLAGMTMGDKKKKKRCVGVVVATSSDKGITRKIEVRAKVTVSACGSLLTPVLLRDSGLANPHIGKNLHLHPIAMAWGYFPEDTTIPDLKGKMYEGGIITSIHKVEGGDGVPPRAILETPLMGVAAAGTQFPWVSGRDMKERMLRYGRSVHIFSLVRDRGSGTVHGERRIAYHMDPADRENQREGLRRALRVLVAAGATEIGTHRSDGQRMKCKGATEEEVEEFIDGVTVVRGPQSKSENWSLCCTAHQMGSCRMAATARDGAVDPRGESWEAERLYVCDASVLPSAVGVNPMITIQAVAYCLANGIAEQLKRDLPSGKN* >Brasy5G201400.1.p pacid=40073021 transcript=Brasy5G201400.1 locus=Brasy5G201400 ID=Brasy5G201400.1.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAEIIEVIAPPPPPPLPVQTPMFGEWDYAPESATFSSFGSSPRVINCSTKELEQTETLGYVAIFNEVREDRHGSSGFRCQSNANMQLLNPHKEGHYSPTCMEFWKMRIPFFPHHLACTMEAKPSPWKVCDTFWKFHRRKSAGSSSDGETTGLTLLHQIAGVALKIKVAAETVLLRNKEDCNEIGRRASRVSTLLSRLEDTEMTKDAAARAALDKILETFRRAHAAVVACQRRRIADFVCYFRFQPGKLSDELRRVLDQIVLDIDALIDIFPSIPIARNRAI* >Brasy5G201400.2.p pacid=40073022 transcript=Brasy5G201400.2 locus=Brasy5G201400 ID=Brasy5G201400.2.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAETPMFGEWDYAPESATFSSFGSSPRVINCSTKELEQTETLGYVAIFNEVREDRHGSSGFRCQSNANMQLLNPHKEGHYSPTCMEFWKMRIPFFPHHLACTMEAKPSPWKVCDTFWKFHRRKSAGSSSDGETTGLTLLHQIAGVALKIKVAAETVLLRNKEDCNEIGRRASRVSTLLSRLEDTEMTKDAAARAALDKILETFRRAHAAVVACQRRRIADFVCYFRFQPGKLSDELRRVLDQIVLDIDALIDIFPSIPIARNRAI* >Brasy5G201400.3.p pacid=40073023 transcript=Brasy5G201400.3 locus=Brasy5G201400 ID=Brasy5G201400.3.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAETFSSFGSSPRVINCSTKELEQTETLGYVAIFNEVREDRHGSSGFRCQSNANMQLLNPHKEGHYSPTCMEFWKMRIPFFPHHLACTMEAKPSPWKVCDTFWKFHRRKSAGSSSDGETTGLTLLHQIAGVALKIKVAAETVLLRNKEDCNEIGRRASRVSTLLSRLEDTEMTKDAAARAALDKILETFRRAHAAVVACQRRRIADFVCYFRFQPGKLSDELRRVLDQIVLDIDALIDIFPSIPIARNRAI* >Brasy5G201400.6.p pacid=40073024 transcript=Brasy5G201400.6 locus=Brasy5G201400 ID=Brasy5G201400.6.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAEIIEVIAPPPPPPLPVQTPMFGEWDYAPESAFHRRKSAGSSSDGETTGLTLLHQIAGVALKIKVAAETVLLRNKEDCNEIGRRASRVSTLLSRLEDTEMTKDAAARAALDKILETFRRAHAAVVACQRRRIADFVCYFRFQPGKLSDELRRVLDQIVLDIDALIDIFPSIPIARNRAI* >Brasy5G201400.4.p pacid=40073025 transcript=Brasy5G201400.4 locus=Brasy5G201400 ID=Brasy5G201400.4.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAEIIEVIAPPPPPPLPVQTPMFGEWDYAPESATFSSFGSSPRVINCSTKELEQTETLGYVAIFNEVREDRHGSSGFRCQSNANMQLLNPHKEGHYSPTCMEFWKMSYS* >Brasy5G201400.5.p pacid=40073026 transcript=Brasy5G201400.5 locus=Brasy5G201400 ID=Brasy5G201400.5.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAETPMFGEWDYAPESATFSSFGSSPRVINCSTKELEQTETLGYVAIFNEVREDRHGSSGFRCQSNANMQLLNPHKEGHYSPTCMEFWKMSYS* >Brasy5G201400.7.p pacid=40073027 transcript=Brasy5G201400.7 locus=Brasy5G201400 ID=Brasy5G201400.7.v1.1 annot-version=v1.1 MADAVGSLTKIVDIALKNRKDCHHIRSRVERVRHTLSLCESNTELMESPAVYATIEALGEVLGEALELVTGCQEETTAANAVCLCCTAGKLSKQLSKVDRAISDVNSEATFAILVYHISRQTRDGARPVLPAEIIEVIAPPPPPPLPVQTPMFGEWDYAPESAV* >Brasy5G435500.1.p pacid=40073028 transcript=Brasy5G435500.1 locus=Brasy5G435500 ID=Brasy5G435500.1.v1.1 annot-version=v1.1 MPPATLAASEDARCAVALYFTAHTWQNAGPWVAVAGLSRGCSPIRRTQAAIQKPWPRTRARNQPRAGVPRTPNLAQARRLRGLLPSSSQSPKGQTNKHPGPRRARCCHRRPPRAPSQRRGRQAKMAAPSSLAASSHLSAPSQQRVVVAAASAGKARRRCRWSGRTRRAPGVCFVASPSQPAGLAAVDLPPASTIPSAMAASVPDRTSVSSLLEVVSDDLLNLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKQTIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQQSTLFDCDVTLDDYLLKSYYKTASLIAASTRSSAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAASDLAKGNLTAPVIFALQDETQLREIIDSEFSETDSLAAAIELVHKSGGIRRAHELAREKGDLAIQNLQCLPGSEFRDALENMVRYNLQRIE* >Brasy5G435500.2.p pacid=40073029 transcript=Brasy5G435500.2 locus=Brasy5G435500 ID=Brasy5G435500.2.v1.1 annot-version=v1.1 MPPATLAASEDARCAVALYFTAHTWQNAGPWVAVAGLSRGCSPIRRTQAAIQKPWPRTRARNQPRAGVPRTPNLAQARRLRGLLPSSSQSPKGQTNKHPGPRRARCCHRRPPRAPSQRRGRQAKMAAPSSLAASSHLSAPSQQRVVVAAASAGKARRRCRWSGRTRRAPGVCFVASPSQPAGLAAVDLPPASTIPSAMAASVPDRTSVSSLLEVVSDDLLNLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKQTIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQQSTLFDCDVTLDDYLLKSYYKTASLIAASTRSSAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAASDLAKGNLTAPVIFALQDETQLREIIDSEFSETDSLAAAIELVHKSGGIRRAHELAREKGDLAIQNLQCLPGSEFRDALENMVRYNLQRIE* >Brasy5G435500.3.p pacid=40073030 transcript=Brasy5G435500.3 locus=Brasy5G435500 ID=Brasy5G435500.3.v1.1 annot-version=v1.1 MPPATLAASEDARCAVALYFTAHTWQNAGPWVAVAGLSRGCSPIRRTQAAIQKPWPRTRARNQPRAGVPRTPNLAQARRLRGLLPSSSQSPKGQTNKHPGPRRARCCHRRPPRAPSQRRGRQAKMAAPSSLAASSHLSAPSQQRVVVAAASAGKARRRCRWSGRTRRAPGVCFVASPSQPAGLAAVDLPPASTIPSAMAASVPDRTSVSSLLEVVSDDLLNLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKQTIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQQSTLFDCDVTLDDYLLKSYYKTASLIAASTRSSAIFSGVSTAICEQMYEYGRNLGLSFQMKRS* >Brasy5G061200.1.p pacid=40073031 transcript=Brasy5G061200.1 locus=Brasy5G061200 ID=Brasy5G061200.1.v1.1 annot-version=v1.1 MEEGYWLSLSLCFIALSTTILALWFLIPSNSGAKPKKLLPPGPWTVPIIGSLHHLFLSRLPHHRTMMQLSRRHGPLMFLRLGEVPTVVVSGAEAAELVMKAHDPAFASRPRSARQDIFGCGGRDVAFAPYGAAWRQMRKICVVELLSAKQVRRMERIRADEVASLVGSIVAGGGGSVVNVSKKVMAVANDVVSRAVFGGKFARRQEEYLRELDQAFALAGGFCLVDLFPSCPQLLLRWISDDERRMRRSHGRIQRIIDDIVKERKAAARAAAASDENGRSTVSDDEDLLDVLLRLQEEDSLEFPLTTETMGGILFDMFAAATDTTGTVLEWAMSELVRHPKAMAKAQTEIREVLGDRAIITNSDSGELHYMRMVIKETLRMHPPVPQIPRTTREDRKIMGYDMPKGTNVYINVFAVSRDPKYWKNPEEFRPERFEDLHGMDFHGTHFEYTPFGAGRRQCGVSTIEIVLANLLCHFDWVLPGGLGPESLDMSEKFGITASRRSDLQLRAIQRLC* >Brasy5G054600.1.p pacid=40073032 transcript=Brasy5G054600.1 locus=Brasy5G054600 ID=Brasy5G054600.1.v1.1 annot-version=v1.1 MAAAAEWENGSDAGTNGDSAMFASPVKARARAPESEAGTSAVTASESSEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKTSSKRNNKLRSDSSNSGSQHAASLVLEAIYLKSMSLHKLGKPMEAAQQCKSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQESLASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEASPPSLASQTEGSFVPKNNLEEAILLLMILLKKWYLGKTHWDPSVMEHLTFALSLCGETSVLAKHLEEVLPGIYPRTERWYSLALCYSAASDNEAALNLLKKSLNKNETPNDINALLLAAKICSSDYFLASEGVEYARRAVTNAESSDGHFKSVALHFVGSCLANKSKISSSDHQRSLLQAEALKSLSEAFSLDRHNPDLLFDMGVEYAEQRNMHAALKCAKQFIDTTGGSVAKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGHLLRIRAKLKVAQSLPMEAVEAYRTLLALVQAQRKTYGSVKNGKEEADKVSEFEVWQGLANLYASLSYWRDADVCLQKAKALKTYSATTLHAEGDMHEERAQTHDALAAYLNALSTEVDHVPSKVSIGALLSKQGPKYLPVARSFLSDALRLEPTNRRAWFFLGQVHKHDGRLADAADCFQAASMLEESDPVESLRPL* >Brasy5G272000.1.p pacid=40073033 transcript=Brasy5G272000.1 locus=Brasy5G272000 ID=Brasy5G272000.1.v1.1 annot-version=v1.1 MIELLPLQRCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEDLWTRIDEDKKSVYTYFDSLWFNNYIQGINKSNILKWIKAKKLFSRRIAFVPIVCWGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G274100.1.p pacid=40073034 transcript=Brasy5G274100.1 locus=Brasy5G274100 ID=Brasy5G274100.1.v1.1 annot-version=v1.1 MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSVWRARRSARLREERRAHALELQKLRKTATRRCHNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGSAGKVPSGWPCSQDWANAAGDPGYWLDLRCSADNSYSGFSWRLFSCFYVSMAWFWRKVLRFGSSGDGGGLGRDGKMLAKGGENGGKAEESRVDKAKRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARKEAERLTSVKNH* >Brasy5G284500.1.p pacid=40073035 transcript=Brasy5G284500.1 locus=Brasy5G284500 ID=Brasy5G284500.1.v1.1 annot-version=v1.1 MVTFTARRSEPELVSPAMPTPRETKNLSDLDDQWTLRFYESIVGFFRAPPRPAERANKMAKGIKAAIAGALVHYYPMAGRLRKLPGGGNKLAVDCTGEGVVFVEAVADVRLEDLGKPLLPPYPCVQEFMADAGDTRDVVDKPLLFLQVTQLKCGGFAIGIHMCHCIADGFGILQFIKSIADFASGELVPTTLPVWKRDLFTARIPPSLSHVYPAYKPFLLGLERTGEDVMMSTPPENTIVQYFLFGPKDIEILRSHIPGHLAQSTTTFELITAVMWRCRTLALGYESSHKVRVMFTLNTRGRRSINGDTLVPRGFYGNAHFSPMAEATVDELTTKPLSHILELMRKAKSETTEDCMKSMVDLTALWREQPPFCMDRTYEISDTKWIAGNALKLGRAELVAGGTPLVGDFTSKLISYHTSCKNENGENSTTVSLLLPKPAMKRFANEMAIWLKE* >Brasy5G363300.1.p pacid=40073036 transcript=Brasy5G363300.1 locus=Brasy5G363300 ID=Brasy5G363300.1.v1.1 annot-version=v1.1 MNSMGYNPYDSSGTDDDLPRSQNRGLRGRSFSGNGRASVGPFPYGRPHNDLESEVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGETQSGMHRGSRVLHDGEPGTAAKRQRPSHLFSSHSSGLQSPVMPSHSVPPSAKWGPSSASKGKKAKSMTPLGLPSMDPTSLISRKVFTRWPDDNNFYEATITRYNPATGEHALVYDMGKPTETWESVRLSDMAPEDIRWDLDDQGMSNRDSWGPSGPVLKRHQSNNGTMVVSGRGRVRLSQNEHKDYAPPPNGINRNIGQIDVPNTESVVIEVERVLSNPNIREIEKAKKLLTDQEQSLLDAIASLDEASDSESEDMAMEGRMGSAGDHMGRNGIAC* >Brasy5G363300.2.p pacid=40073037 transcript=Brasy5G363300.2 locus=Brasy5G363300 ID=Brasy5G363300.2.v1.1 annot-version=v1.1 MNSMGYNPYDSSGTDDDLPRSQNRGLRGRSFSGNGRASVGPFPYGRPHNDLESEVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGETQSGMHRGSRVLHDGEPGTAAKRQRPSHLFSSHSSGLQSPVMPSHSVPPSAKWGPSSASKGKKAKSMTPLGLPSMDPTSLISRKVFTRWPDDNNFYEATITRYNPATGEHALVYDMGKPTETWESVRLSDMAPEDIRWDLDDQGMSNRDSWGPSGPVLKRHQSNNGTMVVSGRGRVRLSQNEHKDYAPPPNGINRNIGQIDVPNTESVVIEVERVLSNPNIREIEKAKKLLTDQEQSLLDAIASLDEASDSESDMAMEGRMGSAGDHMGRNGIAC* >Brasy5G363300.3.p pacid=40073038 transcript=Brasy5G363300.3 locus=Brasy5G363300 ID=Brasy5G363300.3.v1.1 annot-version=v1.1 MNSMGYNPYDSSDDDLPRSQNRGLRGRSFSGNGRASVGPFPYGRPHNDLESEVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGETQSGMHRGSRVLHDGEPGTAAKRQRPSHLFSSHSSGLQSPVMPSHSVPPSAKWGPSSASKGKKAKSMTPLGLPSMDPTSLISRKVFTRWPDDNNFYEATITRYNPATGEHALVYDMGKPTETWESVRLSDMAPEDIRWDLDDQGMSNRDSWGPSGPVLKRHQSNNGTMVVSGRGRVRLSQNEHKDYAPPPNGINRNIGQIDVPNTESVVIEVERVLSNPNIREIEKAKKLLTDQEQSLLDAIASLDEASDSESEDMAMEGRMGSAGDHMGRNGIAC* >Brasy5G363300.4.p pacid=40073039 transcript=Brasy5G363300.4 locus=Brasy5G363300 ID=Brasy5G363300.4.v1.1 annot-version=v1.1 MNSMGYNPYDSSDDDLPRSQNRGLRGRSFSGNGRASVGPFPYGRPHNDLESEVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGETQSGMHRGSRVLHDGEPGTAAKRQRPSHLFSSHSSGLQSPVMPSHSVPPSAKWGPSSASKGKKAKSMTPLGLPSMDPTSLISRKVFTRWPDDNNFYEATITRYNPATGEHALVYDMGKPTETWESVRLSDMAPEDIRWDLDDQGMSNRDSWGPSGPVLKRHQSNNGTMVVSGRGRVRLSQNEHKDYAPPPNGINRNIGQIDVPNTESVVIEVERVLSNPNIREIEKAKKLLTDQEQSLLDAIASLDEASDSESDMAMEGRMGSAGDHMGRNGIAC* >Brasy5G490400.1.p pacid=40073040 transcript=Brasy5G490400.1 locus=Brasy5G490400 ID=Brasy5G490400.1.v1.1 annot-version=v1.1 MGDGGELSTRRWGSEATALGIHDGGAPGGWAGPGKAATRRLHGAGVVGRGEEAAAAAGEGKEEGAGAGCMAGAEAGRGGWGVEAAAGEGRRRRPPQGEGGRGGGGRPQGKGGGAGRGGWRSRRPQGKGGGGGRVVGWLG* >Brasy5G295200.1.p pacid=40073041 transcript=Brasy5G295200.1 locus=Brasy5G295200 ID=Brasy5G295200.1.v1.1 annot-version=v1.1 MAGELGQQTVELGSVVRRAAEESYLGLRELVEKSQAESEGKGAYGGRQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVQYCQQLGSTLSSHETCFTQTADSLFFMHEGLQQARAPTFDVPSALEVMLTGGYQRLPRCIEDIGSQNKLSPDEEKHALQKLDTSVRYKVLMTPRPKEVSNVSVTDGIAVFRVDGEFKVLLTLGYRGNLDLWRILHMELLVGEKGGPIKLAESRRFVLGDDIERRMAVSDNPFSVLYTILHELCISLGMDTIIRQANVLRQGRWKEAIRSELISDSTTGQTANAAPMQLGQDGEFDSSGFRLPGLKVNYWLDEKNSGTAESDLSPFIKIEAGQDLQIKCQHSSFILDPLTDKEANLSLDMCCIDVEKLILRAIACNRHTRLLDIQRQLCKNVQISQSPRDVILKRDVEVAREPHEKAEKMGFADCCGNEVLQVRAYGQAYIGLGLNIRSGRFLLQSPKNILPPSALLDWEEALNKGSATATEVFSSLRTRSILHLFAATGSFFGLKVYQQSQGTLKIPKAILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDTSNKANANIDAKEAMRVNKIDVDQMHIMKYENSTNLFDTKLHTLQSIESCDDMMDNGLPIQNMVDPLPLLPTCSPSFSSIVDEIFECEHDSTLPSASHVGSRSLGLQGASTRAMSPMQDGASSHTQANVTSIVHPSVSLNSYFPSSLRHLQSTNTFSSSPVRNSSAIKLSGSKSNHDLSSLSSPSEHGSADGNNALQLIPSSKVNSNQNPGQSSYSGGLRNSLPGHLAHASSTIGGLGKAIPEGSDCSSRKRSLSDFLLNLPSLQGLKSSEPSKRRKLSESMQSSPPLQAQRSNLHSRTKLTYGNVLAERNNCVPATLYASVLLHVIRHSSLCIKHAQLTAQMDSRAIPYVEEVGMRSPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFKELWELNAGSTTTPWGAGVRIANTSEMDSHISFDADGVVLTYSTVDADSVKRLVSDLHRLANARAFARGMRTSIGVKLDDKLDDSQTSMGIKSQPVHKGNSDAADRLSEQAGKPFKIEAVGLMSFWFSYGPTHMPMVHFVVEWETAKEGCTMHVSPDQLWPHTKFLEDFVNGGEVPSFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYTSMQKQTNNVPTQGPLTNGSSATTMHHASAPSNVAAAHLGNHNLHAAAMLSAAGRGGSGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPAFMNATQAAPHLNTSAGAPQSAASANRLNVTPGVPMSRPTSGVANQMAASLSRAGNAMLSSSGLASGIGGASVRLTPGTGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELTAAEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLITWKKGFSQAHGDIATAQRARIELCLENHSGSASDDNTESSLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLRYSFGDNSHISFLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSAAVGELGQGRLRMVAEMIQKQLQLCLQQLRDGSLSAGSTAS* >Brasy5G402000.1.p pacid=40073042 transcript=Brasy5G402000.1 locus=Brasy5G402000 ID=Brasy5G402000.1.v1.1 annot-version=v1.1 MGRGGGGGVEEREVVNVEDQGEAGQEARRALPMMPVRVLLAEGDDSTRHVISALLRKCGYHVAAASDGVKAWEILKEKSFNIDLVLTEVELPAMSGFLLLSTIMEHEACKNIPVIMMSSNDAVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSNSGLVQHTQQEDKLTEWQGQKTGVTKAEHLIENVAHKRRECSEQESDAQSSCTRSEVEAESRQTNNFLEFKQITGKYLSSDPKSTEDNGQTKTQTIRDDNPIPRRERDLSPRKRPCLKDKNCQKPTREIEVVHIIDDEQKSNAQPDVDVMRTTFHGNYDKGFSIPAHQLELSLRRSDYSKLEDEENNDKRTLNHSTSSAFSLYNCRTASSFGNAGDAHLCSTSAHADPEVKTGDSVAPSQDKIEANRPPIRVVPFPVPVGGLTFNGQPFWSGAPVAPLLYPQSGPPIWNSKTSASEEAATQATLSQQKWQQSNPRVMDSDQAEITQGEEVLPAPNANEKHLHVEIPSDNPKHVSPMTGDSGSSTVLNNSGNTLSGSGCDSSSNRIMPPSDPCNAFNGVSENPSMEGSHHLSQREVALNKFRLKRKERCFEKKVRYQSRKLLAEQRPRVKGQFVRQEPSIQGS* >Brasy5G095700.1.p pacid=40073043 transcript=Brasy5G095700.1 locus=Brasy5G095700 ID=Brasy5G095700.1.v1.1 annot-version=v1.1 MSYLRKYLILTPFLNISVNGCDCLLCPSLPEEDLDHLFFTCSFSRDCWDDIGIRWNMNLSLTDRILHAKSAWQKGLFWEIFVLAAWALWKIRNAKLFDNILPLKSTWRVLLRTKLKLLAHRSTKEQFQNNLDQLVQQLAL* >Brasy5G384900.1.p pacid=40073044 transcript=Brasy5G384900.1 locus=Brasy5G384900 ID=Brasy5G384900.1.v1.1 annot-version=v1.1 MASHAEHAQGEAGGHVLLLPYPSQGHVHPMLQFAKRLAQHGMRPPLAVTRHILATCTPDAALASAVRVAAVSDGCDPGGFGECNDVDAYLSLLETAGSDTLGELLRAEAAQGRPVVAVVYDAFLPWARGVAAAFFTQPCAVNVAYGHVWGRKVSVPVEAGAKVVGLPGLPALQPEGLPWFLKVGPGPYPGYFEMVMSQFKGLELADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPASYVGDDRLPSDTKYGFHLFDLTAAPCIEWLSTHPARSVVFASFGSLSNLDPAEMREVAEGLLDAGRPFLWAVRSSETHKLPAGYSEACGPRGGLVVSWGPQLEVLAHRAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAV* >Brasy5G344100.1.p pacid=40073045 transcript=Brasy5G344100.1 locus=Brasy5G344100 ID=Brasy5G344100.1.v1.1 annot-version=v1.1 MACVSVTMYNPEHHQSSSFMAPRMSFSSDFALEPPPSSAPRAPGDADFEFSVGSRPMMAADELFSKGRLLPLREAGPSHGGRPPPSTLRDELRADDRHGRAPRRWKELLGFKKAPKKAPPADTGAGTSSSAETNLDLGGQGGTRE* >Brasy5G215400.1.p pacid=40073046 transcript=Brasy5G215400.1 locus=Brasy5G215400 ID=Brasy5G215400.1.v1.1 annot-version=v1.1 MVVITPLADGTSSSRWAGVPPPTKKKPGGAARAPQPVNPQNQSMDFRGTAAWGNKLMLPSSNAWDSLQLLHPKNDGASGSPSHIDDRPSSRGSSTSSSTVGSDFLDVPSYHVPTAANRPRSTETRTGSLQHSRFLDSFTNVLKAPSRTIAKRAPTSHGKGFSLSIDDFPVLGSKKSVSNNQQVTGHSSEGRPTFRSGIVASRDGQRKRPMTETGDVIPSSNYEHASTLRTDYVCEQGDPVPAAKLTREAQQTQLHGAQAPNMCMPPPWLDYWHPPTDHPPDENRMLHGEEAPYDLYKHADPPGSSLVESFAHCGQFALNQEAAVRPYTGDDGCCLDIRDPSHPQVPADHRLTDQPPHVLQKVEDDRSDALYIEKQPVMKKDVALLGKIKCLNNKTRNLHALKLSNLLPSIEPKVEYEKNICAEVDHLITDIPLSATSEIGPDFDSHTSVSESSNPMPNNPPNVPADGASVIGLPEQQASEFSEAGKLGKSADYLAYGRGNTSRNWLNDYAKDMSCSISGHGHEGHSMVDALRGIVMRDAQQDQPFSRNTSQQAFVAAADKVQNWLDFDGQHSRMRYLSAQAAKQLQDAKDWISKQKVNAIAGLEELNRRQLLPSQKSNDALLEADNNLYHEQKTGGDGTTKSDNVTEYRRCIVSADGPNVPWPANGVNYATVSISSTPASNTEGVSKGPLIHNVVSSAKNTEVNIMEYKSTSQSHDNSALKHRQMADRQRQFDSHEPNIRDRSIIAETSEYVSNTAKTPAGTLGTEAKPQENLSTRNKNIKRGSAAVRPASAPVFGNEKISIEVSSVHKTHVPCVAISSSMVPAQVTSVKGIMVGGIMLDDVPLASVNQELAAAAKDVHNTANSLSKPQQIKKSGKDHCSVPPVESPGWNDSVLHTPIKQTGNREKCEGSPTSMPVAAVPQPSGNHSTIREKMTTMQRSETGWYAHKPVYEELQRKNLGQTLPAENHKTYYDNRANSNLETTSHDKEAHVNLTSAKADVPTELKNWLDKKMGWGQGRHLASLQEKSTDGPPSVVQYLAEPACYFNVADVVQELSDQCGAEEQSESKTHDATEDRSKLTETVVLPSSTWETFPVNNREGHRATDSPRHYHVEGQRNAGSRYGYKERAGRGRFIRGTSDLSRSRWMPKHIFHPQSNEHDDDISEWLQDSHHSLSDTNNSHGMDRKLTCIVDRDGGMDLQGGQGNDVGICFKDENPLIWNETEWEHQQLFPAPHRFGQQHSRSDWDANEDRPKGRGRHSENYDAEEVGCPDGWDSKPTQIVDGDGGMDLPSGQGNGDMSFEEENLVVWNETEWECQQLLPALHRLGQHHGGRNHNANLDRQRGKGRHSEYFDPKSVGSPDAARNIQWNTGGAKDDPVVSCETPAASRPRWMPKYISHPQTCAQDNGISEWPRDSHHGLSDTDNSHGLDSKLTQVVDRNGAMDLSGGQGNVGMTFVDENLAVWNEKEWEYQQSFPAPHRLGQQHGGRGRSANDGRQRGRGRHSEYHRSGPVRSPDAAPDVQWNTGGAVDHSNRGHRASSRAGGGHVERRHYI* >Brasy5G215400.2.p pacid=40073047 transcript=Brasy5G215400.2 locus=Brasy5G215400 ID=Brasy5G215400.2.v1.1 annot-version=v1.1 MVVITPLADGTSSSRWAGVPPPTKKKPGGAARAPQPVNPQNQRGTAAWGNKLMLPSSNAWDSLQLLHPKNDGASGSPSHIDDRPSSRGSSTSSSTVGSDFLDVPSYHVPTAANRPRSTETRTGSLQHSRFLDSFTNVLKAPSRTIAKRAPTSHGKGFSLSIDDFPVLGSKKSVSNNQQVTGHSSEGRPTFRSGIVASRDGQRKRPMTETGDVIPSSNYEHASTLRTDYVCEQGDPVPAAKLTREAQQTQLHGAQAPNMCMPPPWLDYWHPPTDHPPDENRMLHGEEAPYDLYKHADPPGSSLVESFAHCGQFALNQEAAVRPYTGDDGCCLDIRDPSHPQVPADHRLTDQPPHVLQKVEDDRSDALYIEKQPVMKKDVALLGKIKCLNNKTRNLHALKLSNLLPSIEPKVEYEKNICAEVDHLITDIPLSATSEIGPDFDSHTSVSESSNPMPNNPPNVPADGASVIGLPEQQASEFSEAGKLGKSADYLAYGRGNTSRNWLNDYAKDMSCSISGHGHEGHSMVDALRGIVMRDAQQDQPFSRNTSQQAFVAAADKVQNWLDFDGQHSRMRYLSAQAAKQLQDAKDWISKQKVNAIAGLEELNRRQLLPSQKSNDALLEADNNLYHEQKTGGDGTTKSDNVTEYRRCIVSADGPNVPWPANGVNYATVSISSTPASNTEGVSKGPLIHNVVSSAKNTEVNIMEYKSTSQSHDNSALKHRQMADRQRQFDSHEPNIRDRSIIAETSEYVSNTAKTPAGTLGTEAKPQENLSTRNKNIKRGSAAVRPASAPVFGNEKISIEVSSVHKTHVPCVAISSSMVPAQVTSVKGIMVGGIMLDDVPLASVNQELAAAAKDVHNTANSLSKPQQIKKSGKDHCSVPPVESPGWNDSVLHTPIKQTGNREKCEGSPTSMPVAAVPQPSGNHSTIREKMTTMQRSETGWYAHKPVYEELQRKNLGQTLPAENHKTYYDNRANSNLETTSHDKEAHVNLTSAKADVPTELKNWLDKKMGWGQGRHLASLQEKSTDGPPSVVQYLAEPACYFNVADVVQELSDQCGAEEQSESKTHDATEDRSKLTETVVLPSSTWETFPVNNREGHRATDSPRHYHVEGQRNAGSRYGYKERAGRGRFIRGTSDLSRSRWMPKHIFHPQSNEHDDDISEWLQDSHHSLSDTNNSHGMDRKLTCIVDRDGGMDLQGGQGNDVGICFKDENPLIWNETEWEHQQLFPAPHRFGQQHSRSDWDANEDRPKGRGRHSENYDAEEVGCPDGWDSKPTQIVDGDGGMDLPSGQGNGDMSFEEENLVVWNETEWECQQLLPALHRLGQHHGGRNHNANLDRQRGKGRHSEYFDPKSVGSPDAARNIQWNTGGAKDDPVVSCETPAASRPRWMPKYISHPQTCAQDNGISEWPRDSHHGLSDTDNSHGLDSKLTQVVDRNGAMDLSGGQGNVGMTFVDENLAVWNEKEWEYQQSFPAPHRLGQQHGGRGRSANDGRQRGRGRHSEYHRSGPVRSPDAAPDVQWNTGGAVDHSNRGHRASSRAGGGHVERRHYI* >Brasy5G017600.1.p pacid=40073048 transcript=Brasy5G017600.1 locus=Brasy5G017600 ID=Brasy5G017600.1.v1.1 annot-version=v1.1 MLEMRWPWPPAARKFRVRLVVRRAEGLLCPPPVPPAADAAAKVAVEVKWKGPKARWKGLRVCRNRTRLEAPAPAPVVVADDDGAADAAPAPAAAVAWEEEFEDVVTLTATSYRKAAAFHPWDLSFSVLNDSNKGPKSEFILGTTSLNLANYTSSAEEEVEIILPLSVPNGAPESAPSLHLTLSLVEVRLPQQSPDASQHSVATAPLSPSSGDSVPSGKDELSVIKAGLRKVKIITDLVSTRRSKKANREDDNGDKYVHSDGAEYPCAIDSDDDLDDRQRDDDLGGSTVRKSFSYGSLQSVNYAGGLFYAHAKIDGEHEDWIYYSHRKSDASYCAEKEPSSSAEEHTTVVIRRKRSILPWRKVKLPQKGEPLLKNKNGEEGGDDIDFDRRLLTSSDESVSEGSHGSVTSMESVFGDDNFVVGNWESKEVLSRDGHLKLSTKVFFASIDQRSERAAGESACTALVAVIADWFQANQELMPIRSQFDSLIREGSLEWRKLCEIESYRERFPDKHFDLETVLHAKIRPLTVAPNKSFIGFFHPESTEDGSGFDFLDGAMSFDNIWEEISRAAECSTEKPTLYIVSWNDHFFVLMVEADAYYIIDTLGERLYEGCNQAYILKFDDDTTIHRVPAENKEANPDSGGRQKDSSESSSTEQDSGTDSEETALVLKGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPREITLPAPSPAIEAPFEFSWPEPPPAMEVVLTPAVAVV* >Brasy5G136600.1.p pacid=40073049 transcript=Brasy5G136600.1 locus=Brasy5G136600 ID=Brasy5G136600.1.v1.1 annot-version=v1.1 MAYLLAALRCLRHALCAARAICAPPEPTTGRRFPPVPAPGRCTPLVGPPARRLSLARPSSAPVPRRRTRAAPGQCPLCALHRPDPGRDEEGRMRRTGN* >Brasy5G160600.1.p pacid=40073050 transcript=Brasy5G160600.1 locus=Brasy5G160600 ID=Brasy5G160600.1.v1.1 annot-version=v1.1 MTSKTLAPSPMASHQTGAPSSPTASSSKKKKKHRKATDVTVDAPSSPTASSSKKKKHRKAIDVTVDAPSSPTAASFSKKKKRKAIDVIVDASFAAAAAAPVVGYFPTGYDPLAAAEPPRARLFRHEKHSNRVDLVVSAPGGGPDFVGRSYAGEAAAPQLCGYALGVLDKASGTLKVVPIAANKILRLEPHFEEQKPAHSEHSAAEAGSSVANTEVKRQQLTEAYGTQKDKVKDNKWKSLKEETNDPDAYLGLELGESKTAADATNSQASVTVRNIPPYDPAADTSEKAYLFDEIIPKNIRPHLLEIVGHFESGEISSKGYGSFVSNRVNKLQHLKGEGKERLAWILSYITHLLSLLARNSAMSKRNRKENPASHGPTIPPNVHRNLLLVFTEPGSSALSTEKHELLINYILVLTLYADNFASSPADICEDLKMTREMLKPYYLQLGCKYGSAGAFKPSVITLPAPLKFPQEPTMKRGRQPRRR* >Brasy5G334100.1.p pacid=40073051 transcript=Brasy5G334100.1 locus=Brasy5G334100 ID=Brasy5G334100.1.v1.1 annot-version=v1.1 MPHHGSGRAAAAFSRLTPTTSSPSTPLPNSCAAQMPVTACGACAAAFPSSRHARSPTPPSGSFRKLAPLTPRRLGLRLPAPMASTVDSPGNSSNFAKHMERAWLISKGNCGELSCASTVLYPGNLVRGADKFRWVMGEGIIYFVHCGDSWTL* >Brasy5G401800.1.p pacid=40073052 transcript=Brasy5G401800.1 locus=Brasy5G401800 ID=Brasy5G401800.1.v1.1 annot-version=v1.1 MATTTAAASTMSLLPMSQLKQLQKQRQRRSRHAPAGSVLVLGRRSRRVVPITARLFGPAIFEASKLKVLFLGVDDAEAKHPGKLPRTYTLTHSDVTARLTLAVSHTINAAQLQGWYNRLQRDEVVAEWKKVRGSMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPMVLKAFVHGDGSLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAAAPADDEEADIPTDIADAADEQMMPSAAAAGEWPRRCAGQCDCCFPPDSLIPWPHEHDMADAGQGQMPQ* >Brasy5G315500.1.p pacid=40073053 transcript=Brasy5G315500.1 locus=Brasy5G315500 ID=Brasy5G315500.1.v1.1 annot-version=v1.1 MFGPPHKPPESPSASAPPPFLPTSTPMAAHEASASGGEGARRPPPRPALSLPPRAAAESFFAAAGSAAETSPGPLTLAAALFPDMPSPAFHGSFTQLLVGAMGSPAASSASGPSPPSPFAVPPGLSPTALLGSPSLFCPTGNFEMSHRQALAQVTAQAVHSQYTMVNQADYPLPFSSTTTSALTSQHVNSSANITSTEETPTPPLLTGNSNLEPNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSACGEITQIIYRGQHNHQRPPKRRSKDGGSLLDEVDDFHENGDTLTRSEQGSQDHSAKFEVSNDGITVPSMPKRGEVGDQSSGSSDSEENAHVEAGADNGDGGSTNAKKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFQGCDVKKHIERCSQDSTDVITTYEGKHSHDVPAARNSSHASNANVSSSSSLRDRAQNTASSSQPSLRRSALRTASSDSSLQLKEENEIT* >Brasy5G315500.2.p pacid=40073054 transcript=Brasy5G315500.2 locus=Brasy5G315500 ID=Brasy5G315500.2.v1.1 annot-version=v1.1 MFGPPHKPPESPSASAPPPFLPTSTPMAAHEASASGGEGARRPPPRPALSLPPRAAAESFFAAAGSAAETSPGPLTLAAALFPDMPSPAFHGSFTQLLVGAMGSPAASSASGPSPPSPFAVPPGLSPTALLGSPSLFCPTGNFEMSHRQALAQVTAQAVHSQYTMVNQADYPLPFSSTTTSALTSQHVNSSANITSTEETPTPPLLTGNSNLEPNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSACGEITQIIYRGQHNHQRPPKRRSKDGGSLLDEVDDFHENGDTLTRSEQGSQDHSAKFEVSNDGITVPSMPKRGEVGDQSSGSSDSEENAHVEAGADNGDGGSTNAKKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFQGCDVKKHIERCSQDSTDVITTYEGKHSHDVPAARNSSHASNANVSSSSSLRDRAQNTASSSQPSLRRSALRTASSDSSLQLKEENEIT* >Brasy5G315500.3.p pacid=40073055 transcript=Brasy5G315500.3 locus=Brasy5G315500 ID=Brasy5G315500.3.v1.1 annot-version=v1.1 MFGPPHKPPESPSASAPPPFLPTSTPMAAHEASASGGEGARRPPPRPALSLPPRAAAESFFAAAGSAAETSPGPLTLAAALFPDMPSPAFHGSFTQLLVGAMGSPAASSASGPSPPSPFAVPPGLSPTALLGSPSLFCPTGNFEMSHRQALAQVTAQAVHSQYTMVNQADYPLPFSSTTTSALTSQHVNSSANITSTEETPTPPLLTGNSNLEPNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSACGEITQIIYRGQHNHQRPPKRRSKDGGSLLDEVDDFHENGDTLTRSEQGSQDHSAKFEVSNDGITVPSMPKRGEVGDQSSGSSDSEENAHVEAGADNGDGGSTNAKKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFQGCDVKKHIERCSQDSTDVITTYEGKHSHDVPAARNSSHASNANVSSSSSLRDRAQNTASSSQPSLRRSALRTASSDSSLQLKEENEIT* >Brasy5G315500.4.p pacid=40073056 transcript=Brasy5G315500.4 locus=Brasy5G315500 ID=Brasy5G315500.4.v1.1 annot-version=v1.1 MFGPPHKPPESPSASAPPPFLPTSTPMAAHEASASGGEGARRPPPRPALSLPPRAAAESFFAAAGSAAETSPGPLTLAAALFPDMPSPAFHGSFTQLLVGAMGSPAASSASGPSPPSPFAVPPGLSPTALLGSPSLFCPTGNFEMSHRQALAQVTAQAVHSQYTMVNQADYPLPFSSTTTSALTSQHVNSSANITSTEETPTPPLLTGNSNLEPNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSACGEITQIIYRGQHNHQRPPKRRSKDGGSLLDEVDDFHENGDTLTRSEQGSQDHSAKFEVSNDGITVPSMPKRGEVGDQSSGSSDSEENAHVEAGADNGDGGSTNAKKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFQGCDVKKHIERCSQDSTDVITTYEGKHSHDVPAARNSSHASNANVSSSSSLRDRAQNTASSSQPSLRRSALRTASSDSSLQLKEENEIT* >Brasy5G315500.5.p pacid=40073057 transcript=Brasy5G315500.5 locus=Brasy5G315500 ID=Brasy5G315500.5.v1.1 annot-version=v1.1 MFGPPHKPPESPSASAPPPFLPTSTPMAAHEASASGGEGARRPPPRPALSLPPRAAAESFFAAAGSAAETSPGPLTLAAALFPDMPSPAFHGSFTQLLVGAMGSPAASSASGPSPPSPFAVPPGLSPTALLGSPSLFCPTGNFEMSHRQALAQVTAQAVHSQYTMVNQADYPLPFSSTTTSALTSQHVNSSANITSTEETPTPPLLTGNSNLEPNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSACGEITQIIYRGQHNHQRPPKRRSKDGGSLLDEVDDFHENGDTLTRSEQGSQDHSAKFEVSNDGITVPSMPKRGEVGDQSSGSSDSEENAHVEAGADNGDGGSTNAKKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRLCHQNPWCQIVGNI* >Brasy5G494400.1.p pacid=40073058 transcript=Brasy5G494400.1 locus=Brasy5G494400 ID=Brasy5G494400.1.v1.1 annot-version=v1.1 MEVVVVIQPMLMGVLKTAGKMLAPLLTSEFASIMGVKKDLLDLQRLCREITSLLSSSGDKATDSDPSFYWLKDLKDVAEQVHDLLFQLEAEKHKADKDVDSHVRGNCFCAKANSALSRPKLANKMKEIKKNFDKVVKKKTENNALAYPIDPTNIADGEMSIFVNIDEKEVIGRDEIKDKILAKLIETDTQERISIISIIGLARSGKTTLAKYICQDNKIEEHFEVTFRVHLSQDFSVEKLTSKLFESVSDEKSKRHTFQHMSKTVSEKLNGRKFLLILDDVWNEDRHGWELFEQHFSRGAPGSKILLTTRDVKVAELIKCTVIFSLAFLPDDDSWKLFQQSSGWTEKSLDLEFIQVGKQIVKKCAGVPQAIKSLASSLHGKGELKEWRRLRDHDFLTDWNILGSVLRSLRLSYFHLSNDLKHCIELCSSIFPKGRSINKDQLISLWIVHGYVNPTNGDRQRQPEDIGSDYFDSLMKVSFLQDIVEDLYTGEITCKMNNLVHDLIQKILQEEIVVTPKITTDHKERCRHLSLASCTQKVDRKLFKKARTLYVTGGSFATNKQIKEACCMRSVSMEYIRDTSFPVFVLKFQYLAFLSISYLGC* >Brasy5G501100.1.p pacid=40073059 transcript=Brasy5G501100.1 locus=Brasy5G501100 ID=Brasy5G501100.1.v1.1 annot-version=v1.1 MMMPAPEKERSMLQRRERELLAQLHELLYSSPSPSSSSPAPSAGEPSWTSSGMFNSVPPDLQLADDCSPAVVSGGGGRRSKKRALLHREDEQLVVVQEKAKRRRRKKKKEAGAATKTLVTTVPDFDGFQWRKYGQKHIESAKYPRSYYRCTNSTVQGCQAKRTVQRNEDGADGSGTAAPKYTVVYISAHTCTMTTDSALVPPVILETTVAADVVPATTTSSCSSITETTTTNSPVSSSEAAAWSERRGCSPMFPVDDDGNLDSCSWDASTSTVAVPSAAAALLAQEMVDFAGPIRSPVHVAAGGNWINDFFVGEPPCVLNSCQLFGF* >Brasy5G212700.1.p pacid=40073060 transcript=Brasy5G212700.1 locus=Brasy5G212700 ID=Brasy5G212700.1.v1.1 annot-version=v1.1 MAAAAEMAVGFMATTTRAAAAAARPAAVAAPPQPRRAVAARVLRTSASETVAADLATVGTNGALSALSNSEVTADATSQTAVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHKKVIDLVKEYNAQNTDGNTIAIMLDTKGPEVRSGDVPEPIMLVEGQEFNFTIKRGVSTEDTVSVNYDDFISDVEAGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKAYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREAADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYDPTKAPSLVARPQALLNDDFCKSQLSKMFGSHATMMANTLRTPIIVFTRVGSMAVLLSHYRPSSTIYAFTNEVRVKQRLALYQGVVPILMDFSDDAEETFSRAISSLLDAKYMNEGDYVTLVQSGSKSIWRDESTHHIQVRKVQC* >Brasy5G214400.1.p pacid=40073061 transcript=Brasy5G214400.1 locus=Brasy5G214400 ID=Brasy5G214400.1.v1.1 annot-version=v1.1 MEAKDVAPLTTAATTAAVPAAPASQSQPPPPATSMTPHAHAHQPPPHPFAHHQPPPLQQQQQPAPGSANPSAPMPGTGGMRLSFDQVAGKAAPGQHHHAPGPMLYAAPPPHAAGAPVRPQGGVGMGMGDMMRKKRGRPRKYAPDGSMALALAPLSSASGGPPMQPGQQQQQQQQPLQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGISFTPHILSVKAGEDVASKIMSFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGQLTAATPVQVVVASFIAEGKKSKLAEARKVEPMSAPPQMANYVPAPVASPPSEGTSSASSDDSGSPINHGGMPYNHSGQHQHPQQQQQQQHMPPAYASGGWSHSAHHQNNNRHDADVKMMSN* >Brasy5G221200.1.p pacid=40073062 transcript=Brasy5G221200.1 locus=Brasy5G221200 ID=Brasy5G221200.1.v1.1 annot-version=v1.1 MAAVKRSQANQRRNPDTFHFYVHHHHAASSASASTAASQVKVELSQLVLAILDDPVVSRVFGDAGFRSADIKLAILRPAPPIPMLAGRPPPLFLCSFAAADDADVPCSPAAASGEEENCRRIAEVLARGRNPMLVGVGAASAAADFTAASPYRILPVGLDLASMAAAATSTSSGLIISVGDLKELVPDDADLQEAGRRTVAEVTRVLETHRAGLRVWVMGWSATYETYLTFLSKFPLLDKDWELQLLPITAVRSAAAAGLMPPATTAVAAFSKPPPSFMESFVPFGGVTNDGYGANGLTANSCPQALRCQQCNDRYEQEVATIIRGSGITAEDHHQGGLPSLLQNGSMIGPNNGFDALKVRDDQMVLKSKIRNLQTKWNEYCLRLHQGCQRINRGSYQLFSNYIPVPADRERAANPSKGPESVALQREVIRPLVVPASHMNATTKSISPPSISKQSNEDSVLKLQASHSKSDEHLQDRGVQSRHENLSNFHDREDHVSPSSVATDLVLGTPRGSSSKGTNSACWKQSVDAELSIPKKVNDLNLKPPQVFVQPYACSRSSTNMGQPSPSALHSAASGGMSAFGHWQKPSHLAAQGSDLSNYKQLVESLFKVVGRQEEALKAICESIVWCKSTERRRGANIWFSFRGSDSMAKRKVGVALAELLHGSKENMIYLDLSPQDWGDSSYRGKTGTDCIVDELSKKRRSVIFLDNVDKADCLVQDTLIHASETGRFRDLRGKEVDINDSVVVLSTRTTQGCKSVSFGVGEGHTFSEEKILAARGHQLKLLVESDVVITSTSRGPGGKVVVSPRHPLTKIQASLYSGSCVSKRKLNISDDQELKQQESPSISKRLHRTSSVTFDLNLPMDDDGSNDAHDHSSSNDNSSGTPERSSTIDSLLCSVDESVDFKPFDFDKVADDMLQDLSDTLRNVLGPGCTLQVDIGAMEQMLAVAWASEGKRPVQAWLEQVFSRSLEELKLKRGKHACSSTLRLVACEDIAGVKEDDGFGGLLPSRIILEW* >Brasy5G248200.1.p pacid=40073063 transcript=Brasy5G248200.1 locus=Brasy5G248200 ID=Brasy5G248200.1.v1.1 annot-version=v1.1 MGTDAHLLYDPCNARRNYRDPRCRRDLRRLATSGVGSMEIRGTASGTPPRSPLSLWSSVRIWCLRHRLRRAANEQRWRASGSVLLRRCCHLRRYVLLSIAQSRRPMVDVIKTL* >Brasy5G247900.1.p pacid=40073064 transcript=Brasy5G247900.1 locus=Brasy5G247900 ID=Brasy5G247900.1.v1.1 annot-version=v1.1 MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKAFPRDDPQKKCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGLVAYVKTPRGLRTLNSVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYNFFEKEVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQETHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALETITLKFVDTSSKFGHGRFQTTEEKQRFYNKLKA* >Brasy5G177500.1.p pacid=40073065 transcript=Brasy5G177500.1 locus=Brasy5G177500 ID=Brasy5G177500.1.v1.1 annot-version=v1.1 MDHSRKARAAGIDDRLSTLGDGVLGHILSFLPSSKESARAAALSSRWRDVFAAVHTVSLEQPEGVIYHGHRHDRSAGEGHDDPSPPFASGVSAALLARHRRRGAAAPMRALRVAFDCYAYEIADHASAVDQWISYALQQCASEEGGLHLELRFRRGGEICARAYCSPRHQWQRQKEKKLYAVPRAVFSCAALRTLCLGPCKLDPPPGATSFLPSLETLLLIQVADSGAVISRLVAGCPRLADLTLEACDTLMALVLDGTRLRRLALRCCHGLVTVAIDGSELCAFEYRGTVPGPSFLAMSPAPRRLSSWSVDICGEELMSQADHAGLCKFLCQFTKTEHLQLTSARLGSGINSAAFSGLPVCTTLRHLELTGSMPHDDTTCAVVAAVAGILEHAPSLEVLTLFFKPQPPDKLSDEQAYYRSYEEEEFLDAHHLRYDLEALPPAAAVVLPPPCLSCRVREINLVHYLGGDAQRMLAKFLLGNVGRLDALFCVFAEGPLWIQNKLMDEIRGWAMDKIEPDEMVFM* >Brasy5G073100.1.p pacid=40073066 transcript=Brasy5G073100.1 locus=Brasy5G073100 ID=Brasy5G073100.1.v1.1 annot-version=v1.1 MEPVRRQPGAPPPAAAAALEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYVDYLSSIGVLIPCIDSSGEIEEEEEHKVEQVPLKNVEKKVEKVEKKAEMQQMLEKIEDLIGLCKMTLCVFVEAFWQQQHQLGKQQWLGQQHHQLGQHQWLGQQHQLGHQLAQQHQLGHHHQLQHQQMVDPGQQHLLDHLCLNQGISSCYVQAGYMHCNAQ* >Brasy5G124200.1.p pacid=40073067 transcript=Brasy5G124200.1 locus=Brasy5G124200 ID=Brasy5G124200.1.v1.1 annot-version=v1.1 MDAATVAFPGQIDQEGEESKKAVRNKQREEKRKGTTTKSAICVLWHHQSLASFGCMPCCRVKGRTTSTPDQVEENSDRLVEISFRSIGRSQNWLAYVLRYLPGRLED* >Brasy5G494600.1.p pacid=40073068 transcript=Brasy5G494600.1 locus=Brasy5G494600 ID=Brasy5G494600.1.v1.1 annot-version=v1.1 MAATWVAALAVVLVAVAQVAAAEGPVTAPAFLWAPKNYGFCSNEAKEVVHYQTISPNSLAKSVLEEGGWSNLVCSKEGSQKDVDVAVLFLGSKLQSSDISKDKQVDPALADTLKLSFTNSEFSMAFPYVAMSDDEKLETSLLSGFAENCEDGSGRNHITYTDTCTASSEDIKNHESMDSIQNLVKSRMGNNPSGQTELIVFCSGGFKDNAKSEGELLSELVSVLNKAGAKYTILYASQPYGLSENPSDLPLARYLAEKTNTTKPVLEKCDGECQTKSSLLEGLFVGIVLLIVLISGLCCMMGIDTPSRFEAPQE* >Brasy5G496300.1.p pacid=40073069 transcript=Brasy5G496300.1 locus=Brasy5G496300 ID=Brasy5G496300.1.v1.1 annot-version=v1.1 MHEENANPSDEQPSGDHSPSDNPIDIGKVSEQATVGSTTYERDPKRQQIWELPPDKQDEAHRFYIPEGPSQPYMAKYPYNKNHHPFQYSYFTNFPWLEYSLSTHHAYGLSFFLFTRKPGGRCGSDTFTFE* >Brasy5G375300.1.p pacid=40073070 transcript=Brasy5G375300.1 locus=Brasy5G375300 ID=Brasy5G375300.1.v1.1 annot-version=v1.1 MKVVPILTVLSAAVFGFFIGISFPVEITPKLRCGPLFPFSGGANSSFSGNILGRFWAPSTNSSLEIVKEAQSPKRAEGKLPPGIVVSESDFHLRRLWGAPSEDEPARKYLLALGVGYDQRQNVNLTVQKFIARDNFDVVLFHYDGRTTEWDDEFDWSKRAVHVSARKQTKWWFAKRFLHPSVVAAYEYLFIWDEDLGLETFDPEEYIRIVKKHEMEVSQPGLDPTRGTKSYDQNVRWKDTEVHKKYSSCDDPNWGPPCSGFVEMMAPVFTRDAWRCVWYLIQNDLVHAWGLDLNFHRCVDDYKEHMGIVDAQYVAHHRVKTLEGQGDGEKEGSSAGVRARQLDERRIFYDRLANADRELANSTASKTSG* >Brasy5G111600.1.p pacid=40073071 transcript=Brasy5G111600.1 locus=Brasy5G111600 ID=Brasy5G111600.1.v1.1 annot-version=v1.1 MLIRSQAKLICSACSFMKYWACLHIGAEGHMLLEAARALPEEAVKHQPAQAALDMNRIKGKPKMMMIWFDGCDQAFVEVTNSFLRWFDSASQVFGTAPCPVGNFTCSF* >Brasy5G086000.1.p pacid=40073072 transcript=Brasy5G086000.1 locus=Brasy5G086000 ID=Brasy5G086000.1.v1.1 annot-version=v1.1 MAVGSAASERKVRLWELALRCLICLLGTLAAALVATDTQTRTFFSLEKKARYTDMKALVLLVAANGAAAAYSLLQAFRCAISIARGSGDGGSGGSKVLAWSVFSGDQLLAYATLAATAAAAQASAIGKKGQPELQWMGICDLYGAFCRQVGGGIACAVAAGAAAVALAPVSAFNLFRLYGGGKGSGGDARNGGATW* >Brasy5G297400.1.p pacid=40073073 transcript=Brasy5G297400.1 locus=Brasy5G297400 ID=Brasy5G297400.1.v1.1 annot-version=v1.1 MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGNPVNAVKWNHTNLIIASAGDDKKISLWHKKGKNVGQLPTSTIDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSGSTTLSLKGSLTSNILMNLQNKGVLSNAHSSLETSSASLQSSISSSFMSKTLASVSSDLPGAAQSSSSWKPSTFTDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEMETSGVMKLVLEKLEGLTKEVQQLRRENQQLRHQLL* >Brasy5G297400.2.p pacid=40073074 transcript=Brasy5G297400.2 locus=Brasy5G297400 ID=Brasy5G297400.2.v1.1 annot-version=v1.1 MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGNPVNAVKWNHTNLIIASAGDDKKISLWHKKGKNVGQLPTSTIDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSGSTTLSLKGSLTSNILMNLQNKGVLSNAHSSLETSSASLQSSISSSFMSKTLASVSSDLPGAAQSSSSWKPSTFTDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEWKPID* >Brasy5G297400.6.p pacid=40073075 transcript=Brasy5G297400.6 locus=Brasy5G297400 ID=Brasy5G297400.6.v1.1 annot-version=v1.1 MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGNPVNAVKWNHTNLIIASAGDDKKISLWHKKGKNVGQLPTSTIDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSGSTTLSLKGSLTSNILMNLQNKGVLSNAHSSLETSSASLQSSISSSFMSKTLASVSSDLPGAAQSSSSWKPSTFTDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEVP* >Brasy5G297400.3.p pacid=40073076 transcript=Brasy5G297400.3 locus=Brasy5G297400 ID=Brasy5G297400.3.v1.1 annot-version=v1.1 MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGNPVNAVKWNHTNLIIASAGDDKKISLWHKKGKNVGQLPTSTIDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEMETSGVMKLVLEKLEGLTKEVQQLRRENQQLRHQLL* >Brasy5G297400.4.p pacid=40073077 transcript=Brasy5G297400.4 locus=Brasy5G297400 ID=Brasy5G297400.4.v1.1 annot-version=v1.1 MYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSGSTTLSLKGSLTSNILMNLQNKGVLSNAHSSLETSSASLQSSISSSFMSKTLASVSSDLPGAAQSSSSWKPSTFTDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEMETSGVMKLVLEKLEGLTKEVQQLRRENQQLRHQLL* >Brasy5G297400.5.p pacid=40073078 transcript=Brasy5G297400.5 locus=Brasy5G297400 ID=Brasy5G297400.5.v1.1 annot-version=v1.1 MYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRVTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVASLCWQRSKPVIVNENSSSEVALLGGTSEDSILMPDPLPSATPSTLTSGAGIPSLRSSLTANTSGFLSTSDLSTTEETPYRTRPLSGGPLSKLQAPRGNFSIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKHGDKKLSATRKFPYMEGNNEPHPMADWRSFSNSRQDDASSVSTTPLPSWKSEPSISSPETSTGNALPDRVPHRQQISRFGQSAFQTGSLAFAGLHDSGSTTLSLKGSLTSNILMNLQNKGVLSNAHSSLETSSASLQSSISSSFMSKTLASVSSDLPGAAQSSSSWKPSTFTDKLSTSSVFSEGLASAFGSPKSKKTGAETKDELISGLLSRQEAATASSSGSLLATNGVVPPQFPTSGLSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEWKPID* >Brasy5G360000.1.p pacid=40073079 transcript=Brasy5G360000.1 locus=Brasy5G360000 ID=Brasy5G360000.1.v1.1 annot-version=v1.1 MSAHFRVRALAPFSKALGLSGKRAAPVGGELGYARAVAGLWARRARLGGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGRPKGIPPNLLQLALKYNENKMVCRKCYARLSARATNCRKKKCGHTNELRPKKKFV* >Brasy5G194600.1.p pacid=40073080 transcript=Brasy5G194600.1 locus=Brasy5G194600 ID=Brasy5G194600.1.v1.1 annot-version=v1.1 MADTRRAAALCLLLPLLVLSLANPSLVEAGCRYTYPWMPFCKGWMCKAECWAEAKLDFASLKEHYCVKGGFKGYCYCMFCGKHLKDHDDEVQGEKPKELLH* >Brasy5G389400.1.p pacid=40073081 transcript=Brasy5G389400.1 locus=Brasy5G389400 ID=Brasy5G389400.1.v1.1 annot-version=v1.1 MAAEGSGRPTATTVQCRGRRFLGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEDAATAYDEAARALRGDNTRTNFAARHGATSCPARARVSKNLQHVMARAAAAGRSSLASACGDQQFALAAVFRHWQTPPEQQQMPGAVGGETVLHVANAVQPSFVVPRRTEAPPPVTPGAGDVWGADMGVLELPTGSGGETDGEKSFKVSSSLIVPSKFGAAASESFGLEEF* >Brasy5G232700.1.p pacid=40073082 transcript=Brasy5G232700.1 locus=Brasy5G232700 ID=Brasy5G232700.1.v1.1 annot-version=v1.1 MGIFCESVKTEGGVFHLKLTGGAADADGHHYLTMEGLAELRHELLQIRERAAGSPSVPCRGLITYSTGGSFCDGIDYATIRSGQGPPLAKQAKELSDAMAAVTRELMLMPMPTVCAATGNAWSLGLTLALAHDDLVVLGGGSYKLGMVEEGVAVEPHVAALVWEKTERWYTLTTLKSRDRSGNWMKYYNFADAAADSPDSVLREAERLVAEWWDGRTGISDGEVRASMRRQLYCESWKAACDVVPNMI* >Brasy5G269400.1.p pacid=40073083 transcript=Brasy5G269400.1 locus=Brasy5G269400 ID=Brasy5G269400.1.v1.1 annot-version=v1.1 MIFSMDLNASPLPEEDEQPYEEPVEVDYAQEEHVESAVATMHREREERRMKLKREQQDEGSRLHSQQIRNDHAPQIKVARGRIKETPQGWLDCPGFGEPIDRIIPSKVPLDETFNESVPPGKRYSSKQVIGKQRKAGRDIGLVIDLTNTSRYYSPAEWTKQGTKHVKIPCKGRDTVPDNESVNEFVYEITRMVHTGCILISVFLEQYAGGTNLMVMMFLERQKQSKSPKYILVHCTHGHNRTGFMIIHYLMRTHISSVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPGNMIVTCPSTPEWKRPSDLDLNGEAKLDDDDDNADLAPTHNEVEEKVITNDDVLGDALPYYQQEALRIVCYRLLELPPARGHAQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFSFRRVQMRFPRRNLNEGLHDMTLIDGEMIIDTVPGSGLKRRYLAYDLMALDSVSKTKLPFSERWRLIEDEIIRPRYCERKQFESGAKSNPIYKYDMELFSARRKDFWLLSTAKRVLKKFIPSLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGNDNRQLVFLYERGKKKLMDGSRMVFPNEEDPSSISGRIVECSWSKEDQCWVCMRVRSDKSTPNDINTYRKVMRSITDNITEDKLLEEINEISNLPMYDDREKMAQQQAHAKMAQHQAHAKMAQHRRMPPQ* >Brasy5G269400.2.p pacid=40073084 transcript=Brasy5G269400.2 locus=Brasy5G269400 ID=Brasy5G269400.2.v1.1 annot-version=v1.1 MIFSMDLNASPLPEEDEQPYEEPVEVDYAQEEHVESAVATMHREREERRMKLKREQQDEGSRLHSQQIRNDHAPQIKVARGRIKETPQGWLDCPGFGEPIDRIIPSKVPLDETFNESVPPGKRYSSKQVIGKQRKAGRDIGLVIDLTNTSRYYSPAEWTKQGTKHVKIPCKGRDTVPDNESVNEFVYEVMMFLERQKQSKSPKYILVHCTHGHNRTGFMIIHYLMRTHISSVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPGNMIVTCPSTPEWKRPSDLDLNGEAKLDDDDDNADLAPTHNEVEEKVITNDDVLGDALPYYQQEALRIVCYRLLELPPARGHAQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFSFRRVQMRFPRRNLNEGLHDMTLIDGEMIIDTVPGSGLKRRYLAYDLMALDSVSKTKLPFSERWRLIEDEIIRPRYCERKQFESGAKSNPIYKYDMELFSARRKDFWLLSTAKRVLKKFIPSLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGNDNRQLVFLYERGKKKLMDGSRMVFPNEEDPSSISGRIVECSWSKEDQCWVCMRVRSDKSTPNDINTYRKVMRSITDNITEDKLLEEINEISNLPMYDDREKMAQQQAHAKMAQHQAHAKMAQHRRMPPQ* >Brasy5G107700.1.p pacid=40073085 transcript=Brasy5G107700.1 locus=Brasy5G107700 ID=Brasy5G107700.1.v1.1 annot-version=v1.1 MRSRRGRLRRRCSLWCGRRRTRSSRKCLWAGGCWRRQRPTRDAGSRMTLRYWRMEGRNKYRARGNQVGHQIAPARIFKRAVQL* >Brasy5G046500.1.p pacid=40073086 transcript=Brasy5G046500.1 locus=Brasy5G046500 ID=Brasy5G046500.1.v1.1 annot-version=v1.1 MDLSTGLQSPRLSCPLGLPHHGGSSPPRKASAAATPQPRLALGLGGGLAAPVRALVSSSSSSKPSPGTGTWRGEALIIDTVRDSLERQEDSIIVSLVERAQYGYNHEAYYPTCFPITGFDGSLVEYLVIESETTHAKIGKYKSLDETAFYPRLLMEPVVPPFQCPRVLHPAAASININEKVWKVYFDELLPKLVKEEDDGKCVSSAECDIAILKALSKRIHYGKYVAEAKYQEAPDKYEPAIREQDKDKLMEMLTYPEVEKKVTDRVKTKAMMHGQRVDPKDPLKVSDTLAPKINPELAGELYDKWVMPLTKQVQVQYLLRRLD* >Brasy5G255100.1.p pacid=40073087 transcript=Brasy5G255100.1 locus=Brasy5G255100 ID=Brasy5G255100.1.v1.1 annot-version=v1.1 MNHHLSLLPLPRSQSSHISPSPLCLSLEHSHPHCAAPVASGRVERERRLPSNLTVAAGHPARLRRTYKTIYVVKVGNCSIMPIYNSSEARGSREPTSPAPSTSSPRSREASDLAEVDDPESVMSTVARLLEQLHASMTSPSEKEVSTRQLLELAKVKKEARVLIGSHSQAIPLFVSILRSGTSSAKVNSAAVLSALCKEEDLRVKVLLGGCIPPLLSLLKSESAEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARLISAFGDSIPKIIDAGAVKALLQLLSRDDDIAVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGDTCHSLQSHAVCALSNICGGTTSLLLYLGEQCQSPRSPVPLADILGALAYTLMVFDGTDGKSFDPVETENILIVLLKGHDSKLVLDRILEALASLYGNVSLSGRLDHSNAKKVLVGLVTMASNDVQEHLVRALTSLCCDGLGMWEAVGKREGVQLLISLLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHIMCNLCLHSDDIRACVESAGAVLALLWLLKSGSPRGQEASVKALKKLIWSSESATINQLLAILLSDSPSSKAHAITVLGHVLVLAPQRDLIQNGAPANKGLRSLVLILDSSNEETQEYAATVLADIFSTRQDICDILATDEIVHPCMKLLTSGNQVIATQSARALGALSRSANTTSKNKMSFIAEGDVQPLIEMAKTSSIDAAEAAIAALANLLSDAQIAKDALDDNIVQALTRVLKEGSLEGKISASRSLYQLLNQFPLSEVFPDYALCCFIIQALLVCLSGISLENVTSLDPLDVLAFMAMTKEDAHFSSPLWAAFLEVPESLEPLVHCISVGLPPIQDKSILIFARLCQDQSSLLGEHINRSQGCIGSLATRIMESTNMEIRIGSAITLISALKDNREHSIEVLEVSGHLKNLISALIDMLKEQSTSTSLDIEVWKPYTEKSLYNCEQDVPDSGKVLEETVPLLLSLICSSSPRSKLTVMDLGGIDIVSDKLAGHTANRQEQDEDSESVWSCALLLATLFQDSVVIQSSAIMRILPSLASLLRSDKIMDKYFAAQSLASLICTGSRGIQLAIANSGAAAGAIAMIGQVESDMPNLVTMAEEFKLAENPSQIILRSLFELEDVRTGATARRSIPLLVDILKPMPDRPGAPLVALHLLTQLAEGSETNKVLMAEAGALDALTMYLSLSPQDSTETTIINLLGILYRNPDLLYHETSLSTLNQLVAVLRLGSRNSRLSAARALQYLFDSENIRDTEVARQAVQPLLDMLESGTEIEQQATLGALIKLSSGNASKASAMFDIEGNTLESLYKILSFSSSLDLKKDAAQLCYILFENSVVRASPIATECLQPLISLMASGSSLVVEPSVCALNRLLDEEYNAEIAATIEVVDLLVSFVPGTNYQLSEASIAALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSNAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKSTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRNSSDNYVKVSMAVLVRLLNSTMESTVTIALGALLVQEKSNSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNARVRETKVAKHSIAPLSQYLLDPQSKNQPAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELILSPNIDIAGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSTSTINEVILKTIYVIFSNFRKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHDRADSLLHCLPGCLTVTILRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNNSM* >Brasy5G255100.2.p pacid=40073088 transcript=Brasy5G255100.2 locus=Brasy5G255100 ID=Brasy5G255100.2.v1.1 annot-version=v1.1 MICLIWTNNAQRRWQVLCCDSGGIGPMEWRTYKTIYVVKVGNCSIMPIYNSSEARGSREPTSPAPSTSSPRSREASDLAEVDDPESVMSTVARLLEQLHASMTSPSEKEVSTRQLLELAKVKKEARVLIGSHSQAIPLFVSILRSGTSSAKVNSAAVLSALCKEEDLRVKVLLGGCIPPLLSLLKSESAEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARLISAFGDSIPKIIDAGAVKALLQLLSRDDDIAVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGDTCHSLQSHAVCALSNICGGTTSLLLYLGEQCQSPRSPVPLADILGALAYTLMVFDGTDGKSFDPVETENILIVLLKGHDSKLVLDRILEALASLYGNVSLSGRLDHSNAKKVLVGLVTMASNDVQEHLVRALTSLCCDGLGMWEAVGKREGVQLLISLLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHIMCNLCLHSDDIRACVESAGAVLALLWLLKSGSPRGQEASVKALKKLIWSSESATINQLLAILLSDSPSSKAHAITVLGHVLVLAPQRDLIQNGAPANKGLRSLVLILDSSNEETQEYAATVLADIFSTRQDICDILATDEIVHPCMKLLTSGNQVIATQSARALGALSRSANTTSKNKMSFIAEGDVQPLIEMAKTSSIDAAEAAIAALANLLSDAQIAKDALDDNIVQALTRVLKEGSLEGKISASRSLYQLLNQFPLSEVFPDYALCCFIIQALLVCLSGISLENVTSLDPLDVLAFMAMTKEDAHFSSPLWAAFLEVPESLEPLVHCISVGLPPIQDKSILIFARLCQDQSSLLGEHINRSQGCIGSLATRIMESTNMEIRIGSAITLISALKDNREHSIEVLEVSGHLKNLISALIDMLKEQSTSTSLDIEVWKPYTEKSLYNCEQDVPDSGKVLEETVPLLLSLICSSSPRSKLTVMDLGGIDIVSDKLAGHTANRQEQDEDSESVWSCALLLATLFQDSVVIQSSAIMRILPSLASLLRSDKIMDKYFAAQSLASLICTGSRGIQLAIANSGAAAGAIAMIGQVESDMPNLVTMAEEFKLAENPSQIILRSLFELEDVRTGATARRSIPLLVDILKPMPDRPGAPLVALHLLTQLAEGSETNKVLMAEAGALDALTMYLSLSPQDSTETTIINLLGILYRNPDLLYHETSLSTLNQLVAVLRLGSRNSRLSAARALQYLFDSENIRDTEVARQAVQPLLDMLESGTEIEQQATLGALIKLSSGNASKASAMFDIEGNTLESLYKILSFSSSLDLKKDAAQLCYILFENSVVRASPIATECLQPLISLMASGSSLVVEPSVCALNRLLDEEYNAEIAATIEVVDLLVSFVPGTNYQLSEASIAALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSNAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKSTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRNSSDNYVKVSMAVLVRLLNSTMESTVTIALGALLVQEKSNSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNARVRETKVAKHSIAPLSQYLLDPQSKNQPAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELILSPNIDIAGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSTSTINEVILKTIYVIFSNFRKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHDRADSLLHCLPGCLTVTILRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNNSM* >Brasy5G385200.1.p pacid=40073089 transcript=Brasy5G385200.1 locus=Brasy5G385200 ID=Brasy5G385200.1.v1.1 annot-version=v1.1 MDDDPVRRRRLLALPGPRAPADLPASSYGNRVPPSFLLPPVHPAPVRFGQGAFGYYQAPPPPASGGGGGAVSSHHARSLSQPQFSSMDFLCGPTSYADPATPTPIAFARPQPPPANSPSGLPPLRAGHRRSQSDFQLGFSQPNLQMPPPAPVNPQTPAPEGRESVKANKNKTLADGGPGWHKSPKGLGVAGCSADGAQERRDQVDSQVRGPRAWSPADSSDNETESADGSVPRHGRSLSADSFVGKLTFGSVGLVSSNLPPSSPGKEAPGKLARSGSGSIGGAAALVATDIAIGGFSEADKKKIMENERLAEIVLTDPKRVKRILNNRVSAAKSKERKVRYMSELERKVQVLQKETATLTGQVAMIQRDHSVLSTHNNELKIRLRAMEQQAQLRDALSETLNSEVQRLKLAAGEISDPHVPNGSQQQMSSQMIQLQQLQILKQSSQTQQAQQQPQHSVQPGAQQQQWNV* >Brasy5G458500.1.p pacid=40073090 transcript=Brasy5G458500.1 locus=Brasy5G458500 ID=Brasy5G458500.1.v1.1 annot-version=v1.1 MEALLSYPCSPLISFPAQHEESSCLLWPNQLVFPHENAHIYDANPPVDDRWQDHRFLATMDVVQEADDLLQDDFPGAKIIEGDNLAVAVQDDSLVMEDSSSLDDLLMASATAVEAGDMVHASAILEKIDSIVLDGIMGGRYGAVGSSSFDHLACYFASSLRTGIARARTECHPLALASRLPAYQMLQELSPFIKFAHFTANQAILEAILDEGGVHVVDLNVGDGVQWSSLMSDLVRHSGNKPFRLTALGSTTGTATPGRWLSEFAESLGLPFRYDEVEDLHKLTEIISGGSSVIVSCDATGMSHTPAMETSQTLPLLTGVVKVLQPKLVILIEDELSRLGRTPPPPLAGSASFVELFSEVRRHFMAVQESLASCFSGASYKARLRLVEEEILGSSIEGAITATWPAHGSMTGGTDAGPVASIGFPGPGYRTCEMSGFNIVQAKMLAGLFSRGFGVLHDKGRLALCWKDRPLTSVSAWSPL* >Brasy5G194800.1.p pacid=40073091 transcript=Brasy5G194800.1 locus=Brasy5G194800 ID=Brasy5G194800.1.v1.1 annot-version=v1.1 MLVYQDKITGDELLSDSFPYRELENGVLWEVDGHWVVQGAVDVDIGANPSAEGGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVAYIKRFIKNLTPKLEADEQEVFKKNVEGATKFLLSKIKDLQFFVGESMGDDASVVFAYYKEGATDPTFLYFAHGLKEVKC* >Brasy5G178800.1.p pacid=40073092 transcript=Brasy5G178800.1 locus=Brasy5G178800 ID=Brasy5G178800.1.v1.1 annot-version=v1.1 MSSCTHLFLLLTVTFLPPATALSSINPGGGHHPNATQSSNSSYQTYILLVNPPPFIDTASENEHRLWHESFLPSSLTESGEPRLVHSYTEVFSGFAVRLTNSELSLLSKKPGFVRAFPDRIFQPMTTHTPEFLGLDKDEGYWSGVGYGKGTIIGVLDAGIYAAHPSFDDTGIPPPPAKWKGSCQGSSARCNNKLIGAKFFAGNDSGDDIGHGTHTASTAAGNFVSGVSAHGLGMGTAAGIAAGAHVAMYKVCTIVGCATSALLAGLDAAIKDGVDVISLSLAPFKSLRFDEDPVSIGAFSAVSKGIVVVGAAGNNGPKGVLANDAPWILTVGAGSVDRNFRVVMQLGNGYQINGEAFTQVLANSSSKSFPLYMDEQQNCKSFGQGSVTGKIVICHDTGSTTKSDIRGIISAGAAGVVLINNEDAGFTTLLQDYGAGLVQVTVADGNIIKKYVLSGSKASASFIYKNTLLGIRPSPTVASFSSRGPSKYCPGVLKPDILAPGLNIIAAWPPVTNFGTGPFNIRSGTSMSTPHISGVAALVKSSYPDWSAAAIKSAILTTSDATDSNGEPILDEQHRRATAYATGAGHVHPDRAIYPGLVYDLGVTEYAGYICALLGDHALATIVRNSSLTCKNLKKVPEAQLNYPTITVPLKPTPFTVNRTVTNVGPANSTYELRLDVPESLKVHVLPETLVFSKAGEKKSFSVTVSGGGVEGQKFVEGSLRWVSSKHIVRSPIVAVAGLGST* >Brasy5G192400.1.p pacid=40073093 transcript=Brasy5G192400.1 locus=Brasy5G192400 ID=Brasy5G192400.1.v1.1 annot-version=v1.1 MDEASSRWDFPTDVFVEILVRLPPNARRRFRLVCRHWRDTIGRRTATDMRMRTKALVVTTKGFTAYVVDLLQPPGRHSLDLWRAMDLSTAQRYRAMSVVNTCNGLVCLCDDTEPGGAITIANPATGEALAVPPVPRDASWLGRSRSRSWHQTYDFAYHPMTGRYAVVHVPACIDRLWEPGTVHVFTLGETAWRSVSTGRVDTRCGNGPCRLANVDGTAYWITEDAARRPQIMSLDLKDERVAPIEPLLMVALQPASCHLTKVRGRLAVVDKDSMTVWVLEGERWRLGYIMETLRLRQQKRRLWGDLALPHFATGDYILMHKQKPNSVLGEKCVLYRHRTESNQTRLHSQGFVVAQIGDHEDHEPLGVYGLDTATGGGSTGS* >Brasy5G210000.1.p pacid=40073094 transcript=Brasy5G210000.1 locus=Brasy5G210000 ID=Brasy5G210000.1.v1.1 annot-version=v1.1 MENFTLLKDLMICECLNISSLPTLPQALEEFTLKWCSDELIKTCQTIGHPNWQKIENVPKKEFICPQGYELNLLRIRNKRASLGTDIRRR* >Brasy5G516600.1.p pacid=40073095 transcript=Brasy5G516600.1 locus=Brasy5G516600 ID=Brasy5G516600.1.v1.1 annot-version=v1.1 MGWVDLWRGIIFCDLLREPLTLRSIPLPLPMTQIIGREHFGRGMNSRGVAFVKAGGGGCLKFVELELDAVEVSGVKDDETGFPIVLVKGWSVTTWSNAGMSSRYEDWQEDLPAPVQAFQIRPDDSAVFSYSQPGAGLRLVLPAQGGGYCCEKAATTTTHSLQKLLVSDPCPSLDDDDVVYLIARPKLWYAKTWMLALDMKKKTLQAVVPFAILEEPDPNVIYCTSTLQH* >Brasy5G516400.1.p pacid=40073096 transcript=Brasy5G516400.1 locus=Brasy5G516400 ID=Brasy5G516400.1.v1.1 annot-version=v1.1 MASESNYPPRYQDEDEDDDDEEEEDEDEDEFHEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIRGCARTRRAAAEATVGPDLARAATVPELLRAAAAAGDRLRRLGAFDSVSITLDAAPPGASADGVLVLVDVAEARGGAGAGGLGVFANAETRSCSVDGSLRFKNLFGYCETWDASGALALDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTITDPARKSSNSIREQLGHSLLSSIKYTYKIDQRDSSIRPTRGYAFLSSSQIGGLAPDSKNTRFARQELDLRVAIPLGVWNGALNAGVAAGVIHPLARGATGSISPLPERFYLGGNRSLVCRLGGPSSLLGFKSRGLGPTDSQTCAPKNSENDTSTSELDAMGGDIALTAFADLSFDLPLKPLRELGIHGHAFVSAGNQANLTECDIRKFPLTEFLQTFRSSVGVGVVVPTRLFRMEMNYCHILKQFDHDRVKTGIQFNFSSP* >Brasy5G138100.1.p pacid=40073097 transcript=Brasy5G138100.1 locus=Brasy5G138100 ID=Brasy5G138100.1.v1.1 annot-version=v1.1 MEQEKLDSFNLPYYTPSMREVMTLINTNKLFDIEHIGLFECNWDPQDDSDGDIVLDCHSSGENVAKCIRGVMGPLIIDHFGEDIIDELFTVFASTVTKHLQKARAKYPIIVVSLKKVVH* >Brasy5G318300.1.p pacid=40073098 transcript=Brasy5G318300.1 locus=Brasy5G318300 ID=Brasy5G318300.1.v1.1 annot-version=v1.1 MNTARYTGGKVTGYGRGATGFGRRSKCNVVKASAAATASRAPDYYKVLSLERTADVGAEEVKRAYRRLALQCHPDVCPPSRRAESTELFVELRRAYETLSDPATRVRYDAELRTTGQVVGGGRRPSAADAFARDVWEAQLSVLRARSERRQKARRCGSGRC* >Brasy5G097200.1.p pacid=40073099 transcript=Brasy5G097200.1 locus=Brasy5G097200 ID=Brasy5G097200.1.v1.1 annot-version=v1.1 MAGGGLFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGQVESSSTSNTAATSAGKRQ* >Brasy5G069300.1.p pacid=40073100 transcript=Brasy5G069300.1 locus=Brasy5G069300 ID=Brasy5G069300.1.v1.1 annot-version=v1.1 MDADRGCWWSHASDRQVTCHAAGRELRMAAGRELSKAAGRELQRQSRAGGQPHRQDPRRRRRRIKKKPAATFSSSTFTHDTAPATTTPSTRSSACGSALGLRRFEKSTNLDVELGIGGIPG* >Brasy5G165600.1.p pacid=40073101 transcript=Brasy5G165600.1 locus=Brasy5G165600 ID=Brasy5G165600.1.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRSTPPLHAPLHALPLPARLQPFDLAHFLGVLFSAALDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.17.p pacid=40073102 transcript=Brasy5G165600.17 locus=Brasy5G165600 ID=Brasy5G165600.17.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRSTPPLHAPLHALPLPARLQPFDLAHFLGVLFSAALDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.4.p pacid=40073103 transcript=Brasy5G165600.4 locus=Brasy5G165600 ID=Brasy5G165600.4.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.6.p pacid=40073104 transcript=Brasy5G165600.6 locus=Brasy5G165600 ID=Brasy5G165600.6.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.5.p pacid=40073105 transcript=Brasy5G165600.5 locus=Brasy5G165600 ID=Brasy5G165600.5.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.7.p pacid=40073106 transcript=Brasy5G165600.7 locus=Brasy5G165600 ID=Brasy5G165600.7.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.8.p pacid=40073107 transcript=Brasy5G165600.8 locus=Brasy5G165600 ID=Brasy5G165600.8.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.9.p pacid=40073108 transcript=Brasy5G165600.9 locus=Brasy5G165600 ID=Brasy5G165600.9.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.10.p pacid=40073109 transcript=Brasy5G165600.10 locus=Brasy5G165600 ID=Brasy5G165600.10.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.11.p pacid=40073110 transcript=Brasy5G165600.11 locus=Brasy5G165600 ID=Brasy5G165600.11.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.12.p pacid=40073111 transcript=Brasy5G165600.12 locus=Brasy5G165600 ID=Brasy5G165600.12.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.13.p pacid=40073112 transcript=Brasy5G165600.13 locus=Brasy5G165600 ID=Brasy5G165600.13.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.14.p pacid=40073113 transcript=Brasy5G165600.14 locus=Brasy5G165600 ID=Brasy5G165600.14.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.15.p pacid=40073114 transcript=Brasy5G165600.15 locus=Brasy5G165600 ID=Brasy5G165600.15.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGREYDCDTISEDYPDDDGRRSHQLYPTEKSSDRYYDAGSTNVKKRHRKDKYCSPTREKPTYSSEQELVDQKHSVEFGSCGREMTNHYCKQSASEWHGIPDNSIQDSKQRSKQHDRSTSGRRDESGRKFRSDYSENSRHQSRKEKIHTDKADDGHKSSKNTYGHKHRVQETDYKRDSKVTITSQSSRHGTTKVGSSNGHLGRDRVMGDGRHGDDERDHYRHKRKGRH* >Brasy5G165600.18.p pacid=40073115 transcript=Brasy5G165600.18 locus=Brasy5G165600 ID=Brasy5G165600.18.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRSTPPLHAPLHALPLPARLQPFDLAHFLGVLFSAALDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGR* >Brasy5G165600.19.p pacid=40073116 transcript=Brasy5G165600.19 locus=Brasy5G165600 ID=Brasy5G165600.19.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRSTPPLHAPLHALPLPARLQPFDLAHFLGVLFSAALDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGR* >Brasy5G165600.2.p pacid=40073117 transcript=Brasy5G165600.2 locus=Brasy5G165600 ID=Brasy5G165600.2.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGSACPNSQVTV* >Brasy5G165600.3.p pacid=40073118 transcript=Brasy5G165600.3 locus=Brasy5G165600 ID=Brasy5G165600.3.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGSACPNSQVTV* >Brasy5G165600.16.p pacid=40073119 transcript=Brasy5G165600.16 locus=Brasy5G165600 ID=Brasy5G165600.16.v1.1 annot-version=v1.1 MSVRFRYRSSPRFETLDLGGAGAVSVRDLRARILGPALRRHSGLLISDAATNRTLDDDALLIPAGSDLVVKRVPIESVDGRGDSSPAPPEKTAEEQHGCGLGERGDGIALLPPTSHKFDGTSKALCPGVETDLSESYSYADDVDKLARSYEKRCSDTSCPRYLNIEGSGLGEAMPEEIVVDNSTEVLGSEEGKREIETSSKARELEKMGQSVTKSAQVVTNMDSPAELRCFLCETIFEDAVMIPCCQHSFCNKCIISALAEIKKCPKCSSTRCTTNDLLPNLSLRKAIEHFLDDTGPMYAPDVESCIEAKESSCALSIHQQEQKLPCSPSVTGKDFNQTMSPVKQVKKSSSIKIRMDGNKPTETASALPQEAPRNVDFQGSASSSKLYQNIAQESEVLHTVEAKSFRRNSWDADGNRFAAPVTRDRKGGRTCYRCGSPDHLIRYCPVASNEQSEDSTFDGDAYGPPNWQGSMFHPLQPYANIYGIPGVIPFDPGVVPASPFGVPSYMPPFYGRMQNPYAFMGMRSMPSPVLPVLQQSHTGLGIHDNVKSQKVPSERGGR* >Brasy5G413400.1.p pacid=40073120 transcript=Brasy5G413400.1 locus=Brasy5G413400 ID=Brasy5G413400.1.v1.1 annot-version=v1.1 MATRDKPTAAVDPDTALAHKFPEVSFSYDERDVALYALGVGACGTDAVDEKELHFVHHRDGQRHIKALPTFASLFPNKNSNGLGFVNVPGILFDASLLLHGQQYIEIYKSIPSCASVVNKVKVAGLHDKGKATILEIETTTFLKDSGEALCMNRSTIFLRGAGGFSDSSQPYSYSTYPANQISRVSIPNSAPSAVYEDSTQQSQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLCTLGFATRAVIKSFCNGDPSAVQNIFGRFLLHVYPGETLVTEMWLDGQRVQYQTKVKERNRAVLSGYVLLKHIPSSL* >Brasy5G369200.1.p pacid=40073121 transcript=Brasy5G369200.1 locus=Brasy5G369200 ID=Brasy5G369200.1.v1.1 annot-version=v1.1 MEISPNPAPAGDSGAAPAAASASSANLSPPIKRPSTTLRLLCPSSRAAALRPARDLHVEHPPVGDEAVLVVSGPDAPAAAVRVWERVVGHRVGGDDAGEGEEEKEVTGVVGCRMLAAGGQVGCVLGKGGKTVERMRQESGAQIRVFRNKDQVPPCALQGDELIHISGSFSAARKALLLVSTCLQDNPRLETSNFSTGRSFGPPGSGVGCPPGVDSHSQRSYLPPHIPDYHARNFSSNVAAPGPRFFIEQEIVFRMICLNEMVGGIIGKGGATIRALQSDTGASVKVLDAVADSDERVIVISARENSEMMHSPAQDAVLRVYSRISEASMDKSSAVPARLLVPSQHIGCLLGKGGSIIAEMRNVTGASIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVVIPPKPHPSGGMPPYPPAGSTPHHQSRQEPAPPHHSGGMPPYPMHPFRPNHPMGPFDVADHRPPGQHPAHPMEHMGADRIPYSYGCEQGGPRPFLEQPSPRTWAPEAQTADAPRSIPDKGLAMDSRKGSVAGSENQVATPTSTTTEVVIPCKYIGFICGTNGNDLAEIQKLSGAAITVHDPKPGDTDASVFVCGDAEQTKKAQSLIHAFIFCGLYQK* >Brasy5G369200.2.p pacid=40073122 transcript=Brasy5G369200.2 locus=Brasy5G369200 ID=Brasy5G369200.2.v1.1 annot-version=v1.1 MEISPNPAPAGDSGAAPAAASASSANLSPPIKRPSTTLRLLCPSSRAAALRPARDLHVEHPPVGDEAVLVVSGPDAPAAAVRVWERVVGHRVGGDDAGEGEEEKEVTGVVGCRMLAAGGQVGCVLGKGGKTVERMRQESGAQIRVFRNKDQVPPCALQGDELIHISGSFSAARKALLLVSTCLQDNPRLETSNFSTGRSFGPPGSGVGCPPGVDSHSQRSYLPPHIPDYHARNFSSNVAAPGPRFFIEQEIVFRMICLNEMVGGIIGKGGATIRALQSDTGASVKVLDAVADSDERVIVISARENSEMMHSPAQDAVLRVYSRISEASMDKSSAVPARLLVPSQHIGCLLGKGGSIIAEMRNVTGASIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVVIPPKPHPSGGMPPYPPAGSTPHHQSRQEPAPPHHSGGMPPYPMHPFRPNHPMGPFDVADHRPPGQHPAHPMEHMGADRIPYSYGCEQGGPRPFLEQPSPRTWAPEAQTADAPRSIPDKGLAMDSRKGSVAGENQVATPTSTTTEVVIPCKYIGFICGTNGNDLAEIQKLSGAAITVHDPKPGDTDASVFVCGDAEQTKKAQSLIHAFIFCGLYQK* >Brasy5G268400.1.p pacid=40073123 transcript=Brasy5G268400.1 locus=Brasy5G268400 ID=Brasy5G268400.1.v1.1 annot-version=v1.1 MRPRSQLHGRHGLLFFFFLVVVFLLLRFQPGETSALATFAMAKANDTTVVCGLLPSSSSPLLLDLNCTAMAGAGAGGDHEKQETYPSTHPFSALAGGEDFLCAVGPSSARADAVEMRWWDLSSSSKNSTPSHGGRSKRVYLGPPLRALASSGYRVCGVMAGGELHCWRWHGKLAIPAELRFVSVAVGKGFVCAIVDGEAATPVRCFGNEEDEDAGKVLDVVSDAPHGGSYDVVAAHGRRACALSTGGGISCWGAGAPELEKNAATSGYAALALGEGGVCGLRTNGTIRCFGAAVASPPGDLAGLQYIDVQAQGEEFCGVLMANYSLVCWGGRGHGDTTSRVVFDRVLPGPCAPMPTCQCGVLPGSANLCPSNRCICVDCAFELNIAVPKPAALPPEPNPTGTRKKNIIWIVVSAATFLVLLLASQLLLFLFCRRRRRRQRRRKEDENNDLGALQSLMMPRLGSSRSKGPGSIVEHFTLDTLRASTDGFDDERRIGSGSFGSVYRGTLPDGREVAIKRAEDHANKSSSSAAKPARRRDRETAFNSELVALARANHKNIVCLLGCCAEAGERALVYEFMVNGTLHDQLHDRTPMAAPVLSWRGRLAIALDAARGIEYMHVYAVPPIIHRDVKSANILLDETWTAKIADFGLSSVLDPAGDCNEDNNNNGAGSGNDDVQQRERPVYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPCILADDVARVLDPRLPAPGANEAEALAYVGYLAADCVGPVGCERPSMTEVVDALERALAACSTPPAVSRSGTARRVLSRSGTDQFDLTDTD* >Brasy5G024800.1.p pacid=40073124 transcript=Brasy5G024800.1 locus=Brasy5G024800 ID=Brasy5G024800.1.v1.1 annot-version=v1.1 MHLLLPRCSPPLQPQLRHFSPSSEAEAKSEEAMLLLSLSTRPILSPFLRKPKPFSSASASALTPPIPRGPATATATDVPEDPTPLFLRPPTHPVPASSLAAFRRRAAALVPPSAPHLHRHLRWLLADASSSSSTANPYPALLLLRAPLDELEALWLRHVRDRRPFQYVVGNEHWRDLVVAVRDGVLIPRPETEAVVDMVGSVEGFEKGWWADLGTGSGAIAVAVARMLGPRGRVFATDVSEVAVEVARLNVERYGMQDKVEIRHGSWFEPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKDGLDHLLHLCEGLSSALKPGGFFVFETNGNKQSEFLVDFISTKWSSSFCNVETVLDFADIKRFVRGYRR* >Brasy5G201500.1.p pacid=40073125 transcript=Brasy5G201500.1 locus=Brasy5G201500 ID=Brasy5G201500.1.v1.1 annot-version=v1.1 NSAGNRARRPRTQKSAGETEIGGRGREIGGKTSWERERGTHQWYNSWEKREKSSRRPDPILLRQSVARLLPARSPPPPPVV* >Brasy5G511100.1.p pacid=40073126 transcript=Brasy5G511100.1 locus=Brasy5G511100 ID=Brasy5G511100.1.v1.1 annot-version=v1.1 MSLDLPAKTISAIEKLCRGFLWKGRKEIRGGHCLVSWQTVCSPKEFGGLGIPNLRMLNVALQARWGWLQKTDPARPWAEFNIQIPSLARDLCMAATSINIGNGRRVKFWTDAWLDGVALCDLAPNLFSKVTGWGKKIFVADALANRAWVQGIKPDLSSPAIDEFLSIWDAVDGWVLSEEEDVLRWKWEGNGVYSTASSYAALFGGRTHMPGAAEVWGSRAPTRCKFFVWLALKERCWTADHLRRRGLPHPLRCPLCDQDEESINHLLLECVVARQVWPAVFSRWLKQAWVPSAHDRLEDWWPGLEVAAGKDRRNLCTAVCLICWSIWKHRNAVVFDGATPSVLHLIQEIGREGDAWRRAGLFDGSLLISDFGVVDVAWIVRE* >Brasy5G407200.1.p pacid=40073127 transcript=Brasy5G407200.1 locus=Brasy5G407200 ID=Brasy5G407200.1.v1.1 annot-version=v1.1 MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVNVRPLVEYDTKSLDGILPEIPMWVKNPDYDRIDWLNRFLELMWPYLDKAICRTVQDIAKPIIKENTEKYKIDSVEFEALTLGSLPPTFQGMKVYVTEEKELIMEPSLKWAANPNVTVVAKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFANILVSLMEKPHVDFGLKLFGADLMAIPVLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVVRAQNLKKKDLLGKSDPYAKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQSLEINVIDWEQVGKHEKMGMNRVMLKDLTPEETKVTNLNLLKTMDPNDIQNEKSRGQITLELTYKPFKEEDMEKESMEGTDEVQKAPEDTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPTNEKLHVQVLSKAGKKGILHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS* >Brasy5G147400.1.p pacid=40073128 transcript=Brasy5G147400.1 locus=Brasy5G147400 ID=Brasy5G147400.1.v1.1 annot-version=v1.1 MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLIQHTDLDNTVVLVFANKQDLKDAMSAAEITDALSLHSIKNHDWHIQASCAITGEGLYDGMGWIAQKVAGKATTS* >Brasy5G078000.1.p pacid=40073129 transcript=Brasy5G078000.1 locus=Brasy5G078000 ID=Brasy5G078000.1.v1.1 annot-version=v1.1 MAEFVIGPLISLLKGKASSYLLDQYKVMKGMEEQRGKLERQLQAILGIIKDAEMGSSRHEVSVWLKALKKVSHEAIDVFDEFKYEALRRDAKKKGQYTLLGFDTVKLFPSHNPIVFRHRMGKKLQRIVRTVGELVAEMNAFGFTQLQQAPPSKQWRITDSIMADSEKDIVSRSRDEEKKKIVKILIDQASDGDLMVLPVVGMGGLGKTTFVQLIYNDPEIKKYFQFRRWCCVSDDFDIARIASNICQTNEENREKALQDLQKNIGGKRYLIVLDDVWNRDADKWEKLKTCLKQGGKGSVVLTTTRESEVARVMAAGETAHYLEKLEHKYIKEMIQSRAFSLQKPNTDELGDIVNMVVDRCYGSPLAAKAFGSMLSTKTSMQEWKDVLTKSNICNEKTGILPILKLSYDDLPSHMKQCFAFCVLFPKDHEIDVEDLIRLWMANEFISPQDEEHLDREYVEIF* >Brasy5G369600.1.p pacid=40073130 transcript=Brasy5G369600.1 locus=Brasy5G369600 ID=Brasy5G369600.1.v1.1 annot-version=v1.1 MEPRRSPPVAAADSRPEAPTAALLMTYGFSSNSIQIPLGIPLPELAERVPPPGRARHQGGSRGHRRDRLGH* >Brasy5G159800.1.p pacid=40073131 transcript=Brasy5G159800.1 locus=Brasy5G159800 ID=Brasy5G159800.1.v1.1 annot-version=v1.1 MAAMARSNSSNGHGYHHQETSTTSSSSSSTASAQRRRSMEHHQQQQQVPGTPGRPLLFLSSSSSSPAHPHQRRPVPSKWEDAEKWLRQSSSSSDHRSKPAASSSSSKQQNNGGGGGLVQRAAAAEKKDPVTARRSSLDSALALYTPPAEVLLKDKFTESDNAEPSKESFVFRSSSYCEPAAKNNGAAVAGGDGDRRDMGTEMTPMGSSKTSRCHTPVKSASPARHNTPTGRSGPLVPYTGGNGGGGKDIWELTDCHFAKLDLGGGAQFDDDAMLVNWSSKEEEEEEVSKSLRHFEASTAGSGGGGACDRRGAGECRWEDDERAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSSLEEKLMRRMTSVHRRGEEWRAAAQAQHLQQLRRAAATEHQHARRVKTISHRLSGTGSSNASASCGCFPCNSNGGGVVSGNLLNYY* >Brasy5G159800.2.p pacid=40073132 transcript=Brasy5G159800.2 locus=Brasy5G159800 ID=Brasy5G159800.2.v1.1 annot-version=v1.1 MAAMARSNSSNGHGYHHQETSTTSSSSSSTASAQRRRSMEHHQQQQQVPGTPGRPLLFLSSSSSSPAHPHQRRPVPSKWEDAEKWLRQSSSSSDHRSKPAASSSSSKQQNNGGGGGLVQRAAAAEKKDPVTARRSSLDSALALYTPPAEVLLKDKFTESDNAEPSKESFVFRSSSYCEPAAKNNGAAVAGGDGDRRDMGTEMTPMGSSKTSRCHTPVKSASPARHNTPTGRSGPLVPYTGGNGGGGKDIWELTDCHFAKLDLGGGAQFDDDAMLVNWSSKEEEEEEVSKSLRHFEASTAGSGGGGACDRRGAGECRWEDDERAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSSLEEKLMRRMTSVHRRGEEWRAAAQAQHLQQLRRAAATEHQHARRVKTISHRLSGTGSSNASASCGCFPCNSNGGGVVSGNLLNYY* >Brasy5G407400.1.p pacid=40073133 transcript=Brasy5G407400.1 locus=Brasy5G407400 ID=Brasy5G407400.1.v1.1 annot-version=v1.1 MIHGRNSNARPPRSAATSIKQRRLAKLKPEQAPELVGGADVHGPACGHLHGARCRRLRLRRCQQRRRHRDAARRRRHRVERRPPRLLLLSGRRPFLGRRRYHDRRRRAGLPGARRRHGVSRLLGSQHRAACWRRPGGALRGLEVHWQGQERRLQRRRRRLRERMCHGRAVDAAAVVRFAGHHGSFRCLSSDDDRTSEMICFLFGRGAGGSEVAWRSRSRAAPTGGRARGREDGRRPCSASRRIDEPDRSLSFMAARAVRTRDRACSIVYTRAIPHLLVTSPLPRIGQHGRRNRSDGSGTDAGRRWRAPSKYSIVVSTSPTIVVPRRMTMTCTNRCCVTHTVLLGHINLLHELEHGL* >Brasy5G257400.1.p pacid=40073134 transcript=Brasy5G257400.1 locus=Brasy5G257400 ID=Brasy5G257400.1.v1.1 annot-version=v1.1 MNKQSRAKWSKSDSDLFNYQARNLPIDVNSTHKARAASNGGNPGNENVLEDSINHVEENGSNWWGKEQGTDGSDIKEVGEPVSVNGDDDLDVFDWY* >Brasy5G290400.1.p pacid=40073135 transcript=Brasy5G290400.1 locus=Brasy5G290400 ID=Brasy5G290400.1.v1.1 annot-version=v1.1 MAERLGSGGIDLHQCLSGRRSGLREAAVGGARICGTRLQRSWEAAAAGSGDGGAGDGRIGRRPRGSHRHPAPSAAAPWASSSNKGGSARTGGRGSGVRRRPPASLAAARRRLGESRERSRRGEGVRKRLGARERSRRGEGVRIGRWRG* >Brasy5G279800.1.p pacid=40073136 transcript=Brasy5G279800.1 locus=Brasy5G279800 ID=Brasy5G279800.1.v1.1 annot-version=v1.1 MWSEKKAVKEKMLQQKAEQMKEFIDVQKRKLAIEEANAAATKTSAAAAMLAEETRIMTADLSLMDPSTRAWFLKKKMIQERDASAPLEE* >Brasy5G164900.1.p pacid=40073137 transcript=Brasy5G164900.1 locus=Brasy5G164900 ID=Brasy5G164900.1.v1.1 annot-version=v1.1 MKSTVFSAVAVSIGYILLGWDFTTVLEANLHMKKEFDLENGPSIEGIILAVSVFGAILITVFSGSLLDWLGRRAVLIYSSLLLFSGGVLMLWSPNVYILLLARLIVGSGSGLVFTCVPIYISETSSPDMRGLLGTMPQFMFIVGIIFSYCMVFWMTLASSLNWRVMIGSIFAPSIVYFVVLVFYLPESPRWLVSDGKISEARVSLQWLRGKDDVSGEIALIADGMNIIPGMGIGGHAISIAQGQSFIRTSTSQLSRYSSLYWHLSDPLVDLLGSIHENMSEIGSVRNNFFPAFSSFNFVEHERVDEHREDDDGAQQIREAYPADEDNNEDGLQTSLLSQAATAEGYGSNAPFTSEGSSSYLRRHGTTGIVQDLMSSLHDHDIEEEEEIREVLSHQPPVHNMESTRRQPSRHQIVRLSETADMKPKWRVLLQPGVRHALCHGMLIQALQESAGISGLLRYNPQVLERVGVVSLFSDIGLGSHSTAILISVLNAFLMLPCITAAMMLMDICGRRPLLLVTTPILILSLSTIALSSILNMGSLAQAILFQLTLTICFCSYVVGLGPIPNILCSEMFPTRARATCASFCSLSFWFGRLLSTYCFPVMLSTIGLTGACGVYAFVCSIVLLFVYFRVPETKGLPLELIAEIFKFSRQ* >Brasy5G412200.1.p pacid=40073138 transcript=Brasy5G412200.1 locus=Brasy5G412200 ID=Brasy5G412200.1.v1.1 annot-version=v1.1 MEVKVVSSKLVKPRYPPGAARPDTSEHVPSSVFDEATYHIQMAIIYAFSAPAPSTADIERGLAKTLAAYRLFAGQVGRPPGPDGAPGVLLNDHGARLVEARVEGARLADVAPAKPTPEILKLHPDLDGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLAAWGRATRGLPVCQQPPVHHHKELFKPRLSPRVEFEHRGVEYYRPLASSSTDEKQHHGGANNNGVVIHKAHFTKDFIAGLRAKASQGRGRPFSRFETTLAHLWRAMTRARGLGPDETSTIRVSVDGRRRLDEAPAGYFGNLVLWAFPRAAAGDLLNRPLKHAAQTIHDAVARVDEAYFRSFVDFAASGAVEREGLEKTAVLKDVLCPDLEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCCYSME* >Brasy5G062600.1.p pacid=40073139 transcript=Brasy5G062600.1 locus=Brasy5G062600 ID=Brasy5G062600.1.v1.1 annot-version=v1.1 MACHLRSISLPSRPHTKVEEELQSLEACISSPSMTNETISDGLRRLGDIYGTIEEIMCLPSNQVWSSQQRKMLDGEMGCSLELLDLCNAMHEDFTELKSIVQDLQVATRKGDDATVQVKIQSYTRLVKKAKKHFKKAEKKVTSSKEDCRMVRLLSEAREITTSLLKSTLNLLSEQIATPKRSLVCMAFQKKTSVVCKEEQLLVLECSVEDLEAGVGILFRRLVQSRVTLLNILSP* >Brasy5G434200.1.p pacid=40073140 transcript=Brasy5G434200.1 locus=Brasy5G434200 ID=Brasy5G434200.1.v1.1 annot-version=v1.1 MKRFFQPVPKDGSPAKKRPSETVAPDCVDGSAATAAGAGGEGAPGQEPRRFVTWNANSLLLRMKSDWPAFCQFVSRLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSREEKQIVLRALSSSPFKDYRVWWSLSDSKYAGTAVFIKKMFEPKKVSFNLDRTSSKHESDGRVIIVEFESLLLLNTYAPNNGWKEEENSFQRRRKWDKRMFEFVQQVDKPFIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLAERQRFGNILSQGKLVDAYRHLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFIVSERLKDRLVSCEMHGHGIELEGFYGSDHCPVSLELSKDAAEAPESQNSS* >Brasy5G434200.3.p pacid=40073141 transcript=Brasy5G434200.3 locus=Brasy5G434200 ID=Brasy5G434200.3.v1.1 annot-version=v1.1 MKRFFQPVPKDGSPAKKRPSETVAPDCVDGSAATAAGAGGEGAPGQEPRRFVTWNANSLLLRMKSDWPAFCQFVSRLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSREEKQIVLRALSSSPFKDYRVWWSLSDSKYAGTAVFIKKMFEPKKVSFNLDRTSSKHESDGRVIIVEFESLLLLNTYAPNNGWKEEENSFQRRRKWDKRMFEFVQQVDKPFIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLAERQRFGNILSQGKLVDAYRHLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFIVSERLKDRLVSCEMHGHGIELEGFYGSDHCPVSLELSKDAAEAPESQNSS* >Brasy5G434200.2.p pacid=40073142 transcript=Brasy5G434200.2 locus=Brasy5G434200 ID=Brasy5G434200.2.v1.1 annot-version=v1.1 MKRFFQPVPKDGSPAKKRPSETVAPDCVDGSAATAAGAGGEGAPGQEPRRFVTWNANSLLLRMKSDWPAFCQFVSRLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSREEKQIVLRALSSSPFKDYRVWWSLSDSKYAGTAVFIKKMFEPKKVSFNLDRTSSKHESDGRVIIVEFESLLLLNTYAPNNGWKEEENSFQRRRKWDKRMFEFVQQVDKPFIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLAERQRFGNILSQDLQFGYTGESW* >Brasy5G434200.4.p pacid=40073143 transcript=Brasy5G434200.4 locus=Brasy5G434200 ID=Brasy5G434200.4.v1.1 annot-version=v1.1 MPAAGSKGAPKNPSELKDDTSSSREEKQIVLRALSSSPFKDYRVWWSLSDSKYAGTAVFIKKMFEPKKVSFNLDRTSSKHESDGRVIIVEFESLLLLNTYAPNNGWKEEENSFQRRRKWDKRMFEFVQQVDKPFIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLAERQRFGNILSQGKLVDAYRHLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFIVSERLKDRLVSCEMHGHGIELEGFYGSDHCPVSLELSKDAAEAPESQNSS* >Brasy5G478400.1.p pacid=40073144 transcript=Brasy5G478400.1 locus=Brasy5G478400 ID=Brasy5G478400.1.v1.1 annot-version=v1.1 MEAVTYYLPRLLSGETLHGAERLIYRADIYGQLEPKDLAAAFPPAPKAERTGDRFFLTLCKRQKGSARAAGAGTWTIQRTIDVVDHAGAKKGEVKKLGFKKDKESTGWVMEEYHCLLPEAVVDDGEMVLCKIHLSPTACDEARQESAAYLSGSQEQEDQPAPMPVATKRPAPAASDHHPPCAKRARDDAQDEAAVEAAADYMDGRFSCTMDELLGGAATEEDQDTATLPAVEAEQQILDEEALSEIMLSLDDTAMDGDLVRFPVAVEAEQQIFELPIPSDEDFDFVLPTADELDAMMSNLDDWPVSFAPDGDLLRFPGLPAVL* >Brasy5G480300.1.p pacid=40073145 transcript=Brasy5G480300.1 locus=Brasy5G480300 ID=Brasy5G480300.1.v1.1 annot-version=v1.1 MASQRQVLLLTSAPIAFLIILPSPSAAEEYRVGSVFSWSLLYPSNWTDGKNFTVGDSLMFMYPAGRHTVVEVTGAGFSACNATGKGNQLGSWSSGSDAVRLDKAGRRWFICDVGDHCTRGMRLLVTVAEGDATSQRRRPL* >Brasy5G251200.1.p pacid=40073146 transcript=Brasy5G251200.1 locus=Brasy5G251200 ID=Brasy5G251200.1.v1.1 annot-version=v1.1 MLPYATAGEAEAALGRALTWAEAAWLRYSAAVPDRYLHWPNIAITLIVYTLAPLPLAILDLAAPRAAAPYKLQPKVQHPPSAFLRCYLDAVKVSLLIIGPYQLISYPAAKIMDIRTGLPLPSLGEILAQLTVYFLVEDYLNYWLHRLLHTKWCYEKIHHVHHEFTAPMAYAAWYGHWAEMLILAVPSLAGPAMVPCHVTTLWIWFVARLVESLNIHSGFKLPFNLENYIPFYGGAEHHDYHHYIGGQSHSNFAPVFTHCDYIYGTDKGYRYHKATLAKLKELAGNNVQKEDLSGGKKD* >Brasy5G034500.1.p pacid=40073147 transcript=Brasy5G034500.1 locus=Brasy5G034500 ID=Brasy5G034500.1.v1.1 annot-version=v1.1 MDEEGKGRCERCREWQEHFYWEHMHVSKMRFFKLMTGDFEQHISIPVKVASKFIRQMQIVEAFDLKAPSGETWHVGVTKVANELFFRLGWGDFAKAHELQENDLVLFTFTGNSSFDVLIFDASGCEKLSSLFSGKMRKHFDDLGGPHFDDSDDNDDNDSDDNDDNDSDEDDSESVPSLLVESHHKACTSKKFSAKTKPSKTAIFSARHLIRKELSESPNSSSYDDVKHEASEEDDSDHEPYYSRSAKRLLDTEKREIIGLALIQPDNPAFMTVLQTSSVQGKSKFLIIPFDFAADHLQRKSHDILLVRPAREERWHVRYSHWSTSIGFRGHPWAKFVRDNRLREGDVCVFELIKCARRKKTKKAAIATMVVHVARRRKADGRFVAVG* >Brasy5G034500.2.p pacid=40073148 transcript=Brasy5G034500.2 locus=Brasy5G034500 ID=Brasy5G034500.2.v1.1 annot-version=v1.1 MDEEGKGRCERCREWQEHFYWEHMHVSKMRFFKLMTGDFEQHISIPVKVASKFIRQMQIVEAFDLKAPSGETWHVGVTKVANELFFRLGWGDFAKAHELQENDLVLFTFTGNSSFDVLIFDASGCEKLSSLFSGKMRKHFDDLGGPHFDDSDDNDDNDSDDNDDNDSDEDDSESVPSLLVESHHKACTSKKFSAKTKPRKELSESPNSSSYDDVKHEASEEDDSDHEPYYSRSAKRLLDTEKREIIGLALIQPDNPAFMTVLQTSSVQGKSKFLIIPFDFAADHLQRKSHDILLVRPAREERWHVRYSHWSTSIGFRGHPWAKFVRDNRLREGDVCVFELIKCARRKKTKKAAIATMVVHVARRRKADGRFVAVG* >Brasy5G434500.1.p pacid=40073149 transcript=Brasy5G434500.1 locus=Brasy5G434500 ID=Brasy5G434500.1.v1.1 annot-version=v1.1 MSSSWNSVGLEVFYQVLGWIAFFAWSISFYPQVILNYKRKSVVGLNFDFVVLNLTKHSSYLIYNAALFFSPVIQRQYHDKYGVNEMIPVAANDVAFSLHAVALTAFTLSQVFIYERGNQKVSKVCISITAIVWTAAIICLIIAWPKNNWLWLIDVFSSIQVTMTAIKYIPQAVMNFKLKSTIGWSIGNIILDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDVIFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDNV* >Brasy5G434500.2.p pacid=40073150 transcript=Brasy5G434500.2 locus=Brasy5G434500 ID=Brasy5G434500.2.v1.1 annot-version=v1.1 MSSSWNSVGLEVFYQVLGWIAFFAWSISFYPQVILNYKRKSVVGLNFDFVVLNLTKHSSYLIYNAALFFSPVIQRQYHDKYGVNEMIPVAANDVAFSLHAVALTAFTLSQVFIYERGNQKVSKVCISITAIVWTAAIICLIIAWPKNNWLWLIDVFSSIQVTMTAIKYIPQAVMNFKLKSTIGWSIGNIILDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDVIFIIQHYVLYPVKRDENDGDYPVLPIQAKQEANA* >Brasy5G025400.1.p pacid=40073151 transcript=Brasy5G025400.1 locus=Brasy5G025400 ID=Brasy5G025400.1.v1.1 annot-version=v1.1 MVSDFSSTTTSKVGQIRRMPPSRWEGTAATVERRRCKKKLRKAMDTLSSPS* >Brasy5G175400.1.p pacid=40073152 transcript=Brasy5G175400.1 locus=Brasy5G175400 ID=Brasy5G175400.1.v1.1 annot-version=v1.1 MAASAAPKMTAVCAVMLILLSAAAQPSMALLPAAPVIGTPENPTFLLGLIQCYFDSAGRLVYTLAPGGQCTYNDRKLCYPACYAACNALAEHVVCNALICKNAGDCTKNFSKSCEDACTAACNNAFPVN* >Brasy5G389800.1.p pacid=40073153 transcript=Brasy5G389800.1 locus=Brasy5G389800 ID=Brasy5G389800.1.v1.1 annot-version=v1.1 MLFTESVSSGEQRQAARAKKLKGPSSSGIRSNPPRQAAAPKLPTRKKKTASRAKASSSQAAPDVEVELEPVPNTDFKGLPRKKWIEERRINPYNKPKTASDPRFWTIAQEMYWNQIFESFKSKLVEQKAIDFDFIEDNAEFEGVIEVCEILDVYKLMDLQQDFCPDLVRQFYCTVHFHDDEERSMSWMCGHELIRNMPLSVLAQAIGYVYCSYRDYRGVRIFDQEPLDMNAIMGFCHPPRTKNIGFTSTMYPFYDVMNKLFRNSIDPKVGDLTAVRGRLINLMKFVEPGKEEKIDVIDYIYKGMQAIVYDKRSPSYAPVVQAFINKCASTNVMDNNYVVRHSRVKPQTPSQTFVEPLPHGPTATAEWEEARASGDRPHGKGIAPPEPSVPKPKKKRNATYRAIRAMFKYVRAIHKRVFKSSQRSKRALSLINAERRKNGEKIPIGSEDEDSEEEVVEYPFSSGESEDEATTSAPPAPHVVDSEEEDEEEEE* >Brasy5G040500.1.p pacid=40073154 transcript=Brasy5G040500.1 locus=Brasy5G040500 ID=Brasy5G040500.1.v1.1 annot-version=v1.1 MFPSSMARKRKASDADIDVAAVISNNNNQPPPLLPLPLVPPPHSSTVDAWTTSSARQQPQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPIAAVQQLQVQQQPMAPALPSKVSNLLLLRLKARNNQQQQQQLLLDASTGAPPLHQQQKQEAYGHDHGHGGRDEEYGGFHVDDFLSDECNNDSPEMEEEEDDEEEEEEELDFQFMDAPSLSTAAAADSDLCSPFEMLGGAVPVEAAGHDGHGQGEGYDGEPASAVQEAMRRMDYERKISASLYALSGVPECLRMRLGTGTGVAAAREQLSGLREACRKKQQLAVQEPAPAAADAAEDDGKASGQEEYSGSGSSSSNSAPGAASSSSSTEENGGDGDVLLWSSLDLAPICYMT* >Brasy5G075100.1.p pacid=40073155 transcript=Brasy5G075100.1 locus=Brasy5G075100 ID=Brasy5G075100.1.v1.1 annot-version=v1.1 MHEIANESREGCPGDGDYYHADGGKVDPIIPIMIWEPEIGVGLSHYFFYSKLVTLEVLLSQ* >Brasy5G002700.1.p pacid=40073156 transcript=Brasy5G002700.1 locus=Brasy5G002700 ID=Brasy5G002700.1.v1.1 annot-version=v1.1 MPPRRPNSGGGTSASAAQPPPKKVPSRFLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRLAPADSPLGRRRPFIAAGAASIAAAVLAVGFSADLGRLFGDSLQPGTTRFGAIIVYLIGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTASCGVSCANLKSAFLLDIIILAITTYVTVASVEEPRSFGSDEAERPSHQEEAFLFELFGSFKYFTLPVWMVLIVTSLTWIGWFPFILFDTDWMGREIYRGSPEIVADTQKYHDGVRMGSFGLMLNSVILGVTSVVMEKLCRKWGAGLVWGVSNIIMALCFVAMLIITYVAKNTDYGPSGEPPTGIVVASIIVFTILGAPLAVTYSIPYAMAASRVENLGLGQGLAMGILNLSIVIPQIIVSLGSGPWDQLFGGGNAPAFFVAAAASFVGGLVAILGLPRARIASRRRGQR* >Brasy5G271000.1.p pacid=40073157 transcript=Brasy5G271000.1 locus=Brasy5G271000 ID=Brasy5G271000.1.v1.1 annot-version=v1.1 MAASSTASRPFTPFLHRHQGRCRSRTRLVVVVAASTPDAEAPSPEAAAAPGKKKTVDTRIHWSNPDEGWIGGKDGKDGDGSGGDKNEPLGRRFADLINDPSESHYQFLGIAPEADIEEIKAAYRRLSKEFHPDTTRLPLKSASEKFIRLREVYNVLSEEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIQSYEPVPDMVDRLGGKNMELSDQAMTALGFDIVVIFFSICCIIYTVFFKEQY* >Brasy5G426400.1.p pacid=40073158 transcript=Brasy5G426400.1 locus=Brasy5G426400 ID=Brasy5G426400.1.v1.1 annot-version=v1.1 MPCVIIIPPAAANPKYPKPGRADTCARWDINKNIKNTISSASSSSSSQGSSSSSKNPGRASSCERWDSSKRPPSRGADSAERWDAHKKPRAAESEQRISKEPEKEEETTPMAAAAKNLDPVFSGPSFFDSSPEPSMLPMPTAFFPRRAGMVPVPAFLQLQAH* >Brasy5G494000.1.p pacid=40073159 transcript=Brasy5G494000.1 locus=Brasy5G494000 ID=Brasy5G494000.1.v1.1 annot-version=v1.1 MGPKGHANGNSTAAASEAEMNKQADQDGGGGKVVVHYRECQRNHAAGIGGYAVDGCREFLACLPPQDLLCAACGCHRSFHKRELAAAAADHSDSDFSSSSFAASAGR* >Brasy5G332400.1.p pacid=40073160 transcript=Brasy5G332400.1 locus=Brasy5G332400 ID=Brasy5G332400.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY* >Brasy5G422900.1.p pacid=40073161 transcript=Brasy5G422900.1 locus=Brasy5G422900 ID=Brasy5G422900.1.v1.1 annot-version=v1.1 MADDEDAALSDVDEDPLPPPSSSTPSKPSPASQTQPPKSDPHHAEAQQRLLGLAAELDEERRLRRAAEESLANSETRLGRLKAFAQDALRKRDDLKAEAAASARSLASLLAETSTASSMLSSGFERISAKASPSAPPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMDQRNYQIAIEVSELEAAVASRSAESESLSKSLSEREAEISELRDKIAVLEGKLEAQRPVLAEQIGCASKLYDEVRELVMLVDADAASALPDSVFVWKETDVEESLKVSLEGTKMAYDLASMALQKVGVWRDTGKSKVRALEEKVDEMAREKEHIGVLLRSALQANTSEVLKVAEDGLREAGIEIGLNGHRQHRPGSVEKDEVYTLAGALESSMKESQIKIIELQHLVEAQRAESGLLRTRMEGQEKEIGQLRKQIKLLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFQTQISSLHKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADTRSSRLRERLEELNRQAEESDSRMDSNSRSGHRYMCWPWQWLGLNYVRLPPAETEEASNEMELSEPLI* >Brasy5G283300.1.p pacid=40073162 transcript=Brasy5G283300.1 locus=Brasy5G283300 ID=Brasy5G283300.1.v1.1 annot-version=v1.1 MEVHAALDNAAGIAAAAAAAAEQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPGLAHLFRRPDTAEPDDVRAAALEAAAQVRFRPDLVVMQLPGGHGDGDGDGGSPEYRLDDVAWDVLQLGADDLEAQSPNMWAELAEAMLLAPPVWGGGAADNDDWAQGSLWDPSCWSY* >Brasy5G482300.1.p pacid=40073163 transcript=Brasy5G482300.1 locus=Brasy5G482300 ID=Brasy5G482300.1.v1.1 annot-version=v1.1 MSSTLLLAIVYQDKWKSNQGVDDADEHILIGVLLAAGATLVTGICPSLACCVTERFPTACARWPSVARGLAAALSSACLLGLACFISLAVIPYQIVGLYLGLVVLAFLVRLVCVPCQQPARSSDEEKAAQLRRSASSREKEAKQIRTIVDKSHEFLSGVTGILFIGLEGMALEGIRVAFQGMESAKKKSVESQGLQVIAHGDVLQLHVAMSLLFCVIGVILMCIQMNMADASTKKFVYVADLVMTVGTGVLLTTIMTALMGVKGFLFWIAPCIIYILVLFGADSKGEQDQEEAEDKPAPLGLTKVTFTGFFVVSIKAISGGSPSEWSQWFLLFTASAIASGVLWRLLTHTPSKSILGKKAADEAANVASFLTHFCVAVAAVLFAVRAWEAVTAK* >Brasy5G280700.1.p pacid=40073164 transcript=Brasy5G280700.1 locus=Brasy5G280700 ID=Brasy5G280700.1.v1.1 annot-version=v1.1 MGSSSGLVGWRGRPINSKKHGGVRASIFIHALVLLSNAANIANIMNLVTYLRGTMHMGLAEASTTASNFFATLQMFSVPAAFLADSYIKRVYAVLIFGPIEILGYILLAIQAHVPSLHPPPCSQTTGASTCEPVRGSNLSLLLMGLYLIPIGNGAARACLPALGGDQFDTADPVEQRQETSFFNWYTFAVSSGGFLGLVLVVWVENRRGWDIGFAVCALCVLLGMLIWIAGFPFYRNQLPGGSAMTRMLQVLVVAFKKRNVELPKDTSELKKMNQDDSNNGLEELERTSGFQCLEKSAIDTGETGPWSLCTVTEVEETKIVLRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTKLGGIHISPATLFLIPTVFQMVILVLYDRFIVPFLRRITGYVSGITHLQRIGIGFLSATLTTGVAALVETKRKRVAEENGLMDAATGIPISVFWLTVQFFLLGVVDVTSYVGLLEFFYSEASTGMKSVGSSIFYCILGVSAWLGSFLIQVTNRVTRRGNGAEAATGWLDGTNLNMGKLDRFYWLLAVLELVAFFIYMFFTRRYEYRNNQRAVDNTGDTKAPLAPEGAVGDLII* >Brasy5G280700.2.p pacid=40073165 transcript=Brasy5G280700.2 locus=Brasy5G280700 ID=Brasy5G280700.2.v1.1 annot-version=v1.1 MEEYELQSLSTGYILLAIQAHVPSLHPPPCSQTTGASTCEPVRGSNLSLLLMGLYLIPIGNGAARACLPALGGDQFDTADPVEQRQETSFFNWYTFAVSSGGFLGLVLVVWVENRRGWDIGFAVCALCVLLGMLIWIAGFPFYRNQLPGGSAMTRMLQVLVVAFKKRNVELPKDTSELKKMNQDDSNNGLEELERTSGFQCLEKSAIDTGETGPWSLCTVTEVEETKIVLRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTKLGGIHISPATLFLIPTVFQMVILVLYDRFIVPFLRRITGYVSGITHLQRIGIGFLSATLTTGVAALVETKRKRVAEENGLMDAATGIPISVFWLTVQFFLLGVVDVTSYVGLLEFFYSEASTGMKSVGSSIFYCILGVSAWLGSFLIQVTNRVTRRGNGAEAATGWLDGTNLNMGKLDRFYWLLAVLELVAFFIYMFFTRRYEYRNNQRAVDNTGDTKAPLAPEGAVGDLII* >Brasy5G343300.1.p pacid=40073166 transcript=Brasy5G343300.1 locus=Brasy5G343300 ID=Brasy5G343300.1.v1.1 annot-version=v1.1 MDRVIEGSSTAGVRTAYSGAPRASRAKKKNGRRYMPTPDAVVGDEEDRVPGEAGARGQVAPVEQRHEERRAPDRGAREPPRAVGVRWTRSPAPRPERAPRDLEGRRRDGEVERRAKPRAAAPVREAWARGEPERQHGPEVRRESESELSLGTGELRVGEAREDGHSGGTEVGEHGGGYAVEGVEPVARGRRRRRPGASRGARGQAAQGGRVRRRAETRHPVVHIHHCSRLNRLRNTLRLLCVWMGSF* >Brasy5G150500.1.p pacid=40073167 transcript=Brasy5G150500.1 locus=Brasy5G150500 ID=Brasy5G150500.1.v1.1 annot-version=v1.1 MRPPPGCLLLGAGAAVSSGGRPGEGGGDEEEERGQPRPGWPAPTTGGRASPTRRRSAAGSHRGGGGRRRPDPRWVREAPAGSRWGRRQRRPSLPGARGLAGGGGAERNASGWACRLSRFPAHRKKTHMPTYPYGPNWTRPRNPPGTHLSRLLPSPDSDSEPHQGLEGRTWRRHRRSTRSQSNPRRRGAALVVEDEISPPPRLTLPFPSPWPPAASFPLALVAGAAHLRAGDKVSAFSL* >Brasy5G041600.1.p pacid=40073168 transcript=Brasy5G041600.1 locus=Brasy5G041600 ID=Brasy5G041600.1.v1.1 annot-version=v1.1 MPRMRQVWVRKVPYRLGGMFKEAVKAGVITIAAEQVEHVLVNVYTDYLQHPLKEFFDNLPNMVEQGYYSAMSLAQEAYNELQKFANTVEHAWHDVEHTAEHVWHDVGHTAEHAWHDVGHTAEHAWHDAGQFFSRVF* >Brasy5G407600.1.p pacid=40073169 transcript=Brasy5G407600.1 locus=Brasy5G407600 ID=Brasy5G407600.1.v1.1 annot-version=v1.1 MAATASSLLAAAAASTSRAAISTRRPRAAPTAASTPSSSRSSCPPLRASSARSRRGRFVIAAAAPTMQPPAVSRVSTVVDVDLGDRSYPIYIGPGLLDEPDLLQRHVIGKRVLVVTNTTVAPLYLEKVTWALTHDNPNVTVESVILPDGEKYKDMDTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMSQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIGEVVKYGLIRDAPFFEWQEKNMAALLAREPSALTYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGLGYGEWLHGEAVAAGTVMAADMSYRLGWIDESLKERIFDILEQAKLPVAPPKGMTVEKFKNIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRKALDETLRAFCDN* >Brasy5G354700.1.p pacid=40073170 transcript=Brasy5G354700.1 locus=Brasy5G354700 ID=Brasy5G354700.1.v1.1 annot-version=v1.1 MPKMERERLFATERSGGRRLYRLHAVTVAVGICAVLYYRATRVPGAGQGRAAWLGMLASELFFAAYWVITQSVRWSPVRHRTFRDRLAARYGERLPCVDIFVCTADPHSEPPSLVISTVLSVMAYNYPTEKLSVYLSDDGGSVLTFYALWEATIFAKEWLPFCKRYNIEPRSPAAYFSEPDGYQDVCTPKELSFIKDMYEEMTERIDTAVMSGKIPEEIKANHKGFYEWNPEITSKNHQPIVQILIDGKDQNTVDNEGNMLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSETIRDALCFFLDKEMGHKIGFVQYPQNFNNLTKNNIYGNSHQVTNQVEMGGMDSVGGPQYVGTGCFHRREILCGRKFAEDYKEDWNGGMKDKTQESIDEIEEQAESLAACTFEHDTQWGDEIGVKYGYPVEDIITGLAIHCRGWKSVHNNPPRPAFLGVAPTTLAQTILQHKRWSEGSFSIFLSKYCPFLFGHGKIKLRHQMGYSIYGLWAPNSLPTLYYIIIPSLALLQGTPLFLEMTSPWIIPFIYVLCVNNMYSLYESLSAGDTLKGWWNGQRMWMVKRITSYLYGVIDTVRQLLGLSKMTFAVTSKVSDEDESKSYKQEIMEFGSTTPEYVIIATIALLNLVCLVGGLGQIMTSGWSILFNVFCPQLILCGMLVITNVPFYEAMFLRKDKGRIPFPVTLASIGFVTLTFLVPIV* >Brasy5G354700.2.p pacid=40073171 transcript=Brasy5G354700.2 locus=Brasy5G354700 ID=Brasy5G354700.2.v1.1 annot-version=v1.1 MPKMERERLFATERSGGRRLYRLHAVTVAVGICAVLYYRATRVPGAGQGRAAWLGMLASELFFAAYWVITQSVRWSPVRHRTFRDRLAARYGERLPCVDIFVCTADPHSEPPSLVISTVLSVMAYNYPTEKLSVYLSDDGGSVLTFYALWEATIFAKEWLPFCKRYNIEPRSPAAYFSEPDGYQDVCTPKELSFIKDMYEEMTERIDTAVMSGKIPEEIKANHKGFYEWNPEITSKNHQPIVQILIDGKDQNTVDNEGNMLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSETIRDALCFFLDKEMGHKIGFVQYPQNFNNLTKNNIYGNSHQVTNQVEMGGMDSVGGPQYVGTGCFHRREILCGRKFAEDYKEDWNGGMKDKTQESIDEIEEQAESLAACTFEHDTQWGDEIGVKYGYPVEDIITGLAIHCRGWKSVHNNPPRPAFLGVAPTTLAQTILQHKRWSEGSFSIFLSKYCPFLFGHGKIKLRHQMGYSIYGLWAPNSLPTLYYIIIPSLALLQGTPLFLEPIRVIISWRHIERMVEWTKDVDG* >Brasy5G307600.1.p pacid=40073172 transcript=Brasy5G307600.1 locus=Brasy5G307600 ID=Brasy5G307600.1.v1.1 annot-version=v1.1 MTLTTVPVVSPVVAGYKCDFLYWPRVTPDQIIVMLRVQPCSNPVLDGAAQVVPHMVFLDKTGSPAPSMGIGKGSAMGPGRTVRSYVLIAKRDDVEANCVVDDYFVVLCSIEVIRNHTASASSVEKENEKELPDLGHDLAMMLDKQELTDVVFDVDGESFNAHRLVLAARSPVFRAELYGPMTESKMTSITIQAMGASTFRSMLHYLYHDSLPNAGKADVSSTMAEYQHLLVAADRYGIEKLKKLCEDKLCGSADSITIRNVVSMLELAEDHICPKLKARCFDFLVEGENFKMVATSGDSKKKNVSWAKNRDARGASRFFHETERRSTPGPLRPSCRLGALRPATTPRRPANPRATSAAQRALTEAKLDPDGRFVLPTNPRATPTSR* >Brasy5G294000.1.p pacid=40073173 transcript=Brasy5G294000.1 locus=Brasy5G294000 ID=Brasy5G294000.1.v1.1 annot-version=v1.1 MPPPLLLRSHSPPSTAFAAPHRRRWRRGRCPAPVRASWQELAGVLVFSAVPFTAVKALAGSPLGALLRRRLEDRKASAAAEADALRAAARQARSSSFWFGEARPRWLGPIPYDYPEHLAGEFPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVIPELLDLFGIVHFVEPVWWKVGYAKLQGDTLDYLGIPGLRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVGFEELKVKEIKNGRLAMVAWLGFYLQAAVTGKGPVQNLVEHLSDPLHNNILSSFL* >Brasy5G206700.1.p pacid=40073174 transcript=Brasy5G206700.1 locus=Brasy5G206700 ID=Brasy5G206700.1.v1.1 annot-version=v1.1 MAADAGTAAAASTTDRGGDDDRLSVAGAGEREEEGESACSTPFVSAPSSPTTRDAPSSSSFPYHGAGGFFSAPTSPTRGGGNVKGLDFDFDFSSRFPSPSAAAMSSADELFHNGQIRPLPRLSALLLINPDPLPSMAMEEHADASSSGGERERGRVRGGGGGHHRKSRSLSPFSRAGAAHWRSRSPSPSPAAPAPEEEDSAEPAPLTTPAASRSSSSSSSASSSSSASSRGSSSRRWGKIKDLLLHRSKSDGGKPNSSVPQHPSPSAAAPKRSPSPSPSPSPSPAAAGTRGRAAVNGKGRRRTAHERLYEARRAEAEEMRRRTYLPYRQGGLLLFGCIGLGGSHRTGAAAVHGLARAAAVSSRS* >Brasy5G501500.1.p pacid=40073175 transcript=Brasy5G501500.1 locus=Brasy5G501500 ID=Brasy5G501500.1.v1.1 annot-version=v1.1 MGLRSAPPAVLLAFAVLLCASFCECRFVVEKNSLKVTAPDALRGTYECAIGNFGVPQYGGTMVGVVVYPKANRKACKSFDDFDISYKAKPGSFPTFLLVDRGDCFFTKKAWNAQNAGVAAILVADDKDEPLITMDTPEESGRADHLENITIPSALITKRFGDRLRKAVENGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGPAQILEKKGYTEFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFQETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRNDRTYSACTDGGCKCPDGFKGDGVHKCEDVDECKEKTACQCKECKCKNTWGSYECGCGRGMLYMKEHDTCISKSAGQAGWNFLWVTLFALAAAGLAGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQGEIPNHSHHIEM* >Brasy5G516800.1.p pacid=40073176 transcript=Brasy5G516800.1 locus=Brasy5G516800 ID=Brasy5G516800.1.v1.1 annot-version=v1.1 MWTESPLLRDAGAAVLTGAAAMAVLRVWEEVGNRALLDQKLCRTLVHISAGLVYFLMWPLFSADDVYAPFLLC* >Brasy5G268100.1.p pacid=40073177 transcript=Brasy5G268100.1 locus=Brasy5G268100 ID=Brasy5G268100.1.v1.1 annot-version=v1.1 MAAECESGNCHAWAAKDPSGTLSPYQFNRRAVQSGHISLRITHCGVCYADVIWTRNQHNDSVYPLVPGHEIAGVVTEVGSDVNGFKVGDHVCVGTYVNSCRDCENCNSFLENHCSKFVFTFNGVDTDGTVTKGGYSSHIVVHERYCYKIPDGYPLEKAAPLVCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSESKREEAISLLGADNFVISSDKKQMESLKSSLHFIVDTASGNHPFDPYLSLLKVGGVMALLSFPGEIRIHPATLNLGARTLAGSVTGGTKDTQEMINFCAANKIYPDIELIKIDYINEALERLVNRDVRYRFVIDIENSFK* >Brasy5G268100.2.p pacid=40073178 transcript=Brasy5G268100.2 locus=Brasy5G268100 ID=Brasy5G268100.2.v1.1 annot-version=v1.1 MAAECESGNCHAWAAKDPSGTLSPYQFNRRHEIAGVVTEVGSDVNGFKVGDHVCVGTYVNSCRDCENCNSFLENHCSKFVFTFNGVDTDGTVTKGGYSSHIVVHERYCYKIPDGYPLEKAAPLVCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSESKREEAISLLGADNFVISSDKKQMESLKSSLHFIVDTASGNHPFDPYLSLLKVGGVMALLSFPGEIRIHPATLNLGARTLAGSVTGGTKDTQEMINFCAANKIYPDIELIKIDYINEALERLVNRDVRYRFVIDIENSFK* >Brasy5G517100.1.p pacid=40073179 transcript=Brasy5G517100.1 locus=Brasy5G517100 ID=Brasy5G517100.1.v1.1 annot-version=v1.1 MPKSKRNRPVTLSKTKKKPGQERKGRVVTEIKDAAENYSSAYVFTYNNMRNQKLKDLRDQLKSSSRIFLAGKKVMQIALGRTAADEVKTGMRKLCKFLQGSSGLLFTNLPRDEVESLFRDFEEHDFARTGTVATETVELKEGPLEQFSHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAHTLRLLGTRMATFRLYLVCRWSPDDFEVYKEGLEQLGADEAADESS* >Brasy5G499500.1.p pacid=40073180 transcript=Brasy5G499500.1 locus=Brasy5G499500 ID=Brasy5G499500.1.v1.1 annot-version=v1.1 MRKGEQDPLTVAPRLLAPLERIDRCIAPFWLPFSSSSSASASPTISNSPPIPPSAAAGDRRAMEKYEKLEKVGEGTYGKVYKAVVRATGQLVALKKTRLEMDEEGIPPTALREISLLRLLSSSPYVVRLLSVEQVTKPPSCPADGNGGKTVLYLVFEFLDTDLKKFVDGFRKGPSARPLPTHVVKSFLYQLCKGIAHCHGHGVLHRDLKPQNLLVDKEKGVLKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDVWSIGCIFAEMVRRQALFTGDSELQQLLHIFRMLGTPTEEQWPGVTALRDWHEYPQWKPQSLARAVPALEPEGLDLLSRMLRFDPANRISARAALDHAYFDNLDKSQF* >Brasy5G379000.1.p pacid=40073181 transcript=Brasy5G379000.1 locus=Brasy5G379000 ID=Brasy5G379000.1.v1.1 annot-version=v1.1 MKIAKAPGLLKKAAAMCKSKTGVITARLLVLASPRRRMATAGAVSHRIHALMVSGGEKARACDRTRVLRRDEAKKPTIVHGGAMVDFSRQLALFDQEDGVNGGGYTDWTLHPIFNDDGGSSCSCTEEDNEVVGDDEELLLDALDDEPSVMDVIRSNREVEGLEFNMEDEIDQAADMFIRRFRERMNQSF* >Brasy5G259700.1.p pacid=40073182 transcript=Brasy5G259700.1 locus=Brasy5G259700 ID=Brasy5G259700.1.v1.1 annot-version=v1.1 MASSFPLLLLLAAAAAHTAGANDLVGELQSLRSRSPSGVIHLTDTSVTRFLSSPTPRPYSVLLFFDAASLHSKSDLHLPQLRREFALLSASFLANNNPDSSSALFFADIEFSESQHSFSQFGVNSLPHVRLIRPEHSRLADSEQMDQSHFSRLADSMAEFVESRTGLEVGPVVRPPLVSRNQVALLVILFLMSVPFGIKRIAQGDTMLHDPKLWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRADPNKLVFFYQGSGMQLGAEGFAVGSLYTLVGLMLAVVTHLLVKVESLQTQRFAMLAVMVVGWWAVRKVIFLDNWKTGYSIHTFWPSGWR* >Brasy5G258200.1.p pacid=40073183 transcript=Brasy5G258200.1 locus=Brasy5G258200 ID=Brasy5G258200.1.v1.1 annot-version=v1.1 MALFDRNQRGQRSSLFSTATIVLFVALCLVGFWMVSTPSAPPEALPTTAAAAEVVKKADAAVKEKEKEEDSSIDATNNFKQDSTNVVATAETNNADNGGDGDKAASFDDENGRTEGGDLVKPETAADADSAAAVAAGKTVEDTTAVKDGEKTSAETKNSDESTVSKKQTFDDENGKMEGVDVVKDDAGANNNSNKTTFISEDITVKPIADESSTAAAAEAKLASSDITGEQQALQEEDQMKLLPEALPNGQAELLTERAAQNGSFATQADESTNEKKKRAAEAELKNITTKKAKKKKPKGNNNNNGNNGTSSTTTVPYAWKLCNTSAGADYIPCLDNEAAISKLKTNKRYEHRERHCPSAPPACLVPSPAAYREPIRWPASRSRIWYHNVPHASLASYKHNQNWVKLSGEHLVFPGGGTQFKTGGALHYIDLIQEALPEVAWGRRSRVVLDVGCGVASFGGFLFDRGALTMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPFPAGVFDVVHCARCRVPWHVDGGMLLLELNRLLRPGGFFVWSATPVYQKLPEDVEIWDDMVRLTKAMCWEMVKKTKDTLDEVGLVIFRKPESNRCYETRRHKEPPLCDGSDDPNAAWNIALRACMHRVPESARGSRWPAPWPERAEAVPYWLNSSQVGVYGRPAPEDFAADHAHWRKVVRNSYLTGMGIDWAAVRNVMDMRAVYGGLAAALRDMSVWVMNTVTIDSPDTLPVIFERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKARCKVLPVIVEADRILRPNGKLIVRDDKETVNEIVELVRSMHWEVRMTVSNRKEAMLCARKTMWRPTEVEARR* >Brasy5G417700.1.p pacid=40073184 transcript=Brasy5G417700.1 locus=Brasy5G417700 ID=Brasy5G417700.1.v1.1 annot-version=v1.1 MTVDKRVTVVEYSKETLAENSAVVAQAANDLKEYKRSLLDICLTLLIVMVFMLISFLVPEMSKVQQILCWQTAMIFFASVAFFTWTHLKDFHLKVESSRV* >Brasy5G158500.1.p pacid=40073185 transcript=Brasy5G158500.1 locus=Brasy5G158500 ID=Brasy5G158500.1.v1.1 annot-version=v1.1 MSRCSLSWCRPCAGISRGSSRLAATVDVSFEVGGETFDAHRCVLAIRSSVVAALLFGPMKEKTTTCVKIGGVEPEVFKAILHFIYNDSMPEMDEGETTVMAQHRLVATDMYSLLRLKLICEHELCKHIDRSTSTINASPPVGTTKPHARRQQQPTSTSDMSTSSAAASALAADGTPPTGSASAGVAVSGSHVLKVQGYSLIKGSRVGNFINSSKFSVGGSNWYIRCYPDGWDSECAGWISVALFLLNPDAKTEVKAMYKFSLLDQAEGRHFQRHSKAVDTFSIKVRGRGIPRFIKREKFDKSAYLKDDCLEVRCDVIVMKVPPSDMHLHFGALLSDGGVGMDVTFEVGGEALAAHRCVLAARSSVFKAELFGPMKEKTATRVRIDGMEPSVFKAMLRFIYTDSLPDVMADEGEAAVMAQHLLVAADRYGLARLKSICEHELCWNIGRSTVASTLAIADQHGCHGLKEACFSFLKSPGNLKEITASDDFEHLMKSCPSVLKELVTQLAP* >Brasy5G178600.1.p pacid=40073186 transcript=Brasy5G178600.1 locus=Brasy5G178600 ID=Brasy5G178600.1.v1.1 annot-version=v1.1 MPARACGACPVLPLLLPLIAATLLQLLPSPAAATLHPVDYLALQSIRRALSDLPGSNFFASWDFTGDPCAFAGVSCSSTTTTTARVVSLSLGDPRAGAAGLTGALPSAALSRLSALGSLSLVPGRVSGALPPSMSSSLRFLALPGNLISGALPSTLPPNLRTVDLSKNSLSGKIPPGILQIRTLRTLILSHNSLSGSIPPSQPALGGLPPRLPETMAYLSLAGNKLSGRVGPALQRLTRLSFLDLGGNWFSGEVPGELFAFRGMAYLQLRKNAFSGELRPSGRVPAGATVDLSHNALSGRVPPELAAAAAVYLNGNRLSGAVPAEVAAAAEAGRMRVLFLQDNFLTGIPLRGVPSSAAVCAHWNCVAPPAGVVAACPAKGGKGRRRPPAQCGGRRG* >Brasy5G127000.1.p pacid=40073187 transcript=Brasy5G127000.1 locus=Brasy5G127000 ID=Brasy5G127000.1.v1.1 annot-version=v1.1 MEFPQAGRPANEPLARGDHFGVPARVRWWFGTIDSKVPRCTYLKGWGEYGTAQTRKEFAGRRGGRGGEEGGAGVEEKKGGRAWWSGRRAGGRGGEEGGAGVEEKKGGRAWWRGRRAGGRGGEEGGAGVEEKKGGRAWWRRRVGGRGGEEGGAGVEERKEGRAGMEKRKEGGRRGGG* >Brasy5G061000.1.p pacid=40073188 transcript=Brasy5G061000.1 locus=Brasy5G061000 ID=Brasy5G061000.1.v1.1 annot-version=v1.1 MASGGVATAGKESSFEPSLRGDFFLTYEPQPLQRSDEWMRERAEKLKGDVQRLLFKSCNGTVEKMLLVDVLQHLGIDHHFDGQIENALSKILENEFSSSSLHEVSLRFRLLREHGHWVSPDVFNKFKVEDGSFDKDITNEPRGLLSLYNAAHLLVHDEPELEEAIAFARHHLQSMRGRLMSPLAEQVKRALHLPLPRTYYEEEEGHNPILLELAKLDFNLLQRLHLKELKAMTGWWKELSGFIGLNYVRDRLVECYLWSLVPHCEESFALTRIIFAKTNVLLTIMDDTYDMHATIEECRQLHEAVQRWDESAISVLPEYLRKFYNEVLRNVKEIGDEMAMNGNYEIAYIKKQNHKPTFDDQVNLGAMTICVPTVCVCFLVAMGDAIPKETPEWVAGYPDVVMASARVARFMNDISSLKRGKSKGDLPSSVECYMAEHGVPREIAVARIESLVEDEWRSMNQARFGNRALLPAVQRVIKFATSLPLFYADGNDGFTFTWQLRKTVESLFINPIAI* >Brasy5G291700.1.p pacid=40073189 transcript=Brasy5G291700.1 locus=Brasy5G291700 ID=Brasy5G291700.1.v1.1 annot-version=v1.1 MGNSRRPAAPASDLEVGFAKLQGEDFEYYMQTYSIVLGRQSRKKLQHGEDAAAAAPDDVDVDLGILGGGMNVSRRHARIFYDFARRRFALEVLGKNGCLVEGVLHVPGSAPVKLDSQDLLQMGDAQFYFLLPSRSVFHTDAAPRASVPRALLPPPSDEEEEELEEAVPAAKRPCSRDAGGPAARRRDAGSKGYREADNQQLLQLEEKDVISSAATILSDLCGPREWVPMNKLHGVMFEKYGNLWHHNKVRKYLTSEDWPEGETEGRPWHGLSVLLRKYPEHFVINIRKAERQCTEFVSLLSLQP* >Brasy5G017300.1.p pacid=40073190 transcript=Brasy5G017300.1 locus=Brasy5G017300 ID=Brasy5G017300.1.v1.1 annot-version=v1.1 MSSSAQIVPAAEQVCYVHCNFCNTVLAVSVPGNSMLSIVTVRCGHCTNLLSVNLRGLMHSSAVVPAAQDHHLQENVSKQQVHGANGFRDQPEFGSSSSSSKFRLPMVMFSPQNDLLQEHTLHSRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHCGLSSGRDGGKKLAVEEATAPAPKKIQGFCS* >Brasy5G017300.2.p pacid=40073191 transcript=Brasy5G017300.2 locus=Brasy5G017300 ID=Brasy5G017300.2.v1.1 annot-version=v1.1 MSSSAQIVPAAEQVCYVHCNFCNTVLAVSVPGNSMLSIVTVRCGHCTNLLSVNLRGLMHSSAVVPAAQDHHLQENVSKQQVHGANGFRDQPEFGSSSSSSKFRLPMVMFSPQNDLLQEHTLHSRRKRSEGSKQTTPTLATGKPSAQRQRTGHITQTSIVG* >Brasy5G370200.1.p pacid=40073192 transcript=Brasy5G370200.1 locus=Brasy5G370200 ID=Brasy5G370200.1.v1.1 annot-version=v1.1 MRVEPPDTVVRRLLLLRRRARVQRQHGAYWIDRRGRDSSRAPAAGDGSEDALLELGRRVDDHALGFHETPAVVHEPRVVRVLLVHQRRREGRRRDRRQPRNVHRPIHLLELHGDFLVERRQAQGERVKGGVGGEVHVGRHGELAGHVEQRRAEEVEDERGHRAGQAGDGRLHVRRVELHETGDEILDAHEAGGLDGGEVVEADAQAGGFHSAYVAGDLGPVVLRRCLHDQHRERQGAAAAGEQPLAGVQHGHQVADAPDGQQDHGRGRHRWPFSGKENKS* >Brasy5G076000.1.p pacid=40073193 transcript=Brasy5G076000.1 locus=Brasy5G076000 ID=Brasy5G076000.1.v1.1 annot-version=v1.1 MAMGGAQFPADDGVVACKVAGDGHQNRRDVGKMEHGCDHYRRRCKIVAPCCNQVFPCRHCHDEATALGDRHNISRQDVAKVVCVLCDTKQPVSQVCISCGVSMGEYFCDVCKFYDDNTAKGQYHCHHCGICRVGGRDNYFHCAKCGSCYAVSLRDNHQCVEDSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMDCFAEMVDHNKYTCPICSKTALDMTLHWDMLDREIEATLMPEVYRYKVWVLCNDCNQVSKVGFHVIGHKCGHCSSYNTRSTSRPADSPGSISPLMTDSSENNNL* >Brasy5G220500.1.p pacid=40073194 transcript=Brasy5G220500.1 locus=Brasy5G220500 ID=Brasy5G220500.1.v1.1 annot-version=v1.1 MAGEIGKVLGNDHGSSEEGSSDDDDSTVEEEEHRKTTGDPSVRPYVRSKNPRLRWTPELHHCFVRAVERLGGQDHATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDDSGQVILGGHLQQGGQTYNVAHHVPYHHRQSTSAGTMLSRFGTATPWRMSCHEPFWLHGHRFLGSKPYYYQSSSHHAEALLRSRADQHVATSGIPASMMTTQGSCSSVNDQYHERKLQAATPTTARISDDRSSHGGDLDLSLSLGIGGTRREMKRKRECISWEKEDDDQMGDSNEEKLDQSTAANMLSLSLISPSSASSGQHMLGL* >Brasy5G261400.1.p pacid=40073195 transcript=Brasy5G261400.1 locus=Brasy5G261400 ID=Brasy5G261400.1.v1.1 annot-version=v1.1 MEVWKHDAEAGKMRITMVAPSVYPDGDGRGAGGQWKESNNIGKSALFVGLNNSLCVSTRQHPDIKSNRIYFTDDELEHAVWRAKVRNQCLYNSRGYDLREPLHWGLQHQERHIEEGDKSPMEDASFWPPAVWFSPS* >Brasy5G238100.1.p pacid=40073196 transcript=Brasy5G238100.1 locus=Brasy5G238100 ID=Brasy5G238100.1.v1.1 annot-version=v1.1 MDCCICGPMAAIYRPPRNTICSPCYEGAKALVAFLDDDGGHGGDDGLVVKPEARGALINRNNNGSTKGMREAWEQVKEMRSREEEANERARFLSQGFGPDAAHTDIVLSPGSGPPIPAHKAILAARSEVFRHMLCDDEHVKAGPGAGGCVSLPELAHDELELLLGFLYTGTLDLDSTETGERRLRALLVAADKYDVQLLAQVCEARLAVVVGPVNALRTLEVADRVGGGGAGGTLKERAMSVVVEHAGTLVFSEEYEAFAARNAALGVEVTRALMLASDNAGAKVER* >Brasy5G015200.1.p pacid=40073197 transcript=Brasy5G015200.1 locus=Brasy5G015200 ID=Brasy5G015200.1.v1.1 annot-version=v1.1 MGMGGEPRVCYLTGSMDCLIDKITGLEDRPAIVEELLRELVILKEGFCDKLALNGRRETAAQAGEWMKHVRELVFDIQDWAEEKPEIAASRGEEIASFRTQIQEARDRCTRYRLLKEDLDHPDAVLASTEDQFSKLIEDLHRDLESLKKDIESLKKDILELEEERRQGYSQVGAWYLRARELVHTVMERIDDNPANDDLGRKVTRYFKTQVQRERELMLRHKRFRLPAMLVEPQGHLNRSHHLVDGDAIGKFVEHLANEQDRFRKVVSIVGKEGIGKTTLAKEAYAKLGGKFQCRAFVTAGQSRSIKAILMDIFRQVKPEATISDDWTGPPGVQQVITKLRNHLGTMSYFILIDDIRSTYAWKVISSAFPDKNHGSRVLTTTCSVEVANTCSLCPTDVVQEMLGLRLGASVSLFDREVQRASRSLFDTEEEMTVSNEILRICSGMPLAITVAAGLLSRFPVLEEPEILQKYILSALEQFSTSEEMKIILHISCAALPASVKSCFLYLSIFPEHYTIKKDHLIRLWIAEGFISRRYKERRDGEIVDEAGIWEEGMWGRGERYFNELISRGLIQPVFGFEDDQAVGCTVHGVILDFVRSMSSKENFVTVGADLGSGPLPRIIDAIRRFSLDCRDNGDNADTLASRTPFLSSMRSLAVFGDTEWTPFPTDFEDSEVKPDLGNTEVVSVLTSFTFLRVLDLEDTGNLRSHHLKGIGGLILLRYMRLGGAGIHELPEEIGKLEHLETLDVRWTNLKTLPASIVGLKMLVNLLIDGAVELSSNILGMQGLEEVSVIGVSSSKSLHEVIGLLRGSQRLRVLGLSLDRLGQSGDSERAIFSFFMEVANSTLESLSLHCIHRGLHGQLPVSYKNQMRRFEMVFTGPRRDVPWMVATHLEIELCDLKEETIRLLGMASHLRFLKLVSSGNGGRRKRRTIRCLSEEAFPCLQVLWFACKDGGTQLVFEPLVMRQLQRLRLDFMAREMITMRGSHGFGIGYLRGLVQVHVTIDCEGATVSEVEGVESIIRSEVEVVETIRSEVDYARKARMCTKTKGEGNFLLHYQVLTLEISREHEHKMVESEGSKKRIGPLKKMKNLFTSSAPRIKKAS* >Brasy5G117200.1.p pacid=40073198 transcript=Brasy5G117200.1 locus=Brasy5G117200 ID=Brasy5G117200.1.v1.1 annot-version=v1.1 MPYFPEEVVGNILGFVTSHRDRNAASLVCQAWYRIERLTRHLVFVCNCYAVRPERVHERFPFLRSLSVKGKPHFADFSFVPAGWGATAEPWVNACALACPGLEELRLKRMVVTDDCLKHLAHSFPNLKSIVLVSCDGFSTDGLAAIATNCRFLKELDLQESRVEFRGRHWINCFPKPSTSLESLNFACLNGAVNVHALERLVARSPNLKSLRLNRAVPLAVLAKILSCTRLVDLGTGSFALGNNDGAGALLRVYNALQQCNTLKSLSGFWDSPRLIIPAIHSVCKNLTCLNLSSAPMFRTADFIGVIRLCQNLRHLWVLDHIGDVGLNFVASSCMELQELRVFRANADALASTGVTEQGLVAISIGCRKLNSLFYFCRRMTNSALTTVAKNCPRFMSFRLCVLQPRSADAMTGQPLDEGFGAIVRSCKGLRRLSVSGLLTDSVFLYIGMYAERLEMLSIAFAGDTDNGMIYVLNGCKNLKKLEIRSCPFGDTALLAGMHRYEALRSLWMSSCNITLGGCRSLAAHMPSVNVEVINEAANIEEADGDASDARKVEKLYLYRSVSGPRGDAPGFVKTL* >Brasy5G117200.4.p pacid=40073199 transcript=Brasy5G117200.4 locus=Brasy5G117200 ID=Brasy5G117200.4.v1.1 annot-version=v1.1 MPYFPEEVVGNILGFVTSHRDRNAASLVCQAWYRIERLTRHLVFVCNCYAVRPERVHERFPFLRSLSVKGKPHFADFSFVPAGWGATAEPWVNACALACPGLEELRLKRMVVTDDCLKHLAHSFPNLKSIVLVSCDGFSTDGLAAIATNCRFLKELDLQESRVEFRGRHWINCFPKPSTSLESLNFACLNGAVNVHALERLVARSPNLKSLRLNRAVPLAVLAKILSCTRLVDLGTGSFALGNNDGAGALLRVYNALQQCNTLKSLSGFWDSPRLIIPAIHSVCKNLTCLNLSSAPMFRTADFIGVIRLCQNLRHLWVLDHIGDVGLNFVASSCMELQELRVFRANADALASTGVTEQGLVAISIGCRKLNSLFYFCRRMTNSALTTVAKNCPRFMSFRLCVLQPRSADAMTGQPLDEGFGAIVRSCKGLRRLSVSGLLTDSVFLYIGMYAERLEMLSIAFAGDTDNGMIYVLNGCKNLKKLEIRSCPFGDTALLAGMHRYEALRSLWMSSCNITLGGCRSLAAHMPSVNVEVINEAANIEEADGDASDARKVEKLYLYRSVSGPRGDAPGFVKTL* >Brasy5G117200.2.p pacid=40073200 transcript=Brasy5G117200.2 locus=Brasy5G117200 ID=Brasy5G117200.2.v1.1 annot-version=v1.1 MALTVRHVLISTHHRFLKELDLQESRVEFRGRHWINCFPKPSTSLESLNFACLNGAVNVHALERLVARSPNLKSLRLNRAVPLAVLAKILSCTRLVDLGTGSFALGNNDGAGALLRVYNALQQCNTLKSLSGFWDSPRLIIPAIHSVCKNLTCLNLSSAPMFRTADFIGVIRLCQNLRHLWVLDHIGDVGLNFVASSCMELQELRVFRANADALASTGVTEQGLVAISIGCRKLNSLFYFCRRMTNSALTTVAKNCPRFMSFRLCVLQPRSADAMTGQPLDEGFGAIVRSCKGLRRLSVSGLLTDSVFLYIGMYAERLEMLSIAFAGDTDNGMIYVLNGCKNLKKLEIRSCPFGDTALLAGMHRYEALRSLWMSSCNITLGGCRSLAAHMPSVNVEVINEAANIEEADGDASDARKVEKLYLYRSVSGPRGDAPGFVKTL* >Brasy5G117200.3.p pacid=40073201 transcript=Brasy5G117200.3 locus=Brasy5G117200 ID=Brasy5G117200.3.v1.1 annot-version=v1.1 MALTVRHVLISTHHRFLKELDLQESRVEFRGRHWINCFPKPSTSLESLNFACLNGAVNVHALERLVARSPNLKSLRLNRAVPLAVLAKILSCTRLVDLGTGSFALGNNDGAGALLRVYNALQQCNTLKSLSGFWDSPRLIIPAIHSVCKNLTCLNLSSAPMFRTADFIGVIRLCQNLRHLWVLDHIGDVGLNFVASSCMELQELRVFRANADALASTGVTEQGLVAISIGCRKLNSLFYFCRRMTNSALTTVAKNCPRFMSFRLCVLQPRSADAMTGQPLDEGFGAIVRSCKGLRRLSVSGLLTDSVFLYIGMYAERLEMLSIAFAGDTDNGMIYVLNGCKNLKKLEIRSCPFGDTALLAGMHRYEALRSLWMSSCNITLGGCRSLAAHMPSVNVEVINEAANIEEADGDASDARKVEKLYLYRSVSGPRGDAPGFVKTL* >Brasy5G063500.1.p pacid=40073202 transcript=Brasy5G063500.1 locus=Brasy5G063500 ID=Brasy5G063500.1.v1.1 annot-version=v1.1 MRLPSVNGLRFKHLRLVILAVFTVFLLWKWEKGSLYSIDFLRPEPLVLNRNTSNEEGFSVTDPLVRPVTEIRKESTSALTPLTIIRNVEDVADKKKAAAPQKKECDYRNGQWVPDDRRPLYSGLRCKRWLSESWNCRLTQRKDFAYEKFRWQPEGCEMSVFQPGRFLRRMQDKTIAYVGDSLGRQMFQSMMCMLAASQKDQSDIEDVGSKYGLTLKRRVKRPDGWAYRFRRTNTTILYYWSSTLCDLEPLKPSNPAAGYAMHLDRPPSFLDKNLHRFHVLVLNTGHHWNRGKLKANKWEMYVSGAPNTNRKTAVIWKAKNFTIHNVIKWLDAKLPSYPHLKVFYRSLSPRHFFNGEWDTGGTCDNTDPLAKGNTVFQNHSEDADAETAVKGTRIKLLDITALSRLRDEGHVSRYSIRATPGVQDCLHWCLPGVPDTWNEILATQL* >Brasy5G063500.2.p pacid=40073203 transcript=Brasy5G063500.2 locus=Brasy5G063500 ID=Brasy5G063500.2.v1.1 annot-version=v1.1 MRLPSVNGLRFKHLRLVILAVFTVFLLWKWEKGSLYSIDFLRPEPLVLNRNTSNEEGFSVTDPLVRPVTEIRKESTSALTPLTIIRNVEDVADKKKAAAPQKKECDYRNGQWVPDDRRPLYSGLRCKRWLSESWNCRLTQRKDFAYEKFRWQPEGCEMSVFQPGRFLRRMQDKTIAYVGDSLGRQMFQSMMCMLAASQKDQSDIEDVGSKYGLTLKRRVKRPDGWAYRFRRTNTTILYYWSSTLCDLEPLKPSNPAAGYAMHLDRPPSFLDKNLHRFHVLVLNTGHHWNRGKLKANKWEMYVSGAPNTNRKTAVIWKAKNFTIHNVIKWLDAKLPSYPHLKVFYRSLSPRHFFNGEWDTGGTCDNTDPLAKGNTVFQNHSEDADAETAVKGTRIKLLDITALSRLRDEGHVSRYSIRATPGVQDCLHWCLPGVPDTWNEILATQL* >Brasy5G479700.1.p pacid=40073204 transcript=Brasy5G479700.1 locus=Brasy5G479700 ID=Brasy5G479700.1.v1.1 annot-version=v1.1 METSVSPPGSSKQAAVRRPCPGSSFKDLCFVSKQGSITEVESALALLKKSGGNVDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLNLEDTKGRTPIDLLSGPVSQANGDSEDSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIQSGQTTAVITPRQVTVGLGRKQVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQATPRRVSSLKQRIIAVAAANKHSAAVADTGEAFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVLKGVSAAKYHTLVLGADSEVFTWGHRLVTPRRVVIARCLKKGGNTSVKFHRMERLHVISVAAGVMHSTALTADGAIFSWVSSDPDLRCQQVFSMCGRNVVSISAGKYWTALATSTGDVFMLDAKKPRKDETRMFTRVNGVKRASSVCVGETHMLVLSSIYHPEYPPKPKTQGKKPSLEWSGAMEELDEDILFNDVQPETDLSGSSSEMSKGIPSLKSLCEKVAIQHILEPKNAIQLLEVADSLEAKELKKHCEDTAVRNLDYIFTVAAPSIMNASPEILANLERLLDEKSSEPWSHRRLPTMTATYPAVIDSDGEGDDAGGFSRLRDSQKPASKSYGTSSYGTFFQKESNAEQAVSKQIRALRKKLQQIEMLEAKQLDGHQLDDQQLAKLESRVALESELAELGFPSDAFSRPFLNLPEGRANKKPEGSKKQRKNSKQAAQSDTPPIKHEAKELNHIKELPEVLPTRGSSEKDASTTGPMKTSEDVTFNSTKTISCPLGNKASRPTSSKKKNKKGGLSLFLSGALDDTPKPSPPTPVVPVIPKQEGPAWGGAKITKGPASLRDIQSEQKSKTNELITTKGKDRHGDSPDSAGRVRLSSFMPDACSSPISVTSARAVPAHDGDKSTPPWSSSATSPNVSRPSLRDIQTQQEKRHHGIISHSPKTRTSGFAIPSQGAAPEVACVKDNNVPSRWFKPEIDAPSSIRSIQIEEQAMKDFKRFYTSVRIMKPQV* >Brasy5G479700.2.p pacid=40073205 transcript=Brasy5G479700.2 locus=Brasy5G479700 ID=Brasy5G479700.2.v1.1 annot-version=v1.1 METSVSPPGSSKQAAVRRPCPGSSFKDLCFVSKQGSITEVESALALLKKSGGNVDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLNLEDTKGRTPIDLLSGPVSQANGDSEDSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIQSGQTTAVITPRQVTVGLGRKQVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQATPRRVSSLKQRIIAVAAANKHSAAVADTGEAFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVLKGVSAAKYHTLVLGADSEVFTWGHRLVTPRRVVIARCLKKGGNTSVKFHRMERLHVISVAAGVMHSTALTADGAIFSWVSSDPDLRCQQVFSMCGRNVVSISAGKYWTALATSTGDVFMLDAKKPRKDETRMFTRVNGVKRASSVCVGETHMLVLSSIYHPEYPPKPKTQGKKPSLEWSGAMEELDEDILFNDVQPETDLSGSSSEMSKGIPSLKSLCEKVAIQHILEPKNAIQLLEVADSLEAKELKKHCEDTAVRNLDYIFTVAAPSIMNASPEILANLERLLDEKSSEPWSHRRLPTMTATYPAVIDSDGEGDDAGGFSRLRDSQKPASKSYGTSSYGTFFQKESNAEQAVSKQIRALRKKLQQIEMLEAKQLDGHQLDDQQLAKLESRVALESELAELGFPSDAFSRPFLNLPEGRANKKPEGSKKQRKNSKQAAQSDTPPIKHEAKELNHIKELPEVLPTRGSSEKDASTTGPMKTSEDVTFNSTKTISCPLGNKASRPTSSKKKNKKGGLSLFLSGALDDTPKPSPPTPVVPVIPKQEGPAWGGAKITKGPASLRDIQSEQKSKTNELITTKGKDRHGDSPDSAGRVRLSSFMPDACSSPISVTSARAVPAHDGDKSTPPWSSSATSPNVSRPSLRDIQTQQEKRHHGIISHSPKTRTSGFAIPSQGAAPEVACVKDNNVPSRWFKPEIDAPSSIRSIQIEEQAMKDFKRFYTSVRIMKPQV* >Brasy5G120100.1.p pacid=40073206 transcript=Brasy5G120100.1 locus=Brasy5G120100 ID=Brasy5G120100.1.v1.1 annot-version=v1.1 MDPSGSGSRHGRLLISPSLSTPTFSSTRAPSSSPSPHHDRRNSTSSPMPLLPFPSPTSRPNSSSSSAAGSRAAATASTPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRLRQGAFFTVWAALLAADVAFFFSASLSSAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIATPAVFTWAIVSAVGMANASYYLATFAMVFYWLFSIPRQSSFKNRKQDAQLQDSDGILGPLESCVHALYLLFVPVLFHAASHHSTLFASWTNVCDLLLLFFVPFLFQLYASTRGALWWITRDARTMDQIRMMNGLVALVVVVLCLEVRVVFHAFGRYIHAPPPLNYLLVTVTMLGGALGLAAQAAGKVGDAVSSVAFTGLAVLVSGAGAVVIGFPVALLPLPMISGFYAARFFTKKSLSSYFTFVAISSLMVLWFVVHNYWDLNIWIAGMPLKSFTKYIVAAVIMAMAVPGLALLPTKLRFLVELGLIGHALLLCYIENRLFNYATMYYFGFEDDIMYPSYMVLVTTFFGLALVRKLSVDQRVGSKAAWILTCLYSSKLSMLFMTSRSVVWVSAVLLLAVTPPVLLYRDKSKGAPRMKTWQAYFHAAVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACVPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPVRLSWIYRSELITAAHLSNDDTSIYGFVASKPTWPSWLLIATVVLTLAAATSIIPVKYVVELRALYAVGVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFIVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKSFAADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLRDKVTDRGITHGPSAGRGSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLVLNITLTSGSNRAIFFLAPILLLLNQDSDIVAGFGDRQRYFPVTVSISGYLLLTALYRIWEETWPGSGGWALDIGGPGWLYAVKNVALLLLTLPNHILFNRFMWDYVRQTDSKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLAQYMISRRIRIAGMKYI* >Brasy5G391500.1.p pacid=40073207 transcript=Brasy5G391500.1 locus=Brasy5G391500 ID=Brasy5G391500.1.v1.1 annot-version=v1.1 MADSATSTFLSRLLPAPKPKPRTPPRLSLLPSNRNPSRAATSAIFAAAAAASDFLSPVPSLKSRLAAGDTLYGLFLLSFSPTLAEIAALAGYDYVVVDMEHGPGGITEALACLRALDAARTPAVLRLPEACPVWAKKALDLGPAGLMLPAIESPAAAAEAVSHCRYPPRGVRGAAYPIVRASAYGLDDSYVSRCEDDTLIICQVETAAGVAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVLAALREAERKVLEARKKKVAASAGNAAYLGGFAMPNDPPEQLKMRGYHMVAGAVDIGLFRKAALEDVKWFKEAVMEIGEEEGEEEDEKDDGYWSE* >Brasy5G083000.1.p pacid=40073208 transcript=Brasy5G083000.1 locus=Brasy5G083000 ID=Brasy5G083000.1.v1.1 annot-version=v1.1 MAPISSSRSIQFASLAVLIFSANAAPPPPPPSLQAQAGALLAWKASLGKQAQHALQSWGANTSTTPCGGWRGVRCGGGGGGRRPVVVTGVSLPGVIKLGSGTLDSLDFSALRTLTRLDLSHNQLAGNIPSSIGLLGELRALLLHGNQISGPIPPSLANLTKLQFLMLHDNQVFGEIPRWIGEIGDLVSLNLSDNRLSGPIPQEIGNLVRLKELNLSANYLEGYVPTSLGNLTRLVTLNLTSNNLIGPIPEEMRNLVKLERLGLGENRLTGPIPISLHNLTRLVALDLHNNKFSGHIPVELGYLVNLEELELHNNTLSGSIPKSLGNLTRLTTLYLCYNQLSGTIPQEIGNLRNLVWLTLSANRLSGALPSGLCAGLRLQNFTAYENNLVGPLPASLLNCTSLVRVRLERNQLEGDISEMGVYPNLVYFDISSNKLSGQLSPRWGECYGLSMFRASDNSISGVIPPSIGKLPWLRIFDVSSNKLEGYIPSEIGNITTLFHLRLDNNLLKGRIPQEIASLKNLEYLDLSSNNLSGQLRGSVENCLKLRYLKVSHNSLNGSIPAELGMLVNLQEYLDLSDNSFDGVIPSQLGYLSMLESMNLSHNAFNGSIPPSFQRLNSFLCMDVSYNRLEGPVPQSRLFKEAPIAWFMQNKQLCGVVKSLPPCDLTRSSGPEKKTRAILLAIIPATICFVFITALVTWQCKKKKSKAESANELQHAKMFTIWKFDGEDVYKQIVDATKHFSDTYCIGTGGNGSVYKAQLPTGEIFAVKKIHHMEDDQLFNREIDALMHIRHRNIVKLFGYSSGSHGRFLVYEYIDRGSLASSLKSKETAIELDWTIRLNIVKDVAHALSYMHHDCFPPIVHRDIKSNNILLDMEFKARISDFGIAKILDVDASNCTRLAGTNGYLAPELAYSTRVTEKCDVYSFGVLVLELFMGHHPGDFLLSFWSMTKKSTIFLADLLDTRLPLPEAEIASEIFKVMALAVECIKPNPSHRPTMQHTVKVFSVAEGPYVKVSSVPEGPDNHLDNLDAGFAIPACWP* >Brasy5G463900.1.p pacid=40073209 transcript=Brasy5G463900.1 locus=Brasy5G463900 ID=Brasy5G463900.1.v1.1 annot-version=v1.1 MAGNSQRQCRRGVSAGGGDEDVELDAAMALADMAGAVAGAPTTQRAERAAGAHGDGDDGEEEEASTRLSLELGRVGIQGAAAASSPCSSSSSAGGCPSQQQVLPAVAAPGVGYGARPRHSTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSENESMKKEKETVMQEYLTLQETNKQLKEQVVAKTADRTPSPVPIAMAMATAQAPAQQAESSQQAAPPQPQPGFMYATAGPSSTPAPVPYVWGTWPPGYDPRAGNPAAGAPPSPLCLPPLGAWYYPVAGDPPPPTSSYAAPQPSFAGGSPVGSGGGGTAGEEEDDTDDGADPCSLTLGLEVGGNGGGGGRADKAATAAEARKRRKELTRMKHARPGGDE* >Brasy5G119000.1.p pacid=40073210 transcript=Brasy5G119000.1 locus=Brasy5G119000 ID=Brasy5G119000.1.v1.1 annot-version=v1.1 MGSGKTVPVAQSRDSRARLLVQSFSTRAAAAEVAIAGSPATGSGDPALSSLVRAATLFQDCAAAALVQGAIEADSVRNRGRRPSSLPDARRDEGVEAVSPLRRQLAAAAADGGARESSGGRGGRRRCAKGAAASGRISGHGGAAWRKRRTGGRRFHGPEAAGHSSGTGPCTCR* >Brasy5G198100.1.p pacid=40073211 transcript=Brasy5G198100.1 locus=Brasy5G198100 ID=Brasy5G198100.1.v1.1 annot-version=v1.1 MMLSFLAMPLQHGHRLDLSECARRSISVANFGEVYSTDVADHARGTSRRAPPCVGGGTFWLGSWPVQEDYLLGSKVSTFSPSILSLPGYPTSLQGA* >Brasy5G009500.1.p pacid=40073212 transcript=Brasy5G009500.1 locus=Brasy5G009500 ID=Brasy5G009500.1.v1.1 annot-version=v1.1 MDRQLLQHGGEMSRRPAAEAALRAVQKPPAKPWRAGGASSSSSSSSPAPAPPRVYRVEPREFRDLVQRLTGAPRHPQAQPPMVPAPQPVALRSAAPGGEEAQVFAAAPWCSFPMPGSSGLDGTHHGNGALI* >Brasy5G120200.1.p pacid=40073213 transcript=Brasy5G120200.1 locus=Brasy5G120200 ID=Brasy5G120200.1.v1.1 annot-version=v1.1 MAATLLRRALHLRRVLPSPSTHALLPAASQRLLSDFTTTASTQRNTAATPIDLSSDESRRRLVNSLVYRSKQRGFLELDLVLGTWVEQHIRSMDEANIRSLLQVLDLENPDLWKWLTGQEQPPEAVNSNPVFIAIKSKVTDNLSKHASPETRSAPGQPWVRGWDDKRGIDGPKYGNQ* >Brasy5G334800.1.p pacid=40073214 transcript=Brasy5G334800.1 locus=Brasy5G334800 ID=Brasy5G334800.1.v1.1 annot-version=v1.1 MKVVVASADEANIRRSSRRYALLLAAQDSEYVLKAYGGYFNVFVGALGGGTDGEEEEESWDMFRAVDGELPAAEALAGYDGFVISGSPHDAYADELWILRLCLLVRDLVAARKRVLGICFGHQVICRALGGRVGKARIGGWDIGIREVTMAPTPPPCCSFLDGLPPYAKITECHQDEVWEVPEGADVLAWSDKTGVEMFCVGDRVLGIQGHPEYTGDILLSLVDRLASSDSITMSFAEAVKRQLVATGPDREFWLKLCKSFLKTEEA* >Brasy5G240800.1.p pacid=40073215 transcript=Brasy5G240800.1 locus=Brasy5G240800 ID=Brasy5G240800.1.v1.1 annot-version=v1.1 MSSPAKISLVLSTVLAFVPTLSIAVAVAPERSVVAVAPALTVPVAPALTAAVAPELWPDPRRSAFSLGPDAKAAAGRNVPTTSTCSTN* >Brasy5G288000.1.p pacid=40073216 transcript=Brasy5G288000.1 locus=Brasy5G288000 ID=Brasy5G288000.1.v1.1 annot-version=v1.1 MDGHTSSMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHVEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASLDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWFAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDVIHIDDFEATSPVKADHMKRPIGKKAEKERKFEFEERKMEMDIINKDLSLLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy5G344600.1.p pacid=40073217 transcript=Brasy5G344600.1 locus=Brasy5G344600 ID=Brasy5G344600.1.v1.1 annot-version=v1.1 MDSTTALEISMLGETASLDSDSWLSKQSNLKVSTFEKSNTEYIQK* >Brasy5G174600.1.p pacid=40073218 transcript=Brasy5G174600.1 locus=Brasy5G174600 ID=Brasy5G174600.1.v1.1 annot-version=v1.1 MVTSKTGENSIAAADEPKELAPSVFAADLAPNVRAGFDSTCDFIRRSHAALTEQIAHLATRIDALGDAPARAQPHDVVHRRPAEQHNHQQVGFTADGHLEDDAPEQRPFARPTGPLRIPQPGRDQVGHAARVPHDGGGTREDPEATMSRFFNGLNIEVQDRDLVHQAERAEPQFKRRQAVAPANSWRRSPTEATGSSAKTTSSSRSNQVYHSEAPKSGVSKAAPSTHSTSTIECFTCGGRGHMRRDCSNTKRVMVTQDGYVSASDDNKVDVPSTVESEDHDNFDVYPEDAASNCTNLMVQRKRHVLQPMLDKDITVATFAMKKKIEQVRSKTRTVTPAISPTPYILKFGSFCVEVPAKEEVNPNFRTPPVLKFTSV* >Brasy5G425800.1.p pacid=40073219 transcript=Brasy5G425800.1 locus=Brasy5G425800 ID=Brasy5G425800.1.v1.1 annot-version=v1.1 MAGETKETKGSKSPAPAPAPAPAPAAAAAMTEAEVEELPKTIVRRLVKDKLAQIAGGDEGGADVIVNKDAMAAFAESARIFIHYLSATANDVCKEAKRQTINAEDVFKALDEIEFAEFVEPLRTSLEEFRNKNAARKPPTVKKPAEKRRKLDKEVPPEDQNNAADDAKEDED* >Brasy5G425800.2.p pacid=40073220 transcript=Brasy5G425800.2 locus=Brasy5G425800 ID=Brasy5G425800.2.v1.1 annot-version=v1.1 MAGETKETKGSKSPAPAPAPAPAPAAAAAMTEAEVEELPKTIVRRLVKDKLAQIAGGDEGGADVIVNKDAMAAFAESARIFIHYLSATANDVCKEAKRQTINAEDVFKALDEIEFAEFVEPLRTSLEEFRNKNAARKPPTVKKPAEKRRKLDKEVPPEDQNNAADDAKEDED* >Brasy5G233100.1.p pacid=40073221 transcript=Brasy5G233100.1 locus=Brasy5G233100 ID=Brasy5G233100.1.v1.1 annot-version=v1.1 MRGGFVPAAAVALVAVFAASSLGGSDAAEAAEPDYGEALSKSLLYFEAQRSGRLPYGQRVRWRGHSGITDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAAEFGADIAAAGEWGHALEAIKWGADYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDKDNPGSDLVGETAAALAAASIVFRSSNPHYSHLLLHHAQQLFEFGDKYRGNYDSSIEEVRSYYASVSGYRDELLWAAFWLHRATGKEEYQRYAVDKAESFGGVGWAMTEFSWDVKYAGLQVLAAKLLLDGDPQGAKHRVVLEQYKAKAEHYLCACLGRNNGSNVDRSPGGMLYVRQWNNLQYASSAAFLLTVYSHYLAGAGELLHCPDDGGSPGAAPSELVALARSQADYILGRNPLGLSYMVGYGPRFPVRVHHRGASIVSHKENNRFIGCMQGFDDWFGRGRPNPNVLAGAIVGGPITGTSSGTTGETTCRPRLARTTQRPWSPSSPGCIAFRRQRGISPSSVERRRPGTVLQGLSAKCR* >Brasy5G158100.1.p pacid=40073222 transcript=Brasy5G158100.1 locus=Brasy5G158100 ID=Brasy5G158100.1.v1.1 annot-version=v1.1 MERADLLIPRRRRYLWRPWNQWRKICIVIGAWQRMARLRRRELRRIPPYVSIKIHQESDSDAASSGNGAAAVFSVGADDESFKGLVKEKREDCFRRLGGGAGIATALASYIESGILGDSSDVRHRQKAFGVNTYPKPKPKSFFSHVQDALSDLFVLVLLICAAVSLGIGIKRHGLKDGWYDGATIFLAVVLVSAVSAVSNHSQAKRFAKLASDSFDSITATAIRAGQRQEVSVFDIVVGDVVILKIGDVVPADGVFLEGQSLKVDESSMTGEPHLVDIDAERNPFLTSGVKVANGYGRMLVTATGTDTTRGKMMGSITSMEENTEPTPLQHRLQGLTSTMGKIGIAVAVLVFTVLAARPYNGNAQDSQGKPLLVIAIPEIISVAVTLALAFSMKRMVKEHALVRRLSACETMGSVTAICTDMTGALTLNKMEVTRFWVGTGQPEAAAAVAGSVVSLLRQGAGLNTTSCVYKPDNVSPPEISGSPTEIALLSWAVKYLGMDSDALKRGCEVVHLEADEERSGVMVRDTGRTGAAIVHWKGAAQTLLASCCMYVDTGGEAHELGIEQRANLEKAIDDMAEASLQCIAFAYKQVNRNGKSTMVDKGLTLLGLVGLKAQCRADAKAAIDTFIKAGVAVKMVTNANSELARTVAMECGLISSNNPSSITIEGPKFRAMSPEQQLAIVDDIRVMARALPTDKLVLVQRLKQKGHVVAAMGFRTNDAPTLLEADVGISMGVNGTEVAKKSSDIIILDDSFSTAVTAIRWGRCVYNNIQKFIQFYVTANIATLVINFISMATAGKMPLTTVQQLWITVVINTMGALALATDTPTEALMRRPPIGREAPLISNAMWRNLVVQAVFQIAVLLTLQYPGRHAFGTDQKASWAMIFNTFVLCQVFNLFNAREIEMKNVFVGVLKNKMLLAIVAVTLLLQVVMVEALTRFAGIERLDLGQWGACIAIAALSWPIAWAIKFIPVPDKPFQEIVTSGIRYYFRCG* >Brasy5G275100.1.p pacid=40073223 transcript=Brasy5G275100.1 locus=Brasy5G275100 ID=Brasy5G275100.1.v1.1 annot-version=v1.1 MSNILFSEGAKEKYFLTRRELKYMDGPRISRVAKEGYWKARRTESPIYVHNILVGMRRSLLFYVGTPKGMRTIWAMREYRLAGAGLSPCMVMRPTGSETFDPPACQATAKRNDVLSSSLCTAVAHGGFAPKMVYPDESWVICRMYKKRTRASPMIITLPAASSCRGVRARFTNFMEANRDSSLASSSIHGASLDKEEEGAGSGENKPGSNN* >Brasy5G046100.1.p pacid=40073224 transcript=Brasy5G046100.1 locus=Brasy5G046100 ID=Brasy5G046100.1.v1.1 annot-version=v1.1 MGGFEDDEPPSKRARASSVESANLSDSFCYSKSANPLGGTMARPLTSQGKEVMVGSKGVIKRDEFVRIITKALYTLGYEKSGAVLEEESGITLHSPSVNVFRKQVLDGNWDNAVSTLNKLGLLDERIVKSAAFLLLEQKFFEHLRNDNLMGAIKTLRSEISPLGVNRKRVHEMSSSIISSPQNVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQREACYLHNSIDGLSLYIDHHCGRDQIPSRTLQILCAHHDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHILTGHEKPVMMVAWSPDDSQLLTCGMEEAIRRWDVESGECIHVYEKPGLGLMSCGWFPDGKQILSGLSDQSLCLWDLDGKEADCWKGQRSTKTPDFAVAKDGKLIISMNRDCTILLFNRDTKQERLIEEDNTITSFSLSADGDFLLVNLITEEIHLWNIRNDPIRCKRYTGHKRSRFVIRSCFGGSEQSFIASGSEDSKVYIWHRATGDVIETLSGHSGAVNCVSWNPTNPHMLASASDDHTIRIWGLKKASMKRKDVGSSSNGTCMNGSANGNGFVHQCNGSHIK* >Brasy5G046100.2.p pacid=40073225 transcript=Brasy5G046100.2 locus=Brasy5G046100 ID=Brasy5G046100.2.v1.1 annot-version=v1.1 MGGFEDDEPPSKRARASSVESANLSDSFCYSKSANPLGGTMARPLTSQGKEVMVGSKGVIKRDEFVRIITKALYTLGYEKSGAVLEEESGITLHSPSVNVFRKQVLDGNWDNAVSTLNKLGLLDERIVKSAAFLLLEQKFFEHLRNDNLMGAIKTLRSEISPLGVNRKRVHEMSSSIISSPQNVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQREACYLHNSIDGLSLYIDHHCGRDQIPSRTLQILCAHHDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHILTGHEKPVMMVAWSPDDSQLLTCGMEEAIRRWDVESGECIHVYEKPGLGLMSCGWFPDGKQILSGLSDQSLCLWDLDGKEADCWKGQRSTKTPDFAVAKDGKLIISMNRDCTILLFNRDTKQERLIEEDNTITSFSLSADGDFLLVNLITEEIHLWNIRNDPIRCKRYTGHKRSRFVIRSCFGGSEQSFIASGSEDSKVYIWHRATGDVIETLSGHSGAVNCVSWNPTNPHMLASASDDHTIRIWGLKKASMKRKDVGSSSNGTCMNGSANGNGFVHQCNGSHIK* >Brasy5G269700.1.p pacid=40073226 transcript=Brasy5G269700.1 locus=Brasy5G269700 ID=Brasy5G269700.1.v1.1 annot-version=v1.1 MALALRLRRALAAASTSAPLLLRPAVSVAASSSRSLLLPTPFVPPLPPSFLPAAAAGFRSTAAASARGDYGRGADENNIGPDEILFEGCDYNHWLITMEFPDPKPSREEMIETFLQTLAQVVGSYEEAKKRMYALSTTTYVGFQAEITEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYDNGIITPRPPPVQYSKPQRTDRNRSYGGNYQNSPPPRGNFQNSPPPQGNYQNSPPPPGNYQNSPPPQGNFQTYSAQQDGRGYAPQQSYAQTGQDSRGYGRNDSANQSGYNGPPGGYQGQANHAGQGYQNPQERRNFSQGQAGDFRSTGTSAPGNYGQPSAPGTYGQPSGSATFGQPSGPGAYGQPAAPTNPGANQGGPGYGGDNRQGAGPAYGGDNLQRGSSQYPSPNEGQGNWQGRQ* >Brasy5G340400.1.p pacid=40073227 transcript=Brasy5G340400.1 locus=Brasy5G340400 ID=Brasy5G340400.1.v1.1 annot-version=v1.1 MWTPSRGSNARRTTGHRRIADYLADDQTTNTDTSDNESFTTAYGEEHFFNAAAAGGTPGRGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAALYGTAMPHTPDAPRLSRCSSTSSRIRKKFAWLRSPSPAPSPSPRVPTPAELQREAAMAARERRRIQARVNRSRAGAKRALKGLRFISRTTGTAEAAELWRRVEDRFNALARDGLLSRDNFGECIGMVDSKEFAEGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTASGAQWSQNLGVGLSGAVPATVGGAAAVGGGENGAAHGGVGEGGGMISRRREHWGRGVRKAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAGFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIASAPEEYRLVESAFGAEKPSYAGLLSGVEGVTGIAMVVLMTVSFTLATHPFRKGEKAAAASSTVSRLPAPLNRLAGFNAFWYSHHLLGIVYALLLVHGYFLFLVRRWYEKTTWMYISVPLVLYVGERMLRALRSNAHPVKILKVCLLPGSVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLTVHIRTNGDWTQELKRIFVENYFSPHVNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETTQTSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTKIASKHPNSTVGVFYCGAPTLAKELKNLSHEMSHKTATRFHFHKEYF* >Brasy5G122000.1.p pacid=40073228 transcript=Brasy5G122000.1 locus=Brasy5G122000 ID=Brasy5G122000.1.v1.1 annot-version=v1.1 MEEAGPGWPAAASQWRGGGQRRGQEVGRRGGVCRAGQGGEKGEEEERKGEKGGSGGGGREHFCASDFSFWAAGTVGRGSWAGGGSGWAGAAARPTMGGSAQELGLGFPGGAAGGGGAGLAGGGQRTRRRRVYGREGGRRKGAHVGVRETRRSEENSEQRAGHGNVGEARRIWGRRRRASRVRRRTGTGPRRGASRQREELHRGSGGASLARQRRRQLARAWAVGGSQRL* >Brasy5G362000.1.p pacid=40073229 transcript=Brasy5G362000.1 locus=Brasy5G362000 ID=Brasy5G362000.1.v1.1 annot-version=v1.1 MYGAALPKDLNLPIQLQQPAAGIRTPPQQPMSPPGLLRYRSAPSTLLGDDFLPAAAGAGPDDAVFARFLAGSHRPDTRDDNKPPRPINSHFPEVATAASMAASQQQQLMYQSQQHMAAMEGSALYRTASSGGGADHAVAGGGSSSPADFLNHLNMDNGGYGNMLRAGMSGTGGFRNGDTHAPRLKGQLSFSSSRQGSMMSQISELGGAGNSSADDEAGSNGGGYGIPAPGGGYPMGGAAGWDEPSPSPLLSADSLQSSGPAAAKRRRDSPGNNGASVPLIKHQFSLPSSKTSPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTSDMLDLAVDYIKELQMQVKVMNDGRASCTCSASRPKQFAG* >Brasy5G126200.1.p pacid=40073230 transcript=Brasy5G126200.1 locus=Brasy5G126200 ID=Brasy5G126200.1.v1.1 annot-version=v1.1 MPAQRPAGGGGRGKGGDRRRRRRTKRREGAATCAGRRVASHLAAAQRKERDGRRGRVLREGASAGRERTTGAGEEGGRTQPPGGTSRVAPGSSSTQQQGPRGGMVPADGTTG* >Brasy5G251300.1.p pacid=40073231 transcript=Brasy5G251300.1 locus=Brasy5G251300 ID=Brasy5G251300.1.v1.1 annot-version=v1.1 MLLHALEPHNINIDLTCCSHALEPHNINIITKEVQREFPVASGKVKMSGLRCLSPSTRPAAAVAGGETTIVIFIESLHAALLHLDAQVNTRPVVRLVTVDMRHAPALFLLLQK* >Brasy5G246300.1.p pacid=40073232 transcript=Brasy5G246300.1 locus=Brasy5G246300 ID=Brasy5G246300.1.v1.1 annot-version=v1.1 MSFRSIVRDGFGSLSQRRFETRLLGHCRGKSHGAVHELHDPVPVIQSSCWANLPPELLRDVIERLEASEATWPCRRNVVACAAVCKTWREMCKEIVKNPEECGKLTFPVSLKQPGPRDAVIQCFIKRDRSTQTYYLYLSLSSAVLVENGKFLLSAKRICRATWTEYIISMKANSISSSSNTYLGKMRSNVIGTKFIMYDTQPPYNSSTVSGSGKPSQRFYSKNGSTKVPCSLYSIAQVSYKLNVLGTRGPRRMHCVMHSIPASSLEAGGTVPCQMDSILARRSLDDSFSRISISKSSIMDHSMHLSSARFSDSSIGGGPGIRGQALSDEDQCKDMPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLTAAAAQPAAGAPTPPHPAPTPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSCFNTKWGCE* >Brasy5G341800.1.p pacid=40073233 transcript=Brasy5G341800.1 locus=Brasy5G341800 ID=Brasy5G341800.1.v1.1 annot-version=v1.1 QYLYVVVFQYGSAVLFNIADHEAEHYLDMIRNHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRIMEKTGDITMKRKKLFQLVGKANSNLVDVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEARDPDKTRRTSLVV* >Brasy5G070800.1.p pacid=40073234 transcript=Brasy5G070800.1 locus=Brasy5G070800 ID=Brasy5G070800.1.v1.1 annot-version=v1.1 MAAPASRHRREPSSRSWALLFVAILAVHSVAIYLFTRGFLLTRTELDVHSHRDDRTGVSPGCSSWPQPAVDRLVIVVLDALRFDFVAPSTFFPKKQPWMDKLQVLQKLAAEEKNSARIFKALADPPTTSLQRLKVMSTFCVCI* >Brasy5G333900.1.p pacid=40073235 transcript=Brasy5G333900.1 locus=Brasy5G333900 ID=Brasy5G333900.1.v1.1 annot-version=v1.1 MAWRGACVAAAAALILLLLLAGGEGGGVHCLRSRTESGSTILELRHHISSGSFSPGPSRPSKSRGDEDGGVLSSDAARVSSLQRRIESYRSSSSEEEGASKLALQVPITSGANLRTLNYVATVGLGAAEATVVVDTASELTWVQCAPCESCHDQQDPLFDPSSSPSYAAVPCNSSSCDALRVAMAAAGTSPCAGDNEQQPACSYALSYRDGSYSRGVLARDRLSLAGQDIEGFVFGCGTSNQGAPFGGTSGLMGLGRSQVSLVSQTTDQFGGVFSYCLPTRESGSSGSLVLGDDASAYRNSTPIVYTAMVSDSGPSQGPFYFLNLTGITVGGQEVESSGFSAGRVIIDSGTIITTLVPSVYNAVRAEFLSQLAEYPQAPAFSILDTCFNLTGLREVQVPSLKFVFEGSVEVEVDSKGVLYFVSSDASQVCLALASLKSEYDTSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI* >Brasy5G057000.1.p pacid=40073236 transcript=Brasy5G057000.1 locus=Brasy5G057000 ID=Brasy5G057000.1.v1.1 annot-version=v1.1 MCTSTGRRSTGSWSSAARTLSTSSPGSTWSRPSRLHDGLVHIRCRCNRKYWVARRRRQHGDDDDGWVVVAGADEPEEDLSRPSCTLIKAVPVDVSSDDGSRAAGDEPPRESVMTFRLQAAAGKDDDDGSGRMSLSGTGTVGSCLCVGRQQQQVDDDGGFIIFNLSNSKRLLPRFVAFKGSNGKYLTARTIQGRNHLVFASDDVGDAAVAHEVVYDANDIHGRFRVRNRNLSRLWIRPHNMNWILAAGSSTISSSSNDDDLFELLQVGDSFALRSTRTIFTPGGGLSWLSAIISASTRQRRRPLTAP* >Brasy5G419300.1.p pacid=40073237 transcript=Brasy5G419300.1 locus=Brasy5G419300 ID=Brasy5G419300.1.v1.1 annot-version=v1.1 MAILASRKHYCVNKNVCMSDNIDEQCKLLLDDKVQGCPDFKNAKKLSRHPSLQKGGCYEVHDIEDLLRVGRQVKGCPYFAAQTMAETAQLVFCPYNYLISPIVRRAMDIDISGSIVILDEAHNIEDIARDAGSVDVDEESLTTLAGELANLATNEAVAKIYQPLHEVIEGLINWITDQVGNLRDNEFEHPASFWTGEHAMKELQKAGITPVYFPLLQECATKAVKAASDTEADGEYLTGRGVMTLESLFSSLSHFFAGNGCHFYDYQLAFQHFVQREGSSVIGSRSVMSLWCLSPAVVFQGISDLTLSVILTSGTLSPMGSFASELGVQFDACMEAPHVIDADSQVFASVLSSGPTKHPLNASYKTADVYSFQDELGASIEEICRIVPGGALVFFPSYKLLDKMKVRWTQTGQWARLHAQKPVFVEPRGSTEELEPVLKGYYDAILGKAPLKKGRGGAKQIVKNRVRKNSSQESAKGGAALLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNINDVQVKLKKRYNDSYKSSKHLLSGSDWYCHQAFRALNQAAGRCIRHKLDYGGIILIDERYQEERNLVHISKWLKNSIKHYGSFQETMDGLDKFFQKAEEHIKIRGREMSPNVKLEADILPKHDDKRKLPWPEPNFLNQSILQSNQKVKTERGSVKVPKIDGLAGVCHNKIGMSYASPSSEAWQISSKSSDLAKKLSSPISRSLSTACQLPPSYKIQYNLEGEADIGGDYEVNTDVIDLEKCDSKSWYTKLTFFNPSEHRLQQPALVEETSTEAPVASPSNHSEVNISAVMNNDEDQAVNVDVSTSLSTANRNHSCVSTSVGTPERTANRDYHLEHEYSSVNRSVNSQYQKKRRFSPPISCCMDTEHSNPSRKSFGNSDCAGSTVPEDLKSTGGLCCKSMNMSRCENFKLERCHKPVEFSPKESAWNKLLIYCSKCKTPLGLQKDGFLVSCSLSSPSNFYLTYLLRHGLSSVDFAQDDLVASQPAVVNVIVCDASSLNQETLTKFFCKGSAHQSGVWSEKDGCVYKPVTCPVCPCENACAMPLGVRVLATDASNLHSLNRVLLFAGRLDIKHESSNEQVTSNQKGVGNPMPPPPPPVIDLETFAYKPLKKDPVPVNTRRSKLRLPSTNKPTRPEAVHNLEDSWMEFGFCSEL* >Brasy5G365200.1.p pacid=40073238 transcript=Brasy5G365200.1 locus=Brasy5G365200 ID=Brasy5G365200.1.v1.1 annot-version=v1.1 MTVWSCLFTCLYVFLLIKTCFWRNAQLFSLPRFQFWDEAHGYMQQIRKKNKGYVVVLVLFEANLEEPKHNPKHFYLPFIVRVLNSDLYFGCEEGARSSSVYREHKLVL* >Brasy5G070600.1.p pacid=40073239 transcript=Brasy5G070600.1 locus=Brasy5G070600 ID=Brasy5G070600.1.v1.1 annot-version=v1.1 MEKQAWNGNNGEGKSGSRRSTRFKEENEYVEVTLDVRGDDAAVTIQSVKNGGGDMSSPEAALPGSSRPPSSVNQRRLERSMTGAARALRGLQFLNQSVVTQGWPEVEKRFDRLAVDGLLLRSRFGQCFGMVGSEEFAAQMFDALARRRGIMAQVLTKDELREFWEQLSDPGFDAKLQTFFDMVDKNADGRITEEELREVLTLTASANKLTKILERVEEYSSLIMEELDPNQLGYIEIATLESLLLLPPSQAPTSLAAHSSNISQLISQRLVPAQDRNPLQRGLTAACYFLEDNWKRVWVMALWLAINVGLFTWKFIAYRRHPTFDVMGYCVCVAKGGAETTKFNMAVILLPVCRNTITWLRSRTRLGAAVPFNDNLNFHKVVAAGVAVGVALHAVTHLTCDFPRLLHASDEAYEPMKRYFGQTRIPDYWWFVRGVEGITGVIMVVLMAVAYTLAHPRFRRSKMGEGNPLRRLSGFNMFWYSHHLFVFVYIAFVVHGVSLYINRTWYKQTTWMYLAIPVLLYAGERILRALRSHGFTTVRIEKVAVYPGNVIAIHMSKPHGFKYKSGQYVYVNCGEVSPFEWHPFTITSAPGDGYLSMHIRCRGDWTSRFRALFSQICKPPAVGQSGLLRADFMSMERHARFPRLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPLISIVKDVLNNIQKQEQPGHGADNDDEAFMTKRVYFYWCTREEGSFEWFRGVMDEVAERDAASAVVELHNHCTSVYEEGDARSALVVMLQALHHAKSGVDIVSGTRIRTHFARPCWRDVFKRVACDHPGQRVGVFYCGDQRVTPELRQLSHDFSHRTSTKFAFHKENF* >Brasy5G280000.1.p pacid=40073240 transcript=Brasy5G280000.1 locus=Brasy5G280000 ID=Brasy5G280000.1.v1.1 annot-version=v1.1 MSNGGSPATSPPSVCSRSWSISEDSLRRYVSYASESCIQELLAASGDEDRWKTLAYCNGVEISKRMSPGQGQVFRSRWLLHAVSPQQFMAAANAVDAAKQWESDLVEARYIKELGDDLSIIHLKLGDASKLFRRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPPRDSRGRPSAAVGRGLLLQSGWVVEKLIAGAEDGDDDVESCVVTYVVQLDPAAGWLPRCIVGRLNNKLVVIIDKLKKLAQTTAMARSCTSAQAVAPGSSSSCSSSSDEA* >Brasy5G206000.1.p pacid=40073241 transcript=Brasy5G206000.1 locus=Brasy5G206000 ID=Brasy5G206000.1.v1.1 annot-version=v1.1 MRSSGRMTWLPPKIGPTCQDMTWLCHLTETPFFSPHSLLCLCSSSRRWPEAVAPPPRVIELIAGRDLLPSLRPLVPPSSRPRRRRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSLSPHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGSSAFVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARPPGPACISAQHHGLRPPGPVHPAALRPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy5G163000.1.p pacid=40073242 transcript=Brasy5G163000.1 locus=Brasy5G163000 ID=Brasy5G163000.1.v1.1 annot-version=v1.1 MAELAGGAVRSLLSVIRDEAQLLGGVGGDVQFIKEEMESMNSFLMHLARKTPRSGEHDEQVSTWMKQVRDLAHDCSNSIDIYLRRRDPAVYRARGILLGYVWWVPWFLKKTLAQHLAATQLRDLKARARDVGERRLRYGVEVPAKTADSDKLLMSPAEASFQAASGVAEGDHDLEEDYYRATNDDPRRELAFSEPRLLWKCAEKLMHWLQHQHEDDQFQAIAIAAPDEEDGKDIIDEALGHDAVTEKFDHIFFLQDQFALQEPREFLGDILEALEADESVEESGEEEVHDESSMIEEEVHDESSMIEEEVHDETSMIEEEINKKISKIDEKIEEHLEEAGNKGYQVKPIGVLRRILRVLLQDAAVLAGEDQTQEKTILEDTVEKTKKYLESAGENGSCRIGHLEHHPEFIAILQELLPKQATTLAKAAANKQGEDNIVKNIKDITLKIQVQIQPELLPMTSLHQQDKSAEESSLSPGEDKEYCRERIKQVLKRIKEHVWTQETTGRARKHLQGTRTLVVLINAYGYKWEETAKALRELGCTSMAVVVTTKYMQSANEFCYGTEPIVYSSIEYYHDTALQLTNRHVNDDNRYNATIFHEILEKCRMDDVFCIKMFIHALFANPMRRREELDKLSNSLVFGGSVQTNGYKMIKFSYNDLPRDYKTCLLYLAIFHKDEKIDRTRLIGRWVAEGLVTRQDWSSSVSQAERCFDVFADLWLVCPSDIDAGGKVKSIMLHPLVYSFITKMARKEHILDTRLSRHLARHFSILSNIRLRPSDSIVDFLKQPSNATSQLKLIKVLDLEGCASLRHNQRWLRNVCTLLILLKYLSLRNTDVTELPKEINRLQQLEVLDIQRTPMNASAIKQLMLLKLKRLLAGQSACSNDTGGGDASILSSVEMPHKLRKMTDLEVLSHVQASKHHATELREIGQLWQLRVFGVVIYDWKAQLDNLLQGISDLNECLVSLSTEIKALPASEAVATPPDVDAISAHCKNTPKILESLSISGVTMYGRLLSFFAKGCQKLAKVTLHNTLLDQDDMESLAGLPNLRGLRLRHVKLHTESKLIIQTNGFQNLKYLLVEGGGITDIDFETGETPKLETIVWLIDEIKSLSGINNLPKLKKMVFSDGIRLPDQVKQAIEAHPNFIDDNGIWC* >Brasy5G144500.1.p pacid=40073243 transcript=Brasy5G144500.1 locus=Brasy5G144500 ID=Brasy5G144500.1.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPIIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDNLSGASTVLFTFTIDRGVTWEAAKAMLDERQNDGTGSSNDGFYESKREWMGRRHFTLAFEGSTEGIYKIIRPAVGEALREMPLNELKSKYRKVTSIDKVSKGWQEEYDVSSKQCMHGSKCKVGSYCTVGKRLQEVNILGGLILPVWGTIEKALAKQIRQNHKRIRVVRLETTNDNQRIVGLFIPNAAVESVLTGLQWVQDIND* >Brasy5G144500.4.p pacid=40073244 transcript=Brasy5G144500.4 locus=Brasy5G144500 ID=Brasy5G144500.4.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPIIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDNLSGASTVLFTFTIDRGVTWEAAKAMLDERQNDGTGSSNDGFYESKREWMGRRHFTLAFEGEMPLNELKSKYRKVTSIDKVSKGWQEEYDVSSKQCMHGSKCKVGSYCTVGKRLQEVNILGGLILPVWGTIEKALAKQIRQNHKRIRVVRLETTNDNQRIVGLFIPNAAVESVLTGLQWVQDIND* >Brasy5G144500.2.p pacid=40073245 transcript=Brasy5G144500.2 locus=Brasy5G144500 ID=Brasy5G144500.2.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPIIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDNLSGASTVLFTFTIDRGVTWEAAKAMLDERQNDGTGSSNDGFYESKREWMGRRHFTLAFEGSTEGIYKIIRPAVGEALREMPLNELKSKYRKVTSIDKVSKGWQEEYDVSSKQGCNAWMCFCELLRVCMDPNAKLGATVP* >Brasy5G144500.3.p pacid=40073246 transcript=Brasy5G144500.3 locus=Brasy5G144500 ID=Brasy5G144500.3.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPIIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDNLSGASTVLFTFTIDRGVTWEAAKAMLDERQNDGTGSSNDGFYESKREWMGRRHFTLAFEGEMPLNELKSKYRKVTSIDKVSKGWQEEYDVSSKQGCNAWMCFCELLRVCMDPNAKLGATVP* >Brasy5G144500.5.p pacid=40073247 transcript=Brasy5G144500.5 locus=Brasy5G144500 ID=Brasy5G144500.5.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPIIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNVEMKESPKTVHIDNLSGASTVLFTFTIDRGVTWEAAKAMLDERQNDGTGSSNDGFYESKREWMGRRHFTLAFEGVCMDPNAKLGATVP* >Brasy5G144500.6.p pacid=40073248 transcript=Brasy5G144500.6 locus=Brasy5G144500 ID=Brasy5G144500.6.v1.1 annot-version=v1.1 MVGGSSPSPASAPVQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPELMPKLSSSTPSPPKSSAAPTLPPPPQPRKGASQARGVDPTKIQLPCPGCQAVLNVPHGLANFRCPQCRIDLAVDLTKLQNLVTSSSNGATPLVSGTTPSVSIPPPVPFLPVLTQPPQLVAVATMLPTAELPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLNIMDELDKTKTLSCLQIETIVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVASDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKSGVIFVTYSSLIASSERGRSRLQQLVQWCGPEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAALEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQGFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGEFSGEEKGISNQIWRLYWASHQRFFRHMCMSAKVPAVVRLSKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQDEEKAMEIQRKRHSAPDVSFKGRFRKVAKMEDVSDNDTDDYSPSDSDRESTESDEEFHMCQICNTEEEKSLLLHCSGCSRRVHPSCLMPPWTGILTDDWSCYTCKNVEGEEREQDAHVADFSKRYNAAVERKLKILDTIRSLDLPNNPLDDIIDQLGGPDSVAEITGRRGMLIRATDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYRGIMEHDSFPVVPPRCSDDEASTQEFITEARVALASVGIIRDAIVYLLTQVEKHPNPCPTPYTAAPKPV* >Brasy5G029500.1.p pacid=40073249 transcript=Brasy5G029500.1 locus=Brasy5G029500 ID=Brasy5G029500.1.v1.1 annot-version=v1.1 MDGQDPPEHPWWWQPAADDYHDDMFFDFSTWRQIEMKEKPCAPMPPHGMSAEPVPSNPPPSEDTMAAWLYPIVSGEDRAIDDPESPMAKETSGPAMMVTAAAGRKYNERSRRDEEDSSSSSSSSSRRVIVVVVKQENKCICMCMWQRRRCKIAERLKTLQQLVPGCDKSNHQYMKSLQQQLVNMRPPPPAAAVYPVVVPMPPASVAAAGGMLPYVVMPAAAAAPFYPPAAAAAPDAAAAAGGQCSKQQQQEK* >Brasy5G106500.1.p pacid=40073250 transcript=Brasy5G106500.1 locus=Brasy5G106500 ID=Brasy5G106500.1.v1.1 annot-version=v1.1 MFLSLLVFLVLIHLLACSLLCCSRHVLPPLPSLSSRSARSRSSQIDSFLVSFFWLPDVPCNLGLVRNPIYFAHLCLFMVINLWVVGIVRLGHAPFFGFMIW* >Brasy5G050200.1.p pacid=40073251 transcript=Brasy5G050200.1 locus=Brasy5G050200 ID=Brasy5G050200.1.v1.1 annot-version=v1.1 MSAAMATARRRLPVLLLCCFVSSELVAPIHGQPDGSSYTDGSTSGLKYVSDAGFVDAGAGANAGVRPPYSQEVQPARYLNVRYFPGAAGARSCYTLAGSQLRRIPAFDLYLGVDRWPTVNVTAADERYILEAVVVSPASFLQVCLVNIGLGTPFISWLDLRPLGAAMYPEATVKQSLLLLNLRRPGAKYGLNRYHFWRPASSYGVFRYPSDPYDRVWQSYGDVAAWTNITTAAAVNVSNASGFDEPSVVLQSAATPVNGTRLDFSWTSDSSLSPDNNGNGSTAYVLLMYFAELQRLPSAALRQFGILINGALWNSSRSYTPKYLSAEIVKMVLVQGSGDRPVVSLVATPEATLPPILNALEIYSVRQMTQLKTDNVDAEAMMTIRTTYALKKNWIGDPCAPKHFAWDGLNCSYPSSGSAQIKALNLASSVLSGAIDPSFGHLKSLQHLDLSSNSLSGPIPDFLAQMPSLTFLDLSNNKLSGSVPAALVQKQQNGSLILRIGHNANICDNGASTCDPDKKENNRTLVTAISVTIAVATLLFVATIIILRRRRNKQDTCMANNGRLSGPRERYNLFENGQISYKELKLITANFREEIGRGGFGAVFLGHLENERTVAVKICSKTSSDGDKEFLAEAQHLGRVHHRNLVSLIGYCKDKKHLGLVYEYMHGGDLEDCLRGEASVAKPLSWHRRLKIAIDSAHGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKISDFGLTTVFADEFMTHITTKPAGTLGYLDPEYYNTARLSEKSDVYSFGVVLLELITGQPAALAISDTESIHIAEWVRQKLSESEGNVESIADMKMGTEYDIDSVCKVTELALQCKERPSRERPTMTEVVVELKECLELEVSRGMGNYSSVASSANSISETSADLRSDAQGSELR* >Brasy5G050200.2.p pacid=40073252 transcript=Brasy5G050200.2 locus=Brasy5G050200 ID=Brasy5G050200.2.v1.1 annot-version=v1.1 MYPEATVKQSLLLLNLRRPGAKYGLNRYHFWRPASSYGVFRYPSDPYDRVWQSYGDVAAWTNITTAAAVNVSNASGFDEPSVVLQSAATPVNGTRLDFSWTSDSSLSPDNNGNGSTAYVLLMYFAELQRLPSAALRQFGILINGALWNSSRSYTPKYLSAEIVKMVLVQGSGDRPVVSLVATPEATLPPILNALEIYSVRQMTQLKTDNVDAEAMMTIRTTYALKKNWIGDPCAPKHFAWDGLNCSYPSSGSAQIKALNLASSVLSGAIDPSFGHLKSLQHLDLSSNSLSGPIPDFLAQMPSLTFLDLSNNKLSGSVPAALVQKQQNGSLILRIGHNANICDNGASTCDPDKKENNRTLVTAISVTIAVATLLFVATIIILRRRRNKQDTCMANNGRLSGPRERYNLFENGQISYKELKLITANFREEIGRGGFGAVFLGHLENERTVAVKICSKTSSDGDKEFLAEAQHLGRVHHRNLVSLIGYCKDKKHLGLVYEYMHGGDLEDCLRGEASVAKPLSWHRRLKIAIDSAHGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKISDFGLTTVFADEFMTHITTKPAGTLGYLDPEYYNTARLSEKSDVYSFGVVLLELITGQPAALAISDTESIHIAEWVRQKLSESEGNVESIADMKMGTEYDIDSVCKVTELALQCKERPSRERPTMTEVVVELKECLELEVSRGMGNYSSVASSANSISETSADLRSDAQGSELR* >Brasy5G361600.1.p pacid=40073253 transcript=Brasy5G361600.1 locus=Brasy5G361600 ID=Brasy5G361600.1.v1.1 annot-version=v1.1 MASVERAIAKAAAALLPGLLPTPPPMSTPSPCIIILPAASNPKFPKPGRADSADRWDACKNCPRSPASWCGSTSPGRADTCQRWDINKIKKNPGSMISGERYKRPPSRASSADRWDAHKKKPRGDAVSDAESQTRDQAISKDKEEQQKDEATEMEEAASRTHLVFSGPTFVASPEPSMLPMPAFFFARRASVIPLPALVQASTLVG* >Brasy5G401200.1.p pacid=40073254 transcript=Brasy5G401200.1 locus=Brasy5G401200 ID=Brasy5G401200.1.v1.1 annot-version=v1.1 MDGGLAFGRPAEEEEEEEEEEEEEEEEEEEEEEEVSSMDSIGRLIGVVPCTLDSTYPSYRLFYARSSHLRRCICRWEVDEAPDLAFSMSVFCWFGYKV* >Brasy5G281400.1.p pacid=40073255 transcript=Brasy5G281400.1 locus=Brasy5G281400 ID=Brasy5G281400.1.v1.1 annot-version=v1.1 MAALAPTKMLGTQLNFTGSSSYTTAAPTAGAKKIVSLFGGFNKKPAAKPKPAPVSSSGADIDDELAKWYGPDRRIFLPDGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPADFTKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLILAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSAHLSDPFGNNLLTVISGAAERTPSL* >Brasy5G273100.1.p pacid=40073256 transcript=Brasy5G273100.1 locus=Brasy5G273100 ID=Brasy5G273100.1.v1.1 annot-version=v1.1 MARRSFGRRRPHRSRERGTVPVPIGVDGRDDTSRWPGRDSVYLVDERASGETRLCAGAIPTSEGVEQVS* >Brasy5G188500.1.p pacid=40073257 transcript=Brasy5G188500.1 locus=Brasy5G188500 ID=Brasy5G188500.1.v1.1 annot-version=v1.1 MAFHLRSISLPSRPHISETEIEQELQSLAASISSSITIGTICDGLRRLVNIYNGVEEIICLPSNQVCSFQQRKMLDGEMEGSLDLLDLCSTMQEIFVEMKAIIQDLQVALRKGDDTAVQAKIKSYACFAKKAKKHFKKTTKKAISEKAECRMVMLLTKAREVSISLLESTLHLLFKQIEMPKQSLVSKAFHKNKAVVCEEQLQELESSIGDLENGAGDLFRKLLQSRVSLLNILSS* >Brasy5G197400.1.p pacid=40073258 transcript=Brasy5G197400.1 locus=Brasy5G197400 ID=Brasy5G197400.1.v1.1 annot-version=v1.1 MQYDFWLTIFLKLFNKSCPSTHRRSSRRKSSHYLVEPRAWQLKLNAKSLGLFTIGIPPAIDFIKINCDGAFFPYEQRGGWGQTSRRLPLIYPHLAYPSRPHSPPHLSITWPSAPAGVLRRKAAPSGCGRVRRRIVARRRTCSGRPRGPLSRLPPPPALGLAMAALRDSFGLHPHPHRPSRGLRLPRRCPCEISWFLVVFL* >Brasy5G521800.1.p pacid=40073259 transcript=Brasy5G521800.1 locus=Brasy5G521800 ID=Brasy5G521800.1.v1.1 annot-version=v1.1 MGRRRRVFSPNEEKKKEPARSEKEAERSQLVSKIQACHTEALLLLADAAVVFNAGGLCFGLLDPVSNIVINALSSPPPAESQVVVSEKLRREAAERSAEALLTFLTCFFPYLPEWEAVRYLLVAHADLVVAVRLVLRDRCLLGYCLGGDSSFKMALKCAAPAADHDRLVANWSDPSTSSLRELRSEITSSMEEEEDDGARRLVTRARLRTKLSSMSPAAGSLLQLSSWEDQLLPRCLYCDYEGSRIVHPRVHEFHGRDIEFQRMACNQDLLNPQHQSYRYTNSSIIQRSDYIAERLQDIGDDVRAVCR* >Brasy5G355500.1.p pacid=40073260 transcript=Brasy5G355500.1 locus=Brasy5G355500 ID=Brasy5G355500.1.v1.1 annot-version=v1.1 MVSEETKPKKQTNEECIINCLPEDLIERVFFRLPVSTLLRCVGVCKQWSKIIRDPQYVSSHLQNAPRCALLFFPQESVSGKPYPADTILIDEAGSQSTYAVPVIGPEDFLCGSCNGLLCLYTKTSTIKIANFATGECLHLEKPVKNLRGDHFLFYSFGFHPLTKEYKIIHFLGDCDEGRHRPHNNKRFSAIQVYTLGDEKWRDIITPGALSLNCVKNSGTINVDGTMYWLAEDMVASWQHAVMSFDLSEELFALIQLPAAIPEDCPGRGPRLYWIREIDGKICVATAQTCPSLPRRLVGKLQIWTLDNKVEQRWTQKYNIQCSPDYIPGPNLVLGDKIMVQHCDGYLYSYELLGENVNTKLCKMEKLLDFTPHKPDNMLFYMCVKSLVCLDVYKKASVVRKPKQREGWELKKWEAWKHDLSELENKWTIIHRRELDATVRTRGKTDVAGGRRPPATALLPSVNPLPAAFHRGRRQRPSAWSTIDTSFFAGGFLTGPRQRLCRRPKG* >Brasy5G452700.1.p pacid=40073261 transcript=Brasy5G452700.1 locus=Brasy5G452700 ID=Brasy5G452700.1.v1.1 annot-version=v1.1 MFFDDVDIPDGVQRQSLGLTSSYLKMLKGDERDHLRIGKPIIKNAYHTLVDYNANLNLKDRRMRSQRKALAQMVMMLCEPTRINPILECCT* >Brasy5G374700.1.p pacid=40073262 transcript=Brasy5G374700.1 locus=Brasy5G374700 ID=Brasy5G374700.1.v1.1 annot-version=v1.1 MVKLDVLSALLALMLIGSASSQSAPAYPPNPSPGSPNRITNPPSLSPSPPTYPPSVSPSPSLLAYPPTGIVPGVPSQSTSPPIHAPSISIVPSPSSLPIQTQPVYPPSSSTPAFPPSPGPSTIAFPSPSPAPAAVYPPISAPPINSPSPSPSPTPPSPGLTVGHYKYSCPNAEDIVRQAVKAATDKNRGTGAGLIRLFFHDCFVRGCDASVLLNTTGSGSPTERQGAPNLTLRGFEAIDDAKAALELACPGVVSCADALAFAARDAAFFLGAGAAPLDFAMPAGRRDGRVSLASETVPNLPSPSSTLAQLVAGFGAKGLGMGDMVALSGAHSVGRARCSSFSARLVEPSAMDPELARSLRAQCGGSGESMVVEDFRTPDVLDAGYYENVLRREVLFGSDAALMASEGTAGMVVENARVSGLWERRFAAAMVRMGAVGVKTAGDYDDGEIRKKCWIIN* >Brasy5G334400.1.p pacid=40073263 transcript=Brasy5G334400.1 locus=Brasy5G334400 ID=Brasy5G334400.1.v1.1 annot-version=v1.1 MGVMVGGFRWRRGKWNGRDGRRLPMAAAGLCKRVGTPWSLAAPSRASTRRQKREGAGARQRDPRGQRHRRGRKQRAALLPRIGGGDVGKGAALAGKEAMGGGGGLEHERAGSFTHGTARQEATMCVEWEPEGERDERLRLRL* >Brasy5G032300.1.p pacid=40073264 transcript=Brasy5G032300.1 locus=Brasy5G032300 ID=Brasy5G032300.1.v1.1 annot-version=v1.1 MRHAHPRTNSWIRYETQIPCLHSLPNQSQLTFVSFPLPTQILNSARPRVTMEAPRAEEIVWPAVRSGISPEPRATQSLRRPLVRLRPRAAESHADWRALPRPAIAALFLHLPVLDLFRLGHLFTSQWLEVWRGDPLELHDAQFARLPIPRSHVADAIGNVLDKYLAADEDEVEHEYVDEDDGGGDEGEDRDDELEDGDDGEGICGAAGRRRVESFRVESTEWRAEHAARWFAALKRGGADEVILFNRGDPPVLSPVPPGLLECVTVTILHLGFFTVEAGELDALTETIHLGLYGCACRPGVVEGVVAACRKLHKLWIHDCAPPLEHVVVSSAHRLWRISMLRTAARSLTVHNAPILHEIVFPAPGAMVSIRGARWLRRLISLDVPTISLEIDGEQIPPQPQAAWLVTVWSVTTLVLAVDYAALGGAAMAPFAVEQMLRPFPYLKELFIQRKDEVSEAEGRAGVQEGVMNATSKNEERRRNHSTRTHGFNVENPLKQREGKKHGRQPAKLHCMGSVYTTPGRFHESNSSQNGGLQGVYIAGELG* >Brasy5G322800.1.p pacid=40073265 transcript=Brasy5G322800.1 locus=Brasy5G322800 ID=Brasy5G322800.1.v1.1 annot-version=v1.1 MGRRRILAAAVALALLACWSTCEVRGKETDDVLTSLVEFLATLAGGDSAAPQRMGWNASVDPCAGTGVASQWGKTITCFDTTETNAGHVKKIELEALGLSGTIDAASLCAAPALRVVSLQGNALRGELPAGVSACSGLTHLYVDGNRLSGPLPGSVSHLRKLLVLEVSRNDFSGELPAGLSALHGLKRFVANDNHFVGTVPDFNLPSLENFTVSNNNLTGPIPQSLRRFGSESFSGNAAGMCGEPALSACPLPPPNDETADQEEEDKESKSRRTRRVLMYLGYALLGAVILGFVVYKICSRKRKNKLGRKSRGGKVKDAFDSSDPTTTTTMTASKSASAASAYSLPASVERSAAAAPSTASLVVLRRSGTASVTSTAAAAAAKELRFEDLLKSPAELLGRGRYGSSYKVVVPSGAALAVKRVKDASVTDDEFRRRMERVARARHPAVLPPLAFYCAAQEKLVVYEFLANGSLAKILHGSIESSQAPLDWPARLHIAAKVADGMAFMHASLRGDGSGSYSSSSTPSTPSSGEAATDGANANAVAIAHGSLKSSNILFTASMEPCVSEYGVIAPPPQLGGAGAAGGSSRSSGLRADVRAYGVLLLELLTGKCTAAQGDGAELARWVTSVIREEWTAEVFDRALLSRGAAVSEQRMVQLLQVAMRCVEASPGEAPPTMREVSGMVNAIVEEDDRSLSAEA* >Brasy5G377200.1.p pacid=40073266 transcript=Brasy5G377200.1 locus=Brasy5G377200 ID=Brasy5G377200.1.v1.1 annot-version=v1.1 MAPKRGGRAPVPAKKKAEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQGKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTSSVLCLTTVKNEDKLDFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMT* >Brasy5G467400.1.p pacid=40073267 transcript=Brasy5G467400.1 locus=Brasy5G467400 ID=Brasy5G467400.1.v1.1 annot-version=v1.1 MPGSSRNVVSYNTVISALARHGRQGEALRLFARLARDRCLGPVVAVDRFAVVSVASACAGVGAMRPLREMHAAVVVSGMEMTVIMANAIVDAYSKAGRMEDARMLFNQMSIRDTVSWTSMIAGYCRAKNLDEAMRVFDMMPDQDTIAWTALISGHEQNGEEDAALELFRQMLGKGMAPTPFALVSYLGACAKLGLVTRGKEVHGFILRHCIGTDPFNIFIHNALIDMYSKCGDMVTAMVIFERMRERDFISWNSMVTGFSHNGQGKQSLSVFQRMLEAEVQPTYVTFLAVLTACSHAGLVSDGRRILASMQYHGVEPRAEHYASFIDALGRNHQLEEASEFIKDLSSRIGPGTTGSWGALLGACRVHGNIEIAEKVAESLFQLEPGNSGRYVMLSNIYAAAGQWDDARRVRALMKGKGLRKDQAYSWIEVRSAKHMFIADDTSHCEANEIYGMLDKLFDHMRIVVDPVEDQLALC* >Brasy5G472900.1.p pacid=40073268 transcript=Brasy5G472900.1 locus=Brasy5G472900 ID=Brasy5G472900.1.v1.1 annot-version=v1.1 MLFEIDRRRRGEPAPPPAAPSDHHYTDDATAGIDEPSSQDEEDNDDEDEDDDLDEEDFEDGGRGGMMDYREAYRNGGFGGVPASAAAIADLIKKFKYHETGGADDDATHREAARACMICIEEFEADDELGVMPCSHMFHHGCLAEWLSRSHLCPCCRHALPSEEQH* >Brasy5G436000.1.p pacid=40073269 transcript=Brasy5G436000.1 locus=Brasy5G436000 ID=Brasy5G436000.1.v1.1 annot-version=v1.1 MEGEAAESHSMFLPFLLMFASIYLVAYLFLFRRWSRDQRPDASSCLTSLFHGTAAALLALRAVLSNPNFAGGDLALALAAPNTAADELVLDFSTAYFAVDLLHYLVFLPDQAGLFVAHHLATLYVFATCRAAARRGAYGLLALEVLAEATSLAQNLWTLAGMRRGDSPAAARAHAALSLPFYAAYTAMRGVLGPLWFVKMVRFYASAAGSQSGLPAWAWVSWSVVIGSGILLSVLWVANLWLAYFRDRSIESSKKVKER* >Brasy5G196900.1.p pacid=40073270 transcript=Brasy5G196900.1 locus=Brasy5G196900 ID=Brasy5G196900.1.v1.1 annot-version=v1.1 MDLQLYPLGFHVSRTPSYAIVTNWLTKLHSVKFGLWRRGRCLIADEMGLSKTLQVIAIAWCFKDEGRGTGTLGSFISAKRYPSLY* >Brasy5G274700.1.p pacid=40073271 transcript=Brasy5G274700.1 locus=Brasy5G274700 ID=Brasy5G274700.1.v1.1 annot-version=v1.1 MGRPPCCDKMAVKKGPWTLEEDMVLTSYVQEHGPGKWRSVPASTGLARCSKSCRLRWVNYLRPGIRRGSFTALEDSVIVHLRSLLGNRWAAIASYLPQRTDNDIKNYWNTHLSKRLKKQEAMGAIFALPPPTESTTYSTSSSSYAYSVDNISRLLDGFMKTTTLQAPAPTRSSQSTSCAGAKPSAVVHVVEANEYALMPTFDDYCISGTGTDLINFVGGQQQVSLSSVEKWLFDEAADIMDELTSSDACYSVPMLF* >Brasy5G194300.1.p pacid=40073272 transcript=Brasy5G194300.1 locus=Brasy5G194300 ID=Brasy5G194300.1.v1.1 annot-version=v1.1 MADTRRAAALCLLLPLLVFSLVNPYLVEAGKYVCNYRTPMMPFCAEWMCTTECWVEVRLFFATVKEHRCAKGGIKGYCYCLFCGDNLEQDEVQEPKPQEMIHN* >Brasy5G031600.1.p pacid=40073273 transcript=Brasy5G031600.1 locus=Brasy5G031600 ID=Brasy5G031600.1.v1.1 annot-version=v1.1 MRRLVAALLLAFASALLLPHGHETFSAAAPANIPEPCDRRCGGATAAPYPFGFSAGCPIPLSCDGNASTRTLPTIRGPNGTTSYRVVAFNATTVLVAVPPSCERTVEEARGALSGPNYGVSARTGLFLRGGGCRASPPNASASCSVPAVVMSRLLRAAPCIGNETNAVACVASAAQNATAETFLSWDKAEKTKCDDVLTSAVYMDAEDQGARGSLEFGVAELGWWLNGTCAGGGGGKGAGSCAANATCTDVRTPGDTAGHRCACAEGLEGDGFFAGDGCYPTRAKRGRSKKTVAIIGGVLAGVAVAAASVLLLCWAQRRRSGCYGRSGSDRSAAKRLLSEAAASSGVPVYSYNEMARATSSFSHTHRLGTGAYGTVYVGRLPASSPVLVAIKRLRCRHDDDDDGNAVARLLNEIKLISSLSHPNLVRLLGCCLDRGEQILVYEFVPNGTLSHHLVGGGDGGARLPWRARLGVAAGTAAAVAYLHAARPPILHRDIKPSNILLGADLRAKLADFGLSRAGLDASSARSHVSTAPQGTPGYVDPEYHQSFHLSDKSDVYSFGVVLLELITAMKAVDLDRPPNEVNLASLALDRIGKGRVHEIVDPVLLVEDGGEEWVMESVRHVSELAFRCLAFDKDVRPSMSEVAAELCQIRDAAPGSKLGGSMTELATGVRLDGPDTEVKKSRSPVSVQEVWISDQSLPSSNGSMPRFR* >Brasy5G417800.1.p pacid=40073274 transcript=Brasy5G417800.1 locus=Brasy5G417800 ID=Brasy5G417800.1.v1.1 annot-version=v1.1 MDDSWAWHFETRGVFSVKSAYKLARDKALIHAATGSGVPGQDVLSWNYIWSANCPGCFSTQLINGYEGRSLFSFEKEVENPRSLFFSKREISV* >Brasy5G173000.1.p pacid=40073275 transcript=Brasy5G173000.1 locus=Brasy5G173000 ID=Brasy5G173000.1.v1.1 annot-version=v1.1 MATSSSFVEEKGNERFQNPAPEEAKEEETKMSTTATKKAKKKVVRRMPQQEVDFVMSYDVDESCDTPDMGPLGEKHPYLAAWARALDVEMAEVTEKNHREMVERQKDYRQQLRTKGYVTYVVEVDDDDDDVEEEESPAAVVAPRGRRRFCRGVLKRGVRITKLN* >Brasy5G214500.1.p pacid=40073276 transcript=Brasy5G214500.1 locus=Brasy5G214500 ID=Brasy5G214500.1.v1.1 annot-version=v1.1 MEGIKRTTTLMVIMCLLILSLTLNSATAAQCSCCRSAKAKSCCADCIANGSSDLVCKNTCCFPCFLADSVVAKVEEMGVVANMEEGQA* >Brasy5G468600.1.p pacid=40073277 transcript=Brasy5G468600.1 locus=Brasy5G468600 ID=Brasy5G468600.1.v1.1 annot-version=v1.1 MSRSVEPLIVGRVIGEVLDTFNPCVKMVTTYNSNKLVFNGHELYPSAVASKPRVEVQGGDLRSLFTLVMTDPDVPGPSDPYQREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVTAPSFRDHFNTRQFAEQNDLGLPVAAVYFNCQRETAARRR* >Brasy5G207400.1.p pacid=40073278 transcript=Brasy5G207400.1 locus=Brasy5G207400 ID=Brasy5G207400.1.v1.1 annot-version=v1.1 MHDLLRQLACYLSREECFIGDPESLEDKSMSKLRRISAVTKKDMLVLPSTGKEHLKVRTLRKLYGVSQGVDLSLFKKLLVLRVLDLTGSSIQTIPDCIANLIHLRLLDLDGTEISCLPESIGSLINLQILNLQRCDALHSLPATITQLGNLRRLGLQDTPINQVPERIGRLAFLNDLEGFPVGGGTDCGKAQDGWKLSELGHLLQLRRLDMTKLERATTCSKDSLLMDKKYLKILSLYCTKHPVESYSEEDVGNIEKISEQLIPPHSLEDLAIVDFFDCNSCVHVFQLGQLPNLKYLKIDGAAAVTKIGPEFLGCREDNPRSAVAVAFPKLERLLICDMPNWEEWSFVEEQDVAAAVMEGVNDGSAEIRKGEAPSPRLQVLPRLKRLQLVGCPKLRALPRQLGQEATCLERLQLRGVSSLKVVEDLPFLSEALTISGCDGLERVSNLPQLRELYVKGCPHLRCVEELEVSNLWLSRLQQLRGEDLDVYTC* >Brasy5G373200.1.p pacid=40073279 transcript=Brasy5G373200.1 locus=Brasy5G373200 ID=Brasy5G373200.1.v1.1 annot-version=v1.1 MASREGDPWSDIVASGGGGGAARIGAVYERRRAQEASRQRNADPRDENRPSFAPAPPKRTSWNRSLSIRGRESIFFAPGTNLQPQQKPSRALKRPPKPCNRVKSTLRGPLDLSKAKAYFEEVDAFELMEESPSPKNFSTWASGMEQTIIVHDLSAILERWKISKISRCASSKPLFDIMETPLVPSVLSTCSTDCLNKSCRTPEKDRYSGINPRRTLHSGYTNNSIDNIAGETSIVTSFSELDIKGEPVRTSIPSSNSEALTAFAQLLMVCKQSAPATFAEVFSTYCKLGSIVKLGEGTYGEAYRAGNSVCKVVPIDGDLVVNGETQKKSEEILEEVLLCLTLNNLREDGADNEKENSCNGFIETKDFWVCQGRYDPSLVSAWENWDDKHRSENDHPKEFSNDQCYIIFVQADGGRDLEKFALLDYNEARSLLLQITTSLAVAESACEFEHRDLHWGNILLVRDEMPDKNHTMNITLQGKRMCARTFGLTVCIIDFTLSRINTGDAILFFDLSKDPVLFEGQKGDKQAETYRKMKRITNEYWEGSFPQTNVVWLIYLVDILLSKKYEMCTSKDERELRSFKKRLSSYGSARDSLSDSLFSDLLSEEEDSRPSAMPLL* >Brasy5G373200.2.p pacid=40073280 transcript=Brasy5G373200.2 locus=Brasy5G373200 ID=Brasy5G373200.2.v1.1 annot-version=v1.1 MASREGDPWSDIVASGGGGGAARIGAVYERRRAQEASRQRNADPRDENRPSFAPAPPKRTSWNRSLSIRGRESIFFAPGTNLQPQQKPSRALKRPPKPCNRVKSTLRGPLDLSKAKAYFEEVDAFELMEESPSPKNFSTWASGMEQTIIVHDLSAILERWKISKISRCASSKPLFDIMETPLVPSVLSTCSTDCLNKSCRTPEKDRYSGINPRRTLHSGYTNNSIDNIAGETSIVTSFSELDIKGEPVRTSIPSSNSEALTAFAQLLMVCKQSAPATFAEVFSTYCKLGSIVKLGEGTYGEAYRAGNSVCKVVPIDGDLVVNGETQKKSEEILEEVLLCLTLNNLREDGADNEKENSCNGFIETKDFWVCQGRYDPSLVSAWENWDDKHRSENDHPKEFSNDQCYIIFVQADGGRDLEKFALLDYNEARSLLLQITTSLAVAESACEFEHRDLHWGNILLVRDEMPDKNHTMNITLQGKRMCARTFGLTVCIIDFTLSRINTGRNLSQNETNYQ* >Brasy5G168900.1.p pacid=40073281 transcript=Brasy5G168900.1 locus=Brasy5G168900 ID=Brasy5G168900.1.v1.1 annot-version=v1.1 MPAQRKPKGWDCGPKVSCNSRPNSSSSRMGAPSSSSEYRL* >Brasy5G264900.1.p pacid=40073282 transcript=Brasy5G264900.1 locus=Brasy5G264900 ID=Brasy5G264900.1.v1.1 annot-version=v1.1 MALAVVFVDRLNEVLREAVSSVIARSGKPSNQQAMRLRPEEVSVNSYALLQAYALMAVTGLGYLALLWSTVVLLGGFVTSLGKKDFWCLASISMVQASRIFNDSGDNLFPILLNLAEKLMDKVLANPIVSVHNLKEYSRFNCILILAPIQTAHLIVQVLSLPVLLMFVTAIIIYILGPVTCIVLSAWRIGQRDYGDTRIDDSKRNLMPALDIFYSLVICQGMLFFLWWLIDYAAVWIVVSLREECKLPKEWGSTSLVEYLFDTQSKCWRDPASIDGVKLINYAVNLLDSGLKKDYLSGARMVDTFIKLEADVKSLLLPSRPKIQKLIDTLGWRSNDTEIRELAARIIAYLASDIHLRQFPGSVQCISSLLDSTTLPHWNNKQGQHQSKIQQDPHDHSRKSKSEKGPQRPKLARGLRFLLADRRLEEDLWHRLFKREPPPVFNNKKEELVYLVKEEEQRHEKRTKKQESDVQHKEVMDRELRGGDGSNGLILQGLMILERLASDRHNCRDICSSADGLLPKIMGPLYSSTLIQDIDISAWGDVVNGVFKVVHRLIHAPGRTGRRLRREISSSKHALDNLKGIVDQRGKINKELQKHAIEILTELASDSPTNLNRETKESLIHTQMNIFLAVDEGEEEADTKSVNLKVTAGKTLALLSKTETTSVFIVGQKHNIVGRLSEILESKNYIKYKTIAAEILENLCSTLDKKLVEDALLPKVLVEILTYKREAPTEASQRKIYVKSTLCLLFFGALNSKREKQTEASQSEFASGDDEEKQVSKHNDQIKSYNQANEEQTVLMEWQEALLSLTLVICNKLITPDDSDDVAPEISQDGEFVATLKTIVQDNCQATAICLRIVKLCGQITILMMPGSQYSTLTQYKELVESLSTASQTMSNLESCMLFAGTDCGMKNSVRPLLFDLEKELKEAIESFERNRGS* >Brasy5G283000.1.p pacid=40073283 transcript=Brasy5G283000.1 locus=Brasy5G283000 ID=Brasy5G283000.1.v1.1 annot-version=v1.1 MLQLVERNSFGRMKKFRMHDLLRELAVDLCHRHCFGVGYEEEKCGGSVQEDGRRLVVHKLKQDIQQSFSSIHCLRSFIILDNTVPSLALLPLLCEISKYMSVLELSGLPIEKIPDAIGDLFNLRYLSLHGSKVKLLLKSVEKLSNLLTLDLYDSSIQVLQELPRGIVKLKKLRHLFAEKANNLSWRDFRSHYGVSIPKGFGNLADLQTLQALEAQEESIRQLGELRQLRSLRMRFLSFLSVNARDENEVLLLSVLPPNLQKLCLTGRLAEGALDESLLLQAVAEKNLYSLGLYWSQLRVDPLPSLSALANLTDLRFTRAYIGQQLVFLTEWFPKLKILYLEDLPNLKRLEIQQGAMATLEELTSTNLKSMTELPLGLEFLMPLQYLCVREITSDYLSLLRQCPATRGRFQYTLRP* >Brasy5G214900.1.p pacid=40073284 transcript=Brasy5G214900.1 locus=Brasy5G214900 ID=Brasy5G214900.1.v1.1 annot-version=v1.1 MEETWQKMMYSLHSAWKETMKSVKQMIERDSLVRKWIAEGCIPEVGESSREEVAIGYLDELMNRDMIQSVENYGSCHGEETCQVNCLMLEVIRLMSQEEEEHFLDISWPVVPSRVFIECRDQDILSYGGLWDSSHVRSVTTIGSGKLVPINHLKHLRVLDLDGCEDVDNSVWDDICQKLLLRYLSLKQTQVTVIPPEISNLRYLETLDIRRTQISNLSGEIGKLQNLETLDVGQTRVKELPRELAQLPKLAHLYFGQSSSIGGVKLPAGSDHQFKSVKVIGTIDSRECSGSTMEEIVSGFTGVRELRVLLYDGPADKEQNDKLLSCVAKCGFLQTLIIYGDSDPSVELPPAPPNLFPLLEKLIVAGRFVRVPRWIAQLGALKKLDIRVCKLEPDHLGILGALPGLTTLALALVCIPRKKQVAITGSPECFTKLEVFSFDCRVPWITFEQSAMPSLKQLHLKLYACAAAGKFPSGITHLGSLEKIILRYSSEYENSSGVTEAVDAMRQEAARHDKLIELSVNGDYEVFLSNTTLDKKITGSEIEECY* >Brasy5G376700.1.p pacid=40073285 transcript=Brasy5G376700.1 locus=Brasy5G376700 ID=Brasy5G376700.1.v1.1 annot-version=v1.1 MTASAGRPSPTLAAPLLPISRPLPPLPEPPRPSTFLSPPAEIRLNPAPTSLPDPHRPSSGTIPNLHEPPAAGIELRKLPQPRPQIEHAATGFKCRARGRSRRRKQQVQGRRPGSRGGLIPSRPLHPLSRRWRHSSIGGWEEEEESGDSFVSMTCGTRMEV* >Brasy5G342600.1.p pacid=40073286 transcript=Brasy5G342600.1 locus=Brasy5G342600 ID=Brasy5G342600.1.v1.1 annot-version=v1.1 MNGDLRAGLLILVASSAPTKSSKSTAASHRKLFSPTRYGTPPPNVPRQYLNHQRAHGKMRCPSLGQLGSRQANASSPSATSWRGSSSPFTRAGELPGRHPLCNAGAGELRDTTHPSTLTRRRRGSSGMSPKERRGGPPPGIQRQAARARHPRVRGARKEDDGVASGGGPAGAHRALLRLRGSSPTTPHSSPKAPVSSDILL* >Brasy5G367300.1.p pacid=40073287 transcript=Brasy5G367300.1 locus=Brasy5G367300 ID=Brasy5G367300.1.v1.1 annot-version=v1.1 MAEKAVWDDENVRHFIDICKEEISNGNRPLGFFNRIGWKNLGDKFEAKSGKKLTKTQLKNKWDNMKKEYTWFMELKNAATGLGWLDDRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYSVQASSAPPPTSHVPSIADVMQMVKDCGVQEGTALMHTATMLIVKPDFREIFSLLQTKEGRFDLLERELKKSM* >Brasy5G113100.1.p pacid=40073288 transcript=Brasy5G113100.1 locus=Brasy5G113100 ID=Brasy5G113100.1.v1.1 annot-version=v1.1 MAGGKVRDWIRKRAMPRKASSAAGRRSSASGGASPILREAAANGAAAAAPVPSKVRAGSALSTALRWRPRVNNVLAALYEKVVYHLLWLVESVVMVSRLCFFLMRFGFKQL* >Brasy5G455100.1.p pacid=40073289 transcript=Brasy5G455100.1 locus=Brasy5G455100 ID=Brasy5G455100.1.v1.1 annot-version=v1.1 MTRARSGCFMTAVNSISSAMSTPSPSPAGGSHCISLTRFATKNSTCSNPTVYAGHILRPAPKGIILIPPLLPVTSMPCASPPSRNRPGRNSLGAAHSASSRPQSPARKFTSDPLGTRYPPISAPPSSPSTPCGTRKWPGGCKRRLSRTTALRYAIPCRSSRCPSRSSLELRAEGHHLAVRQRPPSFQILRKAEREEGVDVARARRRRLLGALFSQKLPPGEDQGEEDLLLPAPHGHVRGPAPPEHPLGHRGEEGEDGEACHGAHDALLELHDLVQRRRAELGGGAEAHVHEQAHQGAPERRPHADNPIVSARTEVAEERVQGAAPGGSEAGDAGRAQGLGGEVAAEEAPVCAVGGGADVAGAAGEEPQGLGERGAVGEGGAALDERAVGEPAVGDEDDGAEEVDGDDGAVPRVQVAEERGQVEDGAPEQKEPGQEDQSRRAGRKMTLLVLLLLLPAVEQQEETQGEGGGEH* >Brasy5G143000.1.p pacid=40073290 transcript=Brasy5G143000.1 locus=Brasy5G143000 ID=Brasy5G143000.1.v1.1 annot-version=v1.1 MLRYFPSLLCNQKMEPKELSIELLKKITNGFSEKIGEGSFGVVYKGKLNGQQVAVKKLRDSSAVNEREFEREVQILRLVEQQNIVKLIGYCCQKQDKLEPSRSFGARDVVDKFLCFEYVSNGSLNNHIYGEPSKLGWDKRLKIIEDNFTWLGQTLEDFGLSRLFGENQTHTRITNSKSAIEYMAPEYLQRGQLSIESDIYSLGLLIIEITTGEKNCSDHELYDTDFTEDVRKCWTTMPYIKSKYPALSAAGHRQVKKSVEIGLSCLKKKRKERPTSGDIVKKIDQIGAGSSSVFGKIIQIAVNVKTRRGSHFLRNVLWANVLLQLMSHFLGTK* >Brasy5G484500.1.p pacid=40073291 transcript=Brasy5G484500.1 locus=Brasy5G484500 ID=Brasy5G484500.1.v1.1 annot-version=v1.1 MMVPSTEAELPTSTQQPPKRLYQAWKGNNIFLCGGRLILGRDAPSLLLTTFLIVGPAIVFCYHMQSKYLRSSVQQEMHRAALLIAIIVTLVDMFFLFMTSARDPGIVPRNTRAPPPEADERHLPTTPSMEWSVGGTPRMRFRRTKDVNVNGFTVKLKFCETCLRYRPPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFLFIATSTFLCIFILIFSWLDVYGEMEDKGSSFWKALRKEVYSFVLIIYTSIVVWFVGGLTVLHLYLISTNQTTYENFRYHYDKKDNPYRKSIIKNFVEVFFTKIPPPLNDFRSRVGDGALEAGFYTPYIGLDVTGTREKIDIEMREKDVHVGGMQIPAVLQNIDYGSFEEGSYDKHRNEDKKSVPFSSAWAQQGTEGAGTSVAAIAACKEETSEDEAKEISSLSTNSARTSTEGNITDDEIGHAKENNTPDRNYAKSLKDMS* >Brasy5G218700.1.p pacid=40073292 transcript=Brasy5G218700.1 locus=Brasy5G218700 ID=Brasy5G218700.1.v1.1 annot-version=v1.1 MQVAIRKRKEQRDKRRNSVREIGREQQLAGRRGEDEALPPALPRLCSAAAAACPPSALPTPLPRLCSAAAAACPPSAPPPPLPGLCSAAAASVARGRGTRERNGYEEIETTISGWQGADALQPFSGQPESPRAPHGSAAVGTCPH* >Brasy5G030300.1.p pacid=40073293 transcript=Brasy5G030300.1 locus=Brasy5G030300 ID=Brasy5G030300.1.v1.1 annot-version=v1.1 MVQCLDGVKQLFAVFFKCCDLELKQPRGLQDPQVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNASADEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGKIDKEEWHNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT* >Brasy5G112100.1.p pacid=40073294 transcript=Brasy5G112100.1 locus=Brasy5G112100 ID=Brasy5G112100.1.v1.1 annot-version=v1.1 MEELAAAPPPPRHRVRRHRRKASDSSAYGDVFGGPPRFAAPFAGVPGDYADVFGGVAASCSIPYLDLPPAAGGGRDGGAGGAGAYGEIFARFDFGEFAAPYEDVFAEAEGMAEEIASWSGSSRSSIRKESGDLDAEPSLLYKHYPNVGYDQHFDEEQFSPMSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQVDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G112100.2.p pacid=40073295 transcript=Brasy5G112100.2 locus=Brasy5G112100 ID=Brasy5G112100.2.v1.1 annot-version=v1.1 MEELAAAPPPPRHRVRRHRRKASDSSAYGDVFGGPPRFAAPFAGVPGDYADVFGGVAASCSIPYLDLPPAAGGGRDGGAGGAGAYGEIFARFDFGEFAAPYEDVFAEAEGMAEEIASWSGSSRSSIRKESGDLDAEPSLLYKHYPNVGYDQHFDEEQFSPMSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G112100.4.p pacid=40073296 transcript=Brasy5G112100.4 locus=Brasy5G112100 ID=Brasy5G112100.4.v1.1 annot-version=v1.1 MSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQVDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G112100.6.p pacid=40073297 transcript=Brasy5G112100.6 locus=Brasy5G112100 ID=Brasy5G112100.6.v1.1 annot-version=v1.1 MSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQVDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G112100.3.p pacid=40073298 transcript=Brasy5G112100.3 locus=Brasy5G112100 ID=Brasy5G112100.3.v1.1 annot-version=v1.1 MSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQVDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G112100.5.p pacid=40073299 transcript=Brasy5G112100.5 locus=Brasy5G112100 ID=Brasy5G112100.5.v1.1 annot-version=v1.1 MSFPPDGEQQFSMSYNMASRGRLDDLVEMTTCMVEPSISYVIDSCNLPNDSAADHAPVVDHGTMANGEKKEMSPPPVPAISNSVADQKQHISTCLPITENLYEDENDDKRSSSHSASSDEVPSHDYPFLRVPNSSTQTPPIKVQPPSMLAPNFLNKNEDKANGDSEVNPNSAAAAAIKEAMQFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKDNKTPQEVHIFEEKQTSRMLAKDEKKHEDLASLDKHTDNGAVKLADCCHDAKGVVPLVKPQQMMQSGSKCEGDNGPITNPFTKHDCYEKGNKGVTAGDLERYGKSWDGNDRKELKMQRVNFTEDDTSMGVEPKAPTAPEVSLAEERKVYQEQTDSHSKEYVGVSNSPEDHNDGGIFEISCINGTSPNPHVVPEISSLPLEACISGGHTNGNKKCSDASTEETPLVVNSNEDNCNKDGPQVPCADETLRSSTRSQISHEHPEVRDIDEIKINQAESYATQCSQKMSSRVQRDADAYEKDRMFRFVDEACLQNEKEIITEIYLDTPIGEETENFGAEDKADPHGDCLEEDVYWDAGSPEQEANDTVSSNDDENDEAEALDVFLEDIKLMESNVRTGGTFAENSDKLPESQGSLLEPQDLANKVDGVEDLGSHSNIEEAETNVLENSEKTLVDEILNHDQEGQISMETDTNKGLNDVYAEILARNNREGNVLHSETEVVTKIYSDYSMKMSICSKDLQASFSEACASMQHLSQNAESVSARMSDEGTPFLENLENDCPKVDTEILTDIYTALEGENTESKTEERDCKDRISSVNLKDRQSFAEDSASNFVQKSRGETPDVRKIDGSCSIRNTEREIQKEVSLKSDKAKERECKMEKEETKERTIREQEEEKERERERAKDRLAVQRATREAHERAFAEARSKAERIALERITSSRQRASAKAHEKEEKASAEATTEKASREARMKAERAAVERATAEARDRAIEKAKAAADAKERMEKFRSSFKDSLKATNQDTQFQKAASNNYGRSTDSCDQDEFESALRHKARSERHQRTAERAEKALAEKNMRDMLVQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTDLITAAGVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER* >Brasy5G357500.1.p pacid=40073300 transcript=Brasy5G357500.1 locus=Brasy5G357500 ID=Brasy5G357500.1.v1.1 annot-version=v1.1 MGAEDDALFEISRHASGSHEIPRESEEITTASGASSGDGAGIFSYISFQGVSKLKERWSRYSTLGRRKRRRRGNAASLFVSRNAEYVAVALGNHIVILRKSDGYVSPCGIYTNNDRLAFFTNGAWLEEQGIFGVVDDSNSLYLIKENGHVVTRRTSNQLKVSFPIIDLLVQDGSSSQRPGFYIFTSDCTVHKFDYIQEPEASLYKVHIVTKDVPSRRAPQIPQSLACVDYHQDHSLVVLVGDSSLSPGSNDCSGAYFLYVLHLDGHLELSLSFQSMQLEGMFSRPDGKKTFVSSPKVRISPQGKCIATLDLNGSVNIFVLGDNLRSVSLHPHGSGTSTHLIDVKDIGWWTDNILMVVKEDGRVSMYSITENMLVSKDDPVLSGPLLEMAKAIEGYAFVLQSSRQESDYKPNIPSVSGDHQSEMDKVFWSLISFSKVTVLEMYSVLIRDNRHKDALDFASRYNLDKDEVLKACWLRSAGDILDIQSYLVNIKDQSFVLLECANKVGPTEVALKALFSFGLRKTDRYNFSELDNSGEYSVWDFRIIRLRLLWYNDLLETFLGINMGRFSAEEYRKFRLMPLVDTAIALAESGKIGALNLLIKRHPYTISSDILRVLSAIPETIAVQTYSQLLPGKSPPSIVILRDGDWVECEQMTSYINTSPSPLDKMGVVKTEILLKHSTGFLWPSVAELSEWYRNRARDIDCLSGQLENCLAMIELACQKGLVELEPFFDDMKCLYQVVYSDELNEFIMNLATWEDLPDYQKFKIILKGAKDETVVQRLDEMAIPFMNKKLHLISSSSAGKQEESYLTKWMKEAAAENELSICLSVIENGCGESPICGLFKDLDEMIETAIHCIYICSATNQWNSMSSILSKLLHKTKREKSLLANEEDSSLKDAKQAPGTSVVSYVDMQHLCADILSDLSDCERDSCHGSRAHQFDNIKSLDMREKMLKVAEGHVEVGRLFAYYQVPKPTHFFLSAYLDEKNVKQLLRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYILGEFIRGLLKAGKFSLARNYLGGTSAISLSTEKAENLVIQAAREYFFSASTLSCNEIWKARECLNLLPNSKNVQVETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLTKKGHGEVWDLCAAIARGPQLDNLDTSTREKLLGFSLIHCDKDSVGELLNAWKELDVHDKFEQLMVSTGTNPPNFFADGSLYMPLPVQSVQDILALRGDLSHDRDHDHLAIAKEMLSKVCMDLTNEDAYSWQSTFAENRKLLSFSALELPWLLKLSDEEEHDGNKHSSKTDHPISRYRFSTRVEATNSIIYWLGVNSFAPSDNLIMFLAKSVLEPPVDEDDYVLSCSVLLNLMDPFNGVKIIEEELKRRECYQEISSIMNVGMIYSSLNSLKKECSTPEQRRNLLLHKFHEKFTSVDSEELDQIDMAHATYWREWKSKLEEEKRLADQARMLKKVLPDIDTSRFFSGDANYIKKVIFSFVDSAKLERKHILKEAVKIAENYGLQRTEVLLRFLGCALVSEYWDNDDILNEISEFREDIVKSAKGVIDMIYSDVYPEIDGHNKQRLSYIFSILSACHSYLKRTGEIELTYPEHVHTHKLEPFQYYKFLEEECKKVCFIDDLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVNMYVDALAKGLVSRQGVYKHYVLGMLASLEGRNEARSNNTDHEKLQAVLCEIELNYDSCKEYIQTLPATDISCIIGRYCTLCFPGNLARSHPQEPSWKKPLATLITLWIKLLDDIPRQSTDACSYERTGYLDPNRLSHCMRAFRQLLINDDITVHQGWDAISMFVKVGFNNGIIMDTSYICRALILSGCAFESVVAVYYEGQERESADSSNPLDLLELYGATTDGCLSDLVEGSFESQVLLHKLLSSLSKSTVEHADSLEMVRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNEVVSQVQPWESWYESDSIADGGANPSSSITGTLVALRSSQMITAVLPDANITPENLASLDSAVSCFFHLSEGASSVKSVAVLEAVLEEWEQLFFSKEEYVPPHESPKETSDWSDGWDDGWEALPEEMESPTKKQGGALLSVHPLHNCWMELIKKLAGLGELQKIIELLDRVSSKHAVLLEGNEAHRLLELLSALNCFMALKIMLLLPYEAPQLECLQMVEAKMREGTVSTTSNADDYELLALVLSSGALQKITAEEGYCKLFSHICHLVGHLARSFQNYLCVHWNDESNTLETSNLNQSLLFGKVLLPCFISELVLKGQYLFAGFVISRWMHTHPSLGLMDVVEPSVRCYLEGQVAQAQQVGGSDASFTEKEQHIRHTLSTLRSKLVFTLQAALAALPNQES* >Brasy5G357500.2.p pacid=40073301 transcript=Brasy5G357500.2 locus=Brasy5G357500 ID=Brasy5G357500.2.v1.1 annot-version=v1.1 MAMYHPAAYIQVNNDRLAFFTNGAWLEEQGIFGVVDDSNSLYLIKENGHVVTRRTSNQLKVSFPIIDLLVQDGSSSQRPGFYIFTSDCTVHKFDYIQEPEASLYKVHIVTKDVPSRRAPQIPQSLACVDYHQDHSLVVLVGDSSLSPGSNDCSGAYFLYVLHLDGHLELSLSFQSMQLEGMFSRPDGKKTFVSSPKVRISPQGKCIATLDLNGSVNIFVLGDNLRSVSLHPHGSGTSTHLIDVKDIGWWTDNILMVVKEDGRVSMYSITENMLVSKDDPVLSGPLLEMAKAIEGYAFVLQSSRQESDYKPNIPSVSGDHQSEMDKVFWSLISFSKVTVLEMYSVLIRDNRHKDALDFASRYNLDKDEVLKACWLRSAGDILDIQSYLVNIKDQSFVLLECANKVGPTEVALKALFSFGLRKTDRYNFSELDNSGEYSVWDFRIIRLRLLWYNDLLETFLGINMGRFSAEEYRKFRLMPLVDTAIALAESGKIGALNLLIKRHPYTISSDILRVLSAIPETIAVQTYSQLLPGKSPPSIVILRDGDWVECEQMTSYINTSPSPLDKMGVVKTEILLKHSTGFLWPSVAELSEWYRNRARDIDCLSGQLENCLAMIELACQKGLVELEPFFDDMKCLYQVVYSDELNEFIMNLATWEDLPDYQKFKIILKGAKDETVVQRLDEMAIPFMNKKLHLISSSSAGKQEESYLTKWMKEAAAENELSICLSVIENGCGESPICGLFKDLDEMIETAIHCIYICSATNQWNSMSSILSKLLHKTKREKSLLANEEDSSLKDAKQAPGTSVVSYVDMQHLCADILSDLSDCERDSCHGSRAHQFDNIKSLDMREKMLKVAEGHVEVGRLFAYYQVPKPTHFFLSAYLDEKNVKQLLRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYILGEFIRGLLKAGKFSLARNYLGGTSAISLSTEKAENLVIQAAREYFFSASTLSCNEIWKARECLNLLPNSKNVQVETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLTKKGHGEVWDLCAAIARGPQLDNLDTSTREKLLGFSLIHCDKDSVGELLNAWKELDVHDKFEQLMVSTGTNPPNFFADGSLYMPLPVQSVQDILALRGDLSHDRDHDHLAIAKEMLSKVCMDLTNEDAYSWQSTFAENRKLLSFSALELPWLLKLSDEEEHDGNKHSSKTDHPISRYRFSTRVEATNSIIYWLGVNSFAPSDNLIMFLAKSVLEPPVDEDDYVLSCSVLLNLMDPFNGVKIIEEELKRRECYQEISSIMNVGMIYSSLNSLKKECSTPEQRRNLLLHKFHEKFTSVDSEELDQIDMAHATYWREWKSKLEEEKRLADQARMLKKVLPDIDTSRFFSGDANYIKKVIFSFVDSAKLERKHILKEAVKIAENYGLQRTEVLLRFLGCALVSEYWDNDDILNEISEFREDIVKSAKGVIDMIYSDVYPEIDGHNKQRLSYIFSILSACHSYLKRTGEIELTYPEHVHTHKLEPFQYYKFLEEECKKVCFIDDLNYKNIAGLDNLNFEHFNEEVCKNIHASTVSALADMVQALVNMYVDALAKGLVSRQGVYKHYVLGMLASLEGRNEARSNNTDHEKLQAVLCEIELNYDSCKEYIQTLPATDISCIIGRYCTLCFPGNLARSHPQEPSWKKPLATLITLWIKLLDDIPRQSTDACSYERTGYLDPNRLSHCMRAFRQLLINDDITVHQGWDAISMFVKVGFNNGIIMDTSYICRALILSGCAFESVVAVYYEGQERESADSSNPLDLLELYGATTDGCLSDLVEGSFESQVLLHKLLSSLSKSTVEHADSLEMVRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNEVVSQVQPWESWYESDSIADGGANPSSSITGTLVALRSSQMITAVLPDANITPENLASLDSAVSCFFHLSEGASSVKSVAVLEAVLEEWEQLFFSKEEYVPPHESPKETSDWSDGWDDGWEALPEEMESPTKKQGGALLSVHPLHNCWMELIKKLAGLGELQKIIELLDRVSSKHAVLLEGNEAHRLLELLSALNCFMALKIMLLLPYEAPQLECLQMVEAKMREGTVSTTSNADDYELLALVLSSGALQKITAEEGYCKLFSHICHLVGHLARSFQNYLCVHWNDESNTLETSNLNQSLLFGKVLLPCFISELVLKGQYLFAGFVISRWMHTHPSLGLMDVVEPSVRCYLEGQVAQAQQVGGSDASFTEKEQHIRHTLSTLRSKLVFTLQAALAALPNQES* >Brasy5G220700.1.p pacid=40073302 transcript=Brasy5G220700.1 locus=Brasy5G220700 ID=Brasy5G220700.1.v1.1 annot-version=v1.1 MLPRKRGAVVASEEDPHKKARPAHAQDDMAKPAAEIDEDLHSRQLAVYGRETMKRLFASNVLLSGLQGLGAEIAKNLVLAGVKSVTLHDDGDVELWDLSSNFFLSEKDVGQNRAQACVQKLQELNNAVIISTLTGDLTKEQLSNFQAVVFTDITLEKAVEFDDYCHSHQPPIALIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLELQDGDLVVFSEVHGMTELNDGKPRKIKNARPYSFTLEEDTSSFGSYARGGIVTQVKPPKVLKFKPLKAAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRSELRRFPIAGSTDDVQRLIDFAVSINETLGDGKLEAIDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPADLKPQNTRYDAQISVFGAKLQNKLEQAKIFMVGSGALGCEFLKNLALMGISCGQNGNLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMVINSKLHVEALQNRASPETESVFNDAFWENLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNGFLSNPSAYVAAARTAGDAQARDQLERVIECLHNDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDSMTSSGAPFWSAPKRFPRPLKFSSSDPSQLNFILAASILRAETFGIPIPDWAKTPNKVAAEAVDKVIVPEFQPKQGVKIVTDEKATSISSASVDDAAVIEELIAKLEEISKTLPPGFHMNPIQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGFVCLELYKVLAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTIKHQDLSWTVWDRWTVTGNITLRELLEWLKQKGLNAYSISCGTSLLYNSMFPRHKERLDRKVVDVAREVAKMEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR* >Brasy5G157000.1.p pacid=40073303 transcript=Brasy5G157000.1 locus=Brasy5G157000 ID=Brasy5G157000.1.v1.1 annot-version=v1.1 MEERVKVVCMAKLAEQAERYDDMVDLMKKLARMDVDMSGEERHLFSVGFKNTIGAKRASWRILSSLEQKVTTGEQAGGMINDYRKKVEDELRMVCNEILSIIAIHCLPLANSGENIVFFYKMKGDYYRYLAEFSTGTEKKAAADQSLVAYQHAMVVASTELSPVHPIRLGLALNFSVFFYEIMNCHERACQVAKQAFDEATAEINSTGVEGYNDSTLMMQLLKDNLTLWTSELTGGESCKGNDIDMEQG* >Brasy5G157000.2.p pacid=40073304 transcript=Brasy5G157000.2 locus=Brasy5G157000 ID=Brasy5G157000.2.v1.1 annot-version=v1.1 MEERVKVVCMAKLAEQAERYDDMVDLMKKLARMDVDMSGEERHLFSVGFKNTIGAKRASWRILSSLEQKVTTGEQAGGMINDYRKKVEDELRMVCNEILSIIAIHCLPLANSGENIVFFYKMKGDYYRYLAEFSTGTEKKAAADQSLVAYQHAMVVASTELSPVHPIRLGLALNFSVFFYEIMNCHERACQVAKQAFDEATAEINSTGVEGYNDSTLMMQLLKDNLTLWTSELTGGESCKGNDIDMEG* >Brasy5G149600.1.p pacid=40073305 transcript=Brasy5G149600.1 locus=Brasy5G149600 ID=Brasy5G149600.1.v1.1 annot-version=v1.1 MAAPLAPLTAAARLGRGLGQRHRVLLLASLRHYNAPPAPLSAPSPRRRLPPPAPSHLTRSVRPLAASAAATAVSEPQTELESGSATAKKGRIYHETYGCQMNVNDMEIVLSIMKKEGYNEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAGGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWDAGVKEVMLLGQNVNSYNDTSEVEDLEPGKNWQLSEGFSSRCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDFPDELLYLMRDRHNICKLIHLPAQSGSTEVLERMRRGYTREAYLELVQKIRNVIPDVGLSSDYISGFCGETEDDHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPNDVKQRRLTELINTFRETTRKIYDSQVGTTQLVLVEGPNKRAPETELFGKTDRGHKVSFKSFPVPHTSECDGSRRPVVGDFVEVKILRSSTASLSGEAIARTSLSMYCKNHASDAHAVAA* >Brasy5G399400.1.p pacid=40073306 transcript=Brasy5G399400.1 locus=Brasy5G399400 ID=Brasy5G399400.1.v1.1 annot-version=v1.1 MFRSAIGSAFRRQAPAHRFSSNGGRGHPGCSETRRKMCSDGTDGPYWNNGIWSLMAYFYENPPWKRSEVLFGTIAAISMFTYRFNVSGKTVLEYRHEDQKEKEKVDKIDIYFC* >Brasy5G399900.1.p pacid=40073307 transcript=Brasy5G399900.1 locus=Brasy5G399900 ID=Brasy5G399900.1.v1.1 annot-version=v1.1 MGTLGLPTSSLRTQHGLVLSVSGSIGGDADGDKVRRRRQGKKDMEDDYSVPHLPMDIMCQISLLISDPATLARLASSCKFFRDLIKKSTFLDHLRRRHRDHGFTPSLLLGFFYQDNKRSRSHLWQHHTDNNRCLAPTFVRMSELSQFVGSKAARNAVKPLSLETFIPGVGASLNFYKPIASQDSFLALCCRINGANGQEMDVLCVCNPLTGETFKIPTLTNLPPNHYALFVTNSVDLYGRMSQSFLLIAIWIKNAKIFTSRHYSSRTGIWTESLQNPELMPGLYLVSSSAAASAGVVGWLCGSWKQWTLTHVATLHIGMMKLSYLELPPEATRNKEPLLANSADGGLLLLFVQGFQMSLWKHNGALGRDTSSWVLSERIDLRSSLPLRVVKLGINAKVRLEMFRGKSGTVVLWVFGEGLFLFSLSDRSMRKIDSERLTDKYYLCPYEIDWLSCLAITNLVLDGSLLLDVQREKAQDRWRALMGKNLATKGAS* >Brasy5G450800.1.p pacid=40073308 transcript=Brasy5G450800.1 locus=Brasy5G450800 ID=Brasy5G450800.1.v1.1 annot-version=v1.1 MVAAALFAITVLLFSAAAPATPAIPVEKETHIKLYWQDILSGPNPTAVQVARAATTNTSKTFFGAVTVIDDPLTDGPGLNTSTLVGRAQGTYVSAGKDALAFLMSMNFVFTVGEHAGSSVAIMGRSEVSAAVRVREMAVVGGTGKFRWACGYALARTCSLDVVTGDATVVYNVFVRH* >Brasy5G405300.1.p pacid=40073309 transcript=Brasy5G405300.1 locus=Brasy5G405300 ID=Brasy5G405300.1.v1.1 annot-version=v1.1 MEDSKGSGGGDRPSADHNPSQNQPPPVAVSGGDGDAAAAAAAAATAASAEAEDDARRPFTALSQVDADLALARVLQEQERAYMMLRMNGGGGGEGSDYDSSEAGSYEYDEEAEQDYEEELEHHLRVHHHEHTTGDGGDGDGDAEEEEGEEGEGEGSEGSEYEEEGFEEDEEVEEMEPEVDPAEYEDDEAYARALQDAEEREVAARLLALAGISDWRAVEHVEEHVNDAQDSWQEVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVTYKTKDVQDGNTEQCVICRVEFEEGESLIALPCKHSYHPDCINQWLQINKVCPMCSAEVSTSENKQA* >Brasy5G377900.1.p pacid=40073310 transcript=Brasy5G377900.1 locus=Brasy5G377900 ID=Brasy5G377900.1.v1.1 annot-version=v1.1 MLFKQRGDGRPTGWCTIHATGEFGIPINSPPFLLHSTHQTSLSPSLPRSLSLSLPPLSKNYRWSASSYSFTAHKQREALMERRPVIAGEAARQQQQLPPGFRFHPTDEELVVQYLRRKALARPLPAAVIPVVHAAAMLDPWDLPGVSEGEAAYFFSLRQAPATGRGSRRKACSGYWKATGKEKPVFLQFSPSSGCGGVNGKRQLVVGVKTALAFHRGKGKKPSRTGWVMHEYRLAAGASAAEEKKAEGEWVVCRVSLKSRARRTAGGEEAGCHQPSSSLSPSSSCVTDTCHVSDQEEISSSQ* >Brasy5G180100.1.p pacid=40073311 transcript=Brasy5G180100.1 locus=Brasy5G180100 ID=Brasy5G180100.1.v1.1 annot-version=v1.1 MKLEMEPYHEWYEFEVKKRGARFYLGGDWEMFASIYNIKQGDELCFVLGPIIHEHLTVGHLRRLSGGIALPRCTIAEYEAEQERGEMEECTTSIDTQ* >Brasy5G240600.1.p pacid=40073312 transcript=Brasy5G240600.1 locus=Brasy5G240600 ID=Brasy5G240600.1.v1.1 annot-version=v1.1 MFCQVHADVGLKSLECSMLLSVLQIYTCHHGKCAIKHYQSRAFKYWENQKNKLQHHGRLLACHYKTKGQKHSSSNLNFLPFPCHKVDHQYRSIYLHPRYKIDNIY* >Brasy5G366500.1.p pacid=40073313 transcript=Brasy5G366500.1 locus=Brasy5G366500 ID=Brasy5G366500.1.v1.1 annot-version=v1.1 MERAWRSGVLLETASCADTPRSGHSSCNLQHRYSQSMLKTDEGAVDISPRFSYCKPTANRDMMLHRRHSLNMPEHLPSHYSRKTMERTKKATSMSVADLAGEIAALEQEVIRKELHLLSLYRRAFDQYLFDSSSVASMSEQVDQETPKTIDECALRLRDIKQSAAFNLLPVSDSKSEASRPASRHSSLVNFLSASISDYVPKISCKLSEDILSCIAAVYCKLTSTPSQDAESVASPSPSVSSSSTFSPRRRSDSWSPRYNFDTATSPHQYGYQKDNNEKNTDMIIVPRIRIDADKFNYASKMLENIRSLIQRLEKIDPTKMTHDEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSINAQIIQNSILGCQSHRPSLWVRTLFTPTKRSTTGSTTKHPYALHHSEPIVHFALSTGAFSDPPVRLYTAKKIHHQLERARTEFIQANVAVRKQALQLPKVLHYYAKDTVLELRHLVELVSESTSEAQQKEMVQLQHRLRRRIDKCVEWLPYKSNFRYVVHRDLAE* >Brasy5G366500.2.p pacid=40073314 transcript=Brasy5G366500.2 locus=Brasy5G366500 ID=Brasy5G366500.2.v1.1 annot-version=v1.1 MERAWRSGVLLETASCADTPRSGHSSCNLQHRYSQSMLKTDEGAVDISPRFSYCKPTANRDMMLHRRHSLNMPEHLPSHYSRKTMERTKKATSMSVADLAGEIAALEQEVIRKELHLLSLYRRAFDQYLFDSSSVASMSEVDQETPKTIDECALRLRDIKQSAAFNLLPVSDSKSEASRPASRHSSLVNFLSASISDYVPKISCKLSEDILSCIAAVYCKLTSTPSQDAESVASPSPSVSSSSTFSPRRRSDSWSPRYNFDTATSPHQYGYQKDNNEKNTDMIIVPRIRIDADKFNYASKMLENIRSLIQRLEKIDPTKMTHDEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSINAQIIQNSILGCQSHRPSLWVRTLFTPTKRSTTGSTTKHPYALHHSEPIVHFALSTGAFSDPPVRLYTAKKIHHQLERARTEFIQANVAVRKQALQLPKVLHYYAKDTVLELRHLVELVSESTSEAQQKEMVQLQHRLRRRIDKCVEWLPYKSNFRYVVHRDLAE* >Brasy5G366500.3.p pacid=40073315 transcript=Brasy5G366500.3 locus=Brasy5G366500 ID=Brasy5G366500.3.v1.1 annot-version=v1.1 MMLHRRHSLNMPEHLPSHYSRKTMERTKKATSMSVADLAGEIAALEQEVIRKELHLLSLYRRAFDQYLFDSSSVASMSEVDQETPKTIDECALRLRDIKQSAAFNLLPVSDSKSEASRPASRHSSLVNFLSASISDYVPKISCKLSEDILSCIAAVYCKLTSTPSQDAESVASPSPSVSSSSTFSPRRRSDSWSPRYNFDTATSPHQYGYQKDNNEKNTDMIIVPRIRIDADKFNYASKMLENIRSLIQRLEKIDPTKMTHDEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSINAQIIQNSILGCQSHRPSLWVRTLFTPTKRSTTGSTTKHPYALHHSEPIVHFALSTGAFSDPPVRLYTAKKIHHQLERARTEFIQANVAVRKQALQLPKVLHYYAKDTVLELRHLVELVSESTSEAQQKEMVQLQHRLRRRIDKCVEWLPYKSNFRYVVHRDLAE* >Brasy5G515100.1.p pacid=40073316 transcript=Brasy5G515100.1 locus=Brasy5G515100 ID=Brasy5G515100.1.v1.1 annot-version=v1.1 MLKVKRRAKRSNGRQKFPKLVKEVSSNSRDCEIEAENPAQQFSWNEFCWDELSQELATKLSPIIVSLASFDGDKMHYKSTGIVIENNSDNTCFLTSSALIRTIDSERRFIPALKIKLRLPNNQVVDGWIQQYNLPFSMILITARFSPDLRTACFSNSVQVEPCAEFLAVKRCFESGKLMVTSGVPTESLCEDSSEGFKLSTCRITMDGSGGPLVDCDGNIVGMNVYHDQEMTRYVQREKNCGCLKDLQQRMDEMRKNCMQNFCSAFRRHLEGSSNENGSSEVTENNNQELGVFSACEPQAEEFTEDEPIPELTVNEHKHLRTLDPWPSDGFTNPINDVLRSDGYPVPAYADGGMCLKGDFEEEFGRHILTEPARRVALKMSRSAVALASFNGNERHFACTGVFIDCNESTTRILTSASLVRTSGDEKKIVDNLKIQVCLPSKVRIEGILRHHDFCYNVAVVSITKFRNNRAATLVESPQTKVVALGRVFKSGNFMATDGLVTSVQHKYDCKELKISTCKITKAGIGGPLFDLNGNFVGMNFYDTEGTPYLPSNIILKVLRDFNPERTVAAGTTEKPNYSWPVPKPHWYYPRQYRCRIQPRRILE* >Brasy5G519000.1.p pacid=40073317 transcript=Brasy5G519000.1 locus=Brasy5G519000 ID=Brasy5G519000.1.v1.1 annot-version=v1.1 MSNITPAKRTHEHILLTERKRSKHISCSGKDCSMRIRSQQITFHSLPEDILSIIVSRLTLKEAVRTSVVSSDWRHIWKCHPNLRFDISSVLGSKAKRKRSSDQHKRMLRKRFIDRVSYILSKHSGLPVSKLAVKFDLREEHADHIDGWVYFAIASMTKILTLNFSPYLGYENNYTFPCHLFNSKNASYLDALRLDSVNLVRSIDFCGFANLKMLALDHVLVMQDLQYFLSKCPALEWLSMRCQLKYLCVKNCEVDRIEFVAPNLNTFEYRGHQILIKFHECSKLKMAIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEIPEFRHAPLVFSHLKHLALRLQMFGKTTAIQLAYLLEAAPFLEDLHLDMYCFRGVDYTGSVLGVIVDRLHYHLKTACMTGFRGNRGQIELAKYIMRNAVELERMTIDPRDMFGRFASNESYGRMYARELARSEETRGLLTIL* >Brasy5G217100.1.p pacid=40073318 transcript=Brasy5G217100.1 locus=Brasy5G217100 ID=Brasy5G217100.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLTEMAAEEIHLLLGVSVEINKMSDKLGDLKKFLADADRRNITDESVQEWVTELKRAMYDATDILDLCQLKAMECGESTVDAGCCNPFLFCIRNPFHAHDIGTRIKALNERLDSIRKRSATFKFIDLGSYEDRGRNMHASRHGNPNRETSGELDQSGVVGEKIEEDTRAFVDKILQTREGVTNNITVFAIVGVGGIGKTTLAQNIFNNQSIESEFDKKIWLSINQNFDQTELLRTAITLAGGDHCGEKVLSVLQPILTKALKGKKFLLVMDDLWSHGSWEGVLKTPLVNAAASGSRVLITTRDEAIARGMTTTWPHHHIDTLSPDDAWSLLKKQVVLSGERDEYDVNILKDIGLKIIQKCGGLPLAIKVMGGLLRQREMHRRDWEQVLDNSNWSTSKMPEDLNDAVYLSYQDMPPELKQCFLYYSLLPKSRKFNVDQVVAMWIGEGFIPGNSNDLEEKGRNYYEGLLSRNLIQLDYLHIHQKFCSMHDVVRSFGQYMARDEALVAQNGEIDILDKLNSKKFLRLSIELETNEVQSDDLDWVSLQEQQSMRTLISTVPIKMKPGDSLATSSSLRTLYINSKDVALVESLHQLKHLRYLNLISGKCYRGQENTGISALPGDIGKMIFLQYLDINNCQTLVNLPDSIVKLGQLRYLNLPDKLSMIPRGFRGLTNLRILGGFPALIDGDWCSLDELGPLSQLRFLILVQLENASSAANASLSEKKHLTNLLLYCTTGRNHNEDEQQRIQKVFNELCPPSSVENLDIYDYFGQKLPSWMMSTPTVPLNNLKFLLLSRLACCTQLPDGLSNLPCLQVFEVHYAPCIKRVGTEFLHTSQATAVPFPRLQKMVLNRMTELEEWEWEEKVQAMPRLEELLLESCKLGRVPPGLASNARALRKLSIILVKQLSCLEKFPCAVELTVGWCPDLERITDLPKLQKLTITGCRKLKVVKDLPALQRLVLEDNWMETLPGYLGDVNPSYLELRCSLTLLTSIAAGESGPEFAKFRHVDHVKARAYINTDFYVLYTRGPCSFDTNVNPSFLSRGTLPIFEDAQRLESALKMPRRAFDYVCNLLKEKSWQDANKYAFPDGRFLCLEDGVAVALIVLNSGETPASVGSSVALGSSVALNESTVSQVTKSFVVAMDWLGWPGTTEIEKIKYKFDMIHGLPNCCGVVHAAQIPFGSQNSDREKKNEDVLMQVVVASDMRFTDVHLGSPDNMKQSSISHNSGLFKQCEKGTWLNGSKLNLSDGRQVGEYVIGDARYPLLPWLLTPYHLENGLSDCQVEFNRRHAEAMAVVPRGALERLKDTWKFMHGRGWRPEDQYVLRKAIRACCKLHNIVIEMAGTTSNDAIYGRHKVHARQVADEDAAMARDILSQHLTTKQLESGGTLTIFEDAQRLESVLKMPRRTFDYVCSLLKESSLEIMNSYFFFDMRSFSLEEREGIALIMLNSGDPPATVGSFIGVNESTVSLVTKSFVDAMYERAKYHVRWPQSDEMEKMKSKFDKIHGLPNCCGVLHTTHIASASRSRGHQDKDAFLLQGVIDPDMRFTSIWVALRPGNMNQTSFLHETKLFKNCEKGAWLNGSKLKVSSDEVGEYIIGDMDYPLLPWLLTPYQLQNDLSDIPSYQAEFNRRHSSARNITLKAMARFESTWKIMHGEWRPKDLDEMSKAISVCCRLHNIVIGMEEGAGMPSDQEVNYSDQVRQLADEDAVRMRDILSQHLLTSISSQSEEEQQEIVASSGSGDRAKCEAREEKQC* >Brasy5G144800.1.p pacid=40073319 transcript=Brasy5G144800.1 locus=Brasy5G144800 ID=Brasy5G144800.1.v1.1 annot-version=v1.1 MDAADSSSSSQQPPLRIVIVPWLAFGHLLPYLELAERLATRGHRVSYVSTPRNLARLPPLRPAASPRVDLVALPLPRVEGLPDGAESTNDVSDDEREPLWKAFDGLAAPFAEFLAAACAHDDTRPHWIVADTFHHWAAASALEHKVPCAMLLPTAAMIAAGPGRSPEHAEPEPAQPRYEQEGRATLLTDGDMSGMSIMQRSVLTLERCTLTAIRSCVEWEPECLPLVSALIGKPVVPLGLLPPSPDGGRRASNTKGNGENAATRWLDTQPPNSVVYVALGSEVPLPLEQTHELALGLELSKTRFLWALRKPRGVLDADMLPPGFQERTNGHGLVTTGWVPQMSILAVGSFLTHCGRNSLIEGLLFGHPLIMLPIFGDQGPNARLMEGKKVAIRPARDESDGSFDRQGVASAVRSVMLEEEARKSFVANALEMQKIVADKARHERYIDEFIHKLRSYMSAPISDRE* >Brasy5G178900.1.p pacid=40073320 transcript=Brasy5G178900.1 locus=Brasy5G178900 ID=Brasy5G178900.1.v1.1 annot-version=v1.1 MPGLPLPSDDATKNGGGSRSADETCDKDTRVGLLPNEELSLQEWLAEYCKAVMLYENFQARAIEKRPWLQRCLRYMIDARRKTRSWITVSISRSRNDVLPAQGIFPLHILYATPVSNVLHEGHSPVYQFSRAFPLTSFSESGDSGQTKATFCIPGLKSLSDSKDNIIVISCGRRGQNLCEKYSETPVQYSSSEKLGGKCSWGTIAIDSLVSTLENSIPFSLGHTVGLTLEISMSPGFVEQTFLENNNCLTFCSRKADATGSYELHASVYAQEAGARGLSPYSSYSYDDVPLFSSPRVIRIRTGNVSFIYKYKDKQIIEVTGDFSCSICLVRCGSFKGLECHLTSSHDLLFRFEFSASKDYQAVNVNLKTVALEPELLPAGMNPREKMFFYRRFKRYRRLETTTEKIKLVDPNIMEPGSPRNMGHVHEHITESGSPEKAQKESDVDHVPKENDLPSSRDEDLAVNAHREESLGQPQTILARGGCTLRGPADAQALPASPAERAIEEKMITRFSDTIRTKRTGISVAQPSIDPYPALHGRNHLPPSVLQFGKTRKLPVDQADHPRNRLLLQKREFFHSQNAQRMELEEVLGDHDSENEIDCDIADLEDRRLLDDFTDVRKDEKRIMHMWNSFVRRQRVVADRHVPWACEAFSRHHAQELVDDPDLRWCWRLLMIELWKHGLLNGRAMDTCNVLLDNFKKESTDPKQA* >Brasy5G332600.1.p pacid=40073321 transcript=Brasy5G332600.1 locus=Brasy5G332600 ID=Brasy5G332600.1.v1.1 annot-version=v1.1 MHHYNFTPPRMPYPDQGHQSMENLHYVGASPHDSFQTPPPPPQPKANKVASRSSSAKRKKPLINLDDDEPNERTARRLPYTPEEHVRLASAWLECSNDRISGNDKSGEKFWDEIAVVYNSTTPINRKRDRNQLKQEWQRTKKRLSSFHGEWIAVTGVYHSGYKPEDLEQMALQKYESNNGHAFPHLTMWVKLKDERKWLACYRNILEKEGKSASVETTSNVINLEGEQRPPGRDRAKAECAGKAKAREVSQDLGEKLDKFIEVNNQSTEERQKAMECQLLLSNRQLETAKIANNTKMLDVYQKLLLANTSEMTEVAKVEHDKALNKIGSMLFPKGISLITE* >Brasy5G477700.1.p pacid=40073322 transcript=Brasy5G477700.1 locus=Brasy5G477700 ID=Brasy5G477700.1.v1.1 annot-version=v1.1 MAPSKQHYDEGGQLQLMEPDRVEEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQITTGGLMDVKD* >Brasy5G477700.2.p pacid=40073323 transcript=Brasy5G477700.2 locus=Brasy5G477700 ID=Brasy5G477700.2.v1.1 annot-version=v1.1 MAPSKQHYDEGGQLQLMEPDRVEEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQITTGGLMDVKD* >Brasy5G477700.4.p pacid=40073324 transcript=Brasy5G477700.4 locus=Brasy5G477700 ID=Brasy5G477700.4.v1.1 annot-version=v1.1 MMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQITTGGLMDVKD* >Brasy5G477700.3.p pacid=40073325 transcript=Brasy5G477700.3 locus=Brasy5G477700 ID=Brasy5G477700.3.v1.1 annot-version=v1.1 MAPSKQHYDEGGQLQLMEPDRVEEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQITTGGLMDVKD* >Brasy5G477700.5.p pacid=40073326 transcript=Brasy5G477700.5 locus=Brasy5G477700 ID=Brasy5G477700.5.v1.1 annot-version=v1.1 MMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQITTGGLMDVKD* >Brasy5G505800.1.p pacid=40073327 transcript=Brasy5G505800.1 locus=Brasy5G505800 ID=Brasy5G505800.1.v1.1 annot-version=v1.1 MFYHDWSTVLFESYGRVFLLVQQARKAFVQQNNELS* >Brasy5G011200.1.p pacid=40073328 transcript=Brasy5G011200.1 locus=Brasy5G011200 ID=Brasy5G011200.1.v1.1 annot-version=v1.1 MADTLPLYRSVPGGGGQSFAAMFYRTKDPDDCPYPFQTGSTLNFTTDGDLILLDSDGTLVWSTNTSGQSVIGMNITESGNLVLFNQKNLPVWQSFDHPTDTMLPRQPLMEGMKLMPNISSTSYAASDQFFFAAHHNGMYASAGSIQHQTYYQSDLGDDDYDETTYIMFVHGSLSIFPSTFPPSVTYANNTIGLPLAGSIQYMRFESDGHLRLYEWDKSSVSWSFINDILQLDYCDNPTICGEYGICRNGQCFCPIAAADTSYFRQVDTWNHNMGCIAVHPISSCQSTAVAQDHQLIALPNVSYFNYIDPDAAIPIDEESCKQACLTNCSCKAAFHRSHSASGGSCLMVSEVLSMQGYQSGSTAYLKVELPPRNSSASASASASAKKIKGFVNYTLAGASAAAVVFASIVFAIVRWTRNQSKDGDEEEFCQVPGMPTRFTFDMLRGATDDFSKKLGEGGSGSVYDGQLGDERIAVKLLNRDAHRQKEFSAEVQTIGSIHHINLVKMIGFCADKTKRLLVYEYMPGGSLDKWIYYNRSGNNFALDWCIRRKIITDIARGLCYLHEGCRQRIVHLDIKPQNILLDDSFSAKVADFGLSKLIDRDESRVVTRMRGTPGCLAPEWLTSKITEKVDVYSFGVVVMEILSGRSGRKNIDYSRPEDSVQLIMVLREKAMNAQLEDMIDSNSQDMHLSNKEEVIEIMKLAMWCLQSDSNRRPSMSVVVKVMEGEREVEPDLNYNFFDLSPAVDVPVDLSAPPSASVLSIPR* >Brasy5G502100.1.p pacid=40073329 transcript=Brasy5G502100.1 locus=Brasy5G502100 ID=Brasy5G502100.1.v1.1 annot-version=v1.1 MAREGKAAAGAEDEGADPMLQTEAKEEEEEKKKKTRRFTRQQIACCIAAGEPELQIEDDLPPFMESLSEGFLAKILQDIRDTLDKMDADREPRKARRTALQEELRKERQDILDQYLAKGYAEYEVDTDAEDDDDDEEEEEEAAAAAARVPRPGRRRFRQGVVKRSGRTKRLN* >Brasy5G312800.1.p pacid=40073330 transcript=Brasy5G312800.1 locus=Brasy5G312800 ID=Brasy5G312800.1.v1.1 annot-version=v1.1 MTMRPPPLSDDTKHMKILSWNVNGLRSMTGSGQFSAVELAQRENFDVLCLEETDLKEGDVNYFQKLIPGYDHSWTAVISRVRVFSGPTRSRSRSRLRCRISPPLFPSHTSPSSLHLSAASSQPPPRTHAAQRRKRIAPSSAEEMVGPGLYTEIGKKTRDLLYRDYQTDHKFTLTTYTANGVAITATSSKKADLIFGEIQSQIKNKGVTVDVKANSASNVITTVTVDELAAPGLKTIFSFAVPDQRSGKLELQYLHDYAGINASIGLTANPVVNLSGSFGTNALAVGADVSLDTATKNFTKYNAGLSFTNDDLTASLNLNNKGDSLTASYYHFVEKSTKTAVGAELTHSFSSNENTLIFGTQHTLDPLTLVKARINNSGKASALIQHEFRPRSLCTISAEVDTKAIEKSSKVGIAVALKP* >Brasy5G031200.1.p pacid=40073331 transcript=Brasy5G031200.1 locus=Brasy5G031200 ID=Brasy5G031200.1.v1.1 annot-version=v1.1 MRYGEVAHFIHPQHRLRLEHLDTPFRCDGCREVGIGARFRCAADDVDLHRQCALPLHPAPPPLRHPFYPRCAFHFMARAPGTPGSRYCNACGRDVAGFVYHCRDCGFDLHPCCATLPHVLDAGGGGGGGVRLYLHPKAAAACHRCGQRGRSWTYRSGCRSYSLHVACVMDMLVESWGAVGRHKHQGGGGGGGGGSALYFYGVPPIRGAAKSSHAGGSPSTSSSSSSYWGMGRRRKKKGSKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAI* >Brasy5G478700.1.p pacid=40073332 transcript=Brasy5G478700.1 locus=Brasy5G478700 ID=Brasy5G478700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G424800.1.p pacid=40073333 transcript=Brasy5G424800.1 locus=Brasy5G424800 ID=Brasy5G424800.1.v1.1 annot-version=v1.1 MAAAALKGQLNTLLSSMFSEGLVDEQFQQLRMLQEDGAPGFVAEVVTLFCDDADRIIAEIANLLEQPVVDFDKVDGSVHQLKGSSASVGAQKVKLSCMQFRQFYEAKSKDGCLMALSVVRNAFYEMRSNFQTMLQLEQQIQAYDP* >Brasy5G307000.1.p pacid=40073334 transcript=Brasy5G307000.1 locus=Brasy5G307000 ID=Brasy5G307000.1.v1.1 annot-version=v1.1 MECVESNGGSRLVVTELSHIKELVKQLDVNLGGSPELCKHLAAQIFSVTERSIGMIKSGHAAGAGLDSPPVSAEPSPLSGVSDMTFKPNKKRKTMERRKQQVRVIPSGEGADTPSVVVVDDGRSWRKYGQKEILGAKHPRGYYRCTHRKTQGCLATKQVQRSDEDPTLFDVIYHGDHTCLLHKTAGANKAHPEEALRLLQSLTVETEGLAAMAGPQSWSTTTTPFSFSSPPPPPPERYSMFSAPSTPDNCCFGRGVSSVELSPATSGGSSHLAMAAPFRAQSEMDKMVSALALVAAAGLPEPEVEADFSIDEFGLEDFDVSSFLA* >Brasy5G003600.1.p pacid=40073335 transcript=Brasy5G003600.1 locus=Brasy5G003600 ID=Brasy5G003600.1.v1.1 annot-version=v1.1 MAAALSGGAVGRRKFFKVLLPGTFESSLWLPPKFAAGLDCPPGISAATLRDPAGRSWRVDLDRRGGGGKVCFAGKGWRRFVSGCGLSAGQFLVFDHLAGLDFAVDPFDASGCSLDLDIADPPVKLKDDDDTASRCHEDTQSSGNDDNKRKRKLSAVTTATTSGGGSCERGGGGRRRTEDDGETVVLRRRIGTPYELQCLDVSMGFCESLGWTTSRTAELVVDGRRWEVGVKVAAKGGMILRGWPEFAKDNGLRVADSCVFRPVHVVGTGDRFVQVQVLRGQA* >Brasy5G153600.1.p pacid=40073336 transcript=Brasy5G153600.1 locus=Brasy5G153600 ID=Brasy5G153600.1.v1.1 annot-version=v1.1 MLCNYSRCAANGKSDSVYSLQAVWDALFSAWGHRECVPTLRACLRVWWTTLSFPRRARKDLRTATILVFWTIWCHRNDVVFNGASPSVQRMLSIILEEFGRWENAGLLRGRISVTALGASHYGKILVCRRPGPLPETFFRASGKEGFADGKT* >Brasy5G090200.1.p pacid=40073337 transcript=Brasy5G090200.1 locus=Brasy5G090200 ID=Brasy5G090200.1.v1.1 annot-version=v1.1 MELDAAQNPNPNPPISAYYQTRAEHHAVVSSDWLAHAAAAASSFPDAGAGADAAPPPSPGSNGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTMLVHGYSRVVLEILKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMIFVGADGVVESGGIINMMGTYQIALVANSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL* >Brasy5G191100.1.p pacid=40073338 transcript=Brasy5G191100.1 locus=Brasy5G191100 ID=Brasy5G191100.1.v1.1 annot-version=v1.1 MDEGASSSCWDFPTDVLVEILRRLTPNARRRFRQVCRQWRDTIDTRAATDMRRRTKSIVVTTHGSTYVVDLQSPGQSRELWRKMGFRTAKRYKAMAIVNTCNGLVCLCDDTVAGGGAITVANPATGETLAVKIMSMDLKDERVVTPTEPLPVMASTSCFLTKVHGRLAVVGDEDGSITVWVLEGERWTVKYIVETRRLRQQESLLGRELALPHFAAGDYILTHKQAYNSVLGEKCVLYGHRTESNAARLHSHHGFVAQIGCKDHGEVVQDFLGWMRWTFAYVETEEPLGVYNLDSVTGGGSPGGS* >Brasy5G318800.1.p pacid=40073339 transcript=Brasy5G318800.1 locus=Brasy5G318800 ID=Brasy5G318800.1.v1.1 annot-version=v1.1 MAAAIDLSGEELMRALEPFIRDASASPPPLHSHPSPTSPFSFPHAAYQGYPYGVQAQAQAELSPAQMHYIQARLHLQRQTGPPGHLGPRAQPMKPASTAAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLDASVDAKLQTLCQNITASKNAKKSKSSAASAATSSTPTSNCSSPSSDEASSSLESAESSPSPAATAAEVPEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLATN* >Brasy5G196700.1.p pacid=40073340 transcript=Brasy5G196700.1 locus=Brasy5G196700 ID=Brasy5G196700.1.v1.1 annot-version=v1.1 MDVACVVSSRICTGAAEGTARWQTCDFPDSNGADLVKLRPIATAKSQNTPSLPETQFLFLAFPFSPVSSRLARVRPPPMSPNGASCLLSPACFPLLTPSISLGCPEQAAATGGEEEEMAASSVELMTELLRRYKCSSKPDKRIILLDSHEVAPFGNESRSFPSLFFVFCCEEILGRELRTVSCVCSEKQHKISLAK* >Brasy5G403800.1.p pacid=40073341 transcript=Brasy5G403800.1 locus=Brasy5G403800 ID=Brasy5G403800.1.v1.1 annot-version=v1.1 MAVSLFITRFLILALGYAYPAYGCYKTLELRPPQIEQLLFWCHYWILVAFLTVAERLAGWALSCLPAYGEAKLALLVYLWHPNTRGAGRVYGGYLRPLLVRHEADIDRGLLELRARAADVTASAAAAGREWLVEAARAVSLQMQQAAAARSGREGQAQ* >Brasy5G107300.1.p pacid=40073342 transcript=Brasy5G107300.1 locus=Brasy5G107300 ID=Brasy5G107300.1.v1.1 annot-version=v1.1 MRSALSRLIRSTTSLSPSPHRSCGLTFGKAFSSDATPRESSGRVDESFKVEEAEPVKVPPPSPDKLLVLGGSGFVGSHVCKEALDKGFLVSSLNRSGKPSISESWADRVTWNQGNLLEPASLKDAMDGVSAVVSCVGGFGSNSAMYKINGTANINAIRAAAEKGIKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIYGTRQVGRVKIPLGLVGSPMQTVLQNAKPLTRLPLVGPMLTPPVSVTSVAKVAVRAATDPVFPPSIVDVYGIMRYSEQK* >Brasy5G452500.1.p pacid=40073343 transcript=Brasy5G452500.1 locus=Brasy5G452500 ID=Brasy5G452500.1.v1.1 annot-version=v1.1 MEKMDTEVEEDDDYQEENPLDIYRQIWIERFGRLGISFEDETEIPNMHNTDRPIISSSCGPMTTMQVLYVKVTQITDALQWPLDVYGVIAVRDSIDHKRNFLFRRTRDQCQTLTSLQDAELELTGPSRAVLLIDPHAFEIDLKVRGNGSPSEDKALSYYAFIYEHIAHMDKVGTAITKVVPTEHSTIEVRFAHLARTLESTIHISVISGSRDFSARFTARTVSIDEDMVLLDSRGGKVDITEEGEVVLKRHIVTVEERGKLILGAEAAPCDAEESSGGGGIVVKRQIQITPISALRNAGGFNLGFSKLRVIIA* >Brasy5G437500.1.p pacid=40073344 transcript=Brasy5G437500.1 locus=Brasy5G437500 ID=Brasy5G437500.1.v1.1 annot-version=v1.1 MLLLAPTPPSSRTADGRRRPGGSASRRVRCSGVRELETSPSRPALQEAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTPRGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWDARDKEVLIPHSDLREIDLYSFQGLLKHEGKEKYGAVFQQWQKNPPDFSIDGHYPVRELWDRARSCWERILAHEGKSVLVVAHNAVNQALVATSLGLGTEYFRTLLQSNCGVSVLDFTPQAGGRPPNVCLNRLNQTPNSPVSAESSAGRKTGKRIILVCQGATMSSSEGNLGGVGYAPLNMLGVIQAQKTAELLLDVKVNDIICSPQVAAVDTATAICEVQEAAECLGADCVPRCVGMKNLLGLEIDDAFQAKQKSLGQIVQSGWMGGMEYKMLETLWAQSDDAWQALVNELADDDDDAESDRVVVAVGHPAIHLALVCRCLNLTMEFIPSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITN* >Brasy5G437500.2.p pacid=40073345 transcript=Brasy5G437500.2 locus=Brasy5G437500 ID=Brasy5G437500.2.v1.1 annot-version=v1.1 MLLLAPTPPSSRTADGRRRPGGSASRRVRCSGVRELETSPSRPALQEAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTPRGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWDARDKEVLIPHSDLREIDLYSFQGLLKHEGKEKYGAVFQQWQKNPPDFSIDGHYPVRELWDRARSCWERILAHEGKSVLVVAHNAVNQALVATSLGLGTEYFRTLLQSNCGVSVLDFTPQAGGRPPNVCLNRLNQTPNSPVSAESSAGRKTGKRIILVCQGATMSSSEGNLGGVGYAPLNMLGVIQAQKTAELLLDVKVNDIICSPQVAAVDTATAICEVQEAAECLGADCVPRCVGMKNLLGLEIDDAFQAKQKSLGQIVQSGWMGGMEYKMLETLWAQSDDAWQALVNELADDDDDAESDRVVVAVGHPAIHLALVCRCLNLTMEFIPSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITN* >Brasy5G437500.3.p pacid=40073346 transcript=Brasy5G437500.3 locus=Brasy5G437500 ID=Brasy5G437500.3.v1.1 annot-version=v1.1 MLLLAPTPPSSRTADGRRRPGGSASRRVRCSGVRELETSPSRPALQEAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTPRGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWDARDKEVLIPHSDLREIDLYSFQGLLKHEGKEKYGAVFQQWQKNPPDFSIDGHYPVRELWDRARSCWERILAHEGKSVLVVAHNAVNQALVATSLGLGTEYFRTLLQSNCGVSVLDFTPQAGGRPPNVCLNRLNQTPNSPVSAESSAGRKTGKRIILVCQGATMSSSEGNLGGVGYAPLNMLGVIQAQKTAELLLDVKVNDIICSPQVAAVDTATAICEVQEAAECLGADCVPRCVGMKNLLGLEIDDAFQAKQKSLGQIVQSGWMGGMEYKMLETLWAQSDDAWQALVNELADDDDDAESDRVVVAVGHPAIHLALVCRCLNLTMEFIPSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITN* >Brasy5G331500.1.p pacid=40073347 transcript=Brasy5G331500.1 locus=Brasy5G331500 ID=Brasy5G331500.1.v1.1 annot-version=v1.1 MARGPEGSEERKLVLGKYELGRMLGQGSFAKVYYGRDLRDGQSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRERVFVVMEYARGGELFAKVSRGRLTEDAARRYFQQLVAAVGFCHSRGVAHRDLKPENLLLDDEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYVRMYQKIFKGEYQMPPWVSGDARRLIARLLVVDPAKRISVPEIMRMPWFKKGFVPPAPSAPVDSPKKWEDADADAEAGVPVDGNISPRTCNAFQLISSMSSGFDLSGLFESEQKAATVFTSHAPAAAVFQKLEAVGQALGYSTARGKGWRIRMEAKAGGANGRLAVTAEVFEVAADVTVVEFAHDAGDALDFNRFCAEDVRPGLAGIVWAWQGDTPAALV* >Brasy5G197600.1.p pacid=40073348 transcript=Brasy5G197600.1 locus=Brasy5G197600 ID=Brasy5G197600.1.v1.1 annot-version=v1.1 MATEKLVLISWPTIEIPINEGDEDWLAWHFDKRGNFSVKSAYKVAIDIESRSSIQGMASASGLQVESRDHLFFHCPFALACWRYMCPQYVPLNNVHLNLMEIQKHTNEHSIWSSPSLCAGAYGVFEMNPSSTMSGHHYLDARRSSRMSPTLFFIELEERNILTSMAGLINGGWDFIMRNSEGVEAAGAGKLLGLSETLEAENLAFELRCMKVIFETDAAVMQQAFFFRAINENDYDNSVSDALFREAKFSLSFNFQSAYVIWYPNPMSCNSTPHRLASFGAVLV* >Brasy5G031400.1.p pacid=40073349 transcript=Brasy5G031400.1 locus=Brasy5G031400 ID=Brasy5G031400.1.v1.1 annot-version=v1.1 MVVPAPLCQGVLPFPAAAAASRGSSPRSPRGRLGLAAGCSSDPAAAATNSAPVADAAPVSRRREGDISWPWTGIYQLNSLYCLVMYPILNLRYQKIDGKGYLRSLEKVVIHALQSAFSIKASTIEGLTGVWVGDQKVAAIGIHVSRRITYHGLALNVTTDLTPFECIIPCGIKDRGVGSIKEILQKSSKGTKLNDAELMDIAYKSLIKEFSEVFQLSIELGPDLYIQQDNNIK* >Brasy5G508200.1.p pacid=40073350 transcript=Brasy5G508200.1 locus=Brasy5G508200 ID=Brasy5G508200.1.v1.1 annot-version=v1.1 MAPTPQATTRPGSLNGRIGSGGSVSGTAVDWGFVRSTCLEWVKNPMNVAMLVLLLLGLLDGAFPSPAARGHWVEVNNQVLNALFTLMSLYQHPALFHHLFLLCRWRLPPRRRRAPNGGNGPERAHMAVVVALLHLTVACQYAICGLYWCFTPSSRPELLEDGFFALGVAAAAYTGGATPLTATSDAAQLPTAMAAAGVVEPDGPAAGGMFDFSSFTGAGGPESLCLSASCTFCVFGWNAERLGFGNAGVHAATFALFCFAPLCVFGVSAARVQEATVGRAVAGAGVLLCACGLLYGGYWRVQMRRKFRLPAESAACCCGSSSATDYEVRTATRYKIHGDNFFHRNAAAEPGHGAGSPTAAMVPPALVQVVVVRRRSHNATVHGESKMEPPPVHRLLASSVIDIGEEEVSPSPDRELSGGGRWGVETVKRMINVVTMVSFLLLLYTRGFIR* >Brasy5G126400.1.p pacid=40073351 transcript=Brasy5G126400.1 locus=Brasy5G126400 ID=Brasy5G126400.1.v1.1 annot-version=v1.1 MWRARRWPWPSPRPRPRWLSTDAAAGSGEPARRRVAALWGNGDYGRLGLGALESRWNPTACPFFLARAGDPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGSSTTHIVDPIEVTGFGEKVVQISAGNHHSCAVTADGQLFVWGKNSSGQLGLGKGVGKVVSTPRKVDYLADVRVKMVALGSEHSIAVTEGGEALSWGAAGAGRLGHDHKSSILGFAMVSSEYTPRLIKNLDGIKIKRIAAGMLHSACIDEKGTLFIFGQKTEKVFGRSNDAPRPTVVEEIQFLEEVACGGYHTCALTDGGDLYSWGSNENGCLGLGVTGMVRSPEVLRSSLFKLPVSKVSCGWKHTAVISGEDIYTWGWGGANGTFFEEGQSSGGQLGHGNDVDYFEPMMVELGRNARAVHVSCGFNHTGAIFEYKEN* >Brasy5G416600.1.p pacid=40073352 transcript=Brasy5G416600.1 locus=Brasy5G416600 ID=Brasy5G416600.1.v1.1 annot-version=v1.1 MSQPRRRGYPHHHLHAQHVCPRRVALPAVAALLLLFLAVSLLSIAISAPPLTDRPGLGLGASSRRSLRRSSRHPPITVQSAHDNLWGSELACNFYGCSNASSKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMNRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G416600.5.p pacid=40073353 transcript=Brasy5G416600.5 locus=Brasy5G416600 ID=Brasy5G416600.5.v1.1 annot-version=v1.1 MSQPRRRGYPHHHLHAQHVCPRRVALPAVAALLLLFLAVSLLSIAISAPPLTDRPGLGLGASSRRSLRRSSRHPPITVQSAHDNLWGSELACNFYGCSNASSKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMNRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G416600.2.p pacid=40073354 transcript=Brasy5G416600.2 locus=Brasy5G416600 ID=Brasy5G416600.2.v1.1 annot-version=v1.1 MSQPRRRGYPHHHLHAQHVCPRRVALPAVAALLLLFLAVSLLSIAISAPPLTDRPGLGLGASSRRSLRRSSRHPPITVQSAHDNLWGSELACNFYGCSNASSKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G416600.6.p pacid=40073355 transcript=Brasy5G416600.6 locus=Brasy5G416600 ID=Brasy5G416600.6.v1.1 annot-version=v1.1 MSQPRRRGYPHHHLHAQHVCPRRVALPAVAALLLLFLAVSLLSIAISAPPLTDRPGLGLGASSRRSLRRSSRHPPITVQSAHDNLWGSELACNFYGCSNASSKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G416600.3.p pacid=40073356 transcript=Brasy5G416600.3 locus=Brasy5G416600 ID=Brasy5G416600.3.v1.1 annot-version=v1.1 MDAVMLAVNSLIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMNRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G416600.4.p pacid=40073357 transcript=Brasy5G416600.4 locus=Brasy5G416600 ID=Brasy5G416600.4.v1.1 annot-version=v1.1 MDAVMLAVNSLIVDAVVAARILNATLVVPILDHTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKPLRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDADLQKLRCRVNYHALRFTDPIQELGDKLIQRMQQKSKYFIALHLRFERDMLAFSGCYYGGGEKERTELGAIRKRWKTLHTSNPDKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYAASGEIYGGEDTLAPLKVLFPNFHTKESLSSNDELTPFLAHSSRMAAIDFIVCDGSDAFATNNNGNMAKILAGRRENMSWDAFSSQMRTIQNGFMGDPMEVMPGRGEFHANPTACICEKSSRSPVVKSGSGSNQETTNSTGLRKAIGEPTYPIYTDEEADGSDTEDDPSGNEEMIDTEADDDSLLRQEDYELEEILSD* >Brasy5G428200.1.p pacid=40073358 transcript=Brasy5G428200.1 locus=Brasy5G428200 ID=Brasy5G428200.1.v1.1 annot-version=v1.1 MAVDPPRPRRSLVPGVGVNALQEAREVHDSGHGRSPRRRPHQQAADLQRETSKRGNQRELGVGDQPAQPAEALSWRPDLESSGTTGRGREERWGLGRAPTGGGGRPRGSGRPPAARWGRCSATVAGGSGVGRRGRGAGWPDPVVRMHGKGGSIGDEAREEEGGGRRWRWDREESSWRHRRTRGREQREREESWGFYTAPENPRPIGPA* >Brasy5G242100.1.p pacid=40073359 transcript=Brasy5G242100.1 locus=Brasy5G242100 ID=Brasy5G242100.1.v1.1 annot-version=v1.1 MDLVCEECGDPGIRDALLPCVVCKDTARHRYCLDKVVLDPSVVEWWCNDCLPKQNEATLEDVSNQRQRNTHLGPPMISESNMKKKKVTKAGEPRRTITVGRRKDHIDARTKHILSGDTSKWGHSTNKLHEKRRDSSDARSEHALNSCEMSIGEMSNGNDSEETSEVKNGHLVCVAERIDGLSHLALEHASKIEEANNLQKPMGGLELKRPNLSNVMDGCFSSSKYVEDSVPGGGNGDSFSRINDVQQSRPMIAAKSHPTSANMEQADGMVVSVGKLQPFKAIKGSNKTVLPSDSPNHSKLMQGSNRKTRNADALNPFRGCLYSWSKVAKRSRRYELGKLAVEEDNAETTKCSADEVAAGPRMEKHGGSNSMAKGNSDEANRGSDGLLLSTKDMMQVRLSGEAHSICDEHSDRVNSPKVLSEISDPTSAHGDQVARNLECNAGKTSSPAGRKSICKQVLEEEAVNSDPLSSKYLSPCESTKVNPRKRKQLKSHTAEETENQKSRAIKHKNDGSALSKSGKLAGLQRDSQSMPRKDSGSHDKVAEHSEIGKDKKKDRSLLKENGLKNQGIYVEKNREALLLRNLDHQSSKNHEQVNKQRSKGGNTVETSSASRSSKNHKQGKQRSKGENGDDNSAVRNIDAGCAENNASQLPLQTAITEDRCGLSRMPLVSENICAHPVDKPNWTGIMKIEGDYIPLAAHLSTKAGMKVQEQSRSLPPIMKVTKLSMSRTCPNPWEGSTPTADSIGLYFFSGDTRPNKELDQLVKHVADSGNVLEAVVGLAKLLLFPSVVLPGEYQMFEEKYYLWGVFQTKTGKRKRLAPAEQGCTAHTVEKKHVQKQHLSFQADKVPCRDTIDQEMPLVNGVVHSKNQPLQVET* >Brasy5G242100.2.p pacid=40073360 transcript=Brasy5G242100.2 locus=Brasy5G242100 ID=Brasy5G242100.2.v1.1 annot-version=v1.1 MIYCLDKVVLDPSVVEWWCNDCLPKQNEATLEDVSNQRQRNTHLGPPMISESNMKKKKVTKAGEPRRTITVGRRKDHIDARTKHILSGDTSKWGHSTNKLHEKRRDSSDARSEHALNSCEMSIGEMSNGNDSEETSEVKNGHLVCVAERIDGLSHLALEHASKIEEANNLQKPMGGLELKRPNLSNVMDGCFSSSKYVEDSVPGGGNGDSFSRINDVQQSRPMIAAKSHPTSANMEQADGMVVSVGKLQPFKAIKGSNKTVLPSDSPNHSKLMQGSNRKTRNADALNPFRGCLYSWSKVAKRSRRYELGKLAVEEDNAETTKCSADEVAAGPRMEKHGGSNSMAKGNSDEANRGSDGLLLSTKDMMQVRLSGEAHSICDEHSDRVNSPKVLSEISDPTSAHGDQVARNLECNAGKTSSPAGRKSICKQVLEEEAVNSDPLSSKYLSPCESTKVNPRKRKQLKSHTAEETENQKSRAIKHKNDGSALSKSGKLAGLQRDSQSMPRKDSGSHDKVAEHSEIGKDKKKDRSLLKENGLKNQGIYVEKNREALLLRNLDHQSSKNHEQVNKQRSKGGNTVETSSASRSSKNHKQGKQRSKGENGDDNSAVRNIDAGCAENNASQLPLQTAITEDRCGLSRMPLVSENICAHPVDKPNWTGIMKIEGDYIPLAAHLSTKAGMKVQEQSRSLPPIMKVTKLSMSRTCPNPWEGSTPTADSIGLYFFSGDTRPNKELDQLVKHVADSGNVLEAVVGLAKLLLFPSVVLPGEYQMFEEKYYLWGVFQTKTGKRKRLAPAEQGCTAHTVEKKHVQKQHLSFQADKVPCRDTIDQEMPLVNGVVHSKNQPLQVET* >Brasy5G242100.3.p pacid=40073361 transcript=Brasy5G242100.3 locus=Brasy5G242100 ID=Brasy5G242100.3.v1.1 annot-version=v1.1 MIYCLDKVVLDPSVVEWWCNDCLPKQNEATLEDVSNQRQRNTHLGPPMISESNMKKKKVTKAGEPRRTITVGRRKDHIDARTKHILSGDTSKWGHSTNKLHEKRRDSSDARSEHALNSCEMSIGEMSNGNDSEETSEVKNGHLVCVAERIDGLSHLALEHASKIEEANNLQKPMGGLELKRPNLSNVMDGCFSSSKYVEDSVPGGGNGDSFSRINDVQQSRPMIAAKSHPTSANMEQADGMVVSVGKLQPFKAIKGSNKTVLPSDSPNHSKLMQGSNRKTRNADALNPFRGCLYSWSKVAKRSRRYELGKLAVEEDNAETTKCSADEVAAGPRMEKHGGSNSMAKGNSDEANRGSDGLLLSTKDMMQVRLSGEAHSICDEHSDRVNSPKVLSEISDPTSAHGDQVARNLECNAGKTSSPAGRKSICKQVLEEEAVNSDPLSSKYLSPCESTKVNPRKRKQLKSHTAEETENQKSRAIKHKNDGSALSKSGKLAGLQRDSQSMPRKDSGSHDKVAEHSEIGKDKKKDRSLLKENGLKNQGIYVEKNREALLLRNLDHQSSKNHEQVNKQRSKGGNTVETSSASRSSKNHKQGKQRSKGENGDDNSAVRNIDAGCAENNASQLPLQTAITEDRCGLSRMPLVSENICAHPVDKPNWTGIMKIEGDYIPLAAHLSTKAGMKVQEQSRSLPPIMKVTKLSMSRTCPNPWEGSTPTADSIGLYFFSGDTRPNKELDQLVKHVADSGNVLEAVVGLAKLLLFPSVVLPGEYQMFEEKYYLWGVFQTKTGKRKRLAPAEQGCTAHTVEKKHVQKQHLSFQADKVPCRDTIDQEMPLVNGVVHSKNQPLQVET* >Brasy5G089500.1.p pacid=40073362 transcript=Brasy5G089500.1 locus=Brasy5G089500 ID=Brasy5G089500.1.v1.1 annot-version=v1.1 MAAVTAATGVMSSLLSKLSALLGDQYKLLKGVRKELDPLAKDWRNKVRELSYDIEDCIDLFMHRLARGDAKTRFMRKTESKRRERYKLDNVTSKPGTTDMDPRLPVLFAEARGLVAIDSLRDKIIRLLMDGKAELKVVPIVGCGGLGKTTLAREVLHKIGGDFQCQAAVSVSRTLDLKKLLKDMLYQIDEYEYSQSDMWDEEQLVRRLRHVLSGRRYIILIDDVWRTQDWKFVVSALPENNNCSRIIVTTRISDVGKSCCSNLGKQIHHMEPLNDVDSRRLFFKRVFHDEDCCPAQLEEVSYRILKKCGGLPLAIITVYPEDYEIDRVQLIWRWIAEGLIVEKTGQNLKQISDNYFNELVNRSLVQPIDIKVDGTARSCRIHDMVLELITSLATEENFVTVLDINKCNSVPEKFRRLSLHSNYPEGHRVIQETVTSKMHVLSIYAFVSIRKIPQLFGFHALRVLDVDGCEWLENHHVKDIGGLFQLKYLRFRLAKISKLPEEIGPLKYLETLDLRQCYNIRELPSTIIQLRKLVRLQVEFYVVFPSRIGGMESLEELSNVYYCNNPVEFVEQLGKLTKLRRLEIGYQQLVEKCDDIGKCNDIFVSSLSKLEKHGLQSLSINCEDPMLNSLMGSYLTHLRELNIGSMISRVPNSLAAHSNLIELSINIAWMQQEDIWNLSVLPALLCLKILLSYSPREEELIMGDKGFQHLKELFIRFDGGGTGVLFAPCAMPQLQHLSFAFNARGVASKDSGVDTGIQHLSSLREVDILVGCDGASRYDVMAAEAALRSAVNLLPNHPNLGIKRYWDLMEPYGQQLEEYAQIKYFQNQMTRGQRPCPAKNDLTPL* >Brasy5G104900.1.p pacid=40073363 transcript=Brasy5G104900.1 locus=Brasy5G104900 ID=Brasy5G104900.1.v1.1 annot-version=v1.1 MAAASLAVRSAVGAALAAVIAARAVRRRSLDASGGVAGFAVMAIHLACGYRYGALLLAFFFTSSKVTKIGEDRKRRLEEDFKEGGQRNWIQVLANSAIATVLVIVLAIMTGGQDQCLDSNDSKVITGIIGAIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKPVRKGTNGGVTFQGLLAATGGGLTIGLTFTAVGLMTAKCSFDMALRQLLVIPISAAAGLLGSLIDSLLGATLEFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAISVLLTAAITAYVCICLF* >Brasy5G204500.1.p pacid=40073364 transcript=Brasy5G204500.1 locus=Brasy5G204500 ID=Brasy5G204500.1.v1.1 annot-version=v1.1 MDADGVRTRSPSAAMESVLGDDDLLREILRRLHGSPASLVRAALVCKRWLRLASDRAFLRAFRPRTLVGFFAYRSVLDFPRFVPLLPPHQQQHEESTFGRCLGAFADAIPAIKDCRNGRILLEFSDAALDRRLAVLTPLPHSRPADKAPHTSILPPAPPPRPQEVPLRLGRAVFLPEHGGGHDGVVLVSLRKDGRNVSADVHVLRSGGWVGPRTTAPIELPAPLPGYLSIELFDEMLPPLHGKIFIKTNEGYIVGLDLATTRLFILELPPGVLYRLRLSYADDSSGGLYLVNSHGFQLSVWLIGAIDCGGGGGGGSWVLVDTFDVREGCDRLVDDNWVPKDGDRLEVIGIGDNAEYVFLNHVASGGIIYVHLRRRVVEKVFQVTRDVASWPYVIHASPFVDGLAAHFPAMDRAT* >Brasy5G058800.1.p pacid=40073365 transcript=Brasy5G058800.1 locus=Brasy5G058800 ID=Brasy5G058800.1.v1.1 annot-version=v1.1 MYVLCDAALVWLDATKVVPSLWRSVNMPGKAMPFEYIFILGWEKICRTAMLAVDRAAGRLEAFAGRIFVCDELLYHIAQRAVLKARP* >Brasy5G058600.1.p pacid=40073366 transcript=Brasy5G058600.1 locus=Brasy5G058600 ID=Brasy5G058600.1.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVELENMLMSICKGSSDDLNGLNVEVNVSVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.6.p pacid=40073367 transcript=Brasy5G058600.6 locus=Brasy5G058600 ID=Brasy5G058600.6.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVELENMLMSICKGSSDDLNGLNVEVNVSVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.4.p pacid=40073368 transcript=Brasy5G058600.4 locus=Brasy5G058600 ID=Brasy5G058600.4.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVELENMLMSICKGSSDDLNGLNVEVNVSVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.2.p pacid=40073369 transcript=Brasy5G058600.2 locus=Brasy5G058600 ID=Brasy5G058600.2.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVELENMLMSICKGSSDDLNGLNVEVNVSVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.7.p pacid=40073370 transcript=Brasy5G058600.7 locus=Brasy5G058600 ID=Brasy5G058600.7.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVEVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.5.p pacid=40073371 transcript=Brasy5G058600.5 locus=Brasy5G058600 ID=Brasy5G058600.5.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVEVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G058600.3.p pacid=40073372 transcript=Brasy5G058600.3 locus=Brasy5G058600 ID=Brasy5G058600.3.v1.1 annot-version=v1.1 MPAGSAAFFNYHKWLNAKIERDRLKAESGLAPPVEAQVPTLEEFEPPTTFHTERLLRVRESGSKAVTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKAGTVLTTAHLIRTKKSPTNIWSGGEEYASHANGTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSVNEEVKLVQDVFRLGRDNMLDLRITYGRAVYENPDTYQRYHNMYFHCAGVPNDEEEYDSGGPVIDLEGKVVGMSNVHSRRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFKAIKLLEPAHVDKIWRMYNIDDGLIVQEVSKGSHAEKFGIQIGDIIECCNGECVSTTVEVVVFHTLKKHRTVGELAADVSDLGEVIIA* >Brasy5G300500.1.p pacid=40073373 transcript=Brasy5G300500.1 locus=Brasy5G300500 ID=Brasy5G300500.1.v1.1 annot-version=v1.1 MLEFGVLPGVLLIFLSVVLPRWEQEAAKEVDARSCFNKLVGPPDFGLGAWCRVEAMEAADRLFFFCHRGDGEGKCWWPALHRSTRRVAAVFDGVYRLRPGFASVIHECQEGGHTMEILAGTLHLLATKWFVPGGVKVASNGVSPAVERTKDSIAF* >Brasy5G199100.1.p pacid=40073374 transcript=Brasy5G199100.1 locus=Brasy5G199100 ID=Brasy5G199100.1.v1.1 annot-version=v1.1 MYSSIGEKGLFSDQAADCSTEGCTGSGSAGRRRPPPLETEVHPRSPAARGGPWRNWIWIVSEIRNLFLTCSAKFLRRAPPPPTTSAAMLRLPLTVAPPATTRRAPASPRDTPVRSRSSTHPPRERAPPQASGHRRGRRASTGGEARGERDEK* >Brasy5G121200.1.p pacid=40073375 transcript=Brasy5G121200.1 locus=Brasy5G121200 ID=Brasy5G121200.1.v1.1 annot-version=v1.1 MKLFTVLFSCIILLGLYTPASLSDSPPLQDVCPMAPQNERKLFINGFHCKQPSAIMASDFKTLLLNHAGDIVDNIVRSSVNFVTATEFPGLNTIGLSMARADFAPCGAVLPYSHPRVSEIIFVHAGSVLAGFFDTGGKMFQKTLAEGDVLIFPRGLVHFVINYGFSLVMTFSPRQNPRVVGTTHAIFAPDSDVVDRLMARMKKFREMEMIDNSTTNLQWPC* >Brasy5G007100.1.p pacid=40073376 transcript=Brasy5G007100.1 locus=Brasy5G007100 ID=Brasy5G007100.1.v1.1 annot-version=v1.1 MLGCLEGHDGAPRRGSSMNLWLCRPNQRRQPVGDLAGDGPARGERRARCGCRDGSGLGWAHPAAIAFHGNSIPVFVCVGVGTGDGDGRKPEQQLYTVHPAGLHAQCSGELDEGRGRGARRQETGRSGRRLGHREGREEEEEGRKVCK* >Brasy5G497900.1.p pacid=40073377 transcript=Brasy5G497900.1 locus=Brasy5G497900 ID=Brasy5G497900.1.v1.1 annot-version=v1.1 MPRESKRVAVDTRGLSREQRAKEISIKRGRCPSPLATCRRRRADRRMEWVLASTVKLVHIQKAEKAEYERKFGGNLKPRVLPEVPYQQKTFGHLFTPDEHSPALLTAVPQYVVSIALFDGKDLLFACSGIALPEGPEGRHIQRFVTSGTLVKVFDDKRNTDDDIRVQVRLPDNRPIDGFLGLYDDDIAIVSSFEILIDVRPVDLDRQPNQDDMPDIRSMFAFGRAFHSGNLMVRDLPLLEPVPIVDDVQPRVLYLEAPAEAALGGPLVDSNGNFLGMMHCHDGRTNISSLPLTSLRQRLKLFGVLTNGEEDTNDAGTSSGSGTRNGRHRYSLPSGVQKIVPSGFMRNINSLKSKGYPEPPPLMLETVNATLLNSFEDEFGEVTAWRMLDYTVMVRVGGKECVWGDLPNKVHTNIARRSVTVVSFSGDKMFFACTGLLISWHERRRTRVGTRTRMRARSVILTSASLVRACNDEDEVDGNLRIEVFLAPRQRANGTLELYHLDYNIAIISVDKQFVAARPEDIFNTVEKPSKVVVAIGREYEEGALFAALGKVTNKPRSKPSELDCKDLKLSTCKIKKIGTGGPLINYDDGSFVGMNFYDDSDKTPFLPRSKIVDVLKGFSLPSESGFSCPINMMGDAEVKKNRWPVPEAYWYHPLFDPDFDPFPPYRGRILQ* >Brasy5G497900.2.p pacid=40073378 transcript=Brasy5G497900.2 locus=Brasy5G497900 ID=Brasy5G497900.2.v1.1 annot-version=v1.1 MPRESKRVAVDTRGLSREQRAKEISIKRGRCPSPLATCRRRRADRRMEWVLASTVKLVHIQKAEKAEYERKFGGNLKPRVLPEVPYQQKTFGHLFTPDEHSPALLTAVPQYVVSIALFDGKDLLFACSGIALPEGPEGRHIQRFVTSGTLVKVFDDKRNTDDDIRVQVRLPDNRPIDGFLGLYDDDIAIVSSFEILIDVRPVDLDRQPNQDDMPDIRSMFAFGRAFHSGNLMVRDLPLLEPVPIVDDVQPRVLYLEAPAEAALGGPLVDSNGNFLGMMHCHDGRTNISSLPLTSLRQRLKLFGVLTNGEEDTNDAGTSSGSGTRNGRHRYSLPSGVQKIVPSGFMRNINSLKSKGYPEPPPLMLEMNATLLNSFEDEFGEVTAWRMLDYTVMVRVGGKECVWGDLPNKVHTNIARRSVTVVSFSGDKMFFACTGLLISWHERRRTRVGTRTRMRARSVILTSASLVRACNDEDEVDGNLRIEVFLAPRQRANGTLELYHLDYNIAIISVDKQFVAARPEDIFNTVEKPSKVVVAIGREYEEGALFAALGKVTNKPRSKPSELDCKDLKLSTCKIKKIGTGGPLINYDDGSFVGMNFYDDSDKTPFLPRSKIVDVLKGFSLPSESGFSCPINMMGDAEVKKNRWPVPEAYWYHPLFDPDFDPFPPYRGRILQ* >Brasy5G497900.3.p pacid=40073379 transcript=Brasy5G497900.3 locus=Brasy5G497900 ID=Brasy5G497900.3.v1.1 annot-version=v1.1 MPRESKRVAVDTRGLSREQRAKEISIKRGRCPSPLATCRRRRADRRMEWVLASTVKLVHIQKAEKAEYERKFGGNLKPRVLPEVPYQQKTFGHLFTPDEHSPALLTAVPQYVVSIALFDGKDLLFACSGIALPEGPEGRHIQRFVTSGTLVKVFDDKRNTDDDIRVQVRLPDNRPIDGFLGLYDDDIAIVSSFEILIDVRPVDLDRQPNQDDMPDIRSMFAFGRAFHSGNLMVRDLPLLEPVPIVDDVQPRVLYLEAPAEAALGGPLVDSNGNFLGMMHCHDGRTNISSLPLTSLRQRLKLFGVLTNGEEDTNDAGTSSGSGTRNGRHRYSLPSGVQKIVPSGFMRNINSLKSKGYPEPPPLMLEMNATLLNSFEDEFGEVTAWRMLDYTVMVRVGGKECVWGDLPNKVHTNIARRSVTVVSFSGDKMFFACTGLLISWHERRRTRVGTRTRMRARSVILTSASLVRACNDEDEVDGNLRIEVFLAPRQRANGTLELYHLDYNIAIISVDKQFVAARPEDIFNTVEKPSKVVVAIGREYEEGALFAALGKVTNKPRSKPSELDCKDLKLSTCKIKKIGTGGPLINYDDGSFVGMNFYDDSDKTPFLPRSKIVDVLKGFSLPSESGFSCPINMMGDAEVKKNRWPVPEAYWYHPLFDPDFDPFPPYRGRILQ* >Brasy5G270400.1.p pacid=40073380 transcript=Brasy5G270400.1 locus=Brasy5G270400 ID=Brasy5G270400.1.v1.1 annot-version=v1.1 MTQGAPVLVKRYLGRGFIIHKKLQQNRLLVITCWHVTNDLNLNAGEHLTARLPGTTEDLDLAVVRVPVPQHILVDQFRCVQFYATDAPPVPVGALVAKLGYFNPINLPAYLQIDGPLFSIEPSSYGGYIVGPEDHTATSGIIIQHSCLGIRGASGGPLIDFVTQFVIGVFTSMTATIGEAVSSQCVWMFLGEWLQSNGIEIDNNDTIQERINMCEDLY* >Brasy5G248000.1.p pacid=40073381 transcript=Brasy5G248000.1 locus=Brasy5G248000 ID=Brasy5G248000.1.v1.1 annot-version=v1.1 MDRYQRVEKPRNETPISENEIRITALGRMRNYIGYGMSLLEENGHDEIIIKAMGRAINKTVMVAELLKRRIAGLHQNTSIESIDITDTWEPLEEGLLLLETTRHVSMITITLSKKALDTSSPGYQPPIPVEEVKPAFYYENEGSFPAPRGRGRGGPRGGRRGRGRVSNGPPAYDLGEEWEEEGDYYNGRGRGRGPLRGRGRGRGFYRGGRRGGYGYDYGYGGRGGYYEEQDEYGDGEQYGYQPPPVRGRGRGRRVEHSEYFDGEPDEYHPPPGRGRGRGRRFEQNEYFDGEPDEYHPHPGRGRGRGRRVEQNEYFNGEPDEYLPPGRGRGFGRRGGPWQARGRGRARG* >Brasy5G501900.1.p pacid=40073382 transcript=Brasy5G501900.1 locus=Brasy5G501900 ID=Brasy5G501900.1.v1.1 annot-version=v1.1 MVDIREVSLAPEPKHAPANPDSASISPEVWEPFEAAALAVIARIQPTVPSEGLRASVVDYIQRLVRSSVGCQIFPFGSVPLKTYLPDGDIDLTAFGSTYSDESLANEVRAILEAEERREDAEFEVKDVQYIHAEVKLVKCFVQNIVVDISFNQMGGLCTLCFLEQVDQRFEKKHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHESLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVSLSSLPELVTEPTGTHDDSFLQREEFLKECAKMFTVPPRQNEKNTRSFSRKFFNIVDPLKQSNNLGRSVSKGNFYRIRSAFDLGARKLGKILQMPANSTVDEVNQFFKSTLKRNHSMVRPDIQDIPVNFDSKRDNGCLPLRHHSFGDLSNQFNNISISDSNNHGSLKLEEQNSTAENKEMKSASHLATATSIGMRNGMSTKGYYKEVDGDGGITIDNLADLTGDYRTNLNNLLYSQGCQQDYLANQDYPVRQIYYPIFAPPPVQYQNKHSTNGHDRDNSYGYTRTNGIVPGPYPPGYFIPFYQTDDPMQAHGAATYFPNPNLCMEMPPTGRGERRKSHFPRNNYKYHRYGRGDMPTDRTHLEELRQQPPLQIYTPVVNDHGIPLPVKISSPSSHVPQDKIHGNGLSQSQDSTLEFGTLGALPLEVRSASKDQAKRRNSVLDSKPSAPLSSKSPAQNPGVVSKSDGMRDSKPYHLKDNGDFPPLRS* >Brasy5G501900.2.p pacid=40073383 transcript=Brasy5G501900.2 locus=Brasy5G501900 ID=Brasy5G501900.2.v1.1 annot-version=v1.1 MVDIREVSLAPEPKHAPANPDSASISPEVWEPFEAAALAVIARIQPTVPSEGLRASVVDYIQRLVRSSVGCQIFPFGSVPLKTYLPDGDIDLTAFGSTYSDESLANEVRAILEAEERREDAEFEVKDVQYIHAEVKLVKCFVQNIVVDISFNQMGGLCTLCFLEQVDQRFEKKHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHESLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVSLSSLPELVTEPTGTHDDSFLQREEFLKECAKMFTVPPRQNEKNTRSFSRKFFNIVDPLKQSNNLGRSVSKGNFYRIRSAFDLGARKLGKILQMPANSTVDEVNQFFKSTLKRNHSMVRPDIQDIPVNFDSKRDNGCLPLRHHSFGDLSNQFNNISISDSNNHGSLKLEEQNSTAENKEMKSASHLATATSIGMRNGMSTKGYYKEVDGDGGITIDNLADLTGDYRTNLNNLLYSQGCQQDYLANQDYPVRQIYYPIFAPPPVQYQNKHSTNGHDRDNSYGYTRTNGIVPGPYPPGYFIPFYQTDDPMQAHGAATYFPNPVCFLSHILCLYSLKPHFEKKHISCYCKLLFSACHAYESLEE* >Brasy5G416700.1.p pacid=40073384 transcript=Brasy5G416700.1 locus=Brasy5G416700 ID=Brasy5G416700.1.v1.1 annot-version=v1.1 MAQLTFLALLCVSLAALSLSPSPATAARFACNATAPRASTCQALISYAPPNSTASMTLAGVRALFQLRSHRALLASNNLPLSTPPTAPAPSPLRIRLPCLCSGGAGATFQRPTYRVRAGDTLDAVARSVFAGLVTYRDIAAANNVSDPNKVAVGQDLRIPLPCSCDPVDGVPVVHYTYVVPAGSSVAGIAQEYGATEAAILALNRMPDAKSLLAGQVLDVPLRACSSAISNTAIDRNLIVPNSSYIFTANNCIVCGCSSTTWQLDCQATTGIGPSFCPVAKCGDAYLGNITTSTAPACETTTCSYAGYTNSTSFAIHANLTTTSVCNAAGVSPAAQPSHSLASGLASTARWRLSALIACLHVLLSLCLWVSAL* >Brasy5G233700.1.p pacid=40073385 transcript=Brasy5G233700.1 locus=Brasy5G233700 ID=Brasy5G233700.1.v1.1 annot-version=v1.1 MPMDTPPPRTAALSDDDRLLVAHCAALSFPSAPLSPPSSSFSSAAAAASFQVHHASHPYPCAAFAFPPTWSTTGWMPSSSDAGARAPFGDAEVDPALFPSLRSVGTGVPARASAAFLAAFRGLLDGSPLQSEVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNIFNHAVRREGWSQCILHFVMPLDIVPRIPLTPLASSREQIQSVLDWLSPHSPNYSPVGNSLVIPEFYETLLRSMLSIASYEACSLMGCTSSILGTLTSFIELSPYRPCGTYLLLTNTEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYESIKQCVMQEIVCVDYLGAISSSLPGRQMNGTTIGGLELSKEAMLSLAAAGQWEKQRERNQAKIDANCSKIQEAIKSLNEYKRTCELHGVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDVFEGREEWVNLGTMYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQSKRIPFGSSLESCFWAMSEELQAEMINGKSFEDLKDRVCKLESDTLGWFTSGNLGKDVFLSSSSFVIWWKTLPEQQRSASCIARLMPP* >Brasy5G233700.2.p pacid=40073386 transcript=Brasy5G233700.2 locus=Brasy5G233700 ID=Brasy5G233700.2.v1.1 annot-version=v1.1 MGTAMLYGRHIVYELCTTQQIQPCMHRSRVQVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNIFNHAVRREGWSQCILHFVMPLDIVPRIPLTPLASSREQIQSVLDWLSPHSPNYSPVGNSLVIPEFYETLLRSMLSIASYEACSLMGCTSSILGTLTSFIELSPYRPCGTYLLLTNTEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYESIKQCVMQEIVCVDYLGAISSSLPGRQMNGTTIGGLELSKEAMLSLAAAGQWEKQRERNQAKIDANCSKIQEAIKSLNEYKRTCELHGVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDVFEGREEWVNLGTMYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQSKRIPFGSSLESCFWAMSEELQAEMINGKSFEDLKDRVCKLESDTLGWFTSGNLGKDVFLSSSSFVIWWKTLPEQQRSASCIARLMPP* >Brasy5G233700.3.p pacid=40073387 transcript=Brasy5G233700.3 locus=Brasy5G233700 ID=Brasy5G233700.3.v1.1 annot-version=v1.1 MGTAMLYGRHIVYELCTTQQIQPCMHRSRVQVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNIFNHAVRREGWSQCILHFVMPLDIVPRIPLTPLASSREQIQSVLDWLSPHSPNYSPVGNSLVIPEFYETLLRSMLSIASYEACSLMGCTSSILGTLTSFIELSPYRPCGTYLLLTNTEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYESIKQCVMQEIVCVDYLGAISSSLPGRQMNGTTIGGLELSKEAMLSLAAAGQWEKQRERNQAKIDANCSKIQEAIKSLNEYKRTCELHGVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDVFEGREEWVNLGTMYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQSKRIPFGSSLESCFWAMSEELQAEMINGKSFEDLKDRVCKLESDTLGWFTSGNLGKDVFLSSSSFVIWWKTLPEQQRSASCIARLMPP* >Brasy5G233700.4.p pacid=40073388 transcript=Brasy5G233700.4 locus=Brasy5G233700 ID=Brasy5G233700.4.v1.1 annot-version=v1.1 MRVVVLVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNIFNHAVRREGWSQCILHFVMPLDIVPRIPLTPLASSREQIQSVLDWLSPHSPNYSPVGNSLVIPEFYETLLRSMLSIASYEACSLMGCTSSILGTLTSFIELSPYRPCGTYLLLTNTEQLIVLTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYESIKQCVMQEIVCVDYLGAISSSLPGRQMNGTTIGGLELSKEAMLSLAAAGQWEKQRERNQAKIDANCSKIQEAIKSLNEYKRTCELHGVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDVFEGREEWVNLGTMYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQSKRIPFGSSLESCFWAMSEELQAEMINGKSFEDLKDRVCKLESDTLGWFTSGNLGKDVFLSSSSFVIWWKTLPEQQRSASCIARLMPP* >Brasy5G479300.1.p pacid=40073389 transcript=Brasy5G479300.1 locus=Brasy5G479300 ID=Brasy5G479300.1.v1.1 annot-version=v1.1 MEATDGDYGIGLPYDLFAEILRLLPSRDLVGSRRVCRAWRAAVDGAALLPARLHRFFPPRAFPGVFVCRRDAASSFFAAPPPSRSSRGGTNNGGGGGDDSEPAAFRYPLFHYGWAAVTDHCNGLLLLGEGGDDDDLGDRCVCNPATVRCARLPPLPRSIDHGDGIFLAFDPAVSRHHEVFLLPQSTAQLRPDKEITARPPVDETAQEEAWTEISSEQLHSFNLFEEEQQQSSEEEEEEAQEQQPQDEEPTEDEVLSLINLFEEEVQVQQLQDQPQEPPQVEEPKEEDEVLSTMVFSSRTSRWESRDFLPGRCAPGLQLCEVVIASRLLHVYDEIWLSAEYWRGSLYVHCRHNILMILRSSRRTYDMIRLPWSEEEPYRGMTGLPTRSLLASYNYQGVRYVALDVHEGYTFQLQVWTLDEPAADEDDRQGASSSVATGMTGGAEEKKPRCEEDSDYSWDSDEDNFIDADGSAAAGTRRPEPVYGYGRRCHGIIGLHPYKDVILLHINGNAAAYHLGTSRMQYLGQELVKKRPTLYNNVNRAFPYRPCYVDSLPAGKMRRHGY* >Brasy5G337400.1.p pacid=40073390 transcript=Brasy5G337400.1 locus=Brasy5G337400 ID=Brasy5G337400.1.v1.1 annot-version=v1.1 MRAATISISRAEERCEDGGTGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPRAGSGRPTLGPGCRRGLGVGWRRCTLRRGSGAGRRRHELGEIGAAGWCRAAAARAEARARDRAERLRAGRGRRRRQRSATLRRSAV* >Brasy5G324000.1.p pacid=40073391 transcript=Brasy5G324000.1 locus=Brasy5G324000 ID=Brasy5G324000.1.v1.1 annot-version=v1.1 MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLINGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSESEEKTVIELHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPATHKPLLHSAPAPPPPQQKPAGGEKKASAAVEENQATTAVVAATTGRVQDEFCADDAIMQLLDDIVLPCDVVVAPAPLDSGGATAASSPAESSSSSSSSLGGGFEDDCWLPQMMEWPAESMYLMGLDDMVAGPASAWEFEDPFNTYQRIALFDHQDTWA* >Brasy5G038100.1.p pacid=40073392 transcript=Brasy5G038100.1 locus=Brasy5G038100 ID=Brasy5G038100.1.v1.1 annot-version=v1.1 MERILFDELAGDAVRELLRAVRGTFLCRSTAERLRRTVEPLLPLVQSHSLHGDARHPLRSNAELGELAVQLRDALDLARRAAAAPRWNVYRSAQLARRMEAADSGIARWLARHAPAHVLDGVRRLRDEADARIGRLERRVEEVAAAMQAPPVPAMVAPPAVPCKGVAMAVEAAPGKAMGLPMDLEPPEPEEKEVAVGGGVKVGKEKVKEMVMSGGGAGWEVVGICGMGGSGKTTLAMEIFKDQKVQAYFNNRVFFETVSQSANLETIKMKLWEQISSNIVLGQYNQIPEWQLRLGPRDRGPVLVILDDVWSLSQLEDLVFKFPGCKTLVVSRFKFPTIVTRTYEMKLLGEEEALSVFCRAAFDQESVPQTADKKLVKQVAAECRGLPLALKVIGASLRGQPPMIWLSAKNRLSRGESISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILTELSNKNLLTLVNDAQNKAGDIYSSYHDYSVTQHDVLRDLALHMSGSDSLNKRRRLVMPRREESLPRDWQRNKDTPFEAQIVSIHTGEMKESDWFQMKFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTTSAALDNLSAFTMLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELNDSLRGSTMDLSMTFPRLSNLTIDHCVDLKELPPTICEISSLERISISNCHDLTELPYELGKLHCLSILRVYACPALWKLPPSVCSLKRLKYLDVSQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD* >Brasy5G345400.1.p pacid=40073393 transcript=Brasy5G345400.1 locus=Brasy5G345400 ID=Brasy5G345400.1.v1.1 annot-version=v1.1 MTLYEVLSVGEDATYDEIRAAYKSAALNTHPDKAERPLKSFLSSNEQQDFCNVQKAWEILRYPTSRAEYDKQLRSSRQSLEIIALDIQIEDMIVENSADAVELLYACRCGDYFSITACELGDMGILVSEDGEIEVQASDSLPASIVLGCGSCSLKTRLVINKT* >Brasy5G345400.2.p pacid=40073394 transcript=Brasy5G345400.2 locus=Brasy5G345400 ID=Brasy5G345400.2.v1.1 annot-version=v1.1 MTLYEVLSVGEDATYDEIRAAYKSAALNTHPDKAERPLKSFLSSNEQQDFCNVQKAWEILRYPTSRAEYDKQLRSSRQSLEIIALDIQIEDMIVENSADAVELLYACRCGDYFSITACELGDMGILVSEDGEIEVQASDSLPASIVLGCGSCSLKTRLVINKT* >Brasy5G358500.1.p pacid=40073395 transcript=Brasy5G358500.1 locus=Brasy5G358500 ID=Brasy5G358500.1.v1.1 annot-version=v1.1 MDVTFVCSSGEAPFKMEVGFFDTVQDIKQKLQGRKGWPAAAMSLSHNGDLLLADDGAIERHGVVEGSVIRVALLDADGRSRRHQHQPQQKKRTRPSTKKTLRVTVVSRCGAGRVEVAVGARGAPVGATLRGALAAAAGFPLPRDGAYFFIHRQGVMDESRSFEWHGVAAGDEVVVFDGSVTRGGGPAY* >Brasy5G196500.1.p pacid=40073396 transcript=Brasy5G196500.1 locus=Brasy5G196500 ID=Brasy5G196500.1.v1.1 annot-version=v1.1 MGGFSAAERCAVGIHASLSSPASRPRALKQTAPVRRASRRASTTLAVSGRSLLVPRAVATPTDRASPDIVGTFNLDSNSELQVTLNPAPQGAVAEINLKATNTRGSLILHWGALRPNKREWLLPSHRPDGTTVYKNQALRTPFLQSGDNSMLSIEIDDPAIQAIEFLVFDGAQNKWFKNNGQNFLIQLQTSHNQGQDASGASASATIVPEDLVQVQSYLRWERNGKQSYTPEQEKLEYEAARAELIEELNRGVPLEKLRARLTKSPNANESDAPASQTTVAKVPEELVQVQAYIRWEKAGKPNYSPEKQLVEFEEARKEMQAELDKGASVDQLRKKILKGNLEKKVSKQLEKKKYFSVERIQRRNKDIMQLLNKHKPVITEEQVKAAPKQPTVLDLFTKSLQEEDGFEVLSKKLFKFGDKEILAIAANSLGKTKVHLATNYVEPLILHWALAKNGGEWEAPPSSIIPSGSTVLDKACETSFSKSELHGLQYQVVEIGLDDGRYNGMPFVLRCGETWIKHNGSDFYLDFNTKVTKKAKDTGDAGKGTAKVLLERIADLEEDAQQSFMHRFNIAADLVDQARDAGLLGIVGLFVWIRFMSTRQLIWNKNYNVKPREISKAQYRFTDDLENMYRSYPQYREILRMILSAVGRGGEGDVGQRIRDEILVIQRKNDCAGGMMEEWHQKLHNNTSPDDVVICQAIIDFIKSDFDISVYWDTLNKNGITKERLLSYDRAIRSEPKFKSDQKESLLSDLGNYMRSLKAVHSGADLESAIASCMGYKSEGEGFMVGVQINPVKGLSSRFPELLQFVLDHVEDKSAEPLLEGLLEARVELRPLLIDSSERLKDVIFLDIALDSTFRTAVERSYEELNNAAPEKIMYFIGLVLENLALSTDDNEDIIYCLKGWNQALEMAKQKDDQWALYAKAFLDRTRLALASKGEQYYNMMQPSAEYLGSLLNIDEWAVNIFTEEIIRAGSAATLSALLNRFDPVLRNVAHLGSWQVISPVEVTGYIVVVDELLSVQNKSYDKPTILVAKSVKGEEEIPDGVIGVITPDMPDVLSHVSVRARNCKVLFATCFDPNILSELQGHEGKVLSFKTTSADITYREIAESELLQSSSPNAEAGKAVPSLLLVKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDNMNKEVAQNIQLLKGRLVQDESSVLREMRKTVLDLTAPTEFVKNLKDKMVASGMPWPGDESEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVKADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLNSPKVLGYPSKPIGLFIKRPSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLITDPGFRNSILSSIARAGHAIEELYGSPQDVEGAVKDGKIYVVQTRPQM* >Brasy5G009100.1.p pacid=40073397 transcript=Brasy5G009100.1 locus=Brasy5G009100 ID=Brasy5G009100.1.v1.1 annot-version=v1.1 MGSREYPLPLCFDLLLGKDRDRWPPEARFIRAAHYGDIRGIKKFAKELDVQGNGIPVTVANTCYMGMNALHAAGGLGSLPVYQYLVEEVKMDIHKPDTTQGYTPLEHAINHGHLPAVTYLVDHGADLHQLRSSVTLLHTATVHGHSEIVKFLLSRGADVNAMSDIGTPLALAAHKGYASIVKILLQHNADPNKATRMFGPLDMALHKSIVSCAKLLIQGGAKVSGANPCDNPLAKAAEKGLTEAMKCLLEAGANPNVLDTFGRLPIELAAEYGTREDVEILFPFTSPISTVENWSVDGIISHVNMEIKQLEDGKFVKNRMADLKQQADEAFKKQDYLNASVLYTQALKIDNFNATLLSNRSLCWLRMGDGERAFNDATECTKLRPKWAKAHYRQGAAQMFMKEYDGAYDSLSRALELDPESEETEKLFWEAMELR* >Brasy5G338600.1.p pacid=40073398 transcript=Brasy5G338600.1 locus=Brasy5G338600 ID=Brasy5G338600.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy5G325300.1.p pacid=40073399 transcript=Brasy5G325300.1 locus=Brasy5G325300 ID=Brasy5G325300.1.v1.1 annot-version=v1.1 MSYRPHKRLLRLLEAAAGLAGAWPRAAAAAAEATQLKNKSRKEIMEWLTSLVTDPPFESLFELADILDRPDDDDDDDDDDDDDDDDDDGDATFAGSLALEVVPSTFAGNNPEEGFAWLSAPIPHHLDIRRKHYRSFCLKGTRISVHDFIYIKNSKNDKENHVGYVEDMYEDVEQNNMVLARWFDKVDDDHGAVTLPPDVDDREIFLTYGLQDIRVEWVVGLAAVLNPQHFEEFKKMENHINWKPYLCCRQVENGIMKPFDVTRLQGYSSQEIVRTLFNTDANARDKNKTEERGQKRKSGEISGQIVENQASRNVANDRTVGNHARHIATADENTMQKLPAPANNSSTGATLLPPRKAMLLRFAASQQQLAVSSATADKEIIISQTVENDNPPRNAANNDQTVKGNRPHNASATSGQTASENRIPDNATNALTVVKDPTGASGSAGLVASGFNVQELFQPGCYVEALSQDSGLRGCWFVCNIKKTAPKKDCIQVIYKDVLNAEGTGKLTEWIKLKRIANLDPLGIRLVERPMVRPHVKRPKAITSEALIGTMVDARWNNGWWEGILLKHEPFDRVKVYFPGEKKVMVLHKSDLRRSFEWTGDVWRSLSARGDVARQLNRRIEVGPSQGSGQGAKPAGTPKKKGILKQSNVGGKRDAKQAGKKKVRLQSSKGDGQFSVPPGITIVESMRDSSRKLKGPDKAEKPAAAAKKEGHGISVDDMPPDGNQPKGSQGCLTDFLKSDKFNWAARKARPRYRGSSSGLGHSGGSSLQGQEDINPSDCDGDVAAPVNSVPDKGKGVCKM* >Brasy5G328300.1.p pacid=40073400 transcript=Brasy5G328300.1 locus=Brasy5G328300 ID=Brasy5G328300.1.v1.1 annot-version=v1.1 MATPRFLALLFVAALLLTLSFPQGSVVMVEGRKVQVMRAVGHYGRRWRSPLGGGGATRLLQQEEEGAGMVSTVTDYSEPKANTNPHGNVPATPDNPTTPPGH* >Brasy5G337300.1.p pacid=40073401 transcript=Brasy5G337300.1 locus=Brasy5G337300 ID=Brasy5G337300.1.v1.1 annot-version=v1.1 MGRAPCCDKASVKKGPWAAEEDAVLRAYVAAHGTAGNWIALPRKIGLNRCGKSCRLRWLNYLRPNIRHGGFTDEEDRLICSLYASIGSRWSTIAGQLPGRTDNDVKNYWNTKLKRRLLGAGRRPIRYAPQPRLLPAIPAAYSAVERMQLSVQLQRHHPAGLQQESPGFITFHSNLATPPWPSPSPGPGPRQQQPVSPNTGYSAFWSHFQTISRVSVPDDHQCAWGDSSGGTATPLSSSTTTGETAAGTGSSSSTPTASSAAFGCEMDDEIEMLLQQIRGLEENDGSAGSWSSACSTPGVDSVFHDYVQEYNM* >Brasy5G348900.1.p pacid=40073402 transcript=Brasy5G348900.1 locus=Brasy5G348900 ID=Brasy5G348900.1.v1.1 annot-version=v1.1 MQAPAGRTWSPMATEANPYAMPSPAQKSTKETVKNALSLWGRKVGEATRKAEDLSRNTWQHLRTAPSITEAAVGRIAQGTKVLAEGGHDKIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGVMYLSTARVAFCSDNPLSYEASGGDKTEWSYYKVAIPLHRLRAAIPSASKLKPAEKFIQLVSVENHEFWLMGFVNYGSAVMHLQEVLSGFHNLQA* >Brasy5G304800.1.p pacid=40073403 transcript=Brasy5G304800.1 locus=Brasy5G304800 ID=Brasy5G304800.1.v1.1 annot-version=v1.1 MAAALQRLFLLLLSLRAVAPYDAGSLLAAKRALSDPAGALSGWNARSGGHSPCAWPHVACAVNSTTDVAGLYLKNHSLSGVFPASLCSLRSLRHLDLSQNNIGGPLPVCLAALPALAYLDLSGNNFSGHVPAAYGGPGFRSLATLNLVENALSGAFPAFLANLASLQELMLGYNDFTPSPLPENLGDLAGLRLLYLSRCYLKGRIPSSIGNLRNLVNLDMSVNGLSGEIPGSIENLGSAVQIELYSNQLSGRIPEGLGRLRSLQFLDLSMNLLSGAMPEDAFAGPRLESVHIYQNNLSGRLPASLASAPRLNDLRLFGNQIEGPFPPEFGKNTPLQFLDLSDNRLSGPIPPTLCASGRLSEIMLLNNKLEGSIPVELGQCRSLTRIRLFNNSLSGTVPPEFWALPNVRMLELRLNALSGSIDPAIGGARNLSKLLLQDNRFTGVLPAELGNLAILKELFVSGNNLSGPLPASLVDLSELYTIDLGNNSLSGEIPRDIGRLKKLVQVRLSHNHLTGTIPPELGEIDGISVLDLSHNELSGGVPGQLQKLRIGNLNLSYNKLTGPLPDLFTNGAWYNNSFSGNPGLCNRTCPSNGNSDAARRARIQSVATILAVSAVILLIGFTWFCYKYSIYKRRAAEIDSENSRWVLTSFHKVEFDEKDIVNSLDEKNVIGEGAAGKVYKAVVGRRSELALAVKKLWSSTALSTKMDTFEAEVATLSKVRHRNIVKLFCSMANSTCRLLIYEYMPNGSLGDFLHSAKAGILDWPTRFKIAVHAAEGLSYLHHDCVPSILHRDVKSNNILLDAEFGAKVADFGVAKAIEDGTATMSVVAGSCGYIAPEYAYTIHVTEKSDVYSFGVVILELVTGKWPMASEIGEKDLVAWVRDTVEQNGVESVLDQKLDSLFKDEMHKVLHIGLMCVNIVPNNRPPMRSVVKMLLDIEEENKRKARIEASLPSI* >Brasy5G416400.1.p pacid=40073404 transcript=Brasy5G416400.1 locus=Brasy5G416400 ID=Brasy5G416400.1.v1.1 annot-version=v1.1 MPVLLTLGAPPRRPPPRLPRPPPAAFSSSWNCSMKRRPLRPGTFAIPAHAPRGRGGPLPHPEERDPLLLGALRAARLRDDESRRPDPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSEDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVHDVSPGRIFSTSTQQLKGAGAKISRNCVVLHTPLESPDLQEALCKNGFNGTRPSLWILQGLPLFTSTRLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNLFFTRGFRVSFDHYENVANDIGLHLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy5G416400.2.p pacid=40073405 transcript=Brasy5G416400.2 locus=Brasy5G416400 ID=Brasy5G416400.2.v1.1 annot-version=v1.1 MPVLLTLGAPPRRPPPRLPRPPPAAFSSSWNCSMKRRPLRPGTFAIPAHAPRGRGGPLPHPEERDPLLLGALRAARLRDDESRRPDPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSEDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVHDVSPGRIFSTSTQQLKGAGAKISRNCVVLHTPLESPDLQEALCKNGFNGTRPSLWILQGLPLFTSTRLENLLLVVSNLATKGSIFMGEVPHFPDCTAASDLLSKQGWLDNLFFTRGFRVSFDHYENVANDIGLHLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy5G416400.3.p pacid=40073406 transcript=Brasy5G416400.3 locus=Brasy5G416400 ID=Brasy5G416400.3.v1.1 annot-version=v1.1 MPVLLTLGAPPRRPPPRLPRPPPAAFSSSWNCSMKRRPLRPGTFAIPAHAPRGRGGPLPHPEERDPLLLGALRAARLRDDESRRPDPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSEDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVHDVSPGRIFSTSTQQLKGAGAKISRNCVVLHTPLESPDLQEALCKNGFNGTRPSLWILQLSKQGWLDNLFFTRGFRVSFDHYENVANDIGLHLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy5G416400.4.p pacid=40073407 transcript=Brasy5G416400.4 locus=Brasy5G416400 ID=Brasy5G416400.4.v1.1 annot-version=v1.1 MPVLLTLGAPPRRPPPRLPRPPPAAFSSSWNCSMKRRPLRPGTFAIPAHAPRGRGGPLPHPEERDPLLLGALRAARLRDDESRRPDPLFIDPYAAVLLSLDVAQQTSDSLVSHLMPSEDHYRLTTIYVDEKLQHLISSSDNFRQIVLLTDGMDTRPYRLCWPRMSVVHDVSPGRIFSTSTQQLKGAGAKISRNCVVLHTPLESPDLQEALCKNGFNGTRPSLWILQLSKQGWLDNLFFTRGFRVSFDHYENVANDIGLHLAVPWKQHGGVLFVAEQLRFSDAQMESFRMHFERAEEDADEEGFEEL* >Brasy5G175100.1.p pacid=40073408 transcript=Brasy5G175100.1 locus=Brasy5G175100 ID=Brasy5G175100.1.v1.1 annot-version=v1.1 MAAATALIKMAAICGAVLLILLSVAGQQPAMAAAYEKGDGVAGHQQDKGGYVLQCPSPVTSCRTNCSSACNIVSPTMCNMLCGLTPDQLLGGVDRACVNHFFTACQAACKNLCEPLPIPANNP* >Brasy5G466000.1.p pacid=40073409 transcript=Brasy5G466000.1 locus=Brasy5G466000 ID=Brasy5G466000.1.v1.1 annot-version=v1.1 MAADGGLRRLFEKPAPENPTLLEALSSSWNHRRHLPNNKDTASFTEIFGELHFQEKPHHPPPPPMLRLLPPPPPPPPASSSWALDGGSEKSKDDSSLDALLRPPRPAPVKRSASFCVKKTKSASASALLLCTEGLGSESTAVDVVRDDDLDEIAPNPKTREEIEIIADIAAKEEGGKKGKEEQRTFPPPIRSIASGGRGGKPSVCFRSFRAEGRFVLVEVVIPGKELLHASREGGRLRLQFAAPAAAAAHV* >Brasy5G524200.1.p pacid=40073410 transcript=Brasy5G524200.1 locus=Brasy5G524200 ID=Brasy5G524200.1.v1.1 annot-version=v1.1 MALLFFDLSLLPSSSSSSSSSSSANSRLLPAARALELGYAAVALDHPHRGLLADSDRCRLAPFPALSSLPLPSSASLHRSRNGSPASEPFRQYTRITLSLDSAAAAASALAPSAARLLRTYDIVAARPLTQAALDHLCQSATEADLISIDFSHKLPFRLKLPMLKLAIQRGLHFEIAYSPLINDVNSRRQVLTEAKLLVDWTKGKNLIISSAARNANEIRGPYDVINLCAYLLGLSTERAKAAMSVNCRSLISKALSKKYFYKQTVSIDRLLSSGQLDSTKCKLGDWIGWDPLFPEGDLHSLEANLKPPSKKDEVPGSAINSVTRVVYEKSCDAGEPLLVDEPKQSNDDKETPAKTQEGTAQVNTAEVLMSCGLSTSFGHQTVAKVTTLEKPGNNEVVMTDNVHAVSASSVDQKCIDDHVEFVQDAMEIDATESCALNLIAGDSAHLSLDATKLACSSLSQSMELSYTCPDDKYPVKTSDILDNAKAFAAYDTGSASSEREYQVLLNHEIPSVPDSCLEDEVMDKLDDIPVDSKVHRDTTESLGCSEGWRDDEAPLNLVLALSSSNLCKDTVLQQEVNKDKTEQNMEENIEEATSDKVGHIDSEASKTISAEHTLHGQDTSSTAFVYDKETKDTAWETNELKEPNSKETNPSLEEDIAKTHQLLNYSRAGAKGEISTARSEKRKHKLWLRRPAYLPFLGFLKTVCFKKKACKVSRKP* >Brasy5G339400.1.p pacid=40073411 transcript=Brasy5G339400.1 locus=Brasy5G339400 ID=Brasy5G339400.1.v1.1 annot-version=v1.1 MCGGAILSGFIPPSAAAAAAAAKKKKKKQQQRVTADALWPGLQRKAPQVEVEDFEADFREFERDSSEEDAGGDDDDVVEVVPPPPAKAGFAFAAVAEGALPPTVDAVTIPKPVEHDGSGTGPVKRNRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEVPTARKSTVKPIAQKSATATNSAKLTTPPKNCDDESFSHLSNADNDLFAMFAFDDKKVPANPAESGDFLPQAKPLVPTETFGMNMLSDQSSNSFGSTDFGWDDEAMTPDYTSVFVPDAPYSELAYLEGGAPKRMRINFGGDLSPQGNDAPSLAQDISAFDPEMKYLPLPYVGSSSDRSMNNLVLNDVMQDGASNVDVWGLDELLMAAGAY* >Brasy5G003200.1.p pacid=40073412 transcript=Brasy5G003200.1 locus=Brasy5G003200 ID=Brasy5G003200.1.v1.1 annot-version=v1.1 MAIYLSSFLCPNSSTYPSTKYLLSLLVPDQVRNYNWALYGFNWYMTSVKKYLRDKTKLMSSKSNLTLGGCNYYPAVYYLDLVDFGSRSPVGCFPRIKVWKGDMIKDFSQLDLKEGHEYGRRKVLNDICEMFDHFASTTDPLVSSRAGDLVISVLSRVKAASESLDVSQSYDSNANNNVNNSDLDAPANNLYPNRCDEDVVAESNSGKARISESTLVVDDPDAIPDLNDAPNSDAPTVVVNSDTDNGQSEQHVVSVNEAHTQPYNIQRFESRVGGPLSSLCPSPEVQITGVRNFAKECSSLVNEADNLYNSFQKSSTFGFESASSSGHNKKIYCPKRHVVPSHYKLSPYEDSRLKSQVPSHMVKYHGIIVKLSEDETIKYNTAIDLKNIRITIFSLGQSFKFRGRVDFFTMNGWCRKLGLDAPPSRSKKNFFFSTLGNTVINVFDKIQRFITDCNFVFVPCLFCVHWFVFVVDFPGECFIILDSYFKENSEYHKYTRHHILQNFSKVWNELTRSNIYFAKFRFHHPIVPMQNNEDDCGIFCMKFMEFFNPRSLLKDKFSFRDINNFRVTLAHDLLFSRHNLIHSFAPM* >Brasy5G043100.1.p pacid=40073413 transcript=Brasy5G043100.1 locus=Brasy5G043100 ID=Brasy5G043100.1.v1.1 annot-version=v1.1 MRLLMNQLKDTLGMANTIMMMVEMFIHKVPIGSHVDEYSFLRPRVAQIRDATITVRLVGNPCKEM* >Brasy5G074500.1.p pacid=40073414 transcript=Brasy5G074500.1 locus=Brasy5G074500 ID=Brasy5G074500.1.v1.1 annot-version=v1.1 MPTVTFLSLYLNSLNGSFPEFVLGSANVTYLDLSQNALSGTIPDSLPEKLPNLAYLNLSSNGFSGRIPASLSKLRKFRDLRIAANNLTGGIPDFLGSMSQLRALELGPNPLLGGPIPPVLGRLQLLQHLDLKSAGLSSTIPPQLGNLANLNFVDLSGNKLTGVLPPALASMRRMREFGISGNKFAGQIPSALFANWPELISFQAQDNSFTGKIPPELGKATKLQILYLFSNNLTGSIPAELGGLVSLLQLDLSVNSLTGSIPSSFGKLTQLTRLALFFNKLTGEIPPEIGNMTALQILDVNTNHLEGELPATITSLRNLNYLALFDNNFSGTIPPDLGKGLSLVDASFANNSFSGELPRRLCDGLALQNFTANRNNFSGTLPPCLKNCTELYRVRLEGNHFTGDITEAFGVHHSLVYLDVSENKLTGRLSSDWGQCANITRLHMDGNALSGGIPAAFGSMEKLQDLSLAENNLSGGIPSELGRLGLLFNLNLSHNYISGPIPANLGNISELQKVDLSGNSLTGTIPVGIGKLSALIFLDLSKNKLSGQIPSELGNLIQLQILLDVSSNSLSGPIPSNLGKLRTLQKLNLSRNELSGSIPTGFSGMSSLEAVDFSYNRLTGEIPSGNNIFQNTSADAYIGNLGLCGNVQGVAPCDLSSGSASSGHRRRIVIATVVSVVGVVLLAAIAACFILMCRRRPCEHKVLEANTSDAFESMIWEKEGKFTFFDIMNATDNFNETFCIGKGGFGTVYRAELASGQVVAVKRFHVAETGDISDVSKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYECLERGSLAKTLYGEEGKKKLDWDVRMKVIQGVAHALAYLHHDCNPPIVHRDITLNNILLESDFEPRLCDFGTAKLLGSASTNWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSQQDDLLLKDILDQRLDPPTEQLAEEVVFIIRIALACTRVNPESRPSMRSVAQEISAHTQAYLSEAFKLITISKLTDY* >Brasy5G271700.1.p pacid=40073415 transcript=Brasy5G271700.1 locus=Brasy5G271700 ID=Brasy5G271700.1.v1.1 annot-version=v1.1 MTVTRLNHRRRRQPTPEMTNEVVSQENTNNGSPSDNEDVPAIKKKGRTATKLKKPSNGVRIAITPKSDFHFEGDDGIAGQVSALLKLEYPSVIKEQDEAGVETKRHASQWEDYCIVEEPGDGSQDITESAADRFKAEFWSIYKLQNDTPAQRIEADRVLQNLAKKQLKQVLYQARKDAIKKYYNEIADEPITDREACHKELTRSRYIRAKLDWCKDAEAWESLCDYWCSNEYKEKQMLGRHSRTISDDVAQNRGGSRNFIRTKSFIAKTFGPEEVTSINTYKLMKVGLKKYKETGSTSCIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKVIYSTGGGLPHGRLAIGDGAIKKSEVRAIAKQHNIRPANSLSYQDLLRSHQKLEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALMGDSDLVSSD* >Brasy5G366700.1.p pacid=40073416 transcript=Brasy5G366700.1 locus=Brasy5G366700 ID=Brasy5G366700.1.v1.1 annot-version=v1.1 MSLLIAVSILLHIPSTCDSPKQKVEKSSSDSKATNCSQSIKNPMEAAKPRADDVHLNAETPDALGGDRPMRCHDHVGPARPEPWPAPPHGVQPLEQSLHFGRAGEHDGVGRGGVRGDQHLSAARPGPARGVRRRAHGHPPGRLEPSEEVGHDGRLAEAVDIGDGAEHAAAGPAPQRLHEAGRGGDVRDEDGDPSGVAAEPGEEGAVQKVGVAAEGGYGEGERGGGVGDRPLRGGGGGRREGAGEGGDAGAGGEGERREGVAGAGHEEGAEAVGFVSADGDGGHRERLRAALAEKFLKPATVSAAAGGLGRRERYPPAREREGLRLRHSPPRNRRRAAMPI* >Brasy5G128300.1.p pacid=40073417 transcript=Brasy5G128300.1 locus=Brasy5G128300 ID=Brasy5G128300.1.v1.1 annot-version=v1.1 MRSMAASVKGLLASRAHRRVERLSLEVFDCSTSPWINRLVVDAVDSWGLRDLEVVATATEPFAHPPPVYRFPHGRISGDPGASRLRSLKLGNCLPPPLQGFAALTTLVLKDLPRTTRAAVYEGVLAACPQLQVLHIVSCRFKSKTFRVSFDAPMSDIRELVVDGFYMTIEIRCLPKLESLAVLDAAVLLCSDAAPCLKSTSFVFSVGPLEVEGHDISGEDCMKLHEIFCILTRFFQGALSMTDLVLRFTGPGLWIALKNPFCPTQNLRRLLVVDVPSSWDVSGPHLLVQAAPLLESLHVHVPQCQEEPGQEIEWQPSTSRHYHLKELVIIGFQRTERHLRLVRYAIEVSTVLRRISLFKHGHVEDKGACGWEQGFGFRFAKKFAPDRNGRISDFSENFG* >Brasy5G291000.1.p pacid=40073418 transcript=Brasy5G291000.1 locus=Brasy5G291000 ID=Brasy5G291000.1.v1.1 annot-version=v1.1 MATYPSTKIARCPCWRSSAPAPPPRRRRRDPHRDALPVHTVAEVFAVFLAEPAEGGIGVKLQRQQGPGRGEVRPAQRGERLEDPPPRPTSRTRRRLRKGGWDGLVDEGRAWRQRGARAELGGDPTDGGGGATNLWWRDARWGSSARRRRRRRVELGGLGRPLLEIEGAVWWLRGDPKRWERISTNVGPMGDAATQKKGSKSPRIEREAHDESDGGGT* >Brasy5G073500.1.p pacid=40073419 transcript=Brasy5G073500.1 locus=Brasy5G073500 ID=Brasy5G073500.1.v1.1 annot-version=v1.1 MATNPGFLSEWPWKRLGSFKYIVLAPWAAHGLHQLATKGWRGTDLGYVAILPSMLLRALHNQAWITVSRLHNARGRKQVVVDRGIEFEQVDRERNWDDQIILSAILFYLGALHLPGGQRLPLWRADGAVLVALLHAGPVELLYYWFHRALHHHFLYTRYHSHHQCDSAPAHHHASIVTEPITSVIHPFAELVAYELLFSIPMIACALTGTASIVAFEMYMLYIDFMNNMGHCNFELVPTWLFRWFPPLKYFMYTPS* >Brasy5G151600.1.p pacid=40073420 transcript=Brasy5G151600.1 locus=Brasy5G151600 ID=Brasy5G151600.1.v1.1 annot-version=v1.1 MERRAESRRGRRGGEHLGQQAAAMGGGGGGGCDRGLEGGDVGQEGGETALVVSRCGLLLVLGGGGGGGRGEVVGIGECPAGLAVGVVEGKVNLGVGDSEGGEWRAYLANGPWKKKDREPTVPGL* >Brasy5G478300.1.p pacid=40073421 transcript=Brasy5G478300.1 locus=Brasy5G478300 ID=Brasy5G478300.1.v1.1 annot-version=v1.1 MVLPAVLDVARARAIPLAVFWIQPATVLAAYYHFFHGDGFHELVASHAADPAYEVTLPGLSRPLLIHDFPTFLVDTTGSDLAKSVNDALRELFEFMDEQGKNTAVLVNTVEELEPAALAAMAEHLTIFPVGPLVACSSSNNSSRNIHLFDHDNKAQYTAWLDAQPSKSVVYVSFGSIWTYSKPQMEEIAAGLKQCARPFLLVVRKDGRQDQDVSGCLDELREQGMVVAWCDQAAVLAHPAVGCFVTHCGWNSTLEAAAHGVPVVAAPGMFDQPTNAFLAEQEWGAGVRVEKEKEDEGGVFAGAELARCVELVMGDGERGNEIRGRAEAVKEMARKAAAEGGQAERSLRSFVMAVVRDSSDNNSNSKHVEADVVQKLGILEVSTCSSDGDVTAPVTSEVV* >Brasy5G167200.1.p pacid=40073422 transcript=Brasy5G167200.1 locus=Brasy5G167200 ID=Brasy5G167200.1.v1.1 annot-version=v1.1 MTRLYFLATILLVIHWILILTSATGSGRQQGCTYDPSGVLISCPPIDSGPGCNRPPC* >Brasy5G227100.1.p pacid=40073423 transcript=Brasy5G227100.1 locus=Brasy5G227100 ID=Brasy5G227100.1.v1.1 annot-version=v1.1 MGTKAPPTDAEKTGEIDTRAPFESVKAAVSLFGEVRFSSDRSSARKAQAPQAERVLAKETELHLAQKELDKYKEQLSNVETTRLQAHSELERAKKSVGDLTNKLDALNKSKERDIQATELAIIRTKELEAGSSGEGSGKDGPGTLKLELDNVREQYTVALADLDAAKQELRKLRKEFETSLDAKLLAAQQEAEAMQSSEANKEKASQLRTEIALVQESLMHAKAALEQAREEEAHILAEKDVARKTCKEALDEAQRKLSTLRNHFDPVAYKSLQEKLDETYSEIASLRKKMEDTKAQDLESVSAASTELEDAKETLHRVEQEETSLSSLVESLRLELQAVKEEHNRLKHKDAEIESIVGDLHGKLQKCKSDLETAIASESDAALGSDELRLALEQLSSESKDALEEAEVMQKRAAELRDEAEAARAALAETEQKLQSSLKDAEVAKAAESRALDQIKQLSDRAVAARASISEPGANIRISKQEFESLSRKVEQTEKLAEMKVAAALAQVNAVRASESEAIKKLEAAQKEMEDIELATEEALKKAEMAEAANKAVEDELKRWQEKEEQTNNAEACRLSARAASPAENPSQSTQKAPAAKANEKTGGHERSTKALLKKSFMLPSITGMFHHKKKNSTDCSSPS* >Brasy5G393600.1.p pacid=40073424 transcript=Brasy5G393600.1 locus=Brasy5G393600 ID=Brasy5G393600.1.v1.1 annot-version=v1.1 MPTTPDIVLDGDGGGGEGEKPDQDTKAGGDYVVATETEGIAGDYDPADKEPVEDAGVGVQLNESSFAVDDPTKLGASMVDDDSDMVPVGGRDGQEIHHGADESAHFGHGLQDDGSVLVSDNGEDSQDKEGARMDAVATTALNDMEAELLRVDDGFAEEGTKMDMLASTENDNEEEGVATAGYASMDEGRQIDAVSASRDDKEKAAGTTYVDAIGEGTHMAAVGLTGDDNREKEVSSTVNDGEEGMEKYVAITAGDEDEENIMAPRIVAEEADSVLEEAATDMANNVITEKGTKMDTLGTAGTDNEEGVTTAGDASADEGWQMDAVSMSRDVINEKTADAAGVGATDKDIQMDTIGLTGDDNQETEVVSAGDDGADEEGLEKYAVSTTGDEDEDGMADENVAGETDSVPEEAEVDIDMVSNVIPGQEDVQMDGEDDDDEPPPLTRKGGRRPKPGRQSSKAKALVKPSAKKKDEEEVCFICFDGGDLVICDRRFCTKAYHPGCINRDDEFFKSKGRWTCGWHICSNCQKPARQMCYTCTYSLCKVCIKDTKFVSVRGTKGFCETCMNTVMLIENREEATEQMDVDFDDKEGWWSLFKDYWLNLKVTLPLTFEQVSAARRQKNESSIKLSETNDAEEANSDSSAERPLESNSSKKRGRKQSKRAANEDSSKGKASTRKYTKRGLSSNSKNSTGAKVRKLSKRASSSEHGSKESESVGTSTSSAEEASWASKELLDFVACMRNGDKSVLSQFDVQGLILEYIKRENLRDPRRKSQIVCDPLLQSLFGKERVGHFEMLKLLESHFLMTEISPVDIDDNHGGVVDPDPSQDADGNSEASVVMSSEKKRKSRKYDQRAMQTNLDDFASIDIHNIGLMYLRRNLMEELIVDTDTFSEKVLGAFVRIRISGTGQRQDIYRLVQIVGTGTAAEKYKCGKRTTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFISRLTVGEVQEKARILQSVKVNDWIESEKMRLGHLRDRASDMGHRKELRECVEKLQLLNTPEERARRLKEEPEIHADPTMDPDYESPEEPEEDAEKSSFSKLRGSFSRKDSNPVSPGKGEGRSPAQRDSKTNWEPNRNTWGESNTHLESPHGRTFSSHGERAGYTGKSDSPNFGAQKVNVEATTGSTPRGVSGVLSQTLTANSGSAAPAPQSTVNESEKIWQYMDPSNKIQGPFSIVQLRKWNNSGYFPPNLKIWKSNEKQDDSILLADALAGKFEKDLPPWVPPLGSSSQIDKAYLRSKSDVGARPSSDPVLEESTKAGEQTSQSVVPNRSQSFSGRVSQRHDHDIANPGSTMIQSGAQDYHAAFASQQSLTGGWNASSSQFDTTVNPMTHSQPAMGGFSGQNNAGAGNVGQLTPAPAAAIVGAEIVNSQLQSQNQIASVLQPKDDRFVDRNDSKSGEDALHGRTRSSGEDLGPVGAQPGAAQSNAQQLEDARNQSTDASNSMMPPQMMSAPSAAGGDSQSSGWSMPAQVANTSGQAQGPGNMNWGPALQGNASMGWMGQTNMSMPWAAPAQGATSYNMGLTMPTQQNAVPNMGWVTQNPGNSNMNMMWTATQGQGTPNAATMMGAQMQGVAMAPWGAMAQGNANSYPGWVPQVGNINQNVGWSAPVQGNPGPNPVNGTGQANNNMNWKSPGSNPTWNNQQDFNGGDSGGRSWRPQSGGGGSRGPFRKGVCYAFAETGHCNRHQCPFVHTQTNDGHPSRNDRRFDRQPSSNERHQYDRQNERNDQQYDRHDNRQSDRDNNRQADRSESRERQ* >Brasy5G393600.2.p pacid=40073425 transcript=Brasy5G393600.2 locus=Brasy5G393600 ID=Brasy5G393600.2.v1.1 annot-version=v1.1 MPTTPDIVLDGDGGGGEGEKPDQDTKAGGDYVVATETEGIAGDYDPADKEPVEDAGVGVQLNESSFAVDDPTKLGASMVDDDSDMVPVGGRDGQEIHHGADESAHFGHGLQDDGSVLVSDNGEDSQDKEGARMDAVATTALNDMEAELLRVDDGFAEEGTKMDMLASTENDNEEEGVATAGYASMDEGRQIDAVSASRDDKEKAAGTTYVDAIGEGTHMAAVGLTGDDNREKEVSSTVNDGEEGMEKYVAITAGDEDEENIMAPRIVAEEADSVLEEAATDMANNVITEKGTKMDTLGTAGTDNEEGVTTAGDASADEGWQMDAVSMSRDVINEKTADAAGVGATDKDIQMDTIGLTGDDNQETEVVSAGDDGADEEGLEKYAVSTTGDEDEDGMADENVAGETDSVPEEAEVDIDMVSNVIPGQEDVQMDGEDDDDEPPPLTRKGGRRPKPGRQSSKAKALVKPSAKKKDEEEVCFICFDGGDLVICDRRFCTKAYHPGCINRDDEFFKSKGRWTCGWHICSNCQKPARQMCYTCTYSLCKVCIKDTKFVSVRGTKGFCETCMNTVMLIENREEATEQMDVDFDDKEGWWSLFKDYWLNLKVTLPLTFEQVSAARRQKNESSIKLSETNDAEEANSDSSAERPLESNSSKKRGRKQSKRAANEDSSKGKASTRKYTKRGLSSNSKNSTGAKVRKLSKRASSSEHGSKESESVGTSTSSAEEASWASKELLDFVACMRNGDKSVLSQFDVQGLILEYIKRENLRDPRRKSQIVCDPLLQSLFGKERVGHFEMLKLLESHFLMTEISPVDIDDNHGGVVDPDPSQDADGNSEASVVMSSEKKRKSRKYDQRAMQTNLDDFASIDIHNIGLMYLRRNLMEELIVDTDTFSEKVLGAFVRIRISGTGQRQDIYRLVQIVGTGTAAEKYKCGKRTTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFISRLTVGEVQEKARILQSVKVNDWIESEKMRLGHLRDRASDMGHRKELRECVEKLQLLNTPEERARRLKEEPEIHADPTMDPDYESPEEPEEDAEKSSFSKLRGSFSRKDSNPVSPGKGEGRSPAQRDSKTNWEPNRNTWGESNTHLESPHGRTFSSHGERAGYTGKSDSPNFGAQKVNVEATTGSTPRGVSGVLSQTLTANSGSAAPAPQSTVNESEKIWQYMDPSNKIQGPFSIVQLRKWNNSGYFPPNLKIWKSNEKQDDSILLADALAGKFEKDLPPWVPPLGSSSQIDKAYLRSKSDVGARPSSDPVLEESTKAGEQTSQSVVPNRSQSFSGRVSQRHDHDIANPGSTMIQSGAQDYHAAFASQQSLTGGWNASSSQFDTTVNPMTHSQPAMGGFSGQNNAGAGNVGQLTPAPAAAIVGAEIVNSQLQSQNQIASVLQPKDDRFVDRNDSKSGEDALHGRTRSSGEDLGPVGAQPGAAQSNAQQLEDARNQSTDASNSMMPPQMMSAPSAAGGDSQSSGWSMPAQVANTSGQAQGPGNMNWGPALQGNASMGWMGQTNMSMPWAAPAQGATSYNMGLTMPTQQNAVPNMGWVTQNPGNSNMNMMWTATQGQGTPNAATMMGAQMQGVAMAPWGAMAQGNANSYPGWVPQVGNINQNVGWSAPVQGNPGPNPVNGTGQANNNMNWKSPGSNPTWNNQQDFNGGDSGGRSWRPQSGGGGSRGPFRKGVCYAFAETGHCNRHQCPFVHTQTNDGHPSRNDRRFDRQPSSNERHQYDRQNERNDQQYDRHDNRQSDRDNNRQADRSESRERQ* >Brasy5G393400.1.p pacid=40073426 transcript=Brasy5G393400.1 locus=Brasy5G393400 ID=Brasy5G393400.1.v1.1 annot-version=v1.1 MASQRSNRKQLARRYKSTSQNVEPLNDTPPRPRRPKTSAVKATHDGGSSSVSDEPKGVPWTRKRKRTNNVKDAKKRVGFKCNPAMLKDLLNRLNENQRQWVIDAGFGILFEICDCNLSKELTLMLINWVNYRSGALELGGESFPIKAFIQKLLGLRSGHLPVTAPVFTARGKTKVLHPNDGQKEFRAEKDGRGKAIHGVITELLTIDDKVKFQKKFIVVVFCIYLAPTSSLLLNRNLLQCLTNMELVPQMNWCAYIAEYLIQGIRRYHESEAENIFVHGCVHILVLMYRDIVKRPVLPDELEFPRMKTCTQAILDELESTYKTAELTRIDEQYQRDRDDCIKACMADMNKKLKRLDAGRAAAIQLLFCGADSGDEHHRTPDEHDHHQGQTDEPGKQPSGPTECLELPIRTASVPRNPQPRFDTTPTVEPQSTVFMESQDVLISPTGMNDHATKSSVYASNQPRFDNTPVVEHMPSSGAPCMERHSGPQGCPDLHGSSPDRCINEGGDASSAAAIPHAASEPTAPTFVGHVQSPDLMSEVQDVGQQACTEAEENAAKDMGEMDDGTASPFTVGLSGEGQHERGPLGPDLHGVAAHDFPCTEAPRIWFVPDTFDVDDPALTSERSPNRTRNVQPSEQLSTGVPTPEAPHDGTTQPQSDVHMFQVPQPSLSESYIVRIASEQTPAEVQDLVGTQRGCEATTLDLNAATIDDTFAGLDVNASIGSYKDDTQQLYQDALFDQYAAYTPFGGETFLDPGSVNTAVKKRTKQYKEAEEKVEGIQELLKSTTKTNALAAKTYHDHVQISELRSTKRKCNAPKDNIGKPKILIKGTHVTEEEFVHALKANGHVDLNFMWLCSVAIMEDWGSKTKFILDQPTIVSSSRLCSRTHPVMLHEISDSFLFF* >Brasy5G108800.1.p pacid=40073427 transcript=Brasy5G108800.1 locus=Brasy5G108800 ID=Brasy5G108800.1.v1.1 annot-version=v1.1 MGGSSSRSSIAVVGVDLEKGPTIVVQQPEAAAAAARLQAPANTNMFSEKEAERMGRAWCVGVLLGCVDIGLVVMTWLNSGHNWVATLSCAVLFTNVCFLGVAFQLQHK* >Brasy5G524400.1.p pacid=40073428 transcript=Brasy5G524400.1 locus=Brasy5G524400 ID=Brasy5G524400.1.v1.1 annot-version=v1.1 MTSKYTGVVEEGINYSYEEEVVNNARGMKLFTCRWLPPKSQPVKALVFICHGYAVECSVTMRGTGVRLAQAGYAVYGVDYEGHGKSDGLQGYVPSFDLLVNDCDAFFAAAVASTPNTDIPRFLLGESMGGAVALLLHRARPSYWSGAVLVAPMCKIADEMKPHPVVVSVLRAMTSIIPTWRIVPTADVIDAAYKMQEKRDEIRANPYCYNAKPRLKTAYELLRISLHVENNILKKVSLPFLIVHGGDDKVTDPSVSDLLYRSAVSQDKKLNLYPGMWHALTSGETVDNINIVFKDIIAWLDQRSGAAPSSPPATSSEMEQKSKHDHDQQQDKQ* >Brasy5G206900.1.p pacid=40073429 transcript=Brasy5G206900.1 locus=Brasy5G206900 ID=Brasy5G206900.1.v1.1 annot-version=v1.1 MPQKIRCAVSYNTLITACFRAKDVPAARHLFDEMQRYKRSRRSVVSWNAMIAGCTRCGRDDMAVWCFEDMVREGEVAPDDATLAAALPACGRMGNAGAGRWAHEYARKAGLLDGSVHVANAVIDMYCKCGDVGSAREVFEGMRQRTVVSWNTMISGFSLNGKGVEGIELFREMMRSGENPNAVTFLGVLGCCAHAGAADVGQEIFQSMQSEYGIEAGVEHYGCMVDVLGRSGLLEEAHALIQGMPMRPNAAIWGALLSACRAHAGLSIAEVALKELINLEPRNSGNYVLLANLYAETGRWEEAGDVRKLMRRMSVQKAPGQSLIEEPSLT* >Brasy5G364300.1.p pacid=40073430 transcript=Brasy5G364300.1 locus=Brasy5G364300 ID=Brasy5G364300.1.v1.1 annot-version=v1.1 MPPRRVCVTGGGGYIASWLIKLLLSRGYAVNATLRDPCDPKNAHLMRLDRPAENLRLFKADVLDHAALAAAVAGCEGVFHVASPVPADKIVDPETEVMIPAVKGTLNILQVCSSMKVQKVVVVSSTSALHFNPNWPKGKPKDESCWSDKKICIDHELWYCAAKTVAEETALEYAEKNGLNVITACPCIVFGPQLQPIVNTSSELLIYVIKGGPNALNDMLWHVVDVRDVADALLLVYEKPESSGRYLCAPDYITTRAMLELLKKTYPDYNYVQCKAGGDLNAIITPFSSEKLRNLGWKPRELEETLLDSIEYYRETGILQNVEGQTCRLPDLFRHLHATEE* >Brasy5G199000.1.p pacid=40073431 transcript=Brasy5G199000.1 locus=Brasy5G199000 ID=Brasy5G199000.1.v1.1 annot-version=v1.1 MQPLMIQKPSHLSSDSSTLRFSRLIRRPFSSSSHAVPLPLLRNSHLLPPQFLHSGRCGKCCRRVCYGDQFSTEHGKDVVGDTEDQEK* >Brasy5G429800.1.p pacid=40073432 transcript=Brasy5G429800.1 locus=Brasy5G429800 ID=Brasy5G429800.1.v1.1 annot-version=v1.1 MSEEPQNAMEGRARRRASPAGLRTNPVRVQPEPPPTKRHELRKRVAVVYYLCRNHHLEHPHFMEVPLASPQGLYLRDVISRLDALRGNGMAAKYSWSCKRRYKNGFVWHDLSMDDLLLPAQGNEYVLKGSELQLDHSKPLLPLPDHQQNSSPSNARVRLDMPTRQRESPRSPGSNQGWISTTPCPTTDPAVSVTKEAVPPLPPQPALLSSPTASTIEDGEQCRTPHPGSSGSSSPKTSMPSSGTSSPSLSSPAALHDAATQTRRDDVKLQQGQGAARVPSERPEIVGDSHSKHPPAAGYHRRRSGTLQSLIMAEAAGRRRVVLEDDEKTTSSSISGKLKPANLLMRLMACGPNHPSFDLTSYKPLIPLESPSSPELFPLGALKPGTTGASTVRVSETENSNCSLYDSVAGKLKRSTSSLNHQDGTCEEACSKGDSVNLSRSTSKRMSDLSSAKVHSSRVVSFHDEKEKAVKIEDRFASGAQVAIH* >Brasy5G348800.1.p pacid=40073433 transcript=Brasy5G348800.1 locus=Brasy5G348800 ID=Brasy5G348800.1.v1.1 annot-version=v1.1 MAPSYEMAASILLCAEDSSSILGFGEEEESAAVKAASWSPYSGDSIAPDFPLPSEECVARWVETEAEHMPREDYAQRLRAGGVDLCVRTDAIDWIWKVHTYYSFGPVTACLALNYLDRFLSLYQLPEGKTWMTQLLAVACLSVAAKMEETSVPQSLDLQVGDAPYVFEAMTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHELNGGNAPSRRSVRRSAELILRISKGTDCLEFRPSEIAAAAAATVAGEERAVDIDTARCCTYIDKERVLRCHEAVQAMDLMPVAPKTASRGRASSVSSAPRSPTGVLDAGCLSCRSDGTTTAASSPASSGFDSSPLCSKRRKISR* >Brasy5G371000.1.p pacid=40073434 transcript=Brasy5G371000.1 locus=Brasy5G371000 ID=Brasy5G371000.1.v1.1 annot-version=v1.1 MASIPIPPLLLLLLFAAFACNVVDGSRNPHGLGVNYGRVADDIPPPRRSVQLLRAAGAGSVKIYDANPAVLRALAGTRIPVSIMVPNEIIPDLAASAAKADEWVAQNLLPYLPKTRVKFLLVGNEVLSDRSIATSTWPRIVPAMENLHRSLRARRVSSVKLGTTLAMDALVSGAFPRPPSAAMFRADIAEAVVRPLLRFLNGTNSYYFVDAYPYFVWAGNNETVQLDYALFQGGSRYVDPGTGLTYTNLLDEMLDAVGAAMSKLGHGEVRLAIAETGWPNGGDYDQIGGNARNAAVYNRNLAARMARNPGTPARPGAKMPVFVFSLYNENLKPGPGTERHWGLYYPNGTEVYQIDLTGRRPLWAYPPLPAPENNTLYKGPIWCVLATHGRKLNQTAVGDALTYACGQGNGTCDAVQPGGECFQPNTGEAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPSHGSCKFHSSLG* >Brasy5G097700.1.p pacid=40073435 transcript=Brasy5G097700.1 locus=Brasy5G097700 ID=Brasy5G097700.1.v1.1 annot-version=v1.1 MAGLSLEHPWAFAFGLLGNVISFMSYLAPIPTFVRIYKSKSTEGFQSVPYVVALFSAMLWIYYALVKSNESLLITINAAGCVIETVYVAMYFVYAPRKAKLFTAKIMLLLNGGVFGVILFCTLFLAHGEKRVVSLGWICVAFSVSVFVAPLSIIGRVIKTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFSFGVVQMALYMFYMNKTPIVRGDGKEGKEEGKLPAEEHVVVNMAKLGGTPDNKNSGNEVHPVVVKALPKSCAAGADRPLVDPTARPATVEVV* >Brasy5G182200.1.p pacid=40073436 transcript=Brasy5G182200.1 locus=Brasy5G182200 ID=Brasy5G182200.1.v1.1 annot-version=v1.1 MGSVHRRPTCRRCCPSCRRRRTSLATRAAARAPTSSLDAQALPLPMATEHVRRQSTAAAAQPIHRRPNRVHRPALCRSSTSRRHPPIRCPSAPTRFLITAARCLAASLLPHAQGTIPHPHRGSRGYRGASGFEPAAVRLRDRNYAI* >Brasy5G017000.1.p pacid=40073437 transcript=Brasy5G017000.1 locus=Brasy5G017000 ID=Brasy5G017000.1.v1.1 annot-version=v1.1 MMVEEQSNGGGCCVGLVAAAVVSAGVILASYHLHRRLLADLKRNIIAEERRQKRTLTASGKKKKEKKVRFADDVAGLAAAPSSTPNGRAAAGGDGLRPARSADLASMLE* >Brasy5G017000.2.p pacid=40073438 transcript=Brasy5G017000.2 locus=Brasy5G017000 ID=Brasy5G017000.2.v1.1 annot-version=v1.1 MMVEEQSNGGGCCVGLVAAAVVSAGVILASYHLHRRLLADLKRNIIEERRQKRTLTASGKKKKEKKVRFADDVAGLAAAPSSTPNGRAAAGGDGLRPARSADLASMLE* >Brasy5G459300.1.p pacid=40073439 transcript=Brasy5G459300.1 locus=Brasy5G459300 ID=Brasy5G459300.1.v1.1 annot-version=v1.1 MVDFLRRLAGLLLKCCDLDTPNRPKGLEDPERLARETVFSVNEIEALYELFKKISSAVVDDGVINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFVRALSVFHANAPVDEKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEVIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA* >Brasy5G509500.1.p pacid=40073440 transcript=Brasy5G509500.1 locus=Brasy5G509500 ID=Brasy5G509500.1.v1.1 annot-version=v1.1 MAMAAHACLLPPKRRSVLCPAAVCAAGSASSAAACMDAAPIDELADELLFLVLDKVAATDPRALKAFALASRACRAAESRHRRVLRPYPHRAGILHLRSALARYPSAARLDLTLCPRVPDAALGALSPSSAPTSLRAVDLSRSRGFGARGLRALVDACPALADLDLSNGVGLGDAAAAEVARARGLARLSLARCKPVTDMGLGCVAVGCPGLRELSLNWCLGITDMGVQLLALKCKKLTTLHLSYTLISKDCLPAIMKLPSLEVLSLVGCVGIDDDALASLEKECSKSLQVLDMSNCQNVTDVGVSSVVKAMPNLLELNLSYCCNVTSSMGRCFQTIPKLQTLKLDGCKFMADGLKSIGNSCVSLRELSLSKCSGVTDTDLSFAVSRLKNLLKLDITCNRNITDVSLSAITSSCPSLISLRMESCTHVSSEGLRLIGKRCCHLEELDITDSDLDDEGLKALAGCSKLTSLKIGICMRISDEGLIHIGKSCSELRDIDLYRSDGISDEGVTQIARGCPMLESINLSYCTEITDLSLVSLSKCTKLNTLEIRGCPSVSSSGLAEIATGCRLLSKLDIKKCFAINDVGMLFLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLSGVTPNGLMAALMVSGGLTKVKLHAAFRSMMPPHMVKVVEARGCVFQWIDKPFQVEQERCDIWKQQSQDVLVR* >Brasy5G099800.1.p pacid=40073441 transcript=Brasy5G099800.1 locus=Brasy5G099800 ID=Brasy5G099800.1.v1.1 annot-version=v1.1 MASRGGYDGGSATGGKIRRRPPSRAAAASPYARPAAASVPAARGGEGRSWLTSLIASGASRLLSSVFRKPPPQLAAPPLPEPLDTRPTRAESVDAPPSQPEHLDALPSPPPPPLEDDIPEGKEYCGETANNLSTVNLENFVNIGDDMPSSDCHGGMDLEELLKQRTFTRSEFEYLTELLRSRTVGSNTLQEETSNIKQMCFPEKENGSRDLPFADQVASPAELAKAYMGSRCSEGLPLRLRLHDPSTLPIKSIDASTTQKAKSPKVPPLPSSRSYASTPFPRLGSNYMTPNKSAIHKMSSSPYFKGPVSSRDMSGTVLSSYQTANSVHSFGRQVLKRKSTALNNETVSVGPIRKMHQRYNRVSPLLETRPGYRGYLGSHASKLEENVEHSSQTQKRRCLNKVDDVTQGVCGNSFGQAPAQSTEMAAKILKQLDTLVPSQKEGASEIRHQNKTDVEDSISWRKEMSGSLLESSSSGVQEYSLLNNFNGAAKFTPATMDDKSVDATSNISAAVESKSSSERITSPKDSLELDNYSGSTKVALHQANDKIEKSQSLIAEPATKNSATTNKEKPSTFSSRSYSPSNLVLSSEIDRSQMLASSGFSFPATTALGAHSQPPPTPTMASPPVLPVGKHQSSVVPSVPVTSAETAARIAKQVSEAGTISNKHDKLDAEIPPISSKSAEHVASFTSNHVFSVVSSKPATLSNGLAHSSKSTTSAFLPSNGSNNSVFSTNTGVSTSFPQFSFQSGFQSSLNSAQQSGAVQFKSESIIAAPLSMQSNSTGVSPSSSSTFSQIFAGTTPQSVEAPPSGSGSAPSSFSPKFGGASLLVAQDKSKEGSSSTPFNFSPQFGSVVSVASLDKSNVTSAESTFFSGNKCAQSGNSNLLSTQSSASKSNLVPPEKSNMGNLPNFASSPFGSAPSSSSPSNSSSIFPCTAASGSTSLPTTVPLPLPGSSSTLGASKAFSVSPIFGSNLATTAPSLFGTQYTGSAILPSSSNSSAVFSFTAATPTMPNPSPSKTLSGKTSPAVGLSTGTDQMNGGLSTNPLSTAPQFNFQSNSPSTPVFSTPATQFASTAPASAGIFQFGQQSQPSAGGFSMGIVRDNDKSGRRIIKAKRKK* >Brasy5G099800.2.p pacid=40073442 transcript=Brasy5G099800.2 locus=Brasy5G099800 ID=Brasy5G099800.2.v1.1 annot-version=v1.1 MASRGGYDGGSATGGKIRRRPPSRAAAASPYARPAAASVPAARGGEGRSWLTSLIASGASRLLSSVFRKPPPQLAAPPLPEPLDTRPTRAESVDAPPSQPEHLDALPSPPPPPLEDDIPEGKEYCGETANNLSTVNLENFVNIGDDMPSSDCHGGMDLEELLKQRTFTRSEFEYLTELLRSRTVGSNTLQEETSNIKQMCFPEKENGSRDLPFADQVASPAELAKAYMGSRCSEGLPLRLRLHDPSTLPIKSIDASTTQKAKSPKVPPLPSSRSYASTPFPRLGSNYMTPNKSAIHKMSSSPYFKGPVSSRDMSGTVLSSYQTANSVHSFGRQVLKRKSTALNNETVSVGPIRKMHQRYNRVSPLLETRPGYRGYLGSHASKLEENVEHSSQTQKRRCLNKVDDVTQGVCGNSFGQAPAQSTEMAAKILKQLDTLVPSQKEGASEIRHQNKTDVEDSISWRKEMSGSLLESSSSGVQEYSLLNNFNGAAKFTPATMDDKSVDATSNISAAVESKSSSERITSPKDSLELDNYSGSTKVALHQANDKIEKSQSLIAEPATKNSATTNKEKPSTFSSRSYSPSNLVLSSEIDRSQMLASSGFSFPATTALGAHSQPPPTPTMASPPVLPVGKHQSSVVPSVPVTSAETAARIAKQVSEAGTISNKHDKLDAEIPPISSKSAEHVASFTSNHVFSVVSSKPATLSNGLAHSSKSTTSAFLPSNGSNNSVFSTNTGVSTSFPQFSFQSGFQSSLNSAQQSGAVQFKSESIIAAPLSMQSNSTGVSPSSSSTFSQIFAGTTPQSVEAPPSGSGSAPSSFSPKFGGASLLVAQDKSKEGSSSTPFNFSPQFGSVVSVASLDKSNVTSAESTFFSGNKCAQSGNSNLLSTQSSASKSNLVPPEKSNMGNLPNFASSPFGSAPSSSSPSNSSSIFPCTAASGSTSLPTTVPLPLPVYWFCHFAFQLKL* >Brasy5G299800.1.p pacid=40073443 transcript=Brasy5G299800.1 locus=Brasy5G299800 ID=Brasy5G299800.1.v1.1 annot-version=v1.1 MHSYRPMHPYHPAPAAASSKQQAEEDDQSMLLLSLWPPGHHDASSSSHSAASCSPSWFSSHYNNGSSGGGGGGGFMFHDQQQPVVVDYPNVSISLSIAPPCAGAPTNLQAAGGSMSFAAPPSSPSPSLQQQQQPSQYWIPSAAEILVGSTQFSCAVCNKSFNRFNNMQMHMWGHGSQYRKGSDSLRGAVTTTTTTTALTPPPSLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESSVGGAVDDDEDYDDDDGDLLQHDDNAGAGEGSDMVLA* >Brasy5G342900.1.p pacid=40073444 transcript=Brasy5G342900.1 locus=Brasy5G342900 ID=Brasy5G342900.1.v1.1 annot-version=v1.1 MSRTGSRLDSVVRLPGRSRVSASPSPRRRSLSRSPSPRRQRRRDRSPSPARVRRRDRSPSPSRVRRRDRSPSPYQDRRRDRSPSPFRDRRRQWSPYHNDRGRDRDRARDVEPPARRGGDGGGAWSASDDDDEELKGLTYFEYRRLKRQKLRKSLKRCIWNITPSPPRRDDEADEYHYSEEEEEKEKKESPKKDSSDRSEDEENKNSSESESGESGSLSDSSESDYSRRKKKGRKGHRRSSSKRCRRAHRHHSSDMGSESDGKVDEDSEGSSDSEDSRDKRSRKRSRRHKKSKRRGRSSGRKKRKSLDLPSDGSSEEVEVSGFSPEKKTGKSSRKKRSKQSDSEDSIPHDPNGEKEVEETDVPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVSRTIGQDAGPSHDPFATTDG* >Brasy5G364800.1.p pacid=40073445 transcript=Brasy5G364800.1 locus=Brasy5G364800 ID=Brasy5G364800.1.v1.1 annot-version=v1.1 MPPPRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPCDPKNAHLMRLDGAAENLRLFKADVLDHAALAAAAAGCEGVFHVASPVPADKIVDHPEA >Brasy5G273200.1.p pacid=40073446 transcript=Brasy5G273200.1 locus=Brasy5G273200 ID=Brasy5G273200.1.v1.1 annot-version=v1.1 MSSLLGSAMEAPMSSSLGAMGPLLRKLASLLPPDYRLPKPLKDRIELLKADLEEISVALVEQSMVDSPNEMSKFWMNEVRELCYDIEDFMDNMMSPRADAKMRLRSVRSCRVGRVKIARLPKRQKPCTRATKIAELRTLVREASERHERYLDGCASSSCYVFTGHSQVPAHNGGTTTELVGIDDLKTKLIERLTNKEQQLKVVAIVGPAGVGKTTLAKQVYRELGGQFECSAFGRASRKPDTRRLLGGILSQVQGCPVPPDSCTVQILIDNLTKHLQDKRYVIVIDDLWETTAWDIVASAFPEGNNFSRIITTAEIEVLALECCDYQYDNILKMKPLGMEDSGKLFFNMVSGPERPRPEQLKSLSDIIITKCAGLPLAIICVAGILAIEPDNSELLQHVHGCLCSSLIANSTLEETLQEIIKLSYNSLPHYLKTCLVFLSIYPEGHTMWKVDLVNQWLAEGFISATQGIDTREVAERYFVELVNRGMIQPVEINYNDEVLSCTLHHVLLDQITFQSNEEKFITALDYSQTITGLYDKARRLSLHCSNIRYAMKPTGITLSQVRSLAFFGICECVPSVMEFKLLRVMILELWGDHQRCTSFNLRRIGSLFQLRYLKISCDASVEIELPTQIQMLRHMETLIIDATVSTVPLDIIHLPGLLHLSLGDNTNLPDDIGRIRSLRTLRYFDLGTNSEDNVLSLGDLINLEDLHLTCYTEPDEHYTEKSDEHLKRNLRALASSLGKLGKLKSVTLAPGASGLAIYPDVWCSITSLPVFLQRLELLPPICIFSRLPEWIGQLRKLCVLKVVVSELLIKDMDMLTELPILTVLSLYVRQPTAESIIFKRGAFPALKYFSYTCGVLCLAFQEEALHDLQKLKLCFNAHRGELYEHLLRGIEHLPNLEEIVGTIGLADRAEEPDRRAAESAFNNAIRKHPMFRSYDNTKRTNRVEEQYGPQEKQHSVQDKDPVREYEHLVKKIAEYVKQNPDSSTYGMYINSVAQSAPAWLAESSCSEISDFSTVTSDCSSVSSDSNSVDSKGTAGGSSVYVVECVAFWGLTSISGRRPEMQDSVVTVPRFVSLPPFLMGNTTYSMLFRHQAHFFGVYDGHGGAEVIADYCRDRLHAALVEVLGRIERPLSDASLGAVDLQKQWEKVFLDCFCRVDDEVGGKASRAVGQGSSTGKIAAAAVMEPVGTETMGSTAVVSVICSSHIIIANCGDSRAVLCRGKQPVPLSVDHTPNREDERTRIEAADGKIVQWNGHRVFGVLAMSRAIGDRYLKPWIIPVPEVTVVPRVHDDECLILASDGLWDVLSNEEVCDVARKSLLRWHEKNTVDSSSAQRSADSSDPAAQAAAEALVKLALQKGSKDDITVIVVDLKQHRNLKSEFAKSIFYNYSLFLAQRKKKRSSTVSLDESLH* >Brasy5G273200.2.p pacid=40073447 transcript=Brasy5G273200.2 locus=Brasy5G273200 ID=Brasy5G273200.2.v1.1 annot-version=v1.1 MSSLLGSAMEAPMSSSLGAMGPLLRKLASLLPPDYRLPKPLKDRIELLKADLEEISVALVEQSMVDSPNEMSKFWMNEVRELCYDIEDFMDNMMSPRADAKMRLRSVRSCRVGRVKIARLPKRQKPCTRATKIAELRTLVREASERHERYLDGCASSSCYVFTGHSQVPAHNGGTTTELVGIDDLKTKLIERLTNKEQQLKVVAIVGPAGVGKTTLAKQVYRELGGQFECSAFGRASRKPDTRRLLGGILSQVQGCPVPPDSCTVQILIDNLTKHLQDKRYVIVIDDLWETTAWDIVASAFPEGNNFSRIITTAEIEVLALECCDYQYDNILKMKPLGMEDSGKLFFNMVSGPERPRPEQLKSLSDIIITKCAGLPLAIICVAGILAIEPDNSELLQHVHGCLCSSLIANSTLEETLQEIIKLSYNSLPHYLKTCLVFLSIYPEGHTMWKVDLVNQWLAEGFISATQGIDTREVAERYFVELVNRGMIQPVEINYNDEVLSCTLHHVLLDQITFQSNEEKFITALDYSQTITGLYDKARRLSLHCSNIRYAMKPTGITLSQVRSLAFFGICECVPSVMEFKLLRVMILELWGDHQRCTSFNLRRIGSLFQLRYLKISCDASVEIELPTQIQMLRHMETLIIDATVSTVPLDIIHLPGLLHLSLGDNTNLPDDIGRIRSLRTLRYFDLGTNSEDNVLSLGDLINLEDLHLTCYTEPDEHYTEKSDEHLKRNLRALASSLGKLGKLKSVTLAPGASGLAIYPDVWCSITSLPVFLQRLELLPPICIFSRLPEWIGQLRKLCVLKVVVSELLIKDMDMLTELPILTVLSLYVRQPTAESIIFKRGAFPALKYFSYTCGVLCLAFQEEALHDLQKLKLCFNAHRGELYEHLLRGIEHLPNLEEIVGTIGLADRAEEPDRRAAESAFNNAIRKHPMFRSYDNTKRTNRVEEQYGPQEKQHSVQDKDPVREYEHLVKKIAEYVKQNPDSSTYGMYINSVAQSAPAWLAESSCSEISDFSTVTSDCSSVSSDSNSVDSKGTAGGSSVYVVECVAFWGLTSISGRRPEMQDSVVTVPRFVSLPPFLMGNTTYSMLFRHQAHFFGVYDGHGGAEIADYCRDRLHAALVEVLGRIERPLSDASLGAVDLQKQWEKVFLDCFCRVDDEVGGKASRAVGQGSSTGKIAAAAVMEPVGTETMGSTAVVSVICSSHIIIANCGDSRAVLCRGKQPVPLSVDHTPNREDERTRIEAADGKIVQWNGHRVFGVLAMSRAIGDRYLKPWIIPVPEVTVVPRVHDDECLILASDGLWDVLSNEEVCDVARKSLLRWHEKNTVDSSSAQRSADSSDPAAQAAAEALVKLALQKGSKDDITVIVVDLKQHRNLKSEFAKSIFYNYSLFLAQRKKKRSSTVSLDESLH* >Brasy5G273200.3.p pacid=40073448 transcript=Brasy5G273200.3 locus=Brasy5G273200 ID=Brasy5G273200.3.v1.1 annot-version=v1.1 MRVGRVKIARLPKRQKPCTRATKIAELRTLVREASERHERYLDGCASSSCYVFTGHSQVPAHNGGTTTELVGIDDLKTKLIERLTNKEQQLKVVAIVGPAGVGKTTLAKQVYRELGGQFECSAFGRASRKPDTRRLLGGILSQVQGCPVPPDSCTVQILIDNLTKHLQDKRYVIVIDDLWETTAWDIVASAFPEGNNFSRIITTAEIEVLALECCDYQYDNILKMKPLGMEDSGKLFFNMVSGPERPRPEQLKSLSDIIITKCAGLPLAIICVAGILAIEPDNSELLQHVHGCLCSSLIANSTLEETLQEIIKLSYNSLPHYLKTCLVFLSIYPEGHTMWKVDLVNQWLAEGFISATQGIDTREVAERYFVELVNRGMIQPVEINYNDEVLSCTLHHVLLDQITFQSNEEKFITALDYSQTITGLYDKARRLSLHCSNIRYAMKPTGITLSQVRSLAFFGICECVPSVMEFKLLRVMILELWGDHQRCTSFNLRRIGSLFQLRYLKISCDASVEIELPTQIQMLRHMETLIIDATVSTVPLDIIHLPGLLHLSLGDNTNLPDDIGRIRSLRTLRYFDLGTNSEDNVLSLGDLINLEDLHLTCYTEPDEHYTEKSDEHLKRNLRALASSLGKLGKLKSVTLAPGASGLAIYPDVWCSITSLPVFLQRLELLPPICIFSRLPEWIGQLRKLCVLKVVVSELLIKDMDMLTELPILTVLSLYVRQPTAESIIFKRGAFPALKYFSYTCGVLCLAFQEEALHDLQKLKLCFNAHRGELYEHLLRGIEHLPNLEEIVGTIGLADRAEEPDRRAAESAFNNAIRKHPMFRSYDNTKRTNRVEEQYGPQEKQHSVQDKDPVREYEHLVKKIAEYVKQNPDSSTYGMYINSVAQSAPAWLAESSCSEISDFSTVTSDCSSVSSDSNSVDSKGTAGGSSVYVVECVAFWGLTSISGRRPEMQDSVVTVPRFVSLPPFLMGNTTYSMLFRHQAHFFGVYDGHGGAEIADYCRDRLHAALVEVLGRIERPLSDASLGAVDLQKQWEKVFLDCFCRVDDEVGGKASRAVGQGSSTGKIAAAAVMEPVGTETMGSTAVVSVICSSHIIIANCGDSRAVLCRGKQPVPLSVDHTPNREDERTRIEAADGKIVQWNGHRVFGVLAMSRAIGDRYLKPWIIPVPEVTVVPRVHDDECLILASDGLWDVLSNEEVCDVARKSLLRWHEKNTVDSSSAQRSADSSDPAAQAAAEALVKLALQKGSKDDITVIVVDLKQHRNLKSEFAKSIFYNYSLFLAQRKKKRSSTVSLDESLH* >Brasy5G273200.4.p pacid=40073449 transcript=Brasy5G273200.4 locus=Brasy5G273200 ID=Brasy5G273200.4.v1.1 annot-version=v1.1 MSSLLGSAMEAPMSSSLGAMGPLLRKLASLLPPDYRLPKPLKDRIELLKADLEEISVALVEQSMVDSPNEMSKFWMNEVRELCYDIEDFMDNMMSPRADAKMRLRSVRSCRVGRVKIARLPKRQKPCTRATKIAELRTLVREASERHERYLDGCASSSCYVFTGHSQVPAHNGGTTTELVGIDDLKTKLIERLTNKEQQLKVVAIVGPAGVGKTTLAKQVYRELGGQFECSAFGRASRKPDTRRLLGGILSQVQGCPVPPDSCTVQILIDNLTKHLQDKRYVIVIDDLWETTAWDIVASAFPEGNNFSRIITTAEIEVLALECCDYQYDNILKMKPLGMEDSGKLFFNMVSGPERPRPEQLKSLSDIIITKCAGLPLAIICVAGILAIEPDNSELLQHVHGCLCSSLIANSTLEETLQEIIKLSYNSLPHYLKTCLVFLSIYPEGHTMWKVDLVNQWLAEGFISATQGIDTREVAERYFVELVNRGMIQPVEINYNDEVLSCTLHHVLLDQITFQSNEEKFITALDYSQTITGLYDKARRLSLHCSNIRYAMKPTGITLSQVRSLAFFGICECVPSVMEFKLLRVMILELWGDHQRCTSFNLRRIGSLFQLRYLKISCDASVEIELPTQIQMLRHMETLIIDATVSTVPLDIIHLPGLLHLSLGDNTNLPDDIGRIRSLRTLRYFDLGTNSEDNVLSLGDLINLEDLHLTCYTEPDEHYTEKSDEHLKRNLRALASSLGKLGKLKSVTLAPGASGLAIYPDVWCSITSLPVFLQRLELLPPICIFSRLPEWIGQLRKLCVLKVVVSELLIKDMDMLTELPILTVLSLYVRQPTAESIIFKRGAFPALKYFSYTCGVLCLAFQEEALHDLQKLKLCFNAHRGELYEHLLRGIEHLPNLEEIVGTIGLADRAEEPDRRAAESAFNNAIRKHPMFRSYDNTKRTNRVEEQYGPQEKQHSVQDKDPVREYEHLVKKIAEYVKQNPDSSTYGMYINSVAQSAPAWLAESSCSEISDFSTVTSDCSSVSSDSNSVDSKGTAGGSSVYVVECVAFWGLTSISGRRPEMQDSVVTVPRFVSLPPFLMGNTTYSMLFRHQAHFFGVYDGHGGAEVA* >Brasy5G100400.1.p pacid=40073450 transcript=Brasy5G100400.1 locus=Brasy5G100400 ID=Brasy5G100400.1.v1.1 annot-version=v1.1 MARLLRAAAVAAVALVAILASPAAAQGAPAASPVPAAYKNHTVGGAAGWFFNATSNTTSGNYSSWAAAETFFLGDYLIFKTNDNSSVVLTPNSTTYELCDASEDDGLETYIYGGGGGGGGGPEPTESIAVPLIYEGANYLFSEADGGAQCQQGMRFQIKVAHGRGLPPALAHPPPPPSKGRVLAPPPAGSAFSQGVVGAGAGAATGGDYTDGKNGAGCRAGGRGFFGVAAIAVGLAFFVAA* >Brasy5G173800.1.p pacid=40073451 transcript=Brasy5G173800.1 locus=Brasy5G173800 ID=Brasy5G173800.1.v1.1 annot-version=v1.1 MEKEISEGKFLEFANVHGNLYGTSIEAVEAVTDEGKRCILDIDVQGAQSVRDSSLEALFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNAKAELDQSNTPGLFDHFLVNDDLETCYENLKKLLLLDDGSCVSDYSCSTTDSKAMTYYTVLSKTDSEVLLQPETGRVEKGTTCMLALDLSSLSGGAPGGTWGIKIHSVNTGNLDAFTWCNGVDPCQKESYMLVMLPMGS* >Brasy5G173800.2.p pacid=40073452 transcript=Brasy5G173800.2 locus=Brasy5G173800 ID=Brasy5G173800.2.v1.1 annot-version=v1.1 MEKEISEGKFLEFANVHGNLYGTSIEAVEAVTDEGKRCILDIDVQGAQSVRDSSLEALFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNAKAELDQSNTPGLFDHFLVNDDLETCYENLKLLLLDDGSCVSDYSCSTTDSKAMTYYTVLSKTDSEVLLQPETGRVEKGTTCMLALDLSSLSGGAPGGTWGIKIHSVNTGNLDAFTWCNGVDPCQKESYMLVMLPMGS* >Brasy5G134400.1.p pacid=40073453 transcript=Brasy5G134400.1 locus=Brasy5G134400 ID=Brasy5G134400.1.v1.1 annot-version=v1.1 MASDPGGREEDDERGQPAAVVAGPGPARVTLHKRKLPAEAVARVEAADESAALREAVARQQAGIAELHEELEAERGAAAEAASEAMDMILRLQRDKSEAMMEARQFRRFAEDRFAHDAAEIAELHDAIARRDADVRSLSAQLRACRARLLQLGFLSPSSLPSSPKGGGGRDQHPLADDHGCPSVRRIDHPDPSSDVGTPRTHHLLNRMPARAADKGVISATPRRSRHVRALSGDSSFAYNGGIALADEFPFMVEHGASDRDDDCDRVCTVDAVHGVPVATPEDCCHFGEPTESEVGFVGRVGAWSEEQEIQKLSARLQALEADRESMRDAIMSMGAEKAQVVMLKEIAQQICKDATPLPVVPSKMESAPQSVVVAQRKVVKRQPSVAKLFIVTVIKWIASVFSRQRKSDRIKYPFGLCGSYVGLMLLLDRPRKHRNRRFLKKM* >Brasy5G043400.1.p pacid=40073454 transcript=Brasy5G043400.1 locus=Brasy5G043400 ID=Brasy5G043400.1.v1.1 annot-version=v1.1 MRTVVQPTYSHISRRLTGPDALLLPSGALSPPPFKPARAAGKKAPSTSPLAHNRCRPSLQARHRRSRPPRPLPPRPIHGRRGLQAPTAAGSPPPRPRRCRRRPPHPARHRRFLPIKPPTTAARPIIGRRRRPPHRQQNESREGRREGRQTLARIRAGR* >Brasy5G056900.1.p pacid=40073455 transcript=Brasy5G056900.1 locus=Brasy5G056900 ID=Brasy5G056900.1.v1.1 annot-version=v1.1 MAEAEAAESGAVRRGPWTVDEDLTLVNYIAEHGEGRWNCLARGAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSSKFKDAMRYLWMPRLADHHRLHHQAGDHAAMPAGQQPMGGYMESSGGMATSSSGSFASESYDGGFYANACLGETMLNDDGNCWIQEAGFCAEAAKDCQFQDPELSGWVQGFSEGLAEDFWSLDDVWKMQ* >Brasy5G453900.1.p pacid=40073456 transcript=Brasy5G453900.1 locus=Brasy5G453900 ID=Brasy5G453900.1.v1.1 annot-version=v1.1 MAGGGVREAEGGGALYVLALAAMVAPAILVSRLEAAVPRRARSRLPRAVPSGWWSSRISFFPPPPPQPDPSEGQEKTGSPQRPAAAAAKDPPAKQKKRKSYPYPRPRPDPGAAEERPAKRPKRCLHCNAAETPQRRSGPMGRGTLCNACGVWYNKTGVLPELVPMDPPVESLISEPEEPGAIYLVRRSAAERRPPRTEAPAPRPATVRRPPRTEAPPVPVRAPRPAAERRPPRTEAPPVPVPAPRPATERRPPRTEAPAPRPATSCLNCGSSEPPELREGPMGRREVCTACGERYKKGRRLPECQPAVRPVTDSPPHSPITANSPPDSPVWEPEASRSSVCLGRKSSKNGKAPYRPKDTGKSCMHCGSMKTPQWREGPMGRSTLCNACGVRYKQGRLLPEYRPMASPTFLPSQHANHHRQVLRLHKQKPQSNDQEPSQLPPGTNGVNQKWQSKDQEPSQLAPATHGVTKLTPIRDKNQTNVPACSYKEPVRDDHQTDMSGCTYEEPVEAPGCTHNPPIDVPRPSSLDSLLLDGPSAPLIVESDEFAIS* >Brasy5G453900.2.p pacid=40073457 transcript=Brasy5G453900.2 locus=Brasy5G453900 ID=Brasy5G453900.2.v1.1 annot-version=v1.1 MAGGGVREAEGGGALYVLALAAMVAPAILVSRLEAAVPRRARSRLPRAVPSGWWSSRISFFPPPPPQPDPSEGQEKTGSPQRPAAAAAKDPPAKQKKRKSYPYPRPRPDPGAAEERPAKRPKRCLHCNAAETPQRRSGPMGRGTLCNACGVWYNKTGVLPELVPMDPPVESLISEPEEPGAIYLVRRSAAERRPPRTEAPAPRPATVRRPPRTEAPPVPVRAPRPAAERRPPRTEAPPVPVPAPRPATERRPPRTEAPAPRPATSCLNCGSSEPPELREGPMGRREVCTACGERYKKGRRLPECQPAVRPVTDSPPHSPITANSPPDSPVWEPEASRSSVCLGRKSSKNGKAPYRPKDTGKSCMHCGSMKTPQWREGPMGRSTLCNACGVRYKQGRLLPEYRPMASPTFLPSQHANHHRQVLRLHKQKPQSNDQEPSQLPPGTNGVNQKWQSKDQEPSQLAPATHGVTKLTPIRDKNQTNVPACSYKEPVRDDHQTDMSGCTYEEPVEAPGCTHNPPIDVPRPSSLDSLLLDGPSAPLIVESDEFAIS* >Brasy5G301700.1.p pacid=40073458 transcript=Brasy5G301700.1 locus=Brasy5G301700 ID=Brasy5G301700.1.v1.1 annot-version=v1.1 MASAAPGKIERLSSIDAQLRMLVPAKVSEDDKLIEYDALLLDRFLDVLQGLHGDDLREMVQECYEVAAEYETKHDLQKLDELGEMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFAEENSAITESDIEETLKRLVVDMKKSPAEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCAQIEDLMFELSMWRCNDELRSRADELHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDDLYNTRERSRELLSSGHSDIPEEATLTNIEQLLEPLELCYRSLCACGDRVIADGTLLDFLRQVSTFGLSLVKLDIRQESDRHTDVLDAITTYLEIGSYREWSEERRQEWLLSELNGKRPLFGADLPKTEEVADVLDTFHVIAELPADNFGAYIISMATSPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRGRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLVKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKQEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHILQKDIRNFHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDRLLVSEELHPLGEKLRVNYEETQKLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTMNVCQAYTLKRIRDPDYHVALRPHLSKEVMDTSKPAAELVKLNPASEYAPGLEDTLILTMKGVAAGLQNTG* >Brasy5G337100.1.p pacid=40073459 transcript=Brasy5G337100.1 locus=Brasy5G337100 ID=Brasy5G337100.1.v1.1 annot-version=v1.1 MEMAMEDFYADARHAGYRTRLKLRLRDTEPDPVDAASAGVDLLKNVRAQAIVGPQTSTQAKFLSGLGIESSVPFISFSAYCSSRPGQNPYFIRTGWNDSSQAEAIASLVQSYHWREVVPVFEDDDSNAKFIPDLVDALRQVGTRVSYRCKIRPLATEDDLKRAILTLKYNWTSVFVVRMSHTLARKFFNLAKEEGIISQGFVWITAHGSTDILDVVSDRILDSSRRRSSR* >Brasy5G097400.1.p pacid=40073460 transcript=Brasy5G097400.1 locus=Brasy5G097400 ID=Brasy5G097400.1.v1.1 annot-version=v1.1 MKKKYITEGSISNLCHKMPVVDNFGHMIAKRNILLVPAEGSKWFTLIGTNPWRSQNYIELSTDYKSSGTYARNYTPGGQLITFLRKYAQAVDVPYMHPPNASFPSVSYPLPMGNALLLLQWIRNIRSSNGQLPQNFFSCIRNGRWLKTSLGYKSPSVSFLSGAGWGSKLHVQFVCADVPIIDEEFYGNKMSTYKEELRAIGVQFEFVNAHVHIGNQPLTKENAILLLQWIRSLRSRGVQLPQNFLSSIRNGKWLKTSIGYNSPSRSFLSNTQSESLRQIISLLADVPIIDQEFYENKLSAYKEELRAIGVQFDSADTSIHIVNHLMSVSSSDTLSRANVFGLLQLIRFLNENNLTPPHLIERMKNGCWLKTCHGNRSPVNSVLFNSEWKNASLISNLSFIDTHFYGEDIADYKTELELLGVLVGFKQNYQLLVDNFKLCTDSITPDVSILILKCIRYAKVSQDFVKELKELRWVKTDLGFRAPPGTFLIDNDSKCLLKIVDELPLLDLHFYGGEIRTYEGELRKVGLIVGFKEVSKAIVWRVKKLLRASYSTKEMVFSMLECYRELRKNHEKLPVDLVNCMRHERWLHTSLGFRSPEEAILFSSEWSHISLVSSLPFIDDNNDSQYGLGNDIYSYRDELMALGSKIELEQGAAFVLSGLRIPNDASAVTPEAVVSLLKCIRSWMKYGFSLPDNFMSAVNVKWVKTTAGYRHPNECLLFGSLCSSLVQRDDGPFIDEVFYGQEVLSYESELQKLGVVNARSGCALMAQHLKLLSDTDTISRIYSYLEAFHWKPRTRNVNDNWIWIPDGSDKGQWVNPGSCVLYDRNNIFGSELHVLVKWYDHKLLRYFNTVFGVKRHPTLGDYCKLWGVWQVKNSPLSFQDCSSFWEFISKQWSTEMGKYLAMFITKFPVRSGDHILLIDKQDVFIPDDLLLEDLFNRQAEQPLFVWYPSASSSLLSPAKLNDIYSSIGVQKISMAVSREESNGLQLDAVTVVHNGTVIKPGLLRIVLAFLADPILDIAAEKRHGMVACLTNILVYETNTPLTVSYQVGLSSSRSMVVKSARLFRWERENSRLIVRKHNGSGLMDNTAKIEYASYFAEEISKGLLFERKHLIPALVDLLRTGFLLDFEIQAVKVLLKLKNLRLFEEDQEFLLPYAI* >Brasy5G457500.1.p pacid=40073461 transcript=Brasy5G457500.1 locus=Brasy5G457500 ID=Brasy5G457500.1.v1.1 annot-version=v1.1 MSPQLLLRRFAGGHRCRVQSRRRHHLSSAAGAAGGPHYVGERRRLQQQQEEESKAVKVSVWWDFENCHVPQAVNVCRIAPRVSAALRAAGVRGPLSITAFGDVIQLSRSAQEALVATGIAISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPSSATNVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLSGSWYGHYKGALDDPFIETEAEETMAIPVRSDIKHCPNSEIAVNTIPTSTVNGIREALSSYPNGVSLSILREDLKRNNVFLGYDFFGHKKFSCLIQSMPDVVEFTDSPLGANQPFVVGVKKRLREPNEKRIKPLNSAESNAKDNNRNRETHNDKTHPSSESTLHSEQNRKTLNSSRSIFRDRSFMQTVNKNSPTFAVSSSSDVLSEDRKECPTADRNPETELPADHKEVDARTVPGTPSSSRVENTANRDGLLKTADHKEVDARTVPGTPSSSRVENTVNRDGLLKRIWILWNGSESAKCEVSPCSESTSAGVVGDSQTPQKDHSSDQSSRLLNKIHKTSSQHGSSAGIDSSAVMTANFSALSDNEDSEKVKHAEKMGMLKSDPSILQNSKRCDGPASVPLSKTGKNADASKMSKGLFSWAMEWWKFGKSDAENSTTNKSVSDEASADLTKESEPLEASTSGSEQQVVNKIFTKFYFWDVLERQLSKPLGSELISKAKTREELAHGLENLDCWPLKGLVQKDLDHLVHLLVSEKKWIEETPSRYFPFRLALPLKRTHVPSNSSKSGLSSLFSNGKPLEQGKHVDDKGRTNRTLTREETLSDCHKLLKDLLLQYEDGFNISIFKRHFAHKHGYELDHQKLGYADLESLLQIMPGVIVKFPRVLPAENENGRASKGDGNESRGDDFIWEELGPVSAATGTAKGVDKETSYQSPTHSEDDFSDNDNQADQQPKRDSEQSSLLQIIDSWSSSKDDGSSNKLGIDGLVDCSRSSSPGYLDTLKSTRPTQKQYCFVSGSDSEEDKEKDKLVESVLGSLQKARGAKLPN* >Brasy5G457500.2.p pacid=40073462 transcript=Brasy5G457500.2 locus=Brasy5G457500 ID=Brasy5G457500.2.v1.1 annot-version=v1.1 MFCHIGGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPSSATNVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLSGSWYGHYKGALDDPFIETEAEETMAIPVRSDIKHCPNSEIAVNTIPTSTVNGIREALSSYPNGVSLSILREDLKRNNVFLGYDFFGHKKFSCLIQSMPDVVEFTDSPLGANQPFVVGVKKRLREPNEKRIKPLNSAESNAKDNNRNRETHNDKTHPSSESTLHSEQNRKTLNSSRSIFRDRSFMQTVNKNSPTFAVSSSSDVLSEDRKECPTADRNPETELPADHKEVDARTVPGTPSSSRVENTANRDGLLKTADHKEVDARTVPGTPSSSRVENTVNRDGLLKRIWILWNGSESAKCEVSPCSESTSAGVVGDSQTPQKDHSSDQSSRLLNKIHKTSSQHGSSAGIDSSAVMTANFSALSDNEDSEKVKHAEKMGMLKSDPSILQNSKRCDGPASVPLSKTGKNADASKMSKGLFSWAMEWWKFGKSDAENSTTNKSVSDEASADLTKESEPLEASTSGSEQQVVNKIFTKFYFWDVLERQLSKPLGSELISKAKTREELAHGLENLDCWPLKGLVQKDLDHLVHLLVSEKKWIEETPSRYFPFRLALPLKRTHVPSNSSKSGLSSLFSNGKPLEQGKHVDDKGRTNRTLTREETLSDCHKLLKDLLLQYEDGFNISIFKRHFAHKHGYELDHQKLGYADLESLLQIMPGVIVKFPRVLPAENENGRASKGDGNESRGDDFIWEELGPVSAATGTAKGVDKETSYQSPTHSEDDFSDNDNQADQQPKRDSEQSSLLQIIDSWSSSKDDGSSNKLGIDGLVDCSRSSSPGYLDTLKSTRPTQKQYCFVSGSDSEEDKEKDKLVESVLGSLQKARGAKLPN* >Brasy5G457600.1.p pacid=40073463 transcript=Brasy5G457600.1 locus=Brasy5G457600 ID=Brasy5G457600.1.v1.1 annot-version=v1.1 MQAMNGRFFNVVVNKPGVVSFSLHRVNPATLFHPPGSPIPATPTMEDLPPKPTSAKSVPAARISWLTAATGRRSSPRAARAASSTTPPCAASSLSCAGTSSTGRPCPSPSATAASASSAPPCAGSTSSRSSGSTLARPGHGGPSRRRLTCTPTGTTTTTRTTRTRSRSPPTRRSGDRGSGPPRSAPAPTPTTRRSARGASRGSGQCRSEDAPSTSRSTGSGSASRTGAASSSAPLTSPPCPPKGPHVAQGGRGAHQDPAAAAWLRQALRGQVLPD* >Brasy5G265800.1.p pacid=40073464 transcript=Brasy5G265800.1 locus=Brasy5G265800 ID=Brasy5G265800.1.v1.1 annot-version=v1.1 MEKKQGSYYGKGPASSSMELEEAGMGSSEQQLVDDDGRPRRRGTVWTASAHIITAVIGSGVLSLAWAISQLGWVAGPAIMLLFAGVIYYTSTLLAECYRSGNGESGKRNYTYMDAVRSTLPGGKVRLCGAIQYANLVGVAIGYTIAASISMRAIGKADCFHRAGHGGDEACRRGSSNPYMVAFGALQVLFSQIPDFGRIWWLSIVAAVMSFTYSTIGLALGIAQTVTNGGIKGSLTGIRVGDGVTSAQKVWRSLQAFGNIAFAYSYSIILIEIQDTVAAPAGSSEAKEMKKATGISVATTTLFYTLCGCAGYAAFGDAAPDNLLTGFGFYEPYWLLDLANAAIAVHLVGAYQVFCQPLFAFVETTWPVFASREISVGGGLFKVSGFRLAWRTAFVCATTVVAMLLPFFGDVVGLLGAVAFWPLTVYFPVEMYIVQRGVRKGSARWVCLQMLSAACLVVSVAAAAGSIADVAGELKDGYRPFSG* >Brasy5G287400.1.p pacid=40073465 transcript=Brasy5G287400.1 locus=Brasy5G287400 ID=Brasy5G287400.1.v1.1 annot-version=v1.1 MMTTRASGCESVMCGPRLTLFTFFHIVFLLKSPTTLTPFITASFISMDHGPLLHVEASHLPDPARLFFDRRNLLSFLVAGEEEKDYADWRFGRVGDLSCVWTLERMTPLGTGRAEMLASAACGTGRAN* >Brasy5G423200.1.p pacid=40073466 transcript=Brasy5G423200.1 locus=Brasy5G423200 ID=Brasy5G423200.1.v1.1 annot-version=v1.1 MEERRVRLGTFGFAVNCPEFSDRILRIEVVGSVASDAASVAGNAASSSRGHSDESARPLKRSRGESPAAVSPRPIPNRAVNESLHDDSSVTLPPIVRIKKIHVSSVILAGNSEYFKKLFTNGMLESRQKEITLKITEAEEMPLQDLLSFMYGEEILTTDPAHIIDILMVADKYQVISCVTHCSKLLTTCPITTEVALLYLNLDCSNPTALEPAKDAAKKFLCNKYQDFLRFEDEIMGIGPSGLEAILSSSDLKVPSEDYLFDFIVNWGCIEYPDRGERRTVLSSLLLLIRYSHLSCRKISKIMKCKDIDLNEAWLPLVRALLFKSDPASRQQLIDGPEPWRYEQRAYLYRPLEVMQLHRPVKQCMVYMDLNTDDCSKMFPTGSISSEAFHFAKNDFFLTAGCIVQQPGPLHSFGLFLGVTGDCLLPVTVKYEFSARAKPSGDFIVKCSYTHTFTQADVRMGRQNLFSVPWAAFVDYDNNPWFIGGVMYLRAILTLVIE* >Brasy5G423200.2.p pacid=40073467 transcript=Brasy5G423200.2 locus=Brasy5G423200 ID=Brasy5G423200.2.v1.1 annot-version=v1.1 MEERRVRLGTFGFAVNCPEFSDRILRIEVVGSVASDAASVAGNAASSSRGHSDESARPLKRSRGESPAAVSPRPIPNRVNESLHDDSSVTLPPIVRIKKIHVSSVILAGNSEYFKKLFTNGMLESRQKEITLKITEAEEMPLQDLLSFMYGEEILTTDPAHIIDILMVADKYQVISCVTHCSKLLTTCPITTEVALLYLNLDCSNPTALEPAKDAAKKFLCNKYQDFLRFEDEIMGIGPSGLEAILSSSDLKVPSEDYLFDFIVNWGCIEYPDRGERRTVLSSLLLLIRYSHLSCRKISKIMKCKDIDLNEAWLPLVRALLFKSDPASRQQLIDGPEPWRYEQRAYLYRPLEVMQLHRPVKQCMVYMDLNTDDCSKMFPTGSISSEAFHFAKNDFFLTAGCIVQQPGPLHSFGLFLGVTGDCLLPVTVKYEFSARAKPSGDFIVKCSYTHTFTQADVRMGRQNLFSVPWAAFVDYDNNPWFIGGVMYLRAILTLVIE* >Brasy5G339600.1.p pacid=40073468 transcript=Brasy5G339600.1 locus=Brasy5G339600 ID=Brasy5G339600.1.v1.1 annot-version=v1.1 MISSSRGAGGGRRGGAYSCKESCHDGHCLPPPHSAKCLCLYLFLSLTFLVVVASVLLLVFATRLKKPTFNLQSIQMDRSFRLRSSSSSNDSGGANGTSSSCSVASLLFAAQNPNGIGIRYSAADLGVAYANESVGAMDVPTFYQPPRSSNVTVLMHALFEESNVSRLVVSELSAQRERVEIRIAGSVDATTHFMNFQLPKLQFTLDCTIATNYTDLVLREGIDSVTTRKNSDESDLVAGSSSIYDASYLTEVFYKASHEVEGEEDEAYRFRMLTKLRQFLLPLHSLAIFHL* >Brasy5G339600.2.p pacid=40073469 transcript=Brasy5G339600.2 locus=Brasy5G339600 ID=Brasy5G339600.2.v1.1 annot-version=v1.1 MISSSRGAGGGRRGGAYSCKESCHDGHCLPPPHSAKCLCLYLFLSLTFLVVVASVLLLVFATRLKKPTFNLQSIQMDRSFRLRSSSSSNDSGGANGTSSSCSVASLLFAAQNPNGIGIRYSAADLGVAYANESVGAMDVPTFYQPPRSSNVTVLMHALFEESNVSRLVVSELSAQRERVEIRIAGSVDATTHFMNFQLPKLQFTLDCTIATNYTDLVLREGIDSVTTRKALQVSTMPPISQKCSIKLHMRSRAKRTRLTDLGC* >Brasy5G375500.1.p pacid=40073470 transcript=Brasy5G375500.1 locus=Brasy5G375500 ID=Brasy5G375500.1.v1.1 annot-version=v1.1 MIIQQLHDHRVVLVNQDSFYRGLTAEESARAQDYNFDHPDAFDTEQLLECMGQLKRALPVHVPIYDFKNHRRCSERFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGMHDLCKIFPNVYVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQPIYHKLPMDIAERHVLLLDPVLGTGNSANQAIDLLIRKHVPEERIIFLNLISAPEGIQSVCKRYPRLKIVTSEIDFGLSEEFRVIPGLGEYGDRYFGTDN* >Brasy5G186400.1.p pacid=40073471 transcript=Brasy5G186400.1 locus=Brasy5G186400 ID=Brasy5G186400.1.v1.1 annot-version=v1.1 MKMVEDGAVENIEAMFGLHVADIVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGEPSMLSLILLQ* >Brasy5G131000.1.p pacid=40073472 transcript=Brasy5G131000.1 locus=Brasy5G131000 ID=Brasy5G131000.1.v1.1 annot-version=v1.1 MCTTTCQLMNISSQDHSLSTSLYQKKPNDSVDDVSDRGGPHVSAMWQFCPVHCQRGDIPVVRPLRVVTFPICSVSTSCSPSRPTAAAVAIVDTAELPLMSYSWTSDGVAESCGSCSDGNKVPGFEEDYFPVVSIPFWLEDPNFCGEQDGFACKCGLRLAQRVAFEGVDLGRRFVSCPKVDDSTCGFMVWIDPEHSVYVKTGLERLWSLLNEEQQKQSDLVSQEREDKELAQLAIWKKEQELMQMQHELACAHDLQRALELCKKEAEEEQAAIEWKLAESIAKMESMQNMSKRKEDKGKSIIMLCFGIILALSFMVFLLHSSKSCHCHCQM* >Brasy5G409100.1.p pacid=40073473 transcript=Brasy5G409100.1 locus=Brasy5G409100 ID=Brasy5G409100.1.v1.1 annot-version=v1.1 MTSLAVGSPQALRPQPSAACLRAQAMTSLAVGCGLAELWMLGGGLFTAAELAAAELLLQLSVGEAEAEASPATTTCSRRSESSWSEDLAPEEEEQVVEEIGSPLGSTTTTVELDTRATKRYRLLSELYAATSPVNPAAATAAKKKRKRRQDESPPPSSWKATRYDGDYRD* >Brasy5G506900.1.p pacid=40073474 transcript=Brasy5G506900.1 locus=Brasy5G506900 ID=Brasy5G506900.1.v1.1 annot-version=v1.1 MAMFLLFLAGLLLSSGVSGNDDAIKTACTGTPYPEYCESQLSASSKSAYASVPPVLAVQVAARVFVKGSQDAGSLINIETELPAAEEECVVDCQGAIRVAVELLQNASDKYPERAAVLADARAYLAATAKDKLRTRWNCGRCLDKTKKTPPPNDLDKITDTVSSLVDRDAADADDNVDKACADTPYPEMCVSILSVFQGSKGADASVLAGLAIHAAPVLLDRAVTGALTRQNDQFVTNATVSCFKECQAKMAGALDVLRAASPDEEAPGNGTRLADVRSFLDKAKADHVAWHCDVCRPDKKVQDAVSEGNTLDMLMAILPVLLNHVASK* >Brasy5G436700.1.p pacid=40073475 transcript=Brasy5G436700.1 locus=Brasy5G436700 ID=Brasy5G436700.1.v1.1 annot-version=v1.1 MPPSPSPSRRSPARETFHRSSSFGNLLPAKPKDDELTLFADMQKTEIDNFLLEPSEDFDESISKLSFFPDVKLGINVPARGESHDLLKVDGDKNDYEWLLTPPETPLFRSLDDKEEQSVGEVSRGRAQSKSMQISRSSMMDNTQRSSRSSASPSRLSLSPRSVGRTRASSSASRSSPPLSLQPPTPSRRPSTPPAAKILTPPRRSPSPVSRRMSTGSSGPTLNGTRGVSPVKANHRSSSPKPQGWQSDVPGFSYDAPPNLRTSLPDRSVSRSRGGSPTSFSGLDMGSRGRRQSMSPTPTRRASSSHSIERDRLSTYSKASATSSGEDDLESMQSVPIGYSSCPAVKKSLAVMKTRTIASSKKPSKNFSPSSAPKRSFDSAVWLMDHRKAPQDRFRPLLSSVPATTFSAGKVNTVHKPMFSDNSSITTRSNASSEHGATFGSYMDSEQEQHDLIGEWEAGDSFRVHEDIFMFDKVDELNDETSYDKSTKYAEDSPTKVKYVNHDKQDFEMERDGAATQMAYSGVNSSQVGCGEMATCSRCGKFGKFFNVMDLDGKDDCCEECASNVGKFSADGMVWTLEEACQQDDKISNSEACIGSVHPIAPDTVDYSKHESLGHQTVNNEPLADCKKKFPPGQLMVDTGEDMLLGQKVVDHVSYSLLGNDDDTSFNQSSASDHQHTEPTPVEHHHCADQMDNHNHELPPQYFHESDCQHGEAVSKTASGNYSDQLGSIAYPSPKVENAEGAGISVLLHQKSSSNNWPVMEGRTIASTHIVCSEPYYTRDSINMMKRSFGRDSSSASSSIDLGSSRQSDARFERLRSVKKGDFEKAQVSSTMSRQSISIASVSDMSVSGSSASACHQSDAIEDTCFRIDTSESSASRTMVSNEENGSSKDAFSSAMECSSAAEPIANDGIVVDLNSSSFDRSTETGDIISQNHSMDRMADNDHPSGNLCLPDSEMASNLRESLAAEGSCMANTKEDTSDTIQSYLVGNPEHPSEENNFDNLQMQSEAAQASNEEPMLDDCCVSAISEEDMLVSGTETSIMEFHNDEKTPEAVEESRKQIQRCFTLEEATDTILFCSSIVHDLAYKAATIALEHEQESEHTESTRPTVTIVGKSNPNDDSFLKLPHRRTPNRKVKRKRLESETTITETGEKEAIAKDPSPVRSSSGITRAADNMKPPKLESKCNCVIM* >Brasy5G436700.2.p pacid=40073476 transcript=Brasy5G436700.2 locus=Brasy5G436700 ID=Brasy5G436700.2.v1.1 annot-version=v1.1 MPPSPSPSRRSPARETFHRSSSFGNLLPAKPKDDELTLFADMQKTEIDNFLLEPSEDFDESISKLSFFPDVKLGINVPARGESHDLLKVDGDKNDYEWLLTPPETPLFRSLDDKEEQSVGEVSRGRAQSKSMQISRSSMMDNTQRSSRSSASPSRLSLSPRSVGRTRASSSASRSSPPLSLQPPTPSRRPSTPPAAKILTPPRRSPSPVSRRMSTGSSGPTLNGTRGVSPVKANHRSSSPKPQGWQSDVPGFSYDAPPNLRTSLPDRSVSRSRGGSPTSFSGLDMGSRGRRQSMSPTPTRRASSSHSIERDRLSTYSKASATSSGEDDLESMQSVPIGYSSCPAVKKSLAVMKTRTIASSKKPSKNFSPSSAPKRSFDSAVWLMDHRKAPQDRFRPLLSSVPATTFSAGKVNTVHKPMFSDNSSITTRSNASSEHGATFGSYMDSEQEQHDLIGEWEAGDSFRVHEDIFMFDKVDELNDETSYDKSTKYAEDSPTKVKYVNHDKQDFEMERDGAATQMAYSGVNSSQVGCGEMATCSRCGKFGKFFNVMDLDGKDDCCEECASNVGKFSADGMVWTLEEACQQDDKISNSEACIGSVHPIAPDTVDYSKHESLGHQTVNNEPLADCKKKFPPGQLMVDTGEDMLLGQKVVDHVSYSLLGNDDDTSFNQSSASDHQHTEPTPVEHHHCADQMDNHNHELPPQYFHESDCQHGEAVSKTASGNYSDQLGSIAYPSPKVENAEGAGISVLLHQKSSSNNWPVMEGRTIASTHIVCSEPYYTRDSINMMKRSFGRDSSSASSSIDLGSSRQSDARFERLRSVKKGDFEKAQVSSTMSRQSISIASVSDMSVSGSSASACHQSDAIEDTCFRIDTSESSASRTMVSNEENGSSKDAFSSAMECSSAAEPIANDGIVVDLNSSSFDRSTETGDIISQNHSMDRMADNDHPSGNLCLPDSEMASNLRESLAAEGSCMANTKEDTSDTIQSYLVGNPEHPSEENNFDNLQMQSEAAQASNEEPMLDDCCVSAISEEDMLVSGTETSIMEFHNDEKTPEAVEESRKQIQRCFTLEEATDTILFCSSIVHDLAYKAATIALEHEQESEHTESTRPTVTIVGKSNPNDDSFLKLPHRRTPNRKVKRKRLESETTITETGEKEAIAKDPSPVRSSSGITRAADNMKPPKLESKCNCVIM* >Brasy5G452600.1.p pacid=40073477 transcript=Brasy5G452600.1 locus=Brasy5G452600 ID=Brasy5G452600.1.v1.1 annot-version=v1.1 MEAELCGDSLGHKRSDPEGVACGGDAKRPREDGNGLHSSSIEMETEVEDDDYEEENPLDIYRQVWIDDYSRFGISFEDETEIPNMHHTDGPIIPSGARPMTTMQILYVKVTQITDALQWPLDIYGVIAVRDSIDHKRNFLFRRTRDQCQTLASLQDADLELTGPSRAVMLIDPHAFEIDLKVRGNGSPSEDKALSYYAFIYDNIAHMNKCGSAITEVVRTEHSTIEVRFAHLSRTLESTIHISVISGSRDFSARFTARTASIDEDMVLLDSRGGKVAVTEEGVVVLKRRIVTVEERGKLILGVEAAPCDAEESGSGIVVKRQIKLTPMTALRNEGCFDLGFSKLRVIVAWSMLP* >Brasy5G323400.1.p pacid=40073478 transcript=Brasy5G323400.1 locus=Brasy5G323400 ID=Brasy5G323400.1.v1.1 annot-version=v1.1 MWRSLSDGAQPFGVRQWQKQGKGGRESALCVDKTRPAPPMQVDWVARQPTHTPLPFIINRLPPDSSEHERLLYRTHAPAPPRLLKPEIPADRNVAPQPQISTRRLPSSSFCTAAPLPHALAYAQRHSTAVGRRRLFPVNRTPLTKTSRQEQRVDARKPPCQPASRTVTVQDEFRLS* >Brasy5G180800.1.p pacid=40073479 transcript=Brasy5G180800.1 locus=Brasy5G180800 ID=Brasy5G180800.1.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQCKAGDGGPKQVDMENLVSSLPRHLLAVCLELGSGSDLTYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSAQVLDLIFFVLTILSHKKKENHLGAFPFIHSSLVAASLHLLTSHCSSQYNELVSILLAHPKVDIFMDVAFNSLHEEMRLLSLRLSTFGTDAFPVAPLESQLTHFICQQCEASLQFLLLLCQQKLFRDRILENKELCRNGGILSFSLTILKLGVPECLKGSAHIASSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTFALEILDLLKTAFGRKEISVASSDDKSHPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFVSSWCSVNMLVTEDDANLEYDPFGAAEMALLAASNMLTEAKANYLCPLRTISTAIPYALRRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQNYLLSESPRPSLDNPASDEASTVCRNLGSLSHYAKSLIPNKLLNEDDVHLLSEFACKLQTWCKSVAGLRTLQVAKSDTASQIKEDLQWVQQPLQTRANDVQNMEESMPTPYMNHEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTSAGYTGVSTATSTELQHSRSLEHFKTPEHIKGSGLQDDDERQRRRKKRTIMNDGQINEIEIALVDEPEMHRNATLLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPSEGENADKPPTPATSHFGDSSESAGEESYFPPPRVMNALGISKGNGLLNPGTNDTSTQAEFNQSIMTSRPFTRSYSLEPGRPVLLIDNEGNEIGRGEIFQVEGMAQGKSLTESRICIIDITELKIEKWRELPHPSEASGRTFQEAESRHGGVMRVAWDVIRIAPVVL* >Brasy5G180800.4.p pacid=40073480 transcript=Brasy5G180800.4 locus=Brasy5G180800 ID=Brasy5G180800.4.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQCKAGDGGPKQVDMENLVSSLPRHLLAVCLELGSGSDLTYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSAQVLDLIFFVLTILSHKKKENHLGAFPFIHSSLVAASLHLLTSHCSSQYNELVSILLAHPKVDIFMDVAFNSLHEEMRLLSLRLSTFGTDAFPVAPLESQLTHFICQQCEASLQFLLLLCQQKLFRDRILENKELCRNGGILSFSLTILKLGVPECLKGSAHIASSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTFALEILDLLKTAFGRKEISVASSDDKSHPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFVSSWCSVNMLVTEDDANLEYDPFGAAEMALLAASNMLTEAKANYLCPLRTISTAIPYALRRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQNYLLSESPRPSLDNPASDEASTVCRNLGSLSHYAKSLIPNKLLNEDDVHLLSEFACKLQTWCKSVAGLRTLQVAKSDTASQIKEDLQWVQQPLQTRANNMEESMPTPYMNHEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTSAGYTGVSTATSTELQHSRSLEHFKTPEHIKGSGLQDDDERQRRRKKRTIMNDGQINEIEIALVDEPEMHRNATLLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPSEGENADKPPTPATSHFGDSSESAGEESYFPPPRVMNALGISKGNGLLNPGTNDTSTQAEFNQSIMTSRPFTRSYSLEPGRPVLLIDNEGNEIGRGEIFQVEGMAQGKSLTESRICIIDITELKIEKWRELPHPSEASGRTFQEAESRHGGVMRVAWDVIRIAPVVL* >Brasy5G180800.2.p pacid=40073481 transcript=Brasy5G180800.2 locus=Brasy5G180800 ID=Brasy5G180800.2.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQCKAGDGGPKQVDMENLVSSLPRHLLAVCLELGSGSDLTYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSAQVLDLIFFVLTILSHKKKENHLGAFPFIHSSLVAASLHLLTSHCSSQYNELVSILLAHPKVDIFMDVAFNSLHEEMRLLSLRLSTFGTDAFPVAPLESQLTHFICQQCEASLQFLLLLCQQKLFRDRILENKELCRNGGILSFSLTILKLGVPECLKGSAHIASSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTFALEILDLLKTAFGRKEISVASSDDKSHPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFVSSWCSVNMLVTEDDANLEYDPFGAAEMALLAASNMLTEAKANYLCPLRTISTAIPYALRRTSCVVKIIANLHVFVPNICEGSLSHYAKSLIPNKLLNEDDVHLLSEFACKLQTWCKSVAGLRTLQVAKSDTASQIKEDLQWVQQPLQTRANDVQNMEESMPTPYMNHEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTSAGYTGVSTATSTELQHSRSLEHFKTPEHIKGSGLQDDDERQRRRKKRTIMNDGQINEIEIALVDEPEMHRNATLLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPSEGENADKPPTPATSHFGDSSESAGEESYFPPPRVMNALGISKGNGLLNPGTNDTSTQAEFNQSIMTSRPFTRSYSLEPGRPVLLIDNEGNEIGRGEIFQVEGMAQGKSLTESRICIIDITELKIEKWRELPHPSEASGRTFQEAESRHGGVMRVAWDVIRIAPVVL* >Brasy5G180800.3.p pacid=40073482 transcript=Brasy5G180800.3 locus=Brasy5G180800 ID=Brasy5G180800.3.v1.1 annot-version=v1.1 MIDMVSAIEELSGLTSRELSEMLKESESFVLQCKAGDGGPKQVDMENLVSSLPRHLLAVCLELGSGSDLTYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSAQVLDLIFFVLTILSHKKKENHLGAFPFIHSSLVAASLHLLTSHCSSQYNELVSILLAHPKVDIFMDVAFNSLHEEMRLLSLRLSTFGTDAFPVAPLESQLTHFICQQCEASLQFLLLLCQQKLFRDRILENKELCRNGGILSFSLTILKLGVPECLKGSAHIASSISRLKAKVLSIVLQLCEAESISYLDEVATLPKSMQLGQTFALEILDLLKTAFGRKEISVASSDDKSHPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFVSSWCSVNMLVTEDDANLEYDPFGAAEMALLAASNMLTEAKANYLCPLRTISTAIPYALRRTSCVVKIIANLHVFVPNICEGSLSHYAKSLIPNKLLNEDDVHLLSEFACKLQTWCKSVAGLRTLQVAKSDTASQIKEDLQWVQQPLQTRANNMEESMPTPYMNHEGNARDETPRNRASINCGLLQNSVGQNLIHLGVARTTSAGYTGVSTATSTELQHSRSLEHFKTPEHIKGSGLQDDDERQRRRKKRTIMNDGQINEIEIALVDEPEMHRNATLLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGVPSEGENADKPPTPATSHFGDSSESAGEESYFPPPRVMNALGISKGNGLLNPGTNDTSTQAEFNQSIMTSRPFTRSYSLEPGRPVLLIDNEGNEIGRGEIFQVEGMAQGKSLTESRICIIDITELKIEKWRELPHPSEASGRTFQEAESRHGGVMRVAWDVIRIAPVVL* >Brasy5G443800.1.p pacid=40073483 transcript=Brasy5G443800.1 locus=Brasy5G443800 ID=Brasy5G443800.1.v1.1 annot-version=v1.1 MVAHADSCCNAAVQAPPRRATPAPSRNNGNKKKKIGRRCVEAAMRRKMEALRRLVTPMEEEEEDGVDELLIRAADYIVRLQVQVRAMQLMVDVLEHAKD* >Brasy5G170500.1.p pacid=40073484 transcript=Brasy5G170500.1 locus=Brasy5G170500 ID=Brasy5G170500.1.v1.1 annot-version=v1.1 MSRARSPEPLDFFIWTVEDVGLWLEEINLGGYRQAFEENGVNGEYLESLSTFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPPCLSVVFVRVAKRNRQSRVISLKLEQP* >Brasy5G170500.2.p pacid=40073485 transcript=Brasy5G170500.2 locus=Brasy5G170500 ID=Brasy5G170500.2.v1.1 annot-version=v1.1 MSRARSPEPLDFFIWTVEDVGLWLEEINLGGYRQAFEENGVNGEYLESLSTFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPPCLSVVFVRVAKRNRQSRVISLKLEQP* >Brasy5G264300.1.p pacid=40073486 transcript=Brasy5G264300.1 locus=Brasy5G264300 ID=Brasy5G264300.1.v1.1 annot-version=v1.1 MVVWVDASSLAAFSGEKSDSFRACLDSLHAAGCIAAGLQHAGSRRGGCEQSLQPPVWLPLHPEPSSSSSPRRRTNSSAVLHLRLHRRKGRGGRRLV* >Brasy5G260500.1.p pacid=40073487 transcript=Brasy5G260500.1 locus=Brasy5G260500 ID=Brasy5G260500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYGNEVHYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G124000.1.p pacid=40073488 transcript=Brasy5G124000.1 locus=Brasy5G124000 ID=Brasy5G124000.1.v1.1 annot-version=v1.1 MGVHQLATALLRPTSSTQDLLQVVRSPFLYKLLFDDLSKVASSPIFLQRRCLMICLFQRVFLCRRCYEFKIPDCSCAFKGDILCGSLRSSSDACAILRAMWSIWTARNNRRHGSTGLNIAKVCRWA* >Brasy5G185100.1.p pacid=40073489 transcript=Brasy5G185100.1 locus=Brasy5G185100 ID=Brasy5G185100.1.v1.1 annot-version=v1.1 MAAASKVAAMLMVAAQLLAVLAPASASVSKLSGGGNLAAKVGIRRLLQDTCIRPGDICCSQCGSWFNDTNCCEAETYICAINMGRGDNNEWCVFRETNTYP* >Brasy5G386400.1.p pacid=40073490 transcript=Brasy5G386400.1 locus=Brasy5G386400 ID=Brasy5G386400.1.v1.1 annot-version=v1.1 MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQHSFKERRSKDSPGKRGDSALHLAARAGSVAHVQKIFAECDPELVGELAAHQNQDGETALYVSAEKGHVEVVCEILKVCDVQSAGLKANNSFDAFHIAAKQGHLDVLQELLQAFPALAMTTSSVNATALDTAATQGHIGIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVASLLNKDPEISFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHMEDNKGNRPLHVATRKGNTIMVQTLISVEGIDINAINKAGETAFAIAEKLGNEELANILREVGGVTAKEQVNPPKSAKQLKKTVSDIRHDVQSQFKQTHQTKMHFHKIKKRLQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMDKATEPNMTLGQALVASKPAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKQKMVFVMNKLMWLACICISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMLATLGSMCYCIITHRMEEKSMRRIRRSSTSQSWSISVDSDTELELNSGKKRMYAI* >Brasy5G213800.1.p pacid=40073491 transcript=Brasy5G213800.1 locus=Brasy5G213800 ID=Brasy5G213800.1.v1.1 annot-version=v1.1 MRAPQERTMRDLYDRTRHKDLALPEESAEERRRGGRAEGSEEREVAPKIEDNPTVRKRPVPSDSPCVKAESGNCNVCSAPCSSCLHRSLTPVDSNMDCGSSQTCCARSESKNSLLVRTGKGLHAKGGENDDEFSATSSHASYSENGGNKVMARSSVAADSEVDMPAKRRRLLNHDPRSPREDCHDDSNSCVTGTSAANKVLLDRKDKLSTSASSRDLTVKDYKDKNISGHNGLRNSRAEESADEKRSDVHITHPSSSDRSVPADSPSFVTKKLLRNSSASASQGLSPKRPSQGLGNSQYDLVQQPHDKVPLSDNNVDHSPGGKSNLSVIGGDKHGMLTSCSTSNRNKIKAGSSSKDLESGTPCLRNGSQEHADTESDDVAKRNDNVQQDQNQDLSTDMSSGRELNTHNDVMTDCGNSESLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPEGEWLCEECQLNEDQNKTRSNHGASSVDILDGKSPNSESTSKIKTLQVAVTNVEAPPKAVHLSGNSQKLQSVATDPEGRQVKCTAPIAERLDAKNKNSLGMANRKRLQVFTSDMEARPPTCGTPTAGRLGKKNESSEVLLNCKKLRIATNMESPLPSEGLLSPPKSCKRHAENTSSSNLRLFKTESPRKHDVFSRQNSLKKSNKGDFKSPNNVPVRGVQAVKSSASLSRSYSLGSKANVKAPVPSPSPRGSLSKQLSFNKSISEPKVKQLVEGVASKLKPAKHSSIDLGEKGTMRKLVNSGSFKREGSVCKDSSSFKQKQSFLLSQDDKPRMLKPMNDRNFLERRASFNLQKPNIPSSPRTDCSIKSGDRKIDQDYPRPGPSILKTSKKPGNMEKKQSSVFSKSEKRAIAVHSTSIGAVSAKDACTVKTSEPPVPLENVNKGSINDCAGEASFILLTNDCEMPTKPEALSTSLAAASESDLQDIVPRAIASEDLTPNVGQFQQKSFETTANKSSQSSEAVQASECILLQSPHGLSVAQKLCAPDNKLSEPSLKHQDSFDQLPTPGNLCTALVIPEQTYIWQGIFEVSRPGNSSEVYDGFQAHLSTCASPKALEVVKQLPPRIQLVEVPRCSSWPQQFKEAQPSEDNIALFFFAKDVESYERAYAKLLEKMLVGDLSLTANISGFELLILSSDMLPEKIQRWNGLLYFWGVFYARKANRQAELLVGVTDPCPLESTAGPCDKPVCSPKVPQSLCIDLNQCPGDELYDAPISLGSETENSGATEDYSTLFGSKHEVENLDACGIYHQDTAVTKKIMLGSASAVVCESYIPSSSGGCNMKPDYPSDMIGVTGTAGRDNMEEEEDFNPNEAPCYVERHAGASRSISDNILLENQALTSSTEVSLRHPSESTLKVDFILRDSESSYKRQKTSSGDKQMPSKCLSKIQSLPAGWCTPLDDTKYIHSCLADLGSTTKTISDHIVHVLSSDDEDSPEPSTGMNKALLKAEEGSSPLLSLSLSTVAKPHNLGGSERGDDQSLSLSLGLPLPGVTEGNQALEIKQFLPEKPGINTSFLL* >Brasy5G161100.1.p pacid=40073492 transcript=Brasy5G161100.1 locus=Brasy5G161100 ID=Brasy5G161100.1.v1.1 annot-version=v1.1 MATCGDRRGGWRGADRGAGALSDGEGVLQQQCGGRRSRGLRPPPRRSRERPPPPAAREGGATGGLPRCTVRRIRTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARRGSAAVFR* >Brasy5G161100.2.p pacid=40073493 transcript=Brasy5G161100.2 locus=Brasy5G161100 ID=Brasy5G161100.2.v1.1 annot-version=v1.1 MATCGDRRGGWRGADRGAGALSDGEGVLQQQCGGRRSRGLRPPPRRSRERPPPPAAREGGATGGLPRCTVRRIRTLDGRIWGRGRELDPLTDDLGRRAARPGRVAVASVERRKKGDGREEEEKVEGRRQGGEGSTVGRRPSEEGGWARRGSAAVFR* >Brasy5G066700.1.p pacid=40073494 transcript=Brasy5G066700.1 locus=Brasy5G066700 ID=Brasy5G066700.1.v1.1 annot-version=v1.1 MLETHGHGQKQEQRTKAQHRTPLTITKCCCVGASKIQAPATSYQVKRNKNPAGAKLVGSFPDRSWRFPSFPPFLCFLRIRLLPFFLYITLLANGLVHSHLLPMASSKRLLPWSGDDEATGDGGELSRPSKRWRSLVMQVRGRRSGTMEGGVFGFREIVGEEFMTMLLPFFGHMVQRVVSEEVEKAIFRHFNAQAAPPRLLIGRSQRPTYQLMFLNGLKPVYTMMKLEAKDGSALKVALVEKLENDQTRIVRSGLFCSARVEVVVLHGNFNAKNEECWTPEEFSKHIVWGREKSRKLLTGDLTLKLSGGVASLENANFTDNSSFTSTKKFRLGMRLVNPSGERVLEGITDPFRVKERRVEGFEKHYPPLLHDEVWRLEKIGRNGAYHQALSNSGIDTVQKFLQSYVKNEQKLLQTFSKMSQAAWKKITGHAMTCKVSNRLYLYEIKEKNMQLFFDDIVELVGVKFGDCYKPLDQLQQAEKNLVEILKQVAYQNMKDIQYAHRMINNYPEPLDNYHTKSVSGLSNVLPNQKMLNYGKHSLSQGDVPNSQGFEPIERFCSFQRVTDASEDMSKFLPGQSLNDFSHELVANNFIPYSSSHRILLPGPRIAQLRIPNNGRRDFDPDSTPAVAHGNIQAGQVAMQSGQYGFKQSHFTEESYSSLSVSSLSSMDTATDSLQPHFQLTSIRESFSNQPDLLCNGQTTMQPHQVVPCFQPSRTNSFDSAENDQLVQSFISQIFSSEGASTRLSPRKWVKIKAALKLASVGRLSRASRRGPHSPPVRPRFVPTV* >Brasy5G066700.2.p pacid=40073495 transcript=Brasy5G066700.2 locus=Brasy5G066700 ID=Brasy5G066700.2.v1.1 annot-version=v1.1 MLETHGHGQKQEQRTKAQHRTPLTITKCCCVGASKIQAPATSYQVKRNKNPAGAKLVGSFPDRSWRFPSFPPFLCFLRIRLLPFFLYITLLANGLVHSHLLPMASSKRLLPWSGDDEATGDGGELSRPSKRWRSLVMQVRGRRSGTMEGGVFGFREIVGEEFMTMLLPFFGHMVQRVVSEEVEKAIFRHFNAQAAPPRLLIGRSQRPTYQLMFLNGLKPVYTMMKLEAKDGSALKVALVEKLENDQTRIVRSGLFCSARVEVVVLHGNFNAKNEECWTPEEFSKHIVWGREKSRKLLTGDLTLKLSGGVASLENANFTDNSSFTSTKKFRLGMRLVNPSGERVLEGITDPFRVKERRVEGFEKHYPPLLHDEVWRLEKIGRNGAYHQALSNSGIDTVQKFLQSYVKNEQKLLQTFSKMSQAAWKKITGHAMTCKVSNRLYLYEIKEKNMQLFFDDIVELVGVKFGDCYKPLDQLQQAEKNLVEILKQVAYQNMKDIQYAHRMINNYPEPLDNYHTKSVSGLSNVLPNQKMLNYGKHSLSQGDVPNSQGFEPIERFCSFQRVTDSLSVSSLSSMDTATDSLQPHFQLTSIRESFSNQPDLLCNGQTTMQPHQVVPCFQPSRTNSFDSAENDQLVQSFISQIFSSEGASTRLSPRKWVKIKAALKLASVGRLSRASRRGPHSPPVRPRFVPTV* >Brasy5G066700.3.p pacid=40073496 transcript=Brasy5G066700.3 locus=Brasy5G066700 ID=Brasy5G066700.3.v1.1 annot-version=v1.1 MLETHGHGQKQEQRTKAQHRTPLTITKCCCVGASKIQAPATSYQVKRNKNPAGAKLVGSFPDRSWRFPSFPPFLCFLRIRLLPFFLYITLLANGLVHSHLLPMASSKRLLPWSGDDEATGDGGELSRPSKRWRSLVMQVRGRRSGTMEGGVFGFREIVGEEFMTMLLPFFGHMVQRVVSEEVEKAIFRHFNAQAAPPRLLIGRSQRPTYQLMFLNGLKPVYTMMKLEAKDGSALKVALVEKLENDQTRIVRSGLFCSARVEVVVLHGNFNAKNEECWTPEEFSKHIVWGREKSRKLLTGDLTLKLSGGVASLENANFTDNSSFTSTKKFRLGMRLVNPSGERVLEGITDPFRVKERRVEGFEKHYPPLLHDEVWRLEKIGRNGAYHQALSNSGIDTVQKFLQSYVKNEQKLLQTFSKMSQAAWKKITGHAMTCKVSNRLYLYEIKEKNMQLFFDDIVELVGVKFGDCYKPLDQLQQAEKNLVEILKQVAYQNMKDIQYAHRMINNYPEPLDNYHTKSVSGLSNVLPNQKMLNYGKHSLSQGDVPNSQGFEPIERFCSFQRVTDERVSVTNLTYCAMAKQQCSHIKLSLAFSHQEQTALTQRKMISSYRALFLRFLAAKEHQRVFRHVSGSRSRQH* >Brasy5G079500.1.p pacid=40073497 transcript=Brasy5G079500.1 locus=Brasy5G079500 ID=Brasy5G079500.1.v1.1 annot-version=v1.1 MDHEDDGSRNSTPMAGARGPLKMRNAQVKAKKAAAAGPLTKAKAKPAAAAGKASAFDSFEKMKAAAAAVSTEQHAKKGGVAGQEKPRLSESDIMFILAMKSEPCPTAEDFCTLRSDNPLTIPGFPADYVEEGRRHLAEKASITERINALWDEFRDDVKAQYSSKGYVEVDDDYFEERAKSEQWVKEQWATLDWSKFDLYRPGDEGYDGFMCDYEEGAVVDYGEDREGIVFVD* >Brasy5G503100.1.p pacid=40073498 transcript=Brasy5G503100.1 locus=Brasy5G503100 ID=Brasy5G503100.1.v1.1 annot-version=v1.1 MAMLRSAIGIALRRSAPVSRFFSSFSHGCSEAAAAVRRPAQASTGFTNGGCGSPGCRDITGSKMSSYASGGSKGNQGGSSSMVFQQQDSTWKISNVLFWGIAAGVMLTVRQNVRVQQEERWKREKAHKTETPAPAWMMDEEKAENVMNSWTRC* >Brasy5G130900.1.p pacid=40073499 transcript=Brasy5G130900.1 locus=Brasy5G130900 ID=Brasy5G130900.1.v1.1 annot-version=v1.1 MLLRRRAPLLLAAAAGAGAALVAASSPSADGSGATSALHSVARSSRAIYTIGFVVVDYKYSLRGLVPGSADYRVKLSEVHLRSAKKLLKLCEANRGFYVKAGQFVSSLRQVPKEYTSTLSCLQDQATPCKFEDVKIVIEQNFAKDIHDIFLEFDEHPIAAASIAQVHRARLNNNQEVAVKVQYPGLEQRMKLDIMTMSVLSKSVSLIFPDYRFEKILLEFERTMSMELDFTQEAKNSERTASCFRKNNVVKIPYVYRELTTKEVLTMEFCYGHKVDDLDFLRKADISPTKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGRFSLVLLDHGIYKEFDPKFRLDYCQLWKALVSLDAQKILELGEQFGVGKYAKYFPLIFTGRTIDSKSALGTQMSGEEKMRLKQDLNSLGMDDISSFMESLPPDFLVILRTDGLLRSILGNLGVPRHVRLLAYAKCAMYGLEEQSRLESGAINRVFLRVKTNIIYLRLRILIELARLLAHFNDYKRNVMEKLRWMLRKISLM* >Brasy5G182400.1.p pacid=40073500 transcript=Brasy5G182400.1 locus=Brasy5G182400 ID=Brasy5G182400.1.v1.1 annot-version=v1.1 MKRDPAVPESHNFFCANSSSNSIWKTLWKVCVIAIPFVMHPLTIWISGIARSTESDLRGLSFVAGWVLFEYLWVLAVGGLLSYCMAEVVVWWLMVLIVLIVMILLIVIWAATFNRPKFLRFICCMKRDGSVAEVAGRNQSTAAKELAARVARAQGAFATVIKLQKEAEDAEKRARDAAKAQEDAESEVVSAGEESSCARAALLAAEARSTEMVNAQLEAAIAMEQAYALVADDARAIAREAKSAYDRVKGRAYAARTSAGIAKDALEAAEKRVAEAPAKVLRLRLESERKDREAGEAREKTEDAFAAAKAANEEAGIARGIALL* >Brasy5G339100.1.p pacid=40073501 transcript=Brasy5G339100.1 locus=Brasy5G339100 ID=Brasy5G339100.1.v1.1 annot-version=v1.1 MSYNKTASITVETINPKVKTINYELRGEIARHAETLQEEIEKNPGSRPFPEILYCNLGNPQALGQRPISFFREVLSLCDNPTLLHRDEARTLFSPCAINRARRIIESMPGRDTGSYTDSQGIRSFREAVANGIAARDGFPSRPEEIFLTDGASSAINLTMQLLIRSDEDAILCPLPECPLYSSSIILHGGTMVPYNLSEDGDWGLEIFEVKRCLEEARISGLTVRAMVIINPANPTGQVLSMANQEEIVEFCRKEGLVLLADEVYQDNVYVEDKKFNSFKKVARSLGYDKNDISIVSFHSASMGYYGECGRRGGYMEICGFGEDVLGEIYKVASVTLCPNIGGQILTSLVMDPPKLGDESFETFLAEKDNIHSSLVRRAKTLEDAFNSLESMTCNRIEGAMYLFPRIHLPSAAIKAANAEGVSPDTFYACRLLDATGILVVPGSAFHHVSGRDRASGTCHIRCTILPSEEKIEAMITRLRDFHESFMDEFCDRS* >Brasy5G339100.2.p pacid=40073502 transcript=Brasy5G339100.2 locus=Brasy5G339100 ID=Brasy5G339100.2.v1.1 annot-version=v1.1 MSYNKTASITVETINPKTLQEEIEKNPGSRPFPEILYCNLGNPQALGQRPISFFREVLSLCDNPTLLHRDEARTLFSPCAINRARRIIESMPGRDTGSYTDSQGIRSFREAVANGIAARDGFPSRPEEIFLTDGASSAINLTMQLLIRSDEDAILCPLPECPLYSSSIILHGGTMVPYNLSEDGDWGLEIFEVKRCLEEARISGLTVRAMVIINPANPTGQVLSMANQEEIVEFCRKEGLVLLADEVYQDNVYVEDKKFNSFKKVARSLGYDKNDISIVSFHSASMGYYGECGRRGGYMEICGFGEDVLGEIYKVASVTLCPNIGGQILTSLVMDPPKLGDESFETFLAEKDNIHSSLVRRAKTLEDAFNSLESMTCNRIEGAMYLFPRIHLPSAAIKAANAEGVSPDTFYACRLLDATGILVVPGSAFHHVSGRDRASGTCHIRCTILPSEEKIEAMITRLRDFHESFMDEFCDRS* >Brasy5G339100.3.p pacid=40073503 transcript=Brasy5G339100.3 locus=Brasy5G339100 ID=Brasy5G339100.3.v1.1 annot-version=v1.1 MSYNKTASITVETINPKVKTINYELRGEIARHAETLQEEIEKNPGSRPFPEILYCNLGNPQALGQRPISFFREVLSLCDNPTLLHRDEARTLFSPCAINRARRIIESMPGRDTGSYTDSQGIRSFREAVANGIAARDGFPSRPEEIFLTDGASSAINLTMQLLIRSDEDAILCPLPECPLYSSSIILHGGTMVPYNLSEDGDWGLEIFEVKRCLEEARISGLTVRAMVIINPANPTGQVLSMANQEEIVEFCRKEGLVLLADEVYQDNVYVEDKKFNSFKKVARSLGYDKNDISIVSFHSASMGYYGECGRRGGYMEICGFGEDVLGEIYKVASVTLCPNIGGQILTSLVMDPPKLGDESFETFLAEKDNIHSSLVRRAKTLEDAFNSLESMTCNRIEGAMYLFPRIHLPSAAIKAANAEGVSPDTFYACRLLDATGILVVPGSAFHHRGKDRGDDHAAQGLP* >Brasy5G013600.1.p pacid=40073504 transcript=Brasy5G013600.1 locus=Brasy5G013600 ID=Brasy5G013600.1.v1.1 annot-version=v1.1 MVAQAFTVDLDKPLVFQVGHLEEQYQDWVHQPIVSKEGPRFFANDVLEFLTRTKWWAVPLIWLPVVCWCLNTSIQMGHTYPEVALMVVVGMFIWTLIEYTLHRYLFHIDTKSYWTNTAHYLLHGCHHKHPMDGLRLVFPPTAAAILCYPFWNLVKLFTTTSTTPGVFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTVDKSA* >Brasy5G095500.1.p pacid=40073505 transcript=Brasy5G095500.1 locus=Brasy5G095500 ID=Brasy5G095500.1.v1.1 annot-version=v1.1 MASRRMMTKQSAWVEDVERALTDGDPSAEAERWRWHSIYRVPACIKDLNRKAYQPQVVSLGPFHHGEEHLLPMESHKRRSLLHLLRRARKPLSEFVAAVADVVEQLEGAYQGLVDDDDRRCCGGGSKEEEGKRREKFVETMVTDGCFLLEVMRMASGWDVNDYAPNDPVFSSHGLLYTVPYIRRDMIMIENQLPLLVLDKLLDVETGKDGNEDLTNRMVLRFLSPAAWPLATGVGLALHPLDILRRSLLYGPTHNTPPAPPPDLPPGAPDDIIRSAEELYEAGVRFKRSPTSSLLDITFCRGTGELRLPAIVVDDTTSTMLLNLMALERLHAGAGNEVTAYVFFMDNMIDSAADVALLASRRIVCNNVGSDKAVARLFSGLSRDVVLEPHGALDEVHRGVDAYCRKRWNRWRANLVHTYFRSPWSFLSLAAAVFLLGMTVLQTFYTVLQFYQDAGG* >Brasy5G163800.1.p pacid=40073506 transcript=Brasy5G163800.1 locus=Brasy5G163800 ID=Brasy5G163800.1.v1.1 annot-version=v1.1 MGNHIAKAARRNEKQPQIREAPSLPIEILLTIIARADPATLLRCAAASKLLRRHIADPAFHAELAAAAPTRPSSWHRDGEPYQFVPVPPSAARLALPLTPPPPPPPPADDALLDSFEPVESRGGLVVLRRRRRGGGGPVELCVCSPVAGRRRSLIILPPLSLDYYDSHVLLPGDEDDDSSSSTGRRNFSFRLVVVNLMCRTQTFSSRTGKWGPVTEVAGGAGYWPVRPAAVVLRGVAHWLCRHDHQPPRDSSEEHFTYRVVSLRVDTPGAPQLQAAVQADMLRSVELRCELRECEAVEMEWFGEASGAVVLQVHGAGVLVLDLGTGGVVQLSRSSSSSMRVRKPLRCCPYEVGFLPLLASITAP* >Brasy5G321200.1.p pacid=40073507 transcript=Brasy5G321200.1 locus=Brasy5G321200 ID=Brasy5G321200.1.v1.1 annot-version=v1.1 MSSTTGVLMGAKKARVEEEDARPLCSDALTEVFHRLPARTLVSCRKVCKSWASVLSDMHFVYEHLRRSQQKLLLFANDRANDRSLAMVLADASGSMYQLSRPLASRSLFVHNSCNGLLCLGDSTGAVELLNPTTGESVVLPTPMCTAGSSQFSSCNWHCLGFCQSTKEHKIVHFYPGPHIDSFKVRCEIYTLGGKLWRQIGSLHGAPTDRGIHVNGVVYYLTRFRYINSSRINCLDLETEKFNVMMLPSRKSYGGQCSLAELEERLCLLVVDGVPEALPRTMDILMLDNDDKQNWTHRYHISLPWLVSSCYFTPKHTLFHDGKIWVQLLARNLYCYDPSSTSEELETACPESDFPFSTHKFVESIIPLSHYFIKHT* >Brasy5G093400.1.p pacid=40073508 transcript=Brasy5G093400.1 locus=Brasy5G093400 ID=Brasy5G093400.1.v1.1 annot-version=v1.1 MVGHPDGSSKAFFSRQDGKIWLATVPEQGMGDGLQLDETIPFLDLSTEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDGTQSSNCAGRCSCDCEVGCDPSKLGSDNGVEPCRYNLLISEYLAKGSSSSFSEATYADPSEVRRVFSMGLTYVSNHAGQLIFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRIDIDEHPEMNEVANKSLWGNYTIPKDNPYSDDSNLRPEIWALGLENPWRCSFDSSRPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYDGQHVQYPPWVALGTKLTNGTIFPIMGYKVPSTAESSSIVGGYVYRGSADPCLYGRYLFADMYSCAMWTGALNTDGSGKYTSTSILLSCSEKTPLPCDGSTNSPLGRIFSFGEDNNHDGFILASQGVYRIVQPSLCGYACLTDATSKQATASVSGGSHGLATILKVLIAAVASLLGGGASIYFVWKCFCNNSAICCNDIETLQVTNNTTTRGDRPSATATRPGGVELAVTRPAEYRAR* >Brasy5G098000.1.p pacid=40073509 transcript=Brasy5G098000.1 locus=Brasy5G098000 ID=Brasy5G098000.1.v1.1 annot-version=v1.1 MAGKEESSLKPCNQQGGSKSLEVGKSDGAKPEADKTMDAQEEKPFRVIEVTAPHPNKVEAGETKSLKLSSHSSYNGEEEAEEEDDDDATIPDEEFDLLVAQLEEDFSKYTRENMLKGTKHWTSKVYTVEDFVEKDEDVSEDNVKVEAAL* >Brasy5G399700.1.p pacid=40073510 transcript=Brasy5G399700.1 locus=Brasy5G399700 ID=Brasy5G399700.1.v1.1 annot-version=v1.1 MFRSAVGTAAPRFSSNGARGSPGCSETRRKMCSDGTDGSEWNGDIRSSMSYFYEKPPWKWSEVLFGTIAAISMLTYKYRVRGATIRGYRYEDTKEEEVKVDKIDISLC* >Brasy5G399700.2.p pacid=40073511 transcript=Brasy5G399700.2 locus=Brasy5G399700 ID=Brasy5G399700.2.v1.1 annot-version=v1.1 MCSDGTDGSEWNGDIRSSMSYFYEKPPWKWSEVLFGTIAAISMLTYKYRVRGATIRGYRYEDTKEEEVKVDKIDISLC* >Brasy5G312200.1.p pacid=40073512 transcript=Brasy5G312200.1 locus=Brasy5G312200 ID=Brasy5G312200.1.v1.1 annot-version=v1.1 MPPGLPLHLLIDGRGDDWGRRTVYHSISLTSPHARPSILLLPNSSSILPSPPPALLTSPPSASPTASPPSSHTPPSSPTRRARGGSSTTRGWTETRSAALQAGGGDRRSDFVVCRRIGTRLFPVILDLPPGNKGMEFVIVLLSSRVIYCLLI* >Brasy5G339000.1.p pacid=40073513 transcript=Brasy5G339000.1 locus=Brasy5G339000 ID=Brasy5G339000.1.v1.1 annot-version=v1.1 MLPRIQRSIFHLGEEGGHGHRGATTDHHGHGENVTNTHHQHFGGQSYGHRRLFRRERDAADAVVGLQILVQHQYRHPQAHIVLKPMVSWPPARYHRNPCSFSRACCFLCRRELSPTKDVYMYRGDQGFCSEECRRQQILADEGRENEAMAWKDRRGLPHSRRHHQGPRPSTAAVRRAQRRLLAVA* >Brasy5G069600.1.p pacid=40073514 transcript=Brasy5G069600.1 locus=Brasy5G069600 ID=Brasy5G069600.1.v1.1 annot-version=v1.1 MDADRGCWRSHASDRQVTCHAAGRELRMAAERELRRQFDSCGWPGDASCRRPRDASCGWPRNASCGGSSTAADGRGTRAADGRGTRAAEAVRQLRMAAGRELQRQSRAGGQPHRQDPCRRRRRIKKKPAATFSSSTFTHDTAPATTTPSTRSSACGSALGLRRFEKSTNLDVELGIGGIPG* >Brasy5G307800.1.p pacid=40073515 transcript=Brasy5G307800.1 locus=Brasy5G307800 ID=Brasy5G307800.1.v1.1 annot-version=v1.1 MAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKESYEEALQRKDVKAIVVTGKGGKFSGGFDISSFGDLQGGKMEQPKVGYISIDILTEILEGATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLAGLTKSLEMILLSKPIKGEEAYQQGLVDSIVSPNDLVNAARRWALDICELRRPWIKSLYKTDKLEPLGDAREILKFARAQARKQAANLEHPLLCIDVIEEGIVSGPRAGLWKEATTFQILLFSDTCKNLVHVFFSQRATSKVPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKALSLVTGALGYEKFKEVDLVIEAVIENVKLKQQIFADLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIVGAHFFSPAHVMPLLEIVRTQHTSAQVVVDLLDVGKRIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLIPLMMEDKRAGEATGKGFYKYEDKRKSTPDPDIMTYIQKSRSMAGVTPDPELMKLSDKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMLWGDSIGAKYIHGKLEEWAKRYGSFFEPCSYLAERAAKGIPLSAPVNQAKARL* >Brasy5G247300.1.p pacid=40073516 transcript=Brasy5G247300.1 locus=Brasy5G247300 ID=Brasy5G247300.1.v1.1 annot-version=v1.1 MERIPIPIAMLPQKSSSFSQATVRQQQQGGSDQEKLGRNLSLGAIKFSEHVDRVKKESAAAAEEASAAAAAGGGEEAEPPPYEEPDLAALSAEIDAFLASRAEGDSAAAISEVTLDRFASAVEMEIAATVEGDDDKWASAADAGGDGEKNPPPRVLLDAIRRISTLATALTTSNGAAAAAASETDASAAAAAGSNKKGYTIGVHRVTGVLHRAMAFLEDELYALLEDVPSNSNPGSAKSMRRPPSFSAHGADSDRCVLPLPSSTTTSEPAGAGGDNNSAASNSNQPQPLFPPETVDRLRAMADAMAHAGYSTECEQVFLISRRNAFDAALQGLGYEKASIDDVVKMSWESLEAEIGAWIKAFRHVINVGLSAEHDLCVRVFPPSSGGNVGKEIFADLARCALLQMLNFTEAVAMAKRAAEKLFKVLDMYEAIRDSAPVVDAFLDMYTPNAGTGHEALSDLKSELASVQSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFRKHHHRPDSDDPPPPSSSDNNNSNNSSNNNPNTNENNPFAAQLMEVMELLHGNLEAKSRLYKDPALSSIFLMNNGRYMLQKIRGSPEINAVVGEAWARKRSTDLRQYHKNYQRETWNRVLNLLRDDGSITVKGHVQKPVLKERFKQFNAAMDEIHRTQGSWVVSDDQLQSELRVSIAAVVVPAYRSFLGRFAQSFSAGRQTEKYVKMSADDLENIIDELFDGNAASMPRRRN* >Brasy5G142600.1.p pacid=40073517 transcript=Brasy5G142600.1 locus=Brasy5G142600 ID=Brasy5G142600.1.v1.1 annot-version=v1.1 MKTLCWNCHGMKSPRAVRDLVDLQERIKADLVFLSESHLNNARAEVLRRRLGFDRFCASESDGRSRGLVLASLPWLVAGDFNEILFSHEKDGGNPRPGRMMQEFRDCLQDCELSELVSYGDKYTWQRGLIREKLDRAVANDAWNDMFLGAAVSNEEHCRSDHRPIIIDTEYHNNLQIRARSGGRKFEARWLAEETVNEIVKTAWQKALLNNLGPSLADRTRAVHADLHTWDRTVLKGPRNRIRKLKKELEKLRRKARTVDTVARQKEVQVLIDNLEDQEEIFWMQRGRANWLLHGDRNSGFFHRAATARKKKFFIKQLSDEAGVVQNDPSTLTAIAARYFADLFTAEKSHP* >Brasy5G153000.1.p pacid=40073518 transcript=Brasy5G153000.1 locus=Brasy5G153000 ID=Brasy5G153000.1.v1.1 annot-version=v1.1 MASSSTATSSAQMGLGLGLGLGAMGGLGLLLPADREDRDPEPCASPPRAALRLHGAVQHYEWGTRGEASLVARLAGETESGRPCAELWMGTHPAAPSSLADGGGVSLREWLARSPAALLGHAVAARWGGDLPFLFKVLSVAKALSIQAHPDRDLARALHALRPATYRDANHKPEMAVAVTEFRALCGFVSVQELKIVLRTVPEVRMLVSKEDAVKLMTAKEHDGGIGVRSHLQSAFTKLMGTTKEAVSEAISKLKIRLNGESKIRTLTEKEQLILSLEQQYPGDVGVLSAFFFNYVKLSPGEALYIGANEPHAYLSGECVECMATSDNVIRAGLTPKYKDVQTLCSMLTYNQMFPEILRGVPVQPYVTRYTPPFDEFEVDRYLLPRGKSVTTPAVPGPSIFLVMTGEGEIEADGMADGGKANVGDVFFVPARTEVKLQASGPGCLQLYRAGVNSRFFC* >Brasy5G153000.2.p pacid=40073519 transcript=Brasy5G153000.2 locus=Brasy5G153000 ID=Brasy5G153000.2.v1.1 annot-version=v1.1 MASSSTATSSAQMGLGLGLGLGAMGGLGLLLPADREDRDPEPCASPPRAALRLHGAVQHYEWGTRGEASLVARLAGETESGRPCAELWMGTHPAAPSSLADGGGVSLREWLARSPAALLGHAVAARWGGDLPFLFKVLSVAKALSIQAHPDRDLARALHALRPATYRDANHKPEMAVAVTEFRALCGFVSVQELKIVLRTVPEVRMLVSKEDAVKLMTAKEHDGGIGVRSHLQSAFTKLMGTTKEAVSEAISKLKIRLNGESKIRTLTEKEQLILSLEQQYPGDVGVLSAFFFNYVKLSPGEALYIGANEPHAYLSGECVECMATSDNVIRAGLTPKYKDVQTLCSMLTYNQV* >Brasy5G179700.1.p pacid=40073520 transcript=Brasy5G179700.1 locus=Brasy5G179700 ID=Brasy5G179700.1.v1.1 annot-version=v1.1 MATASFTSLVCKILLIVVTMVSLLSSAYAGDDQKYGKCFSRPDCGNYCKLQGYPKGGQVMPPDFQDCCCFK* >Brasy5G311700.1.p pacid=40073521 transcript=Brasy5G311700.1 locus=Brasy5G311700 ID=Brasy5G311700.1.v1.1 annot-version=v1.1 MDHEELEEDKKKTKKKKKLGSKKHPRLQRSFLHFSKAFKKLHAAGHGRPSAAVNDNDEPSAPAATASRSSSLLSACMHPRTLSFSSGGGGRQRHVAVHHRGDEDEDDDDSLAVNFRSLRIESAAAAVRSSSSAQAQEDCGRGSESEGEDGGGANGNGKAAVGGGVAVVTFSVAPYEDFRRSMREMVDARRGVLAAGATVDWDLMEELLFCYLQLNDRAVHKDILRAFTDTVAALRRRRRGKPRKSSRRTRARAGPLQATGDGVVQDQPAGSS* >Brasy5G422400.1.p pacid=40073522 transcript=Brasy5G422400.1 locus=Brasy5G422400 ID=Brasy5G422400.1.v1.1 annot-version=v1.1 MMATMETVQLVISAGANIVEATQLSNDLSRLRTSLPKSRLLIYRAEWGRFKNKELVVLLSQLKDTTYDAEDLLREFDDQVLRQKMEDTDRSRAFQLASSSFNLIQNCISGYKTRVQEAQNKLDIVVNEIEGALDLMGLHVELVQHMPETSSVIGVPEVMGRDEERDIVIEMLGVMIGRENQRDQVMELLGVPLTRCSRSAGSTVKKTTPGTGVIACTSKSKRLKGKSSSFGPPETICTGNVCVLPIVGIGGVGKTTLAQFIYNDARVKAHFDLRIWVCVSDLFDKRRITNEIIESIPGAEFNPSSSLNNLHGQLMEQLKGHKFLLVLDDIWPNANGEWEAFYAPLRYGCEGSTVLVTTRFPKVADFVTTVKPVQLEGLPTDIFWEFFKKCAFGSECPESYPQLHDIGQSIVTKLCGSPLAAKTLGRLLSGNLTEQHWRGIQNNELWELSYEENDILPALQLSYLYLPQELKRCFAVCSMYPKDYSFERDEIVEFWVAQGFIAPLGSMRLEDIGGRYLDDLRSRFLFQTDPKFLGQTRYVMHDLIHDMAQSISASECFLMQDSSYQNKSKMPETIRHMSIELDNEDLSRMKGIEHSKKLHSLRFGTRFKVDIPWFNQLSNILFLSLKGCELVKLPESICALNHLRHLDISHSHVQELPEKLWCLYSLQVIDAGDSRLHTIDEGVTKLVNLRRLALPATAAEELSNIRRLGNLSSLQNLSYFTAAGGIGREIGELKCMNQLRGTLSIRCIVSVKSWEEASEARLSDKQYLKALVLQWGELPCNQQSADKEFLEGLHPRLRSADNEVLEGLRPPSRIECLSVLNFRGDVFPSWFKPENLPAIRILELSCCLLLRRLSVSLPPLEQLVLKFVGIEVLTTSVDGSTCSDRMQHGSINSSSGIRNIPLTSLSTLRLVNCQSLINIDQFLSPENLPSVKSIELVCCRSLLSIPVHKFGGFTCLQDLKIDGCDRLVCTREMVLPLSLRRLSICNCGELDKSFHPSSFQNLASLTLLHLCFCDSVELIPLNSINTNMLKCLLFRCCSELSSIGGSHALSSVQHVDIFDCPKLTEVKQPFKKKKLMPKEEKELLKFIH* >Brasy5G422400.3.p pacid=40073523 transcript=Brasy5G422400.3 locus=Brasy5G422400 ID=Brasy5G422400.3.v1.1 annot-version=v1.1 MMATMETVQLVISAGANIVEATQLSNDLSRLRTSLPKSRLLIYRAEWGRFKNKELVVLLSQLKDTTYDAEDLLREFDDQVLRQKMEDTDRSRAFQLASSSFNLIQNCISGYKTRVQEAQNKLDIVVNEIEGALDLMGLHVELVQHMPETSSVIGVPEVMGRDEERDIVIEMLGVMIGRENQRDQVMELLGVPLTRCSRSAGSTVKKTTPGTGVIACTSKSKRLKGKSSSFGPPETICTGNVCVLPIVGIGGVGKTTLAQFIYNDARVKAHFDLRIWVCVSDLFDKRRITNEIIESIPGAEFNPSSSLNNLHGQLMEQLKGHKFLLVLDDIWPNANGEWEAFYAPLRYGCEGSTVLVTTRFPKVADFVTTVKPVQLEGLPTDIFWEFFKKCAFGSECPESYPQLHDIGQSIVTKLCGSPLAAKTLGRLLSGNLTEQHWRGIQNNELWELSYEENDILPALQLSYLYLPQELKRCFAVCSMYPKDYSFERDEIVEFWVAQGFIAPLGSMRLEDIGGRYLDDLRSRFLFQTDPKFLGQTRYVMHDLIHDMAQSISASECFLMQDSSYQNKSKMPETIRHMSIELDNEDLSRMKGIEHSKKLHSLRFGTRFKVDIPWFNQLSNILFLSLKGCELVKLPESICALNHLRHLDISHSHVQELPEKLWCLYSLQVIDAGDSRLHTIDEGVTKLVNLRRLALPATAAEELSNIRRLGNLSSLQNLSYFTAAGGIGREIGELKCMNQLRGTLSIRCIVSVKSWEEASEARLSDKQYLKALVLQWGELPCNQQSADKEFLEGLHPRLRSADNEVLEGLRPPSRIECLSVLNFRGDVFPSWFKPENLPAIRILELSCCLLLRRLSVSLPPLEQLVLKFVGIEVLTTSVDGSTCSDRMQHGSINSSSGIRNIPLTSLSTLRLVNCQSLINIDQFLSPENLPSVKSIELVCCRSLLSIPVHKFGGFTCLQDLKIDGCDRLVCTREMVLPLSLRRLSICNCGELDKSFHPSSFQNLASLTLLHLCFCDSVELIPLNSINTNMLKCLLFRCCSELSSIGGSHALSSVQHVDIFDCPKLTEVKQPFKKKKLMPKEEKELLKFIH* >Brasy5G422400.2.p pacid=40073524 transcript=Brasy5G422400.2 locus=Brasy5G422400 ID=Brasy5G422400.2.v1.1 annot-version=v1.1 MMATMETVQLVISAGANIVEATQLSNDLSRLRTSLPKSRLLIYRAEWGRFKNKELVVLLSQLKDTTYDAEDLLREFDDQVLRQKMEDTDRSRAFQLASSSFNLIQNCISGYKTRVQEAQNKLDIVVNEIEGALDLMGLHVELVQHMPETSSVIGVPEVMGRDEERDIVIEMLGVMIGRENQRDQVMELLGVPLTRCSRSAGSTVKKTTPGTGVIACTSKSKRLKGKSSSFGPPETICTGNVCVLPIVGIGGVGKTTLAQFIYNDARVKAHFDLRIWVCVSDLFDKRRITNEIIESIPGAEFNPSSSLNNLHGQLMEQLKGHKFLLVLDDIWPNANGEWEAFYAPLRYGCEGSTVLVTTRFPKVADFVTTVKPVQLEGLPTDIFWEFFKKCAFGSECPESYPQLHDIGQSIVTKLCGSPLAAKTLGRLLSGNLTEQHWRGIQNNELWELSYEENDILPALQLSYLYLPQELKRCFAVCSMYPKDYSFERDEIVEFWVAQGFIAPLGSMRLEDIGGRYLDDLRSRFLFQTDPKFLGQTRYVMHDLIHDMAQSISASECFLMQDSSYQNKSKMPETIRHMSIELDNEDLSRMKGIEHSKKLHSLRFGTRFKVDIPWFNQLSNILFLSLKGCELVKLPESICALNHLRHLDISHSHVQELPEKLWCLYSLQVIDAGDSRLHTIDEGVTKLVNLRRLALPATAAEELSNIRRLGNLSSLQNLSYFTAAGGIGREIGELKCMNQLRGTLSIRCIVSVKSWEEASEARLSDKQYLKALVLQWGELPCNQQSADKEFLEGLHPRLRSADNEVLEGLRPPSRIECLSVLNFRGDVFPSWFKPENLPAIRILELSCCLLLRRLSVSLPPLEQLVLKFVGIEVLTTSVDGSTCSDRMQHGSINSSSGIRNIPLTSLSTLRLVNCQSLINIDQFLSPENLPSVKSIELVCCRSLLSIPVHKFGGFTCLQDLKIDGCDRLVCTREMVLPLSLRRLSICNCGELDKSFHPSSFQNLASLTLLHLCFCDSVELIPLNSINTNMLKCLLFRCCSELSSIGGSHALSSVQHVDIFDCPKLTEVKQPFKKKKLMPKEEKELLKFIH* >Brasy5G334900.1.p pacid=40073525 transcript=Brasy5G334900.1 locus=Brasy5G334900 ID=Brasy5G334900.1.v1.1 annot-version=v1.1 MEMSLADCWGSSPASPIGFGFEGYEKRLEITFSDAPVFVDPSGHGLRALSREQIDSFLDLARCTIVSQLSNRQFDSYVLSESSLFVYSHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGTFIFPGAQPSPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDSFRPRKMWHVYYATEEPEQPMVTLEMCMTGLDTKKAAVFFKNSADGGCSSAKEMTKASGISGIIPEMEICDFDFDPCGYSMNGICGPAASTIHVTPEEGFSYASYEVMKVNPGSVVYSDLIKRVLACFCPSDFSVAVTIFGGRGFAQKWATGAEVGSYMCDDLVEQVLPGGGLLVYQSFTAVTPGAVSPRSTLDVWNKEGMETTAKGEEMCIGWEVEKKVVKKDVV* >Brasy5G500200.1.p pacid=40073526 transcript=Brasy5G500200.1 locus=Brasy5G500200 ID=Brasy5G500200.1.v1.1 annot-version=v1.1 MLSRLFGIVGILVVIFGFNVLAFLLLPSLAPRHPLLTLTVSIFLTAVVMETPWWYVMSSVAAHVLETAYLLLQSFLAIIAVLGVFVPSTLEYNESLPAVIVAGVLTVVMRMRCACCCCGSWCSAPSSPSPNHSWHRPWRGAPRSPRCSCSSSRHCSRECCGE* >Brasy5G122800.1.p pacid=40073527 transcript=Brasy5G122800.1 locus=Brasy5G122800 ID=Brasy5G122800.1.v1.1 annot-version=v1.1 MMASRAAAALRAAALQGYRRPVSTATAGAAHPESAKAKPVGDYVPVYVALGMIALSVTLGLHTARQQLAHAPNVRLDKRKRETVPEVADPDLALDEAERFVGSSLFRKVAHVQDDRSLAAGVADPVTEYPVRKAVTLKDVGVDPPGIPVQSREGILDRILRKNHA* >Brasy5G408500.1.p pacid=40073528 transcript=Brasy5G408500.1 locus=Brasy5G408500 ID=Brasy5G408500.1.v1.1 annot-version=v1.1 MEVMGAPPGFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPRCAAEASIEEWSEVGASGSVEEVDDIEFTASSRRKKMLR* >Brasy5G408500.2.p pacid=40073529 transcript=Brasy5G408500.2 locus=Brasy5G408500 ID=Brasy5G408500.2.v1.1 annot-version=v1.1 MEVMGAPPGFMDPPQESSSECSAAPCQNRSRGRSTAGTARQVPRCAAEASIEEWSEVGASGSVEEVDDIEFTASSRREAM* >Brasy5G063300.1.p pacid=40073530 transcript=Brasy5G063300.1 locus=Brasy5G063300 ID=Brasy5G063300.1.v1.1 annot-version=v1.1 MAVHKALIDNSWIRDIVGALNVEDIVEFLHIAKVMGSQSLVEDVEDQFVWNLPSCSQYSVMSAYDAFFVGRMDSLHGWAIWDSWAPAKCKSICSQPMDVFRSWCTDVADRVGGAKLKVIGSLIILTLWRI* >Brasy5G065000.1.p pacid=40073531 transcript=Brasy5G065000.1 locus=Brasy5G065000 ID=Brasy5G065000.1.v1.1 annot-version=v1.1 MPASLSRDACPCIDPIPRSLALAARALLVDRQRAARPPSALLRRRGASSAARAPPPAAFPSLLRLRALIRLHGKTTTSDSVAAVPHR* >Brasy5G409400.1.p pacid=40073532 transcript=Brasy5G409400.1 locus=Brasy5G409400 ID=Brasy5G409400.1.v1.1 annot-version=v1.1 MAFTARMKDLMKKYGKVALGVHISVSVASASGLYVAINNNVDVDALFNKFGMSTGISGEATTPPAPATGDVVIGQEAPERPRNRTAELVASSGGTLALAVLCNKALFPVRVPITIALTPPIYRLLARWKLVKT* >Brasy5G392500.1.p pacid=40073533 transcript=Brasy5G392500.1 locus=Brasy5G392500 ID=Brasy5G392500.1.v1.1 annot-version=v1.1 MVFTMKWLATRFVVRTTIFITGWIDTTTGTIWAYLQTVDGTGCPSGHGL* >Brasy5G127800.1.p pacid=40073534 transcript=Brasy5G127800.1 locus=Brasy5G127800 ID=Brasy5G127800.1.v1.1 annot-version=v1.1 MEKLSMATAIFCAVAVTVLAAAVGGEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDVTDGDTVVVHVKNHLPHGLTIHWHGVRQIMSCWSDGAGFVTECPIPPGGEHMYRFNVTGQVGTLWWHAHVTCLRATVAGALIIRPKADKYPFPTPAKDVPIIIGEWWELDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVVRGETYLLRIINTALFSEYYFRVAGHTLTVVGADGNYLTPYKTDMVTVAPGEAIDVLMVADAKPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGVPNNNNGMPVPTPLMPNQHNTMPSFYFHNNLTGLAHPDRHRVPMHVDERLFVTLGLGSICRNGSKTSCKRRRSNETIVVATMNNVSFHHPTKASLLESYYDGKPNKTLYTEDFPDNPPHPYNYSDRALIPPGPLEEALEPTFKATKLRRFKYNSSIEIVFQSTALLQSDSNPMHLHGYDFFVLAQGIGNFNPRTDPKRFNYHNPQLRNTVQVPRTGWAAVRFIADNPGVWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTRT* >Brasy5G411900.1.p pacid=40073535 transcript=Brasy5G411900.1 locus=Brasy5G411900 ID=Brasy5G411900.1.v1.1 annot-version=v1.1 MASFILLGGEPTKLIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGMFLCQQTKPKLMGLSIL* >Brasy5G425600.1.p pacid=40073536 transcript=Brasy5G425600.1 locus=Brasy5G425600 ID=Brasy5G425600.1.v1.1 annot-version=v1.1 MSKLQTEALKEAITQVVTEAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMRVCMLGDAQHVGEAEKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPVRVF* >Brasy5G453100.1.p pacid=40073537 transcript=Brasy5G453100.1 locus=Brasy5G453100 ID=Brasy5G453100.1.v1.1 annot-version=v1.1 MPQDTQRVRHGGIVIDTTTLTGDSDFTRTQKRLHGTTAPTAPLHGRKTRCSNSRRILAPPPADLRHTLLATQIQNGPSNSNSISAPPRRNSDRSRQPPRQRTGARMQPAPRSRPQADPPSAAAPPLQPATSKTHTRHNPPLPRPASRQTSRHRHAQATGPSSSCPWTPHCSPTMPRSISKRDQEQTTPSPRTPPWPSTRPLDPHSHALTLVAPHHDAIAAIAPPPHSRPDLGPHRTQIQPDCCPLPPCTHGRRCAAGRAPPRLRGPTPHAIAASTPPPHSRHYAAARSAGRRAPPPPSPHGLCPGADCRRRRGEEKGRGGRLPVAGIAARAA* >Brasy5G164500.1.p pacid=40073538 transcript=Brasy5G164500.1 locus=Brasy5G164500 ID=Brasy5G164500.1.v1.1 annot-version=v1.1 MSGFARRLAGIPWRQIAGEAFDRALLAAQAFCAVHVVHTHVFSLAYPRGPSMLPALNLMGDVAVIDRLSARYRWVAPGDVVLLTSPEDPRKKIAKRVLGMEGDAVTYLVDPENSDSSKTVVVPQGHIWVQGDNIFASTDSRTFGPVPYGLVEGKMSYRSFIAVSFPVGESVSIDCV* >Brasy5G164500.2.p pacid=40073539 transcript=Brasy5G164500.2 locus=Brasy5G164500 ID=Brasy5G164500.2.v1.1 annot-version=v1.1 MSGFARRLAGIPWRQIAGEAFDRALLAAQAFCAVHVVHTHVFSLAYPRGPSMLPALNLMGDVAVIDRLSARYRWVAPGDVVLLTSPEDPRKKIAKRVLGMEGDAVTYLVDPENSDSSKTVVVPQGHIWVQGDNIFASTDSRTFGPVPYGLVEGKMSYRIWPLKKFGLIDPKM* >Brasy5G310900.1.p pacid=40073540 transcript=Brasy5G310900.1 locus=Brasy5G310900 ID=Brasy5G310900.1.v1.1 annot-version=v1.1 MALDGGVLALSIVGIVTAGLVTVATLVAIYQCAKVSVQVHLQKAIISNGGNNPRDASISSIQTPRPSAAAVDDVEMQQGTMSFFIEGVQNERPVRFSSKQLRAFTQGFAHKVGSGGFGVVYKGRFPSNGAAVAVKVLNSTLGRRAEEQFMAEVGTMGRTCHINLVRLYGFCFDASVKALVYEYMEKGSLDRHLFGAGAGAGVIGFEKLHEIAVGTAKAARYLHEECARRIIHYDIKPENVLLGAGMAPKVSDFGLAKLCDREEEEDTSWARGARRGTRRRSCGCRCP* >Brasy5G053700.1.p pacid=40073541 transcript=Brasy5G053700.1 locus=Brasy5G053700 ID=Brasy5G053700.1.v1.1 annot-version=v1.1 MEESFVPLQGIKNDLRGRLTCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVIMYTFMFSFAKDRPDLGPNLFLAWAGWVCVWTAILLFLLAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFGIPERENIKALQFVPSWRFANGMFAIVLSFGLLLTALKSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGISYIPHDSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMPHVPLMYIIGAFIPATMIAVLYYFDHSVASQLAQQAEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNRLVATARQSMRQNASLSQLYGSMQDAYQQIQTPLIYQQQSVKGLNELKDSTVQLASSMGNIDAPVDETIFDIEKEIDDLLPMEVKEQRLSNLLQAAMVGGCVAAMPLLKKIPTAVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTTYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLNDLDAAEYEESPAIPFNLATQDIDVALGRTQSAEILDDMVTRSRGEIKRLNSPKITSSGGTPVAELKGIHSPCISEKAYSPRVTELRHERSPLGARDSPRTGETRASKLGEGSAPK* >Brasy5G330500.1.p pacid=40073542 transcript=Brasy5G330500.1 locus=Brasy5G330500 ID=Brasy5G330500.1.v1.1 annot-version=v1.1 MATLTPRRRSTDCFGGRLPRDTFGRHPRDDAPTSTSTSTSSKPAARGRKSRHADTPTTVQPRKRAMENVVILKRGERIAPKSIETPALAAAELCAAQAPEKKAGEAAGQCGEPTEKAAADDGRCPAPTTKTVTMSANRVDPPVSKAPGQAKKAEASPAVYSGASFVVSPDPRKLPVPVFLFKPPAGSARGA* >Brasy5G311500.1.p pacid=40073543 transcript=Brasy5G311500.1 locus=Brasy5G311500 ID=Brasy5G311500.1.v1.1 annot-version=v1.1 MEDTDAKNTTSLSSLFSFSNTNASFGFGFGAASGPPPPPPPPAFEVLLSEESPVTCGNLEPVVVDDSLSIYKGRVNTCDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNADIKDDRLLVEGKRVLELGCGHGLPGIFAGLKGAGLVHFQDFNAEVLRCLTIPNVKVNLFKEPSQGTFTSSSVGFYAGDWSEIDNLLLSGATVQDKSTNHHTENDGRSGYDIILMAETVYALDSLPSLYRLIKKCLHYPGGVVYMAGKKHYFGVGGGTRQFLRLVAEDGTMQSDLLAEVTDGSSNVREVWKFSFK* >Brasy5G511000.1.p pacid=40073544 transcript=Brasy5G511000.1 locus=Brasy5G511000 ID=Brasy5G511000.1.v1.1 annot-version=v1.1 MEVWSVRVAFWAVLFFFLLSERRGSCASINGEGRAAVRFREDSTESLQSLGEKKVFAHCYWFGGRCSDHGRVVALTTRSTTLRKLLRAPSPSQPKAKKAQPEAKKSSSIPHWVIYVLCASGALGLMVIAATVYLLLSRRKKDHTVIPWATGLSGQLRKAFVTGVPSLGRTELEAACEDFSNVIGTVSDCALYKGTLSSGVEIAVACSPVKCAKEWSERSEQQFRNKISVLSRVNHKNFMNLLGYCACDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWPTRLRILMGITYCLEHMNQLDPPVTLTTLSSSSIYLTEDYAAKISDTEFWKDGKEAASMQTMDQESIVYKFGILLLEVISGRVPFSEDHGLLVLWASSYLDGKRPLIAMADPTLGASSSVPDEDVAALCDIVRLCINHETEKRPTIGEVARLMKGVIRLSPEQTIPRNNPLWWAELEIVSVESS* >Brasy5G110900.1.p pacid=40073545 transcript=Brasy5G110900.1 locus=Brasy5G110900 ID=Brasy5G110900.1.v1.1 annot-version=v1.1 MSSTNNTSLSKDGATSPPTAHDAPAAAAAGSEPATVEKTVVHTVELRQSAGQEEGLKPIDVVHEIPAASKEAPANKQDQ* >Brasy5G342200.1.p pacid=40073546 transcript=Brasy5G342200.1 locus=Brasy5G342200 ID=Brasy5G342200.1.v1.1 annot-version=v1.1 MSADPVKKPETTGGGGPQLPRPDFVKSFDALWFCYSPFHQMQHYYRHGDFDNCFGKWGDLLDCLNLKTKSKAEVEEILLAREKAKPHFWTFRTVDEASENWWRMYRHVVIMAAPRKPADSAAAPVKSGGSS* >Brasy5G021000.1.p pacid=40073547 transcript=Brasy5G021000.1 locus=Brasy5G021000 ID=Brasy5G021000.1.v1.1 annot-version=v1.1 MPRHLTKSTSLLLLLLQPRRLCATGASSLPAAGELAPVSVSATKEIPPEEDDLAEESRSRLVRDTCRLLEQRGSWTPKLEAQLRHLLRVLSPPQVRAVLRAQAQEDARAAFEFFRWADRQWQYRHAPEVFDEMLGLLGRTRLHDPARRVVRLMIRRGVRRGPRQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRVDKALGFADRMRRVRVEPDVVTYNCLIKGLCSVRRVVEALDMIGVMLKNGCPPDKISYYTVMSFLCKEKRVAEVRNLLETMRNDAGLFTDQVTYNMLIHVLAKHGHADEALEFLRESEGKRFRVDEVGYSAVVHSFCLNGRMAEAKEIVGEMISKECHPDVVTYSAVVDGFCRIGEIDQARKMMKHMYKNGCKPNIVTHTALLNGLCKVGKSSEAWELLNKSGEDWWTPSDITYSVVMHGFRREGKLKESCDVVAQMLQKGFFPTTVEINLLIHALCKEGKPAEAKEFMEQCQSKCCTINVVNFTTVIHGFSRQGDLESALSLLDDLYLSNRHPDVVTYTVVVNALGRKGRLKEATVLVEKMLNRGLVPTLVTYRTVIHRYCEKGTVEELLDLLDKMLARQELKSVYNQVIEKLCAFGKLNEAYALLSKVLRTASQRDAQTCHILMESFLNRGLSIQAYNVACRMFQRNLIPDLKLCQKVDDRLVLEEQKQAAGKLVVKFVERGLLKQEN* >Brasy5G284100.1.p pacid=40073548 transcript=Brasy5G284100.1 locus=Brasy5G284100 ID=Brasy5G284100.1.v1.1 annot-version=v1.1 MVHGFALKLRFDANVPLLNALVAMYGKCGSVSSAKELFDGMATRTLVSWNTMVAMYEQNGDGVQAIQFFRRMLTEKVGFDCVTLVSVLSACARSGALETGKWVHELARSHGLDNDARIGNILVDMYAKCGEIAHAREVFDGLREPGVVSWSAMISAYANHGEHEEALKLFSLMKAEGVRPNSFTVTAVLVACGHSGLVDEGLKHFNSIAMEYQMSPTLEHYACMVDMLGRAGRLVEAYEIIRGMSVQPDKCVWGAFLGGCRLHGNLELAEFVATDLFQSGSNDVTFYVLMANMYFEAGMPEDAARMRRAMKEMELKKTAGRSSLSLCTSRERRAII* >Brasy5G154300.1.p pacid=40073549 transcript=Brasy5G154300.1 locus=Brasy5G154300 ID=Brasy5G154300.1.v1.1 annot-version=v1.1 MASSKEPPTESAEEKPADDSSLPATAVVAEEPSSPAADAAGDVEVIYVMPREQLDHILSWEISEEDKYPRFDPAVDDEDGFSSADYNRACDNLRKAHLELMEYQAQVRQEYEAYGYVRVDPAQYGEEYEKRVAEKLEADFLAVFGPDPCFDCSDSDDEEPCVFQKLILDGFEEYA* >Brasy5G455600.1.p pacid=40073550 transcript=Brasy5G455600.1 locus=Brasy5G455600 ID=Brasy5G455600.1.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVAGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGNKNSSNSHIDLDIEKNASSEPDSGQGFHEGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPAQHATGVSSPGAHIFAEGNVNSNTGELESLDALDNHVAFSNIEVQVNTGIDASVETSALPDEQLNSELEVDEYAEHNFSGDEDTNSLFRDLFHFDEDDHVESVHGQESPEKSAIGQDDSDLGPGIWQTSGQEFEEETNTELHQDYKEESNISEPALVEGLGNGMTTETSPLPDGQPNPELEGDKSKEHGCSFGREKNQISVEEYNEDNED* >Brasy5G455600.2.p pacid=40073551 transcript=Brasy5G455600.2 locus=Brasy5G455600 ID=Brasy5G455600.2.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGNKNSSNSHIDLDIEKNASSEPDSGQGFHEGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPAQHATGVSSPGAHIFAEGNVNSNTGELESLDALDNHVAFSNIEVQVNTGIDASVETSALPDEQLNSELEVDEYAEHNFSGDEDTNSLFRDLFHFDEDDHVESVHGQESPEKSAIGQDDSDLGPGIWQTSGQEFEEETNTELHQDYKEESNISEPALVEGLGNGMTTETSPLPDGQPNPELEGDKSKEHGCSFGREKNQISVEEYNEDNED* >Brasy5G455600.3.p pacid=40073552 transcript=Brasy5G455600.3 locus=Brasy5G455600 ID=Brasy5G455600.3.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVAGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPAQHATGVSSPGAHIFAEGNVNSNTGELESLDALDNHVAFSNIEVQVNTGIDASVETSALPDEQLNSELEVDEYAEHNFSGDEDTNSLFRDLFHFDEDDHVESVHGQESPEKSAIGQDDSDLGPGIWQTSGQEFEEETNTELHQDYKEESNISEPALVEGLGNGMTTETSPLPDGQPNPELEGDKSKEHGCSFGREKNQISVEEYNEDNED* >Brasy5G455600.4.p pacid=40073553 transcript=Brasy5G455600.4 locus=Brasy5G455600 ID=Brasy5G455600.4.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPAQHATGVSSPGAHIFAEGNVNSNTGELESLDALDNHVAFSNIEVQVNTGIDASVETSALPDEQLNSELEVDEYAEHNFSGDEDTNSLFRDLFHFDEDDHVESVHGQESPEKSAIGQDDSDLGPGIWQTSGQEFEEETNTELHQDYKEESNISEPALVEGLGNGMTTETSPLPDGQPNPELEGDKSKEHGCSFGREKNQISVEEYNEDNED* >Brasy5G455600.5.p pacid=40073554 transcript=Brasy5G455600.5 locus=Brasy5G455600 ID=Brasy5G455600.5.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVAGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGNKNSSNSHIDLDIEKNASSEPDSGQGFHEGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPACYWCQFTRSPYFC* >Brasy5G455600.6.p pacid=40073555 transcript=Brasy5G455600.6 locus=Brasy5G455600 ID=Brasy5G455600.6.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGNKNSSNSHIDLDIEKNASSEPDSGQGFHEGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPACYWCQFTRSPYFC* >Brasy5G455600.7.p pacid=40073556 transcript=Brasy5G455600.7 locus=Brasy5G455600 ID=Brasy5G455600.7.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVAGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPACYWCQFTRSPYFC* >Brasy5G455600.8.p pacid=40073557 transcript=Brasy5G455600.8 locus=Brasy5G455600 ID=Brasy5G455600.8.v1.1 annot-version=v1.1 MDFARMKRRELQVLCKGHGLPAGGTNADLVARLNATLSGGSGVEDEAVGVVVGNGCFKRSAGGEGSDAAKKVSFVLEDKAEVDVGGRRRLRSQGTGSPVAPKKRGRPKKTIPGAGGSGGERRRTRSQVGAGDGDAGEAVADAPVRRSRRNAVDLGAGDGAERVGRVVTRNRSAKAEHEEGLGEAVDRKHQRKRKARENDAEDVDDNGTVGVSRRITRSSGMLPGPAIVPSPVVEKKRGRRKAGDVKEQTFVKEQATEVQHVGRTLRSGLVRDVGPVLPIVAESKLVRTTRSSSTHEEDPTAEKVGKVEIFGRTTRSSSVAVAAKLPIVVEKKKRRKTEDVQLNGEPLSVPEVPVNNVPVMRVLRNRAVQVNGSVLEETHSGKKLENKRPSTRLGTSSCMNQDLASSVDEETQERVAAPCKGLPRRRSKRNHSEESDGGKVSAIEMRMEVLEPVRRSHRKSVVPSFFEYGTKGMHEEVEKQQTVLKPVKERKGLTTEMIPKSHLKRPTRKSVVPAMIEKGRKGLTTETIPEVRVSRSTKKSVLPIVNSENNDHGGIIRSGDVQNESEEVEKQPVRRLTRKSVVLAVPMKERKSGAAEMNLDAHVVVRRSMRKSVVPNLLNNDDSDQIEMQSAKRGAVEKQVVVKEPVRRSKSVFQDVLHEKLKSEVPVSRLVGKSITPNVSDKEHKDHREMVRREESSVRTRTSRTRLQFAVQNDESLRRTTRNSSKLVISPLQSELAASKLRPAKRRRTAAVQEVRPVEEHKEKQIADIANTTDVIEAGRAIDPDSGVLPLPAGERSNLRDEQLNSNLEVTEVVESAPSGDKDADNILEFGSAVDSVDIRNPSNSSLLASSMDLCQQQELPGGLLVEKSFEESAMCLKSCDLGAVGAIEKSPCDLAIPDGKQADSLLKEAVHSMKNDAGRCSPNVEQSPIGLQALFNHGNAEELNTHNAIPCSENEVKEPDVLKVECRAETIVSSGLDSYQEPHEDLSRIEENGGCMVLSQHNNGQEGFSLSSQTKDLVASTLSGLSEDANHIVREIITEDVICNKDEEKDLVPSSDVDAAYELHADEPEELVSSVSEAQFCISRSTPTFNKVNLNSDSSQAESLNASDNRIASPHVSHWAKECLMDPCQEQELKLPDDLSVLKSTEGAAVCQDESVIGPGICQTIEQTCTDVISRKDHEEKCNEHNEDQVTSGILASDTSEPAVVEGSENGATLLRTAETFAFPGDQVNTKLEGNVDYSLSCDKDTSNTFDTGSFGNNNLSSLKDPCMDPYHNQELPNDIPAPKSLATAKTWEFPDEQVDEKLEGDEEYSLDKDASNISDTGSRLNNPSMDPCHDEELPNDMPAPKSIPTAEMLAFPDEQVNAKVGGDEECNLSCDKDTSNISDTRWFVNKNISSLKDSSIDSRHDQVFPDDMPTPKSPEEYAVCLDGSVSESVGICQTSGRRRVEEISTKLPSFKISSTVKGSYIVMDVPRSADQWNNLTESAIALHRDSENTAVAEIDHLKPNTDHLVAMDSSAEVVQSISVPPKDAKFAMDNPDNKPDMGKVQQDVVQVQEGTPEKTALVSATPEHKYECRLPDDAELHSVKNDRCSSSVEQSPFLQSLFSQKRTEVPMEYGVLSLAGVHADNRVCEAKDCDVECGVENSPLREPIVDHDAHVDFTSHQDTENEGLKACHEQEQDASGQFEFLEAANYTQDTNSKELFHEDEENKDPVHFTDMITSCETMDANGPIHHASRISDSLLCNSLITPTNGNDKVQLSSNQNQLESTDYLDDQNQIGCCNTEVRQQGLEEQCEEQKEDQDAHGISINNIIEAETAIDLESGGLPLPAEERSNSSAGSSQVENVGYLYSLEVYNEQEGSLNSSRNKEFVTSPQLDSSDDVNRKESENFTEEVICKEDEKWEFVPSPDIDAPLEKSHTSGPACYWCQFTRSPYFC* >Brasy5G171300.1.p pacid=40073558 transcript=Brasy5G171300.1 locus=Brasy5G171300 ID=Brasy5G171300.1.v1.1 annot-version=v1.1 MQRERERERERDTGRLEKAGSVGALSGSGARAVAGTGVDLHGGAMLIYGHGGGALLHCTRLRERESAGSEAADPAANGVLDGGSGWWRRSGGEEVVRQGGEVAPRPVVAGSTGSGGRGGAPDSGPRPPDPQAWGAWSPSRRRWERRAPGRRRSSWRGRHAGWRRGGERLLRRRARVVEERRGSGG* >Brasy5G243900.1.p pacid=40073559 transcript=Brasy5G243900.1 locus=Brasy5G243900 ID=Brasy5G243900.1.v1.1 annot-version=v1.1 MKTRDSRYRPYLVGSLPSPRPQSIHSPFPSAVRPLFAVGPLDLGSPHTDPALRPHGRRDRGLAAAALSTWALRDPSLQHRRTHVAGATRFLRLSSPSTRRTSFSETAGDLGPEPAEDLGRDGRGPATHCRRISTGEKNHRSNQCASTAAGRNRRSQEPVPGARSSPSPYHRRWCAVAAAAGRERGRAVARFAVRWGLAKFWASGKAGEPPARPGSRHVPRRGGREDLEQLNTYGTHFRFARRSV* >Brasy5G353400.1.p pacid=40073560 transcript=Brasy5G353400.1 locus=Brasy5G353400 ID=Brasy5G353400.1.v1.1 annot-version=v1.1 MGIQGNKATHDFLSLYAAAAAKDSSLHDSKPPAASQGFFLKTQDFLQPLEKPAPAPSGVDRQQQQQQQTKHALPGGIGTFSISPVPGARPAPAAAVVVKPEPPFVLWGQPTAAHPTAQGHNRWTLPFAGAGRVGPAPRQHRKGRGGAAFMDSGSRSSGGAGFDDDDGGLAARREVSSSLQELAVRVDGKGGSCSGSGTDQRPNTPKSKHSATEQRRRSKINDRFQILRDLLPHTDQKRDKATFLLEVIEYIRFLQEKAQKYEASFPEWNQENAKLLPWSNIYFRSSWKSAQSKGQIPEDALPDPSQFVTNGSSPGFNITGKLDDNHTTVASGAAAATPDLAETDHMASVSCRSAETPINITNNVTSQYQPQWAGPSGVDDCAVNSSMLNDQQLTIDEGTISVSSQYSQELLNTLTHALQSSGIDLSQANISVQINLGKRAVKRSVAGQSSSSKELTDPGPSNEMVAAEELPHATKRHKSGNT* >Brasy5G325600.1.p pacid=40073561 transcript=Brasy5G325600.1 locus=Brasy5G325600 ID=Brasy5G325600.1.v1.1 annot-version=v1.1 MKPRDFLDRIRRPFSSSSSSRSAAAAASRREEEDLEAIAAREQRSFRYETLAAATRNFSAKQKLGQGGFGPVYRGRLADGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLLGYCAHGVDEKLLVYEYVPNESLDKILFSAAPAPPPANNHTHSGSSSDGDRPRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVAKIADFGMARLYPEAGDGRSHVQTRVAGTNGYMAPEYLMHGHLSAKADVFSFGVLVLEIVSGRKNSSFIPPPGSDSDNLLDYAWKLHKKERSLELLDPAVKSSAVPEQVLLCIRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGAPGSRYRRRAHGHSLRSSQYSDGSSSGTTPSTSATSHASASASASNAMTTSSTHTLRSQGLPSHREERELPPNSS* >Brasy5G325600.2.p pacid=40073562 transcript=Brasy5G325600.2 locus=Brasy5G325600 ID=Brasy5G325600.2.v1.1 annot-version=v1.1 MSLVRIQQFALIITIQLVGFGPKGRSCEGTWPRRTGPVGHCVFSLYSVLKTGRKKLVALPTLDGWPSLLRRFPILALHVLKKRTGSSSDGDRPRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVAKIADFGMARLYPEAGDGRSHVQTRVAGTNGYMAPEYLMHGHLSAKADVFSFGVLVLEIVSGRKNSSFIPPPGSDSDNLLDYAWKLHKKERSLELLDPAVKSSAVPEQVLLCIRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGAPGSRYRRRAHGHSLRSSQYSDGSSSGTTPSTSATSHASASASASNAMTTSSTHTLRSQGLPSHREERELPPNSS* >Brasy5G191500.1.p pacid=40073563 transcript=Brasy5G191500.1 locus=Brasy5G191500 ID=Brasy5G191500.1.v1.1 annot-version=v1.1 MQRLLPQQATYSALPNSPFPSPPSPTSPATMATTEGDPTQAHTWQLPEEAERELEQLEERHGERADPQARRQLAELAEAEEAAAARVLRRIAESRRHVRNLSAYIGWMVARRDELDDPRSPFRCAACGSGRPSRQGDSVLGGMMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKPLLMQIRLFHDGFAVKGTLLVDKRLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G191500.2.p pacid=40073564 transcript=Brasy5G191500.2 locus=Brasy5G191500 ID=Brasy5G191500.2.v1.1 annot-version=v1.1 MQRLLPQQATYSALPNSPFPSPPSPTSPATMATTEGDPTQAHTWQLPEEAERELEQLEERHGERADPQARRQLAELAEAEEAAAARVLRRIAESRRHVRNLSAYIGWMVARRDELDDPRSPFRCAACGSGRPSRQGDSVLGGMMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G191500.7.p pacid=40073565 transcript=Brasy5G191500.7 locus=Brasy5G191500 ID=Brasy5G191500.7.v1.1 annot-version=v1.1 MMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKPLLMQIRLFHDGFAVKGTLLVDKRLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G191500.8.p pacid=40073566 transcript=Brasy5G191500.8 locus=Brasy5G191500 ID=Brasy5G191500.8.v1.1 annot-version=v1.1 MMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G191500.3.p pacid=40073567 transcript=Brasy5G191500.3 locus=Brasy5G191500 ID=Brasy5G191500.3.v1.1 annot-version=v1.1 MQRLLPQQATYSALPNSPFPSPPSPTSPATMATTEGDPTQAHTWQLPEEAERELEQLEERHGERADPQARRQLAELAEAEEAAAARVLRRIAESRRHVRNLSAYIGWMVARRDELDDPRSPFRCAACGSGRPSRQGDSVLGGMMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKPLLMQIRLFHDGFAVKGTLLVDKRLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPRSLFLVI* >Brasy5G191500.4.p pacid=40073568 transcript=Brasy5G191500.4 locus=Brasy5G191500 ID=Brasy5G191500.4.v1.1 annot-version=v1.1 MQRLLPQQATYSALPNSPFPSPPSPTSPATMATTEGDPTQAHTWQLPEEAERELEQLEERHGERADPQARRQLAELAEAEEAAAARVLRRIAESRRHVRNLSAYIGWMVARRDELDDPRSPFRCAACGSGRPSRQGDSVLGGMMYHHDEMAFDLTNHARFKTESLSPLCFHSHQSRHDRLNPDSPAKRRQLSGSPDRGFGSRSQYQVETDSPVGCPISSPRRMASIPSPVRSITKCVNQLGAPATTRGIASRGILCPRMRALGDLEFDKVFLIYVYLAGKKIEDVSLLHEEYIRSLHSLTMDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPRSLFLVI* >Brasy5G191500.5.p pacid=40073569 transcript=Brasy5G191500.5 locus=Brasy5G191500 ID=Brasy5G191500.5.v1.1 annot-version=v1.1 MDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKPLLMQIRLFHDGFAVKGTLLVDKRLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G191500.6.p pacid=40073570 transcript=Brasy5G191500.6 locus=Brasy5G191500 ID=Brasy5G191500.6.v1.1 annot-version=v1.1 MDHFESEIWHKFGHKFVAKSERRKNHDWDPRKTRVYHCCIEEIDDSIVTIFKGPYLEDTRTHLQKVVGDDNVLVVKFSDISRHTSADNNFGSFEDGIILGLRLYRFIIFKDGGKEEKRNEEMKNKEGNKKFTSSVRCYFIRTESGWERDYPYILSNKTIAEVRKLFMHIHTVPTVAKYLARLCLILSKTITWLDADDLQSVRVEIIDDVPCKDRNGNIVVNHGEALIHTDGTGLISEDLANKCPAGVFKGKVLRTDDLKLPCRTICIRPSMIKVASDRNLLDGQSFNSLEIVTTSTRPKRAFTSRFLITLLHYGGVPVDYFLELLGKAIKDAEKVCHKTSDSLEVAFNHSDMDDSMSAWMILSGIQPKDDAYLQSQLDTMTREERKGFKLGRIPIDESYYLMGTADPTGTLKPNEVCVILDNGQLSGEVLVYRHPGLHFGDIHLMTATHIPRLEQDIVGNRKYAIFFPITGPRSLADEMSNGDLDGDMYWVSRNPQLLEHFRPSEPWVQRYPPKRTAQKKPEDFVGSQLESLLFREFYKTRFKPSYAMGTAANCWVVYMDRLLTDEVQENKREWELIKNKMLELVDIYYEALDAPKTGNEVTIPRNLRVKKYPHHMERKAHDEYHSTSVLGKIYDEAISQQSEIFPPIKISPLPCFTEEPVTKECKHLWACRYQEYRREASDIYKVDCDKEEKDRRFVELYQKYKRMLYNAAEFEESPRGRAEVFEEACAIYQLVYEHVIWSNAEARTCGFAWKVGGQALCQLHAIKRGGDTILCSRSVLRDAFGKDRRGT* >Brasy5G335200.1.p pacid=40073571 transcript=Brasy5G335200.1 locus=Brasy5G335200 ID=Brasy5G335200.1.v1.1 annot-version=v1.1 MANSAALSPRSILTLSLLLCLAPPHPLTAQGQQAPITNAPLMPWFAFSWLGYKSSFRAGDTAVIMIRALDLSDAGLVRRTASFSATVNNKAGNSTYITDVAAHLEGDPASWNLTFVPIRAGDFVVSVAEERLSIGVSTLQYSVAAAGVHPSASLVSWMYYSGCVAGSKAFLSVIPRDGFGNNVTRGTDMPGDGGGVGYFWVEGEYGNGTAVGFLDFHYNGWTEDGCLSLEFVPTVAGDFLVRVYGGDNRALRGSPLLLTVKPGPLDTAKSTCSWKHGTNVLQIFSKLEIFINQKDSYGNLVPEIHPFDAGVVERASNLSVPVVDLLIEVVAEGIQLLSFKVVEPGEFVLTMFDARLNQRISNMVYIYDVFVGYCDGSNSLANGSGIAQSVACSVSSFMIYLEDQYRSPSPVETAMLQVQILSKNGKSDVNPIISAIREPNETVSMDGTSSSDQGPADHQKIIAGNTTLKASHFNVSYTPEIAGEYDIWVLCGNIVLNNGNPYAMTVSPGAVNTSLSGAPLFDPMVKRLVRNEITVRLLDSSMNPVVSLEPKLRLHLTSANITTMMNTSSFVAEEFVNNKDGSYTARYVAKYLGSYSMCVKFDNVQLAPCPFEVHVLADEYFSEVQNDSISVWEDESVSFDLLSNDYIAGGQVQVVNLSSPLHGSVVDYNQSYRYTPFEGFFGNDSFSYTVSDKHSNVVSGAVFISVLCRPPQFISLPKQLHFTEDIIGPKFGGFLGIQIIYSDTTENLSVTARAQSGNVLLAPMPMKLQQTPDDVLSVSRGGSSGKELKLQGMVEAINAALKFLKYLGNEDFYGNDVIMLYAMNRNGMKHAELPIFVEPINDPPVILAPKSIFLGGKESREGYQIFDKQRDAFAFSIVEPDLRHYPGNKSHLLLELSLEVLEGTLVMTLPAGIVATAELMTDGNNHWQSLQTYVAIAHHFVLRGTGIRFRGDVLDCNNVMQRLFYQGPSHDTMLSITVNDLGNYGCYPDCSEMISRPLSTAKTVRLVKTKSTNSRRAILMGSAIAIEILAMLCLGGVLLYFLLKCMNALRGKQGDQVENEMHKPEQTPLRQHMSAPPSDDAGYCSAPAAVLSFGGNRPSFRQRSSRSCKQEMELQPLSGIRNDGNQNAQPVVDKDK* >Brasy5G335200.2.p pacid=40073572 transcript=Brasy5G335200.2 locus=Brasy5G335200 ID=Brasy5G335200.2.v1.1 annot-version=v1.1 MANSAALSPRSILTLSLLLCLAPPHPLTAQGQQAPITNAPLMPWFAFSWLGYKSSFRAGDTAVIMIRALDLSDAGLVRRTASFSATVNNKAGNSTYITDVAAHLEGDPASWNLTFVPIRAGDFVVSVAEERLSIGVSTLQYSVAAAGVHPSASLVSWMYYSGCVAGSKAFLSVIPRDGFGNNVTRGTDMPGDGGGVGYFWVEGEYGNGTAVGFLDFHYNGWTEDGCLSLEFVPTVAGDFLVRVYGGDNRALRGSPLLLTVKPGPLDTAKSTCSWKHGTNVLQIFSKLEIFINQKDSYGNLVPEIHPFDAGVVERASNLSVPVVDLLIEVVAEGIQLLSFKVVEPGEFVLTMFDARLNQRISNMVYIYDVFVGYCDGSNSLANGSGIAQSVACSVSSFMIYLEDQYRSPSPVETAMLQVQILSKNGKSDVNPIISAIREPNETVSMDGTSSSDQGPADHQKIIAGNTTLKASHFNVSYTPEIAGEYDIWVLCGNIVLNNGNPYAMTVSPGAVNTSLSGAPLFDPMVKRLVRNEITVRLLDSSMNPVVSLEPKLRLHLTSANITTMMNTSSFVAEEFVNNKDGSYTARYVAKYLGSYSMCVKFDNVQLAPCPFEVHVLADEYFSEVQNDSISVWEDESVSFDLLSNDYIAGGQVQVVNLSSPLHGSVVDYNQSYRYTPFEGFFGNDSFSYTVSDKHSNVVSGAVFISVLCRPPQFISLPKQLHFTEDIIGPKFGGFLGIQIIYSDTTENLSVTARAQSGNVLLAPMPMKLQQTPDDVLSVSRGGSSGKELKLQGMVEAINAALKFLKYLGNEDFYGNDVIMLYAMNRNGMKHAELPIFVEPINDPPVILAPKSIFLGGKESREGYQIFDKQRDAFAFSIVEPDLRHYPGNKSHLLLELSLEVLEGTLVMTLPAGIVATAELMTDGNNHWQSLQTYVAIAHHFVLRGTGIRFRGDVLDCNNGPSHDTMLSITVNDLGNYGCYPDCSEMISRPLSTAKTVRLVKTKSTNSRRAILMGSAIAIEILAMLCLGGVLLYFLLKCMNALRGKQGDQVENEMHKPEQTPLRQHMSAPPSDDAGYCSAPAAVLSFGGNRPSFRQRSSRSCKQEMELQPLSGIRNDGNQNAQPVVDKDK* >Brasy5G463300.1.p pacid=40073573 transcript=Brasy5G463300.1 locus=Brasy5G463300 ID=Brasy5G463300.1.v1.1 annot-version=v1.1 MSTSRLRRSGGRQFAVGGGVSVSVGRWRHVAVVDGVGCGCRPRRPSASRLLMSLPSFLRPSPKPPAAAAAPGPRRNASFSSGRSTHSSASSSFFPASGAASSASSSTTYSHDMTTTTKAPAPAPAPRKKKAKKRREEKLMAGAEEEEGDVGVAVEKESSDPRGDFRDSMVQMVVEMGLCDWDGLRCMLRRLLALNAPRHHAAILAAFAEVCAHLASSSSSAPPPPSSPPPPAAAYQYQYQYHHYDDYYSY* >Brasy5G440200.1.p pacid=40073574 transcript=Brasy5G440200.1 locus=Brasy5G440200 ID=Brasy5G440200.1.v1.1 annot-version=v1.1 MDDPDESTTAPPPPVTLAGAVADGKLQLAGALSREEVLRRRRRRLMQLHSLYRSQLWALAEDLHAKHAEYWWHHGASPVVAEPPQLPALPLLGNGRGAASVGNCWGAGGTAAFPPANFGHPLLPPANGGGAGAPVTPQAVGGGRAACSAANCAANAIPCAPYCFDHILLDPKQQLYKPCTFITKRSSMPNVKETCGKPVLRGITPSRCSHHDPKNQKTIVEALRNAGIDLPLESKVPKLSLLISEAVRQIQTKRKLFLVRSKAASRKLSK* >Brasy5G440200.3.p pacid=40073575 transcript=Brasy5G440200.3 locus=Brasy5G440200 ID=Brasy5G440200.3.v1.1 annot-version=v1.1 MDDPDESTTAPPPPVTLAGAVADGKLQLAGALSREEVLRRRRRRLMQLHSLYRSQLWALAEDLHAKHAEYWWHHGASPVVAEPPQLPALPLLGNGRGAASVGNCWGAGGTAAFPPANFGHPLLPPANGGGAGAPVTPQAVGGGRAACSAANCAANAIPCAPYCFDHILLDPKQQLYKPCTFITKRSSMPNVKETCGKPVLRGITPSRCSHHDPKNQKTIVEALRNAGIDLPLEKRLEVGILSNFGQYSARKLPSSNLGRRKQM* >Brasy5G440200.4.p pacid=40073576 transcript=Brasy5G440200.4 locus=Brasy5G440200 ID=Brasy5G440200.4.v1.1 annot-version=v1.1 MDDPDESTTAPPPPVTLAGAVADGKLQLAGALSREEVLRRRRRRLMQLHSLYRSQLWALAEDLHAKHAEYWWHHGASPVVAEPPQLPALPLLGNGRGAASVGNCWGAGGTAAFPPANFGHPLLPPANGGGAGAPVTPQAVGGGRAACSAANCAANAIPCAPYCFDHILLDPKQQLYKPCTFITKRSSMPNVKETCGKPVLRGITPSRCSHHDPKNQKTIVEALRNAGIDLPLESVKVHLIIKKENKY* >Brasy5G440200.2.p pacid=40073577 transcript=Brasy5G440200.2 locus=Brasy5G440200 ID=Brasy5G440200.2.v1.1 annot-version=v1.1 MDDPDESTTAPPPPVTLAGAVADGKLQLAGALSREEVLRRRRRRLMQLHSLYRSQLWALAEDLHAKHAEYWWHHGASPVVAEPPQLPALPLLGNGRGAASVGNCWGAGGTAAFPPANFGHPLLPPANGGGAGAPVTPQAVGGGRAACSAANCAANAIPCAPYCFDHILLDPKQQLYKPCTFITKRSSMPNVKETCGKPVLRGITPSRCSHHDPKNQKTIVEALRNAGIDLPLERMYRRIFKE* >Brasy5G220200.1.p pacid=40073578 transcript=Brasy5G220200.1 locus=Brasy5G220200 ID=Brasy5G220200.1.v1.1 annot-version=v1.1 MKQQVLSSGHGGGRVSSPALVAAARTRAPRAAAIKEGSSPPAPAPATPRARRLVRVSTNREERVVNGAAAKPRRHKEDAEEEMRRLRGEVEALRKDVERLQQLNSELECHKSGLTHQLSLACCTITRLQEQHDIHLAQTVSQRSNQKDSAVSKPQAPKPPSPPPPPPPPGKISGRAPAPLPPPPQRGTISTVNKATALVEMYNSLNKRDTKKATAVSTAHHNSIVGELQNRSTHLLAIKTDVETKGEFINGLINKVHTTTYTDVEQVLTFVDWLDQQLSTLSDETGVLKHFSWPERKADALREAAFEYRDLKCVVTEISSLNTDDGSPTSCEATLRKISSLLDKLEKSMKRLVNLRSSAMPCYKGFQIPTEWMLDSGIASKMRVASVKLAKVYMKRALKEIMADTGGGNEAGLVAQSVRFTYRVHQFAGGLDSEAMRAFEELTQRSRLTAA* >Brasy5G498700.1.p pacid=40073579 transcript=Brasy5G498700.1 locus=Brasy5G498700 ID=Brasy5G498700.1.v1.1 annot-version=v1.1 MSRIHPNATAEPSSRRRRRAASGSASAARCCVGTSSSTAAAAAAYTVWMRSSMGFQGTDGVSAYDAAGELAFRVDNYSRRPKLLAGELLLMDGRGLPLLSLRPQIISMHDQWNCYRSASPEEECHGKSARSSQSQRLFSVRKCSVLLQSSREAEVYMCTTTADASAPDHGGPSFWVEGCFRRRSCKVRRGSDGEEVARIARKKAGTGDDNKVGPSTLLGEDVFSLVVQPGVDSTTIMALVVVLDRICRRPYTPLMCSS* >Brasy5G153300.1.p pacid=40073580 transcript=Brasy5G153300.1 locus=Brasy5G153300 ID=Brasy5G153300.1.v1.1 annot-version=v1.1 MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIEWLPFWSSMKLIFISWLVLPYFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLTALNKYIEENGPEALKKLTDKAGKSSKQSGKLWKGLKESKSSKESKEPKVSKPSKDSKPLKDSNEKKKPVKDSKEQKKALSDSKELKQSLKDWKELKKALKDPKEPEQLKDSNEPAPKKSSKRVTFAEVEPEKEFRVSNSYWNPSSDYHSTYPEHNSWNSSFMIFDEGHSYWNP* >Brasy5G304900.1.p pacid=40073581 transcript=Brasy5G304900.1 locus=Brasy5G304900 ID=Brasy5G304900.1.v1.1 annot-version=v1.1 MNRGKESQRERRMGSGGNGEGEVEGDGERARGRGRWGRAGMERERDRGRETEGKGDGVGREGRGRWWPAGKEREMGAGEREMVAAGREREMGAGEREMVAAGREMEMGAGEREMVAAGREMGAGERESCAAGSEREGDGGRPEGRWARGRGRAAPPDLSERESRI* >Brasy5G029800.1.p pacid=40073582 transcript=Brasy5G029800.1 locus=Brasy5G029800 ID=Brasy5G029800.1.v1.1 annot-version=v1.1 MEEVEVANRAAVESCHRVLALLSQQQDPALLKSIASETGEACAKFGKVVSILNNGGSSGGGHARGRFSRRSRPVELMRQKGLLESSSNTPLGILMSSSTAATPSPSAGSSAAAQLRAHVGAPPPDLHRLDLVSSSSKSAHQFGAPKMVQPLSVQFQFGAIAHRYPFQQQQKLQAQMFKRSNSGTSLKFDSPSGTGSMSSARSFMSSMSMDGSVASLDRKPPMHLTGGPTASEPLNVHHGARKRRCMGRGHGDKCTVDNGCHCSKKRRKLRIKRSIKVPAISNKISDIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDEPAMLAVTYEGEHNHNRLPTQSALT* >Brasy5G029800.2.p pacid=40073583 transcript=Brasy5G029800.2 locus=Brasy5G029800 ID=Brasy5G029800.2.v1.1 annot-version=v1.1 MEEVEVANRAAVESCHRVLALLSQQQDPALLKSIASETGEACAKFGKVVSILNNGGSSGGGHARGRFSRRSRPVELMRQKGLLESSSNTPLGILMSSSTAATPSPSAGSSAAAQLRAHVGAPPPDLHRLDLVSSSSKSAHQFGAPKMVQPLSVQFQFGAIAHRYPFQQQQKLQAQMFKRSNSGTSLKFDSPSGTGSMSSARSFMSSMSMDGSVASLDRKPPMHLTGGPTASEPLNVHHGARKRRCMGRGHGDKCTVDNGCHCSKKRRKLRIKRSIKVPAISNKISDIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDEPAMLAVTYEGEHNHNRLPTQSALT* >Brasy5G100100.1.p pacid=40073584 transcript=Brasy5G100100.1 locus=Brasy5G100100 ID=Brasy5G100100.1.v1.1 annot-version=v1.1 MPPKRYTAPRAQAGDPAPKKPKEKERPLGMSNADWAADVTRRNVENASRRERERRAKERNADLARQMEAQRAAASAGIPVPRPPSTQHWSSSSSPSPVSPHMPHDHQGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGGFNPHTFASPPLRRGPLSYGAVPRRMQPAPNPFGGMSQGDSIMADMINDGSQHAHFTYTQEEEEPYTAEDTEEREEWADGAEEPAVAASKGKKKGAAEKKKSGGGGRGPNGPPRKTTWKVVSLDPFTGANQSGDTYWRRVKTAYDERRVIDWEFASVTHDRNESGLSHRWQMIQQARNKWHGIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDGAEFKFIHVFARIETCDKWTETRAGLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKRDELAAKREEVAASRWATVIKKQDDKLEILKANVLAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAETRAPAPAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >Brasy5G247200.1.p pacid=40073585 transcript=Brasy5G247200.1 locus=Brasy5G247200 ID=Brasy5G247200.1.v1.1 annot-version=v1.1 MLCRPGNLGGVSSPAAYSLRRAFRSAASLEAILSHSHPSKISDGGQEAGAAHLALYNYPTFAGAYAALVADLFHRRLRRRLLVLPFSSVEPFRAEDFRAAGFHSCYLLDFIGPGNFALELSRFIPSVVAFDHRQSTLARIPKLGRCPSNLELRIDTGKCSARAVFDYFSEKLAGAKSESELPENLLCQEDGERVLNVLKYVEDADLRRWQLPNTREFHTALRDERAKLNCVTNPHVFEQLLQLDVSELLARGKSFAQNRLEAARKLIYNPFKMHLGRGLYGECLAVRADRNSELSHEIGLELSQMSAAAGLRPIGAVVFMQRGIVKICLRTMDNTTNTAEIAKAYGGGGKPSSSSLALRMDEFNAWTRD* >Brasy5G247200.2.p pacid=40073586 transcript=Brasy5G247200.2 locus=Brasy5G247200 ID=Brasy5G247200.2.v1.1 annot-version=v1.1 MLCRPGNLGGVSSPAAYSLRRAFRSAASLEAILSHSHPSKISDGGQEAGAAHLALYNYPTFAGAYAALVADLFHRRLRRRLLVLPFSSVEPFRAEDFRAAGFHSCYLLDFIGPGNFALELSRFIPSVVAFDHRQSTLARIPKLGRCPSNLELRIDTGKCSARAVFDYFSEKLAGAKSESELPENLLCQEDGERVLNVLKYVEDADLRRWQLPNTREFHTALRDERAKLNCVTNPHVFEQLLQLDVSELLARGKSFAQNRLEAARKLIYNPFKMHLGRGLYGECLAVRADRNSELSHEIGLELSQMSAAAGLRYKTNWSSCLYAAWYREDLPEDNGQHN* >Brasy5G247200.3.p pacid=40073587 transcript=Brasy5G247200.3 locus=Brasy5G247200 ID=Brasy5G247200.3.v1.1 annot-version=v1.1 MLCRPGNLGGVSSPAAYSLRRAFRSAASLEAILSHSHPSKISDGGQEAGAAHLALYNYPTFAGAYAALVADLFHRRLRRRLLVLPFSSVEPFRAEDFRAAGFHSCYLLDFIGPGNFALELSRFIPSVVAFDHRQSTLARIPKLGRCPSNLELRIDTGKCSARAVFDYFSEKLAGAKSESELPENLLCQEDGERVLNVLKYVEDADLRRWQLPNTREFHTALRDERAKLNCVTNPHVFEQLLQLDVSELLARGKSFAQNRLEAARKLIYNPFKMHLGRGLYGECLAVRADRNSELSHEIGLELSQMSAAAGLRVVS* >Brasy5G260300.1.p pacid=40073588 transcript=Brasy5G260300.1 locus=Brasy5G260300 ID=Brasy5G260300.1.v1.1 annot-version=v1.1 MASSSSPHLLLLLLFAAAAYSAGANDLVGELQSLRSRSPSGVIHLTDTSVTRFLSSPTPRPYSVLVFFDATSLHSKSDLHLPQLRREFALLSASFLANNPASSDLFFADIEFSESQHSFSQFGVNSLPHVRLIRPDHSRLADSEQMDQSHFSRLADSMVEFVEARTGLEVGPIVRPPLVSRNQVALLVILFLMSVPFGIKRIAQGDTMLHDPKLWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRADPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMLAVVTHLLVKVESLQTQRFAMLAVMVVGWWAVRKVIFLDNWKTGYNIHTFWPSGWR* >Brasy5G129200.1.p pacid=40073589 transcript=Brasy5G129200.1 locus=Brasy5G129200 ID=Brasy5G129200.1.v1.1 annot-version=v1.1 MHTGRLLTLLLLLLAADRSLALGIFGAPPPSSPGDQDSSCSRTCESVYCSGTIEAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMWCNQSLLDCVAAVGTAAAAAAGGAAVVWATFEGNRCNATDVADEITAILEAAVYAERILHHGSAP* >Brasy5G035700.1.p pacid=40073590 transcript=Brasy5G035700.1 locus=Brasy5G035700 ID=Brasy5G035700.1.v1.1 annot-version=v1.1 MGGDEEARRAIRKLLEEHLESVVCLRVYKELKPESVVTGREEGREESVVTGRSRPRGRQDEEAAGEDPQQLVEGTRYTRLYSVYCFPAEQPEYVQLGSSRTRLPPRFRVSRRLSKGPRPRRIRSPPEAEIQKRGVRREKRGGRQGRGGGSTVPPQLFVMEGAEGSSGAPLFTENRRVMGIWISQIGGNKFGVLVKSICEWLYKAFNIKRTYHQQESMTIAVAELASTYSRCLGRA* >Brasy5G516200.1.p pacid=40073591 transcript=Brasy5G516200.1 locus=Brasy5G516200 ID=Brasy5G516200.1.v1.1 annot-version=v1.1 MGGTSRSSIGHILPGAGFFAVGLWHLFNHIRLFSLCPGSYVAPVWFPVPRARWRYLEPALVIAGSALEFAMEMFVDHSTLLPFDADGSIPADRLHNHEHAIICLSLVVYAGAAVHLDRRSSRAPGARALCLLLASVVFAQELLVFHFHSTDHAGVEGQFHWCLQAVVVACLATALLGIGFPRSFAVSAARSACVMFHGVWLAVIGAMVWVPALVPKGCYLVRVDGRDTVRCHGEASLRRAKALVNLQFGWYLSFMTVFVLAMYLHVCSRYPAQQAYARLPTVAGGEEEGHVEEARKCTGAPCDAGSGLHGGFASLEIEV* >Brasy5G038600.1.p pacid=40073592 transcript=Brasy5G038600.1 locus=Brasy5G038600 ID=Brasy5G038600.1.v1.1 annot-version=v1.1 MSYAIFEEEDAWDMERARNIYWRWLQVIPHQEFTFSTCWTMAADFEIRQNDVDAACWILETGIATSPTQKLFEKLIEFEEYVGSYETCKDLYERFLNWNPTNCYGWVKYAELEQKLGKYTQSREIYKRAVQQPELDQPVMLWKDYLYFAISEEDVKLARSLFEGLILMTNHVEAWKNYAQLEANQIEKTDSDEIKIKQIHLCRAVLKRALQCYSSTTNLEERRSVIDKWSILEKSFGDFGDVTLVEKMPSDTLKRRRVSANNGLPMEKKRKQDAGHQDGKR* >Brasy5G505100.1.p pacid=40073593 transcript=Brasy5G505100.1 locus=Brasy5G505100 ID=Brasy5G505100.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLAELLKKEYNLQTGVKKDVESLLRELESIEVALTKVAEVPLDQLDKQVRLWARNVKQLSYEMEDVVDSFMVRVDDDKPDAKLNNLKCFREHIANFFEKIKTHQIANFFEKIKTRRQIAVKIQEIKVRVKEVADLRDKYKVDLVGTNPGTASTIDPRILALFKDQRELVGIEGPRDELIKRLAHGADDVSNSGKQLKMLSIFGFGGIGKTTLAKAVYDKLQANFFCRAFVSVGQNPNVKKVFTDILLQLDEQSCTNATMLNETHLITKLRELLENKRYLIVIDDIWDKSSWNTMKCAWIDSNCGSRIITTTHILKVAEEAGDVYKHKPLSPAKSKELFYKRLSIDKSKTTYDEPVEISEKILQKCGGVPLAIITIASMLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEKPYKSIIHACRVHDLVLDMICSLSKEEIFVTIHGSSNTEPQPSQSNVRRLALQNIAMDEEPNSDNTEIRQVRSFNAIMCRVNRRSFLSSFQGLRILSMERCRFRNDGSYHLENLGRLLQLRYLGLLETPITKLPEEVGNLRFLQILDLRGTGIEELPESVGQLRRLKCLRLPYGFTGAMGWIGNLVFLEELLLPYVSLEIAKELGKLTELREYGACFKESDDAIVDSILFNNMMKSLEQLEKLQAIQVGRQTRWAHVDLAYCEGYVLSRHLRRLDLQVAFDKLPAWINSSSLPNLSHLTVGLIAVEAQDVEVLGRFPELVYLNLQTGRDVITHDIMGGGAFPKLRYTTRLHLSGSRREPCPALNVLSIASATSAMVPCLKLTSLASGTSLVLTKS* >Brasy5G245100.1.p pacid=40073594 transcript=Brasy5G245100.1 locus=Brasy5G245100 ID=Brasy5G245100.1.v1.1 annot-version=v1.1 MEVLISAVAGDLISRFISFLAQSYGGQTCEEDDRRRLERLLLRIQTVVEEAEGRHITNRGMFLQLKTLIEGIYLGYYMLDRLRLESLREESVDDDEVSRQSQSFAISTFNTAKRLRFHAAGTKCTTKLKGVLECLETKITDMREFVMLLGSCPRLPRQAYSTYLYIDKCMFGRHIEKEQLINFLLCDDSHDCTHFSILPIIGPHRIGKKTLVQHVCKDKRVHDHFSHMFFFRGDDLRSGEFMVNCKAASGKYSFVVDFSRNVDETAWTKFLSYLQKVPGTGIKILAIGRAEQVANLGTARPIRLKSLSREEYWYYFKALAFGSMDPDEHPKLASLGMQLATELNGSFLAANVLGEAMRANPNAPFWRKVLLSMKELVHKHMFTFGVHLEDLLERNSPINFTKLALVGGRAQGYLVYQVADLENFVIGFTSL* >Brasy5G158200.1.p pacid=40073595 transcript=Brasy5G158200.1 locus=Brasy5G158200 ID=Brasy5G158200.1.v1.1 annot-version=v1.1 MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPIREGDILTLLESEREARRLR* >Brasy5G118500.1.p pacid=40073596 transcript=Brasy5G118500.1 locus=Brasy5G118500 ID=Brasy5G118500.1.v1.1 annot-version=v1.1 MSCAAAAAAAAAAAAGGGEGDTATAAARAGGGGEGEDALFSELWSACAGPLVTVPKVGDKVFYFPQGHIEQVEASTNQVAEQRMQLYILPWKILCEVMNVELKAESDTDEVYAQLTLLPESKQEENASTEEVSAAPSAALVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELTAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWAESKWRNLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVPRTKRPRPNVIASTPDSSAQAKEVAPKVAAETQQHALQRAFKTQENATPKTGFSDGSELDTAQKSILQPSGFEREKNNIPTQRKLGSDGWMQMNRPESYSEMLSGFQPPKDAQNQQGFRSFPEQIAAGHSNFWHTVNAHYQDQQGNHSTFPSSWSLMPQNTGFGLNKQNYPMMQEVAGLPQRAANTKFGNGVYAALPGRGIDQYSTGWFGHMMPSSHMDDTQPRVIKPQPLVVAHGDMQKTKGASCKLFGIHLDSPAKSEPLKSPPSVAYDGMPHTPGNAEWCRMDATEPEKSSDPSKTLKPLDAPYADSVPDKHLSCQQASRNTSGKSQGGSARSCKKVHKQGIALGRSIDLTKFNGYTELISELDDMFDFNGDLKSSNKEWMVVYTDHEGDMMLVGDDPWSEFCNIVHKIFIYTREEVQRMAPGALNSRSEESPANSMERGSAAREVRGCLSASSLNSENC* >Brasy5G118500.2.p pacid=40073597 transcript=Brasy5G118500.2 locus=Brasy5G118500 ID=Brasy5G118500.2.v1.1 annot-version=v1.1 MSCAAAAAAAAAAAAGGGEGDTATAAARAGGGGEGEDALFSELWSACAGPLVTVPKVGDKVFYFPQGHIEQVEASTNQVAEQRMQLYILPWKILCEVMNVELKAESDTDEVYAQLTLLPESKQEENASTEEVSAAPSAALVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELTAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWAESKWRNLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVPRTKRPRPNVIASTPDSSAQAKEAPKVAAETQQHALQRAFKTQENATPKTGFSDGSELDTAQKSILQPSGFEREKNNIPTQRKLGSDGWMQMNRPESYSEMLSGFQPPKDAQNQQGFRSFPEQIAAGHSNFWHTVNAHYQDQQGNHSTFPSSWSLMPQNTGFGLNKQNYPMMQEVAGLPQRAANTKFGNGVYAALPGRGIDQYSTGWFGHMMPSSHMDDTQPRVIKPQPLVVAHGDMQKTKGASCKLFGIHLDSPAKSEPLKSPPSVAYDGMPHTPGNAEWCRMDATEPEKSSDPSKTLKPLDAPYADSVPDKHLSCQQASRNTSGKSQGGSARSCKKVHKQGIALGRSIDLTKFNGYTELISELDDMFDFNGDLKSSNKEWMVVYTDHEGDMMLVGDDPWSEFCNIVHKIFIYTREEVQRMAPGALNSRSEESPANSMERGSAAREVRGCLSASSLNSENC* >Brasy5G004000.1.p pacid=40073598 transcript=Brasy5G004000.1 locus=Brasy5G004000 ID=Brasy5G004000.1.v1.1 annot-version=v1.1 MKPLVHAAAAVDRRWLLPLAAGSALSLLLLVLLTTIPLPFFPASSSPSPTLFVEHKLAPTPPSSRAAGSLPRIAYLVSGSARDAPALRRVLLALYHPRNLYILHLDAEAPDSDRADLAAALAAHPAISAAGNVRVVEKANLVTYRGPTMVASTLHAAAALLWGDSGAGGSDWDWFINLSASDYPLVTQDDLLHVFSKLPRDLNFIDHTSNIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPLVEYSIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIDDLDRMVASDAPFARKFHANDPVLDKIDAEILSRGPDMPTPGGWCAGTQENGSDPCSAIGNATLLQPGRGAVRLQRLITSLLSEEKFHPRQCK* >Brasy5G157600.1.p pacid=40073599 transcript=Brasy5G157600.1 locus=Brasy5G157600 ID=Brasy5G157600.1.v1.1 annot-version=v1.1 MVRRAAVPADRRRRGGDGWPVLTLPWVSFFLSVFCFVRFASRWGPRSEPRRSTREGMAVWSSPPLLPPLGLRRRHSHSALGLLRSLLGLRRTASGRPPCIFVLGVFNFFAVPPLRSSSLSPSAASSSWASAAVPASHGEAPAAGRFGWSLIQYRIKGFCDGGVDYDDENRGCASKIWSITNVTFMKAPCFCLGKMYVLNC* >Brasy5G058100.1.p pacid=40073600 transcript=Brasy5G058100.1 locus=Brasy5G058100 ID=Brasy5G058100.1.v1.1 annot-version=v1.1 MAWAEARWRHAIGRGWRWTSAAVGDAEENGSELCGTERNGRRKTGRSREEIGGQYKTQDYGCTSEINVCVGSPSEILFRCAPMEMETDWSNLPMDLLTSIFAKLGPVEVLMGAGLVCHSWLEAAKLPDLLWRSLDMNHLNSNNNAVLKNKHVLRAMAKMAVDRADGQLETFAADQFVNDDLLEYIAGRSPSLKSLTLVDAASIANSTLMDTVDICPRLELLHVSDQWRPSPSS* >Brasy5G410100.1.p pacid=40073601 transcript=Brasy5G410100.1 locus=Brasy5G410100 ID=Brasy5G410100.1.v1.1 annot-version=v1.1 MATWARKGEAVRGAGSRRRSCACAVATVRAGSGPDAGQIWMRVGLRGRLGEAEGGRVVAAAAIGAGRGCDGPARCGGVEAWILAWFGLNLSTPALAIRWWSERRLVAELRLFRVVLRCGGVAAVARSVLGPSGQWPMWLAGCGSPASCEHVLMACPCGCSGQ* >Brasy5G521600.1.p pacid=40073602 transcript=Brasy5G521600.1 locus=Brasy5G521600 ID=Brasy5G521600.1.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRAAAAGYPAGPLEARRRPRREAPNPPAEEAPASLSLSLRRRASRRFSVLIA* >Brasy5G416500.1.p pacid=40073603 transcript=Brasy5G416500.1 locus=Brasy5G416500 ID=Brasy5G416500.1.v1.1 annot-version=v1.1 MESSGGMTADVKDKGAAVEVGAEAARFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKMDLVYGGGSLGLMGEVSDAVHKAGGHVIGVIPTTLMGKEITGETVGEVRAVSGMHQRKAEMARSSDAFIALPGGYGTLDELLEVIAWAQLGIHTKPVGLLNVDGYYNFLLAFIDKAVDDGFIKPSQRHIFVSAPDARDLVRKLEEYVAVEEEDPATPKLRWEIEQVGYNDRLTPAPCRQRSPVS* >Brasy5G361300.1.p pacid=40073604 transcript=Brasy5G361300.1 locus=Brasy5G361300 ID=Brasy5G361300.1.v1.1 annot-version=v1.1 MVVSYTQEHVYRHPWHRVTAAAWRKFTDPAAREAPLSHILEVQTLSRRLDPRAGRLHTVRAIAGRAPAPLPFLLRPLAAGVDAVLCVERTAVDCPARAMLVVSRNANLRRLVDVEERCRYGPHPERPEEWTLVTQETTIRCAPLAAVSAVVAGLVERRCAESFVQNAAKGQEVVERICQALALADHED* >Brasy5G055500.1.p pacid=40073605 transcript=Brasy5G055500.1 locus=Brasy5G055500 ID=Brasy5G055500.1.v1.1 annot-version=v1.1 MGKKKRIQKTAMGEKRATPTANQEEPASVLTLLEAADPEDPARVLTLLEVDPTKEDPAVLDAIRKKNSAALTPMLDPRDELSDKDSSNAADAVWHLVINGNHITGNLNLLTDLTPVCDRWVRSLNGMGGPMQVCARGSVNCNGIRVDDVWYVPGVTVNMLATAHLTKQDLRVQIGADFCSITCPDGTIVGKGHCKGHLYELDFLDAISATPWYIVSNAAEHMTGNLHLLTNFTPTQPGRLVRTHTGAMLQVRGKGSLTNAQFAVPGVNYVPGLAENIISVTQLTDSGFSVVFGPGGCTVTRNHDGEDVGAALHAGGQLYRLHYLRVTPSKYPARLKL* >Brasy5G120000.1.p pacid=40073606 transcript=Brasy5G120000.1 locus=Brasy5G120000 ID=Brasy5G120000.1.v1.1 annot-version=v1.1 MDLLTQTLPEDALAEVLRRLPQHSLAAARCVRKTWRAIIDARRLMQLAPLPLAGFFIQFCDLRYTDYFFRPSTEPTTKIRGDLIEYLPYKSILPDKRIYVDDHCNGLLLLFTGFVVNPATRQWAELPQLPPYPLVVHHQYIVFDPTVSPHYEVFRIPLIQHYQRWKRMQTLHISELQNFPSPFVVQVFSSRTGKWEERSFLRHGDDVRGNVQTPRIGTTKQDYAVYWRGHLYVHFHCIIRMSLSNCTYQVIKPPVDTDTMKRPTVLRLGRSEKGVYFAMLDHQYRLRVWILNESCGDICWLLKHDNNLTRLLACYCHHEVVRGPWLLENTNYPNRPRKNDEEQNFEWNSDNENVFDAGDTGEHRRGLGEVSILGFHPNKEIIFLDRSLQKVLAYHMNRSKCEDLGCLRPENYLSFNPDSYDVDDSFPYTPCWVWELPGSNKSESCTRALLDTEVAL* >Brasy5G490500.1.p pacid=40073607 transcript=Brasy5G490500.1 locus=Brasy5G490500 ID=Brasy5G490500.1.v1.1 annot-version=v1.1 MSTQSISPTSASAQFVYPAAGAAAAAPSYFPVPFHLQTAQYPTWPITTPAAATAPTYNAVYPMPQVQQAHQLFQKDSQIISPEALATVKAAIADSEIEKKVEANRKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKSTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALHKLKTGPQKKLKAHKRSVLHK* >Brasy5G325100.1.p pacid=40073608 transcript=Brasy5G325100.1 locus=Brasy5G325100 ID=Brasy5G325100.1.v1.1 annot-version=v1.1 MALVPVADPDAVEENPDVWELFRHYDKLYFRGALDAAAFSVRWTSPHTKRIRCFGSCSFGEGNNIITLYEPILKYRTNDDLKNTLLHLMIHAIIFVKHGGKCIGNHGPLFRDWMDAINACSIEDDLRPSSGYCITTTHDFSPETFCGIDGFLWKCESCSSTLMRAKKPSPPSDSCCIENFREDGTCGNMLCYWHNHKMGCGGTYVLSKARDTRGQKKDPKRICLNSASSGGRRLLTGTSEMSESQGTVQESDSDELQENGTVAKPNAQVKLPSLTGGTNTKSPGSSSSKKAGKRIVLPAASLKKLKPMQEFAETEKRELLSVVGCNDAKSRGSISKKASKSNIPDDSQRANVLPASSCKKLKSKHEATEMHEPFSTRSCSNAKSLDNSSLKKADKRNIPENFQKVIVSPAPTLGKLKPEQTLVASQKHKILSLGSWNNEKSARSSISRKADKWHNPSNTEDVRKPSARPAASQKKLKVEQDSVALERRDFFSLGSYSNAKQPGSSMPRMENKSPKPEDVEKSSVPLAASPKQLKPEKDVTASEKHRVVSRGGYNNAKPPGSSTSMNAGKLHNPDEVEKFSVPLNAPSKKLKLEQDLVASEEHIVLPLRRCSNMKPPGSSTSRKAGKLHNPQDTEKTSALPGAPQKNLELVQDSVAWKKHGITQPSVSSTSRKAEKLHKPEDTKKTSVLPAAPQRKQKLVQNSVASEKHGVAKLPGGSTTNQAGKMHKPEAFQKSCAVYATRKRKRELGESEKNGLSSFVCRNNAKVPGKISLKTRGSARKKEYACVSVWQNIYESEGSSGSAEPLVNKRTERRKRERERLSQITCAHSRKRYTSEASIKAPQQLNMYGVPNSDFSSGRPSFVAAPTEPTYGSFSRTYVEQPRGMEQHTQPRIGYRSEPASCLDIVDQVMTPPTTCSIVGNQVAPPLPAETSNSPDIIDISDDD* >Brasy5G325100.3.p pacid=40073609 transcript=Brasy5G325100.3 locus=Brasy5G325100 ID=Brasy5G325100.3.v1.1 annot-version=v1.1 MALVPVADPDAVEENPDVWELFRHYDKLYFRGALDAAAFSVRWTSPHTKRIRCFGSCSFGEGNNIITLYEPILKYRTNDDLKNTLLHLMIHAIIFVKHGGKCIGNHGPLFRDWMDAINACSIEDDLRPSSGYCITTTHDFSPETFCGIDGFLWKCESCSSTLMRAKKPSPPSDSCCIENFREDGTCGNMLCYWHNHKMGCGGTYVLSKARDTRGQKKDPKRICLNSASSGGRRLLTGTSEMSESQGTVQESDSDELQENGTVAKPNAQVKLPSLTGGTNTKSPGSSSSKKAGKRIVLPAASLKKLKPMQEFAETEKRELLSVVGCNDAKSRGSISKKASKSNIPDDSQRANVLPASSCKKLKSKHEATEMHEPFSTRSCSNAKSLDNSSLKKADKRNIPENFQKVIVSPAPTLGKLKPEQTLVASQKHKILSLGSWNNEKSARSSISRKADKWHNPSNTEDVRKPSARPAASQKKLKVEQDSVALERRDFFSLGSYSNAKQPGSSMPRMENKSPKPEDVEKSSVPLAASPKQLKPEKDVTASEKHRVVSRGGYNNAKPPGSSTSMNAGKLHNPDEVEKFSVPLNAPSKKLKLEQDLVASEEHIVLPLRRCSNMKPPGSSTSRKAGKLHNPQDTEKTSALPGAPQKNLELVQDSVAWKKHGITQPSVSSTSRKAEKLHKPEDTKKTSVLPAAPQRKQKLVQNSVASEKHGVAKLPGGSTTNQAGKMHKPEAFQKSCAVYATRKRKRELGESEKNGLSSFVCRNNAKVPGKISLKTRGSARKKEYACVSVWQNIYESEGSSGSAEPLVNKRTERRKRERERLSQITCAHSRKRYTSEASIKAPQQLNMYGVPNSDFSSGRPSFVAAPTEPTYGSFSRTYVEPRGMEQHTQPRIGYRSEPASCLDIVDQVMTPPTTCSIVGNQVAPPLPAETSNSPDIIDISDDD* >Brasy5G325100.2.p pacid=40073610 transcript=Brasy5G325100.2 locus=Brasy5G325100 ID=Brasy5G325100.2.v1.1 annot-version=v1.1 MALVPVADPDAVEENPDVWELFRHYDKLYFRGALDAAAFSVRWTSPHTKRIRCFGSCSFGEGNNIITLYEPILKYRTNDDLKNTLLHLMIHAIIFVKHGGKCIGNHGPLFRDWMDAINACSIEDDLRPSSGYCITTTHDFSPETFCGIDGFLWKCESCSSTLMRAKKPSPPSDSCCIENFREDGTCGNMLCYWHNHKMGCGGTYVLSKARDTRGQKKDPKRICLNSGGRRLLTGTSEMSESQGTVQESDSDELQENGTVAKPNAQVKLPSLTGGTNTKSPGSSSSKKAGKRIVLPAASLKKLKPMQEFAETEKRELLSVVGCNDAKSRGSISKKASKSNIPDDSQRANVLPASSCKKLKSKHEATEMHEPFSTRSCSNAKSLDNSSLKKADKRNIPENFQKVIVSPAPTLGKLKPEQTLVASQKHKILSLGSWNNEKSARSSISRKADKWHNPSNTEDVRKPSARPAASQKKLKVEQDSVALERRDFFSLGSYSNAKQPGSSMPRMENKSPKPEDVEKSSVPLAASPKQLKPEKDVTASEKHRVVSRGGYNNAKPPGSSTSMNAGKLHNPDEVEKFSVPLNAPSKKLKLEQDLVASEEHIVLPLRRCSNMKPPGSSTSRKAGKLHNPQDTEKTSALPGAPQKNLELVQDSVAWKKHGITQPSVSSTSRKAEKLHKPEDTKKTSVLPAAPQRKQKLVQNSVASEKHGVAKLPGGSTTNQAGKMHKPEAFQKSCAVYATRKRKRELGESEKNGLSSFVCRNNAKVPGKISLKTRGSARKKEYACVSVWQNIYESEGSSGSAEPLVNKRTERRKRERERLSQITCAHSRKRYTSEASIKAPQQLNMYGVPNSDFSSGRPSFVAAPTEPTYGSFSRTYVEQPRGMEQHTQPRIGYRSEPASCLDIVDQVMTPPTTCSIVGNQVAPPLPAETSNSPDIIDISDDD* >Brasy5G325100.4.p pacid=40073611 transcript=Brasy5G325100.4 locus=Brasy5G325100 ID=Brasy5G325100.4.v1.1 annot-version=v1.1 MALVPVADPDAVEENPDVWELFRHYDKLYFRGALDAAAFSVRWTSPHTKRIRCFGSCSFGEGNNIITLYEPILKYRTNDDLKNTLLHLMIHAIIFVKHGGKCIGNHGPLFRDWMDAINACSIEDDLRPSSGYCITTTHDFSPETFCGIDGFLWKCESCSSTLMRAKKPSPPSDSCCIENFREDGTCGNMLCYWHNHKMGCGGTYVLSKARDTRGQKKDPKRICLNSGGRRLLTGTSEMSESQGTVQESDSDELQENGTVAKPNAQVKLPSLTGGTNTKSPGSSSSKKAGKRIVLPAASLKKLKPMQEFAETEKRELLSVVGCNDAKSRGSISKKASKSNIPDDSQRANVLPASSCKKLKSKHEATEMHEPFSTRSCSNAKSLDNSSLKKADKRNIPENFQKVIVSPAPTLGKLKPEQTLVASQKHKILSLGSWNNEKSARSSISRKADKWHNPSNTEDVRKPSARPAASQKKLKVEQDSVALERRDFFSLGSYSNAKQPGSSMPRMENKSPKPEDVEKSSVPLAASPKQLKPEKDVTASEKHRVVSRGGYNNAKPPGSSTSMNAGKLHNPDEVEKFSVPLNAPSKKLKLEQDLVASEEHIVLPLRRCSNMKPPGSSTSRKAGKLHNPQDTEKTSALPGAPQKNLELVQDSVAWKKHGITQPSVSSTSRKAEKLHKPEDTKKTSVLPAAPQRKQKLVQNSVASEKHGVAKLPGGSTTNQAGKMHKPEAFQKSCAVYATRKRKRELGESEKNGLSSFVCRNNAKVPGKISLKTRGSARKKEYACVSVWQNIYESEGSSGSAEPLVNKRTERRKRERERLSQITCAHSRKRYTSEASIKAPQQLNMYGVPNSDFSSGRPSFVAAPTEPTYGSFSRTYVEPRGMEQHTQPRIGYRSEPASCLDIVDQVMTPPTTCSIVGNQVAPPLPAETSNSPDIIDISDDD* >Brasy5G011000.1.p pacid=40073612 transcript=Brasy5G011000.1 locus=Brasy5G011000 ID=Brasy5G011000.1.v1.1 annot-version=v1.1 MAAAAALLVLVMLAAAGNGAAAALDAQAAYLARMKREFTGPAMARWDLSSSPAPAEYCRFPGISCDDGDFNITGIDVTSWRLTGKLPLGLCSSLPSLREVRMAFNDVRGGFPAALLNNCTAQLEVLNLSYAGVSGQIPADLLSTMSALRVLDASNNLFTGAFPATALSNLTSLEILNLIENPGFDHWRPPESMTKLVNLKTLILSTTSMRGGVPRWLGNMTALTDLELSGNFLTGPIPDTLASLVNLQLLELYYNELDGAIPAELGNLTALVDIDLSENKLTGGIPEPLCALPNLRVLQLYTNSLTGEIPAALGNSTKLEILSVYRNLLTGSLPADLGLYSPEFNVLEVSENSLTGPLPPSACAGGKLQYILVLSNLLTGPIPPEYGGCSPLLRFRLSNNHLSGSVPPGIFALPHVSIIDLSYNHFEGTIPAAIAGAKNLTSLFAAGNRLSGEIPAEIGEAWSLVKVDLSNNLIGGEIPGPAMAKLGRLNQLSLQGNRLTGEIPPELADLRSLNVLNLSENALSGKIPEELCELLPNSLDFAGNNLSGPVPAALIKEGLLESVAGNPGLCVAFRLNLSDPAMPLCDGNGGGRGGIRMAGRRSWIVVGVCAVVGVAAALALARRWVRRRWAAAGAGEEDGNSSSPASGWSAYEVTSFHKLSFDQHEIIEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSSSNKSGSKQNKYGAGAGEEEEDRELRTEVETLGSIRHKNIVKLYCCYSGVDSKLLVYEYMPNGNLWDALHGTGDNGDDGGLLFLDWPARHRVAMGVAQGLAYLHHDLTLPIVHRDIKSSNILLDAGFEPKVADFGIAKVLQAHSAIAGATTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGETRDIVQWVTAKVAAAAEAEALDTRLAWSPYKEEMVQALRVAVRCTCSIPSLRPAMADVVQMLADAGPATGRNAKDPKPV* >Brasy5G002600.1.p pacid=40073613 transcript=Brasy5G002600.1 locus=Brasy5G002600 ID=Brasy5G002600.1.v1.1 annot-version=v1.1 MDRGKRAVEWPPRPADKAKKPCCATVPAPAPELSSLLSSLFLANRHGSRIFPEIAGGSEICCDTDMDQDQEEEDSGWSSFLPELLRLICCRLPLPDVPRFAAVCRHWSSCAFPVYPADASPLLISTVVTDAGTVRCYDPRLNKMFVLATPLRAPDSSRIFSAAADGWVMLRTPRKTVLFANLLDGSMMETPQPQGPEEDYGFMCCGTARNDPKDCRLFNLYANMGTVKIQSWDGENWARFETGDDNDDDDDVGDDDMEGWLSTRRFTMSPCCSPVLHKGLLYCLGEEGNLGVYDPSETRWRVLPKPTGFGSELPCKSCYLMESQGELLAALTGKNGTPIYVLKLKERKMAWERMSSLGGRSLFTGTPSSLSMSTAGANKVYLPRFYGRPQVIQAEVASSGGRLFFVAKQEGSRSGDGVDSAAWCYDLESESDKQFTGSKNLLQYVWVHLGRGADPDDGMDIG* >Brasy5G321800.1.p pacid=40073614 transcript=Brasy5G321800.1 locus=Brasy5G321800 ID=Brasy5G321800.1.v1.1 annot-version=v1.1 MQSAARSLAAHFPLRMPRRPSPFHRLFAASPQDPCPDPGPGPDVDPKLVSTLSRVLSDFRGPRHDLLAALRGFAPRLTPEAAAAVLRRCRHLPVPSLRFFLFAAGLPGFSHLPDSLLILANSLAGARLFPLLRSLLSDLPPTALSRGLFPRLFRAYSRALLPEDAIRAFSSMAGFGFHPTLSDFHSLLFALSHNGLVEHAETFFRESGTHFDVSAKTYTILISGWAVVEKPENAQMLFDEMVERGATCEVLRDRLTRHGLRDQLEVLTDRMRRSTSCTIQEMASVMCSSKREDETRTVSSDQEPSGHHLAESEWKGNWKLGDWTVTP* >Brasy5G086500.1.p pacid=40073615 transcript=Brasy5G086500.1 locus=Brasy5G086500 ID=Brasy5G086500.1.v1.1 annot-version=v1.1 MSSSTAIAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFTILYVRYRRGVVTRDMLALPKSRFAAIGLLEALGVAAGMSAGAMLPGPAIPILSQSFLVWQLIFSVLLLRRTYSLRQIIGCFLVTSGVIIAVASGANEGHILSGVKLIWPVLMVASSALQAGASILKESVFVDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPLLTNLRGIKLAELSAYLNGGAECFLNVGESPIDCGGAPFLPLLFILVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPQGAKLSASFIIGGVVLLSGLILYNLPQSSKESKTD* >Brasy5G086500.2.p pacid=40073616 transcript=Brasy5G086500.2 locus=Brasy5G086500 ID=Brasy5G086500.2.v1.1 annot-version=v1.1 MSSSTAIAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFTILYVRYRRGVVTRDMLALPKSRFAAIGLLEALGVAAGMSAGAMLPGPAIPILSQSFLVWQLIFSVLLLRRTYSLRQIIGCFLVTSGVIIAVASGANEGHILSGVKLIWPVLMVASSALQAGASILKESVFVDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPLLTNLRGIKLAELSAYLNGGAECFLNVGESPIDCGGAPFLPLLFILVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPQGAKLSASFIIGGVVLLSGLILYNLPQSSKESKTD* >Brasy5G086500.3.p pacid=40073617 transcript=Brasy5G086500.3 locus=Brasy5G086500 ID=Brasy5G086500.3.v1.1 annot-version=v1.1 MSSSTAIAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFTILYVRYRRGVVTRDMLALPKSRFAAIGLLEALGVAAGMSAGAMLPGPAIPILSQSFLVWQLIFSVLLLRRTYSLRQIIGCFLVTSGVIIAVASGANEGHILSGVKLIWPVLMVASSALQAGASILKESVFVDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPLLTNLRGIKLAELSAYLNGGAECFLNVGESPIDCGGAPFLPLLFILVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPQGAKLSASFIIGGVVLLSGLILYNLPQSSKESKTD* >Brasy5G126900.1.p pacid=40073618 transcript=Brasy5G126900.1 locus=Brasy5G126900 ID=Brasy5G126900.1.v1.1 annot-version=v1.1 MNSDFGFVDLLNSGSAAFGAGCESFDNASYAVPSGGGGGGGNDDGERGQRRLPWTEADNLRLISAWLHNSVDPIDGNGKKGEVYWKEVTKEYNENNPVDRRRKPVHCKDHWGKMNRKIVVFNGIWCRLKDVYVSGQSDDQLMYKAYDMYKEETKQSFTLVNLWREVHNQPKWNRTYVDNTAPLNVDPINVDPEEGETRPEGSKAAKARKNGKSKGTHDTSSSSISHDDIQLYYETQTLQASTSERTSEVQLQLSSEKLVTAQARERTALVTSEKAIMEKYMDLVMANTNEMSDF* >Brasy5G353100.1.p pacid=40073619 transcript=Brasy5G353100.1 locus=Brasy5G353100 ID=Brasy5G353100.1.v1.1 annot-version=v1.1 MRCAGSVLLLLLLCLSALTAEANMEGLSGDNAAPSLPGRRLLGGRRAMAALAHEGGSEKKDDVVEEGKGAENVHGGQKTVQVTVAGLSGEGSGQKNEGSKRKFAGQVPLSSDYRIPKCHPPRNN* >Brasy5G352100.1.p pacid=40073620 transcript=Brasy5G352100.1 locus=Brasy5G352100 ID=Brasy5G352100.1.v1.1 annot-version=v1.1 MPIGHRAHAPSSQRLEQGDLEEGDLPYVEGGVVGGAIVILVLLIYILHRRWSSRQTSGGQAPRDLPTVAYMQPELEEGESAPECEICLQEFVQGEPVKKLTCGHVFHPECARKALVVMKNRCPKCKEIV* >Brasy5G066300.1.p pacid=40073621 transcript=Brasy5G066300.1 locus=Brasy5G066300 ID=Brasy5G066300.1.v1.1 annot-version=v1.1 MDSDDMDVDEMDTSSPSGSLSALLSELAALHGRSSSSSTAGSTSPPLSLPSLTFLSSAAISASFFPRLAAAGLPASSLLAPLTSSFSAHPLPAATAYLRLLLAPASPLVSLFSPLPFLSFLLALRKAASSTVDAHNPSTGSGNANPRRRKNQRQLAAPRCPSFLPEALSLLASAAGRLPLGAHPDARRSLIDTAAELTAFDVLAAVLGSNYQAEAVPDVVRALAPVAISGTKSSARAAAVEFLVTKVVPLGAEGGEEATRKVVRYLPRYLAMKAPEKSDARGLVVEAIVEVVRTMEPREREDFAAYMVSMARGKAKGRLFAVDMVLAILPVLLQSEADEFGLEEGSSGLKCVRVLVERCSDVVGGVRARALTNAAHALDILSERGVGVDRLQEVMMIANMSLGELLRRRCIDDKAAVRKAALVLITKAIGLIGRPVDESLLTAMGAACSDPLVSIRKAALAAISEVFRKFPNERVTKEWLQAVPSLVTDSESSIQEECENLFLELVLNRVCRAANSHLDDDSVNLEDVFPEGTLDLLKSICDGEVAPCIKRICASLGKKKKLKPLLASSLQNIVTISESLWSRSSKPIEEWTAPTGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQEGRGKAYSKGELKSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIEDFDMHLSEVDAHVKSLKTLCKRKAKTTKEGETLVLKWAQQLILKAVKILDGYIKGTSEASRGFSFRTPLTGKLNGREEASILKSVSQAVVVVFTVGSLILACPDASVQHIIPLLHTIITSGNSEPRPKNLAGGAVSFKELAPSLYIHSWDTMAKICLVDDKLAKRYIPLFVQELERSDMATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYIKWRGVLFLRFLPSLVDESEKIRHLADFLFGSILKAKAPLLAYNSFIEAIYVLNNCTGHGGYSESQGSDRGPTLFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAAGRAVLQDSLQILACKEMRIHPNICTDNSDMDDEGGDGGSASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDHKNEIDEILVADKQLQKELLYDMQKFEAGKGKSTRDAEAGPSGSSPTAQGNVPDGAAPCDVAAKATVRSVLKEMNQKTPTPPLHSMSVPKVKSMLGPGAMSGSRRPAVLESVRRLEPFESDDEN* >Brasy5G314200.1.p pacid=40073622 transcript=Brasy5G314200.1 locus=Brasy5G314200 ID=Brasy5G314200.1.v1.1 annot-version=v1.1 MASTVLRSGCRAFSRSVPRVLDEKHRLMPPSLMHTQRFFSSDGIEPIERSMSRDERIIYMELDRMEKILIKNGERLEKIRLERQLKDERDAMYAERRLAGIGAIIVVSGIAGLSFCVNKVKKVVLPSSHIK* >Brasy5G045300.1.p pacid=40073623 transcript=Brasy5G045300.1 locus=Brasy5G045300 ID=Brasy5G045300.1.v1.1 annot-version=v1.1 MPPEMVKCSTCGKTYKSIQALCDHEDKCKSPGKLGQNAAGQEEASSSSQCGQLGLNVPENNDNPAFDDARGRNNDALGFGMLKQKQPSLTEPEQKLDVVEAPQPLQEQLGEDTTSVDEPGTDSPGGKSATDNSDEVLTSCSEKSSPSLTEPEQKLDVVEAPQPLEEQLGEDTTSNDEPGTDSPGDESAADGSHRDTTSDDEPRTDSTGDESAADGSHRDTTSDDEPRNDSPGDKSAADDSDEVLTSDSEKSLCSVECYGGGERMGIMQDVMEQVEAYGAAHVLLMLKSETDAASGGPIGGIEKGENGAPVVEVEPSCTDDSQGVSDRSEKPAVSELKRPKLDPEVLHDSAVTQEKSRRSISMPQLPLTVQARRVAMSPIAKRVRALSHFLEKRVTMWLSTCCSERVCTAELFGIVT* >Brasy5G297000.1.p pacid=40073624 transcript=Brasy5G297000.1 locus=Brasy5G297000 ID=Brasy5G297000.1.v1.1 annot-version=v1.1 MLISTVLVFVLAHAYAIIPAAAFAAAARDKVPAPAVFAFGDSTVDTGNNNFIQTMARGNYPPYGRDYAGGVATGRFSNGRLSADFVSDALGLSPSLPAYLDPAHTIHHLASGVSFASAGAGLDNITSQIMSAMTLSQQIDHFREYTEELKRAKGEAAAQHVISHALYVFSIGTGDFLQNYLVFPVRGYRFTLPEYQAYLAAAAEAAVRAVHKLGARAVKFVGLPPLGCLPLERAVNLRRPGDCNEMHNLVATSFNGELVRLVAKLNRELAGARLVYVDQYTLLSAIIAKPWEYGFQNSVRGCCGTGYVETGVLCSLDSALTCGNADNYVFFDAVHPSERAYKIIAGAIVNATTSHLFH* >Brasy5G297000.2.p pacid=40073625 transcript=Brasy5G297000.2 locus=Brasy5G297000 ID=Brasy5G297000.2.v1.1 annot-version=v1.1 MLISTVLVFVLAHAYAIIPAAAFAAAARDKVPAPAVFAFGDSTVDTGNNNFIQTMARGNYPPYGRDYAGGVATGRFSNGRLSADFVSDALGLSPSLPAYLDPAHTIHHLASGVSFASAGAGLDNITSQIMSAMTLSQQIDHFREYTEELKRAKGEAAAQHVISHALYVFSIGTGDFLQNYLVFPVRGYRFTLPEYQAYLAAAAEAAVRAVHKLGARAVKFVGLPPLGCLPLERAVNLRRPGDCNEMHNLVATSFNGELVRLVAKLNRELAGARLVYVDQYTLLSAIIAKPWEYGFQNSVRGCCGTGYVETGVLCSLDSALTCGNADNYVFFDAVHPSERAYKIIAGAIVNATTSHLFH* >Brasy5G137500.1.p pacid=40073626 transcript=Brasy5G137500.1 locus=Brasy5G137500 ID=Brasy5G137500.1.v1.1 annot-version=v1.1 MRRLAELTQEEHRLDMYRDVWFRGEHVLQGQGLDLDEAEAVAVGGGATVKEEEEQEEDADIQPPSPPQRRRWRWRRRRQRSPNWRSWPCGRSSPGARRMSSEEEKAAAPAPPPATAAPVPAPWGVQVKEEDDDGWVWEDQPWSPWQQREQGQFRPPPEDEEMADKAESPPVGCWTPPPPVINLDSDSE* >Brasy5G485000.1.p pacid=40073627 transcript=Brasy5G485000.1 locus=Brasy5G485000 ID=Brasy5G485000.1.v1.1 annot-version=v1.1 MVPALPTHLSILLSFFMLVSSTASRDTLQLGSSIAIEAYRSEILQSPDGTFSCGFYSVYDHAFTFSIWYSEAADKTVVWSANRDRPVHARRSSLTLRKDGSMVLKDYDDTVVWQAGDGNLRNVQHAQLLDTGNLVIKDTSGMIIWESFDSPTDTLLPGQRITAATKLVPTTQSRVPGNYIFRFNDLSVLSLIYDVPDVSDIYWPNPDNSVYDNSRNRYNSTRLGILDSNGNLASSDFADGTLLKASDAAPGTKRRLTLDPDGNLRLYSLNDSDGLWSVSMVAISQPCTIHGLCGPNGICHYSPEPTCSCPPGYVMRNPGNWTEGCTASFNITCPGQEPMEFVKLPHTDFWGSDQQRLLGVSFEACRNICISDCSCKGFQYQHGSGSCYPKAHLFSGKSCATPSVRTMYVKLPARLNVSDSPIPQSSVLESAPPRLDCTQMSRGIRDPFPDVQKTGDGESKWIYFYGFIIAIFVVEVSFIICAWFFVLRTELRPSEMWAAEEGYKVMTKHFRRYSYRELAKATQQFKLELGRGRLGVVYKGVLEDERTVAVKKLENISQGKEEFQAELSVIGRIYHMNLARIWGFCSEGSHRMLVYEYVENGSLANILFNDQKNIVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDSDNEPKIADFGLAKLLNRGGSSQNMSQVRGTAGYIAPEWVSGLPITAKVDVYSYGVVLLELLSGSRVSELAVGSDAEVHIMLRKLVRALADKLEGNEESWIDEFVDHELSGQFSYLEARTLIEVAVSCLWEDINKRPTMESAVQTLMSFDEASN* >Brasy5G485000.2.p pacid=40073628 transcript=Brasy5G485000.2 locus=Brasy5G485000 ID=Brasy5G485000.2.v1.1 annot-version=v1.1 MVLKDYDDTVVWQAGDGNLRNVQHAQLLDTGNLVIKDTSGMIIWESFDSPTDTLLPGQRITAATKLVPTTQSRVPGNYIFRFNDLSVLSLIYDVPDVSDIYWPNPDNSVYDNSRNRYNSTRLGILDSNGNLASSDFADGTLLKASDAAPGTKRRLTLDPDGNLRLYSLNDSDGLWSVSMVAISQPCTIHGLCGPNGICHYSPEPTCSCPPGYVMRNPGNWTEGCTASFNITCPGQEPMEFVKLPHTDFWGSDQQRLLGVSFEACRNICISDCSCKGFQYQHGSGSCYPKAHLFSGKSCATPSVRTMYVKLPARLNVSDSPIPQSSVLESAPPRLDCTQMSRGIRDPFPDVQKTGDGESKWIYFYGFIIAIFVVEVSFIICAWFFVLRTELRPSEMWAAEEGYKVMTKHFRRYSYRELAKATQQFKLELGRGRLGVVYKGVLEDERTVAVKKLENISQGKEEFQAELSVIGRIYHMNLARIWGFCSEGSHRMLVYEYVENGSLANILFNDQKNIVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDSDNEPKIADFGLAKLLNRGGSSQNMSQVRGTAGYIAPEWVSGLPITAKVDVYSYGVVLLELLSGSRVSELAVGSDAEVHIMLRKLVRALADKLEGNEESWIDEFVDHELSGQFSYLEARTLIEVAVSCLWEDINKRPTMESAVQTLMSFDEASN* >Brasy5G044700.1.p pacid=40073629 transcript=Brasy5G044700.1 locus=Brasy5G044700 ID=Brasy5G044700.1.v1.1 annot-version=v1.1 MVKCSTCGKTYKSIQALCDHEDKCKSPGKLGQNAAGQEEASSSSQNNDALGFGMLKQKQPSLTEPEQKLDVVEAPQPLPEQLGEDTTSVDEPGTDSPGGKSATDNSDEVLTSCAEKSSPSLTEPEQKLDVAEAPQPLEEQLGEDTTSNDEPGTDSPGDESAADGSHRDTTSDDEPGTDSPGDESAADGSDEVLTSDDEPGTDSPGDKSAADDSDEVLTSDSEKSLCSVECYGGAFVKLCFKDPGLPRPYRIPLGSAAAAAMCVPPVVLIGTVMCLASARTVVVNAAVVAAYFGVERLKGTGWVEFLAPLPPSSDSSRGSSSAGDDVEDVRAGLLLSADVEQEEEEEVASKAE* >Brasy5G350400.1.p pacid=40073630 transcript=Brasy5G350400.1 locus=Brasy5G350400 ID=Brasy5G350400.1.v1.1 annot-version=v1.1 MAIASPVDYAGPITSGQRHLSCFAVHTCNRLRCNGYEKKSRTAGQLVIRAISVDRPQLDFSNPDWKNLFQEDFDRRFSLPHLTDVIHLEPRPTTFSLKSSRTPLENGSGPFDESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQSVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLNRHVVQNINLSGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIDTKGFALICVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNIYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH* >Brasy5G350400.2.p pacid=40073631 transcript=Brasy5G350400.2 locus=Brasy5G350400 ID=Brasy5G350400.2.v1.1 annot-version=v1.1 MAIASPVDYAGPITSGQRHLSCFAVHTCNRLRCNGYEKKSRTAGQLVIRAISVDRPQLDFSNPDWKNLFQEDFDRRFSLPHLTDVIHLEPRPTTFSLKSRTPLENGSGPFDESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQSVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLNRHVVQNINLSGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIDTKGFALICVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNIYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH* >Brasy5G350400.3.p pacid=40073632 transcript=Brasy5G350400.3 locus=Brasy5G350400 ID=Brasy5G350400.3.v1.1 annot-version=v1.1 MAIASPVDYAGPITSGQRHLSCFAVHTCNRLRCNGYEKKSRTAGQLVIRAISVDRPQLDFSNPDWKNLFQEDFDRRFSLPHLTDVIHLEPRPTTFSLKSRTPLENGSGPFDESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQSVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLNRHVVQNINLSGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIDTKGFALICVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNIYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH* >Brasy5G350400.4.p pacid=40073633 transcript=Brasy5G350400.4 locus=Brasy5G350400 ID=Brasy5G350400.4.v1.1 annot-version=v1.1 MAIASPVDYAGPITSGQRHLSCFAVHTCNRLRCNGYEKKSRTAGQLVIRAISVDRPQLDFSNPDWKNLFQEDFDRRFSLPHLTDVIHLEPRPTTFSLKSRTPLENGSGPFDESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQSVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLNRHVVQNINLSGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIDTKGFALICVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNIYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH* >Brasy5G062100.1.p pacid=40073634 transcript=Brasy5G062100.1 locus=Brasy5G062100 ID=Brasy5G062100.1.v1.1 annot-version=v1.1 MRTTQSLLLLTLLTFLVPASDAVTKASTEGGIEPDNCTKNIIPSHVPCDPQDCQKDCDKSVHGSGECVPRGCECTFCIFAKEETGNK* >Brasy5G379600.1.p pacid=40073635 transcript=Brasy5G379600.1 locus=Brasy5G379600 ID=Brasy5G379600.1.v1.1 annot-version=v1.1 MANARARSQGSSHRHLILVLLVALLSADFLLPVATASAAVAVAAEGDGVNRSARQWATGKDEGELSVEREAAAGGSVVEDDFAGGFGSLDSMLQWAIGNSNPGKLKEEAADVQKLSEDELLKRRVEIKELMEKLKMPSDADLMKIAITDLNNSSISLEDRQRALQELLILVEPIDNANDLDKIGGLVPVIQDLNNANEEIRITSAWVLGTASQNNVLVQNQILGYGALGKLVKMGYSKSTKEAAKAMFAISALIRDNVNGQEAFQSERGNAMLQHILASNSIDVRLQKKAVFLVTDLADFQLNSENSGLAFLSEHVFLKLMVDMLSRFDLDLQEKVLLAIRSLVKLPSTDAADLESLGLDSVLYRLGVQLEELPSEEQKEYAGEVDGLRREVQALFQQKLKHGTAAAAA* >Brasy5G487700.1.p pacid=40073636 transcript=Brasy5G487700.1 locus=Brasy5G487700 ID=Brasy5G487700.1.v1.1 annot-version=v1.1 MCLKSASRGLHSVVRKKLVHLLNYSGGGGVRRPLGTATRRRRSTGHTEPRGKEEAGDGREQGRKSSSRRPRTGEEVDGCAEGRRQAEAGGGGAEVGDNDAEAAKTSTRVSLFRRPDVFWGVFLGFTPTETGLPNGPIGVSGVFGLGREKTLDT* >Brasy5G296100.1.p pacid=40073637 transcript=Brasy5G296100.1 locus=Brasy5G296100 ID=Brasy5G296100.1.v1.1 annot-version=v1.1 MQRPRSEEYLLKETLPHLGGFMAAGDKLTRTYDLVEQMQYLYVHVVKAKDLPFKDLTGSCDPYVEIKLGNYKGITHHMEKNTSPEWNQVFAFPKEHIQSPYVEVVVKDKDLFIQDDFIGRAVFDLSELPKRVSPDSPLAPEWYSLEGWNGGKFGELMLAVWMGTQADEAFLEAWHSDAATVPSDGLASIRSKVYLTPKLWYLRVNVIEAQDLVLSDKSRCPEVYVKATLGSQSLRTKVSPNKNVNPLWNEDLMFVAAEPFEEHLILSVEDWIAHNKNEILGKAIIPLQNVDRRLGHRPVVSRWCNLEKHVVGDGEKKKKDFKFSSRIHVRISLDGGYHVLDESSYNSGDLRATAKQLWKPSIGVLELGILNAQGLHPMKTKDGHGITDSYCVAKYGHKWVRTRTIIDSFNPKWNEQYTWDVYDPCTVITIGVFDNCRLQGEKAKGNKDGRIGRVRIRLSTLEAGRVYTHSYPLIILLPTGVKKMGEVQLAVRFTCSSLVNMMQLYSQPLLPKMHYIYPLSVQQFNNLRLQATQMVSMRLSRAEPPLRNEVVEYMLDVDSHMWSMRKSKANFFRIVNMLSPLVAACKWFDQICTWKNPLTTVLLHVLFMTLVLFPELILPTIFMYLFLIGIWYYRWKPRQPPHVDIHLSHAESSQPDEIDEEFDTFPTSCAPDVVNMRYDRLRSISGKLQTVIGDMAKQGERLQSLLSWRDPRATAIFVTFCLIAAVVLYLTPFRIVSFSVGLYLLRHPRFRDRLPSAPVNFFRRLPTRTDSML* >Brasy5G525000.1.p pacid=40073638 transcript=Brasy5G525000.1 locus=Brasy5G525000 ID=Brasy5G525000.1.v1.1 annot-version=v1.1 MAMAQGWQDLPPELLGLVLSRTPSQADRVRLRAVCRPFPDGELYRLPVPADDVSHRVSAGDGVIFLVRADGSCSLQPELSADDIEFFQGMLYVVNTKDVRNPTYESIHHHRELHVLEPTSNPPRPVLCIPGTTTGTRADGMYKHYYYLVVSGDRLLMVEREIEFHMLSGKHFRTRRLEVFEATDLHNGVGNGRWTKLDNLMGHALFVSQDCSRSLPEHGLGDVADGRKHEDDFLESGVYNIREQTLAPLPMEMKTAVVSHPGPWSLSWVFLPQN* >Brasy5G511300.1.p pacid=40073639 transcript=Brasy5G511300.1 locus=Brasy5G511300 ID=Brasy5G511300.1.v1.1 annot-version=v1.1 MVLVAPEWLTAKKERVTGRGRFFHGRGGGRGCGRFGGFIGRDWFIRNLPVQKKKEEQSAPVRRAEDGVGRCEARDSELRGGMRAARWRMPARVGARSGGGALEEGWGRSGGRCRRAGGWPLRRRRSLPVAAARPGQRAALRARGGAGGGRHRRAAWREEASPEGAQLRSARTQWGRKKSDLFFT* >Brasy5G010400.1.p pacid=40073640 transcript=Brasy5G010400.1 locus=Brasy5G010400 ID=Brasy5G010400.1.v1.1 annot-version=v1.1 MMTCGHSAVMRKSAQLKENKGNKMQFLASSSFMHVKKTLAAVQELWNLWEIQCLILLSLSLQVFLFLTAGMRKRSTSMVLRTLLWLAYLSADTVAIFVLGHLAVRASEPGHQLMSFWAPFVLVHLGGQDTMSAFSKQDNELWTRHLLSLVTQVGVAGYVVAKASPWPDGRLTAAMVIMFLSGFFKYAERTLCLYRASPASLRSDALHSLSGTLEIQQHMQTNQAEIFDRLMSMGYMRSMLHKMSKGRSIGYDRSFRWGTAGSESKASSLGLGNQTKDTGAAFDIMSVDTPINRAEVIRVADDLPVLLAEFMSSANRSKAYEYMGTILVRCYKLLYTKSPLRQCLCNFYRETFFHLGQSFCSFLCATCLFSPSLLYFLFHRVDIIVSYILLVGAIVLDVSSAIMLIFSNMSLNLPACSSRKQWSEELTQYSMIKRHAVQETSGMASIRQWVGKRLGSWGFKLGFGLLEVTNTPITKDRTHIKEFILDNLLGSGIRKEWNIASSRGRLALQKPTKALVGSVSSSIDFPTSVILWHIATEICYYFGDKTSTDGSDDQLKKHKEMSRELSSYITYLVFKCGVMLTSNSQLLHDKVHDEIQEQQRGNNPGEKDVVSNLFKAKKDEEQQDSTVEIQRNEEPGDCDNADHDSHMQKLLQSSHNLYAPVLPRACEVAQELISIAAVWSEMLFYTAPRCGGAFHYEHLSTGGEFITHILLLMFHLGPFLPPPDS* >Brasy5G009000.1.p pacid=40073641 transcript=Brasy5G009000.1 locus=Brasy5G009000 ID=Brasy5G009000.1.v1.1 annot-version=v1.1 MATYYSSPGSERESQDMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNCMEMPGHGHHSAMSQDSSVRESDMLASHHGQRSFGHVKDMKNEMLMHMMDGGQSGGAELIHDDSHNGAQFEFGVLNNHGSSNVPSGQGQGQGQGLSLSLNTQIMAPSLPYWSIKPDMLTPNSYHESLRVDDIRMKTMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPEKADGKETDGGPKSEGVSSNPQESGANAAPEMSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNVPRSKNKVACSEENEDLKSARARVCETSQLSESRASIGAMNAGGAPVGFQHEANPDDSFMNLMMKDQRSGEADGGLLLHNAMAQNSDESARFMAYHLAELGRYGNGNVSLTLGLQHSGSGLSVPNAQANFPGVTDDDMYNTAAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA* >Brasy5G009000.2.p pacid=40073642 transcript=Brasy5G009000.2 locus=Brasy5G009000 ID=Brasy5G009000.2.v1.1 annot-version=v1.1 MATYYSSPGSERESQDMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNCMEMPGHGHHSAMSQDSSVRESDMLASHHGQRSFGHVKDMKNEMLMHMMDGGQSGGAELIHDDSHNGAQFEFGVLNNHGSSNVPSGQGQGQGQGLSLSLNTQIMAPSLPYWSIKPDMLTPNSYHESLRVDDIRMKTMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPEKADGKETDGGPKSEGVSSNPQESGANAAPEMSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNVPRSKNKVACSEENEDLKSARARVCETSQLSESRASIGAMNAGGAPVGFQHEANPDDSFMNLMMKDQRSGEADGGLLLHNAMAQNSDESARFMAYHLAELGRYGNGNVSLTLGLQHSGSGLSVPNAQANFPGVTDDDMYNTAAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA* >Brasy5G009000.3.p pacid=40073643 transcript=Brasy5G009000.3 locus=Brasy5G009000 ID=Brasy5G009000.3.v1.1 annot-version=v1.1 MATYYSSPGSERESQDMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNCMEMPGHGHHSAMSQDSSVRESDMLASHHGQRSFGHVKDMKNEMLMHMMDGGQSGGAELIHDDSHNGAQFEFGVLNNHGSSNVPSGQGQGQGQGLSLSLNTQIMAPSLPYWSIKPDMLTPNSYHESLRVDDIRMKTMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPEKADGKETDGGPKSEGVSSNPQESGANAAPEMSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNVPRSKNKVACSEENEDLKSARARVCETSQLSESRASIGAMNAGGAPVGFQHEANPDDSFMNLMMKDQRSGEADGGLLLHNAMAQNSDESARFMAYHLAELGRYGNGNVSLTLGLQHSGSGLSVPNAQANFPGVTDDDMYNTAAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA* >Brasy5G009000.4.p pacid=40073644 transcript=Brasy5G009000.4 locus=Brasy5G009000 ID=Brasy5G009000.4.v1.1 annot-version=v1.1 MATYYSSPGSERESQDMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNCMEMPGHGHHSAMSQDSSVRESDMLASHHGQRSFGHVKDMKNEMLMHMMDGGQSGGAELIHDDSHNGAQFEFGVLNNHGSSNVPSGQGQGQGQGLSLSLNTQIMAPSLPYWSIKPDMLTPNSYHESLRVDDIRMKTMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPEKADGKETDGGPKSEGVSSNPQESGANAAPEMSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNVPRSKNKVACSEENEDLKSARARVCETSQLSESRASIGAMNAGGAPVGFQHEANPDDSFMNLMMKDQRSGEADGGLLLHNAMAQNSDESARFMAYHLAELGRYGNGNVSLTLGLQHSGSGLSVPNAQANFPGVTDDDMYNTAAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA* >Brasy5G009000.5.p pacid=40073645 transcript=Brasy5G009000.5 locus=Brasy5G009000 ID=Brasy5G009000.5.v1.1 annot-version=v1.1 MATYYSSPGSERESQDMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNCMEMPGHGHHSAMSQDSSVRESDMLASHHGQRSFGHVKDMKNEMLMHMMDGGQSGGAELIHDDSHNGAQFEFGVLNNHGSSNVPSGQGQGQGQGLSLSLNTQIMAPSLPYWSIKPDMLTPNSYHESLRVDDIRMKTMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPEKADGKETDGGPKSEGVSSNPQESGANAAPEMSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNVPRSKNKVACSEENEDLKSARARVCETSQLSESRASIGAMNAGGAPVGFQHEANPDDSFMNLMMKDQRSGEADGGLLLHNAMAQNSDESARFMAYHLAELGRYGNGNVSLTLGLQHSGSGLSVPNAQANFPGVTDDDMYNTAAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA* >Brasy5G057400.1.p pacid=40073646 transcript=Brasy5G057400.1 locus=Brasy5G057400 ID=Brasy5G057400.1.v1.1 annot-version=v1.1 MVIYHDGLTPLSDAYLYHQVFCFVVLKLGVRFDTNLGILPAKPVNFQQMASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.4.p pacid=40073647 transcript=Brasy5G057400.4 locus=Brasy5G057400 ID=Brasy5G057400.4.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGRNLDFGIQHLSSLTHVTVRIICTAATVREVEALEEAIRDTADLLPNRPTVEVRMWGDENMKEDEEQVMAEEEIHTSV* >Brasy5G057400.2.p pacid=40073648 transcript=Brasy5G057400.2 locus=Brasy5G057400 ID=Brasy5G057400.2.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.3.p pacid=40073649 transcript=Brasy5G057400.3 locus=Brasy5G057400 ID=Brasy5G057400.3.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.5.p pacid=40073650 transcript=Brasy5G057400.5 locus=Brasy5G057400 ID=Brasy5G057400.5.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQKSAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.6.p pacid=40073651 transcript=Brasy5G057400.6 locus=Brasy5G057400 ID=Brasy5G057400.6.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQKSAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.7.p pacid=40073652 transcript=Brasy5G057400.7 locus=Brasy5G057400 ID=Brasy5G057400.7.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEIISCSQAKAHHKQLHVHMPEGVPLQLLEQWGRPNV* >Brasy5G057400.8.p pacid=40073653 transcript=Brasy5G057400.8 locus=Brasy5G057400 ID=Brasy5G057400.8.v1.1 annot-version=v1.1 MASVLAGVMTSVIGKLTALLGAEYVKLKGAHREVEFMKDELSSMNALLQRLAEVDHDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLSKTDTAEAAGLFQSVVQQLRTLRVRHQITNQIQGLKERVEDASKRRMRYRLDERIFEPSVSRAIDPRLPSLYAEPDGLVGINKPRDELIKCLMEGVGASAQQLKVMSIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRTMLSQLSNQEYANTDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEIISCSQAKAHHKQLHVHMPEGVPLQLLEQWGRPNV* >Brasy5G057400.9.p pacid=40073654 transcript=Brasy5G057400.9 locus=Brasy5G057400 ID=Brasy5G057400.9.v1.1 annot-version=v1.1 MPNGGGGCIGAAAKDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGRNLDFGIQHLSSLTHVTVRIICTAATVREVEALEEAIRDTADLLPNRPTVEVRMWGDENMKEDEEQVMAEEEIHTSV* >Brasy5G057400.10.p pacid=40073655 transcript=Brasy5G057400.10 locus=Brasy5G057400 ID=Brasy5G057400.10.v1.1 annot-version=v1.1 MPNGGGGCIGAAAKDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEMYGFSIDFLLDSWFPSPRLLQEFQMGMYYYFPRVPVWIASLDNLAYLDININPVEEEALQILGKLPALIFLWLSSESAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.11.p pacid=40073656 transcript=Brasy5G057400.11 locus=Brasy5G057400 ID=Brasy5G057400.11.v1.1 annot-version=v1.1 MPNGGGGCIGAAAKDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQKSAAPKQRLIISSYMFICLKEFHFSCWSNGEGLMFESGAMPRLEKLEVPLDAGSFALLRQFGKWRRWRRPSGTQLISFRTALPWKFECGAMKI* >Brasy5G057400.12.p pacid=40073657 transcript=Brasy5G057400.12 locus=Brasy5G057400 ID=Brasy5G057400.12.v1.1 annot-version=v1.1 MPNGGGGCIGAAAKDIWDEEKLINAIREFLKNKRYFIIIDDIWSTQAWKIIKCAFFLNNFGSKIMTTTRSTTIAKSCCSPHHDHVYEITPLSADNSKSLFLKRIFGSEDICPPQLKETSSEILKKCGGSPLAIITIASLLTNKASTKEGWEKVYKSVGSTLQKDPSIEEMRWILSLSYDDLPHHLKTCLLYLSIFPEDYEIQRDQLIRRWIAEGFIDADGGQNLEEIGDCYFNDLINRSMIQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFADKNQKLVLQHKVRRLSINCYSQEHIMVPSTAIISHCRSLSIFGYAEQLPSLSRFKVLRVLDIENSEEMETSYIEHIRKLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSVAQLQNLTCLRVNDLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIDNTHRNKETFVENLVSSLRKLGRLNLRSLCIQSNYGYSIPLKEIISCSQAKAHHKQLHVHMPEGVPLQLLEQWGRPNV* >Brasy5G043900.1.p pacid=40073658 transcript=Brasy5G043900.1 locus=Brasy5G043900 ID=Brasy5G043900.1.v1.1 annot-version=v1.1 MRSNKLCNSYVALLRAYDLYAASTTTKTRGPSEEKLERASSSTASTSPETSPLRPWNCGERLQLELRRAACARQRRGCDLVGFFKISIWLDLTGLAPILFQDHRWRGERGRGGPSSRALAAGRRLELEEDQLEVEVDADAGPRRRWWAGVGQIGDLGVESAAQPSPASSAGSSHCCGMPRASSSCGISVSCRSVAIAGKPGAQPRGK* >Brasy5G043900.2.p pacid=40073659 transcript=Brasy5G043900.2 locus=Brasy5G043900 ID=Brasy5G043900.2.v1.1 annot-version=v1.1 MRSNKLCNSYVALLRAYDLYAASTTTKTRGPSEEKLERASSSTASTSPETSPLRPWNCGERLQLELRRAACARQRRGCDLVGFFKISIWLDLTGLAPILFQDHRWRGERGRGGPSSRALAAGRRLELEEDQLEVEVDADAGPRRRWWAGVGQIGDLGVESAAQPSPASSAGSSHCCGMPRASSSCGISVR* >Brasy5G043200.1.p pacid=40073660 transcript=Brasy5G043200.1 locus=Brasy5G043200 ID=Brasy5G043200.1.v1.1 annot-version=v1.1 MKNAHLAASLHPSELPRCFVVAAGPGLQWNTTPHGLWKQKKGRGYTAVRRGSGAGTDSGRASPTYIGVKRTLEFGKPNGAKTGWAAVQYNKLDQAGTDLVIDEKMVFCKVFEQKDDGQIHYEAPLHLATNLEPLPEYTGSFFQDVDSMFSDFNPEHHPIYGDLRLSPLPGDQLDAAGWLSDYFNDDDALVALPEPEEGGGGRRPVRSRSEVWEHFTKIHGKDPQDVYAACFSCDGLLKAHSKKHGTSPLWNHYKMHLRVAMQQQSIT* >Brasy5G043200.2.p pacid=40073661 transcript=Brasy5G043200.2 locus=Brasy5G043200 ID=Brasy5G043200.2.v1.1 annot-version=v1.1 MKNAHLAASLHPSELPRCFVVAAGPGLQWNTTPHGLWKQKKGRGYTAVRRGSGAGTDSGRASPTYIGVKRTLEFGKPNGAKTGWAAVQYNKLDQAGTDLVIDVFEQKDDGQIHYEAPLHLATNLEPLPEYTGSFFQDVDSMFSDFNPEHHPIYGDLRLSPLPGDQLDAAGWLSDYFNDDDALVALPEPEEGGGGRRPVRSRSEVWEHFTKIHGKDPQDVYAACFSCDGLLKAHSKKHGTSPLWNHYKMHLRVAMQQQSIT* >Brasy5G126700.1.p pacid=40073662 transcript=Brasy5G126700.1 locus=Brasy5G126700 ID=Brasy5G126700.1.v1.1 annot-version=v1.1 MAGRSGFTGEDDESCMSWPRDDIASISSWPPGDVEESSSSLQCNAPFNENVHVNVDVEMEQMLNLRS* >Brasy5G364100.1.p pacid=40073663 transcript=Brasy5G364100.1 locus=Brasy5G364100 ID=Brasy5G364100.1.v1.1 annot-version=v1.1 MAIGSLVASRLARSGHALATAAISQAPRAQHTTSPLLSRLGAVARAFSSKPAAADVIGIDLGTTNSCVSVMDGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQKYSPSQIGAFVLTKMKETAESFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDAALLDYLVSEFKKSDNIDLSKDKVALQRLRESAEKAKIELSSTAQTEVNLPFVTADANGAKHFNITLTRSKFESLVGNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQDIVSQIFNKAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQTFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVRVSAKDKSTGKEQDITIKSSGGLSERDIENMVKEAELHSQRDQEKKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEITTEVESAVSDLRAAMAGDDLESIKQKLEAANKAVSKIGQHMQGGGGDAGGSSGGDQTPEAEYQDSKEAKM* >Brasy5G108400.1.p pacid=40073664 transcript=Brasy5G108400.1 locus=Brasy5G108400 ID=Brasy5G108400.1.v1.1 annot-version=v1.1 MSFLCFQTCPKFGVSGVSTLSALPTSVPAHLLSLTLPRAQAPRKPEPQHHATSPRLAGWSQWLSAAELAGGHDGGSVVELRGGARSATCCRPRRGFPGPSPISPGVTRRALSLGPPGVGCSPATDIPLLDYSPAADILELD* >Brasy5G444900.1.p pacid=40073665 transcript=Brasy5G444900.1 locus=Brasy5G444900 ID=Brasy5G444900.1.v1.1 annot-version=v1.1 MAGVNSSVVGLKPAAAVPQTAAAASAKRVQVKDRRSALLGLAAVIAVTAGASGSARASVFDEYLEKSKLNKELNDKKRAATSGANFARAYTVQFGSCKFPYNFTGCQDLAKQKKVPFITDDLEIECEGKEKYKCGSNVFWKW* >Brasy5G176700.1.p pacid=40073666 transcript=Brasy5G176700.1 locus=Brasy5G176700 ID=Brasy5G176700.1.v1.1 annot-version=v1.1 MEKGVAGSGDPARAAVGVCEKLLTFFSKNLSMTRQKAITDGPRTGAGSDNRKDRAKEEEEDEFMVKIERAEFEFRHEEEEEEQHKSSTTATVLGGRSTDPSSATARASDLLPKEAPLKAVQEVAPAAEAAAAAAGQGEKKVKKTVTIKEEASERVKKAASVVKKRERQASASAAAGEQQRQGEEEAKAAPAQRWGLRPRMASGLRVASNINQKSTDFIEQRRRGFGAGGKSSRRNDA* >Brasy5G044000.1.p pacid=40073667 transcript=Brasy5G044000.1 locus=Brasy5G044000 ID=Brasy5G044000.1.v1.1 annot-version=v1.1 MVFVAVEANNYRIVCRSNDRRFAVGADGRGHMVFNKTMPGSCARDVVSGRGRCGRRKPLRLCSITAWSSSRSTWAWHGLYRSSTIMHSHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELDGCGNAAPMAQMITAMINVFLEWMRVLDATKIMVLLK* >Brasy5G044000.2.p pacid=40073668 transcript=Brasy5G044000.2 locus=Brasy5G044000 ID=Brasy5G044000.2.v1.1 annot-version=v1.1 MVFVAVEANNYRIVCRSNDRRFAVGADGRGHMVFNKTMPGSCARDVVSGRGRSTWAWHGLYRSSTIMHSHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELDGCGNAAPMAQMITAMINVFLEWMRVLDATKIMVLLK* >Brasy5G357200.1.p pacid=40073669 transcript=Brasy5G357200.1 locus=Brasy5G357200 ID=Brasy5G357200.1.v1.1 annot-version=v1.1 MLSSFIKTHTGARFPFQKPAAAPGLHSRQHRIPPPPPPTEDRDALAIAGEPCPRRTSPRAAHGQIRPHPARTTAAPGHPHPPAPDPAAASAYRSPQRQGTPPPTLPGPPPRPSLAQLLESATHHRRPPRTLWGVGPAATAAKEAGGSGGEGGTRRRS* >Brasy5G379900.1.p pacid=40073670 transcript=Brasy5G379900.1 locus=Brasy5G379900 ID=Brasy5G379900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G243800.1.p pacid=40073671 transcript=Brasy5G243800.1 locus=Brasy5G243800 ID=Brasy5G243800.1.v1.1 annot-version=v1.1 MDPSKKNPGRRPPVKSSSNSRSDSPSTATKPPRAQGAGAGHSVPARAQRPSKYVDNQEREQDANNIEGGDDADEDSENDEMSDHSRGQDNKEEDDVVEITETQMSTTKVAAGKRKKKSGVAAVAKKKTRVKRAECWKYFKLVKAVSKKKPDEVVEKAKCLGCFSLLCYQGGTTSLNRHRDSCYQIQNKKARALRQGTIAFDPEKPGASLIDDRT* >Brasy5G260800.1.p pacid=40073672 transcript=Brasy5G260800.1 locus=Brasy5G260800 ID=Brasy5G260800.1.v1.1 annot-version=v1.1 MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGVNGTTIEFCKNIVLAGVGSLSLMDDHIVTEDDLNANFLIPPDESLYGGRSRAEVCCESLKDFNPMVLVAVTKGDPSLIDGEFLDRFDIIVVSCLPLKTKLFINDNCRKRSKHIAFYSIECKDSCGEIFADLQNHSYVQKMPGKEPEQQELTYPSLQEAISVPWKSLPKKTTKLYFAMRVLESFESSEGRNPGETSLSDLPAVLARRKEMCDRMSLNESQIPTVLLERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPMKNFFYFDTADGKGVMEDVPPTPAD* >Brasy5G506100.1.p pacid=40073673 transcript=Brasy5G506100.1 locus=Brasy5G506100 ID=Brasy5G506100.1.v1.1 annot-version=v1.1 MRSRRFWLKWECDSVGKLGWQVHIKASNIIGVISERWYACTVQECSGLSVGVGYNGDLLNQTRKCVTKFFSVYPVSGAVLLLPGRPAPKTTDVTRCMCLRHLSDVTHVDFCIGPAVCLVRPTIYAGCIYCVVLNELAIHLARNFEGKTNVNSRFSPCPGIYWYLV* >Brasy5G042200.1.p pacid=40073674 transcript=Brasy5G042200.1 locus=Brasy5G042200 ID=Brasy5G042200.1.v1.1 annot-version=v1.1 MKRTVSGMLGLLPSDQFRVVVEALWDPFFKLVISSIMTGYTLCNAEYRLSLERTLELSEDETECQGDSTKHSHNDFDFGGPMENFRLPEDDELTHEPEKIDGIFLSENLVGLDNLNTQAKEHILQLQSHLESMEKELRELKRKNSSLQMQQFAGEEKNELLDYLRSLSPDTVMKLSEPSCPGVQEAIHSVVHGLLATLSPKMHTKLPPSENMTSGTLNFGKGDDDRAELVEDVSLPFQPLISIPRDHLARLLFWCMLLGHYIRGLERRLELSQLLAASSDVWS* >Brasy5G024900.1.p pacid=40073675 transcript=Brasy5G024900.1 locus=Brasy5G024900 ID=Brasy5G024900.1.v1.1 annot-version=v1.1 MAAKFIHSIPGSQEPLDSPYQERDQEGSTANCSTIECRILSDAANSLTKSTEEERLDKCRERAGMAFSALCTSMRLILAPEYHHPCTRLGRWRLGERVQCVQKLEIV* >Brasy5G197500.1.p pacid=40073676 transcript=Brasy5G197500.1 locus=Brasy5G197500 ID=Brasy5G197500.1.v1.1 annot-version=v1.1 MATLTTPPATLQPCEHAARRGRALCSPWSRSRIRCRRAIRIRAAASTGSSSRVAVAGGNGIGEDAGDVIRRLQNGPDVRGVALEGEKGRPVDLTPLAVELIGESFGEWLRDQRGGQEQQVRVSVGRDPRLSGPRLSAALFAGLARAGCAVFDMGLATTPACFMSTILPRFSYDASIMMTASHLPYTRNGLKFFTKRSGLTSNEVERICDLAARKYAARKLAGGNPPPAPAVTQVDLMSAYAEHLRDIIKQRVAHPSSYDTPLKGFKVIVNAGNGCGGFFASDVLAKLGADTSGSLHLDPDGTFPNHMPNPEDATAMSLARGAVLAHGADLGVVFDTDVDRSGVVDSAGSPINGDRLIALISAIVLREHPGTTVVTDARAGDGLTRFIEARGGRHCLYRVGYRNVIDKGAQLNDDGVETHVMMETTGHGALRENHFLDDGAYMVVKIVIEMVRMRLAGSEGGVGSLIEDLEEPAEAVLLRMDIGGEPKYAKIRGIRAVETFKEYIQEGKLQGWVLDDCGDCEVDQGCLVDSNDHPIDVDAFMYRAKFYDESLGQVGWVHIRQSVHNPNIALNMQSCIPGGCKSMATDLFHRFLLTSGVSEFVDITKVQNFVQLDS* >Brasy5G508600.1.p pacid=40073677 transcript=Brasy5G508600.1 locus=Brasy5G508600 ID=Brasy5G508600.1.v1.1 annot-version=v1.1 MGNALWRRYCCCCCDEGGGGGDDVYGGGDGDDQYAYYYPAPAPPAAGASSSSRPQLYGELPAAAAALSSPTPTSSFLLPQKQAPVPHGAGASSASTRSSLLRPQSQPAPAAAPLSRRKQQWQQRPPAPHGVAVASSANISSRIILRPKKQNPLPQPPLVSHGVAVAVASSSASPSPARSSLLRFEYDILNFVLTKMVPEGLGEHVTSSKKAQWYRNISEAYVTTEPLPTTSAEAAMLIATSLSRIQGANLEGILAFYGFAIPTPPVVTKEHPPSSLPDGVQFVLNTLLVDAMGIGDGDGFTAYVDTSDPMQSTNVPLEVHEAVIAMAQSRKGRNNQKKNVLQNKLDKAGYRIMYTSKDEILAKQYRIRLRGIDAPEMEMQYGKESQNALEKLIAGRSVMVYVYGQDHYNRYVGDIYCDGVFIQEQMLKEGHAWHYKIYDKRPEFAKWEKKARDACRGLWAYDNPVKPWYWKRSWH* >Brasy5G498600.1.p pacid=40073678 transcript=Brasy5G498600.1 locus=Brasy5G498600 ID=Brasy5G498600.1.v1.1 annot-version=v1.1 MQSGRRSALGLAAALATASFLAASAAAGSSAGLSTSTYYAAVENKLPAGEGMDLVCRALGGELFTEWSVVPRGRVPRGGRRVVELLVDGGGDRRFARVVCSWAYRGNYVGGIALLDSRWPEARQCRNPRASGGMCRVVFEGDMVRFEAPGGGTRVIGDLPVKRYPEHPHPYAGRVLRNALEYFGV* >Brasy5G270600.1.p pacid=40073679 transcript=Brasy5G270600.1 locus=Brasy5G270600 ID=Brasy5G270600.1.v1.1 annot-version=v1.1 MPLAWSSRTASSQLVRSMPPRLFPASSTPFLLSARRPRCSSRRRHHQERGQELRPLVTANLFRKARAW* >Brasy5G210400.1.p pacid=40073680 transcript=Brasy5G210400.1 locus=Brasy5G210400 ID=Brasy5G210400.1.v1.1 annot-version=v1.1 MADDNGGGDLVVEGVRAEADTHPREEGLSKSRDRDREKDKDRHRDRDRDRGRDRDRGRDRDVDKDRDRDKDRDRHRSHHREKREHRDRPDDHDRHRSRDSERRRDRERDGHRRHRSRSRERGRDRRSRSRSRSKSKRVSGFDLGPTSAQAVVPQFPTIPAPSQLPGSSIPGMFPNMLPFAVGQFNPLVMQPQAMTQQHIFPQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGSLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGSAQPRPEQENILLQAQQQVQLQKLVYQVGALPTKVICLTQVVTADELKDDEEYEDIMEDMRLEAGKYGTLVKVVIPRPHPSGEPVAGVGKVFLEYADVDGSTKAKTAMHGRKFGGNPVVAVFYPENKFADEEFDA* >Brasy5G210400.2.p pacid=40073681 transcript=Brasy5G210400.2 locus=Brasy5G210400 ID=Brasy5G210400.2.v1.1 annot-version=v1.1 MADDNGGGDLVVEGVRAEADTHPREEGLSKSRDRDREKDKDRHRDRDRDRGRDRDRGRDRDVDKDRDRDKDRDRHRSHHREKREHRDRPDDHDRHRSRDSERRRDRERDGHRRHRSRSRERGRDRRSRSRSRSKSKRVSGFDLGPTSAQAVVPQFPTIPAPSQLPGSSIPGMFPNMLPFAVGQFNPLVMQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGSLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGSAQPRPEQENILLQAQQQVQLQKLVYQVGALPTKVICLTQVVTADELKDDEEYEDIMEDMRLEAGKYGTLVKVVIPRPHPSGEPVAGVGKVFLEYADVDGSTKAKTAMHGRKFGGNPVVAVFYPENKFADEEFDA* >Brasy5G084500.1.p pacid=40073682 transcript=Brasy5G084500.1 locus=Brasy5G084500 ID=Brasy5G084500.1.v1.1 annot-version=v1.1 MLFTEFGKEPLAHLFRRRQQVQVQFQIQSDRTDGAETNADQCGDQ* >Brasy5G518000.1.p pacid=40073683 transcript=Brasy5G518000.1 locus=Brasy5G518000 ID=Brasy5G518000.1.v1.1 annot-version=v1.1 MASCNPLAWPETIVPVQTLSNAGLRTLPQQYIKPPSERPSGSTNEPKLSIPVIDLASFFNVPDHHQAMLKAMADACKDWGFFQIVNHDVDMDVVKRVRGAWREFFDLPMEEKKVYANLPVTYEGYGSRLGVEKGAILDWSDYYFLYVFPSDIRNLDKWPKIPTDLREATEKFACQLMNLSKVLLKAMSSSLGLQDDYLHSAFGGSDGISASMRMNYYPRCPQPELTFGLSAHSDPGGITLLLGDDDVEGTQVRKGDSWVTVPPIPGSFLINVGDQLQILSNGRYRSAEHRVLANSDKDRFTIAFFCNPQCDLPIAPASELVGPESPALYKKPVTFDEYRKYIRTKGPSGRKQILSINSPMQPDPSA* >Brasy5G230500.1.p pacid=40073684 transcript=Brasy5G230500.1 locus=Brasy5G230500 ID=Brasy5G230500.1.v1.1 annot-version=v1.1 MLAHLRRCSGLLHRLPAASLAAAADPAAVHSGWISPHGEPLSLPSSGCCSNHKSLSTNGKVTDGRQGFSRTRTELKPSTAVKDNDVVIDRIQKSTRELKKGPVGHTLSSAEKRKFLIHTLLDLEDSKEAVYSTLDAWVAFEQEFPLASIKQAIEALEKEEQWHRIVQVIKWMLSKGQGNTIRTYEQLVRALEKDNRAEEAHRIWEKKISHDLHSVPWRFCGLMLAIYYRNNKLDRLVKLFQNLEACGRKCPSREYIRKVEDAYEMLGLLEEKTALLDKYKDLYNKLSGNDIKKGRQSRKAEKKAADGSKQCEMEISENRPCPSDNEPVASS* >Brasy5G230500.2.p pacid=40073685 transcript=Brasy5G230500.2 locus=Brasy5G230500 ID=Brasy5G230500.2.v1.1 annot-version=v1.1 MLAHLRRCSGLLHRLPAASLAAAADPAAVHSGWISPHGEPLSLPSCCCSNHKSLSTNGKVTDGRQGFSRTRTELKPSTAVKDNDVVIDRIQKSTRELKKGPVGHTLSSAEKRKFLIHTLLDLEDSKEAVYSTLDAWVAFEQEFPLASIKQAIEALEKEEQWHRIVQVIKWMLSKGQGNTIRTYEQLVRALEKDNRAEEAHRIWEKKISHDLHSVPWRFCGLMLAIYYRNNKLDRLVKLFQNLEACGRKCPSREYIRKVEDAYEMLGLLEEKTALLDKYKDLYNKLSGNDIKKGRQSRKAEKKAADGSKQCEMEISENRPCPSDNEPVASS* >Brasy5G405800.1.p pacid=40073686 transcript=Brasy5G405800.1 locus=Brasy5G405800 ID=Brasy5G405800.1.v1.1 annot-version=v1.1 MQPWGGAARRVLATPAPPPMPVSASAPSMDAQRAHLERVVGATTTVLFVASVSYVVFSALYGCIQAGRNRRVVADADPGAAVRPEEEEEEETKRALEGIPVQVVVVRDGGRCDLNQKGADQGVTEESGGECAVCLAEYEGGDEVRVLPACRHRFHRECVDRWLLTRAPTCPVCRAPVPRVKEGCAIRHADAAGIGAGALPPAMALAP* >Brasy5G170200.1.p pacid=40073687 transcript=Brasy5G170200.1 locus=Brasy5G170200 ID=Brasy5G170200.1.v1.1 annot-version=v1.1 MTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA* >Brasy5G115500.1.p pacid=40073688 transcript=Brasy5G115500.1 locus=Brasy5G115500 ID=Brasy5G115500.1.v1.1 annot-version=v1.1 MPSLLYCSKALAMVLLLLIAALCHLGSCNGGGGRGDRIRKLPGQPEVSFGHYSGYIGVDGKGSRALFYYFVEAELDPISKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSSDAAYYQGVNDKMTAMDNMVFMQRWLEKFPQYKGRELYIAGESYAGHYIPQLADVMVEFNKNEKIFNLKGLALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSQYVSEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLASVLSQSMILSPHRQVGQRIDVCIEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLQYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDLGLKTSTPYRVWFEGKQVGGWTQVYGDMLTFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS* >Brasy5G235800.1.p pacid=40073689 transcript=Brasy5G235800.1 locus=Brasy5G235800 ID=Brasy5G235800.1.v1.1 annot-version=v1.1 MHTSGRVTWLPHRLWAHTSGDHVALQSYRNPLHLFSSNISAHVAAIAREIGTGASSTNLPPGLPPELLLFLTPHALPLGRDARSGLTHMPASVGSVCLRSPSALALLSQFMSYAPHAACPLNASTSTLPRRLVSKGCEPLPRRPPLRPSSITALDPRRWVTPARSSNNRHEFLIDDVIRLAQIRIGLDVSGGGGDFAARMKERNGATVVTTVLEPTTSELVAARGLFPLQLSPAHRLPFYDGVFDLVHAAGTAALDGAGAPAMGLAGTPEALEFFLFDVDRVLRVGGLLWIDSYPCQSDDRRRVLVRGE* >Brasy5G006900.1.p pacid=40073690 transcript=Brasy5G006900.1 locus=Brasy5G006900 ID=Brasy5G006900.1.v1.1 annot-version=v1.1 MDKHDRKNSSSSLCAAGLAVLIFCSTASMALTPDGEALLELKLAFNATAQRLTSWRFTDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPSIGKLSKLQRLALHQNSLHGPIPAAIKNCTELRAIYLRANYLQGGIPSEVGELIHLTILDLSSNLLRGTIPASIGSLTHLRFLNVSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSSGVSPISNNKTSHFLNGIVIGSMSTMAVALIAVLGFLWICLLSRKKNMGVSYVKMDKPTVPDGAKLVTYQWNLPYSSSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNRQGRDKTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRCLEPRVSDFGLARLLVDKDAHVTTVVAGTFGYLAPEYLQNGHSTEKSDVYSFGVLLLELVTGKRPTDSCFLNKGLNIVGWLNTLTGEHRLEEIVDERSGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCSSELYYEQHLEI* >Brasy5G395100.1.p pacid=40073691 transcript=Brasy5G395100.1 locus=Brasy5G395100 ID=Brasy5G395100.1.v1.1 annot-version=v1.1 MDFVLFKYLLFGFHSLTIYQLLLGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPTKVYGLDINPRAIKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGLMVFNMGGRPGQGVCERLFLRRGFRINKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLQDGFHDVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPGLAIVDEHLTRHLPKQWLTSLAIEGSNHAEDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFVNLLSVTKDVGSRLLLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSVISQHYYGCLFHELLAFQIGDRHPQQEREPAEVIPKEMIGFSKSAMSTLKGAEFFVPSSEESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITDAETDVRSSIQQLVKDSYGFQADGSEIIYGNTCLALFNKLVLCCMHEQGTLLFPLGTNGHYVSAAKFVNASTWTIPTNSESGFKIEPKVLADALEKVSRPWVYISGPTINPTGFLYSDDDIQELLSVCAKYGARVVIDTSSSGLEFQTDVCNQWNLKKCLSTMNCSKPSFSVVLLGELSFELTTAGLDFGFLILSDSSLVDTFYSFPSLSRPHSTLKYTFRKLLGLKNQKDQHFSNLIGEQKKTLKNRANHLMKTLESCGWGAVGCHGGISMLAKPTAYIGKSLKVDGFEGKLDGCNIREAILRSTGLCISSSSWTGIPDYCRFSFALESGEFDRAMDCITRFRVLVLGGNALVNGN* >Brasy5G474100.1.p pacid=40073692 transcript=Brasy5G474100.1 locus=Brasy5G474100 ID=Brasy5G474100.1.v1.1 annot-version=v1.1 MRQSPGVSRCGAGNPRPRARPDPGQFILPHEANQRLNYSHPNVAPPFSIQRDPRPFPIPPNPPPRGGRSRPPWRPWRSSPARSAGPAPVDAAPRRPSTPPPPPPAPI* >Brasy5G431200.1.p pacid=40073693 transcript=Brasy5G431200.1 locus=Brasy5G431200 ID=Brasy5G431200.1.v1.1 annot-version=v1.1 MKQLASNSSPPPPPPTPQETEMPVLASFSLTRDQIGRIKDAALTAAHGTQQHMSSFVAASALAWVSLLKSRSVPVPGAEEEEGTAARSHMLFSAECRSRLTPPLPAEYFGNCLRPCFVEAATEDLQLTSSAGVAAAALAIGSAIREMERGVLEGAEGWLGKVMSVLPMRPVSVAGSPRFGVYETDFGWGKPVRVEMVSIEKTPGTVSLAESPGGDGGVEVGVVLPPDAMAAFASCFRI* >Brasy5G009200.1.p pacid=40073694 transcript=Brasy5G009200.1 locus=Brasy5G009200 ID=Brasy5G009200.1.v1.1 annot-version=v1.1 MAANVGESTSSSGGAGDGGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPHRPTGQRPATAPQADPNNHFPNANPNPWFMGGGGVPLSNARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHAFHGGHAGHPHAAPRHAQPGQQQQADVYLKALLILVGFLVIASLITF* >Brasy5G378500.1.p pacid=40073695 transcript=Brasy5G378500.1 locus=Brasy5G378500 ID=Brasy5G378500.1.v1.1 annot-version=v1.1 MSYQKVPPPQESYPPPGYSPVYPPPSSGGQQAPYYPPPSSGGPQGPYYPPPQQQPPPGYQGYFSEGQPPPYYYPPPHGGHHHHGHHHDHHAEDHHHHHHGHHHHHHGEDDCCLGFLKGWLAALCCCCVLEECCCCCCW* >Brasy5G020800.1.p pacid=40073696 transcript=Brasy5G020800.1 locus=Brasy5G020800 ID=Brasy5G020800.1.v1.1 annot-version=v1.1 MALVAGSYERFIWGFSLKTLTTCSSSEQALAPLFSYPAHAGPIRCVAAAPRAGLAASGGADDSVRLYDLPAAADLGPLLDPSAAVSALAFHSIGPVPRNLLAASDDGLLHLYDADGFALLSSLRVFPRGREPADAVAVHRSGRVALAVGRAGGLAMLNLLRGRRSFACRLERPASAVAYVEDAAGGDRFVMAAEEKVTVHDSEDARIIHEMQCDKRVLSFGAAKNGILYTGGEDRGISAWDLSSGKVSSRIEGAHSTRVKGIVVFDNRNDGSELCTLVASASSDGIIKIWDVRMIGDEKPTPLAEANTKARLTCLAGTSLK* >Brasy5G228400.1.p pacid=40073697 transcript=Brasy5G228400.1 locus=Brasy5G228400 ID=Brasy5G228400.1.v1.1 annot-version=v1.1 MDQEANRSWKSPFGRIHGTKGRESSPRRGTECSINSTDFQESPELSSVASPSGSSSSFSKSTSLKLSGINSPASTTSTHIESFRVFAATWNVGGKTPDMNLNLNDLLPSDDQSDIYVLGFQEIVPLNAGNVLVNEDSAPAARWLALIDRSLNRPSSSSPAPATASRSFLRRRRPPPSSSCLALSCGRRRRYHAAGGATPSSSSEEETSAVQPLPPPPSSSSPVRKRADGFVMVASEQMVGLLATVWARRSLAAHMAHVRVSRVGLGLMGRRLGNKGSIAVSLAIHQTLLCFVCSHLASGERPADELRRNADVLQILSHTRFHSPTRRRRRIPLPRRILDHQRVIWVGDLNYRIGMGYGEARALVDGGDWGALLEKDQLRAEIANGGGVLAGWSEGEIAFPPTYKYSSNSDRYAGDGEDDDAGLKKKKGKKRRTPAWCDRILWRGEGIVQRGYGRGESRFSDHRPVCAAFLVDAAVRDDDTMASCRMMGSMKVGAEELLLLPGES* >Brasy5G228400.2.p pacid=40073698 transcript=Brasy5G228400.2 locus=Brasy5G228400 ID=Brasy5G228400.2.v1.1 annot-version=v1.1 MDQEANRSWKSPFGRIHGTKGRESSPRRGTECSINSTDFQESPELSSVASPSGSSSSFSKSTSLKLSGINSPASTTSTHIESFRVFAATWNVGGKTPDMNLNLNDLLPSDDQSDIYVLGFQEIVPLNAGNVLVNEDSAPAARWLALIDRSLNRPSSSSPAPATASRSFLRRRRPPPSSSCLALSCGRRRRYHAAGGATPSSSSEEETSAVQPLPPPPSSSSPVRKRADGFVMVASEQMVGLLATVWARRSLAAHMAHVRVSRVGLGLMGRRLGNKGSIAVSLAIHQTLLCFVCSHLASGERPADELRRNADVLQILSHTRFHSPTRRRRRIPLPRRILDHQRVIWVGDLNYRIGMGYGEARALVDGGDWGALLEKDQLRAEIANGGGVLAGWSEGEIAFPPTYKYSSNSDRYAGDGEDDDAGLKKKKGKKRRTPAWCDRILWRGEGIVQRGYGRGESRFSDHRPVCAAFLVDAAVRDDDTMASCRMMGSMKVGAEELLLLPGES* >Brasy5G228400.4.p pacid=40073699 transcript=Brasy5G228400.4 locus=Brasy5G228400 ID=Brasy5G228400.4.v1.1 annot-version=v1.1 MDQEANRSWKSPFGRIHGTKGRESSPRRGTECSINSTDFQESPELSSVASPSGSSSSFSKSTSLKLSGINSPASTTSTHIESFRVFAATWNVGGKTPDMNLNLNDLLPSDDQSDIYVLGFQEIVPLNAGNVLVNEDSAPAARWLALIDRSLNRPSSSSPAPATASRSFLRRRRPPPSSSCLALSCGRRRRYHAAGGATPSSSSEEETSAVQPLPPPPSSSSPVRKRADGFVMVASEQMVGLLATVWARRSLAAHMAHVRVSRVGLGLMGRRLGNKGSIAVSLAIHQTLLCFVCSHLASGERPADELRRNADVLQILSHTRFHSPTRRRRRIPLPRRILDHQRVIWVGDLNYRIGMGYGEARALVDGGDWGALLEKDQLRAEIANGGGVLAGWSEGEIAFPPTYKYSSNSDRYAGDGEDDDAGLKKKKGKKRRTPAWCDRILWRGEGIVQRGYGRGESRFSDHRPVCAAFLVDAAVRDDDTMASCRMMGSMKVGAEELLLLPGES* >Brasy5G228400.3.p pacid=40073700 transcript=Brasy5G228400.3 locus=Brasy5G228400 ID=Brasy5G228400.3.v1.1 annot-version=v1.1 MDQEANRSWKSPFGRIHGTKGRESSPRRGTECSINSTDFQESPELSSVASPSGSSSSFSKSTSLKLSGINSPASTTSTHIESFRVFAATWNVGGKTPDMNLNLNDLLPSDDQSDIYVLGFQEIVPLNAGNVLVNEDSAPAARWLALIDRSLNRPSSSSPAPATASRSFLRRRRPPPSSSCLALSCGRRRRYHAAGGATPSSSSEEETSAVQPLPPPPSSSSPVRKRADGFVMVASEQMVGLLATVWARRSLAAHMAHVRVSRVGLGLMGRRLGNKGSIAVSLAIHQTLLCFVCSHLASGERPADELRRNADVLQILSHTRFHSPTRRRRRIPLPRRILDHQRVIWVGDLNYRIGMGYGEARALVDGGDWGALLEKDQLRAEIANGGGVLAGWSEGEIAFPPTYKYSSNSDRYAGDGEDDDAGLKKKKGKKRRTPAWCDRILWRGEGIVQRGYGRGESRFSDHRPVCAAFLVDAAVRDDDTMASCRMMGSMKVGAEELLLLPGES* >Brasy5G266300.1.p pacid=40073701 transcript=Brasy5G266300.1 locus=Brasy5G266300 ID=Brasy5G266300.1.v1.1 annot-version=v1.1 MEKQTEDPQAAATTLPVPDDVVLEILARVGAADVAGLFRCATACKRWRRLIADPSFLRRRRTRRTSSVLGFFVELRPNHGGDVWPTVPPVAVGRPSPVFVPSPRSAMASRRGSLESLVQGVAAGPSDRVVPLASRNGLLLVRLVPRHQGPLNPDITVLRLAVCDLLAGTCDLLPSLSLNPNSVSFSTKISYAILTDDEECCSNGQRRLRRQLLGSGCSAFFKLLVVVLGRRLCSLYTFSSPESTSWSEAANNWSDLVDDPHPLMDFGPSSAIVWHGMVRWFVWSTTGDYILTVSIQTGQLSFSSTNTSIPITNSNTGLYHTPLLGVAANGVISLLYLQKWSLRGEIWTRQDDDGKTSKDANNVNAGWVFSSVIKLELPEGKKQYTVSPYDWWLAEKSGTLLVKDLLKNVYVADLETYMMEEVTDSFLGVIGIIYTNIVPFEMDWPAYFPSRLGSE* >Brasy5G260000.1.p pacid=40073702 transcript=Brasy5G260000.1 locus=Brasy5G260000 ID=Brasy5G260000.1.v1.1 annot-version=v1.1 MGWKLLERIPRSQTNEPLAAGGRGLSLEDERHRRPQWSEAAASRSEGERAAAGVGGRGGAPCGLEGRSLPGPMGDAEGLQPWRREEEVGAASAPSIRASPEEERDGRFFHGGCSRR* >Brasy5G104300.1.p pacid=40073703 transcript=Brasy5G104300.1 locus=Brasy5G104300 ID=Brasy5G104300.1.v1.1 annot-version=v1.1 MIYDVNSPLFRSFLSQKGGASSDKRKMEEQKPKDQRFKANENKPVMNE* >Brasy5G346900.1.p pacid=40073704 transcript=Brasy5G346900.1 locus=Brasy5G346900 ID=Brasy5G346900.1.v1.1 annot-version=v1.1 MKLVQSDFARDGPGSAKLLPEVDDDLWDAYNLIAAGDTVEAVTFRKVARLGGGRDAERVKLTLEVAVDSVDYDKDGSVLRVRGRNLSKNEHVQVGQHHTLELELKRPFVLRKELWDWPALDTLRRSCDDTGANADLAVLLMQEGLAQLFLVGRCVTANRARVEAPIPRKHGSAAVAGGAYDAALRDFFGRVLEAFVSHVDFGVVRCVVVASPGFTKDQFHGYMLVEAERQSLRAVLENKARIVLARAPSGYAHSLREVLASPGVKSLVRDTRLAQEAPALEEFFAMITKDSDRACYGPKHVEVAHGRLAIQTLLLTDTLFRNPDVAARRKCVDLAEAVKKHGGTVRVFSSMHHSGNQLEQLTGIAAILRFPLPELDEIEM* >Brasy5G309800.1.p pacid=40073705 transcript=Brasy5G309800.1 locus=Brasy5G309800 ID=Brasy5G309800.1.v1.1 annot-version=v1.1 MKTRNSSLLHLMQAVCGTGFSVTSPTTHRQGRSCNGQFTVDDIEVYDHTRFQITATAHFLFDPSVKISTETATALKNDFAACDFLIDKFRRNGDLLPCFEQLQMALNDTPIEEFCDAFQSSDLYDSFLHNAALKPPLVRANFLCGVYSACRSYDLADDDAIYKDILYGTVPAGSNWVDQSFSWNDLMQRVLNHHERRDETDEHAGLKVHTSDGVFDSYASYMDFLERGESTSTSGNFGAYVTYVRHLLNHATDHSKVKKTFKELRDGDSRRYLVPEGTRKARVQKVNNLELVELMAASKLGRVMSQMIKLLLDKSAMCGMLQPVLETYKSSGFLSTNVEIKLKS* >Brasy5G303700.1.p pacid=40073706 transcript=Brasy5G303700.1 locus=Brasy5G303700 ID=Brasy5G303700.1.v1.1 annot-version=v1.1 MAVNGTGNGAMAVNGTGNGTTGPGKTVLVTGGAGYIGSHAVLQLLAAGFRAVVVDSLGNSSELAVRRVAALAGEHARNLSFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNIVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYRSDPEWRIILLRYFNPVGAHPSGYLGEDPRGTPNNLMPFVQQVAVGRRPSVTIFGNNYATKDGTGVRDYIHVVDLAEGHVAALRKLFDNSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFSVASKAERELNWKAKYGITEMCRDQWNWASKNPYGYGSPDSPKQNGSNSH* >Brasy5G303700.2.p pacid=40073707 transcript=Brasy5G303700.2 locus=Brasy5G303700 ID=Brasy5G303700.2.v1.1 annot-version=v1.1 MAVNGTGNGAMAVNGTGNGTTGPGKTVLVTGGAGYIGSHAVLQLLAAGFRAVVVDSLGNSSELAVRRVAALAGEHARNLSFHKVDIRDKDGLEKVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNIVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYRSDPEWRIILLRYFNPVGAHPSGYLGEDPRGTPNNLMPFVQQVAVGRRPSVTIFGNNYATKDGTGVRDYIHVVDLAEGHVAALRKLFDNSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKCLCVATEPNEKREGIICQMSAFVMDGSTHGTTDIITR* >Brasy5G466600.1.p pacid=40073708 transcript=Brasy5G466600.1 locus=Brasy5G466600 ID=Brasy5G466600.1.v1.1 annot-version=v1.1 MAMAAAALLPGLLPTPPMNTIITKPCVIILPATSNPKFPKPGRADAVQRWDAHKNDAKTKPRSPASSCGSSSPGRADSCERWDINKTKKTNLVSSTSSSCVKKWEAEDSNKRPPSRASSADRLDAHKKLRPAADQEEPKIIEETNMAAPSTLPVFPGPSFVASPEPSMLPMPAFFPRRAGMTPLPALVQAR* >Brasy5G230200.1.p pacid=40073709 transcript=Brasy5G230200.1 locus=Brasy5G230200 ID=Brasy5G230200.1.v1.1 annot-version=v1.1 MKIQGARQYPTIVNKQGFKDTKSIQLAAMGSLVQVLNEWEIQLLVLFSFTLQVFLFFTGGLRRRSTNSLLRFSMWVSYLGADLVAIYALGFLSRHKDVSIGKETLREAHPLAFLWAPFLLIHLGGQDTITAFAIQDNDLWLRHLLNLAVQVGVALYVFWVSTTGRGHHSVQLPGIFVFIAGIIKYGERTLALMYGNLKGMTSSITIGNENTRDLNWLVDDGYLRIVASALKSSPGIRELFAGRTLHQMEAHHREVLTSHIHVDHMPKLLEVELDLMYDDIYTKALVLRTRSGIIFRCVSQVSMVVGFVLFILGAVNNKHRYSRADLAMTYVLFTGGLCLEVCAVFIFLKSPWTWAWLKARGRNRLARISSFLLSSNIGRPESRPLWSNSMGQYNFLCYVGSGKQSRLSKLVKEVTRKVASLVLGAREETKSLLWMSRLLDTEYVEVNYNIMDYVVGMICRDRPGESIMDAQDWPNLGELLAKLLPDFGASFGYGIVCFHIFTEAHLSKYRYTSRDKKIVSACRKLSNYMLYLLVTHPEMLPVSGTAEPTLLFFLDKIISRGNLRGHADILDRVRKMLMELDLVELDTTSSETLYEMMDLWTRLLIYSAGKSSVQMHAAQLSRGGELLTFAWLLMVHKKLGDCGQAFNFNFNFALAPQAPVLLPPQSRPPPRLGILKARKYSESC* >Brasy5G193200.1.p pacid=40073710 transcript=Brasy5G193200.1 locus=Brasy5G193200 ID=Brasy5G193200.1.v1.1 annot-version=v1.1 MGTAPASPPEQLQAPLISPAPPAANDDHDVAGSLGENCLVICGCCLLVLFLLSPIIYLVYESKTSGEVEYSVTITGADGLPDDPSPSSLLSPVFNLTIGVKHFDKFQGTVCVGGSMAELAVSYHRALLAKDPAWPGFCVKYAEEREVDAIVWGVDVAVPRFLRERLARELPRGEAVFVVAARDPGAWQKPDFACQPAKIGGGPYSCK* >Brasy5G005900.1.p pacid=40073711 transcript=Brasy5G005900.1 locus=Brasy5G005900 ID=Brasy5G005900.1.v1.1 annot-version=v1.1 MAKEETKPKTAELDPVLHAMGFELESLSPSLLTGRLPVTSRCCQPFKVLHGGVSALVSEGMASMGAHMASGYSRVAGVHLAINHFRSAAVGDVVVARAAPVHLGRSTQVWEVKLWKLLVEAPEPEKKVLIAESRVTLLCNLPVPESLRHAGDALKKYAAAPDANPISPISKLGGAKRTPGLAAAGAKWVRKERALAQGKEKREMEWVGFGLEGYQSWRTA* >Brasy5G085100.1.p pacid=40073712 transcript=Brasy5G085100.1 locus=Brasy5G085100 ID=Brasy5G085100.1.v1.1 annot-version=v1.1 MSRGRSPEPLDFFIWTVEDVGLWLEDINLGSYRHVFEANGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP* >Brasy5G340000.1.p pacid=40073713 transcript=Brasy5G340000.1 locus=Brasy5G340000 ID=Brasy5G340000.1.v1.1 annot-version=v1.1 MGDEGAKAAATTIVRLRELLHKWALGASDDDGEKLKAHHHAEEEEEAPASIPPFVLRRLRRTATVDSDDESCHSPEAAPDVPKGYCPVYVGMEQRRFVIPTGYLGHPVFRLLLEKAEEEFGFRHEGALAIPCETEAFKYILQCVERHDKGLAADDVDDQNLAALREKASSI* >Brasy5G340000.2.p pacid=40073714 transcript=Brasy5G340000.2 locus=Brasy5G340000 ID=Brasy5G340000.2.v1.1 annot-version=v1.1 MGDEGAKAAATTIVRLRELLHKWALGASDDDGEKLKAHHHAEEEEEAPASIPPFVLRRLRRTATVDSDDESCHSPEAAPDVPKGYCPVYVGMEQRRFVIPTGYLGHPVFRLLLEKAEEEFGFRHEGALAIPCETEAFKYILQCVERHDKGLAADDVDGTLHAYS* >Brasy5G138500.1.p pacid=40073715 transcript=Brasy5G138500.1 locus=Brasy5G138500 ID=Brasy5G138500.1.v1.1 annot-version=v1.1 MTGAPALIVLVFPLLLCHCPLLSHAKPHSSLAGGANDELALLSFKSTLSGASLLASWNESSRYCSWPGVLCGEKHPERVVALRLRSANLLGPVSPFLGNLSFLRELDLSDNHLAGQIPPELGRLARLQALNLSVNSLQGSIPEALTGCSRLMTLDLKRNHLQGDIPRWLPNLTSMEYLSLGGNRLSGEIPPGLDNLSKLRHLALPANALSGAIPSSLGRLRNLSWLSLGYNSLSEAIPTSIWNISSLAFFSVQQNMLTGTIPPNAFDALPNLQNIYIDHNRLHGQIPASVANASEMSILQLYYNFFDGVVPPELGRLINLYWLQISVNLLQAREPRDWGFVAALANCSQLEVLEMGANKLEGVLPDSLSNLSTSLMHLSLSGNEISGSIPRDIGNLVNLQNLDLSNNSFTGTLPSSLSRLNNLVELYVTENKMSGSIDPWTVGNLTNLNNLGLSKNAFSGRLPSTIAKLTKLLALDLSGNSFTGPIPKGLFNISALSINFDLSHNNLEGSIPQEIGNMKNLVVINAESNKLTGAIPDTLGECQLLQNLFLQNNILTSTIPSSMSQLKGLENLDLSGNNLSGQIPKFLVNMTMLNYLNLSFNSFIGEVPNVGIFANATAFSIRGNDKICGGIPDLHVPPCSSQLENKRHKSLVIPIVTPLAASIVILLFICLFLSWRKSTSTKIPATASMQGHPLTSYSQLLRATNGFSTTNLLGSGAFGTVFKGNIESQAGGSTSHVAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNRGNDFKAIVFEFMPNGSLEDWLHPDTNDETAKCLNLLERVTILLDVANALDYLHCHGHAPVLHRDLKPSNVLLDTEMVAHLGDFGLAKILVETNSILQQSSSSMGFRGTIGYAPPEYGAGNMVSAQSDIYSYGILVLEIVTGKKPTDRKFIEGLSLRRFAELGLSGKAIDVVDTRLSLSLKNELVKADDCLCKPNIDCVISLLGLGLSCSHDMPSRRTVTGDIVKELHAIKESLLL* >Brasy5G138500.2.p pacid=40073716 transcript=Brasy5G138500.2 locus=Brasy5G138500 ID=Brasy5G138500.2.v1.1 annot-version=v1.1 MTGAPALIVLVFPLLLCHCPLLSHAKPHSSLAGGANDELALLSFKSTLSGASLLASWNESSRYCSWPGVLCGEKHPERVVALRLRSANLLGPVSPFLGNLSFLRELDLSDNHLAGQIPPELGRLARLQALNLSVNSLQGSIPEALTGCSRLMTLDLKRNHLQGDIPRWLPNLTSMEYLSLGGNRLSGEIPPGLDNLSKLRHLALPANALSGAIPSSLGRLRNLSWLSLGYNSLSEAIPTSIWNISSLAFFSVQQNMLTGTIPPNAFDALPNLQNIYIDHNRLHGQIPASVANASEMSILQLYYNFFDGVVPPELGRLINLYWLQISVNLLQAREPRDWGFVAALANCSQLEVLEMGANKLEGVLPDSLSNLSTSLMHLSLSGNEISGSIPRDIGNSFTGPIPKGLFNISALSINFDLSHNNLEGSIPQEIGNMKNLVVINAESNKLTGAIPDTLGECQLLQNLFLQNNILTSTIPSSMSQLKGLENLDLSGNNLSGQIPKFLVNMTMLNYLNLSFNSFIGEVPNVGIFANATAFSIRGNDKICGGIPDLHVPPCSSQLENKRHKSLVIPIVTPLAASIVILLFICLFLSWRKSTSTKIPATASMQGHPLTSYSQLLRATNGFSTTNLLGSGAFGTVFKGNIESQAGGSTSHVAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNRGNDFKAIVFEFMPNGSLEDWLHPDTNDETAKCLNLLERVTILLDVANALDYLHCHGHAPVLHRDLKPSNVLLDTEMVAHLGDFGLAKILVETNSILQQSSSSMGFRGTIGYAPPEYGAGNMVSAQSDIYSYGILVLEIVTGKKPTDRKFIEGLSLRRFAELGLSGKAIDVVDTRLSLSLKNELVKADDCLCKPNIDCVISLLGLGLSCSHDMPSRRTVTGDIVKELHAIKESLLL* >Brasy5G143900.1.p pacid=40073717 transcript=Brasy5G143900.1 locus=Brasy5G143900 ID=Brasy5G143900.1.v1.1 annot-version=v1.1 MMEDEQAATYTVDDALLSSGFGRFQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTAHQESMITSVVFVGMLIGAYSWGVVSDNYGRRKGFFFTAVMTSGAGFLSAFAPNYLSLMALRFVVGIGLGGGPVLGSWFLEFVPAPTRGTWMVIFSAFWTVGTILEASLAWAVMPKFGWRLLLALSSAPSFLLLLFYATTPESPRFLCSKGRITEAVEVLEKMARLNNVQLPAGRLVSDKNIELDEVSESATLLTDDKEKDDIKDDEGSNFGGFKSVSKLLSPKLMRATLLLWMAFFGNAFSYYGIVLLTSELSNGNRICAKQEVESVHSNNSSLYKNVFISSFAEIPGSFLSAMVVDRIGRKLSMASMLFTSCVFLFPLIFSQTDILTRISLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGIASSVGRIGGILCPLVAVALVHNCQQTTAILLFELVVFLSGVAVMFFPFETKGCRLNDNEADLN* >Brasy5G121400.1.p pacid=40073718 transcript=Brasy5G121400.1 locus=Brasy5G121400 ID=Brasy5G121400.1.v1.1 annot-version=v1.1 MGLPRGHRPPPWRVHLLAVLCFAALETAAAAPRTGSTGGGGIGIGIASITDMGMKDAISAKYVLRKEPVNDVIALKESMKYFDAAFFNDSKLREMEDGAKEMNVPAFRENRKLVALENGGLHNPSVLVFKSSWTSNKKVKEIKSFNYPHTPVVHCPCNDEDIAFMTIAELGELIRTKKITSHELTDIFLRRLKRYSTVLKSVVTFTEDLAYKQAKEADGLLEQGQYLGPLHGIPYGLKDIIAVPQYNTTWGSKTFKDQVIDMEAFAYKRLKSAGAVLVAKLVTGSLAYDDVWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAVDCAIVLDVIRGTDVGDPSSREVALEDPFHVDITKLTVGYLESAEMEVVDVLSAKGVKLVPFKLNYTVVSVQSILNITMDVDMLAHFDNWQREGHDDEYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVQESFTVDAFIGNVTDWELVCLGNLVGMPIVVVPTGFKSIEHPPKDGTRRRTTVTTGIYAPPEHDHIALALAMAYQSVTDHHKQRPPVDDLGPNDNIHR* >Brasy5G499700.1.p pacid=40073719 transcript=Brasy5G499700.1 locus=Brasy5G499700 ID=Brasy5G499700.1.v1.1 annot-version=v1.1 MARVESALLPADHAVLDNSDTETSGVVEYDSAVAEEVEKSASAEVGLQVPFGSKEDVESLGTVCDLGKNKGNDAEAVTPNGVTLELLVSSKSPDGTLPFVCKTPRGNIFDPFASGQEEAVCGAPKKVVVKGPEALSRRKLIFESGDFPVKSLSFEFDDAVEEDVYLQGICKMFLELIITNQALEATGGGDQAESTPPESYQTPSSKPLLTGIADTCPDAPPRPSQKMLKLSPGICRKLDFGSVSPRSLFTEDNKS* >Brasy5G257900.1.p pacid=40073720 transcript=Brasy5G257900.1 locus=Brasy5G257900 ID=Brasy5G257900.1.v1.1 annot-version=v1.1 MAAAEEEEVRLEVEAVTAMYGDDCRVVLDFPPHLVVHVRPNTADDSSQQFVELFLGIKASSQYPKEPPDVYAVESKGLDETRQAYLISSIQNKAKELSYYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVPEDKDDSALPFMKLMSCYHCFHSNCIMRWWGWLQQDDVTSKQSSSTVTTGVIDSSGGFDLSRSGKIYNANHHKGFCPVCRKVFDEKDIKHVHDLLDANTSQLMGSLTIDLGEDDKELLYSEAENKRREKFGSLFNLQQERNGLIEPKKDLAIQPGMYVSLPPIEPTTTAADSTNSCEGNTTSTSETDSGSMANNTGSRNKQNNSGHRRRNRAYAPKRQPQDQSTGQQWQRGQPARQQWQRKDADNSQQ* >Brasy5G257900.2.p pacid=40073721 transcript=Brasy5G257900.2 locus=Brasy5G257900 ID=Brasy5G257900.2.v1.1 annot-version=v1.1 MAAAEEEEVRLEVEAVTAMYGDDCRVVLDFPPHLVVHVRPNTADDSSQQFVELFLGIKASSQYPKEPPDVYAVESKGLDETRQAYLISSIQNKAKELSYYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVPEDKDDSALPFMKLMSCYHCFHSNCIMRWWGWLQQDDVTSKQSSSTVTTGVIDSSGGFDLSRSGKIYNANHHKGFCPVCRKVFDEKDIKHVHDLLDANTSQLMGSLTIDLGEDDKELLYSEAENKRREKFGSLFNLQQERNGLIEPKKDLAIQPGMYVSLPPIEPTTTAADSTNSCEGNTTSTSETDSGSMANNTGSRNKQNNSGHRRRNRAYAPKRQPQDQSTGQQWQRGQPARQQWQRKDADNSQQ* >Brasy5G166800.1.p pacid=40073722 transcript=Brasy5G166800.1 locus=Brasy5G166800 ID=Brasy5G166800.1.v1.1 annot-version=v1.1 MIYQMINISSALWFSFSLVFCVLIQVPTPSIKYLGSLLVPSDVRNYNWALFGHKWFIESVRKYQRDKAKSKALSSRSNLTLGGCTYVPAVKYLDFVDFGEVKLDNCFPRTLVWKHDLIKEFARLDQKNAFEYGLRNVRDLSRTCYASASKYTSHSSYLPEFKSKLESLFRDSLHDKVVQDICNLFERSEITFGCDVMNTAGQFSISVLECIRNVSYKLQGYTSSGKELPPFANENLAAISGSVQNRNENFDAIAADAAVDSQATEKIEDPDDIEGVDDVPNELSDAETVVVNSDAEQLSNQACTQDKPVTSQSYPTEGREEDQGGITYLHDPRSQTVPIPSSVRSHLLPFLSQSQI* >Brasy5G507000.1.p pacid=40073723 transcript=Brasy5G507000.1 locus=Brasy5G507000 ID=Brasy5G507000.1.v1.1 annot-version=v1.1 MADGGRSFHRNYEGHAIEEKTNAQPNRTVLAHQASDPNSSPARDFSRTRKPAPPPDLIRSALLPCTRRLCPPPPHSSSAHTAMEIGSADAGLLLPSPSCLRSPPNPNTRETQLTPTPLSIVAPHPYATIHSDMPVHSQRSANRLPALPLLPSPDCVAAPASRPAAVSHCDAAPTPTPLTRASFRRPQRNTYTCGVKVK* >Brasy5G016700.1.p pacid=40073724 transcript=Brasy5G016700.1 locus=Brasy5G016700 ID=Brasy5G016700.1.v1.1 annot-version=v1.1 MEALISSLCGALSDVLSHADTSSRALSDALSRRPIPLESATNAFLQGLDRRVEAAGADLARLESMAFDTVSVEELLGHFSEVLGIVSRHADAVESRLASFGYVAPEVEDEAEDGNEGELEVPENGCFGGSSSVLRSGRERFDDDDALFDDSMSLKNLGFSDACLATLSSQDVADNDFSASPKISDRTPGSVDDGQEITTEAEEPTSPEKETDAQDDDSSHGMIRASKEQYEQLPPYMKTLASWEELHDAVSKLNSYFGAAKAEESVALNQDDVGSIGLGRKGRSYLLILLRLNQLSMETIEGSIFYTLRKNDS* >Brasy5G016700.2.p pacid=40073725 transcript=Brasy5G016700.2 locus=Brasy5G016700 ID=Brasy5G016700.2.v1.1 annot-version=v1.1 MEALISSLCGALSDVLSHADTSSRALSDALSRRPIPLESATNAFLQGLDRRVEAAGADLARLESMAFDTVSVEELLGHFSEVLGIVSRHADAVESRLASFGYVAPEVEDEAEDGNEGELEVPENGCFGGSSSVLRSGRERFDDDDALFDDSMSLKNLGFSDACLATLSSQDNDFSASPKISDRTPGSVDDGQEITTEAEEPTSPEKETDAQDDDSSHGMIRASKEQYEQLPPYMKTLASWEELHDAVSKLNSYFGAAKAEESVALNQDDVGSIGLGRKGRSYLLILLRLNQLSMETIEGSIFYTLRKNDS* >Brasy5G072400.1.p pacid=40073726 transcript=Brasy5G072400.1 locus=Brasy5G072400 ID=Brasy5G072400.1.v1.1 annot-version=v1.1 MELATMVTLTLLAVSLATLARVLVSRARMRRCYLLDYVCYKGTDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIAGGDASPDRVNEGMEEMDETFHAVLDELFARSAAPGGIGVRPEDVDLLVVNVSMFSPAPSLSGRVVRRYGLREDVKVFNLTGMGCSATLIALDLANNFFRTHAGKVALVMTSESIAPNWYPGNKRSFMLGNCLFRSGGCAYFLTNSPRLRPHAKLRLRHVVRTHTGASDESYNCALQMEDDAGRPGFHLGKELPRAAVGAFVKNLRVLAPRVLPLPELLRLACSTLSSRLARRQNNNTKPKSSAAMTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDIEPSRMTLHRFGNTSASSVWYVLSYMEAKRRLKRGDRVLMLTFGAGFKCNSCVWTVEKDDVADAGVWKDCIHEYPPKEIRNPFMEKYGFVKDMMAL* >Brasy5G093300.1.p pacid=40073727 transcript=Brasy5G093300.1 locus=Brasy5G093300 ID=Brasy5G093300.1.v1.1 annot-version=v1.1 MGCVAVTERDLGDGAERPDPTTAAKSVAVHFIEQEAGEGVCARGRHGGRREGGGRGRATERGWADGSEAAALAAERRARAGEQGRRQRRRQRGGRGLESREMGRRQGGDVAERSRGGSEKVVCTGVGSGASGARRTQEYDKKG* >Brasy5G325900.1.p pacid=40073728 transcript=Brasy5G325900.1 locus=Brasy5G325900 ID=Brasy5G325900.1.v1.1 annot-version=v1.1 MAVMDRLLHQIKERAHPAMKKVLVNLAKEQNVQAHTFRRTSGIIFIPSCHCRMLPVLPVYRTCFYVLGDAIPTSPSVRK* >Brasy5G391000.1.p pacid=40073729 transcript=Brasy5G391000.1 locus=Brasy5G391000 ID=Brasy5G391000.1.v1.1 annot-version=v1.1 MEAPKGAPKLPPFMAESFAWETTVEVTVVSAEEVVLGGGSNLRLRPLSSGAYAVVHTMSAAARTRVDDEGDCNGYPYWGEAVRVKVPAWSSAIDVEICRTRGDGRVESVASARVPVADFSVGPPGHLHCLSYRLFDSGSRMTGRNGIVNIRVKRLDGCAPIEGELAGKAAVDGDCGTSGSGGGGSCCGVNGAELGKVQSETAKAAVSAPAGVMMGFPVGYSADGHASGKGTV* >Brasy5G238400.1.p pacid=40073730 transcript=Brasy5G238400.1 locus=Brasy5G238400 ID=Brasy5G238400.1.v1.1 annot-version=v1.1 MEATATLTLSSPAAVRRSTARSTVASLHVLRRLPHLRGVQSAAAFRALPFPSPGWRGRRRRGGGMVVRAEMFGQLTTGLESAWNKLRGVDRLTKDNIAEPMRDIRRALLEADVSVPVARSFIESVTEKAIGTDVIPGVQPEQQLVKVVNDELVQLMGGDVSELVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKMGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTDAKPSDIAKNGLKEAKSKKTDVIIVDTAGRLQVDKAMMNELKEVKKAVKPTEVLLVVDAMTGQEAASLVGAFNGEVGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERVEDLEPFYPDRMAQRILGMGDVLSFVEQAQQVMRQEEAEELQNKIMSAKFNFNDFLKQTKAISQMGSFSRIIGMIPGMNKVTPAQIREAEKNVKFMESMINVMSAEERERPELLAESRERRRRVAKDSGKTEQQVSQLVSQLFKMRVRMQKMMGSMQGKDTPDMDNLMESIKAEEEAAAGTGQRKRKYGNLRRRDLDAMRGYRR* >Brasy5G238400.2.p pacid=40073731 transcript=Brasy5G238400.2 locus=Brasy5G238400 ID=Brasy5G238400.2.v1.1 annot-version=v1.1 MRDIRRALLEADVSVPVARSFIESVTEKAIGTDVIPGVQPEQQLVKVVNDELVQLMGGDVSELVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKMGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTDAKPSDIAKNGLKEAKSKKTDVIIVDTAGRLQVDKAMMNELKEVKKAVKPTEVLLVVDAMTGQEAASLVGAFNGEVGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERVEDLEPFYPDRMAQRILGMGDVLSFVEQAQQVMRQEEAEELQNKIMSAKFNFNDFLKQTKAISQMGSFSRIIGMIPGMNKVTPAQIREAEKNVKFMESMINVMSAEERERPELLAESRERRRRVAKDSGKTEQQVSQLVSQLFKMRVRMQKMMGSMQGKDTPDMDNLMESIKAEEEAAAGTGQRKRKYGNLRRRDLDAMRGYRR* >Brasy5G328800.1.p pacid=40073732 transcript=Brasy5G328800.1 locus=Brasy5G328800 ID=Brasy5G328800.1.v1.1 annot-version=v1.1 MASSSSSSPPSLLLLRPLPRIPFILSRHRKPFPSPRTGRIAVSAAARAQQRLLRPRETDRWSRSLRSGGGLVCLSYSNPPPPSNKDSDEWPVLRRWDVPWQWQTVVLTMVGCGVSFALTGLVEQAVLQYAGYKAAGATIDEKAEILFLGQLSVTAVLLGVIFSITNTFRPFPDDIFRYDIKEPFKLRNGWLLWAGIGLFGAVISIALVGTAMTYLNGEPPEREKDSLVLLLPLIGSSTLSTAYLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCVVLSAAVFAFAHLTPDQFPQLFVLGVALGFTYAQTRNLLAPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS* >Brasy5G328800.2.p pacid=40073733 transcript=Brasy5G328800.2 locus=Brasy5G328800 ID=Brasy5G328800.2.v1.1 annot-version=v1.1 MASSSSSSPPSLLLLRPLPRIPFILSRHRKPFPSPRTGRIAVSAAARAQQRLLRPRETDRWSRSLRSGGGLVCLSYSNPPPPSNKDSDEWPVLRRWDVPWQWQTVVLTMVGCGVSFALTGLVEQAVLQYAGYKAAGATIDEKAEILFLGQLSVTAVLLGVIFSITNTFRPFPDDIFRYDIKEPFKLRNGWLLWAGIGLFGAVISIALVGTAMTYLNGEPPEREKDSLVLLLPLIGSSTLSTAYLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCVVLSAAVFAFAHLTPDQFPQLFVLVARV* >Brasy5G217300.1.p pacid=40073734 transcript=Brasy5G217300.1 locus=Brasy5G217300 ID=Brasy5G217300.1.v1.1 annot-version=v1.1 MMIHLESLSTFQINFECNNQYDHSRTHEGENIDGRLVVCTLVPNLKRAGWMERLTKGN* >Brasy5G003000.1.p pacid=40073735 transcript=Brasy5G003000.1 locus=Brasy5G003000 ID=Brasy5G003000.1.v1.1 annot-version=v1.1 MAAAAASSAVSGQQTMMARVDRLDLVVGYLEDMRNGNGGSARSSSTLSSSSPASTPRARCRPAEELLQETRAKGSLVDRIACLENRVLKMEEDERMSFSRRSPTASSNAATGMEENADCGSSNKKKKKKKKKGIKSLVQSCVVKSGAAKLKTKD* >Brasy5G073800.1.p pacid=40073736 transcript=Brasy5G073800.1 locus=Brasy5G073800 ID=Brasy5G073800.1.v1.1 annot-version=v1.1 MPLDRLHAAVLRFREVMRATEIRAIDTGKSSGAMRALTNAVHALAWRPNAGTEACHWADGYPLNAILYVCLLHTVFDLREPAVVLDEVDELLELIRKTWPILGVSRAVHNVCFAWVLFRQYVATGQAEPDLAAAALTVLADVAADAKQAGTRDLVYGKVLLGALGKMQEWSEKRLLEYHDRYHEKAGVGRGVAMESMEILLSLALSAGKIVADREYTATKTNFATDRVGCYIRCSMKHSFTKILESGTGEDGLMTGRDSDPGVVMARLASDTEQLAVSERRSFSPLLRRWHPAPVAVAAVTLHGCFGVVLKRYLGRITILTEELVRVLHAANRLEKALAQMTAEDAADSVDGRAKAVVGDMEPYEVETLVVGLLKAWMDDRLRGTRNCLLRAKETESWIPKSKEEPYPGSAMELMKLAKATMDEFSQIPATAKDDVVPELVGGLESIFQDYIAFVAACGSKQSYLPPLPPLTRCNQDSGFFRLWKKAVLPSCQAPDQLGGSSPRGGSHHAPRPSISRGTQRLYVRLNTLHYVLSHVHAMDKSLSSSSSASSSPPQSAFDRTLAAAQSAVAHVAEVAAYRLIFLDSRHSLYHGLYARSSVADARIRPALRSLKQNLSFLVSVLADRAQPVAVREVMKAAFQAFLMVLLAGGNDRSFGRGDHAMVEEDFRSLKRAFCTCGEGLVPEEVVAREAEVAEGVVELMARPTEQLIDDFGAATSRSIAGGGGETPAAPVLETASRRWDPADPNTILRVLCHRDDEVANQFLKRTFQLAKRR* >Brasy5G459800.1.p pacid=40073737 transcript=Brasy5G459800.1 locus=Brasy5G459800 ID=Brasy5G459800.1.v1.1 annot-version=v1.1 MGGTEETNNIHMLSLISPSVRFSTWESKTSKVKEPMHKLDTGPSPLPVRPKRVWKPPDQCQAENREGVNA* >Brasy5G107500.1.p pacid=40073738 transcript=Brasy5G107500.1 locus=Brasy5G107500 ID=Brasy5G107500.1.v1.1 annot-version=v1.1 MARLPLTARSRRRNLRIRIMISSLVMVYYYVWLMFSLAYRRCLKIKRRIRNRGLRDQRLFELIHESDTGCISELRVNRRTFHVLCDMVVEFGGLKLQGLFEF* >Brasy5G398900.1.p pacid=40073739 transcript=Brasy5G398900.1 locus=Brasy5G398900 ID=Brasy5G398900.1.v1.1 annot-version=v1.1 MKRLSFGQQPAGADDGAAVAQEVMLLLARVAGSETAHEQRAPAPVPRAFECKTCSRRFPSFQALGGHRASHKRPRGAQTPPASAPARRRRHGCAVCGVEFALGQALGGHMRRHRAGAEDDREMSGTRPVDEREHGEETSPGRLLGLELDLNAAPSDGTPQLLSLWV* >Brasy5G255800.1.p pacid=40073740 transcript=Brasy5G255800.1 locus=Brasy5G255800 ID=Brasy5G255800.1.v1.1 annot-version=v1.1 PTTPFGCRTPRACRRRRPPPLLHQFGVPRSPSLLLCRSCCSAATPPRARRPCYTSAPSPDHAVVLRHRALAPVTPLLLRRRHPVPCCSSRPPLGPLRPPPSPPLLLRHSQALEGEGFNSFVSGGIHQVACLPNAYA* >Brasy5G132700.1.p pacid=40073741 transcript=Brasy5G132700.1 locus=Brasy5G132700 ID=Brasy5G132700.1.v1.1 annot-version=v1.1 MASPRNPALLLLLLLLLASVLALASPSAAAGQQEYCRDTLGGLEACHAFMYEGAAQASAGCCAAYSDAFDADPFCLCYIANGVYGRSTGYNVNVTHALEIPTSCGQITPPIDLCNMQGLVLPPYGPADTPAAPGPAQAPQASSPAAQPPSASGSSVETPPSTLLSSAPPPPQPTSGGARDSSVQMALVFFSVACMVAAVA* >Brasy5G324400.1.p pacid=40073742 transcript=Brasy5G324400.1 locus=Brasy5G324400 ID=Brasy5G324400.1.v1.1 annot-version=v1.1 MFLCIRLFSKRERVWCRRLPCRIWSRVGASRKRGIFSGTDWPNLSGTRACRLLVSISIRFVAHFGGGGGAPPMDWSFATRAGAAPAVMSFRSAAREEQGEPAFPQFSSFDGANKQQSSRVLTPQRSFGAESHGSPQYAAVRGAYAGQPPQQQQQHQQHVLNAARVVPVSSFNPNNQVFRVQSSPNLPNGVAGSGTFKQPPFAMSNAVAGSTIGVYRSRDMPKPKTAQLTIFYAGSVNVFNNVSAEKAQELMFLASRGSLPSAPSAVARSPDATFFSPAKVAAHEVSPPKQMQLQKLQHVSPPVSGVSKPICAASQAACLSKSASSSNIDSTVPKSSSPLAVLPISQSPSTHPVTLAATTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPSAKSPMESSDTVGSANDNSKSSCTDIAFSSNADDSLCLGRPRNISFSGQSPSTRLQI* >Brasy5G324400.2.p pacid=40073743 transcript=Brasy5G324400.2 locus=Brasy5G324400 ID=Brasy5G324400.2.v1.1 annot-version=v1.1 MERDFLGAVGKEQLLQLAAEDESRKGSAHFGGGGGAPPMDWSFATRAGAAPAVMSFRSAAREEQGEPAFPQFSSFDGANKQQSSRVLTPQRSFGAESHGSPQYAAVRGAYAGQPPQQQQQHQQHVLNAARVVPVSSFNPNNQVFRVQSSPNLPNGVAGSGTFKQPPFAMSNAVAGSTIGVYRSRDMPKPKTAQLTIFYAGSVNVFNNVSAEKAQELMFLASRGSLPSAPSAVARSPDATFFSPAKVAAHEVSPPKQMQLQKLQHVSPPVSGVSKPICAASQAACLSKSASSSNIDSTVPKSSSPLAVLPISQSPSTHPVTLAATTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPSAKSPMESSDTVGSANDNSKSSCTDIAFSSNADDSLCLGRPRNISFSGQSPSTRLQI* >Brasy5G461200.1.p pacid=40073744 transcript=Brasy5G461200.1 locus=Brasy5G461200 ID=Brasy5G461200.1.v1.1 annot-version=v1.1 MNLAKGAIGSILPKLVELLNEEYNLQTGVRKGVESLEKELRSIEAALGKVGRVPRDQLDEQVKVWADDVRELSYQMEDVVDSFLVRVDGTASADSDTFKGLMKKMTSLFKKTKARHQIANTIKDMREQVREVAARRDRYKVDAASTAATIVPVDPRMLTLFKDQRELVGINEPRDELCKRLMTDRDDGQQLKILSIFGLGGLGKTTLAKAVYGNLQANFVHKAFVSVGQNPDAKKVFMDILLQLDEESCWSATMLDHWQLIRKLRGLLENKRYLIVIDDIWDKRSWEIIRCAWIDSTCGSRIITTTRIFEVAEETGDVYKQQPLTPSRSKELFYKRLSIGKCKASYGHFIEISEKIIHKCGGVPLAIITIASLLASKPREDWSEVYNLIGFGHQDNIHVDNTRKILLYSYYDLPCHLRTCLLHLSIFPEDYEIQKDTLIWKWIAEDFVHEKPGIGLFELGERYFNELINRSMIQPVERPHEGIVYACRVHDLVLDMIYSLSKEENFLAILDSNEQHISSQNNARRLAIQKKTVEQHYHWLPHTRMSQVRSFNATMCHFSLMMPLLSSFKVLRVLALEGCTFTKDRSYHLELLGRLLHLRYLGLSNTSIDGLPEEIGELRFLQTLDLARTQIKYLPQSVGLLRQLKCLRLPRGFVGFDKKSDKDLVQDMTSVENFTGLHEISFTSTGVLDWMGNLTSLEELSVTAFSPQFFVKGLGKLTELRAFSASFKELEAMSFEDLMESIGNNLRKLQAIQVNSDSSLCIEWDNCEDYVPPRRLSDLALMVRLSRLPAWIESSRLPLLSHLELELEAVEAGDVEVLGRFPELVTLRLSTRGVGFPDVVGGGVFPKLRYFCTSAKPRFLPGAMPSLEHVGYSFWLRAEDAAARSLERDFASMGNLPCLEKVEVYIEHARAKGLEEAEAALRRAIKVHPNNPTLVVRSTTNRRLSYGI* >Brasy5G309000.1.p pacid=40073745 transcript=Brasy5G309000.1 locus=Brasy5G309000 ID=Brasy5G309000.1.v1.1 annot-version=v1.1 MVSYITEENKRRKRRRIILIEKFLCASRTSQKEEIAPETPTGPQETMDGGGGRPSLPSTAAAAGASGADEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLPPLKAPTQVEETEEDDNEEVDTNPANPNPNYLRQDQRDNEQQQPLPHTQSQMVSFQLNAVAAAAAKRPRMTIDQLNMG* >Brasy5G425300.1.p pacid=40073746 transcript=Brasy5G425300.1 locus=Brasy5G425300 ID=Brasy5G425300.1.v1.1 annot-version=v1.1 MTLRRHRRRPGKPSLGFHPKSRRRRTSAAGSALQDPGPPPRLLRGGALSRSSTNTPQWRTSSTGIHMTPLNRNATPAGVVASRISPWIPGTRPQQPGRPPTPERARSGLAARRSSADTDGSGHAGQGIGAPAAGERKTGAPAAGERKTGAPAPTPRRTTAREGPAPDPAAPSTDPVARQAADAAAAEPASPAQSTLRKKNRGRGRGPRENKAPPPPSPRGLCPAATTGGGKGAEEGGRWLPRSSMGSPPESRDAERRGGLFRSSRFGHLHGGLSTWQLLITRICLSSPLRHCTDHMARGAGFGLGKS* >Brasy5G118700.1.p pacid=40073747 transcript=Brasy5G118700.1 locus=Brasy5G118700 ID=Brasy5G118700.1.v1.1 annot-version=v1.1 MGSGEDSPGGTSAGVGGIVRGAVLKALVVFGGVLLIRRLRRSTTRWDHARAVADALSGEKFSREQARKDPENYFNLRMLTCPATEMVDGSGVLYFEQAFWRAPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPSGGFPNNWSGAAYCTSDLSIHKNGEIHIWDKGFDDEGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLSLEKKMESSYVIDDQ* >Brasy5G005400.1.p pacid=40073748 transcript=Brasy5G005400.1 locus=Brasy5G005400 ID=Brasy5G005400.1.v1.1 annot-version=v1.1 MAMLVEKWAGLGSMVASILFLWSMVQNHIPETLRLYLTTSATKLTSYFNPYLTITISEYIGGRFKRDDLFLAVESYLSDACARRARKLKAELAKDSKNLRVSVDDHEEVTDEFAGATLWWYASKQQSRGGVISFYPGEEDRRFYRVVFHRHHRDLVVNSYLPFVLAEGRAVTVKNRQRRLFTNNSSGRWSPYRRKSVWSHVKFEHPATFDTLAMDADQKESIISDLMAFQEGKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAIKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRHKDKKGAKESDDDEKPNLPTDSEKDETSKVTLSGLLNFIDGLWSSCGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLSKNYLDVVEHELFKEIRQLLEETDMSPADVAENLMPMSKKKKRDPDVCLAGLVEELKKAKEDAVVAKAKEEEEAEAKKGKEKQEEDVKDAKEKDERNDKTVTV* >Brasy5G113600.1.p pacid=40073749 transcript=Brasy5G113600.1 locus=Brasy5G113600 ID=Brasy5G113600.1.v1.1 annot-version=v1.1 MPILMQECVVKGLSNILCDILPYEISASTLHCMMPSLKVELKCYFLKHDDAYSNSAISEIHDDDPCYPNARMLPKDACSNINHISHANTFHHHHVLYCYAYIIGFSIDDLEGVGPVISPSCYSYDIIELDVKYYPLHVLYRYAYAIGYSIDNLEGIDPVIFQGFHLC* >Brasy5G157400.1.p pacid=40073750 transcript=Brasy5G157400.1 locus=Brasy5G157400 ID=Brasy5G157400.1.v1.1 annot-version=v1.1 MLTCGRYLSSSAVTTPSLSPLRTLTFSLLRRHPLRFLSSASAAAAATAVEPETKGGGGGGAVGGAGAPRPQWKAAIDFKWIRDNKDAVATNILNRNSVANLDVVLQLYDQYLALQKEVERLRAERNAVANKMKGKLEPSVRQAFVEEGKNLKESLIVLEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEENSLIRKEVGSQRNFDFTIKDHLQLGKDLDLFDFDAASEVSGSKFYYLKNAAVLLEMALVNWAIAEVSKKGFTPLITPEIVRSSVVERCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMNSILLDSDLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKYHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKYDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPAPSEPPPATNAKKGKGGSSGPTQFVHTLNATAVAVPRLIISILENFQKEDGTVLIPEPLRPFMGGLGVLAPKPKRTA* >Brasy5G157400.2.p pacid=40073751 transcript=Brasy5G157400.2 locus=Brasy5G157400 ID=Brasy5G157400.2.v1.1 annot-version=v1.1 MKGKLEPSVRQAFVEEGKNLKESLIVLEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEENSLIRKEVGSQRNFDFTIKDHLQLGKDLDLFDFDAASEVSGSKFYYLKNAAVLLEMALVNWAIAEVSKKGFTPLITPEIVRSSVVERCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMNSILLDSDLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKYHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKYDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPAPSEPPPATNAKKGKGGSSGPTQFVHTLNATAVAVPRLIISILENFQKEDGTVLIPEPLRPFMGGLGVLAPKPKRTA* >Brasy5G354900.1.p pacid=40073752 transcript=Brasy5G354900.1 locus=Brasy5G354900 ID=Brasy5G354900.1.v1.1 annot-version=v1.1 MLRAVLRRGGAAMRQAALAEGSTASLVRLRAAERERYRRRRRDPGRDEFFVPTPESLSWLDSVTLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLTREEWDEIQEIRPRTPFESKLARPHARIRTGEPVRLEDTKDWAIDVVTDAFTRAEESAKRK* >Brasy5G354900.2.p pacid=40073753 transcript=Brasy5G354900.2 locus=Brasy5G354900 ID=Brasy5G354900.2.v1.1 annot-version=v1.1 MLRAVLRRGGAAMRQAALAEGSTASLVRLRAAERERYRRRRRDPGRDEFFVPTPESLSWLDSVTLPMVLTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLTREEWDEIQEIRPRTPFESKLARPHARIRTGEPVRLEDTKDWAIDVVTDAFTRAEESAKRK* >Brasy5G144100.1.p pacid=40073754 transcript=Brasy5G144100.1 locus=Brasy5G144100 ID=Brasy5G144100.1.v1.1 annot-version=v1.1 MVGWRAAGVRAVLRRLGASAAAAEKSDSRVFAARYSSSANAPFPLGQYTNFLRAHTSTSSGLPVNFHHLIRNAGISTTRNLLAADDAMVPTSSPLTPPLGDAEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDAEKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTQKEKQLMPHRLIEVSPRWARKRKEEAGATA* >Brasy5G450700.1.p pacid=40073755 transcript=Brasy5G450700.1 locus=Brasy5G450700 ID=Brasy5G450700.1.v1.1 annot-version=v1.1 MAAVVSLISVFVLLATAAGSQATAPPSAPKATHIKLLWHEVVSGRHPTAIQVAGAATTNASKTFFGAVYVTDDLLTDAAGRPVGRSQGAYASASRDAFALLMGLNFVFTAGDYNGSSIAIFGRNEVRAAVREMPIVGGTGVFRWARGYALASTRKFNLKTGDADVEYNLFIRHE* >Brasy5G442300.1.p pacid=40073756 transcript=Brasy5G442300.1 locus=Brasy5G442300 ID=Brasy5G442300.1.v1.1 annot-version=v1.1 MKRRCLAGGHSSHVPNTLQEEKEMAHLYEHREFPYSGDEEADEEFIKSGGSLGTTIGPKGFADANMDPDNMQKRLQSKKFDQEAQKLWFRMKNEVVQEVQEKGFDVE* >Brasy5G402500.1.p pacid=40073757 transcript=Brasy5G402500.1 locus=Brasy5G402500 ID=Brasy5G402500.1.v1.1 annot-version=v1.1 MNAPLATVGEGVSLGVTSRTPKHQAQKARVKRPSKWMSSPYDGNSIIVTPEQDDIYKKVLLSNSKSKASNIKGFQIITYERCWISTEDLANSVAARGELSNFLCDVAVEYIKEHFKAEKKVVLSYMVTKFIRSKEFSKRIIIQQFGRTDDFALSCQDLVMFPVIENFEKYDRGTNHWYLVCLNLIAQRFEVLDSIRGKNNFCLLTHANSVVTGIKAAWKVFYSTSKVQIDGFPMEVIDVPKQGNCHDCGYFMLKFIETWDGQHVPQFRQDQMANFRKVLTHMLLSSHLNKSKNWKQVLDNNKV* >Brasy5G106900.1.p pacid=40073758 transcript=Brasy5G106900.1 locus=Brasy5G106900 ID=Brasy5G106900.1.v1.1 annot-version=v1.1 MPDSISMAVKEGGAAQVHKDDTVKRTAMARPSFGREGKPIRLMSNHFAVKLSRTDAVFYQYSVSIKSDDDKVVDGKGIGRKVIDKMLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGRSPGHGSPGLGDKKRAKRSHLPKQFVVGISYAAKIPLRAVALALRGSDSDHAQDALRVLDIVLRQQQAKQGCLLVRQSFFSDDNRNLVDLTGGVSGCRGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDLDWPKAKKMLKNLRVKATHNNMEFKIIGLSDQPCSRQTFPMKVRNGCTESQTVDITVEEYFKSKEVFLAKPYLPCLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRATLVEKSRQKPQDRIRVITDAVKNNKYDEDPIFSTCGIKIEKQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVKIERWAIVNFSARCDMSRISRDLINCGRSKGIIIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPDFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHSHMIPIVNKKPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLEDGKDDGIIRELLLDFYKTSQQRKPTQIIIFRDGVSESQFSQVLNLEVNQIIKAYQNMGQGDPPKVTVIIAQKNHHTKLFQAEASDNVPPGTVVDSGIVHPKQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSPDDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMSQFMKFEEFADTSSGSGVPSASTATTVPELPRLHADVCSSMFFC* >Brasy5G106900.3.p pacid=40073759 transcript=Brasy5G106900.3 locus=Brasy5G106900 ID=Brasy5G106900.3.v1.1 annot-version=v1.1 MPDSISMAVKEGGAAQVHKDDTVKRTAMARPSFGREGKPIRLMSNHFAVKLSRTDAVFYQYSVSIKSDDDKVVDGKGIGRKVIDKMLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGRSPGHGSPGLGDKKRAKRSHLPKQFVVGISYAAKIPLRAVALALRGSDSDHAQDALRVLDIVLRQQQAKQGCLLVRQSFFSDDNRNLVDLTGGVSGCRGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDLDWPKAKKMLKNLRVKATHNNMEFKIIGLSDQPCSRQTFPMKVRNGCTESQTVDITVEEYFKSKEVFLAKPYLPCLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRATLVEKSRQKPQDRIRVITDAVKNNKYDEDPIFSTCGIKIEKQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVKIERWAIVNFSARCDMSRISRDLINCGRSKGIIIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPDFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHSHMIPIVNKKPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLEDGKDDGIIRELLLDFYKTSQQRKPTQIIIFRDGVSESQFSQVLNLEVNQIIKAYQNMGQGDPPKVTVIIAQKNHHTKLFQAEASDNVPPGTVVDSGIVHPKQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSPDDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMSQFMKFEEFADTSSGSGVPSASTATTVPELPRLHADVCSSMFFC* >Brasy5G106900.2.p pacid=40073760 transcript=Brasy5G106900.2 locus=Brasy5G106900 ID=Brasy5G106900.2.v1.1 annot-version=v1.1 MPDSISMAVKEGGAAQVHKDDTVKRTAMARPSFGREGKPIRLMSNHFAVKLSRTDAVFYQYSVSIKSDDDKVVDGKGIGRKVIDKMLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGRSPGHGSPGLGDKKRAKRSHLPKQFVVGISYAAKIPLRAVALALRGSDSDHAQDALRVLDIVLRQQQAKQGCLLVRQSFFSDDNRNLVDLTGGVSGCRGLHSSFRTTMGGLSLNMDVSTTMIVTPGPVVHFLLTNQNVRDVQDLDWPKAKKMLKNLRVKATHNNMEFKIIGLSDQPCSRQTFPMKVRNGCTESQTVDITVEEYFKSKEVFLAKPYLPCLDVGKPKRPNYLPIELANMVSLQRYTKALSSQQRATLVEKSRQKPQDRIRVITDAVKNNKYDEDPIFSTCGIKIEKQLTRVDGRVLSAPMLVVGNSEDCIPNRGRWNYNNKKLFEPVKIERWAIVNFSARCDMSRISRDLINCGRSKGIIIEGPHSLVDEDSQARRCAPIVRVERMFEKVKANLPGPPDFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIVPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHSHMIPIVNKKPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLEDGKDDGIIRDGVSESQFSQVLNLEVNQIIKAYQNMGQGDPPKVTVIIAQKNHHTKLFQAEASDNVPPGTVVDSGIVHPKQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSPDDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMSQFMKFEEFADTSSGSGVPSASTATTVPELPRLHADVCSSMFFC* >Brasy5G014800.1.p pacid=40073761 transcript=Brasy5G014800.1 locus=Brasy5G014800 ID=Brasy5G014800.1.v1.1 annot-version=v1.1 MAGGHETLPPPEKKDLGTAAAATSSAAADASDPVAEVQLDMAMWEEEWCHSGRRERIWAPKCDRQYFKALEKIEPEPESEPEVASLEDKIPPSEPEPEPESEPESDQEPVPEPESDPEPESEPESDPEPEPWEKKWETLHIFSCKQLAELDPKKGALVPTASAPPSTSPSSTSTKNPWPSTGRR* >Brasy5G423500.1.p pacid=40073762 transcript=Brasy5G423500.1 locus=Brasy5G423500 ID=Brasy5G423500.1.v1.1 annot-version=v1.1 MLGTNFESIARLWLSNKKHNALNFICAAVLWCLWKFRNSIIINQTVWISTNQIWWLILQTVKKWKRIYKQEMMEQVKGLSTLLRSVLKAPPRFGWR* >Brasy5G402700.1.p pacid=40073763 transcript=Brasy5G402700.1 locus=Brasy5G402700 ID=Brasy5G402700.1.v1.1 annot-version=v1.1 MAHRTSSSLGLHPEVRKRKSQGKRPIRGHGCATAREGRAWSSQKKKKKKKGRGERGSGGGEMTGDGRCSRRRWRSGAEPPPQGSPSATYLAARRSSRTTCATKVIGCGSRTRPRWPTPMDRERKGPRGKNKRASHLHDFINDALSRTGSFGAVFVQ* >Brasy5G161400.1.p pacid=40073764 transcript=Brasy5G161400.1 locus=Brasy5G161400 ID=Brasy5G161400.1.v1.1 annot-version=v1.1 MDGGKSDQATAPPKKKLITVLSIDGGGIRGLIPSTILACLETKLQAIDGPNARIAEYFDVIAGTSTGALLTCMLATPALGNDKLPVKAASELNEFYLEHGPKIFPQKKLGFLNKAANLVSAVMGPKYDGKVLHEKIKEVTRDVKIKDTITNILVPTFDVKHLQPVIFSTDEAGDRLKNAYLSDICISTSAAPTYFPAHLFKVEEWEYNLIDGGVAANNPTMAAITSMMIPKKVPGGNPVEDNNFLVISLGTGYTRPEKEYTAPKCAKWGALQWIFNSGFTPLIDIFSHASSDMVDIHVNVLFKALGMEDNYLRIQYDTLKGDNSSVDIATKENMDALIEIGKGLLKGKVARVNVNTGLHEAVKESTNEEELARFATKLAEERKRRAN* >Brasy5G485300.1.p pacid=40073765 transcript=Brasy5G485300.1 locus=Brasy5G485300 ID=Brasy5G485300.1.v1.1 annot-version=v1.1 MALLFLLPLPALPTLSLPCLATEAPPMSPGASRRWDAGPEGPEEMGAAEGRMLRLSGTNGGPPRRRFQMPGQEGE* >Brasy5G140200.1.p pacid=40073766 transcript=Brasy5G140200.1 locus=Brasy5G140200 ID=Brasy5G140200.1.v1.1 annot-version=v1.1 MRTAAADFVWEAKLPEKYRHLMGYVEGGGGDEGRRRRRRAGKKEIYARLSRHVPFDGETMEFWEEQTHGLYMLSSKALVITGIDDRRYWTHMPTAESRFQSVPYLQQIWWFEVAGKLDFCFLVGTYSLYFRILLRKFYMRFGHHVCSSEHVHSWDKKPVRFQLATSDGQHAISQCYLDEPGAGSCTMQVSLWPRSPTKR* >Brasy5G289900.1.p pacid=40073767 transcript=Brasy5G289900.1 locus=Brasy5G289900 ID=Brasy5G289900.1.v1.1 annot-version=v1.1 MMRKKPKAVPEWLNSPLWSTPAPATADPFGAEFSPPRPLTPKPSSFVPPPPSYEQAVGSYAGGGEGRGEEDAAGAALRAHLLADFKTALSKKVINMGELRRLACLGVPDGGADVRPLLWKLLLGYLPTERALWPYELEKKRSQYSAYRDEFLLNPSEKIRRIEESKLPRKKELNVVRSGLLPRSQVINEEHPLSFGKSSLWNKYFQESEILEQIDRDVKRTHPDMPFFSAKSNQESLRRILIVFSRLNPSVLYVQGMNEVLAPLFYVLKNDPDASNSASAEADTFFCFVELISGFKNNYCKHLDNSRVGIRSTLSKLSQLLKKHDEELWRHMEVITKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRKRLLVGDFTANIQLLQHYPLTNVDHLLHIANRLRGTVAS* >Brasy5G065700.1.p pacid=40073768 transcript=Brasy5G065700.1 locus=Brasy5G065700 ID=Brasy5G065700.1.v1.1 annot-version=v1.1 MARPAIDDNWPEVKQINGYAALLGCLSMAVRGLGLLVLTWTTVVLLGGFVSILQKKDFWCLTVITFVQIVRVVDVVMKEKIKSLGYSGKGFDAVGYVLKRNRLAGRGRLPVVQRVTLLAKQLLGLMVWSIPALVYTVVFFCYFFALFISGLLITTGVSLWRLIQHDYGDSDGDPDKANMNPALDVLYSLVVIQGVIYVYATILWYMRKRIVSEVANKYGFEGQAIEIVSEYFNEIQNGCNKDPSFAIGKNLITYAVDLMGSNKSAEEYSSATRMLGTFAGPFSRQQSEKFDAQRRLIKQLIGSPSSSHILQKLLRTLNWRSRPYDREMKECATRIVALVADEIQSEQVIQHTSSLIGTFEEYHEPPSHSNTDDTDIPKEENYKEMLLHGLHILSVLAAVDENNCRVISDTQGLLPKIMAPLTCDLLHQTDHGAWWDIVEGSLRAMRQLTVAPGKTGSKLRHEISINDEAISTMVRILKCSECNGELKVLTIDILGQLCMDTESSEDFIMMLVDTYTVSNKHSFDRELAGEALARMLSFQGVRNAAIILHANGDVVDSLATELIDFYGYSIREAKILEHLCINYTKDDEHTEKLKEAMTNLMPKVLSEILRREATQTGADRPGFTQLNEDIEDPHGGASQDDDHNKSSTSCRESQEQHKYRKSQSALLSLCVTVCDTFISEDQDLALHIDAIESSSLPSKLKEMVVRNSDPTASCLGMLKVTSRMVISMMKHRGSYPKQDLDSLVKVLFSASRSMLLVDGSTVFAREDDGAPMKPARTLASLVKEAQELVGNYRSEESEIMVPSTSTSGES* >Brasy5G414400.1.p pacid=40073769 transcript=Brasy5G414400.1 locus=Brasy5G414400 ID=Brasy5G414400.1.v1.1 annot-version=v1.1 MACSERSIKAPVCALVDAMFRLPAKLDRLLVSHGHMLPRGAEDEIPLIKQDLEKMVAILQEHDDSGAEDHTMMIKCLTKEVRELSYDMEDSIDQYEHAANSRRGIPPRRNKYKVTRRRGKTTPRLPEKLKWRLWMANKIREFSVRSQEALQRYSLLNHLGGNGISRAAAASTRSDASFGSWHPTPYRELVGVDAPMKKLEAWLGKDGEQKLKVVSVVGSGGVGKTTLANELYRKIGGQFECRAFVRLFRKPDVRRLLISMLSQVRPHQPPHTWNMHSLIANIRTHLQDKRYLIIIDDVWSASTWDIINRALPDGNLCSGILITTEIEDASLKCCGYDSKYVFTLKPLGDDDSSTLFFNTAFGPQHECPPELCEVSNNIIRKCGGLPLATVTVASLLVSWIGKPERWEYANKSLGYGLRTNPTSEGMQQVLNLSYNNLPQHLKACLMYLSIYQENYMIQKDDLVKQWIAEGLICATEEKDVEEISRSYFDELISSRMVQPVHINDNDDVVSCMMHHTIHDFVTQQSLEENFVAAIDHCQTTATLADKCLPSIVEFGLLQVLILHLWGDDASICFDLTKISELFRLRYLHVTCNVTLELPETKMRGLQYLETLKIDARRLSELTNLQDLRLTSSRVPPWCLKSKMDSIASTLEKLSKLRSLTLESSSILNVGLSSMSLCCDELSSISSPPVLLQRFEWSPHVCTFSSLPKWIGHLDKLCILKIGVTQLARNDVDVLRELPALTVLSLFVQAKPAERIIFSKIGFSVLKYFKFKCSVPRLEFEVDAMPNLRKLKLGFDAHGADQHGTVPVGIEHLSGLKEISAKIWGAVADDPDRRAAELALNCAIKMHQARPTFNIQCVDGAFSGKDDNNSGLQEEEQRTLQKQYEIMEEDSIEQHGVFQKGSRKDAHSSTCTQHRIEGMITRLSSSRIWTKWLKTEARKEEHRNLQKQYMIMEDSSEEHGVLHKYSENVEKHADNRKKLAKWNTQMKVTSQDDKGGNDGFIWRKYGQKDILGAKYPRGYYRCTHRHSRGCQALKQLQRTDGDPLLFDVMYVGHHTCSQGVNVQLELGQHDQSSVSVVVNAEGSVQGLKKKRSRRPVRSRHVRVISTEDGALLDDGFTWRKYGQKEILGSKYPRGYYRCLYRLQLGCPATKQVQRTDSDPQLFDVVYHGEHICAQCPATSESQVTCEEVRGSIAGFRSMTPGTSNSEVTEAGSGSGSTVGFPIMSPAMSESSTYEVVSASPAGFWSTPDEVDSTMDDTLDPDLDIFYDFQLFHSHEVTSKTEIDAGSPAFI* >Brasy5G177700.1.p pacid=40073770 transcript=Brasy5G177700.1 locus=Brasy5G177700 ID=Brasy5G177700.1.v1.1 annot-version=v1.1 MESGGGAWGATTAQEEQDQAGWETPRREECRIPAACPPPPPRKKPAELGKKKAAPPKGGYFNPPDIESVFRLAPTPQAAC* >Brasy5G005100.1.p pacid=40073771 transcript=Brasy5G005100.1 locus=Brasy5G005100 ID=Brasy5G005100.1.v1.1 annot-version=v1.1 MAAQTPPPSTHHAQGPAPARDVDWTAIFDRVKESVFFVCLEPKEGKLGALDEVANTARTVCPAKKRRERVRSGYCSTGFVLMSGPTTLYVVTTAHSLSHLFSSAHPLEASTLDMIDASVLCHHFEEEYQQHGLPGDRNYAQAHILVAESQKDILILGVPKMNLKNLVGDTCTGNHHEIVITEGAPREAKEAMLVSWPSHMHNKLSKGWTSSLRGINVISEPNPHGYDMSVLEVHMTTEKGSSGAPLVDEDGKVIGMLHGGFGKAHSYFVPANHLGDWVLPPIDLD* >Brasy5G306900.1.p pacid=40073772 transcript=Brasy5G306900.1 locus=Brasy5G306900 ID=Brasy5G306900.1.v1.1 annot-version=v1.1 MDDAGEIHAFGRSLRRESSAWSRGGDDAFSRSSRDEDDEEALRGAALEKLPTYERARTAVLAMPEGDLREVNIHKRLDPQEKHALLERLAWVGDDHHRFLNKFKDRVDRVGIELPTIEVRYENLSVEAEAYVGSRGLPTILNTYANVLEGLANALHLTPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTMPSSLKMSGEITYNGHTMDEFVPRRSAAYVSQHDLHMGELTVRETVNFSATCQGIGHRFDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKILGLDICADTMVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRENVLEFFESMGFRCPERKGVADFLQEVTSRKDQRQYCINSDETYRYVPVKEFAEAFQSFHVGQSIKSELAVPFDKSKSHPAALKTSQYGASMKELLKANINREILLMKRNSFVYIFKATQLTLMAIIAMTVFLRINMHRDSVTDGGIYMGALFFGILMIMFNGLAEVGLTIVKLPVFFKQRDLLFFPAWTYSLPSWLIKTPLSLLNVTIWVGITYYGIGFDPNIQRFFRQFLLLLLMNEASSGLFRFIAGLARHQVVASTMGSFCILIFMLTGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWMKTIPGFKEPLGKLVLESRGLFADAKWYWIGVAALLGYVLLFNILYTVCLTFLNPFDSNQPTVSEEAMKIKQANLTGEVLEASSRGRVNNNTKASRDTADESNDESTSNHATVNSSPGKKGMVLPFVPLSITFEDIKYSVDMPQEIKAQGVAESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPANVDSSTRKMFIDEVMELVELFPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEETYVGPLGRHSCELIRYFEAIEDVRKIKDGYNPSTWMLEVTSAAQEQITGVNFSQVYKNSELYRRNKNLIKELSTPPEGSSDLSFPTEYSRTFLTQCFACLWKQSLSYWRNPPYTAVKYFYTMVIALLFGTMFWGIGRKRHNQQDLFNAMGSMYASVLFMGVQNSASVQPVVAVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTVAKFFWYLFFMYFTLAYFTFYGMMSVGLTPNYNVASVASTAFYAIWNLFSGFIIPRTKIPIWWRWYYWVSPIAWTLNGLVTSQFGDVTEKFDNGVQVSKFVESYFGYHHDFLWVVAVVVVSFAVLFAFLFGLSIKLFNFQKR* >Brasy5G291100.1.p pacid=40073773 transcript=Brasy5G291100.1 locus=Brasy5G291100 ID=Brasy5G291100.1.v1.1 annot-version=v1.1 MDDSENNAPSTPGSPGFSTDRLPPNTTSRGATDPSSYSDDDGEAEVDPNVIPDDDGAAVVADEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELHARDVRTGATADRKLPRMLHDQDTDDDDMNFRRPKRHRASFRPPGGPRTPRSDDDGDGATPSSPGRSQPYSGGDVPMTDQTDDDGYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYENPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVGKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTILGPFFQNSYTEVRVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRISERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVAADEEDDPLTVARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHAKMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTTRLTHIVVKVDDLKNKAQEYEIYDLKPFFSSTHFNDNSFVLDEGRGIIRHPIAA* >Brasy5G295600.1.p pacid=40073774 transcript=Brasy5G295600.1 locus=Brasy5G295600 ID=Brasy5G295600.1.v1.1 annot-version=v1.1 MSRGGGYGEVGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTRTLQVDQRTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHMARWLEELRAHADKNLVIMLIGNKSDLGTLRVVPTEDAKEFAERESLFFMETSALEATNVENAFRTALTEIYRNVSKKNLVANEETDSSGNSSLLKGTKIIVPGQEPAPPAKAMCCMSS* >Brasy5G045900.1.p pacid=40073775 transcript=Brasy5G045900.1 locus=Brasy5G045900 ID=Brasy5G045900.1.v1.1 annot-version=v1.1 MGLWSLLPLLLCLPTLILSEDYSDVTVIVRGSETIAATSDEFICATVDWWPPEKCNYDQCPWGKASVLNMDLTNPLLAKAIQAFSPLRIRVGGSLQDQVVYGTPNLGSPCRAFTKSSSGLFGFSKGCITMERWDAINDLFLNTGAVITFGLNALQGRKQIRKGVWGGAWNSSNAQEFMEYTASMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQKMVNQLYGNSRKPLVLAPGGFYDKQWFAQLLEVSGPNVLKGMTHHIYNLGAGNDPRVANRILDPQYLSRVSDTFRDLQLTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTFVPNPDYYSALLWHRLMGKGVLSMDIGGSSYLRAYAHCGKQKGGVALLLINLSQNMGSMVSVRNDLNVDLAQGQGITRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTAQDGDPLTRTVLLNGVPLELTADGDIPPLYPVQVSVSSPIYVSPLSIAFVVFPDFEADACQ* >Brasy5G045900.2.p pacid=40073776 transcript=Brasy5G045900.2 locus=Brasy5G045900 ID=Brasy5G045900.2.v1.1 annot-version=v1.1 MGLWSLLPLLLCLPTLILSEDYSDVTVIVRGSETIAATSDEFICATVDWWPPEKCNYDQCPWGKASVLNMDLTNPLLAKAIQAFSPLRIRVGGSLQDQVVYGTPNLGSPCRAFTKSSSGLFGFSKGCITMERWDAINDLFLNTGAVITFGLNALQGRKQIRKGVWGGAWNSSNAQEFMEYTASMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQKMVNQLYGNSRKPLVLAPGGFYDKQWFAQLLEVSGPNVLKGMTHHIYNLGAGNDPRVANRILDPQYLSRVSDTFRDLQLTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTFVPNPDYYSALLWHRLMGKGVLSMDIGGSSYLRAYAHCGKQKGGVALLLINLSQNMGSMVSVRNDLNVDLAQGQGITRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTAQDGDPLTRTVLLNGVPLELTADGDIPPLYPVQVSVSSPIYVSPLSIAFVVFPDFEADACQ* >Brasy5G045900.3.p pacid=40073777 transcript=Brasy5G045900.3 locus=Brasy5G045900 ID=Brasy5G045900.3.v1.1 annot-version=v1.1 MGLWSLLPLLLCLPTLILSEDYSDVTVIVRGSETIAATSDEFICATVDWWPPEKCNYDQCPWGKASVLNMDLTNPLLAKAIQAFSPLRIRVGGSLQDQVVYGTPNLGSPCRAFTKSSSGLFGFSKGCITMERWDAINDLFLNTGAVITFGLNALQGRKQIRKGVWGGAWNSSNAQEFMEYTASMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQKMVNQLYGNSRKPLVLAPGGFYDKQWFAQLLEVSGPNVLKGMTHHIYNLGAGNDPRVANRILDPQYLSRVSDTFRDLQLTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTFVPNPDYYSALLWHRLMGKGVLSMDIGGSSYLRAYAHCGKQKGGVALLLINLSQNMGSMVSVRNDLNVDLAQGQGITRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTAQDGDPLTRTVLLNGVPLELTADGDIPPLYPVQVSVSSPIYVSPLSIAFVVFPDFEADACQ* >Brasy5G182700.1.p pacid=40073778 transcript=Brasy5G182700.1 locus=Brasy5G182700 ID=Brasy5G182700.1.v1.1 annot-version=v1.1 MGKKLMGSQQMRKKLMGASAPPPRLAFAALLADPPVEDDDHAPRPLCCSPLPAQLPCSLRLRCSPHRTWRR* >Brasy5G107600.1.p pacid=40073779 transcript=Brasy5G107600.1 locus=Brasy5G107600 ID=Brasy5G107600.1.v1.1 annot-version=v1.1 MAGTISRIRLENFMCHSSLHIELGDHVNFITGQNGSGKSAILTALCIAFGCRAKSTQRAATLKDFIKTGCSYAAIVVDINNQGEDAFKPEVYGNLIILERRITDSSSSTILKDQHGRKVAHRKEDLTEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNELLETIKDQLNNADSIVEELEKSIKPVTRELDELRHKIKNMEHIEEISHDIDNLKKKLAWSWVYDVDKQIGEQTVKLQKLKERIPACQERIDRNTVLLDELKKELIEKEENVRSLAKKTREVHMMRKNMDDSITEVVKQKVELEAEHERHTHMLGKMNNRLRQLQAQLRDFQLQHMQHTQLEASQIGEDIQNIQSEIDCAHSNITRLKENEKEFSEELSGILKSISEITKEISENDKKIRELKYQTDDLLQRQSNTVTAFGGQKVLNLLESIERNSIRFKSPPIGPIGAHLQLASDFWSVAVDCAFGKLLDAFIVSCHKDLRTLRECAKQVNYNNLRIIVYDFTRPRLNIPDDSLPTTKHPTVLSVIQSENHTVLNVLVDQGGAERQVLVRDYEVGKSVAFDHRIRNMKDVYTSDGYRMFSRGSVQTILPPNKRPRAGRWCSSPGERIAELKNEVADFERINSEKRGQKRKLDHDRCNLEQKLTSLIRKREPEERRLANKRLQLDDATKASAENNSHTAVDTSELEEELKHVKNDIQQKELLLQKANVRLTAILREVDDRKAAYKTFMDSVNDEAGPFNNASNELDLAKEKLEAAQQEKTHYEGVMRNKVLPDIKMAEEDYAELQQRRQDYFKKASIICPESDLEVLSHVAGSTPEELSAKINRLKLRFDQESRRYSESIDDLRALHDQKEQKIFRKQQLYAGFRLKLNSCQKALDMRWNKFQRNAGLLKRQLTWLFNEHLGKKGISGFINLDYKSKVLSIEVTMPQDASRDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLHTLVDFAAAQGSQWIFITPHDISMVQAGDRIKKQQMAAPRG* >Brasy5G277700.1.p pacid=40073780 transcript=Brasy5G277700.1 locus=Brasy5G277700 ID=Brasy5G277700.1.v1.1 annot-version=v1.1 MSQQDPAAAGAATEEELLQGSLSRSSSASRLNAQAPEFVPRVAVAAAPAPPPAQVIRVFAAPPPPPPAAFFAAGPPPPPPPFEYYAAVAAGGGGVGFGAVVSEHEVGPEQAVPPPPLVQAQQQQGRELTSDDVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVVASFKKIKALVQSNSMLASALRTSSKLVVTEDGNRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKIFSAVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTIDGAEKAIVVLNDERNWRSGLRVRLLNTCMAKGGKGRKGGQETDGHGEEDVSTSDQSNDKHPEETSQPSDALGEHVPEESTGDIVRGRGRGRGRGGRGRGRGYQNHNNNQYLHNNHPQQHHQNSNHQGSNRSGAHPVGTPPSSHPVKTEQQQPQQTQSPPPAGSSKQHPGPRMPDGTRGFSMGRGKPQTSTPSLCAAVEP* >Brasy5G361800.1.p pacid=40073781 transcript=Brasy5G361800.1 locus=Brasy5G361800 ID=Brasy5G361800.1.v1.1 annot-version=v1.1 MRAISSAAAAMLRARLSGAVRVRGGHGDGAGRWTTPGHEVRPKGYPMNRTPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHEKALERLKQQELAASSDALAE* >Brasy5G202000.1.p pacid=40073782 transcript=Brasy5G202000.1 locus=Brasy5G202000 ID=Brasy5G202000.1.v1.1 annot-version=v1.1 MDPAHAKSSRRTAARGSSSSAPRRRLSRDEASCPYQLAPQGHCIAPPSAPDYWTGGGALVPSGRDPPRRCSEKCCMEVLSWAASKQDSSVQNSLGANLCRTAGFGTGHMWIFSLERGFSGLERVAPSIPIVNSFAGWHTILLMTTADEIADRTLTAHRVPLFDHYLIHY* >Brasy5G494200.1.p pacid=40073783 transcript=Brasy5G494200.1 locus=Brasy5G494200 ID=Brasy5G494200.1.v1.1 annot-version=v1.1 MSEQDASWCFIEQKKRPWSWDGHRELDVLTKNVRQGPHLQGPQLKGPELQGPRLQDGSHVPEELVERMEKTMNAAVVLKYGGSNELDQPPTIYRVPEELKRSCKQAFLPVAVELGLFAHHYWWTGSEHAIQHYKWCCVRRLIGRHHLLQEPERTPALLRRCLDALESLEPRIRSSYHPRQQSYKVSSQELVCNMLLDGCFILHRLLKYARIDRREAFPAGPGKLNFSEQEEDDDDWTQLFGRCWVWGFVTCDLLLLENQVPFFVVQKLFEELRTDPAESGDILVHHLLHLFHRSVGLPEKEAAPGDQCRHVVPPSELPQWIPCARELEEAGVKFRARQAADATSFLDVRFHGVLEIPMLELCDYSEPLFRNLIAFEQTYPLVPCHVTAYAFFMDCLVASPEDMRPLHLRGVLVNQMSGTGERDAVGIFGRLCSEAHLASDMNYLAGVIGQVDRYQRSRWPRWRAALVRDYFSNPWVAMSLAAAALLLVLTMIQAFFAAYSYFKPPKQQ* >Brasy5G062800.1.p pacid=40073784 transcript=Brasy5G062800.1 locus=Brasy5G062800 ID=Brasy5G062800.1.v1.1 annot-version=v1.1 MANYLAQFQTIKSSCDRVVIAVEDVSDLWLNVRQSFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPGNDQATKMAKKVYARLETDFNTKRRERCCKFDLHGPDADFWDDFDSKMVDCIRNTLDRRVQFYEEENRKLSEQRFTPIWNFCNFFILKESLAFMFEVTNLHEDSLREYDELELCYSESVNLPGKHREFGGLDTGDDQAALLNPGFKALTQIIQDDVFREFEFRQYIFACQAKLLFKLSRPVEVAARGYAFVVGFSKTLALHENALPFCFREVWVITACLGLIKSTSAQYDDGIVAIDSEKEFHRLQGDLYSLCRVKFMRLAYLIGYGVEIEKSPVNSASLSMLSWPKPATWPSIPPDSSAEIMTKEKITLQAKPREKLFNIQRKPLPLEPSFLLREANRRRAFLSVGNMSELYDSVDGSGLDAHSKLPSNKSSSNLMIRTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSPEEFENRYMELTKGAADNYHRSWWKRHGVVLDGEIAAIFFKHGNYDLAAKSYEKVSALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLESSLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFAIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIESYRSNGEQSGPTDASKALSSSSEPGRVEKVPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGTSPASPLKHSIVDGMRMIALKLEFGAFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILHSEVKATLHVKDVSLDLQAGFEHLGNGDGRPTSSLFPLVIAPSSRAGILFVIRLSGTKDLDELENSDSMMTIKYGISGDRTTGAHSPVPVKPDDSEELLFKISLKLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMKWRVERLKDLDEASLSDDEILYQVDANPQNWMVAGRKCGHISFSEAQGSRIEIAVTCVPLVSGYVHPPQLGLPDVGEANVSCNPAGPHLVCVLPPTLSTSYCIPA* >Brasy5G141700.1.p pacid=40073785 transcript=Brasy5G141700.1 locus=Brasy5G141700 ID=Brasy5G141700.1.v1.1 annot-version=v1.1 MRKLFFSELTSCKETKLQSATHSWLPLEKGKLCKFTGHSSSSSSIESLMKMPEPAVLPHFKPADYVDILAQIHEQLEYCAPDEKSCLYLLQFQVFRGLGEAKLSRRSLQAAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLGSCGKCSQEFKLLDFVSQISAESHGLSYDDESDEFQGSPVVHFRIKDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSQNGISPIGMRAISKFSLSGRLPYLSAEAILEMLDFANKFCCKGLKDACERKLASFVCTRQDAVDFMECAIELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRSTMAGNASFSLYCLLSEVSMSISATSDVTISFLEKLVESASDSRQKQLALHQLACMRLLRKDHTEAERLFNAAFNAGHHYSVVGLARLASLRSNKHFSLKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMHSLQLAREHAASQHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLEPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAFEEMTKLIEKARSNASAYEKRSEYCDRDLTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKDAISELTKAIAFKADLNLLHLRAAFHEHVGDISGALRDCRAALSVDPNHQEMLELHHRVNSQEP* >Brasy5G038500.1.p pacid=40073786 transcript=Brasy5G038500.1 locus=Brasy5G038500 ID=Brasy5G038500.1.v1.1 annot-version=v1.1 MLGPCRSSLLCFLTCESTHPSPTLMVSNLNPASPQISVQPPLAGQLAAAVQCSPSRALISAVAGFLHPETTDPGLLRAAIWPPPACSSQELQEIARSGPASTNASGNHHLGFFTTAFGTSF* >Brasy5G246600.1.p pacid=40073787 transcript=Brasy5G246600.1 locus=Brasy5G246600 ID=Brasy5G246600.1.v1.1 annot-version=v1.1 MFILGAQFSEFAPGLRNLRTGPAVLSSAAVLPPAAEPSLSRHSRHLRAPPVAHISELRFVATVDELLPIDAEPPPCSPRRSARLNAAGASLLSRYRHSSPPRPASHAPSPRSGASQPLRPLRLRQVPLGLRPYGILLPQFLLDWLCPQPLRYANGS* >Brasy5G229400.1.p pacid=40073788 transcript=Brasy5G229400.1 locus=Brasy5G229400 ID=Brasy5G229400.1.v1.1 annot-version=v1.1 MAAATATATAAASLLLSLSLPGAAARPPPPPAAVLRFCYSASAAKQHRQLAVVFLAGAPSSGAAHSCRRRRYSAAAAVSSAGGSSEKWVLEPAGDGDWRHIGYRVARPGAIAIPASGAVTVGRVAEKADAVLPVATVSGVHARLEAKDDGTLAVTDMDSTNGTYVNERKLVPGFAVAVSPGSLLIFGDVHLAMFRVTKVVVDAPPVTAAGAGDEEEEEAKIEVVSVAEETSS* >Brasy5G343800.1.p pacid=40073789 transcript=Brasy5G343800.1 locus=Brasy5G343800 ID=Brasy5G343800.1.v1.1 annot-version=v1.1 MGKQLAALNGLLVALLCLSCDALAQTQILFQGFNWESCNKRGGWYNMLKGQVGSIASSGVTHVWLPPPSHSVSPQGYMPGRLYDVDASKYGTKAELKSLISAFHAKGVKCVADIVINHRTAEEKDGRGVYCIFKGGGPEDQLDWGPGMVCSDDAEYSDGMGHRDTGADFAAAPDIDHLNPRVQRELSAWLGWLESGLGFDGWRLDFAKGYSAEVAKAYVGNARPGFVVAEIWNSLSYGGDGKPAADQDGERRELVDWAKAVGGPAMAFDFTTKGILQAAVQGELWRMRGKDGKAPGMIGLSPEKAVTFVDNHDTGSTQKMWPFPADKVMMGYAYILTHPGLPCIFYDHVFDWNLKKEIDALAAVRSRNGINAGSKLRILAAESDVYVAMVEEKVIAKIGPRFDAGNLIPSDFHVVAHGSNYCVWEKSGLRVPAGRR* >Brasy5G428100.1.p pacid=40073790 transcript=Brasy5G428100.1 locus=Brasy5G428100 ID=Brasy5G428100.1.v1.1 annot-version=v1.1 MAGAAKVGPWGAAGGAPRDIKSYSVPRRLVSISICSIGCKINGFSFTYVDQKGQPIAVGAWGIFMGSVKQIIILPGEHVISVSGTSNKSGVTSLKLVTNRSEHGPYGSAHGPEFSLPLGQGKSEVVAFFGHVGATTLKALGVYVSGQQPWACPWACVPYTRLRPRSSQHLRPCPVKMGPWGGHGGASRDLVTASIPEQLESVTVRSSERSGGRIYGFGYSYLDRDGRRVSVGPWGSKTRGWTENLFLGDGEYVNSISGTYDDYGVTSLRFATNMVEHPPFGCPASEGLAFSVPLPENCAAVGFFGRSDGDRIIAIGVYVGPHDHHDD* >Brasy5G082800.1.p pacid=40073791 transcript=Brasy5G082800.1 locus=Brasy5G082800 ID=Brasy5G082800.1.v1.1 annot-version=v1.1 MEDLPEPLLAEIVKRIPRTSDLNSFSLVSKQLYTIEAAERGAIRVGCGLYPAREVLASLCSRFPNLFKVEIDHSGWTPDHGNQLDNQGLFEVSHRCPLLTDLTLSFCSHINDSDLGCLDYCKKLVSLRLHSVPNITSNGLLSVAAGCKTLSGLYLIDCEKIESVEWLQYLGLNGSLEELAVKNCEGISDHDLLKFGPGWRKLQKFEFEAKGGFWSSPDAYEGFDPLHNAHNPSRYGFCCESLRDLRLACFETNTEAGLRFLLGKCKALEKLCLEYVHGLNDNDMIVVAESCRNLKSISLWLKPLRYDHVFRTAFTDDSLKALAVNCPMLEAVELTFAGCAFEYPSEIGFTQNGLVELIQSCPVRFLMLSGANFFDDEGMMALSSAPFLEKLDLVDCQATSTSCCASSPPPSVSTTSSLSAAADGGRDGEDHLSKLPEGLLGDIVSLLPVTFAKRITVLSEHWRNVWHSAPLVLYDGHVPAASTSARFAVVARVFAEHTGAFRTVHLARCSFESGAPELAECARRLAAGGVQDLVLLNEPIERSVGRDEEILPAEILRCEDLRSLYLGYWRLADVASLPGGDFFPKLEKLGLLNMFLGNNVLDRLLAASPLLTHALILWHQRPDDRLHLRGQSLRCVVFYLSTVQELALLDTPLLDRLIMRCTWRRRHKWDHRDLMLVKIARAPRLRVLGYLDAMEHQLQIGDTVIQADTQASPSSVVPSVRMLAVMVNLKAFEQVKVLFSFLSCFPNLETLHVKKSAVAAKPNSVNPEKFFQNLGPIECLQSHIKKVVLHDVHFLGDIPFLRCFCQRAQALQSLILMVRDELAPVLVGGMDLASDLAYPTRAGQCCTVLFVNSDAEGSWSYHRSSDLSVDDPFFTQGGQQLFHISV* >Brasy5G290800.1.p pacid=40073792 transcript=Brasy5G290800.1 locus=Brasy5G290800 ID=Brasy5G290800.1.v1.1 annot-version=v1.1 MLCFQFVACGLLVLLYSLGFKSCSSSSSHGHGPRSYPVIGCLLAFYENRRRLLDWYTEMLASSPTQTIVVDRLGARRTVVTANPANVEHILRGNFGNYPKGKPFTDVLGDLLGKGIFNVDGELWHAQRKLVSHEFSARTLRELEMAVLEAEALDRLLPALDAAADRPGPGGAAVVDMQDVLRRFAFDVISRVSLGVDPGCLDPALPAPRMASAFDAAAGIIARRGAAPVAAVWKIKRALALGSERRLRDEVKVIHEAVMDLIRSRKKERFLVNDPHDDDNGGSAARNDLLSRMIECGYADEEIRDMVISFIMAGRDTTSSALTWFFWLLTRHRGVERDVLDEISAMAAGRQDENSEGEGFDLEDFRRMRVLHAALSETMRLYPPVAWDSKHAAAGDVLPDGTRVGRGDRVTYFQYGMGRMESIWGPDAAHFSLDRWLSPSSTSSVDASGGVSPFRYPVFQGGPRTCLGREMAFAQMKFVACAVLRRFELRPVDEGRTPAFLPLLTAHMDGGLKWE* >Brasy5G079100.1.p pacid=40073793 transcript=Brasy5G079100.1 locus=Brasy5G079100 ID=Brasy5G079100.1.v1.1 annot-version=v1.1 MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNILCCTGLDKDRVHHFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK* >Brasy5G292200.1.p pacid=40073794 transcript=Brasy5G292200.1 locus=Brasy5G292200 ID=Brasy5G292200.1.v1.1 annot-version=v1.1 MTEPKAKRGGRTYLTWSDEMDSALLEVLVEHHNNGDHAQNGWKPHVYNAAILNVHAKCSIDITKDNISARIKTFDKHYEIISKILEQSGFGWDWENNKLSIDSDDVWSKYVEGNKGAGSYKTKVVKNWDAITTIYSKDHANGEGAKTGVETAEEVAGEANEASPECAPKRQRTCDAILCMVGDMRASFADALKATDPLPLPKVTSPSEILAALEMIQDLSRADMLRSYGKLILNERLFDALMELPMAMRKEWLLMLP* >Brasy5G290700.1.p pacid=40073795 transcript=Brasy5G290700.1 locus=Brasy5G290700 ID=Brasy5G290700.1.v1.1 annot-version=v1.1 MAMVVSAPPPEMLPEEEMALIRDITVAAESQAKEGDIFFLITNRWWQSWIDYVIQDSAGVTSNGYHHHEFGSNAPRRPGAINNTDLLDDLASEVSNMDMELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLILMPKGEQAVIRISKKDIVGELHKKACEVFDLVPDEVCIWDYYGRTKHALMDNLEKTLDDANIQMDQDILVEVTTDANGIFDGGCMSSIQENDFFERASSLMADASKSGLSSENLASINYASRSYSSGLPQNQCPRSSNGDSENVPGTVGVTTRGSPSGLTGLLNLGNTCFMNSAVQCLVHTPEFARYFREDYHREINWNNPLGMVGELALAFGELLRKLWSPSRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYLKSNDADGRSDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICGKVSVTFDPFMYLSLPLQFASTRSMTVVVFTCDGSAPPTPYTVSVPKQGRCRDLIQALSSACSLKNEERLLIAEIRNHRVHLFLEDPVLQLSTIKDDDHLAVYRLPKLEKRASYIQFVHRREELDHGNNSSSTSWKPYGVPLLAQIPRNETVTGSDIHEMGRKMLVPMLRNQVAQHMAVQSSLSTRTQSYHTDGSKFQLQLNDDSNTVIEQTDYAIRVPQSSLATIIFVNWSKSDLKKLNTNHLENLPEVFKYAPPAKRTRGEPLSLYACLDAFLREEPLVPEEMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDLDLTNYIANKKISQRQIYELYAVSNHYGSMASGHYTANIKLLDENRWYNFDDSHVSAINEEDVKSGAAYVLFYRRVRELDGAASNGTQSCVRRNHRSSQR* >Brasy5G444500.1.p pacid=40073796 transcript=Brasy5G444500.1 locus=Brasy5G444500 ID=Brasy5G444500.1.v1.1 annot-version=v1.1 MEMKLTIKRVPTIVSNYQEEAAAERPRGGCGKNCLGDCCLPVSELPVYAFKANPTKLPSQEHAVPSNVFIDLLLGQWEDRMTQGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSYFLKSAPTTVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESLLLALHMAAEAVSPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATQRIPLADGGMKSGVKVSKLMNYPVRGLVFEGGNTLNDLADVVSGACIWLQDNNVPYNVLISDCGRKVFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRDDYEEASEASAWRLLAEVSLSESRFEEVKAYIFDAAGLVQSQAEETGEADDAVYTPVTVAPPAVAEGCLVLQ* >Brasy5G173500.1.p pacid=40073797 transcript=Brasy5G173500.1 locus=Brasy5G173500 ID=Brasy5G173500.1.v1.1 annot-version=v1.1 MAQEIEHTHLPIRGLNLHVAQVGKDELGTVVFLHGFPEIWYTWRHQMLAVAAAGYRAIAPDSRGYGLSDQPPEDVEATWEDLVADVLAILDALSIQKVFLVGKDYGAIPAYDFALRHPDRTRGVTCLGIPFSPAPFDFTTMPEGFYILRWREPGGRAEADFGRHDVRRVVRTIYILFSRAEVPIAEEGQEIMDLADLSTPLPPWFTEEDLDAYAALFEKSGFRYPLQIPYRSLHRMTKQLDAKFQVPVLMVMGEKDYCFKFPGFEEAMKSGAMSTFVPDLKIVYIPEGSHFVQEQFPEQVNDLLLGFLKDHP* >Brasy5G305900.1.p pacid=40073798 transcript=Brasy5G305900.1 locus=Brasy5G305900 ID=Brasy5G305900.1.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVDPITSSAVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G305900.2.p pacid=40073799 transcript=Brasy5G305900.2 locus=Brasy5G305900 ID=Brasy5G305900.2.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVDPITSSAVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G305900.4.p pacid=40073800 transcript=Brasy5G305900.4 locus=Brasy5G305900 ID=Brasy5G305900.4.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G305900.5.p pacid=40073801 transcript=Brasy5G305900.5 locus=Brasy5G305900 ID=Brasy5G305900.5.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G305900.3.p pacid=40073802 transcript=Brasy5G305900.3 locus=Brasy5G305900 ID=Brasy5G305900.3.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVDPITSSAVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAGSRKIEGWPHQRDIG* >Brasy5G305900.8.p pacid=40073803 transcript=Brasy5G305900.8 locus=Brasy5G305900 ID=Brasy5G305900.8.v1.1 annot-version=v1.1 MATAAAVVDRLLRRLATDARRLDLPSTIEQHVAHVWRTLSRLQDVLVSVEKYFLVRTEVQDWMKKINQIVYEMEGLLDEFEVQNSTESHRTGRIRKATSLWSSSPFLLSSTRVNRMKTVRKRLDLLASDSVIFSLMQHPSCDLEQSGIQEEFNSAAIVGRNSEKTKIKDLILQNDAETLSIIPIVGLVGLGKTTLARLIFYDQGVGRNFDIRIWINLNRKFDLKKIAAGIISQANETKEERSSLVNTNIEIHENLQFIKNRLQEVLRNKSCLIVLDDLCSTDKSQLDELKEMLRGTNNRIKVLVTTSSEITAELLHTFPPYKLLPLSEDDCWTIFSEKAFGHGDCVNADLKKIGKQIVRRCDGIPALAHFLGSTVHNQVMDVWLAARDEAIWKLEGIFSAKIEVFSSLNQIYYDMSSALKLCFLYLSIFPKGFVIDKEKLIRQWIALDMIGSKYESLPSYVHAEMYIQDLLSVHFLQVPKTQSVNGIEKRTSPTTFCMHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSGLCSICKRSHGRAPPCSK* >Brasy5G305900.6.p pacid=40073804 transcript=Brasy5G305900.6 locus=Brasy5G305900 ID=Brasy5G305900.6.v1.1 annot-version=v1.1 MHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVDPITSSAVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G305900.7.p pacid=40073805 transcript=Brasy5G305900.7 locus=Brasy5G305900 ID=Brasy5G305900.7.v1.1 annot-version=v1.1 MHNFVHDFARHVACNDIIILDDREMNDNAKKLSFQYALLTYYNGKSTLCSSLLTRARAVHFLNTEGIKLHAEPFKLLKHLRALNLSRSYIGEIPASIGHLKHLRYLDISGLKIQTLPSSISTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNFPATLGHLQILEHLSLSCCYDVGELADSLCNLQGLRYLDLSSCTKLPQLPNSFGNLMNLEDLDLSSCFNLKHLPDSFGNLYFLRFLNISSCYELRQLPGSLCNLVKLEVLILRRCRRLESLPPSFGNIENLRILDLAGCEALHVNLGMLTTNLEYLNLQQCLKLPTRPNRFNNFTRLKFLNLSQCLPTIDCLQSLGYLFNLEYLNLSQNVLAIPVSFMKLQRLHTLDLTGCALGHPSRLVSQIFLDTIHKMSGLKFVLTKDPMIVACLPPYIRYSVGIDENWHITTDELVVPDLTGGARGLSIAEGANVQNRPELRFLKLEWTHTSNPAVHGVVEDLGDEVMEKLQPHQSLEHFELVGYSGFGWPTWMMNNNMVILLPNLISVRLFCLVNCKDLPPLDQLKNLRHLHIKDIPNLKNIETSLSGTPQPFKKLTHLKLEILLNLEEFPILLSTKNENHQFMFPALEELSVLSCPKLIFKPSLPKCAKYEIKDSNMILSCGEPLGPFSSPSPANIVITGCRIPSSWLQWLESMQTIEKIVIDACVGDDGEAVTSFKLLGGKGTQEFSSSKILNENTNRNAPGTNISQESTAQDGACRNTGIGVDSYRGQQSSGESSLQSSHQNPGTTGLGTSIIRKLFPRFNTTLGQSVDPITSSAVSVPPVETPPISHQPSRSKMSTQIPGVQSLNLSLKQVQKATRKFSPSLKLSESVYWTVYKGILPDDQIVAIRVAKKGCVPFAKGHTDVHLLAANNHWSLVRSLGYIDKRNEFIMISEFVSNGSLAQHLHGHHQKTLDLNQRILITIDVAIALTYLHLCAGETMICYNLKTTKILLTERYRAKLVCFELSRSRTFDQVIGTVGYIDPEYMLKHELTAKSDVYSFGVILLEIISCRGPQECNRKKILISDNQRRQQQMDGFVPWALEKLKAGHIREILDERLTDHVDEEFLGSWLRLASSCTACKGDDRPRIEEVGEQLWKIWKDHRKHIREPYKYEGSWSEFVKEERIPRGVESVEEDSRYAEFLAEGRVTKHQDWYDVSNQQDESIQDSNYYASPAYSDVTLSPPLSPR* >Brasy5G297800.1.p pacid=40073806 transcript=Brasy5G297800.1 locus=Brasy5G297800 ID=Brasy5G297800.1.v1.1 annot-version=v1.1 MAPSSSARLLLVAAALVLLLASSEASPPPLPSGLSFDFYKSSCPQAESIVSSFLQAAIRRDTGLAAALLRVHFHDCFVQGCDGSVLLDKTRAGQSSEKDAPPNVTLRPAAFSAINAARALLERACGGPVVSCADIAALAARDSVHLAGGPWYAVPLGRRDGLEPAPLQTILGALPPPTSNVTTLLRFLAKIGLDADDLVSLSGAHTLGIAHCTSFEERLFPKDDPTMDKWFAGQLRLTCPRLNTDNTTANDIRTPDAFDNKYYLDLMNRQGLFTSDQDLHTDARTKPIVTRFAVDQAAFFQQFVKSMVKMGQIQVLTGAKGQIRRDCAVPNAARADDDLPWSVVQTVAEAAKSPVL* >Brasy5G064200.1.p pacid=40073807 transcript=Brasy5G064200.1 locus=Brasy5G064200 ID=Brasy5G064200.1.v1.1 annot-version=v1.1 MALLLLHALLLASAAAEMRLTNTGSGSAAASTNGNAAASVELHPLPIYGGPPPSTPSPSTPAAPAGMPQQPPCPPPPVAVVCCGGGVAGGQAGQDQYAPQQPQPQQQPSNYAPPGSYMPYYNKSASPSLLPAPVAAAAACFLAVAYLLLWVLV* >Brasy5G445800.1.p pacid=40073808 transcript=Brasy5G445800.1 locus=Brasy5G445800 ID=Brasy5G445800.1.v1.1 annot-version=v1.1 MAARVGLSHALLASSSLARNPPRARRLPYPPLLPLPTYRRPVSASAHPSLRRRRPFAARAMSQPPEAAGSPYAAELAAAKKAVALAARLCQTVQQELVQSDVQSKADKTPVTVADYGSQILVSLVLNMEVTSGSFSMVAEEDSEDLRKEGAEEILEHITDLVNETLAEDGSFNISLSQEGILSAIDSGKSEGGPSGRHWVLDPIDGTKGFVRGGQYAIALALLDEGKVVLGVLGCPNLPLTSISNLNGNSSGDKTGVLFSATIGCGAEVQSLDGSPPQKISVCSIDNPVNASFFESYEGAHTMRDVTGSIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHTGYREKIWDHAAGSIVVTEAGGIVTDASGKYLDFSKGRCLDDLDTGIIATNKQLMPSLLKAVQEAIKEKNQAPSPL* >Brasy5G136500.1.p pacid=40073809 transcript=Brasy5G136500.1 locus=Brasy5G136500 ID=Brasy5G136500.1.v1.1 annot-version=v1.1 MVGNPFDFSSDIVLRDAPMLNQLSASRSRIPGATFTPPQANSNDVLRHSAMLNQSSAPGSKITAMTFPPQQTNLNAVLRDAPVLNQLSVSSSRIPGATFTPTQANSNDVLRHSAMLKQSSAPRSRIPAMTFPPQQTDSNAVINFPVRHARTFNQTSAFNLGAPASANDPRYNFPVAPASALLSLYTPGSGQVAPHELMQNNVPPQDLEQRNYGTPATGVLHAHQPGPEKFTPQMLSQNGEVLPSVNIEGMRPASEVYQLDPVILRLNLGSFIPQDGTEGGVAVRIRNDNTVEFVGAAIYSMPGITFAAACREGIRIAESHQPITIILESHLFPLLLNIPCPEIEELKALLKPPHYVVRNISAESNTAACQLAEYAFYFHVPHHFFTDPPFWLLPCLSGS* >Brasy5G136500.2.p pacid=40073810 transcript=Brasy5G136500.2 locus=Brasy5G136500 ID=Brasy5G136500.2.v1.1 annot-version=v1.1 MLNQLSASRSRIPGATFTPPQANSNDVLRHSAMLNQSSAPGSKITAMTFPPQQTNLNAVLRDAPVLNQLSVSSSRIPGATFTPTQANSNDVLRHSAMLKQSSAPRSRIPAMTFPPQQTDSNAVINFPVRHARTFNQTSAFNLGAPASANDPRYNFPVAPASALLSLYTPGSGQVAPHELMQNNVPPQDLEQRNYGTPATGVLHAHQPGPEKFTPQMLSQNGEVLPSVNIEGMRPASEVYQLDPVILRLNLGSFIPQDGTEGGVAVRIRNDNTVEFVGAAIYSMPGITFAAACREGIRIAESHQPITIILESHLFPLLLNIPCPEIEELKALLKPPHYVVRNISAESNTAACQLAEYAFYFHVPHHFFTDPPFWLLPCLSGS* >Brasy5G136500.3.p pacid=40073811 transcript=Brasy5G136500.3 locus=Brasy5G136500 ID=Brasy5G136500.3.v1.1 annot-version=v1.1 MLNQLSASRSRIPGATFTPPQANSNDVLRHSAMLNQSSAPGSKITAMTFPPQQTNLNAVLRDAPVLNQLSVSSSRIPGATFTPTQANSNDVLRHSAMLKQSSAPRSRIPAMTFPPQQTDSNAAPASALLSLYTPGSGQVAPHELMQNNVPPQDLEQRNYGTPATGVLHAHQPGPEKFTPQMLSQNGEVLPSVNIEGMRPASEVYQLDPVILRLNLGSFIPQDGTEGGVAVRIRNDNTVEFVGAAIYSMPGITFAAACREGIRIAESHQPITIILESHLFPLLLNIPCPEIEELKALLKPPHYVVRNISAESNTAACQLAEYAFYFHVPHHFFTDPPFWLLPCLSGS* >Brasy5G294600.1.p pacid=40073812 transcript=Brasy5G294600.1 locus=Brasy5G294600 ID=Brasy5G294600.1.v1.1 annot-version=v1.1 MGDAAVPSRGRLPSILLSRRPRFTDSGNDTTATAESKDGYTMRVSFWMAEPPQLSLLSIHCIDPRDMENTARSNFSSLPHVVGADGPFVLLRAVFLGGGFHEYFLYKAGAGGALPSLKRIPSPFELGDAIASYNLSKVRELGILSHGGDHYLLAALHNSASSDGYELRIYSSETKSWSTRTLQNPCPGVDRVIPDKVITLGQGGLLGWVDLLHGVLVCDLLLLLQDQDPPVAGAVSFIPLPEPLPGNRYKPKYPIITEKVEEHPLARDFCSANWFRDLTCVNGVLKFVEMENPPPENKDNIIYDSDLIMSLKRKAVDRNSMNQLSSFRDAWRAVTWSRKVSSSNFWCQTYAAHVTDIKGQELTFRDLYSAFPILSPDDGDDILYLKSIVEPSHQDGWVAAVDIGNKALKAIGRYYLPDDFYYSRGYDPEHPFCASTLSRHLDLTSGNQVSACRKKSEAKRPANHPIVTSIPVDSCERRARIRRLLKMAGKTKCARNSPESIMQNNHISQVYPVENNLPPQLCFKYASLAPVHGCHNYEPQWPPSKQQSASSSEFGPHEAPQPWFNNCNWASYHGYSHQLSAPNSFAYGAHTGYGNYQQQWPQPPPTLDLPIGASWQHPPPPGPVQRQQTLRE* >Brasy5G188700.1.p pacid=40073813 transcript=Brasy5G188700.1 locus=Brasy5G188700 ID=Brasy5G188700.1.v1.1 annot-version=v1.1 MAFHQRSISLPSRPHISETEVEQELQSLETSISSAITIGTMCDGLRRLVNIYNGVEEIICLPSNQVCSVQQRKMLDGETEGSLDLLDLCSIMQEIFVEMKAIIQDLQVALRKGNDTAVQAKIQSYACLAKKVKKHFKKTTKKAISDKECRMVMLLTKVREVSISLLEYTLHLLFKQIEMPKQSLVSKAFHKKKAVVCEEQLEDLESSIGDLEDGAAHLFRKLLQSRVSLLNILSS* >Brasy5G134900.1.p pacid=40073814 transcript=Brasy5G134900.1 locus=Brasy5G134900 ID=Brasy5G134900.1.v1.1 annot-version=v1.1 MEQEQQGKPKVVFVLGATATGKSKLAIALAARFGGEVINSDKIQAYAGLPVITNKVTDEECAGVPHHLLGCVRCPDDDFTAEDFCREAGAAIGRVLSSGALPVVAGGSNRYVEALVDGGGAAFRNAHDCLFGWLDAAPETLRRCTAVRVDDMVRRGLVDEARAAFDPGVADYTRGVRRAIGLPEMDAYLRHEGADDEEELLGAAVEEIKANTFGLVLEQVDKIKRMSTLEGWDVRRVDCTELLAQVAAGEGGVEELWRKTVWEPVEDMVRSFVDSDQSRRSLQC* >Brasy5G338800.1.p pacid=40073815 transcript=Brasy5G338800.1 locus=Brasy5G338800 ID=Brasy5G338800.1.v1.1 annot-version=v1.1 MASENTGKRSTEANRAQQKGEGIELTSPGAPARLRRAFLPYWPPWRARRAVPPLRMTAVTDAAEPWTAGSNIHGGLGVGGSGGRQLVAACGSGGGLGARGERGWEEESGGRERAEGGCRRREGESEPRVARERVRERRRKPRAWRGEPTCSAGGGGWGGALDPVSAGLWARWRALGRGSGVGVVEGERARRRWSREHRIRRRRALGRRIRPRRCRWRPALAGSRAPDPDGAPHARPEQSGEQEEEGGGRRAGQEERKEEEQRKKGGGKKEGGCWRRQEREEKGLGFAFFIETTGWEFNQ* >Brasy5G504100.1.p pacid=40073816 transcript=Brasy5G504100.1 locus=Brasy5G504100 ID=Brasy5G504100.1.v1.1 annot-version=v1.1 METYLLIAEVSEAPLVIHPSVLSVRPQLSELEKKRQHICFSFRPPSTVEEQPPHRLPLHSLSLDRSAAKDLATMAATANASPRLPTGAGVVVRSRRPASAAAAAPSWRRPSRRQRTILCRSPTVPAGAGAGGGGGGRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDINHLKDEENVAYILCLQQDKDIEYWGIDFQAVVSRCKELGVEHIRRPAVDFDPDSLRKQLPKAVSALEWAISQGKGRVYIHCTAGLGRAPAVAICYMFWFENMDLNTAYDKLTSIRPCGPSKKAIRAATYDLAKSDPNKEAFENLPEHAFGGVSDLERKLIQDRVRALHKS* >Brasy5G504100.2.p pacid=40073817 transcript=Brasy5G504100.2 locus=Brasy5G504100 ID=Brasy5G504100.2.v1.1 annot-version=v1.1 METYLLIAEVSEAPLVIHPSVLSVRPQLSELEKKRQHICFSFRPPSTVEEQPPHRLPLHSLSLDRSAAKDLATMAATANASPRLPTGAGVVVRSRRPASAAAAAPSWRRPSRRQRTILCRSPTVPAGAGAGGGGGGRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDINHLKDEENVAYILCLQQDKDIEYWGIDFQAVVSRCKELGVEHIRRPAVDFDPDSLRKQLPKAVSALEWAISQGKGRVYIHCTAGLGRAPAVAICYMFWFENMDLNTAYDKLTSIRPCGPSKKAIRAATYDLAKSDPNKEAFENLPEHAFGGVSDLERKLIQDRVRALHKS* >Brasy5G298400.1.p pacid=40073818 transcript=Brasy5G298400.1 locus=Brasy5G298400 ID=Brasy5G298400.1.v1.1 annot-version=v1.1 MSMNHQKLSLSYLEMVPTNDGKFHIKSVVLISLHQEKFGRCKPQNFLQNHTMKGGGGHRTHTEVSIHC* >Brasy5G392100.1.p pacid=40073819 transcript=Brasy5G392100.1 locus=Brasy5G392100 ID=Brasy5G392100.1.v1.1 annot-version=v1.1 MKALRRSSTSTSPSSSSSPTAASSPPSSSSWIHLRSLLVAAASSNSSSAAGAGSSVAALASASTAAASSSPSPASSSPLSDRGSIKSPWSRRKRKRVLSRQQWDGLFSVNGKLRDGGKKVLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEERNAIKIKKRKEYEKLRRQCQQILNGHRGSGLKSINEASNNEECSGLGSSAEESESPCFEDVNVEKASGSLEEMKSEHGEAEQPENATCDDVIVSIEEDTSELIYAYPCTAESESSDSESSDEDDSGRISVCAEENCDPDPKFARSTSFKADLFRSSRTSEDFTTWQRIIRLDAIRANAEWISFSRNQAEVSKEKALQSAASVGLKDYDHLEPRMIYHAARLVGLLEAYAFYDPEIGYCQGMSDLLSPIIAVMDEDDEAFWCFVGFMKKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI* >Brasy5G392100.2.p pacid=40073820 transcript=Brasy5G392100.2 locus=Brasy5G392100 ID=Brasy5G392100.2.v1.1 annot-version=v1.1 MLKYDLNSSEEERNAIKIKKRKEYEKLRRQCQQILNGHRGSGLKSINEASNNEECSGLGSSAEESESPCFEDVNVEKASGSLEEMKSEHGEAEQPENATCDDVIVSIEEDTSELIYAYPCTAESESSDSESSDEDDSGRISVCAEENCDPDPKFARSTSFKADLFRSSRTSEDFTTWQRIIRLDAIRANAEWISFSRNQAEVSKEKALQSAASVGLKDYDHLEPRMIYHAARLVGLLEAYAFYDPEIGYCQGMSDLLSPIIAVMDEDDEAFWCFVGFMKKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI* >Brasy5G136700.1.p pacid=40073821 transcript=Brasy5G136700.1 locus=Brasy5G136700 ID=Brasy5G136700.1.v1.1 annot-version=v1.1 MVLSGGRKSPGLLVKNIVGEFKLQQACGFSPISFCALGG* >Brasy5G507600.1.p pacid=40073822 transcript=Brasy5G507600.1 locus=Brasy5G507600 ID=Brasy5G507600.1.v1.1 annot-version=v1.1 MALGDLMASRLVAHAPSSSASPSLPAPSSSATLRRGDAGLPTANGPAEQPREEGPGAAAAAEEGTAAAVVACLPQVVVLREQRHEGFDEAAAAAAAPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSACKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G507600.2.p pacid=40073823 transcript=Brasy5G507600.2 locus=Brasy5G507600 ID=Brasy5G507600.2.v1.1 annot-version=v1.1 MALGDLMASRLVAHAPSSSASPSLPAPSSSATLRRGDAGLPTANGPAEQPREEGPGAAAAAEEGTAAAVVACLPQVVVLREQRHEGFDEAAAAAAAPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSCKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G507600.5.p pacid=40073824 transcript=Brasy5G507600.5 locus=Brasy5G507600 ID=Brasy5G507600.5.v1.1 annot-version=v1.1 MKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSACKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G507600.3.p pacid=40073825 transcript=Brasy5G507600.3 locus=Brasy5G507600 ID=Brasy5G507600.3.v1.1 annot-version=v1.1 MKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSACKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G507600.6.p pacid=40073826 transcript=Brasy5G507600.6 locus=Brasy5G507600 ID=Brasy5G507600.6.v1.1 annot-version=v1.1 MKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSCKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G507600.4.p pacid=40073827 transcript=Brasy5G507600.4 locus=Brasy5G507600 ID=Brasy5G507600.4.v1.1 annot-version=v1.1 MKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYDRWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLFRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMSAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSADKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRGGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKFWEDFPEAQLLGLQSNAPEIVACLLSEPQPEVRASAVFALGNLLDIGSPSQNGGDDDSDDDEKVRAEINVVRSLLQVSSDGSPLVRAEVSVALTRFALGHNKQLKIVAAEYWRPQTNSLLKSLPSLANINNPSNAYTPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGPSYTRSRPIIDSGIYTQFISTMCSVAKDPYPRIASIGRRALSLVGVEQVVMRNTRFGSGGAHPGETSAPPSLNIGMARSSSWFDMNSGNFSMSFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAATPSTSELNILPQSTIYSWSCGHFSRPLLTVSDDNGEVSARREERERTALDCIAKCQRSSCKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYEDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGNRAAGRSVVIDWQQQSGYLYSSGDMSSILVWDLDKEQLLSTIQSSADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTAKPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTLIRYQPSFMGQRIGSVNCLSFHPYKSLLSAGAGDNALVSIYAEDNYQVK* >Brasy5G091600.1.p pacid=40073828 transcript=Brasy5G091600.1 locus=Brasy5G091600 ID=Brasy5G091600.1.v1.1 annot-version=v1.1 MLVPHQELPAADAAQPMEVVAQTEPVSTAENQPPEDPQTSRFTWTIDNFTRLSGKKHYSDVFVVGGFKWRVLIFPKGNNVEHLSMYLDVADSGNLPYGWSRYAQFSLAIVNQVHQKYTTRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLLNDTVVIEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVESLDGDNKYQAEQHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLTPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQVNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQSQRFWLWAKRQNHTYRPNRPLAPHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPIRPPEKSKEDILLFFKLYNPEKEELRFVGRLFVKALGKPSDILTKLNEMAGFSPNEEIELYEEIKFEPHVMCEHIDKKLTFRSSQLEDGDIICFQKPFVPDGDTQLRYPEVPSFLEYVHNRQVVHFRCLEKPKEDDFCLELSKLHTYDDVVERVASQLSLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKEEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPLQEKIENINDQYWTLRAEEIPEEEKNLAPHDRLIHVYHFMKDPNQNQQIQNFGDPFLLVIREGETASEVMERVRRKLRVLDEEFSKWKLAFISMNRPEYIQDTDVVSARFQRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTYEKPVKIYN* >Brasy5G091600.2.p pacid=40073829 transcript=Brasy5G091600.2 locus=Brasy5G091600 ID=Brasy5G091600.2.v1.1 annot-version=v1.1 MLVPHQELPAADAAQPMEVVAQTEPVSTAENQPPEDPQTSRFTWTIDNFTRLSGKKHYSDVFVVGGFKWRVLIFPKGNNVEHLSMYLDVADSGNLPYGWSRYAQFSLAIVNQVHQKYTTRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLLNDTVVIEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVESLDGDNKYQAEQHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLTPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQVNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQSQRFWLWAKRQNHTYRPNRPLAPHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLRPIRPPEKSKEDILLFFKLYNPEKEELRFVGRLFVKALGKPSDILTKLNEMAGFSPNEEIELYEEIKFEPHVMCEHIDKKLTFRSSQLEDGDIICFQKPFVPDGDTQLRYPEVPSFLEYVHNRQVVHFRCLEKPKEDDFCLELSKLHTYDDVVERVASQLSLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKEEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPLQEKIENINDQYWTLRAEEIPEEEKNLAPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETASEVMERVRRKLRVLDEEFSKWKLAFISMNRPEYIQDTDVVSARFQRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTYEKPVKIYN* >Brasy5G006700.1.p pacid=40073830 transcript=Brasy5G006700.1 locus=Brasy5G006700 ID=Brasy5G006700.1.v1.1 annot-version=v1.1 MDQGNKPRPQKEEGQELADQHKAMTSMDMETTPPPTKAAVFPESGDGESGNVVHNYRGWKAMPYVIGNETFEKLGTIGTLSNMLVYLTTVYHMPSVTAATLLNVFTGTSNLATVVGAFVSDTYLGRYTTIAAATISSFLGMLILTLTAALHSLHPPSCSPNSTTCHGPSSAQLAVLMASFFLLVVGAGGIRPCNLAFGADQFDPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSSVNWALGLAVPALLMGLSCAVFFMGSRLYVRVRPEGSPFTSFAQVLVAAVRNRKLRLPSGANTRELLFDPPHQSKLVSKLAYTDQFPCLDKAAASPEGEKKERNPWRLCTLQQVEEVKCLARIIPVWSSGIVYFIVLSHLGNYVVFQAAQSDRRITASSGFEIPQGSFVVFQMLALTFWIPVYDRLLVPAIRRLTGRSGGITLLQRIGVGLALCVATMLVSAAVEHHRRNLARTKTVMPWFWLVPQQLLAGLSEAFAAIGQIEFYYSQFPENMRSVAGALFFLGFAIASYASGLMVMVVHRATRGRDGGPDWLAQDLDQGRVDLFYLLTAAMAAVNLVYFIACARWYRFSKPQDAGAGGDDDSPKKLGDAAPV* >Brasy5G006700.2.p pacid=40073831 transcript=Brasy5G006700.2 locus=Brasy5G006700 ID=Brasy5G006700.2.v1.1 annot-version=v1.1 MLVYLTTVYHMPSVTAATLLNVFTGTSNLATVVGAFVSDTYLGRYTTIAAATISSFLGMLILTLTAALHSLHPPSCSPNSTTCHGPSSAQLAVLMASFFLLVVGAGGIRPCNLAFGADQFDPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSSVNWALGLAVPALLMGLSCAVFFMGSRLYVRVRPEGSPFTSFAQVLVAAVRNRKLRLPSGANTRELLFDPPHQSKLVSKLAYTDQFPCLDKAAASPEGEKKERNPWRLCTLQQVEEVKCLARIIPVWSSGIVYFIVLSHLGNYVVFQAAQSDRRITASSGFEIPQGSFVVFQMLALTFWIPVYDRLLVPAIRRLTGRSGGITLLQRIGVGLALCVATMLVSAAVEHHRRNLARTKTVMPWFWLVPQQLLAGLSEAFAAIGQIEFYYSQFPENMRSVAGALFFLGFAIASYASGLMVMVVHRATRGRDGGPDWLAQDLDQGRVDLFYLLTAAMAAVNLVYFIACARWYRFSKPQDAGAGGDDDSPKKLGDAAPV* >Brasy5G000500.1.p pacid=40073832 transcript=Brasy5G000500.1 locus=Brasy5G000500 ID=Brasy5G000500.1.v1.1 annot-version=v1.1 MDALARIKELAANGLTIRQVKGGARPQATRAAAWGVAQIFRLKKKVGLAWGVAAHQPRPASPGCGRRNRASVEGKASREPEKKRERRTERRRPRRHGPRCSTAGDPALAAAARLHAASRVAGLLPELLNARWPQIRRLGQQAASRRPPPSAAGHVAGVPALAAAARCPPPRSPALPPPARSGSRNPQILELA* >Brasy5G183900.1.p pacid=40073833 transcript=Brasy5G183900.1 locus=Brasy5G183900 ID=Brasy5G183900.1.v1.1 annot-version=v1.1 MMNAAVHTQYQALASSAFHAGHLLPSSKLSSIRSLRPRQRLPIQALNTTHRPNAVATVAAPVERSSSSAAGLILPSTVDNRLRVIDFHHAEFWCADAASAAARFSCGLGVPLAARSDLSMGNTVHASHLLRSSSPGSSLAFLFTAPYADAASSLSSSSSTLPSFSADAARTFTAAHGGLAVRAVAVRVADAAEAYRASVDAGARPAFAPADLGHGFTFAEVELYGDSVLRFVSYPDDTEVPFLPGFENVVPTTSSSEALVEDYGLTRFDHIVGNVPDLGEVADYIAGFTGFHKFFEFTTEDVGTEESGLNGVVLSEGSDTVLLTVIEPAHGTKRRSQVQTYLDHHGGPGVQHLAMASDDLLATLRKIRSRPASMGGFEFLPTPPPSYYDGVRQRIGDVLSEAQIKECEELGVMVDRGDDDGVVLQIFTKPVGDRPTLLLEFIQRIGCMGKDENGKQGGACGGFARGNTTDFIKAYEDYDKTLDAPAPIASSQTN* >Brasy5G472100.1.p pacid=40073834 transcript=Brasy5G472100.1 locus=Brasy5G472100 ID=Brasy5G472100.1.v1.1 annot-version=v1.1 MASGMSTSMVLTLLGFCVSVLFIVFVCSRLVCALVRRRRRRSRATPLPPGFPPLAANYFFAVQVDRLGGAQPAAPAGLDSAAVAAFPTRAYSSADATGASTPSSGSSDAAPQCVVCLAEYEDKDLLRTLPYCGHNFHVACIDAWLKQHTTCPVCRISLSDYPGSKHTVPPLPSAAMTPPYSPEASRSDPCHCLFVGTGHSARSSDVLRNEPDQANQTVPGPSLDGPNNFSLSEVTSPGENNNDTVK* >Brasy5G139100.1.p pacid=40073835 transcript=Brasy5G139100.1 locus=Brasy5G139100 ID=Brasy5G139100.1.v1.1 annot-version=v1.1 MDDSQSNQDSCKFGAFVDRTPGAALGNADDMELHCMDGGTSEAGRASLKMRRPLTSSPFTMYHTSLIGSNQSAVGFSQSDSLPDEQSLASAFGDMSFMDHTTDSPTTPRNFVPVSGYYPSGLTPPNVTLNPPIVPAIVQDDLVPLQFSAEHVNQKPNQLALEHQQQAHRYPLHFGNFPMNSQMENLDRVFRPRYQPPALSASPIEQQFYIDRQSNMYAPYGQQFGSDFMLQHGMQEQRYPTIPPHYVYPQLEQVARSDVRRSRRHRQAAVCTTANGTSHIGTHNAYRLGNDDPYCNGAAFLKTNNQLHSTYVNSFPGTLYADSSCCSGDFCQQDDKFSHPYALNSLSNGFSEDQISDDLSAASYPEKVLMRSDGVNSARSTKFAPSMNGCVGRDRRITNEHNHLDIQSNDSSRLDRLNSEFLSLVMQSREPNYNSVDEVAGRIYMLAKDQNGCRFLQKVFAQGSQEDVEKVFGEIIDHIGDLMVDPFGNYLVQKLLEGCSDDQRMHILCEVTKMPGQLIAVSCNMHGTRAVQKIIETINSPDQVSKVVSALSPGAMHLMLDPNGSHVANRCLQKLLPESKAFLLDAATLHYLELATHQQGCCIIQKCIEHSNDEQKYSLLSNIISSALTLSDDQFGNYVIQSILNHNIGWATCKIVDELEGHFGYLSMQKCGSHVVENCLRRAPQHKRDTIIGELMNDPKLPNIMVDQFGNFVIQTALEHCKGTLHTAFVEAIRPHAAAMQSHMYGKRVLSKTYLKNKQHRVVVL* >Brasy5G139100.2.p pacid=40073836 transcript=Brasy5G139100.2 locus=Brasy5G139100 ID=Brasy5G139100.2.v1.1 annot-version=v1.1 MDDSQSNQDSCKFGAFVDRTPGAALGNADDMELHCMDGGTSEAGRASLKMRRPLTSSPFTMYHTSLIGSNQSAVGFSQSDSLPDEQSLASAFGDMSFMDHTTDSPTTPRNFVPVSGYYPSGLTPPNVTLNPPIVPAIVQDDLVPLQFSAEHVNQKPNQLALEHQQQAHRYPLHFGNFPMNSQMENLDRVFRPRYQPPALSASPIEQQFYIDRQSNMYAPYGQQFGSDFMLQHGMQEQRYPTIPPHYVYPQLEQVARSDVRRSRRHRQAAVCTTANGTSHIGTHNAYRLGNDDPYCNGAAFLKTNNQLHSTYVNSFPGTLYADSSCCSGDFCQQDDKFSHPYALNSLSNGFSEDQISDDLSAASYPEKVLMRSDGVNSARSTKFAPSMNGCVGRDRRITNEHNHLDIQSNDSSRLDRLNSEFLSLVMQSREPNYNSVDEVAGRIYMLAKDQNGCRFLQKVFAQGSQEDVEKVFGEIIDHIGDLMVDPFGNYLVQKLLEGCSDDQRMHILCEVTKMPGQLIAVSCNMHGTRAVQKIIETINSPDQVSKVVSALSPGAMHLMLDPNGSHVANRCLQKLLPESKAFLLDAATLHYLELATHQQGCCIIQKCIEHSNDEQKYSLLSNIISSALTLSDDQFGNYVIQSILNHNIGWATCKIVDELEGHFGYLSMQKCGSHVVENCLRRAPQHKRDTIIGELMNDPKLPNIMVDQFGNFVIQTALEHCKGTLHTAFVEAIRPHAAAMQSHMYGKRVLSKTYLKNKQHRVVVL* >Brasy5G139100.3.p pacid=40073837 transcript=Brasy5G139100.3 locus=Brasy5G139100 ID=Brasy5G139100.3.v1.1 annot-version=v1.1 MDDSQSNQDSCKFGAFVDRTPGAALGNADDMELHCMDGGTSEAGRASLKMRRPLTSSPFTMYHTSLIGSNQSAVGFSQSDSLPDEQSLASAFGDMSFMDHTTDSPTTPRNFVPVSGYYPSGLTPPNVTLNPPIVPAIVQDDLVPLQFSAEHVNQKPNQLALEHQQQAHRYPLHFGNFPMNSQMENLDRVFRPRYQPPALSASPIEQQFYIDRQSNMYAPYGQQFGSDFMLQHGMQEQRYPTIPPHYVYPQLEQVARSDVRRSRRHRQAAVCTTANGTSHIGTHNAYRLGNDDPYCNGAAFLKTNNQLHSTYVNSFPGTLYADSSCCSGDFCQQDDKFSHPYALNSLSNGFSEDQISDDLSAASYPEKVLMRSDGVNSARSTKFAPSMNGCVGRDRRITNEHNHLDIQSNDSSRLDRLNSEFLSLVMQSREPNYNSVDEVAGRIYMLAKDQNGCRFLQKVFAQGSQEDVEKVFGEIIDHIGDLMVDPFGNYLVQKLLEGCSDDQRMHILCEVTKMPGQLIAVSCNMHGTRAVQKIIETINSPDQVSKVVSALSPGAMHLMLDPNGSHVANRCLQKLLPESKALNILQD* >Brasy5G139100.4.p pacid=40073838 transcript=Brasy5G139100.4 locus=Brasy5G139100 ID=Brasy5G139100.4.v1.1 annot-version=v1.1 MDDSQSNQDSCKFGAFVDRTPGAALGNADDMELHCMDGGTSEAGRASLKMRRPLTSSPFTMYHTSLIGSNQSAVGFSQSDSLPDEQSLASAFGDMSFMDHTTDSPTTPRNFVPVSGYYPSGLTPPNVTLNPPIVPAIVQDDLVPLQFSAEHVNQKPNQLALEHQQQAHRYPLHFGNFPMNSQMENLDRVFRPRYQPPALSASPIEQQFYIDRQSNMYAPYGQQFGSDFMLQHGMQEQRYPTIPPHYVYPQLEQVARSDVRRSRRHRQAAVCTTANGTSHIGTHNAYRLGNDDPYCNGAAFLKTNNQLHSTYVNSFPGTLYADSSCCSGDFCQQDDKFSHPYALNSLSNGFSEDQISDDLSAASYPEKVLMRSDGVNSARSTKFAPSMNGCVGRDRRITNEHNHLDIQSNDSSRLDRLNSEFLSLVMQSREPNYNSVDEVAGRIYMLAKDQNGCRFLQKVFAQGSQEDVEKVFGEIIDHIGDLMVDPFGNYLVQKLLEGCSDDQRMHILCEVTKMPGQLIAVSCNMHGTRAVQKIIETINSPDQVSKVVSALSPGAMHLMLDPNGSHVANRCLQKLLPESKALNILQD* >Brasy5G517200.1.p pacid=40073839 transcript=Brasy5G517200.1 locus=Brasy5G517200 ID=Brasy5G517200.1.v1.1 annot-version=v1.1 MAAVVVQRQEKKQQQRPSPVSLFAAFDKDGDGKVSASELRGCMAAALGEDNVSLEEAAAALAAADADGDGLLDPAEFARLGLLSGAAGAEEDEEEDEALCRRRCLREAFAMYAGEEAAVITPASLGRMLGKVLPSSRKEEEECAAMIRRFDLDGDGVLSFEEFAVMMTAADG* >Brasy5G484100.1.p pacid=40073840 transcript=Brasy5G484100.1 locus=Brasy5G484100 ID=Brasy5G484100.1.v1.1 annot-version=v1.1 MGNLQRESLPDGVVSLPVIDLSLGRDEVGRAILNAGKEIGFFQVINHGISSEAIRDIEAVCEEYFAMPEENRMCFHSDDNGKPNRFFSGSTYETGGTKYYFDCLRLSSTFPIGDSKENWPEKPQRLRETFERFAVLTRGMGMELLRLLCHAMGLPSDYFEGGLGSGNMTMNFNHYPPCPDPTAMIGLPPHCDRNLLSLLLPSPVPGLQFSYKGKWANVETLPNAYIVNFGLPLEVVTNGVLKSIEHQVVTNRTLARRSVGVFITPAPDCLIGPAKEFLTKEKPARYRAVTFDEFYRMHSVVKDGLSSVLTINNGDQHA* >Brasy5G276400.1.p pacid=40073841 transcript=Brasy5G276400.1 locus=Brasy5G276400 ID=Brasy5G276400.1.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPGGSASLLRTSSSLLSGGQQGMGAGGGLLQSQSPFSSLVSPRQFGGNGLLGGSSNVSSLLNRPSYGNGAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDHASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHEQQQQQQLPSQQTQPQVTVKLENGGSMGGVKLEQRIGQHDQNGPAQMMRNAGNVKYEPHHLQTLRGLGAVKMEQPNTDSSVFLQQQQQHHHHLLQLTKQNPQAAAAAQLNLLQQQRIMQMQQQQQQQQQQQQQQVPKNMPLQRNQLQQQQQQQQHQQQQLLRQQSLNMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIASLHNFPRRTPSGINPLQSQQQQPEEQPPVPQSSNHSGQNSAAMVGVQASSSANADVTSNNPLSCAPSTSAPSPSVPGLLQGAMDSRQDHPMINSNGLYNNSGNNGAISKVNSTSSLQSNPSTSLPSQGPTSSNNNVMPAPQNTNQLSSPGVSSNLPPMQPPPTRPQEPEPSDSQSSVQRILQEMMSSQMNGVGHAGNDMKRSNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMANNAMGMNGRMGMHHSAHDLSQLGQQQQQQQQQQHDIGNQLLGGLRAANSFNNLPYDWKPSQ* >Brasy5G276400.2.p pacid=40073842 transcript=Brasy5G276400.2 locus=Brasy5G276400 ID=Brasy5G276400.2.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPGGSASLLRTSSSLLSGGQQGMGAGGGLLQSQSPFSSLVSPRQFGGNGLLGGSSNVSSLLNRPSYGNGAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDHASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHEQQQQQQLPSQQTQPQVTVKLENGGSMGGVKLEQRIGQHDQNGPAQMMRNAGNVKYEPHHLQTLRGLGAVKMEQPNTDSSVFLQQQQQHHHHLLQLTKQNPQAAAAAQLNLLQQQRIMQMQQQQQQQQQQQQQQVPKNMPLQRNQLQQQQQQQQHQQQQLLRQQSLNMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIASLHNFPRRTPSGINPLQSQQQQPEEQPPVPQSSNHSGQNSAAMVGVQASSSANADVTSNNPLSCAPSTSAPSPSVPGLLQGAMDSRQDHPMINSNGLYNNSGNNGAISKVNSTSSLQSNPSTSLPSQGPTSSNNNVMPAPQNTNQLSSPGVSSNLPPMQPPPTRPQEPEPSDSQSSVQRILQEMMSSQMNGVGHAGNDMKRSNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMANNAMGMNGRMGMHHSAHDLSQLGQQQQQQQQQQHDIGNQLLGGLRAANSFNNLPYDWKPSQ* >Brasy5G276400.3.p pacid=40073843 transcript=Brasy5G276400.3 locus=Brasy5G276400 ID=Brasy5G276400.3.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPGGSASLLRTSSSLLSGGQQGMGAGGGLLQSQSPFSSLVSPRQFGGNGLLGGSSNVSSLLNRPSYGNGAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDHASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHEQQQQQQLPSQQTQPQVTVKLENGGSMGGVKLEQRIGQHDQNGPAQMMRNAGNVKYEPHHLQTLRGLGAVKMEQPNTDSSVFLQQQQQHHHHLLQLTKQNPQAAAAAQLNLLQQQRIMQMQQQQQQQQQQQQQQVPKNMPLQRNQLQQQQQQQQHQQQQLLRQQSLNMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIACITFLGGLHQESTLFNHSSSSLKSSRLFPRVQTIVVKIQLPWLVCRLLPLPMLM* >Brasy5G350500.1.p pacid=40073844 transcript=Brasy5G350500.1 locus=Brasy5G350500 ID=Brasy5G350500.1.v1.1 annot-version=v1.1 MWCRRRRFGDPCVCGGGLAADHVVAAPWVLSPWQRGHGGVAIRDDMAATTRRSRALLPFLSLSAISLPRTVEGGAVRSRVEEHSPSPLEHLDKKSHLKEGRRGKRPLLSPIPSANGTQETRMSDKEPVQCSDDYVIRAFDQLLVVLGRFQTKIKSETSNKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKTKRKRLESTFEEQQEQLRVLHEKFKDEVNQQLLGCKNSLKDFEAYHAELKGVADRQKASHKKLLQHADKTVGSQLNDAEIKIAEVQKRARKKINSLKCVLKELITETAG* >Brasy5G285900.1.p pacid=40073845 transcript=Brasy5G285900.1 locus=Brasy5G285900 ID=Brasy5G285900.1.v1.1 annot-version=v1.1 MAGDKKELPLNPSAGGSSVPMAGGEEFSLQPCTGQAAEDARTGDRSAPKRKAATSGLDGCAPERIGEQVSVSEEEDVPWQAVE* >Brasy5G436800.1.p pacid=40073846 transcript=Brasy5G436800.1 locus=Brasy5G436800 ID=Brasy5G436800.1.v1.1 annot-version=v1.1 MPISPSMRRSPAKEIAHKRGHSFGSKLPAKSTDDELMLFNDMQRSERENFLLESSDIFPKLSYCPDFNLGVNIAGRVESRDLLNVDGDKTDYDWLLTPPETPLFRSLDNEEDHRIGLAPRGRAQTKPVSISRSSTMGSTQRSRRSSASPNRLSPSPRASCGAALARTRSSNSPSRCSPLLAPQPSTASPRSLTPPARKTLTPPRRSPSPVSRRVITPSSGPTSNGTRGTSPVKDNRRSSLPKLQGWQLNDPGFSFDAPPNLRTSLPDRPLSRSRGGSPSSFSGLDMGSRGSRRSMSPTPSRRASSSHSNERDRFSSCSKASATSSGDDDLDCVQSVAVGYAGSSAVKKSLSVMTTKAIASSKKASKSFSPNSAPKRTFDSAVWLMDHRKAPQDMFRPLLSSVPTTTFGAGKGSNVHRPMLSRNSSVTTSSNASSEHGATFGPFMDTDQERHYMSGELEATASSGIHEDIFMFDKLDEQNEGPSCQQHSLSSIPSGPEESSITEQYVKNNRWDLDMERSRTAYQISSDVVGSTEAGHGKLATCARCRKLFNAVDVDGGDYCEECASRDGAFSINHATHTTETPHQQDYKACIAAPHCAEDSSEASLDHQPVINEPPADSSQLVDTTEEMVLGQRLKNLAENNRPHDIEDSSLGHNNDISSHRVNVGSCQPEESTPVEYDNFRDQNGNRNHEISRSLPELCFQGSEFMSDITTGDYHKSTGSPSHKVNNAEGTGVSVFPLQKSSSNKWPVVEGRPLAATNILCSERYYTRDNDNTMRYILGRDSSSATSSIDVGSSRQLDISFERLKSSQHGDVDKSQIGSNVSRQSIASVSDMSTSGSSVSFCPRSDVNVDACCPTDNSENDVSTMISRRENGSCKDALSSAIECWSVAQAIVSNDNEAVGDVVIQNQEADKMAHDDDLCANMSVDADRNCIRSQEEDVSAITNYPVEIPEHPYICESSCDSRQVQSEAVSASDETNMFDDCSVSAISEEGVLVSATEPKIADFPNNEGSRKQIQRSFTLEEATDTILFCSSIVHDLTYKAATIAMEHEQESELAVAPRPTVMQIGKSIRREDGLLKLPHRQTQPRVRRKKIESETITEIAKTEIIAKDPVTVHSASEVTKASDSMKPPKMESKCNCTIM* >Brasy5G436800.2.p pacid=40073847 transcript=Brasy5G436800.2 locus=Brasy5G436800 ID=Brasy5G436800.2.v1.1 annot-version=v1.1 MPISPSMRRSPAKEIAHKRGHSFGSKLPAKSTDDELMLFNDMQRSERENFLLESSDIFPKLSYCPDFNLGVNIAGRVESRDLLNVDGDKTDYDWLLTPPETPLFRSLDNEEDHRIGLAPRGRAQTKPVSISRSSTMGSTQRSRRSSASPNRLSPSPRASCGAALARTRSSNSPSRCSPLLAPQPSTASPRSLTPPARKTLTPPRRSPSPVSRRVITPSSGPTSNGTRGTSPVKDNRRSSLPKLQGWQLNDPGFSFDAPPNLRTSLPDRPLSRSRGGSPSSFSGLDMGSRGSRRSMSPTPSRRASSSHSNERDRFSSCSKASATSSGDDDLDCVQSVAVGYAGSSAVKKSLSVMTTKAIASSKKASKSFSPNSAPKRTFDSAVWLMDHRKAPQDMFRPLLSSVPTTTFGAGKGSNVHRPMLSRNSSVTTSSNASSEHGATFGPFMDTDQERHYMSGELEATASSGIHEDIFMFDKLDEQNEGPSCQQHSLSSIPSGPEESSITEQYVKNNRWDLDMERSRTAYQISSDVVGSTEAGHGKLATCARCRKLFNAVDVDGGDYCEECASRDGAFSINHATHTTETPHQQDYKACIAAPHCAEDSSEASLDHQPVINEPPADSSQLVDTTEEMVLGQRLKNLAENNRPHDIEDSSLGHNNDISSHRVNVGSCQPEESTPVEYDNFRDQNGNRNHEISRSLPELCFQGSEFMSDITTGDYHKSTGSPSHKVNNAEGTGVSVFPLQKSSSNKWPVVEGRPLAATNILCSERYYTRDNDNTMRYILGRDSSSATSSIDVGSSRQLDISFERLKSSQHGDVDKSQIGSNVSRQSIASVSDMSTSGSSVSFCPRSDVNVDACCPTDNSENDVSTMISRRENGSCKDALSSAIECWSVAQAIVSNDNEAVGDVVIQNQEADKMAHDDDLCANMSVDADRNCIRSQEEDVSAITNYPVEIPEHPYICESSCDSRQVQSEAVSASDETNMFDDCSVSAISEEGVLVSATEPKIADFPNNEGSRKQIQRSFTLEEATDTILFCSSIVHDLTYKAATIAMEHEQESELAVAPRPTVMQIGKSIRREDGLLKLPHRQTQPRVRRKKIESETITEIAKTEIIAKDPVTVHSASEVTKASDSMKPPKMESKCNCTIM* >Brasy5G447100.1.p pacid=40073848 transcript=Brasy5G447100.1 locus=Brasy5G447100 ID=Brasy5G447100.1.v1.1 annot-version=v1.1 MAAASSGRSEVDTSRAFRSVKEAVAVFGERIIARETQVRLGAHAGQSVVRERGSWPNASTIAALTLKLEGSSSGVKPSGLVIRESPSKPNAIADAIAKHEASSSKPATNPIMVSASQPMCLVPSSSPFCGSSSSVANDDDRQDRKEADLIVLSSIKKVEEEAAKTRQEAVQVKRRLADLELAMANLHVKLHRALSKLAHMEADKAAAARAGIEQRSNNNTAALTVWMEPKPEQQPRRHQLGHLLTLDDDDAGETEMIHVQGKEVPTRKKSKVQKQKPIVPLVVPLIHGMLFSKKNKKKRVNDNESLYMKELYSLLSLT* >Brasy5G411000.1.p pacid=40073849 transcript=Brasy5G411000.1 locus=Brasy5G411000 ID=Brasy5G411000.1.v1.1 annot-version=v1.1 MALLLKPKHRLSPASLFIRRLCATEPITEPAPATPPPLTHEETKLVDSLHAALLDHRRAHPATPLPASPPFDPLPTLSSTLTGLLPSPPSPHLTLHVFGRLLALRRGIPFPEALAFFNHVLPSLPTDSLPELYAAMIDLLAKHHHFPLARHLLDEMRERSIPISSQVILALIRRYVRAGMSSEASDLFRSMEEYGAGVPDPAVLASLLGALSKKRLASEAQALFDSYKSVFTPDVVLYTTLVHAWCRSGCLDKAEQVFAEMQQAGIMPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCDPDIITYNFLMETHCGKGQSNLDAAMKLLLKMIAKGCTPDCHTFNPMLKLVVVLGNVDAAHKLYERMQELQCKPNVITYNLLMKLFSKDKSMDMVLRIKKDMDAQGVEPNVNTYGALIETFCGRGNWKRAYATLREMLEVKSLKPKKPVYDMVLVLLRKAGQLRKHEELVELMADRGFIKRPSDDALWRAISAS* >Brasy5G337800.1.p pacid=40073850 transcript=Brasy5G337800.1 locus=Brasy5G337800 ID=Brasy5G337800.1.v1.1 annot-version=v1.1 MESNNGGEGEGGDIDLNLSLQPTLAPEEPLGYFSCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRLHNHAAGQGAGGEASRRGTDAGSNAEGSSSHRKVTLLPEAARRDHLIEEIDLSLKL* >Brasy5G080300.1.p pacid=40073851 transcript=Brasy5G080300.1 locus=Brasy5G080300 ID=Brasy5G080300.1.v1.1 annot-version=v1.1 MAPNPAAIALLAALDGNFILLKKMAKKLDLRETKDPKGLNALHFAANKGCLEICKFLVEEVGMDVNLPSSLGATPMFYAALKGNVPVMRYLLDHGSDPEKASDRGLTPLHNAAEHGHCEAVRLLLSKGVNVDVINYRGTPLHMTAAKGQHRAMKILLEHGADPNRVVNHVFSPLMMACCANALKCMKLLIEAGADVNGNTCSGPTPLTGAVDDCSTELVKFLLEAGADPNIPNQHGEIPIKKAAARGKRELVELLFPKTKPIPSVPDWSVDGIITYMQSPHTRIQDAVSAQERIDDSKSKGKEAFAKQDYFTAMYYYGLVMEIDPLDATLFANRSLCWLRMREGDRALQDAQRCKMLRPGWAKAWYREGSALSFMGDHEGAAKAFQEALRLDPASDEIKEKLSEAIAKAPRSA* >Brasy5G080300.2.p pacid=40073852 transcript=Brasy5G080300.2 locus=Brasy5G080300 ID=Brasy5G080300.2.v1.1 annot-version=v1.1 MAPNPAAIALLAALDGNFILLKKMAKKLDLRETKDPKGLNALHFAANKGCLEICKFLVEEVGMDVNLPSSLGATPMFYAALKGNVPVMRYLLDHGSDPEKASDRGLTPLHNAAEHGHCEAVRLLLSKGVNVDVINYRGTPLHMTAAKGQHRAMKILLEHGADAGADVNGNTCSGPTPLTGAVDDCSTELVKFLLEAGADPNIPNQHGEIPIKKAAARGKRELVELLFPKTKPIPSVPDWSVDGIITYMQSPHTRIQDAVSAQERIDDSKSKGKEAFAKQDYFTAMYYYGLVMEIDPLDATLFANRSLCWLRMREGDRALQDAQRCKMLRPGWAKAWYREGSALSFMGDHEGAAKAFQEALRLDPASDEIKEKLSEAIAKAPRSA* >Brasy5G135100.1.p pacid=40073853 transcript=Brasy5G135100.1 locus=Brasy5G135100 ID=Brasy5G135100.1.v1.1 annot-version=v1.1 MIQAAYDILVRDLAQRVAALGLPRVHQSEFGFCFPGHRRRGDSIVLGPRKLFMQVSQDMCLAVVPSQLITIIGAMEQVDTRFVYDLAGSKLYFADENCEADTAPHD* >Brasy5G113900.1.p pacid=40073854 transcript=Brasy5G113900.1 locus=Brasy5G113900 ID=Brasy5G113900.1.v1.1 annot-version=v1.1 MALALRPPRFQPLSASISAATTSSTSFATAAKPSSTTAAAAAICAAASSPFTEATSSSRYRRDAWSYTAEDSSSSCSSSAAAAAAAAAASGRRDDEIALQLPELRRLLEALRASRGKGLEGDGGGTGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNEVLDLVGDSARLLYVGKTAGYHSRTQEEIHELLLSFAEAGASVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAADLGIPLTHRGVATSVRFLTGHSRNGGTDPLFVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRVVFSMLKDLVDEVKSAELVSPTLIIIGKVVALSPFWVESSEQDALKIENSYAAEATR* >Brasy5G233500.1.p pacid=40073855 transcript=Brasy5G233500.1 locus=Brasy5G233500 ID=Brasy5G233500.1.v1.1 annot-version=v1.1 MGAMDLHRRIDAGDWLYGFEEEDVPAVESSSDDEDWKLELEKKGSKRRRPRSRRPAPSKRRRLATPSPSPASSESASTSGASASRKPRPRGAGIKQGAARGDTPIDGPAVVVPEQDAAVEVDETAASTSGTSRRKGGRACHQCKRVKKKPGQMIECGRCDENIYCAPCIKKQYTEMSLAEVRAECPSCLGICNCKRCTGVLKQHEPKSSGLRKCKSRRSVTKSRRAGAASVNDHSFLNSNGISNTSVISDKVDTVDVRGGEVDAVTKIKYARYLLNYLLPCLRDLNKEQMVEREKEAKNQGLGVSQLSVEQADCRNDERVFCDNCRTSIFDLHRSCPNCSYELCIVCCKELRENELLGTCREELVSYPYRGIEYMHGGDPLPESKTFKETDISSKTMEWPAISDGIIHCPPTDLGGCGNHVLRLRQIFPKDWLNKLEMDAVQFSKKLESSDVSGYARECPCCTKHENARHTSTRENSADNYLYCPTSDNGKTEDLTHFQSHWVKGEPVIVQGVLKKMPDLSWEPPHMWSEIHGANTSSDMENVKAIDCLSCCEVEICTQDFFKGYSEGRMYENLWPEMLKLKDWPTSNHFEELLPSHGAKYIHSLPFQPYTNLKSGLLCVSALLPDDILKLDMGPKSYIAYGCAQELGRGDSVTKLHCDISDAVNVLMHTAKVTPSEEQEDAIKKLKGRHDAQNGKYCCGNVATDGSDTCHESYVDVDHISTCRCSEDDDGGALWDIFRREDVTELKKYLIKHSKEFRHIYCSPVEKIFNPLHDETFYLTEEHKRKLKEENGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVNECLKLTQQFRMLPKNHRAKEDKLEVKKMIIYAVEQAVRTLKDHCPSSPGEIAE* >Brasy5G233500.2.p pacid=40073856 transcript=Brasy5G233500.2 locus=Brasy5G233500 ID=Brasy5G233500.2.v1.1 annot-version=v1.1 MGAMDLHRRIDAGDWLYGFEEEDVPAVESSSDDEDWKLELEKKGSKRRRPRSRRPAPSKRRRLATPSPSPASSESASTSGASASRKPRPRGAGIKQGAARGDTPIDGPAVVVPEQDAAVEVDETAASTSGTSRRKGGRACHQCKRVKKKPGQMIECGRCDENIYCAPCIKKQYTEMSLAEVRAECPSCLGICNCKRCTGVLKQHEPKSSGLRKCKSRRSVTKSRRAGAASVNDHSFLNSNGISNTSVISDKVDTVDVRGGEVDAVTKIKYARYLLNYLLPCLRDLNKEQMVEREKEAKNQGLGVSQLSVEQADCRNDERVFCDNCRTSIFDLHRSCPNCSYELCIVCCKELRENELLGTCREELVSYPYRGIEYMHGGDPLPESKTFKETDISSKTMEWPAISDGIIHCPPTDLGGCGNHVLRLRQIFPKDWLNKLEMDAVQFSKKLESSDVSGYARECPCCTKHENARHTSTRENSADNYLYCPTSDNGKTEDLTHFQSHWVKGEPVIVQGVLKKMPDLSWEPPHMWSEIHGANTSSDMENVKAIDCLSCCEVEICTQDFFKGYSEGRMYENLWPEMLKLKDWPTSNHFEELLPSHGAKYIHSLPFQPYTNLKSGLLCVSALLPDDILKLDMGPKSYIAYGCAQELGRGDSVTKLHCDISDAVNVLMHTAKVTPSEEQEDAIKKLKGRHDAQNGKYCCGNVATDGSDTCHESYVDVDHISTCRCSEDDDGGALWDIFRREDVTELKKYLIKHSKEFRHIYCSPVEKIFNPLHDETFYLTEEHKRKLKEENGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVNECLKLTQQFRMLPKNHRAKEDKLEIFLCIALRSRCANF* >Brasy5G233500.3.p pacid=40073857 transcript=Brasy5G233500.3 locus=Brasy5G233500 ID=Brasy5G233500.3.v1.1 annot-version=v1.1 MGAMDLHRRIDAGDWLYGFEEEDVPAVESSSDDEDWKLELEKKGSKRRRPRSRRPAPSKRRRLATPSPSPASSESASTSGASASRKPRPRGAGIKQGAARGDTPIDGPAVVVPEQDAAVEVDETAASTSGTSRRKGGRACHQCKRVKKKPGQMIECGRCDENIYCAPCIKKQYTEMSLAEVRAECPSCLGICNCKRCTGVLKQHEPKSSGLRKCKSRRSVTKSRRAGAASVNDHSFLNSNGISNTSVISDKVDTVDVRGGEVDAVTKIKYARYLLNYLLPCLRDLNKEQMVEREKEAKNQGLGVSQLSVEQADCRNDERVFCDNCRTSIFDLHRSCPNCSYELCIVCCKELRENELLGTCREELVSYPYRGIEYMHGGDPLPESKTFKETDISSKTMEWPAISDGIIHCPPTDLGGCGNHVLRLRQIFPKDWLNKLEMDAVQFSKKLESSDVSGYARECPCCTKHENARHTSTRENSADNYLYCPTSDNGKTEDLTHFQSHWVKGEPVIVQGVLKKMPDLSWEPPHMWSEIHGANTSSDMENVKAIDCLSCCEVEICTQDFFKGYSEGRMYENLWPEMLKLKDWPTSNHFEELLPSHGAKYIHSLPFQPYTNLKSGLLCVSALLPDDILKLDMGPKSYIAYGCAQELGRGDSVTKLHCDISDAVNVLMHTAKVTPSEEQEDAIKKLKGRHDAQNGKYCCGNVATDGSDTCHESYVDVDHISTCRCSEDDDGGALWDIFRREDVTELKKYLIKHSKEFRHIYCSPVEKIFNPLHDETFYLTEEHKRKLKEENGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVNECLKLTQQFRMLPKNHRAKEDKLEISMM* >Brasy5G046700.1.p pacid=40073858 transcript=Brasy5G046700.1 locus=Brasy5G046700 ID=Brasy5G046700.1.v1.1 annot-version=v1.1 MSLSFEILNDEKACTSVDTNIMHEDITRVVNSSLETTTYGGRDSSNSSSATYGDKNDGCSVENSNSEKDSTVDPKQDLSHTDNVLVKEVIILETNSPKDIQMIKEVIISEVTTPKQLLEGDTMEIESGDAVHSSESIASAEVDNIEGLDIALKQDEGDSPGEGCVVYDNGTKQEDNSNTEQASISGTNVPVIEHTDENNRVDLPSLEKSHLQSTSATLVLSSSEQKIKQSDASNSNETAEQTVGMEASISNSTGQPSNTSSTVNILPEGCSLASNGALTCAGTSIVTADSSRLVLKKKGFLPLSTYSIFAPLSPRRNPLRSASTDLSFLSPLQTESNQNSVPSTSTEDAFATASMSPTPSSSTSLRSSKVSSLVNPPLRPIKTVSSLPSSSLETYLEMSTSYSPTSHANHQQHINPHPPWIPPPRQLHPAKTQGKDLHPCGLSFPASNRYGIPPPPPPPHTHSTKKNSSSLIADHEQRRVEGSCSSSPYIQTVLNLGFSSPILPSKSSIDMPEFLLGASSFLDAVLASRGNIPSGMDVPTTSEDPKYFFSVPHSLSPKISHHNTPQPPPLPPLLPPLPLPITCSETVPLVCLKSPSDHSYKEPPMPPERQAPSSPPPPGTNDPSISNFVNEQPLDPMEACKEFLRSEMITESSPSTVHRKEHGGIPTPPHRPICRWPRGVSSVQSLTPRPSTPPPPPPFPPHLSSLQSPQHLPSLLLSSSTLSLPVPSRINVPSPHLPQPPPIHINRPPPPPPMLPHRPSLPRKHVTPPPPPLPREHQVILPLPPISPTRHVLPSPPPPPPFGRHQAPLPPALPSFVRHIAPPPPLYPHFSEDLSTPPLTTDARIPLAPPPPPPPKDTGRNFSPLPTEGSPRPALPECQVETPIPLPPLEGLEIIPPALSPEGDKTTLLPSLIGGLEKTPLQDGFGGGAPPPPPPLGAYGGAPPPPPPPPPGGYVGAPTPPPPPLGVDGVPPPSVLIPGGYKKAPPPPSLPPPLPGGYEGAPPPPPLPPGAYGGAAVTPLPPPGGYGGGAPPPPPPPGGIGAPPPPPPPPGGIGAPPPPPPIGGLGGTPSPPPPGFRGGGPSPPPPPPRGHGGVGGPPPPPGAPAPPMPPGVPGGPPPPPGVPGGPPPPPGGRGGPPPPGGRGPGLARASTAVRRSSLKPLHWVKVTRAMQGSLWAELQKQADANSNSEFDVNELASLFTIAPKTKGGSKSEGAGKSLGSKTDKIHLIDIRRANNTEIMLTKIKMPLSDMMSAALALDDSVLDSDQVENLIKFCPTKEEMELLKNYTGDKEGLGKCEQFFLELMKVPRVESKFRIFSFKIQFQSQIRDVRKNLQTVASACEELRGSEKLKVIMKNILLIGNTLNEGTPRGQAVGFRLDSILKLVETRATSSRTTLMHFLCKSLAGKSPELLDFHEDLGSLEAASKLQLKALAEEQQAVVKGLEKVEQELTASESDGPVSEVFRKTLKEFLDASGADVRSLSALYVEVGRSADALSLYFGEDPAKYPFEQVASTLLTFVGLFRKAHEENLKQIEAERKKALKEAEKEASQDRTPVKSKDGGADRSPRSPFK* >Brasy5G141400.1.p pacid=40073859 transcript=Brasy5G141400.1 locus=Brasy5G141400 ID=Brasy5G141400.1.v1.1 annot-version=v1.1 MKFLLPKQLHVDRPRYCWLDFFSLPFCRFSSRQSSNQRVAHLSLNLLYLCIAVTEGRPSRRVPTTVPLRHMRKTGSSPSALLIRGSTSSTDADDAGAGSAAGSSPRRRLAGPGSSPPTCRSRCGRCTPCRAVRVAIQPGIGTQWEYYPEVWRCKCGSKLYMP* >Brasy5G141400.2.p pacid=40073860 transcript=Brasy5G141400.2 locus=Brasy5G141400 ID=Brasy5G141400.2.v1.1 annot-version=v1.1 MEGHMCRRGLAVFAAIVLLFFSATIAVTEGRPSRRVPTTVPLRHMRKTGSSPSALLIRGSTSSTDADDAGAGSAAGSSPRRRLAGPGSSPPTCRSRCGRCTPCRAVRVAIQPGIGTQWEYYPEVWRCKCGSKLYMP* >Brasy5G350800.1.p pacid=40073861 transcript=Brasy5G350800.1 locus=Brasy5G350800 ID=Brasy5G350800.1.v1.1 annot-version=v1.1 MASMETRKEEQGAAVGWMTVPTFGDWDMKNGPVPDYSMDFSKIREMRKQNKRELSRVSLGGDDDLLAQQQKATKQPQPQPGKAGFAPSATEDHHRADQDDSLTGRKKFLSYFQCCTRA* >Brasy5G366100.1.p pacid=40073862 transcript=Brasy5G366100.1 locus=Brasy5G366100 ID=Brasy5G366100.1.v1.1 annot-version=v1.1 MATAVLVFAGKSVATAAISFLVKKAFNYLDKYRKSEGMEEVKQRLLKAMPQIQSVLDVVQPQRIKQQQSSALDEWLWQLRDAVEEAEDSIDELEYYELKAKAEDRKVSDQCSCFAKMKHTFVRSVKHASILNKTLKKYTHRDTLKRLVKAVDGLDKAAEGVDNFLNLLEHLRGTASSSLQLVDNDRETGSTLSATIFVGRAKEKEQIIGWLTNKSVESAEDTPSTSSVQIVSMVGHGGMGKTTLAQSVCEAPEIREHFAVIWVTVSTTFDATSVTRKILECMTGEKPSATNLEPLQNKLQKIMERFTFLLVLDDVWEDKKKDEWEKLFAPFRKLNTGAKILLTTRMRSVAYMAADVMKVKRDQCLTLHGLKEDENLELFNHHVYSGLNSQEYGHLKPIGEEIARKLGGCPLVTKVTSGHLHDIMEFDYWKNFLDNGLEQFKGTEEDIMKILRLSYYHLPVELQMCFRYCSLFPEDHEFFKEELVKMWVGSGVISQATSYSQPSKVIETGEQFLAQLTRKSFFDVKTKELNGVVGKEYYVMHDILHELARNVSFGECARISDPTWFEYKKDTVRHLCVAHIQNFSVEDVKRISCFKNLRSILIDSPEADEDTVCALENIVQNSKSLRLFHSRLRNTFHVADKFGELKHLRYLCLDRISPEGIRVVSKLYHLTLIHYQNGVQREK* >Brasy5G459400.1.p pacid=40073863 transcript=Brasy5G459400.1 locus=Brasy5G459400 ID=Brasy5G459400.1.v1.1 annot-version=v1.1 MRQKFFTKILFLLPFPLPVLSSPSPSFLFSPPPTLPDPLCRLLPSARRAADASCSPRAAAHLVLPLAPPTPPPLRPPCRRPPFPRPDPAVPALLLPHAPPQTFSGRLRAAAFLLTRAAQASPPPCLLHAQNCLACCSLLTFIYSMFSCICILQCAVYSVKL* >Brasy5G064000.1.p pacid=40073864 transcript=Brasy5G064000.1 locus=Brasy5G064000 ID=Brasy5G064000.1.v1.1 annot-version=v1.1 PAITSTSPSTPSPLRRLDSPTSRPLGRHFPNNRRRRMPSCRMAALPPPAKIHVWPAAAVLFPLLVPPPSARQPPPPPTKAAAVASGSQDPWTARGRRRRSRWRFNTSPRRQAPSRRPLSLPPSSALPTQIPSLPPPHP* >Brasy5G191800.1.p pacid=40073865 transcript=Brasy5G191800.1 locus=Brasy5G191800 ID=Brasy5G191800.1.v1.1 annot-version=v1.1 MSLLSPPPPPLLMVPPSGSDGGSAQDDSSGPLFMVLIFIVFITVASVVFARRTCVNCCSCFAGAGNGMRSLLEKCSGISIGERTTDAVYPVRRDEGIDVEHGGA* >Brasy5G390300.1.p pacid=40073866 transcript=Brasy5G390300.1 locus=Brasy5G390300 ID=Brasy5G390300.1.v1.1 annot-version=v1.1 MATPNTYAVHVSSETHKVEAWCQSDEALARQLQEEENSRDATATREFAGNVSLESSSPTVEYRPANNAAQNMQVAMEDNVDPDNMSYEQLQAFGEAVGTQSRGLSDDLICYLVPFRNKCNFFSSKKNHEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVFG* >Brasy5G390300.2.p pacid=40073867 transcript=Brasy5G390300.2 locus=Brasy5G390300 ID=Brasy5G390300.2.v1.1 annot-version=v1.1 MATPNTYAVHVSSETHKVEAWCQSDEALARQLQEEENSRDATATREFAGNVSLESSSPTVEYRPANNAAQNMQVAMEDNVDPDNMSYEQLQAFGEAVGTQSRGLSDDLICYLVPFRNKCNFFSSKKNHEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVFG* >Brasy5G390300.3.p pacid=40073868 transcript=Brasy5G390300.3 locus=Brasy5G390300 ID=Brasy5G390300.3.v1.1 annot-version=v1.1 MATPNTYAVHVSSETHKVEAWCQSDEALARQLQEEENSRDATATREFAGNVSLESSSPTVEYRPANNAAQVAMEDNVDPDNMSYEQLQAFGEAVGTQSRGLSDDLICYLVPFRNKCNFFSSKKNHEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVFG* >Brasy5G109400.1.p pacid=40073869 transcript=Brasy5G109400.1 locus=Brasy5G109400 ID=Brasy5G109400.1.v1.1 annot-version=v1.1 MAAQAASSASDGGSPAASAAAAAAAAAASSFPATSLYVGDLDMSVQDAQLFDVFAQIGGVVSVRVCRDVTTRKSLGYAYVNYNTPADAAQALEMLNFTPINGRPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAHAAIEKLNGMLMNDKKVYVGPFIRKQERDNSPGNVKFNNVFVKNLSETTTEDDLREIFGKFGTITSVVVMREGDGRSKCFGFVNFESPDEAALAVQDLNGKKFNDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDTVDDEKLRELFAEFGAITSCKVMRDSNGASRGSGFVAFKSAEDASRALAEMNNKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPMAPTVGPRMAMFPPGVPGVGQQLFYGQPPPAFINPQPGFGFQQSLMPGMRPGAPMPNFMMPMVQQGQQPQRPSGRRAGTGGMQQSMPMGQQQMIARGGRGYRYPTGRGMPDPAMHGVGGGMPSPYEIGGMPMRDAGASQPVPIGALATALANAPPDQQRMMLGENLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHTNPSPEQQLASLSLNDHGVISS* >Brasy5G416100.1.p pacid=40073870 transcript=Brasy5G416100.1 locus=Brasy5G416100 ID=Brasy5G416100.1.v1.1 annot-version=v1.1 MMMATGGGSRSPARALKRLAGALLAAARLRGSFSPSKCKTEARMAAARMKLLRNRREAQVRKMRGDIAALLRDGREDTARIRVEHVIREQNIMAANEIVELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELSRMRDLFEKKYGKDFVAAAVDLRPNACVNNLLIEKLSVNKPSGQTKLKVLKEIAKEHQIDWDTTETEQDLLKPPEEMIQGPNSFAEASNMPMKTTLSANIVQPSPSNYSSGYADEYDNGGTMQFKDTIQFKDAASAARAAAESAARAASAAKAAADLANKSAHSSDEDEDDDWKTTLHESTHSSRQQSMSNTSRSSRKENVVSFDEIKSQGSTWRRLSGSNHVEDKDTDLVDLGTGKMRRRSSRAARKVHSEIKFDDSEGWNSEAEDESDAEIQSMERPPPPRRESHPGNRHSEEKQSEDDFPDPPKANSTSRVHPNMPLDFETLTARFEALRSSGKLP* >Brasy5G416100.2.p pacid=40073871 transcript=Brasy5G416100.2 locus=Brasy5G416100 ID=Brasy5G416100.2.v1.1 annot-version=v1.1 MAAARMKLLRNRREAQVRKMRGDIAALLRDGREDTARIRVEHVIREQNIMAANEIVELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELSRMRDLFEKKYGKDFVAAAVDLRPNACVNNLLIEKLSVNKPSGQTKLKVLKEIAKEHQIDWDTTETEQDLLKPPEEMIQGPNSFAEASNMPMKTTLSANIVQPSPSNYSSGYADEYDNGGTMQFKDTIQFKDAASAARAAAESAARAASAAKAAADLANKSAHSSDEDEDDDWKTTLHESTHSSRQQSMSNTSRSSRKENVVSFDEIKSQGSTWRRLSGSNHVEDKDTDLVDLGTGKMRRRSSRAARKVHSEIKFDDSEGWNSEAEDESDAEIQSMERPPPPRRESHPGNRHSEEKQSEDDFPDPPKANSTSRVHPNMPLDFETLTARFEALRSSGKLP* >Brasy5G050600.1.p pacid=40073872 transcript=Brasy5G050600.1 locus=Brasy5G050600 ID=Brasy5G050600.1.v1.1 annot-version=v1.1 MAMNLAIAAFLLASTLFSASSSEATTLTIHNLCPYPVWPLITPDSGATRPFFDNAARLDANALVSLRFPDTVWSGRVTARTGCDGSGANCVTGAAPPSTVAQLAVHGVQDAAVYSVSLVDGFNVPMVISPQGGGIGQCPQLGCAADLNRECPPDQRANGGAACRGPPGYFKALCPQTRTTPGDREPLPQDCHAPGELKIVLCQPFMLAHGAADAVEADS* >Brasy5G067900.1.p pacid=40073873 transcript=Brasy5G067900.1 locus=Brasy5G067900 ID=Brasy5G067900.1.v1.1 annot-version=v1.1 MASSARVILIPLLALLLTAIAANAATITVVNKCSYTVWPGALPGGGRRLDPGQSWAFTMPPGTAGARVWPRTGCNFDANGRGRCITGDCGGALNCAVSGEQPTTLAEYTLGQGGNKDFFDLSLIDGFNVPMSFEPLGGGCRGASCAVDITAQCLQELKVAGGCASACGKFGGDTYCCRGQFEHNCPPTDYSRFFKGKCPDAYSYAKDDQTSTFTCPAGTNYQIVMCP* >Brasy5G052700.1.p pacid=40073874 transcript=Brasy5G052700.1 locus=Brasy5G052700 ID=Brasy5G052700.1.v1.1 annot-version=v1.1 MGCCQAAVPPGLSGYQVWFEDTLRKRNSSSRGVAESSCSYAVLVEAASFDFRTAYVTTSGEFVEATGGQVPLVLDWVAGNQTCLEATTAQQAAGYACVSGNSECVDSRNGPGYLCNCSAGYQGNPYVLHGCQDINECEQTGAAYPCSAPDTCSNTAGGFICLCPAGHSGNAYTGPCEQKRSERPWQAAIGVSVGVVVLAVGMSCTYAAQEKRRLAAIKARHFRQHGGLLLFEELKKKQQGNNGGMVSSSSFTLFTRQELREATGGFDERHVLGRGGNGTVYRGTLRDGTAVAIKRCRVADDDGGRRQREFGKETLILSQINHKNIVKLYGCCLEVEVPMLVYQFIPNGTLYHLLHGAGNGESAAVPFAARLRIAHETAEALAYLHSMASPPVIHGDVKSPNILLDGAYAAKVSDFGAATLAPPTDEARLVTFVQGTCGYLDPEYMQTCRLTEKSDVYSFGVVLLELLTSRKALNLAAPDDERSLAASFLSAARDRRLDGLLDARVKAEVEAEVLELVAGIAKMCLEMSGERRPSMREVAEELDRIRKMSSSSLSQGPCLAEAQARSVFDVINDR* >Brasy5G290200.1.p pacid=40073875 transcript=Brasy5G290200.1 locus=Brasy5G290200 ID=Brasy5G290200.1.v1.1 annot-version=v1.1 MPAPPLPGAGRRRMSLSLLADRCSTLRGLALVHAAMLVSGRLADDAFAASRLLNAYAILSPPAAVLRLLSSLPYAPNSFMLNTTLRALASSPDPASAFPFFSLLRRSGSSSYSPGRHTFPFLLKASARLALPVSQQIHALVFKHGLHLDTYVANGLVRAYAVAGLIGVARKVFDGLPERSTVVYTTMVSGYALNGRYEDAMGAFGEMISEGFEPGDVVLASVLSACARSESGGLVMGRRVHNIIEMRGVEAPVGVILGTALVDMYAKNGAIEEAVTVFKGMPERRTATWNALISGLAHHGHGKDALAMFQQMLLEGVPPNATTFVGVLSAYCHTGMLDEARKVFRSMKDFGLTPSIQHYGCMVNLLGRSGLLVEAEEMIRGMTCEADTMIWGALLTACKNHGDIDIAVRAALEILKLNPENHGVYVVLSNIFADAGRWQDVDRLRKVMKGALLSKIPGSSAVGGYGDG* >Brasy5G055800.1.p pacid=40073876 transcript=Brasy5G055800.1 locus=Brasy5G055800 ID=Brasy5G055800.1.v1.1 annot-version=v1.1 MQQSKRDPSLEARAKIQKMKSPLHRVIDARRWDGELLLGRVFIVFHDAGFLPGRHRPGSGFFRLSPKAGFARTAGTWFSTSPAPATTNKRFWACVDALAAAPLLSGGLDDTARALRNDALAASLWHELAHRLCRRVLAELCGSCVGLVLLGEGTSFSSLPGDAKAAILLRLDKGKDLARASAACRELRRLVADRDGELWKPLCKAIAPRMSPPAELSWPAGGADVEGEVRGGEFLDPDEEVAAGLGGEAAP* >Brasy5G082100.1.p pacid=40073877 transcript=Brasy5G082100.1 locus=Brasy5G082100 ID=Brasy5G082100.1.v1.1 annot-version=v1.1 MCSGLSNAGCQEQNSRHEGTCKGKENRITLLPKLLLCCNFYKFV* >Brasy5G106600.1.p pacid=40073878 transcript=Brasy5G106600.1 locus=Brasy5G106600 ID=Brasy5G106600.1.v1.1 annot-version=v1.1 MAAATGYGAPTDQQLVAYADLPKWARYGQKMAEVGFSHEMAEVFAVRVPAAAGGRKPPPCGIISFHGGHCCGDVIYSWSLPNQPTSTPPCDSEGNLVLTGPSVVISPYGPMVFCFQLHDSSQQVKDDEEDSVELQFGANDGYFGGFSNYDRVRVGTVNTPYGPADVTYAVLSNGVEGRVAVKLVCPDGEASTGVLGRIVARSKLFDAGCVLFYNEHNKDMSMISGEILPLARQVVAVPMHMLLTVELDLSYYSGDEIVRDAVVFNPAFGGQHMERVMGAAGVVVEVAISWVDYPW* >Brasy5G022700.1.p pacid=40073879 transcript=Brasy5G022700.1 locus=Brasy5G022700 ID=Brasy5G022700.1.v1.1 annot-version=v1.1 MAPGGCGGGGVFPEPSFASWAAYGRALTETGPRLRDRLTARSAAETETDALRGRSGAEMRRELNWWDLAWFGVGAVIGAGIFVLTGQEAKEAAGPAVVLSYAVSGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHRPNDFRIHASGLAEDYSRLDPIAVVVIAIICVLAVVSTKGSSRFNYLLSIVHFGVILFIIIAGLTKAHASNLTDDFAPFGTRGIFAASAVLFFAYIGFDAVSTLAEETKNPAKDIPIGLVSAMTVTTVTYCVLALTLCAMRPYVLIDADAPFSVAFEDVGMGWAKYIVAFGALKGMTTVLLVGAVGQARYLTHIARSHMAPPCLAQVSPKFGTPVRATVAMMAATACIAFFTDLPILSNLLSISTLFIFMLVAVALLVRRYYVSGETTTADRNKLAASITVIIASSVATAACWGIDVGGWKVYAGTVPAWAAATACLQWAVPKARTPEKWGVPLVPWLPAASIFINIFLLGSIDGKSFMRFGIWTAALLAYYFFVGLHASYDTAKALAAEAEAAKVEEGGRKPPAVLDAGN* >Brasy5G028300.1.p pacid=40073880 transcript=Brasy5G028300.1 locus=Brasy5G028300 ID=Brasy5G028300.1.v1.1 annot-version=v1.1 MHTILLFRKMAQGALPPDGLPTTAASTGSSVVSRPPPPQPTTPASRPSSESPDTASTPSAAAGDTLDLNASPATTPSLPTKPLYTDAGTDPGSDASRCQTKGKSKRTQAYAECEAWLEIGQDPICGAEQKGAAYWKRIYDYLHEHRLLPPYSFMSDRGEVSLQKRWGLIQSECNKFAGAQDHVKARPLSGVGVGDMKPFALIHCWRILKEAPKWHDLYLATKKSNGEGKKRDCSVIDLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKKIHRPAAALLAEETRIITADLSLMNEATRAWFLAKRKMIQERDAPAPSEE* >Brasy5G452000.1.p pacid=40073881 transcript=Brasy5G452000.1 locus=Brasy5G452000 ID=Brasy5G452000.1.v1.1 annot-version=v1.1 MKGGFLHRLLVNKLCFVLLALLVVPIVILLLGTTQEQLRVFSQGFLQQQGLGHLGDNASPVGFADPAERSITRRNKDCNYAKGKWLADEKRPLYSGNECKQWLSKMWACRMMQRTDFFYETYRWQPHGCEMPEFSGPNFLKRMKNKTLAFVGDSLGRQQFQSMICIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNTTILFYWSASLSELETLNTTNSVTSYALHLDRPVTFLKQYVHSFDVLVLNTGHHWNRGKFVGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELASRPQMKAFLRTMSPRHFVNGDWNTGGSCGSTVPLSNGSEVLQDHSNDIPAEHAVKGTRVKLLDITAISQLRDEGHISNRTLRAPTGIHDCLHWCLPGIPDMWNELLFAQI* >Brasy5G207100.1.p pacid=40073882 transcript=Brasy5G207100.1 locus=Brasy5G207100 ID=Brasy5G207100.1.v1.1 annot-version=v1.1 MRIVRTILRRRRKTLAPPPPPPQPRRRRPPPPSPPARCAVTTTRYSFSAKLIPVNPPSLAAIRRRSKPELGHCHLGRRSPASPPLGRQLRSASRSTRSPSSPEEASNFLMSSDSESDDDRNEARKKRACHLVQSMAIINLVRVRFAGFQRLVGKYSTHNSFVTNLCDLNQQLYPPLRAASARSSCLRHELTQ* >Brasy5G463400.1.p pacid=40073883 transcript=Brasy5G463400.1 locus=Brasy5G463400 ID=Brasy5G463400.1.v1.1 annot-version=v1.1 MGRKGGGLASIFSRSSSRPASSPPSAWPSCYGDAPQTDSFHGAGDDPCSSSIRCAAPVAARHRRLLPAAGAGAGEMYYKTANSVYFVPGDGDGESFFFDDEGEEEGEELVEVVEDDGFLTAAASEEAVIRRLGRTTSSGDRFFFDLQGPATSSILPAPPSPSPPEPEKKKDEDQQQEQEQSIRRLESAAPEPEKKEQQQEQRAEEEQEEEGEQRAAAGSPRKSGKGASCLMVEESVAVAVESADPYGDFRASMEEMVSAHGVRGWADLEELLTWYLRVNAKRNHPLIVAAFVDLLVRLAGTGAADGHESNTSTATSSGAITNTSGATASSSSSSSSTTTSTTAAAMPGCGGGDDEAAASSSSSSSPSCGPCEHGDDDEAGRGEEED* >Brasy5G304700.1.p pacid=40073884 transcript=Brasy5G304700.1 locus=Brasy5G304700 ID=Brasy5G304700.1.v1.1 annot-version=v1.1 MLPGMGEPPAGLAGPQSLPCISFHSITTAGRAGRQVSYFQINRRRNISGFGCLVLRLHQYLSSSPSLCASPNYHPKEIQQVFLILGETRHEIPLPVPDWL* >Brasy5G050300.1.p pacid=40073885 transcript=Brasy5G050300.1 locus=Brasy5G050300 ID=Brasy5G050300.1.v1.1 annot-version=v1.1 MLSRLGSFLGPSLNACSSCPRSAAQKKKLLAGASSRCRPGRPPSRPVAYPNNTTGPWFNRIHQPPSPSPWPVSPTLLQRL* >Brasy5G396000.1.p pacid=40073886 transcript=Brasy5G396000.1 locus=Brasy5G396000 ID=Brasy5G396000.1.v1.1 annot-version=v1.1 MSKLLIIALLLLPLINHGINLVTAWDDKDFFRYCPPSQCSQHGPEIRFPVFLESSNTSSSSGCGSGRSSIRLACSGQDTILAHPVFGPYNVSAIDYTRSSMKIAPLVDPCLLLQKKLNISTSSSSPQVDVANVEPLTFRHFDIWSSSATPVCCSREFTPGADDLIAGPVSCLSNATHFLYLVDSQEGMSVLPLDCRVLPISHGRNIWIPMYDGPAPYESLKESAERILSFAETTVYWYGGYNCRQCELHGRRCAFSSQTNEVFCMPDPHGSRIKVIAATSSVAAFVVLLLTVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPMRYTFSEVKKITRRFKEKVGQGGFGSVYKGELPNRVPVAVKVLETSTGEGEVFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSHDSDNFQHLLVPHKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGGISYKSDVYSFGMLVLEMVSGRRNSDPSIEIQNEVYLPEWIYEKVINGQELVLTSETTQEEKEKMRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLHNLQVPPKPFVSSGNQPMP* >Brasy5G396000.2.p pacid=40073887 transcript=Brasy5G396000.2 locus=Brasy5G396000 ID=Brasy5G396000.2.v1.1 annot-version=v1.1 MSVLPLDCRVLPISHGRNIWIPMYDGPAPYESLKESAERILSFAETTVYWYGGYNCRQCELHGRRCAFSSQTNEVFCMPDPHGSRIKVIAATSSVAAFVVLLLTVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPMRYTFSEVKKITRRFKEKVGQGGFGSVYKGELPNRVPVAVKVLETSTGEGEVFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSHDSDNFQHLLVPHKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGGISYKSDVYSFGMLVLEMVSGRRNSDPSIEIQNEVYLPEWIYEKVINGQELVLTSETTQEEKEKMRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLHNLQVPPKPFVSSGNQPMP* >Brasy5G337000.1.p pacid=40073888 transcript=Brasy5G337000.1 locus=Brasy5G337000 ID=Brasy5G337000.1.v1.1 annot-version=v1.1 MAGDARARPAPLFVLLAFAAALLMTSRAQQPPPPADVKVGLIINATSPVGKIVSTTIPMALEDFYATFPDSRARVQILQHDSGGEAVAAASAALQLMTTQGARAILGPQSSAESSFVADLATRAEVPVVSFSATSPSVSPARARFFVRAAQSDAAQAGAVAALATHYGWRRLVPIYQDDDFGAAFVPFLVDALTDARAEVPYRCALPAAASRGAVAAALHNADSDQTRVFVLHARSELARLVFDVAAEAGMAAEGYAWVITAGLTGLLSSIDAPQGVIGLATHVPATPRLRDVKRRWAQRYMREHPEDDASHAEMRCYTVWAYDAAWAVAHAAERLSPGDLLSPPGLVGGEGGSTDIAGLGKSMSGDKFLRAINGTKFEGLGGRFELIDGEPAVPAFRVLNVIGNGKERGVGFWTRQHGLRRNFDHRGSNGSIGELGPVIWPGESTVRPRGWVEPTRARKLRVAVPGRGYRQIVHLDVDPVTNRMTAGGFVIEVFEAAVRLLPYALPFEYVKAESMPYDELVQAVANGTYDAAVADITITANRSMHVDFTQHFSATAIAMVVRLRDQRRSNNRWTWVFFKPLSYDLWLVSGAFFLFTGFVVWAIERRHNADFRGTRSNQAGTIFYFGFSTLVFAQKELKSNLSRFAVVVWVFVVLILQSSYTASLTSMLTVPQLEPVIKDYAELLRGTEKVGIMNNSFTQGAMLASGFPQARLVRYHTHQSFYEALLNGSIDAIINETPYLKLFPKSYRNYFTMAGQLNRTGGLAFAFPKGSPYVPDLSHAILKLTERDEMNKIERKWFGDVDDNRASQGEGPFTSKGLRFSSFWGLFLITGTTMAVCID* >Brasy5G248500.1.p pacid=40073889 transcript=Brasy5G248500.1 locus=Brasy5G248500 ID=Brasy5G248500.1.v1.1 annot-version=v1.1 MPPSPASAGGAASASPSGSSPVSASASASAAADPAAPSWWESVSQARSRILALASILPPESSPPVAALADTDRPARALLRSAAAYEALSGALRAGGGADDPACHWLYDTLLSQDPDLRLAALAFLPLLSSLYLFRLPPALPSSLSGFEAVLLAVYSSEAKARQGKPVLVHVSDLSAPSLYHTPQSSSSSSSRSPRRPQPPPIPPPQPNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISLMPPASKVDACNAVAAWAGQYCRCRFELDEKELEEEEGGSVGSMSPLSTDAENGDGKVLEEELARMRLNGDSNGRNCGKEEELQQARVQLPWELLQPVMRVLGHCLLAPLNPVEVRDAAAVAVRVVYARACHDLVPQAILAARSLIELDKSACKAAKAAAAAASGVMVASGIAASTASSSRPSSKPNTPSKQRKPDMLLVSK* >Brasy5G246400.1.p pacid=40073890 transcript=Brasy5G246400.1 locus=Brasy5G246400 ID=Brasy5G246400.1.v1.1 annot-version=v1.1 MPATDYHQQQGPFSSFGRTLFSLRRDTPPHAAAMLPPLEADEMAVADLEASFQRRVAGVLSDLRDGDGSGVRFLSAPWLRRLLEAFLLCQDEFRALSVAAQARQRRRGPGGAQQAERLAAEFHERAVKLLDVCNAARDGVDQARRWARLAGIAASAAAGEKEIHEGQLRRARKALCDLSALLADASSSSSSAAVPHRNRSFGRNSAKSSPTLASISSSSSSSSSHFRSLSWGVSRSWSAARQLQAIGANLATPPRTHEAAAAAAYAMGCVLHLTAWALVAAVPCPDRAAALHHLPTPPPPRAAAAYPWAPPLLAITDRLAEEGRHKDSRRNSCGFLLGEIHALEKSSRRLSDAIDAGEGVREAAAELAAACAAVRDVLDPLERTVREVFRRVVRCRMEGLDTPLLGAD* >Brasy5G387100.1.p pacid=40073891 transcript=Brasy5G387100.1 locus=Brasy5G387100 ID=Brasy5G387100.1.v1.1 annot-version=v1.1 MGGKWLSLLFLVVAAGMVSSGAGAGARVPPPLPVLPIPNAAQLSWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFAPAALNTTQWMDAASAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPFHNGAGDVVREFTDAAHARGLDAGLYLSPWDRHDSRYGREDEYNEYYLAQLRELLTQYGNVSEIWFDGAKGKDAMNMTYHFEEWFQTVRQLQGSINIFSDAGPDVRWVGDEQGFAGTTCWSTVNRSMIRTGADGIKKYLNDGDPSGTDWVPPECDVSIRPGWFWHKNEATKPLGKLLEIYYNSVGRNCVLLLNVPPNSTGLVDGADIARLREFRTALTGIFATDLAAGSRAKARSERGGRHGGFAAGNVLDGGETYWAPTAAEDNGYWIELRRPATMRARAFNVVRIQEHVALGQRVERHEVYVDGVAVANGTTVGHKRLHRLLGHVAGQTVRIWFVTRRGVPLVSAVGLHLDPFATNLCECED* >Brasy5G415300.1.p pacid=40073892 transcript=Brasy5G415300.1 locus=Brasy5G415300 ID=Brasy5G415300.1.v1.1 annot-version=v1.1 MIHPKKLAQLVKKWQRKVSAGAGGHQDDECCSTVADKGHCVVYATDGARFEVPLAYLDTMVFSELLRMSSEEFGFASGDEGRITLPCDTAVMEYVLCLVRREASKEVERAFLSSIAGHCQSYRASCAAPSMGLSHQFTLCT* >Brasy5G359000.1.p pacid=40073893 transcript=Brasy5G359000.1 locus=Brasy5G359000 ID=Brasy5G359000.1.v1.1 annot-version=v1.1 MAPVRRRGAALFRGSRVLLVFLVAVALDVASGSPRRGLGALAAAADDAAEPETEMSSGPEWHVVSVADLLPAAVCTASQAASNSSTSAFSVMHRHGPCSPLQTPDDAPSDADLLEQDQARVDSIHRMIANETAVVGQDVSLPAERGISVGTGNYVVSVGLGTPARDLTVVFDTGSDLSWVQCGPCSSGGCYHQQDPLFAPSSSSTFSAVRCGEPECPRARQSCSSSPGDDRCPYEVVYGDKSRTVGHLGNDTLTLGTTPSTNASENNSNKLPGFVFGCGENNTGLFGKADGLFGLGRGKVSLSSQAAGKYGEGFSYCLPSSSSNAPGYLSLGTPAPAPAHARFTPMLNRSNTPSFYYVKLVGIRVAGRAIKVASRPALWPAGLIVDSGTVITRLAPRAYSALRTAFLSAMGKYGYKRAPRLSILDTCYDFTAHANATVSIPAVALVFAGGATISVDFSGVLYVAKVAQACLAFAPNGNGRSAGILGNTQQRTVAVVYDVGRQKIGFAAKGCS* >Brasy5G429000.1.p pacid=40073894 transcript=Brasy5G429000.1 locus=Brasy5G429000 ID=Brasy5G429000.1.v1.1 annot-version=v1.1 MGDFPPPSSPLSDRPLVTPRRRELSSSEPPSESDWEGSSREGSPDAASTSASGELVRRAARRWSGPEDDPAAQISRRAADAGWWLGEIERERVRLVRDWVQMAARDPPADHARLRIRGRQARLELVMRMAADRHAELQRLSQQRAVSDFPHRNRIHALLRGRFLRNGGLPEEERRLPSVAARELGQLRQRHPVSGLREEFRFRLENLVRGQAASQSDASSSQNVELSTNDHSESSRAASEDAQDTHQQANENVDLERIGGAATASQYGSNTPSIAEGLSEPHSQEDSWQEDLEVDRRDWEQFSHAITGEESEGIWHENMDASSSDERTEVGDHQDAYLPEESDESASDNNLPEAHEEPHENNHLPEVLEELHNNNHLQESHEQWHEDIDPTEVHDEWQSDHDFPEVNEVWHDDDEESSGTAHNWHDDNSEQPVDQESGLIRRANTFTPGDDDHVYSTELRELLSRRSVSNLLDSAFRENLDRLIRSYVERQGRGPLSLSLEATPAAPGPPEQRQEQHRDDEEQELHDTDDNPPIVIPPPPIPPRQPLWHSELHRNNWIRQNMHRSDTEWDAINDLRADMARLQQGMGHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGERGENKETIDDGSKWMHVRKGTCCVCCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM* >Brasy5G401300.1.p pacid=40073895 transcript=Brasy5G401300.1 locus=Brasy5G401300 ID=Brasy5G401300.1.v1.1 annot-version=v1.1 MPAMAKTLLAVLALAAPFLLAAAQGEGGGRPERLPFAVGALPEGCDVGVGEWVRDEAARPLYEESACPYIPPQLTCQAHGRPDAAYQNWRWQPRGCGELPSFNATVMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPEGSRSFETIDALSIFRAKDYDATIEFYWAPLLAESNSDAAVEHRLEERVIRGAPMDRHSRFWKGADVLVFNSYLWWTTGTKIQILRGADNDMSKDIVEMPVEEAYRLVLHQVVNWLDANVDPSKSRVFFVTASPTHDSSKENCYGQKTPVTGDEHASYTSRAMLKVTDEVLGGSRSRRAVVPVGVVNVTRMSEYRRDAHTQVYREQWGKTPGAGKEQQSDADCTHWCLPGVPDAWNELLYWKLFFPAADDQAL* >Brasy5G401300.2.p pacid=40073896 transcript=Brasy5G401300.2 locus=Brasy5G401300 ID=Brasy5G401300.2.v1.1 annot-version=v1.1 MLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPEGSRSFETIDALSIFRAKDYDATIEFYWAPLLAESNSDAAVEHRLEERVIRGAPMDRHSRFWKGADVLVFNSYLWWTTGTKIQILRGADNDMSKDIVEMPVEEAYRLVLHQVVNWLDANVDPSKSRVFFVTASPTHDSSKENCYGQKTPVTGDEHASYTSRAMLKVTDEVLGGSRSRRAVVPVGVVNVTRMSEYRRDAHTQVYREQWGKTPGAGKEQQSDADCTHWCLPGVPDAWNELLYWKLFFPAADDQAL* >Brasy5G418800.1.p pacid=40073897 transcript=Brasy5G418800.1 locus=Brasy5G418800 ID=Brasy5G418800.1.v1.1 annot-version=v1.1 MASSRGPQWGFLSPSTPASLRHRPRRRRHHVPGARSPGDALLQLALDYFHSPVMPTAPQPQGMIEHVIGYWMGSSFHPPFVRSFGSCIFSISVANIHVAKFIVALQGVRHGGLLLSFHEGPPLSMDDFIPLPAASDSSASLEALRAGEPGPVFVDKHMTSTGSYFVEEEEEDPEERVPATPSPLTSPAHPSPAPPMHPPPPASPDFVLAHDGFYGTSDDEEEFLSGDDDSKSDFNAEPTQVFDRGSPPPARRDCTNVFMPHVPLEHFDHLAYAHVFSPAPSPNFFVRRALHAGPAHPDPQLRISSQGSSVVVFNSLMEREFAMLHQPYRGREHTVHLVRHDDTENRFLFDHSNLAALAIDDFPLEHWFPGNIFHSVAPFANPYEINPICISRHEYSAVLITVKARSITDVPHTLAIYAAAASAATWPSHSRKTPEGELAIPPPPPVVAREPEELYRLPLQKVGETGMLVANLESCSIGHLAAVSSVGPDNARVLSVDVICRDDSAFGAGGPSFISLPPAPALPAPEPPSVRRSARLAASESPAFVSILDKAAARKRAKLEGEGSSSSTPRRRSTLLPADELLELAAEGVEPLAPNDVQLLAEACGLDPKASAMVPRSV* >Brasy5G482200.1.p pacid=40073898 transcript=Brasy5G482200.1 locus=Brasy5G482200 ID=Brasy5G482200.1.v1.1 annot-version=v1.1 MTWVIRKRGLWRCQSDRGLLRAAPSSSFFLRRHGFLRALRGERAACAPPEGPVAGEHMMPDRFLGPRYLHSLGELVMSDRLLGLLIAGGTIISARDNFMVSSDDDAKIYRERVTGSAEVFFSFLHFAVKSYELIPKERLPVRLLTLPIKFPHNLRIYRDTAFIVFISYMLLLDIDLSYFWLATFPAIPIVGMSAHCIKLYLAGELGTHNGSKMMTFTKGSDMALTVMASVPFIALLGMAQLDDKRADRFVISPFLLFLSTTLGALTHMMTRLPSRAGSPGPGAWELLHKTFLLLLLVTLHTVAAEALGEDVVLVCMPELVPVVTWFSLHLDRDSSIISLDDMKRTFKDAPIVRGAVVIAGPLLVYLWTYMDRSWISTCSAILVSCGISGFLTSYLVLMLHQWPMQQAAAAANISLQSKKSPEESVQSEEEAAGSSEKAVQSQEAVGSSEEAVRSGKQAAGSSEEAVQLLELWAILLLTVAVASLLLKCAIAYRLGLQEPLVDTCALPTFSKDVLGNLFGKWGQTN* >Brasy5G030000.1.p pacid=40073899 transcript=Brasy5G030000.1 locus=Brasy5G030000 ID=Brasy5G030000.1.v1.1 annot-version=v1.1 MVPSLFLLLLVAGAAAQGHDDAVARRTMEEFAGFPASDDGEGDRRAYALRVDSDGLQRQIDELASFSDSPAPSVTRVLYSDKDVQARRYIKGIMKELGLAVREDAVGNIFGRWVGYEAELGAVATGSHVDAIPFSGKYDGVVGVLGALEAISVLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELAQSLKRTVDNQNLSFVDAADSAGYKIRPEDLHDVFLNQDTYFAFVELHIEQGPILEKEGIPIGIVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLDSGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNNVIEKVHQSAVEISKIRGVELSEFKIINQDPPALSDKAVINAMEFAAKQLGLQYQLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALTMAKLSLE* >Brasy5G289000.1.p pacid=40073900 transcript=Brasy5G289000.1 locus=Brasy5G289000 ID=Brasy5G289000.1.v1.1 annot-version=v1.1 MATLSLLLTPPSAVLPSLTLRPASNLNPRVSSRGTLVCLGAKPKVPLPIASPSPLGDDPAKWDPAECDALLRCGEQVASVLQEMLTLMEGMEMNGAFAPVAVELVAQGVIAKRVDEMESGFLMALDYMIQIAQKDGDDERNSILEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGLFENDKGLNCHIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLNTLPQAEVNFLSKLVALKPGKPLERMISDVMHGKGEGAHNAEPNSVLEPPTGISGRENVTGHKPHPVRPGMFLDTVSKVLGGIYANNTSGITAQHLEWVHQTTLKILQDMAF* >Brasy5G289000.2.p pacid=40073901 transcript=Brasy5G289000.2 locus=Brasy5G289000 ID=Brasy5G289000.2.v1.1 annot-version=v1.1 MATLSLLLTPPSAVLPSLTLRPASNLNPRVSSRGTLVCLGAKPKVPLPIASPSPLGDDPAKWDPAECDALLRCGEQVASVLQEMLTLMEGMEMNGAFAPVAVELVAQGVIAKRVDEMESGFLMALDYMIQIAQKDGDDERNSILEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGLFENDKGLNCHIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLNTLPQAEVNFLSKLVALKPGKPLERMISDVMHGKGEGAHNAEPNSVLEPPTGISGRTVDCTYLHNIRKM* >Brasy5G192600.1.p pacid=40073902 transcript=Brasy5G192600.1 locus=Brasy5G192600 ID=Brasy5G192600.1.v1.1 annot-version=v1.1 MRKRTKAIVVTGDGFTYVVDLLRPSPPPAPGHGRSKELWRTKKVDFRTAQRYKDMGIVGTCNGLVCLCDDTEPGGGAITVANPATGEALASAWRCVSSTIDRADADTRCGIGPCLLANVDGTAYWLTEDVRERKIMSLDLKDGRVVTPIEPPPPVTALTGMSCCLTKVHGSLAVLAADEDGSTTVWVLEGERWSMKYILETERQRQEDRWLRRELALPHFAPGDYILTHEPAYHPVLGEKCVLYGHRTGSDNAARLQSQQGSVGVVQIGYKGHGEVVQDFLGSMDRMFACVETEEPLGVYSLATCGSTGS* >Brasy5G171600.1.p pacid=40073903 transcript=Brasy5G171600.1 locus=Brasy5G171600 ID=Brasy5G171600.1.v1.1 annot-version=v1.1 MNFTQDLSSIMEQKDNIRNISVIGNLQHGKSLLTNCMVAGAGNIRPEMLAKTGLTNPREDESEFGLTIKSNSISLFYETTAESFHFYKGESAGSEYLINLIDSPGHTDFSSEVTAALRTTDGALVVVDCIEGVCMQTERVLHQALGERIKPVLGVNKMDVWIGELEADGEEVYQIISRVFEKANAVMACYEDSCLGDVQVYPEKGTVAFSAGLNGWAFTIATFAKMYVSRTGVDVCKMMQKLWGDYFFDAATMKWTTKNTGSPTCRRGFIQFIYEPIKQIANVCMNDQKDELWPMLEKLGINLTPDEAELTGKDLMNCVLKTWLPASSALVEMMIVHLPSPLKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMVYVSKMIPSSEKGTFYAFGRVFSGRIRTGTRVRIMGPKYVHG* >Brasy5G351200.1.p pacid=40073904 transcript=Brasy5G351200.1 locus=Brasy5G351200 ID=Brasy5G351200.1.v1.1 annot-version=v1.1 MSRSDEIVYLRDRVRDAERQLMAARGEIAVLKSKERAAAVREDSLLGELVGMSSELRGLLPDSHEESGRVRHRLNSLQRAGPTVLSFWFDNDRGLALLQDRVVRAKSCLLSWQQILTDLHHALFPITPVLGGLKGLIRKFVDAAVIRSCIHEQLVTGATVALAFTRLRYPGLDPNTLHVVPFIDEEEISMEYYYDAVEWSARELVNIVEMQ* >Brasy5G088600.1.p pacid=40073905 transcript=Brasy5G088600.1 locus=Brasy5G088600 ID=Brasy5G088600.1.v1.1 annot-version=v1.1 MLQPQESSWISSEERIRRLLLCSASPKMSSAAGAGEPSSSSRPGKEREDGGEESNRKEEDQGTGVAEGDVDLELYGAASGWVEARTSCPHLSAMPAAGADELARVPSPDSQCSRCHHPSENWLCLICKDVLCSRFINKHMLCHYQEAGHCIALSFSDLSVWCFACDSYLDAQSILELRPVYEVAHLLKFGERPPFRTLEVLDLSSGQTGSSSLGS* >Brasy5G397100.1.p pacid=40073906 transcript=Brasy5G397100.1 locus=Brasy5G397100 ID=Brasy5G397100.1.v1.1 annot-version=v1.1 MAERTVNSVASAVTGELTSRAFSGLIQRYGKHGAAAEKLQQLEMILIKIHSAVEASEKRTIENTWLLQWRDKLKEAAKHGDKVLASFQQRIKDAQATNTDGNQQQGEAASSSSAAAAAAPVTTVSTGGGPGRAR* >Brasy5G000600.1.p pacid=40073907 transcript=Brasy5G000600.1 locus=Brasy5G000600 ID=Brasy5G000600.1.v1.1 annot-version=v1.1 MGSEEEPSQVRRARVDSLAGAISGVICRTVISPLDVIKIRFQVPLPPALPGPPPLRRHQNLEREVQLEPTTSWGVLQRNVYGPSKYTGLLQATKDILREEGLPGFWRGNVPAMMMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATTGSYPFDLLRTILASQGEPKVYPNMRSAFLDIVKIRGVRGLYSGLSPTLVEIVPCAGLQFGTYDTFKHSMMVWNRYKYSHLNSGSEDDSVSSFQLSLCGFAAGTISKAACHPLDVVKKRFQIEGLKRHPRYGAPVESSTYKGMYHALKEIVAKEGVGGLYKGLFPSLVKSAPASAVTFVAYEYISNLLESILM* >Brasy5G024600.1.p pacid=40073908 transcript=Brasy5G024600.1 locus=Brasy5G024600 ID=Brasy5G024600.1.v1.1 annot-version=v1.1 MTSYAMETPPQLRPLEAAPARRCRPHTFRLLRVLYRRGRPPHSRAPATGFNEGRGRRRARLDPLFQSPPPCSKPSGWRHHLRASPKILTRSSRDAVGCPGD* >Brasy5G279000.1.p pacid=40073909 transcript=Brasy5G279000.1 locus=Brasy5G279000 ID=Brasy5G279000.1.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQDFVCIGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQLKDKAASAFVDVLSWFFSFVVAKGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279000.4.p pacid=40073910 transcript=Brasy5G279000.4 locus=Brasy5G279000 ID=Brasy5G279000.4.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQLKDKAASAFVDVLSWFFSFVVAKGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279000.2.p pacid=40073911 transcript=Brasy5G279000.2 locus=Brasy5G279000 ID=Brasy5G279000.2.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQDFVCIGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279000.3.p pacid=40073912 transcript=Brasy5G279000.3 locus=Brasy5G279000 ID=Brasy5G279000.3.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279000.5.p pacid=40073913 transcript=Brasy5G279000.5 locus=Brasy5G279000 ID=Brasy5G279000.5.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQDFVCIGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279000.6.p pacid=40073914 transcript=Brasy5G279000.6 locus=Brasy5G279000 ID=Brasy5G279000.6.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G387400.1.p pacid=40073915 transcript=Brasy5G387400.1 locus=Brasy5G387400 ID=Brasy5G387400.1.v1.1 annot-version=v1.1 MQVTLLLDAFNKDSFNISAYFSGDGDGVKGAGGCSSRVTHRRLLCSAARVGAAQIAMACTRIRTSSAVGLLSTDFRQSASLSADGGREG* >Brasy5G466800.1.p pacid=40073916 transcript=Brasy5G466800.1 locus=Brasy5G466800 ID=Brasy5G466800.1.v1.1 annot-version=v1.1 MSSLTDRVRFGSVCRQWHYALQEQSPTLPRALPWLNFFEGTFQPFPVGELHRLPFDSQEQEIPLCLGCSDNWLLHYRHVTRRVGGVVELKCQLLIKNPLSGAIMKLPPCVAVWNFIVCSSDLIVARISDGSHRPPPVIACCRPGSCSWSVSTRTPHDSVLYFSIAVHQGKVYAVCGDGHLFEYEVSVDRSTGEPTVSPPKQVIVGGGIRNADQRYLVVSGGLLLMVARCCVNDDFSMTMWETPEVIVKTTEFQVFQADLEMSRWSRVPTLGDQALFISDNYSKAVLASAHADYLRGNHIYFLRIIRGQHVCYPPVPLKADCSPTSCGVYDLRDNTCRLIPSDSLHIGDRLRAAWFFPHK* >Brasy5G497400.1.p pacid=40073917 transcript=Brasy5G497400.1 locus=Brasy5G497400 ID=Brasy5G497400.1.v1.1 annot-version=v1.1 MASPPEPGVPVPSPLSSRLGAADDAAASSSSAPVEHPCFTLQNWWLVRVEGEERKVAVSGFTQRDDAFTSAPIAKRHGPLVLEDEDGVVVRIDGLMSLSRMRRNGFSPQICENFLIGFPSWWESWDSHFESQPTSSSNSQEDSSLIYLKFFQLGNVFQKSVASFIKNPLHHAKDFRRCVADAFTQCSRFDEYTFDNDILTKGKTAASNGASEGPAAVANEVDNMEIDLIVSSTSQERGHVDISCNASAPTENCTSDETSKAAENQNDTMHPDATEHEAGSHTVNSDLMCNRSPDCMPSDLEDGNTNAENSTDVALCHLATVEPERDNCCSEIAVALQNIQPLSYERNPAASLKNQGHLKRTEGISLNQKAVPSEDTSTSIRRHVQSLEKTVDPSKKQRSARDKLLSPRLPGTRIPISYAHDSPLTRGRAQSLSISTPESLKMKRTKSGRVVVPPLDLGCERILYDNNHLVLGVAPVKLHSPLIKGSKPETPARKKRAR* >Brasy5G471600.1.p pacid=40073918 transcript=Brasy5G471600.1 locus=Brasy5G471600 ID=Brasy5G471600.1.v1.1 annot-version=v1.1 MPPPTSSSPHPDPKLLSGGRLVSCEARPHPHPKLAGGRRVDGGATSEALPNTPIPHAPLHPPPLLPRAP* >Brasy5G139500.1.p pacid=40073919 transcript=Brasy5G139500.1 locus=Brasy5G139500 ID=Brasy5G139500.1.v1.1 annot-version=v1.1 MGVVKGNKGSGVAVVWWCLLLAGLLFLAAVAAASAEAESGGRGIVNTKEDRRWCKKDCEWKKDQCMHECKQQQEQEKGGGRRQRPQGRAPQQCLQDCEISPDGRGAGGRGREQGDEPHHGWETAAGAILQEV* >Brasy5G185300.1.p pacid=40073920 transcript=Brasy5G185300.1 locus=Brasy5G185300 ID=Brasy5G185300.1.v1.1 annot-version=v1.1 MDVQGAVDSLVGRLTSILIDEAQLLRGVRGDVVFIKDEMESMNSLLAHLTESQHHNQQVRTWMKHVVGLSRDCEGNVELYVRYISGANSRSSGRGVLGSLRRVIRFVLTVRARHRVATRIRELKVRARDVGDRRLRYGVTVPSVAALGGGTIFVDVPAPRGAAEEEEDGHRRAQLNGEPPDNDEATVKKGTDRVIRWLFEEAPGQLLRVIPVVCKDSSESKSALAQMASRIGKEVYQHSSVENSFGCKAMINVRDFNTRYNSMEPFLRDLLKKIARSSSSARTPSDPRPPWNETRQVTKKLQGHLKGNRFLIILQQVEDKKTWASIRSALFESDSDCHPGSAIVMTTVYDDIEESPYRILKAPSLRGFMYVRASALTLKTSYCNMSNVRPILELCYPDAFAMKMVLHLLYANCDRTDNQLKDICNVLSECKRLNKSTAKQMLMFCYSELPSKYRSCLLYLSIFPEGHIIRTTSLARRWVIEGLITAKRDQTGSTMGRRKQLASATDQAEGFFDMLITRGFLRPGEISAAGNIKSCTMHREVCEFIAKIATDVNFLDSDLPSDLAHHLSIHNRIGLRESLSNNHVEADSDGIVAFLPSLAKSSQWQLLKVLDLEGCKSLTEKHMNNICKVLLLKYLSLRNTDVTELPKQINRLRCLETLDIRQTAVTAFATKSIILPMLKHLLAGQTDYPSNHSDRFVTMRLPSCIRKMEKLEILSHVDVSRNVNDLIGVGQLLQLRKLGVILGGDKGSLVLLFEQIKKLHACLHSLSIRINKPTKSEGTPFFLKEESEGTPDAKVVVLSSPPKLLQSLNISGITGGFHLWIAGHDQLTKITLTETYLGEAALHILGKLIILRCLRLRYKSYTESMLRFKDEEFKSLKSLVVMGSDITNITFDTGATPKLEMIVWSFASMEAISGVNHLTKLKKLELNGDSCVIGPVRVAIKEHPNHPGLKYNGQDQLQEAATKVAASTS* >Brasy5G020000.1.p pacid=40073921 transcript=Brasy5G020000.1 locus=Brasy5G020000 ID=Brasy5G020000.1.v1.1 annot-version=v1.1 MASLCPKASTGRLRVYSPALEDDTAPWKKEREYDRGLLLVKIQHHYKEALERLTVRWRSDVQVRFLDEGICVGLLDPVSSIIANTLLAATAAPVDRASALADAADGPRRREDLERRSLDGLVAFLTCFFPYLADWEAVRYLLLAEADPLVAARIVVEDRGGLRCFRADSDATGGALRLALRCATVAARHRRPSQLADVWLALSGGLDKAVSLLSAVQANFPRSIISSFQTLVKETAAVPPPPPSPTALLVRPWELAAGRGKHAIKVTSYQHSWSLRRVLLDTIHGFYLQALARMPPPPAGGSPSRYHRSLLKAGHCYGPFDPVSNIIVNTIWYEAAFPPLSRLDELDILGTLSLMRIEAQSFYGLVSYLCTDDHGLSVHQAMRCLLETDLSLVETKQCGSVLEEAFRAAAIAAWHPRPDAQAGFLSSCKEMSAEAVVLSLLQDGSQRQFSSQGFQQQPASILPRQFNGIMADGSEQRRAHRKISRKVKAALNRYEKQNPGYSYQLHVVCGVNQMVSGPDNREEFIMKLGKHPDIDYYHHMHANFLVSVGGGTCSSAPILFFAELSNYDDDERDGRLLCCPMDFPPTGAEPVRCLFCEHEGIRIVHPAQERFHGREAEFEKMIRGEEQYENDYYPAADVEVYTSERMLRHSEFVAHSVYGIPEEDCMYFGRNDFIIRTDDSDEEYYDDL* >Brasy5G020000.2.p pacid=40073922 transcript=Brasy5G020000.2 locus=Brasy5G020000 ID=Brasy5G020000.2.v1.1 annot-version=v1.1 MASLCPKASTGRLRVYSPALEDDTAPWKKEREYDRGLLLVKIQHHYKEALERLTVRWRSDVQVRFLDEGICVGLLDPVSSIIANTLLAATAAPVDRASALADAADGPRRREDLERRSLDGLVAFLTCFFPYLADWEAVRYLLLAEADPLVAARIVVEDRGGLRCFRADSDATGGALRLALRCATVAARHRRPSQLADVWLALSGGLDKAVSLLSAVQANFPRSIISSFQTLVKETAAVPPPPPSPTALLVRPWELAAGRGKHAIKVTSYQHSWSLRRVLLDTIHGFYLQALARMPPPPAGGSPSRYHRSLLKAGHCYGPFDPVSNIIVNTIWYEAAFPPLSRLDELDILGTLSLMRIEAQSFYGLVSYLCTDDHGLSVHQAMRCLLETDLSLVETKQCGSVLEEAFRAAAIAAWHPRPDAQAGFLSSCKEMSAEAVVLSLLQDGSQRQFSSQGFQQQPASILPRQFNGIMADGSEQRRAHRKISRKVKAALNRYEKQNPGYSYQLHVVCGVNQMVSGPDNREEFIMKLGKHPDIDYYHHMHANFLVSVGGGTCSSAPILFFAELSNYDDDERDGRLLCCPMDFPPTGAEPVRCLFCEHEGIRIVHPAQERFHGREAEFEKMIRGEEQYENDYYPAADVEVYTSERMLRHSEFVAHSVYGIPEEDCMYFGRNDFIIRTDDSDEEYYDDL* >Brasy5G323700.1.p pacid=40073923 transcript=Brasy5G323700.1 locus=Brasy5G323700 ID=Brasy5G323700.1.v1.1 annot-version=v1.1 MSHHLHLRGTVPPLSFRAGLGARSSAGVPWHFASSGSVGVAAASSSRTLRPQQHRAKAMAKEAGEQETAALGWAARDASGVLSPFDFSRRAQKDDDVTIKVLYCGICHTDLYIIKNEWGNAMYPVVPGHEILGVVTDVGSGVTKFKAGETVGVGYFVGSCRSCESCGNGYENYCYGMVLTSNGIDAEHGGAVTQGGFSDVIVVNEDYVVRVPDGLPLDKAAPLLCAGVTVYSPMMRFGLNAPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPGKREEAIEKLGADDFLVSRDPEQMQAAFGTMDGILDTVSAWHPISPLFALMKPMGQMVFVGGPTKPLELPAVAIVPGGKGIAGNCVGGMRDCQAMLDFAGKHGITAEVEVIKMDYVNTALERLQKNDVRYRFVIDVAGSLGSTA* >Brasy5G286800.1.p pacid=40073924 transcript=Brasy5G286800.1 locus=Brasy5G286800 ID=Brasy5G286800.1.v1.1 annot-version=v1.1 MLLLLLSALLAGAGAGLLFKFATADGDFTLLSRGSPRRAKVEGKVVWITGASRGIGEVLSMQFASLGAKLILSARNKEELERVKHNIVSKHPESRVEVLPMDLSSDEESLKEVVHAAESLFSSAGIDYMIHNAAFERPKRGALEETEDGLKATFNVNVFGTITLTRLLAPSMLDRGMGHFVVMSSAAGKVPTPGQALYSASKHALNGYFTSLRSELCTKGIKVTVICPGPIETPQSTGATSSSQRHSSEKRVSVERCAELTIVAATHGLKEAWISYQPVLAVMYLVQYMPTIGYWLMDKIGAKRVDAAAKKGNAYSLNLLFGGKKSA* >Brasy5G286800.2.p pacid=40073925 transcript=Brasy5G286800.2 locus=Brasy5G286800 ID=Brasy5G286800.2.v1.1 annot-version=v1.1 MLLLLLSALLAGAGAGLLFKFATADGDFTLLSRGSPRRAKVEGKVVWITGASRGIGEVLSMQFASLGAKLILSARNKEELERVKHNIVSKHPESRVEVLPMDLSSDEESLKEVVHAAESLFSSAGIDYMIHNAAFERPKRGALEETEDGLKATFNVNVFGTITLTRLLAPSMLDRGMGHFVVMSSAAGKVPTPGQALYSASKHALNGYFTSLRSEKRVSVERCAELTIVAATHGLKEAWISYQPVLAVMYLVQYMPTIGYWLMDKIGAKRVDAAAKKGNAYSLNLLFGGKKSA* >Brasy5G438500.1.p pacid=40073926 transcript=Brasy5G438500.1 locus=Brasy5G438500 ID=Brasy5G438500.1.v1.1 annot-version=v1.1 MARAGNSCLQTVGVVFLAMMILLASTDLHGPQLAVASRPAKEAAAGGERKEALAARRLTGPSPSCCSNHVTCPPGSICPP* >Brasy5G314600.1.p pacid=40073927 transcript=Brasy5G314600.1 locus=Brasy5G314600 ID=Brasy5G314600.1.v1.1 annot-version=v1.1 MVGVELPAATPIGGRLAARAVRPRRVSAKRSWPPGCGRFPAAPPGPDARDGETGAAVVVEGTAEEATGRSGVSSAVCNGDLPPTPEAGDGEKGAAVVVEGTAEETTGRSGVSSAVCNSDLPHTPEAGDGEKAAAVVFEGAAVCNGDLPPTPEAGDGEEILEGKAEEAAGHAGVSSLSCNGSLPHTTRKPETEGVVGEMKEGGETQSPGGSDVRPLSDQVENMAIDVMPLAFAVRQSFTVAAVNGSVENGVDGTEPLPMEGREGLGSGQLVKEEDVPRNGDGRVMGNRDAQLEREEEGHVAVRKKRWLTSVVNPPPKRRAISAIRKFPPGCGRAAVIGTGSRVEEGLVLEATPISFATGSASVADDSSMVPVPSHGASSVFVRDISNETKDKSAEGKHVAKDGELRDKCEGSSREGTPKTYKRGGVLVDAKTNGKRPKSITIKETLLDDVGVSGDGTSRNKSPSTQRGVGHSNINMKHGLAGSKLKSDGIGNDSSNRSSKEKCADHVVTDQIEEDDDLNFVTDMPIVQALMAPNICPWTQGRKSITSVSKSSTPRSKNKLKKKVATTSEKLPPKVSPSTSTKHETTEDKEYPEKLPPKVSPSTSTKHETIEDKEYPCSEDDGDSMALVVVERKNELCVTLPPCAPSGDQSVDARSKVKKLLKLFQLICRKLVQTEEQQARRVGRIDIEAVNAIKSNCEYYSKPGPIVGNVPGVDVGDEFHFRVELSIIGIHRPYQGGIDTTKVNGIPVAISIVASGGYPDELSSSDELIYTGSGGKAMGKKEAEDQKLERGNLALKNCIKTQTPVRVTHGFKGQSRGEVGHSKSKQVSTYTYDGLYVVVECWQEGAKGSMVFKYKLKRIPGQPELALHIVKETRKSKIRKGLCCPDISEGKERIPICVINTIDDLQPTPFKYITKVIYPPPYAKDPPEGCDCTNGCSDSNRCACAVKNGGEIPFNFNGAIVHAKPLIYECGPSCRCPPTCHNRVSQHGVKIPLEIFKTGETGWGVRSLSSISSGSFICEYGGELLQDTEAEKRENDEYLFDIGHNYDDEELWKGLPSMIPGLESATPETMDDDVGFTIDAAISGNVGRFINHSCSPNLYAQNVLWDHDDKRMPHIMFFAAENIPPLQELTYHYNYTIGQVRDKNGVEKEKKCFCGSSDCCGRLY* >Brasy5G428700.1.p pacid=40073928 transcript=Brasy5G428700.1 locus=Brasy5G428700 ID=Brasy5G428700.1.v1.1 annot-version=v1.1 MATSGQIQPLKIPDAVVALAQAAAKANGETEKYLPGWPLFSPPKMQLTKCTKCSREFCSSIAFRRHTRVHRRALKIDKDFPKNRNHVAAFWDKLTVDQAQTVLSLENVVIEGISGFSILTALSSWMCKPGYASLPLAYARAGNELLDLIQTTASRLPILSNELFSMLEEASENTFLCTNTADAACIQKFLFDGEVDKVATELKNVVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAEMMERKRMKKLRQKVHRLKDLKDKDVMVHLPDIVDGMTGSPGIQSLEATSGPSLYEQEDTGYLRWPTSMPSEGNGFNVEGANCDSGHAMDTGVALKEQAVSISNIDRLENLLHDNAVPSSTITSKYPSLVRHSHYRDPNVTAVSNRNKSWAWKVRTDIEERCPQVELDVDDGHEMALNTDKKSRVLIGSISVAIDNDGKRLQSLRHSKDCSTPGSNLNHPVVKMMQPISRDENGYEDSNDSDVTPTAENHSPSSVVTDESDSSFCKAQLADGSDLGCTVFSSKEASVFLSQRWKEAITGDHVKLTLCSEN* >Brasy5G428700.2.p pacid=40073929 transcript=Brasy5G428700.2 locus=Brasy5G428700 ID=Brasy5G428700.2.v1.1 annot-version=v1.1 MATSGQIQPLKIPDAVVALAQAAAKANDLPGWPLFSPPKMQLTKCTKCSREFCSSIAFRRHTRVHRRALKIDKDFPKNRNHVAAFWDKLTVDQAQTVLSLENVVIEGISGFSILTALSSWMCKPGYASLPLAYARAGNELLDLIQTTASRLPILSNELFSMLEEASENTFLCTNTADAACIQKFLFDGEVDKVATELKNVVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAEMMERKRMKKLRQKVHRLKDLKDKDVMVHLPDIVDGMTGSPGIQSLEATSGPSLYEQEDTGYLRWPTSMPSEGNGFNVEGANCDSGHAMDTGVALKEQAVSISNIDRLENLLHDNAVPSSTITSKYPSLVRHSHYRDPNVTAVSNRNKSWAWKVRTDIEERCPQVELDVDDGHEMALNTDKKSRVLIGSISVAIDNDGKRLQSLRHSKDCSTPGSNLNHPVVKMMQPISRDENGYEDSNDSDVTPTAENHSPSSVVTDESDSSFCKAQLADGSDLGCTVFSSKEASVFLSQRWKEAITGDHVKLTLCSEN* >Brasy5G428700.3.p pacid=40073930 transcript=Brasy5G428700.3 locus=Brasy5G428700 ID=Brasy5G428700.3.v1.1 annot-version=v1.1 MATSGQIQPLKIPDAVVALAQAAAKANGETEKYLPGWPLFSPPKMQLTKCTKCSREFCSSIAFRRHTRVHRRALKIDKDFPKNRNHVAAFWDKGISGFSILTALSSWMCKPGYASLPLAYARAGNELLDLIQTTASRLPILSNELFSMLEEASENTFLCTNTADAACIQKFLFDGEVDKVATELKNVVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAEMMERKRMKKLRQKVHRLKDLKDKDVMVHLPDIVDGMTGSPGIQSLEATSGPSLYEQEDTGYLRWPTSMPSEGNGFNVEGANCDSGHAMDTGVALKEQAVSISNIDRLENLLHDNAVPSSTITSKYPSLVRHSHYRDPNVTAVSNRNKSWAWKVRTDIEERCPQVELDVDDGHEMALNTDKKSRVLIGSISVAIDNDGKRLQSLRHSKDCSTPGSNLNHPVVKMMQPISRDENGYEDSNDSDVTPTAENHSPSSVVTDESDSSFCKAQLADGSDLGCTVFSSKEASVFLSQRWKEAITGDHVKLTLCSEN* >Brasy5G428700.4.p pacid=40073931 transcript=Brasy5G428700.4 locus=Brasy5G428700 ID=Brasy5G428700.4.v1.1 annot-version=v1.1 MATSGQIQPLKIPDAVVALAQAAAKANDLPGWPLFSPPKMQLTKCTKCSREFCSSIAFRRHTRVHRRALKIDKDFPKNRNHVAAFWDKGISGFSILTALSSWMCKPGYASLPLAYARAGNELLDLIQTTASRLPILSNELFSMLEEASENTFLCTNTADAACIQKFLFDGEVDKVATELKNVVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAEMMERKRMKKLRQKVHRLKDLKDKDVMVHLPDIVDGMTGSPGIQSLEATSGPSLYEQEDTGYLRWPTSMPSEGNGFNVEGANCDSGHAMDTGVALKEQAVSISNIDRLENLLHDNAVPSSTITSKYPSLVRHSHYRDPNVTAVSNRNKSWAWKVRTDIEERCPQVELDVDDGHEMALNTDKKSRVLIGSISVAIDNDGKRLQSLRHSKDCSTPGSNLNHPVVKMMQPISRDENGYEDSNDSDVTPTAENHSPSSVVTDESDSSFCKAQLADGSDLGCTVFSSKEASVFLSQRWKEAITGDHVKLTLCSEN* >Brasy5G376900.1.p pacid=40073932 transcript=Brasy5G376900.1 locus=Brasy5G376900 ID=Brasy5G376900.1.v1.1 annot-version=v1.1 MDPDGVAKAFVDHYYRTFDTNRAALVGLYQEGSMLSFEGEKFMGAAAIAAKLTSLPFEKCAHSVVTVDCQPAGPTGGMLVFVSGSLTVGEGEHAIKFSQMFHLMPAGPGNFYVQNDMFRLNYG* >Brasy5G246200.1.p pacid=40073933 transcript=Brasy5G246200.1 locus=Brasy5G246200 ID=Brasy5G246200.1.v1.1 annot-version=v1.1 MEGSSLHPGNRMQVTPYGSLNGHGNSMQMHDPCSGKQPFNQSLMLGSFAMPVNRIQEPDRLPGFQFGEHGKVDHRHHHQHSHRSKNCLSDEEEHDMTEDVGGKGKNGSSWHRVKWAGSMVKLLITAVSYTSEDPGPDLNCGKRNGTIAQKKGKWKAISKVMCERGCNVSPQQCEDKFNDLNKRYKRLTDILGCGIACDVVGNPALLDGMDNLSDKMKEDARKILSSKHLFYEEMCSYHNNNRVSLPEDLELQHSLHLALRCKEDRGPRKDTSGDDQSADSDYEENDEWHQPVRTNMNKRMCHTVDHVDVVFVPSSSNNGSGRFDPHGITLDINKGLPDGTNLPSLQKDLASQALELQERRLQIEVNELEITKKRLKWERFKKQTEREMKRMELENERMMIMNKRSQLLLRHEELELMAKGDPNHA* >Brasy5G077600.1.p pacid=40073934 transcript=Brasy5G077600.1 locus=Brasy5G077600 ID=Brasy5G077600.1.v1.1 annot-version=v1.1 MAHSLAAVSSFSPSAVRRRLSSQVINVISCQSLVSFSSQRMSFVSIRSVPSSLRFKICCSAKQETQAKKETVDKVCAIVKKQLAVPDGTAVTADSKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVTEKTA* >Brasy5G153100.1.p pacid=40073935 transcript=Brasy5G153100.1 locus=Brasy5G153100 ID=Brasy5G153100.1.v1.1 annot-version=v1.1 MKFPRKNMAYRERHCPENPSARLRCLVPAPAGYVTPFPWPKSRDLVPYANAPYKSLTVEKAVQNWVRYEGAVLRFPGGGTQFPRGADAYIDQLATAIPFPSGAVRTVLDTGCGVASLGAYLDARGVVAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPASAFDMAHCSRCLIPWAGNGGMYMMEVDRVLRPGGYWVLSGPPINWKANYRKWERTEEDLAGEQGRIEEYARMLCWEKVAEMDEIGVWRKRLDTAAPCPERPPNVRTCDTPNPDDVWYKNMEACVTPTTAAIGGQLEPFPARLRAVPPRIAAGAVPGFTAESYEEENRQWEKHVRAYRKVNYRLDSERYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELATLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFTLYRDRCKMEDILLEMDRILRPEGTVILRDDVDVLLQVQRVATGMRWKTMMANHEDSPHIPEKVLYAVKRYWTVDSDKGSGEKTGGPSEGKDSEA* >Brasy5G153100.2.p pacid=40073936 transcript=Brasy5G153100.2 locus=Brasy5G153100 ID=Brasy5G153100.2.v1.1 annot-version=v1.1 MKFPRKNMAYRERHCPENPSARLRCLVPAPAGYVTPFPWPKSRDLVPYANAPYKSLTVEKAVQNWVRYEGAVLRFPGGGTQFPRGADAYIDQLATAIPFPSGAVRTVLDTGCGVASLGAYLDARGVVAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPASAFDMAHCSRCLIPWAGNGGMYMMEVDRVLRPGGYWVLSGPPINWKANYRKWERTEEDLAGEQGRIEEYARMLCWEKVAEMDEIGVWRKRLDTAAPCPERPPNVRTCDTPNPDDVWYKNMEACVTPTTAAIGGQLEPFPARLRAVPPRIAAGAVPGFTAESYEEENRQWEKHVRAYRKVNYRLDSERYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELATLGVIYERGLIGIYHDW* >Brasy5G323200.1.p pacid=40073937 transcript=Brasy5G323200.1 locus=Brasy5G323200 ID=Brasy5G323200.1.v1.1 annot-version=v1.1 MQILLRSRRFAGNQIPLPFVPPHPTQILIPMVAGSQFLRRAVLLRQESSLDRQRRAATQCVFDEAELRPPGQAAACGDGPWRTVLQCCSGKERALTSGGEQLGGASPTSSGPRPAGRAAGLQPAETEGYWIESC* >Brasy5G326100.1.p pacid=40073938 transcript=Brasy5G326100.1 locus=Brasy5G326100 ID=Brasy5G326100.1.v1.1 annot-version=v1.1 MGGRRTSLSLPHAARPDVSCVPSRPHLPPPPLPRSRVRDPAPPPSGRLPPLPHGTDPPSTPTPHKLEQWGAMESQDPPPPRSVSSYHHATSSGSQIRDEQTLQPWRTTSLCSSFFRGCAAPPPLLLAALALYSSPPEPASPCVPEQASASPILQSSYPSFLLLSAARAHLGHGRSTEPGEIERDTDAEALRSTGAG* >Brasy5G490100.1.p pacid=40073939 transcript=Brasy5G490100.1 locus=Brasy5G490100 ID=Brasy5G490100.1.v1.1 annot-version=v1.1 MYGDDSLFSTTSSHNRGSSFCSCIYRTNGSNGRLLPSGGDREGDGRSLPFLAGVRRSPHPPPPFLTSNRRLAIPHLLPAPGDSSLLLEEDWSLAALRLQAYFDQLTPTSSLSGSPSPSYHSSDLFDDLVAADYEPLDAFRCICLEDAVRRHAGVPTLPLLVDFLQAHHQFIPSVIPAAPLAPGPSPLDPAPVPSPEDLPLLDYRPGHSFASSIFHKFRQPVHPLSQTSNFRMVVSFGRADFCLSHASVAQAIEAATGGRADLLQLINLQGRVFKFSVCSKLVGFEILHLRSFSCKNFKCFFHLWSSGGPNWKKEFSVWSKEQEEEWKIVQSNRRRVSVAFSAMVQGSKLGIHSILKRPGRPKNRFRRLVFADHLAYEACSGYDFPTERKIAAVSSSSFLVGEIRVPLLSKVLRPSVPGRRPSAPPASTNGALVGPSDGTRQETLPSFACSGAGDQVTLSLGNDDLGSCISSSAACSVKSVPSDSLLADTWGARAVPRRLAHSLSSGVWATRCSPILIPRALALPGFLPFQVGIAPLPGLPCLRLGFGAPWSGAPSGCLGFRESLLSSPPPPPGLEGENPIQATQLLFLVAMLHQLSHPPLHG* >Brasy5G425900.1.p pacid=40073940 transcript=Brasy5G425900.1 locus=Brasy5G425900 ID=Brasy5G425900.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN* >Brasy5G482500.1.p pacid=40073941 transcript=Brasy5G482500.1 locus=Brasy5G482500 ID=Brasy5G482500.1.v1.1 annot-version=v1.1 MAVDSGSPLAAEKGEAALQKLRQADPSLYLSPSADLAAAARAALKHLHSSLAVVSPVQPPPLQDLLAGPEFDAEQIWSQSELLARPLLPHLHRQLRRLEHQPPPPEPSKPAEAEEEDDEEEESEDEEGGDGDEGDELNEEELEDMDDELESGEDEDDEEEETEELEGKAGNRVEDKFLKINELEKFLEQGEEAEYGGGSKEGEKKKKKKAGVNWMEDESDEDDMDEDGGDDDDEDDDDLDLDDIEYDDDEEDGGKSGGDIRYEDFFEGKTKQQVKKRNGSTKKVQFKDELHEVKVDDTKNDDGNVGPALEDEQGLSTHEKERLKMHAKIEQMEKASLEPSVWTMKGEVTASSRPRNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIAEGHFDDVEKPSTLPSKAPKEQKEMDESKSKKGLAELYEEDYVKEAGLAPAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEVAPVAVSDAAMLAPEEVFEGKGDIKEEAELTQAERKRRRANKKRRYAESHKDKPAESHKERPAKLQKD* >Brasy5G489600.1.p pacid=40073942 transcript=Brasy5G489600.1 locus=Brasy5G489600 ID=Brasy5G489600.1.v1.1 annot-version=v1.1 MALLTPSGSLFVPPENHEPAAYPSDMPGSLAFTSPAVAKMMRLMNYREGSGLGVQGQGIIDPIQPNAWPKKLGLGHRKALYYDNGLQSQDAPPPSPFDKDWLREREELSRALRLEKDCYEKTLASLQDMITLQGDGSAETVHALAAVLESEGVLGDPRRAPGAWKAALPSSAIMHVVEKIVTPSIAAGVQGWEPSWDPDCHNWLRPWMPLIGHLPEHFYPAVESKILTRIGEFDVYEPWKGYLSPSCLAAFAARHVLPDVARLVRRLRVTPPKQADCSFTCAMRWAALVPAAQQRDAVVPVLEEEGGFWDKWERALRHWLRSRKPSAGEARAWCDGWRSLFTPELLADGRVLARLEAADGVVESAMRELELRALS* >Brasy5G475700.1.p pacid=40073943 transcript=Brasy5G475700.1 locus=Brasy5G475700 ID=Brasy5G475700.1.v1.1 annot-version=v1.1 MDRPAGEEPRGAADRDSSPAAAAAAPSVAAAAADEVVVAAAADEVVAGEIPQAGGAVTGGGEAPSVPVMPPLALEEYHVVLALACLRHGRDVYWDMAGGASEPQGAEMEVDGSSDPEQENDVASEVDVKEGEGTAADRRPDAPAGVSQVNEEGDSLVGRYISRSAGNRNIRLGKVASYDSSVGLYTVVFEDGMGEEMGLAELRELLMTGENGASGMKVSCRKRKLDLVVSSGSATVVKGPPSTRQRIDDSGDIPARLDTAQQSGSGSDVSEDVESSSNSSDSSKQLPVEPCQPVKCIELPPSSGDIDVPEESIDSLFSVYNFLRSFSVQLFLSPFGLDDFVASIKCTVQSTMLDAVHVSLLRALRRHLEAKSSEGSKVASNCFKYLDWGLLDTLTWPTLLLGYLNLTGCVKILGGKSFGRKLLAIEYYKLPVTLKLRVLQILCDHTIDSEELKTELEAREAYNEETEYGIDYSIYSEAGSRAVLTRPSRASACKMIEDSQNLESAPNVTNPEAVVANASLDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGPTSSRILRGARGAQMFGIDMCGRIFLGSCNYLLVIGTSSDAESYTRYYNQDDVAKIVQILASSDAYTYICSRIIEYWRHLVDIFQNERSKVGKDDAASHAPQCDTLLNVTPGKGDGSVCTVLKDGGDSKALVLSQMNVQHEEFVANQFAVCSAEQLEEQKHMVTSVGASTEKNSLQTPLAQNDVHTAPMNGAFRAPGVSPVSHQNGSAVAGFSNTTHAQPSHGLMPPDLSASRSGVDNGMSREDIGSTISVKAGSFCPSYHSKHPFGNVLGGKLSKVPSFKPQAYMNLYNHGNIAASAAANLAVLTSDEAKVSAPQLITNPRKKMAADCALQVKAFSSAASQFVWPSTEKKVMEVPRDRCGWCLACKSSAIGNKKACFLNMATANASKGSARVLSAMHIIKSSESHFPSIVAYLTNMEESLRGLLVGSLQDMQQRQRWHKQLQGASNCRTIIPLLLELESNIRGIAFSASWFKLIDDWPVKSPGVSTVPSRSSAYQKRGTGGRRGRKRLLGSESANVTDDDNSWKEVNWWNGGNISKRILQRGALPSSAVSKAARQGGKKKIAGAGLSYHETNNFPRRTRQFAWRACVGLSRNSSQLALQVRYLDSHIKWKEFILPDQIPSDADFSALRNAVVCDKKVVDGNIRYALNFPNQKHLPVRITKNILETEDSEHENGKLWFSEYHVPLYLVRDFEQKAGVSSSPSPEMIISNCFTSFYPRQVKAFVGDVFSYLFHKGEVYPCTFCEKDVPFRDVVKCISCQGNCHKECTSGSVGRKGGNTALICKLCIQKRNLMLAKNKTNASYVPPQQKSDQLPTVPKISFRVGSHSSEPAMNAEAQLDARVQAQSVPKVEAQPIMQVDTQPTMQVETQPIPKIEGWPIANVATQNIAGVQAPPKIKTKKSKAEKPRKPKKVQVITYFGLVWKKNKNDKGGEEFRANDVILKCNDGIGSSEKPTCTLCDKPYCPNFLYVRCERCRKWVHGDALQLPEEKLIDVVQYRCCRCRRRAIPQCPYSDDYREPEPETSEQTLAIPSQSTMISGNETFALADQDPLLASYGIVEPISEETTNADLSMNMANLVPGTNQKLSIRRAQVKNGEYLDQAGTPVNGYYIQNQPPGDTSINFSHMNEFSVSEADGVDASELLGWDFSQGNGFSAPPDYSANSQWNDTTGGNFVADEYEPQTYFSFTELLEADDDTQLDNTFGMSTGLQDDSNFTGSFGQQGTSFDELAFMVEDDSSNMHFSGNDPSIDELACHKCKNLQPPPDLKCVSCGLRVHRHCSPWQESEEAADSVNWRCGTCREWQ* >Brasy5G475700.2.p pacid=40073944 transcript=Brasy5G475700.2 locus=Brasy5G475700 ID=Brasy5G475700.2.v1.1 annot-version=v1.1 MDRPAGEEPRGAADRDSSPAAAAAAPSVAAAAADEVVVAAAADEVVAGEIPQAGGAVTGGGEAPSVPVMPPLALEEYHVVLALACLRHGRDVYWDMAGGASEPQGAEMEVDGSSDPEQENDVASEVDVKEGEGTAADRRPDAPAGVSQVNEEGDSLVGRYISRSAGNRNIRLGKVASYDSSVGLYTVVFEDGMGEEMGLAELRELLMTGENGASGMKVSCRKRKLDLVVSSGSATVVKGPPSTRQRIDDSGDIPARLDTAQQSGSGSDVSEDVESSSNSSDSSKQLPVEPCQPVKCIELPPSSGDIDVPEESIDSLFSVYNFLRSFSVQLFLSPFGLDDFVASIKCTVQSTMLDAVHVSLLRALRRHLEAKSSEGSKVASNCFKYLDWGLLDTLTWPTLLLGYLNLTGCVKILGGKSFGRKLLAIEYYKLPVTLKLRVLQILCDHTIDSEELKTELEAREAYNEETEYGIDYSIYSEAGSRAVLTRPSRASACKMIEDSQNLESAPNVTNPEAVVANASLDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGPTSSRILRGARGAQMFGIDMCGRIFLGSCNYLLVIGTSSDAESYTRYYNQDDVAKIVQILASSDAYTYICSRIIEYWRHLVDIFQNERSKVGKDDAASHAPQCDTLLNVTPGKGDGSVCTVLKDGGDSKALVLSQMNVQHEEFVANQFAVCSAEQLEEQKHMVTSVGASTEKNSLQTPLAQNDVHTAPMNGAFRAPGVSPVSHQNGSAVAGFSNTTHAQPSHGLMPPDLSASRSGVDNGMSREDIGSTISVKAGSFCPSYHSKHPFGNVLGGKLSKVPSFKPQAYMNLYNHGNIAASAAANLAVLTSDEAKVSAPQLITNPRKKMAADCALQVKAFSSAASQFVWPSTEKKVMEVPRDRCGWCLACKSSAIGNKKACFLNMATANASKGSARVLSAMHIIKSSESHFPSIVAYLTNMEESLRGLLVGSLQDMQQRQRWHKQLQGASNCRTIIPLLLELESNIRGIAFSASWFKLIDDWPVKSPGVSTVPSRSSAYQKRGTGGRRGRKRLLGSESANVTDDDNSWKEVNWWNGGNISKRILQRGALPSSAVSKAARQGGKKKIAGAGLSYHETNNFPRRTRQFAWRACVGLSRNSSQLALQVRYLDSHIKWKEFILPDQIPSDADFSALRNAVVCDKKVVDGNIRYALNFPNQKHLPVRITKNILETEDSEHENGKLWFSEYHVPLYLVRDFEQKAGVSSSPSPEMIISNCFTSFYPRQVKAFVGDVFSYLFHKGEVYPCTFCEKDVPFRDVVKCISCQGNCHKECTSGSVGRKGGNTALICKLCIQKRNLMLAKNKTNASYVPPQQKSDQLPTVPKISFRVGSHSSEPAMNAEAQLDARVQAQSVPKVEAQPIMQVDTQPTMQVETQPIPKIEGWPIANVATQNIAGVQAPPKIKTKKSKAEKPRKPKKVQVITYFGLVWKKNKNDKGGEEFRANDVILKCNDGIGSSEKPTCTLCDKPYCPNFLYVRCERCRKWVHGDALQLPEEKLIDVVQYRCCRCRRRAIPQCPYSDDYREPEPETSEQTLAIPSQSTMISGTNQKLSIRRAQVKNGEYLDQAGTPVNGYYIQNQPPGDTSINFSHMNEFSVSEADGVDASELLGWDFSQGNGFSAPPDYSANSQWNDTTGGNFVADEYEPQTYFSFTELLEADDDTQLDNTFGMSTGLQDDSNFTGSFGQQGTSFDELAFMVEDDSSNMHFSGNDPSIDELACHKCKNLQPPPDLKCVSCGLRVHRHCSPWQESEEAADSVNWRCGTCREWQ* >Brasy5G247400.1.p pacid=40073945 transcript=Brasy5G247400.1 locus=Brasy5G247400 ID=Brasy5G247400.1.v1.1 annot-version=v1.1 MACSSLSAPPLLLMMMTVVVGTARGGGGGSGRGGDKDALTAGFRRVRLTESQFVVQKPHDVPLRDRYEFVDGVRRMWVFSTDKPISRNHPGGARTEIKINVYIMFYYIYETSSGVWQFAGEVYVPTGTSGVSIMQIFGARPERQATTLMLHVYDGRLTFYHDLQRVLADDIYDRWVRLNVVHDIAARNATVFVDGAERLRSLSHGSQDAPHYFKFGVYKQSHDHPSPRMESRWRNVQVFTKP* >Brasy5G317300.1.p pacid=40073946 transcript=Brasy5G317300.1 locus=Brasy5G317300 ID=Brasy5G317300.1.v1.1 annot-version=v1.1 MALTNLILTVVGVSAAVMLLRKDVKQSSAVFRRNIRHIRNWLEEESAATSAERSAPKELESQAAKRDAAPKEDKH* >Brasy5G180300.1.p pacid=40073947 transcript=Brasy5G180300.1 locus=Brasy5G180300 ID=Brasy5G180300.1.v1.1 annot-version=v1.1 MLLALFLLALALAAHPAPASAASAHLHFYMHDVLTGSSPTAVQVLNGPNGHFGDTIVIDDVLTAGDSPSSASVGRAQGQYIWASRGNPELLVTMDVVLTSGAYAGSSVTVVGRDDIGAAVRELAVVGGTGQFRMARGYVLWKTVRLDHPNAVLELDVFVNP* >Brasy5G487500.1.p pacid=40073948 transcript=Brasy5G487500.1 locus=Brasy5G487500 ID=Brasy5G487500.1.v1.1 annot-version=v1.1 MLCAANSEFLFCCTSSVEKKSSSIKIVLPIIACLLLLTCITLVWICKYTGKRKRKEIQKKMMLEYFSTSNKLEGENTEFPFISFEDILLATNMFADSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKDSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLVYEYLFNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFSSLITHAWRLWEDGKATELADSSFVDSCPLHQVIRCIHVGLLCVQDRLDDRPLMSSVMVALENESAVLPAPKQPVYFALCNCEGGEARESMANSANAMSITTLEGR* >Brasy5G348600.1.p pacid=40073949 transcript=Brasy5G348600.1 locus=Brasy5G348600 ID=Brasy5G348600.1.v1.1 annot-version=v1.1 MASISGAAAPPSSSACRLRLRRQLLMRPSHLRLRAPHSIADLSRSSSSSSSNSSQSSHAPAPPLATKSRGQVGHGGPAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTDEFLERMEPLMQRAFVAMGELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIEKTLDHILAFSHDIVSGKIKPPSSQAGRFTQILSIGIGGSSLGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGQELESTLVIVISKSGGTPETRNGLLEVQKAFRDTGLEFSKQGVAITQENSLLDNTARIEGWLDRFPMFDWVGGRTSELSAVGLLPAALQGIDVKEMLVGAALMDEETRNTVVKENPAALLALCWYWGSEGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEASCKDPAEPLTLEEIADRCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSVKVYLGECNVDDI* >Brasy5G048700.1.p pacid=40073950 transcript=Brasy5G048700.1 locus=Brasy5G048700 ID=Brasy5G048700.1.v1.1 annot-version=v1.1 MDHLGRHSLLYSVLGHLKELPGPGPHHALKISADFPRLPVPSEKKEPAPILVYAAASSASRRRSARNSPAPAAERRRPAGSSPRPADFGIRHPESIAAPSPPQSTAGPASPRIRSPQRSEPHLNLALLLLLVEVEGVEVATVEEMCRWPPRGWLASAQ* >Brasy5G032700.1.p pacid=40073951 transcript=Brasy5G032700.1 locus=Brasy5G032700 ID=Brasy5G032700.1.v1.1 annot-version=v1.1 MNSQAGSSYQNLTRTLGLSRSDSENLSAGLTLKCDMDPTHRVHRRTMSSENGLRPAENSGDNLQDTSIVCESPHSGKVKFMCSFGGKILPRPSDGMLRYVGGETRLISIHRNFSWKELVHRTLSVYSQPHIIKYQLPDEDLDALISLSCDEDLQNMMEEYYSLEKANCSPRLRIFLVSLTECEDPLLEARSLESEPEYHFVVAVNNMSPLKRSISGNNFMSQLSQQLDSSPLPCRDSTVCQTDIESVDKVLAGNGTAINEPCSQFFLAPYSQQMVAESAATSSPSSSQQRTTKQSGLWMSADKPAMNQEHESKNEVRNGSNLETMLPDHQDKKQNDVDSGVEFGSPMHYVQTQRQVQELGIPQNVSDLSSHTNYDMFTRMERPFYSEKAPMHPDSASWVPGLHEYPGQIYGMPHAFSDPLLNDRTEVHASNLSLTIGSYIAPSFSQKICQANGLERTISGSRPDLICVNPPKIAQVDEPNYLVSSRIDQRYDQGVTGADSLGAAVYYQQDSLSRNMVQTGHDGGPIVQQPGKLYHQENSTGPSVAPQCTSVDTRFNLFHARGARLSSNELDALESSGVTSMLATDNSHSHLLDGCSNGSLPNSGRGCHVEKLNSECVVTDYETAGYVHGNDKVTIGSHIMLPIDPFEAFAPQRTAANGASGAYQNETFDQPFVQSSGLATSPPIDLCNADLSINMHGNGTGTFKDSVSRREIPLLNHQNGACGDLGVTGFDHTTINNENMNLKGRMHNNAQMEALVIVEDVTDNLPSGIPSSRPIPQVAVVAEEWQHAIISSKKDDDARSNGPELADEDRDDKGAAEGPISEAEIAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVAEFMVNGSLRNVLLRKDRTLDRRRKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGGIVNNSLRPPIPETCEPEWRNLMEQCWSANPDVRPSFTEVTDRLRAMSATLQSRGQSPGRR* >Brasy5G032700.2.p pacid=40073952 transcript=Brasy5G032700.2 locus=Brasy5G032700 ID=Brasy5G032700.2.v1.1 annot-version=v1.1 MNSQAGSSYQNLTRTLGLSRSDSENLSAGLTLKCDMDPTHRVHRRTMSSENGLRPAENSGDNLQDTSIVCESPHSGKVKFMCSFGGKILPRPSDGMLRYVGGETRLISIHRNFSWKELVHRTLSVYSQPHIIKYQLPDEDLDALISLSCDEDLQNMMEEYYSLEKANCSPRLRIFLVSLTECEDPLLEARSLESEPEYHFVVAVNNMSPLKRSISGNNFMSQLSQQLDSSPLPCRDSTVCQTDIESVDKVLAGNGTAINEPCSQFFLAPYSQQMVAESAATSSPSSSQQRTTKQSGLWMSADKPAMNQEHESKNEVRNGSNLETMLPDHQDKKQNDVDSGVEFGSPMHYVQTQRQVQELGIPQNVSDLSSHTNYDMFTRMERPFYSEKAPMHPDSASWVPGLHEYPGQIYGMPHAFSDPLLNDRTEVHASNLSLTIGSYIAPSFSQKICQANGLERTISGSRPDLICVNPPKIAQVDEPNYLVSSRIDQRYDQGVTGADSLGAAVYYQQDSLSRNMVQTGHDGGPIVQQPGKLYHQENSTGPSVAPQCTSVDTRFNLFHARGARLSSNELDALESSGVTSMLATDNSHSHLLDGCSNGSLPNSGRGCHVEKLNSECVVTDYETAGYVHGNDKVTIGSHIMLPIDPFEAFAPQRTAANGASGAYQNETFDQPFVQSSGLATSPPIDLCNADLSINMHGNGTGTFKDSVSRREIPLLNHQNGACGDLGVTGFDHTTINNENMNLKGRMHNNAQMEALVIVEDVTDNLPSGIPSSRPIPQVAVVAEEWQHAIISSKKDDDARSNGPELADEDRDDKGAAEGPISEAEIAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVAEFMVNGSLRNVLLRKDRTLDRRRKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGGIVNNSLRPPIPETCEPEWRNLMEQCWSANPDVRPSFTEVTDRLRAMSATLQSRGQSPGRR* >Brasy5G080800.1.p pacid=40073953 transcript=Brasy5G080800.1 locus=Brasy5G080800 ID=Brasy5G080800.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy5G112300.1.p pacid=40073954 transcript=Brasy5G112300.1 locus=Brasy5G112300 ID=Brasy5G112300.1.v1.1 annot-version=v1.1 MEIARGAAKAGKAGGGGGGATASGEYWTAALKSFFDHIPVSSVSGALQSSPSAAPALELNLDACVLDAIGSMYRSNVAGAVIVDDVQTSFRKFVDRDIGFVDFPSLLLWALEELDKVSTEQEDKSSDFLSSLKLHPQISETKIASLAKLFLWEPFFPVRPHDTLFHAMLLFSKHHRLNVIPVVESMNSSVAGFVTQNGVMELLLQSSGLEWLDKIADKQLSEFSNALAYRFANASRPATVYSDQTAADALHVLSREKTGVAVIDRKTQYLIGMIQCSDLYLLLDDQSLFRKRKTLSAEEFVKLKNKNDDGSTEHSSASDSQNILSLRNRVQQRTGLAVTNRKSDTLKQAMENLAASGSSCSFIVDEHGHVEGVVTTRDVISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMFRNS* >Brasy5G112300.2.p pacid=40073955 transcript=Brasy5G112300.2 locus=Brasy5G112300 ID=Brasy5G112300.2.v1.1 annot-version=v1.1 MEIARGAAKAGKAGGGGGGATASGEYWTAALKSFFDHIPVSSVSGALQSSPSAAPALELNLDACVLDAIGSMYRSNVAGAVIVDDVQTSFRKFVDRDIGFVDFPSLLLWALEELDKVSTEQEDKSSDFLSSLKLHPQISETKIASLAKLFLWEPFFPVRPHDTLFHAMLLFSKHHRLNVIPVVESMNSSVAGFVTQNGVMELLLQSSGLEWLDKIADKQLSEFRFANASRPATVYSDQTAADALHVLSREKTGVAVIDRKTQYLIGMIQCSDLYLLLDDQSLFRKRKTLSAEEFVKLKNKNDDGSTEHSSASDSQNILSLRNRVQQRTGLAVTNRKSDTLKQAMENLAASGSSCSFIVDEHGHVEGVVTTRDVISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMFRNS* >Brasy5G363700.1.p pacid=40073956 transcript=Brasy5G363700.1 locus=Brasy5G363700 ID=Brasy5G363700.1.v1.1 annot-version=v1.1 MSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK* >Brasy5G438100.1.p pacid=40073957 transcript=Brasy5G438100.1 locus=Brasy5G438100 ID=Brasy5G438100.1.v1.1 annot-version=v1.1 MARAGNSCLQTVGVVFLAMMILLASTDLHGPQLAVASRPAKEAAAGGERKEALAARRLTGPGPSCCSNHVTCPPGSICP* >Brasy5G058400.1.p pacid=40073958 transcript=Brasy5G058400.1 locus=Brasy5G058400 ID=Brasy5G058400.1.v1.1 annot-version=v1.1 MSSMDFSVGFDVLLRQMFCFVFVTPSSRSFEPPLLLLFRGCFSGCGGGAAPEATGSGLAATGSGLAATGSGEIHFLDQKGHAFSSWENSGEQRRARRRKREGGAQKLGEGRGERGGGERESGGESRRAAEASERAAAKGRKEQRRVRS* >Brasy5G253100.1.p pacid=40073959 transcript=Brasy5G253100.1 locus=Brasy5G253100 ID=Brasy5G253100.1.v1.1 annot-version=v1.1 MEDDSCRRAGAVPFKWEVCPGTPKHTRSASAAAMAAVTKLTPPPSMASSPAPYYHHHRHHLHSTASPSPRVVPSSPARSASLSPSRRRPYAAPRRAPPAAFLDAAPRPTAPVAECQTAALGCFPLIPMLRRKGSKKGGSGSSSSGSSGSGSSSSGSSFRSDGGGVGMGMGMRRSVSISSGSASLPLPPGRRFAAAEPRAEVDAATGRGWFY* >Brasy5G302700.1.p pacid=40073960 transcript=Brasy5G302700.1 locus=Brasy5G302700 ID=Brasy5G302700.1.v1.1 annot-version=v1.1 MAEVKPEEMVHHPPMDQLQGFEYCIDSNPSWGEAISLGFQHYILSLGTAVMIPTLLVTHMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTVISGSYAFVIPILSIINDRSLRQITDDHTRFMQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLAMVPVVSLVGLGLFERGFPEVGKCVEIGLPMLILFVALSQYLKHVHVRHAPILERFSMLICIALVWVYAHILTASGAYNHTALRTQMSCRTDRSNLISSALWISIPFPLQWGAPTFNADHAFGMMAAVVVSLIESTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGKFGALFASIPFAIFAAIYCVMFGIVAAIGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYLASGQQGPAHTKAGWFNDYINTIFSSPPTVALIFAVLLDNTLDVRDAAKDRGMPWWARFRTFGGDSRNEEFYTLPFNLNRFFPPS* >Brasy5G302700.2.p pacid=40073961 transcript=Brasy5G302700.2 locus=Brasy5G302700 ID=Brasy5G302700.2.v1.1 annot-version=v1.1 MAEVKPEEMVHHPPMDQLQGFEYCIDSNPSWGEAISLGFQHYILSLGTAVMIPTLLVTHMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTVISGSYAFVIPILSIINDRSLRQITDDHTRFMQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLAMVPVVSLVGLGLFERGFPEVGKCVEIGLPMLILFVALSQYLKHVHVRHAPILERFSMLICIALVWVYAHILTASGAYNHTALRTQMSCRTDRSNLISSALWISIPFPLQWGAPTFNADHAFGMMAAVVVSLIESTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGKFGALFASIPFAIFAAIYCVMFGIVAAIGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYLASGQQGPAHTKAGWFNDYINTIFSSPPTVALIFAVLLDNTLDVRDAAKDRGMPWWARFRTFGGDSRNEEFYTLPFNLNRFFPPS* >Brasy5G302700.3.p pacid=40073962 transcript=Brasy5G302700.3 locus=Brasy5G302700 ID=Brasy5G302700.3.v1.1 annot-version=v1.1 MAEVKPEEMVHHPPMDQLQGFEYCIDSNPSWGEAISLGFQHYILSLGTAVMIPTLLVTHMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTVISGSYAFVIPILSIINDRSLRQITDDHTRFMQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLAMVPVVSLVGLGLFERGFPEVGKCVEIGLPMLILFVALSQYLKHVHVRHAPILERFSMLICIALVWVYAHILTASGAYNHTALRTQMSCRTDRSNLISSALWISIPFPLQWGAPTFNADHAFGMMAAVVVSLIESTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILVQ* >Brasy5G302700.4.p pacid=40073963 transcript=Brasy5G302700.4 locus=Brasy5G302700 ID=Brasy5G302700.4.v1.1 annot-version=v1.1 MAEVKPEEMVHHPPMDQLQGFEYCIDSNPSWGEAISLGFQHYILSLGTAVMIPTLLVTHMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTVISGSYAFVIPILSIINDRSLRQITDDHTRFMQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLAMVPVVSLVGLGLFERGFPEVGKCVEIGLPMLILFVALSQYLKHVHVRHAPILERFSMLICIALVWVYAHILTASGAYNHTALRTQMSCRTDRSNLISSALWISIPFPLQWGAPTFNADHAFGMMAAVVVSLIESTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILVQ* >Brasy5G256600.1.p pacid=40073964 transcript=Brasy5G256600.1 locus=Brasy5G256600 ID=Brasy5G256600.1.v1.1 annot-version=v1.1 MFLLLLHCFFKYLLAKLMLCFRNNEQVLHAACTCLIISLCVFFIEDKTMYDVCDMVCGYVYV* >Brasy5G159400.1.p pacid=40073965 transcript=Brasy5G159400.1 locus=Brasy5G159400 ID=Brasy5G159400.1.v1.1 annot-version=v1.1 MPLGRLLAAVSARGFRFRRSLSTPRAQPRTAAAAGPAPGPAVAFASPPSISRLCVPEHLAKHSCGLPLPDPDDDVVRLLAVQACCASQDGLLLFNVQDIRAAAPILARQGADQGGNHVRRFTGLADPGQLPGMTHYVFNPCNREISSCLPEIEGPKKILAGFKLGLLTPMPPGGFGPPDRYAVAEMEAQLGLMLRFLSETGEWEIVQGSACQLPAGRRLVPDQEAVAWGGRLWWVDLTFGAVCADPFSDRPEPHFVQLPSGSVLPADMGEKAFREALRRGTHLPDAEGNLWWQREPPMYRRVGVSGGWLRYVEVSQEEPFVLSSFKLDADCGGWTLEHRVALSRLWADGGHPWLPLQGGTTPLISALDMAEASVVYISVGDQIVGVDMHKGEVTVHSPVGGGHPVLPCIITPWLATTRIPVESALEFFSLL* >Brasy5G159400.2.p pacid=40073966 transcript=Brasy5G159400.2 locus=Brasy5G159400 ID=Brasy5G159400.2.v1.1 annot-version=v1.1 MPLGRLLAAVSARGFRFRRSLSTPRAQPRTAAAAGPAPGPAVAFASPPSISRLCVPEHLAKHSCGLPLPDPDDDVVRLLAVQACCASQDGLLLFNVQDIRAAAPILARQGADQGGNHVRRFTGLADPGQLPGMTHYVFNPCNREISSCLPEIEGPKKILAGFKLGLLTPMPPGGFGPPDRYAVAEMEAQLGLMLRFLSETGEWEIVQGSACQLPAGRRLVPDQEAVAWGGRLWWVDLTFGAVCADPFSDRPEPHFVQLPSGSVLPADMGEKAFREALRRGTHLPDAEGNLWWQREPPMYRRVGVSGGWLRYVEVSQEEPFVLSSFKLDADCGGWTLEHRVALSRLWADGGHPWLPLQGGTTPLISALDMAEASVVYISVGDQIVGVDMHKGEVTVHSPVGGGHPVLPCIITPWLATTRIPVESALEFFSLL* >Brasy5G159400.3.p pacid=40073967 transcript=Brasy5G159400.3 locus=Brasy5G159400 ID=Brasy5G159400.3.v1.1 annot-version=v1.1 MPLGRLLAAVSARGFRFRRSLSTPRAQPRTAAAAGPAPGPAVAFASPPSISRLCVPEHLAKHSCGLPLPDPDDDVVRLLAVQACCASQDGLLLFNVQDIRAAAPILARQGADQGGNHVRRFTGLADPGQLPGMTHYVFNPCNREISSCLPEIEGPKKILAGFKLGLLTPMPPGGFGPPDRYAVAEMEAQLGLMLRFLSETGEWEIVQGSACQLPAGRRLVPDQEAVAWGGRLWWVDLTFGAVCADPFSDRPEPHFVQLPSGSVLPADMGEKAFREALRRGTHLPDAEGNLWWQREPPMYRRVGVSGGWLRYVEVSQEEPFVLSSFKLDADCGGWTLEHRVALSRLWADGGHPWLPLQGGTTPLISALDMAEASVVYISVGDQIVGVDMHKGEVTVHSPVGGGHPVLPCIITPWLATTRIPVESALEFFSLL* >Brasy5G069100.1.p pacid=40073968 transcript=Brasy5G069100.1 locus=Brasy5G069100 ID=Brasy5G069100.1.v1.1 annot-version=v1.1 MSDELSKPLAPYVRPSSSCTPAKLRFFLLPRAPLSAPERSRRVPRAAAPACPARPCRRRLPECPGLPARAHRLPARSRPPATPACPARPCLPATILAACPRACRPEHPGLPARARRLPARSRPPATPACPARPCLSETILAACPRACRPERPGLPARARRLPVTARRTACRPCLPERPGLAASAGRQPACRPLPACRRLRLPKSELGMLPSLLEPLTETSSS* >Brasy5G172600.1.p pacid=40073969 transcript=Brasy5G172600.1 locus=Brasy5G172600 ID=Brasy5G172600.1.v1.1 annot-version=v1.1 MPTNVDPAAQAAAQAQQQQAVQLQAQQQQAAQLQTQQQIEAQATAAAKMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQDTLPSINTAQIIPSWVVLRDNVPVFPHEQKPQESQILGRAKKLPIATTAGAGPEDPIAPSLLRAITLDAHLVQPPEISTLSLRVNFAELKDRHIVGEVLTVQDGLIVVSVHAFHVCDDQGRYFLVHDAVAAENNNTLSLIPDMANKFPPGQGPKFTDSPVALRIDDGSYALAELGFEHDKIFGDQELLPMSDAASLQTAPYGHSFQADLSFVCQGRAFWVDLLRGAVVCDLRSADTELRFVPLPEDCKWECREALARGTPTSHRTMGCVGKYSVVRFVEISVLGGGLPDDDDPPLASEVVVNTWTLSMDGNRGRWEWEKDHDMTLALRKLWKSESFERERLSRRAVLQNPVLCASEDGWVVFLLGDYYYNSRGDMLCRGEFLITVDMRRKTLVSCSHLPLNSGLYTSRDEVPEERTPGGGFDPHVPDICGGMFSWDGMLSWDGKRSLDKAKEKKRRRF* >Brasy5G188800.1.p pacid=40073970 transcript=Brasy5G188800.1 locus=Brasy5G188800 ID=Brasy5G188800.1.v1.1 annot-version=v1.1 MFRRETLLWSNFLNRCATPSSRSPILDSNSCNCSSQTTAFFLWNALETRDCFGISIFMSNR* >Brasy5G018700.1.p pacid=40073971 transcript=Brasy5G018700.1 locus=Brasy5G018700 ID=Brasy5G018700.1.v1.1 annot-version=v1.1 MSGQVIWAAGKGDSERRRRPRAAPRPPHHRLLLSYLPKISGVPAFELAVLPLTRRQQLRTAFRCSPRPRTQQSSGFCSGAMAAAAAGAHGALFPARCRCRMTTPPSVSACLLQPPRRGRGRRKACSAPSLLRLGETAARTMMGRRRGAAGLFRVRAAAGGVEIATAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALAKFAFGMGLPQVLLSTLAFAAFELPPNGAIGTKILQFLFNSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVSQSVWPMLVAESLKALGGLGILSLGGKYFIRRIFEFVAESRSSEAFVALCLLTVSGTSLVTQWLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLITTALGPRVGLTLQESIRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDVGRRAAGIIEERSETKEKPAEVANYGATEPIVILGFGEMGQVLAKFLAAPLSFGLEQDSEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVMQSAGISSPKAVMIMYTGKEETIQSVDRLRQAFPAVPLYVRAQDMSHLLDLRKAGATDVVLENAETSLQLGSMLMKGLGVMSDDVSFLSKLVRDSMEVQAQEALNNIKDREDDTMQSLQVRVSDLVEPNGNGSGMLPRQQSLSLSSRPEVRVMKAPPENVIPDLNSKVESEDGVKYCLLETADDDETVEPPAEARR* >Brasy5G018700.2.p pacid=40073972 transcript=Brasy5G018700.2 locus=Brasy5G018700 ID=Brasy5G018700.2.v1.1 annot-version=v1.1 MSGQVIWAAGKGDSERRRRPRAAPRPPHHRLLLSYLPKISGVPAFELAVLPLTRRQQLRTAFRCSPRPRTQQSSGFCSGAMAAAAAGAHGALFPARCRCRMTTPPSVSACLLQPPRRGRGRRKACSAPSLLRLGETAARTMMGRRRGAAGLFRVRAAAGGVEIATAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALAKFAFGMGLPQVLLSTLAFAAFELPPNGAIGTKILQFLFNSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVSQSVWPMLVAESLKALGGLGILSLGGKYFIRRIFEFVAESRSSEAFVALCLLTVSGTSLVTQWLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLITTALGPRVGLTLQESIRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDVGRRAAGIIEERSETKEKPAEVANYGATEPIVILGFGEMGQVLAKFLAAPLSFGLEQDSEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVMQSAGISSPKAVMIMYTGKEETIQSVDRLRQAFPAVPLYVRAQDMSHLLDLRKAGATDVVLENAETSLQLGSMLMKGLGVMSDDVSFLSKLVRDSMEVQAQEALNNIKDREDDTMQSLQVRVSDLVEPNGNGSGMLPRQQSLSLSSRPEVRVMKAPPENVIPDLNSKVESEDGVKYCLLETADDDETVEPPAEARR* >Brasy5G509000.1.p pacid=40073973 transcript=Brasy5G509000.1 locus=Brasy5G509000 ID=Brasy5G509000.1.v1.1 annot-version=v1.1 MYKATHEASPLLSLCKQATHEATFSRFLSASKQRSPLLFLRRHRPPTTGAAAHPHHRPQHRHPSPPPAPAPPLIPTIPSSAALPQRPERRSPMPRPLPPPRAPRPLSPPRAPPPIPTTGPSTAALPHHRPQRPRSSPLSPAPPPFPSAPSAAAPCRGLSSHPERRGLSLHPERRGHSLLPERRRPSPPPAPAPPPFPTTGPSVPAHPHYPQRRRPMPRPLQPSGAPRPLPPPRAPRPLSPPRAPPTFPIPNAAEAAASLYLRRRQPLHSPRANQMRRGRVERTLAGGEGRRPIPLAQLRWGLLIRRMSLGAPDGDFGSGQVWGSAMGGLLDVYFC* >Brasy5G380700.1.p pacid=40073974 transcript=Brasy5G380700.1 locus=Brasy5G380700 ID=Brasy5G380700.1.v1.1 annot-version=v1.1 MTVMFCLWGGTQKILLVKYCMVSTVKHVTVTHQLDFQLKSHHIDECHQVGPTESYMVIYTQLEALSLSLGCFAFDSQVCLFEIVQYSLVFSRPIN* >Brasy5G460700.1.p pacid=40073975 transcript=Brasy5G460700.1 locus=Brasy5G460700 ID=Brasy5G460700.1.v1.1 annot-version=v1.1 MERDCEFFRGGEEVLTTLSKPSSSRLQSGLASSLRLKKGTISPQKNIEKSRSSNSRLHSDNVRAPPLKKTVKYAALSLHKSRPSSFSLDNGRALVPRKTKVSRASLARPHNDRVSRPNDDEKSRHDRVSRPKDDEKSRLSRLGDESSSSKLEMLSSRGSKDDLNSTFHIPKKTHPFSVVHNSSNAKQMPIGHVQKEDLVIRNHRRDPGVNYCSEITHGLQFNDDKSKGKMTKPLLEEKLGTSMKRTKPHDDSDSKEVNNCDDDGDEDLLGVEVGTDTLTTSTVVVKDRCTEVPNPLVLESSKVQEQSDLPIDEPIWRVWKISKSLRPVVEVTKLSRLEAWPKSFEASRPIDESIALYFLPHEMRQNVDLDQLVKEVTENDMVLRAVVEEAEMLIFPSILLPERHQKEKHASPRKGHRVAGLDMGLEPEEVEKEVTEQEQTPSFAQPKASRPAEGTTIPTQTPTIRATMYANHGQIPSCFGVSQGVSTGPFFGFVAGQTPRLEKLIKEMQSEGAVVFAMRGEMIGSGLGQGSTRA* >Brasy5G040800.1.p pacid=40073976 transcript=Brasy5G040800.1 locus=Brasy5G040800 ID=Brasy5G040800.1.v1.1 annot-version=v1.1 MQRSTKSSYITSRRLPQALGESYRFLNVRCLQEHARIFDRADVDGNAQNGPIVRSSFASLIDALGTLIRGAEA* >Brasy5G040800.2.p pacid=40073977 transcript=Brasy5G040800.2 locus=Brasy5G040800 ID=Brasy5G040800.2.v1.1 annot-version=v1.1 MQRSTKSSYITSRRLPQALGESYRFLNVRCLQEHARIFDRADVDGNAQNGPIVRSSFASLIDALGT* >Brasy5G112000.1.p pacid=40073978 transcript=Brasy5G112000.1 locus=Brasy5G112000 ID=Brasy5G112000.1.v1.1 annot-version=v1.1 MSSSVGGGGGSSAAGSGGDIAGLLDKAKELDQLRKDQDEVAIEINKMHKKILASPEMVDKSADTILVRLRALYVHAKELADSEVSTSSAFIGLLDGLLQSGVSAAPRKKIEVGEQKKKRMKSDTDTTRFSSTSMRSQLDQAANLKGEQVAARVKSDEEKDEWFVVKVIHFDKETKEFEVLDEEPGDDDESGQKFERKYKLPMPYIIPFPKKGDPSSAQDFGQGRQVLAVYPGTTALYRATVAAHRKRKSDDYLLWFDDDEEDGNLPQRAVPFYRVVALPEGHRQ* >Brasy5G112000.2.p pacid=40073979 transcript=Brasy5G112000.2 locus=Brasy5G112000 ID=Brasy5G112000.2.v1.1 annot-version=v1.1 MSSSVGGGGGSSAAGSGGDIAGLLDKAKELDQLRKDQDEVAIEINKMHKKILASPEMVDKSADTILVRLRALYVHAKELADSEVSTSSAFIGLLDGLLQSGVSAAPRKKIEVGEQKKKRMKSDTDTTRFSSTSMRSQLDQAANLKGEQVAARVKSDEEKDEWFVVKVIHFDKETKEFEVLDEEPGDDDESGQKFERKYKLPMPYIIPFPKKGDPSSAQDFGQGRQVLAVYPGTTALYRATVAAHRKLPSLV* >Brasy5G212100.1.p pacid=40073980 transcript=Brasy5G212100.1 locus=Brasy5G212100 ID=Brasy5G212100.1.v1.1 annot-version=v1.1 MDAAVEGTMGLLIGKLGDLLTGEYKLLEEANDDIKFLKAELDSIHKMADAEEEPDEQTKCWVDEVRELSYDIEDNVDDFVLHFKQESNDNPQHGFRGFFDSSKEMFNHKETMKEFQGLKRRLVEASERRTRYKLDDSIPKTDNTAIDLRLQALFVEPAGLVGIDRPKEEIIQVMMDEQDVSAQKLMVLSIVGFGGLGKTTLANQIYRQLEKPNIRKILRNILSQLGYSAQKETNMAIWDEDELIRTLQEFLKNKSL* >Brasy5G279600.1.p pacid=40073981 transcript=Brasy5G279600.1 locus=Brasy5G279600 ID=Brasy5G279600.1.v1.1 annot-version=v1.1 MRNPNSRAKECEVAAKQHIDLIPGMPDDVAVDCLARVPHGSYRSMRRVCRGWRTAAAEPAFALARAEAGANEDLVFLLQFSNPAAPESAAQAAYGVAVYNVTTGEWHRDLAAPPVPTFAQCAAVGSRVAVLGGWDPQTFEPVADVHVLDAATGVWRRGAPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAEADGWDPLPDMSEERDECDGMATVAGDKFLAVSGYRTGRQGGFERDAEWFDPATREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEWRGERRGWLEVGPYPPGLKPGTARAVAVGGGEQVVVTGAIESEGGGRHALWVFDVKSKSWTIVRPPPEFAGFVFSLASVRV* >Brasy5G487600.1.p pacid=40073982 transcript=Brasy5G487600.1 locus=Brasy5G487600 ID=Brasy5G487600.1.v1.1 annot-version=v1.1 MGTPCIPIFILLLLSSFCKSDDQLTRAKPLFPGDMLISKGGDFAFGFFSPTGSNTSLYLCIWYHNIPERTVVWTANRDNPVTTSSSPMLVFTNGSDLVLSDSQGRTPWVVKNNITGMGIYAVLLDTGNLVLRYPNNTILWQSFDHPTDTILPQMKILLSHEARVVSLLVAWKGRDDPSTGDFSLSLDPILSLIIWNGSRPYCRFSMLKGPSVSGGIYQNTIIYEVVSGTGGKPYYEFTVSEGSPYARLKLDYMGVFRTLSWDNRSSWKSLSERPASSYDLYGSCGPFGYSDYMGAVPTCHCLDGFELLDEFEPVGLNSSSKCRRIEALECGKQSRFVPLYTMKVPAKSLPVLNISIDQCENECRNNCSCTAYAHTNISSSGASQSTCLLWTGDLIDTGKCGSNGENLYLRLADFPVQKKSKLVKIVLPIIACLLIITCIVLIRICKYKANKRQKKEIHKRLMLGYLSPSNEIGDENVEFPFVSYEEIVATTDNFSDLKQIGRGGFGKVYKGMLAGVNEVAIKRLSKDSGQGIKEFKNEIVLIAKLQHKNLVRLLGCCIHGDERLLIYEYLPNKSLDAFLFDATRQYVLDWATCFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDSEMTPKISDFGMARIFGVNQQEANTTRVVGTYGYMSPEYVMGGSFSVKSDTYSFGVLLLEIVSGLKISSQQPTMNFLSLTTYAWRLWEDGKAAELVQSSIIESCPLDEVLRCIHVGLLCVQDHPDDRPLMSSVMFMLENESALLPEPKLPAYFVQRVSETEKSRENIENSVNGVTITRHEGR* >Brasy5G204200.1.p pacid=40073983 transcript=Brasy5G204200.1 locus=Brasy5G204200 ID=Brasy5G204200.1.v1.1 annot-version=v1.1 MARTLQGPAHHGNGMPRSRRRTRTRTLVVSLPSHQNPRSAAADHGDGGGPESEEPVARRTRSRRAAAAIAVLPLDVLLWDILIRLPAAAVLRCRAVCRSWRGLATDPGFLLAHHRRQPSLPLFLLSSHNPAGRPERGQPLLGFDDDDHGHRGRFTLHASCDGLLLTSNGRSFSICNPATRQHAPVPGLSAAGCTCINAMYLHRPSGEYRILYSYDSMCYILRVPLARKPRCIVLPAGVEGGVNPRPPVMSRGCLHWDPSCDGAVAVFDTVAESFKSMRLPAAASAGSSSCTRLHDMEGMLGLSCFGDNGTVAEVWVLEDYDREAWSLRYKINLSSESMFNLAKRQLVLSHEGDMLLYGDSGSHMVHYEGKFLEKFQWESWASTLTGHLFKESLVKHAFFPKPCSAPTAKHRCLFTRL* >Brasy5G484600.1.p pacid=40073984 transcript=Brasy5G484600.1 locus=Brasy5G484600 ID=Brasy5G484600.1.v1.1 annot-version=v1.1 MAAAAAPVYRRVMKAVQKHVGVGADKKHFREFVAAEFRCPTGTEADVRARLRLAGDYAYLLTSVQHQKELLFSYNIAVDRSEEMRKTLNKSAASVGLQLPDVYQP* >Brasy5G450000.1.p pacid=40073985 transcript=Brasy5G450000.1 locus=Brasy5G450000 ID=Brasy5G450000.1.v1.1 annot-version=v1.1 MDGARPDRRCRWAVTRGAGQSPAAVGGAVCGAATARGADGGLRRDSGDSVWGGQRCGPRARWPTTRLPAVASRAATTRSLRGAAATRPCARSGGGPRRGRRTAEVPGAAAARGAGVGQRRRYAGRGRRRRCAREDEGEKQEEEGPDRRKKTREDDGGGHGSSWARGLATRCCAGRRGGGDAAGGGGRRGRVGGGNGGRRRRAREKREEARGKRREKEKRGTGASLGSLGMAPGVRTQFAPPLSAGGPFADGKVVTNGDGRISSPADPFARIGRSHPTASGGAASRATRWPVSPGHRLLRRVPHAGFKKWPRCGSWIESLRRTGGGRDLDEDLETDPPPRTPDLTAFSPPCAPLASTTALGVGADPVWRWSSASFRCSVAVDPVRCGGGGRRMGGSGAGRWPGRELDGACHQQSSSSSSSGCPLPAAHAAPRRRRHGLIFSVSFFARRLDLPSCLIKEEFL* >Brasy5G506000.1.p pacid=40073986 transcript=Brasy5G506000.1 locus=Brasy5G506000 ID=Brasy5G506000.1.v1.1 annot-version=v1.1 MSSLLPKLAELLKKEYNLQTGVKKDVESLLRELESIEVALTKVAEVPLDQLDKQVRLWARNVKQLSYEMEDVVDSFMVRVDDDKPDAKLNNLKCFREHIANFFEKIKTHQIANFFEKIKTRRQIAVKIQEIKVRVKEVADLRDKYKVDLVGTNPGTASTIDPRILALFKDQRELVGIEGPRDELIKRLAHGADDVSNSGKQLKMLSIFGFGGIGKTTLAKAVYDKLQANFFCRAFVSVGQNPNVKKVFTDILLQLDEQSCTNATMLNETHLITKLRELLENKRYLIVIDDIWDKSSWNTMKCAWIDSNCGSRIITTTRILKVAEEAGDVYKHKPLSPAKSKELFYKRLSIDKSKTTYDEPVEISEKILQKCGGVPLAIITIASMLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEKPYESIIHACRVHDLVLDMICYLSKEEIFVTIHGSSNTEPQPSQSNVRRLALQNIAMDEEPNSDNTEIRQVRSFNAIMCRVNRRSFLSSFHGLRVLSMERCTFINDGCYHLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRGTGIEELPESVGQLRRLKCLRLPYGFTGAMGWIGNLVFLEELLLPDVSLEIAKELGKLTELRDYVACFNKSDDPMVDSILFNNMMKSLEQLEKLQAIQVSSHTSWAHVDPAYCEGYVPSRHLRRLELRVAIEKLPAWINSSSLPNLSHLTVGPKAVEEQDVEVLGRLPELVYLDLQTDRDVIIPDVMGGGAFPRLRCYYTAASVRFLQGAMPSLECLVCYIDDEGNGAKFETDIASIGNLPCLDRVIVDFWCERGTREKGEAALRQAVEVHPNNITLEVGGRSW* >Brasy5G036100.1.p pacid=40073987 transcript=Brasy5G036100.1 locus=Brasy5G036100 ID=Brasy5G036100.1.v1.1 annot-version=v1.1 MARVQGLGRRGLCRPEGRGQRGTRAWTGTAAMPPRRDAGWTAAVGWFCEGCLVAGARRVPVAAWRRRGTEVAGPGRRRLGARRDGSWSAVATVARARARGPTWISGRYGVRRRGRGVGGARARRRRGLADGRVAASIGLAACIGGAEKGAAGIGFGRTTDDGGVAAWGRERARVRARGGDRRNGGGRGSAQQGGRGGVDGVRARGDREVGAREGHKT* >Brasy5G066500.1.p pacid=40073988 transcript=Brasy5G066500.1 locus=Brasy5G066500 ID=Brasy5G066500.1.v1.1 annot-version=v1.1 MPIENTKNPLLSVATVHLEKKFHPSLSAINSTNQRILLSGPSGYEIYQDKLIKALAKKFGASLLIVDSLLLAGAPSKVPKTLKDVNMSRPGDRVKYVGRYQRYLYPREKRYQRGPSKGDLGRVLLAFENKIYPKVGVRFDKKITDGNDLGGLCEEDHGFFCPVNELRPEVERFTTDELIEVISDESKASPLSVLVKDVEKAFTRSTELHASLGNKVLSGVLIIGSHTQTDSQEDKPHQTGLFLGFGSGSQALIDMYSGLFGSKLQERNKEATDSMKHLNKLFPNKICIELRRTKGSSQI* >Brasy5G471700.1.p pacid=40073989 transcript=Brasy5G471700.1 locus=Brasy5G471700 ID=Brasy5G471700.1.v1.1 annot-version=v1.1 MEVETAMAPAAAAAQLLGRVLELSSPSQGAGDGGSANGAATRVQKVYRSYRTRRKLADSAVVVEELWWQALEFAQLSHSTVSFYDDPEPETAASRWNRVSLNASKVGQGLSKDAKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCKSQAGQPFFYWLDIGEGKDVDLPECPRARLKKECIMYLGPQEREYYEYIITEGSIINKMSGEPLDTSHGPKGTKWIFVMSTAKKLYAGKKEKGVFQHSSFLAGGTTIAAGRFTAENGAIKSIWAYSGHYKPSAENLSNFMSFLEENGVDLKEVEVRSSTNEDYYENPVPNSTQNAAAAFMASNPPQLITPPNMVEESKASDPSSSSQAKGAEGDNVRLEQARPTYQRTLSGGLQSPRDTGVSQEAILERVNSKSKSKSYQLGHRLSLKWSTGVGPRIGCVKDYPMELRMQALEMVNLSPRASTPSASWRVPACLSPTLPTSPLLPMQTSS* >Brasy5G433700.1.p pacid=40073990 transcript=Brasy5G433700.1 locus=Brasy5G433700 ID=Brasy5G433700.1.v1.1 annot-version=v1.1 MEAAAAGSRRRPALRLLCPKKSLLSSASPSLRWLVGAPRVLEPVIVIAALRCLRSLSDDGPFSPDLPHEADEIRGLLVRGFDIVGALLVGSADFESDAGRALELARALRERLFGERASHGMVGGCVDAATGEVRFRVAESDGSEMVEVQEIVWEDEPGWLLLEKGCLLRCELPLQLPLYIPSDDMSGIKARFSSLIESTAADLRDPCVSYLVEGPTATSDGSQQSVILHGNDLKSVSHLPNNLSTNERSAKIGSCSEFLPTKRYDLSLTRENADVIQITVLSNQSVNNSKAGAPIPVVKYFPAPPSTTLRVINLKLDILCYTSIDVPVAAAVSEFVIPGLADQLSIMKKAIVSELLNQQPQLCPYHFIPPGLLIPVTAIYDTRYGEIEEKQSELRRNLHCRLGLPLDRPLLRISNAVTFDGIGGRDKSSSRNDSSLLRDVHREIPSSGVSGGIVSLIDGSYEYYHYFHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSIKVPSHREIQQVLVEIRDKDPSFIGSREWIGAIELSFVLDKLLGASCKIINVRSGDELPEKCRELAVHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNSGWCGWKKSVDNKGRSFFLKDKFYNLLLPQRPDMV* >Brasy5G135400.1.p pacid=40073991 transcript=Brasy5G135400.1 locus=Brasy5G135400 ID=Brasy5G135400.1.v1.1 annot-version=v1.1 MHPSRLTHSRPQCNTELQAVSRDYLLRVEISDDMWPPDDPRAASLELSLGPPPLRGTVQQHVGSAAAPLPAIDEDQQTKGARGSRPGRQEVEEILRLLEDAMRCENMVAACLAKAAKLNKEARDMLESAYRELQLQQIISSHDNSAPGGHADDANTSRPGSKVRNLKDQILQPESRQKRRIVPSGGAGGTAEPMRDSFARAGAAIIGSMQILGSQVPPQQQIAATTAGSSALPSRPPGW* >Brasy5G135400.2.p pacid=40073992 transcript=Brasy5G135400.2 locus=Brasy5G135400 ID=Brasy5G135400.2.v1.1 annot-version=v1.1 MWPPDDPRAASLELSLGPPPLRGTVQQHVGSAAAPLPAIDEDQQTKGARGSRPGRQEVEEILRLLEDAMRCENMVAACLAKAAKLNKEARDMLESAYRELQLQQIISSHDNSAPGGHADDANTSRPGSKVRNLKDQILQPESRQKRRIVPSGGAGGTAEPMRDSFARAGAAIIGSMQILGSQVPPQQQIAATTAGSSALPSRPPGW* >Brasy5G270000.1.p pacid=40073993 transcript=Brasy5G270000.1 locus=Brasy5G270000 ID=Brasy5G270000.1.v1.1 annot-version=v1.1 MLPSKRGADDLTRFSADNKRTCSGEEATDLLVSDIWSELSEEVASKLSRSVVSIALSNGQSVLYASSGIVIERGCNFTKFVTSASLVRALHDSETNGHDKLEIKVRHEGNVDIGFLEEYDLDLEIAVVKVMSVLDVYCMPINHQVQFDPHGRKVVAVGCDISGSLLATSGTCTDSRGSQYSRYVMFSTCKLSEAMQGGALFDFYGNFFGMNLFWDMERPIFLPRSIILERLGHFHTSLKKSIFLNLVKPVRDKRRRRRIGVKLFSHPGGEYATTRHWLSDVSLF* >Brasy5G270000.2.p pacid=40073994 transcript=Brasy5G270000.2 locus=Brasy5G270000 ID=Brasy5G270000.2.v1.1 annot-version=v1.1 MLPSKRGADDLTRFSADNKRTCSGEEATDLLVSGQSVLYASSGIVIERGCNFTKFVTSASLVRALHDSETNGHDKLEIKVRHEGNVDIGFLEEYDLDLEIAVVKVMSVLDVYCMPINHQVQFDPHGRKVVAVGCDISGSLLATSGTCTDSRGSQYSRYVMFSTCKLSEAMQGGALFDFYGNFFGMNLFWDMERPIFLPRSIILERLGHFHTSLKKSIFLNLVKPVRDKRRRRRIGVKLFSHPGGEYATTRHWLSDVSLF* >Brasy5G026800.1.p pacid=40073995 transcript=Brasy5G026800.1 locus=Brasy5G026800 ID=Brasy5G026800.1.v1.1 annot-version=v1.1 MQSQIVCHGCRSVLLYPRGAPSVCCAVCHAVTSAPPPGMEMAQLICGGCRTLLMYTRNATTVRCSCCDTVNLVRAGPPVSSIAHVNCGQCQTVLMYPYGASSVKCAICNFITTIGMNTVRPLPPTMPAPNGSQYSVPSTSAPSTPSQNVTVVVENPMTVDEKGKLVSNVVVGVTTGGKK* >Brasy5G251600.1.p pacid=40073996 transcript=Brasy5G251600.1 locus=Brasy5G251600 ID=Brasy5G251600.1.v1.1 annot-version=v1.1 MRCDITITEDISSVETKGDQFVAVPPSNLHLHLGDLLKNMDGADVTFQVGGQKFSAHRYVLAARSSVFKAELLGDMKEKAGGPIEISDMEASVFKSLLHFVYTDSLPELEMDSNLGEAHEDVVMAGHLLVAADRYNIGRLKLICEHRLCGNIDANMVATSLALAEQHSCHGLKEACLQFLVSPSNVQAMMTSDGYEHLKSSCPSVLKELVARFLPAELKVVFMSI* >Brasy5G130800.1.p pacid=40073997 transcript=Brasy5G130800.1 locus=Brasy5G130800 ID=Brasy5G130800.1.v1.1 annot-version=v1.1 MELLAAGLDVPLLPALLSALAISLTICYVLFFSRAGRKGLPPGPRGWPVLGNLPQLGGKTHQTLHELSKVYGPVLRLRFGSSVVVVAGSAGAAEQFLRTNDAKFCNRPPNSGGEHMAYNYQDVVFGPYGPRWRAMRKVCAVNLFSARALDDLRGFREREASLMVKSLADASAGAPVVAVGKAANVCTTNALSRAAVGRRVFAAAAGGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNGIIAEREGGVCGTADGKEKDLLGLLLGMMQEEKSLTGGDEDDKITHTDIKALVLNLFVAGTETTSTIVEWAVAELIRNPDLLQQAQEELDAVVGRDRLVSESDLPRLPFFTAVIKETFRLHPSTPLSLPRMASEECFVAGYRIPKGTELLVNIWGIARDPALWPDPLEFRPARFLAGGSHADVDLKGTDFGLIPFGAGRRICAGLSWGLRMVTITAVTLVHAFDWELPAGQTPDKLNMEEAFSLLLQRAVPLMVHPVPRLLPSAYEIV* >Brasy5G131800.1.p pacid=40073998 transcript=Brasy5G131800.1 locus=Brasy5G131800 ID=Brasy5G131800.1.v1.1 annot-version=v1.1 MPTERKREPEGDGSTATRLRRRIDVPNMPMCLVVEHKAEKPAAYSILTAGTMVPLHLSQRGMSFASVESPHGSWIVGVGGVNHLHAVIYDVKASQEWQGPFLNTVRMEPVLIPLRGDLYVLSRRPSLKPGAILLDFVPWFERISFKDGRPSNTTSYGHLEPPPIFPCRINPIEYNDPPAIRVASYATVGSHILISVELDNVTADKVDRKYIGTCGYDVDRKVWDMVHEMNLPFVGQAVPLSDQLFLARSKVRDGAAAVYFMSVFQSASGMNELSITEVKVVVPKGRIVLRELLFPLERGRFSSVCVQYDDPGPMSKLSKATVVHRSYCMVRNNAETNSVVILKQQRQVYRLIDRSSPLAHPSPVVAAFAMEAE* >Brasy5G131800.2.p pacid=40073999 transcript=Brasy5G131800.2 locus=Brasy5G131800 ID=Brasy5G131800.2.v1.1 annot-version=v1.1 MPTERKREPEGDGSTATRLRRRIDVPNMPMCLVVEHKAEKPAAYSILTAGTMVPLHLSQRGMSFASVESPHGSWIVGVGGVNHLHAVIYDVKASQEWQGPFLNTVRMEPVLIPLRGDLYVLSRRPSLKPGAILLDFVPWFERISFKDGRPSNTTSYGHLEPPPIFPCRINPIEYNDPPAIRVASYATVGSHILISVELDNVTADKVDRKYIGTCGYDVDRKVWDMVHEMNLPFVGQAVPLSDQLFLARSKVRDGAAAVYFMSVFQSASGMNELSITEVKVVVPKGRIVLRELLFPLERGRFSSVCVQYDDPGPMSKLSKATVVHRSYCMVRNNAETNSVVILKQQRQVYRLIDRSSPLAHPSPVVAAFAMEAE* >Brasy5G422300.1.p pacid=40074000 transcript=Brasy5G422300.1 locus=Brasy5G422300 ID=Brasy5G422300.1.v1.1 annot-version=v1.1 MANPRGIVYGDGTVLLYSFTASRPWQKDFTAALLRPGDATWTVTTKGSFSQLEATRHSSAAYHGGTILACAQVQQWCLVTPVKGDWTRRPLWWHSHDGSYRRGNNYVVVSRGELLWASVLVDPDKLAGSSGPAGGGDLGPALSVEVRALKVLDGPGADGSMLQRVKRDGRSLGDRVLFLGSPASFAVDAARLGVSGGSVYFFFRGHVLMYSLLESKAELVERLPPGWGADEACVWLSPQPTIAPLSENRTKLEPPNKKQKVQNFQEKECD* >Brasy5G430400.1.p pacid=40074001 transcript=Brasy5G430400.1 locus=Brasy5G430400 ID=Brasy5G430400.1.v1.1 annot-version=v1.1 MGSRYEVEVTVGSAKDLKNVNWRNGDLKPYAVLWIDGGAKCSTRVDLDNGENPSWDEKVVVPLPPTSRLQDAVLYIDVVHANAAEGTKPLVGSARLPLRDVVDDAGIGGRASRNLRLKRPSGRPQGRLDVRVAVKEPSRYYDPNPGYPAPAGYPSSRDPYAAPAPPSGGYGGYGGGAPPQPYAAAPPSGYPAYGAAPPQPAYGAAPPAYGAAYGSAPQPAYGAAAAAPPAAAYGATSTAADPNKKKGMGMGTGIAVGAAAGVLGGLALAGGASYVENKFEERVAERVEEDSYGGDYGGDDDY* >Brasy5G252100.1.p pacid=40074002 transcript=Brasy5G252100.1 locus=Brasy5G252100 ID=Brasy5G252100.1.v1.1 annot-version=v1.1 MLMSSFRPQTNVSRHLLTYLLHHYSVYEIPVYLLPIVLFLYRYKLSLKVTDDTASMETIAFSFVAKDLLEQTAMQASQNMKIDASDHAVALEKAIGKKRLFSIGMNPKYFSRFSINHVLKRSYKIHDDTSQVNV* >Brasy5G303100.1.p pacid=40074003 transcript=Brasy5G303100.1 locus=Brasy5G303100 ID=Brasy5G303100.1.v1.1 annot-version=v1.1 MLPETAARWPEAASRRPEAERPGTRLIAQRGGRGGGARHRGGGGRSDFGVDAARGCADFRRRLEPAARTAADRRLGDESGGGWETNPRRTKAAAGGRIRGDEERYSSPGGISAGNGRRPAARERQKEDVAAPWPERSVREPTRQGRRHHETAGRRRRLSRRRWRHHGFTGERRRGKDSWGGGGFGLGWNPSRHWRRRGGIARGSGKKRRPNR* >Brasy5G404500.1.p pacid=40074004 transcript=Brasy5G404500.1 locus=Brasy5G404500 ID=Brasy5G404500.1.v1.1 annot-version=v1.1 MREQKEIDQFVNELAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLSEHLHSALSARCLLTWDDRIRIASEAASALAYLHSAASIPIFHRDVKSTNILLTDNFTAKVADFGASKSISIDETRVVTAVQGTFGYLDPEYYHTGQLTEKSDVYSFGVIIAELLTRKKPIFLDPWGEKQSLCRYFLQRLEDNPTMEIFDSQVLEQGTGRQIDEMASLARMCLRHRADDRPTMKEVELRLQLLRGKMVMKKKHELERNNDAMQLLPLNYHSNYFSAQHDEFFSAASSSSRGVTRCYTMEQELVSWTDLPR* >Brasy5G269100.1.p pacid=40074005 transcript=Brasy5G269100.1 locus=Brasy5G269100 ID=Brasy5G269100.1.v1.1 annot-version=v1.1 MAATSTPLRLLSPSAPNPQDPGPRIYPSLLACPTRRRLLRAASCSPSPPPPSPPLDFPLLPFPPAEVLIPSECKTLHLYEARYLALLEEVIAIGSINCFKALYRRKNSLVHFVLDPVLSSSSKDSFAVRYGCLVQIESVQKLDLGALVSIRGLCRVNIKNLLQMEPYLRGDISPMMDKFCGGTELGMRISKLREGMCNLHSLQMKLKVPEDEPLQTNIKSSLLWSEKEIFEDYDNEFIPGLPERLSFAAYQSVSGMSDAELLTLQKYKIKAMDLTDTLERINSGIEYVEHNIGMIAARLAIQNI* >Brasy5G269100.2.p pacid=40074006 transcript=Brasy5G269100.2 locus=Brasy5G269100 ID=Brasy5G269100.2.v1.1 annot-version=v1.1 MAATSTPLRLLSPSAPNPQDPGPRIYPSLLACPTRRRLLRAASCSPSPPPPSPPLDFPLLPFPPAEVLIPSECKTLHLYEARYLALLEEALYRRKNSLVHFVLDPVLSSSSKDSFAVRYGCLVQIESVQKLDLGALVSIRGLCRVNIKNLLQMEPYLRGDISPMMDKFCGGTELGMRISKLREGMCNLHSLQMKLKVPEDEPLQTNIKSSLLWSEKEIFEDYDNEFIPGLPERLSFAAYQSVSGMSDAELLTLQKYKIKAMDLTDTLERINSGIEYVEHNIGMIAARLAIQNI* >Brasy5G269100.3.p pacid=40074007 transcript=Brasy5G269100.3 locus=Brasy5G269100 ID=Brasy5G269100.3.v1.1 annot-version=v1.1 MAATSTPLRLLSPSAPNPQDPGPRIYPSLLACPTRRRLLRAASCSPSPPPPSPPLDFPLLPFPPAEVLIPSECKTLHLYEARYLALLEEMEPYLRGDISPMMDKFCGGTELGMRISKLREGMCNLHSLQMKLKVPEDEPLQTNIKSSLLWSEKEIFEDYDNEFIPGLPERLSFAAYQSVSGMSDAELLTLQKYKIKAMDLTDTLERINSGIEYVEHNIGMIAARLAIQNI* >Brasy5G253200.1.p pacid=40074008 transcript=Brasy5G253200.1 locus=Brasy5G253200 ID=Brasy5G253200.1.v1.1 annot-version=v1.1 MADYFCCMGDQQLDLDDLHRLLPREILADIGIGDDEGTTSAAAFVDMEELAERLSGILSPSGASRRRQQQRQPPPPTMAATNQGCRGSIMNRDGELMMMGAACYHYSPVLGTKGVNGGATPFDVQGMQHWARRFAAPAIGTKNASHRPLLLPPAMRHGGSAGTGVFLPRADAYQTRPACSSRQARAGLQRKEVNNNNATMMIKPQRQ* >Brasy5G224200.1.p pacid=40074009 transcript=Brasy5G224200.1 locus=Brasy5G224200 ID=Brasy5G224200.1.v1.1 annot-version=v1.1 MPSPTLVSYNTLLSGYAASSGHLDDALHLLDAMPERDAWSWNTAISGLARAGRLRDALRRFMEMTRGPVAPDAFTYSIVSPCCCGGGLESAWQVQARALKAGAFADACVGTGFVRLYSGLGLMGDARKVFEGMPERDLVAWNVLLDCSMRSGEEGSCLLDFVTMIGGGVRPDEFTFATVVNGMAERFACLEAMQVHSVVLKSGYLKDLFLCNSLLNVYGRCGYVDLAKNLFEAMPEKDVVSWTALISGLAASGHQADAFETFRQMRGAAMVPNSFTFGSVVSSCACVNDLTGGRQCHALVVKHGLDSIPTVASSLLDMYSKCAEMDDATRMFAMPRRDIVSWNAMICGLAQNGRSARALELYDEMLRLHQESITPNSVTFVGVLSACSHVGAVEKGCSYFTQMVNDFHIEPVPEHYSCLVDLFARAGLLDEAEGIISNSPFKHDAIILGSLLNGCRKYGNLDMAKRIAKRILVDNSDNASTIFLLSNMFIANEEWNDASELRKAVISSGTRKVVGNSWIDVGGKVHCFRAGSSPDQLLESIYDVLQQLRLMMVDADRPIKDNNCLY* >Brasy5G401100.1.p pacid=40074010 transcript=Brasy5G401100.1 locus=Brasy5G401100 ID=Brasy5G401100.1.v1.1 annot-version=v1.1 MMKPQHGVAAGGGGGHGRRTPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLHHPPPHRRHISRPRPRPHHGSVATTAAGASNKPAAAAVMPAMAVGRAAAGCDVGLGEWVYDEAARPLYQEEECPYIQPQLTCLAHGRPDTAYRHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRAIPESSKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRVADRIVRGTAIEKHAKFWKGADVVVFNTYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVEKNMNPKTSRVFFVTMSPTHTTSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKIPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP* >Brasy5G256900.1.p pacid=40074011 transcript=Brasy5G256900.1 locus=Brasy5G256900 ID=Brasy5G256900.1.v1.1 annot-version=v1.1 MVAPMDKRKGILELRDRLDKTLASPDLTDEGSLQSLVKKQILESSLPGSDEGTIDVIAEARTKEVSNFLEMLNTSVNELPLKIDGAQHKEWKVKQDTDQLRVMYREGPDGAPFHTLLAEGFADGPIDVCTCVSWESALYRKWFPQYNLPTFKIAQSGCLKKIRVGEEISLIRVKVPWPVSEREALLHYFVFEYLKEDLVIVIMKTISDLENINLETHGFTRDGIPEAGDTIRMDVVGGFVLQRITKEKSFFRAVANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVATCDKDYKKALRAPLYARIREYQCPADKAKVAPIEKTTNEVHPENHTVHNPLAITNLVPSSEIVEEESEQNTSFTANFSNQPAEHEKQVENKPFISPEVEQALGILDNAIAILQSNKTGNIGALQKFLGYAASSEGSATGLRISETDNLPNGYLVTTPPQDSRETRHAYSLPKEEDAFDNDSPKNATASAVAKTKSMTLRSTIKVHEEESLNTNRLRQNGFHIEKGPKRGRQANKWLCCLTPSTI* >Brasy5G258000.1.p pacid=40074012 transcript=Brasy5G258000.1 locus=Brasy5G258000 ID=Brasy5G258000.1.v1.1 annot-version=v1.1 MANRGGGDFASGGGGFNQGNGNQSRGGFGRGGGFNGDGFAGGRGDFVGSGDHGFQSGYGGGGYGGGFGSGGYGGGGRGAGGFGGGGFHPGRWNPRPSSGYHARHGGAGFGNRRRSDFRAGRGDGGRGCGSSTDRGRGQSSALGARDPSQASGTAAETSSAAADSASAAVVHSTAVVASSAASSCSRGFGYSCCSNAGLGDGAGGFGACGSGGHGGSVAHPAVVSDPAASSVALTAAKGKTAAVLPIVESIVGGWYFF* >Brasy5G162000.1.p pacid=40074013 transcript=Brasy5G162000.1 locus=Brasy5G162000 ID=Brasy5G162000.1.v1.1 annot-version=v1.1 MADTRNSVKEVVFEKSKHDRIKKGSLVDGSEDGLGVLTYEMPQDILFDVAARQQLPQALQDDLVKQNIKQGDTGRKAA* >Brasy5G188300.1.p pacid=40074014 transcript=Brasy5G188300.1 locus=Brasy5G188300 ID=Brasy5G188300.1.v1.1 annot-version=v1.1 MVASGPAAALALKLAVLALLIVPSMGRCRQPAPSPPPCKQYCFPDCESCRRQVIESCTAGCPAGTSCDCNGAADRSCVSACSTVQCQPCLGGLRQQCQDACAAPQTAKTASISTNKQSSS* >Brasy5G275600.1.p pacid=40074015 transcript=Brasy5G275600.1 locus=Brasy5G275600 ID=Brasy5G275600.1.v1.1 annot-version=v1.1 MQAATQPRDPARRNQRHPPAAASTAQTSSFLLAPLFKATPSLLPPLPRTPTQAQPQTPTPPSAVVREGRKAGSREREAPPSLPFRLRSPPDPSVPRYLPPPSGLSRRGRHHGSRGRPRARRPGCAGGAARRTRRAPVGRGQPLRPPLPRRRRPPPPPNLIGCVAGPPER* >Brasy5G489700.1.p pacid=40074016 transcript=Brasy5G489700.1 locus=Brasy5G489700 ID=Brasy5G489700.1.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGTYGYMSPEYVMTGSFSVKSDTYSFGVLLLEIISGLKISSPQLIMNFPNLTACAWRLWKDGNARSFVDSSVNENCPIQEVLGCIQVALLCVQEHPNARPLMSSVVFMLENETTSLPAPEKPAYFGARNLEYGHTSEDMENSSNTMNFFRVCMFVFHGR* >Brasy5G489700.2.p pacid=40074017 transcript=Brasy5G489700.2 locus=Brasy5G489700 ID=Brasy5G489700.2.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGTYGYMSPEYVMTGSFSVKSDTYSFGVLLLEIISGLKISSPQLIMNFPNLTACAWRLWKDGNARSFVDSSVNENCPIQEVLGCIQVALLCVQEHPNARPLMSSVVFMLENETTSLPAPEKPAYFGARNLEYGHTSEDMENSSNTMNFFRVCMFVFHGR* >Brasy5G489700.5.p pacid=40074018 transcript=Brasy5G489700.5 locus=Brasy5G489700 ID=Brasy5G489700.5.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGTYGYMSPEYVMTGSFSVKSDTYSFGVLLLEIISGLKISSPQLIMNFPNLTACAWRLWKDGNARSFVDSSVNENCPIQEVLGCIQVALLCVQEHPNARPLMSSVVFMLENETTSLPAPEKPAYFGARNLEYGHTSEDMENSSNTMSMTTLIGR* >Brasy5G489700.9.p pacid=40074019 transcript=Brasy5G489700.9 locus=Brasy5G489700 ID=Brasy5G489700.9.v1.1 annot-version=v1.1 MTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGTYGYMSPEYVMTGSFSVKSDTYSFGVLLLEIISGLKISSPQLIMNFPNLTACAWRLWKDGNARSFVDSSVNENCPIQEVLGCIQVALLCVQEHPNARPLMSSVVFMLENETTSLPAPEKPAYFGARNLEYGHTSEDMENSSNTMSMTTLIGR* >Brasy5G489700.6.p pacid=40074020 transcript=Brasy5G489700.6 locus=Brasy5G489700 ID=Brasy5G489700.6.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGTYRTLIALVFFFWRS* >Brasy5G489700.3.p pacid=40074021 transcript=Brasy5G489700.3 locus=Brasy5G489700 ID=Brasy5G489700.3.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGT* >Brasy5G489700.7.p pacid=40074022 transcript=Brasy5G489700.7 locus=Brasy5G489700 ID=Brasy5G489700.7.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGT* >Brasy5G489700.4.p pacid=40074023 transcript=Brasy5G489700.4 locus=Brasy5G489700 ID=Brasy5G489700.4.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKGMLEGNKEVAIKRLSNGSGQGIEEFRNEVTLIAKLQHRNLVRLFSYCIHEDEKLLVYEYMPNKSLDSFLFDDTRKHVLDWLTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDKDMNPKISDFGMARIFGENQQQGNTIRVVGT* >Brasy5G489700.8.p pacid=40074024 transcript=Brasy5G489700.8 locus=Brasy5G489700 ID=Brasy5G489700.8.v1.1 annot-version=v1.1 MDMSYFPVFILLFVFSFCKSDDSLTQGKPLSPGNMLVSKGGIFALGFFSPTNSNGSLYVGIWFYNIHEPNRTIVWVANRDNSATTAAPVTLTISNKSDLVLSDSRGRTLWMTKNNITAEEGTSASAVLLDTGNLVLSLPNGTIIWQSFDHPTDTILPGMKFLLSYKDHVVGRLIAWKGPDDPSVGEFSFSLDPSSNMEIVTWHGTKLYCRISVWNGVSVSGGTYPGNTSSVVYQTIVNTGDKFFLMYTVSDGSPYARIMLDYTGTMKLLTWNSYTSSWVATSERPTGRYGVYSSCGPFGYSDFTGPVPTCQCLDGFKPNSLNSSSGCQRVEVLKCGKRNHFVTLPRMKIPDKFLHIRNRSFDQCAAECSRNCSCTAYAYANLTSTSTMADQTRCLIWTGDLVDTWKTNNYGENLYIRLADSPAHERSNLLKIVLSVLACLLLLMCIALVWRCKYRGKWRNKEIQTKLMLGYLSPSSELEGKNLESLFVRFEDIVAATDNFSDSNMLGRGGFGKVYKAWRLWKDGNARSFVDSSVNENCPIQEVLGCIQVALLCVQEHPNARPLMSSVVFMLENETTSLPAPEKPAYFGARNLEYGHTSEDMENSSNTMSMTTLIGR* >Brasy5G314300.1.p pacid=40074025 transcript=Brasy5G314300.1 locus=Brasy5G314300 ID=Brasy5G314300.1.v1.1 annot-version=v1.1 MDRIRLPRYAPERGGSHDPSNPTSVYLSKLPSARSVNSPTLVLRLRYIYNTPLLQAARASLLPARPAPTSLVQSVCHLERRREGFPARPGRSSCDHMARPHGAGAGLPVLALLAFVSAAAGNGGGGRKMMASHGEDADLKASPGAPSPAGGSAAQFRGQARLPRFATPRRYELRLRPDLVACTFSGSVAIAVDVSAPTRFLVLNAADLSVNRASIRFQSLAPTEVVFFKDDDVLVLGFSKQLPLGEGVLKMDYNGTLNDQMRGFYRSKYQYKGKERNMAVTQFESVDARRCFPCWDEPAFKAKFKLTVEVPSDLVALSNMPVANATFAGPIKTVSFRESPLMSTYLLAVVVGLFDYVEGMTSKGTRVRVYTQIGKSNQGKFALDVAVKSLDLYKDYFDTAYPLPKLDMIAIPDFSAGAMENYGLVTYREVALLFDDKSSSESSKQNIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDSTTTALKLDALSESHPIEVEIHHASEVDQIFDAISYEKGASVIRMLQSYLGAERFQKALASYMKKFAYSNAKTEDLWAVLEKETGEPVKDLMTTWTKQKGYPVINAKIKGNAMEIEQAQFLSDGSSGPGMWIVPITSGCGYDTQKKFLLKLKRDKLVIPSQCSDRKKGGNFWTKLNINGTGFYRVKYDDELAAALLIALEGKKLSLMDRIGVVDDSYALSMARQQTMASLLRLLYAYRGETDYSVLSHVNSVTSSVARISVDATPSLAGDIKQLLIKILLPPAEKLGWDPKKGESHLDAMLRPLLLTALVQLGHGKTINEGIRRFNIFLRDRNTPRLPPDTRKTAYLSVMQNVSSSNRSGYDALLKVYRESAEGEERLNVLGILPSSRDKGIVLESLNFIFSDQVRNQDAYILLRGVQIEAREIAWNWLKENWERISKIFSTSSLLGDFVKTVVPLFTSNEKAAEISKFFATRTKPGFERTLKQSLENIRIGARWIEGIRSEPKLAQTVRELLGKP* >Brasy5G218800.1.p pacid=40074026 transcript=Brasy5G218800.1 locus=Brasy5G218800 ID=Brasy5G218800.1.v1.1 annot-version=v1.1 MCKLSKITETCRQSPLPIHQSKLAKRVSTFDHNSFKLKHHRK* >Brasy5G142200.1.p pacid=40074027 transcript=Brasy5G142200.1 locus=Brasy5G142200 ID=Brasy5G142200.1.v1.1 annot-version=v1.1 MDLVVGASSDAAKSLVDKLGSLLAKEYALIEGVRDDIQYINDELESMQALISTLRRARTRSEQRQGWMKQVREVSFDIEDCIDDVNHRLREEPRGGRLVYLRRKWYLLTTLYARRCIAAEIRDLKLRAQHVSERRARYGVENLTDADLKEISEDAEAPRDLVPPPPQLIHTRQIVGMEEDIEELQVWLTKEEPNAAQSACRTRFLAIFGSGGIGKTTLAMELCRKVGGEFHCRASVQVSQKFDLLTLLRSLVKQLQQFGANPRDEEPLDRIDKMEEGPLKEKLQSQLKHKRYLILIDDIWSVSAWEKIKDCLPERECGRVIVTTRFKSIAVACYHHKKGDYLHEHKKLDKKKSYHLFRQIISSAPEDPTVAAKTLLDKCGGIPLAVIVVAGLVASKLRSETSKKTLHDYLQVVDKALSEGLGSPPSTDEVKKILDQCYNSLPADLKTCLLYLSMFPKGCIISRKRLIRRWIAEGFMIEKHGKPVQEVAEDSFNELISRNLIRAVNNSSNGKVKSYQIHDMVHQYIVSKSSDENFITVVGSHWQTPFPRYKVRRLSVQRSEEKQTVEQMKLSHVRSLTVSESFKPIRSCLPDFQILQVLDLECCKDLSSHQLRKICKMHQLNYLSLRRTDIDEIPPEIANLEYLEVLDIRETRVRKFPQLDGDLARMAHLLTGDKSKRTGLALTEEISNMTALQTLSGVEIYGISAAKWQIGGHKASSRGSSVQVLEALEKLTNLQKLSIYLHGKFEQECDKFLLSSIEHLSSCSLKILAIDDDFTGFLDKSLNSSEAPPEHLHTLELSGMLTRVPKWIVRLHSLHKLTLSLTSLTTGTLLDLGKLPQLFSLTFSLDATKSKNPIAVKILHKNVLDSDGEMLVAAVGFENLQLLRFMAPVLPPLNFQKGAMPMLQKIDLKFRTANGVHGLENLGSLEQVFISVNSKEIKAAEQIKQLANRIGNRLTVIIDEYNESSLEQ* >Brasy5G142200.2.p pacid=40074028 transcript=Brasy5G142200.2 locus=Brasy5G142200 ID=Brasy5G142200.2.v1.1 annot-version=v1.1 MLRRAPAGRGSLPFLDPAALVSQKFDLLTLLRSLVKQLQQFGANPRDEEPLDRIDKMEEGPLKEKLQSQLKHKRYLILIDDIWSVSAWEKIKDCLPERECGRVIVTTRFKSIAVACYHHKKGDYLHEHKKLDKKKSYHLFRQIISSAPEDPTVAAKTLLDKCGGIPLAVIVVAGLVASKLRSETSKKTLHDYLQVVDKALSEGLGSPPSTDEVKKILDQCYNSLPADLKTCLLYLSMFPKGCIISRKRLIRRWIAEGFMIEKHGKPVQEVAEDSFNELISRNLIRAVNNSSNGKVKSYQIHDMVHQYIVSKSSDENFITVVGSHWQTPFPRYKVRRLSVQRSEEKQTVEQMKLSHVRSLTVSESFKPIRSCLPDFQILQVLDLECCKDLSSHQLRKICKMHQLNYLSLRRTDIDEIPPEIANLEYLEVLDIRETRVRKFPQLDGDLARMAHLLTGDKSKRTGLALTEEISNMTALQTLSGVEIYGISAAKWQIGGHKASSRGSSVQVLEALEKLTNLQKLSIYLHGKFEQECDKFLLSSIEHLSSCSLKILAIDDDFTGFLDKSLNSSEAPPEHLHTLELSGMLTRVPKWIVRLHSLHKLTLSLTSLTTGTLLDLGKLPQLFSLTFSLDATKSKNPIAVKILHKNVLDSDGEMLVAAVGFENLQLLRFMAPVLPPLNFQKGAMPMLQKIDLKFRTANGVHGLENLGSLEQVFISVNSKEIKAAEQIKQLANRIGNRLTVIIDEYNESSLEQ* >Brasy5G236500.1.p pacid=40074029 transcript=Brasy5G236500.1 locus=Brasy5G236500 ID=Brasy5G236500.1.v1.1 annot-version=v1.1 MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAVILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLLQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNDEADPRQKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRLLSPLSAVPLVSLVGFGLYELGFPAVAKCVEVGLPELILMVAFSEYLPHVVHSGKNLFGRFAVLFTVSIVWLYAYILTISGAYKNARPKTQVHCRVDRSGLIAGAEWISVPYPFQWGAPTFDAGEAFAMMMTSFIALVESTGAFIAASRYASATMIPPSIVSRGVGWQGIGILLDSFFGTANGTSVSVENVGLLAVTHVGSRRVVQISAGFMIFFAVLGKFGALFASIPLPIFAGMYCVFFAYVGACGVSLLQFCNLNSFRTMFILGFAFFMGISVPQYFNEYAAVSGHGPVHTGARWFNDMINVPFSNKPFVAGLVAYFLDNTMHLHQSAVRKDRGYHWWDKFRSFKKDARSQEFYSLPFNLNKFFPSV* >Brasy5G395700.1.p pacid=40074030 transcript=Brasy5G395700.1 locus=Brasy5G395700 ID=Brasy5G395700.1.v1.1 annot-version=v1.1 MANRAGGGRSPSSLSSSSSFSSRSPSPFSSSSSSSRARSPPRRSSSVARRPRSPSPQKRKGGRRSPSPEKSSPPPPPAPPLRKPSPVRDQPSLVLHVDRLSRNVNEAHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKRRADAEKALLYMDGAQIDGNIVKVRFAPAPQQTAAAPSSPKALPHPPKRDVTANDRVGQSTGKATQQRPRESSPQRKLPSSPRRRTPPSRRVDSPRRRPDSPPIRRRADPSPIRHGETPPSRRPGYPVRKRSPSPPPQRLTSPRRLSPRRGRGSPIRRRSPLPPRRMTPPRRMRSPPRRLPPLRRRSRSPIRRPFRSPSRSVSPRRGRGAPVRRGRSSSSSGSLTPPRRGSGRVPRGRSPRRPFRGRSASSYSRSSGSPSSPVRN* >Brasy5G395700.2.p pacid=40074031 transcript=Brasy5G395700.2 locus=Brasy5G395700 ID=Brasy5G395700.2.v1.1 annot-version=v1.1 MANRAGGGRSPSSLSSSSSFSSRSPSPFSSSSSSSRARSPPRRSSSVARRPRSPSPQKRKGGRRSPSPEKSSPPPPPAPPLRKPSPVRDQPSLVLHVDRLSRNVNEAHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKRRADAEKALLYMDGAQIDGNIVKVRFAPAPQQTAAAPSSPKALPHPPKRDVTANDRVGQSTGKATQQRPRESSPQRKLPSSPRRRTPPSRRVDSPRRRPDSPPIRRRADPSPIRHGETPPSRRPGYPVRKRSPSPPPQRLTSPRRLSPRRGRGSPIRRRSPLPPRRMTPPRRMRSPPRRLPPLRRRSRSPIRRPFRSPSRSVSPRRAIS* >Brasy5G310800.1.p pacid=40074032 transcript=Brasy5G310800.1 locus=Brasy5G310800 ID=Brasy5G310800.1.v1.1 annot-version=v1.1 MAIKLEYGVKKNWMGDPCFPVKYAWNGVNCSNGTGNTPRITSLDLSNSNLHGVISDNFSMLTELKYLDLSGNRLSGPIPDSLCKSNGGSFILRYDSDGDMCNRTITPPPSRNRTAIISISVVVPMVVVAVLLALSYLIWRGKRKPKISTHDPPREPELRSVQGSKNSQGDPLQNTENRQFTYKELEKCTNKFGHFIGQGGFGLVYYGRLEDDTEVAVKMRSESSSHGLAEFLAEVQSLTKVHHRNLVSLVGYCSEKDHLALVYEYMSRGNLCDHLRGKSGDETLNWGTRVRVALEAAQGLDYLHKGCSLPIIHRDVKTSNILLGQNLRAKIADFGLSKTYISDTQTHISTNAAGSAGYFDPEYYHTGRLTESSDVYSFGVVLLEIATGEPPMAPSHGHIVQRVKQMVATGNISSVADARLGGSYEVTSMWKVVDTAMACTSDAAIGRPTMAAVVAQLKESLALEEARQDRVSPVCDTAVLVSTFGPSAR* >Brasy5G310800.2.p pacid=40074033 transcript=Brasy5G310800.2 locus=Brasy5G310800 ID=Brasy5G310800.2.v1.1 annot-version=v1.1 MAIKLEYGVKKNWMGDPCFPVKYAWNGVNCSNGTGNTPRITSLDLSNSNLHGVISDNFSMLTELKYLDLSGNRLSGPIPDSLCKSNGGSFILRYDSDGDMCNRTITPPPSRNRTAIISISVVVPMVVVAVLLALSYLIWRGKRKPKISTHDPPREPELRSVQGSKNSQGDPLQNTENRQFTYKELEKCTNKFGHFIGQGGFGLVYYGRLEDDTEVAVKMRSESSSHGLAEFLAEVQSLTKVHHRNLVSLVGYCSEKDHLALVYEYMSRGNLCDHLRGKSGDETLNWGTRVRVALEAAQGLDYLHKGCSLPIIHRDVKTSNILLGQNLRAKIADFGLSKTYISDTQTHISTNAAGSAGYFDPEYYHTGRLTESSDVYSFGVVLLEIATGEPPMAPSHGHIVQRVKQMVATGNISSVADARLGGSYEVTSMWKVVDTAMACTSDAAIGRPTMAAVVAQLKESLALEEARQDRVSPVCDTAVLVSTFGPSAR* >Brasy5G293100.1.p pacid=40074034 transcript=Brasy5G293100.1 locus=Brasy5G293100 ID=Brasy5G293100.1.v1.1 annot-version=v1.1 MAPPAGGCGGEGTLARWRRAAAKRIGLSCASFFSSHAASPSPPPPKTISCSAMNTPADSSDGDQEKLEEPTSNRVADKNFCAICLETLSTSSNDIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFTLIPSPVVAHHPVLGHYPCGRMMPLQQHCQYSSSSMLSPTQVASPSVQRRAYLSVSLAPQPAMDLVLVVSPNGPHLRLLKQAVALVVFSMRAIDRLAIVSNATTATRAFPLRRMSSHGKRMALQVIEHLCAVGGTDPVGALQKGLKILEDRAHQNPSKCILHLSDNPIRGYVGVDMNRSSILVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSECGFVLVGYSYLEGGREEQPRTGEVAVGFEEKGDNRYRRVGDMGPSIGGERSSCCAENWDHLDPFMARRWAKHFNVYRA* >Brasy5G293100.2.p pacid=40074035 transcript=Brasy5G293100.2 locus=Brasy5G293100 ID=Brasy5G293100.2.v1.1 annot-version=v1.1 MEMIILALSHSICVTSILGKNISCSAMNTPADSSDGDQEKLEEPTSNRVADKNFCAICLETLSTSSNDIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFTLIPSPVVAHHPVLGHYPCGRMMPLQQHCQYSSSSMLSPTQVASPSVQRRAYLSVSLAPQPAMDLVLVVSPNGPHLRLLKQAVALVVFSMRAIDRLAIVSNATTATRAFPLRRMSSHGKRMALQVIEHLCAVGGTDPVGALQKGLKILEDRAHQNPSKCILHLSDNPIRGYVGVDMNRSSILVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSECGFVLVGYSYLEGGREEQPRTGEVAVGFEEKGDNRYRRVGDMGPSIGGERSSCCAENWDHLDPFMARRWAKHFNVYRA* >Brasy5G293100.4.p pacid=40074036 transcript=Brasy5G293100.4 locus=Brasy5G293100 ID=Brasy5G293100.4.v1.1 annot-version=v1.1 MYVQISCSAMNTPADSSDGDQEKLEEPTSNRVADKNFCAICLETLSTSSNDIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFTLIPSPVVAHHPVLGHYPCGRMMPLQQHCQYSSSSMLSPTQVASPSVQRRAYLSVSLAPQPAMDLVLVVSPNGPHLRLLKQAVALVVFSMRAIDRLAIVSNATTATRAFPLRRMSSHGKRMALQVIEHLCAVGGTDPVGALQKGLKILEDRAHQNPSKCILHLSDNPIRGYVGVDMNRSSILVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSECGFVLVGYSYLEGGREEQPRTGEVAVGFEEKGDNRYRRVGDMGPSIGGERSSCCAENWDHLDPFMARRWAKHFNVYRA* >Brasy5G293100.3.p pacid=40074037 transcript=Brasy5G293100.3 locus=Brasy5G293100 ID=Brasy5G293100.3.v1.1 annot-version=v1.1 MNTPADSSDGDQEKLEEPTSNRVADKNFCAICLETLSTSSNDIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFTLIPSPVVAHHPVLGHYPCGRMMPLQQHCQYSSSSMLSPTQVASPSVQRRAYLSVSLAPQPAMDLVLVVSPNGPHLRLLKQAVALVVFSMRAIDRLAIVSNATTATRAFPLRRMSSHGKRMALQVIEHLCAVGGTDPVGALQKGLKILEDRAHQNPSKCILHLSDNPIRGYVGVDMNRSSILVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSECGFVLVGYSYLEGGREEQPRTGEVAVGFEEKGDNRYRRVGDMGPSIGGERSSCCAENWDHLDPFMARRWAKHFNVYRA* >Brasy5G293100.5.p pacid=40074038 transcript=Brasy5G293100.5 locus=Brasy5G293100 ID=Brasy5G293100.5.v1.1 annot-version=v1.1 MNTPADSSDGDQEKLEEPTSNRVADKNFCAICLETLSTSSNDIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFTLIPSPVVAHHPVLGHYPCGRMMPLQQHCQYSSSSMLSPTQVASPSVQRRAYLSVSLAPQPAMDLVLVVSPNGPHLRLLKQAVALVVFSMRAIDRLAIVSNATTATRAFPLRRMSSHGKRMALQVIEHLCAVGGTDPVGALQKGLKILEDRAHQNPSKCILHLSDNPIRGYVGVDMNRSSILVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSECGFVLVGYSYLEGGREEQPRTGEVAVGFEEKGDNRYRRVGDMGPSIGGERSSCCAENWDHLDPFMARRWAKHFNVYRA* >Brasy5G178500.1.p pacid=40074039 transcript=Brasy5G178500.1 locus=Brasy5G178500 ID=Brasy5G178500.1.v1.1 annot-version=v1.1 MATEPQAPRRDNDNGDQVSPRSVLLNNTGPTTTATAATSNDSTDPSPSPRNDEAPQWKGAMDAWRSKTKRRLLAPTMSSLRTLSIRSGTPSATSTNKWRWPTMTATGLHRSLAEHELCALRLSFRTFTLSELKKATGNFSKENVVGKGGHAMVYRGRLPDGTLVAVKRLSQTSSSTSLTAPERMESFLSELGHAVNVRHANVARLVGVGVDGGEHLVFPFSRLGCLSRRLHDGGAEGAMPWEARFRVAVGAASGLEYLHERCARRIVHRDVKPANILLKDDYEPLICDFGLAKWMPAKTTHYQVTTFEGTFGYVPPEYTTHGIYNEKTDVFAFGVVLLELLTGRRAVDGKNLSLVTWARPFLGTKDEVFKMVDPALGGQYDMDQLGRVRDAAKLCIHCSPALRPQMSQVARMLRGEEETAAHRRASSDQSVEVHEMDVYETLTPRRSQDDLSRHQALAFDF* >Brasy5G490300.1.p pacid=40074040 transcript=Brasy5G490300.1 locus=Brasy5G490300 ID=Brasy5G490300.1.v1.1 annot-version=v1.1 MDPHSPNPESPTAPGSGGAGGSGGGGGASSSAGGGAQPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLSQCSGAHVLEFLRYLDQFGKTKVHTASCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPESNPFAARAVRLFLREVREHQARARGVSYEKKKRKKPTTGPGGAEASGSGQQPPPPPPPAGAAC* >Brasy5G046000.1.p pacid=40074041 transcript=Brasy5G046000.1 locus=Brasy5G046000 ID=Brasy5G046000.1.v1.1 annot-version=v1.1 MPKTAAAPPPQMKVTGVRGRLLAVATALSVQIRRFFGRICCPLSFPLPSFDCSSVWAGGGAKFSSDGCPGCEIRWLRDAPALCPRMGGHLQLLPSFCYGWGAKHGGFAGPFGAASLGGLPAAVARTLGSARTLRAQPVSPVVRCLRVVRLCL* >Brasy5G198200.1.p pacid=40074042 transcript=Brasy5G198200.1 locus=Brasy5G198200 ID=Brasy5G198200.1.v1.1 annot-version=v1.1 MMRPSDWTQELNDVFEQALAIYEDGTPDRWEMVARAVGGGRSAEDMIRHYEFLQRDVHRIETTPQPGESNSSSSNSKASGSGSSSKEKRHPKPQ* >Brasy5G457900.1.p pacid=40074043 transcript=Brasy5G457900.1 locus=Brasy5G457900 ID=Brasy5G457900.1.v1.1 annot-version=v1.1 MAATSFTAPATLTPLLIRLLRGASDLASVAATHAKLLKSGAASAVSSSNHIIAAYCRCGATARARDLFDGMPDRDVVSWTALMSGYSGAGRPRAALSLLSAMSRTRGGAPPNAFTFSTAASACARLADAKLGRQVHARAEVEGYAQDEFVATALVDMYGKAGCAEGARAVFNGMSAPARNAVSWGSMLSVYAQNALGHEAVQLFAEFRTKSDGMAPNHFMLSSVVNACAGVGRLGVGKCVHGTVFRFGHECNGVIAVALVDMYSKCGFYEYSRKVFDRIEQPSVICYTSIIVAAAKYGLGRSALTLFSEMIDRGVQPNSVTVLGVMHACSHSGLVDTGLHLLQSMQSKHGIGPCASHYTCAVDMLGRAGRLEEAFELANEAQVEGHDALMLWSSLLSACRIHRRLDLATRAGQRVSEFNQDVAGALVVMSNAYTSAGQTDNAAAVWSNMRQRGIRKEPGCSWIEIKDIPYVFYAGLVSPAGARAGEVMMLLDELEAKMREKGYKGKLGSTRVFDAHEEDGEEGKGVMVGVHSEILALGFGLLVIPKEMTIRVMKNLRMCCDCHDAFKLISGIVESEFVVRDLNRFHHFKMGSCSCNDYW* >Brasy5G293900.1.p pacid=40074044 transcript=Brasy5G293900.1 locus=Brasy5G293900 ID=Brasy5G293900.1.v1.1 annot-version=v1.1 MECVRSGVLDLQCSAEFSGKSGSTRSCGKASCYTNFAGSTKYEQNLHRKVKPWVLSASGTSSFVHRSPVLKHRHNLAVRSTSADVCTTFDENVNGVSSHAVEDKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRRITDDQANALKVALKKKNLEADIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDPYFAGLPISIIESWYQREGYVKSMADLIEKELSIFSTPEEVMIFFSAHGVPLTYVKDAGDPYRDQMEDCIALIMEELRSRGTLNNHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASAIATRKAIDTDPDMDMMHSLTKMFFGSILAFLLLLSPRLISAVRNTLR* >Brasy5G336300.1.p pacid=40074045 transcript=Brasy5G336300.1 locus=Brasy5G336300 ID=Brasy5G336300.1.v1.1 annot-version=v1.1 MVRSLCLAALVAAAAGAAVVLSGLFYRRKCGHLAARVRELEDSLANAVEKAAAERRGRIRTQQSLRRALSEQEASPGLVKPAKEPASYPMAPVGTVQSCFSTRNGTPRQPLVVPLARATVAINPARVAAEALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHAIFLSGVDLVDGTPVLDIKPYVPYSDSIKGATIPNWLEVDGALAVGSIQFSENFISSLSNCWVHVQKQALYASADEFQDLIKEVLSWDIRSMSQRVRPHQVTMEGEADTYGSKADNDCINKEKYRAAESCFVVVYHLHLEGIDISYRIDDNSNIVVDNAALLPSAMSQSRFSYLTWRDKLSTL* >Brasy5G277000.1.p pacid=40074046 transcript=Brasy5G277000.1 locus=Brasy5G277000 ID=Brasy5G277000.1.v1.1 annot-version=v1.1 MTITAEILLEIWNQWQIQLAVLASFTLQIFLFFSGSIRRYSTNALLRLLIWLAYVGADMVAMYTLGLISQQDENATTSVGCQQTKESDASTGGTRSRTLAFFWAPFLLIHLGGQDTVTAFSIEDNNLWLRHLLNLTIQVSLALYAFWKSIGGVNPSPAILVFLAGIIRYGERTWALKCGSRDGLKETSLPLLPKLNVEVDKDSYAGTICYVLGSIVSIRHLFSGRTISHMKEREVFRFRGDRPLDQVPKLLEVELARMYDDLYTKAMVLRTRIGIVLRCISQHKYSKADVSITYALFIGGFGLEVCAFILIMMSPWNWAFFKARKCDGLAHVSWLLLSSSIGWPEKRPLWSNSMGQYNFLSSCMGLDHPTASSKLLTIVRKMLNAVEKKLTFIRKLRNTKNANVTKDVMGSVVTWVGRLAREEFTRIMQQQHWVNLRPIINATLNSTANSFGDNIILLHTYTELHLQKRPGNEIIGIDAETLSTTMDICRKISNYMVYLLVVQPSMIPLSGTAEDTIAEFYEKISKKGSSKEDVLDTAYHLVEDKLEFGYEECLKEQEEPGLWRETLVEIQDMWMRLLLYAAGKCPVELHAQQLGRGGELLTLVWSLMAHNGIGDVGHQVDLISNDETMAGPFCAFYFPKESPHISA* >Brasy5G126000.1.p pacid=40074047 transcript=Brasy5G126000.1 locus=Brasy5G126000 ID=Brasy5G126000.1.v1.1 annot-version=v1.1 MSTVPANAAGVVAGYLRSQFEQILIDFSTKIACSEAKTLVKNVYTEVRQKQKPSGIIRVILLYRLDNYLR* >Brasy5G427700.1.p pacid=40074048 transcript=Brasy5G427700.1 locus=Brasy5G427700 ID=Brasy5G427700.1.v1.1 annot-version=v1.1 MAGVLDALASYVADMLTEMAKEEVAMLIGVSGEINNLGVKLSDLKNFLADADKRNITDESVRGWVEELKRAMYDVTDILDLCQLKVMEQGPSKDMGCFNPLLFCMRNPLHAHDIGIRIKALNEKLDGICTRGGKFNFAKLKAYNQKTTRSLVTDRKTDSLMERSGAVGEKIKEDTRALVELLTKEADGDKSDCIMVIAIVSQGGIGKTTLGKKVFNDEAIEGKFTKKIWLSITQDFNDVELLSTAITAAGGDLPGGGGARDKALLVLALRNAIRDKKFFLVLDDMWGVNVWDKLLMTPFSYGGPGSRVLITTRDDTVGRRMKAVHYHHVDKLGPEDAWSS* >Brasy5G473000.1.p pacid=40074049 transcript=Brasy5G473000.1 locus=Brasy5G473000 ID=Brasy5G473000.1.v1.1 annot-version=v1.1 MDLDEMTISERNLALAERYRKIAPVYEWYLEAQLGLGGDQAPSPTAASDHRRIDDVTAGIDTRDDGDDDDEDENEDDIDDDDDFDEEDWEDGREGMMDYHDGGFGGVPASAAAIADLIKKCKYHETEGVDDAAHREAVRGCTICIEDFEVDDDLGVMPCFHTFHHGCLVEWLSRSRLCPCCRHALPSEARH* >Brasy5G482900.1.p pacid=40074050 transcript=Brasy5G482900.1 locus=Brasy5G482900 ID=Brasy5G482900.1.v1.1 annot-version=v1.1 MEHRVAVQEGQVSPRIRAVEDWATGEVMGTAIGGVVLPEAARTVQSGLVVSLVCDTRRKNAEQEQVHPRLPENLVPSERFLHIVDQILHEIV* >Brasy5G021600.1.p pacid=40074051 transcript=Brasy5G021600.1 locus=Brasy5G021600 ID=Brasy5G021600.1.v1.1 annot-version=v1.1 MDPDGKKFGTGPRELTGAVDLISQYKLQPHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLIQNAYLRDKSAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKSKSESKDKEKKHKKHKDRDKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHHEKKRKHEGMEDSADLHKHKKSKVIYKSLFPSWYSKFLYSVFCWFVNMTYLWQHKSSKTDEMGNGLS* >Brasy5G021600.2.p pacid=40074052 transcript=Brasy5G021600.2 locus=Brasy5G021600 ID=Brasy5G021600.2.v1.1 annot-version=v1.1 MDPDGKKFGTGPRELTGAVDLISQYKLQPHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLIQNAYLRDKSAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKSKSESKDKEKKHKKHKDRDKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHHEKKRKHEGMEDSADLHKHKKSKISRMAIDSKVLKMNACYPLIMLFLQCGSW* >Brasy5G021600.3.p pacid=40074053 transcript=Brasy5G021600.3 locus=Brasy5G021600 ID=Brasy5G021600.3.v1.1 annot-version=v1.1 MDPDGKKFGTGPRELTGAVDLISQYKLQPHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLIQNAYLRDKSAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKSKSESKDKEKKHKKHKDRDKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHHEKKRKHEGMEDSADLHKHKKSKHKSSKTDEMGNGLS* >Brasy5G280300.1.p pacid=40074054 transcript=Brasy5G280300.1 locus=Brasy5G280300 ID=Brasy5G280300.1.v1.1 annot-version=v1.1 MTAMVKGCAGPSELHLKKELTALRKARFLRDPETCSSWRGPMSSRSCMTTSSFISHNEIVSNLTQKNTEPAVVPPKSEKKRKNVYLYNWRQHSNKSSESGMKFDEGDRQASADWGLESPCISNVMDSRSDTCLEVPVSIYSVQGSNSGTPVRRTVRRVKRSSFSKKGAMRNSTVSKLLDLHVNSGEQSEDTETYSEDNELLQKGGYFSRSTSPLFAASGCLSSSNPSKLLKMARREGSSFSCTPVSTSSCYRYRGRNPSTVGSWDATTAASIDEDGLNQSAQLRSQRFGLPYWSKRSKHKGSERSCSPSLSDTLRRKGSSLLCGSQTIHRRKRSSGSNRCGYLKKSSQGEPLLGDSCHFSYSSFDSASDGVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPVSGSDLAVSDKRSLSQKYRPRSYHEIVGQNFVVQSLTNAIIRERIAPAYLFHGSRGTGKTSVARMFSAALSCVANGENKPCGICKECTDFFTGNGTNLIEVDASNRKGINRIRQLIENIPASATSSRHKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENIPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDIELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERATWFTAALLQLGSGHDSEITQSRSSSKKSAKTTSEAVLEAVRESSASRTTSHPLFTIRGSRRTASGHSSPHGLASSSSRLRPNENLIYGESRSADRVLPDSAQTSNSVEQPIITGSSDNLVKIWRNCIENCHSKTLQQLLSDHGKLASIKECEGYLLAFIAFEDSTIKSRAQRFVSSIRNSMETVLRCNVEVIVGLMPEFLASRLKLEVDMDEKVESDVLSCSTNSERRKEILNPPRCSGYSEEIEKNLEKCSSASAAGGEFLRDITEGNTGMLRTRGQEVPVGRSKPVTIEEQRLESAWLQAVEKHTPGVRPEKNQVVPQAGAGQYHRKSSMATVVPSRNIDKDLSNGLKALKISDSRGPQKGQNVQIENGFVISPSLLHINNDLANCDNESVVSESGAPGCHGLFPCWKVDKSKRDKAKRQTRLKPS* >Brasy5G451900.1.p pacid=40074055 transcript=Brasy5G451900.1 locus=Brasy5G451900 ID=Brasy5G451900.1.v1.1 annot-version=v1.1 MDHGGGGGGGGGGAGSGGGGAAPSSSNSGGGGSGTSGSRGGGGGEHHHPHHPFYYAGPSVAGAPNNNNVQPQPQPQQFMGSLAITTAAVADQAPANKEKAVAAPVTPSSSGAVAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANFSSLNISRASGSSSASAAAARAPTPFPALALHPHAHHHHDMSTMLGYHHHHLIPPQPQQQEPPHDPNSSGSGGFMRKRYREDLFKEDDERQDPKAREQQPAAMWAVAPNSAAPGGAFWMLPVSASQAAGARPTEQPMWSFGGSGGGGTSTVQAPLQFMSRVNYPSAGAAGGMGGSPADTNIGMLAALNYSRGGTEDQQEQQPEMEQQRGGNEGEEEEGGDDSGGEEDHGANNNSSQ* >Brasy5G083200.1.p pacid=40074056 transcript=Brasy5G083200.1 locus=Brasy5G083200 ID=Brasy5G083200.1.v1.1 annot-version=v1.1 MARGGGSRKLTAAATFSLAVLVLLFFPGAGAAVPSIDATLTRHLPLPGGLLRGPESVAFDGKGRGPYSGVSDGRILKWTNAGWTTYAYAPGYSSEACTATARRPETVTESSCGRPLGLRLHLKSGQLYIADAYKGLMRVGPGGGEATALVNEVDGAPLRFTNGVDIDQVTGEVYFTDSSMTYQRSQHEMVTRTGDSTGRLMRYDPRTGKVVVLQAGITYPNGLAISPDRTHLVISSTGPCKLLRYWIKGPKAGTIEVLVDLPGYPDNVRPDGRGGYWVALHREKNELPFGIDSHLLAVRIGADGKILEEMRGPKSVRPTEIMERKGGRLFMGSVELPYVHVIKHK* >Brasy5G074100.1.p pacid=40074057 transcript=Brasy5G074100.1 locus=Brasy5G074100 ID=Brasy5G074100.1.v1.1 annot-version=v1.1 MAGSTQLEAGGRDDYTEDGTTDLHGNPILRSKRGGWRACSFIVVYEVFERLAYYGISSNLVLYLTTELHQGTVLSANNVTNWVGTVWMTPVIGAYIADAHLGRYRTFMIASVIYLLGMSLLTMAVSLPSLKPPKCGLGTPDVACDHKASGVQLGVFFLALYILAVGTGGTKPNISTIGADQFDEHEPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDKIGWTVGYALPTAGLAVSIAIFTAGTPFYRHKPTSESSFAKMAGVIVAAVRKCTVAAPVDPRDLHELDPEHYAKKKTTQLPYTPNFSMLSKAAVRTGGSASRWSLSTVTQVEETKQMLKMLPVLAITFVPSAMLAQINTLFVKQGTTLERHVGSQDGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKNPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARANGIFESKGTTIPLSIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTRRHGSGGWIQNNLNASRLDLYYAFFAVLNCANLVLFFAVCRMYVYNVEVTHGVDGDGEKKGELVAIQPSAVVGSLDM* >Brasy5G074100.2.p pacid=40074058 transcript=Brasy5G074100.2 locus=Brasy5G074100 ID=Brasy5G074100.2.v1.1 annot-version=v1.1 MAGSTQLEAGGRDDYTEDGTTDLHGNPILRSKRGGWRACSFIVVYEVFERLAYYGISSNLVLYLTTELHQGTVLSANNVTNWVGTVWMTPVIGAYIADAHLGRYRTFMIASVIYLLGMSLLTMAVSLPSLKPPKCGLGTPDVACDHKASGVQLGVFFLALYILAVGTGGTKPNISTIGADQFDEHEPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDKIGWTVGYALPTAGLAVSIAIFTAGTPFYRHKPTSESSFAKMAGVIVAAVRKCTVAAPVDPRDLHELDPEHYAKKKTTQLPYTPNFSMLSKAAVRTGGSASRWSLSTVTQVEETKQMLKMLPVLAITFVPSAMLAQINTLFVKQGTTLERHVGSQDGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKNPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARANGIFESKGTTIPLSIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTRRHGSGGWIQNNLNASRLDLYYAFFAVLNCANLVLFFAVCRMYVYNVEVTHGVDGDGEKKGELVAIQPSAVVGSLDM* >Brasy5G376100.1.p pacid=40074059 transcript=Brasy5G376100.1 locus=Brasy5G376100 ID=Brasy5G376100.1.v1.1 annot-version=v1.1 MPPNRANPPGTAGGGFEYCELCRRNHDQGRRHRYFPGHRAALAAALSGFRSKLSDLRRALLRPTAPPQRSRLWCPFCSVDLVDVDSRFACSNAIYHLASEEHLKGTKDFLRKHGGGMDQVDSFRISEDALVKWEKGCESSSSEAQTLTQGLIGPSLGPLKERVMDLPLLKV* >Brasy5G145200.1.p pacid=40074060 transcript=Brasy5G145200.1 locus=Brasy5G145200 ID=Brasy5G145200.1.v1.1 annot-version=v1.1 MAPPPSQPEFVEVRCAGCGETLEVERGLTEFACPDCGTQQALPPELMPPPRPRRALPIPGRGSAAAVPVPVPVPAPAHMPCVGCGALLSVPAGLGRFPCPVCRVELVVDGGRLRLYLASPAATTVSVVALPPAGVALTSPYTHQQPEAQVQNYDNPMRSEQTPAQCPSQSVHREETSSSFRKDTRVTIHSMLAQKVPPGHSVQREKSHVEPLNKTAVRSSMRNSRLQAGNESIGLQKVQPEPSIQASSGAQALALPPSYSVCRDHTQGHHPDIAKHLQQTDDVSSIMEHEKIDHLNQATNVEPAQAEDQCKRSGWNSKRKRRNKSTSGYQNRKNKGLTRSPNAGLPVRRSKRLTKQTEHPIDDEPAQQTAASPNAYNSDLPDIDRIIANLCPSSLHPHQMPQASSSESDNVDAAILPALSNHGISQPEQFTPCYSQLYPPEVRGTRQLDKSGEQVKRQPPEVLHQVMHVQENAHGGHLFLGSDKKSSGKRNGRRATRLIEPRMEVDRPVLIPNNIDNWDVSPPCPKAASTISILMKQKYPGSTYLPVDQHGDVPPNGEVVHHWHQYPPETRAAILNEFLQRYKWAPGQEAECLKLFERRAVRQFAGLLCEEKRRVRAQLASLQKAKETSGIHRSNRHIRSDEEDAREEPEDQQRTDKSEDENPLQWKPFPPAWMYPSWWEKLCEHWAKEEVLMMSLQNRKNRFTAGRAHHTTGSRSIAMHRQLMVMENGGKLVSELEVFNKTHKLNGGTGEFISEKAKRTVEGFKKRIEEAGDKQIDPHLAWAQEVGGRSHGRYYGLTGIIDKAKIDELAKSMPGCFGKRGQQQKFSQEQVQQMINQSLQGLNETWESKFKSLEQSMRGAPLVGVDPEYSPGSSAAGGDIQEHPSTHQDASDSQHGEGRQPARHEDDDEEAEVVSTSD* >Brasy5G145200.2.p pacid=40074061 transcript=Brasy5G145200.2 locus=Brasy5G145200 ID=Brasy5G145200.2.v1.1 annot-version=v1.1 MAPPPSQPEFVEVRCAGCGETLEVERGLTEFACPDCGTQQALPPELMPPPRPRRALPIPGRGSAAAVPVPVPVPAPAHMPCVGCGALLSVPAGLGRFPCPVCRVELVVDGGRLRLYLASPAATTVSVVALPPAGVALTSPYTHQQPEAQVQNYDNPMRSEQTPAQCPSQSVHREETSSSFRKDTRVTIHSMLAQKVPPGHSVQREKSHVEPLNKTAVRSSMRNSRLQAGNESIGLQKVQPEPSIQASSGAQALALPPSYSVCRDHTQGHHPDIAKHLQQTDDVSSIMEHEKIDHLNQATNVEPAQAEDQCKRSGWNSKRKRRNKSTSGYQNRKNKGLTRSPNAGLPVRRSKRLTKQTEHPIDDEPAQQTAASPNAYNSDLPDIDRIIANLCPSSLHPHQMPQASSSESDNVDAAILPALSNHGISQPEQFTPCYSQLYPPEVRGTRQLDKSGEQVKRQPPEVLHQVMHVQENAHGGHLFLGSDKKSSGKRNGRRATRLIEPRMEVDRPVLIPNNIDNWDVSPPCPKAASTISILMKQKYPGSTYLPVDQHGDVPPNGEVVHHWHQYPPETRAAILNEFLQRYKWAPGQEAECLKLFERRAVRQFAGLLCEEKRRVRAQLASLQKAKETSGIHRSNRHIRSDEEDAREEPEDQQRTDKSEDENPLQWKPFPPAWMYPSWWEKLCEHWAKEEVLMMSLQNRKNRFTAGRAHHTTGSRSIAMHRQLMVMENGGKLVSELEVFNKTHKLNGGTGEFISEKAKRTVEGFKKRIEEAGDKQIDPHLAWAQEVGGRSHGRYYGLTGIIDKAKIDELAKSMPGCFGKRGQQQKFSQEQVQQMINQSLQGLNETWESKFKSLEQSMRGAPLYSPGSSAAGGDIQEHPSTHQDASDSQHGEGRQPARHEDDDEEAEVVSTSD* >Brasy5G208700.1.p pacid=40074062 transcript=Brasy5G208700.1 locus=Brasy5G208700 ID=Brasy5G208700.1.v1.1 annot-version=v1.1 MITMRAQLLLLLLLAVAAASLTSTALSPEELAPEKKISGVNPGAYATEQQKPAPAADVKEEFPKIVAGANSNVMGGAAGGLNYAGGEKKPEGKSDDAVKKPMENPGAKVIPGVKTAEKSNVYENAVASEKKALDAVKKSKIKSEEAEEKAEEKKLKIKSESLRYDKTEKKPKNKSDDVEEAEAKKKPKNKSDDIEDAEAKKKPENKSDSYEDAGAEKKEKKAKSKDEDSSEDTKKKSESKSEAYEDGSTKKKFKKKKKSGSSSEEDFDAVPMETPADGTPAADTPDGYAAPTTQTMAATDSPEGYAAPTTQTMAATDSPDGYAAPTTQTMAATDSPDGYAAPTTQTMAATDSPDGYVPASDSPDGYAAPTTQTMAATDSPDGYVPATDSPDGEVPASDSPDGYDASTEKPSSNKVNVQSFDDAALPVPEPVLKMLSPIIKAQCAKTEYPSVCETSIAKLPFIPPVLDGVGVLKLAMDAVRARIQEAKNDALEVANEPGISKLAKGAISDCLQLYDDISYSYDNALAALKRGDKASAVAAVDGARTDIDTCDSGFRQSSRGYKPPLAGQEKLLAKLSSNVLCMNRNLKLY* >Brasy5G240900.1.p pacid=40074063 transcript=Brasy5G240900.1 locus=Brasy5G240900 ID=Brasy5G240900.1.v1.1 annot-version=v1.1 MSNIVNDNGGRGNGTGGQNGGRQVVPPLQLNLGPMVAAPPAVAVEAGEGVEEGEGSDVSSPTSCVSSDGSPSREDVILCQCNRCFTLCIVTKKVFPKCLNCKRPSLLIRNVGDRDQSSSK* >Brasy5G015900.1.p pacid=40074064 transcript=Brasy5G015900.1 locus=Brasy5G015900 ID=Brasy5G015900.1.v1.1 annot-version=v1.1 MHRLSPRFVLSSRRWPSPPLAPPPAMAAPPNPPSNRDASEATEEEEDDPIGNTFCDDGGVPGLAQLASSTHRDGAIYRGTYHWRKHFRIADRDETGPDAIPIKPCNLVGGTCLVEVRISRPRADFHLRLGGVSGDEIRLFDGVVVADDRLEGRRCCSDGHLFGSQVGDGFRAALPVVL* >Brasy5G307200.1.p pacid=40074065 transcript=Brasy5G307200.1 locus=Brasy5G307200 ID=Brasy5G307200.1.v1.1 annot-version=v1.1 MEMKTTSCLLGLIFPCLLLLVIFPCATSLNFNYNFSGADAGVLTDAALLKYKNDSAPAIAKDRIELNSPSRSWSIGRVAHMQPVRLWEGNKVASFTSSFTFAIVMSSDGRPRGDGMAFFVAPYNTSPREMPVEMPQDASGGFLALFNNPRNSANTFPPTVAVEFDTNRNDDWDPPSPIIDHIGIDVNDIRSMEYEKLPNGSLNGIMSASVRYDARAATLSATLWFLDPPRQGPFTVSANNVDLRAAGLPQDAAVGFSAATGNSSEQHQILAWSFESTMTDITTKNIGLIAGLVSAGVFVLLAITAWLGYLQYRKRKGIQQDRDIPLDQDMDKEFEMGGPRKFSYTELSRATRGFSDEEKLGKGGFGAVYRGFLQEQGLHVAIKRVSETSSQGRREYIAEVTIIGRVRHRNLVSLVGWCHKSDELLLVYELMENRSLDVHLYDSKEVLAWPTRYKIILGMGSAVFYLHQGCEQCVLHRDIKPSNVMLDSSFNAKLGDFGLARLVDHSRGGFTTAQVAGTRGYMDPEYVYSGRATAQSDVYSFGVVLLEIACGRRPVVEQEDESKVVLVDWVWTLYGRKMLLDAVDPRLDGGFDAQEMERVLVVGLWCVHPDHGFRPSIRQALNVLQCEAPLPDLPPEMPVATYARPHVVHGWSSCASPAGTGSSATTGGRSSKSEQMAGSAKSRYFATAAGTGGATRPSAAAGGSDPTPLALPENIRATAEHFRSTHSS* >Brasy5G396800.1.p pacid=40074066 transcript=Brasy5G396800.1 locus=Brasy5G396800 ID=Brasy5G396800.1.v1.1 annot-version=v1.1 MAGQVGSAVVSESTSRVVSKVLGMINKQAAVNEKLRKLEMLCIKINSTVEASKNHRITGTYLLKWQEKLREAGTEGDEVLFGFRWRALDEASKSINNKIGTLRGQTYTKIAVLSIENYFHNAAKMPLLSNNEEGDKVLGSFTQWVEDAEAIRSAKANRQQSSSSSSIAATATTASALSFTSKSLLGDEDMENLNSPLDRLEQVSMDIQELIRLFQLEAWARIDQTPTGNSCDLEDMEWLAYWA* >Brasy5G118100.1.p pacid=40074067 transcript=Brasy5G118100.1 locus=Brasy5G118100 ID=Brasy5G118100.1.v1.1 annot-version=v1.1 MSLRLCPRARRRLRLRLGPPSQVTAPPPRPTSAAAPPLGPPSQAAAAASAPSAAAPPASALVIKNFHDISSVRKHH* >Brasy5G118100.3.p pacid=40074068 transcript=Brasy5G118100.3 locus=Brasy5G118100 ID=Brasy5G118100.3.v1.1 annot-version=v1.1 MSLRLCPRARRRLRLRLGPPSQVTAPPPRPTSAAAPPLGPPSQAAAAASAPSAAAPPASALVIKNFHDISSVRKHH* >Brasy5G118100.2.p pacid=40074069 transcript=Brasy5G118100.2 locus=Brasy5G118100 ID=Brasy5G118100.2.v1.1 annot-version=v1.1 MSLRLCPRARRRLRLRLGPPSQVTAPPPRPTSAAAPPLGPPSQAAAAASAPSAAAPPASALVIKNFHDISSVRKHH* >Brasy5G184600.1.p pacid=40074070 transcript=Brasy5G184600.1 locus=Brasy5G184600 ID=Brasy5G184600.1.v1.1 annot-version=v1.1 MAAGGGGLSREERWSLAGAAALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEECRRQWEEKGLAVTVSVCDVSVNNAGQLLFNAPADWSSEEYSHLMATNLESSFHLSQLAHPLLLCSPIAGGASIVNISSIGGFVGFPGIAVYAVTKGAMNQLTRSLATEWAHDKIRGNAIAPGMVTSEMTRNIEPEVLEQEHSRILMGRSGEPVEIAAAVSFLCMPVASYITGQVIVVDGGRTIY* >Brasy5G182900.1.p pacid=40074071 transcript=Brasy5G182900.1 locus=Brasy5G182900 ID=Brasy5G182900.1.v1.1 annot-version=v1.1 MFLAAARSWRIRVAVDSLAARAAVRAPVAQWRRAEVLRHIGDASLLRHIGDASLLKHDGELPENRYVDSDKEDEMEDFAFIFYLLENEKWKPFDRSSVHCPFCGSQVLAWFLKENVPPNLEEM >Brasy5G491900.1.p pacid=40074072 transcript=Brasy5G491900.1 locus=Brasy5G491900 ID=Brasy5G491900.1.v1.1 annot-version=v1.1 MVGWRAAGIRAVLRRLGAAAAEKPDSRVFAASYSSSTSSSANAPFRLGQYTNLLRAHTSTSGGVPANFHQLIRNAGISTTRNLLAADDAMVPTSSPLTPPLEDAEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGATA* >Brasy5G491900.2.p pacid=40074073 transcript=Brasy5G491900.2 locus=Brasy5G491900 ID=Brasy5G491900.2.v1.1 annot-version=v1.1 MVGWRAAGIRAVLRRLGAAAAEKPDSRVFAASYSSSTSSSANAPFRLGQYTNLLRAHTSTSGGVPANFHQLIRNAGISTTRNLLAADDAMVPTSSPLTPPLEDAEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGATA* >Brasy5G346600.1.p pacid=40074074 transcript=Brasy5G346600.1 locus=Brasy5G346600 ID=Brasy5G346600.1.v1.1 annot-version=v1.1 MAGSKVSTPPCTCILIVLMVSSHLVPGDARRLMASATGNGEDEACKLAAGCRAVQGSVTFAAAAAAKMATTDGRSTAPGHSPGIGNKLHAAGNDR* >Brasy5G311000.1.p pacid=40074075 transcript=Brasy5G311000.1 locus=Brasy5G311000 ID=Brasy5G311000.1.v1.1 annot-version=v1.1 MDHFQTPSVKIMQDLSSSVVPVLAVAVLVLSYVIWRQKIKPQISTQDPPREPELVAPGSEKGHELKNTENRRFTYKELEKFTNKFERFIGQGGFGMVYYGCLEDNTEVAIKMRSESSSHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMSRGNLCDHLTGRNGVAETLNWGTRVRVVLEAAQGLDYLHKGCSLLIIHRDVKTSNILLGQNLQAKIADFGLCKTYLSDTQTHISIVAAGTAGYIDPEYYHTGRLTQSSDVYSFGVVLLEIVTRERPVLPNHGHIVQRVKRKIAAGNISSVTDARLGDAYDVSSMWKVVDTALACTADEAIRRQTMAGVVVQLKASLALEEAREDSGVGGSFVSTVALVSTVGPSAR* >Brasy5G048800.1.p pacid=40074076 transcript=Brasy5G048800.1 locus=Brasy5G048800 ID=Brasy5G048800.1.v1.1 annot-version=v1.1 MQGNRLQILVGLAALLLAAATASAAVVGSYDSGRAVISHAAARVNTAAGALMRRRLEDSVAPELMMGSHLLLGAGISESALDKNHQACRGPCPAAGGSYTRPCTYKERCPH* >Brasy5G328400.1.p pacid=40074077 transcript=Brasy5G328400.1 locus=Brasy5G328400 ID=Brasy5G328400.1.v1.1 annot-version=v1.1 MERTSASLCLVITLLAFYFLVPSNAIPLSRVQKLVPLKENSEMLSVEESTPKPEQETGRVVPVDDEVMIGARMALETQDYAPSGPNNHHKPPGWS* >Brasy5G328400.2.p pacid=40074078 transcript=Brasy5G328400.2 locus=Brasy5G328400 ID=Brasy5G328400.2.v1.1 annot-version=v1.1 MERTSASLCLVITLLAFYFLVPSNAIPLSRVQKLVPLKENSEMLSVEESTPKPEETGRVVPVDDEVMIGARMALETQDYAPSGPNNHHKPPGWS* >Brasy5G140900.1.p pacid=40074079 transcript=Brasy5G140900.1 locus=Brasy5G140900 ID=Brasy5G140900.1.v1.1 annot-version=v1.1 MLPGVELARRRRVHYRGDVVGGAAGGEHHHQLGATAQAVTGVVGPALAARIRLEEKLRGAAAPTSSSRWGRLTRDRGASPRQQNIQQEQQQVSTGSTEFRSPYAVPALPITMAGSSSEMKRTLSKTDVCAVCLDEVRERQQRVTQLPCSHRYHSDCVLPWLAIQPDCPCCRTAVPSVDTLS* >Brasy5G085800.1.p pacid=40074080 transcript=Brasy5G085800.1 locus=Brasy5G085800 ID=Brasy5G085800.1.v1.1 annot-version=v1.1 MSGASNSAFSEELLADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPSTLKDVAENGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G085800.4.p pacid=40074081 transcript=Brasy5G085800.4 locus=Brasy5G085800 ID=Brasy5G085800.4.v1.1 annot-version=v1.1 MSGASNSAFSEELLADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPSTLKDVAENGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G085800.2.p pacid=40074082 transcript=Brasy5G085800.2 locus=Brasy5G085800 ID=Brasy5G085800.2.v1.1 annot-version=v1.1 MSGASNSAFSEELLADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPSTLKDVAENGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G085800.6.p pacid=40074083 transcript=Brasy5G085800.6 locus=Brasy5G085800 ID=Brasy5G085800.6.v1.1 annot-version=v1.1 MSGASNSAFSEELLADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQFHSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPSTLKDVAENGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G085800.5.p pacid=40074084 transcript=Brasy5G085800.5 locus=Brasy5G085800 ID=Brasy5G085800.5.v1.1 annot-version=v1.1 MLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G085800.3.p pacid=40074085 transcript=Brasy5G085800.3 locus=Brasy5G085800 ID=Brasy5G085800.3.v1.1 annot-version=v1.1 MLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLSVGGTAERIVSALHNVLSEQGDEDTDLEKCKTSMRHVGKMEKDVDSACSKAEDPRREPLCTELKGEEANMKKCIEKLKVVEENRVAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRRLNNEPIIPSAKLTSSAETGKPLSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSEMRSDKRLKVDQVSQVPSVANAAAFVPMPPITTTTVQQPQTILVQQTSVQSQAPAPQPQYNMYQAPAQHYVQQPGGLMMGMPYNMNTMNPPPPPPLPQMMNLARPSPSTPQAQMGLMAQTQPQQPTQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ* >Brasy5G427600.1.p pacid=40074086 transcript=Brasy5G427600.1 locus=Brasy5G427600 ID=Brasy5G427600.1.v1.1 annot-version=v1.1 MLKDIGLQIIAKCDGLPLAVKVMGGLLCKKEKTRNDWEDVLNNDIWLPENIHEMKFLQHINLEDCEGFVELPDSIVKLRELRHLDFDRTHVRSIPRCFRDLTNLRVLYGFPAYTDGDWCSLEELGPLSQLKDVSTTSSVAKARIGAKTHLTELALTWGSRVGDDGLVKEVSEEARRAEEVFHEICPLPCLEQFSIRGYFGRHLPGWMMSTATMPLMSLKVLAMYDLACCTELPDGLCHLPCLEFLQVVRAPAIKRVGSEFVVLHSKHRHPSSQATAAFPRLHKMTLHGMVEWEEWEWQEEAQAMPVLEDLRIASCKLAQLPPGLASHARALKRLTIWNAKCLSSLENFASVVELRLGNNPDLIRISNFPKLQKLEIYLCPKLELLQEMTALRRLQLTVFYSEKRLPLYLQTVKPSHLLLDCSPKVLASVAAGKSGTEWDKFSHIPRVEAYANSEGVEKRWHLSYTNEPYSMETNIEPILQLFNFSWQELSEDEYEEDTKDEDEDGKMDDSEVDNNQSEDDK* >Brasy5G116100.1.p pacid=40074087 transcript=Brasy5G116100.1 locus=Brasy5G116100 ID=Brasy5G116100.1.v1.1 annot-version=v1.1 MSPQPASLAWPWPPQFCPPAPNTPRPPPVRLPWPRATIVLPRASEGLAGEVVVAAGAEGLEVTERCRNGAAPPPPPSSQRAAASCLPTAGRGPAPSSQRAAALVPAHSTPSPFSQRAAALVHPHSAPPPFSQPALPSSLSPGVRAAIVLSASAAPYRALPLPRSSQPASHCGRGADELHCLSSHGLICDRSSIREHFQRVYKSKNQEIFYKSASKKQEIWTSQGLARGWRGNCSIEALRSHDRGSSWPCRWRGIRSLHRNLPISIVNVFATSLASSTPPTKTRKKKRK* >Brasy5G512300.1.p pacid=40074088 transcript=Brasy5G512300.1 locus=Brasy5G512300 ID=Brasy5G512300.1.v1.1 annot-version=v1.1 MALHCQRAPDGSTMAAQSQGSEGGSKPWRGDGGGRWSQGRGPSRRVETAGAREAATADGGRPRAGWQRGDGGGSRGQTAAGRVRAGARAGRTARGGWAADAGLRGGGRGRAAAGARAGRTALGAGRWPREGGGGGRARRREGGGGGLAGERTSGLRRQSSRTLIIC* >Brasy5G107400.1.p pacid=40074089 transcript=Brasy5G107400.1 locus=Brasy5G107400 ID=Brasy5G107400.1.v1.1 annot-version=v1.1 MSPQMSRPSQHHQHSHLAVALAAVLLVLLPAPSSADPSDEACLSSLQRSLSPSNWTSSTFSAPCDGFISKLQGVTCNNGRVYKLSLPGLSLAGAIPPELSSCTNLQSLDLSSNALSGPIPPELSKLLNLAVLNLSANALSGAIPRELAACAYLNVIDLHANQLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANKTTAAGGALAAGPAARFNASSFAGNKDLYGYPLPPMQGHGLSVLAIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTATTPGEEGKISHLMPAY* >Brasy5G107400.3.p pacid=40074090 transcript=Brasy5G107400.3 locus=Brasy5G107400 ID=Brasy5G107400.3.v1.1 annot-version=v1.1 MSPQMSRPSQHHQHSHLAVALAAVLLVLLPAPSSADPSDEACLSSLQRSLSPSNWTSSTFSAPCDGFISKLQGVTCNNGRVYKLSLPGLSLAGAIPPELSSCTNLQSLDLSSNALSGPIPPELSKLLNLAVLNLSANALSGAIPRELAACAYLNVIDLHANQLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANKTTAAGGALAAGPAARFNASSFAGNKDLYGYPLPPMQGHGLSVLAIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTATTPGEEGKISHLMPAY* >Brasy5G107400.4.p pacid=40074091 transcript=Brasy5G107400.4 locus=Brasy5G107400 ID=Brasy5G107400.4.v1.1 annot-version=v1.1 MSPQMSRPSQHHQHSHLAVALAAVLLVLLPAPSSADPSDEACLSSLQRSLSPSNWTSSTFSAPCDGFISKLQGVTCNNGRVYKLSLPGLSLAGAIPPELSSCTNLQSLDLSSNALSGPIPPELSKLLNLAVLNLSANALSGAIPRELAACAYLNVIDLHANQLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANKTTAAGGALAAGPAARFNASSFAGNKDLYGYPLPPMQGHGLSVLAIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTATTPGEEGKISHLMPAY* >Brasy5G107400.2.p pacid=40074092 transcript=Brasy5G107400.2 locus=Brasy5G107400 ID=Brasy5G107400.2.v1.1 annot-version=v1.1 MSPQMSRPSQHHQHSHLAVALAAVLLVLLPAPSSADPSDEACLSSLQRSLSPSNWTSSTFSAPCDGFISKLQGVTCNNGRVYKLSLPGLSLAGAIPPELSSCTNLQSLDLSSNALSGPIPPELSKLLNLAVLNLSANALSGAIPRELAACAYLNVIDLHANQLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANKTTAAGGALAAGPAARFNASSFAGNKDLYGYPLPPMQGHGLSVLAIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTATTPGEEGKISHLMPAY* >Brasy5G228800.1.p pacid=40074093 transcript=Brasy5G228800.1 locus=Brasy5G228800 ID=Brasy5G228800.1.v1.1 annot-version=v1.1 MSARTQTEIQNNAGRGRRVRTAGCEPARGYGGDEQRSNRWQCAGGEQGRRRRWPPSGGAQRRDDRGGAGGAQIRRRRWHDAAGGE* >Brasy5G442500.1.p pacid=40074094 transcript=Brasy5G442500.1 locus=Brasy5G442500 ID=Brasy5G442500.1.v1.1 annot-version=v1.1 MGSYYQKLSLKKPWDAQTGFFGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNIIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVRDGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHATVTISLLQALVGFEKTIKHLDNHLVQIGTKGVTKPKEVRKFKGEGMPLHQNNKKGDLYVAFEVLFPKTLTEDQKTKLKDVLA* >Brasy5G038800.1.p pacid=40074095 transcript=Brasy5G038800.1 locus=Brasy5G038800 ID=Brasy5G038800.1.v1.1 annot-version=v1.1 MRPAAPASTTTTVFLPEDLVTEILLLLPAKSLARFRCVCRAWNAQIGSRGFQQKHHGRIPPKLAFVPMAPEPCINHFPRCRGCPRLVCPRPCRGLVLLERRCLGYFSVCNLSTGGVLHLPRPALVNIIDDDVHSAGIGFDASTGQYKVVNLRINCVGVARVNVITLTMDDASVPGATGVWRSPGPLAEKSFFDDDWRSPLAGAIGDDRTDEWRYDQPHVILSFSLANETFSRAPQPYFSTVDLLPPSPEDYTANKLIYKRRRNEGPEFGYMSDDDKVIMPLGRALAELNGHLCMVRDVRRHRRSDEKGQRLFEIWKLQAWSWSMDYSINCTSLAGREEDTVEQQLTKPRLVVPMCYIGSGSKRKMVLLTSGHKAHVYDPETNTLQTAADFFSDEAGKEPSHESYIYKTPCGET* >Brasy5G470300.1.p pacid=40074096 transcript=Brasy5G470300.1 locus=Brasy5G470300 ID=Brasy5G470300.1.v1.1 annot-version=v1.1 MPSTATPFMDPPPSSAPVPATGGSPTRLQAFQIPPPHLQSRSPPPHTRLRPIPKPVGHPSGMRCGAAPLRPPQADAPTGGRKRAPPSDRGSGQAELPPHCIGALLAVLYLQRRPPERRTTPRRCTGFSHL* >Brasy5G097500.1.p pacid=40074097 transcript=Brasy5G097500.1 locus=Brasy5G097500 ID=Brasy5G097500.1.v1.1 annot-version=v1.1 MEESETNEAPLNRTSPRIKREKSSPQDKAISDINDDHTVEVQRNKRKKVSKDAKPKQNVGFKCSVVTLKSSIDRLSPDQCQWVRDCGFGALLDICNCKLPKRLTLWLINKFNYHTGALEFHGMSIPIKPLIQKLLALPAGDIPVTAPLFTVRGKTKVLNPNAEQREFRDDKGGRGKALHLVIDDLIACHVQQKFQRTFLLIVFCIYLAPTSSHLINRNYLKCLNNMEEVARMNWCEYVADYLIQGIREYNESNATNIFVHGCVHILLLIYLDLLKSPSLGVQPGFPRTHFCTTELLEKLDSIHTRQQVQYKSLEELGALYSADLSQVQSSLRHEPVIEQGSQQLQLSQADPGIEVTRCSNHVRQDDKEQQLKMIEQQYQVDRDQCINEMKLRIQKLDAARSAAIKALLDTTISSNTHMQASAPADVGVQSSSANDEQVVVKDIPRGNSSPAPIDTPTAPPGNHDKVQLDTMAHWLKEEKQLEETSSANDSVPDWLIEEKQLHPVKLEPDATLVSASLFVAKAF* >Brasy5G097500.3.p pacid=40074098 transcript=Brasy5G097500.3 locus=Brasy5G097500 ID=Brasy5G097500.3.v1.1 annot-version=v1.1 MEESETNEAPLNRTSPRIKREKSSPQDKAISDINDDHTVEVQRNKRKKVSKDAKPKQNVGFKCSVVTLKSSIDRLSPDQCQWVRDCGFGALLDICNCKLPKRLTLWLINKFNYHTGALEFHGMSIPIKPLIQKLLALPAGDIPVTAPLFTVRGKTKVLNPNAEQREFRDDKGGRGKALHLVIDDLIACHVQQKFQRTFLLIVFCIYLAPTSSHLINRNYLKCLNNMEEVARMNWCEYVADYLIQGIREYNESNATNIFVHGCVHILLLIYLDLLKSPSLGVQPGFPRTHFCTTELLEKLDSIHTRQQVQYKSLEELGALYSADLSQVQSSLRHEPVIEQGSQQLQLSQADPGIEVTRCSNHVRQDDKEQQLKMIEQQYQVDRDQCINEMKLRIQKLDAARSAAIKALLDTTISSNTHMQASAPADVGVQSSSANDEQVVVKDIPRGNSSPAPIDTPTAPPGNHDKVQLDTMAHWLKEEKQLEETSSANDSVPDWLIEEKQLHPVKLEPDATLVSASLFVAKAF* >Brasy5G097500.4.p pacid=40074099 transcript=Brasy5G097500.4 locus=Brasy5G097500 ID=Brasy5G097500.4.v1.1 annot-version=v1.1 MEESETNEAPLNRTSPRIKREKSSPQDKAISDINDDHTVEVQRNKRKKVSKDAKPKQNVGFKCSVVTLKSSIDRLSPDQCQWVRDCGFGALLDICNCKLPKRLTLWLINKFNYHTGALEFHGMSIPIKPLIQKLLALPAGDIPVTAPLFTVRGKTKVLNPNAEQREFRDDKGGRGKALHLVIDDLIACHVQQKFQRTFLLIVFCIYLAPTSSHLINRNYLKCLNNMEEVARMNWCEYVADYLIQGIREYNESNATNIFVHGCVHILLLIYLDLLKSPSLGVQPGFPRTHFCTTELLEKLDSIHTRQQVQYKSLEELGALYSADLSQVQSSLRHEPVIEQGSQQLQLSQADPGIEVTRCSNHVRQDDKEQQLKMIEQQYQVDRDQCINEMKLRIQKLDAARSAAIKALLDTTISSNTHMQASAPADVGVQSSSANDEQVVVKDIPRGNSSPAPIDTPTAPPGNHDKVQLDTMAHWLKEEKQLEETSSANDSVPDWLIEEKQLHPVKLEPDATLVSASLFVAKAF* >Brasy5G097500.2.p pacid=40074100 transcript=Brasy5G097500.2 locus=Brasy5G097500 ID=Brasy5G097500.2.v1.1 annot-version=v1.1 MEESETNEAPLNRTSPRIKREKSSPQDKAISDINDDHTVEVQRNKRKKVSKDAKPKQNVGFKCSVVTLKSSIDRLSPDQCQWVRDCGFGALLDICNCKLPKRLTLWLINKFNYHTGALEFHGMSIPIKPLIQKLLALPAGDIPVTAPLFTVRGKTKVLNPNAEQREFRDDKGGRGKALHLVIDDLIACHVQQKFQRTFLLIVFCIYLAPTSSHLINRNYLKCLNNMEEVARMNWCEYVADYLIQGIREYNESNATNIFVHGCVHILLLIYLDLLKSPSLGVQPGFPRTHFCTTELLEKLDSIHTRQQVQYKSLEELGALYSADLSQVQSSLRHEPVIEQGSQQLQLSQADPGIEVTRCSNHVRQDDKEQQLKMIEQQYQVDRDQCINEMKLRIQKLDAARSAAIKALLDTTISSNTHMQASAPADVGVQSSSANDEQVVVKDIPRGNSSPAPIDTPTAPPGNHDKVQLDTMAHWLKEEKQLEETSSANDSVPDWLIEEKQLHPVKLEPDATLVSASLFVAKAF* >Brasy5G255000.1.p pacid=40074101 transcript=Brasy5G255000.1 locus=Brasy5G255000 ID=Brasy5G255000.1.v1.1 annot-version=v1.1 MERRHDRERGRRRERVGEGREVAGGGRGGGGRWPAADGVGEEGGGGEKGWGRGGRWPGVAVAGRGRKRGRRGGGGVGGGGRGGRRSRGRAGVGAGGGEDGVGGGGRGWRRSRGWPAAAGEGREGAGGGWVA* >Brasy5G286400.1.p pacid=40074102 transcript=Brasy5G286400.1 locus=Brasy5G286400 ID=Brasy5G286400.1.v1.1 annot-version=v1.1 MYWNNDLVMNLIHYSQYHIDMVVRAGDADPWRLTCIYGEASVRERHKTWELLRFLRGESSLPWTCIGDFNEVLNQDEHFGVGERDTAQMMGFREAVDVCGLCDLGFNGNRWTFERRVRGGTYTRVRLDRVLASTSWWARFPFAAVFHLTASRSDHCPVLLKTAEPRHGSQERTERSFRYEKICHV* >Brasy5G035900.1.p pacid=40074103 transcript=Brasy5G035900.1 locus=Brasy5G035900 ID=Brasy5G035900.1.v1.1 annot-version=v1.1 MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPAADAAFRAAPLDMDALYRAGETLQGVSTYCPRLVSVGSRGSLGSLSSSGSTGLSSAAVQQLKVPTWSGNVTRSVAKPHGRNLFLQSLAEEEQNPSTSNGGDNSQKSVEDKDLVECLENDVNFWTDYTKVQYHPQSLYELTGSWTNFDKFDHYGTAREVVSEWSQMEEMNDRLRFFVEECDHIQGIQFILDDSGGFSSVAAHYLENIADDYTNTPVLLYCVRDPVTHGPPRTQRESMTRSLHDAVSFSKLSSFCNLMVPIGLPSLSQSHLSPFLSIQDAKAFHSSAISAAAIHSVTVPFRLQNAGPASNFAHSSGNIDIGELVHIISGQGRQNMVTALDVAMPAPSLTDGNGMWNIKSLHCLTPEISDEEEDPYSVESLVVHGVLHGGGQRASISEVKDSVCSAYECRSTKPKFSHLSVSPCPLPVPLPFPSIFRSNVGRHGEILSNHPEGTQLPKGSLDVESIPMAARLRSSNAILPFIERRSLSLQKLGVARGTLGSLTLRDWGFGKEEIEDMSEHLSKMVRTLRPEDGLTSDSD* >Brasy5G035900.2.p pacid=40074104 transcript=Brasy5G035900.2 locus=Brasy5G035900 ID=Brasy5G035900.2.v1.1 annot-version=v1.1 MSSSGSPTTPPPTPPSGPRRSTWTPCTAPGRRSRSGNVTRSVAKPHGRNLFLQSLAEEEQNPSTSNGGDNSQKSVEDKDLVECLENDVNFWTDYTKVQYHPQSLYELTGSWTNFDKFDHYGTAREVVSEWSQMEEMNDRLRFFVEECDHIQGIQFILDDSGGFSSVAAHYLENIADDYTNTPVLLYCVRDPVTHGPPRTQRESMTRSLHDAVSFSKLSSFCNLMVPIGLPSLSQSHLSPFLSIQDAKAFHSSAISAAAIHSVTVPFRLQNAGPASNFAHSSGNIDIGELVHIISGQGRQNMVTALDVAMPAPSLTDGNGMWNIKSLHCLTPEISDEEEDPYSVESLVVHGVLHGGGQRASISEVKDSVCSAYECRSTKPKFSHLSVSPCPLPVPLPFPSIFRSNVGRHGEILSNHPEGTQLPKGSLDVESIPMAARLRSSNAILPFIERRSLSLQKLGVARGTLGSLTLRDWGFGKEEIEDMSEHLSKMVRTLRPEDGLTSDSD* >Brasy5G240100.1.p pacid=40074105 transcript=Brasy5G240100.1 locus=Brasy5G240100 ID=Brasy5G240100.1.v1.1 annot-version=v1.1 MAEGSSVRGPGVGDGEVSTPAAAPELLGGSGSVGGGGGALRERDFVHEVFDRLVAEGYVTAAPSSGHQTSRRFLADHDADARLRLLRARIKKIENMLIHQMVSTQENDTSPPSYAHDTRLEEQNVDATTNFDLLEERNGTDDALSTRSNTLYRQIREVLSCIFDKPSCLRQNGHTSIIHGRSEEKKVNAGLAQDCLGKKIVESEPSNGNIQVAEKNLMEKLNKVLIDCVFFALPQVLIFYPRYEVKPKVRLADEICATIEFVCNDPRCR* >Brasy5G240100.3.p pacid=40074106 transcript=Brasy5G240100.3 locus=Brasy5G240100 ID=Brasy5G240100.3.v1.1 annot-version=v1.1 MAEGSSVRGPGVGDGEVSTPAAAPELLGGSGSVGGGGGALRERDFVHEVFDRLVAEGYVTAAPSSGHQTSRRFLADHDADARLRLLRARIKKIENMLIHQMVSTQENDTSPPSYAHDTRLEEQNVDATTNFDLLEERNGTDDALSTRSNTLYRQIREVLSCIFDKPSCLRQNGHTSIIHGRSEEKKVNAGLAQDCLGKKIVESEPSNGNIQVAEKNLMEKLNKVLIDCVFFALPQVLIFYPRYEVKPKVRLADEICATIEFVCNDPRCR* >Brasy5G240100.2.p pacid=40074107 transcript=Brasy5G240100.2 locus=Brasy5G240100 ID=Brasy5G240100.2.v1.1 annot-version=v1.1 MAEGSSVRGPGVGDGEVSTPAAAPELLGGSGSVGGGGGALRERDFVHEVFDRLVAEGYVTAAPSSGHQTSRRFLADHDADARLRLLRARIKKIENMLIHQMVSTQENDTSPPSYAHDTRLEEQNVDATTNFDLLEERNGTDDALSTRSNTLYRQIREVLSCIFDKPSCLRQNGHTSIIHGRSEEKKVNAGLAQDCLGKKIVESEPSNGNIQVAEKNLMEKLNKVLIDCVFFALPQVLIFYPRYEVKPKVRLADEICATIEFVCNDPRCR* >Brasy5G109600.1.p pacid=40074108 transcript=Brasy5G109600.1 locus=Brasy5G109600 ID=Brasy5G109600.1.v1.1 annot-version=v1.1 MSSLRRLCFVLPMDGDEVTLEDDDDEPRPSRNRKLAGSVRRKAGRAISSCGLRCCGDSRSAAAGFEDIDGVYDDGGGNNGKIKGGGPRVFGYSELYIGTSGFSDAEVLGSGGFGRVYRAVLPSDGTTVAVKRVVAAAAGHGQGKKKGGGGFEKSFVAELSAVARLRHRNLVRLRGWCVREQEEELLLVYDYMPNRSLDRLLFSSSAPSKLGWERRRRIVAGLAAALLYLHEQLDTQIIHRDVKASNVMLDSEYDARLGDFGLARWLEHDATPPMAKSDNMDQQQKLLPSPSFASSGSNNNYQFRLIDTSRIGGTIGYLPPESFQQQRRATAKSDVFSFGIVLLEVATGRRAVDLTFPDDQIFMLDWARRLADQGKLLDAGDRKLLLHEGANDDMGRLVHLGLLCSLHDPRARPTMKWVVENVSGSSSSADLPPLPSFSALPKYISLTSSSSDSSDSGTTTTATTTCTTTTIENSTTSNLMKPPAYATADGATVYLTAEDANCPQQQQVSSAAAGEESGLERSSSSRAVVPNVDTPREISYAEIVAMTKDFSASQVVAELDFGTAYEGFLEDDDGHRRQHVLVKRLGMKTCPALRVRFANELRNVGELRHRNLARLRGWCTDHGEMLVVYDHSPGTSLLSHHLLLSRQPLPWRQRQGVARALASAVLYLHEEWDERVIHRNITSSAVFLDRDGGPRLGSFALAEFLSRNERHNPHVAAALPGASARGIFGYMSPEYVESGEATTMADVYSFGVVLLELVTGGMAVDARTPEVLLVRKVRHQLGRRGHGREEDVIVLEALADRRLDGRFDRRELLRLARLAVACTASDPAARPGMREVVGILDGNDEALRKLLERRTESSREEWDAENKAALALVRRLQALGIH* >Brasy5G012100.1.p pacid=40074109 transcript=Brasy5G012100.1 locus=Brasy5G012100 ID=Brasy5G012100.1.v1.1 annot-version=v1.1 MEDRFVDADDVFAGNSRCEDDFRSCCGDEEWEDTEESFTAGVVKGGLDETSVLLFFKGVSSSEAEGKKLSGIGVVMESSPGVPVIRVQKKLDFYVDELVAEHLALMDGLLVALQNGIKKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLKLAKEAIGIKYFSPYEVSTCPICCEERLGSQMIKVGCSHKFCYSCLIAHVEEKLQASKLPIRCPQLRCKYHISAGECKSFLPARSYESLERAFAVPGTSDMERFYCPFPNCSVLLDLSQHFSRASSSSQSDLNCVECPECHGDICINCGVPWHMMMGCDEYQSLPIEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTEGCIHMTCWCGHEFCYSCGAEYNNGVQTCQCVFWDEDSIEPSPAAHSGQASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDHPSQSPPRCSDSYIVDTMKDLHQLPWLERFVSVISDTYNDDYIQ* >Brasy5G154900.1.p pacid=40074110 transcript=Brasy5G154900.1 locus=Brasy5G154900 ID=Brasy5G154900.1.v1.1 annot-version=v1.1 MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHAPNVWYADNGERLGTYKGHNGAVWSCDVSRHSTRLLTGSADQTAKLWDVKSGKELFTFRFDAPARSVDFAIGDRLAVITTDSFMGNQPTAQVKRIAEDIEDQTEESALVISGITGRINRAVWGPGNRTIITAGEDATIRIWDSETGKLLSESDKEVGHQKAISSLSKSLDWSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNAVDISPTLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPDYFNIKM* >Brasy5G154900.2.p pacid=40074111 transcript=Brasy5G154900.2 locus=Brasy5G154900 ID=Brasy5G154900.2.v1.1 annot-version=v1.1 MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHAPNVWYADNGERLGTYKGHNGAVWSCDVSRHSTRLLTGSADQTAKLWDVKSGKELFTFRFDAPARSVDFAIGDRLAVITTDSFMGNQPTAQVKRIAEDIEDQTEESALVISGITGRINRAVWGPGNRTIITAGEDATIRIWDSETGKLLSESDKEVGHQKAISSLSKSLDWSHFLTGSLDKSAKVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPDYFNIKM* >Brasy5G436200.1.p pacid=40074112 transcript=Brasy5G436200.1 locus=Brasy5G436200 ID=Brasy5G436200.1.v1.1 annot-version=v1.1 MCVLVGFYLLCVYIWPPHTCTQRLGGVPGMFSYAALSHFNVVRKGGCVQLRHRPMGVIEGMSNLQAAYAVVFKDSPSILFFLAQKII* >Brasy5G327500.1.p pacid=40074113 transcript=Brasy5G327500.1 locus=Brasy5G327500 ID=Brasy5G327500.1.v1.1 annot-version=v1.1 MAILHHLVLPPQLFSGHGPPPLLRLPRRPRPLFHLLPRATAATTGTAAAVSTPSDAALQNFRRWLSSQGADTGAVSPAVVPEGLGLVAARNLPRGEVVAEVPKKLWMDADAVAASDIGRACRSGGDLRPWVSVSLLILREAARGGDSLWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFDNVLEKIIGPNKDLFPDTITFDDFLWAFGILRSRVFPELRGDKLALIPFADLINHSADITSKQSCWEIQGKGFLGRDVVFSLRTPMEVKSGEQVYVQYDLDKSNAELALDYGFTETNSTRDSYTLTLEISESDPFYGDKLDIAELNGMGETAYFDVVLGESLPPQMITYLRLLCLGGTDAFLLEALFRNKVWGFLELPVSRDNEESICQVIQNACKSALTAYHTTIEEDEELLKREDLQSRHQIAVEVRAGEKKVLQQINDIFKEREQELDDLEYYQERRLKDLGFLGDNGDIIFWES* >Brasy5G494700.1.p pacid=40074114 transcript=Brasy5G494700.1 locus=Brasy5G494700 ID=Brasy5G494700.1.v1.1 annot-version=v1.1 MVNIYSCEPRELPRLSLPPQFRFHTTDEEAIIHYLLPKVLNPAFAPRAVTVVDIYSCEPRDLPSKARMGEKEWYFFVPKGLKYPTSSRANRATREGYWKSTGRDKGIFGEGTRELIGMKKSLVFYMGRAPAGVKTDWVMHEFRLHGRTRDQIVNGQKDEWVVCKVFNKGEWEAAANPLENSDSAGAEELDVDAGGELDLSGVEGYDNENHQLPEANTTTNAGTIDVPPPYNMGCYSYSVPTTAGMPSLTNNVNAAISGIQQSANAAAMAASSVPGSSSGAGAGSSWNVLQNLPNYGVGLGRSFFDGISLHEEQAVMADALGGLLSGAGPSSGVMPAMGSLLPSSAAAIAGLPPPQQMSIMEIYNNGGYPSYGIYAPDAAAGAAKDFGGRLH* >Brasy5G183100.1.p pacid=40074115 transcript=Brasy5G183100.1 locus=Brasy5G183100 ID=Brasy5G183100.1.v1.1 annot-version=v1.1 MKKPYVFDFDENKRIHVATNGTFEYASPQILQDI* >Brasy5G065600.1.p pacid=40074116 transcript=Brasy5G065600.1 locus=Brasy5G065600 ID=Brasy5G065600.1.v1.1 annot-version=v1.1 MATGQKASSAGSGKKKKDSSYDSKWEEVVSINSYALFMGYLSMAVRGMGVLVLAWSTVVLLGGFVSMLQKKDFWCLTIITLVQTAGVFDVSLNEKLKYIRKSYVGFLRAIYATVIRKNTDVERAWRIRRKRRIADNNNPSWPRLLFAVVVLFVQQFVFAVILCPLAALYLCGLVITGGISLWRLIQRDYGKVDGDANLQPALHVLYSLALFQDGLVSQVSEEYQLEKTARKSVKEYLRKTRNGCAKDPSFVKERNLVTYAVGMMKCESSDSFLSGPRILDALLAQPNLKEQHSMIAQLIGSASSSNIVEKLLQALDSRSRRDKEITELAARIVVHLASEIRLKQFPQGIPCISSLLETSQRQADDDSVPSGEFKVLMVQGLEILDGLAADKHNCTAICEAQSLLSKVMAPISSDLLHLIDHGEWSNIVAASLQVMSRLVTTPGSSDNELHSQMLKNTDVISSMEKILECEICDDKLKILAIKILTKLPMNAASSVGNESGVKFIKALAKIFTSDSKDSSVRKLAGEALAMLSESNATIFLKENGSVVCDLRRMLSYVGNNSYRISAAETLKHLCMYYKQNDDYFRKLMGAMKDVTLEVLTEILPRGSTVKEIGHDGWVDSENNCLNKITSSVQQKDEKPVDRKLQAALLSLSAAIFDKLISEGHDLAPLVDAIASGDAAFSFAWKLKEMVEIDSMPTANCLIIMKIISKMVISMMKQRTWRVKEDLESLDSLMLSLFSASKNMLALEGFMIFASTDVNEAQKLLEKIKMERQLATVPAFCYN* >Brasy5G524900.1.p pacid=40074117 transcript=Brasy5G524900.1 locus=Brasy5G524900 ID=Brasy5G524900.1.v1.1 annot-version=v1.1 MAAWSPPVDAASSFQPKLGFLVRRPPQALLLLPRLAHTIPNLAVNPCRASVKEDGATPQWQLDFLGPHPQPRPQEEDDDEYPLPAESTDWCVRARRSALRSIEARGLSPSLQRMVSPPKKTSNKKKKKQKKILDKKKKEKKKLREDDELTDEEDEMDGDVVADDLDLRVAQLADGVFDEKRQRNRELFIQTLSSFSAAQPSNRSREVSLNRDIVQARTAEEVLALTAEVIAAVAKGLSPSPLTPLNIATALHRIAKNMETVSMTQTHRLAFARQRDMSMLVGLAMLSLPECSPQGVSNISWALSKIGGDLLYLPEMDRIAKVAISKVDNFNAQNVANVAGAFASMRQSAPPLFLALAQRAAQLVYTFKEQELAQFLWGCASLNECPYPLLDALDAAFQDGLVSDMRQTSAKEASSGEDVSNAHALSFSRDQLGNIAWSYAVLGQIDRQFFSHIWKTLKQYEEQRVSDQYREDIMFASQVYLANQSVKLEYPHLDFALRGDLEEKITKAGKSKRFNQKTTSSFQKEVGRLLYITGHEWIREYTVDGYTLDAVLVDEKVALEIDGTTHFSRNLGTPLGHTALKRRYITAAGWKLVSLSHQEWEELQGESEQMEYLRRILGINAE* >Brasy5G252000.1.p pacid=40074118 transcript=Brasy5G252000.1 locus=Brasy5G252000 ID=Brasy5G252000.1.v1.1 annot-version=v1.1 MIQGMGAAGIFIRERYEPEELDCPAKQFLRPPLLLLPATSYQFLVCVCVCVSEGVGALRCVLGGGYLYWETYRLSSPLFYPSQYVAVREVYRCAGTKKSAVLILLVSIPSKEL* >Brasy5G379500.1.p pacid=40074119 transcript=Brasy5G379500.1 locus=Brasy5G379500 ID=Brasy5G379500.1.v1.1 annot-version=v1.1 MAMLALFLLLLLRLTALPRDSAALTGLTRHDFPDGFIFGAGTSAYQVEGAAAEDGRKPSIWDTFTHQGYSYDKSTADISADQYHHYKDDVKLMHEIGLDAYRFSIAWPRLIPDGRGKINPKGLKYYNNLIDELIRHDIQPHVTIYLLDFPQSLQDEYKGLLSPRFVDDYTAYADACFKSFGDRVKHWVTVNEPNIETIGSFDSGLLPPRRCSYPFGVNCTGGNSTTEPYIAAHHLLLAHASAVSLYRDKYQATQRGQIGITLLGWWHEPATKASRDAAAATRMNDFHIGWFMHPLVYGDYPPVMRRVGARLPYLTAEQSKNLSGSFDFVGFNHHLVVRAQSDERAFDRKQRDYYNDAAAIANPFKDIQEGHLESAPRALGKLLDHLRLKYRNPPVMIHENGLADAPKTPSKIEFDDDYRSEYLQDYLEVLYLSIRNGSDARGYFVWSFLDVFELLFGYASRFGLCGVDMNAVERTRYMRNSARWYSSFLKGGELRPASPASGKGYGVA* >Brasy5G341600.1.p pacid=40074120 transcript=Brasy5G341600.1 locus=Brasy5G341600 ID=Brasy5G341600.1.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLDAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy5G341600.2.p pacid=40074121 transcript=Brasy5G341600.2 locus=Brasy5G341600 ID=Brasy5G341600.2.v1.1 annot-version=v1.1 MVSSQISSLTIKLAQGPKPFTRNILLPMDPPNASSSENAEYDFSDVFGSSPVQTAENLCVLGPDSPAAPIESNEEVYNDPVVIIKRSHSLVGPTSLVSCSLGLDKLALSKAEGSPELVDCTTEENEVNLEQLSDEEFGNAMTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREELARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKQFRENTRSNSMCGTLEYMPPEIILGQGHDKAADWWSVGILLFEMVTGKPPFVGNREKIQQKIVKEKLKLPPFLSSEAHSLLKGLLNKDAAKRLGTGPGGSDEIKKHKWFKPINWRKLDAREIQPSFRPNVAGLTCIANFDACWTNTSVLDSPAATPVTAGGGQGNFPGFTYVRPAPFLRELKPSTSS* >Brasy5G095800.1.p pacid=40074122 transcript=Brasy5G095800.1 locus=Brasy5G095800 ID=Brasy5G095800.1.v1.1 annot-version=v1.1 MIVESTEELDNAPVRAPACLHIKDWDISKPVNMKQALKGLHSQASEMAAMKRLSMTIHRLYASLIVQSSDESLCMMTTRQNLIEISITPQKISKNSFRGTSDFSEDCDFETADGSAVTSLHFASSASADIRKDQDPRFHGCKSKMKEEQSSLGNSSNSTKFCSSKESISLASTSCSSASSVTNPTSCAKNRERIQSQKYLMKITEWLRTQEKGECSQNSKSSISEYGCGDLACLRQRQPGRSFRSICQFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMLSDFDLSLRCSVNAVLLRSSSVAVNQQPKKLAGPCAESYCINSKCLQPSCAQTSCFRQRPSVPKPRKPKSSLKRLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPVVSSNARDLIRGLLVKEPENRLGTLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATVKKKKEGKCLDFRSTEELEFEVF* >Brasy5G071500.1.p pacid=40074123 transcript=Brasy5G071500.1 locus=Brasy5G071500 ID=Brasy5G071500.1.v1.1 annot-version=v1.1 MDRVMKLASERAVVVFTLSSCCMCHTVERLFSEQLGVNALVHELDKDPRGKEMERALLKTLGRGPAVPAVFIGGKLVGGTNKVMSLHLGGELVPMLKNAGALWL* >Brasy5G480700.1.p pacid=40074124 transcript=Brasy5G480700.1 locus=Brasy5G480700 ID=Brasy5G480700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G110500.1.p pacid=40074125 transcript=Brasy5G110500.1 locus=Brasy5G110500 ID=Brasy5G110500.1.v1.1 annot-version=v1.1 MAGILASGRSPISLLLLLLALLRFQAAALNQDGALLLAFKSSLLADPLGSLAGWGASAADATPCGWNGVVCNSDQRVAGVILPNEQLVGPVSRDLAQLEHLRHLDLSGNALNGTVPADLLLRAPELRVLSLAGNGITGALPDQPFSSGSGSSSSLRALNLAGNALSGPIPGNLLASLRNLTAVSLAGNFFSGALPGGGLPPALQVFDASSNWLNGTLPADLGGPALRYLNLSSNRLAGTIPSALASRLPVNVSIDLSNNNLTGAIPAVPPFSAQRAAAFAGNAGLCGRPLDTLCSDAASPNGTATTKSPPAIAAIPNDPTDGGGAAASSGGQGGSRMKLATILAIATGDVAGIAVVFVVFFYVYQARKRRQERAAAAAVVFKKAEPEESADVGRSLSCCPRKKAGDESDSTEEEVTDTSAPPSLAAAAKEEAAKKERSVLVTVDGEQLELETLLKASAYILGASGEHSIVYKAVLAGGGGALAVRRIDGAGLAAKTMRFGELEAQMRGVARLRHRNILRLRGFCWGPDELLLIHDLAANGSLEMLGVAGKKQLVGWGARLRVARGVARGLAYLHDSKCVHGSVRPSNILLDAHMEPLLADLGVHRLLLRAAGPKRNARSLPDAGPSAEVDAAARPRYRAPEAAAGKKPSAKWDVYAFGVLLLELVAGRALSAGELCQWSSAAAGAGEQKGMLVLADPALRGEMEGREEALASCLRLGFSCCAMPPGKRPAIKDALQAIDRIPSPSTSAPPDSLL* >Brasy5G480000.1.p pacid=40074126 transcript=Brasy5G480000.1 locus=Brasy5G480000 ID=Brasy5G480000.1.v1.1 annot-version=v1.1 MKVDCKENGSSHLLGKQRLGCVCAAMAFKKRRDGDYIFSELCGSLVILRLYSSWVARSCRQ* >Brasy5G492200.1.p pacid=40074127 transcript=Brasy5G492200.1 locus=Brasy5G492200 ID=Brasy5G492200.1.v1.1 annot-version=v1.1 MGAGKTRPERQVQRAKILEEEHKTQANERTNLDLDHYKKKLRIKHHYDSHTSKMNVHNRRELAEAPVQQEVGKLCPQIVCEGKITRSKSRLLIKEHNMEIKVPEDTPTDEDSSHHGGYKDALRISGLPHADKEAFVAHGRNMSDACCSQAITSNKGFSTNFDVASSKSGTGKLSFTYNSSLAHHSDFQVPDMELVCKEMEARCLRKFKILEPNFSFMKLLEDTCQCIVDMGCESSGPRERGIVHHDVNDITKGEERLSIPIVNETGNGILPPSFHYIPRNIAFQNAYIDLSLARIGDESCCSDCYGDCLAQPLPCACATETGGEFAYTGDGLLKERFLDFCVSMLQEPDKHHLYRCKDCPYERVKTETNSNSSNTKVNPGPCKGHLIRKFIKECWSKCGCTKNCGNRVVQRGITQHLQVFLTSGNKGWGLRAAEELPRGAFICESIGEILTNTEMYERTNQKTAKSRHTYPVLLDADWVTESVLEDDHALCLDATFYGNAARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRKTEPFEELTWDYGIDFSDVNHPIKAFQCQCGSEHCRDPSSISRSKSRAPVLL* >Brasy5G133100.1.p pacid=40074128 transcript=Brasy5G133100.1 locus=Brasy5G133100 ID=Brasy5G133100.1.v1.1 annot-version=v1.1 MDCNREEAFRAREIAIKKMENGDFTGAQKIALKAQILFPELQNISQALNICNVHCAADTRVNGEMDWYAILQVEPTTDPRNIKKQYRSLAFSLHPDKNSFYGAEAAFKLVAEAYRVLCDETTRFHHDIKRQSALRKVPKQPTQQHTSRCDVPGYAATIWTICPHCWRRYLYHRHVLNTLVCCVSCKNNYFAYNLNEQYVPTSSSVSSSFQAPAKMFPSQQGDPVKPSSARGTTDVKPRMNVPQCDEYTKWYSRPSMEEKANHSGTNGKNQFSAMNQDKPFVPTVNQHMGRSIPVSFDPDTFDRQKSGKEDVSAAPNATNVPSPVKLSSTGANTYMDARTNVAQCDIKGNDCADGDKQANQSGITSGNVEIPTTNESESSAQTLNMNTGGRRMPDRADLNVDRKNLGKEDISTVSNIAVSSSFQRSARRKNNADGSSRLDSNSQKKQRINDLQSVDLNCKPLSDHTDTNAVRQSVSSHVYSTIDTQEKANATYMGDQDNVKAKATDTVGQNQPSCSIWAVYDNLDGMPRFYARIKSFDASNFKVHITWLEYAEANEAEEKWTNEELPVACGSFRLGTTEVSQDRLMFSHIVSWSKGKRRNYEIHPRKGEVWAVYKGWSMQWGSNADNHRSYEYDVVEVLSNFSVSDGVTVVPLVRIKGFVSLFGTAKEKSEIVVASSELLLFSHSVPFCRTDGNEKVGVPAGFLKLDTAGLPMDLEEAFPSVTLDFSVSPGKKDGSTSIGLSNDSAGTCPQLEQGKQWLEKDIPVSCGKFKIENWKTKYETNAAFSHLVCTRNIESSWQIEILPQVGEIWAIYMNWTPDWTPSSIDACEFAIGEIIERTEASTKISFLARVIPSFRLTEESGGKLRGFYELDPASVPDVFLSRDAP* >Brasy5G051700.1.p pacid=40074129 transcript=Brasy5G051700.1 locus=Brasy5G051700 ID=Brasy5G051700.1.v1.1 annot-version=v1.1 MRPAVASPEIAAGDGSCYDLRLTDSLWSPTIPDPPDAAPTSASPSKPLSPCPAPWTQSRVRVFLSRLVYNLMRWRESVKLELWRLF* >Brasy5G187600.1.p pacid=40074130 transcript=Brasy5G187600.1 locus=Brasy5G187600 ID=Brasy5G187600.1.v1.1 annot-version=v1.1 MRSWTTMRLAIKIVTFLLQLHTDFCCCFGGGNEIKSIVL* >Brasy5G193700.1.p pacid=40074131 transcript=Brasy5G193700.1 locus=Brasy5G193700 ID=Brasy5G193700.1.v1.1 annot-version=v1.1 MEDFIPVPAASDSDASHEALAADELEPSFVDKPMVSSGSFFVEEEEEDPEERVPATPSPSAAPAHPAPVLPGPPLPPASPEFEEFLSRDNDSESDDDAEPVHVFARRSPPPSRPDCTNVFMPHVPLEHFDHLAYAYVFPPAPSPNFFVRRALHAGPAHPDPQLRISGQGSSMVIFNSLMEREFAMLNQPFRGREHTVHLVRHDDTENRFLFDHLNLAALAIDDFPLEHWFPGNIFHSVAPFANPYEINPICITGDDYSAVLITVKARSITDVPHTLAIYGFSGLGTLDNISIIHSQALQPINDNPFLPPSPRSSNGEEDDSDRSSDGSVRGMRALFVEDVPPAVSPPRPAGPVGGGRPVGELAIPPPPPEAASEALAELPGGGTMVAVPAPFVSASPIQSRPASVDIKLFPGFFELHVSGSHGERGLYRLPLQKVGEAGMLVANLASCSIGHLAGVSTVGPGKAPAISVDIICRDSSGLEGSTRFTDISATPPASPPVEPSPVRRSARLAAVEAPTYVSILDKAAARKRAKLEGAGSSSRPARSSSKLLPSEELLELAAEGLDPLPPSDVRLLASACGIDADDRVVVPASD* >Brasy5G057800.1.p pacid=40074132 transcript=Brasy5G057800.1 locus=Brasy5G057800 ID=Brasy5G057800.1.v1.1 annot-version=v1.1 MAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE* >Brasy5G407700.1.p pacid=40074133 transcript=Brasy5G407700.1 locus=Brasy5G407700 ID=Brasy5G407700.1.v1.1 annot-version=v1.1 MATAVLLLAAAVAALLAGEAEAAWSLTKKGTVVSYDERSLMIDGKRDLFFSGAIHYPRSPPEMWPKLLDRAKDGGLNTIETYVFWNAHEPEPGKYNFEGRHDLIKFLKLIQDNDMYAVIRIGPFIQAEWNHGGLPYWLREIPHIIFRANNEPYKKEMEKFVRFIVQKLKDADMFASQGGPIILAQIENEYGNIKKDHITDGDKYLEWAAEMALSTNIGIPWIMCKQTTAPGVVIPTCNGRHCGDTWTLRDKNKPRLWTENWTAQFRAFGDQVAVRSAEDIAYSVLRFFAKGGTLVNYYMYYGGTNFGRTGASYVLTGYYDEAPIDEYGLNKEPKFGHLRDLHKLIKSYHKAFLVGKQSFELLGHGYEAHNYELPEEKLCLAFISNNNTGEDGTVMFRGKKYYIPSRSVSILADCNHVVYNTKRVFVQHSERSFHTADESTKNNVWEMYSEPIPRYKVTSVRTKEPLEQYNLTKDKSDYLWYTTSFRLEADDLPFRRDIRPVVQVKSSAHAMMGFVNDAFAGSGRGSKKDKGFLFEKPIDLRIGINHLALLSSSMGMKDSGGELVEVKGGIQDCMIQGLNTGTLDLQGNGWGHKINLDGEDKEIYTEKGMGAVKWKPAENGHAVTWYRRYFDEPDGDDPVVLDMSSMSKGMMFVNGEGVGRYWTSYKTIAGYPSQSVYHIPRPFLKSKKNLLVIFEEEIGKPEGILIQTVRRDDICFLMSEHNPAQVKTWDADGGQIKLIAEDHSSRGILTCPHKKTIEEVVFASFGNPEGACGNFTAGTCHTPNAKEFVAKECLGKKKCVLPLVHTLYGADINCPTTTATLAVQVRCQRKGKKDD* >Brasy5G034800.1.p pacid=40074134 transcript=Brasy5G034800.1 locus=Brasy5G034800 ID=Brasy5G034800.1.v1.1 annot-version=v1.1 MEAALVSAATGVLKSVLGKLASLLGDEYKRFKGVRGEIESLTHELAAMDAFLLKMSEEEDPDPQDKAWMNEVRELSYDMEDSIDDFRKHAHDHQDTNGFMEKIKSSLGKMKARYRIGKEIQDFNKQITKMGERNARYKTREAFSRTISATIDPRALAIFEHASKLVGIDEPKAEIIKLLAQEDGSAATKEQLKLVSIVGSGGMGKTTLANQVYQELKGQFECRAFLSVSRNPNMMNILRTILSEVSGQGYADTDAGGIQQLIGKISDFLAYNRYFIVIDDIWGVETWNVIKCAFPMNSCGSRIITTTRINVVAESCRSSFNGDIYHIRCLNMVHSRQLFNRRLFDSREDCPYYLEDVCEQIWEKCNGLPLAVIAISGLLANTERTEHLWNLVKDSIGRALERNPSVEGMMKILSLSYFDLPSHLKTCLLYLSIFPEDSIIKKKVLIRRWIVEGFIQKQGRYTVHEIGERCFNKLLNRSLIQPVKKDGFGWAKEACRVHDTILDFIISKSIEEKFVTLVGVPNLPAVGAHGKVRRLSIQVSKQGNPFISTGLALSHIRSLNVFGDSVEIPSLDKFRYLRVLNFGGCSQLKDHHLVNIGRLFQLRYLKLKRTGISKLPEEIGHVRCLELLDIRETKVCELPAAIVNLRNLSHLLVGMDVKFPGGIAKMQALEVLKRVSVLKHPFDPLDLGQLKNLRKLYLYFEPYDDDGVTAILEECHKDVASSLRNLGNQSLRSLTIWDRSSFLQHEGPLCPVPLTLQKLKIHGFSFSTLSQVPKWMGSLTNLQKLLLDVDDGVRQEDLCILGALPSLLILILIIWMPDQRTRANKVNLIVSAELGFPCLRQFSYRIVLGLVPAPVFVAGSMPKLEELEICYKVDEELSVIASGAFDTIGIENLRCLITLKCGVISSSDKVAEAAKAAMERAASTHANHPTPLFEHRMI* >Brasy5G195900.1.p pacid=40074135 transcript=Brasy5G195900.1 locus=Brasy5G195900 ID=Brasy5G195900.1.v1.1 annot-version=v1.1 MSQPLAKPKPTTATGQPPPSWKEATRLHDDAFKKETTSEDAVIVGTDKVGTRFSPAALAHPPRTAEPNMAMRPKSTTRIDGGPRNCDGSLLPRKDNSRRNNARREHPPSHNSRIWSRPPSPCAGSRKDMADPGTWPPPTRPHRTPVEPQPTTAATRPERATAHNPPPSAARRARRTTAPERGDLAGGRKGPSTRRAARIWPAPSSRREGRWKAPPLQLGLKQTPEGASELRPPLETSHPQRRIPSARPRRRPRGTCPAATRVGERWRSAVAARVWVAARVAHERATLGPVPRLV* >Brasy5G372500.1.p pacid=40074136 transcript=Brasy5G372500.1 locus=Brasy5G372500 ID=Brasy5G372500.1.v1.1 annot-version=v1.1 MAMRMGKYEMGRTLGEGHFGKVRLARHADDGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGQLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSMSDIWSCGVILYVMLTGNLPFDDENMVVLYQKILKGSVHIPKWLSPSAQDILRKILDPNPITRFGMDGIKAHDWFNQSYNPAVPLDDDDNYIGDDNPQITEQNDIQDNPAINQINAFQLIGMSSCLDLSGFFEKEDVSERKTRFASNHSPSYLFEKIESNVTNMGFQVQKNNGKLKVIQDRKGPSNPRGHESLLISAEVFEINESLYVVELKRSSGDCSLYRQLCATLSDDLGICKSQQPLKKDSIRQELYRYNSSF* >Brasy5G372500.2.p pacid=40074137 transcript=Brasy5G372500.2 locus=Brasy5G372500 ID=Brasy5G372500.2.v1.1 annot-version=v1.1 MAMRMGKYEMGRTLGEGHFGKVRLARHADDGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGQLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSMSDIWSCGVILYVMLTGNLPFDDENMVVLYQKILKGSVHIPKWLSPSAQDILRKILDPNPITRFGMDGIKAHDWFNQSYNPAVPLDDDDNYIGDDNPQITEQNDIQDNPAINQINAFQLIGMSSCLDLSGFFEKEDVSERKTRFASNHSPSYLFEKIESNVTNMGFQVQKNNGKVFEINESLYVVELKRSSGDCSLYRQLCATLSDDLGICKSQQPLKKDSIRQELYRYNSSF* >Brasy5G372500.3.p pacid=40074138 transcript=Brasy5G372500.3 locus=Brasy5G372500 ID=Brasy5G372500.3.v1.1 annot-version=v1.1 MAMRMGKYEMGRTLGEGHFGKVRLARHADDGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGQLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKKDGLLHTTCGSPNYIAPEVLLNRGYDGSMSDIWSCGVILYVMLTGNLPFDDENMVVLYQKILKGSVHIPKWLSPSAQDILRKILDPNPITRFGMDGIKAHDWFNQSYNPAVPLDDDDNYIGDDNPQITEQNDIQDNPAINQINAFQLIGMSSCLDLSGFFEKEDVSERKTRFASNHSPSYLFEKIESNVTNMGFQVQKNNGKLKVIQDRKGPSNPRGHESLLISAEVFEINESLYVVELKRSSGDCSLYRQLCATLSDDLGICKSQQPLKKDSIRQELYRYNSSF* >Brasy5G372500.4.p pacid=40074139 transcript=Brasy5G372500.4 locus=Brasy5G372500 ID=Brasy5G372500.4.v1.1 annot-version=v1.1 MAMRMGKYEMGRTLGEGHFGKVRLARHADDGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGQLSEKEGRRLFQQLMDAVSYCHEKGVYHRDLKKDGLLHTTCGSPNYIAPEVLLNRGYDGSMSDIWSCGVILYVMLTGNLPFDDENMVVLYQKILKGSVHIPKWLSPSAQDILRKILDPNPITRFGMDGIKAHDWFNQSYNPAVPLDDDDNYIGDDNPQITEQNDIQDNPAINQINAFQLIGMSSCLDLSGFFEKEDVSERKTRFASNHSPSYLFEKIESNVTNMGFQVQKNNGKVFEINESLYVVELKRSSGDCSLYRQLCATLSDDLGICKSQQPLKKDSIRQELYRYNSSF* >Brasy5G083400.1.p pacid=40074140 transcript=Brasy5G083400.1 locus=Brasy5G083400 ID=Brasy5G083400.1.v1.1 annot-version=v1.1 DCTRVGVGYTDRSHAALEDITNRYTQSSNSTQVGHSTQSSLTFQSATQRGDTTNDMRGKKNQARRERDQARRDKQTPEERAAINARRRERDRARRDCLTPNEIVARRALDIACRDRQTPEEKEANNAQGGQQCP* >Brasy5G137000.1.p pacid=40074141 transcript=Brasy5G137000.1 locus=Brasy5G137000 ID=Brasy5G137000.1.v1.1 annot-version=v1.1 MGHNASTCLRKIRCKECFKAGHILRDCPARPTSTARQPDAAAASSVLAGACSSPPIPESVADTYRSSETPLATVERVFRDPNLPSHSFQSMANFDIDPTPYVPRGQEIEDGGPFRLQRTFVTLSGAPTRRHESYLIAMIEPPPPVAEVPAVIAQVHAYLVEVLHFEVVSLCRHPSGLARFRMRNAIERDAVVNLPAVAFGLNRILRFVKHDEANNYRSTDFTRNGWIMLLGIPLDLQDDAFITQAVETFGKLEYWTQRDSTDVRVLAKVIYEDATTVPRDIVVREVMVIGGRTVSWTIPVYILNSNFADELPPLEDQLPPDGGNQHLLPGHLPPMQPQPEWIPPADNEGHIPEPWGNWQPDQGDDDGMEGVVDEISVTPPSSVSSGYMQRAVGPVQVLDISVCSRKEHDSWAIVPFCLPKPVSQPLIQQFWALQFTLALPQILSAQLPSPMPRSAVFAPVVPVKLCKRSWSAAFVEGSGFVMSWIPLDAELPPRAAPRRVARILNFDTTEDASLPVAAPVFAQSPVITPKKRGRPARSTTPVSSMGLRRCTRQSIRQGGFKLVSPVDTTKRGKKARPSASGPDRPVIPFLTVTQARRIGKDLEIASEDLSDAALMGASSAAHSTGHNDN* >Brasy5G020100.1.p pacid=40074142 transcript=Brasy5G020100.1 locus=Brasy5G020100 ID=Brasy5G020100.1.v1.1 annot-version=v1.1 MAAEHGAGVCPAPMQATSHGAFQGDNPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGVLLGPSALGRSNKFLNAVFPAKSLPVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISLPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIVSLWVLLTATGFVIAVSVLLRPVLAWMANRSPEGEPVKEIYICATLAIVLAAGFATDAIGIHALFGAFIVGIVVPKDGPFAGVLIEKTEDLISGLFLPLYFVSSGLKTNVATIQGAKSWGLLVLVIANACIGKIGGTVIASLAVKIPVREALTLGFLMNTKGLVELIVLNIGKDRKVLNDESFAIMVLMALFTTFVTTPVVMAIYKPARPSAPYKRRTVECTAADADSELRVLACFHSSRNIPTLLNLVESSRGTGRRRLAMYAMHLVELSERSSAITLVQRARRNGMPFFSNTNNGGSGKEEQMVVAFEAFQQLSAVRVNPMTAISDLDTIHRDVIDSAAEKRAAIVIMPYHKMLQHDGSFHSLGSQYHAVNKRVLREAPCSVAILVDRGLGGHAQVSAKNVAFSVSVLFFGGADDREALAYATRMAEHPGVAVTLTRFGRSRPQPDEETAADEAAVEAFKSKLSTHGANDDGSVRFEEPESGDAKEAISALSKCNMFVVGRMPPTEALVERAEELGPVGSYLASPELRTSASVLVIKRYDPATNPASNRFDPKARPPVATEVDEEAGAGGSASVVPVPWTPTHDDNA* >Brasy5G122600.1.p pacid=40074143 transcript=Brasy5G122600.1 locus=Brasy5G122600 ID=Brasy5G122600.1.v1.1 annot-version=v1.1 MWSGPPPTPRSPIICDAPITVVEPVMRMPRRRVPDRNEKKRDIPVGCGLVVEEVGVAASNTLNNKDRFVLPAETVHMQAATSSVQQEEVEMLCWSGPAKTPRSPIPCEGQITIAEPVYRIPERSSNGVDSDRTVNLLIKKRYGTYVQSATEEEQESNTHPPKRPQVEETASRKQGSSHMPPRDPLLHKANVTPPCPIDLREGTPTAQRCYTHAPELPENLIPVIGQHFSTFDEAFEFYNAYAKHTGFGLKRSQHNTYRRYIRCTKEGKYTTSVRDGERQRGRASKKIGCKAHMGVKVNGDGGCIIKSIHFEHNHQLSLSPSMLIFLHSHKRVNPILQDYIKDLQLSNVKHVNIISLFTRLSGGRDKLGCHNRDVLNINESEKCMQGVCR* >Brasy5G177200.1.p pacid=40074144 transcript=Brasy5G177200.1 locus=Brasy5G177200 ID=Brasy5G177200.1.v1.1 annot-version=v1.1 MERDLQIVKCAGGPSSPPSPDRRQDLTAAVRHLMPSPPCTAAPSPPPSTVTPSPPPSPHRHDLTAPSRHATTSPPPPAATTPPASPPLPTVTPSQPPTATVGHHGPPPPPPRTAGGRTARRASASRNRPEEPLPPPRLLRPSEDGVTVTWGRKKKPNGGRRRPRPIGGVYRKYQAPMPRGRPRPDPVPLMRLKGVDPVLHGSNYVVPKRAVSRNFQIDSFNQSLCDTYSSILAAIKRLEEHRKMSAPSAEVTSALLYDISRFCNSAFALRRVVLGLGAKPVNEPMSFKRVCPKVVN* >Brasy5G484700.1.p pacid=40074145 transcript=Brasy5G484700.1 locus=Brasy5G484700 ID=Brasy5G484700.1.v1.1 annot-version=v1.1 MGSPNFQQRRRKECTANCTAVPHSLTIVAQVFCSKQQQTLLTHFSSTRAEQPLDPQSPSPPFAPTPPLSLHARRPAEEPGGGCRPTWGDDDCDDGRDEAARFLGPAMTSTPPARDLDPAAPPTRDLAGLALGAERSRRPRPRHGAHAATPSGQSPDRRGSSSSSSSTCKDFLRKFVDNELLTASLEEWFSGNSQDCGFRKPAFEVPFDLTELQSFDYALEGVTFQQLVRMPNALHASTSDVFEATAHLALEDFLHAGIKGLWEAFWGPEEAMPFSVACIHSTSSRFYPAEKAISSGKLDGVCATAVLLKNSKHSQGRWDHIVVLALLRPDIGMVSAQNDQRPSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDSVYVLLVDSQFGGVVNVQGDLNKLNFDSNNVYECAAEWIKKEAKITVSSVDRVWNKLGNANWGDVGTLQVLLAVFHSMIQFCGAPKYSLDELATEHSSRLQCRRSERHLVDRQSNNGNGLFRFQQRSHSPEIVEVQEEGTVDVKPEEILKLEIGSIVLMEDSSCQKGFQINDIRTESEPPIYGAIPVEEPTRSYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRVISSRYIPQMEASGRVVHPGPCNKPNSSGSCGHPLCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRPPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVAAVLKAFADYVDSLCGTPYPMDYEIWLRRFRRTINEDHGKEVDTSS* >Brasy5G435700.1.p pacid=40074146 transcript=Brasy5G435700.1 locus=Brasy5G435700 ID=Brasy5G435700.1.v1.1 annot-version=v1.1 MLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTCSMDTPGTSSSVFEDEATCPSKSVPTKRPIGQKRAKEAQRQASASGSSSRELFGDIFETRESKRQERFELMLAIDKQRDEERMTEERLAAAEDARIMSMDISGMGEEEKEFYKLRKSQILKRLRN* >Brasy5G330100.1.p pacid=40074147 transcript=Brasy5G330100.1 locus=Brasy5G330100 ID=Brasy5G330100.1.v1.1 annot-version=v1.1 MSSSPAMAIAFKASTSYFTTQQLCGHSTWDQCQNGFTHLNERKSRKGSAALHVRAISRNLDLDFSDLSWKQKYQEDWDMRFSLPHITDIFDLKPRLTTFSLKKNRTPLGDNDGSSPDMWNGYVNKDDRALLKVIKYASPTSAGSECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGAKAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGVGLVKLMGRSTGFIAMHASLSSGQIDVCLIPEISFTLDGERGVLAHLEHLLKTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVLADIKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAYLPITEVVTTPKHVNPNSRMWHRCLTSTGQPDFH* >Brasy5G067500.1.p pacid=40074148 transcript=Brasy5G067500.1 locus=Brasy5G067500 ID=Brasy5G067500.1.v1.1 annot-version=v1.1 MGSVAGQRRSLPDVSSPCRATAAVMVFRSKLPHHVGLLLPASPCGVVHTYGEVEILSQRAVAGLRRLGVGKGDLLLLLPRNCLSSPSSSSAFACLGAATTIATRSASPSRSTARWPPRALW* >Brasy5G279700.1.p pacid=40074149 transcript=Brasy5G279700.1 locus=Brasy5G279700 ID=Brasy5G279700.1.v1.1 annot-version=v1.1 MELARDEIDRKAHRFWFSTHRGSSSLFASIMLMSTIMGEAREIRMTDRTAPSM* >Brasy5G295400.1.p pacid=40074150 transcript=Brasy5G295400.1 locus=Brasy5G295400 ID=Brasy5G295400.1.v1.1 annot-version=v1.1 MAAATGYGAPTDQQLAAYADLPKWARYGHEMAEVGRSHAMAEAFAVRVPAAAAGGGRKPPPRGTISFHGGNCCSSVIYSWSLPDQPTSTPPCDGEGNLVLTGPSVVISTYGPMYFRLQRTP* >Brasy5G458700.1.p pacid=40074151 transcript=Brasy5G458700.1 locus=Brasy5G458700 ID=Brasy5G458700.1.v1.1 annot-version=v1.1 MDPRYQLPTPVPSSGIGSGPRGHHRRAHSETFLRFPDEELLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPPPLAAPAAALRPPGGAHHNRSLSLDAAFFEGLAIQGGGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQAPNMNGNPFNSGIQQIPSYFSQQQQRQQHHHHHHQQQQQQQMAYLGGQQAQNRHPNHHQSPSNGGQSLSGQSLNDSMDFI* >Brasy5G458700.2.p pacid=40074152 transcript=Brasy5G458700.2 locus=Brasy5G458700 ID=Brasy5G458700.2.v1.1 annot-version=v1.1 MDPRYQLPTPVPSSGIGSGPRGHHRRAHSETFLRFPDEELLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPPPLAAPAAALRPPGGAHHNRSLSLDAAFFEGLAIQGGGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQAPNMNGNPFNSGIQQIPSYFSQQQQRQQHHHHHHQQQQQQQMAYLGGQQAQNRHPNHHQSPSNGGQSLSG* >Brasy5G140300.1.p pacid=40074153 transcript=Brasy5G140300.1 locus=Brasy5G140300 ID=Brasy5G140300.1.v1.1 annot-version=v1.1 MGALHSSSREAGPPRTSPSSSGGRLIPTRLPPRPDPTPLPPPMAAPPTSPSSARPALLLPRPRARPPASSLLRPRPGDCRIRPGRPCAAKIQLAKWCDPVMGA* >Brasy5G298300.1.p pacid=40074154 transcript=Brasy5G298300.1 locus=Brasy5G298300 ID=Brasy5G298300.1.v1.1 annot-version=v1.1 MSSGTSFGSSLGTRSSRSEEDDMDLQAQMEKRRKRRKESNRESAQRSRVRKQQHLDDFSSQVDQLKNQKQQLGMALGVTTQNLVAVQTQNSVMQIQKLELESRLCALREIICCMNSIRNTTNPATMGITTGSGYDIFGTSSTWSQPLDLYQCI* >Brasy5G190100.1.p pacid=40074155 transcript=Brasy5G190100.1 locus=Brasy5G190100 ID=Brasy5G190100.1.v1.1 annot-version=v1.1 MMLRLVFVALTLAVVLGSAHGDGGRQWREQAPPGSRPHSVTITEFGAVGNGRTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEGAVIIGAKESKQWPIVEPLPSYGQGLDLPGPRHLSLINGYNVTDVVITGNNGVINGQGSIWWDWLHSHELNHSRPHLVEFLHSEEIVISNLTFLNSPAWSIHPVYCSKVKVHNVTIKTLSDAPLTDGIVPDSSSNVVIEDSTISVSHDAISIKSGWDKYGISIGKPASDIHISRMDLQASSGAALAFGSEMSGGISDIHADHIQIHGSNKGISFKTTPGRGGYIDEVVISDVKMDDVIVGIEFTGNWSSHPDEDFDPSQLPVIGQITLKDMVGTNISVAGVLSGIDGDPFTAICLSNVNFSMAESAHSISWSCSNVSGYSESVFPEPCSELHTPSSNSSMCFSLVSYSALAVA* >Brasy5G096900.1.p pacid=40074156 transcript=Brasy5G096900.1 locus=Brasy5G096900 ID=Brasy5G096900.1.v1.1 annot-version=v1.1 MRKGLHPQMQWISYVTQSGRLINIMMPKISHTGKVYHLRAKRQMAQSLGQIAKFKRRYELGAEDDNGK* >Brasy5G476400.1.p pacid=40074157 transcript=Brasy5G476400.1 locus=Brasy5G476400 ID=Brasy5G476400.1.v1.1 annot-version=v1.1 MELAISAVTGELVSRFISLLVNKYHSRRESSEEKQIERLQQLLPRARMVVEEADGRYITNSGMLAQLKVLADAMYRGYWALDASYYMSLELEETPIEEEKVSNSSAFKRFRTVHGRARNKNKSRHLLELREASEILDNVLANMTEFLVILGGCDRVMPRRPYDVYLYNDNIMFGRHAEKQKLLNFMLLQHDSPTGGLPAVLPVIGAPLVGKRTLVAHVCKDDRQGGWAKFYSSVANMGRGSKVIILSRHKNSELLGTVKPIILNALSYEEFSYLFKTLAFGSANPAENLRLARIADEFAKELHSDWSLVKANLFANVMRRNLDVRFWLEMLIRFRRFVRRNISMFGEHPKFLCKSRQVDVSDIVLCDHAAPLSIVSCCIPGSSRTELVAARKELPKVALGDLLVDPGVRPKGEFNVFVESRLPPYTSFVHFVPNRSAQDTPQLGMKRRGVPL* >Brasy5G222000.1.p pacid=40074158 transcript=Brasy5G222000.1 locus=Brasy5G222000 ID=Brasy5G222000.1.v1.1 annot-version=v1.1 MLISHGRQKFTLFTSLADLKLVDCTRACNSVAHSLASYGACMAPGGVAVWLARQCTFAFSLVVSNFSCTS* >Brasy5G119100.1.p pacid=40074159 transcript=Brasy5G119100.1 locus=Brasy5G119100 ID=Brasy5G119100.1.v1.1 annot-version=v1.1 MEATAVSLARSVLDGVLSSAGSAVADEVARLLGVPKEVEFIRNELEMMQSFLRVASARPDTAVRNDTVRTWVKQVRDLANDVEDCLLDFVLYSARASSSCSPQVWSWLPMPLAARHRIATKIRDLKASVEELNQRNQRYHIVMDNYPPPRGIEDVQQPSSSILLLPGHDVLSAAELAFQELDMIGRNKEKAELRELISLSNGAALSVVSVWGMGGMGKSSLVSIVRNDPVLLDEFDCGAWVTVPHPLDSADEFRRRLRKHLGLEVAHDVREHLKDKRYVIVVDDLLSHEEWGHVWQVLNFPNGKGSRVIVTTRREDVAWHCARNVGEGRGHVYELKPLQDKESKDLLFQKVYKTTEYTLSKEMAEQASHILKRCRGLPLAISTIGGLLANRPKTSMEWMKLHEHLGAELESDLRNITRVIVSSYDGLPYHLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYIAKNRDMPVEEVGERFYNELINRSMIQPSKKNIIPGVRVNRCRIHSMVLQIILSKAVEENQLFIIEKQCDEVPHSKIRHLVVSRWKRWKDKLENINLSYIRSLTVFGECPVSLISPKMRLLRVLDLEDTINVKNEDLRHIGELHHLRYLSLRGTEISKLPSSLKNLRYLETLDIQDTQVTELPHGIVKLEKLRYLLAGVDFSKDLLQKVVQSKVDNRKTNLLGKTAHFLCCNRRDYCKISNIDQLSVRAPEGIEKLRNLHMLVAFNVGHGNGLAARIKKLTNLQKLGVTATGLTEEEVHELCRSIEKLDRLERLEVRADSLQFLAKMNESATPKHLASLRLLGDLFFLPKWITSLNDLVKVKLLGTKLEQGQVNILGNLHNVALLGLWENSYIGDSLRFSSGKFPKLKFLDMDGLEKIETVTIEEGAMPELEQLWVNNCKALHDSDDGLSGVPHLPNLNELLLKKCGEKEKLMKKLQEQVSDHIKRPKFLIGKSIVPTSSKPSMSVMDEQ* >Brasy5G326500.1.p pacid=40074160 transcript=Brasy5G326500.1 locus=Brasy5G326500 ID=Brasy5G326500.1.v1.1 annot-version=v1.1 MSATRCSIAVASPSSRMEEMTTSQHRTSVRPTNYDDAVPPQSTSPPRDPLALTKPMTQRRRHGPGGLIYPLWQPLMAAGLQIVGAVSNRRGIGDLGRIGFGTDAETVEPKINYSQERH* >Brasy5G523800.1.p pacid=40074161 transcript=Brasy5G523800.1 locus=Brasy5G523800 ID=Brasy5G523800.1.v1.1 annot-version=v1.1 METTPRRAPPQPDASAASSYVSMSPSPSHTPRSSSKAKRPLNHSANAVAASSPSPSIPPKPNTTSSASANGTGVNIQVLLRCRPLSQEELRVNTPVVITCNEQRREVSAAQNIANKQIDRTFVFDKVFGPKSRQQDVFNHAVVPLVGEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQDGVLPSDAGVIPRAVKRIFDILEAQNAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEVVSSAGEIYKILERGSAKRKTAETFLNKQSSRSHSIFSITIHIKECTPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSGHCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYFEMDRLKQELYAAREKNGIYIPGDQYLADEAEKKAMSEKLDRLELGLESKDKQLDELQGLYDSQKVLSADLSDKLQTLQKKMQKTECALADLEGKYVQANNTIKEKEYLIENLLKSEKVLVHEAQTLRSELENTTDDLSGLFSKLERKGKTEDANKNIVRSFHSQLTQDMNILHRTISTSVSQQESQLKVLEEEMQSFITSKDKVAGGLKEHARELKESFNSRIAEFHGFANELNLKSQLSSERLDAQVSAHTSDLEDCLKGLLADADQLLLGLQNGLSKQEEGLTTLVEQQHEELTRNVERTKSISATTMNFFGTIDAHALELKRILEESQASHQKQLLQLQTKFEVCAADEEKYLMEKVAGLLAESNARKKNMVRDDISNLNKTASERSNNLQSETTKLHGFTSSTREQWSAYMETTEDAFHKNISSVEQKRCCLVENLQQCKTRTELCSEQWSNAQNSVLALGRSDAEAIRSVISDGNEAKSQLHTRFSSAVSTALEDNDVSSEALVCSIDDSLRLDRGICETVKPIIISSQAQLHDLQRSHHEKTQGISGNANRSLGDDYKVDEPTCSTPRRREINIPSSQSIEGLVTPLEDLVKSFWDSRTPSKLVTGNAKRLDSALETERVPLTAIN* >Brasy5G523800.2.p pacid=40074162 transcript=Brasy5G523800.2 locus=Brasy5G523800 ID=Brasy5G523800.2.v1.1 annot-version=v1.1 METTPRRAPPQPDASAASSYVSMSPSPSHTPRSSSKAKRPLNHSANAVAASSPSPSIPPKPNTTSSASANGTGVNIQVLLRCRPLSQEELRVNTPVVITCNEQRREVSAAQNIANKQIDRTFVFDKVFGPKSRQQDVFNHAVVPLVGEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQDGVLPSDAGVIPRAVKRIFDILEAQNAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEVVSSAGEIYKILERGSAKRKTAETFLNKQSSRSHSIFSITIHIKECTPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSGHCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYFEMDRLKQELYAAREKNGIYIPGDQYLADEAEKKAMSEKLDRLELGLESKDKQLDELQGLYDSQKVLSADLSDKLQTLQKKMQKTECALADLEGKYVQANNTIKEKEYLIENLLKSEKVLVHEAQTLRSELENTTDDLSGLFSKLERKGKTEDANKNIVRSFHSQLTQDMNILHRTISTSVSQQESQLKVLEEEMQSFITSKDKVAGGLKEHARELKESFNSRIAEFHGFANELNLKSQLSSERLDAQVSAHTSDLEDCLKGLLADADQLLLGLQNGLSKQEEGLTTLVEQQHEELTRNVERTKSISATTMNFFGTIDAHALELKRILEESQASHQKQLLQLQTKFEVCAADEEKYLMEKVAGLLAESNARKKNMVRDDISNLNKTASERSNNLQSETTKLHGFTSSTREQWSAYMETTEDAFHKNISSVEQKRCCLVENLQQCKTRTELCSEQWSNAQNSVLALGRSDAEAIRSVISDGNEAKSQLHTRFSSAVSTALEDNDVSSEALVCSIDDSLRLDRGICETVKPIIISSQAQLHDLQRSHHEKTQGISGNANRSLGDDYKVDEPTCSTPRRREINIPSSQSIEGLVTPLEDLVKSFWDSRTPSKLVTGNAKRLDSALETERVPLTAIN* >Brasy5G523800.3.p pacid=40074163 transcript=Brasy5G523800.3 locus=Brasy5G523800 ID=Brasy5G523800.3.v1.1 annot-version=v1.1 MQRPLSQEELRVNTPVVITCNEQRREVSAAQNIANKQIDRTFVFDKVFGPKSRQQDVFNHAVVPLVGEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQDGVLPSDAGVIPRAVKRIFDILEAQNAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEVVSSAGEIYKILERGSAKRKTAETFLNKQSSRSHSIFSITIHIKECTPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSGHCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYFEMDRLKQELYAAREKNGIYIPGDQYLADEAEKKAMSEKLDRLELGLESKDKQLDELQGLYDSQKVLSADLSDKLQTLQKKMQKTECALADLEGKYVQANNTIKEKEYLIENLLKSEKVLVHEAQTLRSELENTTDDLSGLFSKLERKGKTEDANKNIVRSFHSQLTQDMNILHRTISTSVSQQESQLKVLEEEMQSFITSKDKVAGGLKEHARELKESFNSRIAEFHGFANELNLKSQLSSERLDAQVSAHTSDLEDCLKGLLADADQLLLGLQNGLSKQEEGLTTLVEQQHEELTRNVERTKSISATTMNFFGTIDAHALELKRILEESQASHQKQLLQLQTKFEVCAADEEKYLMEKVAGLLAESNARKKNMVRDDISNLNKTASERSNNLQSETTKLHGFTSSTREQWSAYMETTEDAFHKNISSVEQKRCCLVENLQQCKTRTELCSEQWSNAQNSVLALGRSDAEAIRSVISDGNEAKSQLHTRFSSAVSTALEDNDVSSEALVCSIDDSLRLDRGICETVKPIIISSQAQLHDLQRSHHEKTQGISGNANRSLGDDYKVDEPTCSTPRRREINIPSSQSIEGLVTPLEDLVKSFWDSRTPSKLVTGNAKRLDSALETERVPLTAIN* >Brasy5G320400.1.p pacid=40074164 transcript=Brasy5G320400.1 locus=Brasy5G320400 ID=Brasy5G320400.1.v1.1 annot-version=v1.1 MDGEHARTRTGHDARAMPEQSKRQRAPRKRTAGATLLLAYAALAMERADAALLPSVYREIGAALQASPTALGSIALSRSVVQAACYPLAAYLAARHDRLTVIALGAFLWAAATLLIGLSATFTQMAVTAALNGVGLALQIPAIFAFVADSVDGTNRGMAFGWLMVASKAGTVGGTTLGLLMAPTSFFGLPGWRLAFLLLAAVGAAVGVSIRAFAAAGKAPAPPRPTKPVRQELQEFAREAKAVLRIPSFQVIIAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMTLFKVATSLGGLLGGKMGDVLAGRLKNSGRIILSQISAGSAIPLAAVLLLGLPNDPSTSAKHGAALFVMGIMTSWNASATNSPILAEIVPPRSRTTVYALDRTFEAVLASFAPAVVGLLAEHLYGYKLARPAASGGGGDGDHAAAVETDRHNATSLARALYTAIAIPMALCCLVYSFLYCTYPKDRDLARAEAARGGARSGGEGSDTEDEEDDQRERKNFSSGSASLPPACNHPCAACNEPLTRDILYYIS* >Brasy5G238200.1.p pacid=40074165 transcript=Brasy5G238200.1 locus=Brasy5G238200 ID=Brasy5G238200.1.v1.1 annot-version=v1.1 MARQGDSVDDGSLPDRKRGRKDTGQKPRKGGSSASSGRPSDGKRGSGQDGRHGKSRHSDSSMRNDNASVPPRASDAAANVIRKKVDPETAKYFLEISNLFDNKEIDLEERSTICANALEETKGKELELVTDGVISHTLQILVQGCELEQLCMFLRSCMQSFPVIAMDKFGSHVAEAALKSLATHLEDESSRVIVEETLNKICKVLAADATNVMCSCHGSHVLRTLLCLCKGVPLDSLQDFHTTKRSAVLAERLSCGLNRSGGQNPTNFEHGFSDMFKSFVRQILQNAKDDISALVTEKNSSLVLQTALKLSVGDDHELHHIISVLLGYNEDDTAQKKDCSEQKNKIVALLEDTAYSHLLEVIMEVAPEEVRSNMLIGILKGVLFTISSHHCGNYVVQALISSARTADQMKQIWEELGPKIKELLERGKTGVVASILAACQRLETNRLESSEALSSALSSDSESPDSIVAHILFLENFLWEKSSWKWPPGVKMSVLGCLMLQSIFQYPHQYIRQYVASLLALDDDKILQIAKDSGGSRVLEAFLCSSATAKRKFKVFAKLRGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVSELLAMQNELSKTRHAIYLLRKLDVDTYSRRPDQWRAVQTSKERTQREFEVEFGLNSQPAGQSIEELLSPQSPAKKRKHKEKTDETTEDASTSKQGLSQKSNKRQKSAKETSAGASSGKKPANDAASMAFLKNSDKSKSPGFLSDRQTHKKQKHQRPNSGQPDGRSFVRDSASAPFVRNNVKQKQSIAELAALAGKEKLTAGEVRKLLKPEISATS* >Brasy5G238200.2.p pacid=40074166 transcript=Brasy5G238200.2 locus=Brasy5G238200 ID=Brasy5G238200.2.v1.1 annot-version=v1.1 MARQGDSVDDGSLPDRKRGRKDTGQKPRKGGSSASSGRPSDGKRGSGQDGRHGKSRHSDSSMRNDNASVPPRASDAAANVIRKKVDPETAKYFLEISNLFDNKEIDLEERSTICANALEETKGKELELVTDGVISHTLQILVQGCELEQLCMFLRSCMQSFPVIAMDKFGSHVAEAALKSLATHLEDESSRVIVEETLNKICKVLAADATNVMCSCHGSHVLRTLLCLCKGVPLDSLQDFHTTKRSAVLAERLSCGLNRSGGQNPTNFEHGFSDMFKSFVRQILQNAKDDISALVTEKNSSLVLQTALKLSVGDDHELHHIISVLLGYNEDDTAQKKDCSEQKNKIVALLEDTAYSHLLEVIMEVAPEEVRSNMLIGILKGVLFTISSHHCGNYVVQALISSARTADQMKQIWEELGPKIKELLERGKTGVVASILAACQRLETNRLESSEALSSALSSDSESPDSIVAHILFLENFLWEKSSWKWPPGVKMSVLGCLMLQSIFQYPHQYIRQYVASLLALDDDKILQIAKDSGGSRVLEAFLCSSATAKRKFKVFAKLRGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVSELLAMQNELSKTRHAIYLLRKLDVDTYSRRPDQWRAVQTSKERTQREFEVEFGLNSQPAGQSIEELLSPQSPAKKRKHKEKTDETTEDASTSKQGLSQKSNKRQKSAKETSAGASSGKKPANDAASMAFLKNSDKSKSPGFLSDRQTHKKQKHQRPNSGQPDGRSFVRDSASAPFVRNNVKQKQSIAELAALAGKEKLTAGEVRKLLKPEISATS* >Brasy5G056500.1.p pacid=40074167 transcript=Brasy5G056500.1 locus=Brasy5G056500 ID=Brasy5G056500.1.v1.1 annot-version=v1.1 MAPLVISDEVRAKAEIYTGDAAGQEKTRLLLAETELPSGLLPLKDIIECGYVEETGFVWLKQKKKVDHYFAKAGRHVSYAADVSAVAEKGRLKKITGVKAKEMFMWVNLYEICVDEPPTGKLHCKAIGGISRSFPVEAFEAEDGGKVVATGRLMKKQSDVEGEEKKTEEEKAAESAVEGEDKEKAAAESAVEDKKEEEAAAESVPVGVEVKQQPPTTVTEKMKEICTAEAVAAKN* >Brasy5G437800.1.p pacid=40074168 transcript=Brasy5G437800.1 locus=Brasy5G437800 ID=Brasy5G437800.1.v1.1 annot-version=v1.1 MAAAVAPVVSDLIDFLNASPTAFHAVDEAKRKLKAAGFTQLSEREEWAGLEPGKKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKITKGGYLEVGVQTYGGGLWYTWFDRDLTIAGRVIIREKKKDTVSYTHKLVRVQEPIMRIPTLAIHLDRTISSEGLKINNQNHLVPVLGTLIKNEMQKLVEQNEPNESSGSKNTKHHPLLLQLIAKEANCEADEICDFELQLCDTQPSIVAGAMKEFVFSGRLDNLCMSFCSLQALIDSTSTGDALNHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGAFNPSSSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAEKHQLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCATDDVKHAYEHFKAYFEEFTELDSKVIID* >Brasy5G279900.1.p pacid=40074169 transcript=Brasy5G279900.1 locus=Brasy5G279900 ID=Brasy5G279900.1.v1.1 annot-version=v1.1 MYVEPQRDDLSEFYTQLSMEKGQEIAASPGRAKGSEQHAIDVAIVEHGGGDGKSIDAAGANAEKERLAVVEEPQKKKSTRVAALDAFRGLTIVVMILVDDAGSSYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFAMKRVPNMGAAVKKVSVRTLKMIFWGLLLQGGYSHAPDDLAYGVDMKMIRWCGILQRIALVYFVVALIEVFTTKVRPSTVRSGPYAIFDAYRWQWLGAFIVLVIYMITTFSLYVPDWSFVYHNDGDINDGKRFTVQCGVRGHLDPACNAVGFIDRQVWGINHLYSQPVWIRTKDCTFSSPETGKLRDDAPAWCLGPFEPEGLLSSVSSILSGTIGIHYGHVLIHFKTHKERLTHWLSMGFALLLLGILLHFTNAIPINKQLYSFSYICFTGGAAGIVLSAFYALIDVWGLRVPFLFLEWIGMNAMLVFVLAAQGIFAAFVNGWYYESQDKTLVNWIQQHVFVNVWHSENLGNLLYVIFGEILFWGVVSGILHKLGIYWKL* >Brasy5G355400.1.p pacid=40074170 transcript=Brasy5G355400.1 locus=Brasy5G355400 ID=Brasy5G355400.1.v1.1 annot-version=v1.1 MDLVGQWSHHRVLTMVPEETTSKKQKNEDCIINCLPRDLMERVFLKLPVSTLVTCFIVCKHWYHFIRDPQFVTSHLQHAPRYALLFFPQELALGKLYPSDAILIDEAWSPSTYAVPVIGPDDFLFGSCNGLLGLYTKTSTIKIANLATGECLHLEKPVKNMRGDHFSFYNFGFHPVTEEYKITHFLGDCADAEGHPRNKHRFSVIQVYTLGDEKWRDIPTPEILSLDIVRNSGVVNVDGKMFWLTEHMTASWQHAVISFDLREECFSMIQLPEEREDCAYFQYGPRKFWIRDIDGKICIVTAQCGSLDVRFLVGELQIWTLDNILEQRWSKKYSIQNPPNYIPGPHFVHKDRFMAQLTDCSVWSYELLCENFEINKSKMTKLLDFNPHKLRHMQSYIYMKSLVNLDAYKKAGIVRTPKQRAGWESKKWEAWEDELRKVEVMRSRVHKFEHDFLGMPEKMGKLYELLQQKRHDAARRLRVEIKQVLQHKRDNPNQSRSLRRLNFLEQNREKGNLMARSSRMMEMMKAMKQLHDKIHSLLRSPLSDQEGLQSGSDGEALRAGVARLRQEAPIHNESHGVTDTIRIEAASREDQADDEDDINICCSALTGVDSEGFEKLCF* >Brasy5G239900.1.p pacid=40074171 transcript=Brasy5G239900.1 locus=Brasy5G239900 ID=Brasy5G239900.1.v1.1 annot-version=v1.1 MKLVSWNCRGLASRAATRALLDVQQQWNPDAFFLFETHLNEAKAKKLMKKLVGMEHMAIHESDGASGVQMPWVMIGDFNEILYSSEKEGGNPRRTSMMEDFRNCLAECGLEDLGFQGDPFTWRRGRIRELLDRAVCNADWAGIFTGAVVVHSEHIKSDHRPLVLKTEDGEAPSLTRVPGLKFFEARWLKEGTVDEIVRTAWARASLAPNFAARANSVHVDLHAWDRTILKAPQKRLKELKRELEQNRAGPISDESIFRQKQLQVMIENLMEQEEIYWSQRGRTDWLKHGD* >Brasy5G483400.1.p pacid=40074172 transcript=Brasy5G483400.1 locus=Brasy5G483400 ID=Brasy5G483400.1.v1.1 annot-version=v1.1 MAAESCHSRSFSWLVKSCVPADPGRHISVPVPISSSSAAVSAGGVSESAAHIHALPDDLLLECLARVPRASLPPLPAVCRRFAGLLASDAFLRLRRARGQLRPSLLFLSLPDRGGGGSFSQALLPLQLQPELHPPQQQVLQTMLQCGGGGAGFFSHARAVFLPPRSVFLVTGRGANNLRLDAVTGGGFARACARTLFARKKFAAAAVGGRIYVAGGSARTGAVEEYDPAADAWRVVAECPRRRYGCVGAGAGGVFYVARRRREQETTRGRP* >Brasy5G351800.1.p pacid=40074173 transcript=Brasy5G351800.1 locus=Brasy5G351800 ID=Brasy5G351800.1.v1.1 annot-version=v1.1 MGGGRKRGRSQRRHFKQGRENVWKDNPQRPPAAAGGGDQGAAGSGEGREGNDSWQPFATENPAFEAYYKEQQIVPEEEWNDFMSMLRKPLPAAFRINASCQFYQDICSQLENDFRKSLETQVSDEHEKDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKQENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGVLPNAMVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCSLTKFCPETYLDKSKPQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWLGTHEDVLHYRKNVISPSMFPSGKGSTDNRKVGGSGELNMDVADADMDSGDMVERKEESRIAINGSNNGETNTEEINQVESESGEVPRGSEKKSDSTNICTEHSNLPLHRCMRIVPHDQNSGAFFIAVLQKLSVLNENQVVEVMKGEHSILKDRAVKPVDSPGSDKVPSEEIPVHQQGVDGSLVSGKQQNGDMDAISKDKASEEGSVIVNETQNDEAATRDKRKTQNQGRWRGVDPVIFFKDEATITSIVSFYGIKDSFTLEGHLVTRNPDTNHVKRIYYVSKSVQDVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASALDFQHLLQYRIIKFPDFVDAKFGEDASALLPGCCVVVLREGHQHIDSIATDPSAIAIVCWKGKTNLCVMVSPLDGKELLERVSLRFGLKVPKADDRKTKLKVDGSDEQLDCGAETVDPECKPESKASDMDISDVKEVE* >Brasy5G520500.1.p pacid=40074174 transcript=Brasy5G520500.1 locus=Brasy5G520500 ID=Brasy5G520500.1.v1.1 annot-version=v1.1 MASAAADDDLDLAFRLQLAEALQASLRLDTRNPSSSKTPAIASSSASSSSRPGTLDSDAAYALALHAADLRRAEEDHRHAKAFRAYHARAAASVRVAAHDAVFARELAAVPEDLWADDGDNIERPLDSSSAAGPLFRVLFKGMSSKEVVGPRDRDPGVAALAVAICGPQGEVVLRVQKPVLGFVGGRMTLEVMALNEGLHAALGLGIQSVKIVTDYRSLNNHLLGYWHPTQKKVIDVLNQAASLRKKFEQCQISLVQRNQLDYVMKLARDSIDSQIAKAAAMNASKEKRETCTICLEDTDVTKIHAVEGCAHRFCFSCMKEHVKVKLLDGTLPACPQDGCTTKLTVEGSKIFLSPRLLDIMVQRIREAQIPPTQKIYCPYPKCSALMSLSEVIRPIQESSSNYTIADAATLRKCIKCRGSFCISCKVPWHDRMSCYDYKRRYPHARPEDAKLQNLARQRLWRQCIKCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDEGNIIHDDSDEDDYDYDYDYDDDDDEDDDEDDDYYPANQDHGRHHGGGGAQVRYGYNNDPGRHHGGGAARIFYNYNN* >Brasy5G520500.2.p pacid=40074175 transcript=Brasy5G520500.2 locus=Brasy5G520500 ID=Brasy5G520500.2.v1.1 annot-version=v1.1 MASAAADDDLDLAFRLQLAEALQASLRLDTRNPSSSKTPAIASSSASSSSRPGTLDSDAAYALALHAADLRRAEEDHRHAKAFRAYHARAAASVRVAAHDAVFARELAAVPEDLWADDGDNIERPLDSSSAAGPLFRVLFKGMSSKEVVGPRDRDPGVAALAVAICGPQGEVVLRVQKPVLGFVGGRMTLEVMALNEGLHAALGLGIQSVKIVTDYRSLNNHLLGYWHPTQKKVIDVLNQAASLRKKFEQCQISLVQRNQLDYVMKLARDSIDSQIAKAAAMNASKEKRETCTICLEDTDVTKIHAVEGCAHRFCFSCMKEHVKVKLLDGTLPACPQDGCTTKLTVEGSKIFLSPRLLDIMVQRIREAQIPPTQKIYCPYPKCSALMSLSEVIRPIQESSSNYTIADAATLRKCIKCRGSFCISCKVPWHDRMSCYDYKRRYPHARPEDAKLQNLARQRLWRQCIKCKHMIELAEGCYHMTCVWLRILLHLWERMEGEESNLLLPAVG* >Brasy5G480900.1.p pacid=40074176 transcript=Brasy5G480900.1 locus=Brasy5G480900 ID=Brasy5G480900.1.v1.1 annot-version=v1.1 MVSPVLLALALKKDKWQSEVQDPALPMAMLAAFAAATLFTGICPALACCASEMFPAICEGWPSPTRGFFAFVSSACLLGIAWWISHLSVVSCIFVFVVGFLSGTFLLIRAVSYMMPHDDQQILPEQNNPEKEKAQKAKEKELCGMVDKSHEFLSGVTGILFLALEGMALEGLAMASSAMPAYNGPGTDHQHAVLLRPHMSISFLVCAVGVVLIFLDMAPPRGAAKVLGVVYCADAAMAVGTGALLTAVVNSVMGKRKTKAAGFLFLFFPFLILIQLVYGVTINGRRRTPGELHAAENPVEEEEDGGEEAKPAPMHGADQTAGLSGVSWRLLTHAQNKVKVGKAAADEAANVAAFCTHLCVAVATVLFAVVAWEAAAAGIDDEPQHVSAAACAHVSQVTCVHLDKILDVQNVRNMCTCIDPCRN* >Brasy5G038900.1.p pacid=40074177 transcript=Brasy5G038900.1 locus=Brasy5G038900 ID=Brasy5G038900.1.v1.1 annot-version=v1.1 MDRWRSNCLALIRICTQFIVTHCEPSEESALSSESQGGARKEERGGADLAEEGSRRRGEGGGRGPPDPAGGGRRRPGTGRGGGGEADGGAAAGRWEEEAAVFLRPENLFSLDLISIFPRSINSSWTAAPRTSFPGRRPSAFAPTAAPLKPNSSRKKIRPLPSRARCRPRGPAPPPALPLPPTPAPATHLRRRRRCLPRLPQPRTSSGAGADSRARRSPAHIYQRDALRLGFVQKETGKRENSGVA* >Brasy5G525500.1.p pacid=40074178 transcript=Brasy5G525500.1 locus=Brasy5G525500 ID=Brasy5G525500.1.v1.1 annot-version=v1.1 MLEQSASLSEFEDHIRCSEDIKAILLSERDMKAEVIAAKLWMDKCQAYLRPRCDKLGFGVSLKVDDLKDLICQPGSIKVILDTSELNSVLSDVEEWERNSLTLLSNLRTLLHFNVIGSTVDPLERNLEGLRNKFSGEIEIGLSRGFELKVLNELKDSLLALEWMLRALSFCCRIPLLEDVDRAIEEAVHLPAPLSNCPLATLLMSGLSWLRKALVLLCDSEKSIKPKLEKVENILAEHQEIDVPYSMMTTKLEDAINKHKSWTQQCNAFFTLPDHQSWAGLVRLRDSGQSVAFDCREMVMVVLGIRKIDGWFNQCRSTLSPDGNVNGSLLSMLLQISRSLSRAISLGHFNVRGTKLKLIR* >Brasy5G197300.1.p pacid=40074179 transcript=Brasy5G197300.1 locus=Brasy5G197300 ID=Brasy5G197300.1.v1.1 annot-version=v1.1 MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCVPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKFEDLPPALHSSKFKFEESLSSLKPLSFQSTDLDLSLEAKKILHLALKMCQIPNVEKLIPDLGSAVISAVSKYVTSTNRMPHSCNQEMSHGSSKINLDLQETNNIHTEASDMLLQILKNVHSAAADHTHVDDNGFDFELEELPTTKILFELFNKIFPYYRDSVLLDLRCPSQNSWLMMSLSLVLLICSSKESSFSFVDAGGMEQIINLLCSKTPKTAATTLLLLSIVENATRHGVGCEAFLGWWPRCDHSSIPTGSSSGYCSLLELLMEKERHDIASLATYILQRLRFYEILSRYESAVVRVVSDLPSDELSTDGVSLLIFASSELAEMLKLINMCGPIEDPLPVTAARKISKSVHMEDSLSFKATIDLITSLKYSFLQFHTDSYLLSLIQERGFFPLSAALLSSPIMHLASTAEILMEMASSIELIVLSLLFCRSGLSFLLSQPEATELIVLSLQDGNDMNKTECITLRHAFVLLSKGFFCRPHEVGMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLALCYFPEAISVLLSSLSSYTDLDSAMTKTGGSPLGLAIFHSAAEILEVLVADSTGSSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGAVGLLRYSAILASGEDAHLSSGNVLVSESMDVENVVADSNNTSDGQVIDNLLGKLVANNYFDGVALCSTSVVQLTTAFRILAFISEDKAAASFLFEEGAIAVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMTPSLVLLINLLHIIHGTKEQYRNKKLLTALLRLHREVSPRLAACASDLSFMFPSFAVSFGVVCQLITSALACWPLYNWTPGLFHCLLENVEPTNASVPLGPKDACSLLCLLGDLFPDEGIWLWTVEVPSLSAIRSLSIGTVLGPQVEKQVNWYLHPEHVALLLVRLMPQLDRLSRVIDNFATSALMVIQDMLRIFIVRVASEKIECAVVLLRPIFTWLNSKVDETSLSEREVFKVHQLLKFIAKLAEHPNGKELLWKMGVVSVLRKLLQNCSNASYLEDKMISDRGAYRNDLLVLKWRIPLFRCLASIFSSQASNKGQTTVEDRSPNGNATVEECSSIMHHLLVLCQVLPVGREMLACSMAFKEVASSCICRSAVPLIFSQIKTANQDDKEINESDTSHGSSNADNWHCFSPLLKCLKRLLKCIDANDPVDYYVETVYSLMLGAIALSQYGDSLEGIIVLRCLFGYGFDGGTLESSGDNLNEITVLLKTFEENIHQGHGNFLSKVGKSLLNQVQSSITLLCSILKNSVLSEDAVKMVLEGTYMAFGVVRSVVMTSCLMPSLASESVNHESVLFFSNAWKVIADSEEPTECFEGEFSKRLVWELPDSSLERRLIPGQSASRKLSLGDNTTRRIKENQAPEPTGQFTRGLSTTNASTGHTRRDTFRHRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDAPQVKSQTSLDDNVHAKSEKPRQPKAELDDDQEIDIIFDEESESDDKLPFPQPDDSLQSPPVIVGENSPGPVVEETENQQNEESPFSHRGTPVSKDNGSLGAGMSSRTVMLPEANVPLERKLPLSSPEKTVFNDQPDEPAYISSGSKRSAEAIVLQSHPNISQRRSVQKLSECSLSSGSHGHDHRLSKNQPPLPPMPLPSSSMPAQNADSSQRRSSSYGVRDGPPPFPSSYPGQAFEANMPSDFVGLQAQTEHVLASNGGSSSNAPNADFNFLWNTFPVNRLPMEHFSSGSSARLMPPLPPPYSVPATQHAAMNSGSTASPYNQRISVVQPSPSSSLMSDATLGMNSASGGAILSNSLPSFASQFLIGRPSTSTSFFGTSPRQVQFSSGLPQNLSNPQPAVSSTHARPPPPPPLPQQPHPSQTLQQLGSFQWQYQEQPLSYPQSSIQAQMPLQFPNQLSVPQMQYYQSQQESAQQTLRQVGEQSQLVNQSIQADSLSQQQRDSEINLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQDRIGQL* >Brasy5G197300.2.p pacid=40074180 transcript=Brasy5G197300.2 locus=Brasy5G197300 ID=Brasy5G197300.2.v1.1 annot-version=v1.1 MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCVPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKFEDLPPALHSSKFKFEESLSSLKPLSFQSTDLDLSLEAKKILHLALKMCQIPNVEKLIPDLGSAVISAVSKYVTSTNRMPHSCNQEMSHGSSKINLDLQETNNIHTEASDMLLQILKNVHSAAADHTHVDDNGFDFELEELPTTKILFELFNKIFPYYRDSVLLDLRCPSQNSWLMMSLSLVLLICSSKESSFSFVDAGGMEQIINLLCSKTPKTAATTLLLLSIVENATRHGVGCEAFLGWWPRCDHSSIPTGSSSGYCSLLELLMEKERHDIASLATYILQRLRFYEILSRYESAVVRVVSDLPSDELSTDGVSLLIFASSELAEMLKLINMCGPIEDPLPVTAARKISKSVHMEDSLSFKATIDLITSLKYSFLQFHTDSYLLSLIQERGFFPLSAALLSSPIMHLASTAEILMEMASSIELIVLSLLFCRSGLSFLLSQPEATELIVLSLQDGNDMNKTECITLRHAFVLLSKGFFCRPHEVGMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLALCYFPEAISVLLSSLSSYTDLDSAMTKTGGSPLGLAIFHSAAEILEVLVADSTGSSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGAVGLLRYSAILASGEDAHLSSGNVLVSESMDVENVVADSNNTSDGQVIDNLLGKLVANNYFDGVALCSTSVVQLTTAFRILAFISEDKAAASFLFEEGAIAVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMTPSLVLLINLLHIIHGTKEQYRNKKLLTALLRLHREVSPRLAACASDLSFMFPSFAVSFGVVCQLITSALACWPLYNWTPGLFHCLLENVEPTNASVPLGPKDACSLLCLLGDLFPDEGIWLWTVEVPSLSAIRSLSIGTVLGPQVEKQVNWYLHPEHVALLLVRLMPQLDRLSRVIDNFATSALMVIQDMLRIFIVRVASEKIECAVVLLRPIFTWLNSKVDETSLSEREVFKVHQLLKFIAKLAEHPNGKELLWKMGVVSVLRKLLQNCSNASYLEDKMISDRGAYRNDLLVLKWRIPLFRCLASIFSSQASNKGQTTVEESPNGNATVEECSSIMHHLLVLCQVLPVGREMLACSMAFKEVASSCICRSAVPLIFSQIKTANQDDKEINESDTSHGSSNADNWHCFSPLLKCLKRLLKCIDANDPVDYYVETVYSLMLGAIALSQYGDSLEGIIVLRCLFGYGFDGGTLESSGDNLNEITVLLKTFEENIHQGHGNFLSKVGKSLLNQVQSSITLLCSILKNSVLSEDAVKMVLEGTYMAFGVVRSVVMTSCLMPSLASESVNHESVLFFSNAWKVIADSEEPTECFEGEFSKRLVWELPDSSLERRLIPGQSASRKLSLGDNTTRRIKENQAPEPTGQFTRGLSTTNASTGHTRRDTFRHRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDAPQVKSQTSLDDNVHAKSEKPRQPKAELDDDQEIDIIFDEESESDDKLPFPQPDDSLQSPPVIVGENSPGPVVEETENQQNEESPFSHRGTPVSKDNGSLGAGMSSRTVMLPEANVPLERKLPLSSPEKTVFNDQPDEPAYISSGSKRSAEAIVLQSHPNISQRRSVQKLSECSLSSGSHGHDHRLSKNQPPLPPMPLPSSSMPAQNADSSQRRSSSYGVRDGPPPFPSSYPGQAFEANMPSDFVGLQAQTEHVLASNGGSSSNAPNADFNFLWNTFPVNRLPMEHFSSGSSARLMPPLPPPYSVPATQHAAMNSGSTASPYNQRISVVQPSPSSSLMSDATLGMNSASGGAILSNSLPSFASQFLIGRPSTSTSFFGTSPRQVQFSSGLPQNLSNPQPAVSSTHARPPPPPPLPQQPHPSQTLQQLGSFQWQYQEQPLSYPQSSIQAQMPLQFPNQLSVPQMQYYQSQQESAQQTLRQVGEQSQLVNQSIQADSLSQQQRDSEINLNQFFSSPEAIQSLLSDRDKLCQLLEQNPKLMQMLQDRIGQL* >Brasy5G470000.1.p pacid=40074181 transcript=Brasy5G470000.1 locus=Brasy5G470000 ID=Brasy5G470000.1.v1.1 annot-version=v1.1 MAMPMGKKKKMARLALLVLVVAMAPAACLAVTSPYVRPAARPTLPLLRRDADADGQTPQQVHVSAVGPDKMRVTWITDDDAPATVDYGTASGQYTSSATGTTTTYSYVLYHSGNIHEAVLGPLKPSTTYYYRCGGSSPSSRELSFRMPPSTLPFTFVIAGDLGQTEWTNSTLSHIAAADYDMLLLPGDLSYADTWQPRWDSFGRLVEPLASARPWMVTQGNHEIEKIPVVERTPFVAYNARWRMPFDVSGAGSSAPASGSNLYYSFDVAGGAVHVIMLGSYADFGAGSPQYDWLQRDLAGIHSRGNGNGKAAPAFVVALVHAPWYNSNEAHQGEGDAMRAAMEDLLYGARVDAVFAGHVHAYERFARVHGGGGDGKEDPCAPVYVTIGDGGNREGLAEDFVEPQPKASAFREASFGHGRLQVVNATHALWAWHRNDDDQPVVADQVWITSLAANPACKKK* >Brasy5G423900.1.p pacid=40074182 transcript=Brasy5G423900.1 locus=Brasy5G423900 ID=Brasy5G423900.1.v1.1 annot-version=v1.1 MAALPAVAAAVLVVVAALCSASAAAAASPAGGPHMADLSVLLPPRMSKPVEYRLIGWDGCFTWSLDHHDIISLKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSTLVGLQFLWQLTPTSLDNSSHHLAHIPLKETHFSDCSGFCGDMNARFELEDRNLGSDFFVVKGIGIGQEKVSAQLFEPHFEHVNDAITLTVAEAMSLEPPSPVLVTVGVMVNFKLKIFRQKVAQVVNLPSQYHLWHVKNSSVAQVDSSLGVVRALSLGFTVVVVEDTRVSGHQQVSSLHVIIPRTLFLYLVPVVDDSAHFHGTTNIPYSEVWYVFPGQKYMVLAKAFAEGFDTREIFITEENELKLESSTEEFWNLSRVPDNSVGSSDVQTSRLLRPASEGKGYIVASLTYLAEASGSPKVLKLLQEVNVCSKVKASWDEGTDNSNIIHLPWVPGVYQEVNLKAVGGCGKTLEDYKLSSSDESVVSVSDSRTVRAKRPGQAVIKVVSAFDSLNFDEVIVEVSTPSALAILPIFPVEVAVGAQLHAAVTFKTSTGHTYSRCNYFSAFIRWSLLSENQTFEVVDTAEASSIDALKRYAGSWAQYGNPCSWVSLNASAAGRATVVAAFSFESESYSETFNVPIFLKATSKVSAYYPLVVLQAGNGNQFGGYWVDLSRLQSGIQNMDNNSPKELYLVPGSTMDVFLFGGPEQWDKVVDFVETVDAVGEPKNHIIASTVVQKIANGLYRVSCQSKGNFKLLFSRGNMIGKDHPVPAVAKSEFTVVCDLPSAITLIANENENRLGILEVASKADRSPNRLQASPVVISNGRSIRLAAAGVHGNGRFFSNSSSLGLKWEITECEGLAYLDQDEAAETLYQSSWERFLVLQNSTGLCTARATVIGFSSRIPIQIHEEEHVFLRSAHDNLTDAIQLQIVSSLRVIPEYVLLVSHPEAQETLAVSGGTCFLDASTNDTHVVQIVQHPGKALCYQLILGARGLGIAVVTVQDIGLSPRVTTSSLVRVANVDWIQIVSEEHISIMEGTTKDFQISAGTEDGQVFGDSQYKYMGIEVHLGDEILELINPSESLGGPKFSVKAAKTGMTSLYVSTKQQSGQRILSQVVNVEVYKPLQIHPGYIYLTPGASFVLSVKGGPKVGVSIEYTSLNRETLEVQTATGKLSAKTVGNSTVRAAVLANGGTVVCEAFGKVEVGIPVAMILSTQSDRLCVGCSMPIYPSVPKGDPFSFYETCQSYTWMIADQKVVTFQSARSWQNELNQAVYSEGKNYPWLSNGSSNAFINHVIGRSAGKTKISVSVTCDFSLHGSSGSLSYNASKTILVIPDPPLARGLPITWLFPPLYTTTDLLPRSVDSFGGPDSHNLESTVGYSLLRSSRRRDSAMQNANIIDGSKIRTGESNSIDCIQANDQSTGRTEIASCLRVAEVSQVRVAAAESSIQIAYLSVNDKIHLDVKYADELGYIFSEALGIAPVTIETNYPDVVSIFMSRDGNITYSAHQRFVLQARSHGTALVRLHISHPSRKSDFIMVSVGAQMYPRDVVIHSGQHLNFTIIGDRMDVRGSGQWLSSNEKVMHVNEMTGEAQACGEGIAEVIFKGPNLKLQTTVTVLKVNQIVVDAPLDILTNNAPPPDGYKFAVRLSDSARHSTESSVNQINVPFDCKVEPSFVGFVEPWSDRDAKKSYCVFHPYSPAQLLPVKLNPKEGFLHIAVRANLKEDPTVTGSAHALFVKGFYIKEPGKLNLTPSCNHSIITVGGNTDVELFWSAKDLMSVRLLDTNENMGAPSQVVYRVEALKRQSFSDKVTIILPATGQTEEVEVGYVTGDRPEPSSSSGLTTLAVILTCIVVPIATLALFMKLLEKPARQAPSRRAAPAPAGPAVAPDPASPANGQFSPRTPQPFMEYVRKTVDDTPYYKRDARRRFNPQNTY* >Brasy5G271900.1.p pacid=40074183 transcript=Brasy5G271900.1 locus=Brasy5G271900 ID=Brasy5G271900.1.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDGCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEDLWTRIDEDKKSVYTYFDSLWFNNYIQGINKSNILKWIKAKKLFSRRIAFVPIVCWGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G271900.2.p pacid=40074184 transcript=Brasy5G271900.2 locus=Brasy5G271900 ID=Brasy5G271900.2.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDGCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEDLWTRIDEDKKSVYTYFDSLWFNNYIQGINKSNILKWIKAKKLFSRRIAFVPIVCWGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLVF* >Brasy5G271900.3.p pacid=40074185 transcript=Brasy5G271900.3 locus=Brasy5G271900 ID=Brasy5G271900.3.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDGCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G271900.5.p pacid=40074186 transcript=Brasy5G271900.5 locus=Brasy5G271900 ID=Brasy5G271900.5.v1.1 annot-version=v1.1 MEDLWTRIDEDKKSVYTYFDSLWFNNYIQGINKSNILKWIKAKKLFSRRIAFVPIVCWGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G271900.4.p pacid=40074187 transcript=Brasy5G271900.4 locus=Brasy5G271900 ID=Brasy5G271900.4.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDGCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLVF* >Brasy5G271900.6.p pacid=40074188 transcript=Brasy5G271900.6 locus=Brasy5G271900 ID=Brasy5G271900.6.v1.1 annot-version=v1.1 MEGHWSLLVLCHFDNTDCSDTKKGPRMLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G179000.1.p pacid=40074189 transcript=Brasy5G179000.1 locus=Brasy5G179000 ID=Brasy5G179000.1.v1.1 annot-version=v1.1 MEGGKCNHGDHATAGSCPPPSQGRLITVLSIDGGGIRGLIPSTIIACLESKLQELDGPEARIADYFDVIAGTSTGALVASMLAAPGENKRPLFAASEINDFYLENGPKIFPQKKKLWWFLRPLATLLGAVTALMGPKYDGKFLHDKIKQLTHDITIAETVTNIIVPTFDVKFLQPIIFSTYEAKEDPLKNAHLSDICISTSAAPTYFPAHFFTTHHPDPKAKVKPREYHLVDGGVAANNPTMAAMSIITKEVLRKNQDFTLGKPAEYNNYLIISIGTGAAKLQEKYTAPACAKWGVLRWIYDGGFTPLIDIFSHASEDMVDIHAAVLFQALRVEENYLRIQDDSLEGDTSSVDIATKDNMEELIRIGNKLLKKKVARVNIDTGMYKHVEGEGTNEEALARFAKKLSAEHKLRQATLNSY* >Brasy5G300800.1.p pacid=40074190 transcript=Brasy5G300800.1 locus=Brasy5G300800 ID=Brasy5G300800.1.v1.1 annot-version=v1.1 MEAAAATMLEAGVGRFRAPNLAALLAEMWAPLAVALAAMATLPSLLGRLQVLILRLRSRGKEVISSHISTYYSSGDEDESDYSDGDDDEDESSSDEAEATSSSGEEEETVARRALGYFEGAAEGIDGCFPWGGAVVRTWQERFSSAGGGGRGGGARFGSAAAVTLWGAGTASGEGSGQAWWEADDSARRSVAEAEAPVVVGWRREHAVRRRQRILPVLRSAE* >Brasy5G000200.1.p pacid=40074191 transcript=Brasy5G000200.1 locus=Brasy5G000200 ID=Brasy5G000200.1.v1.1 annot-version=v1.1 MDARSAFSTETRTNTHVWSEEMDRFHSLHNLADRALFIASRSKEKAERVKRFFDDLLREDIQTHENAEATSFGPLPAHFSGANIVDGSEICNPKKIVSRGCRGREHRTDHLGSEYLIWADGLFVAGALGLTFDTWAWFAGLASVLAWARLASKDAFGCWAGLGGPFLGGGAAQAAVWFNEWGGLGFRLDCCVRMHQAAPTKLIKRFVGSERI* >Brasy5G464000.1.p pacid=40074192 transcript=Brasy5G464000.1 locus=Brasy5G464000 ID=Brasy5G464000.1.v1.1 annot-version=v1.1 MPRSPPMSGNRPRQCRRGVSCDDDVELDAAMALADMAPLIDSCVQAIRDELARKVADLSSQNESMKKEKELVMQEYLTLQETNKKLKEQAVAKAATAMDIDTEEAPARRAEGNASSPAPHPGFLYTTAGPPAMPVPYAWGTWPPGYDPRVGNPAGPAPACVHPSFPGPSGTGGGGTAGEEDTEDDDDPCSLTLGLDVSGEGGSRADKAEARRRRKEFTRTKQPHGGARPGED* >Brasy5G114800.1.p pacid=40074193 transcript=Brasy5G114800.1 locus=Brasy5G114800 ID=Brasy5G114800.1.v1.1 annot-version=v1.1 MEEAKLAPILLACLLCCIINLGAAAHCSLSDIAVTQAGTGGWAHGQPEYAVTVKNTCGCPQSSVTVACYGFNTTLEVDPAKLRYDGSGKPCLLNNGAAVVQGADLTFSYAWSTQFTFQPLSSTVVCVDQ* >Brasy5G371900.1.p pacid=40074194 transcript=Brasy5G371900.1 locus=Brasy5G371900 ID=Brasy5G371900.1.v1.1 annot-version=v1.1 MEQRQESPGEEGQEQRLRAAVRHLQAEAGVLERLVYKHRNQHRSAAYFQYLLKVRRDLKLLLSANIVEVLNATFPVLASRKPPNTILVPSRQAKKKPGANHSHHKRLLGVARLLSQMAEPVMKGAVQITFLLARSFFVDLCAAVLALLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVRISIDRVQAFREYYPSSNDPNTILECVWVKDKFVLNERTKASCQKTQDDVQKSCTRDLSIQYETLGPVSEEMENLGGSNSPAKQQDASLTDQSDKAIHHSGDAGDSHSEGQSPNENTVDSLPDTLTAPVHSTRHADAKPETRKRVAFIAVGKPKVTVTPPETRSSIVTKKQRLDTI* >Brasy5G371900.4.p pacid=40074195 transcript=Brasy5G371900.4 locus=Brasy5G371900 ID=Brasy5G371900.4.v1.1 annot-version=v1.1 MEQRQESPGEEGQEQRLRAAVRHLQAEAGVLERLVYKHRNQHRSAAYFQYLLKVRRDLKLLLSANIVEVLNATFPVLASRKPPNTILVPSRQAKKKPGANHSHHKRLLGVARLLSQMAEPVMKGAVQITFLLARSFFVDLCAAVLALLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVRISIDRVQAFREYYPSSNDPNTILECVWVKDKFVLNERTKASCQKTQDDVQKSCTRDLSIQYETLGPVSEGLEGAQLFMPQRKRKEKAMQLWAKLTLL* >Brasy5G371900.2.p pacid=40074196 transcript=Brasy5G371900.2 locus=Brasy5G371900 ID=Brasy5G371900.2.v1.1 annot-version=v1.1 MEQRQESPGEEGQEQRLRAAVRHLQAEAGVLERLVYKHRNQHRSAAYFQYLLKVRRDLKLLLSANIVEVLNATFPVLASRKPPNTILVPSRQAKKKPGANHSHHKRLLGVARLLSQMAEPVMKGAVQITFLLARSFFVDLCAAVLALLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVRISIDRVQAFREYYPSSNDPNTILECVWVKDKFVLNERTKASCQKTQDDVQKSCTRDLSIQYETLGPVSEEPVATMMGPSYTSLEEGTG* >Brasy5G371900.3.p pacid=40074197 transcript=Brasy5G371900.3 locus=Brasy5G371900 ID=Brasy5G371900.3.v1.1 annot-version=v1.1 MEQRQESPGEEGQEQRLRAAVRHLQAEAGVLERLVYKHRNQHRSAAYFQYLLKVRRDLKLLLSANIVEVLNATFPVLASRKPPNTILVPSRQAKKKPGANHSHHKRLLGVARLLSQMAEPVMKGAVQITFLLARSFFVDLCAAVLALLARVRVLIQQMLLDVVSIYNKVTDLTDRKQAVRISIDRVQAFREYYPSSNDPNTILECVWVKDKFVLNERTKASCQKTQDDVQKSCTRDLSIQYETLGPVSEEIIFVEQNLWQQ* >Brasy5G250300.1.p pacid=40074198 transcript=Brasy5G250300.1 locus=Brasy5G250300 ID=Brasy5G250300.1.v1.1 annot-version=v1.1 MGSQLSCSRVKERPIVTSSIADEEETMTHVIEIDGYSRIKELIRIGYYTTSIPFNVGGHNWVVRYYPNSSRQAIGYIPGYMSLYLVLASADAGDVKAKARFSLLDKDGVLVASCSHAVNEHTFRGKDSGWGFVNFIKQEDLEGSAHLRGDCFRVRCDITVLA* >Brasy5G228100.1.p pacid=40074199 transcript=Brasy5G228100.1 locus=Brasy5G228100 ID=Brasy5G228100.1.v1.1 annot-version=v1.1 MASKAIRRRPCVADTDKGSEEKRMEAATVTDSVSVTEPLLGNSTRGDKSKGYEPRTRLDFWDEKTRKCLHWAHVVSNFISQSARKIVNAIYEFGSSLARFFGCSSAAERSQNRHTVIVDLSPLQEERLHSVRQRLNVPFDCSVVKHQDALKELWRLAYPNRQLPPLKSELWKEMGWQNSDPASDFRAGGVMSLENLIYFARNYPGSFQRLLHKVDGERAEWEYPFAVAGVNISYMLVQMLDLLSGNRMSKAGVCFVQLLEDDEMAFDNLFCVAFQMLDAQWLARKASYMEFNEVLKSTLVQLERELTAGGVSSVQNLPSFRMLER* >Brasy5G228100.2.p pacid=40074200 transcript=Brasy5G228100.2 locus=Brasy5G228100 ID=Brasy5G228100.2.v1.1 annot-version=v1.1 MASKAIRRRPCVADTDKGSEEKRMEAATVTDSVSVTEPLLGNSTRGDKSKGYEPRTRLDFWDEKTRKCLHWAHVVSNFISQSARKIVNAIYEFGSSLARFFGCSSAAERSQNRHTVIVDLSPLQDALKELWRLAYPNRQLPPLKSELWKEMGWQNSDPASDFRAGGVMSLENLIYFARNYPGSFQRLLHKVDGERAEWEYPFAVAGVNISYMLVQMLDLLSGNRMSKAGVCFVQLLEDDEMAFDNLFCVAFQMLDAQWLARKASYMEFNEVLKSTLVQLERELTAGGVSSVQNLPSFRMLER* >Brasy5G463200.1.p pacid=40074201 transcript=Brasy5G463200.1 locus=Brasy5G463200 ID=Brasy5G463200.1.v1.1 annot-version=v1.1 MLGELLSKVLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYGEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRGKSGQLLSFYVKNFADKGTAFFMDVLRYVVSDKAEGSNLEQKNKKQSGWSPFATKRQPPSPPSSQGSLFDNPDAAAVAEVLRATINPKPRRPHNGKDY* >Brasy5G463200.3.p pacid=40074202 transcript=Brasy5G463200.3 locus=Brasy5G463200 ID=Brasy5G463200.3.v1.1 annot-version=v1.1 MLGELLSKVLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYGEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRGKSGQLLSFYVKNFADKGTAFFMDVLRYVVSDKAEGSNLEKNKKQSGWSPFATKRQPPSPPSSQGSLFDNPDAAAVAEVLRATINPKPRRPHNGKDY* >Brasy5G463200.2.p pacid=40074203 transcript=Brasy5G463200.2 locus=Brasy5G463200 ID=Brasy5G463200.2.v1.1 annot-version=v1.1 MLGELLSKVLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYGEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRGKSGQLLSFYVKNFADKGTAFFMDVLRYVVSDKAEGSNLET* >Brasy5G463200.4.p pacid=40074204 transcript=Brasy5G463200.4 locus=Brasy5G463200 ID=Brasy5G463200.4.v1.1 annot-version=v1.1 MLGELLSKVLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYGEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRGKSGQLLSFYVKNFADKGTAFFMDVLRYVVSDKAEGSNLEM* >Brasy5G001100.1.p pacid=40074205 transcript=Brasy5G001100.1 locus=Brasy5G001100 ID=Brasy5G001100.1.v1.1 annot-version=v1.1 MATILKFSFAGARLPPATRSLSPVVVSTSTPRAVGPISASISSSSPPPYDLTAFKFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLTCAYELSKDPSVSVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEAEDYAVVKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIDTVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAMDGSLQSMSPALREEFVLAALGGDQIVEA* >Brasy5G040100.1.p pacid=40074206 transcript=Brasy5G040100.1 locus=Brasy5G040100 ID=Brasy5G040100.1.v1.1 annot-version=v1.1 MAVEPVLEAAAPMLPSPSPTTSASASAPASRLEEMRGSKRKRSRLMAPSEEEQLALWLLMLARGDREQERQHGCSVCGKAFPSYQALGGHKASHRKPPSLPAASGADEQQKPQESSSASGEAAGGGRAHVCNVCGKAFATGQALGGHKRRHYDGTISSAAMAKGTATAKANRPGFDLNLPALPEVVVAADRQDEVSSSLEKKPRLIITA* >Brasy5G396500.1.p pacid=40074207 transcript=Brasy5G396500.1 locus=Brasy5G396500 ID=Brasy5G396500.1.v1.1 annot-version=v1.1 MAGAAWPLSSTADLLPASLSLSLLLASLVAILVLGVAAVLFDHLRKIGCMHSLERTSVSDAFFEDPSSLTKVPCPSIFDPAEKYISLIVPAYNEECRLPEALTETFNYLKQRSAADKSFSYEVLIVDDGSTDCTSKVAFGYVKKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKITDLEKLEAQVRALAKKADSSLAPSASSSQRLSDVEIAVFGSRAHLEKEALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVYLCKHLRIPMAEVSVSWTEIPGSKVRMTSILHMVFELLLIKVGYGLGIWKIYS* >Brasy5G393000.1.p pacid=40074208 transcript=Brasy5G393000.1 locus=Brasy5G393000 ID=Brasy5G393000.1.v1.1 annot-version=v1.1 MSPFIALVAAVAVLLALGHVIITKVRSSSKLPPSPPSLPLVGHLHLLGRLPHRSLRELHARYGSDGGLLLLQLGRRRTLVVSTAAAAADLYKNHDLAFASRPPNAAMDKLTYGSNNVSFAPYGDRWRRGKKMAVVHLLSPRRADSFAPVRAAEVSALVAGIRRSAEAGEPVELRELLFGYGNAVVTRAATGAAGATGERLKQLMGNSAALMAGLQAEDVLPDAAAKVVRWATGLEKKLDGELAAWDEFLSEIVAEHVEKKRGDAAAAAAAAGEDEDFLDVLLRLREEGTAGFELTDDRIKSIVKDMIAAGTETSSISLEWAMAELVGNPRAMTKLQDEVARVTDGKPAVEEGDLSKMEYLKAVVKEVFRLHPPVPLLVPHESTVAAVFQGYEIPPKTALFVNAWAIGRDPAAWGEAAEEFRPERFLASGGTVVDVRGNDYQLIPFGAGRRICPGISFALPAMEIALASLVRHFDWEIPAGTRAAAEGLDMTEEPGLTTPPLVPLRLVVCKRKNFA* >Brasy5G456700.1.p pacid=40074209 transcript=Brasy5G456700.1 locus=Brasy5G456700 ID=Brasy5G456700.1.v1.1 annot-version=v1.1 MIFISGSSQVPYLLWRVPLPTWRFGPTGQVPTWPALLQITPSFLLFSPPSPPTSPSLFLFSLNLLRAAGLDPAAATRSLTKTQATPPSFATSRASATASAAQRSPPTAPYKALRRSAPPPLREALAPYEAALEDLQRPLPARTRSPALLPARTQVAARLRPSSPRPASHRCSRSASLRPSLPLHHVWELRVDAQSSPAPSPAPPVPRNHPASELLPLRSKVAVAGLLRRLPVRTDAAEHFAYSPRSLLHLHRAAIKPLRRASPPRGHRRRRARAWKGAGWGRPGGRRRGPRRRRCGGGLDCIGNYPHPDQRSACPPIVVPGKRRVIFSDSVFCYSGRGCSVWLPLLCNTAPSKFPLHPSTPLPDVARRVLPAGAGGGARGRGAAGGARFKTISPVGVHARAPGHRGRQTESLRINPPGFDLDPIESNPREAAVAMMQPLSVVLCSWRRRRCSSRRRRGSSLAASSAAADVVLLALFASTLHSMAEIRGRTGNPEWVDPEGGGVLSLTGQVLFSLLQKQRCVTSTKLS* >Brasy5G202200.1.p pacid=40074210 transcript=Brasy5G202200.1 locus=Brasy5G202200 ID=Brasy5G202200.1.v1.1 annot-version=v1.1 MVDIVGNLVQIVDLVLRIKDAVDAVHQNRMECDHIKCRVERVGHTLSPCKGNPELMKDSAVRTAIEALSKVLSEALEVLTSCLDETSGANAMCLWCTSGKLSKQLSMVDRGISDANLDLALAILVCLISKQTRNGALPAPLPRVPFFSRLAQKMEGKLGIRKDSQPRSHHGGSSGGYDADGSDQSCTLLQQIAWVMLEINAAAETVQRNKEDCAEIRRRAAKVGEHLSRLEGTEMVKDAAVRAVLEKLIETFCRARTAVVACQSRSIVVFVRHSAWLPGRLSGELRGVLDQMVLDIDALIDILPRVPPARD* >Brasy5G082200.1.p pacid=40074211 transcript=Brasy5G082200.1 locus=Brasy5G082200 ID=Brasy5G082200.1.v1.1 annot-version=v1.1 MPTSAVPTSMRKLDGAGNKVTGLDYDDDHIQSAQTLLFSVGDRPTIDDDARRLIPAVDAALSRRADDGPDVDALGISLVYASCRHDYEVATTHLLGYSLRHGHAADITSAHLSAWLGFAARRVTGSFTLAVPPVPPAPPSRSALCLRDDTKLQAHLPSRTRAETMSLTLATATLTVPAPRAGAFHALTDLMLSQVTIQTDADEHNLGLLLSSCCSPRLRRLRLEHIDGLYMLRLDAASTLQELRLKQLINLSSLELYARGLRALHLEACWSLSFDGTARISAPRLELLDCSYMLRHLELTGLASLRRLEKLHLLSHGSPGGNVNGDDVNQAAVGLLQRCAAAESVGLILRPPHLEWNADDEILSLLPPLPHIADLTLDVWTSVCIGIKAHRLRGSVATLVSRCSNVQRLQIAFTYCGLDECSDQNCFCHQDQGNQGAIDMSLERLQEAKITGFRPWLDDQVSLVRLLIASAPGLETMTLELCNSTEAKGAPDLDIVPCDRGQWSRVSDSKSWIYTWKPEMQIVVEKKKKKKKKKKKKRRRSCADPAIESRRWLAISAVFFIFLFLFLHAFAAI* >Brasy5G481100.1.p pacid=40074212 transcript=Brasy5G481100.1 locus=Brasy5G481100 ID=Brasy5G481100.1.v1.1 annot-version=v1.1 MFEVIGALASSAEKYMGRGRGRRPSRRRSRSASCTRDLRSPRPRSTSESKIGFRLIEESIAPWLTEEQKKYIHPCGTSDTFLGLVLPTFAVSCSYDNLFQGGALLPDAYKLAYNRELVTGAVSVIICVSYMLVKIYEFPDLPPVQKLKSWIKFPVCPASFRIYRDTATVVAISYVLLLDINLSYLWLVIFPVIAIAFIYALFLELCHPGQEAINSGDEVDENASAAITKEPAEELELIVMVPFCALCVMAQLDSSHAADRFAVSQFLLFLSSTLGVLTRMMMRLPLPAAGASPDVTPASELLHKTFLLMLLVTAHTLAAEALGEDMVLVCMPEIVPVLLWFIIHLDRDSSVVSSVDSMKPRKNVLIAFGAVVVAPLLAYLATSLDESGLSRCSAVLLSSGVSGLLTYYLAFMLHQWPAGQQAAAAGIEDTNVTGPSTCIQTSRKEGVGVRDNDGATSSSKHAVHVQSEEEEQAAGSPDEAVQLLKCWANVLLTVAAAALTLNYVVARRLGLQEPLLDTLCRNFPRLC* >Brasy5G497800.1.p pacid=40074213 transcript=Brasy5G497800.1 locus=Brasy5G497800 ID=Brasy5G497800.1.v1.1 annot-version=v1.1 MRTILLFGKMAQGALPLDGLPTTAASMGSSVVSRPPPPQPTTPASRPSSESPDTASTPSAAAGDTLDLNASPATTPSLPTKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKDAAYWKRIYDYFHEHRLLPPYSFMSDRGEVSLQKRWGLIQSECNKFAGAQDHVKARPLSGVGVGDMKSNGEGKKRDCSVIDLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAVKEKMLQLKGEQMKEFIDVQKRKLAIEEANAVTTRPAAAAALLAEETRIMTADLSLMDEATRAWFLAKRKMIQERDVPAPSEE* >Brasy5G149200.1.p pacid=40074214 transcript=Brasy5G149200.1 locus=Brasy5G149200 ID=Brasy5G149200.1.v1.1 annot-version=v1.1 MEGEAWLAPLKAAAAAVAAVDPVAAFTAAIHARLREIEARRLRVEKRGRRRGGLEEIEGGGWYYLCNPSTRQWCPLPALTGAGDVAALYLHGPSNKYRILFQDFSMSAPYKCNVLTVSISQHQQQRCIGQFPAEILLSQLPAHWSCIRGCDHPPVFLHGCLHWHFGKDVFEGSILVFDTVLETFRLIRAPPKIDGHREYLLEMDDGKLGMGFIHIGTKTVTADIWAMEGYRKEEAWVLKRRIELPVGELQKHASESGCGNLFPEIVSGDGDVLLTTSRDPWPLFHYDGKGKLVEKYQWPGIISRVIGVYFKESHVRHDFFQKEVRGRVRVPRFFRGL* >Brasy5G451100.1.p pacid=40074215 transcript=Brasy5G451100.1 locus=Brasy5G451100 ID=Brasy5G451100.1.v1.1 annot-version=v1.1 MRASKTTTPEPIPLPLPPPPLSIPLPLPPPPLSLPSPTTAIHRRNRNSSASSSSVSTASSSSPSQSPRAPSPTRGSVVPFSWERHPGVPKSSLAGLISSSGAGGGEPGPLLPPPPPSRRCGRIRRRRRLSVDDTDPFAAAFIECTREEGTDDADEDKLWPPSPPPPALASAVHTARPWRLASDGGGAAGILGFLDLYGCKSAMDVAGGAFLAPRRRPVAARPGHGHGHGRAAAARR* >Brasy5G339200.1.p pacid=40074216 transcript=Brasy5G339200.1 locus=Brasy5G339200 ID=Brasy5G339200.1.v1.1 annot-version=v1.1 MSQIQSLSRQSCVLLAVLCGKHADKRLLQGRSGPEAKRPRPSYPFPELGSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDTDMSDPQAFVCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALLSVVQSSVSHTWDSFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISAPENEMAEEEGSSDVSPAIKIYDDDIKMQFLLCGVPSTLDPCLFGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKNELIEKNQLVRALPNSMDKLSSTEPLTSMSVACGASTFEVWMTLPKWAAQVLKHLAREISYRSLVALGVGCINATPVASFDREDADRVLFFCTGQQKDLVTDSGPYFHLPRWSASLTKDRVKRGSESKPNVFGANGTSEDKELPIEGPSSLTPLKAKFKPATMRPIPHSRQQQMHPFMGFPEATIHENSHVKPNLPAAPPVKHNLIPAATAAHRKSTSGPSHAQSIIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELSHDDVDGECCLLCNSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPQQPQKVANGFGNTVPLSRNV* >Brasy5G186100.1.p pacid=40074217 transcript=Brasy5G186100.1 locus=Brasy5G186100 ID=Brasy5G186100.1.v1.1 annot-version=v1.1 MQGGQGPGEATRAGGAVGKGSGARVAQGCIGLVRRDGGRQAAEQLRDLGPAPGATPSTRRRRFEASYLGSDGARRGDGDVQLDRRIGERRCSGSPPFIEVPVCLLRRHSRGARPPRRSRRPSRKRSGGTSTASRPGLGLQDIRCANKNLTLEAALILEHDIIPWDQDVIRNILKVFV* >Brasy5G146000.1.p pacid=40074218 transcript=Brasy5G146000.1 locus=Brasy5G146000 ID=Brasy5G146000.1.v1.1 annot-version=v1.1 MAAESSAAGGMRKAPSMEWRWVSAGEEEEEEEEKAAGRRGGPPPVATSGRGGSFESEDEEENEEEEEEEEEDGARKKRRQKLIRTVPSVDWFDVEGNEVSVGQPLDDSEEFDFGRTLFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLILMPLVKYVLVVLWANDDGEGGIFAMYSLICRNAKVSLIPNHQLQAEKRMSSFRLKLPTPELERSIKVKEKLESSPLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPHASQDIVVMISIALLVVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIHYFGRNPFKAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQSMFVLLVLPCLVLVYLGQAAFLIANQKSSKRIFFSSIPSEAFWPVFLLANLAALIASRTMTIAVFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFMLASCLGFIVLFRSTNDVGNAYAIAELGVMIMATVYVAIIMLLIWETTIVKVISFVTTFLFLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCISRYGYKDKKQEHHNTFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPSTPARIITAPNGSLYSLDVPLLMDFAPSVEPIPETPCCSTPQDPALDYTQNLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFYAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV* >Brasy5G146000.2.p pacid=40074219 transcript=Brasy5G146000.2 locus=Brasy5G146000 ID=Brasy5G146000.2.v1.1 annot-version=v1.1 MAAESSAAGGMRKAPSMEWRWVSAGEEEEEEEEKAAGRRGGPPPVATSGRGGSFESEDEEENEEEEEEEEEDGARKKRRQKLIRTVPSVDWFDVEGNEVSVGQPLDDSEAEKRMSSFRLKLPTPELERSIKVKEKLESSPLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPHASQDIVVMISIALLVVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIHYFGRNPFKAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQSMFVLLVLPCLVLVYLGQAAFLIANQKSSKRIFFSSIPSEAFWPVFLLANLAALIASRTMTIAVFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFMLASCLGFIVLFRSTNDVGNAYAIAELGVMIMATVYVAIIMLLIWETTIVKVISFVTTFLFLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCISRYGYKDKKQEHHNTFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPSTPARIITAPNGSLYSLDVPLLMDFAPSVEPIPETPCCSTPQDPALDYTQNLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFYAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV* >Brasy5G146000.3.p pacid=40074220 transcript=Brasy5G146000.3 locus=Brasy5G146000 ID=Brasy5G146000.3.v1.1 annot-version=v1.1 MSWLSFGPMMMVKLFYHSTGGIFAMYSLICRNAKVSLIPNHQLQAEKRMSSFRLKLPTPELERSIKVKEKLESSPLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPHASQDIVVMISIALLVVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIHYFGRNPFKAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQSMFVLLVLPCLVLVYLGQAAFLIANQKSSKRIFFSSIPSEAFWPVFLLANLAALIASRTMTIAVFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFMLASCLGFIVLFRSTNDVGNAYAIAELGVMIMATVYVAIIMLLIWETTIVKVISFVTTFLFLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCISRYGYKDKKQEHHNTFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPSTPARIITAPNGSLYSLDVPLLMDFAPSVEPIPETPCCSTPQDPALDYTQNLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFYAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV* >Brasy5G146000.4.p pacid=40074221 transcript=Brasy5G146000.4 locus=Brasy5G146000 ID=Brasy5G146000.4.v1.1 annot-version=v1.1 MYSLICRNAKVSLIPNHQLQAEKRMSSFRLKLPTPELERSIKVKEKLESSPLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPHASQDIVVMISIALLVVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIHYFGRNPFKAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQSMFVLLVLPCLVLVYLGQAAFLIANQKSSKRIFFSSIPSEAFWPVFLLANLAALIASRTMTIAVFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFMLASCLGFIVLFRSTNDVGNAYAIAELGVMIMATVYVAIIMLLIWETTIVKVISFVTTFLFLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCISRYGYKDKKQEHHNTFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPSTPARIITAPNGSLYSLDVPLLMDFAPSVEPIPETPCCSTPQDPALDYTQNLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFYAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV* >Brasy5G146000.5.p pacid=40074222 transcript=Brasy5G146000.5 locus=Brasy5G146000 ID=Brasy5G146000.5.v1.1 annot-version=v1.1 MELSHQQFLSAVSGLKVGIPHASQDIVVMISIALLVVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIHYFGRNPFKAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQSMFVLLVLPCLVLVYLGQAAFLIANQKSSKRIFFSSIPSEAFWPVFLLANLAALIASRTMTIAVFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFMLASCLGFIVLFRSTNDVGNAYAIAELGVMIMATVYVAIIMLLIWETTIVKVISFVTTFLFLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPAIFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCISRYGYKDKKQEHHNTFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPSTPARIITAPNGSLYSLDVPLLMDFAPSVEPIPETPCCSTPQDPALDYTQNLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFYAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV* >Brasy5G488200.1.p pacid=40074223 transcript=Brasy5G488200.1 locus=Brasy5G488200 ID=Brasy5G488200.1.v1.1 annot-version=v1.1 MPPLANEAVPPPRVSPSQPKKERKKKLSPMPRSNPLPSPFLRPPPPSLCAPPPLFPLLAPPAPLLLIEPPQPSASAPAPGRSPQTKERHTSLPRDSNTIWGESFPRRRRLPANDPAPYTAADASTTDPLSACALIQSPDPARLPSALQIGAPPRSPTPSMRLSIVLQFSFSGI* >Brasy5G409000.1.p pacid=40074224 transcript=Brasy5G409000.1 locus=Brasy5G409000 ID=Brasy5G409000.1.v1.1 annot-version=v1.1 MAATAILLLLFAAAAAAARHAGATEYTVGDSDGWTIGPSYLAWSQKYNFTAGDTLVFNYVRRQHDVHEVTQDAYRTCEPAANQTVRAWASGRDIVDLAAPGDYYFVCNVTGHCLGGMKFSVAVAAPPPPPPPPPRAFPTPAPPPPPSSSSAGAWPDVGGVSGIAIGLQGIAFLLSL* >Brasy5G173300.1.p pacid=40074225 transcript=Brasy5G173300.1 locus=Brasy5G173300 ID=Brasy5G173300.1.v1.1 annot-version=v1.1 MAMAALRLGARRFAAARPRPPPQAIHSRAAVAEEPRRLLPLPRHINGGSSPAGPAGSTFYLAEEQRRLLQMRLFHGGPTAAARIYSRQFCSSQKHGEPNPITFKNVMELFAQTVGLLCAISTIVIEVSLVGLAIALLIRDLSGPRYVPVMAKIMFGPVEDIEKHRPRVLKDGKWVEAEPQRR* >Brasy5G110300.1.p pacid=40074226 transcript=Brasy5G110300.1 locus=Brasy5G110300 ID=Brasy5G110300.1.v1.1 annot-version=v1.1 MELLTPSFLLLLLLLTAAAVASGSGEPAHPGYTYADEEGPSSCGVVKEEAAAAAAAAVPERRQEFDGGRIVDISHYYREDMPAFESAEGTAGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYFDAGFDVDTLDLAILNGPALLVDVPRDSNITADVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDPSYVGFMKDGAQWLIDNTDIQLVGVDYLSVGAYDECIPAHLVFLEKKEVILVEALNLEHVTTGIYTLHCLPLRLRGAEGSPARCILIK* >Brasy5G371700.1.p pacid=40074227 transcript=Brasy5G371700.1 locus=Brasy5G371700 ID=Brasy5G371700.1.v1.1 annot-version=v1.1 MSTISGARRASGRQGRSADKVVVNLDTSSPELGSRRGMSTSSTGARTSPIDVEALDDEVQAILPSQVPPQRRNWRIRRHPVTVVDLEVDASQEGNKRRRVAPVINISPETGEGSSLQSKNVVKTTKEPAKVAPKEPIFTCPVCWNKLEEPSTTICGHIFCTSCIKQSIQVQKKCPTCRKSLRMNNFHRIFLPNSVASG* >Brasy5G371700.2.p pacid=40074228 transcript=Brasy5G371700.2 locus=Brasy5G371700 ID=Brasy5G371700.2.v1.1 annot-version=v1.1 MSTISGARRASGRQGRSADKVVVNLDTSSPELGSRRGMSTSSTGARTSPIDVEALDDEVQAILPSQVPPQRRNWRIRRHPVTVVDLEVDASQEGNKRRRVAPVINISPETGEGSSLQSKNVVKTTKEPAKVAPKEPIFTCPVCWNKLEEPSTTICGHIFCTSCIKQSIQVQKKCPTCRKSLRMNNFHRIFLPNSVASG* >Brasy5G371700.3.p pacid=40074229 transcript=Brasy5G371700.3 locus=Brasy5G371700 ID=Brasy5G371700.3.v1.1 annot-version=v1.1 MSTISGARRASGRQGRSADKVVVNLDTSSPELGSRRGMSTSSTGARTSPIDVEALDDEVQAILPSQVPPQRRNWRIRRHPVTVVDLEVDASQEGNKRRRVAPVINISPETGEGSSLQSKNVVKTTKEPAKVAPKEPIFTCPVCWNKLEEPSTTICGHIFCTSCIKQSIQVQKKCPTCRKSLRMNNFHRIFLPNSVASG* >Brasy5G431000.1.p pacid=40074230 transcript=Brasy5G431000.1 locus=Brasy5G431000 ID=Brasy5G431000.1.v1.1 annot-version=v1.1 MPRLRPDPAGFLADPVFPLVLPHPGARSARGCAAALFGRIRLLAGQIRRLLRLIRRAPGLFLRFPQFSSRFGQAADRASPAADGLLLRPSMVQVGPFRRHGGVVLRLRSRLRLLPVCEENEPIFEAMAKNPKVFFDILIGKAKAGRVVMELYADKVPKTADNFRLLCTGEKGLGKSGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESVYGAKFADENFTLRHTRPGVLSMANAGPHTNGSQFFICTARTPWLDGKHVVFGQVVDGYGVVQKIEAVGSENGTTAEQVVIEDCGQIADE* >Brasy5G431000.2.p pacid=40074231 transcript=Brasy5G431000.2 locus=Brasy5G431000 ID=Brasy5G431000.2.v1.1 annot-version=v1.1 MAKNPKVFFDILIGKAKAGRVVMELYADKVPKTADNFRLLCTGEKGLGKSGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESVYGAKFADENFTLRHTRPGVLSMANAGPHTNGSQFFICTARTPWLDGKHVVFGQVVDGYGVVQKIEAVGSENGTTAEQVVIEDCGQIADE* >Brasy5G431000.3.p pacid=40074232 transcript=Brasy5G431000.3 locus=Brasy5G431000 ID=Brasy5G431000.3.v1.1 annot-version=v1.1 MAKNPKVFFDILIGKAKAGRVVMELYADKVPKTADNFRLLCTGEKGLGKSGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESVYGAKFADENFTLRHTRPGVLSMANAGPHTNGSQFFICTARTPWLDGKHVVFGQVVDGYGVVQKIEAVGSENGTTAEQVVIEDCGQIADE* >Brasy5G139900.1.p pacid=40074233 transcript=Brasy5G139900.1 locus=Brasy5G139900 ID=Brasy5G139900.1.v1.1 annot-version=v1.1 MDRWALACSITALILVTFLPFRTAADDQLVPGKPLSAGATIVSADGAFALGFFNPSNSSTAPARLYLGIWYNGIPELTVVWVANRETPATNSSSAPTLSLTNTSSLVLSDGSRRGVLWTTAEDVAAAPATAVLLNSGNLVLRSANGTTLWQSFDHPTDTFLPGMKIRMRHRTRAGERLVSWKAPGDPSLGRFSFGSDPATSLQVFLWDGARPIARSAPWNGYLVTTESRYHYQPPLADANNASTDAVVVYLAVVDNDEEIYFTYTLSESAGHTRYVVTYSGQYQLQSWTVASSAWTVLANWPPTECSRYGHCGPYGRVWENGEFSAGCRRKEALPTAGCSDGGFLALPGMKLPDVFAVVPGDRSGTPDECAAECSRNCSCVAYTYATNQGSGSSQQGDVTWCLVWAGELVDAGKVGAAGRGGDTLYLRLGGLDATHGERRTKGTAVKIALPVLGSTFAILTCVFLAWLKLRGKNREKRKQKKITLAGMSISYELGEGNPPHDHEFPFVRFSKTCILGQGGFGKVYKGDEKLLIYEYLPNKSLDATIFDDSRKMLLDWATRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKMADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGVFSTNSDIYSFAVLLLEVVTGIRRSSSTMGFPNLIIYAWNMWREGKTTELVDSSIKDTSSPDEVLLCIHLALLCVQENPDDRPFMSAVVFILENGSTALPSPNRLAYFARRSAELEQIGVDIQNSVNNVTLTEIQGR* >Brasy5G369900.1.p pacid=40074234 transcript=Brasy5G369900.1 locus=Brasy5G369900 ID=Brasy5G369900.1.v1.1 annot-version=v1.1 MAAATTRASTSRRGRGMATSRGVEVVTSFEEMGLHEEVLRGIFEYGYENPSAIQQRAILSIISGRDIIAQAQSGTGKTSMISLAACQMVDTAVREVQALILSPTRELASQTERVIQAIGQFMSIRVHACVGGNSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADDMLERGFKSQIYDIYRYLPPDLQVVLISATMPTEILEITSKFMTDPVRILVKRDELTLEGIKQFFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKIKVDWLTERMRRNNFTVSSMHGDMPQKERNAIMADFRSGATRVLITTDIWARGLDVQQSSLVSLVINYDLPSNRELYIHRIGRSGRFGRKGVAINFVREIDITILRDIEQFYSAQIDEMPMNVADLI* >Brasy5G496000.1.p pacid=40074235 transcript=Brasy5G496000.1 locus=Brasy5G496000 ID=Brasy5G496000.1.v1.1 annot-version=v1.1 MSAGAGSGTFLEIQPSELAFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAPAMAPPDLQCKDKFLVQSAAADNGAATQDITAAMFNKEPGKVIDECKLRVLYVLTTAPGSNPEESELGSSSRSFTQENGTPNSTLLPSRSFGEPGKEKSSEATTMISKLTEEKMSAIQQNQKLRQELDLLRKESSKSNGGFSLTFMIVVGLLGIVVGFILKKT* >Brasy5G093600.1.p pacid=40074236 transcript=Brasy5G093600.1 locus=Brasy5G093600 ID=Brasy5G093600.1.v1.1 annot-version=v1.1 MSSEPEKASPSSAADFSTATITKKALKKDARNAEKAAKNQQQAQPAAEDPLAENYGDVLPGEILPKAISGEPWTKVAHLDEAAVGRSVLIRARAQTIRPVGRKMAFVVLRQGMSTVQCVLVVSADAGTSAQMVRFAASLSKESVVAVEGVVSLPKEDLKSTTQEVEIQVRKLYCIDRADPNLPINVEDAARSESEFVRAEQTGEKLVRVGQDTRLNHRVIDLRTPANQAIFRVQYELENKFREYLSSNDFIGIHTPKLISGSSEGGAAVFKLEYNGQPACLAQSPQLHKQLAICGGFRGVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIMENYFEVCDIVDGLFVELFKHLNDKCKKELQTINRQFPFEPLKYLDKTLRLSYEEGITMLKEAGTEIEHMGDLNTEAEKKLGRLVREKYDTDFFILYQYPLAVRPFYTMPCYENPLYSNSFDVFLRGEEIISGAQRIHDPELLAKRAAECGIEKSSIESYVRSFSYGTPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP* >Brasy5G147500.1.p pacid=40074237 transcript=Brasy5G147500.1 locus=Brasy5G147500 ID=Brasy5G147500.1.v1.1 annot-version=v1.1 MEATALVLLPHGGLTVGAPRLPTGKGVSCVMKQSQPRRQRRSTVRAVMARPQEATLAPLPSLPVLPVRETVAGTATTTVHHDSWFDNLAIGYLSRALQNASGIRNGKPGYEGLIEAAVAISRIFRLDTQCEIVASALEQAMPSYIITMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVRESEVDGTREKNVVYIPKCRFLESTNCVGMCTNLCKIPSQKFMQDSLGVSVYMSPNFDDMSCEMIFGQQPPEDDPALKQPCFRTKCIAKQNYGVNC* >Brasy5G414500.1.p pacid=40074238 transcript=Brasy5G414500.1 locus=Brasy5G414500 ID=Brasy5G414500.1.v1.1 annot-version=v1.1 MCVYCGFRSHFVKCCPGTRTSVLVFLAAFLPSVAHSSSTKLILRLSASRALRLESSSVTDQAIGAGMESAAASAFLKCVMGRLFLVLEKEYNKQKGLRQETLSIQQDLRMIAAAMDDRLHALGSSPRRTAVARLYSEEMLGLAHDAQDCIDRIVHRLTCRPRGGGGASLVRRVAHELKKVQSRSGFADEIHKLKTRLKDAHQRVISIPIAAPTYHEFAAPPPSSKSCRVARNPVGIGKPVEELLSLMDEVEGEPEQLRVISVVGFGGLGKTTVARAVYDSPHAVERFDCRAWVAAGRSMETNSDGVGEILRDILRQVLPEDGMDVGDDQRLEALLTEYLKHKRYLIVIDDIGMEQWSAINSTFEDNGESSRVLLTTTIHSTANICSHGNGYVYQLNTLGEEDSKKIALQGVQSPELEQGSKTLLQKCGGLPLALVSVSDFLKSSGEPTGELCAMLCRNLGSHLKEKHGHDNFTELRKVLMHNYDSLSVYAMTCLLYLGVFPNNRPLKRKVVIRRWLAEGYARSDSLRSEEDIADENFKVLIDRNIIQPIDTRNNAQVKTCRTHGIMHEYLLQKSVSQKFIVTSSRDHPRACVNFNNACHLSIHGGNVTDGEASDEDLSRVRSLTITGNAGDAISYVRKCKLLRVLDLEKCNDLEDSHLKHIGKLWHLRYLSVGGTIGKLPSSIGEMHCLETLDLRRTKIKTLPIEAIMLYHLAHLFGKFTVDKDDMKNANKMSKVMKFLSGNKSNLKTLAGFVANEGQGFLQLMGHMRNLRKVKIWCQQVAKGANYTTDLSKSIQDFTKVPIDGAGAHSLSLHSEECSEDLLNSLDFDPCPEGSKYDLRSLKLHGKLLQLPPFVTLLSGLTELSISSATLTRNLLSSLINLGNLLYLKLIAYQLENFEMKKGAFPSLRRLCFIVQSLSSALPAIEQGALPNLVSLQLLCPGLVGLSGIQTRHLKHLKEVTVDHRVPDQTRHDWEQAMKNHPNRPRLLLLKSGTVSMESEGSGQEEAYAMREKRKICLVQPSPDDGLDSGLKKMRLSDSSSRLQVIVHPVLLRGTMTMPSNSSTQR* >Brasy5G172300.1.p pacid=40074239 transcript=Brasy5G172300.1 locus=Brasy5G172300 ID=Brasy5G172300.1.v1.1 annot-version=v1.1 KITPKSCVSAVRVSSVRGRRRLASGAAVRFVPTSAAGEKQSARRQRGAACESRRVAAAGYAADRLEPRPCRQSWLGRRLRLRRPGPERGAARQARAGRLPRARRDLAAAQSSLLAGDQGLCAAQERPTPRFHGLSGVSLRQGCRRPCRQVE* >Brasy5G471800.1.p pacid=40074240 transcript=Brasy5G471800.1 locus=Brasy5G471800 ID=Brasy5G471800.1.v1.1 annot-version=v1.1 MPKAKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGKRKCEALWPIFKINHQRSRYLYDLYYNRKEISRELYEFCLAQGHADRNLIAKWKKPGYERLCCLHCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD* >Brasy5G436900.1.p pacid=40074241 transcript=Brasy5G436900.1 locus=Brasy5G436900 ID=Brasy5G436900.1.v1.1 annot-version=v1.1 MIGSRRPDHQTQGSVPRAQYGGAHQEDRPVHKRAGEGAGRAGPSTLTTYKVRFIEVCRTKTEGSGSLRQRQATR* >Brasy5G261600.1.p pacid=40074242 transcript=Brasy5G261600.1 locus=Brasy5G261600 ID=Brasy5G261600.1.v1.1 annot-version=v1.1 MVLIPFRVSPRGVHLQLRRTGKDWYFIIVDDIWDESAWNYIKSVLVENNCSSRVITTTRIAGVAESCCSSFDGNIYKLKPLSHDDSKNLFYERIFGGEDGCHLELREMSEKILRKCDGVPLAIITIASLLANKPRNINEWNTVHNSIGSGLEKFPNMENMRQILSISYYDLPSRVKPCFLYLSVFPEDYIILSDNLVRRWIAEGFIHGSNMDSLYKVGHSYFNDLINRSLVQPEHIDAHGRVGACRVHDIVLDLITSLSIEENFVTTLDSHQSRYLPKKIHRLSIHSCEEKCTIPLSISLSHVRSFIIFPGATKLMPPLSGFQILRVLDLESCRDLESQHIHGVGSLFHLRYLGLRDTNITNLPKGIGNLHCLQTLDLSETGIIDLPSSIVQLRQLVCLYIETSVKLPDGMGNMESLQVLSTVGLSNSPNFLNELGNLTELRVLKFSLVGTWEKSYEKPLIDSLWHLTNIRELSIHSPGIPTGFIVDLGWAPQNLQYFSGSVPRLPEWINSSLSELSILIVTINKLRQQDLDILGDLPFLQYLCVTALQIETTKERLVVSADLAKFQCLSEFHIHNDATGLMFAQGAMPRLETLEIIFTVREAMDTYSDFDLGLENIHSVKLVTIRMRCTDSSVSEVEETDAAMRKTITLRKTINVNPNCRRLDVIRYYEDEMIEDNKKPQLDEEKLNGEEMILERIGPWGGNAGSNRNIKVVPQRIESVKICSGDIVDALAFSYTDTNSNQHTTPLWGGIGGTIHTIPLDPSEFMIEISGTFGPYGQFPSLIRSLTLVTNLRTYGPFGLLRGTPFHYRVNKNGSIVGFFGRSGEFLNAIGVYVRP* >Brasy5G261600.2.p pacid=40074243 transcript=Brasy5G261600.2 locus=Brasy5G261600 ID=Brasy5G261600.2.v1.1 annot-version=v1.1 MSEKILRKCDGVPLAIITIASLLANKPRNINEWNTVHNSIGSGLEKFPNMENMRQILSISYYDLPSRVKPCFLYLSVFPEDYIILSDNLVRRWIAEGFIHGSNMDSLYKVGHSYFNDLINRSLVQPEHIDAHGRVGACRVHDIVLDLITSLSIEENFVTTLDSHQSRYLPKKIHRLSIHSCEEKCTIPLSISLSHVRSFIIFPGATKLMPPLSGFQILRVLDLESCRDLESQHIHGVGSLFHLRYLGLRDTNITNLPKGIGNLHCLQTLDLSETGIIDLPSSIVQLRQLVCLYIETSVKLPDGMGNMESLQVLSTVGLSNSPNFLNELGNLTELRVLKFSLVGTWEKSYEKPLIDSLWHLTNIRELSIHSPGIPTGFIVDLGWAPQNLQYFSGSVPRLPEWINSSLSELSILIVTINKLRQQDLDILGDLPFLQYLCVTALQIETTKERLVVSADLAKFQCLSEFHIHNDATGLMFAQGAMPRLETLEIIFTVREAMDTYSDFDLGLENIHSVKLVTIRMRCTDSSVSEVEETDAAMRKTITLRKTINVNPNCRRLDVIRYYEDEMIEDNKKPQLDEEKLNGEEMILERIGPWGGNAGSNRNIKVVPQRIESVKICSGDIVDALAFSYTDTNSNQHTTPLWGGIGGTIHTIPLDPSEFMIEISGTFGPYGQFPSLIRSLTLVTNLRTYGPFGLLRGTPFHYRVNKNGSIVGFFGRSGEFLNAIGVYVRP* >Brasy5G261600.4.p pacid=40074244 transcript=Brasy5G261600.4 locus=Brasy5G261600 ID=Brasy5G261600.4.v1.1 annot-version=v1.1 MVLIPFRVSPRGVHLQLRRTGKDWYFIIVDDIWDESAWNYIKSVLVENNCSSRVITTTRIAGVAESCCSSFDGNIYKLKPLSHDDSKNLFYERIFGGEDGCHLELREMSEKILRKCDGVPLAIITIASLLANKPRNINEWNTVHNSIGSGLEKFPNMENMRQILSISYYDLPSRVKPCFLYLSVFPEDYIILSDNLVRRWIAEGFIHGSNMDSLYKVGHSYFNDLINRSLVQPEHIDAHGRVGACRVHDIVLDLITSLSIEENFVTTLDSHQSRYLPKKIHRLSIHSCEEKCTIPLSISLSHVRSFIIFPGATKLMPPLSGFQILRVLDLESCRDLESQHIHGVGSLFHLRYLGLRDTNITNLPKGIGNLHCLQTLDLSETGIIDLPSSIVQLRQLVCLYIETSVKLPDGMGNMESLQVLSTVGLSNSPNFLNELGNLTELRVLKFSLVGTWEKSYEKPLIDSLWHLTNIRELSIHSPGIPTGFIVDLGWAPQNLQYFSGSVPRLPEWINSSLSELSILIVTINKLRQQDLDILGDLPFLQYLCVTALQIETTKERLVVSADLAKFQCLSEFHIHNDATGLMFAQGAMPRLETLEIIFTIPVFLRWKKLMLL* >Brasy5G261600.3.p pacid=40074245 transcript=Brasy5G261600.3 locus=Brasy5G261600 ID=Brasy5G261600.3.v1.1 annot-version=v1.1 MVLIPFRVSPRGVHLQLRRTGKDWYFIIVDDIWDESAWNYIKSVLVENNCSSRVITTTRIAGVAESCCSSFDGFQILRVLDLESCRDLESQHIHGVGSLFHLRYLGLRDTNITNLPKGIGNLHCLQTLDLSETGIIDLPSSIVQLRQLVCLYIETSVKLPDGMGNMESLQVLSTVGLSNSPNFLNELGNLTELRVLKFSLVGTWEKSYEKPLIDSLWHLTNIRELSIHSPGIPTGFIVDLGWAPQNLQYFSGSVPRLPEWINSSLSELSILIVTINKLRQQDLDILGDLPFLQYLCVTALQIETTKERLVVSADLAKFQCLSEFHIHNDATGLMFAQGAMPRLETLEIIFTVREAMDTYSDFDLGLENIHSVKLVTIRMRCTDSSVSEVEETDAAMRKTITLRKTINVNPNCRRLDVIRYYEDEMIEDNKKPQLDEEKLNGEEMILERIGPWGGNAGSNRNIKVVPQRIESVKICSGDIVDALAFSYTDTNSNQHTTPLWGGIGGTIHTIPLDPSEFMIEISGTFGPYGQFPSLIRSLTLVTNLRTYGPFGLLRGTPFHYRVNKNGSIVGFFGRSGEFLNAIGVYVRP* >Brasy5G216000.1.p pacid=40074246 transcript=Brasy5G216000.1 locus=Brasy5G216000 ID=Brasy5G216000.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRVVVRIHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLRTKYERLDKGPFKYLPRGFITCKNKFFTLPGLPNEKVADDSYDFCNFVTSQEYMVANMRAEIEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPEFDKLFQVDGFTPARGPPRVFESMYLPRQFLYGEQKADAYVLPYSPQLLPRF* >Brasy5G135500.1.p pacid=40074247 transcript=Brasy5G135500.1 locus=Brasy5G135500 ID=Brasy5G135500.1.v1.1 annot-version=v1.1 MASGTDEKAKMESLTSPAAFVEGGVQDACDDACSICLEAFCESDPSALTGCKHEFHLQCILEWCQRSSQCPMCWQPITMKDPTSQELLEAVERERNMRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGQRGRSVSNNRPQFLVFSAHPNTTSAGSISSSSAQGEGASDLRILVPTAGDLSPRANPIEEAGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDLQSFSDSLKSRLNAVSTKYKESITKSTRGWKERLFSRNSSVSDLGSEVRREVNNGIASVSRMMERLETRGSSRGSDSPTSSTSEVCPTAESSNERVTESNSTNTTSSSNTSAPCVTTSGSN* >Brasy5G163300.1.p pacid=40074248 transcript=Brasy5G163300.1 locus=Brasy5G163300 ID=Brasy5G163300.1.v1.1 annot-version=v1.1 MAGEDGNPVDTPLVPEGIDENAPLTLKSIQMLLNSLQEALLDKVDKKISERLSTKTSTSTSESPHSKPLNEDLDKVDSDAEALKKAKAIDESANKSQSRGGGGLYKHLHGACVLSPFKLVVVCNDPHHDNAYAFLFESRSSTWGNMINVESTLIDPTIDPTSPSILIGNTLCWLLCEGDILQFDLEKESLSVIAKPIEACFMDPLSSHHFQIMRTEHSGIGLVILLGPNIQIWEWKPEAEMSWVMGKTIQLGDLFKQKLFIENGTMWRRGYDEELNLIFLTTDMGDFMVQLDRMQLKKMSKIDQEKSWTCYTYANLYTTGRGIGGGHGEDDMSNDALDD* >Brasy5G483900.1.p pacid=40074249 transcript=Brasy5G483900.1 locus=Brasy5G483900 ID=Brasy5G483900.1.v1.1 annot-version=v1.1 MENMLTSAPAHASLPDRFAFAPDKLPPATTAVVNLPVIDLSLPRDEARRAILHAGKELGFFQVVNHGVCKEVMRDMEKMCEEFFWLPAAEAGKAELYSEERHKPNRLFSGATYDTGGEKYWRDCLRLACPFPVDDSINEWPDKPQGLRGVIEKFTIQTRSMGMEILALLCEGMGLRPDYFSGDLSGGNVILNINHYPACPNPDKALGQPPHCDRNLITLLLPGAVNGLEVAYNGDWIKVDPAPHAFVVNFGQQLEVVTNGLLKSIEHRVMTNVARARTSVATFIMPTPTCFIGPAEEFLSAANPPCYRTTMFSDFMRIYNVVKLGSSLNLTTNLKTVQKEI* >Brasy5G197800.1.p pacid=40074250 transcript=Brasy5G197800.1 locus=Brasy5G197800 ID=Brasy5G197800.1.v1.1 annot-version=v1.1 MDTPSPPAADSEMPREESRDQREEAPPWFAPPMGPEDVTAVEALLGYEFGDKSLVGEALTHGSYYYPYPPGDSTYERLEYLGDGVLTCLMSREVFLTYRQLPPGPLTRLRAANVDKEKLARVAVEHGIHRFLRHKAPQLDGQIDDFIKELCKYKYHSNGLLDAPKVLSDIVESLIGAIYLDSNFNQEVVWQVFRNLADPLISLETLGKHPVSELLEFCQKTRRGLQFVKDGWDKHLKVDVLVDGELLGTATYAQKKEIAQNRAAKAALDKLKETLLHSQIESASLDRLDLAEAVEL* >Brasy5G197800.2.p pacid=40074251 transcript=Brasy5G197800.2 locus=Brasy5G197800 ID=Brasy5G197800.2.v1.1 annot-version=v1.1 MDTPSPPAADSEMPREESRDQREEAPPWFAPPMGPEDVTAVEALLGYEFGDKSLVGEALTHGSYYYPYPPGDSTYERLEYLGDGVLTCLMSREVFLTYRQLPPGPLTRLRAANVDKEKLARVAVEHGIHRFLRHKAPQLDGQIDDFIKELCKYKYHSNGLLDAPKVLSDIVESLIGAIYLDSNFNQEVVWQVFRNLADPLISLETLGKHPVSELLEFCQKTRRGLQFVKDGWDKHLKVDVLVDGCGRRPRRFRCSREA* >Brasy5G419000.1.p pacid=40074252 transcript=Brasy5G419000.1 locus=Brasy5G419000 ID=Brasy5G419000.1.v1.1 annot-version=v1.1 MATSAVIFPLLLVAVVLPLSVSEDRLVLGRTLSPGATIVSDGGGFALGFFSPSNSTPARQHLGIWYSGIPQFTVVWVANRNAPVVTSRPPSSSTAGRPTLALTNSSNLVLSDADGRVLWATDVASSDAAAAVLMDSGNLVLRSPNGTALWQSFDHPTDTFLPGMKLPILPAAAAAGAFLVSWKGAGDPSPGRFTYGMDPATSLQLFTWDGPRPLWRSGAWTGFRVSSEYVGNTSAVVYLAIVDTDDESYMSFTLSDGAPPTRYVMTTHDGDSGNGNGSGRLELRSWSAASSRWDTLGRWPAHECSSYGHCGPFGYCDNTAPAPACECLPGFEPASPEEWSIGSFSRGCRRKEEIRCGVVDGFLAVPAMKAPDRFVVVGDRGAAACAAECSRNCSCVAYAHANLSSSSRGDATRCLLWVGDLIDAEKMGGNAASSETLYLRVAGLATAGRRKERNMMTIVLPVLASALVLTCLSIVIWICKSKANRKKTGNFKRLMLGDLNTSEGLGTGSPTEGFEFPFVSFTDIVAVTNNFHKSYMIGQGGFGKVYKMVEKLLSKGSAGILNKEWQSSEMRLF* >Brasy5G170700.1.p pacid=40074253 transcript=Brasy5G170700.1 locus=Brasy5G170700 ID=Brasy5G170700.1.v1.1 annot-version=v1.1 MVTGFLFAFTPLLHPLRPSMFPSASYKSSNQIRPPSVGSSPAEPNRPTRFARGTTATGGEEWWRRGPSSSSPAAPRREIGTDRPPMAVPLVLVLLPLGLLFLLSGLIVNAVQAVLFVSIRPFSKSFYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADEESYQSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLSAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRIKRHAMSEMPKSEEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPMKSLLVTLFWSCLLLYGTTEFFKWTQLLSTWKGVGFTAVGLALVTGIMHVFIMFSQSERSSSARAARNRVKKD* >Brasy5G170700.2.p pacid=40074254 transcript=Brasy5G170700.2 locus=Brasy5G170700 ID=Brasy5G170700.2.v1.1 annot-version=v1.1 MVTGFLFAFTPLLHPLRPSMFPSASYKSSNQIRPPSVGSSPAEPNRPTRFARGTTATGGEEWWRRGPSSSSPAAPRREIGTDRPPMAVPLVLVLLPLGLLFLLSGLIVNAVQAVLFVSIRPFSKSFYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADEESYQSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLSAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRIKRHAMSEMPKSEEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPMKSLLNNYG* >Brasy5G273800.1.p pacid=40074255 transcript=Brasy5G273800.1 locus=Brasy5G273800 ID=Brasy5G273800.1.v1.1 annot-version=v1.1 MPSCWILSGVSPYRTLIKISETEVRQDLHSPANPLNCTTYPAPDCEPVDTGVEYPEVQEEEEYASAEGGGVDYYLDFNEQANSDV* >Brasy5G241400.1.p pacid=40074256 transcript=Brasy5G241400.1 locus=Brasy5G241400 ID=Brasy5G241400.1.v1.1 annot-version=v1.1 MGNTLNGNNGGGNGRGGQNREVEPPVEPNFWRLMDLIPGVAVESDGGEGSGGSSPTSCVSSDGSSSRDVVIIYEYRRCVRFCGPNLFRPPCANIETKKNRDSGQSSSK* >Brasy5G340900.1.p pacid=40074257 transcript=Brasy5G340900.1 locus=Brasy5G340900 ID=Brasy5G340900.1.v1.1 annot-version=v1.1 MENSVQGEYAGSDDSYLPVYLLDNQCFSFDHLDLSSDSFGSPAAPSINISCPVGSCSKFSLSKADDEVHSPAQLKLLHAIPIYVLPNLTLREKLREVCLLYSLKCNEQA* >Brasy5G271500.1.p pacid=40074258 transcript=Brasy5G271500.1 locus=Brasy5G271500 ID=Brasy5G271500.1.v1.1 annot-version=v1.1 MEHQGQQLHGHATNKVDEHSAGGFAGAGMGTHGGVGTGGQLQPMKDDHKTDGVLRRSGSSSSSSSSSEDDGVGGRRKKGMNDKIKEKLPGGNKQADEQHTAGVGQQAGHAGVTGTGTGTGVHGAETTGEKKGVMEKIKEKLPGQH* >Brasy5G408600.1.p pacid=40074259 transcript=Brasy5G408600.1 locus=Brasy5G408600 ID=Brasy5G408600.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTRIPNTFAADPLGGFNPNTFAADPLGGFNPNAFASPPLLRGPLSYGGYSSSASFQQFPAGCSQPAPNPFAGMSQGDTIMADMINDSSQHAHYTYTQEEEEPYAAEDAEEREEWADGTEEPVVAEPRGKKKVAAEKKKAGGGGRGPKWTSKEDECLAEAWKIVSMDPFTGANQSGVTYWRRVKTAYDERRRIDRQFASVTHNRNESGLSHRWQMIQQACNKWHGIQEEVRRPPQSGSSAHDQMVAMFTAFREDNDGVEFKFIHVFARIETCDKWTETQNALSKSGTYDPKAAPSASAEGRPISHKKAKAMRDAAPATERLYTCIEKCMSAAAAQAAKREEVAASRWATVIKKQDDKLQILKANGAVKNRREDLLILTCDTTGMDVEVKAWYDDQRRLILAEARMPASAPATAAPATSTPSASSPPETATPATCTPPAGSEVPSTPADDERAE* >Brasy5G131100.1.p pacid=40074260 transcript=Brasy5G131100.1 locus=Brasy5G131100 ID=Brasy5G131100.1.v1.1 annot-version=v1.1 MESTKQYPAGTSREQHFSHHGIENSILTVYPSINGHDLAPPFPRRARQIPTGSSPPPRSSGEFVSFTPRARRPQMETASAARALELSGPLRDLLPPVDFCCAYGSTLLHARPDGTSMVDYILGVTDPLQWHSENMERNPSHYSSWMARLGPDAVTWLADRVGVGVYFNPFVEWRDKRIKYGVVGMRDLAMDVLGWDRFYLSGRLQKPVHVLVDNSDIRKVNTVNLKMATSASLLLLPEEFTEYDLYAQICSLSYMGDLRMLFAEDKDKVKKIVEGSFQPFQLMYRPMLQQYISEGILKISTHGQRKAFKQDCGVSATNELFSSLPWTIQRKMQGRFASNGQEMPTRAVASSKDMAATCVCKSLRRRVMVSSARQAVSGLLASGGVIAARYLGKKIAKAWNSRINT* >Brasy5G482800.1.p pacid=40074261 transcript=Brasy5G482800.1 locus=Brasy5G482800 ID=Brasy5G482800.1.v1.1 annot-version=v1.1 MGRKKNVAIEDDEYSLPQDDDPAPPAEKEKPPTKKGGKMRKKGGKPAAAPDDDDDYEPPAGGGDDDGEPTASASTAGNNDLEDDDLDFDFSKAKKQKKKEKRKDKKKGAQTPPEEDEQEAAAPPAAAEEEEEEEAAAMAAKKPQKKKKKKGGFTVDDEDIDKLLAEMEDPPQPTQEAEPEEAKGGDSMGAPDADDSGGKKSKKKKKKGGFTVDDEDIDKLLAEIEDPPAPAKELEPKPAKDEESVAAASSVDDAEGKKSKKKKKKSGRTAQEEEDLDKLLAELGEGPAPEKEKPSQAPPSAPVVKEVAETAEDGDSEQKVGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEVKEAEVPKGKVDMKKLPKHVREMQEALARRQEAEERRKKEEEERLRKEEEERLRKEEEERKVEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSEIQVADGAAPETKKRPKYDTKKKKAQTKAAESLKIAEEEHQEVNEANIDEEGYVIVDQEAQSQADESELKTEPDQEVEESKKEEEEEDEDDWDAKSWDDIDVNLPKTSAFEEEEEKPTATKPESSKAQPAVPAVKNVAMPVVNSKKSEVEDAGPSNGIAKRNKGKKASSSKDDDSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKACPNAPIGKALRQQNEDVKMEFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAMSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVIEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKKIRAAQGIKISAQGLEHAIAGTALYAVRPEEDIENLKDAVMEEMARVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDILEGIAKVGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFEMEDELVSHVTRRSIDLLKENYRDDLSMDDWKLVVKLKKILSIQ* >Brasy5G375600.1.p pacid=40074262 transcript=Brasy5G375600.1 locus=Brasy5G375600 ID=Brasy5G375600.1.v1.1 annot-version=v1.1 MFCMRSHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEAFGKAGASGFTFHIEVSRDNWKELIKSIKAKGMRPGVSLRPGTPVEDVFPLVEAENPVELVLIMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDAAASAGANCIVAGSSIFGAAEPGEVISALRKSVEVSQNKS* >Brasy5G465000.1.p pacid=40074263 transcript=Brasy5G465000.1 locus=Brasy5G465000 ID=Brasy5G465000.1.v1.1 annot-version=v1.1 MATRTSSSGEEEEEEELALAAAAPGFRFYPTEEELLGFYLRHRLASAAAATRPQLHRVIPVVDVYAHHPSQLRSMAGEASVRDAEQWFFFCPRAPERELRGGRPARTTPSGYWKATGSPSYVFSSSSSELIGVKRTMVFYHGRAPTGTKTRWKMNEYKALAQHDSDDPVAAAHLQPTTAPPKLRNEFSVCRVYISTGTLRSFDRRPLNPPGAGGHGQAQAQAYQHQSVPSAAGLDTNLARNTHDFGSSMIAAAAASYSDGLDFDMDF* >Brasy5G209500.1.p pacid=40074264 transcript=Brasy5G209500.1 locus=Brasy5G209500 ID=Brasy5G209500.1.v1.1 annot-version=v1.1 MDVSPWIRGAPASQCGMPPGRWGLIQSECNKFAGAQDHVKARPLSGVGVGDMAYQILEYFKVMYKKPFALIHCWQILKEAPKWQDLYLATKKSHGDGKKRDCNVIDLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKEFIDVQKRKLAIEEANAAATRTAAATALLAEETRIMTTDLSLMDEATRVWFLAKRKMIQERDALAPKPSEE* >Brasy5G115600.1.p pacid=40074265 transcript=Brasy5G115600.1 locus=Brasy5G115600 ID=Brasy5G115600.1.v1.1 annot-version=v1.1 MKNGLECKRTHAFRLERAKNYNLLFRSSDPESPPKTRGRHARGKRKSQTKVKAAAATTRMPMDAPRGGGGPARRTRPTAAASDPRRAASAREAMLRMEEMMLAHAGAAGEFSIIVDAPLPTLQRYRRNPTPQTAPASGSSSSSSPARRGVPQGAAAAARDEVPVRLRREGSATEAFSDVEAARSRHQAGVPNGDGGARRARPGRAHDGAPGEEEEEVEAPVRMRDPRSTRRESGRVSAPPARVVEEKPAVAAVAVEEETPLQQLARGGRSSSANRAVEATQEAEPLAERPTSRRSRREDAVSAIVPEPPAVEVETVGWRSSGGSEDGEEEAVALPKPLAAIVTGVRSRSNSPAISRNGVNSGAANRPQSTGRSSFAPPVGANVRPLQAVDMPNGTPRERRTIYPDPTFAQSTRSRDSHDSSTLTEEVEMLKDENVNLLEKLGLAEEKFRQSEARTKELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEITTLQQQLQSASEKAEVAERKLKEAESETKALRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKYEYWSSFAPLPLEYVTAAGQRAKDGSHHSGTNGLEETDMLVHDLTVTAGEGNIETMLAVDKGLKELAFLKVEDAVLIALAQHHRPNAAELPDPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLIYFWRRAKTHNVEDDIAEERLQMWIDRQDQQPTSHDAVDVEQGMHELRKLGIEQLLWEVSRQEVNTAKDELSDAEDDLT* >Brasy5G405700.1.p pacid=40074266 transcript=Brasy5G405700.1 locus=Brasy5G405700 ID=Brasy5G405700.1.v1.1 annot-version=v1.1 MTIIKRESSNTREIPIEILQEILLKLPTKDVARCCCVSRPWRAAVADPTFRDLHAASSAVASMADAAEVLLVSETEKSEPGRNGDHTEASIFNVASGNAMCHVAVPCSYRLANVCHGFLCFVHCAGARAPAVNDGHLFALGFSPATPRVYKLFRLSFPSYSYSSRELVHMDVYTLAPSDHQPGGGVGILVIDVRTETCRTYRLPDCSEAMVYKKELVATFELDGRLCFAAHILSQDLLMFIHFWVMTPSAPADLDKDVDGGNKRPPCWELLYRFEIEEPICAGRPWGVWFDKPSQTLCYMHNNILHKYDTRRRRSSPAPLISDQGRRPVLMRWDQRLQLPDPRLFPSECRWNICRGYRPTLLSPLTFALPPPSEEDGRVNGHRFEHALLRVLRCKRGISTRKKAMKGTE* >Brasy5G288200.1.p pacid=40074267 transcript=Brasy5G288200.1 locus=Brasy5G288200 ID=Brasy5G288200.1.v1.1 annot-version=v1.1 MVSFNIALRSKALWLSKVSGEFLAHDRSVSLSRSFFHAFYSSRVGNGLSILFWKDRWIDGECIEDMAPSLYSLIKPKAILSCTVASGLPMAAWVSDIRGGLSLIAIGQFLRLWERLSLMPPLS* >Brasy5G063200.1.p pacid=40074268 transcript=Brasy5G063200.1 locus=Brasy5G063200 ID=Brasy5G063200.1.v1.1 annot-version=v1.1 MCRVGFRWARRLNGRGPARPGFVVCLGREEAFWGRPGCPDITGLEGSGLDPFNRGRGPAQPPAPAPAAGAGQADLLPDAGLGADEHRARALRAVHGRENPPSTASNRPPPPTGGSSPAAASPTTSLPCSSAGSAPVEGLKPSSAAEEDLLRDLSSRLVRLDAFKNLLAVTKMSQLNAEKDQLLRKAEKKIAEDETTIAELVKKLAAFENGVGNLRTELVAERGRSAEQVTPAHDALVLTRSLCSVVDNIGDALGSHPAPFVASARGDEVLERLTWAAKSCHSAHEAIPLFGQDCAQAAAELMLSCLDLSGKQVGGVFSAEGYRLP* >Brasy5G137900.1.p pacid=40074269 transcript=Brasy5G137900.1 locus=Brasy5G137900 ID=Brasy5G137900.1.v1.1 annot-version=v1.1 MLDDLDAKICAPLLILLLRVLDHLDAKAGIFDYSSQLWCWPTWMLMLVFWLILPCCISVCIHLIYC* >Brasy5G460900.1.p pacid=40074270 transcript=Brasy5G460900.1 locus=Brasy5G460900 ID=Brasy5G460900.1.v1.1 annot-version=v1.1 MTGRGRGRRARTNPVISAEIWSPKLFGCQKMSILYDIFSKFNKFKLQLMAEISFHGLSEMPRILLNRQFSLWTLIHTMGDGDPIEFVYSDGTRVPMYPEHVKNILGLRAEGTHISLTEDNVTEGIVNEVRMLLGVQDLTVASVRAIVERSIDENSPKEQHHAFKISVIILSFALMLDCRDRDPRMPKYLFPYITDVEKLNELNYARCVLDCLGAAAMKVHEVKNEGYSTCTVGGCSIVSQIFYLDTIDFGQHKVQSSVFPRLKYYTKAKLDFLISMDKNTHSVNVSHWYGYYKVSSGT* >Brasy5G249800.1.p pacid=40074271 transcript=Brasy5G249800.1 locus=Brasy5G249800 ID=Brasy5G249800.1.v1.1 annot-version=v1.1 MAATSPTIARRKYSWWWDSHICPKNSKWLQLNLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLLDLDDDLPAETTSIEKDLQNPDLTSYFLSLFNASESKSLVKDDQDHEKLQKELASLSQENQDLKNRISSVLEQSNNAESEVLRLKEDLAQQEAEKEAAILQCQQSTARLENLKSEILHTQEQFSRLKEEMQTGLLPLSTANERFLMLERANQDLHLELEKLKRLLKQKHDELNEKQAELENVNISREEEHLKCMQAEMVNLSLEKQFLLAQDKLRHLVLEKQTEAIKIKDIETSNFMLQKELEKILEDNKRLNDQHNSSSAVITHLQDEIILMKNAQHRLKEEACRHVDEKKTLQHELSHLKEDRSDLERKHFSIKEQIESVNLNVESLHDLAQELRDGNFELKEVIKNHKSTELLHTENLRQLEKMSEKNEHLEKSLAAATTDLEGLREKKVALEESCKELNSKICSRQSERAVLVAQVEAISQTLEELLKKNVFLENSLSDANVELENLRTKLKELEESSEEVHNQNSVLGTEKRTLVCQVDNISGTLLNLEVQYTELERRHTVLQQEKDTVLDEVIRLQEQIRFERKEHKHASKTQFDDLQKQVSLLLEEGRNRGEQLEAEELKIAKAQVEIFILKQCLHDMAEANSDISAQLQKKKEVCKVQEEKLDCLSLHDEKLTEGIGSVLKVLHLDEKYESLDQMKPEIIVQLILHEIHSLFNTISDAQDVKQNELVEKSLVVTLLEHLRHEVADLRSERNILKQDQQEKSEELLQLQSERLEIMKISNEFWEEMEARNHRVDELRAEAKFLVGQLSELQDSRRSLQNEIIKLIQQNSFLSDELKDSREKQNMFEDDFSTLISDAVSKDILVVIFRNLHEERALQLKTLHNDFACIQAAGSELCQDISMLNKNLGDIEIENNHHGKDLNGTMSIRDLSGAENASEKRNPAWRDNNLVSSGKIRQDYHVSMEVEKHKDVDNSGLGKSNEMLQEEVLNLKGKVEVLRSKDKTLIDIKSCDEEIKKLTSNMQMAIMNAALFKEKVLELIITCESFEISSMVQKEVLKEDITRRNSYVDELKDKLNAIENENRRLKVDLNGDFTMLGSLQAEVSTLEKQTLSLANDCLQSNKLRIEENALSPEPLKTMVSSDHNAMKMVKEMELQKLHGTIKALQKMVTDAGVLLEQERLDFSANLQEARKQIEVLKLKQILDDDLIEMNYEKMLKDIQLDLIQTCSGRIVDSVGQEKKNVAPADDKVHDIRGIVGPSSDHIHEDLRPPQSESLERDNSKQSPADLVVVKELGVDKQELPRSITREPHQEWKNKVIERLSSDAQRLNTLQSSIQDLKTNTEASEELELESVRYQIREAEGTIIQLIDTNSKLSKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLELEMQKVHQALLKYEEQSSSRSSKTTQWRSKVQLVEYLYGRRPDSRKQKRCSPCGCMRAKTIDD* >Brasy5G249800.2.p pacid=40074272 transcript=Brasy5G249800.2 locus=Brasy5G249800 ID=Brasy5G249800.2.v1.1 annot-version=v1.1 MAATSPTIARRKYSWWWDSHICPKNSKWLQLNLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLLDLDDDLPAETTSIEKDLQNPDLTSYFLSLFNASESKSLVKDDQDHEKLQKELASLSQENQDLKNRISSVLEQSNNAESEVLRLKEDLAQQEAEKEAAILQCQQSTARLENLKSEILHTQEQFSRLKEEMQTGLLPLSTANERFLMLERANQDLHLELEKLKRLLKQKHDELNEKQAELENVNISREEEHLKCMQAEMVNLSLEKQFLLAQDKLRHLVLEKQTEAIKIKDIETSNFMLQKELEKILEDNKRLNDQHNSSSAVITHLQDEIILMKNAQHRLKEEACRHVDEKKTLQHELSHLKEDRSDLERKHFSIKEQIESVNLNVESLHDLAQELRDGNFELKEVIKNHKSTELLHTENLRQLEKMSEKNEHLEKSLAAATTDLEGLREKKVALEESCKELNSKICSRQSERAVLVAQVEAISQTLEELLKKNVFLENSLSDANVELENLRTKLKELEESSEEVHNQNSVLGTEKRTLVCQVDNISGTLLNLEVQYTELERRHTVLQQEKDTVLDEVIRLQEQIRFERKEHKHASKTQFDDLQKQVSLLLEEGRNRGEQLEAEELKIAKAQVEIFILKQCLHDMAEANSDISAQLQKKKEVCKVQEEKLDCLSLHDEKLTEGIGSVLKVLHLDEKYESLDQMKPEIIVQLILHEIHSLFNTISDAQDVKQNELVEKSLVVTLLEHLRHEVADLRSERNILKQDQQEKSEELLQLQSERLEIMKISNEFWEEMEARNHRVDELRAEAKFLVGQLSELQDSRRSLQNEIIKLIQQNSFLSDELKDSREKQNMFEDDFSTLISDAVSKDILVVIFRNLHEERALQLKTLHNDFACIQAAGSELCQDISMLNKNLGDIEIENNHHGKDLNGTMSIRDLSGAENASEKRNPAWRDNNLVSSGKIRQDYHVSMEVEKHKDVDNSGLGKSNEMLQEEVLNLKGKVEVLRSKDKTLIDIKSCDEEIKKLTSNMQMAIMNAALFKEKVLELIITCESFEISSMVQKEVLKEDITRRNSYVDELKDKLNAIENENRRLKVDLNGDFTMLGSLQAEVSTLEKQTLSLANDCLQSNKLRIEENALSPEPLKTMVSSDHNAMKMVKEMELQKLHGTIKALQKMVTDAGVLLEQERLDFSANLQEARKQIEVLKLKQILDDDLIEMNYEKMLKDIQLDLIQTCSGRIVDSVGQEKKNVAPADDKVHDIRGIVGPSSDHIHEDLRPPQSESLERDNSKQSPADLVVVKELGVDKQELPRSITREPHQEWKNKVIERLSSDAQRLNTLQSSIQDLKTNTEASEELELESVRYQIREAEGTIIQLIDTNSKLSKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLELEMQKVHQALLKYEEQSSSRSSKTTQWRSKVQLVEYLYGRRPDSRKQKRCSPCGCMRAKTIDD* >Brasy5G249800.3.p pacid=40074273 transcript=Brasy5G249800.3 locus=Brasy5G249800 ID=Brasy5G249800.3.v1.1 annot-version=v1.1 MAATSPTIARRKYSWWWDSHICPKNSKWLQLNLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLLDLDDDLPAETTSIEKDLQNPDLTSYFLSLFNASESKSLVKDDQDHEKLQKELASLSQENQDLKNRISSVLEQSNNAESEVLRLKEDLAQQEAEKEAAILQCQQSTARLENLKSEILHTQEQFSRLKEEMQTGLLPLSTANERFLMLERANQDLHLELEKLKRLLKQKHDELNEKQAELENVNISREEEHLKCMQAEMVNLSLEKQFLLAQDKLRHLVLEKQTEAIKIKDIETSNFMLQKELEKILEDNKRLNDQHNSSSAVITHLQDEIILMKNAQHRLKEEACRHVDEKKTLQHELSHLKEDRSDLERKHFSIKEQIESVNLNVESLHDLAQELRDGNFELKEVIKNHKSTELLHTENLRQLEKMSEKNEHLEKSLAAATTDLEGLREKKVALEESCKELNSKICSRQSERAVLVAQVEAISQTLEELLKKNVFLENSLSDANVELENLRTKLKELEESSEEVHNQNSVLGTEKRTLVCQVDNISGTLLNLEVQYTELERRHTVLQQEKDTVLDEVIRLQEQIRFERKEHKHASKTQFDDLQKQVSLLLEEGRNRGEQLEAEELKIAKAQVEIFILKQCLHDMAEANSDISAQLQKKKEVCKVQEEKLDCLSLHDEKLTEGIGSVLKVLHLDEKYESLDQMKPEIIVQLILHEIHSLFNTISDAQDVKQNELVEKSLVVTLLEHLRHEVADLRSERNILKQDQQEKSEELLQLQSERLEIMKISNEFWEEMEARNHRVDELRAEAKFLVGQLSELQDSRRSLQNEIIKLIQQNSFLSDELKDSREKQNMFEDDFSTLISDAVSKDILVVIFRNLHEERALQLKTLHNDFACIQAAGSELCQDISMLNKNLGDIEIENNHHGKDLNGTMSIRDLSGAENASEKRNPAWRDNNLVSSGKIRQDYHVSMEVEKHKDVDNSGLGKSNEMLQEEVLNLKGKVEVLRSKDKTLIDIKSCDEEIKKLTSNMQMAIMNAALFKEKVLELIITCESFEISSMVQKEVLKEDITRRNSYVDELKDKLNAIENENRRLKVDLNGDFTMLGSLQAEVSTLEKQTLSLANDCLQSNKLRIEENALSPEPLKTMVSSDHNAMKMVKEMELQKLHGTIKALQKMVTDAGVLLEQERLDFSANLQEARKQIEVLKLKQILDDDLIEMNYEKMLKDIQLDLIQTCSGRIVDSVGQEKKNVAPADDKVHDIRGIVGPSSDHIHEDLRPPQSESLERDNSKQSPADLVVVKELGVDKQELPRSITREPHQEWKNKVIERLSSDAQRLNTLQSSIQDLKTNTEASEELELESVRYQIREAEGTIIQLIDTNSKLSKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLELEMQKVHQALLKYEEQSSSRSSKTTQWRSKVQLVEYLYGRRPDSRKQKRCSPCGCMRAKTIDD* >Brasy5G249800.4.p pacid=40074274 transcript=Brasy5G249800.4 locus=Brasy5G249800 ID=Brasy5G249800.4.v1.1 annot-version=v1.1 MAATSPTIARRKYSWWWDSHICPKNSKWLQLNLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLLDLDDDLPAETTSIEKDLQNPDLTSYFLSLFNASESKSLVKDDQDHEKLQKELASLSQENQDLKNRISSVLEQSNNAESEVLRLKEDLAQQEAEKEAAILQCQQSTARLENLKSEILHTQEQFSRLKEEMQTGLLPLSTANERFLMLERANQDLHLELEKLKRLLKQKHDELNEKQAELENVNISREEEHLKCMQAEMVNLSLEKQFLLAQDKLRHLVLEKQTEAIKIKDIETSNFMLQKELEKILEDNKRLNDQHNSSSAVITHLQDEIILMKNAQHRLKEEACRHVDEKKTLQHELSHLKEDRSDLERKHFSIKEQIESVNLNVESLHDLAQELRDGNFELKEVIKNHKSTELLHTENLRQLEKMSEKNEHLEKSLAAATTDLEGLREKKVALEESCKELNSKICSRQSERAVLVAQVEAISQTLEELLKKNVFLENSLSDANVELENLRTKLKELEESSEEVHNQNSVLGTEKRTLVCQVDNISGTLLNLEVQYTELERRHTVLQQEKDTVLDEVIRLQEQIRFERKEHKHASKTQFDDLQKQVSLLLEEGRNRGEQLEAEELKIAKAQVEIFILKQCLHDMAEANSDISAQLQKKKEVCKVQEEKLDCLSLHDEKLTEGIGSVLKVLHLDEKYESLDQMKPEIIVQLILHEIHSLFNTISDAQDVKQNELVEKSLVVTLLEHLRHEVADLRSERNILKQDQQEKSEELLQLQSERLEIMKISNEFWEEMEARNHRVDELRAEAKFLVGQLSELQDSRRSLQNEIIKLIQQNSFLSDELKDSREKQNMFEDDFSTLISDAVSKDILVVIFRNLHEERALQLKTLHNDFACIQAAGSELCQDISMLNKNLGDIEIENNHHGKDLNGTMSIRDLSGAENASEKRNPAWRDNNLVSSGKIRQDYHVSMEVEKHKDVDNSGLGKSNEMLQEEVLNLKGKVEVLRSKDKTLIDIKSCDEEIKKLTSNMQMAIMNAALFKEKVLELIITCESFEISSMVQKEVLKEDITRRNSYVDELKDKLNAIENENRRLKVDLNGDFTMLGSLQAEVSTLEKQTLSLANDCLQSNKLRIEENALSPEPLKTMVSSDHNAMKMVKEMELQKLHGTIKALQKMVTDAGVLLEQERLDFSANLQEARKQIEVLKLKQILDDDLIEMNYEKMLKDIQLDLIQTCSGRIVDSVGQEKKNVAPADDKVHDIRGIVGPSSDHIHEDLRPPQSESLERDNSKQSPADLVVVKELGVDKQELPRSITREPHQEWKNKVIERLSSDAQRLNTLQSSIQDLKTNTEASEELELESVRYQIREAEGTIIQLIDTNSKLSKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLELEMQKVHQALLKYEEQSSSRSSKTTQWRSKVQLVEYLYGRRPDSRKQKRCSPCGCMRAKTIDD* >Brasy5G249800.5.p pacid=40074275 transcript=Brasy5G249800.5 locus=Brasy5G249800 ID=Brasy5G249800.5.v1.1 annot-version=v1.1 MAATSPTIARRKYSWWWDSHICPKNSKWLQLNLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLLDLDDDLPAETTSIEKDLQNPDLTSYFLSLFNASESKSLVKDDQDHEKLQKELASLSQENQDLKNRISSVLEQSNNAESEVLRLKEDLAQQEAEKEAAILQCQQSTARLENLKSEILHTQEQFSRLKEEMQTGLLPLSTANERFLMLERANQDLHLELEKLKRLLKQKHDELNEKQAELENVNISREEEHLKCMQAEMVNLSLEKQFLLAQDKLRHLVLEKQTEAIKIKDIETSNFMLQKELEKILEDNKRLNDQHNSSSAVITHLQDEIILMKNAQHRLKEEACRHVDEKKTLQHELSHLKEDRSDLERKHFSIKEQIESVNLNVESLHDLAQELRDGNFELKEVIKNHKSTELLHTENLRQLEKMSEKNEHLEKSLAAATTDLEGLREKKVALEESCKELNSKICSRQSERAVLVAQVEAISQTLEELLKKNVFLENSLSDANVELENLRTKLKELEESSEEVHNQNSVLGTEKRTLVCQVDNISGTLLNLEVQYTELERRHTVLQQEKDTVLDEVIRLQEQIRFERKEHKHASKTQFDDLQKQVSLLLEEGRNRGEQLEAEELKIAKAQVEIFILKQCLHDMAEANSDISAQLQKKKEVCKVQEEKLDCLSLHDEKLTEGIGSVLKVLHLDEKYESLDQMKPEIIVQLILHEIHSLFNTISDAQDVKQNELVEKSLVVTLLEHLRHEVADLRSERNILKQDQQEKSEELLQLQSERLEIMKISNEFWEEMEARNHRVDELRAEAKFLVGQLSELQDSRRSLQNEIIKLIQQNSFLSDELKDSREKQNMFEDDFSTLISDAVSKDILVVIFRNLHEERALQLKTLHNDFACIQAAGSELCQDISMLNKNLGDIEIENNHHGKDLNGTMSIRDLSGAENASEKRNPAWRDNNLVSSGKIRQDYHVSMEVEKHKDVDNSGLGKSNEMLQEEVLNLKGKVEVLRSKDKTLIDIKSCDEEIKKLTSNMQMAIMNAALFKEKVLELIITCESFEISSMVQKEVLKEDITRRNSYVDELKDKLNAIENENRRLKVDLNGDFTMLGSLQAEVSTLEKQTLSLANDCLQSNKLRIEENALSPEPLKTMVSSDHNAMKMVKEMELQKLHGTIKALQKMVTDAGVLLEQERLDFSANLQEARKQIEVLKLKQILDDDLIEMNYEKMLKDIQLDLIQTCSGRIVDSVGQEKKNVAPADDKVHDIRGIVGPSSDHIHEDLRPPQSESLERDNSKQSPADLVVVKELGVDKQELPRSITREPHQEWKNKVIERLSSDAQRLNTLQSSIQDLKTNTEASEELELESVRYQIREAEGTIIQLIDTNSKLSKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLELEMQKVHQALLKYEEQSSSRSSKTTQWRSKVQLVEYLYGRRPDSRKQKRCSPCGCMRAKTIDD* >Brasy5G090700.1.p pacid=40074276 transcript=Brasy5G090700.1 locus=Brasy5G090700 ID=Brasy5G090700.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSAFCLPHPAHLFFFVCLISLASPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSLPGLLSPFFFPTAGAWPRRGTRAAVGRGAAARRGLRWREALRLGEGRRGEALRRLWTAASGRGAAAAGRGARGSRWRARRRALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARWRRAAGGQLRRLPVRAAAAPASSGSARRGEEHGAVAATQSRRRGSSGSVGQGGEAATASGGVKQQPDFFCFFLILRFSLPAAFYGLRQRHWPAVFLRVGR* >Brasy5G358700.1.p pacid=40074277 transcript=Brasy5G358700.1 locus=Brasy5G358700 ID=Brasy5G358700.1.v1.1 annot-version=v1.1 MAFVAFHARLLVFRPSLAAASSASSSLHSTPFPAGIPRLSTAAGNRCSLRHRRRPGRARAAAITASLDLTEDNVRQAIVDAKAELAQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDENQLDDSPAAY* >Brasy5G358700.2.p pacid=40074278 transcript=Brasy5G358700.2 locus=Brasy5G358700 ID=Brasy5G358700.2.v1.1 annot-version=v1.1 MAFVAFHARLLVFRPSLAAASSASSSLHSTPFPAGIPRLSTAAGNRCSLRHRRRPGRARAAAITASLDLTEDNVRQAIVDAKAELAQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDENQLDDSPAAY* >Brasy5G477100.1.p pacid=40074279 transcript=Brasy5G477100.1 locus=Brasy5G477100 ID=Brasy5G477100.1.v1.1 annot-version=v1.1 MSKEEVLKIQTCVFKVNIHCDGCHKKVKKVLSKIDGVYQSSVDPEQGKVTVSGLLDPDTIIRKLGKAGKPAVLWGSKPGAGAGAAAVSAGQFQKLKPDKGKPQQKDAGGKGKGQQPKDANGKSCGAKGAKTQQPIHQQMKGAPTPQQLQQLQMQMQMKGMKLPPQFMDAAAAAKMPFAAHGTAPAAAAAMAPKDPRTVRFSLPGDDGWGDEDDDDLGGSEFDDDGFDDDEDFEDDDDPKVMTKHVAPPPHAAGGGGGKKGGGGGNEVPAAQFKGNNGGKKDAAGKGQHQGGGGQPNNAKGGGGGGGAAPAQGKKAGGPMGPMPMLPQQQQQAQPRPPNTMGAGVGVGFPGMGQMGQHPHMGPRMPPPAAFYQGGGNGAGGGGAEMLQAAGNPIAQQQYLQLIQQQQQMAALMQQQQQMMMTNNGGGHGHGGGAAGYPTAAMMGYGGGYGRPPAPMMMPSYPAPMMMPSYPAPPPPPPHGEPYNYFSDEDTASSCSVM* >Brasy5G479100.1.p pacid=40074280 transcript=Brasy5G479100.1 locus=Brasy5G479100 ID=Brasy5G479100.1.v1.1 annot-version=v1.1 MAAAAGTVRKLVVEVVEARDLLPKDGTGTSSPYARADFDGQRRKTRTVVRDLNPVWNEPLEFTFPSSGAGVLDPEGGGEPLEVSVFHDLRVGPSRRSNFLGRVRLDARRFFVRKGEEALIFFPLEKKSFFGWVRGEIGLKVYYVDEPAPAPAPAPVAPEPEPEPNAGADPPNPAAPDAAEAAAAEESQPPAPDAAAPATADSPLVQTEDAEAPEAALPAGEEACADKPPEADTAANVDAPAVMTSEAVPASSAEPAAETPPPLPMPRQVPLPARPAPPPVEVLPVEPSKHDLVDKMPYLFIGTFN* >Brasy5G167500.1.p pacid=40074281 transcript=Brasy5G167500.1 locus=Brasy5G167500 ID=Brasy5G167500.1.v1.1 annot-version=v1.1 MWILVTKVRSAMQGNIWPTIFTDSRFSAMTRDALCALHVTPRQSQCLEDDIFRHEANAPEGSWSWDLRQRRAC* >Brasy5G315700.1.p pacid=40074282 transcript=Brasy5G315700.1 locus=Brasy5G315700 ID=Brasy5G315700.1.v1.1 annot-version=v1.1 MNELGVSLSSGMFPYAFGLFARMYPAGWKRRMDNYLSLGWTEEQVKQAFVRHPYCMSVSVDKLRRIWHLFANKLGWSPEYVSGSPMILSLSYEKRLVPRCEVLDILVSKGVIRRIRMSHLMLGEKKFMEKYVSNYQEAIPQVLEAYGAGITSAVTVK* >Brasy5G189000.1.p pacid=40074283 transcript=Brasy5G189000.1 locus=Brasy5G189000 ID=Brasy5G189000.1.v1.1 annot-version=v1.1 MRQRSLPLSTMPETQKLLSDQSVEKLLAVAAEDARLRRHLLGLLIRHAFNLYSVVTIAGYIFIVLAAFNCFSLWQAVVAVCFATPYFVMMLVGSHVLKEFYIAKYANSAV* >Brasy5G467100.1.p pacid=40074284 transcript=Brasy5G467100.1 locus=Brasy5G467100 ID=Brasy5G467100.1.v1.1 annot-version=v1.1 MAAASAAARNLAGDPPGSTLRRIGNDDCGGGGYDRDDSLKENTNPKCTIAVKSSHPGGGGGNSQRFSGNLKPTAAPIIGISGKFSVGGGGQGCARRQRPPAMFPRKARTGGGGRNPKPAVPEPGSPKVSCIGKVLSASGRERGTEKTRAAGGGGCGCWGGFSIRRSRSRKSAVESVDWSPAPGLPRAYVARRSEAKEAEALPPSPPPPALGGIRRFASGRRGADWTAGTEVSGRVARSGPL* >Brasy5G199600.1.p pacid=40074285 transcript=Brasy5G199600.1 locus=Brasy5G199600 ID=Brasy5G199600.1.v1.1 annot-version=v1.1 MYDADDIVDSARFEGSKLLKDRKSASSKNSTAGCGISLLSCFPVIQRRHEIAVKIRDLNDRVEQLSKHGNSFLHPGVRPTGQGSTSKRRENSKLVQPKLVGKEIMHSSKKLVDLVLAGKEQKDYKLAIVGTGGVGKTTLAQKIYNDQKIKPVFEKQAWVCVSQECNEVNLLKEILRNIGVYRDQGETIAELQNRIAETIEGKSFFLVLDDVWKSSVIDLIEAPIYVAASSVILVTTRDDRIAMDIHAEHTHRVNLMSEEVGWELLWKSMNIDEENEVQNLRNTGIQIIQKCGYLPLAIKVIARVLTSKDKTEYEWKKILSKISASSEGKLHDDIEGALYLSYNELPHHLKQCFLYCALYPEDSTINRDDLVRLWVAEGFVEEQEGQLLEETGEGYYYELIHRNLLQPDGSTFDHSRCKMHDLLRQLASYLSRDECFTGDPEALEGQSMTKLRRISAVTKKDMLVFPTMDKENLKVRTLLRSFYGVSQGVDHSLFKKLLRLHVLDLTGSSIQTIPDCIANLIHLRLLDLDGTEISCLSESIGSLINLQILNLQRCDALHSLPSTITQLCNLRRLGLKGTPINQVPEGIGRLAFLNDLEGFPIGGGTGCTKSQDGWKLEELGHLLQLRRIVMIKLERATTCSTESLLTDKKYLKILDLTCTKHPVESYSEEDVGNIENIFEQIIPPHNLEDLTIDYFFGQRFPSWLGTTHLVSVKYLKLIDCNSCVHLPPLWQLPNLKYLKIDGAAAVTKIGPEFVGCRGANPRSTVAVAFPKLEWLVMKDMPNWEEWSFVEEGDAAAASTKGEEDGSAEIRKGEAPSPRLQVLPRLKKLDLVGCPKLRALPRQLGQEATCLEELQLIGASNLKVVEDLPFLSEALTIFGCDGLERVSNVPQVMDLRISRCPKLMCVEGLGNLQQLWLDEGMLDISSMWVPGLQEQHRKFSI* >Brasy5G158600.1.p pacid=40074286 transcript=Brasy5G158600.1 locus=Brasy5G158600 ID=Brasy5G158600.1.v1.1 annot-version=v1.1 MRTAAASGARAFLLAIDRLFKLDNVTHMASVELWVLLTTLLLVIRFLLDFFGPWYTDRRMMAVILTMETLNHNLVVYTMGLMQLSGDRVNDYFQTWAVLLVTLQYSVKIGRPYTRSKQIPLLDLMSSFWSANLLRVQTFFLLRIPLWLIWSLNAARIILFFVSSSKAETINQESMGLVSDYMRSEEALSADDDQAMVSSADNDEMKEFDMRGYKYLVHGEHLVLKEVEAAPQEAASCFNKGTPEESEQLDQSYRIQLRPDQEHKKKLVTIENIWNDSGESGLLGGTADSDNHLKDICLSFALYKLLRRRFYDLPMHELARPRGEKKISSLVFNYILGKDSERAFRITEVELSFLQDLFYSKQATMFAGGFLIPVMSLLLSLLLAAATGYIAYPVRYIPERMDQADRNRITHGVFITRVIVIIIVAKELAEIYLYVFSKWAKVLMLCKYVQHRCLWNPVVETAMKLLPCFSSKARWKQSIGQQNLLVSSRAVSPFTENIICFGRGRIPGRIILKDYTKNAILDSLKSLKRDRQKLGKYFENTFGSNQKLAWPSDELKVDTHIILIWHIATCLCEIKFFDEVKKLKALQPVRCLRPFVGEPGAGTLPKKEPKGPGIPDELQGAKPNSVWCEQYETAVTLSNYCAYLVTRAHRQEGPRRGNPRNRPCHFRCHREPLFVPV* >Brasy5G020400.1.p pacid=40074287 transcript=Brasy5G020400.1 locus=Brasy5G020400 ID=Brasy5G020400.1.v1.1 annot-version=v1.1 MAAFLRSKCSSVGRTLMGSLGNNLYGGVNSSVESVTRPSHCDAITQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGEVVYGVVVRAAMKKGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV* >Brasy5G520300.1.p pacid=40074288 transcript=Brasy5G520300.1 locus=Brasy5G520300 ID=Brasy5G520300.1.v1.1 annot-version=v1.1 MGSYAYRYCMCFTRKFRSPDAHPPPDVRAAHAAAASADEGPGLRRFLADVQREDPSEADRILAALAGGGGGGIARLVGRSPALPSLDDFFGFLFSPDLNPPIPDKVHHDMSAPISHYYVFTGHNSYLTGNQLNSDSSDIPIIRALQRGVRVIELDMWPNSAKNCVEILHGGTLTAPVEIIKCLKSIKEHAFCASTYPLVITLEDHLTADLQAKVAEMITETFGDLLYVPSSDALNEFPSPEALMKRIIISTKPPQEFREFLKAQGNQNNSGNTANLADEGALRRLDSNADDSDGKDDLDEEDDEESEEEDSKFQPDTACEYRKLITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLTKATASHGADIIRFTQKNILRVYPKGTRINSSNYDPINAWTHGAQMVAFNMQGHDKSLRLMQGFFRANGGCGYVKKPDFLLKTGPNGEVFDPKANLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYARVGIAGVKADCVMKKTKTIEDQWVPVWDEEFTFPLTVPELALLRVEVQEYDMSEKHDFGGQTCLPVMELKQGIRAVPLHDRKGNRYKSVRLLMRFDLI* >Brasy5G520300.2.p pacid=40074289 transcript=Brasy5G520300.2 locus=Brasy5G520300 ID=Brasy5G520300.2.v1.1 annot-version=v1.1 MNPTRWSCCPAVADNSDTYSLLCMTLTAPVEIIKCLKSIKEHAFCASTYPLVITLEDHLTADLQAKVAEMITETFGDLLYVPSSDALNEFPSPEALMKRIIISTKPPQEFREFLKAQGNQNNSGNTANLADEGALRRLDSNADDSDGKDDLDEEDDEESEEEDSKFQPDTACEYRKLITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLTKATASHGADIIRFTQKNILRVYPKGTRINSSNYDPINAWTHGAQMVAFNMQGHDKSLRLMQGFFRANGGCGYVKKPDFLLKTGPNGEVFDPKANLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYARVGIAGVKADCVMKKTKTIEDQWVPVWDEEFTFPLTVPELALLRVEVQEYDMSEKHDFGGQTCLPVMELKQGIRAVPLHDRKGNRYKSVRLLMRFDLI* >Brasy5G265900.1.p pacid=40074290 transcript=Brasy5G265900.1 locus=Brasy5G265900 ID=Brasy5G265900.1.v1.1 annot-version=v1.1 MATCRRSWSDIPSGLAGLVLLRLPAHSDRIRFAAVCRRWCISAKQQHLPPPLPWFILPGGTFFSLPHSESFQIPNGAEFHSSCGEWLVFSGDYTCSLVNTTTKVTLALPDLYEFDLIDEPDEVINGHCIPYTLLDQNKTMSIYKLIVCSRLLVAAIVDLGDLQTLALCRPGGDLWFVSALGCDRSLEDIIHHEGKLYTADGWRNLYVIDVKEDSESSTLIMSRIECIIDGPPFPFRELPHCLIIFNLYLVESRGALLLVRGTFFADKEWESGPRVSRIEFEVFEANLQLLQWVQMTGVGDDWALFIGPRCSKSVCVSQYKVKGNSIFFLDDGNCSLYWDHAPSAPSSYAIYDMSDGTVRSPLPNGSFKGKKAPPTWHFPPGSDGSAPVTCRSD* >Brasy5G265900.2.p pacid=40074291 transcript=Brasy5G265900.2 locus=Brasy5G265900 ID=Brasy5G265900.2.v1.1 annot-version=v1.1 MATCRRSWSDIPSGLAGLVLLRLPAHSDRIRFAAVCRRWCISAKQQHLPPPLPWFILPGGTFFSLPHSESFQIPNGAEFHSSCGEWLVFSGDYTCSLVNTTTKVTLALPDLYEFDLIDEPDEVINGHCIPYTLLDQNKTMSIYKLIVCSRLLVAAIVDLGDLQTLALCRPGGDLWFVSALGCDRSLEDIIHHEGKLYTADGWRNLYVIDVKEDSESSTLIMSRIECIIDGPPFPFRELPHCLIIFNLYLVESRGALLLVRGTFFADKEWESGPRVSRIEFEVFEANLQLLQWVQMTGVGDDWALFIGPRCSKSVCVSQYKVKGNSIFFLDDGNCSLYWDHAPSAPSSYAIYDMSDGTVRSPLPNGSFKGKKAPPTWHFPPGSDGSAPVTCRSD* >Brasy5G022300.1.p pacid=40074292 transcript=Brasy5G022300.1 locus=Brasy5G022300 ID=Brasy5G022300.1.v1.1 annot-version=v1.1 MGRQRRSSAGEQSVEPDSRTVTRSRSRAAGSATSPQSEMAPTVTQEESSTASKRPRISSAPRRRRRGITGADGGARVVTPASTAAEEFPTPASTVGVEEVEVVPPRSVAPAASDSMEAATAFVPNSPVRKPYLVEYDSNGQPLPEPSVWKDPELSRAYLNANQQYRERIDEQYGFALISVPMDPAETLPRFCNELIFSEDIFLLGRDRWDLQIENSEVMNKGAGSYRHHHYMYFDAVVSGCCFGGAVINLEGVVIGLIASAIEFIPSSTILKCLHLWRTFNCIPRIHLGMKLFGIKCLNLMSKEKISRKYHIDAGLIVVEVSGGSNAENHGVRIGDIIQAVNRECIATAIQLENMLLDTCKGYLEKGIGCDSDKDVVLVLDVFNTTKRLPGRIHLTAKLSRGVEFIERGKYEVSMKTCSTSI* >Brasy5G368500.1.p pacid=40074293 transcript=Brasy5G368500.1 locus=Brasy5G368500 ID=Brasy5G368500.1.v1.1 annot-version=v1.1 MRIPRLLASAAALLLLLFVAGAAAQEAVVAGDVEPEEIAAKARAKEELVLAAELGQLRAKLSALESSITAQTQELKSKDDGIETLEKVIGEKSQNIATLQSEIASLQSKGSIAANEQAGKANARAIELEKQIEKLKKDIAAQNNKKATMEARASDAEKKVQDLSAKLERLQKTSGEQKRRIKKTEHALKVAEEELMRVQLETTTKSEQLGEVHGAWLPPWLATHAARSMELMSSRWNEHGKPAFNSFLQKASEKSVQAKEWAKPHIETAKAKYIPFIKEKWAIAKTNAEPYLQMVSAKSVEVYQVSRDAISPHVVKAHEFADPYFQEAKKLSKPYIDQVAKTSKPHVDKLRTTLKPYTEKAGHAYETLLETATLYHQQAQATILDYMHQHELLKQYATGELVWFLAFAWLLMPVYVFYILVTEIFCTRKQKKSPRNDKANHGHRRHKRRHADK* >Brasy5G368500.3.p pacid=40074294 transcript=Brasy5G368500.3 locus=Brasy5G368500 ID=Brasy5G368500.3.v1.1 annot-version=v1.1 MRIPRLLASAAALLLLLFVAGAAAQEAVVAGDVEPEEIAAKARAKEELVLAAELGQLRAKLSALESSITAQTQELKSKDDGIETLEKVIGEKSQNIATLQSEIASLQSKGSIAANEQAGKANARAIELEKQIEKLKKDIAAQNNKKATMEARASDAEKKVQDLSAKLERLQKTSGEQKRRIKKTEHALKVAEEELMRVQLETTTKSEQLGEVHGAWLPPWLATHAARSMELMSSRWNEHGKPAFNSFLQKASEKSVQAKEWAKPHIETAKAKYIPFIKEKWAIAKTNAEPYLQMVSAKSVEVYQVSRDAISPHVVKAHEFADPYFQEAKKLSKPYIDQVAKTSKPHVDKLRTTLKPYTEKAGHAYETLLETATLYHQQAQATILDYMHQHELLKQYATGELVWFLAFAWLLMPVYVFYILVTEIFCTRKQKKSPRNDKANHGHRRHKRRHADK* >Brasy5G368500.2.p pacid=40074295 transcript=Brasy5G368500.2 locus=Brasy5G368500 ID=Brasy5G368500.2.v1.1 annot-version=v1.1 MRIPRLLASAAALLLLLFVAGAAAQEAVVAGDVEPEEIAAKARAKEELVLAAELGQLRAKLSALESSITAQTQELKSKDDGIETLEKVIGEKSQNIATLQSEIASLQSKGSIAANEQAGKANARAIELEKQIEKLKKDIAAQNNKKATMEARASDAEKKVQDLSAKLERLQKTSGEQKRRIKKTEHALKVAEEELMRVQLETTTKSEQLGEVHGAWLPPWLATHAARSMELMSSRWNEHGKPAFNSFLQKASEKSVQAKEWAKPHIETAKAKYIPFIKEKWAIAKTNAEPYLQMVSAKSVEVYQVSRDAISPHVVKAHEFADPYFQEAKKLSKPYIDQVAKTSKPHVDKLRTTLKPYTEKAGHAYETLLETATLYHQQHPEAEEIPTE* >Brasy5G028700.1.p pacid=40074296 transcript=Brasy5G028700.1 locus=Brasy5G028700 ID=Brasy5G028700.1.v1.1 annot-version=v1.1 MNRLRNVLLRRCKSLSRSGSRHSRPSASSSSYSNLRSMSARDDIIAAAAEVDDDEDAAAASFAVADDAVVVFVGSSRRRYVISAEQLGHPLIAALIDGGGGEKAVKCEVVLFDHLLWMLDNAAGAEDDDEDAVRELAQLYAC* >Brasy5G455300.1.p pacid=40074297 transcript=Brasy5G455300.1 locus=Brasy5G455300 ID=Brasy5G455300.1.v1.1 annot-version=v1.1 MLREGRALRERRRITGGGLVARTLSVRIQPPPRAHQTLASSRATQVVGVVVGKGCLKRPAGGSSGGGSGPSKKVSFVLEPQVRVISPRAVVRARGRLKGGKRVPARVGSAVVAGDDATVRRSRRNVVNLGAGVELEQVTVAVSNSAEAEEDGVGEAFDRKRRREIGESSEHMAVRAQMGVPRRITRSSGLLLAAPFVWSPVVEKKRRRKNVADEAEQTCVEDEQLAQVQCLGTAINSEAVTAQEDEPAAQKVAKVEDALVLPIFVENKTGMKIEDAHSDGKTLEMPKPDAPVPIALRDKAVQGNNIVVEETHFGKELQNGRQPSRPATRRNRHQQLAFSVEKEYQEHVTAPHKGPPLRRSRRTLSEFNGLLLNNNRTSERNNCTRAPVESNGLKIARSSMHNTAKEDDQYGRAKGCAGAEEQMIGVVARKGCLKPLGCGARSGNSNAAKKVTFVLVEQAEAEGMEFARRPLVMWSPIASRTRCRRKTRMILHGAEASRGEHQLMHVQVGGHSVTEGDAQEVASDAPLRWSRRNAVDCGAGDVVQKVTGAVSRGNSTRADKEEGDVGEAVNRKQKTSENAEDLVISAQVGFSRILSPASFLYSLFLRRT* >Brasy5G027600.1.p pacid=40074298 transcript=Brasy5G027600.1 locus=Brasy5G027600 ID=Brasy5G027600.1.v1.1 annot-version=v1.1 MRPLDEKETTQVFEKLFKFTGPNLKHLLERPSVEGPDPEPGRYCLRLHKNPSESLVRRATAVSRARLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSALARITENTKSGDGVVVMSMADVPLGFGVAARGAQDCRKADTNAVVVLHQSDAGEYLRKEEELM* >Brasy5G401000.1.p pacid=40074299 transcript=Brasy5G401000.1 locus=Brasy5G401000 ID=Brasy5G401000.1.v1.1 annot-version=v1.1 MPTAAQNKPRKKARVSCDRVYCSSLCPPVPLSALPSLIEANGRSVRPQTPRGDANRRRPAMAARRGPHVISLHDAAPALLGRAPQHPSASARDESSPGVLAHHPRGGGGIAQHPAVAALEDRIVDRDRDIQEFLVDNQRFAATHVALQQQLISAQHELRAVSYAGTKARSEREAEVFAIADQTARIEAEARTVAGARAEVEQVHADVRVLAASRSELMDRLNALREQLGRAQAESAKADNVRAQLETMRREIQKGRAAVEFEKKAHTDNLQQSKAMEKNMISVASEIERLRGELVNAQKRVTAVTTAAAAVSNPGYAAPYGSSEAAYAASYGNPEAAYAATYGNAEATYAASYVNADAYNTNQAQTRPDANPHYMAPPVHYAQYEGQQHNTVQR* >Brasy5G089300.1.p pacid=40074300 transcript=Brasy5G089300.1 locus=Brasy5G089300 ID=Brasy5G089300.1.v1.1 annot-version=v1.1 MSSRPPPPPRPHLSLPPRSTAESLFTGVGDASPGPLTLASALFSSDSDADGGGGGSASSGAGPTSFTQLLIGNLSQPPQQQQQQQQQERGRGGVARAGPAISVAPPAGAAVFTVPPGLSPSGLFDSPGLIFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHIEQPSFSAAASSSEAVQHMSSAANMAGMSEMATISNNDNAAFHSAEASQRYQVPAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAAEHNEQSNDMASGLSGVRRDQEAVYAMSEQLSGLSDGDDKDDGESRPNEVDNGENDCKRRNIQVSSQKTLTESKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGNSAPSNRSQQKGPSSMSSNQTSLARTDFSNNNQRPIGVLQFKREE* >Brasy5G089300.2.p pacid=40074301 transcript=Brasy5G089300.2 locus=Brasy5G089300 ID=Brasy5G089300.2.v1.1 annot-version=v1.1 MSSRPPPPPRPHLSLPPRSTAESLFTGVGDASPGPLTLASALFSSDSDADGGGGGSASSGAGPTSFTQLLIGNLSQPPQQQQQQQQQERGRGGVARAGPAISVAPPAGAAVFTVPPGLSPSGLFDSPGLIFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHIEQPSFSAAASSSEAVQHMSSAANMAGMSEMATISNNDNAAFHSAEASQRYQVPAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAAEHNEQSNDMASGLSGVRRDQEAVYAMSEQLSGLSDGDDKDDGESRPNEVDNGENDCKRRNIQVSSQKTLTESKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGNSAPSNRSQQKGPSSMSSNQTSLARTDFSNNNQRPIGVLQFKREE* >Brasy5G089300.3.p pacid=40074302 transcript=Brasy5G089300.3 locus=Brasy5G089300 ID=Brasy5G089300.3.v1.1 annot-version=v1.1 MSSRPPPPPRPHLSLPPRSTAESLFTGVGDASPGPLTLASALFSSDSDADGGGGGSASSGAGPTSFTQLLIGNLSQPPQQQQQQQQQERGRGGVARAGPAISVAPPAGAAVFTVPPGLSPSGLFDSPGLIFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHIEQPSFSAAASSSEAVQHMSSAANMAGMSEMATISNNDNAAFHSAEASQRYQVPAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAAEHNEQSNDMASGLSGVRRDQEAVYAMSEQLSGLSDGDDKDDGESRPNEVDNGENDCKRRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGNSAPSNRSQQKGPSSMSSNQTSLARTDFSNNNQRPIGVLQFKREE* >Brasy5G089300.4.p pacid=40074303 transcript=Brasy5G089300.4 locus=Brasy5G089300 ID=Brasy5G089300.4.v1.1 annot-version=v1.1 MSSRPPPPPRPHLSLPPRSTAESLFTGVGDASPGPLTLASALFSSDSDADGGGGGSASSGAGPTSFTQLLIGNLSQPPQQQQQQQQQERGRGGVARAGPAISVAPPAGAAVFTVPPGLSPSGLFDSPGLIFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDHIEQPSFSAAASSSEAVQHMSSAANMAGMSEMATISNNDNAAFHSAEASQRYQVPAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPSCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAAEHNEQSNDMASGLSGVRRDQEAVYAMSEQLSGLSDGDDKDDGESRPNEVDNGENDCKRRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGNSAPSNRSQQKGPSSMSSNQTSLARTDFSNNNQRPIGVLQFKREE* >Brasy5G303900.1.p pacid=40074304 transcript=Brasy5G303900.1 locus=Brasy5G303900 ID=Brasy5G303900.1.v1.1 annot-version=v1.1 MASSSRAPAPPRALLTPKPDPNAPPTVKLTPELCAALRRELETFLDDFVHRLLSTVTPAPPVPPPTATAARPMPPPTSMPSPPVPPPNATRSMPPPPVPQPTATRPIPPSATKRPAVELEASSSMGAKGKRRTGTAEMARAIVPAGPDQHVHARNLIRRTRLTFEALWVAYHGGKRADLSALNTMLDRGLGLYRDVRIVGHIPGVLVGDVFFYRAELCGKPVATCVVSSGGYVDDQDGGGDSLVYTGSGGRPCCGGIDVRVVRSHDCEANPSGKVYVYDGLYKVESHTFGPGKSGTDVYKFKLVRIPGQDELGSSIWHAAGELRKTLASRIRPLGYLMLDLSKGKERLPVPVCNKVEEDQDSSPLDFEYIAHPDSLAYRCACVLKNGGGPEYNADGTLVRGRPVVYECGALCGCPAASCLNRAPQRGMEHRLEVFRSKETEWGVRMLDLIRPGAFVCEYSGDVVTVDDGQSTDWGCFVDPRKFPERWREWGDADKDKEEEAEHKFPEQITGPGYALDVSRRGNFAGYISHSSAPNVFIQFVIRGNYGMTVIASPHGVRHGHHPTHAGAQHQLRHRSAGLILLRG* >Brasy5G047000.1.p pacid=40074305 transcript=Brasy5G047000.1 locus=Brasy5G047000 ID=Brasy5G047000.1.v1.1 annot-version=v1.1 MATPTPTPAARRPRVRRPFAAVVSLSAARSRVLLPVSAENVVDVSSDGMSSSSCVRRSKKVAGEAAGKQPTAFAVGGKAVAVVKTSKKKCSCSTPASKRSAPRTPSGRGKVSAGSAMAMAMAAAYEPPRAPLWDFCEEKKVRARLSSPCDGGGGGAEEAAAEEEAVESGKKRATWGATLEEAVAGLPEPGEGRVRYLVDTFERLLSLSRSSGGEPRSRVRVRRKNATKEAAAADEMDMTSYPSVAASSSSEVSSCIIDLPRRNRTSSRRSSGRDERRLKRCNSIDSSERSWSRKVTSQHPFNLRTEQRGKVKEDNFVEMMRMLLLEEERLRNPLAQGLPLTTDEPENLMKPLTKEPTEPFDVVLHSAVRAVGRARFDHQITERNIFLEKLELEKERQQKMDEEVKIKQLRKEQVPKAHPMPDFSKPFLPKRSVKPQTVPSEPRFHIRSTVRHNPKT* >Brasy5G271800.1.p pacid=40074306 transcript=Brasy5G271800.1 locus=Brasy5G271800 ID=Brasy5G271800.1.v1.1 annot-version=v1.1 MLVLDSLNTAGPTRVQSSIRRFILDIYKTEEREESKDFIDRIRLEFPKVPQQNGEECGIYVLYFIYCFLQNRKLAEVIENKGLEEDFSQLFDDGSFDPEELEKFRKDVRLFQANRSTKTEE* >Brasy5G321300.1.p pacid=40074307 transcript=Brasy5G321300.1 locus=Brasy5G321300 ID=Brasy5G321300.1.v1.1 annot-version=v1.1 MPPYSYRPPSSAASSFLALLLALPLIASVASAAAVAPAAVVYGTKSMDPGLAVMPLPSPVSGPESLAFDRRGGGPYAGVSDGRVLRWRGRRLGWTVFAYNSKHKSVGICAAKQLMVPESVCGRPLGLQFYHKTGDLYVADAYLGLMRVPARGGMAEVVATEAGGVPFNFLNGLDVDQKTGDVYFTDSSTTYRRSEYLLVVALGDETGRLLRYDPRTRRVSVLRSDLSYPNGVAVSPDGTHVVVAHTAMSELRRYWMRGPRAGNNKSETFAELPGYPDNVRAVDGPRGGYWVALSRGADGGGPAPTVAVRVGRDGAVEEALDGFSFVTVSEVSHRNGTLWVGSVDTPYAGALRTGRR* >Brasy5G218900.1.p pacid=40074308 transcript=Brasy5G218900.1 locus=Brasy5G218900 ID=Brasy5G218900.1.v1.1 annot-version=v1.1 MGTYRRLGGRERPDPVGRGRGLPDPALSVIGGRRQKGGGAAGGGGRGARAPAAGWPRKAGSGGARPGAAGSGPVRHRWPASEGWGRERRRRERGSGARGWRRRERGSGARGWRRRERGLGRPRLEEEGEGARAPAAGGGGRGGSGARGWRRRERGLGRPRLEEEGEGARAPAAGGGGRGGSGARGWRRRERGLGRPWLEEEGEGARAPAAGGGGRGGSGARGWRRRERGLGRPRLEEEGEGARAPAAGGGGRGGSGARGWRRRERGLGRPWLEEEMGAREPVAGGDRMEKREREKRSGGMGKPDREGCSANSVTAHGSVLRTSRARAGTSLTVALWATVSDVSARITSGRVRATVSDVQIITNGRPNSDR* >Brasy5G210600.1.p pacid=40074309 transcript=Brasy5G210600.1 locus=Brasy5G210600 ID=Brasy5G210600.1.v1.1 annot-version=v1.1 MPWPAFLAAAVKLAVLAAAAATAANAASYGRFRRRNLRRIACPIDDSDDPVADFRALPSSSSSAAADSEDDEFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAMCDQKPADAAMASAGGDGGSQPASRPTGDVKGVAGEQRKPLRVAMEAMLRGFEKFSDGGESSGGDNCSHNVAAWHNVPNPQERLKFWSDPDTELKLAKETGISVFRMGVDWTRIMPKEPTEDLKSSVNYAALERYRWIIQQVRDHGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNALEVATSALPTGVYNQALHWMAVAHAEAYDYIHSESNNAMMPIVGVSHHVSFTRPYGLFDVAAVTIANSMTLFPYIDSICDKLDFIGINYYGQEVISGAGLKLVDSDEYSESGRGVYPDGLFRVLIKFNERYKSLDIPFIITENGVSDETDLIRKPYILEHLLAIYAAILVGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKIVATGKVTREDRMSAWRELQQAAIQKKTRPFYRDVDKHGRMYAGGLDRPIQRTFVLRDWRFGHYEMEGLQDPLSCFVRCITRPFSHKKKIQYIEDDTVSYSISS* >Brasy5G210600.2.p pacid=40074310 transcript=Brasy5G210600.2 locus=Brasy5G210600 ID=Brasy5G210600.2.v1.1 annot-version=v1.1 MPWPAFLAAAVKLAVLAAAAATAANAASYGRFRRRNLRRIACPIDDSDDPVADFRALPSSSSSAAADSEDDEFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAMCDQKPADAAMASAGGDGGSQPASRPTGDVKGVAGEQRKPLRVAMEAMLRGFEKFSDGGESSGGDNCSHNVAAWHNVPNPQERLKFWSDPDTELKLAKETGISVFRMGVDWTRIMPKEPTEDLKSSVNYAALERYRWIIQQVRDHGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNALEVATSALPTGVYNQALHWMAVAHAEAYDYIHSESNNAMMPIVGVSHHVSFTRPYGLFDVAAVTIANSMTLFPYIDSICDKLDFIGINYYGQEVISGAGLKLVDSDEYSESGRGVYPDGLFRVLIKFNERYKSLDIPFIITENGVSDETDLIRKPYILEHLLAIYAAILVGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKIVATGKVTREDRMSAWRELQQAAIQKKTRPFYRDVDKHGRMYAGGLDRPIQRTFVLRDWRFGHYEMEGLQDPLSCFVRCITRPFSHKKKIQYIEDDTVSYSISS* >Brasy5G210600.3.p pacid=40074311 transcript=Brasy5G210600.3 locus=Brasy5G210600 ID=Brasy5G210600.3.v1.1 annot-version=v1.1 MPWPAFLAAAVKLAVLAAAAATAANAASYGRFRRRNLRRIACPIDDSDDPVADFRALPSSSSSAAADSEDDEFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAMCDQKPADAAMASAGGDGGSQPASRPTGDVKGVAGEQRKPLRVAMEAMLRGFEKFSDGGESSGGDNCSHNVAAWHNVPNPQERLKFWSDPDTELKLAKETGISVFRMGVDWTRIMPKEPTEDLKSSVNYAALERYRWIIQQVRDHGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNALEVATSALPTGVYNQALHWMAVAHAEAYDYIHSESNNAMMPIVGVSHHVSFTRPYGLFDVAAVTIANSMTLFPYIDSICDKLDFIGINYYGQEVISGAGLKLVDSDEYSESGRGVYPDGLFRVLIKFNERYKSLDIPFIITENGVSDETDLIRKPYILEHLLAIYAAILVGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKIVATGKVTREDRMSAWRELQQAAIQKKTRPFYRDVDKHGRMYAGGLDRPIQRTFVLRDWRFGHYEMEGLQDPLSCFVRCITRPFSHKKKIQYIEDDTVSYSISS* >Brasy5G210600.4.p pacid=40074312 transcript=Brasy5G210600.4 locus=Brasy5G210600 ID=Brasy5G210600.4.v1.1 annot-version=v1.1 MPWPAFLAAAVKLAVLAAAAATAANAASYGRFRRRNLRRIACPIDDSDDPVADFRALPSSSSSAAADSEDDEFFFGLATAPAHVEDRLEDAWLQFATEHSCDDKEAMCDQKPADAAMASAGGDGGSQPASRPTGDVKGVAGEQRKPLRVAMEAMLRGFEKFSDGGESSGGDNCSHNVAAWHNVPNPQERLKFWSDPDTELKLAKETGISVFRMGVDWTRIMPKEPTEDLKSSVNYAALERYRWIIQQVRDHGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNALEVATSALPTGVYNQALHWMAVAHAEAYDYIHSESNNAMMPIVGVSHHVSFTRPYGLFDVAAVTIANSMTLFPYIDSICDKLDFIGINYYGQEVISGAGLKLVDSDEYSESGRGVYPDGLFRVLIKFNERYKSLDIPFIITENGVSDETDLIRKPYILEHLLAIYAAILVGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKIVATGKVTREDRMSAWRELQQAAIQKKTRPFYRDVDKHGRMYAGGLDRPIQRTFVLRDWRFGHYEMEGLQDPLSCFVRCITRPFSHKKKIQYIEDDTVSYSISS* >Brasy5G367800.1.p pacid=40074313 transcript=Brasy5G367800.1 locus=Brasy5G367800 ID=Brasy5G367800.1.v1.1 annot-version=v1.1 MGILALGSRDCLVLMGDFRSAIVTSGNTDCFGSHWQCPRLGLPSLLSRKGNWERCINEFVLHSAKEKTCLGWIPVDNCKEKFKPTTAEQIAGHEG* >Brasy5G039700.1.p pacid=40074314 transcript=Brasy5G039700.1 locus=Brasy5G039700 ID=Brasy5G039700.1.v1.1 annot-version=v1.1 MSNLLAVAAMSCPDTLATPCSSTPGTRTRRPFLTRYYRSCLGCSCLQAAAEQLDGQVHTPSPSWDSGMPIEGRQCAAGQKKQATYITILLIDCRHREQGVGRLRATFTAHQLLDEISRFRRWKKMMRWMPTWTADVGPPSIASTTPYLSPPAAASLHSVKPPRPSRGCPSSPASPTASPSSTTPFRRPTPQGNYHCLSPSSSSMRP* >Brasy5G221600.1.p pacid=40074315 transcript=Brasy5G221600.1 locus=Brasy5G221600 ID=Brasy5G221600.1.v1.1 annot-version=v1.1 MASAAEPAATTATPAPEAREKPVVVRVKRKPSQTPPDAFWLEINERPMKKAMLDLSSLSISSQPSSSSSSAQAALEEPRVKKLLVQHVETVHRSEAVEDVVHSLLNTDSSSKEIKSKTKEWNDRMKQGIQKQDQLRSAARQRHEDVRRNARFAQIWRSRKGDNSEAAETLRELCHLYDAIQVDSDEEKHPAEPRMTSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDTNMEDTSASAASYPLLQVDDDDGEYCNDEEYPYETDDSNAEDNPLYDYPEEESSGDEDNSGEDKDPFADTDGSGSEYENEEVEGEDDE* >Brasy5G221600.2.p pacid=40074316 transcript=Brasy5G221600.2 locus=Brasy5G221600 ID=Brasy5G221600.2.v1.1 annot-version=v1.1 MASAAEPAATTATPAPEAREKPVVVRVKRKPSQTPPDAFWLEINERPMKKAMLDLSSLSISSQPSSSSSSAQAALEEPRVKKLLVQHVETVHRSEAVEDVVHSLLNTDSSSKEIKSKTKEWNDRMKQGIKQDQLRSAARQRHEDVRRNARFAQIWRSRKGDNSEAAETLRELCHLYDAIQVDSDEEKHPAEPRMTSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDTNMEDTSASAASYPLLQVDDDDGEYCNDEEYPYETDDSNAEDNPLYDYPEEESSGDEDNSGEDKDPFADTDGSGSEYENEEVEGEDDE* >Brasy5G221600.3.p pacid=40074317 transcript=Brasy5G221600.3 locus=Brasy5G221600 ID=Brasy5G221600.3.v1.1 annot-version=v1.1 MASAAEPAATTATPAPEAREKPVVVRVKRKPSQTPPDAFWLEINERPMKKAMLDLSSLSISSQPSSSSSSAQALEEPRVKKLLVQHVETVHRSEAVEDVVHSLLNTDSSSKEIKSKTKEWNDRMKQGIQKQDQLRSAARQRHEDVRRNARFAQIWRSRKGDNSEAAETLRELCHLYDAIQVDSDEEKHPAEPRMTSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDTNMEDTSASAASYPLLQVDDDDGEYCNDEEYPYETDDSNAEDNPLYDYPEEESSGDEDNSGEDKDPFADTDGSGSEYENEEVEGEDDE* >Brasy5G221600.4.p pacid=40074318 transcript=Brasy5G221600.4 locus=Brasy5G221600 ID=Brasy5G221600.4.v1.1 annot-version=v1.1 MASAAEPAATTATPAPEAREKPVVVRVKRKPSQTPPDAFWLEINERPMKKAMLDLSSLSISSQPSSSSSSAQALEEPRVKKLLVQHVETVHRSEAVEDVVHSLLNTDSSSKEIKSKTKEWNDRMKQGIKQDQLRSAARQRHEDVRRNARFAQIWRSRKGDNSEAAETLRELCHLYDAIQVDSDEEKHPAEPRMTSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDTNMEDTSASAASYPLLQVDDDDGEYCNDEEYPYETDDSNAEDNPLYDYPEEESSGDEDNSGEDKDPFADTDGSGSEYENEEVEGEDDE* >Brasy5G022600.1.p pacid=40074319 transcript=Brasy5G022600.1 locus=Brasy5G022600 ID=Brasy5G022600.1.v1.1 annot-version=v1.1 MHALASVKASSSTTLPSPTHPIPTKPPPPPFLPQSGAAESAAGEGSPTPRPVTASHQTVHLQLPPIGLELSRDMPHARSDLGPDVVELAKSCSSGASYARKRMRLLANSMEETLGCSASTCDDDPRGQSLDWCSERHRAASCSGAVHTRNTGLFAAMQENICSVDNGGAICPQPGVGYSGGENGTLNAYPQHHQSLEGCMYMNEHGQMCGPYAPKQLYEGLSTGFLPQDLAIYALVGGKMVNPVPLSLLQQFLSQWNSGVAVSLPSESKENKTVARTDKMVLPDVLTSEESCWMFEDTDGCRHGPHSLAELSYWHHSSYLQDLSMIHHVDSKFGPFTLVSLIDWWTGGHSEHSEAMENDSGSFSTLMSDIIDDIGHQLHTGIMKRARRIIVDEIFSSVLPEIIAGMKAKKQLAAKSTSQAVKPDNVSSKNASSLKGKIDARSSIHKKGNSYNTVRATSSMSVQSIAVHAKFADILSEVWQTIYYESMKNIWDEVMYDPVMNYCGGWLKRNHQLSLPCTIVPGAPENRNMQETDGLSLKVVCDPEAIECDMDFPPGFGPCIECAESSLSPSSLDVDSCADQISGKPKSSSIIFIGPLAGVQIMLANELYVAAKETLFHHFREVIAEEITNCLCIGLEGSTDQERIRTPVHAPELPSSASVHETPISPERGMDKLLNVAKMDIDTIDSSAEIALDEVFVAAELATDTIPSPADMATEETSCVAKVATDKMLTSHAEHHSLSASYASIFEKLDVCESDELDESFDEVPPGMETGLASVVLMDKSKYQPSQSVNSVPVISRYITLAVCRQTLHEDAMKEWASFLSDTISECFDSWYTMENAVPKNIDESLRPKEFTYYRKRKLRKSSEVVSSKKPTEVPMDEQLSKPLSELVERKAHLKNVQGSRKGGKLKKPSKIQAKTLDKEARRLNSKLDLKRPSNDVPKSKSSKEVHALNIEQDLERLSNDAPKRKSLKEIRTLNIEQDVKRLSNDVPKRQRTPLLTKKHLVDKKISMENDSVATKLVKKRKHKNISSDSSQKTKPLVLCPESDGCARASTSGWEWRDWARNATPSERTRVRGYRVRSILSTPASSFWKNSQVKGTSARTNRVKLRNLLAAYEGADLLKIAQSKARKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRPVSDIREAQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFVNHSCEPNCYTKVITVDGQKKIFIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSSRCRGSMN* >Brasy5G319700.1.p pacid=40074320 transcript=Brasy5G319700.1 locus=Brasy5G319700 ID=Brasy5G319700.1.v1.1 annot-version=v1.1 WIADHVQIKSTDIILDSGCVHLSVDSFSEITGLVNSGSVVRGDCEDAKDAFVSFLGLSEFPTIKTLGELLLKDVLSDDQYFVCFMAVYLSSFLCPNSSTYPSTKYLLSLLVPDQVRNYNWALYGFNWYMTSVKKYLRDKTKLMSSKSNLTLGGCNYYPVVYYLDLVDFGSRSPVGCFPRIKVWKGDMIKDFSQLDLKEGHEYGRRKVLNDICEMFDHFASTTDPLVSSRAGDLVISVLSRMKAASESLDVSQSYDSNANNNVNNSDLDAPANNLYPNRCDEDVVAESNSGKARISESTLVVDDPDAIPDLNDAPNSDAPTVVVNSDTDHGQSEQHVVSVNEAHTQPYNIQRFESRSLCPSPEVQITGVRNFAKECSSLVNEADNLYNSFQKSSTFGFESASSSGHNKKIYCPKRHVVPSHYKLSPYEDSRLKSQVPSHMVKITIFSLGQSFKFRGRVDFFTMNGWCRKLGLDAPPSRSKKNFFFSTLGNTVINVFDKIQRFITDCNFVFVPCLFRVHWFVFVVDFPGECFIILDSYFKENSEYHKYTRHHILQNFSKVWNELTRSNIDFAKFRFHHPIVPMQNNEDDCGIFCMKFMEFFNPRSLLKDKFSFRDINNFRVTLAHDLLFSRHNLIHSFAPM* >Brasy5G076900.1.p pacid=40074321 transcript=Brasy5G076900.1 locus=Brasy5G076900 ID=Brasy5G076900.1.v1.1 annot-version=v1.1 MEETAGGTQRLLDTAGMETVTGHKRSAEEQKEGSEEPKRSREDEAEEEWMLRRLANFRHDWTRAWSKYFGDVEKRIEAPPMRFTQEPAPSYAKLLDALQIFSVSVLELKGTMCWPIDVFGFIAMRDSLYQNRNYIFERTREDCQTLTAEHSSLVLTGPCRAILLYDPVAIEIELRVKGARPSEDKVLSAQIFDYNCISRRGKAGSLLKDMAPDLRCTLEFRYAHLQMAMEAAIKVWISEGSSNFYGKFVARTASIDENVTLLDSRDGMVSVSDDGLVEFSRDVVFVEGCDGHGQLIVGVQARQSGDDEASSVCKEVTFVPARSGESHGTFDVGFCKMSIVVVWSLLF* >Brasy5G177400.1.p pacid=40074322 transcript=Brasy5G177400.1 locus=Brasy5G177400 ID=Brasy5G177400.1.v1.1 annot-version=v1.1 MRQVYFAQHDMRCTVTSSGSSSVSRSSPKYNIFSQLLINTLDSIKGIVGNTKLQGVERSLENISS* >Brasy5G500100.1.p pacid=40074323 transcript=Brasy5G500100.1 locus=Brasy5G500100 ID=Brasy5G500100.1.v1.1 annot-version=v1.1 MYCWRLLPEELPASTTRSSTMRAAMAMAASAPARLLPRAVFSSPTPKPSSPSKRVTPSPRLRRLPPISVSMDPALVDPARLQALMLACAHSCAIRLSPPDSFAAPPQPVDIRKLRTAVAHSFLVVSVFCAARFLEEEEGDDGEGGEYGRRFLGMEMDLGRLGRRGEQRLVGFGRAVSDVGLTASVHDVVVRLLLTNYCSSNCLGEALLDFTVENHCFAVTDNLTGTSVYVVTFPAKRLILVFFYGILYTEMSSNLLAVSRFHLITKTRLENGDFVARNSSSQNVCQWAILIA* >Brasy5G229700.1.p pacid=40074324 transcript=Brasy5G229700.1 locus=Brasy5G229700 ID=Brasy5G229700.1.v1.1 annot-version=v1.1 MDEEDGHHAVLNCTKSKALRKVMNKHWLLPKESLLAENGRDWVLVLLDQVSEQERANLLLLWWRCWDLRNDIIFSKGDKSVTDSERFLLSYAVDVQHPENICKLTDTGKGKFEGKQPDVKTPAGVSTWTPPPTGTLLIQTDASFLKEDNSGAWGAVVFNSECRVVCSAWGWTTSVNNVEEAEAFACREGLRLAGNLPPGQLVLETDSMKVVNDLNALGKCRAGHFDVIEEIKLAAAPRNIAFAKASRDQIRVAHELAKFGRYMKTNGFLVNAVPPDIWNIVMNICNNSALNR* >Brasy5G024400.1.p pacid=40074325 transcript=Brasy5G024400.1 locus=Brasy5G024400 ID=Brasy5G024400.1.v1.1 annot-version=v1.1 MVQIARTDSNDLDDAGFNSMAAAAAEVTVVDFWCNEFGMRARLALREKRVPFEFIEEDLRVRERSELVRRMNPIHRAVPILIHAGHRPVCGSLNIVEYVDEVWSQTPRLLPADPLERAHARFWADFVDRKVYDAQTRFFTSRGGGGEEKAAAMAELLGHLRRLEAVLGDRPFFGGDEFGFLDVALVPFSAMFYGYEQHGGVDMEAECPALLRWVRRCAERKSVRDVLPSGQDMYAIHKEFYQIE* >Brasy5G253900.1.p pacid=40074326 transcript=Brasy5G253900.1 locus=Brasy5G253900 ID=Brasy5G253900.1.v1.1 annot-version=v1.1 MVNDSQTGLSVCSHPIGGAPDARIDGPTTQHRISPPRPSRAYLFPSPPVLLFLPPPPISLPISPSSTTPATPLSRLAPPPFSPLPLFPCDGGRALLPTFPRNGARTLLPTLPRNGGLDPAALTPAAAGSSRPHAAAAGSSHLEPAAAGSGHLKPAAARSGRHRPFSLARGRHRGWTSRHAARPPRPAPPSSSPRPRLPSRHRLFPA* >Brasy5G415400.1.p pacid=40074327 transcript=Brasy5G415400.1 locus=Brasy5G415400 ID=Brasy5G415400.1.v1.1 annot-version=v1.1 MDPRNTASISAEAFLPSKHMAYSITAASQGSMILPLLPVKPNSSLDIRKSSAKTIVLRNASGTLNRTRSAVYMTQWPLPAIDEYDVLHDPSVSFSGVDDDHTSLFLPRAAALCHFFNSRASLLALIMAGFLCFWKLAKFFCGWRA* >Brasy5G290300.1.p pacid=40074328 transcript=Brasy5G290300.1 locus=Brasy5G290300 ID=Brasy5G290300.1.v1.1 annot-version=v1.1 MRSSTTLMALLLLLLLVVSPAAADIQIPYKERSEEETRRVFVEWKAKVGRTYSSIGEEERHYATFKDNLRDIDKRNAGRIHSDRLPWRLNTSSDLTHDEHRAVSCYRPLDQDEHKAAWRLIAYMLFVVCGFIIYFTC* >Brasy5G290300.3.p pacid=40074329 transcript=Brasy5G290300.3 locus=Brasy5G290300 ID=Brasy5G290300.3.v1.1 annot-version=v1.1 MRSSTTLMALLLLLLLVVSPAAADIQIPYKERSEEETRRVFVEWKAKVGRTYSSIGEEERHYATFKDNLRDIDKRNAGRIHSDRLPWRLNTSSDLTHDEHRAVSCYRPLDQDEHKAAWSFGGKGNMEIFDIME* >Brasy5G290300.2.p pacid=40074330 transcript=Brasy5G290300.2 locus=Brasy5G290300 ID=Brasy5G290300.2.v1.1 annot-version=v1.1 MRSSTTLMALLLLLLLVVSPAAADIQIPYKERSEEETRRVFVEWKAKVGRTYSSIGEEERHYATFKDNLRDIDKRNAGRIHSDRLPWRLNTSSDLTHDEHRAVSCYRPLDQDEHKAAWSFGGKGNMEIFDIME* >Brasy5G485200.1.p pacid=40074331 transcript=Brasy5G485200.1 locus=Brasy5G485200 ID=Brasy5G485200.1.v1.1 annot-version=v1.1 MGLEEGARRAIFCLLLLLSLGCKCLASESEVAQEASLSVDASPLLARKIPDTLFGIFFEEINHAGAGGIWAELVNNRGFEEGGPHTPSNIDPWSIVGDDSSIFVATDRTSCFSRNIVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMHVKSPETTELTVSLTSSDGLQILASATIQVAGSSNWKKLDHKLVAKGTDRTSRLQITANKKGVVWFDQVSLMPSDTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDRLRNAFRWRDSIGPWEERPGHYGDVWNYWTDDGLGYYEFLQLSEDLGAAPVWVFNNGISHHDEVETAAIAPFVKDILDSLEFAKGSADSPWGSVRAAMGHPEPFPLKHVAIGNEDCGKTYYRGNYLKFYYAIREAYPDIQMISNCDASSVPLDHPADLYDFHVYTGSKALFSMKNTFDRTSRSGPKAFVSEYAVTGNDAGRGSLLASLAEAALLTGLERNSDVVQMASYAPLFINDNDRTWNPDAIVFNSWQQYGTPSYWMQTLFSESSGAIIHPITVSSSYSDSLAASAITWHDNENNFLRVKIVNFGTDAVSLTISATGLQGSVNALGSTATILTSRNVMDENSFSNPNKVVPVRIALREAAEEMQVTLAPHSLSAFDLALSQSELVAEM* >Brasy5G311200.1.p pacid=40074332 transcript=Brasy5G311200.1 locus=Brasy5G311200 ID=Brasy5G311200.1.v1.1 annot-version=v1.1 MDTGAGKAKKGAGGRKAGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAELLELAGNAARDNKKTRIIPRHVLLAIRNDDELGKLLAGVTIAHGGVMPNINPVLLPKKTAAKEPKEPKSPKKDATPKKAAKSPKKA* >Brasy5G297500.1.p pacid=40074333 transcript=Brasy5G297500.1 locus=Brasy5G297500 ID=Brasy5G297500.1.v1.1 annot-version=v1.1 MVAHLPKWRCLPGHASAFLPWHLYQLYILLLCVLGEQNHPNPSPARLALITMSVKSRIKLCSCIFPNVKLVVKSCTCSLRYNMK* >Brasy5G183000.1.p pacid=40074334 transcript=Brasy5G183000.1 locus=Brasy5G183000 ID=Brasy5G183000.1.v1.1 annot-version=v1.1 MGAGGRRRAAGGARGGGSGRGRGRGRGQGRARGRAPRPGARAAGGAGGGARGDGPGRGRGQGRARAGAARGARGRARAGARSGGRSGAGARPGPTRSRSATCGPTTSGQPRAGRRVLVGQRLAEGSWSASAWPRRLINVRRTLPPDSDPPFLDLTLPPHPLFPSRLHICLASRPHLARPPPPRALTRRPPPILHHGRAATTFAADSGLRRTSRRRRVVVPSQRLPAAQPPPPVALPTPLRATAAADPPRHHVTVPRLLPPRRQCRAPSALSSAKKAA* >Brasy5G156200.1.p pacid=40074335 transcript=Brasy5G156200.1 locus=Brasy5G156200 ID=Brasy5G156200.1.v1.1 annot-version=v1.1 MVFEMRSHGLPFCVETANWVLRAGLDTESFAYARKVFDGMVTRGGLLPDERSFRALILGCCRDGRVEEVDVLLTTMRGQGFCLDNATCTVIVRAFCQKGRFRDVSELFRRMLEMGTPPNVVNYTAWIDGLCKRAYVKQAFHVLEEMVGKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLVPNTNTYTTLISGHCKEGSFNCAFELMNKMRWEGFQPNIYTYNALIDGLCKKGKIQEAYKVLRMANNQGLQLDKVTYTVMITEHCKQGHITYALDLFNRMAENGCHPDIHTYTTLITTYCQQRQMEESQKLFDKCLAIELVPAKQTYTSMIAGYCKVGKSTSALRVFERMVQNGCQADSITYGALISGLCKESRLEEARALYEGMFDKRLVPCEVTPVTLAFEYCRREKAVVAVSILDRLDKRRKTHTVNVLVRKLSAIGNVEDASLFLKKVLHEDLAVDHLAYTGFINSCYANKRYALATEISEKISKRISSSQDKDAAAMT* >Brasy5G236100.1.p pacid=40074336 transcript=Brasy5G236100.1 locus=Brasy5G236100 ID=Brasy5G236100.1.v1.1 annot-version=v1.1 MPIYAMMSLDLPAKTISAIEKLCRGFLWKGRKEIRGGHCLVSWQTVCSPKEFGGLGIPNLRMLNVALQARWGWLQKTDPARPWAEFNIQIPSLARDLCMAATSINIRNGRRVKFWTDAWLDGVALCDLAPNLFSKVTGRGKKIFVADALANRAWVQGIKPDLSSPAIDEFLSIWDAVDGWVLSEEEDVLRWKWEGNGVYSTASSYAALFGGRTHMPGAAEVWGSRAPNRCKFFVWLALKERCWTADRLRRRGLPHPLRCPLCDQDEESINHLLLECVVARQVWLAVFSRWLKQAWVPSAHDRLEDWWPGLEVAARKDRRNLCTAVCLICWSIWKHRNAVVFDGATPSVLHLIQVIGREGDAWRRAGLFDSSLLFSDFGVVDVAWIVRE* >Brasy5G123300.1.p pacid=40074337 transcript=Brasy5G123300.1 locus=Brasy5G123300 ID=Brasy5G123300.1.v1.1 annot-version=v1.1 MSSSTAYAESVASCRDPVPLRSPSPAAAAATVQDALHLPVRRQLDFTGGGGNLDDDDDEFLCRAAEEMERSLYGQTPPVAVAPPTFRVKQCICGRGPCDVEWKEPTGWAYVCSARPKCRHTVWCGEYGLNLTSQPDFWSHAELNNSHVFNTPSNQRAGATAQDNAHPQGAPATNPVNINLHGAGTTAAVNVSPQGAVATTPVKFSPQVARSNGEPPTCMCTAGKCRIDKGYYVCHIPKGHGSCAHRELINAAAKESPLTGYNNPRESARLGYNPVKKEANGRDFVMMEDHNKTRPSNPHQPPVYDRVPRELSAPARPTSIPVATRVSPIMLHQPVAMAELEPPKGSPVPPKCTITPRSGGCYRCHDEGHWAVNCSKKDACYHCGMVGHWVKDCPALRDRI* >Brasy5G431600.1.p pacid=40074338 transcript=Brasy5G431600.1 locus=Brasy5G431600 ID=Brasy5G431600.1.v1.1 annot-version=v1.1 MEGGEGSAGEPTKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGRNAVLNFPDQAHVYEAEARSSGRSSSSSSSNQQQQSQVIEFEYLDDEVLQGMLDDHDKSGRRNK* >Brasy5G388300.1.p pacid=40074339 transcript=Brasy5G388300.1 locus=Brasy5G388300 ID=Brasy5G388300.1.v1.1 annot-version=v1.1 MGVGGSFWDLLKPYARQEGAGYLRGRRVAVDLSFWIVSHTTAIRARSPHARHPHLRTTFFRTLSLFSKMGAFPVFVVDGQPSPLKSQARAARFFRGSGIDRSALPSEVAEGESSVPAQVKGRNAAFTRYVEECVVSARILELLGYLGMPVLRATGEAEALCAQLNNEGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNIADIESGIGLKRKQMVAMALLVGSDHDLHGVPGFGVETALRFVQLFEEDHILDKLKEIGRGIYPFLEGFDKAHVNDLPSPSESSPPVVRSPHCSHCGHPGSKKNHSKTGCNYCLVDTLENCVEKPAGFLCECPSCDKARSLKAQRRHENWQIKVCKRLAAETNFPNEEIIKLYLCDNNLDEENRIPLLGWDEPNVEALVDFLAYMQNWEPSYIRQHMLPMLSTIYLREVASSPCKSLLLYDQYEFHSIERIKIRYGHPYYLVKWKRAGSSMVSTGVSDTKLEVDGDGHAEVVVLDDDDDEEEATVICESTDLLDEPDIPQVLRDDNRIFLLTDEDIQLVNAAFPNEARWFQEEQRLREEKSRSRKSKMNLANSMYETPKGPRPSGVQLSIKEFYRSKKAAGDESGKKLHVEGQTSKAASRKSSPVDLDKKIPKSIRRRLLFD* >Brasy5G388300.2.p pacid=40074340 transcript=Brasy5G388300.2 locus=Brasy5G388300 ID=Brasy5G388300.2.v1.1 annot-version=v1.1 MGVGGSFWDLLKPYARQEGAGYLRGRRVAVDLSFWIVSHTTAIRARSPHARHPHLRTTFFRTLSLFSKMGAFPVFVVDGQPSPLKSQARAARFFRGSGIDRSALPSEVAEGESSVPAQVKGRNAAFTRYVEECVELLGYLGMPVLRATGEAEALCAQLNNEGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNIADIESGIGLKRKQMVAMALLVGSDHDLHGVPGFGVETALRFVQLFEEDHILDKLKEIGRGIYPFLEGFDKAHVNDLPSPSESSPPVVRSPHCSHCGHPGSKKNHSKTGCNYCLVDTLENCVEKPAGFLCECPSCDKARSLKAQRRHENWQIKVCKRLAAETNFPNEEIIKLYLCDNNLDEENRIPLLGWDEPNVEALVDFLAYMQNWEPSYIRQHMLPMLSTIYLREVASSPCKSLLLYDQYEFHSIERIKIRYGHPYYLVKWKRAGSSMVSTGVSDTKLEVDGDGHAEVVVLDDDDDEEEATVICESTDLLDEPDIPQVLRDDNRIFLLTDEDIQLVNAAFPNEARWFQEEQRLREEKSRSRKSKMNLANSMYETPKGPRPSGVQLSIKEFYRSKKAAGDESGKKLHVEGQTSKAASRKSSPVDLDKKIPKSIRRRLLFD* >Brasy5G014100.1.p pacid=40074341 transcript=Brasy5G014100.1 locus=Brasy5G014100 ID=Brasy5G014100.1.v1.1 annot-version=v1.1 MARRCNECNEFDVLCKRPDVCLSAFTIIMVEGCGDFATGNGPTLLCGAYWKLFAKTRKLKAGDSVHFSAAERGYAFRALLVGELDDAVLDVSIFGLQQVSRDDCSRFHSTTFENMVELTDREVRKVLTALAGLEDPEDFEHELIVQKMTDVDLASRVLVIPCKSKNVLRLAFSGYGNLNSARLSKFMDAAYTTTTVGDTMILKGWEDFCFCHGIRSGSVLLFEVDDADELFVQVHIVKR* >Brasy5G145700.1.p pacid=40074342 transcript=Brasy5G145700.1 locus=Brasy5G145700 ID=Brasy5G145700.1.v1.1 annot-version=v1.1 MAHDDDLFGEERPSMERVFEGEPLPLRSETITVRSAVVSLVLGCMLSVVAMKLALTSGFAPSLAIPAGLLGFCLPRVLIRLLDSLEVSQLPFTRQENTVIQTCVVSCTSIAFSGGFGTYILAMSKNSAEGSIGGDSRNIEEPHVGRMTVFLFLTSFAGLFAIMPFRNNLIIQQRLTFPSGTATAHLINTMHTPQGAKQARFYFNFSMTNVGVGMFCPYKITISMLVGSLLSWGIVWPYIETKAGYWYPQGLDGDNISGINGYRVFIGISMILADGLLHMFSILLRTLYEMYKQQLAADNHQQNIAGQQQQPFQCLSLGDRTIQSFDDRRRTQVFLRDHMPNSIPVAGYVVLSVISTVVIPHLYTQLRYHHVAFAYLIAPVFAFCNAYGNGITDMNIATTYGKIAMLIFSSWVGLEDGGVVAGLAACAIVASNVSTASDLMQDYRTGYLTLTSPHAVSVSQVAGMALGCVVNPVIFSMFYKVYNYGAHAHDVTNAIGPYAKVYRGISLLGMTENGLPMHTMLLCKVFFALALSLSVLREVARHKGWRVRRYVPSTIGMAVAFFVPPTIPIGMFVGSVVIYLWGRADDDGMRLMSPAVACGLICGDGFGSLLSSMLTLLKARPPICIKFMSREVSERLDVFLAARAKSS* >Brasy5G418200.1.p pacid=40074343 transcript=Brasy5G418200.1 locus=Brasy5G418200 ID=Brasy5G418200.1.v1.1 annot-version=v1.1 MTSPPQPWSPPRAFASGGFPIARKGDGGSGFFLRDECHGLSINSCSHRRTHTTTMEPTADWSTLLPDLIRRVADVFLATSDIDYYTSLRAVCRNWRAATADPRGPDDDPRFRSHRWVMLDKLAGDDGPRIVDNTNYSRRLTPDHPLAGNDDGRHGRRLFLHVDTGRFLWMHLPMLRADTCHSSTADGLLLLEAKGLLRIFLLNPFTGHRARPTLESLSLAGTVAFPVAFQGRAYAADMTGTVAAVDVYSSGNTLQTKLTTVVAGTSDQKGLPTFLVDNAGHELLLVRAPSLSDDQPGAVQVFRVDLDRKTYLDQPVECIGNRAVFLGKHRSLSVDADNLPAIEGNCIYYVGGGSKQRGIRIHHLEDGRVEIATTDFSDDVEERCPGPFSLAEYMLRK* >Brasy5G259100.1.p pacid=40074344 transcript=Brasy5G259100.1 locus=Brasy5G259100 ID=Brasy5G259100.1.v1.1 annot-version=v1.1 MDHPGPRYPSTSHHPPGNAAGGGDLLLFLAIPAGRLIGLSAFLCSRALSAFLGLLLLPAASLVGALRRAAPALARAALALAALLAAFAASILLGFFLVRRCVGDYPVSLRRPLYFDYTEPQPSAAVSLAGAPLPAGHSVRVSVALLLPDSDHNRQIGVFQIKAEAISATGVPIATATRPYMLRYKSGAVRLAQSALAIVPLAMGVRGESQSATLGLLQYREEGHKKKKTGLVRVSLQPRAMTVELPQVYSAEVLVQTAVPGWSKALARGFRWTLCVWVSACAYVLLSVLAVCAWVRWRPADRRRVVDGGRKAIAGLGGRDDDDRVGELSGDVAFRWRERRGRRQRRVHGGRDGIKLDEGSAHASAAAVAGRADEEAIGDLGEPFAQSSSSEFI* >Brasy5G011400.1.p pacid=40074345 transcript=Brasy5G011400.1 locus=Brasy5G011400 ID=Brasy5G011400.1.v1.1 annot-version=v1.1 MEQGGAGNAAARRMARLASHLHPATSQMEEVSILRGGNCRAKGAAPGFKVAVLGASGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGIPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPRDVNVPVVGGHAGITILPLLSQVNPSCSFTSEEISYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLHGDAGIVECSYVASQVTELPFFASKVRLGRCGVEEILPLGPLNEFERAGLEKAKKELSESILKGVSFINK* >Brasy5G025900.1.p pacid=40074346 transcript=Brasy5G025900.1 locus=Brasy5G025900 ID=Brasy5G025900.1.v1.1 annot-version=v1.1 MNRVRVTPKVVGKLTDGRLIFLLILENEHVQQSKKIIQLPSFLEYLFIPGDMGFLRLRVPAGLSLLLLMPLLLLSCSRGSARREFIIKDSSGSGCEDGICYKTCYNLGFSGGDCMVVSMDPFTERCVCRAPRRSLGGV* >Brasy5G454000.1.p pacid=40074347 transcript=Brasy5G454000.1 locus=Brasy5G454000 ID=Brasy5G454000.1.v1.1 annot-version=v1.1 MEVLYVGSLRADVPAAEQDDVAQALLDRFRCVPAFLPKDLSDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSNWEQYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMPQLKSQLQLPDLEWRVAELRKQFAGKTVLLGVDDMDIFKGINLKILAFEQMLKIHPKWQGRAVLVQIANPRSGSGKDLEGLKAEIEESCARINGQFGRPGYSPVELVNRSISSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSGGDAPKRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALADNEKQLRHEKHYRYVSTHDVAYWSKSYVHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVADYKKSNSRVILLDYDGTLVPQTTINRTPNETVVNIMNSLCADKKNVVFIVSGRGRDSLAKWFNSCPELGIAAEHGYFMRWTRDEQWKINNQCSEFGWMQMAEPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGFVAEKILSMLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEEEDVGSPEESEILSPSEEA* >Brasy5G454000.2.p pacid=40074348 transcript=Brasy5G454000.2 locus=Brasy5G454000 ID=Brasy5G454000.2.v1.1 annot-version=v1.1 MEVLYVGSLRADVPAAEQDDVAQALLDRFRCVPAFLPKDLSDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSNWEQYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMPQLKSQLQLPDLEWRVAELRKQFAGKTVLLGVDDMDIFKGINLKILAFEQMLKIHPKWQGRAVLVQIANPRSGSGKDLEGLKAEIEESCARINGQFGRPGYSPVELVNRSISSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSGGDAPKRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALADNEKQLRHEKHYRYVSTHDVAYWSKSYVHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVADYKKSNSRVILLDYDGTLVPQTTINRTPNETVVNIMNSLCADKKNVVFIVSGRGRDSLAKWFNSCPELGIAAEHGYFMRWTRDEQWKINNQCSEFGWMQMAEPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQNSCLETDLFVCQHPFTCLQWRTQVFNIGCEKQCISNIGWGKYIAVLFFPSIFIPFTYDIKGFSNLLGGRTPPNHPAGSAPACLLHRVYNKYASV* >Brasy5G040400.1.p pacid=40074349 transcript=Brasy5G040400.1 locus=Brasy5G040400 ID=Brasy5G040400.1.v1.1 annot-version=v1.1 MIVSALATAVGINLGLTLLLASAYSLLRRRPPYVSVYSPRRPYAAPLESWLLSAWRRSEDDVHAAAGLDGVVFVRIFVFSIRVFAVAAVVGVGVLLPVNFMGDQLRLIDFADIPNKSVDLFSISNVQDGSNKLWLHFSAVYIITGVACYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPITDGGSVADAVEKFFKEYHPSTYLSHTVVHQTGKLRRLLNDTENIWTKLKTLKYVRHRPPTENPPRKFLGLFGGHDLLGKYQKRLEDLEENVRMEQSDATRRQEIPAAFVSFKSRYAAANAIYIRQSDNPTEWQTEHAPDPHDVYWPSFSTSFMERWISKFVVFVASVLLIIVFLLVVAFVQGLNYLEQLETWLPFLRNILEITIVSQLVTGYLPSVILHFLSSYVPSVMKLFSTMQGFVSVSGIERSACNKMLRFTMWTVFFANVLAGSALSQLKIFLDPKEIPSRLAIVVPAQASFFIAYVVTSWTSITSELTQTAALFYHLWGSCAKCCKRDDSEAPSMHYHSEIPRILLFGLLGLTYFIVAPLILPFILVYFCLGYFIFRNQLCNVYSPKYDTGGKFWPIVHNATIFSLVLMHLISIGVFGIKEFPLGSSLLVPLPVLTLLFNAYCGNRFFPIFEAYSTESLINKDKQEQNKPEMAEFFSNLETAYCDPALKPIQRSSNSDERTAPLLSSV* >Brasy5G040400.2.p pacid=40074350 transcript=Brasy5G040400.2 locus=Brasy5G040400 ID=Brasy5G040400.2.v1.1 annot-version=v1.1 MGDQLRLIDFADIPNKSVDLFSISNVQDGSNKLWLHFSAVYIITGVACYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPITDGGSVADAVEKFFKEYHPSTYLSHTVVHQTGKLRRLLNDTENIWTKLKTLKYVRHRPPTENPPRKFLGLFGGHDLLGKYQKRLEDLEENVRMEQSDATRRQEIPAAFVSFKSRYAAANAIYIRQSDNPTEWQTEHAPDPHDVYWPSFSTSFMERWISKFVVFVASVLLIIVFLLVVAFVQGLNYLEQLETWLPFLRNILEITIVSQLVTGYLPSVILHFLSSYVPSVMKLFSTMQGFVSVSGIERSACNKMLRFTMWTVFFANVLAGSALSQLKIFLDPKEIPSRLAIVVPAQASFFIAYVVTSWTSITSELTQTAALFYHLWGSCAKCCKRDDSEAPSMHYHSEIPRILLFGLLGLTYFIVAPLILPFILVYFCLGYFIFRNQLCNVYSPKYDTGGKFWPIVHNATIFSLVLMHLISIGVFGIKEFPLGSSLLVPLPVLTLLFNAYCGNRFFPIFEAYSTESLINKDKQEQNKPEMAEFFSNLETAYCDPALKPIQRSSNSDERTAPLLSSV* >Brasy5G301800.1.p pacid=40074351 transcript=Brasy5G301800.1 locus=Brasy5G301800 ID=Brasy5G301800.1.v1.1 annot-version=v1.1 MARRGHHHLTEVALLASASGYLAAAGAGEREGWLDDPAVFPSLGPRARDLAMASAARSVLVIVPIAGGGGVTVKPALGPDEGRISAVEWVPVAGDEAERDEGVAVAVGTDAGWLLFYTLAGDLLHKQSIYPAKILKLNFSERKENAWEDSGSDELSVVFPGVIARCDGADLQTMLQKSFEEVKSRIWKDKFEHEDADDESSFGRIPIQIWNVSKFGSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSLVGAILSRGVAATFSTISSLSKILWRSEPSPTKKSRPKPQSFAKTSPLTCLKDTPRKGERLTLSPSGTLAAITDSLGRILLLDTRALVAVRLWKGYRDASCLFVEMLLNKDKESSSSMHLEHTKGDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIPCPKGSRILQPSTRFSSSQFSSYSPLEVYLFNGDSGQLSVLNRHIG* >Brasy5G116500.1.p pacid=40074352 transcript=Brasy5G116500.1 locus=Brasy5G116500 ID=Brasy5G116500.1.v1.1 annot-version=v1.1 MDELCSCALHLLPPHLTRRFSLSLSPRFSAMDELPGRIPPHLGSPDRDPAGAMDELPGPHPARSGLPRQRPRRIPLSDDGAPIGAQARILGLRAPNPAPAPRAPPSAILEHSL* >Brasy5G116500.2.p pacid=40074353 transcript=Brasy5G116500.2 locus=Brasy5G116500 ID=Brasy5G116500.2.v1.1 annot-version=v1.1 MDELCSCALHLLPPHLTRRFSLSLSPRFSAMDELPGRIPPHLGSPDRDPAGAMDELPGPHPARSGLPRQRPRRIPLSDDGAPIGAQARILGLRAPNPAPAPRAPPSAILEHSL* >Brasy5G116500.4.p pacid=40074354 transcript=Brasy5G116500.4 locus=Brasy5G116500 ID=Brasy5G116500.4.v1.1 annot-version=v1.1 MDELCSCALHLLPPHLTRRFSLSLSPRFSAMDELPGRIPPHLGSPDRDPAGAMDELPGPHPARSGLPRQRPRRIPLSDDGAPIGAQARILGLRAPNPAPAPRAPPSAILEHSL* >Brasy5G116500.5.p pacid=40074355 transcript=Brasy5G116500.5 locus=Brasy5G116500 ID=Brasy5G116500.5.v1.1 annot-version=v1.1 MDELCSCALHLLPPHLTRRFSLSLSPRFSAMDELPGRIPPHLGSPDRDPAGAMDELPGPHPARSGLPRQRPRRIPLSDDGAPIGAQARILGLRAPNPAPAPRAPPSAILEHSL* >Brasy5G116500.3.p pacid=40074356 transcript=Brasy5G116500.3 locus=Brasy5G116500 ID=Brasy5G116500.3.v1.1 annot-version=v1.1 MDELCSCALHLLPPHLTRRFSLSLSPRFSAMDELPGRIPPHLGSPDRDPAGAMDELPGPHPARSGLPRQRPRRIPLSDDGAPIGAQARILGLRAPNPAPAPRAPPSAILEHSL* >Brasy5G115000.1.p pacid=40074357 transcript=Brasy5G115000.1 locus=Brasy5G115000 ID=Brasy5G115000.1.v1.1 annot-version=v1.1 MEVKIAATLVACMLFCIYNRGHAACSPSDLAVTQTRQGGEYLVTVQNKCICTQVNVKLACKGFDSSIPVQPAGTVVADGDNALCTFIGRGTVSPGHAVQFSYTSNTKFSFAPVSSTIVCL* >Brasy5G076100.1.p pacid=40074358 transcript=Brasy5G076100.1 locus=Brasy5G076100 ID=Brasy5G076100.1.v1.1 annot-version=v1.1 CIKILVSSLLFLNLQFRLLRDRASRPYDSLKKKLPLNTIPSLSRSPPSPIPSSSRRHYHRAQLPTAPSPSPSRRPCPRPCSPPPRLPSAPISGSHRSVRRHSTTPPPPPGIPVCVRPRAGAARPRLRGPPRCLGIMRLLRSGWCCAAV* >Brasy5G076100.2.p pacid=40074359 transcript=Brasy5G076100.2 locus=Brasy5G076100 ID=Brasy5G076100.2.v1.1 annot-version=v1.1 CIKILVSSLLFLNLQFRLLRDRASRPYDSLKKKLPLNTIPSLSRSPPSPIPSSSRRHYHRAQLPTAPSPSPSRRPCPRPCSPPPRLPSAPISGSHRSVRRHSTTPPPPPGIPVCVRPRAGAARPRLRGPPRCLGIMRLLRSGWCCAAV* >Brasy5G164000.1.p pacid=40074360 transcript=Brasy5G164000.1 locus=Brasy5G164000 ID=Brasy5G164000.1.v1.1 annot-version=v1.1 MCFPGLPCLCRIRGALARSAATAPWGRRRANEGGRRPLARTAAAERGGGQGRARGREEEGRRGGGRREAAASEEGDVRAPQAPVLGGGAWRRRHCIPWRPPPQQSSSTEARPGSPCPAEELRRRARGGAKEECGSLDLQRVREAARSREKKRD* >Brasy5G442700.1.p pacid=40074361 transcript=Brasy5G442700.1 locus=Brasy5G442700 ID=Brasy5G442700.1.v1.1 annot-version=v1.1 MRELHDEHHLKSMGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKAIGVDILTGFGTIVGKQKVRYGKVGFPDKEITAKNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINTRKIDYYTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTNGLGLENINVVTQRGFIPVDERMQVKDADGNVVPHLFCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADEEGFEVSVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAMALGTRLQDIKLAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA* >Brasy5G499800.1.p pacid=40074362 transcript=Brasy5G499800.1 locus=Brasy5G499800 ID=Brasy5G499800.1.v1.1 annot-version=v1.1 MEMILSSQQWLLLLPPVLIPVLLFSYLYTILWLRPERLRQKLRSQGIKGPKPSFLFGNIPEMRRIQKELATSAQEQEAGSADRFSSNYVATLFPYLIHWSRVYGSIYLYATGSIQALNVTDPDMVKELASCKSLDLGKPYHLQKDRGALLGMGILTANGDLWVHQRKVIAPEFFMDKVKGMVNLIIDAAMSMLNLWEDKIEREGGRAEIMVDEFLRNFSADVISRASFGSSFTEGKEIFCKIRQLQKAMGKQSMLIGVPGSRYLPTKSNREIWDLGSSIRTLVLNIAKKHDHDSVTTSRDNFLLHSIIEGSKAASFASCTPEDFIVDNCKNIYFAGHETTSSTAAWCLMLLASHPEWQSRVRLEVLDICHGEPLDFDMLRKFKTLTMVIQETLRLYPPASFVTREALQDINLGGIDIPRGTNIRVPIALAHRDPTVWGADADRFDPGRFAGGIARACKPHHMYMPFGIGPRTCAGQNLAMVELKVVLSLLLSRFEFALSPSYIHRPAFRLTVEPGDGVPLVLRRL* >Brasy5G129600.1.p pacid=40074363 transcript=Brasy5G129600.1 locus=Brasy5G129600 ID=Brasy5G129600.1.v1.1 annot-version=v1.1 MFDAADQGAVVAVKRMRLRAAEEEMGMEVDGEEEEEEEEEQEEEEGWAWGAPAAGPAGEQRAAAIVVADAVEPDFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEMRRCLSDGIEFEGELLNFRKDGAPLNNRLRLIPMHGDDGSMTHIIAIQLFSDANINLSNISYPVYKQQSNHRPSIQDMNPASHEHTTKIQCSDYCGIFQLSDEVLAHNILSRLSPRDIASIGSVCIRMHQLTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAAKPEWRRVKVSASPPGRWGHTLTWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVTSDGPPLPRSWHSSCTLDGSKLLVSGGCAESGVLLSDTFLLDLAKEKPAWKEIPTSWSSRLGHTMSVYGKSKLFMFGGMAKSGSLRLRSSDAYTMDVGEENPQWRQLVTTGFPSVGPPPRLDHVTVTLPCGRIIIFGGSIAGLHSPAELFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDS* >Brasy5G129600.2.p pacid=40074364 transcript=Brasy5G129600.2 locus=Brasy5G129600 ID=Brasy5G129600.2.v1.1 annot-version=v1.1 MVVSEMRRCLSDGIEFEGELLNFRKDGAPLNNRLRLIPMHGDDGSMTHIIAIQLFSDANINLSNISYPVYKQQSNHRPSIQDMNPASHEHTTKIQCSDYCGIFQLSDEVLAHNILSRLSPRDIASIGSVCIRMHQLTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAAKPEWRRVKVSASPPGRWGHTLTWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVTSDGPPLPRSWHSSCTLDGSKLLVSGGCAESGVLLSDTFLLDLAKEKPAWKEIPTSWSSRLGHTMSVYGKSKLFMFGGMAKSGSLRLRSSDAYTMDVGEENPQWRQLVTTGFPSVGPPPRLDHVTVTLPCGRIIIFGGSIAGLHSPAELFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDS* >Brasy5G324200.1.p pacid=40074365 transcript=Brasy5G324200.1 locus=Brasy5G324200 ID=Brasy5G324200.1.v1.1 annot-version=v1.1 MHQQQHKTAMRREGETAHRRASEIPAHRRERAREADTRRTERKKSLESLLDAADVRGKRGGPVPAGEKVASFPGQGLEFKNLSYSVIKKQKKDGVMIKKEAYLLNDISGQALRGQVTAILGPSGAGKSTFLDAIAGRIAKGSLEGSVSIDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGTPSTLPTYLAGFGRPVPDGENSMEYLLDVIKEYDESTLGLEPLVAYQRDGSKPTEAAKTPVPKTPRTPYQKSVQFRQIQLKSNQFSVTTATPHANPFSNFESYNIDDEEEDFDNSLERKSRTPLHTVNSGYHPRLASQFYKDFSVWVYNGVAGTPRHRPTWTPARTPSRTPMSSYQRSRATTPHRSIPPSPQEPVFKPEEPTYHEYELDLEPLDAHEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSGSDYLTVNRILNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLKSKLLYFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTKIPAVWSWLHYISAIKYPFEALLVNEFKGSRCYIGTDNQLSPGPLGQIKKSDLYTQLQLNSTTCPLIGQDVLTTMDITIDNIWVDVAILLAWGVLYRLFFYVVLRFYSKNERK* >Brasy5G004500.1.p pacid=40074366 transcript=Brasy5G004500.1 locus=Brasy5G004500 ID=Brasy5G004500.1.v1.1 annot-version=v1.1 MRGNVRSVSPLPAVAIPPPGGRFWCLAGEDETEVDPPGSRSVLLPSPFPSPLPNPPLRGSPSHRPLVVASTSPSRHSKAHRPLTVEGARPRIWPEWVNLGSAARDFRPPGPARFGDALAMAKDRAGRSSPIFHDLLGPVPPLSSFPSGVFDRIPVVRAGPRSSINGRSQMSGASVEHPVRPDTCTPSGGPDLVRCHPIPSSSSSQTLISILSHLWGVADLGGEEGREVEGDEPPVMSGGGFAGGGQGRPWQGGRGRGQGSWAGYNNPNLGNSTNSNSNGGNGGLFNNGNNNNRGGRANFQDSGRGGGGGGGVHSNSSGGSGSNAPATSAASQAQGGTTASATQRQGKSPTAGKRSACGLLSQNL* >Brasy5G448800.1.p pacid=40074367 transcript=Brasy5G448800.1 locus=Brasy5G448800 ID=Brasy5G448800.1.v1.1 annot-version=v1.1 MKGRGGDGDKEAFFHCLDRVPSGIHIDADFPSDDDDDDEENGRASFSSAMPDQAFQSFRKHQAAVLELDDDEPEAEEEMEDASKYDMWMSDEPMSIQERRRRLHQGLGMVSSRDLALRRHSTKKRFVDVPRSVSRRMVMQEQEQQQQQKQTPPTSSSSLPATIDAPSTTTATPAVPLSRAAAARDMLLNQPPPAKASITRRRSDTFLAVRDGGTPGSGKPLPATSLRRARSLLSPHDPCSRALVDKFKTAAMTTTTATTTRDLPPPAASPSADKGGDGDEGSGKKQDGSKEVVPAAAASAAPKDQSQTGGLEDQFEKFIGNTPIVKHLMRRGQSQHHSQPLPPAAGTPPPKGDNKAAGGKKKGGWLKNIKSVAIGFIGDKDGNVGKSAAPSPGATTTGAAAPGAGPGSGAMPPPSSMSSSSSSAAASTEKLKVHNYGKSSKELTGLYMSQEVKAHEGSIWSIKFSADGRRLASAGEDGVVRVWEVVETSAPPGAVPQDGSLPPLPCSAADGSSAAAAPALTKKSTTKAGKTGKDALPEHLVVPDKVFALAEPPLCVLEGHEDDVLDLTWSKSDQLLSSSMDKTVRLWDTTTKNCLKTFSHSDYVTTIQFNPVDDRYFISGSLDAKVRLWSIPNRQVVDWTDVNEMVTAASYTPDGQGAIIGSHQGSSRFYKTADCKLSAEAQIDIQSKKRKSQAKKITGFQFSPANPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQIAAAYTSDGRYVVCASEDSHVFVWKTTRSAPAAAPAIGGIGMKPKTWCTTRSYENFYCKDVSAAVPWPHSPAPPGSPSSQKPAGVSCTDDICTMASHAAGAAKPDAASGKSGELVGSPAEPQQPSPHSGPLDTPSSRQGKSGGGGGGAADGGNAWGLVVVTATLGGEIRVYQNFGMPFRIKGQGNLFH* >Brasy5G084600.1.p pacid=40074368 transcript=Brasy5G084600.1 locus=Brasy5G084600 ID=Brasy5G084600.1.v1.1 annot-version=v1.1 MVEKTTPRQRLKEGDGALGRHRCRLRSATRGQAFARRSLPTRHQPAPLHLHPLQHLQPEQIWPNLAGSGHRPPPSRRGALQPHHPTTRPREPPELTPPAPPSPRADGRQSCATTSPRYAASPRPSRAARTAPPSRRSATSPSSRAAPPGTSARASGRLHRTERARALQAAWEGKAPPPPSAQGGFARRHPPAAARGGGGAGEVGGGARVRSRPSRP* >Brasy5G472200.1.p pacid=40074369 transcript=Brasy5G472200.1 locus=Brasy5G472200 ID=Brasy5G472200.1.v1.1 annot-version=v1.1 MGEFKHLCLVRFKEVVVVDDIIQELSKLAAELDTVKYFGWGKDVLEQEALTQGFTHVFSMSFATAGDLAACMAHEKHAAFAATFMAALDKVVVMDFPFVTVKPAPEPAT* >Brasy5G236400.1.p pacid=40074370 transcript=Brasy5G236400.1 locus=Brasy5G236400 ID=Brasy5G236400.1.v1.1 annot-version=v1.1 MSTTNLRRRLHHVDVDGRKNEHVDISSADSLNEPLLGKSSDDNFGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNFIAHWAQWLASIIVSSGSIFGRLFPFSSENQINPVYLSPLQNLIFFARNYPNSFQMLLSKVQGQRADWEYPFAVAGINISFMLVQMLDLKSCVPSSKYGIRFLELLERDENAFDHLYYVAFRLLDAQWLVKRASYMEFNEVLKSIRTQLEHELVLDDDVLEVKDLPSYTMLDE* >Brasy5G434100.1.p pacid=40074371 transcript=Brasy5G434100.1 locus=Brasy5G434100 ID=Brasy5G434100.1.v1.1 annot-version=v1.1 MASLAAFHPTLPHAPAPVHSHRQPSHPNPTTGLLRLIPSRRRHRAPRRRWFGLAISACSSPAPTPSAAGRGDRYEATSSLERCLAASAPSAAAPAAAPPHAPPSMKGGKQYGAFGAVTLEKAKLDLSQRRKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEDDSGLFRRRIVIQELFNREFIDAVMQEWCKTLSNLPAGLRQAYEMGLVSSAQMERFLSMFSRPTHTRSFSRALPGWLSRDLVGRTLADPSFPHKMAFEFMATFISSVWWEMNIRKERFEQEWDLAVVNALTASCCNLMAVGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLREFDLQKRISAFLYKAAELSLVGVVAGSIQGGLSKALSARKESRLSVTIPSVSTNALGYGAFLGLYANLRYQLMCGLDQYTAKRFDVLGVAIFFGTALRLMNIQIGEASRHTWLGEEADPQYSDRLLRAYNRPIEVANTAGEQESRWFISKDAVVSGLGLLGIKQGGPEAQLSKPRRKRVVRKKVASG* >Brasy5G336100.1.p pacid=40074372 transcript=Brasy5G336100.1 locus=Brasy5G336100 ID=Brasy5G336100.1.v1.1 annot-version=v1.1 MASRFWGQGDSDSEEDVEEIESEQGSDSEKSEAGDGGRDGSKNRYLNRYTQDSDESDTDNPRVIRSLKDKRNDEMKATADQMRNAMKINDWLSLQESFDKLNKQLEKVVRVNESTKIPNRYITTLVLLEDFLAEALANKEAKKKMSSSNAKALNAVKQKLKKNNKQYEDLIQKCRENPDSFEDDVADEKDVDEDEDDSGEDIVDPDKMAMSESEESGNEDDGSDEGGAWEKKLSKKDKIMDKQFLKDPSEITWDIVDKKLREIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPINVWKKCVNNMLLVLDILQKYPNIVVDTSGEPDEKETQKGADYDGTIHVTGDLVAFLERIDSEFFKSLQCSDPYTKDYVQRLRDEPLFLVLAQNVQDYQERLGNLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEASMEDEDTEAGEDHQAADDNRGPAPFVEIPEVVPRKPTFPESGRALMDGLMSLIYKYGDERTKARAMLCHIYHYAISDEFSVARDLLLMSRLQDAVLHMDISTQILFNRVMAQLGLCAFRAGLIAEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTFDKRRPMSKTFRRLLEMSERQTFVGPPETVRDHVLAATRALNKGDYEKAFSVINSLDTWKLLRNKEHILEMLKLKIKEEALRTYLFSYSSCYESLSLGQLTTMFDLSEPQAHSIVSKMMMHEELHASWDQPTKCIIFHSVDQTRLQGLLFQMADRLSVLVESNERAYEARTGGALEGAPPRRRNDGQDSSNLGKWQENFVSSQGRRGGGRFGYSGRTGGRGGGYQNDRFQNDRSGQGSRGGYGGAGGSRFNDGRARTQSGMSSRGDGSARMVSLNRPGRV* >Brasy5G094500.1.p pacid=40074373 transcript=Brasy5G094500.1 locus=Brasy5G094500 ID=Brasy5G094500.1.v1.1 annot-version=v1.1 MATPTVVLLPVWGVGHLMSMLDAGKRLLARSGDALSLTVLVMQAPTEDNRSEVAGHIRREEAAGLDIRFHNLPAIIEPPDSVGIVDFVSRLLQLYAPHVKAAIAGLTCPVAALVIDFFCTTLLAVSSELAVPAYVYYTGNAASCALLLRLPALHEETTLEFEEMDGMVDVAGLPPVPPSTIPSTLMDKKSPNYSWLVYHGRRLMEAKGVIINTAAELEQSVLAAIAHGRCTQGIPAPTVYPVGPVLSLDLNPPAEQLLRHECVRWLDAHPPASVVLLCFGSMGWFDVAKAHEVAAGLERSGCRFLWVLRGPPAAGGGALEPADANLEELLPEGFLERTKGRGLVWPARAPQKEILAHAATGGFVTHGGWNSILESLWFGVPMVPWPLYAEQHLNAFTLVAYMGAAVAMEVDRKRNNLVAASELERAVKALMAGDSAEGKKAREKAAEIKAMCRNAVAEGGLSHSALESLSQEMIKGCQLPSKMITSVLTPVDLTQE* >Brasy5G231000.1.p pacid=40074374 transcript=Brasy5G231000.1 locus=Brasy5G231000 ID=Brasy5G231000.1.v1.1 annot-version=v1.1 MATVTPGVLLRLLQTMHTEERVAGEHRSPALQVTAVVPALTASTADSLLSCPANGFLLQLSDGLHSTYVQPSPADADALIAARPHVVGSLVHLDRLRFARPVPRAVGLRPVPSSRAAVPCVGTPEPLVVRHASSCARGYIIQPAGSPSDAAPPLLPSGSGSAPAGDATDSAAAVRRTVHAPKNVSLPDAAGPAGGCSAVKRRFSSPAPAKQRDPSPAGKGTSRAASPSVKAASRAASPAVRGMSRASSPAPSKCVVPSLVAAKEENRRAAKEPAIVVPSRYRQPSPAGGRRGAASPAGGGRRGSLSPSSRRLSGEGGSKKKVGVLVAGISKMTDLSSGSAMKPGRKSWDESAMALAAAAAGGSVIKSKGKVARDSILRTQEATSRRLSDATTELSSNDDSSVDERPKPRKRMDSAAVKTKTTMVPKIVLHDPKWTDGSIPLGSVSDKLSKIGKEATERRDAAATAAADTLQEALITESVIRNLSKFSELCSSSKTSSPFPTVDLFLAVYEDTLRWKKIAESMASNVADEAAFLEKSATHWVEAALATDLEVLKLINNATGPTYQKKSTEKLKTPTVEPPRTTSLSKRQPLGGGASAKILSRASSLPANAWNKTPGLVDTIELVDALSREMQAWFLSFVDEAMDVGFHLFEDQNSIATRGKQSGHITMVLSNFKKISDWLDRVGKIAEEVATKENVEQLKRKIYQFIISHMGSAFESSVSVSTKC* >Brasy5G017200.1.p pacid=40074375 transcript=Brasy5G017200.1 locus=Brasy5G017200 ID=Brasy5G017200.1.v1.1 annot-version=v1.1 MAAAATARVHHPQVHHRVSARDTATMAAAEVRAHSHHHRAASAPAALLSRTEDRRLRRSPLPVEQQRLRRRGRAPGCGEVAGGTAAGCAAASCCLPLAVVELVVLAAVRAPAALCQRSIRKRRRAAKPKETEDDLVVSAAPATGDASPTSVAQAKNSALEADDDEAWPCWSLLKPAAVAAAAEELAEAEEEVWARFCGNGFWRSPEETW* >Brasy5G398800.1.p pacid=40074376 transcript=Brasy5G398800.1 locus=Brasy5G398800 ID=Brasy5G398800.1.v1.1 annot-version=v1.1 MAAAAVAVAEPKTKYDRQLRIWGDQGQAALEKASICLLNSGPTGTEALKNLVLGGIGSVTVVDGSKVEPSDLGNNFLLNEECLGQSRAQSVCSFLQELNDAVKVKYVEESPGTMIDTNPSFFSQFTVVIATQLPESSLLKLDGICRGADIVLVAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDICDKDPVVHKHTPYIVILVRLAEKWADAHDGKLPSTRQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSVTPGISTEIRQIIDDSSSEVNLSSSDFWVLVAALKEFIANEGNGDLPLEGTIPDMTSQTEYYVSLQKIYQAKAENDCLAMEHRVKNILKRIGRHPESISRAYIKTFCKNARKLRVCRYRSLEEEFSAPVISEVQKYFAAEDSCFAMNFYILLRAVDRLAANYSRLPGIFDSEIDEDVPRLKVAAVSVLSDMGLNGASLSEDLVTEVCRFAGAEIHPVAAFIGGVASQEVIKLVTKQFVPLMGTFIFNGIDLKSQVLAL* >Brasy5G398800.2.p pacid=40074377 transcript=Brasy5G398800.2 locus=Brasy5G398800 ID=Brasy5G398800.2.v1.1 annot-version=v1.1 MAAAAVAVAEPKTKYDRQLRIWGDQGQAALEKASICLLNSGPTGTEALKNLVLGGIGSVTVVDGSKVEPSDLGNNFLLNEECLGQSRAQSVCSFLQELNDAVKVKYVEESPGTMIDTNPSFFSQFTVVIATQLPESSLLKLDGICRGADIVLVAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDICDKDPVVHKHTPYIVILVRLAEKWADAHDGKLPSTRQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSVTPGISTEIRQIIDDSSSEVNLSSSDFWVLVAALKEFIANEGNGDLPLEGTIPDMTSQTEYYVSLQKIYQAKAENDCLAMEHRVKNILKRIGRHPESISRAYIKTFCKNARKLRVCRYRSLEEEFSAPVISEVQKYFAAEDSCFAMNFYILLRAVDRLAANYSRLPGIFDRLKVAAVSVLSDMGLNGASLSEDLVTEVCRFAGAEIHPVAAFIGGVASQEVIKLVTKQFVPLMGTFIFNGIDLKSQVLAL* >Brasy5G522400.1.p pacid=40074378 transcript=Brasy5G522400.1 locus=Brasy5G522400 ID=Brasy5G522400.1.v1.1 annot-version=v1.1 MSIFFFVSIAPHPAARRRTLQVLRPPLAQPPTKPLQVLPLPISSPLAQPPTHGRAPLTAARRGVPAAAFPLPHPPTAALPLPQGHSKLLLSLPPAPYSSRLSVLQYAALAGTTTRRRPQIGRSSHLQDDASSTEVPFCVRPPANLTSSYATPTHPSCPPSDVVPVLLLPRRPEIPVVPLRRADRQPPQLPRRPAGGRRGCCSSARGRQREATLRRLQLCSGPAAAGLRAGGGCSSALDRRQRAGGQARRWGLELGERRERLNEIEEKRTACGRSWPHCY* >Brasy5G432900.1.p pacid=40074379 transcript=Brasy5G432900.1 locus=Brasy5G432900 ID=Brasy5G432900.1.v1.1 annot-version=v1.1 MAAARLLHARRSASGLLRSLHSDPAPGQGGRWYRGAAASNYSSRDDPSRYDSSNTPVNLGLSIVPEKKAFVVERFGKYLKTLSSGIHLLVPGVDRIAYVHSLKEETIPIPDQSAITRDNVSIQIGGVLYVKIVDPYLASYGVENPMFAATQLAQTTMRSELGKITLDKTFEERDTLNYNIVRSINEAAADWGLKCLRYEIRDISPPPGVKAAMEKQAEAERKKRAQILESEGAMLDQGNRAKGEAEAILAKSEATAKGIKMVSESFKTEGSIEAASLRIAEQYIKAFAHLAKNTNTMLLPSDLGNPGAMIAQTLKIYKNTQQSKLTPGSQHAVVVELEK* >Brasy5G169000.1.p pacid=40074380 transcript=Brasy5G169000.1 locus=Brasy5G169000 ID=Brasy5G169000.1.v1.1 annot-version=v1.1 MAGAGRDPLVVGRVVGDVLDPFVRTTNLRVSFGNRNVSNGCELKPSMVTHQPRVQIGGNEMRTFYTLVMVDPDAPSPSDPNLKEYLHWLVTDIPGTTDASFGQEVMCYESPRPSMGIHRFVLVLFQQLGRQTVVYAPGWRQNFNTRHFAELYNLGPPVAAVYFNCQRENGSGGRRMYGPA* >Brasy5G245000.1.p pacid=40074381 transcript=Brasy5G245000.1 locus=Brasy5G245000 ID=Brasy5G245000.1.v1.1 annot-version=v1.1 MMRCQHVQTDRDAGLAKKICRSRVLQKIHEGDLISRFISFLAQSYGTQTCQEDDRRRLERLLLRIQTVVEEAEGRHITNRGMFLQLKTLIEGVYLGYYMLDRLKLESVGEESIDDDEVSRQSQSFAISTFNTAKRLRFDVAGTKCTTKLKGVLECLETKITDMREFVMLLSSCPRLSRQPYSTYLYIDKCMFGRHIEKEQLINFLLYDSHNFTDLSILPIIGPHRIGKKTLVQHVCKDKRVHDHFSRMFFFGGDDLRNGEFLVNCKAALGKYLFVVDFSRDVDEAAWTKFRSYLKVPGTGIKIVVIGRAEQVANLGTVRPIRLKSLSQEEYWYYFKALAFGSMDPDEHPKLASLGMQLATELNGSFLAANILGEILRANPNALFWQKVLLSIKELVQKHLMSFGIHPEDLLERNYPISFPKVALVGGQAQRYLVHDLREAGSAQGELPRLTSQELLTGGDIPAEEMFEVLVWRSRIPPYCDYVVTYEKEKQRRRVSKKKNLAW* >Brasy5G390500.1.p pacid=40074382 transcript=Brasy5G390500.1 locus=Brasy5G390500 ID=Brasy5G390500.1.v1.1 annot-version=v1.1 MARPQQEAIETFVSITGADEAVAVRKLEEHAGDLNQAVNAHFNEGDNTLNRIDQSSVPASHDDMELDGPLDNTFRSSMFPETLRDPFALMDPNFQQTFFDRVGSAGTLNRDLHNSHPGETPIEVKDSNTQAGPSRQASVIENVTGHQSSYGPDGRETIIIDDDDEELSSLPSSQHGNIHRNASQPNPAVPTAPPLVHVTDNDIEEEMIQAAIEASKREADELSNAAEQAGTQHLEGINLGDHSLNEDMETTGGTVGRQELVIGKAGTSAQSTNEENSQEETDDVEKEPLVRRRSRRIPSGDTEPTQPVLADDSPPSSSQPQNIDRQYNTTDFPSEWGGISSEEHDEAVMLEAAMFGGIPEAPTYPFSVPSQGSSSHYPQIVHSPPPALTEQRLLRGQQDDEYLASLQADQEKELKALQEAELRQLEETAAREAALEKQKQEEEEKHKKQLEEEELESNLATKQASLPLEPPTDKEGAITLVVRMPDGSRKGRRFLKSDKFQFLFDFLDVGRTCRPGTYRLVRSYPRRAFTTGDGDLSFIDLGLTSKQEALFIEPITE* >Brasy5G390500.2.p pacid=40074383 transcript=Brasy5G390500.2 locus=Brasy5G390500 ID=Brasy5G390500.2.v1.1 annot-version=v1.1 MARPQQEAIETFVSITGADEAVAVRKLEEHAGDLNQAVNAHFNEGDNTLIDQSSVPASHDDMELDGPLDNTFRSSMFPETLRDPFALMDPNFQQTFFDRVGSAGTLNRDLHNSHPGETPIEVKDSNTQAGPSRQASVIENVTGHQSSYGPDGRETIIIDDDDEELSSLPSSQHGNIHRNASQPNPAVPTAPPLVHVTDNDIEEEMIQAAIEASKREADELSNAAEQAGTQHLEGINLGDHSLNEDMETTGGTVGRQELVIGKAGTSAQSTNEENSQEETDDVEKEPLVRRRSRRIPSGDTEPTQPVLADDSPPSSSQPQNIDRQYNTTDFPSEWGGISSEEHDEAVMLEAAMFGGIPEAPTYPFSVPSQGSSSHYPQIVHSPPPALTEQRLLRGQQDDEYLASLQADQEKELKALQEAELRQLEETAAREAALEKQKQEEEEKHKKQLEEEELESNLATKQASLPLEPPTDKEGAITLVVRMPDGSRKGRRFLKSDKFQFLFDFLDVGRTCRPGTYRLVRSYPRRAFTTGDGDLSFIDLGLTSKQEALFIEPITE* >Brasy5G224600.1.p pacid=40074384 transcript=Brasy5G224600.1 locus=Brasy5G224600 ID=Brasy5G224600.1.v1.1 annot-version=v1.1 MASPPAATAPPVVRPMVALRQVPRPSWCPPLPPGRGSSRSRATAARADGCRGGGALPCFYRRRNHGAAGGVLCRPRRRSPRRRPRRRRRRRVGMFGRRALQGGHHLRTTVHCCRRSRRRSSRRRRARLAARAGRRRARRRRHRAGHKRRSRLRRR* >Brasy5G092500.1.p pacid=40074385 transcript=Brasy5G092500.1 locus=Brasy5G092500 ID=Brasy5G092500.1.v1.1 annot-version=v1.1 MKCMQVLVDAECTHDGSVKHIQKFELWGWETKCIDVYFDAQRNCFATCRAAKVKSADGWPCRSGNIHKTLRFDPVTSQTSGLFVAKLIKLPFEYILMLTVRCRGQIPNTNNVFFS* >Brasy5G513500.1.p pacid=40074386 transcript=Brasy5G513500.1 locus=Brasy5G513500 ID=Brasy5G513500.1.v1.1 annot-version=v1.1 MMHMTFYWGTSATILFDGWRTSAWPGYLLSLVALFLAAAFYQYLEAFRIRVKLLAGAKAEPLPPPAGSDAARAPLLAPAAALAGGRWPARVATAALFGVNAGIGYLLMLAIMSFNGGVFIAVVLGLAAGYLAFRSSDGEDLVVVDNPCACA* >Brasy5G168300.1.p pacid=40074387 transcript=Brasy5G168300.1 locus=Brasy5G168300 ID=Brasy5G168300.1.v1.1 annot-version=v1.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNRFHCPTVNVERLWSMVPADKAAEAAGAPDKAPVVDVSQFGYFKILGKGMLPPNTPIVVKAKLISKVAEKKIKAAGGAVLLTA* >Brasy5G175800.1.p pacid=40074388 transcript=Brasy5G175800.1 locus=Brasy5G175800 ID=Brasy5G175800.1.v1.1 annot-version=v1.1 MATAASAHGGYGYCPSNVLGLDFTKCATVLDFFKLRINESCKQDCCPLIDGLLDLDAAICLCKKLYVPGVADFTVGVRLILNECGKYCPADFRCSKYI* >Brasy5G012800.1.p pacid=40074389 transcript=Brasy5G012800.1 locus=Brasy5G012800 ID=Brasy5G012800.1.v1.1 annot-version=v1.1 MPMARSKLIQAALLAVMASMFVSPPCSATIVTAPATLPASPPAGSTSAPGPAMVSKKIPDVILPQGLGGFGGGYGGQTVPGGGLGGYNGGYPGDGGFFGGGCCGLNNGFGTFGYDNGPLFFNSAPAALTGRFLRLGSMAPLMVALAAAMLYF* >Brasy5G166400.1.p pacid=40074390 transcript=Brasy5G166400.1 locus=Brasy5G166400 ID=Brasy5G166400.1.v1.1 annot-version=v1.1 MSFRGTKKNHGRAPGPPEIHTAHKPNPNPIGGGGRSRGQRIQRLAASRVPDPGATGSRKPGSGGGFEEARVRPLAGSWATDPSASLHIGLQGSPLAGARRRPDAHRERETGRERERAAQLPDPATGSIWTWRERERERVIDRQGEIEGARRTGDGWGRGGRIRTWDGRIHRFPCKIHRIRQWGSVRGGSRGGGREGVRIQLAAMREAGWHCGSGYRRRRGELGATITRGGGQGVAGAGRWGERASGREEMRKEDREGIGKGGDREGGDIGKGGVTR* >Brasy5G314900.1.p pacid=40074391 transcript=Brasy5G314900.1 locus=Brasy5G314900 ID=Brasy5G314900.1.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPSSVQQHEAKSGNPTNPRDCHIPNFMHLNWNPMNSNQPIDTWFLQFQPDFGYQKVLAGEHLNYMGGEVDAKKVESFSPVSTLEDINPKKSEYPFEPPWIVSTAFMKQTSETAFEEFKTLSGCSQVSLKCRGNSNSLFHEDKEFMEFKTFDPLFPKKTQKACYEMDPPWAEDKKSQPWWQVVDEDGLASLVAERAMQNIENNDLPRPTQTVRVHGAKLNSHENKGSYGHISPSVKELQPELQDTMMCSYSISSTNETNSSDSGGWQQPQRKNAHGGAQDSSPGNEPAHQSQNASERAQLLDALRHSQTRAREAEMAAKNAHDEKDHIIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQLAAMFPELPWTMMKEKAAPGEERKDTKKKGRRQKKDGGFRKAIMFAVGVGIVGAGLLLGWTLGWLMPRL* >Brasy5G314900.2.p pacid=40074392 transcript=Brasy5G314900.2 locus=Brasy5G314900 ID=Brasy5G314900.2.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPSSVQQHEAKSGNPTNPRDCHIPNFMHLNWNPMNSNQPIDTWFLQFQPDFGYQKVLAGEHLNYMGGEVDAKKVESFSPVSTLEDINPKKSEYPFEPPWIVSTAFMKQTSETAFEEFKTLSGCSQVSLKCRGNSNSLFHEDKEFMEFKTFDPLFPKKTQKACYEMDPPWAEDKKSQPWWQVVDEDGLASLVAERAMQNIENNDLPRPTQTVRVHGAKLNSHENKGSYGHISPSVKELQPELQDTMMCSYSISSTNETNSSDSGGWQQPQRKNAHGGAQDSSPGNEPAHQSQNASERAQLLDALRHSQTRAREAEMAAKNAHDEKDHIIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQLAAMFPELPWTMMKEKAAPGEERKDTKKKGRRQKKDGGFRKAIMFAVGVGIVGAGLLLGWTLGWLMPRL* >Brasy5G314900.4.p pacid=40074393 transcript=Brasy5G314900.4 locus=Brasy5G314900 ID=Brasy5G314900.4.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPSSVQQHEAKSGNPTNPRDCHIPNFMHLNWNPMNSNQPIDTWFLQFQPDFGYQKVLAGEHLNYMGGEVDAKKVESFSPVSTLEDINPKKSEYPFEPPWIVSTAFMKQTSETAFEEFKTLSGCSQVSLKCRGNSNSLFHEDKEFMEFKTFDPLFPKKTQKACYEMDPPWAEDKKSQPWWQVVDEDGLASLVAERAMQNIENNDLPRPTQTVRVHGAKLNSHENKGSYGHISPSVKELQPELQDTMMCSYSISSTNETNSSDSGGWQQPQRKNAHGGAQDSSPGNEPAHQSQNASERAQLLDALRHSQTRAREAEMAAKNAHDEKDHIIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQLAAMFPELPWTMMKEKAAPGEERKDTKKKGRRQKKDGGFRKAIMFAVGVGIVGAGLLLGWTLGWLMPRL* >Brasy5G314900.3.p pacid=40074394 transcript=Brasy5G314900.3 locus=Brasy5G314900 ID=Brasy5G314900.3.v1.1 annot-version=v1.1 MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPSSVQQHEAKSGNPTNPRDCHIPNFMHLNWNPMNSNQPIDTWFLQFQPDFGYQKVLAGEHLNYMGGEVDAKKVESFSPVSTLEDINPKKSEYPFEPPWIVSTAFMKQTSETAFEEFKTLSGCSQKTQKACYEMDPPWAEDKKSQPWWQVVDEDGLASLVAERAMQNIENNDLPRPTQTVRVHGAKLNSHENKGSYGHISPSVKELQPELQDTMMCSYSISSTNETNSSDSGGWQQPQRKNAHGGAQDSSPGNEPAHQSQNASERAQLLDALRHSQTRAREAEMAAKNAHDEKDHIIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQLAAMFPELPWTMMKEKAAPGEERKDTKKKGRRQKKDGGFRKAIMFAVGVGIVGAGLLLGWTLGWLMPRL* >Brasy5G184800.1.p pacid=40074395 transcript=Brasy5G184800.1 locus=Brasy5G184800 ID=Brasy5G184800.1.v1.1 annot-version=v1.1 MQLQDVWAVDMESKLNDSEPLAKREKWQKHSIFRVPPSFKMVHASGEASIYTPQTVALGPFHHGKDGLMPMEEHKMRAVRHLLYRADRTIGELVESVEVVADELEDAYMDLGDEWRGENRGKFLEMMIADGCFLLEVTRKGDRNDYLDSDPVFSKNGMQHIRPFVQRDMLMLENQLPLKVLQRIVAVETGKPPNDGDVAINEMVLAFLSPKWGPHVPIDGLGLHPLDLFRRSLLKCSVTHYRGAPGTAGPERVERNVPRSAQKLANAGIRFRTNSQKFQLNDIDFHRMTRRLMMPRVFLDDTTTYKYHNMMAFEALHVRTSNDVTSFVLFMRDIIDSAEDVALLRRKGIVVHDLADNDGAVVKMFHTLTRDVSKYQQSRLGGIREEMEDRYEHIVLKGLCCEPWALLKNKYFRNPLTFIAFVATIWLVVTDIMQTVYAVMSYEVAKQSLQAQLKDTKR* >Brasy5G036900.1.p pacid=40074396 transcript=Brasy5G036900.1 locus=Brasy5G036900 ID=Brasy5G036900.1.v1.1 annot-version=v1.1 MEGRICFLVLAVLLLLPASPTLAADQHECELKGPSVCVAKATADDKRVQAALDYVCGHGADCSAIQPGAACFKPDTRLAHASYAFNDYYERQGRNPSACDFAGVGTVIPRVPKAAGKCKAIQFESRICTPLLCTTECLNKINPDYKTIKDAYCANKNGHRFCHCQVCPVD* >Brasy5G291200.1.p pacid=40074397 transcript=Brasy5G291200.1 locus=Brasy5G291200 ID=Brasy5G291200.1.v1.1 annot-version=v1.1 MAMVAAGGNMMTREQLLHLFSRFSFLTSLPEVKNRIADAVRDKQEAVAVTTEIQEEILREMGIDPGFGIGCLGKVNAVFENDMDLMIKFYQFVAKEEIAIDEAELEPIEFAMKIHDQQELQQQQLEMLVQMRKYSPESQSVILETLHKQLESANFDTSASILTAEQIQEIVQK* >Brasy5G074400.1.p pacid=40074398 transcript=Brasy5G074400.1 locus=Brasy5G074400 ID=Brasy5G074400.1.v1.1 annot-version=v1.1 MGKGEITAQCRIILTEPQDLLPTIMNQLDMSPFTLILV* >Brasy5G490800.1.p pacid=40074399 transcript=Brasy5G490800.1 locus=Brasy5G490800 ID=Brasy5G490800.1.v1.1 annot-version=v1.1 MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNSEEVAIKLESVKSRHPQLHYESKLYMLMQGGTGIPHLKWFGVDGEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQMIARVEYMHSRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYTYLKKLFRDLFTREVYQFDSIYDWTVSRQVAENNRLRPSGRTGGLVGPSAERAERTAARQDVPERFSGSVDTFARRTGSGSGHHGENTKHRTLLDSLLAPKMAVDSDKRRPTSSRNGSTSRKALLSSSRPSSGEPSDPTRTSHLIPTSSGGSRPSTTQRLHQSTGLETRSSSLSKTARNVHDDPTIRIFERLTISADRRK* >Brasy5G094900.1.p pacid=40074400 transcript=Brasy5G094900.1 locus=Brasy5G094900 ID=Brasy5G094900.1.v1.1 annot-version=v1.1 MPAQVLQFLRPRAVAHGHGHGQATRRMRSAEIAAMAARERDSRDRELLILPVSSEPAVGMMSAAAGDDSEPTTPVMIAPPAARAHHLHHPTGIEAFSRLIRSWTWKKFMSGCVILLPIAITFYTTWWFIRFVDGFFSPIYNHLGINVFGLGFATSITFIFLVGVFMSSWLGASLLGLGEFFIQKMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVTLRGGVDQELACVYVPTNHLYLGDIFLMSRADVIIPDLSVREAIEIVLSGGMSVPQIISGVEGTAVGGDHVRAVKAP* >Brasy5G496100.1.p pacid=40074401 transcript=Brasy5G496100.1 locus=Brasy5G496100 ID=Brasy5G496100.1.v1.1 annot-version=v1.1 MLRRNTRLRREYLYRKSLEGKERLHYEKKRRVREALEEGKPIPTELRNEELALRREIDLDDQDRAVPRSIIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHEITDLILVHEHRGQPDGLIVCHLPLGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIMKHLFPVPKPDSKRLITFANRDDYISFRHHIYEKHGGPKSIDLKEVGPRFELRLYQIKRGTVDQSEAQNEFVLRPYINTAKKQKSLGA* >Brasy5G298900.1.p pacid=40074402 transcript=Brasy5G298900.1 locus=Brasy5G298900 ID=Brasy5G298900.1.v1.1 annot-version=v1.1 MSFPRDADLITITKIFVRTMKLGGQGRLNRAFREKRARFYIFRRCVVMLLRWSD* >Brasy5G402900.1.p pacid=40074403 transcript=Brasy5G402900.1 locus=Brasy5G402900 ID=Brasy5G402900.1.v1.1 annot-version=v1.1 MPSPLTRLRDREFYSPPSEPPADRFIPTRALMDLDLARNSLYAPRRQDPGATTTSPDASKEQYRRWVEENLRAGPDGKSFRMLPFRSPRGGDGCRRSLRLVDDMVEEAKESSSPAPAPRWRCIPMRPSVVFDVPGMTTDFYLNLMDWGKENIMAMALGSSVYLRKEEDTSAQQLLQRDGGTACPTSVSWSCDGKRLAVGFADSQIEVWDIHAMHRIRTFGGHTDRVGSLCWNGNILTSGSRDKYIINYDVRSGKGVYHLKGHRSEVCGLRWSPDGMRLASGGNDNAIYVWHSLNLEPTKFLYRFTEHTAAVRALAWCPFQTNRLASGGGTADRCIKLWNTETGTCAKTTETGSQVCALVWDRHENDIISAHGYNNNQLSLWSYPSMEKVADLKWHTSRVLELSQSPDGSKVASASADETVCLWKISEPRSPSKKVTDDDDSVLSLKRLQIR* >Brasy5G312100.1.p pacid=40074404 transcript=Brasy5G312100.1 locus=Brasy5G312100 ID=Brasy5G312100.1.v1.1 annot-version=v1.1 MGLAPPELGQFDGWESSGEEERERWGWCRRNRSNRRRLPRKGSEDASVTTGCCIRLWPMGSCPPPPRSKGDTSTSSASTHGAEKSTENGSRNQPVASVVSGSTSTSNAESSSSASKAGEEIKVSSQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAQGLSFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLVDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSLNGRSSHSAKAHGSFARANGQQPVRSMSDGPRASPFRYSPKPNMK* >Brasy5G312100.2.p pacid=40074405 transcript=Brasy5G312100.2 locus=Brasy5G312100 ID=Brasy5G312100.2.v1.1 annot-version=v1.1 MGLAPPELGQFDGWESSGEEERERWGWCRRNRSNRRRLPRKGSEDASVTTGCCIRLWPMGSCPPPPRSKGDTSTSSASTHGEKSTENGSRNQPVASVVSGSTSTSNAESSSSASKAGEEIKVSSQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAQGLSFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLVDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSLNGRSSHSAKAHGSFARANGQQPVRSMSDGPRASPFRYSPKPNMK* >Brasy5G381800.1.p pacid=40074406 transcript=Brasy5G381800.1 locus=Brasy5G381800 ID=Brasy5G381800.1.v1.1 annot-version=v1.1 MGSSSGEEEGTDKWVPPSQRPELADVVPVPQDGGPCPVVSIAYHDDFREVMDYFRAFYAAGERSPCALHFTAEAIHFNPGNYTVWHFRRLVLEALDTDLLQEMNFVDQIAESNPKNYQVWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.3.p pacid=40074407 transcript=Brasy5G381800.3 locus=Brasy5G381800 ID=Brasy5G381800.3.v1.1 annot-version=v1.1 MGSSSGEEEGTDKWVPPSQRPELADVVPVPQDGGPCPVVSIAYHDDFREVMDYFRAFYAAGERSPCALHFTAEAIHFNPGNYTVWHFRRLVLEALDTDLLQEMNFVDQIAESNPKNYQVWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.4.p pacid=40074408 transcript=Brasy5G381800.4 locus=Brasy5G381800 ID=Brasy5G381800.4.v1.1 annot-version=v1.1 MWCRCRRTAVPAPWSPLPTMTTSVRSWTTSAPSTLPASEAPVLSTSLLKPFTSTLVWHFRRLVLEALDTDLLQEMNFVDQIAESNPKNYQVWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.2.p pacid=40074409 transcript=Brasy5G381800.2 locus=Brasy5G381800 ID=Brasy5G381800.2.v1.1 annot-version=v1.1 MWCRCRRTAVPAPWSPLPTMTTSVRSWTTSAPSTLPASEAPVLSTSLLKPFTSTLVWHFRRLVLEALDTDLLQEMNFVDQIAESNPKNYQVWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.5.p pacid=40074410 transcript=Brasy5G381800.5 locus=Brasy5G381800 ID=Brasy5G381800.5.v1.1 annot-version=v1.1 MSYQLCSTVRGYAKWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.6.p pacid=40074411 transcript=Brasy5G381800.6 locus=Brasy5G381800 ID=Brasy5G381800.6.v1.1 annot-version=v1.1 MSYQLCSTVRGYAKWHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G381800.7.p pacid=40074412 transcript=Brasy5G381800.7 locus=Brasy5G381800 ID=Brasy5G381800.7.v1.1 annot-version=v1.1 MALPLRHHKRWLAEKLGPDAANSEHEFTRKMLAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPLLGGLVAMRDSEVDYTVEAIMANPRNESPWRYLKGLYKGDNYLLVADGRISDICLKVLKDDSTCIFALGLLVDLLRMGLQPSDELKGTIESMENSDSETSHVDLATAVCSILQKCDPLRINYWSWYRTTLS* >Brasy5G047900.1.p pacid=40074413 transcript=Brasy5G047900.1 locus=Brasy5G047900 ID=Brasy5G047900.1.v1.1 annot-version=v1.1 MQMKERQRWRPEEDAILRAYVRQYGPREWSLVSQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTDEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPPPEPSPDERGRYEWLLENFAEKLVKERQIAAPPAMAGGAPLLPPWMSSSNAAVAVAMDVVGPPPPPSPSVTLSLASAAVAAPMPTPAAAAPWMQHQQDGGGGFGLVAARADAMAEVAECCRELEEGHRAWAAHRKEAAWRLKRVELQLESERASRRREAAEEFEAKMRALWEEQAAAVERLEQEYRDKVAGLRRDAELKEQKMAEQWASKHARLAKFVDQVAGGSSCRRWPPAEINGGR* >Brasy5G047900.2.p pacid=40074414 transcript=Brasy5G047900.2 locus=Brasy5G047900 ID=Brasy5G047900.2.v1.1 annot-version=v1.1 MQMKERQRWRPEEDAILRAYVRQYGPREWSLVSQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTDEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPPPEPSPDERGRYEWLLENFAEKLVKERQIAAPPAMAGGAPLLPPWMSSSNAAVAVAMDVVGPPPPPSPSVTLSLASAAVAAPMPTPAAAAPWMQHQQDGGGGFGLVAARADAMAEVAECCRELEEGHRAWAAHRKEAAWRLKRVELQLESERASRRREAAEEFEAKMRALWEEQAAAVERLEQEYRDKVAGLRRDAELKEQKMAEQWASKHARLAKFVDQVAGGSSCRRWPPAEINGGR* >Brasy5G182500.1.p pacid=40074415 transcript=Brasy5G182500.1 locus=Brasy5G182500 ID=Brasy5G182500.1.v1.1 annot-version=v1.1 MVAMGLFASMPASMAATKEAFYRLLDDQPELIAQYIASAFSDPTQRPGAARVLCDLLLVPELGSDRAMFWSRLPDAVQKQAKHSIRVAFYSEPSITILKLATQPPVSSWAEAWPHFLPFLMRSTAASQRGDSDCFKHQEAAMFILSTVQPIEALSDALDALCEVLVHHLSHYASDLRIRAIGATANCIKCLPTKTQKKKLAVLLMPLIVTALYDCLDPDREESAQAALLLLVDLAVADPGFLRLHLEDMETPMLKIAMAKELTSGTRCPAVLFCHNIVEGATTAIRAETAMRKVSALLAFAYRLAEFLMDLILEVDDDSIWPLPQAAHGEQNIHEVAQRCLHQLSIAVGGNALVPVALPWITKHLAAEESEWKSHYAALVSVAQIADGCDYWMLHNLDKVVPMILKGLEHPHSGVQCAAIDGIYMLCEELGLDKYAPQNSDSLLSLARAMFRFVRPVQEHAANTLSKILKNTSVKMHAVDVQEIVSLLQDCQIGDDVMTVSNVDSLSLHGSTSQQVPRIPQDTVNIQAHRIHQSSQAAVQQPEEPVNKKTLIEYMKALSNGAVTTTASLNVYLATTEESWPKELKFLLHICLYTSLPFCLVTTGFANSVEHHPEWLNRTQILILSVVSYTLAVGGLVGLAKESIWTAGCVSTLAVVVFLAFWSIQFNYPKWLKKGLAGIWQPCASQLRSIRERLVRMITQVDGAPERRGGWFSWRRRVREDGGQTAV* >Brasy5G382300.1.p pacid=40074416 transcript=Brasy5G382300.1 locus=Brasy5G382300 ID=Brasy5G382300.1.v1.1 annot-version=v1.1 MTKHLEPITLHRRIIDPSRSNDMESQDPFRDTTNVVKVDSTSSSSSKGKQGIMIGALSLFIKEIRRSDGALAAWILSSVICVDRPNVLIFSCIMMISDKLKSIVLWVVFVFDAKLSSQIGTEDVIYFLQFLL* >Brasy5G358100.1.p pacid=40074417 transcript=Brasy5G358100.1 locus=Brasy5G358100 ID=Brasy5G358100.1.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRHAADSGSSAHLNSEDETQYETTEDGAASSTPKSATSRTSVKTLYWIIKKFNK* >Brasy5G358100.5.p pacid=40074418 transcript=Brasy5G358100.5 locus=Brasy5G358100 ID=Brasy5G358100.5.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRWTICTLRASFTTSCT* >Brasy5G358100.2.p pacid=40074419 transcript=Brasy5G358100.2 locus=Brasy5G358100 ID=Brasy5G358100.2.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRWTICTLRASFTTSCT* >Brasy5G358100.4.p pacid=40074420 transcript=Brasy5G358100.4 locus=Brasy5G358100 ID=Brasy5G358100.4.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRWTICTLRASFTTSCT* >Brasy5G358100.6.p pacid=40074421 transcript=Brasy5G358100.6 locus=Brasy5G358100 ID=Brasy5G358100.6.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRWTICTLRASFTTSCT* >Brasy5G358100.3.p pacid=40074422 transcript=Brasy5G358100.3 locus=Brasy5G358100 ID=Brasy5G358100.3.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRLSVPLIYWEREF* >Brasy5G358100.11.p pacid=40074423 transcript=Brasy5G358100.11 locus=Brasy5G358100 ID=Brasy5G358100.11.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRLSVPLIYWEREF* >Brasy5G358100.8.p pacid=40074424 transcript=Brasy5G358100.8 locus=Brasy5G358100 ID=Brasy5G358100.8.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRLSVPLIYWEREF* >Brasy5G358100.13.p pacid=40074425 transcript=Brasy5G358100.13 locus=Brasy5G358100 ID=Brasy5G358100.13.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRLSVPLIYWEREF* >Brasy5G358100.12.p pacid=40074426 transcript=Brasy5G358100.12 locus=Brasy5G358100 ID=Brasy5G358100.12.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFRLSVPLIYWEREF* >Brasy5G358100.7.p pacid=40074427 transcript=Brasy5G358100.7 locus=Brasy5G358100 ID=Brasy5G358100.7.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFHMDKCAL* >Brasy5G358100.9.p pacid=40074428 transcript=Brasy5G358100.9 locus=Brasy5G358100 ID=Brasy5G358100.9.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFHMDKCAL* >Brasy5G358100.10.p pacid=40074429 transcript=Brasy5G358100.10 locus=Brasy5G358100 ID=Brasy5G358100.10.v1.1 annot-version=v1.1 MCLPPPGPPPPLGHGPPVLLRHRGRLPAGRLSPPLPCSRGVPSSCALDLRLSPCAMPDGAGSGRPRPRDAGSVLPWSCRRRIRCSSQYNMRPVKMVQDLQHQSPQHPELLPRLCTGCNTHVLLFHMDKCAL* >Brasy5G046300.1.p pacid=40074430 transcript=Brasy5G046300.1 locus=Brasy5G046300 ID=Brasy5G046300.1.v1.1 annot-version=v1.1 MSLLALPACVVAQEIVNSQLLGWLGKGKQSSRAMVTNTSILRLFSPHVATRRRISTAPNRVAFAVSSAAAQIGSFISKKPYTPPSWALDLSPVPSHNFTLGQFPTPIHKWNLPNLPKGTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAMAAKYVNLDCYLILVTSRLLVDEDPGLVGNLLVSRLLGAHIDLVSKGEFCKIGSVALTDLLNKRLLEKGRKPYVISGGGSNSFGNWGYIEAVREIEEQIQLSGDVHFDDIVVACGSGGTVAGLALGSQLSSLKTKVHGFSVCYNPGYFYDNVQGLIDGLQSGLNSHDIVRIEDAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYRMLKDMSNNPTKWEGRKVLFVHTGGLLGLYDKVDQLSSFAGSWQRMDLEEFVHAKKAHARCSA* >Brasy5G046300.2.p pacid=40074431 transcript=Brasy5G046300.2 locus=Brasy5G046300 ID=Brasy5G046300.2.v1.1 annot-version=v1.1 MSLLALPACVVAQEIVNSQLLGWLGKGKQSSRAMVTNTSILRLFSPHVATRRRISTAPNRVAFAVSSAAAQIGSFISKKPYTPPSWALDLSPVPSHNFTLGQFPTPIHKWNLPNLPKGTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAMAAKYVNLDCYLILVTSRLLVDEDPGLVGNLLVSRLLGAHIDLVSKGEFCKIGSVALTDLLNKRLLEKGRKPYVISGGGSNSFGNWGYIEAVREIEEQIQLSGDVHFDDIVVACGSGGTVAGLALGSQLSSLKTKVHGFSVCYNPGYFYDNVQGLIDGLQSGLNSHDIVRIEDAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYRMLKDMSNNPTKWEGRKVLFVHTGGLLGLYDKVDQLSSFAGSWQRMDLEEFVHAKKAHSNVA* >Brasy5G046300.3.p pacid=40074432 transcript=Brasy5G046300.3 locus=Brasy5G046300 ID=Brasy5G046300.3.v1.1 annot-version=v1.1 MSLLALPACVVAQEIVNSQLLGWLGKGKQSSRAMVTNTSILRLFSPHVATRRRISTAPNRVAFAVSSAAAQIGSFISKKPYTPPSWALDLSPVPSHNFTLGQFPTPIHKWNLPNLPKGTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAMAAKYVNLDCYLILVTSRLLVDEDPGLVGNLLVSRLLGAHIDLVSKGEFCKIGSVALTDLLNKRLLEKGRKPYVISGGGSNSFGNWGYIEAVREIEEQIQLSGDVHFDDIVVACGSGGTVAGLALGSQLSSLKTKVHGFSVCYNPGYFYDNVQG* >Brasy5G271100.1.p pacid=40074433 transcript=Brasy5G271100.1 locus=Brasy5G271100 ID=Brasy5G271100.1.v1.1 annot-version=v1.1 MQGGNHMLLEEPVRLASVLAPAKPKVFPSLTKIVGTLGPNSRSVEVIEECLTAGMSVARFDFSWKDATYHQETLDNLRKAAQNLKKLCPIMLDTVGPEIQVHNSTGAPIELKAGNHVTITPDLSKAPSAEILPIKFGDLAKVVTKGDTLFIGQYLFTGSETTSLWLEVIETSGEEVICLVKNTATLAGPIFTLHVSQVHISMPTLSEYDKQVISTWGLQNSVDIISLSHTRSSEDVRELRAFLQSHDLQDTQIYAKVENAEGLEHFDEILQEADGIIISRGDLGIDLPPERVFMSQKTGIHKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDAILLGAETLRGQYPVDSVSTVGRICAEAETVYNQSLHFKKVVRHVGEPMAHEESVASSAVRSAMKVKAAAIVVFTFSGRAARLIAKYRPPMPVLAVVFPREGSDPSKWRSYGTTQARQCFSVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVGIIKPFDRVIIFEKIGDSSVVKIIECENSQ* >Brasy5G103000.1.p pacid=40074434 transcript=Brasy5G103000.1 locus=Brasy5G103000 ID=Brasy5G103000.1.v1.1 annot-version=v1.1 MNVGLMNTMSSDDESAEEVEEVKVCDICGDVGEEEKLAVCNRCNDGAEHIYCMRVMMEEVPEGEWFCEDCQTEVKFEKDKKTSEKTQVKVGTSKEESVEGKINEPANAGQSRSPSENEVTVDNAGKNERNKANQDVCMVTKRKEEDAGVTSVAIQNVSEPGGLSMMPDSSKRMPLSRASSSRFDGGKGKQPTPQMPTLLASSAAKNQAPPLSGQLSKSSSFNNSKVPKVKQLMNEIPQKPKTLKDLLSCSMKKEGPTGFSTKSASFKKPKPREPANKTESSILPPAEVPIVMNSPVSRNVNNDRGTSILGCPSITGSVAFPVQSKTESAAQCLTTGNNMANSSDLGREQGARNSHENSELNKPLLTNAHAGITSTSADRSSGILSSGALRDVSQNSDASHRNDKIKNPSGLRPGASSSSRTIRCQRCNELGHSTQFCAVDKLSLSGIKPLSERNRKDSSVKRNKTSEANDLVATEKATSRLADQSEHILKCDPYHDPLSSTDNSNLKFSQAVPAMTGRSVHSSSTVSSDPVDKSIQGFSPVDTTIVSTVPELDYIWQGDFELWRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQCSWPTQFQENGPTYDNIGVFFFARDTQSYENHYSKLVQNMLDKDLALRGNIETAELLIFPSNILTKNSQRWNMLYFLWGVFRVKRKDHWNIRSDVPISTGQHNMNDNPLAVDLHPSSLTSSCSSSGDQNNGAEPDCNLVKPATCADHQCLHPSEANYQLCSNGRNSLCQPVDGRDLTNNRSTLNDCSGAPARKHQKLAYPESQNKIIGSSGGYAGGEIFDVNKVPVTCSTPFVHKEGNGNADVNLHKAETLTYVDHVNTIEANSGPVGPVMHASGSAQKRNVEMANWTDGVNESLEHKKIKLDNVSSTADSSVSENIGDGKLSSKIHPLTASSVDDCIDNKAMAGTSRSNGKCIFPLDLNVVDDPVPGNIGTIMSSDGEDLPKHDARDLKLELGDNISPVNPMFPFLSPMVEEKQNMGDTPPTDASAALSLSLAFPESKEQAGKVQSESQSQLPERSSTVKSSSNWE* >Brasy5G310400.1.p pacid=40074435 transcript=Brasy5G310400.1 locus=Brasy5G310400 ID=Brasy5G310400.1.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.8.p pacid=40074436 transcript=Brasy5G310400.8 locus=Brasy5G310400 ID=Brasy5G310400.8.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.3.p pacid=40074437 transcript=Brasy5G310400.3 locus=Brasy5G310400 ID=Brasy5G310400.3.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.7.p pacid=40074438 transcript=Brasy5G310400.7 locus=Brasy5G310400 ID=Brasy5G310400.7.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.2.p pacid=40074439 transcript=Brasy5G310400.2 locus=Brasy5G310400 ID=Brasy5G310400.2.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.4.p pacid=40074440 transcript=Brasy5G310400.4 locus=Brasy5G310400 ID=Brasy5G310400.4.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQFAERTLDAPGVVDDYYLNLLDWGSKNVLSIALENTLYLWNSADSSTSELVTIDNDHGPITSVRWACDGKHIAIGLNSSDIQLWDTSSNRLMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.5.p pacid=40074441 transcript=Brasy5G310400.5 locus=Brasy5G310400 ID=Brasy5G310400.5.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G310400.6.p pacid=40074442 transcript=Brasy5G310400.6 locus=Brasy5G310400 ID=Brasy5G310400.6.v1.1 annot-version=v1.1 MEAAGSGSAAPTPKRRRLELRPLVPLEVAGARRPYMPSLCSNSNNPSAKCYGDRFIPDRSAMDMDMAYYLLTEPKKDQENEVMVSPAKEAYRRLLAEKLLSSRTRILAFRNKPPEPEGTMPQILVETLTSNQTKPAKRRRKIPQMRKLQGVHQSRVASLAWNNSILTTGGMDGKIVNNDVRMRSHMVQTYRGHAAEVCGLRWSGSCQQLASGGNDNLVHIWDVSMASSNPSLGYSRWLHRFSDHLAAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGGQVCALLWNKNEKELLSACGFVQNPLTLWKYPSMVKLAELDGHTSRVLCLARSPDGSTVASVAADETLRFWNVFGTPQALKPAVNTGMFNSFSHIR* >Brasy5G389300.1.p pacid=40074443 transcript=Brasy5G389300.1 locus=Brasy5G389300 ID=Brasy5G389300.1.v1.1 annot-version=v1.1 MVELCPYPNPSGAIRIRKPPPSPLPSPRAAAASSLPAAAALASSFRGLFAARRRFPRREPRLPPRCPRREPPPPLPSPRASAASSLPAAAALAASPRCLLAARRRCPRRAPPRPPRGPPPLHSPRAAPPSSRPAILTACRRCPRLRAPLPSLSSLPCYVVLGGGGSS* >Brasy5G235500.1.p pacid=40074444 transcript=Brasy5G235500.1 locus=Brasy5G235500 ID=Brasy5G235500.1.v1.1 annot-version=v1.1 MGNCQAAEAAEIVIQHPGGKAERLYWPTTAADVMRTNPGHYVALVILRLSPTDKAAAAGDEAAAAAVVGAGGAAKITRVKLLKPKDTLHLGQVYRLITAQEVTKALRARKNDKARRCEAIRQQHEQLRRGDGGAEQGACCEQDAKRDKDRHRSSGGDAQPAGAGRGRHWRPSLQSISEAAGQSSSSSNSISESTTS* >Brasy5G437900.1.p pacid=40074445 transcript=Brasy5G437900.1 locus=Brasy5G437900 ID=Brasy5G437900.1.v1.1 annot-version=v1.1 MAASLEDVPSVELMTELLRRYKCSAKPDKRIILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMNKGELVSDDLVVGIIDEAMKKPSCEKGFILDGFPRTVVQAQKLDEMLAKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVSGEPLIQRKDDTAEVLKSRLEAFHIQTEPVIDYYSKKGLVANLHAEKPPKEVTAEVQKALS* >Brasy5G069900.1.p pacid=40074446 transcript=Brasy5G069900.1 locus=Brasy5G069900 ID=Brasy5G069900.1.v1.1 annot-version=v1.1 MEDKGNCLAGRPAQKTPLECGPVLARPVDCSTNVCSARTSKPKPVYPPVVGPYMPKAPVPAPAKYPPVVGPYMPKAPPPVPVMSPPPPVKSPPPPALVSSPPPPVKSPPPPTPVSSPPPPVKSPPPPVPVSSPPPPPAPVSSPPPPPAPVRSPPPPVKSPPPPAPVSSPPPPAKSPPPPALVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPHVKSPPPPAPVSSPPPPVKSPPPPAPVISPPPPAPSPPPPAQVRSPPPPVFPPPPPVVPSPPPPASSPPPPAPAEIILPPIKAQNYMSPPPPQFQGY* >Brasy5G134200.1.p pacid=40074447 transcript=Brasy5G134200.1 locus=Brasy5G134200 ID=Brasy5G134200.1.v1.1 annot-version=v1.1 MEEPPRDNAHSKNGPEPELEPMILDDNEESRSHTMDDSNGQSSMDIDIEGSSMGEQITADMNLTSLEKFCKEASRSFFEEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPGYDPSKKGPGGWRHAIIKFGKVKLEEPVFWSGKIGIDEESLKLKPRHARLQNMTYSSKMEVEVNIQVYSMEKSDKAKTGNDHFGHKRDIINETHWVTIGRLPVMVNSDLCWLHKLGESDCLFDSGGYFLIKGMEKIFIAQEQRCLTRIWVADRPCWNISYLSEMKRRRIYIKLIDSTTNNDLNGAKIISISFLYANMPIWLLFFALGVSSDKEVFDMIDMKDCDASVINAISATIRESDELCEGFRQSDKARKYVDDLVKSSKFPPAEPFTDYVAKYLFPGISGNRNKAFFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLELPGQLLGRELRAHLRHAERLMVKAMQRDLNSDRDLQFPLRYLDASIITNGINRAFATGSWSHPYIRNERCSGIVATLRRTNPLQMMSDLRKSRQQVAYAGKVGDARYPNPSYWGKMCFMSTPDGENCGLVKNLAVTAIVSSRVVQPLIDRLVSCGMKKLDEISAKEIPKMDKIFLNGDWIGSCTDPASFVMRLRCMRRANLIDPQVEIKRDKHQFPGEVRVFSDAGRILRPLLVVENLNKIRKSKDRPYTFQALLQQEIIEYIGVEEEEDIQCAWGIRHLLPSSGEKVSGYTHCELDLSFLLGLSCGLIPFANHNFARRVLYQSEKHSQQAIGYSTTNPLTRVDTHSHQLYYPQRPLFKTVTADCIGRSDYTIGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHIRSYKAEVETKEPIKRLKLKEKVDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKMKHTEKGMVQRVLLSANDEGKNFAVVTLRQVKLMANVES* >Brasy5G036700.1.p pacid=40074448 transcript=Brasy5G036700.1 locus=Brasy5G036700 ID=Brasy5G036700.1.v1.1 annot-version=v1.1 MATLRFLVLAVLLLLLLLLLLPASPALAEGECRLSGPSVCVANPEVGEARLQAALDYACGHGADCSAIQPGASCFNPNTRLRHATYAFNDYYRRHGRTPSACDFAGAGEVVFRIPKPEDECRPIQFESRSCTKLLCTLECLSKINLHDKTIRDPYCANDKHGLLFCHCKVCKV* >Brasy5G514800.1.p pacid=40074449 transcript=Brasy5G514800.1 locus=Brasy5G514800 ID=Brasy5G514800.1.v1.1 annot-version=v1.1 MSLSAISMYTSPPGAVYSSEFDPSCRGSSPCTTATPPPPGTSHRPSAVAGGLSCLFSSPAAAAAPPRAPAHDELGALWHDGSDDLSFGGGYSHSSSPLKRRDLHHHHHSPVSVFQGPSSSPASRSPPASWLTGSERERLFSGFVRNALGSCIDYVPATSPRPELGGGELAFELDENLPEASPACEPYARELLAGAQARHRIFHEELVVRAFFEAEKAHHGQTRASGDPFLQHCVETAVLLAKIGANSTVVSAGLLHDTIDDSFVDYDHIFHLFGAGVADLVEGVSKLSHLSKLARDNNTASRTAEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEYTELSSKLTETFDEALITSAVDTLDEGLREAGVSYRNLSGRHKNLYSVYSKMLKKNLTMDEVHDIHGLRLVVDKEEDCYQALEVVHKLWPQVTGRFKDYISRPKLNGYRSLHTVVLSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGSCRHSFVLQMVEWARWVLTWQCEAMNKEHSSCQVNSDSIRPPCPFPLHSEECAYSYTRQCNHEGPLFVILLEHDKMSVQEFPAGSTVMDLMDRVGANSPRWSPYSVPMKEDLRPKVNHEPISNPRRPLGMGDVVELTPALPDKSLTEYREEIQRMYDCGGFALAATRGGRGGSRR* >Brasy5G039100.1.p pacid=40074450 transcript=Brasy5G039100.1 locus=Brasy5G039100 ID=Brasy5G039100.1.v1.1 annot-version=v1.1 MAFQIKPLRPVEQNELFFRLYLKREGSGSNDKTIVQSKEQIEFGLVLASDLPIYDGFGPKAKLVARLQGLQSQAGKLTDGWQASVNIVFEDERFNGSTLHVMGTGLHKREWAIVGGTGEFTLAQGIMYNLLMHGEFQEIELRALYTPMKKVPWTLGVPGTTQQAKREHQHTRVTQ* >Brasy5G522900.1.p pacid=40074451 transcript=Brasy5G522900.1 locus=Brasy5G522900 ID=Brasy5G522900.1.v1.1 annot-version=v1.1 MTCFFPYLREWEAMRYLLAAQADLVVAVRLVLRDRCLGCFSLGGDSFKMALKCAALAANHAHPDRLVATWSDPSSSSLRELRSEIASMEEDDDARRLVTLARLQTNLSSMCGSPAGRSCSWEELAADHGHDDDHKEEVASTMSLRYYLGAMARLPAEYHRSMLYAGHCYGPLDPVSNIILNTASCHHFPFPTPTDKDDHSLYGKQRLILRAGARSLYGLASFLATRYPGLDFHAAIRCLLSAGGHLQEACKEAERQLELGHRPHDNGVLQEEAYAAAAIAAWHPQPDAQASCLASISISLQESSSSTSMEIATLLSLRLQDQQQLMISSQDLERLASLLLLTTNNPDDIPTPPTSPPSGGSLASSSDRKMYAKVKAALSKYYANTEELEIHAICGVNDRVLGPHILDKYDRRTGLPYTHHYYNTHVNFLATTTHCRTNKQQPTLFFAELSNDDDDNDGDMACPVSVTAGQRCLCCDYEGSRIVHPPDAVHGFHGRDPDFHRMVCKQDLFDDDVDPQLQGRYYTNSTIIRQSDDIAEWIEDGRYYFDLDVDDWC* >Brasy5G362500.1.p pacid=40074452 transcript=Brasy5G362500.1 locus=Brasy5G362500 ID=Brasy5G362500.1.v1.1 annot-version=v1.1 MAGGESEAQRRAALLREIMGEGGFAFAASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGHAWRDAYALACLHVARLRLAAADRRAALRALDMGLIMGGNLLRADLEDAVARIVADSSDGDGAETGEEAQRWREGLDRNRDIADALKILPKKSLSCKEIERRSCISLEEFICDYFLRESPVIISGCMNHWPAMTKWKDIQYLKRIAGDRTVPVEVGKSYVCNDWKQELITFSQFLERMWSTVCPSNLTYLAQHPLFEQIKELQEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPGSVSEELYPHTETMLSNTSQVDLDNIDLKEFPRVENLDFMDCILEEGDLLYIPPKWWHYVRSLSISFSISFWWRKTLTTSSSS* >Brasy5G246800.1.p pacid=40074453 transcript=Brasy5G246800.1 locus=Brasy5G246800 ID=Brasy5G246800.1.v1.1 annot-version=v1.1 MGATPVRDEIIPLKKVTDGPAKLETKVFNLDNDYRTFIRDLSAELALHADPDDVEGHPVLARLMRPKRPNRWMLINLVGNNKNETTLAIRDDNLYLIGFKGKDNWYEFGKSGDERIITEEAIFENCEQKETIFLGCDFDYKYLLTSEEENNIIEDEDEATTITKDINKGVKRKLVDLDLGKSSAMDAVSILSSYKQEENGVVNPETRRALAKLILMFCESTRMTPFFNAVLKAWKDMITESHITEDDAEYTWKWAEMSRELRKWKVFKYWSPGTVQADKSSDMSSDIPPRKKRKTLMDLGITSEKKALEIVRMLQNGKGPIFRSDAEGNKVKRPPKSGQQGDRGGRHWEDQGGRQRGGPGGRKQGGRGSDLPAGAAGGGGRNINTGQARLWAEIQPMAGFSGQPLAEVFAVSVDSRFEGTITVFDGKRGQILYKHDEGQGDHAPINDSPSKEQGLVDLVLTGPHRAISAEGSFAIQVDGNIPATDISDESGNVKMVWNCYSDEPYDEVLIHDIRIGTDDIGKMSYAVLSDAVESTVQVKVKLDGSIAGTPLRVHGKITAHNEHTEKESVLLFSRKKEEEVEVLPSSDGLIIPIPLARSVVAASLSSPVVLKINLHAAFPPNHENSIISFEDHIVFTLLDRHTGEIIGNQQTDGTTRVPDYPYRMSMRTEEPVRVEFNCYNGVYTIPSFPDKKNGYGGFIDDLRKELAKPSEEKNGPVVTKKQRLGKPERWIMIKLVGKMDETVTLAVRDDNLYLTGFRAKDKGWYEFGFPENNISMLPGSTFLGCAPEYRSLLDGGGLVENKVQLGKKFMMDAISRLSAYQQKVNQQVDADTKLALARLMVMISESARIVPICNMVNKRWDEESVITKEVVEYMKNWPKMSRYLLMGWTGSSCHEIADVIGVALNLPEPMDQHEDPRPGQPDLPSPQPPPLLHGKPMVQVLTMKPDYDVVGTIVVSDGIQQQIIYKKGKAQEEDHAQTVDSQSQGIAELVLTGPRTAISADTGNFSIQVEPSGLEESSDGGGATLLGCSTDTDSVINGSITTHRGKIKVTYVVLSKAVEVTLEVGLKLDGEITSGTTACIHGKICAHNTIFGNERIVLWSHAKEHAVEVFPSSAGLINIPVPLARPSIVAPLDSPLTIELRMEVARSDYDNVISIHKDVKFDHLESNPYVRHMMKEIGRPGVTAQVKMVTTY* >Brasy5G246800.2.p pacid=40074454 transcript=Brasy5G246800.2 locus=Brasy5G246800 ID=Brasy5G246800.2.v1.1 annot-version=v1.1 MGATPVRDEIIPLKKVTDGPAKLETKVFNLDNDYRTFIRDLSAELALHADPDDVEGHPVLARLMRPKRPNRWMLINLVGNNKNETTLAIRDDNLYLIGFKGKDNWYEFGKSGDERIITEEAIFENCEQKETIFLGCDFDYKYLLTSEEENNIIEDEDEATTITKDINKGVKRKLVDLDLGKSSAMDAVSILSSYKQEENGVVNPETRRALAKLILMFCESTRMTPFFNAVLKAWKDMITESHITEDDAEYTWKWAEMSRELRKWKVFKYWSPGTVQADKSSDMSSDIPPRKKRKTLMDLGITSEKKALEIVRMLQNGKGPIFRSDAEGNKVKRPPKSGQQGDRGGRHWEDQGGRQRGGPGGRKQGGRGSDLPAGAAGGGGRNINTGQARLWAEIQPMAGFSGQPLAEVFAVSVDSRFEGTITVFDGKRGQILYKHDEGQGDHAPINDSPSKEQGLVDLVLTGPHRAISAEGSFAIQVDGNIPATDISDESGNVKMVWNCYSDEPYDEVLIHDIRIGTDDIGKMSYAVLSDAVESTVQVKVKLDGSIAGTPLRVHGKITAHNEHTEKESVLLFSRKKEEEVEVLPSSDGLIIPIPLARSVVAASLSSPVVLKINLHAAFPPNHENSIISFEDHIVFTLLDRHTGEIIGNQQTDGTTRVPDYPYRMSMRTEEPVRVEFNCYNGVYTIPSFPDKKNGYGGFIDDLRKELAKPSEEKNGPVVTKKQRLGKPERWIMIKLVGKMDETVTLAVRDDNLYLTGFRAKDKGWYEFGFPENNISMLPGSTFLGCAPEYRSLLDGGGLVENKVQLGKKFMMDAISRLSAYQQKVNQQVDADTKLALARLMVMISESARIVPICNMVNKRWDEESVITKEVVEYMKNWPKMSRYLLMGWTGSSCHEIADVIGVALNLPEPMDQHEDPRPGQPDLPSPQPPPLLHGKPMVQVLTMKPDYDVVGTIVVSDGIQQQIIYKKGKAQEEDHAQTVDSQSQVGYCRVGTHWTSHSHLS* >Brasy5G034000.1.p pacid=40074455 transcript=Brasy5G034000.1 locus=Brasy5G034000 ID=Brasy5G034000.1.v1.1 annot-version=v1.1 MRTYPELVIENAPCLKRLIHVDSSDDMLISVASAPKLEALRCRMMQCGSTKISFGTMVIQGLQVNDPTMVVRTVKILAVDMNPLSLDTVIYLMKCFPCLEKLYIISYPSAGGGPNNLWRRKHQNLISLDTSLKTVVLTHYRGIKSQVSFIKFFVLNARRLESMTLDVIYGENTEDFRAEQHKKLQLEDRVSRDAWLHVTDQLGDKCFPYSWFL* >Brasy5G110700.1.p pacid=40074456 transcript=Brasy5G110700.1 locus=Brasy5G110700 ID=Brasy5G110700.1.v1.1 annot-version=v1.1 MAFSPYLIAGSRAASPSLPSFPSLHRRSHHRPPSLPTSPLQPPPVQLWRRSLRFCASSSSSSSPPPPVPPESEEPTDYELLETTGNCDPLCSVDEVSSQYFEANYKPKNDLVKALTILATALAGAAAINHSWVAANQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNISTRDSRALLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIMQGLFIPSVVSLAIPLALMSLTSEANGSSQTSSSLLSSEQMAPRGQLVFAVGLAALVFVPVFKSLTGLPPFMGMLLGLGILWILTDAIHYGESGRQRLKVPQALSRIDTQGILFFLGILLSVGSLESAGILRQLANYLDANIPNPDLIASIIGVASAIIDNVPLVAATMGMYDLTSFPQDSDFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYVAGIITYLAAQNIPLPLPTSLAEIPFITGS* >Brasy5G385700.1.p pacid=40074457 transcript=Brasy5G385700.1 locus=Brasy5G385700 ID=Brasy5G385700.1.v1.1 annot-version=v1.1 MDELLSPCSSFFAPPSPPSNDFSPAGHGQYHQQQQVLEFASCEVPEQWLLGDMYVASAPKNEDGGDYHLAWPPHSLSPGSDLSDLPAAPHSTIGRSCVVLPASSTPQRAGPAAAKRRGRKPGPRPEGPTVSHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEDEGRQAAAARWPPDATTTTSGAAAASAAVPYFPADETAAAVEVRMVGREAAAVRVTTAAAHAPARLMGALRALELQVQHACVSRVQGVTVQDVVVDVPAALRQQQGDDGGGAIRSALLQSLHDSTA* >Brasy5G095000.1.p pacid=40074458 transcript=Brasy5G095000.1 locus=Brasy5G095000 ID=Brasy5G095000.1.v1.1 annot-version=v1.1 MAGRGSPTHSPPAKSPTTSNPTYLDRISGDILREIFFRLPSVGTLVKAACTCHAWRDAVVSSPKFRRQFRNLHHAPLLGLFVEVPNPDRAPLSPSTFIPAHQHDRELVAPVRRGDFFLTSIRERPDASPSWTIIDSRHGYILLMSRDYGSLTVFNPLLWWSKTFGVCKEESKLLDPMSFSVVLLCHDSSRVRAIVFSSKTMEWSILPWMEVPARLANGPKWCVLISGSMQANRFMYWVGTNNGIPLHDPPYMIALDKTTMEMSFSEVPLCLDDERCSFHIGEMKDTSPCIVYASDDLDIGVLLRATEGGSAQSWYLQKVSNMYTQLTKLLETMELDKLCQAAFHGGVYPYIMAWPPALVGNYGAFALGDAQ* >Brasy5G212000.1.p pacid=40074459 transcript=Brasy5G212000.1 locus=Brasy5G212000 ID=Brasy5G212000.1.v1.1 annot-version=v1.1 MLIILCTKLFGRYFIVIDDIWDEIIWNIIRCALPEYIKGSRVITTTRIDTVALCCSNHCGYIYKMNTLSNQESRMLFFKRIFGSEDACPAFLKEVSGKILKRCGGLPLAIITISSLLASQRNKLKEQWEHVLDSLGSNLEGHPTLEGMREILSLSYKNLPHHLKGCMLYIGIYPEDHTIEKNDLVRQWVAQGFIRKMHGRDPEDVAAGYFNELVNRSIIEPVAINPNDEVFSCRIHDMILDLIIHKCREENFVVAIDELQATVGLHDKVRRLSLNLDGSIDGTILGAIRLSQVRALARFGTSTYTPCLLEFKFLRVLTLEFPSGNHEQVKLDLTEICHLFQLRYLKIDAHREIFLPRKIGGLQLLETLEIIISSSIYKVEVPSDIVHVRRLLHLILPMGTSLPSGIGNMKSLRTLHDFNLGNSSVDCIRDLGDLTNLKDLSICSLGTREMDDIETRHRTVVLRCSLEKLCNLKYLWVYPFPHCGCCDALSSLSAPPRLLQRLRIRQCLLPRMPRWIGELHNLYDLELMVKEASDGDVKILGQLPSLIRFWLHIIGTPNEHIVIRGTGFPVLKYFRFSSTWPWRDGGWTEIQSRQLLHVPEHLRQVQKKLQCTVAVSTRTE* >Brasy5G482100.1.p pacid=40074460 transcript=Brasy5G482100.1 locus=Brasy5G482100 ID=Brasy5G482100.1.v1.1 annot-version=v1.1 MRTEQKSLEEALESLKEINESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSHVLEDDPGVPRQPNPSQELSNKETNKTAYRCKKCRRIVAAQDNVISHTPGEGNSSFEWHDKRKGGHTYNKEQDCSSLYVEPLKWMTPVEDGVLEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTI* >Brasy5G004900.1.p pacid=40074461 transcript=Brasy5G004900.1 locus=Brasy5G004900 ID=Brasy5G004900.1.v1.1 annot-version=v1.1 MIKTEEAAFGPFLYQPKPKKRHLAQRLAKGRQVGPHQNLLSSAPRKQTLLLRLPSTHDAQGPAATSGRKTLVRLHLRLPPHQEDPAASSSPRRPRSRRHIRSTSPPSTHDARGPAPAPMAAQTPPPSTHHAQGPAPARDVDWTAIFDRVKESVFFVCLEPKEGKLGALDEVANTARTVCPAKKRRERVRSGYCSTGFVLMSGPTTLYVVTTAHSLSHLFSSAHPLEASTLDMIDASVLCHHFEEEYQQHGLPGDRNYAQAHILVAESQKDILILGVPKMNLKNLVGDTCTGNHHEIVITEGAPREAKEAMLVSWPSHMHNKLSKGWTSSLRGINVISEPNPHGYDMSVLEVHMTTEKGSSGAPLVDEDGKVIGMLHGGFGKAHSYFVPANHLGDWVLPPIDLD* >Brasy5G422700.1.p pacid=40074462 transcript=Brasy5G422700.1 locus=Brasy5G422700 ID=Brasy5G422700.1.v1.1 annot-version=v1.1 MARPPTSRMALLLGLVLALVLGMAPAAAVANEQMVAQICKSTPYPDLCTATAGKQVGHYAASPVDALAVLNMQVDAFEKRTAAARARVGKVSVGVTPKAKEALDLCDNLYNDVIDALGAARRAIVFKDAVTIRAMMSMAAQAMQGCDEKFRQVGEKNPMTRFDQSLLNISENCRALSNKI* >Brasy5G012500.1.p pacid=40074463 transcript=Brasy5G012500.1 locus=Brasy5G012500 ID=Brasy5G012500.1.v1.1 annot-version=v1.1 MAAAAAARCLLLRASVPAPASTPKDAAKSLASCATPSGATSRPLRAAVSPSSARRLRPPLLRYSSSGGSAEPEIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKSSGDEERMLVLFFDRIGWPTSLPTSEKGSFTKSVLREKLKALEELSASDGLPLRPGVEKFIDDALSKGVPVAILATYGRNGEKISRSIVEKLGSERTSKINIVGKEEVERSLYGQLVLGEGVASSLDKQLIREARKAASAEKQRVAEEVASLLKLSVDINTASESSEKIIATLRAGSEYVGCDVQNCILVAGSQPSVLAAERIGMPCIVVRSSLTARAEFHSAKAIMDGFGDTDLTVSKLLSKKWS* >Brasy5G012500.2.p pacid=40074464 transcript=Brasy5G012500.2 locus=Brasy5G012500 ID=Brasy5G012500.2.v1.1 annot-version=v1.1 MAAAAAARCLLLRASVPAPASTPKDAAKSLASCATPSGATSRPLRAAVSPSSARRLRPPLLRYSSSGGSAEPEIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKSSGDEERMLVLFFDRIGWPTSLPTSEKGSFTKSVLREKLKALEELSASDGLPLRPGVEKFIDDALSKGVPVAILATYGRNGEKISRSIVEKLGSERTSKINIVGKEEVERSLYGQLVLGEGVASSLDKQLIREARKAASAEKQRVAEEVASLLKLSVDINTASESSEKIIATLRAGSEYVGCDVQNCILVAGSQPSVLAAERIGMPCIVVRSSLTARAEFHSAKAIMDGFGDTDLTVSKLLSKKWS* >Brasy5G012500.3.p pacid=40074465 transcript=Brasy5G012500.3 locus=Brasy5G012500 ID=Brasy5G012500.3.v1.1 annot-version=v1.1 MAAAAAARCLLLRASVPAPASTPKDAAKSLASCATPSGATSRPLRAAVSPSSARRLRPPLLRYSSSGGSAEPEIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKSSGDEERMLVLFFDRIGWPTSLPTSEKGSFTKSVLREKLKALEELSASDGLPLRPGVEKFIDDALSKGVPVAILATYGRNGEKISRSIVEKLGSERTSKINIVGKEEVERSLYGQLVLGEGVASSLDKQLIREARKAASAEKQRVAEEVASLLKLSVDINTASESSEKIIATLRAGSEYVGCDVQNCILVAGSQPSVLAAERIGMPCIVVRSSLTARAEFHSAKAIMDGFGDTDLTVSKLLSKKWS* >Brasy5G242200.1.p pacid=40074466 transcript=Brasy5G242200.1 locus=Brasy5G242200 ID=Brasy5G242200.1.v1.1 annot-version=v1.1 MSCHQRSASLPSIPHSTESKVEVELQGLKRCISSPSVTIDMMHDGLRRLGDIYSCIEEVMSLPSNQVGLYLPLQRKMVEEELDRSLVLVDLCNAMQENLAELKMSIQELQLALKRGDDASVQLKIESFVRLAKKAQKPFKKITASKATAEGCRMVRLLAEAREMAVSLLESVSRLLPKQIEATNGSRWSLLSKRFQKRRVVCKEAQLQTLEHSVADLENGIESLFRRLIQSRVSLLNILSS* >Brasy5G283100.1.p pacid=40074467 transcript=Brasy5G283100.1 locus=Brasy5G283100 ID=Brasy5G283100.1.v1.1 annot-version=v1.1 MVRGPQTNPNTSNWTGSPEMEPWGLSVLPPARVSLRQQKCLRLRPAAATGSSLQLSHKFPLARRDRPRSRPTLLHSRQRRRLTTVDEGQT* >Brasy5G288100.1.p pacid=40074468 transcript=Brasy5G288100.1 locus=Brasy5G288100 ID=Brasy5G288100.1.v1.1 annot-version=v1.1 MKKVIRARPRRIPAFGEWNYYDHGGYGYGDGGGDWPVTQYFDSAMAMQAGLVMALPVSPKRVKKAVKWTDSAALEAEQRHKVVAGPGEHGARKQGKQSRVSDSDAGAHLAGRNHKATTKACIRAVKAVDEDLYVIPPDMLRHKPRKGLTKSLWIGCLGLSCIAIS* >Brasy5G027800.1.p pacid=40074469 transcript=Brasy5G027800.1 locus=Brasy5G027800 ID=Brasy5G027800.1.v1.1 annot-version=v1.1 MARLINHIFAWKPKRGGQGQTLHLPELAPSWDAAKERSTPRSERPKACCATSSMSAPPLASDSHRGRLEIAALLPPPAPSRAASTTAGTWEGGGAADQEEAGRKMLEAAARHREEGEGREGGGGASSRGKRGDRGGSGVDQEEAGRKRQAAAARPHEEGGGTEGAVAWMRRRRGR* >Brasy5G167400.1.p pacid=40074470 transcript=Brasy5G167400.1 locus=Brasy5G167400 ID=Brasy5G167400.1.v1.1 annot-version=v1.1 MLSYLDLGANKLMAGDWSFLSSLTNCTLLQKLWLDRNILQGIMPTSVTNLSKTLEVLILIDNQLSGGIPLELGKLTSLTVLEMDMNFFSGHIPDTLGNLQNLSILGLSRNNLSGEIPTSIGQLKKLTKIYFEENEMTGNIPTSLASCKSLVRLNLSSNNFNGSIPAELFSILTLSEALDLSYNQITGHIPLEIGRLNNLNSLNISNNQLSGEIPSSIGQCLVLESLHLEANVLQGSIPGSLINLRGINMMDLSQNNISGTIPQFFTSLSSLQILNISFNDLEGQVPEGGIFANSSIVFIQGNNKLCASSPMLQVPLCTTSPSKRKKTGYTVSIVVPLATIVLVTLACVAAIVRAKRSQAKRPINQPFKQFKNFSYEDLFKATGGFSSTSLVGSGGLGFVYRGQIMSEPYTIAIKVFRLDQFGAPKNFRAECDALRSIRHRNLIRVISSCSTIDTKGDEFKAIILEYMDNGNLDSWLHPKGYNQSLNTALSLGSRITIAVDIAAALDYLHNQCTPPLVHCDLKPSNVLLDYEMVACLSDFGLAKFLYSDSSTTFSDSSSIVGPRGSVGYIAPEYGTGCKISVETDVYSYGVILLEMITGKHPTDEMFKDSMNLHKFVEAALPQKIGDVCDPRLNIYDEFQGANHEMVQKQEFVIQLAQVGLKCSEASPKDRPTMETVYAELVTIKEKYQCSHLTT* >Brasy5G064100.1.p pacid=40074471 transcript=Brasy5G064100.1 locus=Brasy5G064100 ID=Brasy5G064100.1.v1.1 annot-version=v1.1 MHQRCFAHADFRPQNLYLEGSKSQRNPGRRFMRCKNARSMRRDLLRMQGDFQGPGDFEAATGSMVRAEQRRRSLELSLEQCEEEHIIMGKTTEEEQRRSRKFKFIFLVFFSSILGSIFLLIDLSSGQEHGLLDVSLIVCSFCPCRASCVQIGSLIVSLCVP* >Brasy5G104400.1.p pacid=40074472 transcript=Brasy5G104400.1 locus=Brasy5G104400 ID=Brasy5G104400.1.v1.1 annot-version=v1.1 MEAVLVSAATGALKPVLEKLAALLGDKYSRFKGVRKEIKALTAELTAMHSFLLRMSEVEDPDAQDKAWMTEVRELSYDMEDAIDEFMLCVDDKDVKTDGFMDKIKYSLGRMKARRRVGTEIQDLKKQIVQVGERNARYKTRGALTKAFNAAVDPRALAIFEHASKLVGIDEPKNEIIKLLMEEDGRAPIQQQLKVVSIVGSGGMGKTTLANQVYQELNKQFHCRAFISVSRNPDMMNILRTILSGVSNQGYANTVAGSIQQVIINITNFLAGKRYFIVVDDIWNVETWDVIKCAFPMTTCGSRIITTTRVNSVAHSCCSSFSGHIYNMRPLNMVHSRQLFHRRLFTSDEDCPSHLKDVTDQILEKCVGLPLAIIAISGLLANKESTKDEWDQVKSSIGHALERNPSVEGMMKILSLSYFDLPPHLKTCLLYLSIFPEDYIIKKSDLIKRWIAEGFIPKEGRYTIHELGEMCFNDLVNRSLIQPGGTDKYDSVKICRVHDTILDFIISKSIEENFITLVGVPHLTIGTQRKARRLSIQVGKQENSVLPTGVVLSHVRSLNVFGDPMEIPSLDEFRHLRVLDFRNCCLLRNHHLANIDRLFQLRYLNLRNTSVSDLPEQIGHLQCLEMLDLRDNNVRELPEAIVSLRKLVHLLIDIAVKFPDGIEKIQALEMLGDVNPFNQSFNFLVGLGQLKNLRKLHLNFEDYSAIRDAMGIKECKEAIASSLHKLGAHNLRSLTIVYDDSFLLEPWCPPLSLQSLMVHWSPVPQVPNWVGSLINLQQLRLEVDGVRQEDLCILGALPALLILDLIGTAKSRDRLRVCGDVGFRCLRMFFYYIQCEGMELMFAAGSMPKLEKLRINVDADETEPRTTDAFDFGMENLPSLVTVECALRGRVRSALEAAKSAMVRASSTNPNHPSLLFV* >Brasy5G104400.2.p pacid=40074473 transcript=Brasy5G104400.2 locus=Brasy5G104400 ID=Brasy5G104400.2.v1.1 annot-version=v1.1 MEAVLVSAATGALKPVLEKLAALLGDKYSRFKGVRKEIKALTAELTAMHSFLLRMSEVEDPDAQDKAWMTEVRELSYDMEDAIDEFMLCVDDKDVKTDGFMDKIKYSLGRMKARRRVGTEIQDLKKQIVQVGERNARYKTRGALTKAFNAAVDPRALAIFEHASKLVGIDEPKNEIIKLLMEEDGRAPIQQQLKVVSIVGSGVSRNPDMMNILRTILSGVSNQGYANTVAGSIQQVIINITNFLAGKRYFIVVDDIWNVETWDVIKCAFPMTTCGSRIITTTRVNSVAHSCCSSFSGHIYNMRPLNMVHSRQLFHRRLFTSDEDCPSHLKDVTDQILEKCVGLPLAIIAISGLLANKESTKDEWDQVKSSIGHALERNPSVEGMMKILSLSYFDLPPHLKTCLLYLSIFPEDYIIKKSDLIKRWIAEGFIPKEGRYTIHELGEMCFNDLVNRSLIQPGGTDKYDSVKICRVHDTILDFIISKSIEENFITLVGVPHLTIGTQRKARRLSIQVGKQENSVLPTGVVLSHVRSLNVFGDPMEIPSLDEFRHLRVLDFRNCCLLRNHHLANIDRLFQLRYLNLRNTSVSDLPEQIGHLQCLEMLDLRDNNVRELPEAIVSLRKLVHLLIDIAVKFPDGIEKIQALEMLGDVNPFNQSFNFLVGLGQLKNLRKLHLNFEDYSAIRDAMGIKECKEAIASSLHKLGAHNLRSLTIVYDDSFLLEPWCPPLSLQSLMVHWSPVPQVPNWVGSLINLQQLRLEVDGVRQEDLCILGALPALLILDLIGTAKSRDRLRVCGDVGFRCLRMFFYYIQCEGMELMFAAGSMPKLEKLRINVDADETEPRTTDAFDFGMENLPSLVTVECALRGRVRSALEAAKSAMVRASSTNPNHPSLLFV* >Brasy5G104400.3.p pacid=40074474 transcript=Brasy5G104400.3 locus=Brasy5G104400 ID=Brasy5G104400.3.v1.1 annot-version=v1.1 MEAVLVSAATGALKPVLEKLAALLGDKYSRFKGVRKEIKALTAELTAMHSFLLRMSEVEDPDAQDKAWMTEVRELSYDMEDAIDEFMLCVDDKDVKTDGFMDKIKYSLGRMKARRRVGTEIQDLKKQIVQVGERNARYKTRGALTKAFNAAVDPRALAIFEHASKLVGIDEPKNEIIKLLMEEDGRAPIQQQLKVVSIVGSGGMGKTTLANQVYQELNKQFHCRAFISVSRNPDMMNILRTILSGVSNQGYANTVAGSIQQVIINITNFLAGKRYFIVVDDIWNVETWDVIKCAFPMTTCGSRIITTTRVNSVAHSCCSSFSGHIYNMRPLNMVHSRQLFHRRLFTSDEDCPSHLKDVTDQILEKCVGLPLAIIAISGLLANKESTKDEWDQVKSSIGHALERNPSVEGMMKILSLSYFDLPPHLKTCLLYLSIFPEDYIIKKSDLIKRWIAEGFIPKEGRYTIHELGEMCFNDLVNRSLIQPGGTDKYDSVKICRVHDTILDFIISKSIEENFITLVGVPHLTIGTQRKARRLSIQVGKQENSVLPTGVVLSHVRSLNVFGDPMEIPSLDEFRHLRVLDFRNCCLLRNHHLANIDRLFQLRYLNLRNTSVSDLPEQIGHLQCLEMLDLRDNNDLASLRI* >Brasy5G381000.1.p pacid=40074475 transcript=Brasy5G381000.1 locus=Brasy5G381000 ID=Brasy5G381000.1.v1.1 annot-version=v1.1 MRRWFCCSQFHAPYREHEHEFPSSPDEKEGNGFASKSDPTKAPPLIDIPELSLDELKEKTDNFGSKALIGEGSYGRVYYAILDSEKHVAVKKLDTSADPEPDNEFLTQISIVSKLKHENFVEMLGYCVEGNLRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWIQRVKIAIDAAKGLAYLHEKVQPSIVHRDIRSSNILLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCIDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPPAISPNTTASDA* >Brasy5G146800.1.p pacid=40074476 transcript=Brasy5G146800.1 locus=Brasy5G146800 ID=Brasy5G146800.1.v1.1 annot-version=v1.1 MAKSLRSKREKRLRTLRREIAEPFYEKKEAAKLAAQAAALEAPKLAVRGPPPSHDSRDAAATAGQPSAMEVETADDGNKRSGSVLKPIGSISKKKVQLHLKIKKDKRKARKKGRFGKK* >Brasy5G515800.1.p pacid=40074477 transcript=Brasy5G515800.1 locus=Brasy5G515800 ID=Brasy5G515800.1.v1.1 annot-version=v1.1 MERGRRSHVHGKRPPPLAPPRRERERERAAGASFSASLLDTIYRSLDEGSDADAAADAADTPRRSEEKALAPAQFWWAKETGNGKPNMRRLETGPARPRHSGYASSTASSSDSTSSSYSFSCSSASTTDTESTARRRRSPPPPPRRQPEEIRADAAEAEPPSPPSNKAKTKKGRPCFPGARLRPRDSAGPSSPAGGPLPPPSPGSFACVLRALFTSGRLPRKQPKTPISRAPQTPLTTSPPEPAEPPRASATSSSNAKTAERRSVRFCPDAEASSVVRRRVEELVRSLGELEENDEGSDSSSDLFELGSLSEANGDELPVYGTTSIVANRAIAHQAVF* >Brasy5G448300.1.p pacid=40074478 transcript=Brasy5G448300.1 locus=Brasy5G448300 ID=Brasy5G448300.1.v1.1 annot-version=v1.1 MGSSFLFAAAAVVASLLLLCSCGASSPSTVQEELRRELRGWADARATWYGPPEGAGTDGGACGYQGDVEQPPFSAMITAGGPSIFQSGQGCGACYRVKCTGNAACSGRPVSVVVTDECPGGPCLSDRFHFDLGGRAFGAMAKPGLAGALRSAGNIQVQFSRVACNWKGVDVAFKVDVGSNPYYLAVLVEDESGDGDLSAVDLRQNGAGGWAPMQRSWGAVWKYNGGPLQAPMSIRLTSGSGRKLVAENVIPAGWQPGRTYRSVVNY* >Brasy5G123200.1.p pacid=40074479 transcript=Brasy5G123200.1 locus=Brasy5G123200 ID=Brasy5G123200.1.v1.1 annot-version=v1.1 MAANRTRAHLTSMMLGSFCQITENHEEAQCKAPKGATIGESVIRHSTETNGAYNNLYVPRPLPKPPSTATHAPPSLKTKEDPAAAICRGLW* >Brasy5G500600.1.p pacid=40074480 transcript=Brasy5G500600.1 locus=Brasy5G500600 ID=Brasy5G500600.1.v1.1 annot-version=v1.1 MDAAYEAELAEEAKKDPASIEAIVGMHVPAAGETSETECDICLGELFRDGGCEYKKRLRMMPCSHTFHQSCIWDWLQDDRRCPVCGYAMPSEKRRRIEEEIDSQRLRLARVNVN* >Brasy5G362800.1.p pacid=40074481 transcript=Brasy5G362800.1 locus=Brasy5G362800 ID=Brasy5G362800.1.v1.1 annot-version=v1.1 METGSSGGGWGGDDFHGLKFGQKIYFEQDVASGSGTTTSAAAGVAAGGGAGAGGEGAAASAAAAAAGNSTAQAQPPRCQVEGCGVDLSGDKTYYCRHKVCSMHSKAPLVVVAGIQQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPLSSRYGRLAASFHEDPGRFRSFLLDFSYPRVPSSVRDAWPITAHPGEHHRMPGTAAQWQGSHELHHPHRSTVAGYGDHHAYAGQGSSSGGAAPMYPSFELPAAERIAGVTAADSSCALSLLSTQPWDHSAHSASHSRPPAMSTASAFQGSPVAPSVMASDYMAAASNNSAWASSARGRNMQHQQQHHHHHDAVMSDVHPGSVHQHGGQFGELELALQQGRAGAPNTPHAEHGSSGAGAFGHHSSNAMNWSL* >Brasy5G362800.2.p pacid=40074482 transcript=Brasy5G362800.2 locus=Brasy5G362800 ID=Brasy5G362800.2.v1.1 annot-version=v1.1 METGSSGGGWGGDDFHGLKFGQKIYFEQDVASGSGTTTSAAAGVAAGGGAGAGGEGAAASAAAAAAGNSTAQAQPPRCQVEGCGVDLSGDKTYYCRHKVCSMHSKAPLVVVAGIQQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPLSSRYGRLAASFHDPGRFRSFLLDFSYPRVPSSVRDAWPITAHPGEHHRMPGTAAQWQGSHELHHPHRSTVAGYGDHHAYAGQGSSSGGAAPMYPSFELPAAERIAGVTAADSSCALSLLSTQPWDHSAHSASHSRPPAMSTASAFQGSPVAPSVMASDYMAAASNNSAWASSARGRNMQHQQQHHHHHDAVMSDVHPGSVHQHGGQFGELELALQQGRAGAPNTPHAEHGSSGAGAFGHHSSNAMNWSL* >Brasy5G180900.1.p pacid=40074483 transcript=Brasy5G180900.1 locus=Brasy5G180900 ID=Brasy5G180900.1.v1.1 annot-version=v1.1 MEETLASSRRPKRGRPPKPRDNDFVTGEEFEDEEGEDYAEGMQAPARSKRKREASAATAAALEDLTLIDIVKHNGRMISHAVKRLVEDYESKPKSVIFQILAMFFEACGARHDIYENDLDEADVDDTVFKLVELSRKGLVEDNYNSKQKGLKNFKENLVSFWDSLVLECQNGPLFDDILFQKIKDFVVALSCTPTRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKHSDGPLFESLNKRLSLTHENITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYDVDDNIPSLRLFTERFYSRMIQLADDIDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSSGARDGDSESSEVHIGRMLQILREFSDDPVLSSYVIDDIWEDMKAMKDWKCIISMLLDETPLSELTDIDGTNLVRMLQASAKKAVGERIVPATDNRKLYYNKSQKEILENSKRDITNALMKRYPQLLRKYIPDKAKISPLIDMMTLLKLEMYLLKRQEQNFKAAIDLIVDAFFKHGDKDTLRSCIKAIAFCCTECQADLQDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLHELQLSKPVKNDGLFGDMYRILSRLREMDNEVKSLLIINMYLEVAWCLHAVNDQNPSETSIDELLSKQSSLFEQLYYFLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQLDVVQKFWKLCAQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLLLADTISKDYLGPEIISHYVSHGASTTEIIKHLITALKKNADNDIAALFFEALRRAFERYMAHLNEGENQNLIGKSYSECQDLANRLAGYYVGAARNKNKSEILKIIQCGVPFAFVDLPKQLSFLEAALVPFVSKLPSADIPDIMTDVQKRAQDNNLNEESSAWRPYFTFVQHLREKHAKNEVLPEEKEEKPVKRRGRPRKVREEPARNLFEEHNSSDEESVSDSDQRGHGGDDDDDDDAFNQPLINTFRPSASKLRSLKVSQQGTSSQKKAPMASGSNS* >Brasy5G409200.1.p pacid=40074484 transcript=Brasy5G409200.1 locus=Brasy5G409200 ID=Brasy5G409200.1.v1.1 annot-version=v1.1 MKAPQKPAAEKKVAAPVPAPAPVEEEAFTARELEAAVQLIHLSESSASSGTSRAAVAGLAAGSSSSPRSVNAPPPVVFLAGCDDWEEEEENEVAGSQRRVKRYRLIAEIYDATEEIGGRSSGKNKKKKE* >Brasy5G049700.1.p pacid=40074485 transcript=Brasy5G049700.1 locus=Brasy5G049700 ID=Brasy5G049700.1.v1.1 annot-version=v1.1 MAVAPAMATGRPDLLPVLVLLALCLVSPELVAPIHGQLDALGFISIDCGMTQGLTYPDLERVKVWYVSDAGFVDAGAGANAAISPSFLSSGLASRYNNVRYFPGARSRSCYTLRGLTPGAKYLVRCSFYYGNYDKLSSPPAFDLYLGVNRWATVNVTNAKERYLLDAVVVSTANFLQVCLVNIGLGTPFISALELRPLKAAMYPEATANQSLLLLSLRSPVTRFPFNRYFFWQSPRVYRYPYDHFDRVWQSYVNVTAWTDITTNATVSVSNSSSFAEPPSMVLQGAATPVNGTRLDFSWSTDHLLDNSSTAYLLLLYFAELETPSSSTLRRFDILIDGASWDGSRNYTPKYLSAEVVKRVVVQGAGQHAVSLVATPDATLPPILNALEIYSVRQMTELGTNNIDAESVMTIRRTYGLQKNWMGDPCAPKEFTWDGLNCSYSTSGPAWITALNLSSSVLTGAIDPSFGDLKSIQYLDLSNNSLSGHIPDFLGQMTSLIFLDLSSNKLSGSIPAALLEKRQNGTLVLRVSNNTNICDNGASTCDPGDKKKNRTLIIAIAVPIAVTTLLFVAAILILHRIRNRQGLVADTWIANNSRLNSTWNRSNLFENRQFSYKELKVITANFREEIGRGGFGAVFLGYLENENAVAVKIRSKTSSQGNKEFLAEAQHLSRVHHRNLVSLIGYCKDKKHLALVYEYMHGGDLEDSLRGEASVATPLSWHQRLRIALDSAHGLEYLHKSCQPILIHRDVKTKNILLTADLEAKISDFGLTKVFANEFMTHITTQPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGRPPAVAVSDTESIHIAQWVRRKLSEGNIESIADSKMGMEYDVNAVRKVTELALRCKEQPSWERPTMTLVVVELNECLELEVSRGMGNYSSVTSSALSAVSADLHSDVQTSDLRQKSMLELGQDGDASATLIGPTTC* >Brasy5G049700.2.p pacid=40074486 transcript=Brasy5G049700.2 locus=Brasy5G049700 ID=Brasy5G049700.2.v1.1 annot-version=v1.1 MAVAPAMATGRPDLLPVLVLLALCLVSPELVAPIHGQLDALGFISIDCGMTQGLTYPDLERVKVWYVSDAGFVDAGAGANAAISPSFLSSGLASRYNNVRYFPGARSRSCYTLRGLTPGAKYLVRCSFYYGNYDKLSSPPAFDLYLGVNRWATVNVTNAKERYLLDAVVVSTANFLQVCLVNIGLGTPFISALELRPLKAAMYPEATANQSLLLLSLRSPVTRFPFNRYFFWQSPRVYRYPYDHFDRVWQSYVNVTAWTDITTNATVSVSNSSSFAEPPSMVLQGAATPVNGTRLDFSWSTDHLLDNSSTAYLLLLYFAELETPSSSTLRRFDILIDGASWDGSRNYTPKYLSAEVVKRVVVQGAGQHAVSLVATPDATLPPILNALEIYSVRQMTELGTNNIDAESVMTIRRTYGLQKNWMGDPCAPKEFTWDGLNCSYSTSGPAWITALNLSSSVLTGAIDPSFGDLKSIQYLDLSNNSLSGHIPDFLGQMTSLIFLDLSSNKLSGSIPAALLEKRQNGTLVLRVSNNTNICDNGASTCDPGDKKKNRTLIIAIAVPIAVTTLLFVAAILILHRIRNRQDTWIANNSRLNSTWNRSNLFENRQFSYKELKVITANFREEIGRGGFGAVFLGYLENENAVAVKIRSKTSSQGNKEFLAEAQHLSRVHHRNLVSLIGYCKDKKHLALVYEYMHGGDLEDSLRGEASVATPLSWHQRLRIALDSAHGLEYLHKSCQPILIHRDVKTKNILLTADLEAKISDFGLTKVFANEFMTHITTQPAGTLGYLDPEYYNTSQLSEKSDVYSFGVVLLELITGRPPAVAVSDTESIHIAQWVRRKLSEGNIESIADSKMGMEYDVNAVRKVTELALRCKEQPSWERPTMTLVVVELNECLELEVSRGMGNYSSVTSSALSAVSADLHSDVQTSDLRQKSMLELGQDGDASATLIGPTTC* >Brasy5G078800.1.p pacid=40074487 transcript=Brasy5G078800.1 locus=Brasy5G078800 ID=Brasy5G078800.1.v1.1 annot-version=v1.1 MRNLLSDSFEISKGEQAPGNVDIELGLQGDTASSAQPGFKGFFEQVREIEKLLETLTKLLKDLQNSNEESKVVTKPATMKEIKKRMEKDVNEVTKVARLAKSKLEQLNKENLANREKPGFGKGSGVDRSRTTTTVALTKRLRERISEFQTLREAIQTEYKEVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDIVKEIEKKLLELQQVFLDLSVLIEAQGDTLNNIEVQVTNAADHVQTGTNHLQKAKVLLKSKRKWTCIAIILLLIIVLIIVLSLKPWSWGNKNA* >Brasy5G316100.1.p pacid=40074488 transcript=Brasy5G316100.1 locus=Brasy5G316100 ID=Brasy5G316100.1.v1.1 annot-version=v1.1 MWILPQPHLGLQISVSNWLSLLWMFLNNWVISVFYGFSYGCFSNFLFWAEFAYRFQHGMGNPGSMQ* >Brasy5G086200.1.p pacid=40074489 transcript=Brasy5G086200.1 locus=Brasy5G086200 ID=Brasy5G086200.1.v1.1 annot-version=v1.1 MDAAEEPLLAAASNKLHDAPPSPPPPPPRNKYPFFCAVLASMTSVLTGYNVAVMSGAQIFIAEDLGVSDTQIELLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNAFFLLGSLAMSLAGGYPALMAGRFVSGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINTGVMLSYVSNLVFSGLPAHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRTEEAQAVLGRTSDTPAEAHQRLLEIQEVLIVPPGSGSNNGAWKEVASKAGVRRVLATVLALQFFQQASGIDSVVLYGPRVLAMAGVTSNALLLSLNVLFGVAKAGSILVAMALADRVGRRPLLLVSTGGMTLSLVVLGSVFAAFAGAKDDAAVAAVAVVAVVAFVCSFSVGLGPLAWVYSSEILPLRLRGQGAGLGTAMNRVVSGLVTMTFISLYGAISMAGTFYLYGAVAAASFVFIYTCLPETRGRNLEDMEQLFRTK* >Brasy5G007300.1.p pacid=40074490 transcript=Brasy5G007300.1 locus=Brasy5G007300 ID=Brasy5G007300.1.v1.1 annot-version=v1.1 MNRASVAASRLVAMACDQARPAATAHVVASAAAARAGGGLARVRCRDRGGGPCTRQSRRQRAPCGRAGTRPVRACGPRRGQQRATAAACQRRPCSRQMSRQRRPPSHQAVAAAPRAGCGGGSCP* >Brasy5G169800.1.p pacid=40074491 transcript=Brasy5G169800.1 locus=Brasy5G169800 ID=Brasy5G169800.1.v1.1 annot-version=v1.1 MGRVNLAKLGAAHHSSLHLAVGGSTTAARLWFSRVAARSGARWWSRGAVVVGGPDLGLGGFEAAVGRGCFGGAGCRLGRAEFHGCCAVAIRRFSGGRSSGTQAETSLWNKQNFRVLQGLFQALGKYHHGINRKLIFRRCSYMFWSAFRYVVFTFGNCS* >Brasy5G321500.1.p pacid=40074492 transcript=Brasy5G321500.1 locus=Brasy5G321500 ID=Brasy5G321500.1.v1.1 annot-version=v1.1 MPTVPGAIALAASIKGPHASTPQQLLRIMDMAEAKCCRSAATLQLASFFISFALFVLIPCPPPMAAATQEMKFIYAGRRVLPVRLGRPAFGPESLAFDHRGRGPYTGVSNGRVLRWRGRPSGWTEFAHNHKHATVEECAAKKKAVAPESACGRPLGLQFHRKTGDMYIADAYLGLMRVGRRGGLAEVVATEAAGGPFNFLNGVDVDQDTGHVYFTDSSTAYQRSDYMLVVLTGDATGRLMRYEPRTGNVTVLRSGLAFPNGVAVSADGTHLVVAETSSCRLLRHWLRGPGAGETEVLAELPGYPDNVRPDGRGGYWVGVNRDKEWAVNGTTASSVSAVRVVVVVGGDGRNNGTVAEALRGFGEEDTVSEVVERDGSLWIGSVDTPYVGLFKFPPL* >Brasy5G005200.1.p pacid=40074493 transcript=Brasy5G005200.1 locus=Brasy5G005200 ID=Brasy5G005200.1.v1.1 annot-version=v1.1 MNSSPKNKRPMEADPSSREEQVQAKRRGPRREEAPALLPMPAGSARFVNRSKWLNAKTERKRREAELGLTPPVEEAQVPTLEYFEPPTTFHTERLLHVRESGSMAVLTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKTGTVLTTAHLIRTKKAPTNIWSGGEEYDPHANVTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSLNEEVKLVQDVFRLGRDNMLDLRITYGRAVSENPDTFQRYHNMYFHCAGVPNDEEEYDGGGPVIDLEGKVVGMSNVRCTRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFVAIKLLEPAHVDKIWRMYNIDNGLVVQKVSKGSHAEKFGIQIGDIIECCNGESVSTTVELENMLMSICKGSSDNLNGLNVEVNVSVEVFHTLKKLRTVGELAADVSDHGEVIIA* >Brasy5G005200.3.p pacid=40074494 transcript=Brasy5G005200.3 locus=Brasy5G005200 ID=Brasy5G005200.3.v1.1 annot-version=v1.1 MNSSPKNKRPMEADPSSREEQVQAKRRGPRREEAPALLPMPAGSARFVNRSKWLNAKTERKRREAELGLTPPVEEAQVPTLEYFEPPTTFHTERLLHVRESGSMAVLTAAKSLLGVSSSVGGKPLKRCSGFWIDWDEESKTGTVLTTAHLIRTKKAPTNIWSGGEEYDPHANVTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSLNEEVKLVQDVFRLGRDNMLDLRITYGRAVSENPDTFQRYHNMYFHCAGVPNDEEEYDGGGPVIDLEGKVVGMSNVRCTRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFVAIKLLEPAHVDKIWRMYNIDNGLVVQKVSKGSHAEKFGIQIGDIIECCNGESVSTTVELENMLMSICKGSSDNLNGLNVEVNVSVEVFHTLKKLRTVGELAADVSDHGEVIIA* >Brasy5G005200.2.p pacid=40074495 transcript=Brasy5G005200.2 locus=Brasy5G005200 ID=Brasy5G005200.2.v1.1 annot-version=v1.1 MNSSPKNKRPMEADPSSREEQVQAKRRGPRREEAPALLPMPAGSARFVNRSKWLNAKTERKRREAELGGKPLKRCSGFWIDWDEESKTGTVLTTAHLIRTKKAPTNIWSGGEEYDPHANVTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSLNEEVKLVQDVFRLGRDNMLDLRITYGRAVSENPDTFQRYHNMYFHCAGVPNDEEEYDGGGPVIDLEGKVVGMSNVRCTRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFVAIKLLEPAHVDKIWRMYNIDNGLVVQKVSKGSHAEKFGIQIGDIIECCNGESVSTTVELENMLMSICKGSSDNLNGLNVEVNVSVEVFHTLKKLRTVGELAADVSDHGEVIIA* >Brasy5G124600.1.p pacid=40074496 transcript=Brasy5G124600.1 locus=Brasy5G124600 ID=Brasy5G124600.1.v1.1 annot-version=v1.1 MAEPTSWVDDVEKLLLEAHDPSSEAEQWRKHSIYRVPARIKNLNPGAYKPQMVSLGPFHHGDPDLAPMEKHKRRALLCLLRRAGRPLGDMVAAVAEVQEELRAAYVDLGDEWRGGGGRPFVETMVLDGCFLLEVMRTAAAAGRRRAIVGYAPNDPVFSRHGLLYMVPYVQRDMLMVENQLPLLLLERIVAAETGKTEAGALIHWMVLSFLGAGNIDKHPEADLGLHPLDIYRRSLLHSCCSSNNPFRDIHLHDSPSEKPADVRSARKLYEAGIRFKHSGRADSLRDVHFRNGTLTMPQLFVDDSTEYKFLNLMAFEALHAGGAGSGGGGGGGGDVTAFVFFLRSIVGSADDVRLLRAKGIVRSDWVDGDETVVRLLNGMTRDVVCDETSALYCFVHGEVEAYCRSSARVFLHVSWCYLKRTYFGNPWTFLSLAAGVLLLVTDIIQTVYAVLSYEVQGKREHHNWD* >Brasy5G088500.1.p pacid=40074497 transcript=Brasy5G088500.1 locus=Brasy5G088500 ID=Brasy5G088500.1.v1.1 annot-version=v1.1 MGHSNVWNSHPKNYGPGSRVCRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYR* >Brasy5G223000.1.p pacid=40074498 transcript=Brasy5G223000.1 locus=Brasy5G223000 ID=Brasy5G223000.1.v1.1 annot-version=v1.1 MSTATKKKSNYKEKMARRKEENKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGAPGADLRLADAQKISIEKSKYLGGDLEHTHLVKGLDFALLNKVRSEIDKKPDAEDGKDAKARETKEDRTISFRTAIAKSVYQSIVKSQSTPKANEMFLPGRMAFIYNMEDGLITNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDAKGKNNLGGSDYNEGVRPAQTNGSAPKHHLDKEMPPPPPPRKSNFKEKEKQPAPIARADDDDIFVGDGVDYTVPNKEMSHSPISVDMDESPHNHQNQSHLTEPVYGPIQPSEPAQAWQQVGGYDAIQAQMAAAGYQGEWPGYQYAEQQLAYPEQYMQQSALGYDVLADPDIPQDPRLMTQADKDKGLGSVFKRDDDRLKQLREKDAREKDPNFISDSYSECYPGYQGYNQEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILARKKGDKDGGEGHYDDDLPSVKKQRA* >Brasy5G448000.1.p pacid=40074499 transcript=Brasy5G448000.1 locus=Brasy5G448000 ID=Brasy5G448000.1.v1.1 annot-version=v1.1 MIIPVRCFTCGKVIGNKWDHYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTEAS* >Brasy5G105100.1.p pacid=40074500 transcript=Brasy5G105100.1 locus=Brasy5G105100 ID=Brasy5G105100.1.v1.1 annot-version=v1.1 MDLMDRQVQHFVTLRFGDLWLDVPIGPLVASVSESWRTTAASRKEPDQEKEISSVPREEFTPVLSKSAKRRLRAANKKAASLSAAKAGATQQAAKTTPISVMKRSVAEVGQSSHHRSKNKGKSVAFNKSEFPPLVYKGKEKVVEKSTCKQPGKRGDVAAILPSASSSTGRPTNARNILRADAPEFIPSLVHKPTLSNPLSEDAPAMRESCEGSFDPVRHQRRQRNARRSWADLPAAVDQAAANRQRSHVSKSVKGRWEDTRPKMQVQNHDDSSHLPGASTSQNDVGGAIMRQAEALAKRPEYEEFIQQHPERRERAAYKERERAVRARSRSSRTQRAESAPSSQDSVIKRSASATRKMPAVLAPWPARKRGTEGVLNL* >Brasy5G334500.1.p pacid=40074501 transcript=Brasy5G334500.1 locus=Brasy5G334500 ID=Brasy5G334500.1.v1.1 annot-version=v1.1 MPHLPATEHTGNDTRGMQGCPTRPPQQRQRQPSLSPHAVTPTTSSPSNPLPNSCAAQMPVTACGACAAAFPSSRHARSPTPPSGSFRKLAPLTPRRLGLRLPAPMASTVDSPGNSSNFAKRMERAWLISKQPRPTPCSSCQSTGDVECKWCAGTGFFILGNNMLCEVPSKNTRCVICSGKGFASCADCKGTGFRAKWLEEPPINK* >Brasy5G162600.1.p pacid=40074502 transcript=Brasy5G162600.1 locus=Brasy5G162600 ID=Brasy5G162600.1.v1.1 annot-version=v1.1 MRERACALLVLACVVQCVLMAMPEARHEGLVLPIGFRFLPTDEELTVYLRKKALSFPLPSDIIPVADLARIHPADLPVYTRNADNYRCMTLCICAYVQAARDTKTSFSSAGRPVPRCGRRARGVAAPGAAGVWKASGTEGLVVVSPRRVPMALKQTLVFFSADGARTRWVMHEYRLHPVMLTRAANIGKAVENWVVCRVFQKATRRDGNLPDVRVHRRPRPLPA* >Brasy5G021900.1.p pacid=40074503 transcript=Brasy5G021900.1 locus=Brasy5G021900 ID=Brasy5G021900.1.v1.1 annot-version=v1.1 MAASSLSFLPVAHHPIFPLNPGRLRRPHPATPTPKPLSAAIRCSATAPPAAARARPLPPPKLVRCPALDRHASRASRLRFARKLLTLLLSKPRRFLPLRVLRRCRRFLGVPRDRPLVPFVLRYPAIFRLFHAPVSFPLSPSLSTLAVALTPAAHALADDLAALRRSELAPGLAAKFHRLLLMTPRHSLLVSKLAHLAPDLGLAMDFRATLCPNHPDLFTFAHTSHGHALRLVDDPPPPPPPSPLPPPVRSADRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLEEGASAEMAERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRAMLVRHPEMFYVSIKGVRHSVFLVEAFDDNGRLLVEDEMLVGRDRLEELVREGKRIRRARKKGDFAVDGDSDADQEEDDNVVDDSLEPDGEFGDLFEDGVTGDDWNEVGGIEGGDEGDAESDAMEEFWVKKAVAEGLVDSGSEHDVW* >Brasy5G480600.1.p pacid=40074504 transcript=Brasy5G480600.1 locus=Brasy5G480600 ID=Brasy5G480600.1.v1.1 annot-version=v1.1 MGGSGTHALLILLSVAAAAAALAALAPGATALQPSQAWSLLKLRQLLGDPPALSNWRNSTDFCYGGDYKTASAFVECYDDSVTQLHIMGDSNSKSPARPLPRTFSIDAFFTTLSRLPDLKVLTLTNLGLWGPLPGKKISRLASLEIVNVSSNYLYGELPRGLSRLPNLQTLVADNNMLSGGFPEWLSGGEKLPLLAVLSLRNNSLSGTLPESVVKAMPSLRTLVLASNNISGNLPDLSALEHLQVVDMAGNSLGPEFPRLGRKVASVVLAGNRFSDALPEELLASCYLLERLDISSNRFVGPFPPALLSLPSIEYLSIAGNRFTGKLSGNASCGENLRFVDLSSNLLTGSLPACLAARNKDSGSMTVLFSANCISAGAGKDDSQHPSPFCQNQALAVGIVPDKAQKKPGAKGGLVAAIIAAVLGGALVVGAAIFFAVRKASTPKARPPRRLLEHASSAYPSNLLADARYISQTVKLGALGIPAYRSFSLVELEAATDNFEVSSLMGQDAHGQMYRGRLSNGTPVTIRTLKVKKSQSSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRRLTWGQRISTTIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNQVAKIGSYNIPILSETTTKSEGGAGNKYASDRVPNGDKIDIYDFGVILLEVISGRPISSIYEVEIMKEQLQSALTAEGPSRRRSFVDPAVSNGCSDESMRTVMEICLRCLAKEAKQRPSVEDVLWNLQFAAQVQGDWRGDSRSSEESPLSPSKVHAESDY* >Brasy5G480600.2.p pacid=40074505 transcript=Brasy5G480600.2 locus=Brasy5G480600 ID=Brasy5G480600.2.v1.1 annot-version=v1.1 MGGSGTHALLILLSVAAAAAALAALAPGATALQPSQAWSLLKLRQLLGDPPALSNWRNSTDFCYGGDYKTASAFVECYDDSVTQLHIMGDSNSKSPARPLPRTFSIDAFFTTLSRLPDLKVLTLTNLGLWGPLPGKKISRLASLEIVNVSSNYLYGELPRGLSRLPNLQTLVADNNMLSGGFPEWLSGGEKLPLLAVLSLRNNSLSGTLPESVVKAMPSLRTLVLASNNISGNLPDLSALEHLQVVDMAGNSLGPEFPRLGRKVASVVLAGNRFSDALPEELLASCYLLERLDISSNRFVGPFPPALLSLPSIEYLSIAGNRFTGKLSGNASCGENLRFVDLSSNLLTGSLPACLAARNKDSGSMTVLFSANCISAGAGKDDSQHPSPFCQNQALAVGIVPDKAQKKPGAKGGLVAAIIAAVLGGALVVGAAIFFAVRKASTPKARPPRRLLEHASSAYPSNLLADARYISQTVKLGALGIPAYRSFSLVELEAATDNFEVSSLMGQDAHGQMYRGRLSNGTPVTIRTLKVKKSQSSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRRLTWGQRISTTIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNQVAKIGSYNIPILSETTTKSEGGAGNKYASDRVPNGDKIDIYDFGVILLEVISGRPISSIYEVEIMKEQLQSALTAEGPSRRRSFVDPAVSNGCSDESMRTVMEICLRCLAKEAKQRPSVEDVLWNLQFAAQVQGDWRGDSRSSEESPLSPSKVHAESDY* >Brasy5G331600.1.p pacid=40074506 transcript=Brasy5G331600.1 locus=Brasy5G331600 ID=Brasy5G331600.1.v1.1 annot-version=v1.1 MEDSADEKKKNNNVLQGRYELGRVLGHGNFGRVHAARDLRTGRGVAVKVVAKDKVERAGMSEQIKREIAVMKMVSHPNIVELHEVMATRSKIYLALELVRGGELFARVSRGGRLREDVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALAGHARPDGLLHTACGTPAYVAPEVLGGGGYDGAKADLWSCGVILYVLLVGALPFQDENLMSMYRKMQRGDFLCPSWVSRDARKLIGRLLDPKPSSRITVASLIESPWFKKTSSVPSPLSEPAPPAAVRGGNGNGDDKDEPPEALNAFHLISLSAGFDLSPLFEQEPSSGRERGGAAMRFATREPASGVISRLEGLATGGAMRVTKSGARGVRLEGAERGRKGRLAVAAEIFSVAPSVLVVDVKKDGGDTMEYRSFCSEELRPALKDIVWAAAAAASPDPPGAT* >Brasy5G179200.1.p pacid=40074507 transcript=Brasy5G179200.1 locus=Brasy5G179200 ID=Brasy5G179200.1.v1.1 annot-version=v1.1 MACPRNKTRAAATSADDKLSSAAEALLERTPPGSDADDSPPPPLAVESALAAADDGDGGDVSSDAETEADPESAEAARLKEENAMLRDQMAALAARVEELSIAAAVKTSAAEEEEEEEEEVRAEKKLPVEMMKKAPAAAKKKAPPAPRRVAPAAAASKATEPVEKTKATAGADEAEAAGPGPGGRPRRTRKVNTQYPADTWAR* >Brasy5G399000.1.p pacid=40074508 transcript=Brasy5G399000.1 locus=Brasy5G399000 ID=Brasy5G399000.1.v1.1 annot-version=v1.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGITFFETSAKTNLNVEQVFFSIARDIKQRLSESDSKPEGGTIKIKPEGEADAAGAQKSACCGS* >Brasy5G081100.1.p pacid=40074509 transcript=Brasy5G081100.1 locus=Brasy5G081100 ID=Brasy5G081100.1.v1.1 annot-version=v1.1 MAEICSVNRKSQSFVKPAAPTPALQQTPPLLELSAIDRVPGLCHTVRSLHVFRPPPHADGAVRCRPAEVIRAALARALAEFPAFAGRLVVVGSDDCGVAVACTGDGAWFVEAAAGCSLEDVNGLDYPLVVSEEELLPPAPEGELDPTSIPLMMQVTEFSCGGFVVGLVAVHTLADGLGAAHFINAIAEFARGLDRPTVAPVWARAMIPNPPKFPPGPAPSFQSFGFQHFATDIHPDRIAHAKAQYLKATGTHCSAFDVAVAKVWQARTRAVGYGPEAQVQVCFFANTRHLLGELLPEGFYGNCFFPVTVKARAGDVAGSRDLLGVIQTIRDGKARLPLEFANWASGSGAGAGDKVKALQDDPYELRFEHNVLFVSDWTRLGFLEVDYGWGVPSHVIPFNYAEYMAVAVLGAPPAPAKGTRVMTQCVEEKHLKEFRDEMMKGSF* >Brasy5G506200.1.p pacid=40074510 transcript=Brasy5G506200.1 locus=Brasy5G506200 ID=Brasy5G506200.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLAELLKKEYNLQTGVKKDVESLLRELESIEVALTKVAEVPLDQLDKQVRLWARNVKQLSYEMEDVVDSFMVRVDDDKPDAKLNNLKCFREHIANFFEKIKTHQIANFFEKIKTRRQIAVKIQEIKVRVKEVADLRDKYKVDLVGTNPGTASTIDPRILALFKDQRELVGIEGPRDELIKRLAHGADDVSNSGKQLKMLSIFGFGGIGKTTLAKAVYDKLQANFFCRAFVSVGQNPNVKKVFTDILLQLDEQSCTNATMLNETHLITKLRELLENKKEIGNLGFLQVLDLRYSGIKELPESVEQLEKLQAIQVCCYTPWAHVDLAYCEGYVPSRHLRRLELPVAFEKLPAWINSSSLPNLSHLMVGPDAVETQELEVLGRFPELVYLALRTKPGVIIPDIRGGGAFPKLRYYSTLASVRFLQGAMPSLELLSVTSTTSAMSHSNFGCDRVTREKGEAALRQAVEVHPNNNITLQVDGRLW* >Brasy5G190700.1.p pacid=40074511 transcript=Brasy5G190700.1 locus=Brasy5G190700 ID=Brasy5G190700.1.v1.1 annot-version=v1.1 MDIAVDALVEILLLLSPSCRRRCRLVCRQWRDVVDKHTTEMQSRAMPLVVAAGSAYVVDDDLSSTGSHRRLLWTDFVMDDRGENGMSVVGTCNGLICLYENRRPGGAVTVVNPVTNETLHVPPLPQAVWFFPGEIVSWHGSYSFSYHPTTGRYTVVHVPCDYGKGRADTSLQAFTLGGASSAWRSVGVAPDEICTYLEAGVVSVDGATYWATTGDKKIMAFDLDGSDRVASVDLLPDGDMLSRPGSWNLSEVRGRLGVAFYHRPSISESKIEVWVLEGATTEERRWSCWYNVLVHSLHGSEFNKMIGTRNLTRPHFAHGEDILTQDLLWDSDGLRTRSVSKHRTSKDANGAGCYTVEIGERNRATVVAGMKAGYAWDRRVFSYVETAEPLSIYMSPV* >Brasy5G313300.1.p pacid=40074512 transcript=Brasy5G313300.1 locus=Brasy5G313300 ID=Brasy5G313300.1.v1.1 annot-version=v1.1 MLVCYFCYCEFISCCAADHQQYKKVVIASHCLNLVRACLDKSLARSIVQDMKSIAASLECSFFFVYFFFLSS* >Brasy5G030900.1.p pacid=40074513 transcript=Brasy5G030900.1 locus=Brasy5G030900 ID=Brasy5G030900.1.v1.1 annot-version=v1.1 MISPHYEVFIIPCLDDYNGHQGDDPLLEESEWPPSLCKMHVFSSRSGRWEERFFARQGDAAGTAGEMRVRWEEYSADYVRGTLYVCYIAGFVMRICLTDNTYRVIKSPMDAKGELYPYLGVVRSEKGVYFVAFNDGWLRVWILDESGDEIEWMLKHEKYLKPMAVRLQFHDRFHGDWILEDINYNLFHYDVKNEDKTDDFYLEDNKKAIVVENVERNFNIDNALGNGDMVEDCYDYKKAIVEEKFECNWANDDDNGKNKDMVKDFYLEDSKKTEVTEC* >Brasy5G489000.1.p pacid=40074514 transcript=Brasy5G489000.1 locus=Brasy5G489000 ID=Brasy5G489000.1.v1.1 annot-version=v1.1 MATRILIFILLLLISSCKSDDTLTHAKPLFYGEKLVSKGGDFALGFFSPTSSNKRFYLGIWYNNLPGRRTVVWVANRDNPIAAPSSAALAITNSSNLVLSDSQGLTYWKTKNKITTGGRTQVAAVLLDEGNFVIQMPNTTIIWQSFDHPTDTILPKMRFVVSYKAQVFGRLVAWKDPDDPSSVEFSMSGDPEHPVLQMIIWNRTRRHYRGVWNGQTVSGSTYMSNSSCVVSQSSVDLPDELYFTFTVSDGSPFTLIKLDHTGKMTSLSWNNQSLSWEVIASHPDPAVPCDIYSSCGPFGYCDLTTRGPMCVCLDGFEPNGLNISSGGCRRKEALKCSEEARFVTLPRMKVPDKFLRIPNRSLDECKAECTGNCSCTAYAYANFSRNLAKADPSRCLVWTGEFIDTEKYSADSFFTAGKSINSGEDLYLRLADSHGRKNINLVKIVLTITACMVMLTCIALISIFKYKAGKRRKKEMQKRQMLGYFHSCNEITGKNIEFPFVNFESIVAATNNFSDSNLIGRGGFGKVYKGMLEGGNEVAIKRLGEGSRQGIEEFKNEIVLFAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFLFDSKRQYVLDWPTRFKIVKGIAKGLLYLHQDSRLTIIHRDLKASNILLDREMNPKISDFGMARIFGENQQQANTTRVVGTYGYMSPEYVMGGSFSVKSDTYSFGVLLLEIISGSKISSAHLINNFSSLTAYAWRLWEDGRARELVDPLIVGSCPLREVLRCVHVGLLCVQDHPNDRPLMSSIIFILENESALLPAPKQPLYFSPSENMENSDNVMSITTQEGR* >Brasy5G152500.1.p pacid=40074515 transcript=Brasy5G152500.1 locus=Brasy5G152500 ID=Brasy5G152500.1.v1.1 annot-version=v1.1 MSDDDHAPRGRGSGSASPEDVPLLPPSPPGNPRPHRLAEGSADDRAPRERRSALGYVPPPLLVKSLRECVTNSMEVDPPIDVTSPRRRPGLRPRSDEAARRSMSPSPASPSKRAHWAVGDTRRSSPQVDSTGSDPNAPGTVPVMQQPELILTELEPDGDTSLESPQGDEEGNRSDGAAMMTATDDLISKLQEDMKLQILTFLPLKAAIRMAGLSRGWQDLWVSRWQDGQHPTSVRYHLRTGAPISPGKLHKLLQGRQGHPLHHFSLIVETSRMTDKLFTYCLQYIAKCNVRDLNIEVRRPALITKLNFNFVEASNLLVRVSLCGIIVSDINHVGAQPFNNLKVMNLHSVRINDAILMKVVALCPCLHVLDLRYCNNLTHITVTPEWPNLRSLTILECSRVLKVDAMAISSIRSFHFRGDHASFYLHGDAFTDLYIYFS* >Brasy5G112600.1.p pacid=40074516 transcript=Brasy5G112600.1 locus=Brasy5G112600 ID=Brasy5G112600.1.v1.1 annot-version=v1.1 MEYSSDDDSEISDSEIDECEDKIYARLISGDLKINNGESYSCPFCTGKKKKDYNIHNLLQHASGVGAAPNRPAKEKATHRALAKHLKNGPAKPPEPQPQLIVDQPLPNRNEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKEQLSRFCPLKVIPLWNFRGHTGNAIVEFAKDWNGFRNALAFENYFEAEGYGRRDWKHKQNQRSKLFGWVARAEDHNFPGIIGDHLRKNGDLKTIDDLEDERTRKNDKLEANLANQIEVKNKHLQELECRYNETTASLEKMMGQRQQLLQTYNEEIRKMQQLACRHSQKIIDENQNLRSELESKMSELNARSKQLDDLAAKSGYDRKNLEQEKQKNAIKSSHLKSATVEQQRADENVLKLVGEHKRAKETALKKILMLEQQLEAKQTLELKIQQLKGKLEVMKYMPGHEDSESKSKINELSEELQDKIDELDGMESLNQTLVIKESNSSIELQEARKELENGLLDLSGGQAHIGIKRMGELDLKAFSNACRQKSSKEDAEVTAAILCSKWEDEIKNPDWHPFKVVMVDGKATEIIDAGDAKLQELKEEHGDEIYALVTKALCEINKYNASTRYPVGELWNFREERKASVKEAVQFVLRQWRMNRKKR* >Brasy5G037000.1.p pacid=40074517 transcript=Brasy5G037000.1 locus=Brasy5G037000 ID=Brasy5G037000.1.v1.1 annot-version=v1.1 MATLRFLMLAVLLLLLLLLPASPALAEDECELKDPSMCVANWHVGEARLQAALDYACGHGADCSAIQPGAPCFSPNTRFHHATYAFNNYYQRQGRTPSACDFAGAGSLVYRIPKPEDKCKPIQFESRSCTKLLCTLECLSKINLHDKTIREPYCANDKHGLPFCHCDVCKV* >Brasy5G087600.1.p pacid=40074518 transcript=Brasy5G087600.1 locus=Brasy5G087600 ID=Brasy5G087600.1.v1.1 annot-version=v1.1 MGQSPLLRLPAAAEQVQPVAAMNGGSNRKEQLGKSTKSTNKYYKNSSKDDLVLRATLESITRLG* >Brasy5G212300.1.p pacid=40074519 transcript=Brasy5G212300.1 locus=Brasy5G212300 ID=Brasy5G212300.1.v1.1 annot-version=v1.1 MQSAWEHGAGLLVDDCRELYGLRIQFDQSRREPSPLPRSLPRRFQRNNRSPARSSGWGPPWRACSRSRRVRSTDEGDAQGRGASSEGAGEAGKTRTAAGAELRARRRLAGLGEEEHGEAARWRRRSASPSRAAAAPPIFARPFARHSPPAFARRRRSAHLRTSLRAPPPLSPSSRAAARPPSRAAAAPPILARSTDEGDARGRGASSEGAGEAGEDEDGGRGGAPCAAAPCRSWRRRARGGCEVEKKKRLPFARPPPLRPSSHAPSPAAVRPPSRAAAAHPIFARLFARRRRPPAFARRCPPAKRLMHSSLLRPRHAAAIFPAQRSI* >Brasy5G464200.1.p pacid=40074520 transcript=Brasy5G464200.1 locus=Brasy5G464200 ID=Brasy5G464200.1.v1.1 annot-version=v1.1 MDRKLACVCFLLVFVLSGNSILAADPNCISSTIRMTRCDEDSCVFNCKYDARDRGGDLSDAWCTGTDCHCKICFGSQNFHSAY* >Brasy5G086100.1.p pacid=40074521 transcript=Brasy5G086100.1 locus=Brasy5G086100 ID=Brasy5G086100.1.v1.1 annot-version=v1.1 MRSIFIRCMDPVHAPAPGCLLMRSSVQISLSFAPNPASLTPLLLRPRRRLRRLHRRLRPVLRPRHERMTTSRRLADKKSAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA* >Brasy5G274200.1.p pacid=40074522 transcript=Brasy5G274200.1 locus=Brasy5G274200 ID=Brasy5G274200.1.v1.1 annot-version=v1.1 MATAGNVIKCKAAVAWEAGKPLSMEEVEVAPPQAMEVRVKILFTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGKSRFTINGKPIFHFVGTSTFSEYTVIHVGCVTKINPEAPLDIVCLLSCGISTGLGAMLNVAKPKWGSTVAIFGLGAVGLAAMEGARMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHTKPVQEVLIEMTNGGVDRAVECTGNVDAMISAFESVHDGWGVAVLVGVAHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPNVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGVGLRCVMRMEE* >Brasy5G047400.1.p pacid=40074523 transcript=Brasy5G047400.1 locus=Brasy5G047400 ID=Brasy5G047400.1.v1.1 annot-version=v1.1 MVRNYRLLKKMRLWMVSIWLAVSAAALPGGEQPLSRIAIEKATLAVDGAAHVRASPLVLGLKGETSEWVEVEFFHPNPSDDNWIGVFSPANFSDAICEPENVRQQPPVLCTAPIKYQFAKFKNEGYNRSGKGSLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVRFANPKAPVYPRLAQGKSWNEMTITWTSGYNIKEAVPFIEWGAKVGPRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSFLKDLWPDSLYTYRLGHMLPNGTNIWSKSYSFKASPYPGQDSLQQIVIFGDMGKAEADGSNEFNDFQPGSLNTTNQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYETEGTFEEPMGREALQELWQKHKVDLAFYGHVHNYERTCPVYQSQCVVDASDHYSGAFKATTHVVVGGAGASIADSEFTTSNIQWSHFRDFDFGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSIDNCPRTTLAS* >Brasy5G291500.1.p pacid=40074524 transcript=Brasy5G291500.1 locus=Brasy5G291500 ID=Brasy5G291500.1.v1.1 annot-version=v1.1 MKHEHNASSNFAANEPAHAVDQDAFGGFFNEIATGAITFSSLLMSNQMPATK* >Brasy5G199700.1.p pacid=40074525 transcript=Brasy5G199700.1 locus=Brasy5G199700 ID=Brasy5G199700.1.v1.1 annot-version=v1.1 MDMLSTIRKVVEELDMEAGTACRNMARCQHLANLARTAFDSLCDSPVGKGGATSWWSVMWRLKAALDDAPKLVESCHRHSCCFSWVTGGRTAARFLAIDMRITAYVMDLGLGVDRPRRRCAEATRTGSPPSWLMETSGGVAGNARMKDGEHQHDRKADGWQVLEEVATVELPPQTYNGRLSDFWTEPSQDFTVQQQQQQQQPFIPPRVHAVQPLSSSVPLPVHDAVQQQPYVPPWVRPVRPPWVHGVMQRQAFGPVPVQPVQTAWVPATQPCRSWYVPSSTIATATAYASSAKSTSYASSAKTHASSANTTPYASSAKTHASSANTTPYASSAKTHASSANTTPYASSAKITSRTSSTTTSYASSAKSTSYASSAGTRRTASTSSMSSMYHPRSTDTVSTTSRSSTISTLSRGSRLR* >Brasy5G100700.1.p pacid=40074526 transcript=Brasy5G100700.1 locus=Brasy5G100700 ID=Brasy5G100700.1.v1.1 annot-version=v1.1 MAIIEALDKTSASATGSSPVVSPENFIKCLKKFYRHWKEDKTGIWGSAGAIAVATPPRSEDIRYQKSLALSMWFFVHHFPETIMIFLSKQIHFLCRQKDCDVLQPLKIPVSKVVGVDIVLHILKKADYGSALMDEIIHDVSAHSESNHVVVGHLAREKPEGKVLEVWSEKLHGSCLKLSDVSSGISELLAVKDVTEIMYVKKAAYLSASVMRKYVVPKVEKIIVDERKIAHSKLMVLTEKILLSPINVGIQLKAENVDICYPPIFQSGGKYDLRPAAFSNDDDLFYDSGSLIICALGAKYSGYCSNVARTFLIDCTEEKCNAYKVLLQAHDAAITALTPGSKASSSYQAAVDVVRDKAPDLLPFLTKTAGTGIGLEFRETWLSLNEKNERTLKEGMIFNVSLGFQNIVDKTNNDKTNEFSLWLADTVLVCKEKPQVLTAFVSKADGDAFYSFDEEKTGSPSVKPAPKADVMAPAKPVQKAELMLPLRENLRSRTRMPKEDLRKQIQSEILKKKTNETAMRSDAADHKLLDGHGRCRAIDKLVAYKNASDVPGSNQLEIQVDRQNEAILLPIYGVTVPFHVCTVKKAEIRGDGNRGVYISITFNVPGTASGVQDPCLQNLIFLKAVTFLSKERGHAEEVIKSLKTLQRGLTERARRASLVSQERLELCDGMKRDRIQFPDLWIRPLFAGRGRKVPGTLVAHVNGFQYSASKSEKVDIMFSNIKHAFFQPAETDMITLLHFHLYNEIMVGNKKTRDVQFYNEVMDAVDSVGLKRRSAWDPDEIEEEQRERTRKKEINRQFELFVKRVGSIWCQARFDQLGLQFERPSQKLGFSGVHGRTTCFIVPTPSCLVQLVESAFLVISLREVEIVCLERVALGQKSFDMVFVFQDLKRDVVRIEVIPMTSLDNIKDWLNDSNIKYYESKLNLNWRRVLKTLDHPDSDKNARWEFLNPDASDSDSENSEAEDEKYEPSDVESGSESDDKDSDSESVVDSGEDDGILACLDDDDDDSAESWDEMERKARDADMEMGSESDSEDERQRRREKVKRRPILQQSKGVPQKRQRVN* >Brasy5G282900.1.p pacid=40074527 transcript=Brasy5G282900.1 locus=Brasy5G282900 ID=Brasy5G282900.1.v1.1 annot-version=v1.1 MGSHGAAATGARLAAVGAFAVNCRPWWSLPCQPSACISYHDLLWAMIASRLLDQFNVNTKNVLTIVWGQRCFPLHD* >Brasy5G201700.1.p pacid=40074528 transcript=Brasy5G201700.1 locus=Brasy5G201700 ID=Brasy5G201700.1.v1.1 annot-version=v1.1 MALWTGLGQAATIAQLVGADIGGLISMTIQAALTARQNKKECEQLARRVLMIAELLPHLQLEDPEAVRPLAGLGDTLRDAHELVVSCQGRSVAYQLVMSGRQADSYIGITRQLNRIYNMFVPDDATSGEPSPLSLSSQLSYPQESREVAADMVIPHGVKEFTLDEIRAATNNFRAMIGFSGVYEGWLHDGRKVAVKRLKTDMIPDYVDVFRIELAILYPLCHENIIRLFGMCTEEENRLVVYEHMDNGALSDHLHGQPATAFDSAPFDSSPVRTSWKTRVEVLLGAAREIEHLHCHAGPPVIHRDIKPSNILLDARWEAHVSDFGISVPWDPRQADRVHSVMGTYGYAAPEHVMEGHLSPASDVYSFGMVMLETLTGMLPILTNSNEELASFALPAIEAGNLRKVLDRRPALELRHFKALKLVASMVVRCLRLSSRDRPPISVVVANLEGALEIICSDPDPISENKFKRFFFPKNTKSIQE* >Brasy5G450300.1.p pacid=40074529 transcript=Brasy5G450300.1 locus=Brasy5G450300 ID=Brasy5G450300.1.v1.1 annot-version=v1.1 MRPSSSCGRSRRRLLLLALAAVLAVASPPRASASESDHKYKTGDPVKLWVNKVGPYNNPQETYNYHSLPFCQLSENPPHKWGGLGEVLGGNELIDSQLDIKFLRNVEKGSICTLELDSKKIQQFADAIENSYWFEFFIDDLPLWGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEAGKFFDMTYSVKWVATEVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLESLERDVNEESGWKLVHGDVFRPPRSLTFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSAGLYSRNGGKNWIKAMILTASLFPFLHFAIGFALNTIAIFYGSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPERKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD* >Brasy5G503400.1.p pacid=40074530 transcript=Brasy5G503400.1 locus=Brasy5G503400 ID=Brasy5G503400.1.v1.1 annot-version=v1.1 MARRCFRRPSERWEKYLQVLDTFLDHIFVPVAAPVVSRAPRRPEVRRVPGIDFRELIYRPVIGVTASDLLQFGGRDWDDFNFELLQRVSTSKASRVLGFVVVLALVSLLFAFLAIKNRRRGGGNGGVGDDGGDDGGGGGEKSIGLAVAVAAAASSSSPARMTTRTLVSATYSVTGSYLALSASTGGGGGGEGPLRPVFRGDPLDGAGGFLLAALVHRVGDQQPVRISVVQLKNSLEL* >Brasy5G163500.1.p pacid=40074531 transcript=Brasy5G163500.1 locus=Brasy5G163500 ID=Brasy5G163500.1.v1.1 annot-version=v1.1 MPLRRLLALAAVCARGVRRSLSTAAAATDSRLGGWWTWYLLDKTTMATGSAPAPGVSFDSPPSLSQLHVPEHLAKHSCGLPLPDPDDDVVRLLACKACCSSQNGLLLLNIQDIRFAAPIVARQGAEQGGNRVRQFTGLADLSQLPGMSHYVFNPCNHEISSCLPEIEGPKKILAGFNLGLLTRAGSGGFGSPDRYAVAEMEGRLMLRFLSETGEWEILEGSPCRLPAGRPRLLPNQEAVAWNGKLCWVDLTFGAICADPFSNRPEPRFVQLPSGSVLPAHIHEKSVDEVVRRALNFNLEGSILEPHMYRRVGVSGGWLRYVEVSHEEPFVLSSLKLDADGSGWTLEHRVALSKLWADGGHPWLPLQGETTPQIGALDLAEPNVLYINVGKHIVSVDMHKGVVTGHCPVGGDNHALRLYLVSSHLGFQQPGSQVLSTHLFY* >Brasy5G155600.1.p pacid=40074532 transcript=Brasy5G155600.1 locus=Brasy5G155600 ID=Brasy5G155600.1.v1.1 annot-version=v1.1 MEEEKARGVASPLIPPPSEIDLEAGGNGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRSDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVVGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEHADWSPPPLPAEHIQQLKSLGLL* >Brasy5G288700.1.p pacid=40074533 transcript=Brasy5G288700.1 locus=Brasy5G288700 ID=Brasy5G288700.1.v1.1 annot-version=v1.1 MRGLTRAGKRASEMAFNAGGGVINWFPGHMAAASRAIRDRLKLADLVIEVRDSRIPLSSANEDLQPVLSAKRRILALNKKDLANPNMMNMWLDHFESCKQDCISINAHSSNSINQLLGLVELKLKEAILKEPTLLVMVVGVPNVGKSALINSIHRIACSRFPVNDKIKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRREEFDEEPGHSCEKGSRDSLRRRKRINNSDALYVQDLVMEVQATLCSTAMEFTGNIDEETGLESLIDAQLVALRKVFRIPHRPLDESHGPTAKKLLTLFRAGKLGPFVLDDLPDEIKQ* >Brasy5G288700.2.p pacid=40074534 transcript=Brasy5G288700.2 locus=Brasy5G288700 ID=Brasy5G288700.2.v1.1 annot-version=v1.1 MMNMWLDHFESCKQDCISINAHSSNSINQLLGLVELKLKEAILKEPTLLVMVVGVPNVGKSALINSIHRIACSRFPVNDKIKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRREEFDEEPGHSCEKGSRDSLRRRKRINNSDALYVQDLVMEVQATLCSTAMEFTGNIDEETGLESLIDAQLVALRKVFRIPHRPLDESHGPTAKKLLTLFRAGKLGPFVLDDLPDEIKQ* >Brasy5G288700.3.p pacid=40074535 transcript=Brasy5G288700.3 locus=Brasy5G288700 ID=Brasy5G288700.3.v1.1 annot-version=v1.1 MWLDHFESCKQDCISINAHSSNSINQLLGLVELKLKEAILKEPTLLVMVVGVPNVGKSALINSIHRIACSRFPVNDKIKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRREEFDEEPGHSCEKGSRDSLRRRKRINNSDALYVQDLVMEVQATLCSTAMEFTGNIDEETGLESLIDAQLVALRKVFRIPHRPLDESHGPTAKKLLTLFRAGKLGPFVLDDLPDEIKQ* >Brasy5G024500.1.p pacid=40074536 transcript=Brasy5G024500.1 locus=Brasy5G024500 ID=Brasy5G024500.1.v1.1 annot-version=v1.1 MAPMVHIDSMVILQKHLQCLTNEYLTDEEKYLGDEVIDSFIELLNLTRPVDVREDGSVYIERAMNAYVLHNDGSRATEPGYVRMGTRTSSMGIQYLSHRMSYLPIIMGYNHWYLAVVNTNKKQIQILDSMNHDWDNNDASKAYLWHTLKGFEEHIQYALQQEGEKTCTWAHSDFDITTWPVEIVEGIPKQKDCTSCGLYLLMNILQWTGSKLSKEYD* >Brasy5G024500.2.p pacid=40074537 transcript=Brasy5G024500.2 locus=Brasy5G024500 ID=Brasy5G024500.2.v1.1 annot-version=v1.1 MAPMVHIDSMVILQKHLQCLTNEYLTDEEKYLGDEVIDSFIELLNLTRPVDVREDGSVYIERAMNAYVLHNDGSRATEPGYVRMGTRTSSMGIQYLSHRMSYLPIIMGYNHWYLAVVNTNKKQIQILDSMNHDWDNNDASKAYLWHTHIVWPVFADEHPTVDGI* >Brasy5G257300.1.p pacid=40074538 transcript=Brasy5G257300.1 locus=Brasy5G257300 ID=Brasy5G257300.1.v1.1 annot-version=v1.1 MSPVTKLLLLLVVAAAARRLAPRRPATASRRSQHIRDACAGGSTEGGGRPEIYLVRFGQMTSPSALFTTKHLEQLQLDLSAQELDDAADGSRAAPDRILGYFRNLRRRLNLSSVSFSGRAPPPWLGNLWRFHDRWQRTDEDVSSSSDGRLVHMYSTDVSWLTRLRFTWLFYMIRHN* >Brasy5G078300.1.p pacid=40074539 transcript=Brasy5G078300.1 locus=Brasy5G078300 ID=Brasy5G078300.1.v1.1 annot-version=v1.1 MAAAMAVSAVVPPAAAVARARTLLCVPATARAPREMAAEVAAAAALGADVAELRLDRLSGFAPRRDLPALLAKPRALPALVTYRPKWEGGEYEGDDEPRFEALQLAMELGAEYVDIELKVADKFMKFMSGKKPENCKLIVSSHNYDNTPSAEELGSLLAQIQATGADIVKIATTATEIVDVSRMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTAADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNQAFRSVGMDAVYVPFLVDDLARFLTTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRSDGKLVGYNTDYVGAISAIEDGIRASQSTQSTASPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLSELENYHPEEGMVLANTTAIGMHPNVNDTPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGTPVVDNKFRALANSLDNPSTTKRTRGVAPKPRL* >Brasy5G082600.1.p pacid=40074540 transcript=Brasy5G082600.1 locus=Brasy5G082600 ID=Brasy5G082600.1.v1.1 annot-version=v1.1 MLTEIIKRITLTSDLNSFSLVSKRLYTIEADQRGAIRVGCNLCPATEALASLCTRFPNLWKVQIDYSGWTPGHGNQLDNQGFLEFSSRCPSLTDLTLSFCSRIHDSGLGCLAYCKKLMSLRLKSAPKITSRGLLSVVVGCKSLSTLHIVDCHKIGSADWLEYLDQNGSMEELVVKNCQRISQYDLLKFGPGWMELQKFEFMTNAGLWDHHERCYDSSYNAHNPSRYDFHCESLKDLTFTRFTTGPEIGLRNLLGKCKALEKLRLEYVHGLNDNDLIALSKSCSNLKSISLWLTPIFHDFYKCTTSFTDDSLKALALNCPMLQTVELTFGVCEPTYPSEIGFARKGLVMLMKSCPIRVLVLNGANFFDDKGIKALSFAPLLETLELIDCKAITDIGMSLIVHIPRLSNLALRWCEHVTDGGVAELVQAHKLESLSIECCHQVSLEAVQGAARSVHYYTKCVSNSLLGKRMFLKYCS* >Brasy5G269300.1.p pacid=40074541 transcript=Brasy5G269300.1 locus=Brasy5G269300 ID=Brasy5G269300.1.v1.1 annot-version=v1.1 MMMANAKLQKQALLPPRSPFPTAASPYGDHGPIARPQGQGAATHHRFGHGHGHGHHQRTSSESIIEEQPSWLDDLLEEPGTPVRRAGHRRSSSDSFALFDGSAASGAYANSFEEMGGGGQAAPWGGLPEYYAKPSLYGRPQGRPWEQGMPNLAGYRPGPPMPVREKVGAHHGPPSVFRDHDHVLDRRAPDEGGYDHKVGAERKEGVLPKHAQPQSDADTKRAKQQYAQRSRVRKLQYIAELEGKVQSLQSEGIEVSAEMEFLTQQNMMLDLENKALKQRLESIAKEQVIKRVQQEMFEREIGRLRSLYQQQQQPPQPSALARSNSRDLDSQFANLSLKHKDPNAGRDAVSGPLRT* >Brasy5G019900.1.p pacid=40074542 transcript=Brasy5G019900.1 locus=Brasy5G019900 ID=Brasy5G019900.1.v1.1 annot-version=v1.1 MLRSFAMNCWTSLRRRTAARRPPDALGSSFPRGLSFFRRAICRAPSAQPPPRHLARWYHDRRKLAAATTAAPLIGLGAAGIAAGHGSCHCHLETVPYTNRAHMVCRSPPEEREFAESYFADLKERIADSIIDDDDPDSIRVAMITSRLVRALHRCLLSKIPPGPGGGDAQTAHLHGLDWEVILLESGSVNLGCLPAGKIIVHTGLLDFFETDAEIAAFIAHEIGHIVARHSSEKIMYRWLPRPLQVPFLRRTEREADHIGIMVLGAAGFDPRVAPATLEKLAEARDRYRTLLSEYPSNKKRSQLLSQTKLMQEALELYEEISRGQETLEEGFL* >Brasy5G433500.1.p pacid=40074543 transcript=Brasy5G433500.1 locus=Brasy5G433500 ID=Brasy5G433500.1.v1.1 annot-version=v1.1 MSDEAISRPPPQSGPVSIGGSSDSDGNSSGSLDTDDLVLDALIDDPMDERMEDLVVAELLRGATPEQRRQIEAQRDECRAKQKEIQLQVSRHRAQMRSLKKYTDLIGVDVSGYTDAQKEQYESQLERLSREVFGKDR* >Brasy5G392800.1.p pacid=40074544 transcript=Brasy5G392800.1 locus=Brasy5G392800 ID=Brasy5G392800.1.v1.1 annot-version=v1.1 MSPLVALVAGVAVLLALVHFIISKVRSSSRNSKLPPSPPSLPLVGHLHLLGRLPHRSLRELQARHGSDGGLLLLQLGRRRTLVVSTAAAAADLYKNHDLAFASRPPNAAMDKLTYGSNNVSFTPYGDRWRRGKKMAVVHLLSPRRADSFAPVRAAEVSALVAGIRRAAEAGAGEPVELRELLYGYGNAVVTRAATGAAGATAERLKRLMGNSAALMAGLQAEDVLPDAAAKVVRWATGLEKKLDVEVAAWDEFLSEIMAEHVEKKRDDAAAAAAAGEEEEDFLDVLLRLREEGTAGFELADDRIKAIVKDMIAAGTETSSISLEWAMAELVGNPRAMAKLQDEVARVTDGKPAVDEGDLSKMEYLKAVVKEVFRLHPPAPLLVPHESTVAAVVQGYDIPPKTALFVNAWAIGRDPAAWGEAAEEFRPERFLALGGTRVDLRGNDYQLIPFGAGRRICPGMNFALPVMEIALASLVHHFDWEIPAGTRAAEEGLDMIEEPGLTTPPLVPLRLVVSKRKH* >Brasy5G441000.1.p pacid=40074545 transcript=Brasy5G441000.1 locus=Brasy5G441000 ID=Brasy5G441000.1.v1.1 annot-version=v1.1 MEDLKVDRGICRKDRSRILLIEQNGFEELIAHVESLCAGNESLKSFPSSSPGGDKLEFSCNTISHNDQFSALLTLSSSNDVHMNMQTGSVNEVAPATLNVPLESVKEVATNEEVMQWRLEIDDFIFMCSECGDYSYDSSVSEQFSAISSPCTSLTVHSDDTRSEDLDRADIWVSSLDLDEEDSTLLEDNEQFLDVFSSGFPSPSFSPMRSLQFGHCSSSPATLHTEEASETDEPIFFPFEHTSYSSSVSERSSAISSPCTSFTVHSDDTRSEDLDRADIWVSSLDLDEQDSALLEDNEQFLDVYSSGFPSPSFSATRSLQFGHCSSSPAIHMEESNEIDDPIFWPFERASYRSPQFDKFLSISPRKSSINIGLAEIRQSSPITERLHKNKPPSPNKSKEPHRRNASLGKLPSPNKIKEPHRGNASLGKLPSPNKSKEPHRGNANLGKLPSPNKSKEPHRGNASLGAKATKVSQDKIQKDAAVSSSLRRTTKTSSKHQPLNSSEKRKPPLLKIDASKKGSSPRLQVIHPLQELEASDLQNLADNKILIEEFVGLDEFDGHEGISSNSSYCQFGFCLTPR* >Brasy5G441000.2.p pacid=40074546 transcript=Brasy5G441000.2 locus=Brasy5G441000 ID=Brasy5G441000.2.v1.1 annot-version=v1.1 MEDLKVDRGICRKDRSRILLIEQNGFEELIAHVESLCAGNESLKSFPSSSPGGDKLEFSCNTISHNDQFSALLTLSSSNDVHMNMQTGSVNEVAPATLNVPLESVKEVATNEEVMQWRLEIDDFIFMCSECGDYSYDSSVSEQFSAISSPCTSLTVHSDDTRSEDLDRADIWVSSLDLDEEDSTLLEDNEQFLDVFSSGFPSPSFSPMRSLQFGHCSSSPATLHTEEASETDEPIFFPFEHTSYSSSVSERSSAISSPCTSFTVHSDDTRSEDLDRADIWVSSLDLDEQDSALLEDNEQFLDVYSSGFPSPSFSATRSLQFGHCSSSPAIHMEESNEIDDPIFWPFERASYRSPQFDKFLSISPRKSSINIGLAEIRQSSPITERLHKNKPPSPNKSKEPHRRNASLGKLPSPNKIKEPHRGNASLGKLPSPNKSKEPHRGNANLGKLPSPNKSKEPHRGNASLGAKATKVSQDKIQKDAAVSSSLRRTTKTSSKHQPLNSSEKRKPPLLKIDASKKGSSPRLQVIHPLQELEASDLQNLADNKILIEEFVGLDEFDGHEGISSNSSYCQFGFCLTPR* >Brasy5G250400.1.p pacid=40074547 transcript=Brasy5G250400.1 locus=Brasy5G250400 ID=Brasy5G250400.1.v1.1 annot-version=v1.1 MGIWGWPWGRRGLTGFGSASTAEEVAAGVDASHLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGMKVKESLAEEAPSLNLHVMEMDLSSLESVRSFARSFNASHKHLNILINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLDKMKWTAKETGVQGRIVNVSSTAHRRSDGSGFDLNKLNDKSRYGPFRAYSHSKLANILHANELSRRFQEQGCDLTANSLHPGIILTNIVRYTATNSMLISILSLAKTFLKDTPQGAATTCYLALHPDAKGVSGKYFAGCNEAKPTAVARDAELAKRLWAFSEELVENRPK* >Brasy5G250400.2.p pacid=40074548 transcript=Brasy5G250400.2 locus=Brasy5G250400 ID=Brasy5G250400.2.v1.1 annot-version=v1.1 MGIWGWPWGRRGLTGFGSASTAEEVAAGVDASHLTAIVTGATNGIGKETARVLALRGAKVIIPARTLESGMKVKESLAEEAPSLNLHVMEMDLSSLESVRSFARSFNASHKHLNILINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLDKMKWTAKETGVQGRIVNVSSTAHRRSDGSGFDLNKLNDKSRYGPFRAYSHSKLANILHANELSRRFQEQGCDLTANSLHPGIILTNIVRYTATNSMLISILSLAKTFLKDTPQVAMRQSQRLLQETQNWPRGCGRSVKNSWKIGQNDLFSCAVRVYVVLA* >Brasy5G198900.1.p pacid=40074549 transcript=Brasy5G198900.1 locus=Brasy5G198900 ID=Brasy5G198900.1.v1.1 annot-version=v1.1 MEVRPSCQGDDGEHGIRLPRPRAGHDHHGSGRPPICGPAPTNRRIRPPSVWPLLDLEGSRSPAWKGSRRSSGGREPPSPSRRLRAPAADRSARPSLAPDAGRSPRCPRAGSGEVAVGSSLLQPSDGARTDIDTCDSGFRQSSRGYKPPLAGQEKLLAKLSSNVLCMNRNLKLY* >Brasy5G489400.1.p pacid=40074550 transcript=Brasy5G489400.1 locus=Brasy5G489400 ID=Brasy5G489400.1.v1.1 annot-version=v1.1 MGGRRRSLVPTAQGREEGAATGEEREPSMAATVEEEAGGRLDLPRWRRGPAAATARMGGGGGRALSPRRRPPRHARAGQRPAEEEAAAETPARMGGGGGGRDLSPWRRPPRHSRAGRRGGGGGRDPDPQGRRRRPRPQPASARWAGIGSPGFPMGAEIVRERRGMRERREGRERSVELITLDRR* >Brasy5G352000.1.p pacid=40074551 transcript=Brasy5G352000.1 locus=Brasy5G352000 ID=Brasy5G352000.1.v1.1 annot-version=v1.1 SAAWVTRPGKYHGLRAKIESGASIYVSNLEEVSSPSFNMFEAGFHVNPDLYNNSDVRFFTYWIGKGSGSAYCYNLRCGGFVPAVGAALVPGQAVAPPSTYNGEDRFITISLRTDPKTGHWVLYRDDLHTPSLLGHFPKELCPQLIGGAPQASYNGFVSYRKNERGPPMGSGHFPEEGEKKAAYFKNMKLLNSNADAQDPTHSNMVPWENRADCYRITRMHNTVKDGYMFYYGGPEGCSG* >Brasy5G331800.1.p pacid=40074552 transcript=Brasy5G331800.1 locus=Brasy5G331800 ID=Brasy5G331800.1.v1.1 annot-version=v1.1 MDDDLVTAEALQLEEVIGLSATPETPCAVCRLMIVSMEASWKPENCDHVICIGCFAKYTTEMVATEMPICPVASCKNLSKFKIHEVIDVDDDGSLTAIKEIHTDRGKKSEYDEIGQCSRGTMICPVCRLMIVSPEASWKPENCDHVICIGCFTKYTTEMVATKMPICPVVSCKNRFKSEIQEVINVDDDGSLSAIKEIHTGRGKKNRFKSEIQEVIDVDDDGSLSAIKEIHTGRGKKSDYDEIGQCARDMMICSVCRLMIVSLEASWKPENCDHIICIACFAKYTSETVATVMPKCPVPLCSLLESDQLRVMNADHESKDDVHDSSFISIKEIDNGKGKKQCYDVLEEVAQSSRGATIIDNFYCTICMEELPIVECFPIGGCTHAFCMSCVRQYITAKVEENVLSIGCPDPGCKDGALHPEACRDFIAPQLFQRWGAALCDMALGALKFYCPFKDCSVMLVDDHVEGDEAITNVECPHCSRMFCAQCKVPWHDGIDCAQFQRLGKDESGREDLQLRKVAHESKWQRCPKCKIYVERVEGCVYIVCRCGHCFCYLCGSTMVKGNHHCSNCKRTW* >Brasy5G061500.1.p pacid=40074553 transcript=Brasy5G061500.1 locus=Brasy5G061500 ID=Brasy5G061500.1.v1.1 annot-version=v1.1 MATKTILVVCLVMATLLFASRDVVAARQLLARAEGDDARRDVRRADQEKTTTHNGVASTKDSYTEGTPKPPCYVPC* >Brasy5G277500.1.p pacid=40074554 transcript=Brasy5G277500.1 locus=Brasy5G277500 ID=Brasy5G277500.1.v1.1 annot-version=v1.1 MPRWRPTWLRRGFFANLSAPPPFEWVPPFECSPPKPFLSPSPKPSRRLPVAAAVRVAGWTPSMAGRSGFTGEEDESCMSWPRDDIASISSWPPGDVEESSSSLQCNAPFNENVHVNVDVEMDQMLNLRS* >Brasy5G300300.1.p pacid=40074555 transcript=Brasy5G300300.1 locus=Brasy5G300300 ID=Brasy5G300300.1.v1.1 annot-version=v1.1 MVSSEADDLEDKELTMTAGTGGGPKTKGRLPGSSAGGSKYAESSSEKASAAAAGSVPMWRTGADAGRPERSWYATVPASTVKEKPNGAAASGAARSGRRWGTAWTGTGRLARKESGGAALVAERRGIERRGVGGRRARPVAELAADGKVWDRAAAGWRWGRRRAGSSSSGRRRDEGDGGFLERELNRTVRAKPSGTPVFTQTARFTRFFPFQLPVRSSALNRPQIAPVPVFSGQTAGPVRSGFQN* >Brasy5G204000.1.p pacid=40074556 transcript=Brasy5G204000.1 locus=Brasy5G204000 ID=Brasy5G204000.1.v1.1 annot-version=v1.1 MDVSVNSMGNIFELALKIKQAVGTVKQNKDVCMQIGMSVDRLRVILSSLESKEITKHPTMTAPLKDLEGIMARALMLLMECQENQFSSHLFGAGSLCTELSQLNQQIKEHMNIANIASGVHIVQDFTMLTNAQYMQHGDHPWPQKDDCLALPKDVAEVGSSHNSHSNNDTRSEVDGERKNDPAGSEHFLKESADLKRFKFSEMEAATNNFSPENMIGRGGSATVYKGVLPDGFVVAIKRFRGSRSSQGDIEHYVNVFLVLPHHENVVRVIGYCQETTYKMMPYDGRQVSAEITNMMVVEEYMPNGTLSETIDGRSPQLHWSSRFQIIRGIAQGVAHLHSNRVIHLDLKPENILLDSDINPKICDFEVSKILDQEVTEIVTGEFTGTLGYMAPEYIADGIISVKNDVYSFGLLLLCTIRGMNRSGLRQHSVEWAWDVRESQEMNILFDSSLCDDSQLKDIERSIDIGLLCTQDNPTERPTMPDVLEMLINRKKLPAPTKPGFIENTVKLIKEIVL* >Brasy5G041700.1.p pacid=40074557 transcript=Brasy5G041700.1 locus=Brasy5G041700 ID=Brasy5G041700.1.v1.1 annot-version=v1.1 MSGAMVSFFPNPSRAIRQIDAASGPPSPRPPLRRHLRPTLAIAPTSPPPPLICGPRDGRLWPALALPRPTLRRRSSAAPATPPPARPRHRPNLPSAAAHLQPPQRPPPAAPLPAPRCSSRATAAATRSTGCGRLRAPFDLPHRGGCALVVQDADALRFLEHEVARCMGVGQQACRICGSCCSPHHSSRATFASDTMTPASFAMVLSFSTHGPALS* >Brasy5G078500.1.p pacid=40074558 transcript=Brasy5G078500.1 locus=Brasy5G078500 ID=Brasy5G078500.1.v1.1 annot-version=v1.1 MEDLPEALLVEIVKRITQTSDLNSLSLVSKQFYTIEAYQRSAIHVGCGLCPAREALTSLCSRFPNLWKVEIDYSGWKNFHGDQLDNNGLSVISSCCLSLTELTLSFCSHIDDSGLGCVAYCKKLVSLRLNSVPEITSTGLLSVAVGCKSLSGLFLNNCEKIGNVEWLEYLGRNGSLVDLVVNNCNGISQYDFLKFGPGWLKLRKFDFEVKGGFWAAYKGFVDPGFDPLYNAHNPSRYDFFCESLKDLRLACFETGTEVGLRFLFGKCKALEKLRIQYVHGLNDNDLIALSESCRNLKSISLFLTPMFYHHQFSTAFTDNGLKALAVNCPMLESVELTFAGCEASYPTEIGFTQKGLVVLVQSCPIRVLILNGANFFNDDGMMALSSASFLETLELVDCRAVTDAGMSFIARTPCLINLTLRICKRVTDLGVAELARGKKLESLIIEGCPGISQQAVQGAARSVQYSVESARPGELKRMF* >Brasy5G325400.1.p pacid=40074559 transcript=Brasy5G325400.1 locus=Brasy5G325400 ID=Brasy5G325400.1.v1.1 annot-version=v1.1 MKNREAIKSLLNHAHSLLSTPKVLAFLATFFPEPKLSPASRHRRPVAIVVSMDYRQFYYMTLRTSIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSICGIFSPQDVAIKIRKRTNRRVEILEVREAAPAPPVAGNEENAGQHYALNS* >Brasy5G210300.1.p pacid=40074560 transcript=Brasy5G210300.1 locus=Brasy5G210300 ID=Brasy5G210300.1.v1.1 annot-version=v1.1 MGTVWDLARFTSSITVLGWLISPLITLFLPKILSGLGFDASKKLDDLKLDAIPELKKTLQAVDEARMLERWRAGKMDADVVASLDKMAAKLRHAWHEADDILSLVDYHQIERKVVGNRSSWTYFLCWFRRRLSDEPPRMITDATFDEPVPVASIAAPSNSLGWWLSCWNGPFDFNVGSGLAWLLECVQKKSSSCWLLRRPEDILPVSAAPSSHETSSAAPDDILPVTTSGRPSGEPVPEATPGASSEKSVPVTSAASSDSSAWWLSCLRSTFVDLFKNYGPIQINRTYKNWSYGVLGITSYLENDTAIDYVFSCASRWNLRKRIEKVGGAITEVKKSSLVPQGAASKSPIDDIANKYRSKIRTASMRKVFGREMLRDDIMAKLREKPHVDAASSNTSTCYSVVGIYGVAGSGKTTFARYIRDYIEEECKDNIFDTIMCIHVSENFSVDDIFHEMLKDIIKVRQSNISDHDDLKEKLKESLSGKCFFLILDDLWGDNKNDPQLQELLSPLNVGKEGSKILVTARRKDAARALCADEPIKLPDLAEAEYLSMFMHYALDGKSVAKEEFKAVGEVIAKKLHGSPIAAVTVAGHLGANTDIKFWENTARLDMLTDTMGALWWSYQQLNADIRRCFEYCSIFPRRFKLKRDELVHMWIAQGFVKTSRATQDMEDVAHNYVDELLSCSFLEPGGPEFLGGEDYYLVHDLLHDLAQRVAGNDCLRIENERSQRGEGWKGDIPRDVCHLFVQNYDGELITEKIIKLKNLRTLIVYSVGEVKPEEKVIKGIFKRLPKLRVLAIALSREWDAPIGKRGDMFSIPESISPLKHLRYFAFRTNFTCSIIFPAVTKLYHLQLLDVGNCQKLFPPADLVNLRHLFCPAYMECPNIGELISLQNIRRFRVKKEQGYEVKQLRDLNKLRGSLQITCLENVKSKEEAVEANIAAKKDLGYLSLVWSARSSPEVQAEVLEGLCPPVGLQTLSINGYDGLRYPSWMVGRQNGRPKDLRKLVFIMGWQLGPSPELDAFNHLRSLKLSFCYWVALPGNMEHLTSLKSLKIDNCRSIRSLPTLPLSLEKFALHDCCVSLTRSCLTIGDPNWEKIQHIRKKVIGYGSRPSKFLEECNQRLTRSLKQFLKMRRDNTKGSQTNPDEDGEIQRGNAEREVMGISDDLGDIYSELKGVLENESVRTGVSCYLQVLSRLLNVQISTVSLGLSIPDQDMQTGSDGGQSSS* >Brasy5G029900.1.p pacid=40074561 transcript=Brasy5G029900.1 locus=Brasy5G029900 ID=Brasy5G029900.1.v1.1 annot-version=v1.1 MDVPAEAPAFVEHELSEIPNLGEPANIKTEEQANPDSDVPEQYKEELEKPSPDEELKDPKTEESGNPNDESQVNMQIENTGDELKEDQAIPSHEAPADVDGGEAEAEQLANVETEDKKWPGWPGESVFRVLVPVQKVGAVIGRKGEFIKKMCEESRARIKILDGPPGVPERAVMISAKDEPDALVSPAVDGLLRVHKRITDGLDGETDQPQRGAGPVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCALRILENVPPVALNDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEAQMKVHNVPREQPMPAPQPWGPPPQWSHPPNIPPPGPGYGGNPHFMPPRPQDNYYPPPDVHPVEKQPQYGISSYGRDAPPSGAPPVSGNQHLPPGSSQITQTLQVPLSYADAVIGAAGASISYIRKHSGATISIQEGVPGEMNLEMTGSASQVQTAQQLIKNFMAEASPQAPPPGPAPPSQPVDNYNSYPPYGGPSYGSPPGGAGPGPHNGGSYGGAHYPPNYGY* >Brasy5G315600.1.p pacid=40074562 transcript=Brasy5G315600.1 locus=Brasy5G315600 ID=Brasy5G315600.1.v1.1 annot-version=v1.1 MASPTTPSPSRPQRTPDEVEDIILRKILLVSLTPPSSPNPAVAYLELTAAELLSESRPLLALRDAAERILIDRLSLPDPPASSPSPFAFLAAAFRRAADEARKISTIRDAALQARLKASIAHIRGLILSYARIVAGNPDTFPSAPNAPHPAAELLIFLLAEAADPLDPTPSPGAPPPPGFLDELLGNVDYETIEPVMGELYERLRQRVEKVSALGDFQRPLRVLRRLVGIPNCAKALVEHPKWIPKNQIMLIGEGRVMEISSLLGGFFHVSAIPDREFSGEPDVGKQCFSEASSRRPADLLSSFATIKSVMNSLQDGLRDILLVLLKNLDTREKVLEYLAEAINKNAGRSRMQVDPLKCASSGMFVNLSAVMLRLCEPFLDKMESKKDKIDVKYLFCNDRIDFKNLTAINASSEEVSSWIESWSQEHAQDNVSGEARFVESQEATSSGKNSSVSLPSKGGALARCSKKENFSFICECFFMTARVLNMGVMKAVADFKHISQDLARCEDDLESNKAMRDQGGNSTQLDQDIERLEKIVESLSQDKLCYEAQILRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFSCIPEHFLDDAMDLLALTSRIPKALEGFPLDDFLNFNIMFMASSYIKNPYLKAKMVEVLNCWMPQRSGLKSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVAWNNRPAQEREERLRVFHQSQNIVRFDMKLANEDVGMLAFTSEQIPAPLLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHIARGDKEAVFPAAISKDGRSYNEQLFASAANILWKIGVDPQIIQEFMQLAGKAKAAASEAMDAEAILGDIPDEFLDPIQYTLMQDPVILPSSRVIIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTDLKSRIDEFVRSQQSRKRPAADSEIGEPDGTADMVE* >Brasy5G164400.1.p pacid=40074563 transcript=Brasy5G164400.1 locus=Brasy5G164400 ID=Brasy5G164400.1.v1.1 annot-version=v1.1 MSCLDALINCSNLTVLTLCSSALRRVSGKARAKSIAGNAALCQLRNLREVQLLMFAMYNENLHDIMYFLMICCSSRLERLFVQLPTRSDQYKPDEEPSESEEDGPEEDGSEEDELEEELSEGEESDEDQSEQDELEEMEPGENHSKCDASEEEMSEQYNPKEDESGEQGSAEGQLKENGSKKELSDGEQSEQDKLKEMESGENHSKVGTSEKEVSEEYHSKEDESEEQGSAEGQTKEDGSKKEPSDGGQSAEEPLLMEEPLGDGCENLVLLKMENFMGRHNEMRLVSFVLKKSASLNQLILFTPSDHLEGLHKDHLNTSEFLETKLLPLEKASPNAQIILSEPDDTAVQPLHWETFVEV* >Brasy5G203600.1.p pacid=40074564 transcript=Brasy5G203600.1 locus=Brasy5G203600 ID=Brasy5G203600.1.v1.1 annot-version=v1.1 MMQDDAVVTLEEHQTVHGRHDDTVDLNQIIDLVKRIKEAVDTVEQNEDICDQIGRRANRVVAMLSWFTSTKTTLHPTFLLVMEEVLDKALQLVTACQGKKNSTCLIFPAGKKLSRELRQVDIHMRMVNQYIVDQMGAANDVQKTITTRYLTRVVQDPELVGSSSSGHFIDDASRGRYEGKAKEVSKDAPPWSVGFKFFSLSELEAATDNFSEENLIGKSVYAVVYKGELDGSDVVVKRYEPAGTRFPENYAHIFSVPLVHRNLAGFLGIHQKVIKQLVPRNGRYVAVDVHNSLVVEEFMPNGSLQHFIDGRSPQKLDWPSTFRIIGGIAEGVAYLHSKRVIHLDLKPDRIFLDLYMNPKIRGFEISKKLEEDQTETRTDDLVGTRHYMAPEYVRNGIVSVKNDTYAFGVLLLATISGLSKSGLQEDPVHWASSNEALELSGPSPYSQSHQNEIKRCFDIGLACTQVNQEQRPTMPDVLEMLQNSEKELPAPENNKDAAATEKSVEARLFRFSELEDATNKFSEENFIAKGRVATVYKGILPGGVVVAIKRFSGPLGKEILKQYLDVSSKLPPHKNVVRPLGYCNEQNREMVVEEYMPSRSLSKIMDESPQKLDLNWAARFQIILGIADGVDHLHAEGIVHFDLTPANIVIFDERMNPKICDFGLSKILNVSDSETGTEKFAVGSKAPEYNDGIITPKNDVYSFGDLLRTFCGMSRPGPPKDLDDLARVVQEARRMKEFFDPSSCEPSELKQIKRCMDIALLCTQMEPRYRPTMIRTLRMLSNNRKVPAPRRNKASSKKKR* >Brasy5G203600.2.p pacid=40074565 transcript=Brasy5G203600.2 locus=Brasy5G203600 ID=Brasy5G203600.2.v1.1 annot-version=v1.1 MMQDDAVVTLEEHQTVHGRHDDTVDLNQIIDLVKRIKEAVDTVEQNEDICDQIGRRANRVVAMLSWFTSTKTTLHPTFLLVMEEVLDKALQLVTACQGKKNSTCLIFPAGKKLSRELRQVDIHMRMVNQYIVDQMGAANDVQKTITTRYLTRVVQDPELVGSSSSGHFIDDARGRYEGKAKEVSKDAPPWSVGFKFFSLSELEAATDNFSEENLIGKSVYAVVYKGELDGSDVVVKRYEPAGTRFPENYAHIFSVPLVHRNLAGFLGIHQKVIKQLVPRNGRYVAVDVHNSLVVEEFMPNGSLQHFIDGRSPQKLDWPSTFRIIGGIAEGVAYLHSKRVIHLDLKPDRIFLDLYMNPKIRGFEISKKLEEDQTETRTDDLVGTRHYMAPEYVRNGIVSVKNDTYAFGVLLLATISGLSKSGLQEDPVHWASSNEALELSGPSPYSQSHQNEIKRCFDIGLACTQVNQEQRPTMPDVLEMLQNSEKELPAPENNKDAAATEKSVEARLFRFSELEDATNKFSEENFIAKGRVATVYKGILPGGVVVAIKRFSGPLGKEILKQYLDVSSKLPPHKNVVRPLGYCNEQNREMVVEEYMPSRSLSKIMDESPQKLDLNWAARFQIILGIADGVDHLHAEGIVHFDLTPANIVIFDERMNPKICDFGLSKILNVSDSETGTEKFAVGSKAPEYNDGIITPKNDVYSFGDLLRTFCGMSRPGPPKDLDDLARVVQEARRMKEFFDPSSCEPSELKQIKRCMDIALLCTQMEPRYRPTMIRTLRMLSNNRKVPAPRRNKASSKKKR* >Brasy5G203600.3.p pacid=40074566 transcript=Brasy5G203600.3 locus=Brasy5G203600 ID=Brasy5G203600.3.v1.1 annot-version=v1.1 MMQDDAVVTLEEHQTVHGRHDDTVDLNQIIDLVKRIKEAVDTVEQNEDICDQIGRRANRVVAMLSWFTSTKTTLHPTFLLVMEEVLDKALQLVTACQGKKNSTCLIFPAGKKLSRELRQVDIHMRMVNQYIVDQMGAANDVQKTITTRYLTRVVQDPELVGSSSSGHFIDDARGRYEGKAKEVSKDAPPWSVGFKFFSLSELEAATDNFSEENLIGKSVYAVVYKGELDGSDVVVKRYEPAGTRFPENYAHIFSVPLVHRNLAGFLGIHQKVIKQLVPRNGRYVAVDVHNSLVVEEFMPNGSLQHFIDGRSPQKLDWPSTFRIIGGIAEGVAYLHSKRVIHLDLKPDRIFLDLYMNPKIRGFEISKKLEEDQTETRTDDLVGTRHYMAPEYVRNGIVSVKNDTYAFGVLLLATISGLSKSGLQEDPVHWASSNEALELSGPSPYSQSHQNEIKRCFDIGLACTQVNQEQRPTMPDVLEMLQNSEKELPAPENNKDAAATEKSVEARLFRFSELEDATNKFSEENFIAKGRVATVYKGILPGGVVVAIKRFSGPLGKEILKQYLDVSSKLPPHKNVVRPLGYCNEQNREMVVEEYMPSRSLSKIMDESPQKLDLNWAARFQIILGIADGVDHLHAEGIVHFDLTPANIVIFDERMNPKICDFGLSKILNVSDSETGTEKFAVLRNIMMV* >Brasy5G203600.4.p pacid=40074567 transcript=Brasy5G203600.4 locus=Brasy5G203600 ID=Brasy5G203600.4.v1.1 annot-version=v1.1 MMQDDAVVTLEEHQTVHGRHDDTVDLNQIIDLVKRIKEAVDTVEQNEDICDQIGRRANRVVAMLSWFTSTKTTLHPTFLLVMEEVLDKALQLVTACQGKKNSTCLIFPAGKKLSRELRQVDIHMRMVNQYIVDQMGAANDVQKTITTRYLTRVVQDPELVGSSSSGHFIDDARGRYEGKAKEVSKDAPPWSVGFKFFSLSELEAATDNFSEENLIGKSVYAVVYKGELDGSDVVVKRYEPAGTRFPENYAHIFSVPLVHRNLAGFLGIHQKVIKQLVPRNGRYVAVDVHNSLVVEEFMPNGSLQHFIDGRSPQKLDWPSTFRIIGGIAEGVAYLHSKRVIHLDLKPDRIFLDLYMNPKIRGFEISKKLEEDQTETRTDDLVGTRHYMAPEYVRNGIVSVKNDTYAFGVLLLATISGLSKSGLQEDPVHWASSNEALELSGPSPYSQSHQNEIKRCFDIGLACTQVNQEQRPTMPDVLEMLQNSEKELPAPENNKDAAATEKSVEARLFRFSELEDATNKFSEENFIAKGRVATVYKGILPGGVVVAIKRFSGPLGKEILKQYLDVSSKLPPHKNVVRPLGYCNEQNREMVVEEYMPSRSLSKIMDESPQKLDLNWAARFQIILGIADGVDHLHAEGIVHFDLTPANIVIFDERMNPKICDFGLSKILNVSDSETGTEKFAV* >Brasy5G092800.1.p pacid=40074568 transcript=Brasy5G092800.1 locus=Brasy5G092800 ID=Brasy5G092800.1.v1.1 annot-version=v1.1 MGSSLRMAVLVSLLLLCPSSLPQPTRSFLPLCTDMSAPAAVMSNVTLKFCGNDTGGGSSCCDAAADAALQAQFDAMDVKPADGECARLVKSILCSKCNLFSADLFDIGSMPRTVPLLCSSLSARNSSQPEHPIYNNEDYCGKVWKHCKNTAMSNSPFQSFAPIKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNNFVCFNGHGVSFNTRRNSSPAPNGICLEKIGDGTYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTGDGLQLDETIPFLDLSTEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDGTQSSNCAGRCSCDCEVGCDPSKLGSDNGVEPCRYNLLISEYLAKGSSSSFSEATYADPSEVRRVFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRIDIDELPEMNEVANKSLWGNYTIPKDNPYSDDSNLRPEIWALGLENPWRCSFDSSRPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYDGQHVQYPPWVAQGTKLTNGTTFPIMGYKVPSTAESSSIVGGYVYRGSADPCLYGRYLFADMYSCAMWTGALNTDGSGKYTSTSILLSCSEKTPLPCDGSTNSPLGRIFSFGEDNNHDGFILASQGVYRIVQPSLCGYACLTDATSKQATASVCGGSHGLATILKVLIAAVASLLGGGASIYFVWKCFCNNSAIFCNDIETLQVTNNTTTSGDRPSSTAT* >Brasy5G092800.4.p pacid=40074569 transcript=Brasy5G092800.4 locus=Brasy5G092800 ID=Brasy5G092800.4.v1.1 annot-version=v1.1 MPRTVPLLCSSLSARNSSQPEHPIYNNEDYCGKVWKHCKNTAMSNSPFQSFAPIKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNNFVCFNGHGVSFNTRRNSSPAPNGICLEKIGDGTYLNMVGHPDGSSKAFFSRQDGKIWLATVPEQGTGDGLQLDETIPFLDLSTEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDGTQSSNCAGRCSCDCEVGCDPSKLGSDNGVEPCRYNLLISEYLAKGSSSSFSEATYADPSEVRRVFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRIDIDELPEMNEVANKSLWGNYTIPKDNPYSDDSNLRPEIWALGLENPWRCSFDSSRPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYDGQHVQYPPWVAQGTKLTNGTTFPIMGYKVPSTAESSSIVGGYVYRGSADPCLYGRYLFADMYSCAMWTGALNTDGSGKYTSTSILLSCSEKTPLPCDGSTNSPLGRIFSFGEDNNHDGFILASQGVYRIVQPSLCGYACLTDATSKQATASVCGGSHGLATILKVLIAAVASLLGGGASIYFVWKCFCNNSAIFCNDIETLQVTNNTTTSGDRPSSTAT* >Brasy5G092800.2.p pacid=40074570 transcript=Brasy5G092800.2 locus=Brasy5G092800 ID=Brasy5G092800.2.v1.1 annot-version=v1.1 MVGHPDGSSKAFFSRQDGKIWLATVPEQGTGDGLQLDETIPFLDLSTEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDGTQSSNCAGRCSCDCEVGCDPSKLGSDNGVEPCRYNLLISEYLAKGSSSSFSEATYADPSEVRRVFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRIDIDELPEMNEVANKSLWGNYTIPKDNPYSDDSNLRPEIWALGLENPWRCSFDSSRPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYDGQHVQYPPWVAQGTKLTNGTTFPIMGYKVPSTAESSSIVGGYVYRGSADPCLYGRYLFADMYSCAMWTGALNTDGSGKYTSTSILLSCSEKTPLPCDGSTNSPLGRIFSFGEDNNHDGFILASQGVYRIVQPSLCGYACLTDATSKQATASVCGGSHGLATILKVLIAAVASLLGGGASIYFVWKCFCNNSAIFCNDIETLQVTNNTTTSGDRPSSTAT* >Brasy5G092800.3.p pacid=40074571 transcript=Brasy5G092800.3 locus=Brasy5G092800 ID=Brasy5G092800.3.v1.1 annot-version=v1.1 MVGHPDGSSKAFFSRQDGKIWLATVPEQGTGDGLQLDETIPFLDLSTEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDGTQSSNCAGRCSCDCEVGCDPSKLGSDNGVEPCRYNLLISEYLAKGSSSSFSEATYADPSEVRRVFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRIDIDELPEMNEVANKSLWGNYTIPKDNPYSDDSNLRPEIWALGLENPWRCSFDSSRPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYDGQHVQYPPWVAQGTKLTNGTTFPIMGYKVPSTAESSSIVGGYVYRGSADPCLYGRYLFADMYSCAMWTGALNTDGSGKYTSTSILLSCSEKTPLPCDGSTNSPLGRIFSFGEDNNHDGFILASQGVYRIVQPSLCGYACLTDATSKQATASVCGGSHGLATILKVLIAAVASLLGGGASIYFVWKCFCNNSAIFCNDIETLQVTNNTTTSGDRPSSTAT* >Brasy5G403500.1.p pacid=40074572 transcript=Brasy5G403500.1 locus=Brasy5G403500 ID=Brasy5G403500.1.v1.1 annot-version=v1.1 MLANVGEQQVRLATVAAPYVVTAATLAAAGALGYAGYLRHHLRTTHRFFHATYGTPNFHFQVGRDVEGKSPGAQLGPDENAVFIGNNKGRHVRLALLGDAVDLIQVLSEAAAATQKHLQTLVGTTVVVGLGTAGVVIVGTIVACCILSYRN* >Brasy5G287700.1.p pacid=40074573 transcript=Brasy5G287700.1 locus=Brasy5G287700 ID=Brasy5G287700.1.v1.1 annot-version=v1.1 MDARVRATVDGFEGEKKRRESGAHRGVHGVAGRGGGGRSRRRDGDAVPGRTTSVSRSEETEEGAIQQLGGATWARARRRIRCCPRPGLGAMGGGGLSGAAGASTGSGGGATPDGGVTGGGDTTGGGVTAAMAGGGFAGARGGGLAGAIWGVGGGWERGCVLVREPEEAEVEALRLDGVALGRATASASAWGRGRSSPSKRGPGGAMNSCMEGAEARTALTPVGAGQSDM* >Brasy5G365400.1.p pacid=40074574 transcript=Brasy5G365400.1 locus=Brasy5G365400 ID=Brasy5G365400.1.v1.1 annot-version=v1.1 MSSRCVSMHSRNLDGKLPSEDVADVPETSQQHEPEGGDVGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGRRFMCQICGFQLHDFCALAPPSLHDHPFHPRHRHLLFFDKPGGLLRCKCDICGKSVKGFCFRCACCGFGMHPCCAAMTRRMELPAAHGHPLLLAQGSDAGAGVAASFVCQVCRRRRSGRVYQCMPCGYCLHAKCAKDMVNGLYVHGVVPPERSNPLVAAARVTMNAIFGVIGGLVEGIGEGIGEAFVENIGRSRGRSFR* >Brasy5G278900.1.p pacid=40074575 transcript=Brasy5G278900.1 locus=Brasy5G278900 ID=Brasy5G278900.1.v1.1 annot-version=v1.1 MPPEMAGRCYNCLGDDHVAALCRNPTRCRRCFDSGHLARDCRAPRSLTPSPPRVAPPTSRQPAAPLRTAPAPPTAPPPRQAPVAQRHALAPPPHQAPAARAPPARQALVPQSRLAVVPPPVVPAPSLVSTAVAPFANLTESRPRLETCIISRTAAVDAAETALSACLVVHVVGGRGGAPASVVRALIQGRCPLAAETFSLHRYWPANFLCICNNVATRDAILAMGVVQASGFSLSFSRWNRQLGAKLRPFRYRVHVEMTGVPAHAWITGTAESILGPSCWVERLGTETANHEDMGRFSVVAWTDCPESIAREFQFGIPEPPVPYDTSEDVHCVPRGQKIPEAVSVLYYPVVVHLLCVEDRESFTDVPSIEGGGSSSGDDSNDPRRDPGGGQSTRQPRSHYFNCRRGVVDGANDGGKRGGANTSGGVGWSRVATPTNLILPMAELQVLPLRDCSPVIVDEAVICMNSWELPCLLPSDPMLLEAAITPLVVYNRKGRTSSASLTDLLTDLPVEMHEGVDSLQISVCASSLLPDFAGESFVDASDAFPYENSLEVSSEMLRPEMDLFCVSLSSGGTLLSADAAPFTPAASTVAVATADGDASHLADFRRGCRRRPVAVLPTPPPRAQAAAKRKVQVVRPLRRSSRVANKKAPGSSIKRQQRLLISRLGLACEGEQISEDALEAYTKLFEQALSQEHIAAILSLFGWEPNVLPLMEEEMVEAGAA* >Brasy5G481600.1.p pacid=40074576 transcript=Brasy5G481600.1 locus=Brasy5G481600 ID=Brasy5G481600.1.v1.1 annot-version=v1.1 MQKAPPVLYFPSWQHINSHYCCLPKAKSAFCHLILRLERLASCTKLTRETGVQVVVMKKKRQTPRRQSRSCTRPRRAPYPQLGTSEPFLVPVLSACTVICSYDNFHVALLDAYQRYQELLTGAIAVFICVPYMLVTLYGFLLGLPRVLTFLRIMFPDLSTRHDNIRIYGDTAIVVIISYVLLLDINLSYLWLIIFPVIAIVFIYALRNEMLADSAASSSSITSTTGGTNNDETATKGSEKALKAMAAVPFFVLLVMAQLNDHVAARFVISQFLLFLSTTLGALTYMVTRLPAGISPGIALASLLLHKTSLLLLVMTAHTVAAESLGEDMVLTCMPEVIPVLLWFSIHLDRDHPIITVDKVKSHRNVLLVLGAVVVAPLLAYLAISMDEPWLSLCTSILVSCGVSGLLTYHIVFMLRQWPGQEAAGNEDAATDTSLHPTKQPGKGKGSIASLEETLHSQGQAGTSGEALQLLKYWADALLIAAVLLLALHSMVAVRLGLHKQLVATLDKNFKDYSYKYRLASLI* >Brasy5G004700.1.p pacid=40074577 transcript=Brasy5G004700.1 locus=Brasy5G004700 ID=Brasy5G004700.1.v1.1 annot-version=v1.1 MKGLTKIRHHLLLGESLLLQISLPFPCLSSTQKRKGENLASALTLVSLDIPLKKVNFFPLLCFQFSNLSAFRCRVVDFFFSWCDACR* >Brasy5G129700.1.p pacid=40074578 transcript=Brasy5G129700.1 locus=Brasy5G129700 ID=Brasy5G129700.1.v1.1 annot-version=v1.1 MPNIFEVYYCIMLFYVQVANTSRARVHRNTRKRTRNGSIHAFLTLYPQETERLSKNRKNM* >Brasy5G380300.1.p pacid=40074579 transcript=Brasy5G380300.1 locus=Brasy5G380300 ID=Brasy5G380300.1.v1.1 annot-version=v1.1 MAPSTMFGAALGMRLRVLRRILLRRRRRWRSRRRGGPARKGEEEGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRIVLADLEFKKYLWSLYNVDTGYVESLDDDVEIVVPEDDHGLFAIDILDPSWFVELLNLSMVYHFHDMIDMLVDCGYEKGTTLFGYGYDFRQSNRMDKAMVGLRAKLETAYKASGGKRVNIISHSMGGLLVRCFLSMNHDIFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNPYFKWKEKPIIQVWRKNPEKDDGQVELVQYEAAHCVSLFEEALKNNELKYNGKTIALPFNMSIFKWATETRRILENAELPDTVRFYSIHGTSYETPYDVCYGSESSPIGDLSEVCHTVPTYTYVDGDCTVPVESAMADGFAAKERVGVKADHRGLLCDENVFKLLKRWLGASEKKRRRRMSKSEVVDGHIHI* >Brasy5G380300.2.p pacid=40074580 transcript=Brasy5G380300.2 locus=Brasy5G380300 ID=Brasy5G380300.2.v1.1 annot-version=v1.1 MAPSTMFGAALGMRLRVLRRILLRRRRRWRSRRRGGPARKGEEEGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRIVLADLEFKKYLWSLYNVDTGYVESLDDDVEIVVPEDDHGLFAIDILDPSWLVECPSIYEMLPNPYFKWKEKPIIQVWRKNPEKDDGQVELVQYEAAHCVSLFEEALKNNELKYNGKTIALPFNMSIFKWATETRRILENAELPDTVRFYSIHGTSYETPYDVCYGSESSPIGDLSEVCHTVPTYTYVDGDCTVPVESAMADGFAAKERVGVKADHRGLLCDENVFKLLKRWLGASEKKRRRRMSKSEVVDGHIHI* >Brasy5G203300.1.p pacid=40074581 transcript=Brasy5G203300.1 locus=Brasy5G203300 ID=Brasy5G203300.1.v1.1 annot-version=v1.1 MALGSLLAASRSSRALAAATVSQASRAYQHAAATSPLLSRLGPVARAFSSRPGAADVVDSGVSFMEVQTPRRVGNKAKGAKSGKSMMFPLHFHYEDVLRQDLLLKLNHTNIMEVPGLFEIRLVPKSTSDVKIQFGKLAMEILCGQRCIQAELPPHLKAGKSRSNSFLGSQKDATSLRQSIIRGHGMYNFLVRVLTVMSMLDSQVSIEQGNCIKFFMATEFCEFSPEIEDHFEIFENIGGFNVTIVTSASSKEETSLLWSGFLLKDEGDIN* >Brasy5G203300.2.p pacid=40074582 transcript=Brasy5G203300.2 locus=Brasy5G203300 ID=Brasy5G203300.2.v1.1 annot-version=v1.1 MEVQTPRRVGNKAKGAKSGKSMMFPLHFHYEDVLRQDLLLKLNHTNIMEVPGLFEIRLVPKSTSDVKIQFGKLAMEILCGQRCIQAELPPHLKAGKSRSNSFLGSQKDATSLRQSIIRGHGMYNFLVRVLTVMSMLDSQVSIEQGNCIKFFMATEFCEFSPEIEDHFEIFENIGGFNVTIVTSASSKEETSLLWSGFLLKDEGDIN* >Brasy5G368700.1.p pacid=40074583 transcript=Brasy5G368700.1 locus=Brasy5G368700 ID=Brasy5G368700.1.v1.1 annot-version=v1.1 MQNSAFISKALSVFFVKVGEKLGIVGEQLNPKVSTDANSSMCQKSYVVQHSALSWFQSFREASTHMGFQSGHRFCSRGKKSILYLLVASLLGVLRKTYLHQP* >Brasy5G230100.1.p pacid=40074584 transcript=Brasy5G230100.1 locus=Brasy5G230100 ID=Brasy5G230100.1.v1.1 annot-version=v1.1 MRKSWSRVASRASEKTPPFFAPKGHSIYRQNATAVTYRFVFFSFCRIRSQPHSSRLLCLSSRVPPPATAAANKASAAPSPAGIPGDSSLSGIRAATDQLLFRSAPAGESSPNRRLLGFDPSRRRPEPPPPSVLLL* >Brasy5G187900.1.p pacid=40074585 transcript=Brasy5G187900.1 locus=Brasy5G187900 ID=Brasy5G187900.1.v1.1 annot-version=v1.1 MASGVATLALKGTAIAAALAMLVVPSLGRCPSLGPAPPPPMQASPPPPAYASSSPPPPLPIAASPPPPSQASPPPPPPPLPTHYGRSQIGRVPRSKPMTPQASPPLPPAAQPAPGPGLILCSDCSRQCNGPCSATMSSKCSYPCDYPYRCNYCRTEVAKDCKARGICTDGSRSCDCESVAYSTCSTDCNGWSCESCKNGVSRECGENCASQCYAQGCVERS* >Brasy5G270500.1.p pacid=40074586 transcript=Brasy5G270500.1 locus=Brasy5G270500 ID=Brasy5G270500.1.v1.1 annot-version=v1.1 MRKNKTLKKKGRNKPRVPAVNLAQVSSSTIARFPLPITSTAIPASGAAQSSCSDDPQAPPHPPAPTPQPSRSSVARSPASLAAMDSHRSCLGRQIAAATAPLRNPLKRRHGGISWLCVTTCRFPSTPAPNLLGFASTPHPCRPPPSNPSPPTNRCPGAPPQPTACCHKGGCNLQSTAFCNFPGDAPRSCARLIA* >Brasy5G408000.1.p pacid=40074587 transcript=Brasy5G408000.1 locus=Brasy5G408000 ID=Brasy5G408000.1.v1.1 annot-version=v1.1 MAATQVQCSLQLLQEREMLCYFVGRNSPTAARTGCLRARLLARLSARERTTLLWSSISLSFSAPRCSSSDSSLQLYIYLFPRGARADRAEAKGSRAIREAAGYMAEVEKRKEGKARREEELVEAALAAAAAALLVSGVKKLAPAVLTARCWWWPPPLPMPAAVLAAAPSPVLFLLLNVIIASIVVASVQPRRAASRATRDGAAGDVAPPAGGEGARKAMKRRRSKRREEIAEPAEPVEAADCCMALVVNETMASASAADEEEAAGHASGEVDRRAEEFISAFRRHLRVDSFSSRSRRGIAPCF* >Brasy5G281500.1.p pacid=40074588 transcript=Brasy5G281500.1 locus=Brasy5G281500 ID=Brasy5G281500.1.v1.1 annot-version=v1.1 MGTANAGKRGGGGDKGLLWRLPEVTSRELGKIGPAFGLGFGCGVGAGVGFFGGSGLGYGFPGLTLGFGVGAGCGIGFGFGYGLGKGIAYDEKKRHSNVGRMFQEAPNLPMENVAALFDELVVNTKRLASATSKHIEKWR* >Brasy5G192800.1.p pacid=40074589 transcript=Brasy5G192800.1 locus=Brasy5G192800 ID=Brasy5G192800.1.v1.1 annot-version=v1.1 KTSISYGVRKCQYFFTGLLNCLLACQTTFVEIHAPFSSKGSFNLVRFSVLTCLARSLSPLCMWAWVRT* >Brasy5G328700.1.p pacid=40074590 transcript=Brasy5G328700.1 locus=Brasy5G328700 ID=Brasy5G328700.1.v1.1 annot-version=v1.1 MATSASTSGEWLKGALQELRGKKGSTLELDADLISGLVSFCELAPPPDAADYLANIVGVEAAEDLIQEYLQRRGYIDPSKGTESLQSSNLQPYLKPSADAATAQTKNQTRTQKDPASSSSQGSKSQSDTAEPRVASKKGAKKKGGKVISLAEAAKGSIVFKQGKPCSCQARQHNLISNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGVPSSETEAAAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKVHEEAQDAADKQKGKVVVTFDLVGRKVILNKDEASELESDQGIMRPAEEKDQMHRIQPNPTIREQPVFIETGSVKPRTDRVKQSKKLAQNGLCLEVTGRVQHDDKNSQSFLGGKTKKGDHLTYSSFGQVHEGDDYECSLDFD* >Brasy5G019000.1.p pacid=40074591 transcript=Brasy5G019000.1 locus=Brasy5G019000 ID=Brasy5G019000.1.v1.1 annot-version=v1.1 MDKLSSEIKLRVDTFEKAVEDVFNNVPSFDHVPDKYEGMAGVILRVSDITDLSRQDFFLYVKQDLELLQKLANVEELDKAVLDETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYKGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRQDCYNLSINVKKTADRGELAKAEAEKHIEYAGESSKSSQPKPVRSLLTYMQSSSCEE* >Brasy5G285400.1.p pacid=40074592 transcript=Brasy5G285400.1 locus=Brasy5G285400 ID=Brasy5G285400.1.v1.1 annot-version=v1.1 MESRRLERLVLLLCCVAAITCRCGLHAEAQAQTTLHRPHQRLLHNGAVDRILLETGNRSDSDLSRRTMRIDPLDGLRKYDGGYNITNKHYWSSAIFTGRSGYIIAALWLIGGIIFVGFLVALKIFFAKKSKRDGVVDYFLDRYHLLSVISIILLAVFVIVSSAIALHGAVIFHSRAESIKDIIGRTALEATVTIYNITEAIERMENISNLYNNSSQAFDHLNSTVVALKSEAVEIQAKAEKNMRLVSQGIKTLEVVTILTVTLNLVVVLMLLVGRPLRLQKLCYLCIALCWALTALFWMYFGLYYFLDKFAGDTCAALEEYQQNPQNSTLGTIIPCSEKLSGGVILHDVGAGIHDIIDQVNSNIYSIKSEYGVKKLEYICNPFTGPPKYRYRPENCGSGEATIGDIPQILKRLTCSDLGGGAGCEPAELSSAIDLDKVQTYTGSIQNVLDIFPGTERLVTCELVKAGFAGIVGAQCGLLRRGAREAWAGLAALAAAMALLLVLVLALACGGGGGERRQSVRHLTSSSSSSNSEADLDEMRARKGRDGGGGIVGP* >Brasy5G285400.2.p pacid=40074593 transcript=Brasy5G285400.2 locus=Brasy5G285400 ID=Brasy5G285400.2.v1.1 annot-version=v1.1 MESRRLERLVLLLCCVAAITCRCGLHAEAQAQTTLHRPHQRLLHNGAVDRILLETGNRSDSDLSRRTMRIDPLDGLRKYDGGYNITNKHYWSSAIFTGRSGYIIAALWLIGGIIFVGFLVALKIFFAKKSKRDGVVDYFLDRYHLLSVISIILLAVFVIVSSAIALHGAVIFHSRAESIKDIIGRTALEATVTIYNITEAIERMENISNLYNNSSQAFDHLNSTVVALKSEAVEIQAKAEKNMRLVSQGIKTLEVVTILTVTLNLVVVLMLLVGRPLRLQKLCYLCIALCWALTALFWMYFGLYYFLDKFAGDTCAALEEYQQNPQNSTLGTIIPCSEKLSGGVILHDVGAGIHDIIDQVNSNIYSIKSEYGVKKLEYICNPFTGPPKYRYRPENCGSGEATIGDIPQILKRLTCSDLGGGAGCEPAELSSAIDLDKVQTYTGSIQNVLDIFPGTERLVTCELVKAGFAGIVGAQCGLLRRGAREAWAGLAALAAAMALLLVLVLALACGGGGGERRQSVRHLTSSSSSSNSEADLDEMRARKGRDGGGGIVGP* >Brasy5G285400.3.p pacid=40074594 transcript=Brasy5G285400.3 locus=Brasy5G285400 ID=Brasy5G285400.3.v1.1 annot-version=v1.1 MQMRPACRSSSPNHSAPPPPKITPQWSRILLETGNRSDSDLSRRTMRIDPLDGLRKYDGGYNITNKHYWSSAIFTGRSGYIIAALWLIGGIIFVGFLVALKIFFAKKSKRDGVVDYFLDRYHLLSVISIILLAVFVIVSSAIALHGAVIFHSRAESIKDIIGRTALEATVTIYNITEAIERMENISNLYNNSSQAFDHLNSTVVALKSEAVEIQAKAEKNMRLVSQGIKTLEVVTILTVTLNLVVVLMLLVGRPLRLQKLCYLCIALCWALTALFWMYFGLYYFLDKFAGDTCAALEEYQQNPQNSTLGTIIPCSEKLSGGVILHDVGAGIHDIIDQVNSNIYSIKSEYGVKKLEYICNPFTGPPKYRYRPENCGSGEATIGDIPQILKRLTCSDLGGGAGCEPAELSSAIDLDKVQTYTGSIQNVLDIFPGTERLVTCELVKAGFAGIVGAQCGLLRRGAREAWAGLAALAAAMALLLVLVLALACGGGGGERRQSVRHLTSSSSSSNSEADLDEMRARKGRDGGGGIVGP* >Brasy5G303200.1.p pacid=40074595 transcript=Brasy5G303200.1 locus=Brasy5G303200 ID=Brasy5G303200.1.v1.1 annot-version=v1.1 MAGGFGGGEAVGGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLVKFFPDVYARKHAHLRETDYCKYDNQVLTLFTSSLYFAGLVSTFGASCVTKRHGRRASIMVGAVSFFLGGAVNAAAQNVAMLIVGRVLLGAGIGFGNQAVPLYLSEIAPYKIRGAVNQLFQLTTCLGILVADVINYFTDRIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGKLEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVKGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVAGALISMVVVDRLGRRFLFIEAGVQMIASMVVVAVILALKFGHGEEISKGVGTVLVVAICTFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFVLFAALIVVMSVFVVLLLPETKQVPIEEIWMLFDKHWYWKRVVTKDPKYQGHTRRQEMAAASASTVKPVSSDA* >Brasy5G415700.1.p pacid=40074596 transcript=Brasy5G415700.1 locus=Brasy5G415700 ID=Brasy5G415700.1.v1.1 annot-version=v1.1 MISAKRLARLARKWQRMAALGRERLTWSSSTPAKETDGPCGTSCSSVASKGCCVVYSTDGTRFEVPLAFLGTAVFGELLRMSQEEFGFMGGDSGRITLPCDATVMEYAMCLLRRSSSTEMEAAFLSSMVMPLPCQYDASHVVPRLGVGQHVALCSS* >Brasy5G395300.1.p pacid=40074597 transcript=Brasy5G395300.1 locus=Brasy5G395300 ID=Brasy5G395300.1.v1.1 annot-version=v1.1 MAGAGSGRRGGGGGEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAQSFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKAVALDDGGAGGAGGGVKEGKTINVAASDTSGEKKQCCSA* >Brasy5G061100.1.p pacid=40074598 transcript=Brasy5G061100.1 locus=Brasy5G061100 ID=Brasy5G061100.1.v1.1 annot-version=v1.1 MATMPPTASPSSDAPTPAPAPVNMVPVSRGRRQVGGRGRARGRRQATGRARQEAAGGARGLRQAGSRARKQAAGGARAGGGRRQAARGSRRPGTRARAEAGGRPREEAAGLGRARGRKQAAGSARAGGGRRQGARGRERRQQAVLVGKMAEEEKGVGPLVGNPVKIAKLVCFRLAVTLYPNGCA* >Brasy5G074800.1.p pacid=40074599 transcript=Brasy5G074800.1 locus=Brasy5G074800 ID=Brasy5G074800.1.v1.1 annot-version=v1.1 MADVEPAVAAAVPETQEIAAEGDAAAVKAPHKLQRQWTFWYDIQSKPKPGAAWGTSLKKGYTFDTVEEFWSLYDQIFRPSKLQGNADFHLFKAGVEPKWEDPECANGGKWTVISSRKTALDTMWLETCMALIGEQFDESQEICGVVASVRQRQDKLSLWTKTASNEAVQVDIGKKWKEVIDYNDKMVYSFHDDSRSQKPSRGGRYTV* >Brasy5G519300.1.p pacid=40074600 transcript=Brasy5G519300.1 locus=Brasy5G519300 ID=Brasy5G519300.1.v1.1 annot-version=v1.1 MAAAPEDSMSNIPPAKRTHENILLTERKRLKHISFFEKDCSMRIRSQKITFHSLPDDILSIIVSRLTLKEAVRTSVVSSNWRHIWKCHPNLRFDISSVLGSKAKRKRSSDQHKRMLRKRFIDRVSYILSKHSGLAVSKLAVKFDLQEEHANHIDGWVYFAIASMVLRLDSINLVPSIDFCCFANLQMLALDHVLVMQDLQYLLSKCPALEWLSIRWCYLKCNCHASAPLSRLKYLCVKNCEVDRIEFVAPNINTFEYRGYQILIKFHECSKLKMEIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEIPEFRYAPLVFSHLKHLALRVQMFGKTTAIQLAYLLEAALFLEDLHLDMYCFTGFDYTGSVLDIIVDRPHYHLKTACMTGFCGNRGQIELAKYIMRNSVELERMTRSKRHYVWQIRQ* >Brasy5G519300.4.p pacid=40074601 transcript=Brasy5G519300.4 locus=Brasy5G519300 ID=Brasy5G519300.4.v1.1 annot-version=v1.1 MSNIPPAKRTHENILLTERKRLKHISFFEKDCSMRIRSQKITFHSLPDDILSIIVSRLTLKEAVRTSVVSSNWRHIWKCHPNLRFDISSVLGSKAKRKRSSDQHKRMLRKRFIDRVSYILSKHSGLAVSKLAVKFDLQEEHANHIDGWVYFAIASMVLRLDSINLVPSIDFCCFANLQMLALDHVLVMQDLQYLLSKCPALEWLSIRWCYLKCNCHASAPLSRLKYLCVKNCEVDRIEFVAPNINTFEYRGYQILIKFHECSKLKMEIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEIPEFRYAPLVFSHLKHLALRVQMFGKTTAIQLAYLLEAALFLEDLHLDMYCFTGFDYTGSVLDIIVDRPHYHLKTACMTGFCGNRGQIELAKYIMRNSVELERMTRSKRHYVWQIRQ* >Brasy5G519300.2.p pacid=40074602 transcript=Brasy5G519300.2 locus=Brasy5G519300 ID=Brasy5G519300.2.v1.1 annot-version=v1.1 MAAAPEDSMSNIPPAKRTHENILLTERKRLKHISFFEKDCSMRIRSQKITFHSLPDDILSIIVSRLTLKEAVRTSVVSSNWRHIWKCHPNLRFDISSVLGSKAKRKRSSDQHKRMLRKRFIDRVSYILSKHSGLAVSKLAVKFDLQEEHANHIDGWVYFAIASMVLRLDSINLVPSIDFCCFANLQMLALDHVLVMQDLQYLLSKCPALEWLSIRWCYLKCNCHASAPLSRLKYLCVKNCEVDRIEFVAPNINTFEYRGYQILIKFHECSKLKMEIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEVWIFLYMPDSRI* >Brasy5G519300.5.p pacid=40074603 transcript=Brasy5G519300.5 locus=Brasy5G519300 ID=Brasy5G519300.5.v1.1 annot-version=v1.1 MSNIPPAKRTHENILLTERKRLKHISFFEKDCSMRIRSQKITFHSLPDDILSIIVSRLTLKEAVRTSVVSSNWRHIWKCHPNLRFDISSVLGSKAKRKRSSDQHKRMLRKRFIDRVSYILSKHSGLAVSKLAVKFDLQEEHANHIDGWVYFAIASMVLRLDSINLVPSIDFCCFANLQMLALDHVLVMQDLQYLLSKCPALEWLSIRWCYLKCNCHASAPLSRLKYLCVKNCEVDRIEFVAPNINTFEYRGYQILIKFHECSKLKMEIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEVWIFLYMPDSRI* >Brasy5G519300.3.p pacid=40074604 transcript=Brasy5G519300.3 locus=Brasy5G519300 ID=Brasy5G519300.3.v1.1 annot-version=v1.1 MLRKRFIDRVSYILSKHSGLAVSKLAVKFDLQEEHANHIDGWVYFAIASMVLRLDSINLVPSIDFCCFANLQMLALDHVLVMQDLQYLLSKCPALEWLSIRWCYLKCNCHASAPLSRLKYLCVKNCEVDRIEFVAPNINTFEYRGYQILIKFHECSKLKMEIIDIITRSTLEYVFTVLPNAVPHVETLRVETFVNAEIPEFRYAPLVFSHLKHLALRVQMFGKTTAIQLAYLLEAALFLEDLHLDMYCFTGFDYTGSVLDIIVDRPHYHLKTACMTGFCGNRGQIELAKYIMRNSVELERMTRSKRHYVWQIRQ* >Brasy5G235100.1.p pacid=40074605 transcript=Brasy5G235100.1 locus=Brasy5G235100 ID=Brasy5G235100.1.v1.1 annot-version=v1.1 MRRDDAGGGGGAPGFHDLFDSVRRSMAFRTSGAAAPEPPAGPLGGVAAGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPTPVSADGGGGRPEENPPIRWRKGEMIGSGAFGQVYLGMNLDTGELLAVKQVLIGSSNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMTAAKTMKGTPHWMAPEVIVGSGHTFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHISPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGDFDDRPLLNRTAHKEASVIELPAHDADVPRDLGLNHSGNWSTLNSNRSSNIKPLWEGSCDDDDMCEFADKDDHPAVGSSYNPMSEPFDDWRSKYDISPEQSSHQSRDFGGLAKHTESSMTENDFTFPREGSCEDDDVLTESKIEAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQGVDQTSNGKIANSPKLPPRGKSPPSKLRGGPAAATPTCDNSNNTMPESCSKQFSRDSVESSRILREIASPQLNELGDKIHIDVQDSPSTSFAERQRKWKEELDQELERERVMRLASCGKTPSPNRGPSGKRERHAVIPHIE* >Brasy5G235100.2.p pacid=40074606 transcript=Brasy5G235100.2 locus=Brasy5G235100 ID=Brasy5G235100.2.v1.1 annot-version=v1.1 MRRDDAGGGGGAPGFHDLFDSVRRSMAFRTSGAAAPEPPAGPLGGVAAGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPTPVSADGGGGRPEENPPIRWRKGEMIGSGAFGQVYLGMNLDTGELLAVKQVLIGSSNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMTAAKTMKGTPHWMAPEVIVGSGHTFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHISPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGDFDDRPLLNRTAHKEASVIELPAHDADVPRDLSSNIKPLWEGSCDDDDMCEFADKDDHPAVGSSYNPMSEPFDDWRSKYDISPEQSSHQSRDFGGLAKHTESSMTENDFTFPREGSCEDDDVLTESKIEAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQGVDQTSNGKIANSPKLPPRGKSPPSKLRGGPAAATPTCDNSNNTMPESCSKQFSRDSVESSRILREIASPQLNELGDKIHIDVQDSPSTSFAERQRKWKEELDQELERERVMRLASCGKTPSPNRGPSGKRERHAVIPHIE* >Brasy5G031700.1.p pacid=40074607 transcript=Brasy5G031700.1 locus=Brasy5G031700 ID=Brasy5G031700.1.v1.1 annot-version=v1.1 MVPPPPSLLLLLLLAVVATRCAGGARVVYNHVELEQPLKDSAYFRGKSIDQDELEQGIGPRRFTYDELVLAADGFSDDNKLGEGGFGSVYRGFLADANLHIAVKQVSKSSRQGWKEFVSEVRIISRLRHRNLVQLLGWFHGGDDDLLLAYELMPHGSLDSHLYKSGHLLPWPVRYEIVLGLGSALSYLHEETEQRVVNRDIKPSNVMLDSSFNAKLGDFGPARLIVGDGQGSCTTDVAGTLGYMDPKCVLDATASVESDMYSFGVVLLEIACGRRPAVDDGDGAVIHLVQWAWKSYGGGAILEAADAQLDGEFDGQEMERVLVVGLWCSHPDRSMRPTIRQAIGVLRFEAPLPSLPAKMPIATYVLAEDSFGSMGESSNAGMTHSAARDKLD* >Brasy5G504000.1.p pacid=40074608 transcript=Brasy5G504000.1 locus=Brasy5G504000 ID=Brasy5G504000.1.v1.1 annot-version=v1.1 MERAKPTPAEPPAGGQLESDLLRIMQQHHHQSLRQRQQTERAKKSALSSAARVAGHLVDAVDGGVQELFVNEKRVEAEARALLAAVARYRKQTDQWLAATNAVNSVLKEIGDFENWMKIMEFDCRSINAAIRNIHQS* >Brasy5G281800.1.p pacid=40074609 transcript=Brasy5G281800.1 locus=Brasy5G281800 ID=Brasy5G281800.1.v1.1 annot-version=v1.1 MAVAISVAARRILSRPARFFRAFWSASWSGDPESSAAASPSPSPEKKKKPPPSSEHRLADVRDAVNERRLPPELRGRPNAVRSETDIVNVVEQRIWHSMEEGHFENLPGKGRPQNLTTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRGMIARWRSVLRKAWANRCEHNGSTWHDDRRALQEQIRQINDKVFRYNLIVPFGRQMSGLNWDREFDKLKVK* >Brasy5G018900.1.p pacid=40074610 transcript=Brasy5G018900.1 locus=Brasy5G018900 ID=Brasy5G018900.1.v1.1 annot-version=v1.1 MSEDDLPYHVRLTAAGDLETVGRFDFGGQLSSAMIAHPKLDPASGELFALSYDVIKKPYLKYFFFDSGGKKSNDIEIELEQPTMIHDFAITENFVVIPDHQVVFKLGEMFRGGSPVVLDEEKTSRFGILPKYAESSDEIIWVDVPDCFCFHLWNSWEEDDEVVIIGSCMTPADSIFNDSGADDLSSVLTEIRLNLRTGESRRRPILPEPEQVNLEVGMVNRNFLGRKTKYAYLAVAEPWPKVSGFAKVDLATGEMTRFDYGEGRFGGEPCFVPVDGAHARAGAEDDGYVLTYVRDELAGTSELLVVNAADMRLEATVALPSRVPYGFHGTFIPDADLQGQQ* >Brasy5G387000.1.p pacid=40074611 transcript=Brasy5G387000.1 locus=Brasy5G387000 ID=Brasy5G387000.1.v1.1 annot-version=v1.1 MAGQSTVQSSDGNSSYAEYLYFEYCYMYDKSACCVSRLWICGRAATTAHVRHPSETHDRRAWPARIGTPHPTNSTESSSLAPSTSSFPFLLPFPSVRRPSWSGSGRFRRLVEVGFGRVAEVGGRVGRAGGGGGGPRYRPRRWGGAASLGWGGLGWAAPVGMDGVARVGWAAPVGMGSGAGVDWWAPALEVEVFSGM* >Brasy5G259900.1.p pacid=40074612 transcript=Brasy5G259900.1 locus=Brasy5G259900 ID=Brasy5G259900.1.v1.1 annot-version=v1.1 MKCTPKFSTVGGSTLISSFKEVHLNQVARNVSENFGIDVSGTQVYNHLRKWRQRWVRLTKLKDLSGALWDDMNYVIVLEEQHYLGHIKDHPKDVDFLNVPIENYVQMATIFSAGQATGKYAMGSNEALGTPSECAESSEQNGPVPMPQFTPEGSSGKRKRSQQDEDWGLMIAMAESVREVAVAINSTVPEKPVDVYDLVMEMPGFEEDDLMDALNHLQEHNEKAKTFVKMSEERRIRWVISYLSRRRG* >Brasy5G314500.1.p pacid=40074613 transcript=Brasy5G314500.1 locus=Brasy5G314500 ID=Brasy5G314500.1.v1.1 annot-version=v1.1 MRDFRPHTAQSTEKSYFLREPKDALGLRVLGYERPQMDAQHACGSDMQPCTAHACAAGLIPSESLIRPPVLPASPACFTGAEETETMEIAARQQKRALATRDAFVAACKKSTICPERAQKVLRERFQSELVAVRRLLQKALPPSSTSATRGDGGRRGFLAAAPRPRPRSEEEPPAKKKRNASPPLPVTQRSDQAPEKMMAGERELDNFLGQRNPRSRERKDSKMADQEGEDVDICGGVSPLAIPPAPLQLAEEEDGYVDICGEASPVVMPKVLVQLRQSAPLTTRTWMSPPPRSPSPTTRSSTIRRPAVTKMNLSPSSSESEDDAADEHWLPSSGSSKNSSGGTKRRLPQQQQKSASSGQRKLRQTESAVVVQGGGGSNKGGYWCRHCGKAETPQWRAGPEDPNTLCNACGLRYKDTLAMATTTKGQAPTAGGEPENRRQMQERPLRLRHAVDGCQEEALASQFAWTG* >Brasy5G122200.1.p pacid=40074614 transcript=Brasy5G122200.1 locus=Brasy5G122200 ID=Brasy5G122200.1.v1.1 annot-version=v1.1 MEMLELRKVPSMKGQPPRFNRKMHDGPTSLATQINGSPCEEDEDSQCAEAMGSWVQLPEDILHQIHAHMLLQDAARAACVSRGFLNSWRCYPKLVLNVNTLGINEDASKGVMTREFISRVDNIIHNRTCQGLKIFKLSTYPCSNLHPSYVDRWLQVAIRTGVKELALQMTRGDKMEYNFPCSLLSSETGSSIQSIFVGGCSFHSVVQVGSMSSSLTRVHLSSVHITGEELSCFMSNSCSLEQLCLSGCDDIIFLKVPCLLLRLNLLDVMSCTMLEVIDINAPNLSTFNFNGRAIHISLGASLQVREIQIGSCSESGMLYYAITRVPSIAPNIQILYLSTRDETLNTPMVPRRFLQLKYLEIELFTPNYSPDYDFYSLVSFLDASPALETFILRVSLPAIRHDSILDDSNGDSLHARCLSNYHHDKLKYVMVTGFCSAKSMVELTRHIIEKTSSLECLTLDTTRGYDKRFVKSKKCLSMNKEALMEAEKACLAIRTYVEGMVPSTVNLKIVEPCHKCHTKTLDVCQ* >Brasy5G122200.3.p pacid=40074615 transcript=Brasy5G122200.3 locus=Brasy5G122200 ID=Brasy5G122200.3.v1.1 annot-version=v1.1 MEMLELRKVPSMKGQPPRFNRKMHDGPTSLATQINGSPCEEDEDSQCAEAMGSWVQLPEDILHQIHAHMLLQDAARAACVSRGFLNSWRCYPKLVLNVNTLGINEDASKGVPCLLLRLNLLDVMSCTMLEVIDINAPNLSTFNFNGRAIHISLGASLQVREIQIGSCSESGMLYYAITRVPSIAPNIQILYLSTRDETLNTPMVPRRFLQLKYLEIELFTPNYSPDYDFYSLVSFLDASPALETFILRVSLPAIRHDSILDDSNGDSLHARCLSNYHHDKLKYVMVTGFCSAKSMVELTRHIIEKTSSLECLTLDTTRGYDKRFVKSKKCLSMNKEALMEAEKACLAIRTYVEGMVPSTVNLKIVEPCHKCHTKTLDVCQ* >Brasy5G122200.2.p pacid=40074616 transcript=Brasy5G122200.2 locus=Brasy5G122200 ID=Brasy5G122200.2.v1.1 annot-version=v1.1 MEMLELRKVPSMKGQPPRFNRKMHDGPTSLATQINGSPCEEDEDSQCAEAMGSWVQLPEVPCLLLRLNLLDVMSCTMLEVIDINAPNLSTFNFNGRAIHISLGASLQVREIQIGSCSESGMLYYAITRVPSIAPNIQILYLSTRDETLNTPMVPRRFLQLKYLEIELFTPNYSPDYDFYSLVSFLDASPALETFILRVSLPAIRHDSILDDSNGDSLHARCLSNYHHDKLKYVMVTGFCSAKSMVELTRHIIEKTSSLECLTLDTTRGYDKRFVKSKKCLSMNKEALMEAEKACLAIRTYVEGMVPSTVNLKIVEPCHKCHTKTLDVCQ* >Brasy5G122200.4.p pacid=40074617 transcript=Brasy5G122200.4 locus=Brasy5G122200 ID=Brasy5G122200.4.v1.1 annot-version=v1.1 MEMLELRKVPSMKGQPPRFNRKMHDGPTSLATQINGSPCEEDEDSQCAEAMGSWVQLPEDILHQIHAHMLLQDAARAACVSRGFLNSWRCYPKLVLNVNTLGINEDASKGVPCLLLRLNLLDVMSCTMLEVIDINAPNLSTFNFNGRAIHISLGASLQVREIQIGSCSESGMLYYAITRVPSIAPNIQILYLSTRDEVSLPAIRHDSILDDSNGDSLHARCLSNYHHDKLKYVMVTGFCSAKSMVELTRHIIEKTSSLECLTLDTTRGYDKRFVKSKKCLSMNKEALMEAEKACLAIRTYVEGMVPSTVNLKIVEPCHKCHTKTLDVCQ* >Brasy5G133800.1.p pacid=40074618 transcript=Brasy5G133800.1 locus=Brasy5G133800 ID=Brasy5G133800.1.v1.1 annot-version=v1.1 MAQRWRSRARRDPDLDDNGSPPRRRRPPSDDEDEGNEDLSLEIVARARRREASGGQPGFADLVVLSSDEEVDEDAVVELGEADPRRKQKNKKRRKERKKKQRKEEGGAVDSAAKEEPQVAGTQEGQTGTAEVVLTEGGVDVPLSDNTVLRKLLRIPRYFDPGETILETCFNCGEEGHVAANCTMEKRKKPCFICGLFGHNAKQCTQGQDCFICKKGGHMAKDCPDKHNMNTQQSTTLCLRCGEMGHDMFACTNDYPRDDVKEIKCYVCKQSGHLCCTDFSDHCPKEVTCYNCAQPGHTGLGCAKQRRETSVATTPTLCYKCGKEGHFARGCTNIANSDRFKDEFSAHGRKKDKWKKNSGHRSAPHDGHKRKSPLFEDSRDAHHGKSRRGGWIPDDHDDLPFKKYKSNGWASPSTPKKPYTNHHQRSSGSDYSTPRSSKWKNQGFSSPSSNYSPNSRKHAFSSSRFATNTHLHFERS* >Brasy5G159600.1.p pacid=40074619 transcript=Brasy5G159600.1 locus=Brasy5G159600 ID=Brasy5G159600.1.v1.1 annot-version=v1.1 MTREEKLCGHIDETTVATTLALAEQHGCRVLKAACFNFLMLRGNLKTVVASDGYNHLKSVCPSILEELVSKYDPTTSIDLPWLACCSNLV* >Brasy5G275000.1.p pacid=40074620 transcript=Brasy5G275000.1 locus=Brasy5G275000 ID=Brasy5G275000.1.v1.1 annot-version=v1.1 MARRTNRDSLDRPRRGRRPARGRRRERLVHAVRQRAVQPHPRAATPPPPPPTTPRASSACRRAAPAALLSRVAAATPSGSRSAARHCSRRAAPPNPQNPRAALRVNRPRQRRTGAGCRGLACALGVGPSESTS* >Brasy5G112900.1.p pacid=40074621 transcript=Brasy5G112900.1 locus=Brasy5G112900 ID=Brasy5G112900.1.v1.1 annot-version=v1.1 MASTDAGGEWSSTAAVRLRLAWRVVRAAEVLALALLLSRSFPRLPYAAAAASSAVRVAASLLLHPRTVFVVANAIVLLLFLFSRRDRASPSSANQDAQEHFLCFTGPPLLLLPSATEAAADAVFEDKQAVHVTVRAPAPRRSRSEKIRKPGGGGRATAASPEMRRSESEKHGRRRRSTSSASEEEPEEFRRAVEEFIAKEQTRFHREESFVLVDAGDDSQAAIVVAVSPVK* >Brasy5G048400.1.p pacid=40074622 transcript=Brasy5G048400.1 locus=Brasy5G048400 ID=Brasy5G048400.1.v1.1 annot-version=v1.1 MQGSRPLLILGLAGLLIAASAAAAVGSYSGRVVISHPAVRGSGAAARVNMAAALMRRLEDEVAPELLSSLLPGQLGAGNNDRGHRAVEHADKPACKKECPAPGEPYTRPCIYQNQCRN* >Brasy5G217700.1.p pacid=40074623 transcript=Brasy5G217700.1 locus=Brasy5G217700 ID=Brasy5G217700.1.v1.1 annot-version=v1.1 MSKAVEQMGMYFSLGVAALVVLYILKSTYGDCVRCFRRPASAASPVVVRGGPRAARRSTSTVPVPCVAEYWRSDGWRQATRPVCLCDFADGEVVRVLLTCMHYFHAACVGEWLRKGHATCPLCRAAQPSAAGSPEYVISTDQLELS* >Brasy5G476600.1.p pacid=40074624 transcript=Brasy5G476600.1 locus=Brasy5G476600 ID=Brasy5G476600.1.v1.1 annot-version=v1.1 MAVATEDAAPAPAVPAAASDPTAGPSDDEITVEETSFVHTEPPQDDNAPPVVTTDMEVLHDKVKKQVIKEGHGKTPSKFSTCFVHYRAWVQSSLHKFEDTWQEQHPIEIVIGKEKKQMTGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPTADLIYEVELIGFDDAKEGKARSDMTVEERIEAADRRKLEGNDYFKEKKFEEAMKQYEMAVAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKQKRFDEAIAQCSIVLSEDETNVKALFRRGKARAELGQTESAREDFLKAKKHAPEDKEILRELRSLAEHDKAIYQKQKEMYKGLFGPRPQPKPKARNFILLFWQWLVSLIHNLAKIFTRKND* >Brasy5G096700.1.p pacid=40074625 transcript=Brasy5G096700.1 locus=Brasy5G096700 ID=Brasy5G096700.1.v1.1 annot-version=v1.1 MWTSQLRMMPPPVKIILKPCFRGSMYASCQALPNGVEEFPQALIFKMEAVPGVSSFWWLRQTRLRMTSLVFRRQAAAWSSTYPHNSVRRSRQ* >Brasy5G040600.1.p pacid=40074626 transcript=Brasy5G040600.1 locus=Brasy5G040600 ID=Brasy5G040600.1.v1.1 annot-version=v1.1 MAILACLLTVVSTILGLAIRRFRILLRFPAPEAAAGFFHPYTNDGGGGERVLWCAVRAVQELCPGLPCAVFTGDYDASPEGLAARALDRFGVRLLHPPQVVHLNKRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLAQLFGCEVICYTHYPTISSDMVERVKQRNSMYNNNSRISGSIWLSRCKILYYTIFCWLYGLVGSCAHLVMVNSSWTRSHIVNIWKIPERTKRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFALALQRLDPDSIKPKLQFVGSCRNKEDLERLQKLEDRSVELRIDELVEFHKDISYRDLVQLLGGAIAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLEEDGHQTGFLASEKEEFTEAILKVLRMPETDRQEMAAAARKRAQRFSEKRFHQDFTDAVRPILSATKGRHVQMSPVE* >Brasy5G020500.1.p pacid=40074627 transcript=Brasy5G020500.1 locus=Brasy5G020500 ID=Brasy5G020500.1.v1.1 annot-version=v1.1 MQDTPDYIRRGAAAARHRIAPLELFSAPSPAEPSHAPAAAAEFEASSGDCVAAAPEAQEAMGCQTVRIEQGNHDCCGQLNEAFDSLLREKDECRKLLEDLMRENELKSRECHEAQASLHELQMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLKDLSEKFKALKSEHQKLLQESEEYKKCLADTTQMATTILQYVNKYASLECDFKDLKEKFSEEAKERKDLYNKLIELKGNIRVFCRCRPLNTEEIAEGASMVVDFESAKDGELIVRGHVSSKKVFKFDSVFNPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTDGARGVNYRILEELFRVIKDRHDLFEYEITVSALEVYNEQIHDLLQTGSQPGATTKRLEVRQVAEGVHHVPGLVEARVTNMDEAWDVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLMNGDCTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVARAKQDCKSKDAQIKSMEETIQSLEAKNKSKDLLTMNLQEKIKELESQLLVERKIARQHVDNKMAQDVERKQQQSLKEDNSYLRSPMAERNLNSIAEKPSAAKDLGSAKRMFSDSNTDTYSFNQLMSLAEEKENNPEVAPLPLAAKARRVSLCNGAYQHPASPASRRDSLIPLPRRNSLMPLPLAAKPPAAAAAASPLDKIKEYSLPPPMILNNDKSSGSRSKRINSILRRSLQKKVVIRPAMAAQTGRRAAGAATAQGGVDGARRAAVRRVPLCAGPATGGAQRVVVHQNRDKERGWNTGTSFRNHF* >Brasy5G337900.1.p pacid=40074628 transcript=Brasy5G337900.1 locus=Brasy5G337900 ID=Brasy5G337900.1.v1.1 annot-version=v1.1 MSRQRCPGEKNWAQKHREYIDMWNGRQNSVERENAEHDERAYFAHLHWLSREYRLHLKPSWTRDDCLEEEDEQEGDNPFNMACRENAGSQLDYAPVYDRVPGGREVVERYYRQR* >Brasy5G043800.1.p pacid=40074629 transcript=Brasy5G043800.1 locus=Brasy5G043800 ID=Brasy5G043800.1.v1.1 annot-version=v1.1 MQPPKKPARVDTAEIKSQLVKKLGPQRAELYIHSLNKFLGFQLDKGEFDKICVAALGKENIKLHNSLVRSILGNAFMGLGPPPSRQTPTGNSQTSAVTNGPLGNGVPLARRVRPLGNRDRRCTDKPSPLGKSPLGNPGAAEFVSVEDGEEVDQDRGSPLCVQSQSPIRAPLGVAKVQQPQFSALRPSDVCYNNGELPDTECLSKILQDKLEAEGLSMPMECANLLNSGLNAYIRQLLKSCLDVAKARGNTMRAHRANGNASSSAAAVNGGLNNGSVSDSGLVYQASLVDLSTAVQSNPKLLGCDSVKQKDKIASHLDG* >Brasy5G328100.1.p pacid=40074630 transcript=Brasy5G328100.1 locus=Brasy5G328100 ID=Brasy5G328100.1.v1.1 annot-version=v1.1 MDMEMTSSSAPGTSYFNLSVAQAVVTLSINVILVWLSALIKSSSSSSSSGPRRSTEAPTTAPEPTPEPASRGAPEVDLDVVLGVMGASGSATSVGFEEAAALFEEEEATVEEAAAAFRVFDSNGDGFIDARELGSLLGALGFTAGVAVADCQRMIDTYDQDKDGRIDFQEFLAFMERSSS* >Brasy5G345800.1.p pacid=40074631 transcript=Brasy5G345800.1 locus=Brasy5G345800 ID=Brasy5G345800.1.v1.1 annot-version=v1.1 MDPDADAVVFDAPAYFRLYKSGKIERLHRPPILPAGADEATSVTSKDVVLDADTGLSVRLYLPSSKLQQEPSGEKLPVLVYFHGGSFLIESADSSTYHSYVNALAAAAGVLAVSVDYRLAPEHPLPAAYDDSWAALQWAVSAKNDWITQYGDTSRLFLAGDSAGANIVHDMLMRAASNDDGEPRIEGAILLHPWFSGSTAIEGEPPAAAMIAGMLWSYACPGAVGGADDPRMNPLAPGAPALEKLGCVRMLVTAGLKDGLAARDRAYYDALAASGWRGDAAWLASEGEGHVFFLEKPGCENAKQLMDRVVAFIAGS* >Brasy5G401700.1.p pacid=40074632 transcript=Brasy5G401700.1 locus=Brasy5G401700 ID=Brasy5G401700.1.v1.1 annot-version=v1.1 MSTPKRLPYSAAGSGAGGARRGSRVLPPVVVLVFLFVVAPSIFFVARSGVQVHVASDPKGMDDNQETVSQEQLGTNNLKSILSKEVIDAIVASQQETGTLSLDFFRNHASPSWKTDDLINGKMNASLNVDDKTKAENSSSDHDLPLTDKAPKDDSGEHQVDTAVKIARRKLREKRREQRAMDLVRKDDEAHVKLENAAIERTKAVDSAVLGKYSIWRKENENENSDTSVRLMRDQIIMARVYSVLAKSKNKHDLYQELQRRIKESQRAVGEATADTDLHRSAPEKITAMGQVLSKAREEVYDCKVITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRGENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQIYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKQWKNKDITGIYHRWQNMNEDRVLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLSE* >Brasy5G380400.1.p pacid=40074633 transcript=Brasy5G380400.1 locus=Brasy5G380400 ID=Brasy5G380400.1.v1.1 annot-version=v1.1 MKHIFKIIAVLVAISAFWVALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE* >Brasy5G380400.3.p pacid=40074634 transcript=Brasy5G380400.3 locus=Brasy5G380400 ID=Brasy5G380400.3.v1.1 annot-version=v1.1 MKHIFKIIAVLVAISAFWVALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE* >Brasy5G380400.2.p pacid=40074635 transcript=Brasy5G380400.2 locus=Brasy5G380400 ID=Brasy5G380400.2.v1.1 annot-version=v1.1 MKHIFKIIAVLVAISAFWVALLETSTVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE* >Brasy5G025700.1.p pacid=40074636 transcript=Brasy5G025700.1 locus=Brasy5G025700 ID=Brasy5G025700.1.v1.1 annot-version=v1.1 MAAASSTGSLDHHTAGFTFTPPPFITSFTDLLSGSGSGDQRSGVPKFKSAQPPSLPISPSHFSYFSIPAGLSPADLLDSPVLLNYSNILASPTTGAIPAQQIYDWKASQQEETRGSGGFTDFSFQAVDTKAAAAAAAQTNSFPSFKEQRQQVSKSVPASNKQLEDGYNWRKYGQKQVKGSENPRSYYKCTHPGCSMKKKVERSLADGRVTQIVYKGAHAHPKPLSTRRNNSSAAADHQDHHPNGSAAATPGNSSVTFGDDDADNGSSQRSDGAAEPGAKRWKEDADNNEGSSSGGGKPEREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHDGRAVITTYEGKHNHDVPLGRGAARPAASSSSSDGAMIRRAAAAGHGQQRGVPYTLEMLAKDEPRDDLFVDSLLLC* >Brasy5G468400.1.p pacid=40074637 transcript=Brasy5G468400.1 locus=Brasy5G468400 ID=Brasy5G468400.1.v1.1 annot-version=v1.1 MRSKSFLPLLLLCLAAAAPRSSAAAPSGGGAAVGGGGLMHIPTSAELAHCLSRCGDVAIVYPFGIGPGCFRQGFELTCHNNKLFLGNTTTRIQVQEVDPYRFVKVSTDLSIDMRPGVHTYNVSLEAPVKGVKISGYSNSVYVVGCGVDAYLFDHDTNHLIGSCMSICLDDKQIMEKDDAYAAAVVDAPWASRTSCNGRGCCSISLKWERRALALRIGRLPDGSGTTMAQADDRVLSKVKVFLSQQYSFQTSDLYSSRINGSKLDSYFEVAMTDQRSCKSAQKNTSSYACNNKSMCKDRSSGRGYYCSCQTEGNPYIVDGCTGHYQPDPKRKNCKTSCGHMRIPFPFGIEEGCYALEKFRLNCTENKIVLDRGVQYVVENFSMNEGYLSVRKKTKFQDISTTDDEQEVATLVLNGKMTREYDYPLEDLFDLTKEDGMKMWWAVENFTCPMVMSKEYSDIYACRDTNSNCLNVTHVNSAMKLGYRCNCSKGYEGNPYTANGCTDIDECAMPNICNGTCQNYPGGYNCNGCGHGKEFDPTKGKCVMSTKRHILILGMAVGVGCGLAAIILALVVTSLINKWKTGIQKRVRRAHFKKNQGLLLEQLILDEGTTDKTKIFSLEELDKATDNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHSDLCVKCLLSWDDRIRIAVEVAGALSYLHSAAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINNVGTKQSLSHYFVEGLVQGVLMEIMDLQIVEEAKQEEIDDIASVAEACLRTKGGERPTMKEVEMRLQILRTTRLKRNQLASRKGGEIEPFLCPDTSSSDAHTNSTGTIDIPSSCMSGMYSLEQEFASLPR* >Brasy5G088900.1.p pacid=40074638 transcript=Brasy5G088900.1 locus=Brasy5G088900 ID=Brasy5G088900.1.v1.1 annot-version=v1.1 MARSAIATSTNLLREVKNRQSSNLMRRVQPAEARSADTALWVPHPRTGIYYPRGFEWVMEDVPSNAASFQQSYWLRSDEAETASSPTSNNTTAFDHPFV* >Brasy5G054400.1.p pacid=40074639 transcript=Brasy5G054400.1 locus=Brasy5G054400 ID=Brasy5G054400.1.v1.1 annot-version=v1.1 MEFYQIRRWARSFARRWRPCGRTRLPFLVACLFVRLVSSAKQRGNHLVGPASDPAAAAAAALAIHVAAAIEIAEPNRCGH* >Brasy5G017700.1.p pacid=40074640 transcript=Brasy5G017700.1 locus=Brasy5G017700 ID=Brasy5G017700.1.v1.1 annot-version=v1.1 MSPSLPAFLSLHSIFPSSRCSCASTGVEQQQEEVVFTPQRAGRSEVTFVSPTGVEIKNKRQLNQYLKANPGGPASSEFDWGTGESPRRSSRISEKVKVFDSPEGEKIPKRSRNSSGRKGKQEKKEEAKIEEGKEAETGKEAPEAEAGKEAPSEDAVKSTDVEMKPAEEANDAAKTADVEMKPVEEVKTASEDAGKTVVKTAPTEDAGKTEVKTAPTEDAGKTVVKTAPSEDAGKTEDSAYKVDVPAPALKEDEKLVGSDSAPALAENKKDGRAAESDANSVVAAAAPEAKAEAAAPVESSAPVDGESTTDRCRQA* >Brasy5G341000.1.p pacid=40074641 transcript=Brasy5G341000.1 locus=Brasy5G341000 ID=Brasy5G341000.1.v1.1 annot-version=v1.1 MSIISMPMPGWSNMIYIIILLSSSLQHKEQLESGSKVVAVGCLFNSRKLMATSGIVMDKLSRSDGEEFIVSTCKVSKAGTGGPLVDFNGFFHGMNFYDVEQTIFLPRNIILKCIERFGIFRAENKQGGHCAGGIITRSTNNGVNASPDSFLYPQASVDRIHENLRSRSYPLPTKFCGGMVLVNGFEEKFPNMDGSCGDGLNQLSKEVASNLSRSVVSLASFSGKRRLFACTGIVIEYNLCTSVLTSASLVRSSEDENRIDDNLRIEVRLPNKQYVIGKLQHCSLHYNIALVNIVASPDLHAASLYHQVQFESGSEVVAVGRIFAVGKLTAISGTLTDKRSKFDCTELRTSTCKIRKGGIGGPLVDLDGHFIGMNFYDEEETPFIPRNLILECLRRFETERSIAADMCNLNRWPVPKPYWVYPVASDWDLDMNVKVDMRAATLTLL* >Brasy5G237500.1.p pacid=40074642 transcript=Brasy5G237500.1 locus=Brasy5G237500 ID=Brasy5G237500.1.v1.1 annot-version=v1.1 MGGSSVRQRRVNSNRPEEEEARTMSTVVAAAAETTATNRLRARAEAAVAAVVAVRPHEIPALTSAASTFFFILSAYFVVLPLRDEGAISLGLGALPGLFAGSLLLTVLAAPVASLAFSLPSLPKTKALVLIHRFFSISLLVFFALWFVSKPGSPSISQSSEDSSNKPAGWGSDSWIYITVRISFFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWMGPFLLLFSSLLMELAALSSKGICIDANHVSTELPSTGAEQSPNTEPGDEMSSLVSSPRTSSQSQKTKPGFFVMFEGFWLIMRSPYLIYISLFLWLSAVISSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGHILTVAGVTVAICASPFISASNLVALAVWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYRLLFSSLEKKTSMANLYALPLCFLWLLTAFHLGRLQTNLAKLQAASDPS* >Brasy5G133500.1.p pacid=40074643 transcript=Brasy5G133500.1 locus=Brasy5G133500 ID=Brasy5G133500.1.v1.1 annot-version=v1.1 MERASKRLKLSLSGVMPPPPPDVVWEILLRVPGRSLCRFRAVCRSWRSLLSDRSFIKEHAARGPDLILAVADAGDRIDMVDLSGNVVRRIQITADGAGLLGLPRAHPGPIQLFRSNDRVRAVDPDTGAVSTLPVDALDKRQSAYWYDHGACYALGQRKVLRVVTRDNMLLERVEQLCHVLTLGEDDARPPCWRPAPSPPVCITSTVGIHNIVIGGVVYFLVDENYPEFDWAFSPEDDQVWFDINCIASFDLETEEWRPTTIPGPREINTHIDGCHFKLERLHGSLSMIHWSDSEHPDSDQSVVDVWCARDLEKGIWVKEHTIQKELLYGPAQMCISDGVNLLMVLDDGKIVFSYTVYNYSDQKVLNSRARIYDPKTNCIVDVAQLGYRSIVGIYRENLL* >Brasy5G034400.1.p pacid=40074644 transcript=Brasy5G034400.1 locus=Brasy5G034400 ID=Brasy5G034400.1.v1.1 annot-version=v1.1 MDSGELRTTTRSCYVLAIGCNLTKAHKAEIDELEQKNKSEIPLYVKTMDRTSLVDGFLVICKDYASKYLLPYKDEIITLCYANHSKTLGVHFKIKTDDTYILSAGWLGFVEDNELQEGDTCVFEVLSQRSFTMAVHVLKAGHYHPPGSAPSANSFRPEDNVRFTRFTTLEGLMKTKVYEKVEYSKSGIPIFVSIMLKTNLDGGRTSILSFSMDYARDYLLAAGPIIRLHRPGESKPWKAKYKIINNRCWLAGGWRRFTVENKLRLDDICLFEQMENRKKLRMMVHIIRKEEYC* >Brasy5G503700.1.p pacid=40074645 transcript=Brasy5G503700.1 locus=Brasy5G503700 ID=Brasy5G503700.1.v1.1 annot-version=v1.1 MAIDNIALTAVVPVLLHFDQRHCYCCSCLNSFWSATLYILHFSISMYAPLCATTMCTPCFLFACMVYLSLVFSGSSTLFVHREGWMCWEVGCAFEGLPPYEKQVFLAVPLLHSEC* >Brasy5G449700.1.p pacid=40074646 transcript=Brasy5G449700.1 locus=Brasy5G449700 ID=Brasy5G449700.1.v1.1 annot-version=v1.1 MPRPTTRIVGQIGDLGAESAAQPSPTSSAGSSPLPWYAPRHQLAWNEPPQHGDRRHTRSEHVVSWEFWSVVTAVDQLFFCQISVVQRFNLQRDGTSGSSFSAPVFFFFWSNSPPRFGSVGQEHSDQSDEV* >Brasy5G327000.1.p pacid=40074647 transcript=Brasy5G327000.1 locus=Brasy5G327000 ID=Brasy5G327000.1.v1.1 annot-version=v1.1 MDTTASWRLVAPAVLQPKRQAPFAPSSLRRPAISKTKTRLLCLLHDKPAPTAQDSQLLRKLASVLQCGAVWAAVEAPAALATVSGEEDLDILGILPPVAAIAFVYLFIAPPIIMNWMRQRWFKRKFVEMYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPQIDSFRKKYF* >Brasy5G020300.1.p pacid=40074648 transcript=Brasy5G020300.1 locus=Brasy5G020300 ID=Brasy5G020300.1.v1.1 annot-version=v1.1 MRLEKCWFCSSTVYPGHGIQFVRNDAKVFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNVTERTLKAIPLITKIRHERQKTHITVRQKQGKSKQREKDAKEVEQDFHMLPKKLQETKETKVKVRVSQQHTEDNLMEE* >Brasy5G482400.1.p pacid=40074649 transcript=Brasy5G482400.1 locus=Brasy5G482400 ID=Brasy5G482400.1.v1.1 annot-version=v1.1 MAAAAASCDAPVPPAMPDLIRDRLYFGDINDAIGALTATSLPDGTDITHVLSVVSSASISFIADCRPGLSIPAEEVRRVVAGEDGAPPSAVAPGRLMRVVERAGQGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDDGRKEGSVLVHCFAGVSRSAAIITAYLMRTEQKSLEEALESLKEINESVCPNDGFVEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSHVLEDDPGVPRQPNPSQELSDKETHKTAYRCKKCRRIVAAEDNVISHTPGEGNSSFEWHDKRKGGHTYNKEQDCSSLYVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTI* >Brasy5G062900.1.p pacid=40074650 transcript=Brasy5G062900.1 locus=Brasy5G062900 ID=Brasy5G062900.1.v1.1 annot-version=v1.1 MHNRTASCYLSSGTFVNSRKCILFFGIWSRYFVSTAIFLVKPPDCKRVTGQQRTQQFTCSCLCRSRRRTGRQFQQADLF* >Brasy5G214300.1.p pacid=40074651 transcript=Brasy5G214300.1 locus=Brasy5G214300 ID=Brasy5G214300.1.v1.1 annot-version=v1.1 MASPASSDSDQVLVEANGSTRTLILNRPRQLNALSSAMVTGLLRCFNSYEEENAVKLLIVKGKGRAFCAGGDVAEVVQSVNNDTWKYGADFFRTEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVATENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGARLDGAEMLACGLATHFVHLNRMSLLEESLKKMDTSDLFEICGIIDQFSQQPSLKESSTLNRLEIINKCFSKRTVEEIISALEQEAASATATDEWITAAIRSLRKASPTSLKISLASIREGRLQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPSLDQVHDGVVEKYFSKVDDPQWEDLNLPARRSQGRRIVPKL* >Brasy5G121600.1.p pacid=40074652 transcript=Brasy5G121600.1 locus=Brasy5G121600 ID=Brasy5G121600.1.v1.1 annot-version=v1.1 MKQWKSLVALHSSAHSCFPHPPSAPSPCPSPPREPTPPPTPAPEEKQQQEAVRLVGCDGRVLTFRRPVTARELMQQHPCHLVCRSDALLIGEKIPAVSPGEELQPGHAYFLLPAHLFHSVLSFVSLASSLLLLLSTAGAGDKKKPRQQQRPFELLRTDSGTLQIKFSDDFLLINDDNDSKNSSDGDDAKEKKPAVLCGDEKLEKEYEELVAYGKARRWAPKLETIEEVAAAAADPADDSREIIRRKGRTLLPFLGRLGSRRRRESTTTTTRLVCGNGGAVACSG* >Brasy5G520000.1.p pacid=40074653 transcript=Brasy5G520000.1 locus=Brasy5G520000 ID=Brasy5G520000.1.v1.1 annot-version=v1.1 MSTISDQKRQTLEAIQQRYAAAKAKQLQSEQLKLQQNKDSTPKPKFDPQRKLKTPESTPCPTSAQLPMLKAQVTSSHKQKPSASSGEETNPIYSELSFALHGNLSQDNISDLDSTDVVQSVLYDIIQKGGAGKITKGAKKLKLEKGILLDNYVQRGPRLVDAQSRSLLIHSKRSKRHMSLKQHKKCGSFHLHDTFHRFDLYKPMHEMWKEYMQELTKSTPKKQLAESLLSSDLHGALLIVAQCKAAFYQGVSGIMIRDTAETFGIISEDNHFRVVPKAGSVFVLQADCWKVTLMGDKLSPKETLKENQRQQRAQSLIR* >Brasy5G446400.1.p pacid=40074654 transcript=Brasy5G446400.1 locus=Brasy5G446400 ID=Brasy5G446400.1.v1.1 annot-version=v1.1 MGRMRLFSGSQRCNGLHSCILISRKEVQSAKKLNSPSPALSVMGARNGATLLFLLALVLLGSPASAVKKPNCYESTSGSPLCVAPLCKATCWIAGQMFHARVLEHKCIGSILKSSCYCYLCDK* >Brasy5G026400.1.p pacid=40074655 transcript=Brasy5G026400.1 locus=Brasy5G026400 ID=Brasy5G026400.1.v1.1 annot-version=v1.1 MPTRKKGPARNATVDPVNTKTSRQPRRSTQTAAAEKKVNDLITSSSKKQKPAGSLLKKNRGVRGGRKLALASPDNSTGTENEVADGPSSSMLDHKSTENGEERSCDSIFSQPFHHHNEDSSDCLGKVHMPSTCGNTKLEDDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSISTDGCADPDETEELEEFDPYSFIKDLPDLSVVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLERVASMFEIIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLSVLGRDLARVVIVDNSPQAFGFQLENGIPIESWFDDPNDKELLALLPFLESLVGVEDVRPFIETKFNLREKVATASSLTMHF* >Brasy5G267300.1.p pacid=40074656 transcript=Brasy5G267300.1 locus=Brasy5G267300 ID=Brasy5G267300.1.v1.1 annot-version=v1.1 MDRQTTPQHGLTDERRRQGSPPPRRRSRTRRDPGEDHVYRVRPQDFARTVQTLTGLRGTSTQQQPPAPALSVSVSVSVSTGTSLAAPRSIGTQMQEAYLAWCDANGVLLSPGTMAEMDQTARFDSNNSGNNND* >Brasy5G123800.1.p pacid=40074657 transcript=Brasy5G123800.1 locus=Brasy5G123800 ID=Brasy5G123800.1.v1.1 annot-version=v1.1 MSQWRTGRDAGVLDGEADGAGVKPGLARLAEAQVPARQQQHRRLALPAALARPLLLPQRRRRGANPDVAIVRRAVSARPLEAAGLGVVRCRCVESGLAEALGRGGSAEEEDVVERGAEPSGDGSVAAREAAPRVALGRRLPEPLLQRGAALAGGVQLGFLLPHPPRQRRVDQSLALPLPRLLHSGAQPVALESHDSDTSAPADHDDKRPLVEAIADSSATSGDGEALDMPGIGSSSDAEEYASASRTRFRDGPLWHVRPLCAPLTTTASAQSL* >Brasy5G225000.1.p pacid=40074658 transcript=Brasy5G225000.1 locus=Brasy5G225000 ID=Brasy5G225000.1.v1.1 annot-version=v1.1 MNKAIQDMGNAKFAKDVFTIGDFVEEEQMGEEQVGYEPSDDQVDYCEQYGPCTQDTAELDSMTISQLERKYKESQEYEMEVAHDAQVYSEPKNTYLVHVADKVPEKKTRSNPRVLCPSSPPEKLNSVHLGAELQRLSSQPAYQTVVCFTFRLRDSNYSSEIVIFAPGSEIEARQHLEMEPETYTGPISRRLPSYFSGR* >Brasy5G166300.1.p pacid=40074659 transcript=Brasy5G166300.1 locus=Brasy5G166300 ID=Brasy5G166300.1.v1.1 annot-version=v1.1 MYIFVKNPTGRTICLKVQSSDTLCTVKAKIQEQHFLAFDGKKLEDNLTLACYDIQHGSTLDLQEKMQIYVKETLSDRTIILEVDSLDTIGNVKAKIEDKEGFLKGLQCLIFGSKQLEDERTLADHNKDEGKPITLEVEGHDTINLVKMKIFEKDGTRPIQCRLFFDGMVLKDYHTVADYGIERGDILDFFICLCGC* >Brasy5G355100.1.p pacid=40074660 transcript=Brasy5G355100.1 locus=Brasy5G355100 ID=Brasy5G355100.1.v1.1 annot-version=v1.1 MANIQLSRDKHMQSRTLQVAWLVCTLAPSARRPLATVCECELGPLWLWTTGVCCFYWGRRPCRDLAIVVVVTSSSANTHPRTLQRHRSAELIVPRSAKVINMASDPES* >Brasy5G389000.1.p pacid=40074661 transcript=Brasy5G389000.1 locus=Brasy5G389000 ID=Brasy5G389000.1.v1.1 annot-version=v1.1 MAMDGSDQWTSSTSSSSSHDQYSVVWSPPPKRPAGRTKFKETRHPVYRGVRLRGTAGRWVCEVRVPAMRNKRLWLGTHLTAESAARAHDAAMLALRGPSAAAAAGLLNFPDSAWLLSVTPPALADLDGVQRAALAAVADFQRREAANGAAAVPVVNEAVSDEFAPSSDNAVSWATSQASADNGTSEEPVVMGGDMFELGMPDEMDLGMYYKDLAEGLLMEPPPPPAPNTGACWESGDYGDGGADATLWSY* >Brasy5G255200.1.p pacid=40074662 transcript=Brasy5G255200.1 locus=Brasy5G255200 ID=Brasy5G255200.1.v1.1 annot-version=v1.1 MAAAKWFAAPAFALLLLLLPFARAATPAKSPSSSSSSAVFQLKGDVYPTGHYYVTMNIGDPAKPYFLDIDTGSDLTWLQCDAPCQSCNKVPHPLYKPTKNKLVPCAASLCTTLHSAQSPNKKCAVPQQCDYQIKYTDSASSLGVLITDNFTLPLRNSSGVRPSFTFGCGYDQQVGKNGVVQAATDGLLGLGKGSVSLLSQLKVLGITKNVLGHCLSTSGGGFLFFGDNVVPTSRATWVPMVRSTSGNYYSPGSGTLYFDRRSLGLKPMEVVFDSGSTYTYFAAQPYQTTVSALKAGLSKSLQQVSDPSLPLCWKGQKVFKSVSDVKNDFKSLFFSFAKNSVMEIPPENYLIVTKNGNACLGILDGSAAKLNFNIIGDITMQDQLIIYDNEKGQLGWIRGSCSRSTKSIMSSFP* >Brasy5G523000.1.p pacid=40074663 transcript=Brasy5G523000.1 locus=Brasy5G523000 ID=Brasy5G523000.1.v1.1 annot-version=v1.1 MQSQEKITAVKPVASRPCSSFSSFSKLLKDFTTTGSTQITSPGETVIVRRPKATRFALPPSDLSTGITATMTTTHEQMVVDTQQQAVSCDDHQTVFHNINKPIHGARNRLSYDGYNWRKYGQKQVKGSEFPRSYYKCTYPTCPVKRKVETTLDGQIAEIVYNGEHNHPKPHLPKKPVSSTGTEVVIADVYGSNDAGAESQLGGCNGLSLIGSTIVADTFRRCCDCFDELADNSLGSDCKGSQKEEQSNGLGAHVEAARVFQASTEYESSEDAFRWRKYGQKAVNGNLFPRSYYRCSTARCNARKFVERSSDNSLVTTYEGKHNHIAERLG* >Brasy5G075000.1.p pacid=40074664 transcript=Brasy5G075000.1 locus=Brasy5G075000 ID=Brasy5G075000.1.v1.1 annot-version=v1.1 MLPRMDGTAASRFPQFRSMAVQPAGAQARGRCSASAPSKLQHDSGGVSGYHLPWVLGYAKWEEEMDAMGGRKLGLALGKKRLVAMC* >Brasy5G075000.3.p pacid=40074665 transcript=Brasy5G075000.3 locus=Brasy5G075000 ID=Brasy5G075000.3.v1.1 annot-version=v1.1 MALLHLGFLSSDRWPCSRPALKHAADAALLHHRSYSGGVSGYHLPWVLGYAKWEEEMDAMGGRKLGLALGKKRLVAMC* >Brasy5G075000.2.p pacid=40074666 transcript=Brasy5G075000.2 locus=Brasy5G075000 ID=Brasy5G075000.2.v1.1 annot-version=v1.1 MLPRMDGTAASRFPQFRSMAVQPAGAQARGRCSASAPSKLQCTVSSLQACSSPSMTARQWWSLRLPSPLGSWIC* >Brasy5G518700.1.p pacid=40074667 transcript=Brasy5G518700.1 locus=Brasy5G518700 ID=Brasy5G518700.1.v1.1 annot-version=v1.1 MNNNSTSAKRAHPGNQNTSVSERKRLKHTFCAEEGCSTGERPRRLSFHSLPEGIVSRIMSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMARILKLNFSPYLGPYENNYSFPCHLFNIKNASYLQALRLDSVNLNPNPEFCGFPNLKMLALDHVLALQDLQYFLSKCPVLEWLSIRSCSQKCNLHACEPLCQLKYLCVQDSAVNKIDLVVPNLNTFEYKGSQILINFHECLKLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVDFEMPGFKHAPLVFSHLRHLALKIKIKGKTSILQLGCLLEAAPLLEDLCLDMSCTINYLYPIDGDLIADCRHYNLKTASISGFCGDVGQVELVKYIMRNAIQLKRMTVETRNRIAKWPRSMDEYQGCTSAMKNLVPLDKAGVLRVF* >Brasy5G516500.1.p pacid=40074668 transcript=Brasy5G516500.1 locus=Brasy5G516500 ID=Brasy5G516500.1.v1.1 annot-version=v1.1 MASIESSIKDFTMEILGTRKGNDDGGSSSNDDISSSTVEEGGGGHHHQDTKAGDLSTSVRPYVRSKNPRLRWTPELHLCFLRAVQRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKRIDDSGQVIGGHISFPRAFHHGQSGAVTMLPRFGTTPWRSSFHEPLWLHGHQHFPGSKSYYYSSAAPNGQHLARGAAASSNPDQLMMQLGCAPSPNNHHTMNHQQQKQLAPQSTVENGDDVHVPLDLNLDLSLGVVTQRPETKRKRSAGCSWMRDGNVGKIDNDEEEEEEEDESTGTMLSLSLFSPRDTPS* >Brasy5G101400.1.p pacid=40074669 transcript=Brasy5G101400.1 locus=Brasy5G101400 ID=Brasy5G101400.1.v1.1 annot-version=v1.1 MEDEKSQQGLAGEPPTTAGPGAQQRKHDDGVNDDDDPENQESGNGGIRSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWALAFLVGLLTGVIASLINLAIENISGLKMLHMVRLVRDKRYWAGFLYFSGINFALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGMPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGGIRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGLFGEGGLIIFDVSDVTVRYRPGDLLLVTLVGVIGGVLGSLYNYLLHMVLRLYNLINDKGKSAKLCLALAVCVFTSAGLYLLPFAVPCTPCDPAFGAACPSTGKSGNFKQFNCAAGEYNDLATLLHATNVDATRNIFSTGTPHEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGAAYGRIVALVLQRFAQIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLTVGELAAAKPRTVSLQVVERVSTIVEVLRGTGHNGFPVVGRPRPGLSELHGLVLRSHLVAVLRKRWFLAEQRRTEDWEARERFSSVELADKSGRLEDLQEITSEEMEMFVDLHPFTNTTPYTVVETMSVAKAVVLFRAVALRHMLIMPKFQGPEISPIVGILTRQDLRAHNILGAFPHLANKRKAH* >Brasy5G473300.1.p pacid=40074670 transcript=Brasy5G473300.1 locus=Brasy5G473300 ID=Brasy5G473300.1.v1.1 annot-version=v1.1 MGRPRRDHGQCPAEYLLHLRRLDGFLKHHGLLHTAYTLEMESRVLFEPTRLQMLVTQGKWAEADRYLRCFSALWKDGDGDGAAQYTDLQATLNFNAVLAWLACRGEEGGRAAAGFKPPSDAFRMADPEAAKRKDIYCSMTSQQARESVNWGDIKLGTVDKMEELLRLCPDLERVPSNRLPQHMPMPQDIIPLGSRGSRRHRRKKVGHKPAHELAYFLLNKRPPPSQKIKHSAAREGE* >Brasy5G473300.2.p pacid=40074671 transcript=Brasy5G473300.2 locus=Brasy5G473300 ID=Brasy5G473300.2.v1.1 annot-version=v1.1 MGRPRRDHGQCPAEYLLHLRRLDGFLKHHGLLHTAYTLEMESRVLFEPTRLQMLVTQGKWAEADRYLRCFSALWKDGDGDGAAQYTDLQATLNFNAVLAWLACRGEEGGRAAAGFKPPSDAFRMADPEAAKRKDIYCSMTSQQARESVNWGDIKLGTVDKMEELLRLCPDLERVPSNRLPQHMPMPQDIIPLGSRGSRRHRRKKVGHKPAHELAYFLLNKRPPPSQKIKHSAREGE* >Brasy5G252600.1.p pacid=40074672 transcript=Brasy5G252600.1 locus=Brasy5G252600 ID=Brasy5G252600.1.v1.1 annot-version=v1.1 MLLHCASVRPAWDHLQPLHPNTAGCDSIADLWEHHRNDKVRSTVLIAIMWNIWKRRNALVFRHEHEGLHIAIQRAASHCSSTPRRNILTDWAVMLSHLVMGL* >Brasy5G247700.1.p pacid=40074673 transcript=Brasy5G247700.1 locus=Brasy5G247700 ID=Brasy5G247700.1.v1.1 annot-version=v1.1 MAKSKNHTAHNQSYKAHRNGIKKPKRQRQTSTKGMDPKFLRNQRYSRKHNKKAGEAEVEE* >Brasy5G247700.2.p pacid=40074674 transcript=Brasy5G247700.2 locus=Brasy5G247700 ID=Brasy5G247700.2.v1.1 annot-version=v1.1 MAKSKNHTAHNQSYKAHRNGIKKPKRQRQTSTKGMDPKFLRNQRYSRKHNKKAGEAEVEE* >Brasy5G268500.1.p pacid=40074675 transcript=Brasy5G268500.1 locus=Brasy5G268500 ID=Brasy5G268500.1.v1.1 annot-version=v1.1 MLHGKVNKDQPRHHLLKELAAAVERPVHWHTQACSVECSNLRHANGAHGNTHENRCHRILAKAHLTSTSEKQPLPASGRQPIPSSNRTPDQPHGASFASHRIKHTSDSPANTSISPATKGTSTTKATCSSRPWHAATKDAGSLPTRGLPSLHPRRRQLAANIRAAPRSRGERAASTHGIKILGERGTRPEVEVGEEEEVARTSAAAHRRDAPPPSNAAANRKVERREGRGRGSTAQQRRVEGAGEVLPATAARGAQGGKRRLGFGPRGSPRESPGAAHETWLVSFR* >Brasy5G195100.1.p pacid=40074676 transcript=Brasy5G195100.1 locus=Brasy5G195100 ID=Brasy5G195100.1.v1.1 annot-version=v1.1 MRAVAGGATASSSQRPACSCSSVHGQQQHGGERQSSSSTAATCSRRQAGSGGRLAEPCAGQQQQRLQASAVPACSSDGGSGGACVQQRQGHRRRRRAAATKRRGRAREHRRRPWRHMATSRPAGGGAQQRWGQRRGACSSSTVATLPSTSSALPPLAPHPRQHLCRHNNAAASPAHLRRSPPQPLEVPQHGLLGSATPLPRLALALHPASRSQPHGRAPPLRRSSLSPLLGPVRHTPNFAHDPTGPAVVRAKSIADDPTAAAAFASSRRRKKTRRVRSREAGRRPLNHGPHGITLDHSTG* >Brasy5G219700.1.p pacid=40074677 transcript=Brasy5G219700.1 locus=Brasy5G219700 ID=Brasy5G219700.1.v1.1 annot-version=v1.1 MAAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESDRYICVRETSPQNSVVIVDMAMPSQPLRRPITADSALMNPNTRILALKAQIAGTTQDHLQIFNIEAKTKVKSHQMPEQVVFWKWITPKLLGLVTQASVYHWSIEGDSEPIKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNDNPSTLICFASKSTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLEEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLLPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMEADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIRAAEEADVYHDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILVPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAQEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFDELISLMESGLGLERAHMGIFTELGVLYARYRTGKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKEQEEKDVVAQQNMYAQLLPLALPAPPGMGGPPPMGMPPMGGMGMPPMGGMGMPPMPAYGMPPMGSY* >Brasy5G395400.1.p pacid=40074678 transcript=Brasy5G395400.1 locus=Brasy5G395400 ID=Brasy5G395400.1.v1.1 annot-version=v1.1 MASIEYDYLFKLLLIGDSSVGKSCLLLRFADDAYVDTYISTIGVDFKIRTVELDGKSVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKALADSLGMTFLETSAKESINVEKAFLTMSSEIKKRMATQPAAERKPTVHLHGKGQPIQQEKSSCCSS* >Brasy5G284400.1.p pacid=40074679 transcript=Brasy5G284400.1 locus=Brasy5G284400 ID=Brasy5G284400.1.v1.1 annot-version=v1.1 MARGNKKTKLTIESSNFSIFDLPDDVLQHMMSFLSSREIMKTSLVSKQCKHLWKYVPTIRVTDETIKDCKNFLDNFLIARSHTLVTVCDFYFYECYREDHDDLNRWVFHVLLVFQLQELIVGIDFQDDFLYLDNLPIISKYLRRLQLDNLKLEARTVDFSSCPVLEDLDLTLCCIYAKKIASKSLKRLATELCSFCGGNTCTIIYAPSLVTLELVKVSGRTPLLDWMPSLVDAHVEFDNDHKVPWWCCEDSCIGCSLIDLLILNEWFLGSGHRELVRILHHTPVLEELIFLLSKVPDQMVEVEGINNQNEQPIVLKCLHEVKIKCEDEHEGVKEIVNMLPNFGIPREKIFVKEGSISS* >Brasy5G152200.1.p pacid=40074680 transcript=Brasy5G152200.1 locus=Brasy5G152200 ID=Brasy5G152200.1.v1.1 annot-version=v1.1 MAAPSMTSWADVADAEPAPPAPAPASNGPAARSDRSSYVPPHLRNRSSSSAAAPPASSAPPPRAAPGLLGRPAAGVPGRFGGGGGGAAPPPRRWDREPNPFGNDEPAPAAAEPEGFEEHQNTGINFDAYEDIPVETSGREVPPAVSTFAEIDLGAALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPVQRPQRGGSRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPRGVRQTLLFSATFPGEIQRMASDFLENYIFLAVGRVGSSTELIAQRVEFVHEADKRSHLMDLLHAQRDSADHGKQALTLVFVETKRGADSLENWLCTNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFSDNNASMAKSLADLMQESNQEVPAWLTRYAARPSYGGGGGGRNRRGGGGSRFGGRDFRRESSSFGKGGDYGGGSSGGAGSFGGSSSYGGGGYGGAGAPSAWD* >Brasy5G510900.1.p pacid=40074681 transcript=Brasy5G510900.1 locus=Brasy5G510900 ID=Brasy5G510900.1.v1.1 annot-version=v1.1 MLPRKREIGAGEVEDLGKKTRAAEAGREQQQVDSAMAAGRGHEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGNVELWDLSSNFFFSEKDVGKNRAEACVQKLQELNNAVLISALSGDLTKEHLSNFQAVVFTDISLEKAIEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTQLNDGKPRKVKNARPYSFFLEEDTSSFGEYVRGGIVTQVKPPKVIKFKPLKEAMVEPGEFLMSDFSKFDRPPLLHLAFQALDKFRSEFSRFPVAGSADDVQRVINFAISINGTLGDKKLEEIDTKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENTRYDAQISVFGSKLQKKLEQAKIFMVGSGALGCEFFKNLALMGISCGQDGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWENLDAVVNALDNVTARMYIDSRCVYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSTYVSAARTAGDAQARDQLERVIECLDKDKCETFQDSITWARLKFEDYFSNRVKQLTFTFPEDSMTSSGAPFWSAPKRFPRPVEFSTSDPSQLSFILAAAILRAETFGIPIPEWAKTPNKLAAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEEISKTLPSGFHMNPVQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTIKHQELSWTVWDRWTVTGDITLRELLGWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDRKVADVAREVAKMEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR* >Brasy5G249600.1.p pacid=40074682 transcript=Brasy5G249600.1 locus=Brasy5G249600 ID=Brasy5G249600.1.v1.1 annot-version=v1.1 MSELTSLRERRHGSEFTPCSWLNQSIECQSDSQQCISSGISILLLVSCYLLLPYFLVMFIMDFCRCRPRSSVIITALKTIDGLSDQIVVYLQGVMQSAEFDNHLFAVWAVVLVSLRASLGYLSGYGIPDRERRLTEVANVTKFLAVGILNGTRRLEFTKPLWSLWAILLLRSLYKFFAGQKAIASLWHGRSSEFLPEYMHALPDDSEESSTDNGAQKYLIYGEYRQKMYSGYTLHLETVDERSLITLDKVTGCREPLMSSDSRYKDMTIAFTLSRLLRCRLEDVTLHREKALRTQHLITSEITGGGPDSNAERAFRILELELAFVRDYFYTLYPLVFWQGLFSLCFSLLLSMATFSVAFWLALGIRKVYQPPQETLVLWVHGCNVDAVMTWVFLFFMMFKEVWEMVTYLLSNWTRLLLACKCVQKRRPNALTKNITNSIFKSKIADPWHGRIDQYDFLESYSYKPSFWNLANALSMGKVPEGTDGKKRGQAIKIHESVKTAVLDAVLRIGLDKSQLPGEIPSLTEFTKYEWACLKLHTCSQVIMVWHIATSLCDLEHRKKAQRKTVGEDYHVANSLSRYCAYLQVFQPGLLPDSFAVPEVIFQETVRHARERFTDCKLIGCSYNKFMEAAEGCAERTLNMNIIQKAASLGKDVNKYEDDCVDRRLDMNIIQKAAALCKNLSKYDDDCEDERLSMNIIQQGATLGKDLIKYEDEESRWKILAGVWADLLVHIAPSWNAADHKNNLEAGGEFITLVWALLWHCGIEKSSLWDKSEAPGRNAQVSQENNSTGTSNNQPEEGQQVSEINGQVPPKYSTGTSNSQPMEDECDEDGIVSDQENFTRTGCRNGQTDTLNQTENVTEANKVLSRSRSTH* >Brasy5G443600.1.p pacid=40074683 transcript=Brasy5G443600.1 locus=Brasy5G443600 ID=Brasy5G443600.1.v1.1 annot-version=v1.1 MAAAEAVGGERWVGLAVDFSEGSRAALRWAADNLLRAGDSLLLLHVLKDPDYEQGETLLWEATGSPLIPLSEFSEPAIAKKYGVKPDAETLDMLNTIAKQKEITVVSKVLWGDPREKLCQAIHDIPMSCLVIGSRGLGKLKRVLLGSVSDFVVNNAACPVTVVKPAATTNA* >Brasy5G263200.1.p pacid=40074684 transcript=Brasy5G263200.1 locus=Brasy5G263200 ID=Brasy5G263200.1.v1.1 annot-version=v1.1 MAQYRQSGGFFDSRGGGAAHHPLPEYHRAHPSKPSRIRRPGKPARRRSPAVAAAVAALLLLAGVFLLSRRLSRDPADTSEESGGGEGLPEWNRSKNWKELKFGHGGGGRGARDSRYWDQDDRRRDEDYSEDEKEKISGAGGNAGDAGGSSEKGKGVSSDTGNEEKGLTLETRGGAEKEVPEVAEGGKGGTLYNEGGRKELEQYEAAAIGAAGMRMREVDPDDEYDDGIDAQDDIEDAQLRSSDGGRKLGDGTHESIEDENVALDRHTEAGGGINLDGDAANVDPKKVLGTVNKKRGSKKKSKRKKSGELTKNVSTRRYHGLTCEMKFLNSTAQLVEPAKNEKFASFSLEYVEVEEKPVGSEFWEPRFAGHQSLQEREESYVAQDQQLTCAFVKGPNGTSTGFDISEDDRKYMSKCRIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDDVTLQTLLSEGLKMDNMGFIGIWKIILIKNMPYNDMRRVGKIPKLLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVVQNKKLNKFNHTIIDQQFEFYQADGLTKFNPLDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPKKTFRLNMFKDCERRSIAKLFHHRSEERRSSQQLTR* >Brasy5G263200.2.p pacid=40074685 transcript=Brasy5G263200.2 locus=Brasy5G263200 ID=Brasy5G263200.2.v1.1 annot-version=v1.1 MAQYRQSGGFFDSRGGGAAHHPLPEYHRAHPSKPSRIRRPGKPARRRSPAVAAAVAALLLLAGVFLLSRRLSRDPADTSEESGGGEGLPEWNRSKNWKELKFGHGGGGRGARDSRYWDQDDRRRDEDYSEDEKEKISGAGGNAGDAGGSSEKGKGVSSDTGNEEKGLTLETRGGAEKEVPEVAEGGKGGTLYNEGGRKELEQYEAAAIGAAGMRMREVDPDDEYDDGIDAQDDIEDAQLRSSDGGRKLGDGTHESIEDENVALDRHTEAGGGINLDGDAANVDPKKVLGTVNKKRGSKKKSKRKKSGLTCEMKFLNSTAQLVEPAKNEKFASFSLEYVEVEEKPVGSEFWEPRFAGHQSLQEREESYVAQDQQLTCAFVKGPNGTSTGFDISEDDRKYMSKCRIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDDVTLQTLLSEGLKMDNMGFIGIWKIILIKNMPYNDMRRVGKIPKLLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVVQNKKLNKFNHTIIDQQFEFYQADGLTKFNPLDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPKKTFRLNMFKDCERRSIAKLFHHRSEERRSSQQLTR* >Brasy5G404300.1.p pacid=40074686 transcript=Brasy5G404300.1 locus=Brasy5G404300 ID=Brasy5G404300.1.v1.1 annot-version=v1.1 MRGTKRPLGVVTSWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAALALLIHPSTSHNIANRFSWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV* >Brasy5G376500.1.p pacid=40074687 transcript=Brasy5G376500.1 locus=Brasy5G376500 ID=Brasy5G376500.1.v1.1 annot-version=v1.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMPKTLERYEKCSYSGPDTAVQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGSLGIKELEELEKQLDSSLRHIRSTRTQHMLDQLTDLQRKEQMLCEANRCLRRKLEESSQVHGHMWEHAANLLGYDQRQSPQQQAPHHGGNGFFHPLDAASEPTLQIGFTPEQMSSSCVTAFLPTWLP* >Brasy5G416900.1.p pacid=40074688 transcript=Brasy5G416900.1 locus=Brasy5G416900 ID=Brasy5G416900.1.v1.1 annot-version=v1.1 MESKRVGIVGAGVSGLAACKHALDKGFIPVVFEADDTVGGVWAHTLQSTRLQAPTSSFRFSDLAWPASVTATYPSHHEVMEYLRSYACQFDLLKCIKFNSQVLGIDYLGATEEEIMGWEHWSGNGKAFGAGKDGGWRLTVKDLKIGNVEVFEVDFLILCIGRHSGTPNIPEFPENKGFELFKGKILHSVDYSYMDDVAQFVKGKSVTIIGSGKSAFDIAAEVAKVNGTAQPCTMIYRTKHWLVHKSSIWGINLSYFYLNRISQLLVHKPGEGFLHYILASALAPLRWAITKVIETYFKQSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDRVDEGSIVLKKSKRFSFYSDGIILEDRNECIKSDIIILATGFRGDQKLRDIFMENWCRKIVVGSSDTPVPLYRECIHPRIPQLAIVGYSESLTNIYASERMAHWVIHFLAGGFQLPSIRCMEESVAEWGKYKDIYNGKYFRRSCISTVNIWFNDLLCKDIGCNPRRKKGFLAEWFQPYGPADYAGLC* >Brasy5G122100.1.p pacid=40074689 transcript=Brasy5G122100.1 locus=Brasy5G122100 ID=Brasy5G122100.1.v1.1 annot-version=v1.1 MYSYNTFMNTIYTATTLPSIFMGFAHIYVVTSSTTLIDDKRHGFLGSLSPLKAIFWTGFHVQLCIIVCLRTEESLWGRRETKQASAHVCMYTCIYNQGASGEQAFNAYRWMVMYEVHTLFGCTSENFKSEPLDC* >Brasy5G220600.1.p pacid=40074690 transcript=Brasy5G220600.1 locus=Brasy5G220600 ID=Brasy5G220600.1.v1.1 annot-version=v1.1 MYTKILLATVLLAMAAAEALLPVLGNEAAPAIAGREEAEALLSWRATLSNQSQSFLKSWSNMSSSPCSWHGIKCGMARMITKVSLPGLRLGVGGPAPLDYFSALRTLTSLDLSRNELTGIIPPSIGLLGELRVLLLHGNQIRGSIPPALGNLVHLEKLDLSDNMLSGPIPGSFRNLTKLTTLNLHQNQLSGLIPPELGHLASLEYLELENNTLTGPIPKTLGNLTRLASLYLHYNQLSGPIPQEVAKLVNLGELILGSNNLSGALPSGLCAGGRLQNFTAHDNNLVGPLPTGLLNCKSLFRVRLERNQLEADISEMGVYPDLVYVDVGSNKLFGQLSHHWGDCRKLTMIRVSDNNITGVIPPGIGKLSQLRILDVSSNKLEGRIPLEIGNMTMLFNLSMSDNLLEGSIPQEIGSLNNLEYLDLSSNNLSGPIQGSVQHCLKLRFLKLSYNHFNGSIPIELGMLVNLQELLDLSGNSFTGAIPSLLGGLNMLEALNLSHNSLNGIIPSSFQRMMSLLSMDVSYNKLEGKVPQSRLFDEAPIGWFMHNKQLCGATKGLPPCDLTGSGRQEKKSRASLLAIIPATLAFVLIATLVKLQCKKEKFKAEGANEPRQTKLFSVSNFDGGEVYKQIVDATENFSHAHCIGTGANGSVYKARLQTGEIVAIKRIHMMEDDEQFNREIDALVNIRHRNIVKLLGYCSATHDRFLVYEYMDRGSLAASLKAKKSAVELDWRRRLNIVMDVARALSYMHHDCFALIVHRDITSNNILLDLEFRARVSDFGIAKVLAEDSSNCTMLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGDLLSSLLSSKAKKNTSLKDLLDTRLPLPEAEIATEILKFTMVAVQCLEPNPSRRLSMKHAVSVLLSTAPGLCNSDYLDTEIFIPV* >Brasy5G485900.1.p pacid=40074691 transcript=Brasy5G485900.1 locus=Brasy5G485900 ID=Brasy5G485900.1.v1.1 annot-version=v1.1 MVMASALPLLVVSPRPASVACFLPRPAPLSTAAVQLRKSGSRVAMLQPLRAASCCNPAAADAAAGGVAAARAKGLQLVAWYLLSLDKNPVATKAVTSAALTLAGDLICQLVIDKAPELDLKRTFVFTLLGLVLVGPTLHVWYLYLSKLVTTSGASGAISRLLLDQFIFSPVFIGVFMSLLVTLEGKPSLVVPKLKQEWFSSLIANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSYKAHKEVIAQ* >Brasy5G127100.1.p pacid=40074692 transcript=Brasy5G127100.1 locus=Brasy5G127100 ID=Brasy5G127100.1.v1.1 annot-version=v1.1 MKHASFHQYLLCFSLIIANLATGDDRFVFSGFSQSSLTLDGAAIVTQGGLLDLSNGTTYRKGHAFYPTPLRFRKSPDGIVQSFSIAFVFSIAGTYSGLSDDGMAFFIGPTMNFSQALPGMFYGLINNKTSGSTSNHIFAVELDTYQNPELQDINDNHVGIDINGAPSLNSSTTGFYDDKSSVFKNMKLSSGEEMQVWVDYDQGATQISVTLAPLGVGKPSRPLLSATYNLSTVLKDPAYVGFSATAGPINMRSYVFGWSLGINEPAPSIDISMLPKLPRVVSKARSKLPEIITPIVTAVTILIVGTIIVLLVQKRRRYAEVREDWEVEFGPHRFSYKDLFHATQGFKNKHLLGAGGFGKVYKGVLQSSNLDIAVKRMTHESRQGMKEFISEIVSIGRLQHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLHCGEDKPTLNWLQRFRVIKGIASSLLYLHEKWEKIVIHRDIKASNVLLDNEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPHTDVFAFGMFLLEVTSGQKPVKQNTEEDQVFLVDRVLESWKNGSLTKEVDSRLQGDYDADEACMVLQLGLLCLHPIPSSRPGMREILQFLDGDLPLPELRQTESSMNVIAIMQNNGFSTSVFSYPQLLSSFDAMSDLSGGR* >Brasy5G138400.1.p pacid=40074693 transcript=Brasy5G138400.1 locus=Brasy5G138400 ID=Brasy5G138400.1.v1.1 annot-version=v1.1 MESAAIGGACWTAGGLAARTADCGRRRTRRWRIPPRDGAKGLNGLQKSRSGDGEANANRGLNSSWDFEAFRPSGANSTYGGWQQGRRRCPTEGAKDGGGVMGELRRGRA* >Brasy5G147800.1.p pacid=40074694 transcript=Brasy5G147800.1 locus=Brasy5G147800 ID=Brasy5G147800.1.v1.1 annot-version=v1.1 MATKHVDSFDEHDVNPVPAPCWSSWSSLSSSSLPAQPPSWPPGGALRGGGAAGLLPRLHPPPLPHRRPGNNLPTRPSPYLELFSTFRQRRMRKGPRSCARTTTLFG* >Brasy5G200500.1.p pacid=40074695 transcript=Brasy5G200500.1 locus=Brasy5G200500 ID=Brasy5G200500.1.v1.1 annot-version=v1.1 MRIQGAGCYLAGWLVSAMALWTGLGQAATAAQLVGADIGGLISMIMQAALTARQNRRECEQLARRVLMIAELLPHLQLQDPEAVRPLAGLGDTLRDAHELVVSCQGRSVAYQLVMSGRQADRFREVQSRIDSYLILFPMVSYIGITRQLNRIYNVLVPDHATHGEPSPLFQSTLVQKSAQVAQEVLPHETEEFRLPEIVAATNNFALDNKIGEGSSAVVYKGRLQDGQEVAVKRGKHQMDRMEEVFHTELTILSRIRHKHIIHLLGWCVQKEKDKHLLSFQRKKQEQEHLIVYEYMENGTLHDHLHRQPSLPVTLSWKTRVDVLLGASRAIEHLHCHAMPPVIHRDIKSANILLDSSWVPCLTDFGLSVTWHANEDEDFPVVGTAGYIDPQYALSGHLEPASDIYGLGFVMLEVLTGKKAITEGWMVLVSFALPIIEAGDLGELLDRRPVPEPTPQQLKALEHVAQTAACCMQMRGEDRPAISDVVASLEKALEYITISTTLAGVVSLPVHFHGSRPCPRLCREPAESRCDTQEASDGVLSDSDIPQPR* >Brasy5G200500.2.p pacid=40074696 transcript=Brasy5G200500.2 locus=Brasy5G200500 ID=Brasy5G200500.2.v1.1 annot-version=v1.1 MRIQGCYLAGWLVSAMALWTGLGQAATAAQLVGADIGGLISMIMQAALTARQNRRECEQLARRVLMIAELLPHLQLQDPEAVRPLAGLGDTLRDAHELVVSCQGRSVAYQLVMSGRQADRFREVQSRIDSYLILFPMVSYIGITRQLNRIYNVLVPDHATHGEPSPLFQSTLVQKSAQVAQEVLPHETEEFRLPEIVAATNNFALDNKIGEGSSAVVYKGRLQDGQEVAVKRGKHQMDRMEEVFHTELTILSRIRHKHIIHLLGWCVQKEKDKHLLSFQRKKQEQEHLIVYEYMENGTLHDHLHRQPSLPVTLSWKTRVDVLLGASRAIEHLHCHAMPPVIHRDIKSANILLDSSWVPCLTDFGLSVTWHANEDEDFPVVGTAGYIDPQYALSGHLEPASDIYGLGFVMLEVLTGKKAITEGWMVLVSFALPIIEAGDLGELLDRRPVPEPTPQQLKALEHVAQTAACCMQMRGEDRPAISDVVASLEKALEYITISTTLAGVVSLPVHFHGSRPCPRLCREPAESRCDTQEASDGVLSDSDIPQPR* >Brasy5G137100.1.p pacid=40074697 transcript=Brasy5G137100.1 locus=Brasy5G137100 ID=Brasy5G137100.1.v1.1 annot-version=v1.1 MVAGDTPLARQAVPLFLSEIAPTRIRGGLSILFQLNITFGIFFASLVNYSTNKIHPWGWRLSLSLGGIPAVVLTLGALFVVDTPNSLIERGRLEEGKAVLKNVRGTNNVEPEFNKIVEASRVAREVKHPFRSLLQRHNRPLIATTVLLQMFQQLTGMSAIVFYAPVLFTTLGFKNNASFYSAAVTGAVNVLSTLVSVYTVDWVGRRMLLLDAGVQMFLSLAAMAVVMKIKVTDRSDNLGHDWAILVVVIICNFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVSVCVNFLFSFLFAQVFLSMLCHLGYFIFVFFSAWVIIMSLFVLFFLPETTKVPIDEMAKRVWKQHWFWKRFFSDDGNDRRVNTTNVYQSSPSESVSLGR* >Brasy5G083700.1.p pacid=40074698 transcript=Brasy5G083700.1 locus=Brasy5G083700 ID=Brasy5G083700.1.v1.1 annot-version=v1.1 MARGGGSRKLTAAATFSLAVLVLLFFPGAGAAVPSIDATLTRHLPLPCGLLRGPESVAFDGKGRGPYSGVSDGRILKWTNAGWTTYAYAPGYSSEACTATARRPETVTESSCGRPLGLRLHLKSGQLYIADAYKGLMRVGPGGGEATALVNEVDGAPLRFTNGVDIDQVTGEVYFTDSSMTYQRSQHEMVTRTGDSTGRLMRYDPRTGKVVVLQAGITYPNGLAISHLVISSTGPCKLLRYWIKGPKAGTIEVLVDLPGYPDNVRPDGRGGYWVALHREKNELPFGIDSHLLAVRIGADGKILEEMRGPKSVRPTEIMERKGGRLFMGSVELPYVYVIKRK* >Brasy5G432400.1.p pacid=40074699 transcript=Brasy5G432400.1 locus=Brasy5G432400 ID=Brasy5G432400.1.v1.1 annot-version=v1.1 MGIWCCFQKKQHQDCSGGGGAPAVAPAEPPPCHAPATVSPTKRQEPREQDGGGLATLVNEMVAESVSYRHNKGVADEFLGMNKDAVTARAFTYGELREATGGFRPESMLGEGGFGPVYRGRLRIPHGGGGDTKPVMTDVAVKQLDRNGLQGTREFLVEVLMLSLLKHPHLVTLIGYCTDADHRMLVYEYMPHGCLEDHLLDLPPSSPGLDWKTRMRIAQGAARGLEYLHCAADRPGPPVIYRDFKASNILLDGAFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRVIDCARPRDEQNLVQWAGPRFKNKRRFREMADPLLRDAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTGASQAPAPPPPPAGDDDGAAAGAGAAEVISGN* >Brasy5G176300.1.p pacid=40074700 transcript=Brasy5G176300.1 locus=Brasy5G176300 ID=Brasy5G176300.1.v1.1 annot-version=v1.1 MELKELWKQRGEWEIRVLVMSSLSLQIFLLFTGGLRKRKANWWLRTPLWLAYLLADYIAIYSLGHLSQNQKLCDNSLNNEMHLLVFWAPFLILHLGGQDTVTAFAVEDNELWLRHFLSLMSQVGLAVYVYWKSSPGIRLMRPAIIMFVAGVLKYGERTLALKAASMGSLRSSMLTLPDPGPNYAKFVEECQSRRDAGLDATIVIVPERPPGDDVHVEDKPEDYGSLVYNAHRLFQTFRRLFVDLMLSFQDRVDSLSFFRKLEMDQAFKVVEIELVLMYECLHSKALVIHGWPGRSVRLFTLAAPVVSLVLFTRVVGDMQGYKRVDIIISYVLLAGAIFLEIYAILLIIISPWMYADLRASEKLQPAAKIVFWLIESFQPEKKPRWSNKMSQYNLFSYCLKDESRWYKRCMERLEWKWNFRVKTMWDSWRYTKSIDVSCQLKGLIFEQLKSKANSTKDPKSYRKLGEHRGQWALQRKGLYQELGWSVDCEFDESILLWHIATDLCFYAQYATDKDDHLATMSREISDYMMFVMVMRPFMMTASIGQIRFGDTCAETKNFFRRDNDIKDEKGCEIKLTDVDTSIAEPRDVKGDRSKSVLFQACKLAKQLKELEGVTQEKRWRIISSVWVEMLCYASGKCSGSSHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLIIDI* >Brasy5G306100.1.p pacid=40074701 transcript=Brasy5G306100.1 locus=Brasy5G306100 ID=Brasy5G306100.1.v1.1 annot-version=v1.1 MASSGSNVLPHIAIFPFMAKGHTIPLIQFVHHLRRRRLATVTFFTTPGNAAFVREGLSVSGAADNTAVVVELVFPTDAPDIPRGVESAEGVTSMASFVSFVDAVSLLRPQLEASLAAMRPPASLLIADAFLYWTNASAAALGVPKVSFFGISAFAQVMAELYYRHDPCATVLRRGDVDGDGNPATFTVPEFPHIKLTFEDLMAPYGDDPSSAARMMELDGKLGKAIYGSQGLIINTFHGLEGPYMEFWNRHIGPTGWAVGPLCLSQPAADAPRPSWLEWLDEKAASGRAVLYVALGTLAAIPEAQLREVANGLERAGVDFLWAVRPENAELGLGFEERTMGRGLVVRDWVDQPEILRHRSVKGFLSHCGWNSALESVTAGVPLAVWPMQADQAFNARFVVEELKIAVRVNTSDKTMRGLVTSQEISKVVTELMLGEVGAEAGKNVAGLCVLAKEAVAEGGSSWKIVEEMIGGLCATKTETVFKESQEDPTDV* >Brasy5G369700.1.p pacid=40074702 transcript=Brasy5G369700.1 locus=Brasy5G369700 ID=Brasy5G369700.1.v1.1 annot-version=v1.1 MSKRLMARMLEMFRSRTQIGVDKAGNHYYSRVEEVDGAMKEKRWVEFKGDRDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNVELLKKKEEEERRAGIRPVKTIGKTESPNLRSFTQQFPGTSSDQKKGSEKVSKPDGPTDGGDAMGPNDRSSEPKGSGATFKPGTWQPPS* >Brasy5G369700.2.p pacid=40074703 transcript=Brasy5G369700.2 locus=Brasy5G369700 ID=Brasy5G369700.2.v1.1 annot-version=v1.1 MSKRLMARMLEMFRSRTQIGVDKAGNHYYSRVEEVDGAMKEKRWVEFKGDRDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNVELLKKKEEEERRAGIRPVKTIGKTESPNLRSFTQQFPGTSSDQKKGSEKVSKPDGPTDGGDAMGPNDRSSEPKGSGATFKPGTWQPPS* >Brasy5G369700.3.p pacid=40074704 transcript=Brasy5G369700.3 locus=Brasy5G369700 ID=Brasy5G369700.3.v1.1 annot-version=v1.1 MSKRLMARMLEMFRSRTQIGVDKAGNHYYSRVEEVDGAMKEKRWVEFKGDRDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNVERKTESPNLRSFTQQFPGTSSDQKKGSEKVSKPDGPTDGGDAMGPNDRSSEPKGSGATFKPGTWQPPS* >Brasy5G112400.1.p pacid=40074705 transcript=Brasy5G112400.1 locus=Brasy5G112400 ID=Brasy5G112400.1.v1.1 annot-version=v1.1 MECSSDDGSEISDTEIDEYEGKIYASLMSGDLKVNNGESYSCPFCSGKKKKNYSLHNLLQHASGVGAAPNRPEKEKATHRALAKHLKNGLAKSPEQQLQLVDVEPQPLPSRYEKFVWPWVGVVANVPTEWKDGRQIGESGNRLKELLSRFCPLKVIPLWNFKGHTGNAIIEFGKDWNGFRNALAFGNYFEAEGYGKRDWKQKQNQGSNLFGWVARAEDHSSPGPIGDHLRKNGDLKTINDLENEGTRKNDELVANLANQIEAKNKYLEDLEFRCNETTASLEKMMRQRELLLQAYNEEIRKMQQLAHRHSQKIIDENQNLRSELESKMSELNARKKQLDDLAAKSYYDRRILEQEKQKNAIKSNHLKLATVEQQRAGEDVLKLVGEQKRAKEAALDNILKLEQRLEAKQTLELEIQQLKGKLEVMKHMPGHEDSESKNKIDELSEELQDKIDELEAMESLNQTLVIKESKSNIEMQEARKELENGLVYLSGGQAHIGIKRMGELDLKAFSNACRHKSSKAEAEVTAAILCSKWEAEIRNPEWHPFRAVMVDGKETEIIDADDAKLQELKGEHGEEVYALVTKALHEINEYNSSTRYPIGELWNFREERKASLKEAVQFVMRQWRMNKKKR* >Brasy5G444700.1.p pacid=40074706 transcript=Brasy5G444700.1 locus=Brasy5G444700 ID=Brasy5G444700.1.v1.1 annot-version=v1.1 MPPPLTSVSAGTTNPLPRPPSLVPSSSFPQSTPPSRRQLLLLSTFPLLLIPPTAAASPAAAYDPTTESERAASAALSLRVGEAVRLLDLGRDLQARGDFPSALASFTAVVRGYKDLALSEYARVGRALVLYEIGDRDESIAEMEDVSVALKGYPEIHAALAAALYADKHAPLLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVSSLRNFITLS* >Brasy5G173400.1.p pacid=40074707 transcript=Brasy5G173400.1 locus=Brasy5G173400 ID=Brasy5G173400.1.v1.1 annot-version=v1.1 MAEKESTLIIELASLECNKCYTKIQRVVCKFPDREKISNVNLDTQKNTVTMSGSFDTTALSSKLWCKARKAIKSIKVAAEEKKPDDQEPEKKKKNKKKKKDKKDQKPEPEPEPEPTTVNLQLMQMCSLCYPWPCNEPSHYWGPGHLQHPQYYSFPTPCRPAPAAPRPKRPPSAHCGCGGQPYCGGACAGSGWPPAMQQQQPAAMACRGGGCRGCNGCRIVQEGRLIYEEYPPSGANAASCTVM* >Brasy5G250100.1.p pacid=40074708 transcript=Brasy5G250100.1 locus=Brasy5G250100 ID=Brasy5G250100.1.v1.1 annot-version=v1.1 MGDLVIGLAKSVVEGTLSKAQSAIEEHGKLRQQAQRDLVFITFEFEMMHAFLSVADEESAARNTVVRTWVRHVRELAYDVEDCIELVVHLDNKSDWWRRLLPPCIAAAALPLDQAVAEIEQLKARVVDVSSSYMRYNLVSDFGSKSISVAPSAAVGATAPNLVMKAKENVEGLREFRDLARLINMKKHDLQVISVWGTGSHLGTVSIIRKAYNDPEICPNFACRAWVKLVHPFNPQEFIQCLMAQFYANSCEKQGAIVGFQVLQKMEATQKNLLEEFAKRVNDNRYLVVLEGLSSMVEWDAIRTFLPDRKNGSWIIASTEQFEMASLCVGHPYKVLELKQFSAEHSVCAFLTEASQGDGDKGKEISEEHEICSFDKILNGNKDAAIDWMENSLFVGREPEIDKLCQYAAMARFNPYQVISVWGIAGVGKSALVKKFYYDRVLDSSHFEKFSWVDVCHPLNLTDFSRSLLLDLYSEEDPIKECRQLLEHSNCLVVIDNLQSTEEWDLIQAALVSRSSKSLIIVITTEASIATYCAGRGGLVFNVKCLEPEAAFDLLKNEVHKKSSWQPLNDLKEDAELSELIFKCGGIPKVIVGIARLLATKTVTLMDTISSLNRRFMYELETNPEFDLHGLFGWMHSFFRSCPDPLKPCIFYLSVFPRDHAVQRRRLVRRWIAEGYPRDTKDISADESGEKFFSKLIDMSVIQQPPQTVATVFDETRMVSCQVKGFICEYIISQKTEENLDFDLGGRCTMTTQRTGRHLAIWDSWDRDKIVFESIDFSRLRSMTVYGKWKPFLVSKKMKLLRVLDLEDASGVTDDDLEQMVKLLPRLKFLSLRGCIGVSHLPSSLGDLRQLQTLDIRHSSIVNLPENITKLQKLQYIRGGISGPALVSQLSMFGRQRRLVDIVVPRGIMELTVLHTLGVVNVSASGGKAILEELEQLTQLRKLGVSGINKRNRLKFFSAISGHCHLESLSVRPDKDSEGFVWTASSCPRRTYRALNCMGF* >Brasy5G033500.1.p pacid=40074709 transcript=Brasy5G033500.1 locus=Brasy5G033500 ID=Brasy5G033500.1.v1.1 annot-version=v1.1 MAAAGKMTGEKGGCGRCREWQEHYYWEHMDVSKIRFSKIMAGDFQQSISIPEKFSNNFSGQIDKGCTLKAPSGETWRVGIEKIADKLFFVSGWDAFAKAHELQEHDILFFKCSGSGSFDVLIFDASGCEKVSCFFTDKKGTNMHKHFDHIVSQQAEEHCLLSDSGDANVPPSPLVGSPHKACASNKPSGKTKTREEIESPNNSDYRVKHELTEEEDDSDDEDTDSNKYYSRFANYLTLGEREEIFGLASIQPGNPIFVVVLQKSHVRHRNNFLIISSKFAADHLEGRSQAMQLLRPNRKEKWYVNYYHGTSTRGFNCQRWVQFVCDNKLREGYICIFELMKGARKATMMVHVIRKVDDRFVLLY* >Brasy5G033500.2.p pacid=40074710 transcript=Brasy5G033500.2 locus=Brasy5G033500 ID=Brasy5G033500.2.v1.1 annot-version=v1.1 MAAAGKMTGEKGGCGRCREWQEHYYWEHMDVSKIRFSKIMAGDFQQSISIPEKFSNNFSGQIDKGCTLKAPSGETWRVGIEKIADKLFFVSGWDAFAKAHELQEHDILFFKCSGSGSFDVLIFDASGCEKVSCFFTDKKGTNMHKHFDHIVSQQAEEHCLLSDSGDANVPPSPLVGSPHKACASNKPSGKTKTREEIESPNNSDYRVKHELTEEEDDSDDEDTDSNKYYSRFANYLTLGEREEIFGLASIQPGNPIFVVVLQKSHVRHRNNFLIISSKFAADHLEGRSQAMQLLRPNRKEKWYVNYYHGTSTRGFNCQRWVQFVCDNKLREGYICIFELMKGARKATMMVHVIRKVDDRFVLLY* >Brasy5G257000.1.p pacid=40074711 transcript=Brasy5G257000.1 locus=Brasy5G257000 ID=Brasy5G257000.1.v1.1 annot-version=v1.1 MASAAGKPNPAAGGDTKGKKRKFLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREAISLLDTFYEDLVDGNVSDVKSKSIPEKPMNKKITFDDSDSSEDEDEDHSVEEAGNGNNAEEVEAKPSEQQQEVLDTSETACKGNEEVETADGSAPKKLRVEDPPVSELTGPKESADKPTETTGKPKESTDKAAETIDKPKESTDKPKDSTAKPKASNDRPIDDLIDEDLKQLGDRKKRLFASLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRFLPAEVVCYASEEEITRAICPLVEKYFPKESPSGLKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSNPDKTIVVQIAKTICMIGVVERYKELSKFNLRQLTSPPEK* >Brasy5G299000.1.p pacid=40074712 transcript=Brasy5G299000.1 locus=Brasy5G299000 ID=Brasy5G299000.1.v1.1 annot-version=v1.1 MQVKEALLQFGEFQIGNGETVRFGDNKWCGFRFWDDKWCQEMAICDKFPQQFNIVGNKHVTVASVLGATQPHVEFRRTLLDHHIQAWNEIIGNVAIVA* >Brasy5G184300.1.p pacid=40074713 transcript=Brasy5G184300.1 locus=Brasy5G184300 ID=Brasy5G184300.1.v1.1 annot-version=v1.1 MTTHCGDGHKSSSKGPNAVNIAGRIAAMALAVASAALMATATQCTVLLPNGSPAHTVTYSDFSPFVYLVAANSIAALMVGAAIFLSVWKKGKGKPSRILLPFLDAAAPALLYSATGAAFAAGEYMSYCSAQGRRVSVCDVAGAGEGAVRGTTRSFCSQVRMAIYISLAAAAAASAAEMVKNVPLSLGDWSDGSDSESDAGGCGHGCHSIN* >Brasy5G518600.1.p pacid=40074714 transcript=Brasy5G518600.1 locus=Brasy5G518600 ID=Brasy5G518600.1.v1.1 annot-version=v1.1 MTGPVPAPRSTHGADPNYPPNAYGHHPYTYAAAACHYPDPAAAAVDPYGYSNPNAAPYAQYNYYGAGAGAPQPPPVSAAAASAAAGREAVKHFGFDPQRYAQLHKTSESTILFLITAAGSLIASKVRAVLCCCLCISETKLTKDAAEEALSIRPRLMRRPADISTRCGVSSFHCRASITVCMVNVLPTFAKHIVTPVKTTLEGKPKKNSMF* >Brasy5G427300.1.p pacid=40074715 transcript=Brasy5G427300.1 locus=Brasy5G427300 ID=Brasy5G427300.1.v1.1 annot-version=v1.1 MLTTATPLLLLQTPRPAMLVPVSAAPPPISSASALAPPLRGIVSSPLAARPISRRAAATGAGPSYSALRSCSSLASPPRARAVPEDDASPLLAVPVPDDRGMGQDGDAGKQAGAACPTTAVVAVAPPRPPERDFAGTPYVPVYVMLPLGVVNVSGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAQRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVSIPLPEWVIEIGRSNPDIYFTDREGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVAFDEYFEDGIISEIEVGLGACGELRYPSYAANHGWKYPGIGEFQCYDRYLQKNLRKAAEARGHTIWARSPDNAGHYNSEPNNTGFFCDGGDYDSYYGRFFLNWYSQVLLDHADRVLMLARLAFEGSAIAVKVSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIVTVLKKYGAALNFTCVELRTMAQHEVFPEALADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKTLENAKPRNDPDGRHLFGFTYLRLCSVLFEKPNFMEFERFVKRMHGEAVLDLQA* >Brasy5G181700.1.p pacid=40074716 transcript=Brasy5G181700.1 locus=Brasy5G181700 ID=Brasy5G181700.1.v1.1 annot-version=v1.1 MAPVEPLSASPSPHHPDLERRQRQSLFPAAGASPPGQVPRVGHGLGPPRLPQRGKRLVLRSWSSPDPFRGSSLGFIYVSPEILSSSGAWQVKVLDEASKNTVEENQSLLTSVYELESRLQAVEAKIFLSEVSITKEVPENKVMDHQTDLTDSLLHNQTTDFTNLISNKGNKLIANRGLNSSVTLHRITIVISTTVLALPILVIIQTKLRRNFQKQPAVVLAGALFMRMRTKDLINQE* >Brasy5G497700.1.p pacid=40074717 transcript=Brasy5G497700.1 locus=Brasy5G497700 ID=Brasy5G497700.1.v1.1 annot-version=v1.1 MSPLIKPLLSACALVLTLLFLFPSASSPLLLLLTPPAPAATARGAKPQTKKSTAALRSARSPCAPRRLPLGVSRSETHMGSLFFPSSSSSPSSYSHASDSTFLLDHHQYHHQHAAMVRKRPAPDMDNLPPPRRHVTGDLSDVLAAAPSAAQQQQQQQQQQQQLPTAQLPALPTQLLPPPTPFHHHHQQAAEQQQHHMDVAAAGGGGGVAATTAFVDGIIRDIIGSCHAGHGGGGVSVAQLIHNVREIILPCNPALASLLELRLRSLLSPAASDLQSQPPPPHPALQPLPVSPPPVALPPPPPDKLISSPPPQPESPKQPPPPTPEQTAAAAQAAAKSRRETQLRKQRDEEGLHLLTLLLQCAESVNADNLDDAQSALLEIAELATPFGTSTQRVAAYFAEAVSARLVTSCLGLYAPLPPHSAAASITGGRKIAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALEATGKRLSDFADTLGLPFEFCAVADKAGNLDPEKLLGGGSGGGGMAARRREAVAVHWLHHSLYDVTGNDANTLGLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARTGDAKFVGSWRDKLARSGFGPASLAGSAAAQAALLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPMVQTTPSSSAR* >Brasy5G463800.1.p pacid=40074718 transcript=Brasy5G463800.1 locus=Brasy5G463800 ID=Brasy5G463800.1.v1.1 annot-version=v1.1 MESSYCKDCNLSTVIVFDRASGDTICSECGLVLDSHYIEEKVDRHTFSHFASKDDNYDPFYAGDSTSNLESKHDSTILWPEVFDHHRSFCGNLQKSVDFYSHQSDNAFNYIADMADRLGIVDSIKTQAKNIYKKAKDHKLFNTRKKHSVCAACLYIACRQANKVRTIKEICIVTDGVSKKEVSRAKDLLVQHIEGNKVKCMEVNSILPRDLVRRFCSTLGMTIQAIQAAEEAANRVERLDIRRNAISIAGTIIYLISESSTEPRDKVTIKDICAVVGSTEITIRCCHKELCCYASWLLETYLTQTVHEN* >Brasy5G265500.1.p pacid=40074719 transcript=Brasy5G265500.1 locus=Brasy5G265500 ID=Brasy5G265500.1.v1.1 annot-version=v1.1 MDDGDLILIESLKDDNIEEILLRLPSPASLGLAARASIRWRRIASGPGFLRRFCARHGSSPYSFLGVFATANGDGLPIFHLAGPARYDPGLVAVARSSDFLLACLEDGPDWRLLDCRGGRLLLSSADDDTSLSVYDPVSLRRTTIAVPEERSSHFCLLDDNDDPASPSFRVVCLQGIVRRISWVRAAVYDSGDSQWRFHPWAPADSIMPPRETTTTDRPKTTMCAAGLVFWTYGRDGDRALLVLDTSTMEFFKMHLPGGLDRQAAGVLLLLRPREQVWLLQLKEEDDVNGGGKKYTWKFDKEAPLREVLRSPHCLFELHHVHVGKVAAGLVLVAAGHRAYGLHHFAIDLKSLSFKAKFPGDIGLQVYPFQLPWIPAAAARRPMPEMLKNDKMHLPPVGIAREVSDIN* >Brasy5G265500.2.p pacid=40074720 transcript=Brasy5G265500.2 locus=Brasy5G265500 ID=Brasy5G265500.2.v1.1 annot-version=v1.1 MDDGDLILIESLKDDNIEEILLRLPSPASLGLAARASIRWRRIASGPGFLRRFCARHGSSPYSFLGVFATANGDGLPIFHLAGPARYDPGLVAVARSSDFLLACLEDGPDWRLLDCRGGRLLLSSADDDTSLSVYDPVSLRRTTIAVPEERSSHFCLLDDNDDPASPSFRVVCLQGIVRRISWVRAAVYDSGDSQWRFHPWAPADSIMPPRETTTTDRPKTTMCAAGLVFWTYGRDGDRALLVLDTSTMEFFKMHLPGGLDRQAAGVLLLLRPREQVWLLQLKEEDDVNGGGKKYTWKFDKEAPLREVLRSPHCLFELHHVHVGKVAAGLVLVAAGHRAYGLHHFAIDLKSLSFKAKFPGDIGLQVYPFQLPWIPAAAARRPMPEVDTYWQKNTSLVHVNFPIN* >Brasy5G148100.1.p pacid=40074721 transcript=Brasy5G148100.1 locus=Brasy5G148100 ID=Brasy5G148100.1.v1.1 annot-version=v1.1 MSSAAAQEPATAGTNGVKPQHKGPHRRPPRPGYLKLLYHKAISNALYILLAPILSFFSLRLSRLALTDLAAARGYLLADSTLPLALSLLSVAVALGAAYLSRRPRPVYLLDFACYKPGPSHTVTRETFMAQSAAAGVFTDDNLAFQRKILERSGLGQGTYFPEAVLNSPPNPCMAEARREAEAVMFGAIDALLEKTGVRARDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNDRSMLMSNCLFRMGGAAILLSNRRRDRRVSKYQLVHTVRTHHGADDRAYRCVFQEEDKAGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQVLFLASLIGKKIFGLKIRPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSEWHMEPSRMTLNRWGNTSSSSLWYELAYTEAKGRVRRGHRAWQIAFGSGFKCNSAVWRALRDVVPEQEAGSNPWVEEIDRFPVEVPKVESVLVSSS* >Brasy5G429900.1.p pacid=40074722 transcript=Brasy5G429900.1 locus=Brasy5G429900 ID=Brasy5G429900.1.v1.1 annot-version=v1.1 MPHYMRSLLCALAEARYLNRTLVLDLSLCLAASYTEGMPEEGKHLGFYVDIEHLQSVVGIVEERQFWADWDRWGAQGQLGLRLIEDTRVVSTKFSKSKDTLIIRKFGDVEPGNYWYHVCDGEAESVLTPPHQAIRWAPSLMSIVDAIISSMQEDFDSVHVAAGSGEDLRQKIEEGVDATRPVYVAGEGINMVLVEELKAKYNNLHYLNEFEGLWARDSKWFLEMKRLNRGVPVEFDGYMRELVDREVFLKGKKRVEVLR* >Brasy5G444200.1.p pacid=40074723 transcript=Brasy5G444200.1 locus=Brasy5G444200 ID=Brasy5G444200.1.v1.1 annot-version=v1.1 MGSALLSFPSSSSSSLFLTKQIPAAKGRAAAAVRCSSGPTLSGAHEEESERVALVGRRHALASTAAACGVSVLGFSGDGLAVVKQGLLAGRIPGLSEPDENGWRTYRRPDEKSGGHGVGWSPIIPYSFKVPGGWEETPVSIADLGGTEIDLRFGNPKEGRLSVIVAPTARFADNLDDATIEKIGSPAKVINAFGPEVIGENVEGKVLSTATEEYSGRTYYQFELEPPHIFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV* >Brasy5G397200.1.p pacid=40074724 transcript=Brasy5G397200.1 locus=Brasy5G397200 ID=Brasy5G397200.1.v1.1 annot-version=v1.1 MLLLLHFEWQLSPVHMWDNACMFYSVLYCTTCAVAHVRLSCCTLQIAFPDFSNLYTCLVVHLLFLQRQSIILPIDDTAKYYSRCTVVWLSLINEDILLPAFVNSAWGAVTSAS* >Brasy5G446700.1.p pacid=40074725 transcript=Brasy5G446700.1 locus=Brasy5G446700 ID=Brasy5G446700.1.v1.1 annot-version=v1.1 MGEEFTFPSCVQPEQRGGASKSLDFPQFASPPPWFFVSVPGADEDRHRRCFSAVEKATKVANKDDGGYYIHGGGGGGSERFAVAEEQHKMDMLWEDFNEELARAPPPCPLSKEWASEAWLPGDETRAATRLPVVVPGPGGVVRRRRLSLLVMLKLLRKLFLARKSTSRKMQPT* >Brasy5G244800.1.p pacid=40074726 transcript=Brasy5G244800.1 locus=Brasy5G244800 ID=Brasy5G244800.1.v1.1 annot-version=v1.1 MASRIPGCPPATAAASPHLPLRRLRPSTHVRSAASTVGGGSSNRFFPRPLFFLSPAASNRDTRSSGSTRLHVMAAHSSLPTKPPQPHATGVKQALLSLSDKTDLAQLGNGLQSLGFSIISTGGTASSLEAAGVNVTKVEQITHFLEMLDGRVKTLHPSIHGGILARRDQDHHL* >Brasy5G469800.1.p pacid=40074727 transcript=Brasy5G469800.1 locus=Brasy5G469800 ID=Brasy5G469800.1.v1.1 annot-version=v1.1 MEESPRADPDWSSLPADLLANVLGELEFPDLFQSAVVCTTWCAAARAIRRLGVYKRAQTPCLLYTTAAGGTRTAELFSLADKNTYKMRLPDPPIGERNIVGSSHGWLVTADARSELLLLNPATGEQLALPPVDTIEQVNPVFNQAWELERYDLYFYDSTPRRETEEPHDHAVDELRDALYLKVVLSCDPSQGDCIAMMIHNPYRQLSFARVGDNEWHWVTSSVQYSEYSDCIFHESAFYAMNLLGGIHRYTIEGSCATCDVIFQDTSSYIAHHTYISRTSSGEVLQIWRLTNCSRGELDDLETTGIEIYKVDFGKQEIVDMHTLGDDALFIGHNYTCCISTKDHRMLLPNHVYFTDDEEYWLYDGKGKRRDVGIYSLEDKHANDVVSPQHWLNWPIPVWITPCFTKIRK* >Brasy5G433300.1.p pacid=40074728 transcript=Brasy5G433300.1 locus=Brasy5G433300 ID=Brasy5G433300.1.v1.1 annot-version=v1.1 MGGVTSSVAAKMAFFPPTPPSYALVEDPAAGVTTLAGQPHRENVEVLRLPTRRGNTVAALYVRHPDAASTVLYSHGNAADLGHLYQLFLHLSFSLRVNVLGYDYSGYGQSSGKPSEQNTYADIEAAYKCLIENFDAKEEEIILYGQSVGSGPTVDLASRLKRLRAVVLHSPILSGMRVMYPVKRTYWFDIYKNIDKIPHVTCPVLIIHGTADEVVNWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLRHLKKFIHRVQKSPSHRHAWRESVDRMEPSRKSLDYFEPSRKSTDRREKSRATRDRSITDHRYSNVEKTDNSKTPFEHFEKSRRSVDIFERPKRTVEQLDCGRKSVDRLDRVWAS* >Brasy5G263700.1.p pacid=40074729 transcript=Brasy5G263700.1 locus=Brasy5G263700 ID=Brasy5G263700.1.v1.1 annot-version=v1.1 MAARNPALLAGAIPEQAAPTAAVAALDPAAPPALTRPRKPKKEMTPEATAIETQKRGQRRVRKLARDAEQAATKERELEEERERCLQEAALAQGRQAEAIRTILIFGKLAQGALPPQSLPAMVAAASTESSVVSRPPPPKPTTPASRPSSESPDTASTPASWAPSAAAGDALDLNASPATTPSLPTKVSRPFPASSFDRGRNLFDDMSVDPADPNYYNEPSQFLDDLISQEAPVFEEEVGEQWGDETQEGVSIDTEPLYTDAGAGTDPDSDASRCQSKGESKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLWPPYSFISDRGEVSLQKRWGLIQSECNKFAGAQDHVKARLVSGVGVGDMEAPKWQDFYLANKKSPGDGKNCDSIVINLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLKKMWSEKDVVKEKMIQLKEEQMKEFIDVQKRKLAIEETNAAATRTAAAAAMRRQRS* >Brasy5G335400.1.p pacid=40074730 transcript=Brasy5G335400.1 locus=Brasy5G335400 ID=Brasy5G335400.1.v1.1 annot-version=v1.1 MASIKAIAFVAVIVSVASLATVGESRSARKDLGINLGGLGVGIGIGLGAGGSASGSGSGSGSGSGSGSGSGSGSGSGGLGLGLGVGVGIGLGGGGSSSGSGSASASGSGSSSGSSSGSASASGSGSGSASVSGGGVGLGLGAGLGIGLGGGASSASSGSGSASASGSGSGSSSSAGSSAGSTAGSSTGSNAGSFAGSAAASGSSVGSSAESSAGSHARSVQGGH* >Brasy5G098300.1.p pacid=40074731 transcript=Brasy5G098300.1 locus=Brasy5G098300 ID=Brasy5G098300.1.v1.1 annot-version=v1.1 MAPALLPLSPWPPYPKLPPRHHSPSSARPHCRCGASRRDFAIHTAVAAAASAAAAMSPASAASAEEAPTSVAPSPTPSPPKGAGPVLGGIANTKSWSQYYGSGFSIRVPPSFDDVLEPEDFSAAMTYYGDKAKIRAYAARFASPDRSELVSVVIKPSNQLKITFLEAKDISELGTLKEASKLFVPGGAKIHSARTIKVKDQEDIRTYYFYEFGFDKQHVALMATVDSGKTYIAAATAPETRWEMDGVKLRSAAVSMSLS* >Brasy5G098300.2.p pacid=40074732 transcript=Brasy5G098300.2 locus=Brasy5G098300 ID=Brasy5G098300.2.v1.1 annot-version=v1.1 MAPALLPLSPWPPYPKLPPRHHSPSSARPHCRCGASRRDFAIHTAVAAAASAAAAMSPASAASAEEAPTSVAPSPTPSPPKGAGPVLGGIANTKSWSQYYGSGFSIRVPPSFDDVLEPEDFSAAMTYYGDKAKIRAYAARFASPDRSELVSVVIKPSNQLKITFLEAKDISELGTLKEASKLFVPGGAKIHSARTIKVKDQEDIRTYYFYEFGFDKQHVALMATVDSGKTYIAAATAPETRWEMDGVKLRSAAVSMSLS* >Brasy5G098300.3.p pacid=40074733 transcript=Brasy5G098300.3 locus=Brasy5G098300 ID=Brasy5G098300.3.v1.1 annot-version=v1.1 MAPALLPLSPWPPYPKLPPRHHSPSSARPHCRCGASRRDFAIHTAVAAAASAAAAMSPASAASAEEAPTSVAPSPTPSPPKGAGPVLGGIANTKSWSQYYGSGFSIRVPPSFDDVLEPEDFSAAMTYYGDKAKIRAYAARFASPDRSELVSVVIKPSNQLKITFLEAKDISELGTLKEASKLFVPGHITSMNLVLTNNMLL* >Brasy5G045600.1.p pacid=40074734 transcript=Brasy5G045600.1 locus=Brasy5G045600 ID=Brasy5G045600.1.v1.1 annot-version=v1.1 MLLESPRRPVVVFDSDRRSRRSTKLLTGVRRVVVAEKKGCGCAAADPPPFLLKRRCSPPQSARHDDGGGSMTQEEATHSLLPSLPVTLPPPLATGRPSTSPRPAAGRPSTFPNRSLPPLLSRTTSYQIPRKIEPSIHNSPVQQESWQETFSNDVASCLQLVQFRRRKGLHQDPF >Brasy5G117400.1.p pacid=40074735 transcript=Brasy5G117400.1 locus=Brasy5G117400 ID=Brasy5G117400.1.v1.1 annot-version=v1.1 MSPSLAPTKAGARLSPRPAPPTPHASGQPNLQHSHAHPRRSGATSHSHRSRPTRRCQSSPKPKEQQREPPGRQGAHPATTRGPSVCRHAESTAAHRHITNHRRQPTKPGPDPAGSGPTDHHAGRLAQIRPPPAAVAAPSRPKRRPSPQAELPALPSCNRDYSGQIWTRSQGPAPPEAPSSTPLRCQAASSQPPAGHRDPAPARVRLRSSRRATANQQQEAPPPPSSMALQAFPLAISGSDEGKGVGWGRRRPG* >Brasy5G381200.1.p pacid=40074736 transcript=Brasy5G381200.1 locus=Brasy5G381200 ID=Brasy5G381200.1.v1.1 annot-version=v1.1 MSRSGQPPDLKKYMDKKLQIKMNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKTDIGMVVVRGNSVVMIEALEPIAKSQQ* >Brasy5G295800.1.p pacid=40074737 transcript=Brasy5G295800.1 locus=Brasy5G295800 ID=Brasy5G295800.1.v1.1 annot-version=v1.1 MSKKRGLSLEEKREQMLQIFYENQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSNSKKRYMELVEQRDNLKRGREDSDEREDALEELKAVELRHKKLKEELAAYADSDPSALEAMKDATEVAHSAANRWTDNIFTLQQWCSTTFPEAKELLEHMYKEVGITEDFEYLQ* >Brasy5G300200.1.p pacid=40074738 transcript=Brasy5G300200.1 locus=Brasy5G300200 ID=Brasy5G300200.1.v1.1 annot-version=v1.1 MESARWVGTGAAGSACSTLTRHPISKRLQRPSWSTMTRCSAPSHAAVKHDVLSIMRGERFFLWIAGFHPSDLLTVLTLQLELEPQSLAEEQAEAVSWPQRTSWQAEDTLAHALERPQQSFAHGLERLQESLASPCCCHFNNGGDGDVRRRLSFRDGGGAMDGVEAGGADQVHGAGGPLLAAEHLQDLGVDITLQKMSRVKTVEDGGKMYHQERRFKGARTVAMSEEGVRERQWRIQWSQGRR* >Brasy5G410900.1.p pacid=40074739 transcript=Brasy5G410900.1 locus=Brasy5G410900 ID=Brasy5G410900.1.v1.1 annot-version=v1.1 MVRSTMATAPVTAAAWREGSVYSITAAKRRTERLPSRATIARVPVRKSAKRLLWRATIARISMQKSAKRRMAAAISSKAKKIARRGKHVEYKTRIQKNDEVDSWKDDRSPAYHPDSPEYHPDSPEYHPDSDQETLLYIQHSPATEQRLIEKEERGYMVRANQALVYYHEKYPDDLYEPVHVSIEQVRQIIDEEGYYIHMNFTAKNIKTRAEKIFFAELFLSADISVKDYVVTACGITGPESVGGLRHIYLPSTILFIGNAMDMENCYACDGSVKHLEGSTYQAGHRGHSAYL* >Brasy5G410900.2.p pacid=40074740 transcript=Brasy5G410900.2 locus=Brasy5G410900 ID=Brasy5G410900.2.v1.1 annot-version=v1.1 MVRSTMATAPVTAAAWREGSVYSITAAKRRTERLPSRATIARVPVRKSAKRLLWRATIARISMQKSAKRRMAAAISSKAKKIARRGKHVEYKTRIQKNDEVDSWKDDRSPAYHPDSPEYHPDSPEYHPDSDQETLLYIQHSPATEQRLIEKEERGYMVRANQALVYYHEKYPDDLYEPVHVSIEQVRQIIDEEGYYIHMNFTAKNIKTRAEKIFFAELFLSADISVKDYVVTACGITGPESVGGLRHIYLPSTILFIGNAMDMENCYACDGSVKHLEGSTYQAGHRGHSAYL* >Brasy5G410900.3.p pacid=40074741 transcript=Brasy5G410900.3 locus=Brasy5G410900 ID=Brasy5G410900.3.v1.1 annot-version=v1.1 MVRSTMATAPVTAAAWREGSVYSITAAKRRTERLPSRATIARVPVRKSAKRLLWRATIARISMQKSAKRRMAAAISSKAKKIARRGKHVEYKTRIQKNDEVDSWKDDRSPAYHPDSPEYHPDSPEYHPDSDQETLLYIQHSPATEQRLIEKEERGYMVRANQALVYYHEKYPDDLYEPVHVSIEQVRQIIDEEGYYIHMNFTAKNIKTRAEKIFFAELFLSADISVKDYVVTACGITGPESVGGLRHIYLPSTILFIGNAMDMENCYACDGSVKHLEGSTYQAGHRGHSAYL* >Brasy5G253600.1.p pacid=40074742 transcript=Brasy5G253600.1 locus=Brasy5G253600 ID=Brasy5G253600.1.v1.1 annot-version=v1.1 MVGRRLAPGPLWWRLVGQRQRHGGQFSARGGAGGTPSSATHEGQEFGEVRSWIGVREEFGPVTGDGRRTATRPISTRGREMEASRSRSRGGSFPSRRRRGHGPTAQSPNNEAPPLHLLLPGRPTTSTSPLLPTVCNSWQWIIDTAHRHLMRDVLQQESEGKKLKFCYFNEKLAACHE* >Brasy5G283800.1.p pacid=40074743 transcript=Brasy5G283800.1 locus=Brasy5G283800 ID=Brasy5G283800.1.v1.1 annot-version=v1.1 MAKNQLFLLLLAAGSVVVASSATPPTTSNSTAPNAGEVRLPAYVLNENGSFSVSLPGDCVIDGFVFTLRYRSRIHGNIKAMLIDGLDGVSVNLAFKREGINSVERSGGKRLEFKARRRDVAAVPG* >Brasy5G036400.1.p pacid=40074744 transcript=Brasy5G036400.1 locus=Brasy5G036400 ID=Brasy5G036400.1.v1.1 annot-version=v1.1 MTAANSKKRKREEPAAPESTEEEEDARRAPSEAPGVGAAGEDDGGGVDRISDLPDGVLGDIISLLPTKEGARTRILASRWRNLWCSAPLNLDCSSLHDYGDEFPGVISGILSSHKGPGRRFRVCAQRVGVRADTLDTWLSSDALDNLKELGVSLGSAGVFLPALALPASTFRFSPKLRVFTIAYYSLPNDTIHGLHFPLLKHFELQYVGISKCSLHSLIARCPALEYLRIYESVTSCLRINSPTLRSITLRNCQNKELVIESAPCLERLIHIQPGYDLILSLPSASKLHTLVCSDSAKLVFGSVAIQGVHMDSLSTVARTLKTLSITMGTLCLDTVIEFMRCFPCLEKLYILCCSSGKSNLWRRKHRNLIKSLDIRLKTIVVQYYEGKKSDVDFVTFFVLNARVLESMTLQVIDSGEEFLAKQRMKLQLENKASRGARFSFTTGCARSFFDFIHVPGLDLIDPSI* >Brasy5G075800.1.p pacid=40074745 transcript=Brasy5G075800.1 locus=Brasy5G075800 ID=Brasy5G075800.1.v1.1 annot-version=v1.1 MVDSLNVDLIVPSTGLGDVEKEGLWPNPSGRAALEELQSATP* >Brasy5G405200.1.p pacid=40074746 transcript=Brasy5G405200.1 locus=Brasy5G405200 ID=Brasy5G405200.1.v1.1 annot-version=v1.1 MAAPSSLASSHLSRLADLRRAAVNAAPQQHQLRLGCSRRRAQRLVAMAGSGKFFVGGNWKCNGTKESISKLISDLNAATLENDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNTWIGKGGAFTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNLKVMACIGELLEEREAGKTFDVCFKQMKAFADNITNWTDVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLKTNVSADVASTVRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATICNSVTSKKVAA* >Brasy5G465900.1.p pacid=40074747 transcript=Brasy5G465900.1 locus=Brasy5G465900 ID=Brasy5G465900.1.v1.1 annot-version=v1.1 MTEVAVLRAPTNLTSPASRASSLRYLANADSDVLQRGSRAENPTGSTGGLRLEGRREREVEGSEEEEERWSFLELLLELLRKSLLGCREEGGGGESGGCGMEIGWPTEVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRRNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNSGIIPYGIDVHCLAGLIKAWFRELPSGVLDPIPPEQVMQCQSEEDCARVAKCLPPAEAGLLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMVDPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDGSLPQKDPSDENGHHNPSLPVDSHHEEESRRPSFVSEEPLLNSPAHSTKDKPNETTPAGGHSAPSGQTGNVLRNMEGFSSWSEPLPAPLATANASCATTVNSLQGKGSHSLNSRRTRQGKGQSGTPAVAPAEKKSRGASIVSRLNSTVERIEAWR* >Brasy5G308700.1.p pacid=40074748 transcript=Brasy5G308700.1 locus=Brasy5G308700 ID=Brasy5G308700.1.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.8.p pacid=40074749 transcript=Brasy5G308700.8 locus=Brasy5G308700 ID=Brasy5G308700.8.v1.1 annot-version=v1.1 MSFCMLNSFSFFIAVLAVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.2.p pacid=40074750 transcript=Brasy5G308700.2 locus=Brasy5G308700 ID=Brasy5G308700.2.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.3.p pacid=40074751 transcript=Brasy5G308700.3 locus=Brasy5G308700 ID=Brasy5G308700.3.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.14.p pacid=40074752 transcript=Brasy5G308700.14 locus=Brasy5G308700 ID=Brasy5G308700.14.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.10.p pacid=40074753 transcript=Brasy5G308700.10 locus=Brasy5G308700 ID=Brasy5G308700.10.v1.1 annot-version=v1.1 MGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.18.p pacid=40074754 transcript=Brasy5G308700.18 locus=Brasy5G308700 ID=Brasy5G308700.18.v1.1 annot-version=v1.1 MGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.15.p pacid=40074755 transcript=Brasy5G308700.15 locus=Brasy5G308700 ID=Brasy5G308700.15.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.5.p pacid=40074756 transcript=Brasy5G308700.5 locus=Brasy5G308700 ID=Brasy5G308700.5.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.6.p pacid=40074757 transcript=Brasy5G308700.6 locus=Brasy5G308700 ID=Brasy5G308700.6.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTMLVSYMREELSWSW* >Brasy5G308700.16.p pacid=40074758 transcript=Brasy5G308700.16 locus=Brasy5G308700 ID=Brasy5G308700.16.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.9.p pacid=40074759 transcript=Brasy5G308700.9 locus=Brasy5G308700 ID=Brasy5G308700.9.v1.1 annot-version=v1.1 MSFCMLNSFSFFIAVLAVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.7.p pacid=40074760 transcript=Brasy5G308700.7 locus=Brasy5G308700 ID=Brasy5G308700.7.v1.1 annot-version=v1.1 MGAWRLPCGWRLLFSMCFIGLLAEVVHGAGADALHPRLFPAEVRTIRRIAAKIGVVHWNFSVNPCDSESLKCDCSFYNNTTCHVTGIFLKEMNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTI* >Brasy5G308700.4.p pacid=40074761 transcript=Brasy5G308700.4 locus=Brasy5G308700 ID=Brasy5G308700.4.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.13.p pacid=40074762 transcript=Brasy5G308700.13 locus=Brasy5G308700 ID=Brasy5G308700.13.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.20.p pacid=40074763 transcript=Brasy5G308700.20 locus=Brasy5G308700 ID=Brasy5G308700.20.v1.1 annot-version=v1.1 MLTRRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.19.p pacid=40074764 transcript=Brasy5G308700.19 locus=Brasy5G308700 ID=Brasy5G308700.19.v1.1 annot-version=v1.1 MGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTMLVSYMREELSWSW* >Brasy5G308700.21.p pacid=40074765 transcript=Brasy5G308700.21 locus=Brasy5G308700 ID=Brasy5G308700.21.v1.1 annot-version=v1.1 MKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.11.p pacid=40074766 transcript=Brasy5G308700.11 locus=Brasy5G308700 ID=Brasy5G308700.11.v1.1 annot-version=v1.1 MKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.12.p pacid=40074767 transcript=Brasy5G308700.12 locus=Brasy5G308700 ID=Brasy5G308700.12.v1.1 annot-version=v1.1 MKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQDLGALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMEYNCLARALFVEQYRLRLDWPTRHRICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYPTEEALLMLNVALLCTNAAPTLRPKMSNVVSLLEGHTPLQPLLSDLSLAANSLSSSGLRRNFWQNPSESQRMTTQASCNNISDSSSLDVGGSLRPLAS* >Brasy5G308700.17.p pacid=40074768 transcript=Brasy5G308700.17 locus=Brasy5G308700 ID=Brasy5G308700.17.v1.1 annot-version=v1.1 MNFTSQLPPDFADLPYLLLLDLSRSLFHGAVPDKWAQMRLQGLSLMGNRLSGPFPMALTRITTLTNLSIEGNGFHGLIPPEIGHLTQMKKLIISANEFTGPLPAVLSLLTDLTDLRISGNNLSGRVRDFLGKLTKLEKLQIEGSLLEGPIPSDFSKLTNLSDLRISDLRGSGSPFPDLSAMQSMKTLVLRNCSISGSIPSYIGDMKNLKHLDLSFNKLTGKIPDSFAKMGSVGYIYLTGNSLTGNIPEWLLQRNNIADISLNNFTMGSSGPTQCLQGSVNLVESYSSEMNSLNNVHPCLKKNFPCAASNGQYQSSLHINCGDKEAIVNGVKYETDTVPKGASVLYVSPGSNWAFSSTGNFMDNNINDDSYIATSTSNLTMPSSQLYARARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDNTYFSLGKRKFNVFIQGKMVLEDFDIERSAAGSGKPIIKTFTTFVTNHTLEIHFYWAGRGTTGIPYRGFYGPLISAISVVPNFQIPLAAKLPQTGSSTKSSRISKALLIGTPILAICTAFVVGLYWMKQRHKSSMHQERSSLSTSDHMKTSIRSRST* >Brasy5G368600.1.p pacid=40074769 transcript=Brasy5G368600.1 locus=Brasy5G368600 ID=Brasy5G368600.1.v1.1 annot-version=v1.1 MEGSGGGGGGVVSKKQKQDDSKKKEESLPPGFRFHPTDEELVTYYLRGKIADGSFTARAITEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATQELAGMKKTLVFYKGRAPRGEKSNWVMHEYRLHSKPGSKSNKDEWVVCRIFAKTPGVKKYPPNNAHARPHHPYTLDMVPQLLPALMQHDLFARGHHPYMTPANLIELSRFARGTPGLHPHIQPHPGYMNPSASFTLSNLNLNLGAPSPATGIAPQHALHAMQMNQTNATNQGYQVTPPEHMAPGLGNYAISPNGGDGGFGAGTTMGGTSIRYQNLDVDQLVEKCWPGSY* >Brasy5G368600.2.p pacid=40074770 transcript=Brasy5G368600.2 locus=Brasy5G368600 ID=Brasy5G368600.2.v1.1 annot-version=v1.1 MEGSGGGGGGVVSKKQKQDDSKKKEESLPPGFRFHPTDEELVTYYLRGKIADGSFTARAITEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATQELAGMKKTLVFYKGRAPRGEKSNWVMHEYRLHSKPGSKSNKDEWVVCRIFAKTPGVKKYPPNNAHARPHHPYTLDMVPQLLPALMQHDLFARGHHPYMTPANLIELSRFARGTPGLHPHIQPHPGYMNPSASFTLSNLNLNLGAPSPATGIAPQHALHAMQMNQTNATNQGYQVTPPEHMAPGLGNYAISPNGGDGGFGAGLLRSQIITM* >Brasy5G368600.3.p pacid=40074771 transcript=Brasy5G368600.3 locus=Brasy5G368600 ID=Brasy5G368600.3.v1.1 annot-version=v1.1 MEGSGGGGGGVVSKKQKQDDSKKKEESLPPGFRFHPTDEELVTYYLRGKIADGSFTARAITEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATQELAGMKKTLVFYKGRAPRGEKSNWVMHEYRLHSKPGSKSNKDEWVVCRIFAKTPGVKKYPPNNAHARPHHPYTLDMVPQLLPALMQHDLFARGHHPYMTPANLIELSRFARGTPGLHPHIQPHPGYMNPSASFTLSNLNLNLGAPSPATGIAPQHALHAMQMNQTNATNQGYQVTPPEHMAPGLGNYAISPNGGDGGFGAGLLRSQIITM* >Brasy5G335800.1.p pacid=40074772 transcript=Brasy5G335800.1 locus=Brasy5G335800 ID=Brasy5G335800.1.v1.1 annot-version=v1.1 MHAFRLESVEIRKPRSMAKILGTAVCVGGAMVMAFFKGPKLLQHSLGAGAGGLEYDALLLHSPMSRKWVVGALFLVGSSSCWSLWLILQVPICRSYVDPLTLAAWMCFLSTAQSALLTSFAVPDLGAWKIRSLFELLGCIFAGAFGSGVTFYLQSWCITVRGPLYSAMFNPLCTVVTTVLATIILHEEPHVGSLLGAISVVAGLYIVLWGKAGDAKSRRVPEHTEDLEKTTVVRSDSQLEDAESTITEPLLAIGDGNPTEKD* >Brasy5G236600.1.p pacid=40074773 transcript=Brasy5G236600.1 locus=Brasy5G236600 ID=Brasy5G236600.1.v1.1 annot-version=v1.1 MQATAASFLARPLPRPHRIGRLADGAAVRGGLLSLPPRLRGPRCSVSLSIGAGSGDTGDSGFSYQYAPVFRRYRVRDPYKLLGVDRDASEEEIRSAKNFLIQQYAGHEASEEAIEGAYEKIIMKSYQHRKKTKINLKTKLLKRVEESPSWVKALLGYFEVPSMDIISRRLLYFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPTWSLELLTSLVAYVFLFLGCTFLK* >Brasy5G008100.1.p pacid=40074774 transcript=Brasy5G008100.1 locus=Brasy5G008100 ID=Brasy5G008100.1.v1.1 annot-version=v1.1 MATAWCVEASSSPPRRRFDLSMSRRTRRPATTATTLTEDADGPKPPPTLQQRLLLDGGDDDDDEKPPPPPPPPPLLQQQGDQDSSYSRKARLSVKELMIIDAEAVVIDGNYATGSQEEETTTDDVHGAAAASASGGAGRMVGMVRRYAVRIRRSVVVKAKQTPEN* >Brasy5G425500.1.p pacid=40074775 transcript=Brasy5G425500.1 locus=Brasy5G425500 ID=Brasy5G425500.1.v1.1 annot-version=v1.1 MMYILRSQIIRENEPHFTERCLRVYGDMPILLDPLLISSSIFPILSRSDPPLLLLLLDCIDFTSSILSSCSLLLFLPLISFSQFL* >Brasy5G193600.1.p pacid=40074776 transcript=Brasy5G193600.1 locus=Brasy5G193600 ID=Brasy5G193600.1.v1.1 annot-version=v1.1 MPSRSGYTGEEDESRMSWPRDDTASISSWPQGDMEESSSSLQVRPFCVLFVLFIPSLPSCS* >Brasy5G518400.1.p pacid=40074777 transcript=Brasy5G518400.1 locus=Brasy5G518400 ID=Brasy5G518400.1.v1.1 annot-version=v1.1 MPAQRDVEMADLYKVPVELLRARIGIPKCLWEAPDDEVIVGTYLRVATVAGLENACGVAVPPSSSASSTSTAAPASTPTAVPTPPTLTPLRCYLVSYIPPEDAARIGVLVEDKGAGLRAFKIGNKSLLLDKETSHMQIRGQIVKLQEIRNSLKAPKDMIEKQKTEQDSTGDLGLQVGSNIYSLKVKYLLALIAEGKIETARSFLKGLDPLLYRLSFPELPGSPRGTDVEEMASLVDATSELLKLYPRSADDNSNLLGKQLLGVVSIADFYDKIKIPGDYKIELPGRG* >Brasy5G518400.2.p pacid=40074778 transcript=Brasy5G518400.2 locus=Brasy5G518400 ID=Brasy5G518400.2.v1.1 annot-version=v1.1 MPAQRDVEMADLYKVPVELLRARIGIPKCLWEAPDDEVIVGTYLRVATVAGLENACGVAVPPSSSASSTSTAAPASTPTAVPTPPTLTPLRCYLVSYIPPEDAARIGVLVEDKGAGLRAFKIGNKSLLLDKETSHMQIRGQIVKLQEIRNSLKAPKDMIEKQKTEQDSTGDLGLQVGSNIYSLKVKYLLALIAEDDNSNLLGKQLLGVVSIADFYDKIKIPGDYKIELPGRG* >Brasy5G518400.3.p pacid=40074779 transcript=Brasy5G518400.3 locus=Brasy5G518400 ID=Brasy5G518400.3.v1.1 annot-version=v1.1 MPAQRDVEMADLYKVPVELLRARIGIPKCLWEAPDDEVIVGTYLRVATVAGLENACGVAVPPSSSASSTSTAAPASTPTAVPTPPTLTPLRCYLVSYIPPEDAARIGVLVEDKGAGLRAFKIGNKSLLLDKETSHMQIRGQIVKLQEIRNSLKAPKDMIEKQKTEQDSTGDLGLQVGSNIYSLKVKYLLALIAED* >Brasy5G475900.1.p pacid=40074780 transcript=Brasy5G475900.1 locus=Brasy5G475900 ID=Brasy5G475900.1.v1.1 annot-version=v1.1 MAALWLFLAAMGLLTSASAAVPRPEAKPSDTDALTMFRLGADAHGILANNWTTPDACAGRWAGVGCSPDGRRVTSLTLPSLDLRGPLDPLAHLASLRALDLRGNRLNGTLHAFFLGSGALQLLYLSSNDLSGDISGVARLSGLTRLDLADNSFSGPVPAPALANLTGLLTLKLQDNLFAGLLPDVAMILPRLVDFNASNNRLSGRVPDAVRARFGLASLAGNAGLCGLAPPLPACSFLPPREPAPTSPSQSSVVPSNPAASSSSSSVAPAALATPEGAGAAGTSKAGLSAGAIAGIAVGNALFLLALMSLLVAYCCCLSNAGHGGETAARKRNRVGLEDADDGNGIFGGGHGKMQPARPGSATGRCSDGDSDGGRSKLVFFGDPATEADDDSDSSTGGGHRRTSKPKCKFELDELLRASAEMVGRGSLGTVYRAALPDGRTVAVKRLRDANPCGRDEFSRYMDLIGRLRHPNLVPLRAFYYAKQEKLLVYDYFPGSSLHRRLHPSSSSPAPAPLGWARRVRLLLGAARGLACIHGEYRSAGIPHGNVKSTNVLLLDDERGGRAMVADFGLALLLSPAHAVARLGGYTAPEQRTGPPRLSQEADVYGFGVLILEALTGKVPAAQEDDGRNEQRRDKRQSPAVMSLPEWVRSVVREEWTAEVFDVELLRERGVEEEMVAVLHVALACVAEAPGQRPAMADVVRMLESVPVEDEEDGSVSPSAGVTTEDDALSY* >Brasy5G392700.1.p pacid=40074781 transcript=Brasy5G392700.1 locus=Brasy5G392700 ID=Brasy5G392700.1.v1.1 annot-version=v1.1 MAGDEERILVSVRLRPVNAREAERGDGEEWECAGPTTLKFLGNIPERAMFPATYTYDRVFSPECNTRQVYEEGAKEVALSVLSGINSSIFAYGQTSSGKTYTMVGVTEHSMAEIYDYIDKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETALNETSSRSHQILRLTVESSARQFLGRGNSNTLLACVNFVDLAGSERASQTAASGMRLKEGSHINKSLLTLGKVIRQLSGGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHVEQSRNTLLFANCAKNVVTNAQVNVVVSDKALVKHLQRELAKLENELKFPGSASCSGHAEALKEKDEQIKKLEEQLKELMEEKDTVQSQLENFRKVASDDHLNDHKARRWDAHSRSSESLPRNMSEDALSSSDINDLSYQDQAMDEQPAPLPRRPSNHVFDRINECQENRVASQSASEVSEEHCKEVQCIETNELRRMRSQESFHAQQLEIPDKETRTMVDHTETCTDEEKHGEKSVTKTAENAIELYACDADPSFDIEKTNTEDEPLALKRCVVSSRDIVLARSQSCKASFMVIPNSWFDDSVSVSMTPPPGKFSKHPPRRPEKVRKSLFPESVASDPINDNSIGNAEEESSVNDMSCVTEVKEQTEKNDASQPKENQVQVGIDLSPSIMESPSRRPFDFGKKQREIIELWHECHVSIVHRTYFFLLFNGDQTDHIYMEVEHRRLSFIKHSFIADGEPNATVATSLKSLRHERDMVYRQMVRRLNLAERESLFSKWGIDLSSKQRRLQLSRLIWTRTDMEHVRESAALVSKMVEHLERGQAIKEMFGLNFSFNLRADRKSFSWTGGYS* >Brasy5G308600.1.p pacid=40074782 transcript=Brasy5G308600.1 locus=Brasy5G308600 ID=Brasy5G308600.1.v1.1 annot-version=v1.1 MGCAGSTPKGSPEGEATKKVRKPKPWKHPQPITIGQLRQMRDEFWDTAPHYGGQKEIWDALRAAAEADVSLAQAIVESAGVIVSNADLTLCYDERGAKYELPKYVLSEPTNLVQDS* >Brasy5G487800.1.p pacid=40074783 transcript=Brasy5G487800.1 locus=Brasy5G487800 ID=Brasy5G487800.1.v1.1 annot-version=v1.1 MATRILIFILLLLSSSCKSDDTLTHAKPLFYGEKLVSKGGDFALGFFSPTSSNKSFYVGIWYNNLPGRRTVVWVANRDNPIAAPSSAALAITNSSDLVLSDSHGVTYWKTKNKITAGTSTQLVAAVLLDEGNLVVRMTNTTIIWQSFDHPTDTILPKMRFVVSYKAQVFGRLVAWKDPDDPSSGEFSMSGYPKHPVCQMIIWNRTRRHYRGVWNGQTVSGSTYLSNSSSVVSQSSVDLPDELYFTFTVSDGSPFTLIKLDHTGKMTSLSWNNQSLSWEVIASHPDPAVPCDIYSSCGPFGYCDLTTRGPMCVCLDGFEPNGLNISSGGCRRKEALKCSEEARFVTLPSMKVPDKFLRIPNRSLDECKAECTGNCSCTAYAYANFSRNLAKADTSRCLVWTGELIDTEKYNGDSFFTAGKSINSGEDLYLRLADSHGRKNINLVKIVLTITACMVMLTCIALISIFKYKAGKRRKKEMQKRQMLGYFHSCNEITGKNIEFPFVNFESIVAATNNFSDSNLIGRGGFGKVYKGMLEGGNEVAIKRLGEGSRQGIEEFKNEIVLFAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFLFDSKRQYVLDWPTRFKIVKGIAKGLLYLHQDSRLTIIHRDLKASNILLDREMNPKISDFGMARIFGENQQQANTTRVVGTYGYMSPEYVMGGSFSMKSDTYSFGVLLLEIISGSKISSAHLINNFSSLTAYAWRLWEDGRARELVDPLIVESCPFREVLRCVHVGLLCVQDHPNDRPLMSSIIFILENESALLPAPKQPLYFSPSENMENSDNVMSITTQEGR* >Brasy5G318600.1.p pacid=40074784 transcript=Brasy5G318600.1 locus=Brasy5G318600 ID=Brasy5G318600.1.v1.1 annot-version=v1.1 MGSSVSKHDSKEALQLCKCRLKHIVQAIDARYALSAAHLLYEQSLRNVGIALTQFVESHDDGDLGKSPRSSPSPLQPADGAKFSPLLVPGSFDVSCMRSEISPSLTVTVNQNDASFSKEGQPIPISCPSPMSSDVCSSWDFFDPNDVIQNSGSHVPENSVNIRVGSLEDFRHTNGRDIASSIGDTSQISEIQEELGTYGCKQVDDNYDSPNLNNNDCNEIGIADMHLPNDSSLMEGPDQVQTLIVEGQNPICMGNNGKNEADYDKTNNVPNGSRSKRGENKGNFLSDVKELEHLFTRAAESCHEVSKMLETRKIPHGVSSQLTGKSSGALSLSSSLICCKAGNAASHELEQHATKVITRNRSLSSRSSSSKNPLMSAQKDDDFPESCSDFVEEFGMISGSHASSLDRLYAWEKKLYDELKSSELVEKIYDKKCGQLLHQFARDANVRQVDKTRATVKELYSRLMVRKEVLYTISKLIEKLRDEELQPQLLELLQGLTRMWSMMQEVHQMQQIIISLADTKSSSVSPPSESHKHTLMNLITELEFFYISLINWVGAYKSYVGGLHSWLQKCVVEPRHPSRGRRLTLSPREHLAPPLFVLFEDWSTGISFLPSEEPWDSIKNLIADLKKMYKHQAEHKLAKKKPSDSGVEANTGKSVADGGKSETESKLATLQDGLSTMFSGLSEFSGAMACLSENVKRETEIAQEAYTIGRR* >Brasy5G385400.1.p pacid=40074785 transcript=Brasy5G385400.1 locus=Brasy5G385400 ID=Brasy5G385400.1.v1.1 annot-version=v1.1 MRRAAAVTRMAATEEMRQASAAAAATATEASASAAPPAGSRWARVWPAALRWIPTSTERIIAAEKRLLSVLKTGYVQEQVNIGSAPPGSKVRWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSLEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGSAGFSSETDHSSEWLTKFRATWKGMLVNQLWESNFTPQRIVRGLGPWGPDLVRRYTTARFGSYSTGGLLTEHESTLLTDYIYHTLAAKASGELCLKYIFSLGAFARKPLLQSASDWKVPTTFIYGQDDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHSAIFYACRKFLSGDAEEGLSLPDGLISA* >Brasy5G236300.1.p pacid=40074786 transcript=Brasy5G236300.1 locus=Brasy5G236300 ID=Brasy5G236300.1.v1.1 annot-version=v1.1 MASILLAPASGQALLLAHRGSSLLVPARTNLPRWTGGAPSPMLQASARKKAWSTKPGGGSSFPDPEWVDFTKPAPSSEPAGWIEPGDHTQVTDLLSIRRLRNKYFSVDVCRPSI* >Brasy5G049300.1.p pacid=40074787 transcript=Brasy5G049300.1 locus=Brasy5G049300 ID=Brasy5G049300.1.v1.1 annot-version=v1.1 MVEVAASRDEEEEAPLVAAAGEGRRRGAGAASETRDLHVLSSAFFFVFLAYHAAQNLQSTVNTDENLGSISLGLLYASFTAFSVVGSPVVRRMGSRSALVLGTSGYLLFIAANLAPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLADGPTLGSFNGEFWGVFASTQVIGNLISLALLRNGKDGGSVTGKNLLFVVFLGCMIIGIVLMCLLSKRDEKRDNASTHSSFGAMLKYIVAPLKDRRMLLLIPIIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGASDVVCSLVAGRFTSGLHSATFIVSVGAILQAVVLFWLLLFYSPMDGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMATSLIISFGSFLLLTLVVEKSSSTTR* >Brasy5G049300.2.p pacid=40074788 transcript=Brasy5G049300.2 locus=Brasy5G049300 ID=Brasy5G049300.2.v1.1 annot-version=v1.1 MGVLYTSFTLFAVAASPVVRWLGARLALVVGTSGYLLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLADGPTLGSFNGEFWGVFASTQVIGNLISLALLRNGKDGGSVTGKNLLFVVFLGCMIIGIVLMCLLSKRDEKRDNASTHSSFGAMLKYIVAPLKDRRMLLLIPIIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGASDVVCSLVAGRFTSGLHSATFIVSVGAILQAVVLFWLLLFYSPMDGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMATSLIISFGSFLLLTLVVEKSSSTTR* >Brasy5G094800.1.p pacid=40074789 transcript=Brasy5G094800.1 locus=Brasy5G094800 ID=Brasy5G094800.1.v1.1 annot-version=v1.1 MELRELGSTGLRVSPVGFGASPLGNVFGDVPRDVARATVRRALDLGINFFDTSPYYGGTVSESVLGDCLRFSGAPRDSFVVATKCGRYKEGFDFSAARVTRSIDESLARLELDYVDILHCHDIEFTNLDQIVNETIPVLQKIKESGKARFIGITGLPLSIFTYVLDRVPPGSVDLILSYCHYGMNDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPEELKLACRVAADHCRKKGKSIIKLAMKYSLMNNEISTVLVGMNSPEQVEENVAAATELSTSGIDEELLHEVEAILEPVKNLTWPSGIQQA* >Brasy5G381300.1.p pacid=40074790 transcript=Brasy5G381300.1 locus=Brasy5G381300 ID=Brasy5G381300.1.v1.1 annot-version=v1.1 METSKQEFNSTSCHGIRAEDWVSSVISLLSLLMKLIFSVIQV* >Brasy5G476100.1.p pacid=40074791 transcript=Brasy5G476100.1 locus=Brasy5G476100 ID=Brasy5G476100.1.v1.1 annot-version=v1.1 MDAVAFPPPPAPFFDDDDDFGDFSFAPAVPPQPAPQPDDFAAFDDDWGDFVAGSRGSNADGGTADAAAPPAGKPSAGVWEKPRGPLPLSLFGAVEKEGEEEEEGGPVGPPPTATVHQRAPSLESRGSMNPADLKDLIAGLYGSQPPPVPDAPEAGAPEDDDDGFGDDGWEFKAAPSSDAGLDGAGGRAHGDGVEDIPKPLGTNQEEWSLFTSVDNKLNHVQNTDHIRNHESTGESAKTFSYSLDNTSSILNLYKESNLVDAVHVPQSFSEGGLSSSDVFSSNEMNSSSGTDEDHSIKSASDSILIDFYNKLREESLAMMFQYTKDTKEAQKSSTHSDGNNKVTAIEREIQEILEKLQDSSVVEGSRIEEQPSRDVYVSELLSNTKEENMKDFEQHYHLGAKIAAAQQDMSLAVELYQHSVSTLHILQLASKEERCDYVGAWYNMFLSCAQELQHGAVLWQESCHAEVSDLIISEGAYYFVALGEIYRVAQILHLSLQYFKPWVLADLGMLSKMLACWDSCTNAWTSGLETALKIVLDSNHLNASVVKALLESIISIQELKVENIQNFVPNELACRLTLLPTDLVPGEKATVWNGNHYFVKVANLWANRVSSEPPRFALARSPQ* >Brasy5G340500.1.p pacid=40074792 transcript=Brasy5G340500.1 locus=Brasy5G340500 ID=Brasy5G340500.1.v1.1 annot-version=v1.1 MKILSWNCRGLGKSSARRALLEVYGRTKPDVVFLSETHLSKGRAENLKRMMDFDQLLMAESDGRSGGLLLLWKNDLNVTSSVVHSNYLDIRINEASEGSWRFTGLYGEPSSDRKHLTWDYLRDLKGMVDLPWMVAGDFNEILWGSEKEGGATRSQGCMQLFRDSLAHCDLEDMGFTGDPFTWRRGRIRERLDRATTGH* >Brasy5G007900.1.p pacid=40074793 transcript=Brasy5G007900.1 locus=Brasy5G007900 ID=Brasy5G007900.1.v1.1 annot-version=v1.1 MATGEVRHWTAAVNGITLHVAEQGPATGPAVVLLHGFPELWLSWRHQMSALAARGYRALAPDLRGYGDSEVPGGGPADYTMLHVVGDVVALLDHLGIPDALVAGHDWGAQVLWHLCLFRPDRVRAAVALGVPYFPRSPVPMADFLAVRGDGFYISQFQEPGRAEKAFAKHDVATVLKKFYSLELDDLSAPPGVEVIDFFQASSSPLPWMTEEELDQYADKFRKTGFTGPLNYYRAMDLTWQLTAPWHGAKITVPVKFIAGDKDVGVESFGTGRYIESGAFKSNVPNLEVAIIQGHHFIQQEQAQRVTAEMLSFLDKFI* >Brasy5G136100.1.p pacid=40074794 transcript=Brasy5G136100.1 locus=Brasy5G136100 ID=Brasy5G136100.1.v1.1 annot-version=v1.1 MGRRAFSPHASSIMALALLMLVPLVVLGGGPAFSCGPGAPQQAQYAFCNRALPAEQRAADLVAKLTLEEKVSQLGDQAPGVPRLGVPAYSWWSEGLHGVSMWGHGMHFNGAVRGVTTFPQVLLTTASFDESIWYRIGQAIGTEARAMYNLGQADGLTIWSPNVNIYRDPRWGRGQETPGEDPATASKYAVAFVRGLQGTSTTTLQTSACCKHATAYDLDDWNRIARYNFNAKVTAQDLEDTFNPPFKSCVVEGKATCVMCAYTSVNGIPACADSGLLTRTIKGEWGMNGYISSDCDAVALLYGTRYAGTPEDAVAAALKSGLDMNCGNFSQVHGMAALQQRKMSEQDVDKALRNLFAIRMRLGHFDGDPLQTPLYGRLGAQDVCSPAHKDLALEAAQNGIVLLKNDAATLPLSRPTAASASFAVIGPNANDPGALLGNYFGPPCETTTPLQALQRFYAKNVRFLPGCDSAACNVADVYQASGLAATSDHTILFMGLSQKQEQEGLDRTSLLLPGKQESLITAVAAAAKRPIILVLLTGGPVDITFAKFNPKIGAILWAGYPGQAGGLAIAKVLFGEHNPSGRLPVTWYPEDYMRVPMNDMRMRADPATGYPGRSYRFYKGNAVYKFGYGLSYSKFSRQLVRNSSNNNRAPNTELLAAAAVDCGASRYYLVEEIGGEVCERLKFPAVVEVENHGPMDGKQSVLLFLRWPNETEGRPASQLVGFRSQDLRAGEKASVSFDVSPCEHFSRTTVDGTKVIDRGSHFLMVDEDEMEISFDS* >Brasy5G116400.1.p pacid=40074795 transcript=Brasy5G116400.1 locus=Brasy5G116400 ID=Brasy5G116400.1.v1.1 annot-version=v1.1 MKGQGGHIPKFGDWKTTGGDTPYTVYFEDARKRKSMGSSTPSPKPVAARRNSIPPPKPASARGNSIPPSKPASARGNSIPPPKPAAASSVGSVPTWGQWNENNAGGGAQQYTLVFEQIREERRSAPSTPNTEQRRQQGPTLERIKYHSHEPDVPKIFTCCGLFGK* >Brasy5G361400.1.p pacid=40074796 transcript=Brasy5G361400.1 locus=Brasy5G361400 ID=Brasy5G361400.1.v1.1 annot-version=v1.1 MDLHLIKSSSTLTASFDLAEPPRVSRLAVPDNRVDLRYLPGPDGKSMGFVLGDVRAVNADGFLLLNFMDLNLTADEAGPDVFRFVCNPLSGELFRLPDMFGTRKSVRHIYPGLLTTRSGHGHGPPERYAVAQALPKTEPSQFFVLRRFLSETGEWEKLTGLRSPLPPARRMKVDHEAVACGDRLWWVDVSWGVLSVDPFSDRPELCFVELPEGMPGKEYAASDTEPGRRILGRYRRVAVSEGRLRYAEVSQREPFLLCSFALDGNDGGGGWTLEHEVALSLLWANGGYPWLPMEEGPDGTPRIGVIDPMNASIMLLTVGKYVVTVDMDRGKVLASAVVCQDQDHSLISCPHASLQSFLLPPWLGSTRIPPAGKRGNPKNKTLADVLIRSN* >Brasy5G045200.1.p pacid=40074797 transcript=Brasy5G045200.1 locus=Brasy5G045200 ID=Brasy5G045200.1.v1.1 annot-version=v1.1 MEGQNCLSTPCPPLFLPMLLPQTPAAPVLRSLHTQRPCRGVASVGRMNLGRSRVQIHRMQKPIRTPPAPALARLVARAHQKNCQEEPPSRDSGGESGDDTIPRRIPPILLPRNHRYHIEVGLEPGIGTKILSRRVLGFTEQFPEKAPKSSYLKKWIDQARTAFLVFRQEFNTSLSLSSSSCFS* >Brasy5G421300.1.p pacid=40074798 transcript=Brasy5G421300.1 locus=Brasy5G421300 ID=Brasy5G421300.1.v1.1 annot-version=v1.1 MAPGETPPRDDRAPKPATRSYWRWRKDDFFPEPSFASWATYRAALAATPSRLADRFLAGRSTDAAELGAMRRRSENEMRRCLTWWDLTWLGFGCHLGAGIFVLTGQESRDHAGPAIVLSYVVAGASAMLSVLCYAEFAVEIPVAGGSFAYLRVELGDVAAFVAAANLMLESAIGTAAVARAWTSYLASLLNKPVGALRVHWASLPDGYNDLDPIAASVIAVTACLAMASTKGSSRVNWIASAVHLLVIAFIIVAGFLHADARNLTPFVPYGVPGVFRAAAVVYFAYGGFDSIATMAEETKNPSRDIPLGLVGSMSAITAIYCTMALALSMMRPYTAIDRNAAYSVAFGEVGMRWMRYVVAVGALKGMTTVLLVGALGNARYATHIARSHIIPPLFALVHPRTGTPVHATILIAASSAFIAFFSSLDVLASLLSISTLFIFVMMAVALLVRRYHARGVTSRAHGLRLLVLVMLILGSSAGIASCWGVAPENWVGYAVLAPLWAAATLGVQVLVPVARAPRVWGVPLVPWLPSLSIATNMFLMGSLGAQAFVRFAVCTAVMMLYYVLVGLHATYDVAHEDKSEHKGVEGSGDAAADDKTAVDVEKSTGAGNDGR* >Brasy5G305500.1.p pacid=40074799 transcript=Brasy5G305500.1 locus=Brasy5G305500 ID=Brasy5G305500.1.v1.1 annot-version=v1.1 MSGQPRSPSIPPPQPQPFRFWLPYRSHATSLPRPPLPASPRPQAPPGPPPPTPAEPAPRAPPPRAVDEEDIPIQADSSDESDTVAVEALDALRLRGARQAVADLELTLSRSRPPPSNGQEQDRANDDGAKISISGFPRARLFDGARAPYRREIEDGLKSLAAAGRETPAPPMPPDNNQGYRVITLAGHNVGASMVLGSGSGGAPLPEGSASARPAAVATNVNSNVQGVNNSTMEGSSCSSGDPGVRVDVVKKEARDDEPGVTAPGKVEKDEEGKERPDQEPARTMPPQEKSPAGSGGGGGGEAARPPTRTRRCLRALMMETRKPRPPTACRFQCVAEHASPAAASEGGGKRAEEGAGESTR* >Brasy5G082700.1.p pacid=40074800 transcript=Brasy5G082700.1 locus=Brasy5G082700 ID=Brasy5G082700.1.v1.1 annot-version=v1.1 MPTSAVPTSMRKLDGAGNKVTGLDYDDDHILSAQTLLFSVSDRPTIDDDARRLIPAVDAALSRRADDGPDVDALGISLVYASCRHDYFVATTDLLGYSLRHGHAADITSAHLSAWLRFAARRVTGSFTMVSLHGDDTKLQAELPAATRAETMSLTLATATLTVTIQTDADEHNLCLLVSSCCSPRLRRDAASTLQEFRLMQLINLSSLELYARGLRALHLEACWSLWFDGTARISAPRLELLACTYVLRHLELTGLASLRRLEKLHLLSHGLPGDDVNQAAVGLLQRCAAAESVGLILRPPHLEWNADDEILSLLPPLPHIADLTLDVWTSVCIGIKAHRLRGSVATLVSRCSNPNCFCHQDQGNEGAIDMSLERLRDAKITGFRPWLGDQVSLVQLLIARAPGLETMTLELCNSTEAKGPPDLDIVPSDRGQWSRVYDSKSWIYTWKPEMQRVEKKRKRRRRADRSCYRISSTSLAISAVLLLGVLAFVLLLSGSYIHIKKPFFSS* >Brasy5G426500.1.p pacid=40074801 transcript=Brasy5G426500.1 locus=Brasy5G426500 ID=Brasy5G426500.1.v1.1 annot-version=v1.1 MASVERATAKAAAALLPGLLPTPPRSSMPCVIIIPPLAPNPNYPKPGRADSCARWDINKKNPISSSVSSSAERWDSGKRPPSRGASSAERWDAHKKPRAAEREQKEQQEISKEPKEEETTSKSVDPVFSGPSFFDSSPEPSMLPMPAFFPRRCGMVPVPAFVLQAH* >Brasy5G066600.1.p pacid=40074802 transcript=Brasy5G066600.1 locus=Brasy5G066600 ID=Brasy5G066600.1.v1.1 annot-version=v1.1 MMMRTMRGGGMLGLASRVAGTKGFSTEIFVSKLSFYTTEEELENIFSPFGTIEEARLMRDNQTGRPKGFGFVKYSSQVAAEKAVKAMDGRIIRGRIIFVETAKERDIE* >Brasy5G492900.1.p pacid=40074803 transcript=Brasy5G492900.1 locus=Brasy5G492900 ID=Brasy5G492900.1.v1.1 annot-version=v1.1 MRNELIPPNRRYQLVPGGICGPVSLVSSDLAVTGRLRPRRRHGSDGRSSRGRRRVGGRSSRGPGRSNRARRRPEKQGAAARRGPEQQGAAACRGPEQQGARAEQPRGATAGAAGGGGASGAGAAGGGASGAGVAGGGGASSSLQCRGQ* >Brasy5G471200.1.p pacid=40074804 transcript=Brasy5G471200.1 locus=Brasy5G471200 ID=Brasy5G471200.1.v1.1 annot-version=v1.1 MQPLMIQKPSHLSSDSSTLRFSRLFRRPFSSSSHAVPLPLLWNSVTEASGGLLMNFPSFLQSSPLPSSSSSQFQFISWQHLLPPQFLHSGRCGKCCRRVCYGDQFSTEHGQEVVGDTEDQEK* >Brasy5G496200.1.p pacid=40074805 transcript=Brasy5G496200.1 locus=Brasy5G496200 ID=Brasy5G496200.1.v1.1 annot-version=v1.1 MGWNDLLRGCFGLGERSNCPLVIYNEQAILDNLGEAIDMNTIDGGTWMSEMYRVQLQNESRALAVKKLQNRSGSAEDASLTNRCQSDVNLLGSIHHDNIISLDDCFRKSNFIVLVYNHKENGTLYQWRCTTLISPSWLHRGGFGSGLSTTCTMDTTTPSCTTTSTLPAYGLTLTLRPRSHVFILHSSATRLKVDLYSFGVVLLELVTGRVANLAIVDGHLAAWAGRVCNRLMENTRDFGGIVDMAILDRARYLKEMAAMFKLGVDCTVREPQERPSMHEVLYRLRNLGR* >Brasy5G028500.1.p pacid=40074806 transcript=Brasy5G028500.1 locus=Brasy5G028500 ID=Brasy5G028500.1.v1.1 annot-version=v1.1 MKDVVVLGYRSSLQNKKQTNRAPSPSPPLLPAPQFFPLYHFERTAKQQTIGQPNPPPLGGRRSGALPKPVPAPPLPAAVTPPSSLSPAPPTESKRNKNASTTRHATPLDDIFIRWSRAELVLPPPAAARPPHPRAHESGPNPYSPPSPVSPPPPHQIRRSRGRNRIAAAMAGAH* >Brasy5G495900.1.p pacid=40074807 transcript=Brasy5G495900.1 locus=Brasy5G495900 ID=Brasy5G495900.1.v1.1 annot-version=v1.1 MANMTAAPARSEQCRCQGSKEEDGMSTVTTESERPAAMAASAAGKGSTADADEEATAAPCSPVRLIAARCACLLLGFIAGAITAAACLLLKPPGHPEEPVWVFPT* >Brasy5G446100.1.p pacid=40074808 transcript=Brasy5G446100.1 locus=Brasy5G446100 ID=Brasy5G446100.1.v1.1 annot-version=v1.1 MEETEEEMDEVFYLCRFNPEPAEAVTYYLPRLLPGSPPPPPLPRGLDRLIHRADVYARSPADLAAAFPPVPKAGATGDRFFLTPRRRIPGRRGTKSARVAGEGTWVIQKTREILDGAGAKVGEVRNLSYCFKKGEATSGWVMEEYRCLLPQAAFADGEMVLCKIHLSRNASAAARRESAAYLLHPPQEEQAAEPVSAHEAPNAKRAAPVPADPPSPRRHGSLLPSTSPSPSPPRVKPPAAIALPARWKNFLAAVSRRKKLPVSKPARAWTSSRPRLRAFRSSVWPRRGATRSLLLPWRRRMEENSSAGAGTGPSQSSSPSVSSRATTISSPAPDADRS* >Brasy5G366400.1.p pacid=40074809 transcript=Brasy5G366400.1 locus=Brasy5G366400 ID=Brasy5G366400.1.v1.1 annot-version=v1.1 MESTAVLVRSAMAATGPSLGLSSPSLSSLLLGPSPPSAPLLFSPGSISFFPPSAISGQCSSLSSPSAISRPVFLFFFFFFFPHLLSPVTDSSSSCSPALPTASSSSSSFLSIDLWHVKFVGTCIHIFSYVLCVCN* >Brasy5G241800.1.p pacid=40074810 transcript=Brasy5G241800.1 locus=Brasy5G241800 ID=Brasy5G241800.1.v1.1 annot-version=v1.1 MGVPSFYRWLVDKYPGIVAPAPPRAIDDDEEELEDAAAAAGVCSYDNLYLDMNGIIHPCFHPENPSSASSPAPATFDDVFRAVFAYVDDLVSIARPRKLLYLALDGVAPRAKMNQQRARRFKSAIAAKDAEVEEKLLRDRFRAEGKELLPPVDGGDAEALSDPNVITPGTEFMEKLSAALQYYVRARLNAHPRWKHLKVILSDANVPGEGEHKIMSFIRAQRSAEGYDPNTRHCLYGLDADLIMLALASHEVHFSILRELQKIKCRSWFPRVTEAKPTGKLPKKPYQFLNIWVLREYLELEMAMPGCKHDIERLIDDFIFICFLMGNDFIPHSPSIQIHESAIDLLIDVYKTTFNKMGGYIVDTDKINDKHAAYVKVSRLEKFFHELSLHEEKIFLKRYELREKLLHKIQRQAAEDEWREKNYDNVDESSDGPDLMAKLFPPKDSISTCNDDKSDVTENTLELRRILKDNLRTKQDLFKSGSSGHDKIRLGLPGWKSRFFSEKFGADTSNEVGKLQSEMVQKYLEGLCWVLRYYFSDVPSWSWYYPFYYAPFASDVKHLSQFKISFSVDKPLKPFDQLMAVLPPERHVFSFALPKCYSKLMDREESTIQMFYPAELEIDTHGKRFLWQGVAKLPFIDLKLLLSATKTVEKDLALHEMSRNNVRQEKIFLRNTNTLSNNAAFMSMSDCTQKKLLISTRSALFFNPDAAKPVSRLLDNVRVPEKTVSDESEIAKRPLWHTYPGPRPPLGSWNPRPPPPMVGSRPETLWKASSPAMMPREEVKPAGTGWRGRGRAAAAAASGSHGRGLYRVDAAQIRFFGGGGGGDNGSAGYSFRPGGGGGGGVQQQQQTAWRPVGVWARGGGGRGGTGSGQPRRAW* >Brasy5G419700.1.p pacid=40074811 transcript=Brasy5G419700.1 locus=Brasy5G419700 ID=Brasy5G419700.1.v1.1 annot-version=v1.1 MARRPAPRTSLPAKASQPARRTSRRLQVDWRALPKDLVEFIAGKLPSARDACAFRVVCRAWYAALSFARTLAPVLLLPFNPDSTEDAATFYRVAVDKGDGEFLTRNGLPELRGKVMCGSSRGWLALADEAANLKLLNPFNGATADLPPGDERVAAASWRAVSMVVEDDGRRRWLRRYPDGSDHPGPGRLLPGDRPSAHPGSGRCVAMAVLAFSSTVAFCRVGVDNSWKLLHTKMSCRIGSVIYCPGRNRFLAIGLTGGISICHVSGRTPTARPVPSLARVPRQIVPRSYLQLDGELHLVGTVSVTGSTYCYKCNVFARKPAWSRVKKSPDMTLFMSKKFTAGTGGASVSGFKKDSVYFPEHINRYYTEPAGRRRHHEVEIINITKGTSELKAYREKSPSSSDALCWIQPNPWARNA* >Brasy5G345700.1.p pacid=40074812 transcript=Brasy5G345700.1 locus=Brasy5G345700 ID=Brasy5G345700.1.v1.1 annot-version=v1.1 MAIRSGATIWAGWAATQPCRRLAAHRRSLLQLPYLSTRFKPQIKCPGVWRPIARSSTSHQTGAPPATATEPEADDEVLLESPAHFRIYKSGKIDRLNEPTLSPAGLDEATGVTSKDVVLDADTGVSVRLYLPMLKEPAASTKLPVLVYFHGGAFLIGSADDATYHSYVNALAAAAGVLVVSADYRLAPEHLLPAAYDDCWAALQWAVASSAHDDDEWISEHGDTARLFLAGDSAGANIVHEMLVRAAAASDDGDGPRIEGAILLHPWFGGNEAIEGEHPAAPVFNGMIWSYACPGAVGGTDDPRINPLAPGAPSLETLACERMLVCAAQKDVLATRIRAYHETVAAAACPDAAAWFESEGEDHDFFLGNPGCENAKQLLDRIVAFIAGA* >Brasy5G469100.1.p pacid=40074813 transcript=Brasy5G469100.1 locus=Brasy5G469100 ID=Brasy5G469100.1.v1.1 annot-version=v1.1 MASVERALSKAAAALLPGLLPTPPMSTPLPCIIILPAASNPKFPKPGRADAVESPASSCGSTSPGRTDSCERSDMNKIKKNPSSMISGERYKRPPSLASSAERWDTHKKPPDAVSDAESRTSEDKEEQHKDETTEMAPCTHPVFSGPTFVASPEPSMLPMPAFFAHRAGTNPLPAFLQAY* >Brasy5G344500.1.p pacid=40074814 transcript=Brasy5G344500.1 locus=Brasy5G344500 ID=Brasy5G344500.1.v1.1 annot-version=v1.1 MNPPNPAPLLSLRPNPSLPPPGRHRRPHPPPPAAANTTGAASPQEWFLPRRPTDSDPSTSGGRVAARDPGVRVKAKEGTEDKKKGEIKRRWWERWSGDKESYLVDDVEPLPIPLTIPGTEPMSREELDRRLSCDVKIDDCKIVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCSGIGYVRKITYREGAENMDELDNGRPP* >Brasy5G382100.1.p pacid=40074815 transcript=Brasy5G382100.1 locus=Brasy5G382100 ID=Brasy5G382100.1.v1.1 annot-version=v1.1 MSGRLVRRILQEREVSPQDPAAASSEDELVVEEAASPTRVAARNPFDILDDDDDDEAEKDKGYEVDTDQILSYTEQKQSMKKKPNYTVPETNKKSKKKKKGKAEPPFSTKLRHEKSLDSILEDLSIEKKPMENSVHQNDRAAGKEIEANETTVGTSSVLAIDPKHLKAENEMRRIFGSKVVDSLENQRNIPGSSRQVRGVRRASINPRKTLLVSPPSFWPPWDKSIAMDLVETKSGLNYFRYVYDPSVSHVQDLFEAAKSANDLNAIAAILAKYPYHPESLLTFADLFKYSGEHQSSADAVEKCLFALECAWHPLFSPVQGKYQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEACKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDAVSENGSDHADKSTAVDLMKQALMLHPLVLSKIVDKAPLKDSSWTQILSNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDSREAQDWVCVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHDMPPVEQEARPERIRTPREIAGRNAALVFLESLLPWVDYGDNHHDGNDQNNDD* >Brasy5G400700.1.p pacid=40074816 transcript=Brasy5G400700.1 locus=Brasy5G400700 ID=Brasy5G400700.1.v1.1 annot-version=v1.1 MSAGNNRSSGGASTGRTGVGSSNSNAGTGTGSTDGGGANAVHLLNTARVIPPNDDQKSPLWRYVQKIEKTGRGQGGNAKFLCRLCDREMNGSYSRVKAHLLKWSNNGVRPCPKVTVDVLVQLKGEQDKADAVGASNMPCNIPLPTDGDGKKRRTSAIDGGMTVHGFKLAMACILLCSI* >Brasy5G341500.1.p pacid=40074817 transcript=Brasy5G341500.1 locus=Brasy5G341500 ID=Brasy5G341500.1.v1.1 annot-version=v1.1 MSRMEGEGNAKVGGTGEEMAAAKEKGSSFAATCNLLSRYLREKKAGLAVSGIVDMPPPPAADVGGGAFRPPATMNLLSGLEETSAAKTSAVDVELSLEKAGTGQLLGAFPTENQDAENTRELAAKEEARQLTIFYGGKVVVVDNFPSAKVKELLQIANAGDGALDKSLPQPAQSSLPDLPIARRNSLHRFLEKRKGRVIAKAPYQVHSSVAPFKQANVDDSWLGLGQEVPMKQLEM* >Brasy5G025500.1.p pacid=40074818 transcript=Brasy5G025500.1 locus=Brasy5G025500 ID=Brasy5G025500.1.v1.1 annot-version=v1.1 MGFLRVPALCMLLLIIPLLLLPGSEGETCTEFSKTYTTFYCTKDACVEHCHGEGFTEAECRMIGFNPIMIRCFCKKPC* >Brasy5G303600.1.p pacid=40074819 transcript=Brasy5G303600.1 locus=Brasy5G303600 ID=Brasy5G303600.1.v1.1 annot-version=v1.1 MSQSPAISTMAAIATSFSAAAIAHRRSRAHLQLVARRRVPFSTAAFSSSAPPAAAAGFGWADALRVASDTGLDDESDLSGYFRKVDICNRGMDKKGQFVEFLVEDQVVGYIHKGFTEHLRDFNDVFSIVSGKNCNSSLEHVTLHSSLKTQEDRTIAIGNVIKGLGELIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYIETDGEKSLWIGKRSDVKQTFPGMLDHLVAGGLPYSISCKENIIKECEEEAGIPRSISTNATSVGAISYMDIDGFRYKRDVLFCYDLRLPADFVPNNEDGEVDSFRLVPVRHAGNIIRRTDFFKANCNLVIIDFLFRHGYIEPDCHGYLKLLQSLRTGDCS* >Brasy5G410800.1.p pacid=40074820 transcript=Brasy5G410800.1 locus=Brasy5G410800 ID=Brasy5G410800.1.v1.1 annot-version=v1.1 MTAYLEMYKKPLTPKVIEALSVLAGLRGKAKIDLAALDFTAAELPSRRRALAASLSRPRVPTTAPTPPRAPATSRGCCLLHAEPTSRGHRPVPRAVRHVQRRRYRGHRLPRPLPGSQRDRERCSASLPEVSSRPAPRGPLPPPTPTSSSDWTAAGRLFLLLESDSFDSDLVTGAFDCRNDQMTLSEFKLQRYGYGSEMQIVRDRDLGHVKAVACEQRVRLRRLTAIV* >Brasy5G377600.1.p pacid=40074821 transcript=Brasy5G377600.1 locus=Brasy5G377600 ID=Brasy5G377600.1.v1.1 annot-version=v1.1 MAGALFSMAHPWASAFGILGNIISFLVFLAPTPTFLRVYRKKSTEGFSSVPYVVALFSCTLWILYALVKTNSSPLLTINAFGCVVEAAYIVLYLVYAPRPARLRTLASFLLLNVAAFSLIVAATVFLVAPTHRVKVLGSVCLAFSMAVFVAPLSVIFVVIKTKSAEYMPFSLSFFLTLSAVAWFFYGLFTKDIYVTLPNVGGFFFGIAQMTLYFCYRKPGTSALVLPTSIDDVATEPAASAAADQEVELPAGTHPAVAMLTVSTLPVLAELQKMEQEIGTPTPRKGYIKAF* >Brasy5G094600.1.p pacid=40074822 transcript=Brasy5G094600.1 locus=Brasy5G094600 ID=Brasy5G094600.1.v1.1 annot-version=v1.1 MAENPLPLSMLQRGRFYVATSIAVPLRRKPQHRRTDPSSPRAHRSFSPDQSAPACVSASYVGRRPRPLSTSTSPRPLGQSEPSKTIPLAPRLPPLFLPPAPPRPPRAGHRRGERASYISTAPPPPPPTLHPHQLHPHFLARTPSHRLSLGLSPEIWIEPSPLFFPCQAEPRVEERESASYPGLPSRYVLHAVDAEVVEGIQKDAKFDTEEFGEGEGHGGGGAASAVKRHYWTWIDEEDSRILAVHKSALLDGS* >Brasy5G193500.1.p pacid=40074823 transcript=Brasy5G193500.1 locus=Brasy5G193500 ID=Brasy5G193500.1.v1.1 annot-version=v1.1 METYIYLFRCNFVHDCWTDIGIHWDLSLNIIDRLLLARLQWRRGMFWEVFILGTWAIWKERNTDQGTTPSKASWTARLKSDLELLSLRIHKANLKTQLACFLASL* >Brasy5G152400.1.p pacid=40074824 transcript=Brasy5G152400.1 locus=Brasy5G152400 ID=Brasy5G152400.1.v1.1 annot-version=v1.1 MSDDDHTPRGRGSGSAWLEDIPHLPPSPLGNPRPHALAERSADDRAPRERRSALGNVPPPLLVNSLPERFTNPTEVDPPSDLRRARRRPGPRPRSDEAARRSISPSPASPSKRVRLDDGATRRSSRRSSSARPHQVDSTGIDPNATGTVPVMQQSELILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.2.p pacid=40074825 transcript=Brasy5G152400.2 locus=Brasy5G152400 ID=Brasy5G152400.2.v1.1 annot-version=v1.1 MSDDDHTPRGRGSGSAWLEDIPHLPPSPLGNPRPHALAERSADDRAPRERRSALGNVPPPLLVNSLPERFTNPTEVDPPSDLRRARRRPGPRPRSDEAARRSISPSPASPSKRVRLDDGATRRSSRRSSSARPHQVDSTGIDPNATGTVPVMQQSELILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.6.p pacid=40074826 transcript=Brasy5G152400.6 locus=Brasy5G152400 ID=Brasy5G152400.6.v1.1 annot-version=v1.1 MSDDDHTPRGRGSGSAWLEDIPHLPPSPLGNPRPHALAERSADDRAPRERRSALGNVPPPLLVNSLPERFTNPTEVDPPSDLRRARRRPGPRPRSDEAARRSISPSPASPSKRVRLDDGATRRSSRRSSSARPHQVDSTGIDPNATGTVPVMQQSELILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.3.p pacid=40074827 transcript=Brasy5G152400.3 locus=Brasy5G152400 ID=Brasy5G152400.3.v1.1 annot-version=v1.1 MPQILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.7.p pacid=40074828 transcript=Brasy5G152400.7 locus=Brasy5G152400 ID=Brasy5G152400.7.v1.1 annot-version=v1.1 MPQILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.4.p pacid=40074829 transcript=Brasy5G152400.4 locus=Brasy5G152400 ID=Brasy5G152400.4.v1.1 annot-version=v1.1 MPQILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEAISSLFNAGALPQLSKVNFLMLTELELIMDDTQAVNPDTIFTFLKNCRCPNLKKIFVQLPNISGVQGLDHSRNLVRNWPEVGLANLEIVKITNFTWNNLEMQLANFLLSQAINLHKMFLVSPNPFPLNTSGVLKEAVDKGQIVLTKSDDATCQSFHSEAFAKL* >Brasy5G152400.5.p pacid=40074830 transcript=Brasy5G152400.5 locus=Brasy5G152400 ID=Brasy5G152400.5.v1.1 annot-version=v1.1 MSDDDHTPRGRGSGSAWLEDIPHLPPSPLGNPRPHALAERSADDRAPRERRSALGNVPPPLLVNSLPERFTNPTEVDPPSDLRRARRRPGPRPRSDEAARRSISPSPASPSKRVRLDDGATRRSSRRSSSARPHQVDSTGIDPNATGTVPVMQQSELILTELEPDGDASLESLLGDEEGNRSDRADDLISKLQEDMKLQILTFLPLKAAIRMAVLSQGWHDLWVSRWQDSQHPTSVRFHLLTRAPISPGKMHKLLQGRQGHPLHHFSLIVETKRMTDKLFTDCLQYVAKCNVRDLNIELRRPALITKLNFNFVEASNLLVRVSLCGIIVSDFNHVGAQPFNNLKVMNLHSVRFSTTLFTKVVALCPCLHVLDLRYCDTLAHITVTAGWPNVRSLTIWECSRVVKVDAMAISSIRSFHFRGSNASFYLQGDAFTDLYFRFDYRIAISRLISTEWFVNTIGKTSKLTALTICSITLEN* >Brasy5G400800.1.p pacid=40074831 transcript=Brasy5G400800.1 locus=Brasy5G400800 ID=Brasy5G400800.1.v1.1 annot-version=v1.1 MSTGGVEANGETVLVTGASGFIGSWVVRLLLARGYSVHAAVLNPDDKAETDHLLALAGGEEGRLRFFRCDLLDGAAMLAAARGCSGVLHLASPCTVDAVLDPQKELMVPAVEGTLNVLRAAKAAGGVRRVVVTSSVSAIVPSPGWPAGEVRDERCWTDVDYCQKNGVWYPASKTLAEKAAWKFAEENELDVVVVNPGTVLGLMIPPTVNASMAMFLHLLEGCTEEYADFFMGAVHVEDVALAHILLYENPSASGRHLCVESTAHWSDFASKVAELYPNYKVPKFPEDTQPGLVRVEAASKKLIGLGMHFRPVEKIIGDAVESLRSRGCIS* >Brasy5G239700.1.p pacid=40074832 transcript=Brasy5G239700.1 locus=Brasy5G239700 ID=Brasy5G239700.1.v1.1 annot-version=v1.1 MTSTTDSDGPQMQQQQQQCRRQGVAVDVELHAAMALADMAGANARSTAAGNPQAMATEEQEEEEDLASTRLSLELGNVGINNQYLSSSPCSSSSSAGAHNPSVQAASALPAGGGSYGGGGLRARQPLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVSDLATQNENMKKEKDMVLEQYLTLKETNKQLKQQAHHLSLSSY* >Brasy5G385000.1.p pacid=40074833 transcript=Brasy5G385000.1 locus=Brasy5G385000 ID=Brasy5G385000.1.v1.1 annot-version=v1.1 MADTTASEHNSSGIHILLLPYPSQGHINPILQFGKRLAATRPGVRCTLAVTRFLLAETCGAASPVAVHIAEISDGYDRGGFAEAAGDVAAYLARLESAGSRTVGELLRAEAAEEHGRPVRAVVYDAFLQPWAPAVARRHGAACAAFFTQAPPVNVAYAHAEAGRMHVPVLGIGEETLELPGLPPGFKPADLPTFLTDPGDCPAYLDLLLKQFVGLDAVDHVLVNSFHELQPEESDYMAATWGAKTAYLDNRIPDDVSYGFHLHTPTTAATKAWLDARAPRSVAYVAFGSIAAPSAAQVAEVADGLLNSGAPFLWVVRASETSKIPDGFAEMLAKEPGRGMVVTWTAQLEVLSHGAVGCFVTHCGWNSTTEALGAGVPMVGVPQWSDQTTNAKYIQDVWRVGVRAWADGEGVVRKEEVERCVREVMGGEEYRRNAAEWKEKAKMSMSEGGSSDRNIVEFLRELGLGKSEQSTEAL* >Brasy5G235000.1.p pacid=40074834 transcript=Brasy5G235000.1 locus=Brasy5G235000 ID=Brasy5G235000.1.v1.1 annot-version=v1.1 MTYQYHVQRVEPLQNLKLSQVANDTMALSHLRQAPLALRLARLPLLASPCPATRRVLLFAPVRPWRLLSTAAKPRSLATVAAAEADDANASASADGFFAENSTSWKSLGISDRIVSALHGADLVRPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLIPLIEKLCSKSSSTENVDPQNIATGTHDIVLVLCPNVMLCEQVVSMANSLLDVSGEPLKRAAAVCGPKGWPAVRPDILVATPAALLNYLFDFDPERRRREIFLRSVKFIVFDEADMLLCGSFENQVIRLIDMLRFDEKLLSRAQDSGKEVPLEGSDGYHEDSGSESAEFSDVDEENEGGHIQDSAVEVENAHVERRKDWRRVRKIYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPSAVWVSGSYLHRHNPRLERRWIEVTGDTQVDALLDAVKYGLKSEVDQELGPNRTMVFANTVDAANSVSDILRRVGIPCILYHRESSLEERTNNLKSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTDANRDLVRAVRQAEQLAQPVERAFSRKRSFRNKLKKQARLREPATLLS* >Brasy5G235000.2.p pacid=40074835 transcript=Brasy5G235000.2 locus=Brasy5G235000 ID=Brasy5G235000.2.v1.1 annot-version=v1.1 MTYQYHVQRVEPLQNLKLSQVANDTMALSHLRQAPLALRLARLPLLASPCPATRRVLLFAPVRPWRLLSTAAKPRSLATVAAAEADDANASASADGFFAENSTSWKSLGISDRIVSALHGADLVRPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLIPLIEKLCSKSSSTENVDPQNIATGTHDIVLVLCPNVMLCEQVVSMANSLLDVSGEPLKRAAAVCGPKVFDEADMLLCGSFENQVIRLIDMLRFDEKLLSRAQDSGKEVPLEGSDGYHEDSGSESAEFSDVDEENEGGHIQDSAVEVENAHVERRKDWRRVRKIYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPSAVWVSGSYLHRHNPRLERRWIEVTGDTQVDALLDAVKYGLKSEVDQELGPNRTMVFANTVDAANSVSDILRRVGIPCILYHRESSLEERTNNLKSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTDANRDLVRAVRQAEQLAQPVERAFSRKRSFRNKLKKQARLREPATLLS* >Brasy5G377000.1.p pacid=40074836 transcript=Brasy5G377000.1 locus=Brasy5G377000 ID=Brasy5G377000.1.v1.1 annot-version=v1.1 MGLLALNRFMSMQRGQKRNRRGHSQPNQSIGSFAKIDGLPRQQDDNSYGCKRIRYSSDLPEGVDPGRIRSMELQNEDIWRHIHSLVPMKDAARAACVCHAFLRSWRCHPNLTFTKKTMCLKELRKGTAGLNDIKEYAANIDNVLTKHSGVGVKIFKLDYHGPYDDKTYNRLNNWLQIAVTPWIEELDLNILRPQKTVNFNFPCALLSERCRDSIRRLHLANCNLHSTFEPGLTSLKVLRLYNVHITGDELGCLLSDSLALEELMLIYCNDIRHLEIPCVLQRLRYLEVFECLSLRVIENKAPNICRFDFSLIGKQVQLLLGESLQVKMLRLAHSSAISSIDMIPSSLPYLENLSIHSGSEMANAPMVSSRFLHLKFLRVCFSGCNFHQDYDYLSLVSFLDASPSLETFVLFVSQQSKYDSIDEHTSSLRKIPEHCHGKLKRVKITGFSPRKSMVELACHILENTPSLECLTLDTTPVSYRCFGDISSKCAPLIPACIREARKTVLAVKTYIVAKVPSTVKLNVLEPCSRCHAL* >Brasy5G377000.2.p pacid=40074837 transcript=Brasy5G377000.2 locus=Brasy5G377000 ID=Brasy5G377000.2.v1.1 annot-version=v1.1 MGLLALNRFMSMQRGQKRNRRGHSQPNQSIGSFAKIDGLPRQQDDNSYGCKRIRYSSDLPEDIWRHIHSLVPMKDAARAACVCHAFLRSWRCHPNLTFTKKTMCLKELRKGTAGLNDIKEYAANIDNVLTKHSGVGVKIFKLDYHGPYDDKTYNRLNNWLQIAVTPWIEELDLNILRPQKTVNFNFPCALLSERCRDSIRRLHLANCNLHSTFEPGLTSLKVLRLYNVHITGDELGCLLSDSLALEELMLIYCNDIRHLEIPCVLQRLRYLEVFECLSLRVIENKAPNICRFDFSLIGKQVQLLLGESLQVKMLRLAHSSAISSIDMIPSSLPYLENLSIHSGSEMANAPMVSSRFLHLKFLRVCFSGCNFHQDYDYLSLVSFLDASPSLETFVLFVSQQSKYDSIDEHTSSLRKIPEHCHGKLKRVKITGFSPRKSMVELACHILENTPSLECLTLDTTPVSYRCFGDISSKCAPLIPACIREARKTVLAVKTYIVAKVPSTVKLNVLEPCSRCHAL* >Brasy5G377000.3.p pacid=40074838 transcript=Brasy5G377000.3 locus=Brasy5G377000 ID=Brasy5G377000.3.v1.1 annot-version=v1.1 MRFGSSTEKDIWRHIHSLVPMKDAARAACVCHAFLRSWRCHPNLTFTKKTMCLKELRKGTAGLNDIKEYAANIDNVLTKHSGVGVKIFKLDYHGPYDDKTYNRLNNWLQIAVTPWIEELDLNILRPQKTVNFNFPCALLSERCRDSIRRLHLANCNLHSTFEPGLTSLKVLRLYNVHITGDELGCLLSDSLALEELMLIYCNDIRHLEIPCVLQRLRYLEVFECLSLRVIENKAPNICRFDFSLIGKQVQLLLGESLQVKMLRLAHSSAISSIDMIPSSLPYLENLSIHSGSEMANAPMVSSRFLHLKFLRVCFSGCNFHQDYDYLSLVSFLDASPSLETFVLFVSQQSKYDSIDEHTSSLRKIPEHCHGKLKRVKITGFSPRKSMVELACHILENTPSLECLTLDTTPVSYRCFGDISSKCAPLIPACIREARKTVLAVKTYIVAKVPSTVKLNVLEPCSRCHAL* >Brasy5G377000.4.p pacid=40074839 transcript=Brasy5G377000.4 locus=Brasy5G377000 ID=Brasy5G377000.4.v1.1 annot-version=v1.1 MKDAARAACVCHAFLRSWRCHPNLTFTKKTMCLKELRKGTAGLNDIKEYAANIDNVLTKHSGVGVKIFKLDYHGPYDDKTYNRLNNWLQIAVTPWIEELDLNILRPQKTVNFNFPCALLSERCRDSIRRLHLANCNLHSTFEPGLTSLKVLRLYNVHITGDELGCLLSDSLALEELMLIYCNDIRHLEIPCVLQRLRYLEVFECLSLRVIENKAPNICRFDFSLIGKQVQLLLGESLQVKMLRLAHSSAISSIDMIPSSLPYLENLSIHSGSEMANAPMVSSRFLHLKFLRVCFSGCNFHQDYDYLSLVSFLDASPSLETFVLFVSQQSKYDSIDEHTSSLRKIPEHCHGKLKRVKITGFSPRKSMVELACHILENTPSLECLTLDTTPVSYRCFGDISSKCAPLIPACIREARKTVLAVKTYIVAKVPSTVKLNVLEPCSRCHAL* >Brasy5G077900.1.p pacid=40074840 transcript=Brasy5G077900.1 locus=Brasy5G077900 ID=Brasy5G077900.1.v1.1 annot-version=v1.1 MKYMASLRHLYTNGCQSLKCMPPDLGQIASLQTITYFVVGANPGCSTVKELQNLNLHGELELCGLQHVAEEDAEAAALGMKEKLTHLSLEWNGDHHEEPVPDCHKKVLDALKPHDGLLMLSIVSYKSTGLPRWATNLTVLKSLIELHLVCCTMCEEFPLFCHLRALQVLHLRRCDKLQYLCLDTASATFPELRELQLHDLERLERWVLAEGTEEEELTFPLLQHLEIKNCPKLTTLPEAPKLQILKVAEVKAHLSLLIVKSGYMFSLSNLQMSVSDTEAVPASQDSQLDLDVEAILSQMWLSGCHFFFTLSPPQPPIGIWKCFGQLTILLIESCDTLIYWPDEVFRGLVSLESLEVATCSKLIGPTPLKQDPTQRRYHLLPHLRNLKILHCERLRGLFILPPSLTHIDITFCNNNEFILAKEDAELEHLDRCTSSEHCNDLVTTSMPKQFPLPRLAYLNICACHKVEALLCLPPSLGRLQIQSCHNLRTVSGQLDGLMHLYVTGCNKLEALDSAGGLPLLEDLSFSRCRRLASLPTGYSQLGYFKVEYCPAMNMKPIYEHQQQLGSLEHKWDISRAHSNDPAEGPKWRDPKSWKYAIPGRR* >Brasy5G087500.1.p pacid=40074841 transcript=Brasy5G087500.1 locus=Brasy5G087500 ID=Brasy5G087500.1.v1.1 annot-version=v1.1 MANATALLFLLAALLCHHPGNAEPSSSCGKSDITVTATATGNVVRGQREYVAAVSTSCAACPLKDVRVWCGGVEDSAVPLDAGVVEVDEGMCVLKRPVARGSPVVFKYSSAVPVNFRVFNAAPAC* >Brasy5G352800.1.p pacid=40074842 transcript=Brasy5G352800.1 locus=Brasy5G352800 ID=Brasy5G352800.1.v1.1 annot-version=v1.1 MPPPTFPFFLTATTLATAAKPLPAQPQPPTCDAQTPAASLAASYTARMRLNPHLALRLFDHLLRSGADPDPIAYALALARCARERAYPAAAQLHGHAVKRGAASHRRVSNGLIHAYAVCGMLFDARKVFDYVREVDMIAWNCLLRGYAQVGDARTLRDFFSRMPARDSVSWNTVIAWCVANGEYEEAIAVFQEMLACQDCQPDRVTLVSVISAIAYLGALAQGFWAHAYVCRKGIEVEEKLSSALINMYSKCGFIEGAVYVFENSCAMGSVDTWNAIIAGFTANGCSQRALELFTRMESTGFVPNKITFNSVLNACSHGGFVEEGIGCFERMNNQYGIEPDIAHYGCMVDLFCRAGLFEKAEVIIQMMPMEPDASVWKALVGACRTYKNFKLGKKAGHRLIEAAPNDHTGYVLLSNIYALDGNWKGVHKVRKRMFDFGVQKVPGSSSIEVDGVIHEFISGDKSHSRMKDVYEMLGEICQQLKIAGYAPDTSQVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRVVKNLRVCGDCHNAIKLLSKIYGRSIIVRDANRFHRFSEGSCSCRDYWNQSSALTYTPDGPLLCRHQGFASFVPDASPACIGRAPAYGWYT* >Brasy5G175600.1.p pacid=40074843 transcript=Brasy5G175600.1 locus=Brasy5G175600 ID=Brasy5G175600.1.v1.1 annot-version=v1.1 MADYFTGLACPGTRGGSTVCRLKCALERRNMAQALCQGVCTYLPLLSQACVDNFSGPCTGPGLCRNACQTLKA* >Brasy5G513000.1.p pacid=40074844 transcript=Brasy5G513000.1 locus=Brasy5G513000 ID=Brasy5G513000.1.v1.1 annot-version=v1.1 MKIFSWVANKIGGKQDASRFPASSSGPSRANVSDCRNDEFSDWPQSLLAIGTFGNKQIEEAAQVHNSSEDVHSMQDAIKFTEEEVDKIQKEFAMILEGKDQGEAHYSHDNDQPKNVDESVNEKHMDQLMNKKLIISKARDSVGKKRSHLKPRSVASLLKLLMCKGGFTSAVPDPRSSFPQSRMEKLLKAILQKKIHPQNSSAPGPRRHLDWKPDEQEINECLEDALRDLDDDGAKWDKTDSDFIVLEM* >Brasy5G513000.2.p pacid=40074845 transcript=Brasy5G513000.2 locus=Brasy5G513000 ID=Brasy5G513000.2.v1.1 annot-version=v1.1 MQDAIKFTEEEVDKIQKEFAMILEGKDQGEAHYSHDNDQPKNVDESVNEKHMDQLMNKKLIISKARDSVGKKRSHLKPRSVASLLKLLMCKGGFTSAVPDPRSSFPQSRMEKLLKAILQKKIHPQNSSAPGPRRHLDWKPDEQEINECLEDALRDLDDDGAKWDKTDSDFIVLEM* >Brasy5G513000.3.p pacid=40074846 transcript=Brasy5G513000.3 locus=Brasy5G513000 ID=Brasy5G513000.3.v1.1 annot-version=v1.1 MQDAIKFTEEEVDKIQKEFAMILEGKDQGEAHYSHDNDQPKNVDESVNEKHMDQLMNKKLIISKARDSVGKKRSHLKPRSVASLLKLLMCKGGFTSAVPDPRSSFPQSRMEKLLKAILQKKIHPQNSSAPGPRRHLDWKPDEQEINECLEDALRDLDDDGAKWDKTDSDFIVLEM* >Brasy5G513000.4.p pacid=40074847 transcript=Brasy5G513000.4 locus=Brasy5G513000 ID=Brasy5G513000.4.v1.1 annot-version=v1.1 MQDAIKFTEEEVDKIQKEFAMILEGKDQGEAHYSHDNDQPKNVDESVNEKHMDQLMNKKLIISKARDSVGKKRSHLKPRSVASLLKLLMCKGGFTSAVPDPRSSFPQSRMEKLLKAILQKKIHPQNSSAPGPRRHLDWKPDEQEINECLEDALRDLDDDGAKWDKTDSDFIVLEM* >Brasy5G513000.5.p pacid=40074848 transcript=Brasy5G513000.5 locus=Brasy5G513000 ID=Brasy5G513000.5.v1.1 annot-version=v1.1 MQDAIKFTEEEVDKIQKEFAMILEGKDQGEAHYSHDNDQPKNVDESVNEKHMDQLMNKKLIISKARDSVGKKRSHLKPRSVASLLKLLMCKGGFTSAVPDPRSSFPQSRMEKLLKAILQKKIHPQNSSAPGPRRHLDWKPDEQEINECLEDALRDLDDDGAKWDKTDSDFIVLEM* >Brasy5G362200.1.p pacid=40074849 transcript=Brasy5G362200.1 locus=Brasy5G362200 ID=Brasy5G362200.1.v1.1 annot-version=v1.1 MVAAAPTAGEATPPPDPPPSRISVRSSASSSRRRCALSSRFCEPASPRRQAWVSLQGRLVGAEDAASADVAAPGLSPDEAMAWELFSPLHRVLLVATVAAASSRSHDARRIEQLQRSIHIRDEVLQSMQQKLDDLFAEMNSLQQQYIKCDSFISTEREKIELVGSKELGGEEGARCCACALPEIAATAHKIKDLCGKDDANSDEIGRSSVSLVEHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDATIKKLTAAAHASSSADAKRIAELQDTLRRKNMVISKLKKDMSALKQMVVELARAKRASSANLNKVCSELPVMSNNVLYDMSSTSPSSSDSDSPVARREYLNVHLVNDTPGDCESKVSSRVPVRKTSLPPTKSSACNLRSISPLKEKSLNPKVETGSVGRQKQLISSNGDFKKTRRQSHQDLRSKATKRWM* >Brasy5G196000.1.p pacid=40074850 transcript=Brasy5G196000.1 locus=Brasy5G196000 ID=Brasy5G196000.1.v1.1 annot-version=v1.1 MAGLEELKKKLQPLMFNDPDKDGVSTRVPFPEDTCDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSAGADESDFGEKAYRCASQDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKREQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKVKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANMLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIHNEKYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPAPPENAFSPEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYENAGVDLAAYVKGVVDPAERLKQIAEMLAVHYYLLFNGSDGLWHHMKTFYMEDSTFSFSGNLYVGQSDIFHTLSNIRTKLKGDRPREKIVHVVEKLHCRANEETGIAIRVSGSFIVSNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMHPGRSMGCYYISRQDLYIIQA* >Brasy5G196000.2.p pacid=40074851 transcript=Brasy5G196000.2 locus=Brasy5G196000 ID=Brasy5G196000.2.v1.1 annot-version=v1.1 MAGLEELKKKLQPLMFNDPDKDGVSTRVPFPEDTCDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSAGADESDFGEKAYRCASQDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKREQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKGLRYLHEVRHLVHRDIKPANMLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIHNEKYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPAPPENAFSPEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYENAGVDLAAYVKGVVDPAERLKQIAEMLAVHYYLLFNGSDGLWHHMKTFYMEDSTFSFSGNLYVGQSDIFHTLSNIRTKLKGDRPREKIVHVVEKLHCRANEETGIAIRVSGSFIVSNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMHPGRSMGCYYISRQDLYIIQA* >Brasy5G196000.3.p pacid=40074852 transcript=Brasy5G196000.3 locus=Brasy5G196000 ID=Brasy5G196000.3.v1.1 annot-version=v1.1 MAGLEELKKKLQPLMFNDPDKDGVSTRVPFPEDTCDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSAGADESDFGEKAYRCASQDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKREQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKGLRYLHEVRHLVHRDIKPANMLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIHNEKYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPAPPENAFSPEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYENAGVDLAAYVKGVVDPAERLKQIAEMLAVHYYLLFNGSDGLWHHMKTFYMEDSTFSFSGNLYVGQSDIFHTLSNIRTKLKGDRPREKIVHVVEKLHCRANEETGIAIRVSGSFIVSNQFLICGEGLQAEGMPSLEELSIDIPSKRVGQFREQFIMHPGRSMGCYYISRQDLYIIQA* >Brasy5G400500.1.p pacid=40074853 transcript=Brasy5G400500.1 locus=Brasy5G400500 ID=Brasy5G400500.1.v1.1 annot-version=v1.1 MPGIGDPESSSVLRLAYEFAYLGCVIFGSVLANNRDKYGLSYLPTYKFWGRRVYYWGAPFMKTNLFFLALERFAAPHARPYKFLILWNRFFFDD* >Brasy5G355800.1.p pacid=40074854 transcript=Brasy5G355800.1 locus=Brasy5G355800 ID=Brasy5G355800.1.v1.1 annot-version=v1.1 MTKRRNTGREVKWLRHYSSAQSILIVGDGDFSFSLALATAFGSGVNIVATSLDSYEALIVKYSKAASNVIVLKRMGAKVLHGINAKSMKRHSYFEMRRFHRIVFNFPHAGFKGREHEMHVVISHRELVKGFFSNARELLQPYGEIHISHKTGYPYHAWNIEQLASESSLTMTDKVGFQKQDYPGYNQKRGDGPRCNRSFPLGHCCTFKFQVNEVREESICCCYICQDRHVLAYHDSVRGQGTLAPYRFVK* >Brasy5G346100.1.p pacid=40074855 transcript=Brasy5G346100.1 locus=Brasy5G346100 ID=Brasy5G346100.1.v1.1 annot-version=v1.1 MPIPVANSSRQVLWAALLVAPLAALLFQFPIHILPKHRAAEPKVAAAMDPSSEIEYDMPGVLRLHKSGRVERFDGTETVPPSPSGDPDNGVASKDVVLDPEANISARLYLPAAAAAEPGKKFPVVVFFHGGAFMVHTAASPLYHKYAASLAAAVPAVVVSVDYRLAPEHRLPAAYDDAFAALKAVVTACRPDGAEPWLAVHGDASRIVLAGDSAGANMAHNTAIRLRKERIDGYGDKVSGVALLHPYFWGKEAVGGESADAAYRGGFERAWEVICGGAFGPDHPYINPAASPEEWSQLGCGRVLVTTAELCWFVERARAYAEGVKKCGWDGELEFYETKGEGHVYFLPKPDCDDAVKELAVVADFVRRC* >Brasy5G189900.1.p pacid=40074856 transcript=Brasy5G189900.1 locus=Brasy5G189900 ID=Brasy5G189900.1.v1.1 annot-version=v1.1 MSFRGTKKKSRPGSRPARNPYCPQAKPKPNRRRRTKSRAADPASGSVEGAGSRGDGVEEARVRPLAGSWATDPSACETYRRRLGPGRPDPDLGWPDPSISMQDPSDSVVGVGAGRFARRRARGRPDPACSNAGGGVALWQWLPSAAQGVGGYHHAGRWAGGRRRLTVGREGIRKGGDAEGRSGGDWEGRRSGGRGYREGRMSHEIGKGERRCVRVGGWRYGEREKGARGSEGDKRRHVMDPGRFSSTNRTRALGSVFFLILDNRWAQIQHH* >Brasy5G056300.1.p pacid=40074857 transcript=Brasy5G056300.1 locus=Brasy5G056300 ID=Brasy5G056300.1.v1.1 annot-version=v1.1 MDSTAAAPQTSTQEQKQDGSGGDGRYGVLLYYKYAEIPDAPALAAFYEARCRALALVGRVRVGPDGVNATLGGRMAALEKHIEEMSSNSLFDGTDFKLASCEDPVDERVARECGFTSLSVRLVKELVTLCSNPSLTTPEISLAGRHLSAAEFHSVLQSAGPGSDSEASAEKNEVVVLDARNLYETRIGKFHVPNVETLHPEIRQYSDLPLWIDEHSEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFDGKNFVFDHRISVGSLKDNILGTCLKCGSTYDDYSPRCRCTHCRMLVLVCSTCQDSTKEYVCELCLRNGKPCCQISVRQDCQTETELSESSAFGKPSITNKVLTSNTHLSNGGEQLKKLRILCLHGFRQNASSFKGRTSALAKKLKHIAELVFIDAPHELSFLYQPNPEPCSDKPSPPPFTTKTKFAWLVSPNTSCHTEQDWKIADAPFDPLQYQQQTDGFEESYAYLENTIAQDGNFDGILGFSQGASMAALLCRQQQKTCGSPKFRFGIFCSGYPAPVGDFDSEPIRLPSLHCFGSTIGHDRQIANRASAELAGLFEEVRRSIIEHDMGHIIPTRPPYIDQMKEFLRDFM* >Brasy5G131200.1.p pacid=40074858 transcript=Brasy5G131200.1 locus=Brasy5G131200 ID=Brasy5G131200.1.v1.1 annot-version=v1.1 MTFYNMKNVSRYERLGKYGEPCTRLVCPNFSSKYRSRIFFLGTWTKKMPSSHHPPCNLLCPVAPSAAAVHRYHQRPPHLLGTPPRRLLSPPRRHTQGRLVPGKPPMASTGSSPAANPQQFKLILGSSSVARKHILTEMGFEFQVMTADIDERSIRREDPDELVMVLAEAKADAIMSRMSISDYQKEGDQPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGGHVSTVGGVVVTNLTTGKKLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKDLAKKLIHEALSV* >Brasy5G080400.1.p pacid=40074859 transcript=Brasy5G080400.1 locus=Brasy5G080400 ID=Brasy5G080400.1.v1.1 annot-version=v1.1 MSSTGGKVTGGKTGSAGGGRGKVKGSKAVSRSSKAGLQFPVGRIARYLKIGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHATLLPKKAGKDKADVVSQSQEF* >Brasy5G499400.1.p pacid=40074860 transcript=Brasy5G499400.1 locus=Brasy5G499400 ID=Brasy5G499400.1.v1.1 annot-version=v1.1 MRSLETIGPLLTRYAATQSLLQGAHIHAHLLKSGLFAVFRNHLLSFYSKCRLPGSARRVFDEIPDPCHVSWSSLVTAYSNNAMPRDALGTFRSMRSCSVRCNEFVLPVVLKCAPDAGFGTQVHALAMATGLGGDIFVANALVAMYGGFGFVDEARMVFDEAGCERNTVSWNGLMSAYVKNDRCSDAVEVFGEMVWGGVQPNEFGFSCVVNACTGSRDLEAGRKVHAMVIRTGYDKDVFTANALVDMYSKLGDIHMAAVVFGKVPETDVVSWNAFISGCVLHGHDQHALELLLQMKSSGLVPNVFTLSSILKACAGSGAFNLGRQIHGFMVKANADSDNYIAVGLVDMYAKHGLLDGAKKVFDWIPRRDLVLWNALISGCSHGAQHAEALLLFCRMRKEGFDVNRTTLAAVLKSTASLEAISDTRQVHALAEKLGFMSDSHVVNGLIDSYWKCDCLNYAYRVFEKHGSYDIIAFTSMITALSQCDHGEDAIKLFMEMLRKGLDPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDVFAGNALVYTYAKCGSIEDADLAFSGLPEKGVVSWSAMIGGLAQHGHGKRALDVFRRMVDEHISPNHITMTSVLCACNHAGLVDEAKRYFNSMKEKFGIERTGEHYACMIDLLGRAGKLDDAMELVNSMPFQANAAVWGALLAASRVHRDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWDDVAKVRKLMKDSKVKKEPAMSWVELKDKVHTFIVGDKSHPRARDIYAKLDELGDLMTKAGYVPNVEVDLHDVDKNEKELLLSHHSERLAVAFALISTPPGAPIRVKKNLRICRDCHAAFKFISDIVSREIIIRDINRFHHFRDGACSCGDYW* >Brasy5G231400.1.p pacid=40074861 transcript=Brasy5G231400.1 locus=Brasy5G231400 ID=Brasy5G231400.1.v1.1 annot-version=v1.1 MEKLHLLILILLPILLGLPLLYICEILWLRPERIIRKLRKQGEIKRIGQELASDEQKQGTNNYLSTLFPHLLLWRETYGPVFLYSTGAMEVLYVADPAMIKDMSHCTSTQLGQPTYIKRSRKPLFGEGILVANGDLWAYERKIIAPEFFMEKIKVMIRIIEEASVPLLEGWESMLDNAGGSREIYVDDHLRNFSADVIAKARFGSNFATGEEIFCKLRQLQTALSKQDALCKRLPTKSNQEIQKLEQEVRLLILDVANREKSSNDDDDGGRHNALLRTIVDGAHHCPGWGGGTAEEDFIVDNCKNIYFAGHETTAVTATWCLMLLATHRTWQDRARAEALEVCHARTTLLDADTLRRLKTLGGLNVPRGTIIQTAMLMLHLDEDAWGPDAGEFRPDRFAGGAAVACRPAMAQMYMPFGHGPRVCAGQNLAMVELKVLLAKLLSRFDFKPSPGYRHAPALRLIVEPGFGMPLLVTRLP* >Brasy5G041300.1.p pacid=40074862 transcript=Brasy5G041300.1 locus=Brasy5G041300 ID=Brasy5G041300.1.v1.1 annot-version=v1.1 MYVNIILHHHGLNRFKFSANYIFCLHCTMCRRTIFF* >Brasy5G177100.1.p pacid=40074863 transcript=Brasy5G177100.1 locus=Brasy5G177100 ID=Brasy5G177100.1.v1.1 annot-version=v1.1 MEYQFDTGTENQDKKKKKKKLQTFRYPPEEAEFLLAYQLTPFPTMHDVPPEIEAEYPEIFAELRATWASAAETAAKSDKQIVARLEEMRQEYAAKGYITYQEEVTDDEEEYKGPPRGRGRRRPRPGLVKRSGGAKKLN* >Brasy5G010200.1.p pacid=40074864 transcript=Brasy5G010200.1 locus=Brasy5G010200 ID=Brasy5G010200.1.v1.1 annot-version=v1.1 MATKLRLELLAILSMAAIGIGVLDFMAIITPQHCYAAGTTTVVRNIYISHKKPADFNSIQKAIDSIPVGNNQWIRLHIAAGVYHEKVNVPQNKSYILLEGEGRDRTIIEWGDHAGDKGDTNTANSATFASYATDFMARYITFKNSYNGVKHMGPAVAALVNGDRSSFHGCSFISVQDTLSDLAGRHYYENCYIEGSVDFIFGNAQSIFQGCEVSTGKSSVRQGFITAQGRVSEGDDTGFVFKSCKVGGVTPVYLGRAWCAYARVIFYQTDMSNIIVSRGWDAWHYVGEESKMMMVESECTGPGSNRTGRVPWSKELSRYNISRFLDLSYISADGWLDAQPL* >Brasy5G197900.1.p pacid=40074865 transcript=Brasy5G197900.1 locus=Brasy5G197900 ID=Brasy5G197900.1.v1.1 annot-version=v1.1 MAMARRSASHLLCSFRPFSLLLQPLTDAPTPAAAAAAASARRAMSSASVLRARGDDKELARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNDYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMKRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHSAALQVPIGLEEEFEGLVDLVELKAYNFEGGSGQDVVTSDVPSNMQDLVTDKRRELIEVVSEVDDQLAEAFLNDEPISANELKAAIRRATVARKFIPVYMGSAFKNKGVQPLLNGVLDYLPCPAEVENYALDQNKSEEKVLLGGTPAGPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVNPISKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRITLTDGASHAVDSSELAFKLAAIYAFRQCYTAAKPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFTMEYMEHNTVSQDVQMQLVNSHKATKSPE* >Brasy5G259400.1.p pacid=40074866 transcript=Brasy5G259400.1 locus=Brasy5G259400 ID=Brasy5G259400.1.v1.1 annot-version=v1.1 MVIPVIDFSRLDGDDRASALAEIATGFEEWGFFQLVNTGIPDELLERVKKVCSDCYKLREEGFKESNPAVKALAALVDQEGEGLPARKVQGMDWEDVFTLHDDMPWPSIPPTFKETMMEYRRELKKLAEKMLGVMEELLGLEEGHITKVFSKDGDFEPFYGTKVSHYPPCPRPEMVDGLRAHTDAGGLILLFQDDRVGGLQVLGRDSVWADVQPVENAIVINTGDQIEVMSNGRYKSAWHRVLATRDGNRRSIASFYNPARMATISPATPAAADTDSDYPSFMFGDYMEVYVKQKFQDKQPRFAAAAATAKELVE* >Brasy5G354600.1.p pacid=40074867 transcript=Brasy5G354600.1 locus=Brasy5G354600 ID=Brasy5G354600.1.v1.1 annot-version=v1.1 MEGKALALSHFRTRVGSPILLSADAGSAARRGSRASGRSRVSRYDAEAPPGRAGRGRVWKRDNPDSSPRRAGISGVPKLNPNVSIKRAGTSKVPIINFEVSHHRAVAAVRLLRAEKGKAFVDLLNEKGNDSGDNEMGYVERTLGFSTRHLDDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFSDMEPLLLQILRIGFFEILKLNVPSYAVVDENVRLAKVALRPGAGNMVNAILRKLLLLKETDSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRVLGKDEALKLMNWNNSDPYFSLRVNTVSGYIRADLVKRLEDLQVNYEISIMDEFVRIREGMQAVLQAGLLKDGMCAVQDESAGLVVSVVDPQPGETIIDCCAAPGGKTLFMASRLSGEGKIWALDINKGRLRILMEAAKSHNLDHMITDIHGDLRLHAKESTVKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMRLQDELLDSASSLVKPGGVLIYSTCSIDPEENENRITAFVQRHPEFIPQGVHEYVPAQFVTDEGFYSSNPTKHSLDGAFAARLVRSMH* >Brasy5G223900.1.p pacid=40074868 transcript=Brasy5G223900.1 locus=Brasy5G223900 ID=Brasy5G223900.1.v1.1 annot-version=v1.1 MNQLPAPASRLWEAGIRKLNSTMAIRRGGGIGVPGGGFPATAPGSLDLLPTTAIPASSAATTIYAGGLYDDESPTAATDATASDESDPEDSGSGSDEEDDSITHSEQLLPSGDFYQGAATSGAAIPHGTGKFLWTDGSMYEGSWRHGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEFGDTFAGHWAGNFRHGRGTQAYANGDVYDGLWREGRPDGHGRYIWRYGHEYVGAWKAGEMGGLGTVIWADGDRYDGAWEDARPKGQGTFRWADGGMYIGVWWGSEDAAGDGEEEGKSGGMGVYYPPSGGPAVAAPPREAREAISRLVEELEVTEGKEASLLPSQKILTWPGVEAVLKKPVWKPPEPEELAAQARRAADRRSSVSDLDVSGLSVAAAAEQCNEAEHQPEQGEQLAHSVAAVVEKAWMRAPTCMRAPPKPAKKQGETISKGHKNYELMLNLQLGIRHAVGRHSAPNSLDLKSSAFDPKEKVWSRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYAIHRRFDLKGSSQGRMTDKPIDQIDEHTTLKDLDLNFIFRLGGSRFQDFCRQVDRDCELLEQERIMDYSLLVGIHFKDRSWKKLSCFSSWLITDSNADNGADEPEPLRKPPKLGIAMPSRVENVVRTSPAEGSSECAPGTLIGEPTGEFKEVILFFGIIDILQDYDISKKLEHAYKSQLYDPNSISAVDPKQYCKRFRDFIYKAFTQDVQ* >Brasy5G223900.2.p pacid=40074869 transcript=Brasy5G223900.2 locus=Brasy5G223900 ID=Brasy5G223900.2.v1.1 annot-version=v1.1 MNQLPAPASRLWEAGIRKLNSTMAIRRGGGIGVPGGGFPATAPGSLDLLPTTAIPASSAATTIYAGGLYDDESPTAATDATASDESDPEDSGSGSDEEDDSITHSEQLLPSGDFYQGAATSGAAIPHGTGKFLWTDGSMYEGSWRHGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEFGDTFAGHWAGNFRHGRGTQAYANGDVYDGLWREGRPDGHGRYIWRYGHEYVGAWKAGEMGGLGTVIWADGDRYDGAWEDARPKGQGTFRWADGGMYIGVWWGSEDAAGDGEEEGKSGGMGVYYPPSGGPAVAAPPREAREAISRLVEELEVTEGKEASLLPSQKILTWPGVEAVLKKPVWKPPEPEELAAQARRAADRRSSVSDLDVSGLSVAAAAEQCNEAEHQPEQGEQLAHSVAAVVEKAWMRAPTCMRAPPKPAKKQGETISKGHKNYELMLNLQLGIRHAVGRHSAPNSLDLKSSAFDPKEKVWSRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYAIHRRFDLKGSSQGRMTDKPIDQIDEHTTLKDLDLNFIFRLGGSRFQDFCRQVDRDCELLEQERIMDYSLLVGIHFKDRYSNADNGADEPEPLRKPPKLGIAMPSRVENVVRTSPAEGSSECAPGTLIGEPTGEFKEVILFFGIIDILQDYDISKKLEHAYKSQLYDPNSISAVDPKQYCKRFRDFIYKAFTQDVQ* >Brasy5G223900.3.p pacid=40074870 transcript=Brasy5G223900.3 locus=Brasy5G223900 ID=Brasy5G223900.3.v1.1 annot-version=v1.1 MNQLPAPASRLWEAGIRKLNSTMAIRRGGGIGVPGGGFPATAPGSLDLLPTTAIPASSAATTIYAGGLYDDESPTAATDATASDESDPEDSGSGSDEEDDSITHSEQLLPSGDFYQGAATSGAAIPHGTGKFLWTDGSMYEGSWRHGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEFGDTFAGHWAGNFRHGRGTQAYANGDVYDGLWREGRPDGHGRYIWRYGHEYVGAWKAGEMGGLGTVIWADGDRYDGAWEDARPKGQGTFRWADGGMYIGVWWGSEDAAGDGEEEGKSGGMGVYYPPSGGPAVAAPPREAREAISRLVEELEVTEGKEASLLPSQKILTWPGVEAVLKKPVWKPPEPEELAAQARRAADRRSSVSDLDVSGLSVAAAAEQCNEAEHQPEQGEQLAHSVAAVVEKAWMRAPTCMRAPPKPAKKQGETISKGHKNYELMLNLQLGIRHAVGRHSAPNSLDLKSSAFDPKEKVWSRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYAIHRRFDLKGSSQGRMTDKPIDQIDEHTTLKDLDLNFIFRLGGSRFQDFCRQVDRDCELLEQERIMDYSLLVGIHFKDRCN* >Brasy5G120600.1.p pacid=40074871 transcript=Brasy5G120600.1 locus=Brasy5G120600 ID=Brasy5G120600.1.v1.1 annot-version=v1.1 MRSSGAGANTIPWCLHVEIDLLMMHGQLGEGRQSFP* >Brasy5G433600.1.p pacid=40074872 transcript=Brasy5G433600.1 locus=Brasy5G433600 ID=Brasy5G433600.1.v1.1 annot-version=v1.1 MNPLCCIAPVSLDHAAEYHHRPRILAAAPPSPPPQPAAGAAVAGVLHKWVNYGKGWRSRWFVLEDGVLSYYKLRGGAGGVGNGDSSAASTAAAARVIGEGDALRRAREDAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNTWIEALLAAKDRFPRSLTSNDFDPVADITLSTEKLRVRLLQEGLNETVVKECESIMTTELLELHNQMKSQQQQHSVLVDRLRQLETEKVELERTVVHETKEREAHGYTNGRYSDFHSVLSGGSGSESDPDTASQVADVESDEDEVTYFDTRDFLSVESLRSASSRRRELLTNGCSGLEYIRDSTVSTVKSIQYPFVKRRDKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDNALQWGKQGDSLMRILHVAAFAVSSYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCDGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGHYSCKLKFKELSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKWYDPMSEAVLLWERDKSMNQTRYNLSPFAISLNELAPHMLTKLPPTDSRQRPDQRHLENGEYEMANSEKLRLEQLQRQARRLQEKGWQPRWFRKDEDDSYCYVGGYWEAREKGNWDGIPEIFGQSSTLPGWS* >Brasy5G424200.1.p pacid=40074873 transcript=Brasy5G424200.1 locus=Brasy5G424200 ID=Brasy5G424200.1.v1.1 annot-version=v1.1 MRASMEAAASSSAARRSAAPDPGAANKKQRLAQAPRDPRSYATNGAAAAAAAASAEQQVQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYIKHFSARLPEVFCKAYRQVDPPVHTSMRHLFGTWKGVFSQASLQMIEKELGFQSPANGSSGAASSKPDSQSQRPSHSIHVNPKYLEARQQLQQPNKIPFFPQFGQGLLGAGAKASIMSDASDDIERVNRVAIDKGAGRRLDVVNSRPRAQRDPFSNPIHEKPDRDVRALGFSNISQQPVAGAGQFRSKSKGQDGIVGPYYTGGLSSSEEQFDRRNNLYANRDARPSGSVRLDSALLPTPVSNSDRIIKPSSNKSWKNSEEEEYMWDDVRSQGADYGGASSARKGERMVDDGSIIGFQGAKWADPGDQLDPNFHKPDIIPRFGHATGQDRRIAPYMDPEEYLHGKREVEPRIDREMWAEGQKFPESRSSLWLSQEKMHPDVGRDPRISRFSNQSASITSSPPIGLSGAYAGRSSLENATSGPTTFGEQKHKYWQSSSPPVHSASPTTSFARQGSPSPAEHDIYTSRSFLPLGQNLQEEHNQRAHALSQNAAHSQGRPSMKATVSQASQQTQKHPSVQPKPNLKPSDQLQTHLPHENSSSLFRSSVHLPLSSGMGHHQPEVSSPSDSTHVNSDQISASNLLAGLIKSGFKPNPNDHASLRAQPPLPSAPHQHGSTSLPIASASENATLKPHALNSVRPPLPPGPPPTQNAEKAAPLSSLLSSLVAKGLISSPSTNLSAAVPQKPSKSSLSAPDVNASPPLLPIAQPSVDKDAPTKTLLPQPVEIKMADLIGLEFKPEVLRKYHAHVVNGLFDDQSHQCKTCGLRFSLEEELSAHTVGCGSGLSETRNTGIAPERWYPSKNNWIDGSHEAQNIFLDSDVDASDSESGPAEVCEFMVPADESHIICLLCGEQFDDIYSIDRGDWMYKDAVYFDYSKVESSCGGSVESKGSAPIVHARCMPRIANDGMEVD* >Brasy5G515200.1.p pacid=40074874 transcript=Brasy5G515200.1 locus=Brasy5G515200 ID=Brasy5G515200.1.v1.1 annot-version=v1.1 MASSSPPPPTAGARPNTTTSSFSTAYPRYHIWLCSAYSFRAFAVSFQQRQACPLTGRSRPSSPIRCSQGSGEVDAVNIEEAKQAQGASTGPRYYDKDLLPSFSPKELLEKLKRYGAAGVLSYGLLNTVYYVTTFLLVWFRFSPAPGRMGYAAAVERFIKLMAMVWAGSQVTKVLRAGGALALAPFVDKGLTWFTVKFNFQSEGKAFSTIVGLCFGLAALLFFGLTVLWA* >Brasy5G293400.1.p pacid=40074875 transcript=Brasy5G293400.1 locus=Brasy5G293400 ID=Brasy5G293400.1.v1.1 annot-version=v1.1 MAGGGAVAATGSKQEYPGRLTLFVLMACLVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVYRKQRADSSSNSNQYCKFDSQVLTMFTSSLYLAALVSSVCAASVTRAAGRKWSMFVGGVTFLAGCALNGAAQNVAMLILGRVLLGVGVGFANQSVPVYLSEMAPARMRGMLNNGFQLMITLGILAANLINYGTDKIAGGWGWRLSLALAAVPAGIITVGSFFLPDTPNSLLERGKADDAREMLRRVRGTDDVEEEYRDLSAASEASRAVKSPWRDILRRQYRPQLAMAVFIPLLQQLTGINVIMFYAPVLFKTLGFGGGASLMSAVITGVVNLAATLVSVFTVDRAGRRALFLQGGVQMFVSLVTVGALIGAKLGWSGVAEIPAGTRRRWWR* >Brasy5G315900.1.p pacid=40074876 transcript=Brasy5G315900.1 locus=Brasy5G315900 ID=Brasy5G315900.1.v1.1 annot-version=v1.1 MLEMPLVVKQYRCTHSVTCVCLKGNISEDALFLVFRHMNWNPRMIALISCTCKWFDEIARRVLWKEFCHARAPKMMQDLHSNGSHIVDGNWTALGKLLIHCSGCTRGACLTRFSRTSGKSFLPPHCRTDVLYVSDPCEHVDEDEEVDLGFFRGIFKSFAASKFKKVLIEKKAEIHPREVCPYCKAKLWDLLKANLIPRTAALRLDAYDDSVEYYMCLNGHILGLCTLMPVSESEDAREE* >Brasy5G437700.1.p pacid=40074877 transcript=Brasy5G437700.1 locus=Brasy5G437700 ID=Brasy5G437700.1.v1.1 annot-version=v1.1 MPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSLWYPYIKELDRQRGRGQLAVESPLLWTESELDYLNGSPMRDEVVVRDEGIRREYNELDTLWFMAGSLFKQYPFDVPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVDDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFVDEDNPYDRIAIEASLNMEDPQYQEKRMVAQRNGKLAIQKFQVCVGKEKETISEMLPYLRLGYISDPDEMQCILSSEGDTCPVSPCSERAVLDQLVVYLKSRLSGYPTTLDEDEAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAAHEFISALPDHTVSPCPALYAPNLK* >Brasy5G437700.3.p pacid=40074878 transcript=Brasy5G437700.3 locus=Brasy5G437700 ID=Brasy5G437700.3.v1.1 annot-version=v1.1 MPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSLWYPYIKELDRQRGRGQLAVESPLLWTESELDYLNGSPMRDEVVVRDEGIRREYNELDTLWFMAGSLFKQYPFDVPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVDDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFVDEDNPYDRIAIEASLNMEDPQYQEKRMVAQRNGKLAIQKFQVCVGKEKETISEMLPYLRLGYISDPDEMQCILSSEGDTCPVSPCSERAVLDQLVVYLKSRLSGYPTTLDEDEAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAAHEFISALPDHTVSPCPALYAPNLK* >Brasy5G437700.2.p pacid=40074879 transcript=Brasy5G437700.2 locus=Brasy5G437700 ID=Brasy5G437700.2.v1.1 annot-version=v1.1 MPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSLWYPYIKELDRQRGRGQLAVESPLLWTESELDYLNGSPMRDEVVVRDEGIRREYNELDTLWFMAGSLFKQYPFDVPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVDDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFVDEDNPYDRIAIEASLNMEDPQYQEKRMVAQRNGKLAIQKFQVCVGKEKETISEMLPYLRLGYISDPDEMQCILSSEGDTCPVSPCSERAVLDQLVVYLKSRLSGYPTTLDEDEAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAAHEFISALPDHTVSPCPALYAPNLK* >Brasy5G497600.1.p pacid=40074880 transcript=Brasy5G497600.1 locus=Brasy5G497600 ID=Brasy5G497600.1.v1.1 annot-version=v1.1 MWRAAASRLRLRAPSPRPAPAGPLLRLFSAGAGKRAAVEPPVTAAEARRLVRLVAVEALKRRLVAGREAVGYGELLEACVEAGAARTLGEAEALARAMDDAGVLLLFRDKAYLHPDKVVDLVRRAVPLALELENDPRKEEFEQMQEKKEEIDKLAHKQVRCILWSGLGFFMSQIGLFFRLTFWELSWDVMEPVAFFTTTSGLLVSYTYFLVTSRDPTYQDFMERLFLSRRRKLCAKHRFDMERYLELQKHCKCPLEGHYSHGI* >Brasy5G212900.1.p pacid=40074881 transcript=Brasy5G212900.1 locus=Brasy5G212900 ID=Brasy5G212900.1.v1.1 annot-version=v1.1 MLLTLKCPVTPPLSQSLVPLAEPSSRLVSLAAGVRPHSLVLDHLASLPQLPYASARPAACARARPAACARAGRAPYAPRSPDRMHPRSPRFLRVSPQCRLRPSSPGRCARARPSASVRARPATCARPHLCEPIAPPPPPHELTASQIR* >Brasy5G219200.1.p pacid=40074882 transcript=Brasy5G219200.1 locus=Brasy5G219200 ID=Brasy5G219200.1.v1.1 annot-version=v1.1 MSLDDLITKNKPHFRRGRARRNQQGRGGPTRSARRFHTRAAAAPYHHHQLNFHQQLQAPPAYGFVAQPMAMVEEETPTRLYISNLDYNVSNEDIKDLFSELGEVMRYSINYDKSGRSKGTAEVVFSTRSAARAAVNKYNNVHLDGKPMKIEVVGTNIEAPAAPAIFAFATPPAGNFSFPPKSGAGRGGGRGWPRRGGGRGHWRGRGERGGNPAVSAEDLDADLDKYHHSAAAMQMS* >Brasy5G370000.1.p pacid=40074883 transcript=Brasy5G370000.1 locus=Brasy5G370000 ID=Brasy5G370000.1.v1.1 annot-version=v1.1 MAPKVLMVAEKPSIALSIASAISGGRMSTRKGSTDVHEFDGTFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPVDLFNAPVLRSECNPKVHIRRHLAQEARGCTYLILWLDCDREGENICYEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVANDGTLIVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHMAERLYTQGYISYPRTESTAYPASFDFRSVLSALVHNPLWSNNICTLMDAGFVKPRQGHDAGDHPPITPMRSASEEALETDAWRLYQYICQHFIGTVSPDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKNGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKHISTQPMRMYCITCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGAIKLDDTGKLGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNMCNCVVLLPHAAHRITTTDKKCSTCESTIIEVDFNKKTTPLEDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRARGRGGSRGRGRRGNSRHDDPKMSFRDF* >Brasy5G370000.4.p pacid=40074884 transcript=Brasy5G370000.4 locus=Brasy5G370000 ID=Brasy5G370000.4.v1.1 annot-version=v1.1 MSVCCSKISRSRHISKLLTFGQMSTRKGSTDVHEFDGTFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPVDLFNAPVLRSECNPKVHIRRHLAQEARGCTYLILWLDCDREGENICYEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVANDGTLIVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHMAERLYTQGYISYPRTESTAYPASFDFRSVLSALVHNPLWSNNICTLMDAGFVKPRQGHDAGDHPPITPMRSASEEALETDAWRLYQYICQHFIGTVSPDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKNGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKHISTQPMRMYCITCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGAIKLDDTGKLGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNMCNCVVLLPHAAHRITTTDKKCSTCESTIIEVDFNKKTTPLEDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRARGRGGSRGRGRRGNSRHDDPKMSFRDF* >Brasy5G370000.5.p pacid=40074885 transcript=Brasy5G370000.5 locus=Brasy5G370000 ID=Brasy5G370000.5.v1.1 annot-version=v1.1 MSSDFPPAYQNWEGTDPVDLFNAPVLRSECNPKVHIRRHLAQEARGCTYLILWLDCDREGENICYEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVANDGTLIVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHMAERLYTQGYISYPRTESTAYPASFDFRSVLSALVHNPLWSNNICTLMDAGFVKPRQGHDAGDHPPITPMRSASEEALETDAWRLYQYICQHFIGTVSPDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKNGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKHISTQPMRMYCITCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGAIKLDDTGKLGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNMCNCVVLLPHAAHRITTTDKKCSTCESTIIEVDFNKKTTPLEDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRARGRGGSRGRGRRGNSRHDDPKMSFRDF* >Brasy5G370000.2.p pacid=40074886 transcript=Brasy5G370000.2 locus=Brasy5G370000 ID=Brasy5G370000.2.v1.1 annot-version=v1.1 MAPKVLMVAEKPSIALSIASAISGGRMSTRKGSTDVHEFDGTFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPVDLFNAPVLRSECNPKVHIRRHLAQEARGCTYLILWLDCDREGENICYEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVDARQEIDLKVTVMFQKMVANDGTLIVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHMAERLYTQGYISYPRTESTAYPASFDFRSVLSALVHNPLWSNNICTLMDAGFVKPRQGHDAGDHPPITPMRSASEEALETDAWRLYQYICQHFIGTVSPDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKNGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKHISTQPMRMYCITCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGAIKLDDTGKLGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNMCNCVVLLPHAAHRITTTDKKCSTCESTIIEVDFNKKTTPLEDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGRGRGRGRARGRGGSRGRGRRGNSRHDDPKMSFRDF* >Brasy5G370000.3.p pacid=40074887 transcript=Brasy5G370000.3 locus=Brasy5G370000 ID=Brasy5G370000.3.v1.1 annot-version=v1.1 MAPKVLMVAEKPSIALSIASAISGGRMSTRKGSTDVHEFDGTFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPVDLFNAPVLRSECNPKVHIRRHLAQEARGCTYLILWLDCDREGENICYEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVANDGTLIVTDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHMAERLYTQGYISYPRTESTAYPASFDFRSVLSALVHNPLWSNNICTLMDAGFVKPRQGHDAGDHPPITPMRSASEEALETDAWRLYQYICQHFIGTVSPDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKNGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVIQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKHISTQPMRMYCITCEEVYYLPQNGSIKIRSAQHVSLLSLKLTSIRKLPLLKMELLCMRVASCVMSCYIHSLR* >Brasy5G017900.1.p pacid=40074888 transcript=Brasy5G017900.1 locus=Brasy5G017900 ID=Brasy5G017900.1.v1.1 annot-version=v1.1 MSKDVVLIGASMAALVALSAVTFFCSNRRRGRSGGGQDDVELGRRRRCGAGAGVDEAALATYPTSVYCAKAAAGGGDDEVHEEKAGAGGEEDDDGARSCAVCLGEYEDGDELRRLPGCRHAFHRGCVDQWLRRRPTCPVCRAPPPTAAAAASASK* >Brasy5G133000.1.p pacid=40074889 transcript=Brasy5G133000.1 locus=Brasy5G133000 ID=Brasy5G133000.1.v1.1 annot-version=v1.1 MYASLLKVMLTLNQIANNQVTSACKRVLLLISDCSIFQLQEVQSPYKKYYTYWVSVLGSDYRVPTPFQFTFLMCLG* >Brasy5G515000.1.p pacid=40074890 transcript=Brasy5G515000.1 locus=Brasy5G515000 ID=Brasy5G515000.1.v1.1 annot-version=v1.1 MPRVPSRISLPARFPSLFLPPPVSPPEPSRKDRNPRYLFSLLLPAPSNLHSPQTLSYSSLHRALPEVIAAVVLVGDSSGLRIHSRPASTAKTYASAATQRRGCRDLPQRGVVTAQDIATFIELRSDLLVAFLLVSSDPVNNDHALRLRAEVHYSTRTLL* >Brasy5G301500.1.p pacid=40074891 transcript=Brasy5G301500.1 locus=Brasy5G301500 ID=Brasy5G301500.1.v1.1 annot-version=v1.1 RTRFSHQDRRTHENEFARRTIYVTHIDPNATEEVIAKLFQYCGVIVDCRLFRDSRTDFHSAFIEFQSHDDASIALHLDGVIIGDYPVNVVPSRTRIMPVKPSFLPRDMCSRTLYCTNIEKKLICDDNHATAMAFIEFTEVVISK* >Brasy5G068600.1.p pacid=40074892 transcript=Brasy5G068600.1 locus=Brasy5G068600 ID=Brasy5G068600.1.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNIVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEEKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVHLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVRLPSFSEELNSGQDIFQLGRDENLNIRITHGRAEYSNPIMSERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSIRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVKEVSNGSNAEKLGIRRGDVIESVNGERISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLRKTRSLIANVSDEGEVVKRGSRPFIIDEDTTPLLPLKLNLERGAYHLDEEVEFEFEDEDEDGGVSFGTSHILPHELDTGTI* >Brasy5G068600.2.p pacid=40074893 transcript=Brasy5G068600.2 locus=Brasy5G068600 ID=Brasy5G068600.2.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNIVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKDDEPLHRCSGFWIDWNEEEKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVHLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVRLPSFSEELNSGQDIFQLGRDENLNIRITHGRAEYSNPIMSERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSIRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVKEVSNGSNAEKLGIRRGDVIESVNGERISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLRKTRSLIANVSDEGEVVKRGSRPFIIDEDTTPLLPLKLNLERGAYHLDEEVEFEFEDEDEDGGVSFGTSHILPHELDTGTI* >Brasy5G188400.1.p pacid=40074894 transcript=Brasy5G188400.1 locus=Brasy5G188400 ID=Brasy5G188400.1.v1.1 annot-version=v1.1 MACHLRSISLPSRPHTKVEEELQILEACISSPSMTIETISDGLRRLGDIYGTIEEIMCLPSNQAFSSQQRKMLDGEMGRSLELLDLCNAMHEDFTELKAIIQDLQITTRKGDDTAAQVKIQSYTRLVKKAKKHFKKAEKKVTSSKEGCRMVRLLSEAREITTSLLESTLDLLSKQIVTPKRSLVSKAFQKNTSVAFKEEQLQVLECSVGNLEAGAGILFRRLVQSRVTLLNMLSS* >Brasy5G192500.1.p pacid=40074895 transcript=Brasy5G192500.1 locus=Brasy5G192500 ID=Brasy5G192500.1.v1.1 annot-version=v1.1 MSRRDFPFPFFFAQVPHLSPNQPHTEHQITPNRLRRERKRTPRDGRGTGASAKASTMAFTGRKFGQGGGQGSCRGSGGHGGGAGRASGDARRALGRQHRSGCNVGGARTAAPRGGSWGREAPWGDAGGREAERRERRRSAAEEISILIKSR* >Brasy5G254700.1.p pacid=40074896 transcript=Brasy5G254700.1 locus=Brasy5G254700 ID=Brasy5G254700.1.v1.1 annot-version=v1.1 MIRRRFVNLVLDTYIGRRYAYALHRINVSSFFNPRKPETPKGEGTAKDGDITRMMEDARLPRPAVTFYPSLIYAECMSLGSRNPDHMVTIDQDGRGVVYDVASRSFDILPTLHGPIYEPISLTVGGRFYMMKSSHSPLEDTHFEALIYGRCPDTFDLPEVWYWRSLPMPPFLTHPLGDRYGRWSYDEVYEGLDEDARPRVTAYTAVNDSEIWVSFGGETYSFDTVSSEWTKLGDWALPFEGRAEYSAEHKTWFGLSSSNARLLSTSDLLQSCGTSRAPPQLCNVWKELVMPEEWYPCSSCLLPLGSGRFCIAKVFETGQASNNEYNKKFLLLTGVEVERCSKVGNGGRTAELRLVKHRTCRYDLTAVTYIRVF* >Brasy5G254700.4.p pacid=40074897 transcript=Brasy5G254700.4 locus=Brasy5G254700 ID=Brasy5G254700.4.v1.1 annot-version=v1.1 MIRRRFVNLVLDTYIGRRYAYALHRINVSSFFNPRKPETPKGEGTAKDGDITRMMEDARLPRPAVTFYPSLIYAECMSLGSRNPDHMVTIDQDGRGVVYDVASRSFDILPTLHGPIYEPISLTVGGRFYMMKSSHSPLEDTHFEALIYGRCPDTFDLPEVWYWRSLPMPPFLTHPLGDRYGRWSYDEVYEGLDEDARPRVTAYTAVNDSEIWVSFGGETYSFDTVSSEWTKLGDWALPFEGRAEYSAEHKTWFGLSSSNARLLSTSDLLQSCGTSRAPPQLCNVWKELVMPEEWYPCSSCLLPLGSGRFCIAKVFETGQASNNEYNKKFLLLTGVEVERCSKVGNGGRTAELRLVKHRTCRYDLTAVTYIRVF* >Brasy5G254700.3.p pacid=40074898 transcript=Brasy5G254700.3 locus=Brasy5G254700 ID=Brasy5G254700.3.v1.1 annot-version=v1.1 MIRRRFVNLVLDTYIGRRYAYALHRINVSSFFNPRKPETPKGEGTAKDGDITRMMEDARLPRPAVTFYPSLIYAECMSLGSRNPDHMVTIDQDGRGVVYDVASRSFDILPTLHGPIYEPISLTVGGRFYMMKSSHSPLEDTHFEALIYGRCPDTFDLPEVWYWRSLPMPPFLTHPLGDRYGRWSYDEVYEGLDEDARPRVTAYTAVNDSEIWVSFGGETYSFDTVSSEWTKLGDWALPFEGRAEYSAEHKTWFGLSSSNARLLSTSDLLQSCGTSRAPPQLCNVWKELVMPEEWYPCSSCLLPLGSGRFCIAKVFETGQASNNEYNKKFLLLTGVEVERCSKVGNGGRTAELRLVKHRTCRYDLTAVTYIRVF* >Brasy5G254700.2.p pacid=40074899 transcript=Brasy5G254700.2 locus=Brasy5G254700 ID=Brasy5G254700.2.v1.1 annot-version=v1.1 MIRRRFVNLVLDTYIGRRYAYALHRINVSSFFNPRKPETPKGEGTAKDGDITRMMEDARLPRPAVTFYPSLIYAECMSLGSRNPDHMVTIDQDGRGVVYDVASRSFDILPTLHGPIYEPISLTVGGRFYMMKSSHSPLEDTHFEALIYGRCPDTFDLPEVWYWRSLPMPPFLTHPLGDRYGRWSYDEVYEGLDEDARPRVTAYTAVNDSEIWVSFGGETYSFDTVSSEWTKLGDWALPFEGRAEYSAEHKTWFGLSSSNARLLSTSDLLQSCGTSRAPPQLCNVWKELVMPEEWYPCSSCLLPLGSGRFCIAKVFETGQASNNEYNKKFLLLTGVEVERCSKVGNGGRTAELRLVKHRTCRYDLTAVTYIRVF* >Brasy5G299700.1.p pacid=40074900 transcript=Brasy5G299700.1 locus=Brasy5G299700 ID=Brasy5G299700.1.v1.1 annot-version=v1.1 MSTAVRCRLDFDAPPHRIVMGFAPPCPEESRSSRPRIPRVRAPVLSLSRARAPANSVQARCSPSPACRTAAERDGNCRQTWEREGNCRRRLDLEPDAVRRRGRSSTSPVTSAQHPRRAHTRAGHGRTTRGLLRVNETARGAPAGVGNGGVNPGAPRPSPSRPLLRPRGGAPRPPPRVPLQDARGALDDLGIHAVEHLQVALVHAIELGPCTCRTPFGSSWSPRADQGRCRRRGARSRGPPPCASSPPRIPSAAAAMPEFGGPPRPRALLPGHHSVHDGARDPGGCLHFHHGHALLHLRLHLVEEGPSRAQDGERD* >Brasy5G178000.1.p pacid=40074901 transcript=Brasy5G178000.1 locus=Brasy5G178000 ID=Brasy5G178000.1.v1.1 annot-version=v1.1 MAAEAAAGVPQLPEELIIWEILTRLPAKPVGRCRAVCRSWRSRLTSDPKFLLAHHRRQPSLPLLTTQDDQERLIDAMDHRTGERLPVARTDRLSAAAKNLDVLASCDGLLLVIAYGGLHICNPATRERAPVPLPQQVSCIAGLYAHRPSGSYRVLCFVKRAEDGHAVYHVHTVGSSEELRCVGEPPAPWASGDMVLAMPMMGYLQPHVLAGGRIYWHPVMLPGDGSTGKVNSMLVFDTVAESFQHLLSPVDGPFLELFEIDGTLGFYNYRGGTANLWVLQDHERWVWSCKHRIKLPEMPFLLVPDSNADMIVLCAKGKGTGLRWQYLQHVSATDGSSSIRYKWDVFLKLRKLRFKESLVRHSFFSSEDGDSVENPLFHGLSTVTVLPDDAPEEIHGGNVHCSVMISNYHKDF* >Brasy5G047600.1.p pacid=40074902 transcript=Brasy5G047600.1 locus=Brasy5G047600 ID=Brasy5G047600.1.v1.1 annot-version=v1.1 MCAANSQSAFPVPHFTRKIGFLGIFSASAPLNNNWRFINPHPFLPRFTPRLDHTHAPATGFEFLFSPRGMRLWWLWAAATWAWLAALAAAAHPGGGEQPLSRIAVGSTTLAVDGSARVKASPTVLGQEGENSGWVQLEFFSPNPSGDDWIGVFSPANFSAATCEPENKTQNPPVLCSAPIKYQFANFKNDGYSKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGEKGGRRLLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSMYTYRLGHRLQNGSRIWSKSYSFKASPYPGQDSLQQVVIFGDMGKAEADGSNEYNNFQPGSLNTTNQIIRDLDNVDMVLHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPETGSFYGKNDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYMFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTSYETEGTFEEPMGREALQELWQKHKVDLAFYGHVHNYERTCPVYQSQCVVDASDHYSGAFKATTHVVVGGAGASIADSEFTTSNIKWSHFRDFDFGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSIDNCPRTTLAS* >Brasy5G309500.1.p pacid=40074903 transcript=Brasy5G309500.1 locus=Brasy5G309500 ID=Brasy5G309500.1.v1.1 annot-version=v1.1 MAEHQSAAIAAAADGDGGEPPLPVPAALAAPADADRVAADAFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPNFVRQLNTYGFRKIVPDRWEFANDCFRGEAPAVRHPPAEGCPVVGGPAAAAAAAAAGAVTVAAAAIPMALPVTRSGSPEPHSSEEQVLSSNSGSAEECLPGPFGSGSGLGGGAGVGSPPVTWAKRTIGCYAATQQPDGPASLSSVVNCSGESALAPPPLLPTAILDLMPSCSALATAAGLAVDGEPDTSARLFGVSIGKRTRDSEDGGGREEDPRKTAARRGREAGAGGSVPRQQERSPDGPDQHSWPIYRPKPVYRACNGPDGAGSDQDRSNSR* >Brasy5G356800.1.p pacid=40074904 transcript=Brasy5G356800.1 locus=Brasy5G356800 ID=Brasy5G356800.1.v1.1 annot-version=v1.1 MHIMLMILQLKKASRCTTAGSSTMNDFVPMTSSSHLGLIGKYSIYARVRQYGEEWSLAGAKALRRHPCPAWPLGCDLPALDLCLRLFTHSPNILHKRSFVIVRGLLESEEHWPAGSDCPTKVCCPDDVDRGYQECMATINPEDSKLTSSLDFEPCTKTHSAEFWASLVCY* >Brasy5G044900.1.p pacid=40074905 transcript=Brasy5G044900.1 locus=Brasy5G044900 ID=Brasy5G044900.1.v1.1 annot-version=v1.1 MEHEGGFELGDKVLSPGYFVDFPSTPQDWGGFPIDDSLWHRPSSILGISRSVAAEFQPPSEGDLVSIPRDAGFPIDQLSYPPPLPSYQMNIDLPQSSLAAEFQPPSGGDLVSNPVHLVLPPPPKPSHDMNIDLPQSSLAAELQPPSSCDSVSSTVRPVPPPLPGQTDRPRSAVEELEAWRLPDLPSIPTDAELLQNHLRPASERLPGKSISQPRRLAVVNAGLPVMDPRELPGVDRGEGRIGIHYLTDNLQLCFAPNTLLDFEIKKKFTLKTPGGYWKQRDYWTVEYDRWGLGLVGFVGVKKTFDFHTKSGTKTKWIMNVYSMLNHSCYVEDDLVLCHVFQQDSTGYKPRPKCPTFHGGQCDGFSAMHHGPDRRSDPRVTAYMEDFAKLLLGDPDPDTSTDTTPTADHGQSKKRKKNSDVWDYFTKIFARDIEGNVLTFAACNHCTNILTAGSRGGTTHLARHACPCKLKPVEAGRNYKAGVSESSS* >Brasy5G395600.1.p pacid=40074906 transcript=Brasy5G395600.1 locus=Brasy5G395600 ID=Brasy5G395600.1.v1.1 annot-version=v1.1 MEPASLVSLTTSTAVNRSFSWRHRSTPTPKVRTMQLAMASKSGAKLVSAGCKTCRGKGAVECEGCKGTGRNKKNGNIFERWKCFDCQGFGMRKCPSCGKGGLTPEQRGER* >Brasy5G370700.1.p pacid=40074907 transcript=Brasy5G370700.1 locus=Brasy5G370700 ID=Brasy5G370700.1.v1.1 annot-version=v1.1 MAVPLLTRKIVKKRVKQFKRAHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE* >Brasy5G354500.1.p pacid=40074908 transcript=Brasy5G354500.1 locus=Brasy5G354500 ID=Brasy5G354500.1.v1.1 annot-version=v1.1 MENLFMQIFECRDRVTSQIRQQVDSNAQSCAFTLLAAGHRPPPWLLPSYGGDPQELNGKPIVSELLFPGSQITTPATNRTAFLPPTAPSTSCRNVRVPNGYAGLETICDTLDTNQDEVPQQKQVSVGRELAEASSEVNMFPLVHRSRSRQRRIEDSLREKNEATNSGSSGALQDRMQRIKLADVGSNRTTASLSSKQCGDGAHNAETTTSLPGQEKGSYANQGRSNELFQSSKDGDIGNQGINQIVSSENNVANDCSVTNLIGVQVTDSVCHPLSETYLSVEPKKLQFDGVESDCMNPASGQTMHLPQCALESADLDPTEAHPLNEDPSSTSCSQVPCCTGRLLLDGVESGYLNIDSAPVKQQQKSALKCASLDLAGMDSRKEDQYLYSSPEVPNHTSVQLLQRDTLHIVEDTERILKPYGFSDWNGIITRSSDSSNQRCSDSHVSHPPCSESLQPPTQLADTSSGAPASSEISPDSLVEEDGCEDHSHSFVNDADSQCSQFRSPACPEQLQPQTVTLSDVYRENLSCNKTQSNVTPSNGCPVVYASVAANNELSQEQQFLARTSLKCNERDVGTPLGHASPARHNKMLDGKSACDAANCHSGKFGDVLVVNTALIKECDVSVWEKNDASAVPEVMSCISARRTSEQHVTERSSMIPAENLQQDGTENTEHHKTERSSMIPAENLQQDGTEKDKRTKSSQPSVLYFLRSAASHEKISLLESDRSSAACDQKRSVGDVVEVNVSPSPKRRRISCRPDFDIGTAGHKTATNFSGKSQPSGRYFLRSSGTCESMSLGSEGRNDASNHNISVASGVYGNGNSSRGRRNTTSQLNVVLRNSQGASSIRSTIEGRVLGNSQEQIQSDVGVEFPITNSALPSLSGSLSDSEANCTQQEDTCLEGQDLNDVSVADQEMTLQMDNISSPIAILNPENYSGMESLTIFPSYALDQHGEQASAPNPLFHEKLCYGSNVELGRKYRSCDPKGHFLSGAAILRQRGDEPLDRDDSMPEFESFSVPAQFDSPTIGKRPFEALYGSRELVTLSSDPLKKYDTNTASGVRPLFTTVSAKPTNCSFSDDLQQYGASSSRSIMDSFGSYGLELDSFFISDAVASGSSNASSRQEINETPLTPSVEKYSLGKLSSRSGSEHMGSIPELECFRIDEDSSIAEEDEHQGRIALQDITRLCQNNGNSMSLSTGCMDTGNIGFTAESLSSELSHPTNVKREGKVSRSLHGRLGSTEILRNKTQSRHRSEANVDRRSKPSNIVANMTSFIPLVKQKSQSTTACVKKDVKVKALKAAEAAKRLEEKKQKEQEVRKAAAKLERERLKQEKELKQKLEEEQKKKREIDAATKKRQREEVEKKETVRKRKCIDEARKQHKLPMDRWRATKDEKDARLKASDNMEPRKSLVDVGKNQGKPDKTTEPAFELKANKSKDEMVVAVDQWPASFRSDAKENILNSLEESYKMSPYKDSDEEDDDDEHEQESRRRRKFIPSWARKENLDKILLSNKALDPREIFAQKFSFNISDVLSAHIPQRGLR* >Brasy5G446200.1.p pacid=40074909 transcript=Brasy5G446200.1 locus=Brasy5G446200 ID=Brasy5G446200.1.v1.1 annot-version=v1.1 MLSSLVRNLHRGCSSAYPPCAVSHTRTCDELFAMLSFGSLLTAGCCYWPRLCSRIFQQTCTSRIIVPESRSLVRSYALHSESNFIQRIVQ* >Brasy5G484300.1.p pacid=40074910 transcript=Brasy5G484300.1 locus=Brasy5G484300 ID=Brasy5G484300.1.v1.1 annot-version=v1.1 MDDLSKEQIAEFREAFSLFDKDGDGTITTAELGTVMKSLGQHPTEAELRDMVEEVDADGSGAIDFEEFLSLVARQMRGEGDADAEEELREAFRVFDKDSSGAISLDELRSVMKNLGEKLSEDELNEMLHEADVDGDGQINYKEFVKVMMAKRRQNMEEERGGDHHGSDHSHGGGGCPSCTIL* >Brasy5G222200.1.p pacid=40074911 transcript=Brasy5G222200.1 locus=Brasy5G222200 ID=Brasy5G222200.1.v1.1 annot-version=v1.1 MAKGDDALARKRGKVRRKRMRSSENAVSTRVAAIIASKRRRKAGKRRGCEGMCFSLPSPDDPFNDRHGKKKKDGLNPNDNDEATNDDDEPPKKKLKPPPKEKAAAAAGGGRGRPKNPVAPEREAGEEKYDRPSKFLVVCLNAIRDAAAGVDGDLGHENSSSWGLEFWKCCSASPPSDVLHAGEGCAPPGLAAWLVSAACDIVARKEKLGVVVPCPFLLYIVPSQERALEVRSICKPLKSLGIHSVSLHPGASVEHQISGLKSCEPEFLISTPERLLELVSRKAIDISNVSMMAMDGLKAFMDLNISDKLYSIKGAISSDAQITIFNDTCDQSAVPVVKSLLRGRITKLNVNDCSLNHRSAFIAQHIHFCPSEEKKTTQVKEILEHVLDNSASKTAKVLLVAADDHEAQNLASSLKLQTCAVTDDTHRGTFTICSSKGLINVLMKGQDSLAKSDIEEFEIVVVSDLPRSIEDYKEIISGMARHAVSGEVHSIFSNDDAALADPLADVLSKCGQSVPKFVRKLCG* >Brasy5G222200.2.p pacid=40074912 transcript=Brasy5G222200.2 locus=Brasy5G222200 ID=Brasy5G222200.2.v1.1 annot-version=v1.1 MAKGDDALARKRGKVRRKRMRSSENAVSTRVAAIIASKRRRKAGKRRGCEGMCFSLPSPDDPFNDRHGKKKKDGLNPNDNDEATNDDDEPPKKKLKPPPKEKYDRPSKFLVVCLNAIRDAAAGVDGDLGHENSSSWGLEFWKCCSASPPSDVLHAGEGCAPPGLAAWLVSAACDIVARKEKLGVVVPCPFLLYIVPSQERALEVRSICKPLKSLGIHSVSLHPGASVEHQISGLKSCEPEFLISTPERLLELVSRKAIDISNVSMMAMDGLKAFMDLNISDKLYSIKGAISSDAQITIFNDTCDQSAVPVVKSLLRGRITKLNVNDCSLNHRSAFIAQHIHFCPSEEKKTTQVKEILEHVLDNSASKTAKVLLVAADDHEAQNLASSLKLQTCAVTDDTHRGTFTICSSKGLINVLMKGQDSLAKSDIEEFEIVVVSDLPRSIEDYKEIISGMARHAVSGEVHSIFSNDDAALADPLADVLSKCGQSVPKFVRKLCG* >Brasy5G473100.1.p pacid=40074913 transcript=Brasy5G473100.1 locus=Brasy5G473100 ID=Brasy5G473100.1.v1.1 annot-version=v1.1 MAMVFEILMERERRLRGDPAPSPATGNEHRTGNATTGIDESSSRDDADNDDEDEDEEENEGEDLDEEDMEDGGGGTVDYHEAYRDGGFGGVPASAAAIADLIKEGKYRETKEEDEAAYREAARRCMICIEDFEAGDDLGVMPCSHRFHHVCLVEWLSRSRLCPCCRHALPSEAQP* >Brasy5G413300.1.p pacid=40074914 transcript=Brasy5G413300.1 locus=Brasy5G413300 ID=Brasy5G413300.1.v1.1 annot-version=v1.1 MGSNNSSQLLLGTNNKHAEAIRARPITATQFYLKLDNKVIQVSAAQVRNFEQADSGKQTANFRPFFFYYY* >Brasy5G280200.1.p pacid=40074915 transcript=Brasy5G280200.1 locus=Brasy5G280200 ID=Brasy5G280200.1.v1.1 annot-version=v1.1 MKVFTKGKIWTALGSLMDRASSNNKQASTVVVPDRALLADIEAAIARCTDGGGDAGSGSDDRHVHEILFLVSNAPGAITFLSRRITARLESARTPAAALRSLLLVHRLLRAGDRYFEQDFRGLWASRDLRIDAPRCSCSCSSASLDSGASANFITAPSGSLVAIATGACSFLHGYTAYLEERMQWVINQAGNLEPTGPPAQDQDPGSSYEDAAAEALLFKLAMCQRLLDVAVQLLPDNNTSAAARSAFGIVLRESFKVYDAFKEGLDVMLLRSRSSSISVGLSKSLRVSGHEVLRKACVQTPELKEFYHKCKKSNASKVTEYPVVRVVTPAMELMPPAGEEDEPGCGEAAAAQESEGGAPFESKLETTISAVWVEFDGDDDDRLAGCDGTLHAEQSS* >Brasy5G455500.1.p pacid=40074916 transcript=Brasy5G455500.1 locus=Brasy5G455500 ID=Brasy5G455500.1.v1.1 annot-version=v1.1 METPFLLCITFSLSLLLAASLMLLRRGSHGRAHGQKQMGLPPGPPALLFLAKFLVLRRSSFDLAHLFAGLYGPVFSVRILRHTMVFVADRRVAHRALVQGGAAFADRPLSRDPASVFSDGRNISGSPYGPYWRLVRRNLASLVALSPARAALFAPARRRATDGIVADLLHQEQQPVTVRPLLRRAMFELLMYMCFGAQLTKKALDEVEALQREVLLGITAFAFLPTLTKRLFPRRWAAYVTTRRRQAEIFEPLIRAAACRHRAGGNDELVAPPCYAESLLTIRVADEGDRPLTTDEAVCLCSEFLNGGTDTTTTLIEWIMAELVNRPGVQAKLHEEVTKVKRDPNDHDNLQATPYLKAVVLEGLRLHPPTSLVLPHAVRDDDNVGIGGYTVRKGTEINFLVAGMGRDPTTWTAAQEFRPERFLAGGEGCGVDITGSREIKMMPFGAGRRMCPGYSLGMLHTEYFVARLVRELEWLPPAAAAAEVDMTETLDFTTMMKNPLRARVVARS* >Brasy5G363000.1.p pacid=40074917 transcript=Brasy5G363000.1 locus=Brasy5G363000 ID=Brasy5G363000.1.v1.1 annot-version=v1.1 MRLHPPGPLLVPRESMQHARVVVNAWAIGRDPVVWERASEFWPERFLGSVVDFRGRRMCPGIGFTMAVVELALANLVARFDWTVLPSEAKVDMEEAPGITSRKRVPLCAAVASAWPA* >Brasy5G371600.1.p pacid=40074918 transcript=Brasy5G371600.1 locus=Brasy5G371600 ID=Brasy5G371600.1.v1.1 annot-version=v1.1 MAANFWASSHSKQLLDPEEVDVVPAADRERGITVEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMTEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLHLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTTWFEELRVDMNIVKNISMEILDFYETYKVDPQRGLSEDKITPVMNKLPAKA* >Brasy5G031300.1.p pacid=40074919 transcript=Brasy5G031300.1 locus=Brasy5G031300 ID=Brasy5G031300.1.v1.1 annot-version=v1.1 MARVAPPPPAAPRPPRGRRLRVFPGQRLLRVLHHLRQLLPHLLPLLRPRRRRHQVAPLRAVLPHPPPQHLRRRRLPRLRRLPAGVRGRRRAPRAAALRARLPRRLHRRLAPRARHLPALPRRRRAPAAARLPPAAVPPLLRQARPAQPRRPPLLPPRAPAADSSPERRRGDHGGHGQPREQAAQPEGFLAQALLLLRVRAEHHGWRHGPGRRGVHGVPSMALPPRRRRQRRRPRSEELLEQALAVPVRRRGRGARLLVPLRSRRRRQVVLPLLPPPRQRRRLLRSRRGRGWRVLHVPLLRAPVHPRRRQAQRTRVQPPPLRRPRGAALAGPPQPLTAGDDPTGLDGGGPASWRGQREEEDSSNGAIKCAACLGINGGRTRRGPLLGSAAPCAGLDAFE* >Brasy5G296000.1.p pacid=40074920 transcript=Brasy5G296000.1 locus=Brasy5G296000 ID=Brasy5G296000.1.v1.1 annot-version=v1.1 MAHEQPRRVPLASGVLRALSGHQHSWPYDLVASSTPEGLAAAPLDLRWPFWSGSSGAPPVSLVLAGGWCPGGGVEASATSSRRNKFSQLRPRFAGTLSVAGEEFVGVCHLVALLLLLSVGGEAGTGVWSLAFWPQGAVQCGWSRWACVFLGLILASTSCIFLFRCGIAGSSLRPRPMSLMGLYASASRGGATIVVNNAFMQRVCRRVHLCTHLAFVFAEEQWSSLENLRRFWSRGLGSLVYFFFFHQGSFCFSLDSRPYLYPTCTCTVFLNAFNI* >Brasy5G365800.1.p pacid=40074921 transcript=Brasy5G365800.1 locus=Brasy5G365800 ID=Brasy5G365800.1.v1.1 annot-version=v1.1 MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTASQSSPTQAYSQAINDLDSELENLKQAFEDEKNRFEERKQPY* >Brasy5G175200.1.p pacid=40074922 transcript=Brasy5G175200.1 locus=Brasy5G175200 ID=Brasy5G175200.1.v1.1 annot-version=v1.1 MHEVASAAYAAGARDGGGGPPEGQRRQGRKRRPRARKAVAAHAAGTRGCGGHRGRGRQRRRLSALRAQGGAAAAAVRTAKNGIGGGGGSRSRDGRAGLRRGRRARVQ* >Brasy5G524100.1.p pacid=40074923 transcript=Brasy5G524100.1 locus=Brasy5G524100 ID=Brasy5G524100.1.v1.1 annot-version=v1.1 MYHHSSSSSSYYCQGSNGFLPSQQSASSYGDLLQAEQQHYYSQQQQQEPMQAQALFRRVLSTGDLGTPPSAPVAGKYSVEERRERIEKYRNKRNQRNFQKKITYACRKTLADSRPRVKGRFARNVDDVSDQQEDAATSEVSSIDSLVMNEANVVVNATDAASSSSMPEWWPAMQGALEMEDEELYLAVSTINLY* >Brasy5G524100.2.p pacid=40074924 transcript=Brasy5G524100.2 locus=Brasy5G524100 ID=Brasy5G524100.2.v1.1 annot-version=v1.1 MYHHSSSSSSYYCQGSNGFLPSQQSASSYGDLLQAEQQHYYSQQQQQEPMQAQALFRRVLSTGDLGTPPSAPVAGKYSVEERRERIEKYRNKRNQRNFQKKITYACRKTLADSRPRVKGRFARNVDDVSDQQEDAATSEVSSIDSLVMNEANVVVNATDAASSSSMPEWWPAMQGALEMEDEELYLAVSTINLY* >Brasy5G296200.1.p pacid=40074925 transcript=Brasy5G296200.1 locus=Brasy5G296200 ID=Brasy5G296200.1.v1.1 annot-version=v1.1 MSIHRRRCSGPRRSSGPNPSLALPSRLSGEREVPGGSLAAGDGACAVASAALPAAMDNKDREPEEEEAKRAVVLGNLVLQQVQQGRAAAAGCSGCSSGLGAAVACSRGERRRRGAAGTAGTSGRGGVQQGFGARWRGSTPARFCAAAPGTTGSKMAT* >Brasy5G023300.1.p pacid=40074926 transcript=Brasy5G023300.1 locus=Brasy5G023300 ID=Brasy5G023300.1.v1.1 annot-version=v1.1 MPPVGTRRSTRVFVPKATRGVRRSGDRLAFSKSHWPKDAFHHKPPPAPHTRSFGIVYSRKRKRKLPAQPKHDDARFGIVFTRKDKRPKVAPSLQDNPSYIPPCSSSSSREFASRIGFLDANPSALVDDGVATAALLVVLVDTSCSGSSHHFVRLLLPVLRWLRHHFQRSKLRNLASFLSSAALATVFASLGLHFIKLRRRRRTSSSLQRTMVHCGWCELRDANQSQPLLSVNFSALPSYFQSLHSMIALRSIYLPAIFRPSMGLVGRAEEMCPRDALEADSWSPSTLDAEPSVDLRCDEARRVFQDYVPPKQVSGSAMHALMLKRHKRKRSSMRRPVNRRRLAATFPDMAVGMKQGTMTSLTELKPALTGPEVSMKPFQPKPAFDISLDLLENMDDSDVSTPVRSNGNQRGSPFKSPVEHTNEKLHFSEVRQNIDSFLCKANLLIIQADRCWREESAVVMLELSNCNGWCIAVKLKRFYVVNRVNHAYIWAVEDGWKLEFSDKSDWLLFKELHTEGRERNSQRKIIPIPGVHEVSDGVGGVVTDPFSRTVSDYIRMVDDEVARALSKDSAYDMDSEDEQWLIQLNHGASGRRSSHLNHISFEDFEKIITLLEREAYNNPKGTSDVDQLLSTYPAIGNDDNVLAAYEYWISKRYKKGAPLLRVFQVNLEFISITAFDCPVRRGRLSEKSSVKKKRSLKRSKCQTGRGKPGIFLRDNAEDEALQRIMEAERAAKQAVERAVQLRSRAQSLMANANLATYKSVMAVRIAEAASISDSSRDLVWTTLN* >Brasy5G478500.1.p pacid=40074927 transcript=Brasy5G478500.1 locus=Brasy5G478500 ID=Brasy5G478500.1.v1.1 annot-version=v1.1 MVSIPASASAATSAPRQGKRRPPQEMVGEIWVDAEQGPGHETPGQRRWAEEAEPSRERAEGPSKAAAAGSNTTWRTKRAPQSPQNTDTSSRSPPASAARSHDAAAARSQRDSASSPSAAAARSQRGNTSSPQPVDFNVPPPPDLSEAAPPREEQPPDAQPREEQPIVIRKKRKRHQPVEEMKLGAAACDGGIKSRRGERSQEQEMRKKRHANKPRMSCATWTAEHQHGVDEIRK* >Brasy5G478500.3.p pacid=40074928 transcript=Brasy5G478500.3 locus=Brasy5G478500 ID=Brasy5G478500.3.v1.1 annot-version=v1.1 MVSIPASASAATSAPRQGKRRPPQEMVGEIWVDAEQGPGHETPGQRRWAEEAEPSRERAEGPSKAAAAGSNTTWRTKRAPQSPQNTDTSSRSPPASAARSHDAAAARSQRDSASSPSAAAARSQRGNTSSPQPVDFNVPPPPDLSEAAPPREEQPPDAQPREEQPIVIRKKRKRHQPVEEMKLGAAACDGGIKSRRGERSQEQEMRKKRHANKVLYMSWIIH* >Brasy5G478500.2.p pacid=40074929 transcript=Brasy5G478500.2 locus=Brasy5G478500 ID=Brasy5G478500.2.v1.1 annot-version=v1.1 MVSIPASASAATSAPRQGKRRPPQEMVGEIWVDAEQGPGHETPGQRRWAEEAEPSRERAEGPSKAAAAGSNTTWRTKRAPQSPQNTDTSSRSPPASAARSHDAAAARSQRDSASSPSAAAARSQRGNTSSPQPVDFNVPPPPDLSEAAPPREEQPPDAQPREEQPIVIRKKRKRHQPVEEMKLGAAACDGGIKSRRGERSQEQEMRKKRHANKV* >Brasy5G213000.1.p pacid=40074930 transcript=Brasy5G213000.1 locus=Brasy5G213000 ID=Brasy5G213000.1.v1.1 annot-version=v1.1 MEVVLDALAEEGDEVAVVYAVDHVHLRPKLPLALPATGLEPLHRHGHLILLLRPVPVGDGRRSSPRSRQLHQLRRPLRAHPLPPPPVALPAVVRPAHRPLRHRSLRRRAHHSPAADASELLLSSRRGDPPLLPSPAPLSSSGADLPPAPNLERTPTRSSWRRRTS* >Brasy5G213000.2.p pacid=40074931 transcript=Brasy5G213000.2 locus=Brasy5G213000 ID=Brasy5G213000.2.v1.1 annot-version=v1.1 MEVVLDALAEEGDEVAVVYAVDHVHLRPKLPLALPATGLEPLHRHGHLILLLRPVPVGDGRRSSPRSRQLHQLRRPLRAHPLPPPPVALPAVVRPAHRPLRHRSLRRRAHHSPAADASELLLSSRRGDPPLLPSPAPLSSSGADLPPAPNLERTPTRSSWRRRTS* >Brasy5G462100.1.p pacid=40074932 transcript=Brasy5G462100.1 locus=Brasy5G462100 ID=Brasy5G462100.1.v1.1 annot-version=v1.1 MADCSAPAAEQDDLQYRRWLPREIFVDIGITAVEDAAAHLSSKIDAFQGTPPPPPPPPPGVLSYPGPQPQVCGLQAMACVPPYAYGAVLPPPPPCPSPLVYWPLQWQFVPNGGGHGNAVFLSGPMYNYQAPPPPPAKRRMGGGGTGVFLPRAGAGHHQHLQHKAAAASPAPATDGRLAEKNNKKQRQQQEQQGKKEEEDEQKSQQEEDHEAAAEVLLALPQEWSY* >Brasy5G441100.1.p pacid=40074933 transcript=Brasy5G441100.1 locus=Brasy5G441100 ID=Brasy5G441100.1.v1.1 annot-version=v1.1 MAGTLLLPVALGSTFAGRASGQRWKSHGTRRPASMLAMSLNRPVRMAAFVGLRSVHSFTATPASNYRSTVASYRSSRRGRRARFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLVGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVVRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISSGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHVEKDPALERRFQPVKVPEPSVDETIEILRGLQERYEIHHKLRYTDDALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRGQDFEKAGELRDREMELKAQITALIDKSKEMIKAETDSGETGPMVTEADIQHIVSSWTGIPVEKVSTDESDKLLKMEETLHKRVIGQDEAVKAISRSVRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDVKDSSYGRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKDIAEIMLLEVFNRLKAKEINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKILAGEIKEGDSAIVDVDAEGKVIVLNGQSGLPELPTPAVTV* >Brasy5G165000.1.p pacid=40074934 transcript=Brasy5G165000.1 locus=Brasy5G165000 ID=Brasy5G165000.1.v1.1 annot-version=v1.1 MVTLILAFRLSTLLLLVLLAGGRAALAAEPAGEAAALLLLKASLTDPNKVLEAWSATSPSAPCNATHPWHGVQCDNGGLIGLRLVRHNLSGKFDFGALAKLPGLHTINLRHNAFAGPLPPSLGTVRSLRALYLSHNAFSGPVPGDIFSNMRWLKKLYLDNNELTGPLPAAAIAGAPRLLELHLDHNRIDGPVPEILPASLRLFNVSHNRLTGSLPRAVATRFNESAFAGNPGLCGAPGSGPGACSPAAAAKSPDSPAPGSMPMPMPPMSPADYFAVEEETSVVVVIGIILLVIALVTGAMVLMLRQDERNSAPPPCYDTVPVSGSPTAKTMSISSSTAQPPRSSNAVAMEMAGTSRGGGMGGGGKRADEFVLMSRASGEFGLQDMMKASAEVLGNGTLGSAYKAAMRNGITVAVKRMRDMNRVGREEFENHLRSLCELRHPNVLSPLGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPNRVVLDWWARIRIAVGVARGMAYLHERLGMPAMRFVSMDGADFDAPPPPPPHGNLKSGNILLDADLQPRIVDYGFFPLVNAPQLPQAMFAFRSPEASSAGVSARSDVYCLGVVLLELVTGRFPSQYLVNARGGTDVVQWAAAAVLEGCEHELVDPVVAAAGPAAVGGAVRLVRVAGECTISAPESRPNMAEAARMVEEVANGAS* >Brasy5G119600.1.p pacid=40074935 transcript=Brasy5G119600.1 locus=Brasy5G119600 ID=Brasy5G119600.1.v1.1 annot-version=v1.1 MGPVRLALLLAAAAVLLGPGGGAEGLYIPYNTSAGVVKGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQNDIIKDTIKGLLSSGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGLIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVIWRGSKTFGSSADIFAGIFPKNYEPPPGEFYFEVDDDSPVVQDDPLLFDYNVEQRVNDFVAAAVAQANITRTNHIMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKFAANEAWPLKTNDFFPYADDPNAYWTGYFTSRPALKQYVRMMSGYYLAARQLEFFKGRSKSGLTTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYKKAEELVSTSLACLSESGSKSRCSSPKTNFGQCPLLNITYCPHSELNFSPGKSLVVLIYNSLGWKREDVLRIPVMSDSIVVHDSEGREIESQLLPIANTSLYTRDKHVKAYLGASSAAKPKFWLAFPASVPPLGFNTYFVSSGKKSASISSPSSLYSQGSKHSNLHVGQGRLKLQYDVAGALSLYSDSKTQVQANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPINTDSQVHPTILRGPILDEVHRQINSWIYQITRVYKGKDYVETEFIIGPIPIDDGNGKELSTEIITNMATNRTFYTDSSGRDFIKRVRDYRSEWKIEVNQPIAGNYYPVNLGIYVEDGNKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCFNNQCEGLVIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWVNSHVPSFSAMDPTYSLPENVALLTLQELEDGSILLRLAHLYEAGEHKDLSALASVDLKRVFPDKKIGKMVETSLSANQERAAMEKKRLKWKVEGPPPGENVVRGGPVDPSKLVVNLGPMEIRTFIISFDHGFTQHLI* >Brasy5G238900.1.p pacid=40074936 transcript=Brasy5G238900.1 locus=Brasy5G238900 ID=Brasy5G238900.1.v1.1 annot-version=v1.1 MAFMRQHGVLRILIGCVDYTCIPERKDVFVKDGLYRLTFQVEGPSRVEGLVDDVMHDANEGDDEGDKKKEASEKSDLEDRSGGKRAKNVDGGTSTSSAAGGSGGTVAPPSSSPAGGSNVVMLRIGSVETPVLVPVISDPAFCSKSAAPRRLWADLVEEEMPCFGSAPPRVDISRCVDGRPVGGVVSTPLVAAPVSVDVVAGAMDACSDVESPCDARLYAAPADGCSVMHGEVGSAVAKQVAGDGITAAGRVVECGSDGAAVEELQSATAVGTDHKQLAPLLHSPEAGAHVVVCQERQRDVEQVASPPAVLSPSPRQVAGGSRSRSSSPTPQILSPTRVPGGRAGTENSSASLEDIIAFGGIPEPTATRSSARLQVQPNADETQLEHAMRLARLRDAKQASGHLQGHSLDPFVVLSTPCGPTGAFGYWVQPSGDGYSGYLQPGWMAVH* >Brasy5G016900.1.p pacid=40074937 transcript=Brasy5G016900.1 locus=Brasy5G016900 ID=Brasy5G016900.1.v1.1 annot-version=v1.1 MAQVAVESAVSGGALIGRSAEVLTMKRKTPSELRGEQLKRRASEKIASDQLFHSDRSTNGLRNTEQQKISKYTRVTEVFQVKKSRNLGKENCKQDALLNNEKAPKSADAEATSPFVPSSVRSDRGDSAKLDSSVPSLAEAEKPGFRKAEKCSENALRTVSELHVGDEKQAGSNKFDMEKVMKGFGARDASGASYAPYVQGSDVPLKSSEACPSKITIPGKRAPLDLTLKTTLQFVSSSSVKWCHNLCMGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPEVICREMRKADAGLASSSVSGAEEPGSAFAPAAANICYSLEIKDAALPPWVVSGVCAAMSTDADRFDLTIATEPSSMGLNAALASIGGNTESKTPLESSPAEESGCESLGIPDTVLVPSLRSASVRRLGYSDGAYVAHTTV* >Brasy5G016900.2.p pacid=40074938 transcript=Brasy5G016900.2 locus=Brasy5G016900 ID=Brasy5G016900.2.v1.1 annot-version=v1.1 MAQVAVESAVSGGALIGRSAEVLTMKRKTPSELRGEQLKRRASEKIASDQLFHSDRSTNGLRNTEQQKISKYTRVTEVFQVKKSRNLGKENCKDALLNNEKAPKSADAEATSPFVPSSVRSDRGDSAKLDSSVPSLAEAEKPGFRKAEKCSENALRTVSELHVGDEKQAGSNKFDMEKVMKGFGARDASGASYAPYVQGSDVPLKSSEACPSKITIPGKRAPLDLTLKTTLQFVSSSSVKWCHNLCMGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPEVICREMRKADAGLASSSVSGAEEPGSAFAPAAANICYSLEIKDAALPPWVVSGVCAAMSTDADRFDLTIATEPSSMGLNAALASIGGNTESKTPLESSPAEESGCESLGIPDTVLVPSLRSASVRRLGYSDGAYVAHTTV* >Brasy5G016900.3.p pacid=40074939 transcript=Brasy5G016900.3 locus=Brasy5G016900 ID=Brasy5G016900.3.v1.1 annot-version=v1.1 MAQVAVESAVSGGALIGRSAEVLTMKRKTPSELRGEQLKRRASEKIASDQLFHSDRSTNGLRNTEQQKISKYTRVTEVFQVKKSRNLGKENCKDALLNNEKAPKSADAEATSPFVPSSVRSDRGDSAKLDSSVPSLAEAEKPGFRKAEKCSENALRTVSELHVGDEKQAGSNKFDMEKVMKGFGARDASGASYAPYVQGSDVPLKSSEACPSKITIPGKRAPLDLTLKTTLQFVSSSSVKWCHNLCMGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPENQTKPNC* >Brasy5G016900.5.p pacid=40074940 transcript=Brasy5G016900.5 locus=Brasy5G016900 ID=Brasy5G016900.5.v1.1 annot-version=v1.1 MGADATSLRSRENLPYGPTSPLMSPRDLPGCLTVPANVYTHFKKIWCPVLQVILSIVQHSQHIWSLGKGKFKYLQAELCHNLCMGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPEVICREMRKADAGLASSSVSGAEEPGSAFAPAAANICYSLEIKDAALPPWVVSGVCAAMSTDADRFDLTIATEPSSMGLNAALASIGGNTESKTPLESSPAEESGCESLGIPDTVLVPSLRSASVRRLGYSDGAYVAHTTV* >Brasy5G016900.4.p pacid=40074941 transcript=Brasy5G016900.4 locus=Brasy5G016900 ID=Brasy5G016900.4.v1.1 annot-version=v1.1 MLNCTCKCLHTFQKNLVSSASSYIKYSTTFPTYMVTRERKRCHNLCMGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPEVICREMRKADAGLASSSVSGAEEPGSAFAPAAANICYSLEIKDAALPPWVVSGVCAAMSTDADRFDLTIATEPSSMGLNAALASIGGNTESKTPLESSPAEESGCESLGIPDTVLVPSLRSASVRRLGYSDGAYVAHTTV* >Brasy5G016900.6.p pacid=40074942 transcript=Brasy5G016900.6 locus=Brasy5G016900 ID=Brasy5G016900.6.v1.1 annot-version=v1.1 MGSIAGHIAQNYHDVSRHSRSTRPKRNKEFLFSRALQSWVYPQSQLPASLVFAMLSSTARGENEFLNKRYQDWEDSFKNLYFMLRKNLLNIFYVYTAQFVALFIRGNCLEKQSCSAYLSQSTRGIRSLLRKNGVRFSMPLCNAEMEQTTDDDLIELSEIQKLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSLLNSLSATDVPALYSPVPFQNGCLHIPEVICREMRKADAGLASSSVSGAEEPGSAFAPAAANICYSLEIKDAALPPWVVSGVCAAMSTDADRFDLTIATEPSSMGLNAALASIGGNTESKTPLESSPAEESGCESLGIPDTVLVPSLRSASVRRLGYSDGAYVAHTTV* >Brasy5G433100.1.p pacid=40074943 transcript=Brasy5G433100.1 locus=Brasy5G433100 ID=Brasy5G433100.1.v1.1 annot-version=v1.1 MAAPPAPPPSPVRLRLVFDNRRLLRRAQRDDGLRRCWLLLRPELETVADLSVHVAARFRLHRSCPHGIVFSMDGFALPPFESTCIFRDKDIIRVKQKAYKNIVHHNAVHCIQEPEIVEKRPLPTDDKILAIEYQMDCGKHQEEVHCEYQSEENATSNQDTSSKRKQRDGDAGRPESSKRKKLKVATPDNVCQDWVHCSSNNSKPSTIDAEAKKAASQAEGIVRLDEKQKRDRCNQTMLNCVTEVAVQTTQSDKVSRSARRKKLKRQLKKKAKEELKENGHCQEPPIAADCPPSSNQDDLCPSSNQKDPHLPFSSHEAEAEEEESETADDIVPVVVRPGHIRFEPAGERSTSSAKEIQGTFTWSGTMSKKKGQKWGMNNSNKKSADVGHVGKVAGTDTEVNHIVLDSKNEENGFCGVSNQIVESSHDVLLREKTLAEEGKSISESMDFDSLYPLTRLPKEGDLIVYRLVELSSSWCPEISTYRVGKVLIYDLISKRIILLPVPEYPIIKEETAGEDESDMPVDMSPYNEDGSLEIEYSSLLDVRLLKGSESVSTAVSTPIRETGKKGESLVKQPVTLDKNKGEIHSQTEPLVPNNPEAAPEKTKNKIWDESVESPSDKPDEVQENGWGTWKPNSSTSAWSYRAQRSTALGPTLAFLRGKNGKGGKAKPPNRKYGK* >Brasy5G160500.1.p pacid=40074944 transcript=Brasy5G160500.1 locus=Brasy5G160500 ID=Brasy5G160500.1.v1.1 annot-version=v1.1 MQQAMSMPPGSAGAVPPPAGITTEQIQKYLDENKQLILAILENQNLGKLTECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMVQPGGMPGAGHYMSQVPMFPPRTPLTPQQMQEQQHQQLQQQQAQALAFPSQMVMRPGTVNGMQPMQADLQAAAAPGLADSRGSKQDAAVAGAISEPSGTESHKSTGADHEAGGDVAEQS* >Brasy5G160500.3.p pacid=40074945 transcript=Brasy5G160500.3 locus=Brasy5G160500 ID=Brasy5G160500.3.v1.1 annot-version=v1.1 MEKPTFMPNADELVSRLAGVSTDQIQKYLDENKQLILAILENQNLGKLTECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMVQPGGMPGAGHYMSQVPMFPPRTPLTPQQMQEQQHQQLQQQQAQALAFPSQMVMRPGTVNGMQPMQADLQAAAAPGLADSRGSKQDAAVAGAISEPSGTESHKSTGADHEAGGDVAEQS* >Brasy5G160500.2.p pacid=40074946 transcript=Brasy5G160500.2 locus=Brasy5G160500 ID=Brasy5G160500.2.v1.1 annot-version=v1.1 MFMPAKLVSRLAGICTEQIQKYLDENKQLILAILENQNLGKLTECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMVQPGGMPGAGHYMSQVPMFPPRTPLTPQQMQEQQHQQLQQQQAQALAFPSQMVMRPGTVNGMQPMQADLQAAAAPGLADSRGSKQDAAVAGAISEPSGTESHKSTGADHEAGGDVAEQS* >Brasy5G491500.1.p pacid=40074947 transcript=Brasy5G491500.1 locus=Brasy5G491500 ID=Brasy5G491500.1.v1.1 annot-version=v1.1 MASDDGSGSHLTEKKEEEVAAAAPVSAGAGFDDLEDPRFQCCVCLELLYKPVVIGCGHMSCFWCVHKAMHYVRESHCAICRQPYNHFPSICQLLHHLLLKLEPVEYKKREKEVLEQEKIVDTYSPQIIEFLNSKDNTCENGEDGDSKLEDNISKIRPPEVPVDNAINGHPKKIKLEDVSCARCKELLYQPAVLNCGHVYCMSCLPFLDDEALKCQVCGGLHPGGFPNVCLDLEHFLEEYFPEEYESRRKKLQFGSTQCSPEGSSPGTFCTKESMNRPSKQETYLQQNRDLSNVHIRVGCDSCGVYPIRGKRYKCKDCTELIGFDLCDECYNTRSKLPGRFNQQHTPDHRMELDNTALYGSLLRFQRIPEEGLIAEFAFIGPGGMVQVVEDHEMEDNSEDEQV* >Brasy5G491500.2.p pacid=40074948 transcript=Brasy5G491500.2 locus=Brasy5G491500 ID=Brasy5G491500.2.v1.1 annot-version=v1.1 MASDDGSGSHLTEKKEEEVAAAAPVSAGAGFDDLEDPRFQCCVCLELLYKPVVIGCGHMSCFWCVHKAMHYVRESHCAICRQPYNHFPSICQLLHHLLLKLEPVEYKKREKEVLEQEKIVDTYSPQIIEFLNSKDNTCENGEDGDSKLEDNISKIRPPEVPVDNAINGHPKKIKLEDVSCARCKELLYQPAVLNCGHGTFCTKESMNRPSKQETYLQQNRDLSNVHIRVGCDSCGVYPIRGKRYKCKDCTELIGFDLCDECYNTRSKLPGRFNQQHTPDHRMELDNTALYGSLLRFQRIPEEGLIAEFAFIGPGGMVQVVEDHEMEDNSEDEQV* >Brasy5G353700.1.p pacid=40074949 transcript=Brasy5G353700.1 locus=Brasy5G353700 ID=Brasy5G353700.1.v1.1 annot-version=v1.1 MPHRRQRLPSLLRLFSTSCCRRSHLFSQSHRPSTDILPPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLAAENTYAEAFVSSAGGGGLRARLAAEMQARLPPSAASPPQPWGPWLYYQYVPNGMEYPVLSRKLRPSGGLVGGFLSYLSDWEKEEVLLDWNEIAEKFGYVHIGSCRISPDHRSLAYTLDTSGGELFSLEVKDLQSKHVISCQPVKGVVSLAWAGNSKDLFYTVCDETLRPNQVFCKKMQSDEADFLVFVENDVNCCVDITSTKDSKYITVNSNTRTSSEVFVMESGNVREGLWPVRKRSNKVQYFLEHQNGFFYILTNAPLSDSETAAEGYYLARCRAEKSLMNRWQVVALPGSDYTFQDMDIFHENLVLFLRKDGLPLFCSIDLPVKVDFQEPKELRDLAPWFFPIPSNLCSILPGPNNDFMSSTYRLMVSSPVIPDLTVDFDMRNKTFTILHQEEVTGLSSSLHTLGLQSNVSSIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSIPLMILYSQEEHIHGESPGILYGYGAYGEDLDKGWCSDRLSLLARGWVLAFADVRGGGDPSWHLAGTKTNKINSIKDFAACGMHLIKEGFVHERRLCAVGCSAGGLLVGAVINMLPNLLSAAVLKVPFLDTCNTMLDPTLPLTILDYEEFGDPIIPVEFDAIQSYSPYDNISRGVCYPPVLVTASFNDTRVGVWEAAKWVSKVRDVTCPSCSQSVLKTNMQSGHFGEGGRLMSCEETAFEYAFIMKALGMDDIGAKQ* >Brasy5G244400.1.p pacid=40074950 transcript=Brasy5G244400.1 locus=Brasy5G244400 ID=Brasy5G244400.1.v1.1 annot-version=v1.1 MDGASSPSEGESALSGGALPDYAKKAIPAERLAELALLDPRRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTVENRELKLRLQSMEQQAKLRDALNDALREEVQRLKIAAGQAPNMNGNPFNGGQQQQQQQQMPNYFLQQQQQQMQIHYMGGHQSQHHHHQQNHLQSSSNGGQSLSRQSLSDSMDFM* >Brasy5G490900.1.p pacid=40074951 transcript=Brasy5G490900.1 locus=Brasy5G490900 ID=Brasy5G490900.1.v1.1 annot-version=v1.1 MANQAKNQNEWLRYAAWHIWKQQNDLVSYRDHPSCNRWFTSFKQELLLHSPRIREAHRLAMLDWLALLDSVT* >Brasy5G108900.1.p pacid=40074952 transcript=Brasy5G108900.1 locus=Brasy5G108900 ID=Brasy5G108900.1.v1.1 annot-version=v1.1 MALHYWEHGFPLTWPDVPLLHRFRCVRRRSLLLPEERWQDIYAPESPYWDQWFQSEHDLRQEAYFALAAPENYDGASLDSVKQEEEDDDDLQGVKAGDGAAAADRAGGDAAEGAGGLIARRTGRVARPRVRPPPLRPGSWRRRPGRTCNVLEGAGEGGGALVAAAGARRGNGRGGLVAAVRMMEAAAAGYLLGLRF* >Brasy5G047700.1.p pacid=40074953 transcript=Brasy5G047700.1 locus=Brasy5G047700 ID=Brasy5G047700.1.v1.1 annot-version=v1.1 MKTAFFLFALLALIASAAAFSQYADRTGQDPHARGDKGSTSDPQCQDEHMKLDSCKDYMTERCTVPREIPFTKPYKWGKGSCQEVKGWCCQELAKIPPQCRCKAIWKTIEGELGGFWGVPQDQVKKVLLTAKSLPSQCNMGAGCDVPVANGYYYYY* >Brasy5G331100.1.p pacid=40074954 transcript=Brasy5G331100.1 locus=Brasy5G331100 ID=Brasy5G331100.1.v1.1 annot-version=v1.1 MVVRCVRADAGGFRLWPIFSAAAVRRKLLEVLTCGGGGGGSCRGRTSFRSPQPQPRPRSDRLAELLKAEPSECGGDDECEADAAVRKAEALEELKVVVAALRDGDEDNAGGGTWRVEAATVVRRKAKDDAMAREMLAMLGAIPPLVAMLDEREGGEALLAAALYALLNLGIGNDTNKAAIVKAGALHKMLCIAEGGASGALTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAATEQVRHDALRALLNLSIAAANVPHLLAAGLVPSLVAAIGDMSASDRALAALCNIVAACPEGRRAVSRVPDAVPVLVDVLNWSDEAGCQEKAAYVLMVLAHRSYGDRAAMAEAGAASALLELTLVGTALAQKRASRILEILRADKGKQVASVVATVSAPQERGRGRAGPGQEDEDDTEGELDLMSNEKRAVRQLVQQSLQSNMRRIVRRARLPRELAPASSENLNALTGSSTSKSLPF* >Brasy5G331100.2.p pacid=40074955 transcript=Brasy5G331100.2 locus=Brasy5G331100 ID=Brasy5G331100.2.v1.1 annot-version=v1.1 MVVRCVRADAGGFRLWPIFSAAAVRRKLLEVLTCGGGGGGSCRGRTSFRSPQPQPRPRSDRLAELLKAEPSECGGDDECEADAAVRKAEALEELKVVVAALRDGDEDNAGGGTWRVEAATVVRRKAKDDAMAREMLAMLGAIPPLVAMLDEREGGEALLAAALYALLNLGIGNDTNKAAIVKAGALHKMLCIAEGGASGALTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAATEQVRHDALRALLNLSIAAANVPHLLAAGLVPSLVAAIGDMSASDRALAALCNIVAACPEGRRAVSRVPDAVPVLVDVLNWSDEAGCQEKAAYVLMVLAHRSYGDRAAMAEAGAASALLELTLVGTALAQKRASRILEILRADKGKQVASVVATVSAPQERGRGRAGPGQEDEDDTEGELDLMSNEKRAVRQLVQQSLQSNMRRIVRRARLPRELAPASSENLNALTGSSTSKSLPF* >Brasy5G126100.1.p pacid=40074956 transcript=Brasy5G126100.1 locus=Brasy5G126100 ID=Brasy5G126100.1.v1.1 annot-version=v1.1 MALTLLRGIRTPTLFRMSVGLFCTARRPPLAHFTTRAGGMQTTEPKAVPKSIQQATKEAAEQKTRGFEAVIGIETHVQLSTITKAFCSCPYNYGSQPNSTVCPTCMGHPGTLPVLNAKVVDCAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAEKGYLDLDLPVEFGGGHRRFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEVQRLVRYLGVGNGNMQEGSLRCDVNVSVRPIGQSEFGTKVEVKNMNSFSEISRAIDYEISRQILLHKESQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIRNSMPELPEAKRRRYENMGLSMQDVIFLANDDNVAHFFDATLERGADAKLAANWIMGDITAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILVELIAKGGTVKSVIEEKDLVQIADPAAIEAIVDQVLADNPKQLEQYRAGKTKLQGFFAGQVMKASKGKVSPVLLNKILAEKLKGNQ* >Brasy5G349300.1.p pacid=40074957 transcript=Brasy5G349300.1 locus=Brasy5G349300 ID=Brasy5G349300.1.v1.1 annot-version=v1.1 MESLPRKRKGARSLAGSLHDASADRKRTCRERKPRPDKKKKSKPSAGDDAASTRGGVVMTAPPASGRAAPDSPGRGLKRKVGCIESATRIGRKKRLDSEYALGDEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDTDKFYLVMELCSGGRLLDEIARDGKFSEQRAAIVIKDLMSVVKYCHEMGVIHRDIKPENILLTKTGKMKLADFGLAARVTNGQKLSGIAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGSLEAVFDAIRTVELDFHSGPWKSMSVLGRDLISRMLDRDVTSRITADGVLSHPWVLFYTECTLKAVTANLCVTNKIVAPSFPWDRIRSHCDSSASDSSSQRSEDQDECGIVDALTAAITHVRISEPKRTRLCSPAIPIQQECSSNLKSNLCTAF* >Brasy5G254100.1.p pacid=40074958 transcript=Brasy5G254100.1 locus=Brasy5G254100 ID=Brasy5G254100.1.v1.1 annot-version=v1.1 MAETHAVGYVKELAMSRRFFNNLKEQLIRCRSKHWNFTPLQKVEIVSAERNTVLHLAAEQGHDELIQELYASCGDNILLSSQNSALETPLHYQSIIGCKNKVGDTVLHLVSRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSQLHFALSDGDQAVVGVILNISPPCVALIKACPDVTELRDDRGGTFLHSAARGGHSKVVRLVHRKRMLRSLLNLQDGDGNTPLHLAVAARAPSIVEALMRHGKVQADVMNNDRHTPLDLAARSTSLVVTLAAFRAKSHPQRQDRVEQWSGHDMTKRIEKTSDSLAVVAVLSATVAFTAANNVPGSYEQADDTSPDRYGKMVTKGMAVLQGKNLFKYFLVLDSLALVTSLVAVVLLIYGKASRSDGSWKSFVAALHFIWASLLSMILAFYAALASVTSTRAVSDIVLNILYIGFNALCFMVAHMIAPPVSKRTIWKVLWHNALKGKHSVLKRRIRQQFPVADVYVPNLFLFLVTNLLAIVGYFVVLAFSNWPDKTLREAMQSGASAPSSVLS* >Brasy5G187700.1.p pacid=40074959 transcript=Brasy5G187700.1 locus=Brasy5G187700 ID=Brasy5G187700.1.v1.1 annot-version=v1.1 MVANSGPAAAALALKEAVLALLVLPSLGKIYYPSSSSSPAPVMYCTECYARCYSACEVSVRPKCEQYCRPDDSCESCQRQVMESCTAGCDHCNGAAANSCASACSTRQCQSCLDGARQQCREDCHARDCAGCVDDDQQKLTSSK* >Brasy5G323900.1.p pacid=40074960 transcript=Brasy5G323900.1 locus=Brasy5G323900 ID=Brasy5G323900.1.v1.1 annot-version=v1.1 MERSPFVPMEERTLEQREVLLIHSQIRRIKREDEETREQLLKLQLLETRPTAAAGFRGQEASPPASRSLSPLRRAGGAIPVGDWA* >Brasy5G258500.1.p pacid=40074961 transcript=Brasy5G258500.1 locus=Brasy5G258500 ID=Brasy5G258500.1.v1.1 annot-version=v1.1 MPTATHLDFQAARGVPETHEWPDLHDHPVVDGGAGAGEDEVPVVDLRDLDDDPSKVAAAMARAAEQWGAFLLLGQGGARGSRVARVEERIAAMFALPASEKTRAVRGRGESCGYGSPPISGFFDKSMWSEGYTFSPANVRLDLLKLWPQAGPHYLLFCEVMEKFHKEMFALAHKLLGLFLAALGLTVADSAAAAKIADTMAASMHLNWYPKCPDPKRALGLIAHTDSGYFTFVLQSMVPGLQLFRRDPDRWVAVPAMPGAFVVNVGDLFNIVTNGRFHNVFHRAVVSRESHRVSLGYFLGPPAQALVAPLDEALTLDRPKPACRLA* >Brasy5G284700.1.p pacid=40074962 transcript=Brasy5G284700.1 locus=Brasy5G284700 ID=Brasy5G284700.1.v1.1 annot-version=v1.1 MVTFTARRSEPQLVCPAMPTPHETKNLSDLDDQWTLRFYESIVGFFRAPPRPAGKANNNNMAKGIKAAIAGALVHYYPIAGRLRKLPGGGNKLAVDCTGEGVVFVEAAADVRLVDLGEPLLPPYPCVEEFMADVVGDTTDVVDKPLLFLQVTQLKCGGFAIGIHMCHCIADGFGLLQFIKCIADFASGKLVPTTLPVWKRDLFTARIPPSLSHVYPAYKPFLLGLDHAGEDVMLSTLPENTIVQYFFFGPKDIEILRSHVPSHHAQSTTTFELISAVMWRCRTIALGYESSDKVRVMFTLNARGRRSIIGDTLVPRGFYGNAHISPMVEATVDELTTKPLAHILELMRKAKSETTEDCMKSMVDLTALWQQLPPFCMDRTYEISDTKWVAGNALKLGMAELVAGGTPLVGDFTSKLISYHTSCKNEKGEDSTMVSLLLPKPAMKRFANEMAIWLKE* >Brasy5G230900.1.p pacid=40074963 transcript=Brasy5G230900.1 locus=Brasy5G230900 ID=Brasy5G230900.1.v1.1 annot-version=v1.1 MEGGENRAAAQYKFGPYMIDARGVFHATALSYAMVNLRPVLPGNVLVCPKREVKRFADLSSDETCDIWLTAKEVGAQLEQYHKASSLTFTIQDGPQSGQTIPHVHIHILPRKKGDFENNDEIYDAIDAKEKEMKEKLDLDIERKDRSMEEMAREAAEYRALFS* >Brasy5G113200.1.p pacid=40074964 transcript=Brasy5G113200.1 locus=Brasy5G113200 ID=Brasy5G113200.1.v1.1 annot-version=v1.1 MSAPVPRMPPPRSRRPLTPRTMDDGHHTLPNLGGGDHFPPHAPWFTPRRGRQKFTGRTPKFQVLFYH* >Brasy5G373500.1.p pacid=40074965 transcript=Brasy5G373500.1 locus=Brasy5G373500 ID=Brasy5G373500.1.v1.1 annot-version=v1.1 MTTGRSWDAAAVSGQPGAPLPSPPSSSLPSWSSQQQAFPSSMALEPWPSQPPQQPAGSSSLYSWQPPQHDVFPFDNAIIFGTDMPPPFAQPAMWQPDTQTPPCLLNHELAVAAAQGIDLHGHGGYPVPWQWQASAAAAAAAPVAHAHAPPPLDAVLQDAPPPPAEPANPPMGRRRGRPRGSTNKAAAKPKPKPANKAAAKPKPAKSTRQVPAAPTPGPGEERPTTEQPVAGATTPAVAGEEEEAAEAVGTSGGEGAEQEEDEDVEYAATDLPGVRFHPTDTELIGYLRRKYSGRRMPADIVKDFNVFQHHPSTVQEKCGDSIDGSWYVFTPRNRKYEDGDRPDRSVICEGNRKIGYWKSNVKETDVTVSGKVIGKTNALTFALGDQPKGKLTRWRMKEYRIHEHRRERDPKRRKPSQRNLDPDYMLLDEWVICKLFYNKNKKDQDGVPVDVGGQQDGVPVDVGGQQDGEVNPLQKHFSRLHMKLRSTSGDASCSFWREAPPDVLLGFMCKREKCF* >Brasy5G492100.1.p pacid=40074966 transcript=Brasy5G492100.1 locus=Brasy5G492100 ID=Brasy5G492100.1.v1.1 annot-version=v1.1 MAPSKVSRERFEAAVTSMRAIGIQNEIVTPVLENILQLYDYNWEHIEADNFRVLTDAIFDDPEPKGGHKRQANERINLDSDHYNKKPRINHHSDKPKPKMNVHDRRELAEAPLQQEVGKLCHQIVCQGKGIGSKSRLPIKERNMEIENEFFRLERTAPSITTVHLAFHALGSDMHSTIRSGHYQSLIHVMLGERSIVLKFEMLLATVPSSVRNLLGYNDALRISGLPHADKDASGSQERNFSDACSSQAITSNNGFSTNFDVASSNSGAGKLSFTYISSLAHHSQFRVPDMELICKEMEARCLRKFKILEPNFSFMKLLEDTCQCILDLGCESSGPRERGIVQIAPAMDYLSKTSVPRDLQSNQAGSSCMPANNRMMLGGICSSSDVAGEQNISSNMQVIQHQLTIVAKGPPHDVNDITKGEECLSIPIINESGNGILPPPFHYIPCNIVFQNAYINLSLARIGDESCCSDCYGDCLSQPLPCACARETGGEFAYTGDGLLKEEFLDCYVSMLQEPDKYHQFRCKDCPNERVKLQATSDSPNTKVNPDPCKGHLTRKFIKECWSKCGCTRNCGNRVVQRGITRNLQVFLTPGEKGWGLRAAEELPRGAFICEYVGEILTNNELYKRTIQKTAKSRHTYPVLLDADWVTEGVLEDDHALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYHHDYRIDFYDVNHTIKAFKCRCGSEHCRNKRSISSRCLKLLYF* >Brasy5G061300.1.p pacid=40074967 transcript=Brasy5G061300.1 locus=Brasy5G061300 ID=Brasy5G061300.1.v1.1 annot-version=v1.1 MTAKRPLDGDGGGGAASRSPALKKRCRSFDLEIRGCRHLEELAGGVVRRLEAALESAISRIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQNFSEIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G061300.4.p pacid=40074968 transcript=Brasy5G061300.4 locus=Brasy5G061300 ID=Brasy5G061300.4.v1.1 annot-version=v1.1 MTAKRPLDGDGGGGAASRSPALKKRCRSFDLEIRGCRHLEELAGGVVRRLEAALESAISRIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQNFSEIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G061300.5.p pacid=40074969 transcript=Brasy5G061300.5 locus=Brasy5G061300 ID=Brasy5G061300.5.v1.1 annot-version=v1.1 MTAKRPLDGDGGGGAASRSPALKKRCRSFDLEIRGCRHLEELAGGVVRRLEAALESAISRIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQKIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G061300.3.p pacid=40074970 transcript=Brasy5G061300.3 locus=Brasy5G061300 ID=Brasy5G061300.3.v1.1 annot-version=v1.1 MTAKRPLDGDGGGGAASRSPALKKRCRSFDLEIRGCRHLEELAGGVVRRLEAALESAISRIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQKIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G061300.2.p pacid=40074971 transcript=Brasy5G061300.2 locus=Brasy5G061300 ID=Brasy5G061300.2.v1.1 annot-version=v1.1 MIGCYNVNSEIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQNFSEIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G061300.6.p pacid=40074972 transcript=Brasy5G061300.6 locus=Brasy5G061300 ID=Brasy5G061300.6.v1.1 annot-version=v1.1 MIGCYNVNSEIPEEVTKALTGFLSSAPSFCRTVVDQNRPPRYKLKFTNSLSNEVFTKKGICAVNGDPLKISLEEDNQQGNNLCLLSARIKVVVLDGDFNRDDKDYWTSEDFIRHIVRPRDKVGAVLTGELDLSLKNGETNLRDATFIDNSKFMRSGKFRLGVMVVDELGERVQEGITEPFTVKDRRGEGSKKHVTPSLDDDLWRLKKISKDGVLHEALKGSRIFCVKDFLRFYYKDEHALRKILHKATELVWTTIVDHAKLCDPGKEIYSFAVEGHNAILFFNSFYQIVGVTLGDYYTPFDGLDKPWQERVRQWSKVAYENLTCRQPDYEMDNGKPRAVDQGTYTGSSILEPKFMEGHISQQNFSEIIVHETNHQEGTVGSHSKQCTLKRVGSIRVTQNEDEASFDFSFYLDADSEQHCVNTAANDIAGSVTLHCPTTVAKEITGSVLLRQASLTMSHDAYDVPFANNDPSVTQFDVSFPALSALADLPIYSRHSSFVERDCHETLVLSAEPAV* >Brasy5G172000.1.p pacid=40074973 transcript=Brasy5G172000.1 locus=Brasy5G172000 ID=Brasy5G172000.1.v1.1 annot-version=v1.1 MGGIQRARQQGAPREAAGERGSGALGKTDGGEQRSRGRRENGGYGGSAGGGRGGGSEAMEMELYDWIRRGRRRGGGRPSEREREKIWTNEEDLRGSLGDTLTSPSIPSKSISRLELSTPKIPMKESKPNVAEAEAPPAIERSPDDQPPRRKAVDLFDILAKVGIVEAEEGDLASKSRLLHLQRHR* >Brasy5G453000.1.p pacid=40074974 transcript=Brasy5G453000.1 locus=Brasy5G453000 ID=Brasy5G453000.1.v1.1 annot-version=v1.1 MRQSFCLRFEKKNCGVPVGFPASFVRACAGEGTGPEGRVHGGEPERGELHAAEAVEPAGEGPVFNGTVHRMWIFPSDMPYSQDSETKPRTEIQITGHDYNSGVWQFEGSLFVPFGSSGMSIMQIFGGNPTATTLMLHAYNGMFWYYNQRFVEAGINNRWLRVNVIHDVDASDLTVFIDGQLKLTVGGKGGDMHFFKIGVYEQRFPTPRMEASRKNIKILKKN* >Brasy5G283900.1.p pacid=40074975 transcript=Brasy5G283900.1 locus=Brasy5G283900 ID=Brasy5G283900.1.v1.1 annot-version=v1.1 MKKKGGGGGKLSGASMEYGCARRLRHRLLLSYLSQQGLHPTFQSMIQQTDAHLSAEHLRDLVARGRWGEATDYLGRFNRRRSLESQALFLFLHTLSALDVVAAAGASGGAVPLDSYHHGITVSAFISSCPELCYLVKDMLGSPRQCASLDWKLVCTDASLTTYDLAHESPDLTRLIELPGGAQVLPHNVLPICPRRRRHVRRSAGRPPGLTIAKLYLDKRTSLYSSNPCSGASFIDESLDWAARYLGICLRAGRRQELQQGPPLQTFGKQVSSLMKIDIVYGILSAPASIAFGDMMAMKIDGGGGGKLSGPVKYDRARRLRHRLVLSYLSQQGLHLAFQSMVQQTDAHLCLKHLQQLVARSLWSEAVCYICRFLPSGTGKAIEDRPLLLFLYALWTFANIAASASHAAVAPDTHLNDLALCMTVCRNLKLRTIVVRLLKLPQFRASLNWGVICNKASFIACDLARESPELSHRVVLPSDPAALHDVLPICPRRRRYVKGPPRRPPARTITKLYLNKRRSLSSSSLQPGFATNSLNQVADLIMKCFNIGESLEFLQSSKKEDAPGAPLSQTMFNTLTDPAKTIGTSSVKNAGAPVSLPAKTSGILSGTYAGKLIQHRQPFLINVATDKFLTDAGTTDTIQDVVLRKNPRTELSTVREDPDPKRQRICVKLASVHRPEAEVHRMNLMA* >Brasy5G283900.2.p pacid=40074976 transcript=Brasy5G283900.2 locus=Brasy5G283900 ID=Brasy5G283900.2.v1.1 annot-version=v1.1 MKKKGGGGGKLSGASMEYGCARRLRHRLLLSYLSQQGLHPTFQSMIQQTDAHLSAEHLRDLVARGRWGEATDYLGRFNRRRSLESQALFLFLHTLSALDVVAAAGASGGAVPLDSYHHGITVSAFISSCPELCYLVKDMLGSPRQCASLDWKLVCTDASLTTYDLAHESPDLTRLIELPGGAQVLPHNVLPICPRRRRHVRRSAGRPPGLTIAKLYLDKRTSLYSSNPCSGASFIDESLDWAARYLGICLRAGRRQELQQGPPLQTFGKQVSSLMKIDIVYGILSAPASIAFGDMMAMKIDGGGGGKLSGPVKYDRARRLRHRLVLSYLSQQGLHLAFQSMVQQTDAHLCLKHLQQLVARSLWSEAVCYICRFLPSGTGKAIEDRPLLLFLYALWTFANIAASASHAAVAPDTHLNDLALCMTVCRNLKLRTIVVRLLKLPQFRASLNWGVICNKASFIACDLARESPELSHRVVLPSDPAALHDVLPICPRRRRYVKGPPRRPPARTITKLYLNKRRSLSSSSLQPVKCFNIGESLEFLQSSKKEDAPGAPLSQTMFNTLTDPAKTIGTSSVKNAGAPVSLPAKTSGILSGTYAGKLIQHRQPFLINVATDKFLTDAGTTDTIQDVVLRKNPRTELSTVREDPDPKRQRICVKLASVHRPEAEVHRMNLMA* >Brasy5G283900.3.p pacid=40074977 transcript=Brasy5G283900.3 locus=Brasy5G283900 ID=Brasy5G283900.3.v1.1 annot-version=v1.1 MKKKGGGGGKLSGASMEYGCARRLRHRLLLSYLSQQGLHPTFQSMIQQTDAHLSAEHLRDLVARGRWGEATDYLGRFNRRRSLESQALFLFLHTLSALDVVAAAGASGGAVPLDSYHHGITVSAFISSCPELCYLVKDMLGSPRQCASLDWKLVCTDASLTTYDLAHESPDLTRLIELPGGAQVLPHNVLPICPRRRRHVRRSAGRPPGLTIAKLYLDKRTSLYSSNPCSGASFIDESLDWAARYLGICLRAGRRQELQQGPPLQTFGKQAPASIAFGDMMAMKIDGGGGGKLSGPVKYDRARRLRHRLVLSYLSQQGLHLAFQSMVQQTDAHLCLKHLQQLVARSLWSEAVCYICRFLPSGTGKAIEDRPLLLFLYALWTFANIAASASHAAVAPDTHLNDLALCMTVCRNLKLRTIVVRLLKLPQFRASLNWGVICNKASFIACDLARESPELSHRVVLPSDPAALHDVLPICPRRRRYVKGPPRRPPARTITKLYLNKRRSLSSSSLQPGFATNSLNQVADLIMKCFNIGESLEFLQSSKKEDAPGAPLSQTMFNTLTDPAKTIGTSSVKNAGAPVSLPAKTSGILSGTYAGKLIQHRQPFLINVATDKFLTDAGTTDTIQDVVLRKNPRTELSTVREDPDPKRQRICVKLASVHRPEAEVHRMNLMA* >Brasy5G283900.4.p pacid=40074978 transcript=Brasy5G283900.4 locus=Brasy5G283900 ID=Brasy5G283900.4.v1.1 annot-version=v1.1 MKKKGGGGGKLSGASMEYGCARRLRHRLLLSYLSQQGLHPTFQSMIQQTDAHLSAEHLRDLVARGRWGEATDYLGRFNRRRSLESQALFLFLHTLSALDVVAAAGASGGAVPLDSYHHGITVSAFISSCPELCYLVKDMLGSPRQCASLDWKLVCTDASLTTYDLAHESPDLTRLIELPGGAQVLPHNVLPICPRRRRHVRRSAGRPPGLTIAKLYLDKRTSLYSSNPCSGASFIDESLDWAARYLGICLRAGRRQELQQGPPLQTFGKQAPASIAFGDMMAMKIDGGGGGKLSGPVKYDRARRLRHRLVLSYLSQQGLHLAFQSMVQQTDAHLCLKHLQQLVARSLWSEAVCYICRFLPSGTGKAIEDRPLLLFLYALWTFANIAASASHAAVAPDTHLNDLALCMTVCRNLKLRTIVVRLLKLPQFRASLNWGVICNKASFIACDLARESPELSHRVVLPSDPAALHDVLPICPRRRRYVKGPPRRPPARTITKLYLNKRRSLSSSSLQPVKCFNIGESLEFLQSSKKEDAPGAPLSQTMFNTLTDPAKTIGTSSVKNAGAPVSLPAKTSGILSGTYAGKLIQHRQPFLINVATDKFLTDAGTTDTIQDVVLRKNPRTELSTVREDPDPKRQRICVKLASVHRPEAEVHRMNLMA* >Brasy5G406000.1.p pacid=40074979 transcript=Brasy5G406000.1 locus=Brasy5G406000 ID=Brasy5G406000.1.v1.1 annot-version=v1.1 MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSRGRGAYSGVATFCRVTSAFSCQEVALPVAAEEGFTGLQGSAEGSAIIGDFVLEMPVEEEGLGVITREELLRVDNEGRCIITDHGHFVLFNIYGPAVGEDDEERVRFKLLFYKILQKRWDFLLALGKRVFVVGDMNIAPGSIDRCDAPPGFEKQMFREWLRSMLRENGGPFFDAFRSKHPERVGAYTCFNQKVGAEEYNYGSRIDHILISGECFHHCNSMEDHSVLHCHVEDCEIMNHFRRGDSENISKWKGGRSIKLEGSDHIPVYIILNGIPELPVHNTPASAARYLPEIRGRQQSIVSFLLKGKNYELEDATGSNMSKDKTDESSCSDDLENKSISKEELPTAITEFSKGGNLPSQTCKRRNLDYWVNEGSSGNSNNNNPTLLAPQSMKASFSGYKSTSNKKNKHNLSSQPTIKSFFRQPETKTGHANINNSVSSVDTVPEMDEFCSPKDDSLLEHIQCTAAEDEDQDNSNIPCSLSTDKCNVATLEWQRIQQRMKTTLPLCKGHREPCIPRSVKKGSNIGRLFYVCARAQGPSSNPEANCGHFQWASVKSKGQRQ* >Brasy5G062200.1.p pacid=40074980 transcript=Brasy5G062200.1 locus=Brasy5G062200 ID=Brasy5G062200.1.v1.1 annot-version=v1.1 MKRKATMAPVKQQTTGTETQNKKVHGQYLRAENETLRLQLACKTKELEAEATSIKALPRMRLETAWMFQQP* >Brasy5G283700.1.p pacid=40074981 transcript=Brasy5G283700.1 locus=Brasy5G283700 ID=Brasy5G283700.1.v1.1 annot-version=v1.1 MAKNQLFLLLVAGSVVVAVASSATPPTTSNSTAPTAYEMLGNYGFPPGILPQGVQSYVLNADASFSVSLPGDCEIDVHGFKLRYKSSIHGNIKSMLINGLGGVSVNFAFQSLGINGVERSGDKLKFEAGIISKSFPVDIFAVSPSCN* >Brasy5G348300.1.p pacid=40074982 transcript=Brasy5G348300.1 locus=Brasy5G348300 ID=Brasy5G348300.1.v1.1 annot-version=v1.1 MGFISWYVICLGIGSKYLTALQFFMSSGAQAIHTVQVGCWMQARIILGRGSSNAL* >Brasy5G057100.1.p pacid=40074983 transcript=Brasy5G057100.1 locus=Brasy5G057100 ID=Brasy5G057100.1.v1.1 annot-version=v1.1 MRSCLQQELFIFNSSQLIFAPSRDAAMASSSPLTTTQYSSFFSSTTAALPRRRRRPAGARIQAMELDHKTAKKDINKQPYGFPCSSSSSSSVAFPRRNVLSTLVSASTVLLLGPRRTGLAETTGGAFREYIDTFDGYSFLYPKGWIQVKGAGADIFFRDPVLLDVNMSVDISSPSSSKYQTVEDLGPPEKAADGVLKQYLTEFMSTRLGVRRESNVLSASSKVADDGKLYYQVEVNIKSYASNNELAVMPKDRVQRLEWDRRYLTVLGVENNRLYALRLQSPERVLLEEEGDLRRVMDSFRVNKTEL* >Brasy5G060600.1.p pacid=40074984 transcript=Brasy5G060600.1 locus=Brasy5G060600 ID=Brasy5G060600.1.v1.1 annot-version=v1.1 MHWHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELDGCGSAAPMAQMITP* >Brasy5G234600.1.p pacid=40074985 transcript=Brasy5G234600.1 locus=Brasy5G234600 ID=Brasy5G234600.1.v1.1 annot-version=v1.1 MGAARPRRWRLPFQRSAPSSPSSKSFPAPNSPARSAAAAASEAELKGEQQQEEQEAAPPEFLCPILGTLMADPVILPSGQTYERACLQACAELSFFPPGAGSGSDAMIPNSALKAAIGTWCARSGRAVPALPSKEAAREAVLRAMPPAAAAAEAKSVRTRRPPVAASSSNSSYSSPASTSASTSSSEITPAEEDVAVKTAKAVVIQAVDPLEDEVVAKVMDADEDGEVAAAMAVLREATRESAERRRALCTPRLLAALRRVLLIPRHASARVDATAVLVNLSLEPANKVRIVRAGAVPALVEVLRSGGSSEAREHAAGALFGLALHEENRAAIGVLGAVPPLLDLLTSREQHPGARRDAGMALYYLSLAAVNQSKVARFPGAPKALLAVASSGAEPTPIRRLALMVICNVGACAEGRAALMDASAVAAVAGILSDDSARVAELEEWCVAAIYALSRGSLRFRGLAHAAGADKALRRVADEGTPGGVRREMARKTLRAMRTDLDNDADADLTGSSLECGDGDDCGGSIVSDGLMSFRRRQRDLGASSCGNTTEF* >Brasy5G123000.1.p pacid=40074986 transcript=Brasy5G123000.1 locus=Brasy5G123000 ID=Brasy5G123000.1.v1.1 annot-version=v1.1 MPGPGVAPPCVEEVSPEEDSPELRKKRKEVLWLRWIRATERSLLWWPPVAPGRGRGPALVEDSPELPMGGRRRAAVAAVGGGDGRRRGGCGLRRQGGCGTTRWPATGPTGGGDGQRRAEAVAGHGDCGRRDLRAGGDGRGRAAAASSGVVCGRPRWPATRSLWAAGSLHGHVCV* >Brasy5G065400.1.p pacid=40074987 transcript=Brasy5G065400.1 locus=Brasy5G065400 ID=Brasy5G065400.1.v1.1 annot-version=v1.1 MGEETAVGMSYFAAVAMAAAVLAVVVDAVVRRAHAWWWTASLGAGRRARLPPGDMGWPLIGGMWAFLRAFKSGKPNAFIDSFVTRFGRTGLYRAFMFSSPTILVTIPEACKKVLMDDDAFILGWPKSTVTLIGAKSFIAIPHEEHRRLRKLTAAPINGFDALTAYLGFIDHTVVSTLQALSTRGEEVEFLTELRRMTFRIIVQIFMRGADDRTVEALERCYTDLNYGLRAMAINLPGFAYHRAFKARKKMVELLQGVLDQRRAATAKGFPRPSVDMMDRLIEVEDEHGRRLEDDAIIDMLIMYLNAGHESSGHITMWATVFMQENPDILAKAKAEQEEIMRNIPPTQTGLSLKEFRKMEYLSQVIDETLRFMSINFVTFRQATRDVFVNGYLIPKGWKVQLWFRSVHTDPQIHPHPNKFNPSRWEGPPPRAGTFLAFGLGPRICPGNDLAKLEISVFLHHFLLGYKLTRANPSCRVRYLPHVRPVDNCLAKITKMSSHGY* >Brasy5G059100.1.p pacid=40074988 transcript=Brasy5G059100.1 locus=Brasy5G059100 ID=Brasy5G059100.1.v1.1 annot-version=v1.1 MSAMKFCRECNNILYPKEDRDQKVLLFACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKEVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE* >Brasy5G374000.1.p pacid=40074989 transcript=Brasy5G374000.1 locus=Brasy5G374000 ID=Brasy5G374000.1.v1.1 annot-version=v1.1 MAKLLAALAVLLVALLGCVARPCQAGFGYPNPMPHTAAKPSHPPPAPKPKPHRPPQPPSRKYSPPPPPVRRTPSPPPHAPRTYSPPPPAPMSTPSTPPPTPSPPPPAPISAPSPPPPTPSPPPPAPISTPSPPPPITSPPPPAPISTPSPPPPAPISTPSPPPPTPSLPPPAPISTPSPPPPITSPPSPAPISTPIPPPPTANPPPPAPMLSSPPPPMPKPSPPPPPSTPSPPPPQGLTVGHYDNICRQAEDIVRTAVSVASAGTMAGLVRLFFHDCFIRGCDASVLLDQTDPNNPPEKLGIPNLTLRGFEVIDAANAKILEVCGNVVSCADILAFAGRDATFFLSDKRVDFGMPAGRFDGNVSLASETLPNLPPPFATVDMLKANFASKGLTADEMVTLSGAHTIGRSHCSSFSSRLTSNTSDMDAGLKSSLQSQCSSSTGSDNTAVQDLRTPDQLDNQYYKNVLSREVLFESDAALLTATDTSSAVRANAGDTGQWEEKFKAAMVRMGAIEVKSRANGEIRRSCRVVNMK* >Brasy5G424100.1.p pacid=40074990 transcript=Brasy5G424100.1 locus=Brasy5G424100 ID=Brasy5G424100.1.v1.1 annot-version=v1.1 MEAAAAIGNTPAAPALPAPRTRAGRLGGTRRQPCAAASCSSRGDWQTACAILCSNSNNKPKVNGQSQTTEELAPADSPSSSSDLVPAPSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPGCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLGRVISHPQALAQCEHTLTRMGLNVAREAHDDTAGAAEHIAANSLRDTAAIASARAAELYGLAVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVLAHDGEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDSAPYTSSASSNQQQA* >Brasy5G389500.1.p pacid=40074991 transcript=Brasy5G389500.1 locus=Brasy5G389500 ID=Brasy5G389500.1.v1.1 annot-version=v1.1 MATCHCSEPAQCLHRPLLTPAPGYPRLHDRKKTAAAGGGGVVGEVASILRLTGPMVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVALLLAASVPISALWLAMRRVLVATGQDEDIASVAYAYILCSLPDLAVQSFLHPLRIYLRAQSVALPLTYAAAGAVLLHVPINFVLVDVLGLGIRGVALGAVCTNLNFLLFLVAYVCVFGMYGGADGDKKAATPVDEEEGASAREWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGNELGAGRPERARLVARVGLCLGAGLGLVACAFAVSVRGVWARMFTTDDAILKLTAAALPLLGLAELGNCPQTTGCGVLRGSARPEKAARINVSAFYGVGMPVALALAFRPARLDFRGMWGGMLAAQLVCAALMLRAVLGADWDEQTERARELTGGGIVDDKQHADVAKEDADNALLVVVADCV* >Brasy5G052200.1.p pacid=40074992 transcript=Brasy5G052200.1 locus=Brasy5G052200 ID=Brasy5G052200.1.v1.1 annot-version=v1.1 MGSRCGDEEAAAAAVTVPLLAAAVSPISRSRSHAGDVHLLSAAFLFVFSAYLPTQNLQSTLNTEGNLGAVSMGILYASFTVSTAAAAPAVRRLGSRGALVVGTSGYAVFILANLLPTWRTMVPASVYLGFTSSIMWVGQGTYLTSAALSHAAEKKLPEGQVLGRFNGEFWGLFASTQVIGNLISMALLRNGKDGEKNLLFAVFLGCMVIGIVLMCLLSRRDDRIESRGGPDHEPQQCSFLRDMSRSAVAPLADPRMLLVAPLLAYYGLQKAFVWAVFTKSVVTPVLGVAGVGGAMAVYGAAGVISSLVAGRLTTGLYSSTFIVSTGAVLQAGVLLWLLLFYSPMAGLLGPAAPLVVGAVWGVGDGILNTQLSALIGLLFKNDKEAAFAQGKMWQAAATAAVFFLSPGATLPAMLAAVAAALAVALAAFLFLSLVVERSYDALKL* >Brasy5G103100.1.p pacid=40074993 transcript=Brasy5G103100.1 locus=Brasy5G103100 ID=Brasy5G103100.1.v1.1 annot-version=v1.1 MSRPRSPFAPQNPTPGPNPAGPASSLPATFSNLQISRAPPPFASGGPPPGNGPVPSSIRGPQAPPPGARPFPGSPPPPSQPAPPLARPAVSVQQSPPFGGPPAAQPQQMPPFNGSPFGPPAQVQRAPFGGPPGASQPPSFSGPPAALSQHPPFGGSPAAPTQSGPLGGPPYSAAQPPPFGGPPGAMAQRPFSGGSMPPFGGQQAPSQQGPYGGPPQFGGQRPGSQPPPFGAQVAPPSQPPPFMGSPGLNAPAFGPPGWQGQARPGIVPGGMQPPMRMPGMPGNMLPNALGQGMPSTPTMPYSPHAGAQVSTPSKIDPNQIPRPITETSVTIFETRQGGQAALPPAASSEFIVKDTGNCSPRLMRCTMNQIPCTGDLLTTSGMPLALMVQPFALPHPSEEPIQLVDFGEMGPVRCSRCKAYINPFMRFVDQGKFFICNLCGFSNDTPREYLCNLGPDGRRRDADERPELCRGTVEFVASKEFLVREPMPAVYFFLIDVSMNAVHTGATAAACSAISQALSDLPEGPRTMVGIATFDSTIHFYSLKRSQQQPLMLIVPDIQDVYTPLQTDLILPLSECRESLEQLLESIPNMFENNRVADSAFGAAMKAGFLAIKSTGGKLLVFQSVLPSVGTGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFITTQSYVDIASISVVPSMTGGRVYRYFPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCKRVPTDIDLPAIDSDKTIMVTFKHDDKFQENSECAFQCALLYTTVYGQRRIRVINISLTCTSMLSNLFRYADLETQFTYLVKQAASGIPSSPLSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNDGRVDDRSYWVSIVSSISVLLAVPLVFPRMIALHDLTSRDDEDTLIPNPLTLNSENIHDDGIYLLENGEDGFIYVGNAVNPVTLEQIFGVSSLAGVPTQLALEQFDNELSKKVNEVLNEIRRQRCSYLRLRLCQKGDQSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMS* >Brasy5G369500.1.p pacid=40074994 transcript=Brasy5G369500.1 locus=Brasy5G369500 ID=Brasy5G369500.1.v1.1 annot-version=v1.1 MASFADEEALPPLPPINTAPLLPPAAPSPETTTTTTTTQESAEDRDPSTPKSEESKIRPAAECPPAPRKPALATAPRLSAVKRKWRPSSTGTTRVCVAVPRDLSTVFRSMPMRPPPEKRIRAS* >Brasy5G115200.1.p pacid=40074995 transcript=Brasy5G115200.1 locus=Brasy5G115200 ID=Brasy5G115200.1.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRAAAAGYPAGPLEARRRPRRDRPRREAPNPPAEEAPASLSPPQAKSFPAVLCPHRVKLHCRRSSSAASRRLRRAARPSALIYCHGFGFSASREQGAVEFLFTSLGNQEAGVILPRWKWIHCCCRCYWEKKILLLTACRLKLSQLNLLSSWQMILLSKKKLANELGVYTLMRFSLALACRQPIRPAEVPSALL* >Brasy5G115200.3.p pacid=40074996 transcript=Brasy5G115200.3 locus=Brasy5G115200 ID=Brasy5G115200.3.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRAAAAGYPAGPLEARRRPRRDRPRREAPNPPAEEAPASLSPPQAKSFPAVLCPHRVKLHCRRSSSAASRRLRRAARPSALIYCHGFGFSASREQGAVEFLFTSLGNQEAGVILPRWKWIHCCCRCYWEKKILLLTACRLKLSQLNLLSSWQMILLSKKKLANELGVYTLMRFSLALACRQPIRPAEVPSALL* >Brasy5G115200.2.p pacid=40074997 transcript=Brasy5G115200.2 locus=Brasy5G115200 ID=Brasy5G115200.2.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRAAAAGYPAGPLEARRRPRRDRPRREAPNPPAEEAPASLSPPQAKSFPAVLCPHRVKLHCRRSSSAASRRLRRAARPSALIYCHGFGFSASREQGAVEFLFTSLGNQEAGVILPRWKWIHCCCRCYWEKKILLLTACRLKLSQLNLLSSWQMILLSKKKLANELGVYTLMRFSLALACRQPIRPAEVPSALL* >Brasy5G357100.1.p pacid=40074998 transcript=Brasy5G357100.1 locus=Brasy5G357100 ID=Brasy5G357100.1.v1.1 annot-version=v1.1 MASAPVEFLSSTMEGGLGGEALYCAVILWLSVMSWIIFTCVGDGGDRGRRGRRGTKVFVGTQGLCDGTGPHCSGGYGLCGSCVD* >Brasy5G287800.1.p pacid=40074999 transcript=Brasy5G287800.1 locus=Brasy5G287800 ID=Brasy5G287800.1.v1.1 annot-version=v1.1 MAGTSSWSSSCSCTSSLGSLDDGDDVVICAVKPPPEQQQQQDAVKFLCSYGGRILPRHSDGALRYVGGDNRVVSLRRPLQFTELERKLREMCGWGEAMALRCQLPTEDLDALVSVTGDADLGHLLDEYDDASARRRRDRMMDEPLKIRAFLFARASPPPPLSPPSSASSSSPRTTPAAPYVQHHHYFTRPGLLHQQHQVFSPSARVPHRHRRRVHNGYC* >Brasy5G347700.1.p pacid=40075000 transcript=Brasy5G347700.1 locus=Brasy5G347700 ID=Brasy5G347700.1.v1.1 annot-version=v1.1 MPSFIDGPSLRSLLRPSTNGRRTKISDSGGGGGRGSGHGGIFKMFKLMPMLSSGCKMVALLGRHNTRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHREIASGTVKLALESDTRSARRRLVEEYVWAVFCNGRKAGYAIRRKEASDDERHVMRLLRGVSMGAGVLPAYAPVPGEKEEGGVGVAASAPAAAGPDGELTYVRARVERVVGSKDSEAFYMINPNEGGVGGGDGGAAGEGDAPELCIFLVRMK* >Brasy5G467700.1.p pacid=40075001 transcript=Brasy5G467700.1 locus=Brasy5G467700 ID=Brasy5G467700.1.v1.1 annot-version=v1.1 MAPRLLVVLPVVLLGWAFQAILRPPPPKLCGSAGGPPVTSPRIKLRDGRYLAYREDGVQRDKAKYKIITVHAFDSTKDFPSPVSKELVDDLGIYLVAFDRAGYGESDPNPGRNVKSEALDIEELADKLDLGEKFYVLGVSMGGYSVWGCLQYIPHRLAGAALVVPVINYWWPSFPAEVSRQAFKKLIVPEQRTLRIAHNAPYLLYLWMTQKWFPSSAAAMHHPEIFSKHDVEVIQKMMAMPRIIENKSRQQGIYESIHRDLLVAFGNWEFDPMNITNPFPANEGSVHIWQGYEDKLVLVELQRYISKKLPWIQYHEVPEGGHMFMLVDGWTDKIIKALLVGEEASAL* >Brasy5G262700.1.p pacid=40075002 transcript=Brasy5G262700.1 locus=Brasy5G262700 ID=Brasy5G262700.1.v1.1 annot-version=v1.1 MTLLGWMHRKLRSNNDVFKEFNTGGGGSCNCITGLASPDGEYFVDGTFVANHDLQQTLDTAADLFSLGGSGLLTIGTLGFATVTVPGDRDDEEADYDDVESNDDDVTDVGEAYNDDGAVTPIFTFPALASADDVDKAAMGGTVEAIAEKDDGTTTEDDLMLVSAELEKVLGASGDVPSARVSFATDCPLQGFLFGSPVCSDAESKRDSGGSRRASLGELFLRTRFAEENKVALVTVAEGEDGGEGEKAEGKGGSGGRKRMKKMKVKDEKGAEADESRANPTVTKSKFQKAYVASHVGGVFLQSAFLVEPDEPNRPISSTCFFSSSICAAPSSQLHADLFHLLILLVFSGSSILEAKIPRTQYSLDKLGSPYINIAEQQGMRWGYLTQYRIKILEYGMGHRN* >Brasy5G262700.3.p pacid=40075003 transcript=Brasy5G262700.3 locus=Brasy5G262700 ID=Brasy5G262700.3.v1.1 annot-version=v1.1 MTLLGWMHRKLRSNNDVFKEFNTGGGGSCNCITGLASPDGEYFVDGTFVANHDLQQTLDTAADLFSLGGSGLLTIGTLGFATVTVPGDRDDEEADYDDVESNDDDVTDVGEAYNDDGAVTPIFTFPALASADDVDKAAMGGTVEAIAEKDDGTTTEDDLMLVSAELEKVLGASGDVPSARVSFATDCPLQGFLFGSPVCSDAESKRDSGGSRRASLGELFLRTRFAEENKVALVTVAEGEDGGEGEKAEGKGGSGGRKRMKKMKVKDEKGAEADESRANPTVTKSKFQKILQIFHRKVYPEGTAILARNLTKKNRKRGAPDNGDGGAGADEPVVPPKRTGPRMPSLGCCANRASFSASPDHSGELLNGSKSGHWIKTDADYLVLEL* >Brasy5G262700.2.p pacid=40075004 transcript=Brasy5G262700.2 locus=Brasy5G262700 ID=Brasy5G262700.2.v1.1 annot-version=v1.1 MTLLGWMHRKLRSNNDVFKEFNTGGGGSCNCITGLASPDGEYFVDGTFVANHDLQQTLDTAADLFSLGGSGLLTIGTLGFATVTVPGDRDDEEADYDDVESNDDDVTDVGEAYNDDGAVTPIFTFPALASADDVDKAAMGGTVEAIAEKDDGTTTEDDLMLVSAELEKVLGASGDVPSARVSFATDCPLQGFLFGSPVCSDAESKRDSGGSRRASLGELFLRTRFAEENKVALVTVAEGEDGGEGEKAEGKGGSGGRKRMKKMKVKDEKGAEADESRANPTVTKSKFQKTWCWNYRGEERKSHQDLLA* >Brasy5G344200.1.p pacid=40075005 transcript=Brasy5G344200.1 locus=Brasy5G344200 ID=Brasy5G344200.1.v1.1 annot-version=v1.1 MAIRNMTVATRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRCAMRTIRTRFTGYRSKRGIEYADADGDGYIDDGEVDGLIDYAQKCLGLKIVAY* >Brasy5G413000.1.p pacid=40075006 transcript=Brasy5G413000.1 locus=Brasy5G413000 ID=Brasy5G413000.1.v1.1 annot-version=v1.1 MGFGKPAQRMFWHHPPAHPTDEDSSPPPESILLNATAYLDDGTNASTARCITRSGRAIALTFWIARPPRVSYFTVHHPSPTLLAELPRVLRADGDLALLRVSLDGFPRLIEYLVYRAGKSPSLQLLPPHPAVRRRLFLFHDLGQVGLLRRRGGLFAVAALSDAVPYLRGHYALHLFDSTTGAWSTTPMRVEPTSLHGGSYSYRSATSVIAVGGSSIGWVDLANKGILVCDVLRRDERGGDVLRHIRLPCTLSLHVAIGGLSPSSERGVAVVQGGVIKYVEMCVHVVPGSISGGTYDSQDWQAAIWTWTGPEKKWRLDCSLRASEITVDESFSHLLPVPKPPSHRRGDEATEPATLSRLHAGYPALSLHEDGVVYIMAKVDHLDDEAWMLAVDMRNKTLEGVVGFSTKRCMALGFRSPGLQYLQSSMSKHLAGIEVEEDDSLGTELTEEASWAEVSRKKKSCTPSVQQRMSQL* >Brasy5G154000.1.p pacid=40075007 transcript=Brasy5G154000.1 locus=Brasy5G154000 ID=Brasy5G154000.1.v1.1 annot-version=v1.1 MAALLQHVAAALLYLVAAASAAATSTYYASDPNLGSARVVFQTNHGDIEFGFFPRVAPKTVEHIFKLVRLGCYNTNHFFRVDKGFVAQIADVVGGRKAPMNKEQEQQAEKSIVGEFSTVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQYAVFGRVTKGDDTLRKLERLPTRKEGIFVMPIERIEIMSTYYYDIEVDSCEIEKSILRKRLFESSSEIERWRRKCFA* >Brasy5G321700.1.p pacid=40075008 transcript=Brasy5G321700.1 locus=Brasy5G321700 ID=Brasy5G321700.1.v1.1 annot-version=v1.1 MESEPSSQNPTDMTAFVQNLLGQMQTRFESMSQTIVSKIDEMGTKIDELEQSVNDLKAEMGTTEVPVNKPDEVKPADSA* >Brasy5G186700.1.p pacid=40075009 transcript=Brasy5G186700.1 locus=Brasy5G186700 ID=Brasy5G186700.1.v1.1 annot-version=v1.1 MASGVATLALKVAAIAAALAMLAVPSLGRCPSLGPAPPPPPSKAYASPPPPPPLPTPPPPPAYASPPPMPASPPPPPPAPTPPPPVHASPPPPPLPTPPPPPPQAEQPAPAPGPEPMISCGDCNTQCNRPCTASIHSKCSPRCDGIKDRCNSCKTRLIKNCKDGGTCADRSCDCDDLATYSSSSCDWDCDQWFCLDCVKELNKECGQNCARQCYDHGCVGN* >Brasy5G412300.1.p pacid=40075010 transcript=Brasy5G412300.1 locus=Brasy5G412300 ID=Brasy5G412300.1.v1.1 annot-version=v1.1 MYYQLRVLALHMQDYYQPQILHMILLHHPSQ* >Brasy5G504900.1.p pacid=40075011 transcript=Brasy5G504900.1 locus=Brasy5G504900 ID=Brasy5G504900.1.v1.1 annot-version=v1.1 MQQQLPLVSSSFPTRVSPGSPPAAREGGAREETQTGSGACSSSKPRRRTRAARERRRRRAGGWRGRGRSPAAHAGGAGTGRTQAGSGAGREASSSSTPRRQSRRAAREGAQAGGAGGRGLVLHARTQGRERARCSSEVATQAAEAVEVGGVVVVQEGGDAGVPGEDDEDEGPGRRRGRKVCE* >Brasy5G057900.1.p pacid=40075012 transcript=Brasy5G057900.1 locus=Brasy5G057900 ID=Brasy5G057900.1.v1.1 annot-version=v1.1 MDQSVLEHVRGGGSDGHGGGRVRDLPGAEQRVVWRALLLDVHGDAAEVLDDAEHLVDGAQAVAELGGARPEHGVLLLDAHVLAGDVVGAAQHARVLGLEPRHLLDQFVQVLLLPQPRPPRRLPVRQPPLLPPLVPPAAHGRAAGVAVRPRQPPSRRQRRRRHFSEALLPLLLPGCC* >Brasy5G145400.1.p pacid=40075013 transcript=Brasy5G145400.1 locus=Brasy5G145400 ID=Brasy5G145400.1.v1.1 annot-version=v1.1 MRGGDVGERHGRCGKLRDLQCADVTQHPPARGARRPYRYHQQVAVEALCGLCGIITAVVLEVSEETRK* >Brasy5G367200.1.p pacid=40075014 transcript=Brasy5G367200.1 locus=Brasy5G367200 ID=Brasy5G367200.1.v1.1 annot-version=v1.1 MDSSKSTQALKKSQTMLSGTDGHQFDNDEFTSEGALDKMVVSKSEISDKGQDEFGENHSPSLQQSTPSNTPNRGSPCIGAFTIQCAKCFKWRLVPTKEKYEEIREHIIQEPFECERAHEWKPDVTCDDPEDISQDGSRLWAIDKPNIARAPNGWERQIRIRGEGGTKFADVYYTSPSGRKLRSQVEVDKYLKENPEYGEKGVKSTQFSFQIPRPLRENYVKHVKKRPKLASPSDEANIITSKPLQPVEANPISWAAPPTHEGQASEQVSHADDPFGSVEVELTRKRKAESSPGEVNASDEPKPKIEDAQNGDTSTA* >Brasy5G367200.2.p pacid=40075015 transcript=Brasy5G367200.2 locus=Brasy5G367200 ID=Brasy5G367200.2.v1.1 annot-version=v1.1 MDSSKSTQALKKSQTMLSGTDGHQFDNDEFTSEGALDKMVVSKSEISDKGQDEFGENHSPSLQQSTPSNTPNRGSPCIGAFTIQCAKCFKWRLVPTKEKYEEIREHIIQEPFECERAHEWKPDVTCDDPEDISQDGSRLWAIDKPNIARAPNGWERQIRIRGEGGTKFADVYYTSPSGRKLRSQVEVDKYLKENPEYGEKGVKSTQFSFQIPRPLRENYVKHVKKRPKLASPSDEANIITSKPLQPVEANPISWAAPPTHEGQASEQVSHADDPFGSVEVELTRKRKAESSPGEVNASDEPKPKIEDAQNGDTSTA* >Brasy5G367200.4.p pacid=40075016 transcript=Brasy5G367200.4 locus=Brasy5G367200 ID=Brasy5G367200.4.v1.1 annot-version=v1.1 MDSSKSTQALKKSQTMLSGTDGHQFDNDEFTSEGALDKMVVSKSEISDKGQDEFGENHSPSLQQSTPSNTPNRGSPCIGAFTIQCAKCFKWRLVPTKEKYEEIREHIIQEPFECERAHEWKPDVTCDDPEDISQDGSRLWAIDKPNIARAPNGWERQIRIRGEGGTKFADVYYTSPSGRKLRSQVEVDKYLKENPEYGEKGVKSTQFSFQIPRPLRENYVKHVKKRPKLASPSDEANIITSKPLQPVEANPISWAAPPTHEGQASEQVSHADDPFGSVEVELTRKRKAESSPGEVNASDEPKPKIEDAQNGDTSTA* >Brasy5G367200.3.p pacid=40075017 transcript=Brasy5G367200.3 locus=Brasy5G367200 ID=Brasy5G367200.3.v1.1 annot-version=v1.1 MDSSKSTQALKKSQTMLSGTDGHQFDNDEFTSEGALDKMVVSKSEISDKGQDEFGENHSPSLQQSTPSNTPNRGSPCIGAFTIQCAKCFKWRLVPTKEKYEEIREHIIQEPFECERAHEWKPDVTCDDPEDISQDGSRLWAIDKPNIARAPNGWERQIRIRGEGGTKFADVYYTSPSGRKLRSQVEVDKYLKENPEYGEKGVKSTQFSFQIPRPLRENYVKHVKKRPKLASPSDEANIITSKPLQPVEANPISWAAPPTHEGQASEQVSHADDPFGSVEVELTRKRKAESSPGEVNASDEPKPKIEDAQNGDTSTA* >Brasy5G345500.1.p pacid=40075018 transcript=Brasy5G345500.1 locus=Brasy5G345500 ID=Brasy5G345500.1.v1.1 annot-version=v1.1 MPCLAHDYHHPRLPAATANHCKSLSSLVREAYAHCHVPCVRIPGAAGWSSGEDTDDDDALDTKQVVLNEMRNREMKRRSSRSSVEYYSPTTLSSAFVWSFTPLDPTSVLLEKVSSPENCIVVEEDEGEKKKKEDGEMDQADDDGVESEAYFSVKSFFTRSTSRAATVASSAADTDHPSPAAWQGLRNCEGWPFGLCRRPAVPPLPSTPADSWKWRNRSSSATAASPRGRPDRSPAPACGYKVATTG* >Brasy5G521000.1.p pacid=40075019 transcript=Brasy5G521000.1 locus=Brasy5G521000 ID=Brasy5G521000.1.v1.1 annot-version=v1.1 MKEAGKSTNGAGTGRRKAKAMAVARPQPEQGLECPRCHSLDTKFCYYNNYNVLQPRYHCRGCERYWTVGGALRNVPVGGGRRNRNKRPEASSSRDKLPAAPPASTSMSMSMADFPNFISSPPPGIGELPMATTDLMLPSSNNPVASSSSFLDVLRSGEGLLDAGMLHNQKKNNAGAGIGMAMPLPPLPFGIGGTTPMMQPLGGGSSSSSVGVPMSTMGLKYWQLQLNGVNGGAQQLGVNGVTAMGISNTTNGGQGSSRDCYLNNNNNNNNNNNNNNNNNNNNGNNNNNNGGLWQSLMNDSSLM* >Brasy5G036800.1.p pacid=40075020 transcript=Brasy5G036800.1 locus=Brasy5G036800 ID=Brasy5G036800.1.v1.1 annot-version=v1.1 MVRFTQCDGVPGFPKYLHSLLAHFHFPTQSEYTVMVHTAPFGLTEYACHMQITCHRHDRCRVIKVVAAGVIEEIAVHNAAYRAIKRLGFGRIFEGSDYHYVPYQYTEDTQVYYLPPPPTDSRRFVRAASLIQFRVIEGPDALRPSPFYPN* >Brasy5G036800.2.p pacid=40075021 transcript=Brasy5G036800.2 locus=Brasy5G036800 ID=Brasy5G036800.2.v1.1 annot-version=v1.1 MESLASRSTCTRCSPTSTSPPSLSTRVIKVVAAGVIEEIAVHNAAYRAIKRLGFGRIFEGSDYHYVPYQYTEDTQVYYLPPPPTDSRRFVRAASLIQFRVIEGPDALRPSPFYPN* >Brasy5G488900.1.p pacid=40075022 transcript=Brasy5G488900.1 locus=Brasy5G488900 ID=Brasy5G488900.1.v1.1 annot-version=v1.1 MSSFDVRAGKSSAINPPTAAPIVRPKKTHAPRFVLVHQKTALMGTPCIPIFILLLLSSFCKSDDQLTRAKPLFPGDMLISKGGDFAFGFFSPTGSNTSLYLCIWYHNIPERTVVWTANRDNPVTTSSSPMLVFTNGSDLVLSDSQGRTPWVVKNNITGMGIYAVLLDTGNLVLRYPNNTILWQSFDHPTDTILPQMKILLSHEARVVSRLVAWKGRDDPSTGDFSLSLDPILSLIIWNGSRPYCRFSMLKGPSVSGGVYQNTIIYEVVSGTGGKPYYEFTVSQGSPYAHLKLDYMGVFRTLSWDNRSSWKSLSERPASSYDLYGSCGPFGYSDYMGAVPTCHCLDGFELLDEFEPVGLNSSSKCRRIEALKCGKQSRFVPLYTMKVPAKSLPVLNISIDQCENECRNNCSCTAYAHTNISSSGASQSTCLLWTGDLIDTGKYGSNGENLYLRLADFPVQKKSKLVKIVLPIIACLLIITCIVLIGICKYKANKRQKKEIHKRLMLGYLSPSNEIGDENVEFPFVSYEEIVATTDNFSDLKQIGRGGFGKVYKGMLAGVNEVAIKRLSKDSGQGIKEFKNEIVLIAKLQHKNLVRLLGCCIHGDERLLIYEYLPNKSLDAFLFDATRQYVLDWATRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDSEMTPKISDFGMARIFGVNQQEANTTRVVGTYGYMSPEYVMGGSFSVKSDTYSFGVLLLEIVSGLKISSQQPTMNFLSLTTYAWRLWEDGKEAELVQSSIIESCPLDEVLRCIHVGLLCVQDHPDDRPLMSSVMFMLENESALLPEPKLPAYFVQRVSETEKSRENIENSVNGVTITRHEGR* >Brasy5G488900.2.p pacid=40075023 transcript=Brasy5G488900.2 locus=Brasy5G488900 ID=Brasy5G488900.2.v1.1 annot-version=v1.1 MSSFDVRAGKSSAINPPTAAPIVRPKKTHAPRFVLVHQKTALMGTPCIPIFILLLLSSFCKSDDQLTRAKPLFPGDMLISKGGDFAFGFFSPTGSNTSLYLCIWYHNIPERTVVWTANRDNPVTTSSSPMLVFTNGSDLVLSDSQGRTPWVVKNNITGMGIYAVLLDTGNLVLRYPNNTILWQSFDHPTDTILPQMKILLSHEARVVSRLVAWKGRDDPSTGDFSLSLDPILSLIIWNGSRPYCRFSMLKGPSVSGGVYQNTIIYEVVSGTGGKPYYEFTVSQGSPYAHLKLDYMGVFRTLSWDNRSSWKSLSERPASSYDLYGSCGPFGYSDYMGAVPTCHCLDGFELLDEFEPVGLNSSSKCRRIEALKCGKQSRFVPLYTMKVPAKSLPVLNISIDQCENECRNNCSCTAYAHTNISSSGASQSTCLLWTGDLIDTGKYGSNGENLYLRLADFPVQKKSKLVKIVLPIIACLLIITCIVLIGICKYKANKRQKKEIHKRLMLGYLSPSNEIGDENVEFPFVSYEEIVATTDNFSDLKQIGRGGFGKVYKGMLAGVNEVAIKRLSKDSGQGIKEFKNEIVLIAKLQHKNLVRLLGCCIHGDERLLIYEYLPNKSLDAFLFDATRQYVLDWATRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDSEMTPKISDFGMARIFGVNQQEANTTRVVGT* >Brasy5G510100.1.p pacid=40075024 transcript=Brasy5G510100.1 locus=Brasy5G510100 ID=Brasy5G510100.1.v1.1 annot-version=v1.1 MPCLNVSTNVNLDGVDTSAVLADASSAVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLSPDVNKKLSAGIAAILESKLSIPKSRFYLKFHDSKRSDFGWNGTTF* >Brasy5G168000.1.p pacid=40075025 transcript=Brasy5G168000.1 locus=Brasy5G168000 ID=Brasy5G168000.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPNGVLISCPPIDSGPGCNRPPC* >Brasy5G063600.1.p pacid=40075026 transcript=Brasy5G063600.1 locus=Brasy5G063600 ID=Brasy5G063600.1.v1.1 annot-version=v1.1 MAPSASASSSSCSDSSGSSDSSSSSSGSVRRRHSRRKDAAAPSSSSSAAALKARKDRKSRHKRRRRERRKSRSDDDSYSSASSYDSDREVSGRSRKHKKSSRSRKSRERERSKDRHHKRDKSKHREKKESERSSGPVQLSKFLAREKDESGKRSVISGKKIMMKLEKSKEDKAAESKRNELLKFLNASYD* >Brasy5G079200.1.p pacid=40075027 transcript=Brasy5G079200.1 locus=Brasy5G079200 ID=Brasy5G079200.1.v1.1 annot-version=v1.1 YSHECYFLIVCPLCHFELKYVCYVRPLKICFLLMLLPVFPKIISSNHQRKTKAASRIIKHACRILPQIWSNKESQDR* >Brasy5G444300.1.p pacid=40075028 transcript=Brasy5G444300.1 locus=Brasy5G444300 ID=Brasy5G444300.1.v1.1 annot-version=v1.1 MANRRGAPLPTLRLRRLARPPISRCACLLVTSTAALLVLSLHQIARVDLPSPDPPLQVSNDQLWGSNGYGYHACVTPTYKYIAPKESDRYMTVRSNGGLNQMRTGVSSWHPNLAFSSCFIRHNLHTSELICDMIAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPGFIKALEGDVHIVSDLPESLQSAPRARKHFTSWSGASYYEDAKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVERLKSRGKFIALHLRYEKDMLAFTGCTYGLSESEAEELRIMRERTSHWKVKDINSTEQRSGGNCPLTPKEVGMFLRAMGYTKSTWIYLAAGEIYGGDKYISKLRSYFPNLVTKEVLATKEELEKFKNHASQVAALDYKISVESDVFIPSHSGNMARAVEGHRRFLGHRKTMNPDRRGLVELFGLLEKGELMEGPKLSSLVIEMHKYRQGAPRKRYSSLPGSKGRARARTEESFYENPFPECICLTGKH* >Brasy5G444300.2.p pacid=40075029 transcript=Brasy5G444300.2 locus=Brasy5G444300 ID=Brasy5G444300.2.v1.1 annot-version=v1.1 MANRRGAPLPTLRLRRLARPPISRCACLLVTSTAALLVLSLHQIARVDLPSPDPPLQVSNDQLWGSNGYGYHACVTPTYKYIAPKESDRYMTVRSNGGLNQMRTGICDMIAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPGFIKALEGDVHIVSDLPESLQSAPRARKHFTSWSGASYYEDAKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVERLKSRGKFIALHLRYEKDMLAFTGCTYGLSESEAEELRIMRERTSHWKVKDINSTEQRSGGNCPLTPKEVGMFLRAMGYTKSTWIYLAAGEIYGGDKYISKLRSYFPNLVTKEVLATKEELEKFKNHASQVAALDYKISVESDVFIPSHSGNMARAVEGHRRFLGHRKTMNPDRRGLVELFGLLEKGELMEGPKLSSLVIEMHKYRQGAPRKRYSSLPGSKGRARARTEESFYENPFPECICLTGKH* >Brasy5G444300.3.p pacid=40075030 transcript=Brasy5G444300.3 locus=Brasy5G444300 ID=Brasy5G444300.3.v1.1 annot-version=v1.1 MTVRSNGGLNQMRTGICDMIAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPGFIKALEGDVHIVSDLPESLQSAPRARKHFTSWSGASYYEDAKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVERLKSRGKFIALHLRYEKDMLAFTGCTYGLSESEAEELRIMRERTSHWKVKDINSTEQRSGGNCPLTPKEVGMFLRAMGYTKSTWIYLAAGEIYGGDKYISKLRSYFPNLVTKEVLATKEELEKFKNHASQVAALDYKISVESDVFIPSHSGNMARAVEGHRRFLGHRKTMNPDRRGLVELFGLLEKGELMEGPKLSSLVIEMHKYRQGAPRKRYSSLPGSKGRARARTEESFYENPFPECICLTGKH* >Brasy5G132500.1.p pacid=40075031 transcript=Brasy5G132500.1 locus=Brasy5G132500 ID=Brasy5G132500.1.v1.1 annot-version=v1.1 MAAAHDAVRDGQTAMALRLTKDSSSAAAAGKNVAFSPVSIHAGLALAAAGAGGATQAQLLTFLGAPSADALAAFQEVAAESYKSEARTVSFTNKPEEAVEMINSWAKKATNGLIESIFSRADIAADTDLVLANAVYFKGKWLDPFESHETRADEFHRLDGSHVEAYFLWERRSMYVSCMDGFKVLKLPYKKGHAEAAAVLQPPIKRRRGRPSTAARKLLSDGDALSSTQFSMFVFLLDKRDGVATLVDVITASPGYLYSVLPTETKLVEVKLPKFEISFSWDDFPGDLRRLGLSLPFSPEVADLRGMFAKNDGRPTFLSKVAHKAVVKVNEEGTEAAAVYGSISGGGGPPPDMVEFVADHPFTFLMMEEISGVIVFAGHVIDPTRE* >Brasy5G308800.1.p pacid=40075032 transcript=Brasy5G308800.1 locus=Brasy5G308800 ID=Brasy5G308800.1.v1.1 annot-version=v1.1 MARRRVLLFLKPFDVYPPRPLASASSPTTPPPPPPPRAANPKVLSYLDDRCRVHKDTINLCKSVLQRKPLEWISVQRNHLSKPIHDVDLVITVGGDGTLLRASHFLDSSIPILGVNSDPTCSDEVEELTDDFDARRSTGYLCAATARNFEQILDATLAGSRHHSELSRISVKLNGFQLPTYALNDILVAHPCPASVSRFSLRKRSNTGETSHLINSRSSGLRVSTATGSTAAMLSAGGFMMPISSRELQYMIREPISPTDTDKPLLHGLVKQEQDMLVVWYNQEGAAYIDGSHVMYSIQHGDTLEISSDAPTLKVILPEYLLKQASL* >Brasy5G117800.1.p pacid=40075033 transcript=Brasy5G117800.1 locus=Brasy5G117800 ID=Brasy5G117800.1.v1.1 annot-version=v1.1 MGCGASIPIKYSGKGRKRQSVIQEVAVFVPTIRVPEDTDIVNPLRGLVSKELIDRLAALRANVVSLSEEIYHGDTSAVSELQRALEEYLPVVLGLAIKESRLEASVKFSWRTLDDDQECVLASAWYEVLSVVHMMAMLALFEANLLLIPRNVQDGSERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQIKKSFPSYLQEGMLEAISIQALAQCVQIQLGLASECDKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMDAKAVAYYYHALVLDKGSEPSNHISSVCCLSAADDLLAESKRACLSFCLANPITRVPPPWGIMKNMHKKIPDVAYKKFQIYGHLLEQNKNSALQSLPDLPEFPLSLRPEGYEFPITDSIWENVDCQPQIQSLKEHLMDDEEVAETK* >Brasy5G117800.2.p pacid=40075034 transcript=Brasy5G117800.2 locus=Brasy5G117800 ID=Brasy5G117800.2.v1.1 annot-version=v1.1 MGCGASIPIKYSGKGRKRQSVIQEVAVFVPTIRVPEDTDIVNPLRGLVSKELIDRLAALRANVVSLSEEIYHGDTSAVSELQRALEEYLPVVLGLAIKESRLEASVKFSWRTLDDDQECVLASAWYEVLSVVHMMAMLALFEANLLLIPRNVQDGSERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQIKKSFPSYLQEGMLEAISIQALAQCVQIQLGLASECDKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMDAKAVAYYYHALVLDKGSEPSNHISSVCCLSAADDLLAESKRACLSFCLANPITRVPPPWGIMKNMHKKIPDVAYKKFQIYGHLLEQNKNSALQSLPDLPEFPLSLRPEGYEFPITDSIWENVDCQPQIQSLKEHLMDDEEVAETK* >Brasy5G117800.3.p pacid=40075035 transcript=Brasy5G117800.3 locus=Brasy5G117800 ID=Brasy5G117800.3.v1.1 annot-version=v1.1 MGCGASIPIKYSGKGRKRQSVIQEVAVFVPTIRVPEDTDIVNPLRGLVSKELIDRLAALRANVVSLSEEIYHGDTSAVSELQRALEEYLPVVLGLAIKESRLEASVKFSWRTLDDDQECVLASAWYEVLSVVHMMAMLALFEANLLLIPRNVQDGSERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQIKKSFPSYLQEGMLEAISIQALAQCVQIQLGLASECDKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMDAKAVAYYYHALVLDKGSEPSNHISSVCCLSAADDLLAESKRACLSFCLANPITRVPPPWGIMKNMHKKIPDVAYKKFQIYGHLLEQNKNSALQSLPDLPEFPLSLRPEGYEFPITDSIWENVDCQPQIQSLKEHLMDDEEVAETK* >Brasy5G503800.1.p pacid=40075036 transcript=Brasy5G503800.1 locus=Brasy5G503800 ID=Brasy5G503800.1.v1.1 annot-version=v1.1 MDSSGKVVLGRYEVGRLLGKGAFGKVHYARNLESNRSVAIKMLDKEKVLKVGLSEQVRREITTMRLVAHKNIVKLHEVMATRSKIYFVMEYVKGGQLFDKISNGGKLTESAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTICGSPAYVAPEVISKGGYDGAKSDIWSCGVVLFVLVADYLPFQGQNLMEMYMKIEQGDFKCPGWVSIKLRKLLHKIMDPDPSKRISIQKIKESAWFRKGPEETLRMERIPIENATTNAAPTLARRRKNSHGDAKPLAVTNLNAFEIISFSTGFDLSGLFVEKEGKKEARFTSENPASAIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVVQFDSEIFQITPSYHLIEMKQTSGDSLEYQKLLEDGIRPALKDIVWAWHGDDDHHQQQG* >Brasy5G036200.1.p pacid=40075037 transcript=Brasy5G036200.1 locus=Brasy5G036200 ID=Brasy5G036200.1.v1.1 annot-version=v1.1 MMPSPFTLMDKQSSPELSFKNKNVASSMELQLLPSGVLHDIVSRLSIKEVVRMSVLSRQWRQLGICHPDLVFTRETFFGSSNTARCIDPPASMVAEFITKVDNVLRPLWSTAGTTTTLDKFVIKFKLGRKHKYHIDRWISFSTMSRAKHIALDFTIQDSGSEDDKYLVPLCNFSGPNGSCVKSLYLGYVCLKLQLSFCGITNLKNLTLNSVSISTGDLQCLLLRCALLESLSIEWCSFSSLCIRQELCRLQYLCVRNCELKMIELHAPNLTKFEFDDDVRQITLSESLKLSEATFVSNWRGSEAYDYDLGLIFYELPPALPHLHKLFLLLNLDQACSGKKCLILFFIFFPSQYFRSDLPPRSISNYFHFLWPCIVSVPGANVQ* >Brasy5G506400.1.p pacid=40075038 transcript=Brasy5G506400.1 locus=Brasy5G506400 ID=Brasy5G506400.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLVELVEGEYKLQTTEVKKDVESLLRELKSIEVALTKVAEVPLDQLDKQVRLWANNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIADKIQDIKVRVKEVADLRDRYKVDDVRANPGATNTVDPRIMALFKDQRELVGIEEPRNELIKRLMEGDNGGSNSKMQLKILSIFGFGGLGKTTLAKAVYDMIQSNFVCKAFISVGQNPSLKKVFMDILRQLDENSYLNATMLDEEQLIGKLRGLLENKRYLVVIDDIWDMGSWNIMKWAWIDSNCGSRVITTTRILEVAEEAEVVYKQKPLSSDTSKELFHTRLSIGRCKITSGQPIEISEKILQKCGGVPLAIITIASMLASKPWEDWSEVYDSIGFGDGANIHVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMRLFELGERYFYELINRSLIQPVEEPYKSIIYACRVHDLVLDMIYYLSKEENFVTIHGSSNKEHQPSQSNVRRLALQNIAMDEEPNSDNTEIRQVRSFNAIMCDVEIGSLSSFHGLRVLSMERCTFINDGCYHLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRYSGIKELPESVGQLRRLKCLRLPYGFRGALGWIGNLALLEELFLPDVSLEVVKELGKLTELRDYVACFKKSDDPMVDSILFNNMMKSLEQLEKLQAIQVCCYTPWAHVDLAYCEGYVPSRHLRRLELPVAFEKLPAWINSSSLPNLSHLMVGPDAVETQELEVLGRFPELVYLALRTKPGVIIPDIRGGGAFPKLRYYSTLASVRFLQGAMPSLECVECYIYDERNGAKFERDIASIGNLPCLDRVIVYFGCDRVTREKGEAALRQAVEVHPNNNITLQVDGRLW* >Brasy5G506400.2.p pacid=40075039 transcript=Brasy5G506400.2 locus=Brasy5G506400 ID=Brasy5G506400.2.v1.1 annot-version=v1.1 MELATGAMSSLLPKLVELVEGEYKLQTTEVKKDVESLLRELKSIEVALTKVAEVPLDQLDKQVRLWANNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIADKIQDIKVRVKEVADLRDRYKVDDVRANPGATNTVDPRIMALFKDQRELVGIEEPRNELIKRLMEGDNGGSNSKMQLKILSIFGFGGLGKTTLAKAVYDMIQSNFVCKAFISVGQNPSLKKVFMDILRQLDENSYLNATMLDEEQLIGKLRGLLENKRYLVVIDDIWDMGSWNIMKWAWIDSNCGSRVITTTRILEVAEEAEVVYKQKPLSSDTSKELFHTRLSIGRCKITSGQPIEISEKILQKCGGVPLAIITIASMLASKPWEDWSEVYDSIGFGDGANIHVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMRLFELGERYFYELINRSLIQPVEEPYKSIIYACRVHDLVLDMIYYLSKEENFVTIHGSSNKEHQPSQSNVRRLALQNIAMDEEPNSDNTEIRQVRSFNAIMCDVEIGSLSSFHGLRVLSMERCTFINDGCYHLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRYSGIKELPESVGQLRRLKCLRLPYGFRGALGWIGNLALLEELFLPDVSLEVVKELGKLTELRDYVACFKKSDDPMVDSILFNNMMKSLEQLEKLQAIQVCCYTPWAHVDLAYCEGYVPSRHLRRLELPVAFEKLPAWINSSSLPNLSHLMVGPDAVETQELEVLGRFPELVYLALRTKPGVIIPDIRGGGAFPKLRYYSTLASVRFLQGAMPSLECVECYIYDERNGAKFERDIASIGNLPCLDRVIVYFGCDRVTREKGEAALRQAVEVHPNNNITLQVDGRLW* >Brasy5G302600.1.p pacid=40075040 transcript=Brasy5G302600.1 locus=Brasy5G302600 ID=Brasy5G302600.1.v1.1 annot-version=v1.1 MVAVGPIPSATAAHQVFTVVIDGAEETSIHEGVIRCGGGGTLTVVRPGVLEASRLKHVAVRGGVGGGGDVRFSRCGFASAEACGAASFHRCDTVRAADVAGGVSVRRCRSADVERAGGAVSIRRCKGAARVHGAGGELRVGRCREADVGGCPEVSLGRCREARVDWCGALGVQRCRSAEVSRCGAVRVDRCGEANVWSCGNVMVRRGKVNVVEARQPQVWLQEEPLCHKLAEPAYSVPTKIMTK* >Brasy5G520100.1.p pacid=40075041 transcript=Brasy5G520100.1 locus=Brasy5G520100 ID=Brasy5G520100.1.v1.1 annot-version=v1.1 MDLVGDGRVEVEGEEEAEEVVERGQAGPGSGRSTRRAIPPGPTRAARMRSASEGFSCYTSAKKRWSPAPSSAEAAAAGARPGSGTCSNRAQLHDAAAALTRHPPPPSSSPLGRASHRLHRRPAPSAQPLPPSCPSATPLPPHRPSCAAARGPRRLAPPHPLLWRRPSHALMSLPRPTPSTRLEAKARSCCHQGCPPPALGLGNRRLEGHCHCRCRHRASEEPQPTSRPVMPDSRLQLPQ* >Brasy5G008700.1.p pacid=40075042 transcript=Brasy5G008700.1 locus=Brasy5G008700 ID=Brasy5G008700.1.v1.1 annot-version=v1.1 MDITQILLAAQSPDGNLRAVAEGNIKQFQEQNLPNFLLSLSVELSSDERPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSLDPLIKSRIKESLLITLGSSVADARQTSSQVIAKVASIEIPRKEWQDLIAKLLNNMTQPGASAAVKQATLEALGYVCEEIPPEHLEQEQVNAVLTAVVQGMNQTELSSEVRLAAVKALYNALDFAESNFANEMERNFIMKVICDTAVSNEVEIRQAAYECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEPVALQAVEFWSTICEEEIELQEEYVGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQEQDDNAWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILDGPSLEKLAPLVQAGLDFLLNTMKDPNSQVKDTTAWTLGRVFELLHSPCSSNPIISNANLPRIMTVLLDSSKDVPNVAEKVCGAIYFLAQGYEDAELVSSSLTPYLPNVIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETSSIIGQLLQEIMRRLNLTFDHQIFSSGDKEKQSDLQALLCGVLQVIIQKLSNSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGPDFVKYMPEFFKYLEAGLQNHEEYQVCSISVGVVGDICRALEDKVLPFCDGIMTVLLKDLSNPVLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLGVLDQNDEDMVDYGNQLRRGIFEAYSGILQGIKGEKAQLMIPYAGHLLQFTEAVYKDQSRDESVTKAAVAVLGDLADTLGPMSKDLFKGHLFHVEFLRECLDVDDEVRETASWAQGMISQAIVS* >Brasy5G244300.1.p pacid=40075043 transcript=Brasy5G244300.1 locus=Brasy5G244300 ID=Brasy5G244300.1.v1.1 annot-version=v1.1 MAYLSAFIFFLAVGLLLSLSPCRVAAARPLSDSDAVSSSGHGDGTAPRSSHGVTEVAPAPTEKKKEDVVVLKKKKEKDHAKKTPPTPKHSGGGHGHHRERAPPTPPADLSPPDPYGGWQPPTAPESPDPDNRWPPFPAWGPRPDDGDNKWPPLPPFNPPPVPAWPQPKPGNKCPPFNPPPIPAWPQPEPGKLWPPFPAWPQPGPGNNHWPPFPAWPQPHHWPPLPPFPFHPPPMPAWPHPASGGSDQWPPTPASFPGDAVVTAKPEDDERRLSSSPELPILPPPVPVPAHN* >Brasy5G351900.1.p pacid=40075044 transcript=Brasy5G351900.1 locus=Brasy5G351900 ID=Brasy5G351900.1.v1.1 annot-version=v1.1 MGPSGCGKSTLLDALAGRLGSGVSQKGDILINGRRQKLSFGTSAYVTQDDVLMTTLTVREAVHYSASLQLPAHMPAAAKRERAEETLREMGLLEGNVADTRIGGWAHKGISGGQKRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARLARREGMAVVAAVHQPSTEVFGLFSGLCLLAYGNTVFFGPAAEANQFFALSGFPCPSLMNPSDHFLRTINKDFDNDIEEGLGGKKTTTAQAIDALVTSYRSSGHMEKVTRQIADIRNGTGGPVVKMEGQHPSFLTQSLVLTKRSFVNMYRDLGYYWLRFGIYLALCLCAGTIFYDIGHTYGSIQARGSMLMFVGAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVSSFVIANTVSATPYLLLISVVPGALAYYLVGLQRSLDHFAYFALVLFMTMMLVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPRDLPRPVWRYPMYYVAFHKYANQGFYKNEFVGLTFPANAAAQAGPGATATITGGEILRDYWQVEMGYSKWVDLAVLFGMVILYRVLFLVIVKLTEKAKPMVKGFRFRSTQQSVHVAEHGAPSP* >Brasy5G436100.1.p pacid=40075045 transcript=Brasy5G436100.1 locus=Brasy5G436100 ID=Brasy5G436100.1.v1.1 annot-version=v1.1 MVVVWFFCANTDDPLVCESRLGDAFLNEDDTAKLEARGATLKEDLGDDGDVDEELTQAREVVIPRPPSGPCSVRCWCWTSSRGMLGTLQRR* >Brasy5G468500.1.p pacid=40075046 transcript=Brasy5G468500.1 locus=Brasy5G468500 ID=Brasy5G468500.1.v1.1 annot-version=v1.1 MLGSGDATKVGPENTGSVSSIFVVSSSSLLVASSPVWLSASESASRGFLCASQRPAEDARLGGLLYLSPEIMLLPRLDIEEDDVLLLLLLWFFLILLMSQRSQESARPGLVLPQDDAGLLGFLFLCASHLSTASARPGLGNLGFEAAGRMMMHGAGVLIGGVGRRPGRSAAAALDSARSTDAMAMIFFDRRNARNTSVEEAAGTAFEETASPYDRRHEVAVGRNVLHCPRGSLFTLKCSSVQRCLFSIRNTPWKRIKEWNAMPPPLVCVGCCLVLRCHLNDKIVTGRSSGVKYSV* >Brasy5G067100.1.p pacid=40075047 transcript=Brasy5G067100.1 locus=Brasy5G067100 ID=Brasy5G067100.1.v1.1 annot-version=v1.1 MEQKFSVRNATDAATAWAATPVGILVRVEVLVTVSCTLLATLVFFGSGRRTSRSGAFRFVVWLVLMLCYPAVSYTIGLIQSGSFRNDLVVVWACFLLGCADGIFSCSVDDSDQQSRAVLNQATQVIYVLLLLLSYVGSLPLQLKVLLLLLWVLNLAKLGMRLWSLLTARRDRVLTADNWLISNYMAHDHVTSVSDFDPETMRGYRYVVAGHKDVEEGCAEYKLELTDDLVTVERLWQHGDGGLLVSNKASSSSSSSSSKLKDLCLSFALFKLLRRRLGGSNSPMIHERDDIRTLVFARNGLAGGDDHERMFRVIETELGFLFDFFYARYPSPKQSLIPETAIFVASMALSLSTFFSPAMLRYHNPDHPGLSSTRFVATGIDIWLARFVIALFLVLELYQYLSLVLSDWHKVKMLCRYVCKPSWQGHPIMEGLLWLMCRATLTTRYWSHSVGQYSLLHGCLRSNRSCVLARVPLHKWIKGVLTGMKTVSRKTLPVTVKRAIHRLLRSEWLSNLRYGDRTLQRYGMLQSFDWSTSTYTYGAVGSILVWHVATAICGARQPDDAAAAGSHEVSTTVSDYCAYLLYQAPELVTDKIYDARLLMGALQNKIQGFLALKDDGKQGCRSDEDMFHELSRLHSGDAELDGGGGYEKDILADGIRLGYQIFDEMPDEAVRWNMLSEMWVELLLSVAPSDNVSAHIKKLATGGELVTQLWALLTHGGMIAKPDKPEYTS* >Brasy5G392300.1.p pacid=40075048 transcript=Brasy5G392300.1 locus=Brasy5G392300 ID=Brasy5G392300.1.v1.1 annot-version=v1.1 MDIAAANSSAAAAAAAASASGNGVQGSAGGERPEDASKQNLAQVTGSIQKTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELDTMQKLADGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYRQIRATSAADSKRLAQSQSALPNGDAKVKTEH* >Brasy5G282800.1.p pacid=40075049 transcript=Brasy5G282800.1 locus=Brasy5G282800 ID=Brasy5G282800.1.v1.1 annot-version=v1.1 MAEIVLLLDINKIGVALENGVAYQASAQFAKYTIELIELQGSMDRVVRELRIMHDVLCQMDIRNRNSQVYEGWLEEVQKVAHLMEDIVDEYLYLGGREHDIGCCFYLKKGFGKPRSLLSLNRIASKVKEIEKALTHLSEMKIRWVPMINNGETCCSNHIVKRSQDLANISRSIDEDDLVGVDKNIEKLEKLLAGDGLDRSVIVLLGMGGLGKTTLAANVYRKEREKFQCHAWISISQTYSIEDVLRNIIMELFKDKVRVPRNIATMDIGSLEERIKRFLEQQKYLIILDDVVGTEVQFSVNSEVQSFSFQFLKKVTKETILLFIFVLCPLRYILEVCRSTCRRAQELSLEFVQMGRL* >Brasy5G230700.1.p pacid=40075050 transcript=Brasy5G230700.1 locus=Brasy5G230700 ID=Brasy5G230700.1.v1.1 annot-version=v1.1 MDAAGGSGGGARTVAACVIGGIVLGASVVALHLGAGPAALPLPGPVDALLRLRPRGRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDDEITANKGPPVTPLHERMKMVRAVKWVDDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERPASDSQSHSSLQRQFSHGHGQNVDDSGSGSGTKISHFLPTSRRIVQFSNSKGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDISKDMITTFNISLVVHGTIAENMDYTEDDSNPYAVPIAMGIYHKLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYDSKSFVNGE* >Brasy5G362900.1.p pacid=40075051 transcript=Brasy5G362900.1 locus=Brasy5G362900 ID=Brasy5G362900.1.v1.1 annot-version=v1.1 MGRPRGGKGKNSMEPAKSQDAAGSGGEEVIPAHKRRGRPQKLLKGNLADEEEDIAKAAEEDGDGAIAKAAPSKGASAENGGKKRRRQWKRGSESAIETKDEPVTPPPNGFRQNGSRRKSTPRRAAEAGVES* >Brasy5G362900.2.p pacid=40075052 transcript=Brasy5G362900.2 locus=Brasy5G362900 ID=Brasy5G362900.2.v1.1 annot-version=v1.1 MGRPRGGKGKNSMEPAKSQDAAGSGGEEVIPAHKRRGRPQKLLKGNLADEEEDIAKAAEEDGDGAIAKAAPSKGASAENGGKKRRRQWKRGSESAIETKDEPVTPPPNGFRQNGSRRKSTPRRAAEAGVES* >Brasy5G226400.1.p pacid=40075053 transcript=Brasy5G226400.1 locus=Brasy5G226400 ID=Brasy5G226400.1.v1.1 annot-version=v1.1 MEMLLTGAEDDDPDVGEAAVGDAGVGRLPPLHGAARAAVVRRLLLQQRRRWLAAACSGSLLIRHDYILNQWRLLLLLRWPGRPMGQT* >Brasy5G509200.1.p pacid=40075054 transcript=Brasy5G509200.1 locus=Brasy5G509200 ID=Brasy5G509200.1.v1.1 annot-version=v1.1 MAVSAADVRGSIQLLRSCGPAAGRQLHSALLKSGQVPSSLPPTNSLLLMYARCASSPLHRRDAPRLFDEMPARNCFSYNSLLTACLNSRDHPAAMALFRSMPERNAFSWNTVIEGMASAGDLDTARALLHEMPVKDAVACNTVLHRYVRCGRVDEAFALVRAIGSGGVPASSSSSPCNDPFVLATVVGACADRTRYDFGRQAHARMVVARTELDSVLSCALVDMYCKCGDLDSARRVFDALAQTDEFSVSALVYGYASRGQLDEALRLLGREEGNLNILLWNSIISGCAFACLGDDAFVLFARVMRSDVLPDSATYASVLNVCGFLGMLKPGQQMHGCGLKSGAISDMIAASALIDFYSKGSLWEDACRAFSELRFHDTVVLNSMITVYSNCGWIEEAKRVFHMITSKSVISWNSMVVGLSQNGHAIDALELFCEMHHLGVRLDKVAIASALSASSNICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCKVFDGIDKSDEVLWNSMLIGYASNGHGHKALELLELMRSRGIRPTERTFVGVLSACCHSGLVEEGLTWFKQMQEDFYVKPSAEHYACVTDLLVRAGRLGEAVEFIENMPFTADTVSWTTVVGGCKAQGNEALIQKMAKRLKEMEQSGSSPHSSLYVQLSSVLAAQGDWAKSAEMRGTMRERKIAKNPGYSWIDG* >Brasy5G258300.1.p pacid=40075055 transcript=Brasy5G258300.1 locus=Brasy5G258300 ID=Brasy5G258300.1.v1.1 annot-version=v1.1 MAGTPIPPSQPSRLSSPHPHPLSHSRAPAMSSGGRGGKRRTAPPQAPPAAAAKRAHPMPTGIPQPPPPAATAEEEDMMDEDVFLDETILAEDEAALLLLDRDEALASRLSRWKRPALPADLVSGCSRSVAFQQLDIDYVIGESHRELLPNTSGPAAIIRIFGVTKEGHSVCCQVHGFEPYFYISCPSGMGPDDISRFQQALEVRMKESNRSSNVPRFVKRVDLLQKQTIMHYQTQKSQSFLKIVVALPTMVASCRGILERGITIEGFGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPGGKYRKAARIMSYCQLELDCIYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPIHDPVIQIANLLTLQGEAQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDLIREADPDIIIGYNICKFDLPYLIERAEVLKIVEFPLLGRIRNSRVRVRDTTFNSRQYGVRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQRNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAHNLCYCTLVPPEDVRKLNLPPESLYKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTIADLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGPNQTLCSHCKGREAELYCKTVANVSDLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLDRWDF* >Brasy5G258300.2.p pacid=40075056 transcript=Brasy5G258300.2 locus=Brasy5G258300 ID=Brasy5G258300.2.v1.1 annot-version=v1.1 MAGTPIPPSQPSRLSSPHPHPLSHSRAPAMSSGGRGGKRRTAPPQAPPAAAAKRAHPMPTGIPQPPPPAATAEEEDMMDEDVFLDETILAEDEAALLLLDRDEALASRLSRWKRPALPADLVSGCSRSVAFQQLDIDYVIGESHRELLPNTSGPAAIIRIFGVTKEGHSVCCQVHGFEPYFYISCPSGMGPDDISRFQQALEVRMKESNRSSNVPRFVKRVDLLQKQTIMHYQTQKSQSFLKIVVALPTMVASCRGILERGITIEGFGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPGGKYRKAARIMSYCQLELDCIYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPIHDPVIQIANLLTLQGEAQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDLIREADPDIIIGYNICKFDLPYLIERAEVLKIVEFPLLGRIRNSRVRVRDTTFNSRQYGVRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQRNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAHNLCYCTLVPPEDVRKLNLPPESLYKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTIADLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISF* >Brasy5G157300.1.p pacid=40075057 transcript=Brasy5G157300.1 locus=Brasy5G157300 ID=Brasy5G157300.1.v1.1 annot-version=v1.1 MAPRGGGQTYGFPIYCASWLPLAHILKSAEDAADADADAASSSSPTPPPPPMVALGGGGGEGRSGVPNALVVAALDPAAATPALSPEPVFRLGTEDQVPYRMTVHPRGDGVLCAFPNGCRLLRWESPEGEEPHKVVLRSDQEEALLKLNDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPAMDTVIEEPDTKTSIKDLSFSSDEKFLAVNRSSGPSKVWDLKTSEAVANLPREAGENFGFCRFSNKPDNSQILFVTAMQGDYGKIISWNTTSWTRIGSKKITREAISAFAVSPDGTLLAIGTVEGSVSVLGSKDMRVLVTVKKAHLGIVTTLAFSQDSRTLLSTSFDSTARVTSIGSPKSNGISLWSMILAIILAILVYYCMQHKEDLLAMLPQ* >Brasy5G147900.1.p pacid=40075058 transcript=Brasy5G147900.1 locus=Brasy5G147900 ID=Brasy5G147900.1.v1.1 annot-version=v1.1 MGGKGGKGLIAAKTAAAKDKDKKLPVSRSSRAGLQFPVGRIHRQLKQRAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD* >Brasy5G147900.2.p pacid=40075059 transcript=Brasy5G147900.2 locus=Brasy5G147900 ID=Brasy5G147900.2.v1.1 annot-version=v1.1 MGGKGGKGLIAAKTAAAKDKDKKLPVSRSSRAGLQFPVGRIHRQLKQRAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD* >Brasy5G438800.1.p pacid=40075060 transcript=Brasy5G438800.1 locus=Brasy5G438800 ID=Brasy5G438800.1.v1.1 annot-version=v1.1 MGSSGADTPTKASKASTPQEQQPPATSSAATPVVYPDWTNFQGYPPIPPHGFFPSPVVSSPQGHPYMWGAQPMMPPYGTPPYVMYPPGGIYAHPSMPPGAHPFAPYAMASANGNADATGIAAAAAPAAGETDGKSSEGKEKSPIKSSKGSLGSLNMITGKNCVEHGKTSGASANGAISQSGESGSESSSEGSEPNSQNDSQHKESGQQDGEIRSSQNGVSRSPSQAKLKQTMAIMPMPSSGSMPGPTTNLNIGMDYWANTASSPPAAHGKATPTAVPGTAVPTEPWMQDERELKRQRRKQSNRDSARRSRLRKQAECEELAQRAEVLKQENATLRDEVNRVRKEYDELTSKNSSLKDKLGDKEHKTDEAELDNKPQRSGDDSQKKETN* >Brasy5G438800.2.p pacid=40075061 transcript=Brasy5G438800.2 locus=Brasy5G438800 ID=Brasy5G438800.2.v1.1 annot-version=v1.1 MGSSGADTPTKASKASTPQEQQPPATSSAATPVVYPDWTNFQGYPPIPPHGFFPSPVVSSPQGHPYMWGAQPMMPPYGTPPYVMYPPGGIYAHPSMPPGIAAAAAPAAGETDGKSSEGKEKSPIKSSKGSLGSLNMITGKNCVEHGKTSGASANGAISQSGESGSESSSEGSEPNSQNDSQHKESGQQDGEIRSSQNGVSRSPSQAKLKQTMAIMPMPSSGSMPGPTTNLNIGMDYWANTASSPPAAHGKATPTAVPGTAVPTEPWMQDERELKRQRRKQSNRDSARRSRLRKQAECEELAQRAEVLKQENATLRDEVNRVRKEYDELTSKNSSLKDKLGDKEHKTDEAELDNKPQRSGDDSQKKETN* >Brasy5G496500.1.p pacid=40075062 transcript=Brasy5G496500.1 locus=Brasy5G496500 ID=Brasy5G496500.1.v1.1 annot-version=v1.1 MKPGWDFSLWGCFCGSKRSNCHFLLHDEQDILNRLGDGNAMSNIKGGTWMSVLYRVELQDISRAVVVKKLQNESGSAVDASLSNRCQSEVNLLGNICHGNIISLADYIAKDDFILLIYDHMENGSLHQWLHPDPHQLDAEGVLDWPKRRAIAIGIAEGLCYLHHGRNHPIVHHNINTTNILLDPGLKPKIAGFDLARINLAGTDQPVPIWELPAVNMVGYTAPEYTTAVNSKVDVYSLGVVLLELVTGRVAYEPIEDGHLATFCKRFMENTGDFSGVVDMAIPDRARYLKEMAAMFKLGVDCTVKEPQQRPSMHEVLYRLCNRGR* >Brasy5G472300.1.p pacid=40075063 transcript=Brasy5G472300.1 locus=Brasy5G472300 ID=Brasy5G472300.1.v1.1 annot-version=v1.1 MTPEKVRVSIAMNPPILLVDSSHTVTLNGAAVVRVESPSSMKNHAPIDLVTLININQSMSWPAASQTEMPSRLDLLKNAMKFIIRQLGDDDRLAIVAFNDKVIKEKTTGILEISGSGRMAIEKKVDGLVAMGDTTFKPSLEHAVKLLDDRADKKRAGFIVLISDGLDGQFKWGDESIAPTDPIRGLLRKYPVHTFGLGKAHDPKALHYIANISYGIYSSITDNLDNKLFEALAVCLAGFKTVVAVDACVDIWSNSLMITRIDPGGYILRGSSGGILVGTLYAGEVKDFIVYFSYRTGSWSGGYYTTLNGINARATYKEAPGRQSITTDTCSVSLPIHVANSSSPPANPCPPYPMVLQQMVRFKVLDLLMSVLKEFLVLKEEAASAVHGKEGGDDPVLQAIVASLLQRKWKEFKQSDESWKEAPRNFLDLGGIDQDISAMVGILKQGLGVGCIHSWLSSYQMQRATTTGLPGAHMVATGQFRTPAMDAMVQEAHRQLAKEASAQDAGTSIVCKRAVELLDGVNKRFDLWCKLDHDLPRTNQPSSHQEEGHESRDLTAVLRGDINRARQHDIYLAADHAIKQWRSFLTSVEKTHGHGPDK* >Brasy5G472300.2.p pacid=40075064 transcript=Brasy5G472300.2 locus=Brasy5G472300 ID=Brasy5G472300.2.v1.1 annot-version=v1.1 MAIEKKVDGLVAMGDTTFKPSLEHAVKLLDDRADKKRAGFIVLISDGLDGQFKWGDESIAPTDPIRGLLRKYPVHTFGLGKAHDPKALHYIANISYGIYSSITDNLDNKLFEALAVCLAGFKTVVAVDACVDIWSNSLMITRIDPGGYILRGSSGGILVGTLYAGEVKDFIVYFSYRTGSWSGGYYTTLNGINARATYKEAPGRQSITTDTCSVSLPIHVANSSSPPANPCPPYPMVLQQMVRFKVLDLLMSVLKEFLVLKEEAASAVHGKEGGDDPVLQAIVASLLQRKWKEFKQSDESWKEAPRNFLDLGGIDQDISAMVGILKQGLGVGCIHSWLSSYQMQRATTTGLPGAHMVATGQFRTPAMDAMVQEAHRQLAKEASAQDAGTSIVCKRAVELLDGVNKRFDLWCKLDHDLPRTNQPSSHQEEGHESRDLTAVLRGDINRARQHDIYLAADHAIKQWRSFLTSVEKTHGHGPDK* >Brasy5G472300.3.p pacid=40075065 transcript=Brasy5G472300.3 locus=Brasy5G472300 ID=Brasy5G472300.3.v1.1 annot-version=v1.1 MLQRSSRMCMHACLLKADAHYCSELLDDRADKKRAGFIVLISDGLDGQFKWGDESIAPTDPIRGLLRKYPVHTFGLGKAHDPKALHYIANISYGIYSSITDNLDNKLFEALAVCLAGFKTVVAVDACVDIWSNSLMITRIDPGGYILRGSSGGILVGTLYAGEVKDFIVYFSYRTGSWSGGYYTTLNGINARATYKEAPGRQSITTDTCSVSLPIHVANSSSPPANPCPPYPMVLQQMVRFKVLDLLMSVLKEFLVLKEEAASAVHGKEGGDDPVLQAIVASLLQRKWKEFKQSDESWKEAPRNFLDLGGIDQDISAMVGILKQGLGVGCIHSWLSSYQMQRATTTGLPGAHMVATGQFRTPAMDAMVQEAHRQLAKEASAQDAGTSIVCKRAVELLDGVNKRFDLWCKLDHDLPRTNQPSSHQEEGHESRDLTAVLRGDINRARQHDIYLAADHAIKQWRSFLTSVEKTHGHGPDK* >Brasy5G105000.1.p pacid=40075066 transcript=Brasy5G105000.1 locus=Brasy5G105000 ID=Brasy5G105000.1.v1.1 annot-version=v1.1 MSTLTVREAVYYSAALQLPGAMSAAEKRAHADLVIREMGLGDAMDTRIGGRMRKGISGGQRKRVTICLEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAAREGMTVVAAVHQPSGDVFDLFQRLCLLAYGRTVFFGAASDATEFFTRSGFPCPHLRNPSDHFLRIINKDFDEEIVESSKPRRKTAAEAIDILTDAYNSPDYSEKTMNQISVMKQIVGAPFRRREQASFSAKLLVLTRRSFVNMHRDIGYYWMRLGVYLGIGICLGTIFYQVGHSYSSIQARCEVIMYTTALLTFMAIGGFPSFVEDVKVFRRERLSGHYGVAEFVVSNTLSATPYLAVIAVIPGAMLYYLTGLSRGADRFAYFVVDLCMCTLLVESIMMIIAVIVPDFLMGIIVGAGVQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFMGQSFPSDQLVEANVTISGLQVLQEKLQVEMWYSKWVNIAILCGMMVVYRAMFFGIVKIAEEVRPKLRGMRWKWCK* >Brasy5G367100.1.p pacid=40075067 transcript=Brasy5G367100.1 locus=Brasy5G367100 ID=Brasy5G367100.1.v1.1 annot-version=v1.1 MKRKTRNKIVVAVLLVGAAAVLVGGTLALILTAGTWKMKVKEAHEKVCTKGWECSNSKYCCNQTISDFFKVYQFENLFAKRNTPIAKAVGFWDYQSFITASIPFQAQGFCTTGGKDMQMMELCAFLGHVGAKTSCGFGVATGGPTAWGLCYNHEMSPEGIYCDDTYTQYPCVKGAEYYGRGAIPVYWNYNYGAAGDGIKEDLLHHPEYLEQNATLAFQAAMWRWMTPIKKKQPSAHDVFVGNWKPTKNDTLSKRLPGFGATMNLLYGESMCGRGFIDEMNVIISHYQYYLDLMGFGRERSGLNLDCAEQAPFNPTTKKADDQQPSG* >Brasy5G008900.1.p pacid=40075068 transcript=Brasy5G008900.1 locus=Brasy5G008900 ID=Brasy5G008900.1.v1.1 annot-version=v1.1 MRRRGGPRNSLPASVAAMRRGSGRRRRCGGGPGRRQGRCAWVATAGPASAHAEEEGDGGRASVGSRGGGRRRRPGQRRLTRRREEAAAGPASAHAEEGGGGGRASVVSRGGGRRPEEKGGGVCGGGGGSQEGPRGMQRNRDKR* >Brasy5G371300.1.p pacid=40075069 transcript=Brasy5G371300.1 locus=Brasy5G371300 ID=Brasy5G371300.1.v1.1 annot-version=v1.1 MDPRRRLGLVAAVLLAVAAAATVAEGYNITKILGEHPEYSQFNKLLTQTRLAADINKRRTITVLVVANGDMGALAAGRTLQTIRHILQMHVLVDYYGAKKLHQLAHGVTASSSMFQESGAAPGMSGYVNITQHRGGHVTFTAEDASDSASPVSFVKSVKEIPYDLSVLQISKVLSSPEAEAPVAAPAPVNITELLSKKYCKSFAGLLAADADAFSTVNATKDNGLTLFCPVDAAVASFMPKYKNLTAKAKTAILLYHAVPDYYSLQLLTSNSGKVSTLATSSVAKKDYSFDVSKDGETAALDTKVITASVTATVKDDDPLAVYAVSKFLQPKELFKVAKDLAPAPAPEGPKKKKSKKKPSAASSPSSDDDDDSAADSPDDSGSDADPADKAGAAPSTLARWVTAAAATIAALALAA* >Brasy5G149500.1.p pacid=40075070 transcript=Brasy5G149500.1 locus=Brasy5G149500 ID=Brasy5G149500.1.v1.1 annot-version=v1.1 MRAACCSSFVAAASLPLTSTSSAASDPRRLSLSRSSLRCSPLRRGGGGGVARALDERLLEAAAAARAPAEVEVEDESGVTEVEGGGEWDGEGAEEGVGSSEAEGEQEQRKSKRQQQEEESAAAERDRFKLINGKEIFQEKTYLVGVEYKRAGGYDFSIEESLKELEQLADTAGLMVVGSTYQKLSNPNPRTYIGSGKVGEIRTAIQALDVETVIFDDELSAGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEADLQVTLAQMEYQLPRLTKMWTHLERQSGGQVKGMGEKQIEVDKRILRTQISTLRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKSGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSIIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDPLRVKEEAEKQGTICISAMNGDGLEEFCYAIQAKLKDSMVPIEAFVPYDKGELLNDIHKVGMVEKMEYMENGTFVKAHVPLPLARLLTPLRQQVTAAL* >Brasy5G374400.1.p pacid=40075071 transcript=Brasy5G374400.1 locus=Brasy5G374400 ID=Brasy5G374400.1.v1.1 annot-version=v1.1 MSSTQQQIPGLAVVVAIAALLGCVARTCDASPLFHYPSVPRQQLSPSYSPLSDDYYKGKCYDHDVEGIVKDVVYKALKSPYGRGTGAGLIRLFFHDCFVQGCDASVLLDPTPANPEPEKEGIPNRNSLRGFEVIDAIKTALNDKCGNIVSCADILAFAARDATVFLSNGRVSNFKMPAGRYDGKVSLADETIPNLPPPFANLDTLKAMFKTKGLDTEEMVTLSGAHTIGISRCSSFGDRINASSPSDMEPGMASELRAKCNSQPSVTVDQDSVTPVDLDRQYYKNVLDKKVLFQSDAALLSSGETFGQVWVNANWPGVWEYKFKAAMVKMGNIEVKTKDNGEIRKQCRFINY* >Brasy5G365100.1.p pacid=40075072 transcript=Brasy5G365100.1 locus=Brasy5G365100 ID=Brasy5G365100.1.v1.1 annot-version=v1.1 MAVEVFRRVPAPRGGAVGAQRREERARVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLTEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEDEDFLIGSGAGGSQAPPPPAPAPCALLGSPGAGPDKMPAEDEDIVAEVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREIDGLPLDGFDYASILGQCCEMPVGYVQLPVGVAGPLVLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVFRDGMTRAPVARFPSARRAAELKGFLENPANFDTLSVVFNRSSRFARLQGVKCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVVSISGNFCSDKKSAAVNWIEGRGKSVVCEAIIREEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAC* >Brasy5G013300.1.p pacid=40075073 transcript=Brasy5G013300.1 locus=Brasy5G013300 ID=Brasy5G013300.1.v1.1 annot-version=v1.1 MEGLGALIADLVAKWNMNTYVLLRIRILMGLLVCLYYIILVGSGVLMFSTRDPVVTVLDVASDALLVWMAPGRAMQAAPFKNEWFPLWALLLVSFRSCVNYLSKYDAGFEVVNMLKLWAVVLLTLKHGSEPWRIPFWLFWAALLLKSLYRFLAYCQLSKPLWYGPSSELLHQYMGTDQGRKSLLVYGEPKLKNNSTDDDSGSLITLDKIWQHNGLLHRRINKKKNSMKDLSLAFALSRLLRCRMEGGTLHAHTISMTRDLIRSRILVQDNADKVLFGILQLELTFLSSHLHAGYPLVFCQGLLSVSFHVLVGFVKCISYLWLYRDIITANKTKQLRGNADQYVTGFSMMIMLGVESYEIVKYLKSYWTRVLVICKYVNHSSNKCFRFVLGLKLRRAWDIYVSCRRNRILDVMAAVIQAVQRYVFTDTDQIGHLPRGCLPPPRPSDRTLPSCSHVILVCHIATSICEIKLARDRGTTTRELYLFLKKGRPLDRKLQKTHTAAHSLSRYCLNLLMFKPNLLPGDILASKNVLQDTIELVGVKLEGRDSPQTRFSKLMQMQGSLQGAVSASGIQDIKLSGNILQQGALLAKELMEKEEDDQRRWEILDEVWADLIVQIAPSSKVDAHMKGLENRAELVTIIWALLCHCGIEKSQLWPEDAQEAGGTLQEHLDRKNAGVGRTDAAASPPPDGQGGEINEV* >Brasy5G243400.1.p pacid=40075074 transcript=Brasy5G243400.1 locus=Brasy5G243400 ID=Brasy5G243400.1.v1.1 annot-version=v1.1 MAVAAASLPRTMEALSRRAAMLRDSLQKSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIERTLKTGDAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKGISRFFSSNKNFRGSDGILNHVNGLLAKSSLKIEEEFKQLMNTYSKPIEPDRLFDCLPKSLRPSKDDPEADRGNAEHPSKGLETAVYRTPTLVPPRVLPLMNDIAQQLVQAGNQQSCYKIYRDSRGSALELSLRKLGVEKLTKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKDQCFAEMATNSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQSEIEVIFEGKPCSEMREAALGLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMLALQNNLDGKSKQYKDPALTHLFLMNNVHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWARVLQTLSVQGAGGSTGSASPADLNSSGVSRAVVKERFKAFNTQFEELHAKQSLWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVGSGKNPLKYIRYSPELVDKLLGEFFEGQQYGEPKHQHRL* >Brasy5G310700.1.p pacid=40075075 transcript=Brasy5G310700.1 locus=Brasy5G310700 ID=Brasy5G310700.1.v1.1 annot-version=v1.1 MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSLADILANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF* >Brasy5G439800.1.p pacid=40075076 transcript=Brasy5G439800.1 locus=Brasy5G439800 ID=Brasy5G439800.1.v1.1 annot-version=v1.1 MASRDPLIVGGIVGDIVDYFDASARMRVSYNNREITNGSELRPSQVANQPTVQITGLSRSLYTLVMMDPDSPTPSNPSQREYLHWLVTDIPEGRDINRGTEVVAYESPRPTAGIHRLAFVVFRQTARQAIYAPGWRANFNTRDFAECYSLGAPVAAAYFNCQREGTCGGRRYR* >Brasy5G037800.1.p pacid=40075077 transcript=Brasy5G037800.1 locus=Brasy5G037800 ID=Brasy5G037800.1.v1.1 annot-version=v1.1 MAHSTLSWSSSSSNIFCCSRVLVLSEVRRGSKAAAPAAVRVNGGAAAPALLSPMTTVVDGDDGQKKMVSKEKQEADPFRQAQIVAEAGARYYRQTVVVRSYEVGPDKTATLETLLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDHYPIWGEVLEIETWVGSSGKNGMRRDWLIRGRSSGEVLVRATSTWVMMNKITRRLSKMPDEVRAEISPWFIHSRHAIIQDGHHDKIIKLDSNAEYVASGLKPKRSDLDMNQHVNNVKYVRWMLETIPDQFMQQNQLSSIILEYRKECGISDIVQSICQPEEDSIPTSQADQNVVSMVNGYSLSPEIINGHHSLAGALLQQQWPTKYTHLLQLEAGADGYGEIVRGRTTWKKKL* >Brasy5G447000.1.p pacid=40075078 transcript=Brasy5G447000.1 locus=Brasy5G447000 ID=Brasy5G447000.1.v1.1 annot-version=v1.1 MAGLLHLHSTLLPSASLLLRNRSGAQAVRRRRACRVEAKIREIFMPALSSTMTEGKIVAWNAAEGDRLAKGDPVVVVESDKADMDVETFYDGFLAAVLVPAGESAPVGSAIALLAESEDEIPLAQSQAASFSSSSSSPPAPQETAAQEASPPPPPPAPVAVSAPAPPSPATQGGARVVASPYAKKLAKELSVDLFSITGSGPAGRVVAKDVEAAAAAPAKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMLESLAVPTFRVGYTITTGALDDLYKKIKGKGVTMTVLLAKATAMALVQHPVVNSSCRDGQSFTYNSSINIAVAVAIDGGLITPVLQDADKLDIYTLSRKWKELVDKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGIKNQMQVNVTADHRVIYGSDLAAFLQTLSKIIEDPKDLTF* >Brasy5G098900.1.p pacid=40075079 transcript=Brasy5G098900.1 locus=Brasy5G098900 ID=Brasy5G098900.1.v1.1 annot-version=v1.1 MAHAVVGMMACLAFLALASSAEAAALGRKAGAVELAAAAAAAGGKYAVIFDAGSTGTRVHVFRFDTKMELVQIGHDIEVFAKVEPGLSSYAGRPQEAADSILPLLQKAKSVVPRWLMKTTAVKLGATAGLRLIGDEQAEQILEAVRDVIHTKSKFQYDPSWINVLEGSQEGSYLWVALNYLLDKLGGDYAKTVGVIDLGGGSVQMAYAISADAAATAPVVPDGKDPYVTKEYLKGRDYNIYAHSYLHYGAMASRAEIFKANNGPVSYCMLRGFVGEYTYNGEQFDATASPQGAAYEKCREDVTKALKLGAPCEHKNCTFNGAWNGGGGAGQADLYVTSSFYYMASEVGLIDGEATSGKTTPAAFGAAAEKICGMSFEETKAAYTRVRASDAPYICMDLVYQYSLLVDGFGLEPTKEITVAQKVKHGEYFLEAAWPLGEAIEAVSPKKMFRDA* >Brasy5G379200.1.p pacid=40075080 transcript=Brasy5G379200.1 locus=Brasy5G379200 ID=Brasy5G379200.1.v1.1 annot-version=v1.1 MPRASQTKQSTLLRPSPSNSCKMKLGKAPELLKKAAAVCKSKTCVLAARLLVLASLRRRIATVGAISHALMASDREKAPNKVGCDVKALVVVPRKAMASGRKPVAVVGDLSDQLAVFDHEDGDWTLHSLFDDDNCCYTGDEYEYEDGDDNDDDGGVLVDDVDEEEEPSVMDVIRSCREVEGVEFNVEDEIDQAAGMFIARCRQRMNRSF* >Brasy5G460200.1.p pacid=40075081 transcript=Brasy5G460200.1 locus=Brasy5G460200 ID=Brasy5G460200.1.v1.1 annot-version=v1.1 MDPVEAEEQQAEPPDEQVVEEEEGCAEVDPTGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSRARIDDSVMGSSKKMAQLNTEIQLLKTLKHKNIEKSFASWINEDKRAVNIITELFTSGSLLQYRKKHKKVSIKAMRRWAVQILTGLEYLHSQEPAIIHRDLKCDNIFINGNGGTVKIGDFGLATFLQQQKTKSIKGTLEFMAPELFTGVYNELVDIYSFGMCMLEMVTCEYPYSECQGMGHIYKKISEGKKPAALSKVEDAELRSFIEICLAPVAERLPASELLRSSFLQNDVSISVPPISVSLVSSVKEDVQQSTNIVLRKGDFLLKGDMHVTDDINLRIRFPDPSGCFKNADFRFDVDQDTSISVAQEMVEAFELPQGSIQIIAQLIDAFLLMMIPKWVPRVVVCPVVSESAHSYITDRIMNCSQLRLAVPG* >Brasy5G460200.2.p pacid=40075082 transcript=Brasy5G460200.2 locus=Brasy5G460200 ID=Brasy5G460200.2.v1.1 annot-version=v1.1 MGSSKKMAQLNTEIQLLKTLKHKNIEKSFASWINEDKRAVNIITELFTSGSLLQYRKKHKKVSIKAMRRWAVQILTGLEYLHSQEPAIIHRDLKCDNIFINGNGGTVKIGDFGLATFLQQQKTKSIKGTLEFMAPELFTGVYNELVDIYSFGMCMLEMVTCEYPYSECQGMGHIYKKISEGKKPAALSKVEDAELRSFIEICLAPVAERLPASELLRSSFLQNDVSISVPPISVSLVSSVKEDVQQSTNIVLRKGDFLLKGDMHVTDDINLRIRFPDPSGCFKNADFRFDVDQDTSISVAQEMVEAFELPQGSIQIIAQLIDAFLLMMIPKWVPRVVVCPVVSESAHSYITDRIMNCSQLRLAVPG* >Brasy5G279500.1.p pacid=40075083 transcript=Brasy5G279500.1 locus=Brasy5G279500 ID=Brasy5G279500.1.v1.1 annot-version=v1.1 MDVDGHDLLLSTCKVKATSYLLALVSDRS* >Brasy5G504700.1.p pacid=40075084 transcript=Brasy5G504700.1 locus=Brasy5G504700 ID=Brasy5G504700.1.v1.1 annot-version=v1.1 MEVDGDLVFYCVIAGVLLVGVVVYVCFFYDTPRTRRRPALALRIVDHATLPRRRHVVGVHGEDQDLLDCTVCMGKMEEGEACCALPACGHEFHRDCMAKWIQASRKITCPVCRTFVWHPDPVVAANMV* >Brasy5G053600.1.p pacid=40075085 transcript=Brasy5G053600.1 locus=Brasy5G053600 ID=Brasy5G053600.1.v1.1 annot-version=v1.1 MPSLQKALPPELADNALRLYRECLRRAKFIGSQKHNTGLLVSMVRQQFKKNMNETDPDKIQKMKDDAARGLINHIIYESEKMTGRKFSG* >Brasy5G268900.1.p pacid=40075086 transcript=Brasy5G268900.1 locus=Brasy5G268900 ID=Brasy5G268900.1.v1.1 annot-version=v1.1 MSSKGKKKKPAASPQPSPRTPPSHARDGFGGYTFDFPSVAAAAAARYPELVPGGGAGSFAGTIADVVPRGGSRGAEGRLWLSEPAMVGAGLRPGCLVSVSLISSSSDSRNGFSLDSLFEECNRFFGLAVENDLMSNEAGMNFVIATIFPSREVQKNGIKLSWDIACMLGYPSASRSLFISPIYTSQAQKQTDGGEILWQIRCSDLYLRLVPPKAGSSSNDKSESDSHAVKSLMVMESPKKIPSTPRRNESLDSAYNSGSSLLLDEATAKSALADEKINDLLQTCATRWLHGRHLLKGNYIPLSMCGKLSMFVVSHAEIDGSALDAVHLKNNSLSSVEFSAKLGQSLASFLVDRTTKVHLSALSCSEEIGSDKLGFTSKYSTHADIENEDSHHDPRLGGLSKELKKLKEMISFSLADQIGLPRHGLDDFPRYKGVLLYGPPGTGKTSLASSCAYDAGANLFTINGPEIITQYHGESEQALYDVFSSAKQAAPAVIFIDELDAIAPARKDGGEELSLRMVATLLKLMDEIGPNDRVILIAATNRPDSIDRALRRPGRFDQDIEIGVPSPRQRLDILHHLLIGVHHSLTSEEVESLAFATHGFVGADLAALCNEAALSALRRYVSVKESSTKLLSDHATSTEKSSRREIDGFLGCEVSSLSSSLSKLTMSTKDFPSINKGNSTESSETDDKKDELLLLVTNEDFEKAKIKVRPSAMREVMLELPKVRWEDVGGQARIKQQLIEAIQLPQKCPEAFERIGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFEKAKYNAPAILFFDEIDGLAVTRSHGNSGISVADRVLAQLLVEMDGLDQNVGVSVIAATNRPDKIDIALLRPGRFDRLLDVQPPEEVDREDIFRIHTRSIPCSHDVSLNELARLTEGYTGADIKLVCREAAVAALDENFDILEVSSIHFKSAIDRVKPSDMKFYQELAAQFRRLVDDTEKATMPGEHT* >Brasy5G268900.2.p pacid=40075087 transcript=Brasy5G268900.2 locus=Brasy5G268900 ID=Brasy5G268900.2.v1.1 annot-version=v1.1 MSSKGKKKKPAASPQPSPRTPPSHARDGFGGYTFDFPSVAAAAAARYPELVPGGGAGSFAGTIADVVPRGGSRGAEGRLWLSEPAMVGAGLRPGCLVSVSLISSSSDSRNGFSLDSLFEECNRFFGLAVENDLMSNEAGMNFVIATIFPSREVQKNGIKLSWDIACMLGYPSASRSLFISPIYTSQAQKQTDGGEILWQIRCSDLYLRLVPPKAGSSSNDKSESDSHAVKSLMVMESPKKIPSTPRRNESLDSAYNSGSSLLLDEATAKSALADEKINDLLQTCATRWLHGRHLLKGNYIPLSMCGKLSMFVVSHAEIDGSALDAVHLKNNSLSSVEFSAKLGQSLASFLVDRTTKVHLSALSCSEEIGSDKLGFTSKYSTHADIENEDSHHDPRLGGLSKELKKLKEMISFSLADQIGLPRHGLDDFPRYKGVLLYGPPGTGKTSLASSCAYDAGANLFTINGPEIITQYHGESEQALYDVFSSAKQAAPAVIFIDELDAIAPARKDGGEELSLRMVATLLKLMDEIGPNDRVILIAATNRPDSIDRALRRPGRFDQDIEIGVPSPRQRLDILHHLLIGVHHSLTSEEVESLAFATHGFVGADLAALCNEAALSALRRYVSVKESSTKLLSDHATSTEKSSRREIDGFLGCEVSSLSSSLSKLTMSTKDFPSINKGNSTESSETDDKKDELLLLVTNEDFEKAKIKVRPSAMREVMLELPKVRWEDVGGQARIKQQLIEAIQLPQKCPEAFERIGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFEKAKYNAPAILFFDEIDGLAVTRSHGNSGISVADRVLAQLLVEMDGLDQNVGVSVIAATNRPDKIDIALLRPGRFDRLLDVQPPEEVDREDIFRIHTRSIPCSHDVSLNELARLTEGYTGADIKLVCREAAVAALDENFDILEVSSIHFKSAIDRVKPSDMKFYQELAAQFRRLVDDTEKATMPGEHT* >Brasy5G268900.3.p pacid=40075088 transcript=Brasy5G268900.3 locus=Brasy5G268900 ID=Brasy5G268900.3.v1.1 annot-version=v1.1 MSSKGKKKKPAASPQPSPRTPPSHARDGFGGYTFDFPSVAAAAAARYPELVPGGGAGSFAGTIADVVPRGGSRGAEGRLWLSEPAMVGAGLRPGCLVSVSLISSSSDSRNGFSLDSLFEECNRFFGLAVENDLMSNEAGMNFVIATIFPSREVQKNGIKLSWDIACMLGYPSASRSLFISPIYTSQAQKQTDGGEILWQIRCSDLYLRLVPPKAGSSSNDKSESDSHAVKSLMVMESPKKIPSTPRRNESLDSAYNSGSSLLLDEATAKSALADEKINDLLQTCATRWLHGRHLLKGNYIPLSMCGKLSMFVVSHAEIDGSALDAVHLKNNSLSSVEFSAKLGQSLASFLVDRTTKVHLSALSCSEEIGSDKLGFTSKYSTHADIENEDSHHDPRLGGLSKELKKLKEMISFSLADQIGLPRHGLDDFPRYKGVLLYGPPGTGKTSLASSCAYDAGANLFTINGPEIITQYHGESEQALYDVFSSAKQAAPAVIFIDELDAIAPARKDGGEELSLRMVATLLKLMDEIGPNDRVILIAATNRPDSIDRALRRPGRFDQDIEIGVPSPRQRLDILHHLLIGVHHSLTSEEVESLAFATHGFVGADLAALCNEAALSALRRYVSVKESSTKLLSDHATSTEKSSRREIDGFLGCEVSSLSSSLSKLTMSTKDFPSINKGNSTESSETDDKKDELLLLVTNEDFEKAKIKVRPSAMREVMLELPKVRWEDVGGQARIKQQLIEAIQLPQKCPEAFERIGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFEKAKYNAPAILFFDEIDGLAVTRSHGNSGISVADRVLAQLLVEMDGLDQNVGVSVIAATNRPDKIDIALLRPGRFDRLLDVQPPEEVDREDIFRIHTRSIPCSHDVSLNELARLTEGYTGADIKLVCREAAVAALDVCYLPFPH* >Brasy5G426200.1.p pacid=40075089 transcript=Brasy5G426200.1 locus=Brasy5G426200 ID=Brasy5G426200.1.v1.1 annot-version=v1.1 MAFLVVAALVLAAAPMAVVAGDPDILTDFVVPRDLLGAAQNVTSDYFTFTGFRAALNSTMPMPMPTQNFTVNKATMVEFPALNGQSVSYAMLRFPSESVNPTHTHPRSAELLLVVQGALSVGFVDTAGKLYTQDLDTGDMFVFPKGLVHYQSNPGQGPAVALSAFGSAAAGTVSVPVTVFGTGVDDAVLAKSFKTDVPTVQKLKAALTPPAKK* >Brasy5G357900.1.p pacid=40075090 transcript=Brasy5G357900.1 locus=Brasy5G357900 ID=Brasy5G357900.1.v1.1 annot-version=v1.1 MAATDAGEGKKAAAGSSLHSKTLLKSEQLYQYILESTVFPREPDCLRELRLATASHPGARMAAAPDQVQLFGLLIEMLGARNTIEVGVFTGYSLLATALALPDDGKVVAIDVSRESYDEIGSPVVDKAGMAHKIDFRVGLALPVLDQLVAEDGSSGKFDFAFVDADKANFGNYHERLLRVGGLIAYDNTLWGGSVAAAADDEAEALSERDRELAGIAREFNAALAGDRRVQVCQLAISDGIMLCRRVA* >Brasy5G445100.1.p pacid=40075091 transcript=Brasy5G445100.1 locus=Brasy5G445100 ID=Brasy5G445100.1.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHGPDFGSLPSFSRRKLSSILHESGKKCSLIDDVFVLDRYSDASSNSIAVFSDDDALSRSMKEVKNDKISFVWTQFSGLISYLRTRAEDPDKLKSCVAETIALKTCDTKTARKRAKEQTCPELKEILSGLDKKIRNLYSALPENAMFIICTGHGDTPLVQRLKKMLNHGEETIESRENIVQALEDLQAQAEVALCFCSVKH* >Brasy5G445100.4.p pacid=40075092 transcript=Brasy5G445100.4 locus=Brasy5G445100 ID=Brasy5G445100.4.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHGPDFGSLPSFSRRKLSSILHESGKKCSLIDDVFVLDRYSDASSNSIAVFSDDDALSRSMKEVKNDKISFVWTQFSGLISYLRTRAEDPDKLKSCVAETIALKTCDTKTARKRAKEQTCPELKEILSGLDKKIRNLYSALPENAMFIICTGHGDTPLVQRLKKMLNHGEETIESRENIVQALEDLQAQAEVALCFCSVKH* >Brasy5G445100.3.p pacid=40075093 transcript=Brasy5G445100.3 locus=Brasy5G445100 ID=Brasy5G445100.3.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHDASSNSIAVFSDDDALSRSMKEVKNDKISFVWTQFSGLISYLRTRAEDPDKLKSCVAETIALKTCDTKTARKRAKEQTCPELKEILSGLDKKIRNLYSALPENAMFIICTGHGDTPLVQRLKKMLNHGEETIESRENIVQALEDLQAQAEVALCFCSVKH* >Brasy5G445100.5.p pacid=40075094 transcript=Brasy5G445100.5 locus=Brasy5G445100 ID=Brasy5G445100.5.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHDASSNSIAVFSDDDALSRSMKEVKNDKISFVWTQFSGLISYLRTRAEDPDKLKSCVAETIALKTCDTKTARKRAKEQTCPELKEILSGLDKKIRNLYSALPENAMFIICTGHGDTPLVQRLKKMLNHGEETIESRENIVQALEDLQAQAEVALCFCSVKH* >Brasy5G445100.2.p pacid=40075095 transcript=Brasy5G445100.2 locus=Brasy5G445100 ID=Brasy5G445100.2.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHGPDFGSLPSFSRRKLSSILHESGKKCSLIDDVFVLDRYSDASSNSIAVFSDDDALSRSMKERLLH* >Brasy5G445100.6.p pacid=40075096 transcript=Brasy5G445100.6 locus=Brasy5G445100 ID=Brasy5G445100.6.v1.1 annot-version=v1.1 MAQTGWVTDSQGHRETQMVYLQKSPWGSSTSATATIARKKTTPFVRWARPKLLRGPPCISPSPERQSPRRSGITSPAAPTMSTPSGSGETDAAAPAPAPAASTYYDVYGPDAKPDVVFKEASSDSTLNLQDVQGLITWVIGEGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRLLSSLKELCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSKPSNQSYESDGGKLSRLDDLKDIPFPVKYYTLSAKELDENGYSFNLPGFVPTISAPSGASPYDILALDCEMCVTEAGFELTRVTLVDIKGEVVLDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLQEIQEEFVRLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNRGPRCKIALRVLTNKYLSREIQNTGSGHDSVEDARAALDLAVLKIKHGPDFGSLPSFSRRKLSSILHESGKKCSLIDDVFVLDRYSDASSNSIAVFSDDDALSRSMKERLLH* >Brasy5G134500.1.p pacid=40075097 transcript=Brasy5G134500.1 locus=Brasy5G134500 ID=Brasy5G134500.1.v1.1 annot-version=v1.1 MVMAAGCRGAGWDGSGRGSCTAYGRFVPDTLHRSAALLPTFTAPSSSPFVQKLRCWRQSILALVASVAEIASSAQNWSYDVVADITTYQEDGVAEDYFLPTFARWNIRKRIQELENIVSDAQKSHLLNEKSSGGQNYIANMNRRSITSSATRKVFGRDRERDKIRSMLRDGPDDDAPNTSSSRCYSVICIHGIAGSGKTTLAQYVCDYEKEDQKKYFDPIMLIHVSETFRMDDIFHDMLEEITQDRHSGVKGCKALQAILVEKLRGRRFLLVLDDLWVKDENQQDLEELLSPFNVGNRGSRILVTARNKEAALGAKSPIAISDLDEEQYFSMFMHYALDSTIYDDRQYIPIGRKIARKLHRSPIAAVTVAGQLWRNPDISFWETAAKLDMLNKTKGALWWSYQQLDVDVRRCFEYCNIFPRRYELERDMLVHMWIAQGFVMTVDTREKEDAEDVGQDYFHDLYSCAFLQLKWRSTSDIDSGEYFTVHDLLHDLAESVAGSDCVRIKRGVVGQIPKYVRHLCIDSYSDAVFPEQILMLENLSTLIMCYSVSKISKDDFKRVIDRLRKLRVVQVEVEWLDEIPVCIGKLKHLRYLGIRTLRCAT* >Brasy5G405000.1.p pacid=40075098 transcript=Brasy5G405000.1 locus=Brasy5G405000 ID=Brasy5G405000.1.v1.1 annot-version=v1.1 MDVKRLHSLFDVALQNNLVSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMKSKLPQLQKFVVQLAGISSVVEAMVASFREAAHVGDLHQLIEKTTKAKQHLEAMIWCTRHEFLEQICSRHSNFATWSSDVIARKASAEERQWPEFSGKMSGYDKTNQGILFIEQALQNLGVQQCYNDNEEEVEMACLQNEQSSSMFCPTIDQFGVSSYPFKNLREAVDVLFLHGASDMVIAKQAIFLYYIFDRHWTRPDSEWRYLVDDFAATFGISSRTLLECLVFCLLDDHSSQALEQTNVAPSVRGNYVKGVRKGLKLEMDLMYNFGLMNQRLQFSSELPVTTISNWIPRLQS* >Brasy5G405000.2.p pacid=40075099 transcript=Brasy5G405000.2 locus=Brasy5G405000 ID=Brasy5G405000.2.v1.1 annot-version=v1.1 MDVKRLHSLFDVALQNNLVSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMKSKLPQLQKFVVQLAGISSVVEAMVASFREAAHVGDLHQLIEKTTKAKQHLEAMIWCTRHEFLEQICSRHSNFATWSSDVIARKASAEERQWPEFSGKMSGYDKTNQGILFIEQALQNLGVQQCYNDNEEEVEMACLQNEQSSSMFCPTIDQFGVSSYPFKNLREAVDVLFLHGASDMVIAKQAIFLYYIFDRHWTRPDSEWRYLVDDFAATFGISSRTLLECLVFCLLDDHSSQALEQRLSLFIYNQCYIFDQERNYVWGRGMKPGRGRIGLR* >Brasy5G405000.3.p pacid=40075100 transcript=Brasy5G405000.3 locus=Brasy5G405000 ID=Brasy5G405000.3.v1.1 annot-version=v1.1 MMKSKLPQLQKFVVQLAGISSVVEAMVASFREAAHVGDLHQLIEKTTKAKQHLEAMIWCTRHEFLEQICSRHSNFATWSSDVIARKASAEERQWPEFSGKMSGYDKTNQGILFIEQALQNLGVQQCYNDNEEEVEMACLQNEQSSSMFCPTIDQFGVSSYPFKNLREAVDVLFLHGASDMVIAKQAIFLYYIFDRHWTRPDSEWRYLVDDFAATFGISSRTLLECLVFCLLDDHSSQALEQRLSLFIYNQCYIFDQERNYVWGRGMKPGRGRIGLR* >Brasy5G109100.1.p pacid=40075101 transcript=Brasy5G109100.1 locus=Brasy5G109100 ID=Brasy5G109100.1.v1.1 annot-version=v1.1 MVSNRRIEMDKVPDYELVRRDRMARNKQEMA* >Brasy5G474800.1.p pacid=40075102 transcript=Brasy5G474800.1 locus=Brasy5G474800 ID=Brasy5G474800.1.v1.1 annot-version=v1.1 MAAHMKVVHRDPEGNKVVSEEPVPGELRRSSAPTTAHMRVVHRDEEGHKVNEKVPIPDTRRLDTAKHFERKFEDKGLHRLERHPANAPARVGIGAPPPKSGRGGKFTWEGPGGIVGNELDPLPAAIDRNDPNFVDEEEEQQLQGEDEEVAREVVVGEVEVAKVAQLGRAGVARVDVAPPLLQENQQ* >Brasy5G185400.1.p pacid=40075103 transcript=Brasy5G185400.1 locus=Brasy5G185400 ID=Brasy5G185400.1.v1.1 annot-version=v1.1 MAPLRRTAAAAAVLPLTGLLLFLSSPAVAAAEGGRELRMKHSGDGYHYNSTLAHILVEYASAVYTSDLTSLLTWTCPRCEGHTKGFEMIEIIVDVERCLQAFVGVAPDPRSVIIAFRGTQEHSFSNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRHEILKSVQWAWKTYGRLPINVVGHSMGGALASFCALDLSVKYGSHAVELITFGQPRVGNPAFAAYFSEQVPRTIRVTHENDIVPHLPPYFYYLGEWTYHHFAREVWLHETIVGNVVTKNETVCDCSGEDPTCSRSVYGRSVADHLEYYGVTLHADSRGTCQFVIGTSNSADGDIVQVDGTIILSRYPQEQHSLASI* >Brasy5G217600.1.p pacid=40075104 transcript=Brasy5G217600.1 locus=Brasy5G217600 ID=Brasy5G217600.1.v1.1 annot-version=v1.1 MHAGSTLTASPSTNSDRHTGHVASRHPSLLRYSVTHGTGPAARRTPALGSLAPRTTGAGFLHKQGRTAGAGAGLGPAAAEEAGAGVRNPSSSQQSQHSMHSLQMHLRM* >Brasy5G142000.1.p pacid=40075105 transcript=Brasy5G142000.1 locus=Brasy5G142000 ID=Brasy5G142000.1.v1.1 annot-version=v1.1 MWCASCLASACAGCACNICTSAASSITRRSARLAYCGLFAASLILSFLLRQFAAPLVQHIPWINTFDVEPPEEWFQMNVVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIAVWAVLIVLMFFVPNVVITVYEMLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFILFNPSGHDCGLNVFFIVMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYSSLSSEPDDYACNGLHMHSKQVSVGALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGTTRNPLLGDANVEEGKGEDTHGSEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAGSESGELMDVGWTTVWVRICMEWATAALYIWTLVAPLLFPDRDFS* >Brasy5G214000.1.p pacid=40075106 transcript=Brasy5G214000.1 locus=Brasy5G214000 ID=Brasy5G214000.1.v1.1 annot-version=v1.1 MAIFLISGKVRRSALRHRGERRRRRRLLSLATAANPIHTARRPHSPQPAAPILTARDRCRPIHHGPRPPSSLLRVPVVRRPLRQSRPPSGAVDAAEESRRRPILPPPSPPRTMEEEDLEPVGVDRAERRPPPARRSSTATKRPTNGGQKKELVAMVLPATNTAPLVLCLPAAGKNHRHRCCYLYRRAKITATAAFCLN* >Brasy5G228300.1.p pacid=40075107 transcript=Brasy5G228300.1 locus=Brasy5G228300 ID=Brasy5G228300.1.v1.1 annot-version=v1.1 MEKKAAAAAFVVPLLEPRCSPGGDNGEEKEEEVVVRRTGRAVAAPSICARFSTFGLTIVSQAFIGHIGAVELAAYALCSTVLMRFSIGVLMGMASALETLCGQSYGAGQHVIYLQRSWIILSAAAVAMLPIYLFTTPLLVFLGQDPAVAGTIALWYVPIMASSVWSYTLQMYLQAQSKNAVITYLAFVNLELHLLLSWLATARFRLGLAGVMGSNIIAVWIPVFGQLAFVFFGGCPKTWTGFSSAAFADLGAVVKLSLSSGVMLCLEMWYNTILVLLTGNMKNAEIALDALSICVYRVRVANELGAGSVRKAKFAIANVVVTSFLIGLVLFVLFLFFRGSLSSGETEEMVHGRGHKIAGFNWKENQSPLMITAPEIKRGICSPCVL* >Brasy5G420700.1.p pacid=40075108 transcript=Brasy5G420700.1 locus=Brasy5G420700 ID=Brasy5G420700.1.v1.1 annot-version=v1.1 MAGEARPDAQLFQLLSDLVQEVESMSNQEEVELRAKIEALGLEVTKVPEQAPKQLDELEIAAELDRLSARLENVDKMISSAMTSDPEVKSLLSSTADIWMPVITASADERRGFAGTSSESGGQNG* >Brasy5G051400.1.p pacid=40075109 transcript=Brasy5G051400.1 locus=Brasy5G051400 ID=Brasy5G051400.1.v1.1 annot-version=v1.1 MGDERVKTEALQILGLFQVLPRLVAFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGIHMAIASRSPTPDIAKVFLDKLELQHMFVAQEIFYSRTQKTEHFQKIQSKTGIPYKSMLFFDDEDKNIESVSKMGITSVLVQNGVNLDMFKLGLSNFSTNAASSNTKQDK* >Brasy5G051400.3.p pacid=40075110 transcript=Brasy5G051400.3 locus=Brasy5G051400 ID=Brasy5G051400.3.v1.1 annot-version=v1.1 MGDERVKTEALQILGLFQVLPRLVAFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGIHMAIASRSPTPDIAKVFLDKLELQHMFVAQEIFYSRTQKTEHFQKIQSKTGIPYKSMLFFDDEDKNIESVIC* >Brasy5G051400.2.p pacid=40075111 transcript=Brasy5G051400.2 locus=Brasy5G051400 ID=Brasy5G051400.2.v1.1 annot-version=v1.1 MGDERVKTEALQILGLFQVLPRLVAFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGIHMAIASRSPTPDIAKVFLDKLELQHMFVAQEIFYSRTQKTEHFQKIQSKTGIPYKSMLFFDDEDKNIESVIC* >Brasy5G304500.1.p pacid=40075112 transcript=Brasy5G304500.1 locus=Brasy5G304500 ID=Brasy5G304500.1.v1.1 annot-version=v1.1 MPPPSSSPGMAECGGSIFVPASSSPPAFVGGRRFSLGFLPDSKSWELMDCRGSLLLLSRKETGWVARMRPRDLVVCEPATGRYQGILRPTGLNGRGLGMFLLDGVQPDGCVSMSNFRIMCALYPVHLRHHPDRETPFACVFSSGSDGGWRVVDHAADIVLPFPARINSLNFVGRANGSAYWETDGDAAVLALDEASAEFSRVLFPENVRAWHYERTLRVMAGADDGAPLRVVRVIGSDLKVFARLPGGSGGAGDEWVQEKLLSLPEATRGLPGHEERFFRGAAMVVDANASCVLVTPSEKTWLFSVHLDTMVAERDHHRNRFAGAAHACELPWPPALQACCTDRSNPCRS* >Brasy5G423400.1.p pacid=40075113 transcript=Brasy5G423400.1 locus=Brasy5G423400 ID=Brasy5G423400.1.v1.1 annot-version=v1.1 MATKCAAAADLPTGRPRKRARLGWDVAPATKAQITFCGQEVGDMTSLVLSSHPPDRTCSSLLSKGVARNASPPWREDDKDGHYVFAVGENLTSRYKIYRKMGEGTFGQVLECWDRERKEMVAIKIVRAVKKYSDAAMIEIDVLQKLARNDAAGKHCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKSGYHPFPIDLVRELGEQLLESVAFMHDLQLIHTDLKPENILLVSSEHTKLPENKDGSFSRKLPKSSAIKLIDFGSTTYDHQDCSYVVSTRHYRAPEVILGHRWSYPCDIWSIGCILVELCSGETLFQTHENLEHLVMMERVLGPLPRHMLERADHQAEKYMRRGRLNWPEGATTRESIRAVLKLPRLQNLVMQNVDHSAGDLISLLRGLLAYEPSDRLTAQEALNHRFFTRHRERRSL* >Brasy5G101000.1.p pacid=40075114 transcript=Brasy5G101000.1 locus=Brasy5G101000 ID=Brasy5G101000.1.v1.1 annot-version=v1.1 MDATATQNPHHGRTAYHFQPAKNWQNDPNGPMYHNGMYHLFYQYNPHGPTWDAGKLSWGHSVSGDLVNWAALDNALDPTSPFDANGCWSGSATVLPGGRPAILYTGIDADRVQVLNVAFASNPSDPLLRDWHKPGCNPVIGIPADVTGNNFRDPTEPWHGSDGLWRVAVAAEVEGKGTLLVYRSADFLRWERNPGPPLHASSPAVPVLECPDLFPISTAAAEQEGLDALTAAGGSSSGGATHVLKLTDFAKEDHYMVGRYEELAGDTFAPAEPERGADPGRWRRLDHGHLYASKSFFDARKKRRVLWAWVDENDGAAAEKGWAGIQAIPRAMWLDADGKGLVQWPVEEIETLRRKRDSGFVGGTEVVGAGGMVEIGAGIQSSQADVEVVFEIPGLEEAETLDGLEWALDDPRRLCAEKGAFVHGGVGPFGLLVLASGGLQEHTAVFFRVFKHQGKYKVLMCTDLTRSSTKKGVNKPCYGVFLDVDVEKDRSISLRTLIDHTVVESFGMGGRTCMTARVYPEHAATGCSRMYVFNHGASAVKVSKLEAWELAAAAVNGAGGGDNSVASDVATVWSDFVI* >Brasy5G329600.1.p pacid=40075115 transcript=Brasy5G329600.1 locus=Brasy5G329600 ID=Brasy5G329600.1.v1.1 annot-version=v1.1 MEYHETRVKLESKPLKIHSRKEKKYTDKALNGGRCDAGASELRRKQLRPRRGRRRRGRGRLRVAQRAAVVTARRAAARCEAAVRTADSGGGARGRRSRRSRAAAAAAMRTPAAWEDGGADAQEEAAGGLCDEDRDGAGGRREGRTGCCDEGGGGLE* >Brasy5G053900.1.p pacid=40075116 transcript=Brasy5G053900.1 locus=Brasy5G053900 ID=Brasy5G053900.1.v1.1 annot-version=v1.1 MADPPSSEPDRSLVAENAPVAASKNISLTLDEAMVLYKPDYIDTEFTTKNIRELNLLVSKMIDSQRDTINSIDLGGMLKIPQSDKLDRNFCAWLVSCVRVADYVLHDGSSSSALLDAESFGRIMGVPSSGTPIPKRIQPQQKELIMGLLDVPRIMSRAHNKDVLDNFVGKKLSYAENEEFLRSFAAYSVATVLSPLADLPWEVIGALTDVRTIGSYNWAQYALEFLVDKVAITQQDMKEMKQKITLNGCLIYLQVFYMEMIRDGRDRIDRSISPRMAAYQSDTMKAMISSSKRGSNTCLLARYGRRQVIK* >Brasy5G470700.1.p pacid=40075117 transcript=Brasy5G470700.1 locus=Brasy5G470700 ID=Brasy5G470700.1.v1.1 annot-version=v1.1 MADAMELLPWGARLAGLAFFSASFSLVALGLVLLVARRWPWCSCHVCRAYLTGSWAKDFTNLGDWYAHLLRASPTGTVHVHVLGCTVTANPGNVEYMLRTRFDNFPKGKQFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVSVRSYAYKIVAQEVDTRLLPVLADAADKGKVVDLQDVFRRFAFDTICKISFGLDPGCLDLDMPMSDLAESFDAASRLSAMRGAAASPLVWKLKRFLNVGSERELRKAIKLVDDLAAAMILQRRKLGFENSHDLLSRFMASADAGAGAMDDKYLRDIVVSFLLAGRDTVASALTTLFIHLSANPEAAAAIRAEAGAGAGDEDKPSSFEHLKGLQYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAAESRVMYHPYAMGRMPSIWGADCEAFRPARWLTGPGGSFAPPSLYKYPVFQAGLRVCLGKELAITEMKAVCVAMVRAFDVEVLGENGRAGCRPKFVPGLTASISGGLPARIKRAPASSSGRRETSFLYRLTRDAAPMYIKKEERSVGNFRCF* >Brasy5G512500.1.p pacid=40075118 transcript=Brasy5G512500.1 locus=Brasy5G512500 ID=Brasy5G512500.1.v1.1 annot-version=v1.1 MMEVGVGVSSPRAAAAAAAAGPPVLSSPRAALSNRGGAHQFHHRRWAPAISPSYRAYLVALWLVGFVLVFLWQNTSMGRVRLYNRPPMPKRAPSGAVMGQWVASPPVYDLREFGGIGDGRTLNTAAFEAAVAAISERGGGRLTVSAGRWLTAPFNLTSHMTLFLAAGAEILGIQDERYWPLMPPLPSYGYGREHKGPRYGSLIHGQDMKDITITGHNGTINGQGKSWWTKFRRKLLNHTRGPLVQLMRSSNIIISNITLQDSPFWTLHTYDCKNVTISETTILAPIVGAPNTDGIDPDSCENVMIQNCYISVGDDGVAIKSGWDQYGIAYGRPSTNITIRDVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWSSRRGVRIKTAPGRGAYVNNIVYRNITLENVRVGIVIKTDYNEHPDERFDPKAVPIVGNISYTSIHGQGVRVPVRIQGSAEIPVSNVTFHDMSVGILDKKHHVFQCSYVQGQVIGYVFPVPCKNLDLYNEQREMVKQSTLQNISDIDYSF* >Brasy5G278200.1.p pacid=40075119 transcript=Brasy5G278200.1 locus=Brasy5G278200 ID=Brasy5G278200.1.v1.1 annot-version=v1.1 MHLQLSLLLDAKYNSTPATTPAGPQVSSHSCVLQPMATCSNPSAPRCTCCFLLLELLLLLPRSVPGHILVMLCLHLTPYILPILLPTITTLHI* >Brasy5G453300.1.p pacid=40075120 transcript=Brasy5G453300.1 locus=Brasy5G453300 ID=Brasy5G453300.1.v1.1 annot-version=v1.1 RLKGLPLRVYLDLEAGRNPTGFEDIFIGYHASDDVQVTYAGKSFHSSRSYHVSIEKRNDALIYWSSSRCLPHRDKLAKDILSLVPHHSFGKCLNNVGGPDMALSMYPVCSNNENGSPHWWDHLHCAMSHYKFVLAIENTQTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKALANDPVAYAEYHAWRRCGILGNFGRTREMSLDTLPCRLCELVSKRGGRSADSF* >Brasy5G477800.1.p pacid=40075121 transcript=Brasy5G477800.1 locus=Brasy5G477800 ID=Brasy5G477800.1.v1.1 annot-version=v1.1 MYSWIDIRTPTRTISFATIDDYNPERSREIRSRMADVENPDDDDQGVAAEPRECSRIFLIGAVTCVAIPVYIFGEYLIEYYAGHRHARTWQAVAALVAAVPIGLVAICLCAMVSILVQEWECNQPADRLVDQLLMM* >Brasy5G330200.1.p pacid=40075122 transcript=Brasy5G330200.1 locus=Brasy5G330200 ID=Brasy5G330200.1.v1.1 annot-version=v1.1 MIRPRSTRRRRVGLPSRAEPGIYTTRWRYTGRFGDEQRLTCGYGLRRGDEPGGSGALCCLSSGLRVALRSLSALSSMG* >Brasy5G109900.1.p pacid=40075123 transcript=Brasy5G109900.1 locus=Brasy5G109900 ID=Brasy5G109900.1.v1.1 annot-version=v1.1 MDDGVRSIAESMGAGGGGGRNNLFRTLGPALFISMGYIDLGKWVTSVDAGSRFGYDLVLLVLLFNFSAVLCQYLSICIGMVTEKNLAQICCLEYSQPTCAGLGIQALLSLLTAEITMISGIALGFNLVFEYDDVLTGVWFASVAVNLLPYALAHLDKKMAGTLNACIAGLALVCFVLGLLVSQPKVPLDMNVMFPKLSGESAYSLMALLGGNVIVHNFYVHSSFVQAQKRSPVTLGALFHDHLVSILFIFCGVFLVNYVLMSSAAVGPGNTLLLTFQDVVELMSQIFMNPAAPLLFLVILLLSSHIISLSSIIGSHAIAENFFGVTLPLSAHHLLLKVFAMIPTIYYAKFVGSEAIYQLLVICPVIQAMLLPSSVIPVFRVSSSRSIMGSYRISSSVEILAFLAFLLMLFTNVIFVAEILFGDSTWTNNMKGNTGSPVVLPYTVIVLISCASLAFTLFLAVTPLKSASNEAETLELFVHSQREPLGTTHHREEASPEDIAHEEVQRPSVDTVLRDPVEIHQKSALEHTESSDTTVESDHDTQQSTDYKLNTPKAQPSLPVYREEPKPVCVADWAESVPKVSTATAVEHINAENIKAKSTTEKDVEVVPEVCTERDNVASHNLEHEKSAACRAPVSPDGPPSLTFSRAKDSEAGNGSGSLSTLSGLGRAARRQLAATLDEFWGHLFDYHGKLTQDANDKRYSFLLGLDLRTASSAVRIDNQTIEALKSPLMRDAVRGSATSLNSWDSMSRDKELRNLDWNSGHQMGAMGSSNWSQSMNLPYTELSSPSSSLLEQNAKYYSNFNVPSYSDNQFYQPATIHGYQLASYLKGINASRSQHSNIPLDPRRVPRSSESSFPNYADSAMHARSQTVRGSLGANSLQSPTMNRLNTMAERPYYDSTSVDESESVGSSAYSKKYHSSPDISALIAASRKALLNEANLGGIAGNQSYLSKLASERSQYMDSAARSKAQIEFNEHSQHNLQRDVLSMQLSMNPNTKSLWAQQPFEQLFGVSSAELSKSEMNTGQRSSGITKDDSSYADCEAELLQSLRLCIMKILKVEGSGWLFRQNGGCDESLIDQVAAAERFSQETTENLLSADLRRMPSDKSSQALRRNDERATNCMRGLPNCGENCVWQAPLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILEPAFSKPRKPPTGCTCLQTVGPISRPISCSFTTAAVILETIKDVEQAISGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKPSAGQ* >Brasy5G282000.1.p pacid=40075124 transcript=Brasy5G282000.1 locus=Brasy5G282000 ID=Brasy5G282000.1.v1.1 annot-version=v1.1 MVSAYFRIFRNISILRTYLRRIGVYMMEENMQQTQARTQTMEWRQTRREQTSLSAQWLSLPGCCLLTRIIRNSDATLLKITSCHHVW* >Brasy5G091800.1.p pacid=40075125 transcript=Brasy5G091800.1 locus=Brasy5G091800 ID=Brasy5G091800.1.v1.1 annot-version=v1.1 MEEVQSNQGSFPLWGFSDRGTDAMFAHNGASSSSRQAGWLPVIARRPSHMHDPLYDREMVGNSQLGVGIHHSDNFVTDQSLSFALQNMRLSFWEGGGDTPIQSSTMAAPSTYPGRASVCSSGNSNLSSALMNNFPCIACPGCPCGDSGYLQIQQAETDQYGTRCSWHDHLNVQRNDSLCFDWHNSQHLSSVESGHDLVRKIQRECSSMDEFVANICLLAKDQNGCRLLQRIFTNGAPEEAEMIFDGIIEQISELMVDPFGKYLVQKLLQKCDTHQKMHIICETTKLPGQLIEVACDMHGSFVVRKMVDTINTPTEAYMIVSALSPGALTLMMDAHGSHVAQRCLQKLSPDNKKLAHGCHSCRILNLCIDNANEDQRDELLYNITSRSRALDLSRHQYGNYVIQHILGLEVMWATDNILDQLDGHNGYLSMQQCSSNVVEKCIKAAQQPRRLKIIQELINYPNLHRLLHDKYGNYVIQTALRQCENATLLAAFIEAIEQHAASLRNSVYGNRILPTIRLLTR* >Brasy5G432800.1.p pacid=40075126 transcript=Brasy5G432800.1 locus=Brasy5G432800 ID=Brasy5G432800.1.v1.1 annot-version=v1.1 MAPPTVSLWIPPPLPESDGNAAASGLALLDRWCYIANFVNDTTAEGTTSTGVRIQVTFRAARPPLVSHFCVHCPGLDFLNAVPKVITTDADLILLCVPVYPTIGHGRDWDYFVYSLRARRLDLIPNPCPTCLSDPATVLLSRQDEDGAGWYAVAALAVKAAVYHGRSVLVGWDFCLHLYRSNSSEGRISKVMSLSDLARDRLIPLPHTTAQGRLYHETDKSIAIGGEGGTVAWVDLWRGILFCDVLSDCPVLHDVPLPVPARGNWNRLRLDLDPYSFRDVTVSRNRDSIKYIETESWSPRDELNTALASYTEWVRNKSRKLRVFRDGWKATTWTMAIPADFDDSQPLENCWHRRSGLDAKDVTLQLDANNACPSNLLDMLCCSSNTTEMLKGLQMACPIISMDDDIVYLLSTIKPRHMDEFEVVLAIDVSKGVLRGLAELDAQKDFIFKDDIVSSDICRYLRKVTGTVGPSKRTAKQHNMGHGIKPTKPIKQEQGEPSEVHVKN* >Brasy5G495800.1.p pacid=40075127 transcript=Brasy5G495800.1 locus=Brasy5G495800 ID=Brasy5G495800.1.v1.1 annot-version=v1.1 MVGLIPQTNAAAAAAADVLGDAWDYRGRPAARASTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRFLTIAIFTAIQASGVTILTISTAAPGLRPPPCTPSSSSPFCARASGAQLGILYAALYLTALGTGGLKSSVSGFGSDQFDESNATEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWKKRKLPLPAEPDMLYDIDVGKAAAADEAGNGKKSKLKERIPHTKQFRFLDHAAINNDPAGEQSKWELATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPIYDRIVVPISRRLSGNPHGLTPLQRIGVGLVLSILAMAAAALTEVRRLRIARENPTPDGIVPMTVFWLIPQFLLVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVSAVHKLTAHRRPWIADDLNQGELHKFYWLLAGLCLANLVVYLFAARWYKYKAGRPGADGSVNDAEPCLH* >Brasy5G285100.1.p pacid=40075128 transcript=Brasy5G285100.1 locus=Brasy5G285100 ID=Brasy5G285100.1.v1.1 annot-version=v1.1 MSQPDVVYSCGSCGYPLNLSSSNRSTSDLGPSYQKSLKKGLISFISVDLSRFTQVDEISCFPFLTWRSYRPKTKLLCRKCGSSIGYGYGEPAVLCSFDPASSSSGTSQKYLIKIQALQPSDGTQ* >Brasy5G230000.1.p pacid=40075129 transcript=Brasy5G230000.1 locus=Brasy5G230000 ID=Brasy5G230000.1.v1.1 annot-version=v1.1 MDYIEKGKCGKATWDAVAHRVFLDVCIEEVRANNRPTGCLNPIGYANLISKFNERTKRKYEQKQLKNRWESLKKDYNLWKSLNQHASGLGRDRVTKTIAASDDWWHGHRRWWT* >Brasy5G430300.1.p pacid=40075130 transcript=Brasy5G430300.1 locus=Brasy5G430300 ID=Brasy5G430300.1.v1.1 annot-version=v1.1 MADADNWELVSLTASTYAAAPGPIWPLLLDAHKTGELPNPPPPPAIFMSQHFNWSEAAEALTQLNARQEESAPAVDMNAMVNDDTHLSMLCPENCEREQLDDSLTRPDSCSNTLDDGNFSLAGEGRQTEIFCSPDAAAAASDIRGTSAPHVSAAAAIVETASHVPVAVSSPRIAPRIPREAAQAWWKKTFSFLRNNARESLTFRFIFVAATVVGLAILGQREKLQLQQLRLEFDVHSEKISCGSVGEPLAQLKGAMIVGGNPVAHG* >Brasy5G347500.1.p pacid=40075131 transcript=Brasy5G347500.1 locus=Brasy5G347500 ID=Brasy5G347500.1.v1.1 annot-version=v1.1 MRNHNSYFAVALAILLGASVTHATFLQHDALKSFLKSRAQTLSNGPAEADTWADPDSSFSNLPTSCKSPPPGTREADKIAALPGQPPRVNFDQYSGYVTVSEKHGRALFYYFVESPYEASSKPLVLWLNGGPGCSSLGAGAMAELGPFRVNSDGKTLSRNRHAWNNVANVIFLESPAGVGFSYSNTSSENKVSGDTRTAVDAYIFLLNWLERFPEYKGRDFFIAGESYSGHYVPQLAAVIIALRKLGLASMNLKGIFVGNPLLDDVKNEKGSLEFLWNHGVMSDEVWAQIITHCSFSGQLEGKECSVAKESFSAGDIDPYNIYAPICLQAKDGSLHSSSYLPGYDPCISSYIQDYFNRPAVQTAMHVRTKTDWSECNGDMDDVCPITATRYSVKDLNLAVTKPWRPWYTPQSEVGGYVQQYKGGFTFASVRGAGHLVPSFQPKRALVLFYSFLKGVLPPATV* >Brasy5G522700.1.p pacid=40075132 transcript=Brasy5G522700.1 locus=Brasy5G522700 ID=Brasy5G522700.1.v1.1 annot-version=v1.1 MERRRVFSPNSEKKKEPARSEREAERSQLVSKIQAAYTQALPLLLLPPDEAAVVSNGGGLCFGLLDPVSNIVVNALSSPYPADSSQVVVSEKLAVRRSVEALLTFLTCFFPYLEEWEAVRYLLVAGADLVVAVRLVIRDLRLGDYCFGDSFETALKCAALAASHGRLVATWSDPSTSFLQALRSEIASMEEEDDGARRLVAVARLRTKLSSMLPAAGPTGRSCSWEELAAERDAGIDHKEEVASTMSLRRALLDKIHGYYLRALARLPAEYHRSMLHAGHCYGPMDPVSNIVLNAVSSHHLSFSAADDDDSDKMPRLLLRVGARSLYGLASFLGTRYPGLDFHSALRCLLSAGGHLQGACKEAERRLGHRPHPHGVLLEAYSAAAAGARHPQPDAQAIRLTSLSLCLQVSSSSSSASMEMILKLLLPTNNSAAADTPTPPMRMHLTGSSPSSGSRIHTRVKAALRKYYANMRITADPEIHAICGVNERVLGPDRILSRRTGHPYYSTHVNFLATTHCSKNQQPMLFFAELGNEDDDDKDMVAAACPVAPGQLLPRCLYCDHEGSRIVHPRVHEFHGRDIEFQRMVCNKDLLDPQHKSYRYTNSSIIQRSDYIAERLQDIGDDDLCYIRAICRR* >Brasy5G394200.1.p pacid=40075133 transcript=Brasy5G394200.1 locus=Brasy5G394200 ID=Brasy5G394200.1.v1.1 annot-version=v1.1 MAAAWRRHNPLGLYICFSFYSPKSCRSSQNASMCLDLSSCGEDLRCEGLGPLLGHLCELIVYSCPNFFAGWNPDARRVLQQDGGGEEQRREIGSPLPSSSKLQVLHTDDAKGLLAVPICSLLSSSLTKLYLHGTKGAHLERFTDDGLHLLTSLQELWFRDFEELQHLPAGLHKLPNLKKLYVRRCPALQSLPEDGLPKSLQYLSVRSCGNEELKQQCRGLVGTIPEIDMED* >Brasy5G034200.1.p pacid=40075134 transcript=Brasy5G034200.1 locus=Brasy5G034200 ID=Brasy5G034200.1.v1.1 annot-version=v1.1 MEETLAVEAAATAASVGQEEAAPERAPETLEEVISRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELASFGYKPTGTSEKGNLDTLVKAIAGVSVSSNAESMKPGKAARRREKKAKEEAARDQRIEEEQTNLVSDRMLEDEKLEKKLEPLGLTIREIKPDGHCLYRAIENQLSLHSKGTTQYNHQELRQMAAKYMREHAADFLPFFLSEGKAESGPDPSESFEKYCEEMESTAAWGGQLELGALTHCLKKHIMVYSGSFPDVEMGKEYNKKSESGSDPSIRLSYHRHAYGLGEHYNSVIPTELS* >Brasy5G034200.2.p pacid=40075135 transcript=Brasy5G034200.2 locus=Brasy5G034200 ID=Brasy5G034200.2.v1.1 annot-version=v1.1 MRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELASFGYKPTGTSEKGNLDTLVKAIAGVSVSSNAESMKPGKAARRREKKAKEEAARDQRIEEEQTNLVSDRMLEDEKLEKKLEPLGLTIREIKPDGHCLYRAIENQLSLHSKGTTQYNHQELRQMAAKYMREHAADFLPFFLSEGKAESGPDPSESFEKYCEEMESTAAWGGQLELGALTHCLKKHIMVYSGSFPDVEMGKEYNKKSESGSDPSIRLSYHRHAYGLGEHYNSVIPTELS* >Brasy5G491700.1.p pacid=40075136 transcript=Brasy5G491700.1 locus=Brasy5G491700 ID=Brasy5G491700.1.v1.1 annot-version=v1.1 MKQHRQEATLCHLLSRTASRNDTAEATMTPAITKGCATFWVAGNKGQDQPPRRFAVPVALLGHPRILELLGEAREEYGYSHQGAVVVPCGAERFQRAVDAARADNRHHHHHHFGLPHLAGCFRPSHVVA* >Brasy5G208300.1.p pacid=40075137 transcript=Brasy5G208300.1 locus=Brasy5G208300 ID=Brasy5G208300.1.v1.1 annot-version=v1.1 MADNNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPTF* >Brasy5G458800.1.p pacid=40075138 transcript=Brasy5G458800.1 locus=Brasy5G458800 ID=Brasy5G458800.1.v1.1 annot-version=v1.1 MKEEIQGHFKAALTEIHEVHEEGAFQLEAMLSGSKRSGLQEEEEEEAVVSELFAGQKKRLGKIAREARAKVNASFNKVIAASAGTGTTSARGRGRGRGRGRGRGRRGISLSPAQARAAAAVTYTMPKNLRGAPKSTTVQVGRSSICFLRRAGKAPVVETMDSEEERHGLREEEDNIRAMEKNRDRMRAAADDTSSGAI* >Brasy5G212800.1.p pacid=40075139 transcript=Brasy5G212800.1 locus=Brasy5G212800 ID=Brasy5G212800.1.v1.1 annot-version=v1.1 MARARSRSPLLAPAAILLLLALASAPLAAASEPEENADIVADNARQQPPVARGLSFDFYRRSCPRAETIVREFVKDAVRRDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFKAINDIRDRLERECRGPVVSCSDILALAARDSVVFSGGPSYPVPLGRRDSAHFATQQDVLSGLPAPSSTVPGLLNVVRRIGLDEADLVALSGGHTIGLAHCSSFEDRLFPRPDPTISPPFLGRLKNTCPAKGVDRRRELDVRTPNVFDNKYYVNLVNREGLFVSDQDLFTNGETRNIVARFARSQRDFFRQFGVSMVKMGQINVLTGSQGQIRRNCSARNPGTVNPVGGEIPLSDVLVEAAAESLGLF* >Brasy5G061600.1.p pacid=40075140 transcript=Brasy5G061600.1 locus=Brasy5G061600 ID=Brasy5G061600.1.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTRYQFRHRFVAPYPLACTICTHALFFLVQKVLSIAFVIRSARDKSFLFPVKVKIIIKIDTM* >Brasy5G061600.5.p pacid=40075141 transcript=Brasy5G061600.5 locus=Brasy5G061600 ID=Brasy5G061600.5.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTRDKGVVNYETTFEKTLLKLGLFYC* >Brasy5G061600.6.p pacid=40075142 transcript=Brasy5G061600.6 locus=Brasy5G061600 ID=Brasy5G061600.6.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTRKYYLLRLLLDLQGINPSYFR* >Brasy5G061600.2.p pacid=40075143 transcript=Brasy5G061600.2 locus=Brasy5G061600 ID=Brasy5G061600.2.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTRKYYLLRLLLDLQGINPSYFR* >Brasy5G061600.3.p pacid=40075144 transcript=Brasy5G061600.3 locus=Brasy5G061600 ID=Brasy5G061600.3.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTSPESTIYCVCY* >Brasy5G061600.4.p pacid=40075145 transcript=Brasy5G061600.4 locus=Brasy5G061600 ID=Brasy5G061600.4.v1.1 annot-version=v1.1 MCRRYPYNLYGWAESSRSQRSEAFRVWVPGSVGRLVSEAGVRRFASCTCRRLVALVESWVGSRGHVVGFRLAVSLLVFLFFFVSFLRQGASSADSSLLAVVAPPAAAALLAARLAVRLLLPLFSPFRPVVCAAGCAGGSCSFGVVLFVCSCLSCCWLLFARKGRALDAPRRQHLPWPDAQNSKVSIDGNLGRSSWSTSPESTIYCVCY* >Brasy5G146600.1.p pacid=40075146 transcript=Brasy5G146600.1 locus=Brasy5G146600 ID=Brasy5G146600.1.v1.1 annot-version=v1.1 MDSLLEEANLKFAWLNFAFARDDLESCVTLLSELKILLIKFPSLPPSFEKTPNAVKELKLARAVFEFGVILSMKVKDQDAFERNFFQLKALYMDTCGMIPPSPEEYPILGLNLMRLLAENRIAEFHTELELLPLRALDHPCIKYAVELEQSFMEGAYNRLINGKKAVPHETYLYFMDFLAEIIREEIADCCGQAYDHLPLSVATKMLMFPSDQQLLEYISQIRHEWEYKRGSVLFHMAKDQPHVGIHSLQLIKQAICYAEELEQIV* >Brasy5G145900.1.p pacid=40075147 transcript=Brasy5G145900.1 locus=Brasy5G145900 ID=Brasy5G145900.1.v1.1 annot-version=v1.1 MASSSSVLLGGSGAAVVTGATAAGRPCFLAPRPRALSGAGRLCLQTAPCRATPEYKDAADATEGAIDTLKGLAADVKDGVEKAAGKAEASQEKTAESAGAAVESAGEAKDSAVEGAVSAGESAAETVQDLGGQAKKATEDALGGAKEAALGVKDKLADAVKDLK* >Brasy5G316900.1.p pacid=40075148 transcript=Brasy5G316900.1 locus=Brasy5G316900 ID=Brasy5G316900.1.v1.1 annot-version=v1.1 MAAAPPPAPPRILLAGDSHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEAAEGDSLPGDVADYLEGRADVPIPTYFTGDYGPTAPRLLSKAAANARGFAPGGIQLCPNLFWLRGSALFNLHGLSVAYLSGKKGLGGPGCYSQDDVDALRALAEEPGIVDLFLTNEWPTGMVNGADTSNVPPQVLDPEGYDPVVAELVAEIKPRYHIAGTKGVFYAREPYVNDSSPHVTRFIGLANVGNKDKQKFIHAISPTPASTMSSADIHVRPPNTTVSPYLAPAISVPVEDTTKRPAENTDLQYWRYDVKRQRQGQTNGSLLCFKYTSSGSCPRGSKCNFRHDEEAREHCQRNVCFDFLNKGKCEKGPECRYAHSLSEEAAVRDAKPRSDRRRVESSCWFCLSSPDVESHLVISIGDGYYCALAKGPLVPDHVLVIPVEHFPNTVMMPVESEAELRRYKNALGKYYEKQGKAAVYFEWVSQQSRHANLQAVPVALSKAASVKKIFHLAAQRLGFEFSVVNPDGDANQGRELLRSQYDGKSGLFYVELPEGTLLLHMIDSSEKFPAQFGREVLAGLLSMADRADWRNCKLSKEEEIQMVADFKKGFSEFDPAE* >Brasy5G316900.2.p pacid=40075149 transcript=Brasy5G316900.2 locus=Brasy5G316900 ID=Brasy5G316900.2.v1.1 annot-version=v1.1 MAAAPPPAPPRILLAGDSHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEAAEGDSLPGDVADYLEGRADVPIPTYFTGDYGPTAPRLLSKAAANARGFAPGGIQLCPNLFWLRGSALFNLHGLSVAYLSGKKGLGGPGCYSQDDVDALRALAEEPGIVDLFLTNEWPTGMVNGADTSNVPPQVLDPEGYDPVVAELVAEIKPRYHIAGTKGVFYAREPYVNDSSPHVTRFIGLANVGNKDKQKFIHAISPTPASTMSSADIHVRPPNTTVSPYLAPAISVPVEDTTKRPAENTDLQYWRYDVKRQRQGQTNGSLLCFKYTSSGSCPRGSKCNFRHDEEAREHCQRNVCFDFLNKGKCEKGPECRYAHSLSEEAAVRDAKPRSDRRRVESSCWFCLSSPDVESHLVISIGDGYYCALAKGPLVPDHVLVIPVEHFPNTVMMPVESEAELRRYKNALGKYYEKQGKAAVYFEWVSQQSRHANLQAVPVALSKAASVKKIFHLAAQRLGFEFSVVNPDGDANQGRELLRSQYDGKSGLFYVELPEGIGGVVKHGGSCRLEEL* >Brasy5G196600.1.p pacid=40075150 transcript=Brasy5G196600.1 locus=Brasy5G196600 ID=Brasy5G196600.1.v1.1 annot-version=v1.1 IAADTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVDPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKICPPPSSTRPRSPPRGSRRPTPSSSRIWPTLARSPQIRLLCRGSRTSTQPPP* >Brasy5G223600.1.p pacid=40075151 transcript=Brasy5G223600.1 locus=Brasy5G223600 ID=Brasy5G223600.1.v1.1 annot-version=v1.1 MEGLLSNLSSEAQKLVKDACVATGRARRDARRDAKRMVKKRKFREEFQFGTIGGMRTLNFAISGNHQVFIEILLDIFNVDPGVTDDVTSLTPLHHAAKLGRLDVIDTLVRQHHCQLDPLDSCGSTPLYLSLLETKQDSVNLLVDLGSSVEVCGLYGLPMHHAAFNNCCQALRKLHDTNADVIDMELYGFCSPIVAALCAGHRAGGPTESLLFLAQSKSLDGKPLVYKAIVSSTSEDHASGTLRILLANGLNPNLVDKSLCEENAVLCAAKRGWRDVIATLLPRVDPIPGIDWTTDGVLTYVASDSFRFTDTESIQTRITILKERMVQEMMDKHFLASYYLLTVLCGDIGEETWKGYKSLQCIMEGYATASDPMDCDAMNLHFLGMAACNAGNFESALSAAEACLVLEPDNPMYRNFYTEVMKASSGPSSSNRRS* >Brasy5G223600.2.p pacid=40075152 transcript=Brasy5G223600.2 locus=Brasy5G223600 ID=Brasy5G223600.2.v1.1 annot-version=v1.1 MEGLLSNLSSEAQKLVKDACVATGRARRDARRDAKRMVKKRKFREEFQFGTIGGMRTLNFAISGNHQVFIEILLDIFNVDPGVTDDVTSLTPLHHAAKLGRLDVIDTLVRQHHCQLDPLDSCGSTPLYLSLLETKQDSVNLLVDLGSSVEVCGLYGLPMHHAAFNNCCQALRKLHDTNADVIDMELYGFCSPIVAALCAGHRAGGPTESLLFLAQSKSLDGKPLVYKAIVSSTSEDHASGTLRILLANGLNPNLVDKSLCEENAVLCAAKRGWRDVIATLLPRVDPIPGIDWTTDGVLTYVASDSFRFTDTESIQTRITILKERMVQEMMDKHFLASYYLLTVLCGDIGEETWKGYKSLQCIMEGYATASDPMDCDAMNLHFLGMAACNAGNFESALSAAEACLVLEPDNPMYRNFYTEVMKASSGPSSSNRRS* >Brasy5G223600.3.p pacid=40075153 transcript=Brasy5G223600.3 locus=Brasy5G223600 ID=Brasy5G223600.3.v1.1 annot-version=v1.1 MEGLLSNLSSAQKLVKDACVATGRARRDARRDAKRMVKKRKFREEFQFGTIGGMRTLNFAISGNHQVFIEILLDIFNVDPGVTDDVTSLTPLHHAAKLGRLDVIDTLVRQHHCQLDPLDSCGSTPLYLSLLETKQDSVNLLVDLGSSVEVCGLYGLPMHHAAFNNCCQALRKLHDTNADVIDMELYGFCSPIVAALCAGHRAGGPTESLLFLAQSKSLDGKPLVYKAIVSSTSEDHASGTLRILLANGLNPNLVDKSLCEENAVLCAAKRGWRDVIATLLPRVDPIPGIDWTTDGVLTYVASDSFRFTDTESIQTRITILKERMVQEMMDKHFLASYYLLTVLCGDIGEETWKGYKSLQCIMEGYATASDPMDCDAMNLHFLGMAACNAGNFESALSAAEACLVLEPDNPMYRNFYTEVMKASSGPSSSNRRS* >Brasy5G223600.4.p pacid=40075154 transcript=Brasy5G223600.4 locus=Brasy5G223600 ID=Brasy5G223600.4.v1.1 annot-version=v1.1 MEGLLSNLSSEAQKLVKDACVATGRARRDARRDAKRMVKKRKFREEFQFGTIGGMRTLNFAISGNHQVFIEILLDIFNVDPGVTDDVTSLTPLHHAAKLGRLDVIDTLVRQHHCQLDPLDSCGSTPLYLSLLETKQDSVNLLVDLGSSVEVCGLYGLPMHHAAFNNCCQALRKLHDTNADVIDMELYGFCSPIVAALCAGHRAGGPTESLLFLAQSKSLDGKPLVYKAIVSSTSEDHASGTLRILLANGLNPNLVDKSLCEENAVLCAAKRGWRDVIATLLPRVDPIPGIDWTTDGVLTYVASDSFRFTDTESIQTRITILKERMVQEMMDKHFLASYYLLTVLCGDIGEETWKGYKSLQCIMEGYATASDPMDCDAMNLHFLGQF* >Brasy5G429100.1.p pacid=40075155 transcript=Brasy5G429100.1 locus=Brasy5G429100 ID=Brasy5G429100.1.v1.1 annot-version=v1.1 MATPAASAPPPPTPPFSSTSASASSSSDDSESSESEVSVWDSEDLHSHAQCLEAEELAAMSVNPSLLSTLNDLLLEVYTVLRPKAVDYEQRNTLVDVFNEMTNKIFGNNNGFPVVQAFGSFTMDLFTPQSDLDLSVNFSAETEDQCARKKKISVIRKFAKVLYSLQRNGVYCGVLPVLRARVPIINVIDRGTGIECDISIENKDGMTRSMVFKFISSLDERFQILSYLMKIWAKIHDVNSPSKQTMSSMSIISLVAFHLQTRHPPILPAFSALLKDGSDFNSVEKNIFLFKGFGSTNKESVAELFVSLISKLLSVESLWEHGLCASNFEASWISKTWKKGVGNLSVEDFLDRSQNFARAVGKAEKQKICKCLSHCASNLSDFMRGNIDASKLKTRLFGHLNPDNLVSKPRLKRGKRKQKSRLSPESRYGLQKKRKHDVCNEQPSHQANGTPSTAPAIAIPPILHQRPTQSSGAQSVHEPRWPFVIVPSGFGYGLSVRLPGQGLLGRAPGNLIRSDNGIPLPKQSPLLPTPCQETFAANGAEKL* >Brasy5G093500.1.p pacid=40075156 transcript=Brasy5G093500.1 locus=Brasy5G093500 ID=Brasy5G093500.1.v1.1 annot-version=v1.1 MSERKEKEKEGGGEEVLLVQKEGEALVPVRHRSLPPIYTELPKMPPPPERLGKDKKKRSISSLHRMTVLQFASLVSFFLFCFNSIPLHEASPLGKDMRTPVGVVLNATVNFCRNDAAAAGGCDAAVHAQFDAMDVKPADGECARLVKAMLCSKCNLFSAALFDMGSIPRTVPLLCSSLSAQDSSQPEHATHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLCFNGHGVSFNQRRNSSPYPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQEGKIWLTTVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNESLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMTPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDVHYPPWASQGTKPTNGIISPIMGYTVPSSTASAAIVGGYVYRGSANTCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPFSCSEKTPLPCDDSTDSPLGRIFSFGQDNKQDGFILASQGVYRIVQPSLCDYICMNVATTEQATASVSGGSQGRPTILKVLIGVVLSVLGTAGAIYLVRTCFCNSSVIFGNHIETMQVINNTTTRGDNPSLATTTKPGYIELSVTKPAEYRAR* >Brasy5G093500.2.p pacid=40075157 transcript=Brasy5G093500.2 locus=Brasy5G093500 ID=Brasy5G093500.2.v1.1 annot-version=v1.1 MGSIPRTVPLLCSSLSAQDSSQPEHATHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLCFNGHGVSFNQRRNSSPYPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQEGKIWLTTVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNESLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMTPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDVHYPPWASQGTKPTNGIISPIMGYTVPSSTASAAIVGGYVYRGSANTCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPFSCSEKTPLPCDDSTDSPLGRIFSFGQDNKQDGFILASQGVYRIVQPSLCDYICMNVATTEQATASVSGGSQGRPTILKVLIGVVLSVLGTAGAIYLVRTCFCNSSVIFGNHIETMQVINNTTTRGDNPSLATTTKPGYIELSVTKPAEYRAR* >Brasy5G093500.5.p pacid=40075158 transcript=Brasy5G093500.5 locus=Brasy5G093500 ID=Brasy5G093500.5.v1.1 annot-version=v1.1 MGSIPRTVPLLCSSLSAQDSSQPEHATHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLCFNGHGVSFNQRRNSSPYPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQEGKIWLTTVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNESLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMTPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDVHYPPWASQGTKPTNGIISPIMGYTVPSSTASAAIVGGYVYRGSANTCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPFSCSEKTPLPCDDSTDSPLGRIFSFGQDNKQDGFILASQGVYRIVQPSLCDYICMNVATTEQATASVSGGSQGRPTILKVLIGVVLSVLGTAGAIYLVRTCFCNSSVIFGNHIETMQVINNTTTRGDNPSLATTTKPGYIELSVTKPAEYRAR* >Brasy5G093500.4.p pacid=40075159 transcript=Brasy5G093500.4 locus=Brasy5G093500 ID=Brasy5G093500.4.v1.1 annot-version=v1.1 MGSIPRTVPLLCSSLSAQDSSQPEHATHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLCFNGHGVSFNQRRNSSPYPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQEGKIWLTTVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNESLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMTPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDVHYPPWASQGTKPTNGIISPIMGYTVPSSTASAAIVGGYVYRGSANTCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPFSCSEKTPLPCDDSTDSPLGRIFSFGQDNKQDGFILASQGVYRIVQPSLCDYICMNVATTEQATASVSGGSQGRPTILKVLIGVVLSVLGTAGAIYLVRTCFCNSSVIFGNHIETMQVINNTTTRGDNPSLATTTKPGYIELSVTKPAEYRAR* >Brasy5G093500.3.p pacid=40075160 transcript=Brasy5G093500.3 locus=Brasy5G093500 ID=Brasy5G093500.3.v1.1 annot-version=v1.1 MGSIPRTVPLLCSSLSAQDSSQPEHATHNNGDYCGQVWKHCKSTAMLNSPFQSFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGTPNNSLCFNGHGVSFNQRRNSSPYPNGMCLEKIGNGSYLNMVGHPDGSSKAFFSRQEGKIWLTTVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGFVGLAFHPDFVNNGRFFVSYICDGTLSSNCAGRCSCDRDVGCDPSKIGSDNGVDPCRYQLVISEYSAAKGSSSSFSEATYADPSEARRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSQNGKSLLGKVLRLDIDELPEMNGVSNESLWGNYTIPKDNPHTGDSNLQPEIWAWGLENPWRCSFDSMTPFHLYCADDGQEQYKVVDLISKGGNYGWSGAYKDQDVHYPPWASQGTKPTNGIISPIMGYTVPSSTASAAIVGGYVYRGSANTCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPFSCSEKTPLPCDDSTDSPLGRIFSFGQDNKQDGFILASQGVYRIVQPSLCDYICMNVATTEQATASVSGGSQGRPTILKVLIGVVLSVLGTAGAIYLVRTCFCNSSVIFGNHIETMQVINNTTTRGDNPSLATTTKPGYIELSVTKPAEYRAR* >Brasy5G330000.1.p pacid=40075161 transcript=Brasy5G330000.1 locus=Brasy5G330000 ID=Brasy5G330000.1.v1.1 annot-version=v1.1 MAMKGVSGENRTRTTVSICIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTMLPNLSFDTHHSKEGSSSDLVTPVKKFKPCPDRFTDYTPCQDQNRAMKFPRESMNYRERHCPPQKEKLHCLVPPPKGYVAPFPWPKSRDFVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGINDGLYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKEDLEAEQNKIEEIAELLCWEKVSEKGETAIWRKRINTESCPSRQEEPTVQICESTNADDAWYKKMKACVTSLPDVENASEVAGGAIKPFPSRLNAIPPRIANGLIPGVSTQAYQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTSANIATLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASSLFTLYKNKCSNEDILLEMDRILRPEGAVIMRDDVDVLTKVNKLARGMRWNTKLVDHEDGPLVREKVLYAVKQYWVGGNQTAAS* >Brasy5G356700.1.p pacid=40075162 transcript=Brasy5G356700.1 locus=Brasy5G356700 ID=Brasy5G356700.1.v1.1 annot-version=v1.1 MRKTHKFPLRRLVRHLNTRQYTSAEANPVPAAPAVLHDLDHLDSGELAPTPRVYHAFITACAQSKNLDDARKIHAHLASSRFAGDAFLDNSLIHLYCKCGSVVEAHKVFDKMRKTDMVSWTSLIAGYAQNDMPAEAIGLLPGMLKGRFKPNGFTFASLLKAAGAFADSGIGAQIHALAVKCDWHEDVYVGSALLDMYARCGKMDMATAVFDKLDSKNGVSWNALISGFARKGDGETALMVFAEMQRNGFEATHFTYSSIFSALAGIGALEQGKWVHAHMIKSRQKLTAFVGNTMLDMYAKSGSMIDARKVFERVLNKDLVTWNSMLTAFAQYGLGKEAVSHFEEMRKSGIYLNQITFLCILTACSHGGLVKEGKHYFDMIKEYNLEPEIEHYVTVVDLLGRAGLLNYALVFIFKMPMEPTAAVWGALLAACRMHKNAKVGQFAADHVFQLDPDDSGPPVLLYNIYASTGHWDAAARVRKMMKATGVKKEPACSWVEIENSVHMFVANDDTHPRAEEIYKMWDEISMKIRKEGYVPDMDYVLLHVDEQEREANLQYHSEKIALAFALIQMPAGATIRIMKNIRICGDCHSAFKYISKVFEREIVVRDTNRFHHFSNGSCSCGDYWANSCVLIL* >Brasy5G512100.1.p pacid=40075163 transcript=Brasy5G512100.1 locus=Brasy5G512100 ID=Brasy5G512100.1.v1.1 annot-version=v1.1 MIPSQLGGLSMLEALNFSHNTLNGSIPPSFQSMISLLYIDVSYNNLEGPVPQSRFFEDAPIEWFVHNKNLCGVVKGFPPCDLTRSTGQGKKSRVTFLAIMSVIVSFVLITALLTLQCKKKKSKEEGANEVQQAKLFAIWNFDGGDVYKQIVDTTENFSETHCIGTGGSGSVYRAQLPSGEVFAVKKIHMMEDDELFNREIDTLMHIRHRNIAKLFGFCSSTQGKFLVYEYMDRGSLATYLKSRETSVELDWTRRINIVRDVAHALSYMHHECFAPIVHRDFTSNNILLDLEYRACISDFGIAKILDVDASSNCTKIAGTKGYLAPELAHATRVTEKCDVYSFGVLVLELFIGHHPGDFLLSMATKSASLEDLLDTRLQLPEAELASEIFKVIVIAVRCIEPDPAHRPTMQQVIKLFRTAEGADNHHDYLHTDIVIPACWS* >Brasy5G453400.1.p pacid=40075164 transcript=Brasy5G453400.1 locus=Brasy5G453400 ID=Brasy5G453400.1.v1.1 annot-version=v1.1 MDRRKNLIQEESADLSSNPLYYQLSTENPLHGMGIQQPFPSFTSPFDAASSTSIPHMDWNPGTMFDNLTFIEEKIRQVKDVIRSMVVNDSLLAQPGEILQQQQQQVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGQIPNVVGTSSRSVPNAAFSEEHKEEMHSPDYEELFKGLTDGAVEGIDIDTVLDVQKHDMKDGDEGGGAGIDGDILPAGSYELLQLEKDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDESMDDAAVVVKRYSCPFAGCKRNKLHKSFLPLKTILCVKNHYKRSHCEKSYTCSRCHAKKFSIMADLKTHEKHCGQVKWLCSCGTTFSRKDKLFSHVGLFKGHTPSLPAEEPEASDRVGCAGSHPNAEPAKVESSMGTSSMWGTFSGNGDAMLGVNGLDGCNDNLLSTANFGSFGFGQFDGFSEDQSEGSFAMLPSEHYQSAEKNGRS* >Brasy5G453400.2.p pacid=40075165 transcript=Brasy5G453400.2 locus=Brasy5G453400 ID=Brasy5G453400.2.v1.1 annot-version=v1.1 MDRRKNLIQEESADLSSNPLYYQLSTENPLHGMGIQQPFPSFTSPFDAASSTSIPHMDWNPGTMFDNLTFIEEKIRQVKDVIRSMVVNDSLLAQPGEILQQQQQQVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGQIPNVVGTSSRSVPNAAFSEEHKEEMHSPDYEELFKGLTDGAVEGIDIDTVLDVQKHDMKDGDEGGGAGIDGDILPAGSYELLQLEKDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDESMDDAAVVVKRYSCPFAGCKRNKLHKSFLPLKTILCVKNHYKRSHCEKSYTCSRCHAKKFSIMADLKTHEKHCGQVKWLCSCGTTFSRKDKLFSHVGLFKGHTPSLPAEEPEASDRVGCAGSHPNAEPAKVESSMGTSSMWGTFSGNGDAMLGVNGLDGCNDNLLSTANFGSFGFGQFDGFSEDQSEGSFAMLPSEHYQSAEKNGRS* >Brasy5G453400.3.p pacid=40075166 transcript=Brasy5G453400.3 locus=Brasy5G453400 ID=Brasy5G453400.3.v1.1 annot-version=v1.1 MAWESNSHFRHSPRRSMLHPPQASHTWIGTQSIGSAWGNPAAAATAGQIPNVVGTSSRSVPNAAFSEEHKEEMHSPDYEELFKGLTDGAVEGIDIDTVLDVQKHDMKDGDEGGGAGIDGDILPAGSYELLQLEKDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDESMDDAAVVVKRYSCPFAGCKRNKLHKSFLPLKTILCVKNHYKRSHCEKSYTCSRCHAKKFSIMADLKTHEKHCGQVKWLCSCGTTFSRKDKLFSHVGLFKGHTPSLPAEEPEASDRVGCAGSHPNAEPAKVESSMGTSSMWGTFSGNGDAMLGVNGLDGCNDNLLSTANFGSFGFGQFDGFSEDQSEGSFAMLPSEHYQSAEKNGRS* >Brasy5G453400.4.p pacid=40075167 transcript=Brasy5G453400.4 locus=Brasy5G453400 ID=Brasy5G453400.4.v1.1 annot-version=v1.1 MAWESNSHFRHSPRRSMLHPPQASHTWIGTQSIGSAWGNPAAAATAGQIPNVVGTSSRSVPNAAFSEEHKEEMHSPDYEELFKGLTDGAVEGIDIDTVLDVQKHDMKDGDEGGGAGIDGDILPAGSYELLQLEKDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDESMDDAAVVVKRYSCPFAGCKRNKLHKSFLPLKTILCVKNHYKRSHCEKSYTCSRCHAKKFSIMADLKTHEKHCGQVKWLCSCGTTFSRKDKLFSHVGLFKGHTPSLPAEEPEASDRVGCAGSHPNAEPAKVESSMGTSSMWGTFSGNGDAMLGVNGLDGCNDNLLSTANFGSFGFGQFDGFSEDQSEGSFAMLPSEHYQSAEKNGRS* >Brasy5G016000.1.p pacid=40075168 transcript=Brasy5G016000.1 locus=Brasy5G016000 ID=Brasy5G016000.1.v1.1 annot-version=v1.1 MADAAEEELDPAVEWRQGEEEDVVEVALPGFRKDHVRVQVDNYGVLRLTGARPVPSSGAGGRKKWVRFTKDLRLPANCDADGVTARFQDGKLLVRLPILAIVPGPGESANTSAAEPPPSMAPPTRPPPVTTKQSFFEPPAPAPRPPPSFPARPAASEPPWKPPTAPPLPEAAPKRTASEQNLWPPWKPSAAPPLPPPPAQKPAALEQNLRPPWKPAAPPEPSFPPPAAPKRTASERNPLPPPSLPPPPSTFFDPKRWRLPSDTDQAPPDEPKPSPPKRTASERNPLPPPSPPWKPPPPLPPPPSTFFDPKRVRLPPHSDQALPDEPKPPPAPATLLGPQKAEPSSLPPPAPKPTALERNPLPSPSPPWKPAPPPPSTFFDPKRWRLPPHSDQAPPTTAPRPTNLATVDEPKPLPAPASVPGPKKAEPPPPLSPREHITSATFTEPPARTTPPSETAIDSPSSLPPTIPPPRRLPDAKKTKTKHGSEQVQEEAGGKARLPLMEEMQRKEEKRLRESPAVEDEKKGVPTTTRTKMMPASASSEDSATELLMNMAAAVAVLVGIALSVWHAMSS* >Brasy5G254500.1.p pacid=40075169 transcript=Brasy5G254500.1 locus=Brasy5G254500 ID=Brasy5G254500.1.v1.1 annot-version=v1.1 MSRSFLEIAQSLKSSTQAGSLFPAGGYCCQQVKEGILLLGRTLCFQGGGASTEADGGGGEDFTGAAVGFGSRWQPTLGSAGRVAGVRRLRLAATVFEIVFSYISEKQTPEYYVYVKYWDIS* >Brasy5G336200.1.p pacid=40075170 transcript=Brasy5G336200.1 locus=Brasy5G336200 ID=Brasy5G336200.1.v1.1 annot-version=v1.1 MGRNAAPPAPAGRKRKGSSFPPLGGRKRRLIPAPAAQPVTKIGCWVSLPDDIAHQVAARVLRPDVVDYIAFRAVCSGWRACTPSPRDPTLRIRTLRPVAWVALCDGDSVRPDDACEVALFNCQTARCLRVRLPDDLRRPRYRIIGFTDGLVILLHKRSTAIRVLHPFTRVVVDFPSLAPVYQQLIKNRNSVINMSAAVCTSVSSPATSIAVVVWFPLYACGAQC* >Brasy5G119800.1.p pacid=40075171 transcript=Brasy5G119800.1 locus=Brasy5G119800 ID=Brasy5G119800.1.v1.1 annot-version=v1.1 MGGSNSSSSSSMAVVDVDLEKGPAVVQQQPAAAAELQTPAWLKGDTNIYREKEVERRAAAWCGGVLLGCFGIGLVVVTWLYSGHDLAATLYCASICTILCLLWIVLRLQDE* >Brasy5G454500.1.p pacid=40075172 transcript=Brasy5G454500.1 locus=Brasy5G454500 ID=Brasy5G454500.1.v1.1 annot-version=v1.1 MAARLAMLILSSALLLLSSGAIPDNTTDMLWLQAFRHEIGSDPSGWFRSWNASADHCRWPGVRCSATHPGRVVALELFGLNLAGSISSSVGNLTFLRTLNLSTNGFSGQIPPLTRLQRLQVIDLSSNQLRDVIPDAFTNCSSLRIIDLSRNFLVGEIPPKVGLLSNLLVFRVSWNNLTGIIPPILGNITSLEKLAMSYNSLTGRIPDELGKLTKMLKLSLGGNRFPGRFPQGLLNRSNSLQILGLENNMLSNEIPSSIGDDLPNLQQLYLDNNKFEGQMPASLGNASGLESQSWEFFNALTNCSSLRILSLASNHLHGAIPNTIGNLSTGLNYLYLGGNKLSGTVPPTVGSLGSLIFLGLEHNNLTGTIEEWTGKLTKLQGLHLQVNSFIGPLPSSLGQLTQLTELKLGNNKFEGPIPPTLGNI* >Brasy5G412100.1.p pacid=40075173 transcript=Brasy5G412100.1 locus=Brasy5G412100 ID=Brasy5G412100.1.v1.1 annot-version=v1.1 MVGSCSPMQAVLLAPGVKDRKVFAFKRDAPKEKGGAVAGLMRSIAAPAGTARSAFFVFDLARVVDLYSGWRRALACVRPFYAVKCNPEPALLGALAALGAGFDCASRREIEAVLALGVKPGSIVYANPCKPEAHIKYAASVGVNLTTYDSEEEVAKVKRWHPGCELILRIKGPDNADAKVDLGTKYGARADEVVPLLRAAQRAGLNVAGVSFHVGFGASRADVYRGAIEAARAAFDAAAALGMPPMRVLDIGGGFMAGATFDEAAAVINDALAQHFGELPCVEVIGEPGRYLAETAFTLAARVIGKRTRGESREYWIDDGLYGSLSCMIVTGYVPRPRPLAGPRPGENAFASTVFGPTCDSRDKVVSGYQLPEMSVGDWLVFDGIGAYAASSGSNFNGFSACDIKTYFFFFARRH* >Brasy5G478600.1.p pacid=40075174 transcript=Brasy5G478600.1 locus=Brasy5G478600 ID=Brasy5G478600.1.v1.1 annot-version=v1.1 MARRKKARVGDNSPKKAENKDAKGALKRNRASPAAIVKLYGDLTEDKRTVIRGMFLGSFLDIKCEVMNNNLVDYVARSYDSSSRSFVFTARGVLPLTAESVHEVLGAPNGPDDVPYHEDHALAAELVPDLFGTGNSRPKAADDRFKRLWLIYIVSTCLAPTTDTKISNKCYPMLAYINRMVDLNLCKFVVHQLHMHFSENKFRKGCLLKRLTCSAGTSEEKTTLQSN* >Brasy5G507300.1.p pacid=40075175 transcript=Brasy5G507300.1 locus=Brasy5G507300 ID=Brasy5G507300.1.v1.1 annot-version=v1.1 MPGKRRDPDAPALNLPGTTSTGEERGKQRHTGAARKPRPQHGSRWRRIGAPHRGAGGAAWPSPRTRGEGKGKARGRSVEARRRRRPTEEVTDDSSEGSCGRRGSREVAAWGVPPESPGTSGSGGGYGFLPKKKNYDLMLSIFL* >Brasy5G461900.1.p pacid=40075176 transcript=Brasy5G461900.1 locus=Brasy5G461900 ID=Brasy5G461900.1.v1.1 annot-version=v1.1 MAVGEALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGHCGHASCPAGSEASEVGCESGGTVCTLVRKVMASQVHVVGDGTIGRAAFTGNHQWIVHDTANDHGLRSEVAAEMNYQFRAGIQTIAIIPVLPRGVLQLGSTSVVMENTTYVLQYKKLCSQLNNRSSMVASTSVKNDLSQKVQSRSSHGLLSVYPTDTGPKAFSGSPVTYEQCYGPDATTVSSSTTANTGRNASLLKVAQRNGESIGDHSLYGPDLRFRRQPLYCDTRVQSTMQSSVVSSGFISSTSTPAEKHSMLANDIGQLELGNVEESSETRKLLLKSLASRNPAVHENSNMPPLHGGSEVLDFLNGRGNFDFLPEGTRAVKSNFYNSNSTTSEVLDQRCKSTSGVIGHKPAMSYKIPQSAQFIMRMGSPKRDSCHAAADPSSGSEVQAFTGLRTVFSQENELNIPDHVCQNLKAKEVNNSSFAVSIQGLKNLDQHKLSDTSERSSSFLMDPTTGNDLFDIFGTEFHNQSHNVDGDPTWNTAKPESSDRDAPESSAYFDTPQAFGALEDEFPFSGIFSLTDSDQLLDAVISSANPGGKQISCDSASCKTSLTDMPSSSYCGSKEMKQQESSGALPLLVKNELAVSNFVKEPCFLEKTEDGCLSQNTGMHKSQIRLWIESGQNMKCESASASNSKGHDTPNKANRKRSRPGESSKPRPKDRQLIQDRIKELRELVPNGGKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNETKILGGENGPLWKDYFEGGATWAFNVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLVRLLEPSCDGGGPGENPDNVKMPLGIAQHQIIPATGHLR* >Brasy5G009400.1.p pacid=40075177 transcript=Brasy5G009400.1 locus=Brasy5G009400 ID=Brasy5G009400.1.v1.1 annot-version=v1.1 MQQGGGGGMLLADSNGGAKEGGGIYSLRPPATRSFPGKLVRPALLFAVLATGLFLLLVVVGGGYGAAASSYYYRLPRRLSVPDAGRPPPCARDEEGSPERWWARPAARSAWHNMSDEELLWAASFEPRRSPPPWPHKPKPKVAFMFLTRGPLPLAPLWERFFSGAGRELFSVYVHATPGYRLDFPPSSPFHRRQVPSKAARWGDPSIVDAEQRLLANALLDTANAHFVLLSESCIPLHPFPATHHYLTRSRHSFVGAFDDPGPHGRGRYPPALAPDIAPSQWRKGAQWFTLRRDLAVFFVSDGIYYPKFRRLCRPPCYVDEHYLPTVLSAVAPRGIANRTVTWVDWSRGGAHPATFGAADVGEAFLESLTGKRRKKGESSCAYNGQPAEVCFLFARKFAPSALPPLLRLSPKLLGY* >Brasy5G009400.2.p pacid=40075178 transcript=Brasy5G009400.2 locus=Brasy5G009400 ID=Brasy5G009400.2.v1.1 annot-version=v1.1 MQQGGGGGMLLADSNGGAKEGGGIYSLRPPATRSFPGKLVRPALLFAVLATGLFLLLVVVGGGYGAAASSYYYRLPRRLSVPDAGRPPPCARDEEGSPERWWARPAARSAWHNMSDEELLWAASFEPRRSPPPWPHKPKPKVAFMFLTRGPLPLAPLWERFFSGAGRELFSVYVHATPGYRLDFPPSSPFHRRQVPSKAARWGDPSIVDAEQRLLANALLDTANAHFVLLSESCIPLHPFPATHHYLTRSRHSFVGAFDDPGPHGRGRYPPALAPDIAPSQWRKGAQWFTLRRDLAVFFVSDGIYYPKFRRLCRPPCYVDEHYLPTVLSAVAPRGIANRTVTWVDWSRGGAHPATFGAADVGEAFLESLTGKRRKKGESSCAYNGQPAEVCFLFARKFAPSALPPLLRLSPKLLGY* >Brasy5G493900.1.p pacid=40075179 transcript=Brasy5G493900.1 locus=Brasy5G493900 ID=Brasy5G493900.1.v1.1 annot-version=v1.1 MVRELRADAFYARLRAAAAAAASVASSPLLILPSAADADSLCALKALAHVLSADSVRFSIYPVASAAAAAALLASFSASQPLCLLLINWGAHRDLRAILPPASTAFVVDSHRPVHLHNLAAGNDRVVVLFTADDERTADLAYDFGVSSLADASDLTADGDADEHLRVDEEGSDSDSDSEAGGRRKRRRLSDDGGETDGDPERLFGKLRREYYRLGTFHGKPSGCLMYDLAHALRRNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPTGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFMRVHGYRSKVSAADVVYGVTALLESLNAESKDSKDCCAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTGKLCHPQALTKFCFFLMDALKERGARMKPLICACLAREPEKVLVIGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL* >Brasy5G292100.1.p pacid=40075180 transcript=Brasy5G292100.1 locus=Brasy5G292100 ID=Brasy5G292100.1.v1.1 annot-version=v1.1 MCSNGGLGLDLAQLDDVIDLVIPRGSTKLVSQIKASTKIHVLGHADGVCHIYIDKSADMYMAKYIVLDEKLITRQPTVQLYLSNWMHFLNQMLEMVLIWRSILGLSSCQRLISLFLIPEGTKSKFVIW* >Brasy5G159900.1.p pacid=40075181 transcript=Brasy5G159900.1 locus=Brasy5G159900 ID=Brasy5G159900.1.v1.1 annot-version=v1.1 MDVPLPGGGVESSEDYSPAATVVRFDSPLPLLRAPVPSSAAGDEPPVLAFRDAASWRAAWEAAEASLFSQCEAGARSGCSITATCKCKPPWWKGLFGGAPTDYQEREQCEEREMASCLESAKEACIKFSKEKCSAPFRDARIASEGLLEDTDFVVWGAAAGNNKTSSASFCVANRQRSSNPGTGATNYKGSDLLDSLVPEDNSSQG* >Brasy5G056400.1.p pacid=40075182 transcript=Brasy5G056400.1 locus=Brasy5G056400 ID=Brasy5G056400.1.v1.1 annot-version=v1.1 MATAVRFLRRTLGANQAASRLLSTSHSLLGCAAYTTGGIIDVGQPAPISHPELLADGEITPGITSEEYISRRKRLLDALPENSLAIIASADQQMMTDVVPYSFRQNGDYLYITGCTQPGGVAVLSKETGLCMFMPDTCKEDVVWQGQTAGVEAAVDFFKADKAFSVSEMKKILPEMIEQSKVVYHNAKASTSSYRNFDAFRRASLNKKVKDLTCYTDELRWIKSKSEIKLMRESASIVSQSLVQTMLLSRTHKEESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDKKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSAAQEELYSLILETNKECIKLCKPGTSIDELHRHSVKMLIKGFQELGIIGKGKSIQYNYLNPTAIGHSLGMDIHDSTSLSKDKPLEPGVVITIEPGIYIPPVPILNENAPERYRGIGIRIEDEVLITETGHEVLTASVPKEIPHLTALMNMGSGNSMTEAHDHDEPRAVCS* >Brasy5G035000.1.p pacid=40075183 transcript=Brasy5G035000.1 locus=Brasy5G035000 ID=Brasy5G035000.1.v1.1 annot-version=v1.1 MEVAPACGSCGTTGLSCVGTSRSWEVLRGWSSSPVRDSSFTLGVPLSKFIAFSSVEICSRDAFRRYASVVEKL* >Brasy5G007500.1.p pacid=40075184 transcript=Brasy5G007500.1 locus=Brasy5G007500 ID=Brasy5G007500.1.v1.1 annot-version=v1.1 MTVSWVTPHHPGSNVVRYGLAADNLTRFAEGTVRRYAFGPGYHSPYIHHATLSGLDHGTVYHYAVGYGYENVRHFSFRTPPAPGPETKIRFGVIGDLGQTAHSNQTLAHYEAQPGDAVLFIGDLSYADNHPAHDNRRWDSWARFVERCAAYQPWIWTAGNHEIDFAPEIGEAAPFKPFTNRYPTPYRASNSTEPFFYSVKMGPAHVIMLSSYTSYGKYTPQWTWLQDELTTRVDRTVTPWLIICVHSPWYNTNEYHYMEGETMRVQFERWVVDAKADVVFAGHVHSYERTRRVSNVAYDIANGKATPAFNASAPVYVTIGDGGNIEGLAASFRSPQPDYSAFREASFGHATLEIMNKTHAYYEWHRNQDGVKVVADKAWFTNRYWLPTDTN* >Brasy5G463700.1.p pacid=40075185 transcript=Brasy5G463700.1 locus=Brasy5G463700 ID=Brasy5G463700.1.v1.1 annot-version=v1.1 MGNSQASPSSASSSARFVMASRAFSKQALDDLRARFASLAAQSGTQGRAVSRPVFLEYFGVRGPLGDRLFQLVAKDSSVEDGITFEGLIISKATYERGTPDEADEFIYQLCDVTGDGALTRSDLESVLESIHETIFAENKEAKGGSNKRTFETFLNSTAFSKDAEGISGKSMSLSDFRNWCIIMPSLRKFLGSLLMPPDSGRSGFVVPLLHYPENISSELLLLNKEYAWHIGGGFSQHDVQEWKLLYHSSLHGQSFNTFLGNVTNGDAQTVLVIKDTEGSIYGAYASQPWERHSDFYGDMMTFLFKLYPEASIFRPTGANKNLQWCAVNFTSESIPNGIGFGGKPHHFGLFLSASFDQGHSFTSSTFTGPPLSKTNRFRPEVIECWGIQVKGSNEDRPELVKGTVLERFKEDRNMLKLVGLASSSD* >Brasy5G093000.1.p pacid=40075186 transcript=Brasy5G093000.1 locus=Brasy5G093000 ID=Brasy5G093000.1.v1.1 annot-version=v1.1 MPSIRSNGSVFWKGRLDAQKGHCAVAWTKVLAFFREACCSDVGDGRSTLFWTDNWIDGKSIEFIIPVVFAAVGRRISRQRLAVDALVESAWIHDFSGPLNTQAWSNFFSSTILWRLWLSSRASGCASLEVVDLWVYSAKSAFLHFFENRPTFPPWSQIWRCWAL* >Brasy5G090000.1.p pacid=40075187 transcript=Brasy5G090000.1 locus=Brasy5G090000 ID=Brasy5G090000.1.v1.1 annot-version=v1.1 MYLVKDIDGAIALMAAALVLLGTWPVVLAVLERRGRLPQHTFLDFSLTNFLAAIVVGLTFGQIGPDSPETPNFLTQLMRPQDTWPSILFAMAGGVVISLGTLATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLVAACLGSLVHSSNAADNQEKLSKSRHATTGNTANEELTKNLLDQDEKPKDCEAAKPDDVPKKAGEKIEAGTADFLIHLEDKRSIKVLGSHTLVGLGIVTFAGICYSLFSPAFNLATNDQFHLLPATEPHLGIYAAFFHFSLSCLAVGVGLNLWFLYRPMAGVPRSSLRAYLGDPDREGRALALLAGMVCGLGNAFTFMAGQAAGYAAADAVQALPLVSTFWGVVLFGEYRRSSRRTYVLLGSMLFMFVVAMVVLMASSAHRKPL* >Brasy5G125200.1.p pacid=40075188 transcript=Brasy5G125200.1 locus=Brasy5G125200 ID=Brasy5G125200.1.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEGMDEGNLRIEDEEEIISAITHALCSVLDKELRKSSLSRLLCSSYSAVKKIIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLGIFWPLLEKLSKSSHMENTSLSAAACRSLSSAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRVHKSATVLQQLGALCSLCERTPWKAILCWDSLCRWLQSTVKSLPSEYLRHGEAEMIIQLWLKVLQDAASDYLQSRTVSNGRNHPGYMQGKGGRTLKRIIRDFAESHRNVPIPCPA* >Brasy5G125200.2.p pacid=40075189 transcript=Brasy5G125200.2 locus=Brasy5G125200 ID=Brasy5G125200.2.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEGMDEGNLRIEDEEEIISAITHALCSVLDKELRKSSLSRLLCSSYSAVKKIIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRVHKSATVLQQLGALCSLCERTPWKAILCWDSLCRWLQSTVKSLPSEYLRHGEAEMIIQLWLKVLQDAASDYLQSRTVSNGRNHPGYMQGKGGRTLKRIIRDFAESHRNVPIPCPA* >Brasy5G125200.3.p pacid=40075190 transcript=Brasy5G125200.3 locus=Brasy5G125200 ID=Brasy5G125200.3.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLGIFWPLLEKLSKSSHMENTSLSAAACRSLSSAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRVHKSATVLQQLGALCSLCERTPWKAILCWDSLCRWLQSTVKSLPSEYLRHGEAEMIIQLWLKVLQDAASDYLQSRTVSNGRNHPGYMQGKGGRTLKRIIRDFAESHRNVPIPCPA* >Brasy5G125200.4.p pacid=40075191 transcript=Brasy5G125200.4 locus=Brasy5G125200 ID=Brasy5G125200.4.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEGMDEGNLRIEDEEEIISAITHALCSVLDKELRKSSLSRLLCSSYSAVKKIIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLGIFWPLLEKLSKSSHMENTSLSAAACRSLSSAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRS* >Brasy5G125200.5.p pacid=40075192 transcript=Brasy5G125200.5 locus=Brasy5G125200 ID=Brasy5G125200.5.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEGMDEGNLRIEDEEEIISAITHALCSVLDKELRKSSLSRLLCSSYSAVKKIIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRS* >Brasy5G125200.6.p pacid=40075193 transcript=Brasy5G125200.6 locus=Brasy5G125200 ID=Brasy5G125200.6.v1.1 annot-version=v1.1 MTELVSQYQDLPQAFLSKILHIREVLLLPALANRSEKVIAGLTSLMCEVGLAAPALVAEGSNQAIALSDALLRCIAFSSEDWEIADSTLQFWCSLAHFLLGIDVQTAKRNATHELFLPVFSSLLDALLFRAQITDTDGVSAIPDGLAQFRLNLEELLVDICLLLGAPAYINKLLSGGGWGLSTQSIPWKEVEVRMYALSMVSDTILQDGSPLDFSIIMHFVNILSCRTPAELNGCHFLVYKSFGDVIGSYSKCLSSAKSNIKPLLLFCASGISKSVSANACSLALRKLCEDASSFIHDPQNLEILFWISEIDADRDQSLRQGPGAYTQALNLAVRGLHRMGALFSHLAASVASGLIDDDTISVLLGIFWPLLEKLSKSSHMENTSLSAAACRSLSSAIHSCGQHFQSLLPNILECLSTNFLLYQRHDCFLKTAANVIEEFGHKEEYNVVCVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYVNFTSAFIRCCPKEAIFASGSLLELSFQKAAICSTAMHRGAALAAMSYLSCFLDVSLTAVLESPECLSDGSRGVVLVQILARCGEGLMSNVLYALLGVSALSRS* >Brasy5G351400.1.p pacid=40075194 transcript=Brasy5G351400.1 locus=Brasy5G351400 ID=Brasy5G351400.1.v1.1 annot-version=v1.1 MVYCEMCGVFWESFVKSAKDGLIKGSINGVSSDSGTHAVSSSHCSVRQTFVVIPRKSMLAHPKDLDIHTLSLRY* >Brasy5G155300.1.p pacid=40075195 transcript=Brasy5G155300.1 locus=Brasy5G155300 ID=Brasy5G155300.1.v1.1 annot-version=v1.1 MKRGGEKKIAVAAAGGAARHPPMEMDRVLPLVSCPCCRVRRAVHLVSKSLDNPGRVLYKCPNHRNRVGGCNFFHWEDGEDSYVDYLGSIGMNYASIDSAGEIEEEMEQMEDKEKRSKIKKTEMELIVKKMEDLTVLCRMIVCVLVVLVVLMMCLVAQK* >Brasy5G432600.1.p pacid=40075196 transcript=Brasy5G432600.1 locus=Brasy5G432600 ID=Brasy5G432600.1.v1.1 annot-version=v1.1 MFFIIRGQLESSTTDGRRIGSAGFFNRCLLKEGDFCGEELLMWAPDPKASVNLPLSTRTVKAISDHAVRGRAQVRCRAVQAACMNSMDARSIESSCSDRLV* >Brasy5G284200.1.p pacid=40075197 transcript=Brasy5G284200.1 locus=Brasy5G284200 ID=Brasy5G284200.1.v1.1 annot-version=v1.1 MPTPAAVFAGLLVLLLTSGAAYAATRPETKALLAWKASLGDPTALSSWAGGSPVCAGWCGVSCNAAGRVTSLRLRGLGLTGRLGMLDPAPLSDLIVLDLNGNKLAGSIPSNISLLRSLYALDLGRNLFDGPIPPQLGDLPGLVDLRLYNNNLAGDIPHQLSRLPRISHFDLGSNYLTNLDNYRRFLPMPTITFLSLYLNSLDGSFPEFVLKSGNITYLDLSQNAHSGTIPDSLPEKLPNLVYLNLSTNGFSGPIPASLSKLGKLKDLLVASNNLAGGIPDFLGSLSQLRALELGDNPLGGSIPPVLGRLQMLQHLVVKSAGLVSVIPPELGNLGNLNFLDLSRNKLRGVLPVALAGMRKIREFGISSNSIIGEIPPVLFTSWPELISFKAQDNLLAGMIPREVSKATKLEILYLFSNNLTGFIPTELGELVSLMQLDLSVNWLMGPIPNSLGKLTQLSRLALFFNVLTGPIPPEIGDMTALEVLDINNNYLQGELPATITSLRNLQYLALFNNNFSGTLPPNLGKGVTLIHASFANNSFSGKLPQSLCDGFALQNFSADHNNFSGTLPPCLKNCMELLDFLDVSGNQLTGRLSSDWSQCFNLTYLSMNNNHISGSVHATFCGLTYLQSLDLSNNQFTGELPSCWWKLRALSLHLANNTFVGVFPSVIETCRMLITLDLGNNMFLGDIPSWIGTSVPLLEF* >Brasy5G150900.1.p pacid=40075198 transcript=Brasy5G150900.1 locus=Brasy5G150900 ID=Brasy5G150900.1.v1.1 annot-version=v1.1 MYHRVVLSCSPSAGSACIVLLMHMPFRELSFARVGDERWTAIPAGNSTGLQWANFHCEAVYNTADGLFYVVRADDSIQTLDLNGPSPVAAEFMPVVKEVFDEPSRYLLQTPWGDLLQVWRFREYSDPPTFGEPGGESESDGEGPDALSCPDPRVELNTAEVRLYKVDVCGQRLVKVDSVEDHALFLGYNGSTCLPIKCFPGLKPNCAYITDDFFEYINFYKFNKREIGVWSIQNQTLHSLGGTSPLKDPWLNWPAPVWITPSLL* >Brasy5G452400.1.p pacid=40075199 transcript=Brasy5G452400.1 locus=Brasy5G452400 ID=Brasy5G452400.1.v1.1 annot-version=v1.1 MEAEPCGDSVGHKRADPEGVPNGGDAKRPREDGNGLHSSSMEKMETEVEEDDDYQEENPLDVYRQVWIVDYSRLGISFEDETEIPNMHHTDGPIIPSGARPMTTMQILYVKVTQITDTLQWPLEVYGVIAVRDSIDHKRNFLTRDQCQTLASLQDAELELTGPSCAVLLIDPHAFEIDLKVRGNGSPSEDKALSYYAFIYDNIAHMNKCGSAITEVVRTEHSTIEVRFAHLARTLESTIHISVISGSRDFSARFTARTASIDEDMVLLDSRGGKVDITEEGEVVLKRRIVTVEERGKLILDVEAAPCDAEESSSGGGIVLKRQIKLTPMTALRNEGGFDLGFSRLRVIVAWSMLP* >Brasy5G032000.1.p pacid=40075200 transcript=Brasy5G032000.1 locus=Brasy5G032000 ID=Brasy5G032000.1.v1.1 annot-version=v1.1 MLWAGPDVSQAPRTASCLSSPMTSRSHLPENLGSSLLEATLCVSPPLASLIFSSTYGGGGGGTAAEILGRGEHLIDAGLAADMLSGYAVGAEFVRSRRILDLVMKYTEELVAGGLSDAAWNRRLLNFVTDTLYDLSLPPCSSPSPPSCSRPQAVRRGGKGAAKRFDTGREQESGEAGRERRAAPAAGGRGTERGPAERGRRRASSHAGGGQPRNRSAAWRSAARSRARREGSGAGDGRPRNRAAARRSAARRRAILEQELNRAVRAKSSGSPVFFKTTRFTGFSWSGGPSGPVRLTGRTELRFFRSDRRSGPVFQN* >Brasy5G324700.1.p pacid=40075201 transcript=Brasy5G324700.1 locus=Brasy5G324700 ID=Brasy5G324700.1.v1.1 annot-version=v1.1 MGNALAGRRRAAKVMTVDGATFRYKTPAAAGAALRGHPGHQLLESDEVRRLGVRARPLDRDAALKPGKLYFLVQLPRGPARFDAEDDPRGPRKTWSGALHVGARERLESLMLSRRTVSDVASMMPRGAVPGSGGGRASSVEAGGGDDGAPVRLRMRLPKAEVARLMKESKDPAEAAERIMQLCVARDQGHAHHAAPVPSSAANRNAAVKKEKRTRFMTVPDEIIG* >Brasy5G027700.1.p pacid=40075202 transcript=Brasy5G027700.1 locus=Brasy5G027700 ID=Brasy5G027700.1.v1.1 annot-version=v1.1 MRAHPGIAYLNSSSPAIRPLTVGRLPPINTPARHGCLKLSPYGRPTTSSKLVMAHRVTELTLVSAHDLEDVNTFSDMEVYAVASVSSDPLTRQRTRTDRRGGTDPSWDVEGGGGSGSHRFVVPQTAAAAAASGDSLRVVLRTERFFGDDRDVGEVVVPLADILAGACASGGATMPRCASYEVRRCGVLRVSYRLGPVVAPLPMPMPPPPAPGRCYDYGDDPYYHRPAPPPPPPYYPYARPPYYGGYPAPPYSQVEPPPGYPPPRPYARTPAPAPKKKSSGGDFFGLGGIGGMLFGNTRMLPSSSDTTSVVDGGK* >Brasy5G279200.1.p pacid=40075203 transcript=Brasy5G279200.1 locus=Brasy5G279200 ID=Brasy5G279200.1.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLFQCIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279200.2.p pacid=40075204 transcript=Brasy5G279200.2 locus=Brasy5G279200 ID=Brasy5G279200.2.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQDFVCIGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQAKPFTSCSWT* >Brasy5G279200.3.p pacid=40075205 transcript=Brasy5G279200.3 locus=Brasy5G279200 ID=Brasy5G279200.3.v1.1 annot-version=v1.1 MFRNTRRHAALLFGAAAAGAGFLHDRSDSATSVSVSASKPLRHLLSSAATGGLLSSNPHLAPPWQGGFPILNSFASASVPPTDLSSQGSGGNSDDSRCCPGCLGRNSIAKAAAAVGPAVVNISSMHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQAKPFTSCSWT* >Brasy5G470900.1.p pacid=40075206 transcript=Brasy5G470900.1 locus=Brasy5G470900 ID=Brasy5G470900.1.v1.1 annot-version=v1.1 MDSLLPEDVLAEVLRRLSPRSLAATRCVCKGLRAIIDDRRLLRAELLPHSLGGIFINFNALEVSEFFYPLVGPAANSGNLTSRVDDHCNGLVLLDDGSVVNPATRQWARLPLLPTLRTNQMRAFYKAGVRYLAFDPSVAPHYEVFLIPRIPNQTDLGPISEKSQWPPSTFILYVYSSRTRHWEERPFVREEGDAGSTVAHHILQARHGKKRYGVYLRGALYVHCQNHFFYKISPSTGKYRVIKPPVGIGDSGKRPELHLGKLGNEVRCALVDWPYKLRVWVLDESAGGRHEWALKHCVDLKHVMQLHDHLQQVDDPRFLEDANYNDYSSGDHYNDEGPEEEENRWSSVYVTFIGFHPFKDVVFLSDTLTGGMAYHFDSSRVQYLGKVYPRGYEEGYDDYMGIEAFIEASFPFTPCWMGELSKKRLI* >Brasy5G089200.1.p pacid=40075207 transcript=Brasy5G089200.1 locus=Brasy5G089200 ID=Brasy5G089200.1.v1.1 annot-version=v1.1 MGSASRIMRAALHAFFTHYHPAASSAALLALPFSAAALFSRSPPPSLLLLPALSRRLRRVLVAAGFPPASQLLFLLNHKLSQSAFSFLATLPFSLSFLLLSKSCAIRALQPPKHHQIHRPSSSSSCYPAMARTQLANYAALLLANLAVFAALLAAFNAAEALGLGGGGGGGGGEGRAALALSAAGVIVYSVALANAAAVCNLATVVAAAEPGGRGGGCGAVLRAALLLLARGAGADAATAVAVALPASLATAAVEGLFQLRVMRLYIADGTVTSAMVCEGLLIAYIHSMICVLDTIVTFMVYQSCKATHSCHLLDLEEKGDFIA* >Brasy5G156400.1.p pacid=40075208 transcript=Brasy5G156400.1 locus=Brasy5G156400 ID=Brasy5G156400.1.v1.1 annot-version=v1.1 MKLLAFGLFLFGCIQYFVASDLQVTALHEMRMMLIDREHVLDDWNDNQVSPCFFSNVICDQDNQVIEIMLSSSGLTGVLSPSIAHLSALQRLLLDDNFITGEIPQELGNLSNLITVNLGTNGLYGFIPESFGNLSKLQNLDLSQNSLSGNIPGSFSDLLSLKDIKLRSNNLSGKIPNIFGSLQELQNLDLSENFIRGEIPKSLSSPTSLKDINLAYNNLSGEIPLRLFQVDKYNFMGNYLNCSQNSIPCEGSNIKSGHNTKLWIIAMIVPLVVAFVCFIFCFGWTRRLRKATSTGNLSLPDRSEVNENQDKELAWGIEGRSSEFILFSFSQLLEATSNFSDENKLGQGGFGPVYKGQFSDRLEIAVKRLGSNSGQGFSEFKNEIQLIAKLQHRNLVRLLGCCSQGQDKMLVYEYLPNKSLDFFIFDETRRALLNWNKRLTIIEGIAQGLLYLHKHSRFRVIHRDVKASNILLDSGMNPKISDFGLAKMFSSDDTEGNTKRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEIISGKRNSGFHQHGDFLNLLGYAWWSWKERRWLELADASLVMGCCKLVIMRCINIALLCVQENAADRPTMSDVVAMLSSESMTLPEPKHPAYFHVRVTKEEASTDAEPCSINDVTMSSPQGR* >Brasy5G443000.1.p pacid=40075209 transcript=Brasy5G443000.1 locus=Brasy5G443000 ID=Brasy5G443000.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPYVISYKLHYTQTLQEEARPALAKPRRTAREMVVVQLAEARGSETGLTHEESKAPRPRRRMAGAR* >Brasy5G119700.1.p pacid=40075210 transcript=Brasy5G119700.1 locus=Brasy5G119700 ID=Brasy5G119700.1.v1.1 annot-version=v1.1 MMGRLRLALLLATASMSLLGPGGGAEAAYIRYNTSAGVVKGKLNVHMVAHTHDDVGWLKTVDQYYVGSNNSIQDACVQNVLDSMVPALLKDKNRKFIYVEQAFFQRWWREQSDIIKDTVKGLVSSGQLEFINGGMCMHDEASVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLSAQVGFDALYFSRIDYQDRDKRKGTKELEVVWRGSKTFGSSADIFSGIFPNNYEPPTGEFYFEVDADSPIVQDDPLLFDYNVEDRVNAFVAAALAQANVTRTNHIMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKFAASETWPLKTNDFFPYADKPNSYWTGYFTSRPALKQYVRTMSGYYLAARQLEFFKGRSNSGPTTDSLADALALVQHHDAVTGTEQQHVANDYAKRLSIGYKKAEELVSTSLACLSESHSKSNCKSPTTNFGQCPLLNITYCPPSEVNFSQGKSLVVVVYNSLGWKREDVLRIPVMSDSIVVHDSEGNEIESQLLPIASASLNIRDKHIKAYLGTSPSAQPKFWLAFSASVPPLGFNTYIVSSGKRSASVSSTSTIYSKGGKNGKLQVGQGRLKLHYDAAGALSQYSDSKTQVQASFQQKYKYYIGHDGSGSDYQTSGAYVFRPISTVPIKTDGQAPLTIIRGPILDEVHQQINSWIFQITRVYKAKDYVESEFIIGPIPVDDGNGKELSTEIVTNMATNGIFYTDSSGRDFIKRVRDYRSDWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLVDRSIGGSSIKDGQIELMLHRRLLYDDGRGVAEALNETVCFDNQCEGLVIEGKYYLKIDPRGEGARWRRTFGQEIYSPLLLAFSEQDGGNWVNSHVPSFSAMEPTYSLPDNVALLTLQELEDGSVLLRLAHLYEAGEHKDLSALASVDLKRVFHDKKIGKITETNLSANQERAAMEKKRLKWKVEGPPSKENVVRGRSVDPSNLVVELGPMEIRTFVIRFDQR* >Brasy5G505200.1.p pacid=40075211 transcript=Brasy5G505200.1 locus=Brasy5G505200 ID=Brasy5G505200.1.v1.1 annot-version=v1.1 MLVSFFPQILLLHYVCYAIIYLLSNFCPLWIKILLMISQKKILLMKSID* >Brasy5G071100.1.p pacid=40075212 transcript=Brasy5G071100.1 locus=Brasy5G071100 ID=Brasy5G071100.1.v1.1 annot-version=v1.1 MPSAKEPLSNKSEEPKLVVVEKENGKMLEIQDKEVTMEGLCSVTAYDQWTPLSISGQPLKPRYKHGAAVVQEKMYVFGGNHNGRYLGDIQVLDLKSLSWSKLEGKIQSGPSETAETVSVAPCAGHSLIPYGNKILSLAGYTREPTENISVKEFDPQTCTWSTLRTYGKSPSSRGGQSVTLVGDTLVVFGGEGDGRSLLNDLHVLDLESMTWDEFESIGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLYLVDMQTMEWSRPEQHGITPEPRAGHAGITFGNNWFITGGGNSKKGVSETLVLNMSTLVWSVVTSLEGRAPPASEGSSLVLHTINGEDFLLSFGGYSGRYSNEVYALKTSLTPSVPSPDINELETDDGMTPLSAAANSSRGPIFEIEELQDIKNNKRGDTSKTLVQAVKREKTQVEERLEQEKLQSFHLKKELTDLENRNVELTKELHSVRDQLSDEEARASKLENEVSEIQQRLQKMLALEKEFESLRREKDDESESASGSNKRTGGVGFRRWYGSTQEES* >Brasy5G377800.1.p pacid=40075213 transcript=Brasy5G377800.1 locus=Brasy5G377800 ID=Brasy5G377800.1.v1.1 annot-version=v1.1 MASASRALLLSKLPSLPTAASRLLRPIAAAGSLLPAAMSPSPPAAPGSLARLFSTKAATSSMRDSSPNWSNRPPKETILLEGCDFEHWLVVMEPPPGDGANPDITRDEIIDGYIKTLAQVVESEEDARRKIYSVSTRHYFAFGALVSEELSYKIKELPKVCWVLPDSYLDVKNKDYGGEPFIDGQAVPYDPKYHEEWVRNNARASERNRRNDRPRNFDRSRNFDRRRENMQNHQSASAPPSYQPPPPPGQNQMPPRDAPPMHQTQGNVPPFPPQNAGPPPSYQTRAPNSQPNYQQAPPPGYQGAPTPGYSGGNMRNSPGLPYQSINPGYQGGGPPTHPGGNLPPFPGGNQPPYQGGGPSYGGGAPGYQGQGGNPTYQGQGGNPTYQGQGGNLTYQGSSNYNNAAAPAYEGRDGPGRHYQ* >Brasy5G366200.1.p pacid=40075214 transcript=Brasy5G366200.1 locus=Brasy5G366200 ID=Brasy5G366200.1.v1.1 annot-version=v1.1 MATDAALVFAGKSVATSAISFWINKAFTCLNEYSKAEGMEDFKESLWQLMPEIQLVLDIANPKCIKEQVRALDSWLWQLRDAVEEAEDAIDELEYYELEEKAKGYKVSDWGSSYAKMKHKVVKSVKHVGILDKNLKQFTHRRTIKRLRTAVEGVDKAATDIVDILRVTQHCLRGVVSVGQRQEHWMNKDRETGSVLTASKFVGRENEKEKILTWLTKASVVVSETAITPVRHVPILSIVGHGGMGKTALAQRICEEVVMERFKIIWVHVSASFDATSLTSKILESATWAKPNADHLEALQQDLQRELNLHTKFLLVLDDAWEDKNIGEWKKVFAPLTKGMTGSKILLTTRMQSVADMAAKAMGIETECLRIEGLEESENLELFNHHVFSGLNPQAFVYLKPIGEKIANKLGGCPMLTKVVSGHLQCNMTLENWQRFLRDLEHFKGTEKDIMDVFRLSYYHLPIEFQICFRYCSIFPQGNEFKKKDLVLMWMSSGLISQAGNESRLEDIGEQILAQLTRKSFFDVKFKIFQYSQRKEEYYIMHDLMHELGRYVSSGECARIIDPIMLDNEKDTVRHLYIPCIDHLSVEEVKKISHFKNVRTIIFEGQHLANKDMALAIENVVENSKALRLLHLNLENTFHLSRLADLKHLRYINVPKLSPEGLCGLVKLYHLTVVNVSIGWQEEPRQVRYLGNIDHLRYVSSGIYKCSEFPIGRLTSLQELQNYRVQGKKGNRISAIRNLRDLRELQVQGLENVENPEEANDAKLKEKQYLNSLSLEWSARANIESRTDELLIDNCEPHSNITNLTISGYGGVRSPIWIEKISFKYLASLQLVRCINWERLPSLAEFSSLKHLTLQHLHRMQQIGQSSHVPSCGCSDSAVPICMSSLPASLHTLIVRSCPELLELPVLPPSLVYLEIHDVGLTNLPRIGKPCSESVGTGSQLLHIRVNFCPSLVSLYGSLLAQRQYIRTIRILRITKCEQLEYIPLSFEEMNELRELKIRNCPNLKSREIRDNILPQSLEKLIIMQCGDMELPLLESLHGLAFLSELVLNNCSGLISLPSADVFRSLKSLKFMEIIGCENLLSLAGLGSLHSLFELKISTCSKLTEVGLSLHLHAGGGDFGEDHVAMSTGSLQIEYLEIDRPSVLLLEPLKGLCHTRGLVITDGRQMENLPERWLLQNSKEIRSLKILSASSLKSLPLRMQELCSLNFLLLSGAEKGKLQSLPDLPSSLQCLHVMGCCPELVNQIRVKDSSAWNKISHVAKVHIGESYFTSGEECDQDHFYGGNNQ* >Brasy5G071200.1.p pacid=40075215 transcript=Brasy5G071200.1 locus=Brasy5G071200 ID=Brasy5G071200.1.v1.1 annot-version=v1.1 MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNILKEDREDVELVRGALETLVSALTPIETSQGPKTEVQPASMNSDLLSRETENISLLLSLLTEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGAFEKLFSIIREEGYSDGGVVVQDCLELLNNLIRTNASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALHTVELLLMGGPPGEQGKDTSKINNQTALAQKNILDHLLLLGVESQWAPVALRCRALRCIGSLVLRNPQNLDSLANKQVGEEPHLQPALNAILAIILRTSIAQEFVAADYVFKCFCEKNPNGQALLASTIAPHPNQGPATHGASGDMPFGSVLLQALVSSDVNGDMEACCRASSVLTHVIKDNLQCKDRVLQIQLETPTPSLGHTEPLLHRIVTCLSLAALTEGENDQSSRSEESYIQPVILRLLIIWLADCANAVNCLLESAVHLNYIIELASNKRNTGCVRGLAAVVLGACVLNNASREKGQDAFAVADAISQKIGLTTYLLRFDELRKSFLHLPSGQQHHKQLSRSSANSMSDFQEIEEEETNKGDQHPVLSEIFDSQFVSFLSKLESDIRDNIMDIFSRTKTATAVLPAELEQKNGEIDGEYIKRLKSFVEKQCNEMQDLLGRNAMLAEDLVRTGGGTTSDSSERSSSCRERVQIEALKQELEGAARRIEVLKTEKAQIEAEASNQRNLAVKLESDLKSLADAYNSLEQANYRLDAEVKTLQQGGSVPYPDVEAIKAQAKEEAEKESEVELGDLLVCLGQEQTKVEKLSARLAELGEDVDTLLQGIGDDAALPDDNDDDDDDEDEDDEK* >Brasy5G275500.1.p pacid=40075216 transcript=Brasy5G275500.1 locus=Brasy5G275500 ID=Brasy5G275500.1.v1.1 annot-version=v1.1 MAALHDHDSSRVTQAKQEQLETELKSNQEKQRESRQRERVIVLSMTCIGGDHGEVKQQQQKVAASSNNAGLIQLKKNSGDPILVHQFPFHNLSLNKVKNIEVDRLRLSLVAPRKLTSATAESSEENHEDEDPEMLKTDERLDQMCSCLHAIPRKSRSKSGKRRTHKTSSRKHRRF* >Brasy5G480100.1.p pacid=40075217 transcript=Brasy5G480100.1 locus=Brasy5G480100 ID=Brasy5G480100.1.v1.1 annot-version=v1.1 MAASLQAAATLMQPAKIGRASSSAVLLPSSARPSSHAARTISCSLTSDIREVASKCADAAKLAGFALATSALLVSGASAEGAPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVDAFPFKAGKYEMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVAADGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPDSFSGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSQGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGVWYAQLESN* >Brasy5G492500.1.p pacid=40075218 transcript=Brasy5G492500.1 locus=Brasy5G492500 ID=Brasy5G492500.1.v1.1 annot-version=v1.1 MASSSNPDTMDMDPPGLSIAVERNPPESRLAQLGVKSWPKWGCPTGKFPVKFDARQTCYLVKGKVRAHIKGSPECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS* >Brasy5G512800.1.p pacid=40075219 transcript=Brasy5G512800.1 locus=Brasy5G512800 ID=Brasy5G512800.1.v1.1 annot-version=v1.1 MAADAAAVRFLLALAVAVAVLLGPVEASVHEYSGLGFLNKGNAFILHAGSEGLYAPAFPTNATAEDEEDDTAAVADAFIRFDKITFRRTEDAGTNSAKVQIIVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACIEGSVIYRPSQVNPGWPQLLVASFDGSDLIATLPSRTIPIRKTGMYNLYFIHCDPSLAGLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSLAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMALWYFEYAEFNETGVRAKAITFWAVTFGTVKRTVARLIILIVSMGYGVVRPTLGGLTSKVVMLGGTFFLATEILELVENLGTVNDLSGKARLFLVYPVAILDAAFIVWIFISLSKTLSQLQARRLMAKLDIYRKFTIALALTVLVSVGWIGYEIYFKSTDVFNERWQYAWIIPAFWHALSFSLLCVISYLWAPSQSSMRFTNDASEKFDREDSLSLIRPRPIVSKNGWNLSASGDAKATKSMTTVTSFDEDDEENKRE* >Brasy5G141300.1.p pacid=40075220 transcript=Brasy5G141300.1 locus=Brasy5G141300 ID=Brasy5G141300.1.v1.1 annot-version=v1.1 MRIWNSINPRTDELISIDMILMDAEGEVIHAIIWKNLADTFIPKIKESCIYVFNNFKVDESTIYRPVNNDLKIIMLSDTKVKEVKGLSDRFQEYYFEFASKETLLERQEKDEYCSDVIGLLTQIKPIESRITRAQTDNPRTADMREIEILIPEGDKVRVTLWENLAHSLSDDVVGKQTVLIITSTMVQKFNGLSLKSTSATRLYENLDIPESLELQDSYSTEDILSKMMEIDKSTQGTLEQQMFYNRKTLREITEIRHEDPKNQDLVYTALATIDRLQENIQWWYRIRLQISDPTATTSCTLFDEEANRILNKSVTDLLDSLIGKSEEIPKIIQELCGKRLIFRFKLNKQNLTLGTPNYAVKRTFVPDENLERQHFNDEVEERQSSICSPMKSIVELKAIKKELEDLVKEIEDEQKMPSSGGLRKAKASMTHTNEKKGHKNTRTVGRKCITRKSNKRRKSPIVLSDDSEVDDTENTEVQDEDSDVEFARKKVNFQAAVKTCKKKSKEDGVEHRKEKPNDQLEDQVSQKRPKRIRQPSKKYQD* >Brasy5G173700.1.p pacid=40075221 transcript=Brasy5G173700.1 locus=Brasy5G173700 ID=Brasy5G173700.1.v1.1 annot-version=v1.1 MIWFLISRSASTRSCSPSSPAPPPPPSPAAAPGPPAAASLAASSSGLIPTQEGAEDDAAASSARAPPLAVAGIWGVRGRTARGAGVIGFLPLRAPFYFYFIGLFFKFGWCFIERDGERIMGWSGPFLANGIAPRVIVGVIFPFKYS* >Brasy5G068100.1.p pacid=40075222 transcript=Brasy5G068100.1 locus=Brasy5G068100 ID=Brasy5G068100.1.v1.1 annot-version=v1.1 MAEEINRSRVLVIGGTGYIGRPIVAASAREGHPTSVLVRDAAPADAAKAAVLQGFRDAGVTLVKGDIYDHESLVAAIKSADVVISAVAHAQHPDQTRIIAAIKEAGNVKRFVPSEFGNDVDHVNAVEPAKSLYAGKAGIPYTYVSSNFFAGYFLPNIGQAGVTGLPTDKVLILGDGNVKGIFAVEDDVGTYTIKAVDDPRTLNKTLYLRPPSNTLSHNELVSLWEKKVGKTFERVYIPEDEVLKKIQESPMPLNILLSIGHSVWVKGDHTNFEIDPSSGVEATELYPEVEYTTVDEYLNRFL* >Brasy5G402300.1.p pacid=40075223 transcript=Brasy5G402300.1 locus=Brasy5G402300 ID=Brasy5G402300.1.v1.1 annot-version=v1.1 MLTQSLCRRGQVRTATTLLDEMLHRGIPADPLAYTTVLNALCRRKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMADIGCIPNVATYAAVVNGLCVSGLFDKAEAYLEDMVGQGIIPHFSVFHSVIKGCCTVGKAEEAARMMNRMLDLGILPHAETWSSVISSVCNDEAYIEVILLHMMQEKQRCSNMFSKSTW* >Brasy5G158000.1.p pacid=40075224 transcript=Brasy5G158000.1 locus=Brasy5G158000 ID=Brasy5G158000.1.v1.1 annot-version=v1.1 MPPPEKQAAAAEGAPQRRTKRKAEAEAKKGCSSGRRTAVPVTDGKLTRMPQAMIDQILARTTKPTPPPSNARTAAGFELYWEHHLRLQAWVRSEYESKGFVESVDNSAYFQAIMDESDDSDEEDM* >Brasy5G406600.1.p pacid=40075225 transcript=Brasy5G406600.1 locus=Brasy5G406600 ID=Brasy5G406600.1.v1.1 annot-version=v1.1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLTIRALLEVVESGGKNIEIAVMTKKDGLRQLEETEIDEYVAEIEAEKAAAEAAKKSGPKDT* >Brasy5G406600.2.p pacid=40075226 transcript=Brasy5G406600.2 locus=Brasy5G406600 ID=Brasy5G406600.2.v1.1 annot-version=v1.1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLTIRALLEVVESGGKNIEIAVMTKKDGLRQLEETEIDEYVAEIEAEKAAAEAAKKSGPKDT* >Brasy5G417300.1.p pacid=40075227 transcript=Brasy5G417300.1 locus=Brasy5G417300 ID=Brasy5G417300.1.v1.1 annot-version=v1.1 MMCSPLLPAPFNPNTSTSDTKIFFPRLPPSNTCFTQDVQATMLLDSQQERRNSEGSFTYMEEGDAHPSISMARTASSEGAAVDFDLLEKLLSGDNAWLEVATNASRSPNFFASPSTFLSDATTTTTTPASANNNLWIQSSSTFRQRLDQALAYIEETQRDTDVLVQLWMPVKSNDGQLVLTTSGQPFTLDKSSESLKRFRDVSTHYQFSADVASESSPVGLPGRVFIGKLPEWSPDVRYFTSYEYPRVNHAQDLDVHGTMGLPVFEKGNYSCLGVMELIMTRQKLNFTSEINNICSALQAVNLRSTEVSSIPRTKFNSASYKDALPEILEVLRAACITHKLPLAQTWVTCDQQGKRGSRHSDENYRYCISTIDAACYVNDPRMQNFHYSCSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMLEMNELNSFGPKGKNKVKEFSFGGKATEHREEASWTSLAGTSQKESDLAELSIHGMLSPGGQGSSLAGVQTTAQGSKGKKRTKTEKTVSLQVLRQYFAGSLKDAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGGETAFQLNTLYKDLTNTSVSSDNNLSGSITVPLTKQSNLTEFEKHRHHRLSNNVPSTSHSHSSCSQSSGSSPSCSGGATKDSPQAGVDLMKSGSHVRHNPIQTLQAENAPINGHISVQEARGDLLHNVNQKAIGGQHSSRSPSPPKQNSDIGMRVKATFGSEKIRFRLKPDCGFQELKQEMARRLSIVDTSSLIVKYLDDDSEWVLMTCDADLQECLHVYKLANIQTVKISIHLAASPETKVTAGHTGLS* >Brasy5G417300.2.p pacid=40075228 transcript=Brasy5G417300.2 locus=Brasy5G417300 ID=Brasy5G417300.2.v1.1 annot-version=v1.1 MEEGDAHPSISMARTASSEGAAVDFDLLEKLLSGDNAWLEVATNASRSPNFFASPSTFLSDATTTTTTPASANNNLWIQSSSTFRQRLDQALAYIEETQRDTDVLVQLWMPVKSNDGQLVLTTSGQPFTLDKSSESLKRFRDVSTHYQFSADVASESSPVGLPGRVFIGKLPEWSPDVRYFTSYEYPRVNHAQDLDVHGTMGLPVFEKGNYSCLGVMELIMTRQKLNFTSEINNICSALQAVNLRSTEVSSIPRTKFNSASYKDALPEILEVLRAACITHKLPLAQTWVTCDQQGKRGSRHSDENYRYCISTIDAACYVNDPRMQNFHYSCSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMLEMNELNSFGPKGKNKVKEFSFGGKATEHREEASWTSLAGTSQKESDLAELSIHGMLSPGGQGSSLAGVQTTAQGSKGKKRTKTEKTVSLQVLRQYFAGSLKDAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGGETAFQLNTLYKDLTNTSVSSDNNLSGSITVPLTKQSNLTEFEKHRHHRLSNNVPSTSHSHSSCSQSSGSSPSCSGGATKDSPQAGVDLMKSGSHVRHNPIQTLQAENAPINGHISVQEARGDLLHNVNQKAIGGQHSSRSPSPPKQNSDIGMRVKATFGSEKIRFRLKPDCGFQELKQEMARRLSIVDTSSLIVKYLDDDSEWVLMTCDADLQECLHVYKLANIQTVKISIHLAASPETKVTAGHTGLS* >Brasy5G417300.3.p pacid=40075229 transcript=Brasy5G417300.3 locus=Brasy5G417300 ID=Brasy5G417300.3.v1.1 annot-version=v1.1 MEEGDAHPSISMARTASSEGAAVDFDLLEKLLSGDNAWLEVATNASRSPNFFASPSTFLSDATTTTTTPASANNNLWIQSSSTFRQRLDQALAYIEETQRDTDVLVQLWMPVKSNDGQLVLTTSGQPFTLDKSSESLKRFRDVSTHYQFSADVASESSPVGLPGRVFIGKLPEWSPDVRYFTSYEYPRVNHAQDLDVHGTMGLPVFEKGNYSCLGVMELIMTRQKLNFTSEINNICSALQAVNLRSTEVSSIPRTKFNSASYKDALPEILEVLRAACITHKLPLAQTWVTCDQQGKRGSRHSDENYRYCISTIDAACYVNDPRMQNFHYSCSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMLEMNELNSFGPKGKNKVKEFSFGGKATEHREEASWTSLAGTSQKESDLAELSIHGMLSPGGQGSSLAGVQTTAQGSKGKKRTKTEKTVSLQVLRQYFAGSLKDAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGGETAFQLNTLYKDLTNTSVSSDNNLSGSITVPLTKQSNLTEFEKHRHHRLSNNVPSTSHSHSSCSQSSGSSPSCSGGATKDSPQAGVDLMKSGSHVRHNPIQTLQAENAPINGHISVQEARGDLLHNVNQKAIGGQHSSRSPSPPKQNSDIGMRVKATFGSEKIRFRLKPDCGFQELKQEMARRLSIVDTSSLIVKYLDDDSEWVLMTCDADLQECLHVYKLANIQTVKISIHLAASPETKVTAGHTGLS* >Brasy5G404600.1.p pacid=40075230 transcript=Brasy5G404600.1 locus=Brasy5G404600 ID=Brasy5G404600.1.v1.1 annot-version=v1.1 MLHLASILSAQPPDNKCKTHCGDVKIPYPFGIGTGCAIGEGFKINCSRTVDGIERPFILQWEVLNISVSHGQSRALITIPTYCYNSSTREMDPYLWDFDLIWPYRFSDMHNKFTSIGCNTLAYIYNTEGRRRDAAGCASVCGSPEDLTNGSCFGVGCCQNIVPKGLTHYSVYFYDVDYVNSSNTWHFNKCSYAGLVETATFMFSSAYVTTKRFNDTYKGRQPVVFDWAIGNVTCEAARRNMSSYACLSGNTVCVDSSNGPGYLCNCSSGYQGNPYLSGGCTGVVIGLSCGIGVLFVAFISILLVQRWKREVRNRLPEKQRPSPGTIDLIR* >Brasy5G219300.1.p pacid=40075231 transcript=Brasy5G219300.1 locus=Brasy5G219300 ID=Brasy5G219300.1.v1.1 annot-version=v1.1 MALAAANATFRPRLVSASAASADTSDTHTRRRHWKAGEFPFPTTSPSPSGRRPRPTTTTERPPPPSKGKKEEPIPSPQGRSQRHWKAGEFPESQSQSGGRGQGSRARTPIKNIRKRLDARSDAKAWAPTVTEALSDRIAAKNWPEALQVFEMLKEQPFYYPKEGTYMRLLLLLGRSGQPALANRLFAEMQQQGCQPTPELYTALIAAYCRSGLLDDALRLLGDMKAAPLCQPDVYTYSTLIKALVDASRFDLVDAMYKEMADRGVTPNTVTQNIVLSGYCRAGRMDDMEKLLSAMLDSTASKPDVWTMNIILSLFGNSGQVDLMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEFMRKLAFPWTTSTYNNVIEAFAEAGDEKNMEHTFNQMRSEGMKPDTKTFCCLINGFSNAGLFHKVVGMVKLAERLDVPANTSFHNSVLAACARAGDLVEMERVFRHMKLVQCAPDATTYSILVEAYRKEGMTDKVYDLQQENPSLVSTELVMV* >Brasy5G194400.1.p pacid=40075232 transcript=Brasy5G194400.1 locus=Brasy5G194400 ID=Brasy5G194400.1.v1.1 annot-version=v1.1 MARAAALGLLLPLLVFSLANPSLVEAGKYVCKYRLPMMPFCAEWMCTAECWTESKLFLATVKEHRCAKKGIKGYCYCVFCGTHLKEDVVKEPRPQELIHN* >Brasy5G010800.1.p pacid=40075233 transcript=Brasy5G010800.1 locus=Brasy5G010800 ID=Brasy5G010800.1.v1.1 annot-version=v1.1 MQTCRRAYLRAAAIAAAVAVLCLLMAGAAHGDDLGYATTTPATETPATETPATETKQVPSLHSLFKHLPLWSHPGSGHWSYSYKYTSSSSSKKPSEPAPATEQPSEPAPETTKPADDFYTQKQEPEAPIEPAPETMTPADDFYTKKPEPEPETPAAGGYSGGGGGKSLDADGEPTDGLSPKAIANILKEHNVFRAKEHVPPLKWNATVAGYAQKYANSRKGDCALQHSTGPYGENLMYGQGKAWTWRHTVDEWSDEKRSYHYGSNTCDRGKMCGHYTAVVWKHTTDVGCGRVTCASGDTLMVCSYYPPGNYVGEKPY* >Brasy5G020700.1.p pacid=40075234 transcript=Brasy5G020700.1 locus=Brasy5G020700 ID=Brasy5G020700.1.v1.1 annot-version=v1.1 MRALALAPASNSTCVSDEVVPFATISSPPTGLPDPPCGVPSPSRRESFFKRSNARSATPGSIPSIRGDGVARAADSRDPWRGEDEAVEEYFGQLWVLPADYSPISRVLAQDQTAAAATLVWIRRDLFVAKSFTADDCYPACHADCFSTDPQPFSFARDFWSRIHGRDTFANILKRRPMESGRGGAQGAGRGGGRGFQKKGGYRNPFQYNRPQQGPPPATKQAQNQPQGQASATPHGTEQTQPSIHDAQALTSQPTAIQTQVTQNPQLSMQFQQFPGQHMIPQQVQMIPQHHTLQPMQYTYGQQFPTQQM* >Brasy5G292300.1.p pacid=40075235 transcript=Brasy5G292300.1 locus=Brasy5G292300 ID=Brasy5G292300.1.v1.1 annot-version=v1.1 MIPTLIEHGKRKERSRSSGEMWRGGWVEQDLRGEAAADLCGGNGRGTREEGGGRKEEKELQGEVAWGMGRPPGTLAAGGGGGAGALRAGKRGDRRGRSDRRGRREGVGWTGLTSAAGGLLVSGFFPPLPLLRSSSRLFFAPLAFSRGFVLLFQGGRSGKFHLREGAGCRIPPNTGRGSSELS* >Brasy5G365700.1.p pacid=40075236 transcript=Brasy5G365700.1 locus=Brasy5G365700 ID=Brasy5G365700.1.v1.1 annot-version=v1.1 MGSCVSRGEPPAGSMDTAKVVDIDGSMAQFAAPVTASEALEATASSSSSSPIFLCSSDELRFDAPPRALAADEPLQPGWLYFSLPLPMLRRPLSGQEMAALAVKATSALASGDGGTRRRKAGRVAPLVVDVASGSNEGEREREGGWDYRHAYGKYGVRHGGGETVGKARKSQRAVGYRSRSARRRAAAGAQRLGAILEASDSD* >Brasy5G484800.1.p pacid=40075237 transcript=Brasy5G484800.1 locus=Brasy5G484800 ID=Brasy5G484800.1.v1.1 annot-version=v1.1 MASTPQPPRGDLAARLEAAVAPPPAAQDLAALGLAAARSLRHRPPRHGGQMQSGPVEHQSISGSLIHSMIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G484800.2.p pacid=40075238 transcript=Brasy5G484800.2 locus=Brasy5G484800 ID=Brasy5G484800.2.v1.1 annot-version=v1.1 MASTPQPPRGDLAARLEAAVAPPPAAQDLAALGLAAARSLRHRPPRHGGQMQSGPVEHQSISGSLIHSMIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G484800.3.p pacid=40075239 transcript=Brasy5G484800.3 locus=Brasy5G484800 ID=Brasy5G484800.3.v1.1 annot-version=v1.1 MASTPQPPRGDLAARLEAAVAPPPAAQDLAALGLAAARSLRHRPPRHGGQMQSGPVEHQSISGSLIHSMIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G484800.4.p pacid=40075240 transcript=Brasy5G484800.4 locus=Brasy5G484800 ID=Brasy5G484800.4.v1.1 annot-version=v1.1 MASTPQPPRGDLAARLEAAVAPPPAAQDLAALGLAAARSLRHRPPRHGGQMQSGPVEHQSISGSLIHSMIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G484800.6.p pacid=40075241 transcript=Brasy5G484800.6 locus=Brasy5G484800 ID=Brasy5G484800.6.v1.1 annot-version=v1.1 MIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G484800.5.p pacid=40075242 transcript=Brasy5G484800.5 locus=Brasy5G484800 ID=Brasy5G484800.5.v1.1 annot-version=v1.1 MIQFCGAPEYFMFNDPQPVIPPQMAMLPSPNLVAAATLSRATNNETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAMLRATAKPFYDILGHKFPPSPDRNLPWSLDSPGESSSSSHAQSLSSVVTSCSSGAVEGSNQSRNIGHCDQLEAYRGLHGQSFQPVVSPSSGVSDAAEALADPLITNGRIPDYLFESLPNWDFRRGVEEAQKFLPASDKLVIDLEAADVSKSQEVGKDISLNGSKPEVLNAKKNRQSEDLDLIEGRSIKQSAFCSDEPDWIEMFDGLLRQTEQKATVLREKMRTEASKNSQVTQTKATTGVRARGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASTVHIIDFGIYFGLQWPCLIRRLSKREGGPPKLRITGIDVPEPGFRPTERIEETGQRLAEYAEKLGVPFEYQGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVAKAKVKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKPNNN* >Brasy5G256200.1.p pacid=40075243 transcript=Brasy5G256200.1 locus=Brasy5G256200 ID=Brasy5G256200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYGNEVHYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G026500.1.p pacid=40075244 transcript=Brasy5G026500.1 locus=Brasy5G026500 ID=Brasy5G026500.1.v1.1 annot-version=v1.1 MANRDVHHHTRILCEICKAQTDAGNSPGGCLSDERYKNLELRFLDRAGKRYEKKQFKKRWDSLKDEYAGRIWN* >Brasy5G019500.1.p pacid=40075245 transcript=Brasy5G019500.1 locus=Brasy5G019500 ID=Brasy5G019500.1.v1.1 annot-version=v1.1 VLAIALLCLANDATQLAHDTEGKTLRAESYYILPAKQLSGGGLTATPNGQRCLTFVFQVRDETFLGDPLRFTPLPPNHSADEPIRLSTDIWIEFRNLSNFCVERLDWHLTNKSPGTAGLHVAAGNEDGTRSFGLFRIKRHGTDTTGIVQISGLAHVFKGMNWLIVSHKPYVVVFKKRHND* >Brasy5G307100.1.p pacid=40075246 transcript=Brasy5G307100.1 locus=Brasy5G307100 ID=Brasy5G307100.1.v1.1 annot-version=v1.1 GPRWLSSFPNYICNSLHHDCNPTELEISFIQKREPLVPGVCAQWKLSSLSHCFCPLCCGHSLLSQDPTSKIQTSRSYSKKEVSTHNSRKDCWIIIKKKVYDVTPYVEEHPGGDAILNNAGSDSTEGFFGPQHGTRVFDIIEDFCIGKLRD* >Brasy5G162300.1.p pacid=40075247 transcript=Brasy5G162300.1 locus=Brasy5G162300 ID=Brasy5G162300.1.v1.1 annot-version=v1.1 MGSTFEDLIEARKGSTFRSINPSIQPHGIIHGDPHRHGALPPHSASTIAATASTGCHTLRFSGFSALTKLAPGSTVHSRRFQAAGHAWRIKCYPNGLMRTPSDKESDHCVFLFLELAAEDDELVVEAEFRLFLLEPPHDAEAEAAANKPRGRTFRAGAASGFCGLILTKSGLEKQLKGDDGDGFVVRCEIAVINEPVVSAHRGEKLGAACGGCCRDGEQEEEAASCERRLHQAGGALGLGNKQQGFVKLLFGCLPIWD* >Brasy5G378600.1.p pacid=40075248 transcript=Brasy5G378600.1 locus=Brasy5G378600 ID=Brasy5G378600.1.v1.1 annot-version=v1.1 MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDDDIQSNVLEIIGTNVQSTYITCPADPSATLGIKLPFLALIVKNLKRYFTFEVQILDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRVYFSDRLYSEEELPPEFKLYLPIQKA* >Brasy5G464500.1.p pacid=40075249 transcript=Brasy5G464500.1 locus=Brasy5G464500 ID=Brasy5G464500.1.v1.1 annot-version=v1.1 MVGGRRDTAHQINQRRPASSSSPLVPDCGSRGAGVFSRHGLRARLSDGAERGGATELLLSAAAMGVSAFRARQKQLTDGKGVGRWPAPEEGSSYAELHIAKSMVDVREVPVPGGTSQTIKLVIDIGGRTIEIALKGKLVVQTAAGGDAAANGGLPAGQDSRVAGWLLTVATLFVGIAFQAAIQPPAWVPDDWFEVLVSTKFGTRPVEGITAVKFNGALMTGAYVIMNTMTFATALAVVVILLVMKDASPTSVIKLIPTLGSFIASTVCVTFALSTSAFLTVFTILVVYAIATLVPVYIFTHY* >Brasy5G388000.1.p pacid=40075250 transcript=Brasy5G388000.1 locus=Brasy5G388000 ID=Brasy5G388000.1.v1.1 annot-version=v1.1 MSMQGQKGQPPPSPAARPRRRQKPVHGCRGGRAAAPPPPEARLPRAGAPRLRPRPRQVGQIQDYTYVKIILKKVITEAELSSDIVIDGLYEEFGRCMSSKANNSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVARLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCFELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDSQLVKESKNKVECKYLSWEEASESDLLDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDGQCETVNVGRDEEPVNEVPWNGATQGPLAYMATVIRNADTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy5G388000.3.p pacid=40075251 transcript=Brasy5G388000.3 locus=Brasy5G388000 ID=Brasy5G388000.3.v1.1 annot-version=v1.1 MAAAEDEQLLLLHLKLAFLALEPPACVLALARKAGGGSVTPHIQNFILESCIGTNVGQIQDYTYVKIILKKVITEAELSSDIVIDGLYEEFGRCMSSKANNSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVARLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCFELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDSQLVKESKNKVECKYLSWEEASESDLLDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDGQCETVNVGRDEEPVNEVPWNGATQGPLAYMATVIRNADTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy5G388000.2.p pacid=40075252 transcript=Brasy5G388000.2 locus=Brasy5G388000 ID=Brasy5G388000.2.v1.1 annot-version=v1.1 MSMQGQKGQPPPSPAARPRRRQKPVHGCRGGRAAAPPPPEARLPRAGAPRLRPRPRQVGQIQDYTYVKIILKKVITEAELSSDIVIDGLYEEFGRCMSSKANNSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVARLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCFEVILTDGDASTLINMKANMEMNNLYAEDSQLVKESKNKVECKYLSWEEASESDLLDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDGQCETVNVGRDEEPVNEVPWNGATQGPLAYMATVIRNADTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy5G388000.4.p pacid=40075253 transcript=Brasy5G388000.4 locus=Brasy5G388000 ID=Brasy5G388000.4.v1.1 annot-version=v1.1 MAAAEDEQLLLLHLKLAFLALEPPACVLALARKAGGGSVTPHIQNFILESCIGTNVGQIQDYTYVKIILKKVITEAELSSDIVIDGLYEEFGRCMSSKANNSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVARLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCFEVILTDGDASTLINMKANMEMNNLYAEDSQLVKESKNKVECKYLSWEEASESDLLDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDGQCETVNVGRDEEPVNEVPWNGATQGPLAYMATVIRNADTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy5G047500.1.p pacid=40075254 transcript=Brasy5G047500.1 locus=Brasy5G047500 ID=Brasy5G047500.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPTHSTSGSRQHAAQLTQDLEADVVAYGRSFSSGPLLLEREPHQSWLRRLHDKIRSVYAAITCTHPSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRPPRHSTHRQHPRQQDPPDLRHHPRPRLAEQSTPRPPPPDQAGGSSWQHPQSSFDAWTEQSPFQAGGSSWQQQIPAMNFEFCPQTQPQGAYAHQPSLSEPSWGSDHDQ >Brasy5G215500.1.p pacid=40075255 transcript=Brasy5G215500.1 locus=Brasy5G215500 ID=Brasy5G215500.1.v1.1 annot-version=v1.1 MAIFQRKKNTALCFFLALVMTASSLLSSCDGESDEHCFIPLPIPQCDMNLCQQNCGDGWVAHCKHIEPRSSHCCCKRKHRLRGVAAPAYI* >Brasy5G039000.1.p pacid=40075256 transcript=Brasy5G039000.1 locus=Brasy5G039000 ID=Brasy5G039000.1.v1.1 annot-version=v1.1 MRCDPRLPARQARLLIHPSPSLAASCWCVPLAAAAGCCRPEPAPRAAPTAAAIPAVGCCSSAAARPVVGRCSTVAAPLPPPQAPTSGTATTRPRAACPLRAAELLPYGRALPSRRCEPVASRCSTAAPRCPGHRPELRRRALLPRIRALPLYRHKPRRPALLHRPRALPQPAAALFHERSTPPRAASLPTCAVSAVAIAASSSCPVFAV* >Brasy5G034700.1.p pacid=40075257 transcript=Brasy5G034700.1 locus=Brasy5G034700 ID=Brasy5G034700.1.v1.1 annot-version=v1.1 MGTPAGCSSTSSFGNSTLAPHDEFHLPRPGSPPVGRRFEARRGEGAAGSSGGGVGQGLVVAFVVPIVRGCEEGGESGLVRNRCPTRLVAEGRRRHVLAAGQRRRGGQRRNGGKGRRARPGCADMEGIERAGGGGGGGRLRTAGVKEADKATPPWPTRRRSAEEGGDRRGVRLLI* >Brasy5G518100.1.p pacid=40075258 transcript=Brasy5G518100.1 locus=Brasy5G518100 ID=Brasy5G518100.1.v1.1 annot-version=v1.1 MAESTSTAGSHPPPPPKKKEDMGHGLISRRLLLDADFLLPRAEIKCFTSLYKTISRLLLLPEHVGID* >Brasy5G338700.1.p pacid=40075259 transcript=Brasy5G338700.1 locus=Brasy5G338700 ID=Brasy5G338700.1.v1.1 annot-version=v1.1 MSMSDLGCDSEFDIVEESEIIDVNPPVRARGRYEPATAVSAAYALHWIPYAAAVSAVRALLGASHEDLRLRAHQLSCSLGATFFVDNGGQPKPPPPFAACVRFSEAELYVCADRPPLSQALQMQVAVKDASHHPCDWYYDTLGELMLLLVDETGAGPAVMGRAAFESAFALEWVLQ* >Brasy5G132300.1.p pacid=40075260 transcript=Brasy5G132300.1 locus=Brasy5G132300 ID=Brasy5G132300.1.v1.1 annot-version=v1.1 MEVVTGAMGTLLPKLVNLIKDEYCLHKKVRGEIMFLTAELERMEAALLELSEAPIDHPPSKLVKLWARDVKDLSYEIEDSIDRFMVRVDSRDEKKVQSFMGFIYRSVDLLTKAKIRHKVGTEVKGIKSRIKEVSARRERYKLDKVVVQPVGRSIDSLRLSALYKEETKLVGIEERMKELVNMLMDEADEASKQQLKIVSVVGFGGLGKTTLANVVYQKLKSQFHCGAFVSVSLNPNMEKIFKNMLHQLDKHKYSTINEATWGEAQLISELRDFLRNKRYFIVIDDIWNSSVWKTIKHALIENKCGSRVITTTRILDVAKEVAAVFDLKPLSPVDSRKLFYQTVFGVEGKCPPNQLAEISENILKICCGVPLAIITTASMLASKGGDDWLKVYQSMGSGLLDSPDMKDMRRILSISYYDLPAHLKTCLLFIGVYPEDYTIVAEDLIWQWIGEGFVQEEHGRSLYEVGEDYFHELINKSLIQPVDIKSGNKASACRVHDMVRDLITSLSSEENFLTTLGDLQPVSASSKIHRLSVQKRNEDDFKQLATMSLFHMRSLFVFGQDMNLLPALSSFPVLRALDLSYCSNVDNYHVKISCSMFHLRYLWLCNTSITKIPVEIGNLQFLKVLDISQTGIEVLPSEFVQLTQLVYLHIDMSVRLPEGFGNLKSLQDFPGIHVTSPTMLHDLSKLTKLRNVLIKVDEWNDSYGEPFRQCLSNLVNLKTIRINGANLSLDFGGENLSPGPQQLHSIHLINFINCAMPRWMSSLSCLSFLVIHGLKTLGVEDLRVLGSIPSLCDLDIWIVEPTQERHNRLLIDSSYPFQCLTRLKIASRIMELKFAQGAMQKLETLKLRFSVRQTLDQFCNLDFGLENVSSLQHVYVGRWSKPEPGEVEAAEAAVRKALDMNPNKPTLAFSKLLWYAFEVVMMHRSS* >Brasy5G201300.1.p pacid=40075261 transcript=Brasy5G201300.1 locus=Brasy5G201300 ID=Brasy5G201300.1.v1.1 annot-version=v1.1 MDLAVGGGVLKLIFTLVVAIKASASTAKQNKEDCLQIARRVATLQHAIADKAYKLAAARHPDVLEDLRLALCVALEAVRGCQGSGYGVLFRLINAARVSDELRKVNRVVAHRITDVVLVTTMYTNTLVYIMHQHHMEHLRIQNHIMMHHHHMEHDRSHRPLPQMQVQQYGYGNTHNQSLSNQSYAIQAASPSIPERATSSSIPCQIEWRAAATTEDYVDFRREARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLA* >Brasy5G201300.3.p pacid=40075262 transcript=Brasy5G201300.3 locus=Brasy5G201300 ID=Brasy5G201300.3.v1.1 annot-version=v1.1 MDLAVGGGVLKLIFTLVVAIKASASTAKQNKEDCLQIARRVATLQHAIADKAYKLAAARHPDVLEDLRLALCVALEAVRGCQGSGYGVLFRLINAARVSDELRKVNRVVAHRITDVVLVTTMYTNTLVYIMHQHHMEHLRIQNHIMMHHHHMEHDRSHRPLPQMQVQQYGYGNTHNQSLSNQSYAIQAASPSIPERATSSSIPCQIEWRAAATTEDYVDFRREARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLA* >Brasy5G201300.2.p pacid=40075263 transcript=Brasy5G201300.2 locus=Brasy5G201300 ID=Brasy5G201300.2.v1.1 annot-version=v1.1 MDLAVGGGVLKLIFTLVVAIKASASTAKQNKEDCLQIARRVATLQHAIADKAYKLAAARHPDVLEDLRLALCVALEAVRGCQGSGYGVLFRLINAARVSDELRKVNRVVAHRITDVVLVTTMYTNTLVYIMHQHHMEHLRIQNHIMMHHHHMEHDRSHRPLPQMQVQYGYGNTHNQSLSNQSYAIQAASPSIPERATSSSIPCQIEWRAAATTEDYVDFRREARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLA* >Brasy5G201300.4.p pacid=40075264 transcript=Brasy5G201300.4 locus=Brasy5G201300 ID=Brasy5G201300.4.v1.1 annot-version=v1.1 MDLAVGGGVLKLIFTLVVAIKASASTAKQNKEDCLQIARRVATLQHAIADKAYKLAAARHPDVLEDLRLALCVALEAVRGCQGSGYGVLFRLINAARVSDELRKVNRVVAHRITDVVLVTTMYTNTLVYIMHQHHMEHLRIQNHIMMHHHHMEHDRSHRPLPQMQVQYGYGNTHNQSLSNQSYAIQAASPSIPERATSSSIPCQIEWRAAATTEDYVDFRREARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLA* >Brasy5G266600.1.p pacid=40075265 transcript=Brasy5G266600.1 locus=Brasy5G266600 ID=Brasy5G266600.1.v1.1 annot-version=v1.1 RRDPGRPKPTPDSRCPLLAFTFLSQLHPKLNPDLNPSRRPRNLCRAKITAASRRSARDRLSHLRALRHPRLFSPPLQPPRSGLEAPEGRGDDETAVAGGRAGRGGPLRELLDACGEAGVACTAALHRPAPWMTGIPPTKEIRVYFLT* >Brasy5G144900.1.p pacid=40075266 transcript=Brasy5G144900.1 locus=Brasy5G144900 ID=Brasy5G144900.1.v1.1 annot-version=v1.1 MPLLRRGGGPCLCATEPSRRCSRSLRRPQAPRCPSDGDGEHAPPLRGGGGSCRCAAEPSALGTSPPFPRRPRAPRFPAMEIMLRYGGEEEGRAAGTPQRCSCWPRHLLAARRLTTVEDTMSLPPLSRCRCRRWNSCASSTSLSAPALRARR* >Brasy5G417000.1.p pacid=40075267 transcript=Brasy5G417000.1 locus=Brasy5G417000 ID=Brasy5G417000.1.v1.1 annot-version=v1.1 MEYDGVGEEEMGAWERWAGCGEAFGDGRGEWRLTVQHGSDVETHVADFVILCTGKFSGVPNIPTFPPDKGPENFDGTVIHSMDYSDMGAANAAQLIRGKLVTVVGYQKSGLDIAAECANINGAEHPCTIICRTKKWTIPDPYAWGVNIAFFYLNRFSELLVHKPGEGLLFSILATILSPLRWVFSKFVESYYRWAVPMDKHGMVPDHSFFQAISSCLIAILPDRFYDMVDKGSIILKKAKSFSFCKQGVTVEGESVPIKSDVVIFATGYKGDQKLREIFTSSLFRDIVTGSPSNIIPLYRQCIHPRIPQLAIIGYSESIANLFTFEMRSKWLACFLHGVFQLPSIQSMEASIKEWDEYMKRYSREYFRRSCIGALHIWYNDQLCQDMGSEPRRKKGFLADWLMPYLPADYKDIDLKK* >Brasy5G395800.1.p pacid=40075268 transcript=Brasy5G395800.1 locus=Brasy5G395800 ID=Brasy5G395800.1.v1.1 annot-version=v1.1 MGNPGAFHRFISLQALTAFSLLAVFVADVKGGDDVCPLFSCGHLQNITRPFRRQGDPIECGVREYELICSSSGKATIQINTGTYYVTAINYTGSYFWVMDANFHTSSSCPLPMWNHSNGVRYNGKTDSYVCNFCSGGYYSACFANCSRAITNNSVYKPVDCLSANNSHVYVWVSEMRCGVDKLEPYCGYLAMSPLGDNNPNDWLQKQNTSYADITQLIRKGFAVRFPMEYKIQSHVSETINLCLNDSISYFKNQTSGGSIMNWTHAFFWSEIHFLECVTDNYHTTRLILVVKTILSAIDIPKFIFVLCRFVLAPLVVWIFLAYKYWKTRITIDAVEKFLRIQQMIGPVRYAYTDITAITSHFREKLGQGGYGSVYKGVILPGGIHVAVKMLEGNSSCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSSNKSFSWDKLNDIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLARLYPRDNSFVPLSALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAARSSQAYYPSWVYDQLTQQEAGEISPVADMHELEKKLCVVGLWCIQMKSHDRPTMSEVIEVLEAGADGLQMPSRPFFCDEEHIHVEDSYHFASELTAVSEEDEDE* >Brasy5G118900.1.p pacid=40075269 transcript=Brasy5G118900.1 locus=Brasy5G118900 ID=Brasy5G118900.1.v1.1 annot-version=v1.1 MVTAANPEDAVLFAGLSLVLGLACRHLLRGTGVPYTIVLLVLGVALGSLEYGTKHGLGKLGAGIRIWANINPDLLLAAFLPPLLFESSFFMDAHQIKKCMAQMLLLAGPGVLISTFILSTAVQFAFPYDWNWKTSLLLGGLFSATDPVAVVAHLKELGTSKKLNTIIEGESLMNDGVSVVVYQLFYRMVLGRTFSAGSIVIFLSEVSVGAYVQCFLNSNLPNMTTSIQPNWYLL* >Brasy5G295500.1.p pacid=40075270 transcript=Brasy5G295500.1 locus=Brasy5G295500 ID=Brasy5G295500.1.v1.1 annot-version=v1.1 MAQEHMTKSGAPRGRCSTAARKMKTKKARSRRIWEALPAAHNLRRFRRTSRPPSCPAPTSAKSGSGLAPRRPLLLPALARRHSPPTTDGRWPPTTSRPTPPALVARSPPTPAGCSLRR* >Brasy5G172800.1.p pacid=40075271 transcript=Brasy5G172800.1 locus=Brasy5G172800 ID=Brasy5G172800.1.v1.1 annot-version=v1.1 MEMMETEWMIAVQKENQAAEEEGMGMSWKALFLRRVVMADANCRAAHGLLEDLVAAFGEQGPLDTAAAAAAGDGSGEEEETRRALEDASAELGLAAAHIGAARHLALRCCARPSPTDPAPPLSACSSSSAPAPAGDEAEGLLADPEVRRALGLLRDAAKLVRAVHDLVESARGHLGAAEHLRLALGENYDDGRDDAAAAPWLHGPCAGEQLSGVLDVAQAWAHATELAETTEEARDATFAFSDD* >Brasy5G135700.1.p pacid=40075272 transcript=Brasy5G135700.1 locus=Brasy5G135700 ID=Brasy5G135700.1.v1.1 annot-version=v1.1 MDHNVETTTFEEFGNRPLKKTKCCELGVLDDLFPSPSISASSLNSECSISSKSDDQINGSESADHINADSFQSPPSTTKSTVSELTNEEKYSDDDDKPPDEPKHTNDGITQICCFHLFVDYALTELDLCAHLVIEDSSEKEILMKIDQVYVKQCDLMCLLDSAKWLNDDVSTFTIKKNVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTKIVENYLKHDMIHLPINIKHSHWYLACVIVEKFEIQVLDSLCWEHKRVDLTNTLQGLQYHLDILKTQENLCNHNWKDLDVTKWTITEQLRNPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIDFRYNLAAILICWKTNTAQASTTIEESDYSEGDPNDVVMFECIDEDQSKTLNSLSIEKKYQSLITVLSNMSVHDLEGGLYNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEELPMEHDRFNLVVRKIMFDDIQTAKKTKGLISKHYLDMRFWMITDFGRHPNFRKNLDVEQLAYSVLNWPAKDSRTVYILDPAAIDPIYQRNPYAQYVPRLLWIAEHLPKAMSKACPGSAWTENIFLWHQQIINNIPIHNRALSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKVQHTMNVKT* >Brasy5G505900.1.p pacid=40075273 transcript=Brasy5G505900.1 locus=Brasy5G505900 ID=Brasy5G505900.1.v1.1 annot-version=v1.1 MELATGAMSSLLVKLVELVEGEYKLQTGLKKDVESLSRELESIEVVLAKVAEVPLDQLDKQVRLWARNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIAGKIQDIKIRVKEVVDLREKYKVDDVRANPAAANTVDPRIIALFKDQKELVGIEEPRNEVIKRLMMEGEDDGDVLNSKMQLKILSIFGFGGLGKTTLAKAVYDLQQSNIICKAFVSVGQNPNLKKVFMDILLQVDKAESGLNASMLNEEQLIRKLRGFLENKRYLIVIDDIWDKFPWNIMRCALIDSNCGSRVITTTRIFEVAEEADDVYKHKPLSSDTSKELFYTRLSIGKCKITSGQPIEVSEKILQKCGGVPLAIITIASLLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDDEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEEPYKSIIYACRVHDLVLDMICYLSKEENFVTIHGSSNTEHRPSQSNVRRLALQNIAMDEEPNSDNTVIRQVRSFNAIMCSVNRRSFLSSFQGLRILSMERCTFINDGCYHLENLGRLLQLRYLGLLETRIRELPEEIVNLRFLQILDLRGTEIEELPESVGQLRRLKCLRVSYGFTGALGWIGNLALLEEVWLPHVSLEIVKELGKLTELREYGACFRKSDDVIVDSILFNNMMKSLEQLEKLQAIQVSCLFGWVHVDPAYCEGYVLSRHLRRLELQVILEKLPAWINSSSLPNLSHLTLGPNAVETQDVEVLGRFPELIYLNLQVDRDVISPDIMGGGAFPKLRYYATSAYTRFLQGAMPSLECLQYRIWDECDGAKFERDIASIGNLPCLDRVEVDFWCERVTREKGEAALRQAVEVHPNNNITVEVHGV* >Brasy5G505900.2.p pacid=40075274 transcript=Brasy5G505900.2 locus=Brasy5G505900 ID=Brasy5G505900.2.v1.1 annot-version=v1.1 MELATGAMSSLLVKLVELVEGEYKLQTGLKKDVESLSRELESIEVVLAKVAEVPLDQLDKQVRLWARNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIAGKIQDIKIRVKEVVDLREKYKVDDVRANPAAANTVDPRIIALFKDQKELVGIEEPRNEVIKRLMMEGEDDGDVLNSKMQLKILSIFGFGGLGKTTLAKAVYDLQQSNIICKAFVSVGQNPNLKKVFMDILLQVDKAESGLNASMLNEEQLIRKLRGFLENKRYLIVIDDIWDKFPWNIMRCALIDSNCGSRVITTTRIFEVAEEADDVYKHKPLSSDTSKELFYTRLSIGKCKITSGQPIEVSEKILQKCGGVPLAIITIASLLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDDEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEEPYKSIIYACRVHDLVLDMICYLSKEENFVTIHGSSNTEHRPSQSNVRRLALQNIAMDEEPNSDNTVIRQVRSFNAIMCSVNRRSFLSSFQGLRILSMERCTFINDGCYHLENLGRLLQLRYLGLLETRIRELPEEIVNLRFLQILDLRGTEIEELPESVGQLRRLKCLRVSYGFTGALGWIGNLALLEEVWLPHVSLEIVKELGKLTELREYGACFRKSDDVIVDSILFNNMMKSLEQLEKLQAIQVSCLFGWVHVDPAYCEGYVLSRHLRRLELQVILEKLPAWINSSSLPNLSHLTLGPNAVETQDVEVLGRFPELIYLNLQVDRDVISPDIMGGGAFPKLRYYATSAYTRFLQGAMPSLECLQYRIWDECDGAKFERDIASIGNLPCLDRVEVDFWCERVTREKGEAALRQAVEVHPNNNITVEVHGV* >Brasy5G030700.1.p pacid=40075275 transcript=Brasy5G030700.1 locus=Brasy5G030700 ID=Brasy5G030700.1.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQARACFECKNFPEVQVLVEPKEIVMF* >Brasy5G030700.2.p pacid=40075276 transcript=Brasy5G030700.2 locus=Brasy5G030700 ID=Brasy5G030700.2.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQARKKGLRHPKAK* >Brasy5G030700.3.p pacid=40075277 transcript=Brasy5G030700.3 locus=Brasy5G030700 ID=Brasy5G030700.3.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQARKKGLRHPKAK* >Brasy5G030700.4.p pacid=40075278 transcript=Brasy5G030700.4 locus=Brasy5G030700 ID=Brasy5G030700.4.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQARKKGLRHPKAK* >Brasy5G030700.6.p pacid=40075279 transcript=Brasy5G030700.6 locus=Brasy5G030700 ID=Brasy5G030700.6.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAR* >Brasy5G030700.5.p pacid=40075280 transcript=Brasy5G030700.5 locus=Brasy5G030700 ID=Brasy5G030700.5.v1.1 annot-version=v1.1 MEESRPRRAQPARIRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHGGSNNGGGHGKQPRKIPSAPPSKAPSVQTAPSVADESGRREGGGEPDAPAVEAAAAAADGREEQAGGGVDRGEAQLQQHEICVGFGQPETSDLTVMLYGKEGIAVRMNVHRDVLCGSSAFFTDKLSNEGGGRGNGGPPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFDACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVGPLLKRVASDSLNSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSNRCTDGTSDICSELFHSSCRGCIDRLQLLFAEASEAGFPSQVTRQITLETDNLLWLVEILVNQQICDDFVVMWASQSELASLHAKLPVASRHAVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTVLTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAR* >Brasy5G491300.1.p pacid=40075281 transcript=Brasy5G491300.1 locus=Brasy5G491300 ID=Brasy5G491300.1.v1.1 annot-version=v1.1 MEMEGTSAPQQSLSAAPQAPRRRPPPALDRPCRRAGSATVSLARTSGKPNQTGLS* >Brasy5G209400.1.p pacid=40075282 transcript=Brasy5G209400.1 locus=Brasy5G209400 ID=Brasy5G209400.1.v1.1 annot-version=v1.1 MASVGTASAFKMAAIICMTMLVVSASMGRTATGQITCESLCEEACSCVEGSSCPCVPEAACPGSCVPSAPLFPDLCNACKEVAKGICKNECLTGCNANPPPA* >Brasy5G262200.1.p pacid=40075283 transcript=Brasy5G262200.1 locus=Brasy5G262200 ID=Brasy5G262200.1.v1.1 annot-version=v1.1 MAALSAGGPGGVVAGEGSTSSAAAAAATIGPHMVDGEAMWHMSLGESMEAGLYPERVGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGMCKFGATCKFHHPREKAAMATRVQLNILGYPLRPNEKECSYYLRTGQCKFGSTCKFNHPQPSNSMVALRGSVFSPGQSATSPGQHTYSGTVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVHVPGWSPYAAQLGSSSSDDQRRSSSAAQYYAGSCQSETQGMSDNGMISSYQHGSVPAGLYAVQRENIFPDRPDQPECQFYMKTGDCKFGSVCKFHHPKERIIPSPNCALSPLGLPLRSGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGHATSPTGEVPTGRHMLAPVPALSEVPPDNSSGRSRRMTHADTQQKPSTERSTEREAS* >Brasy5G262200.2.p pacid=40075284 transcript=Brasy5G262200.2 locus=Brasy5G262200 ID=Brasy5G262200.2.v1.1 annot-version=v1.1 MVRIYQAVAAARMKGEYPQRIGQPECQYYLKTGMCKFGATCKFHHPREKAAMATRVQLNILGYPLRPNEKECSYYLRTGQCKFGSTCKFNHPQPSNSMVALRGSVFSPGQSATSPGQHTYSGTVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVHVPGWSPYAAQLGSSSSDDQRRSSSAAQYYAGSCQSETQGMSDNGMISSYQHGSVPAGLYAVQRENIFPDRPDQPECQFYMKTGDCKFGSVCKFHHPKERIIPSPNCALSPLGLPLRSGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGHATSPTGEVPTGRHMLAPVPALSEVPPDNSSGRSRRMTHADTQQKPSTERSTEREAS* >Brasy5G021100.1.p pacid=40075285 transcript=Brasy5G021100.1 locus=Brasy5G021100 ID=Brasy5G021100.1.v1.1 annot-version=v1.1 MAAAVPNGRPHGTPAPPPSSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEDAHKYIQIDAGKTFREQVLRWFVCHKIPRVDSIILTHEHADAILGLDDIRVVQPFSPTNDIDPTPIYLSQYAMDSIAMKFPYLVKKKLKEGEEVRRVAQLDWRIIESDLQKPFTASGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDALKRICPKKALLIGLTHEMDHHKDNQTLEEWSRREGINVQLARDGLRIYIDL* >Brasy5G183200.1.p pacid=40075286 transcript=Brasy5G183200.1 locus=Brasy5G183200 ID=Brasy5G183200.1.v1.1 annot-version=v1.1 MDSTPAAPSPTQVGAVAAANPSASSAGMGGSIPAPSTTQDQPPPALPQGLALPEHDPLAPPPQHNPLAMINPDDEHDIENHGGEGQPFLPVQAGNARGWRCCNWAWARGCCSSFGRWISSSCAWPAGCLKSCWDCTRHCICSCIPCTKCCSMSRKGFISFLGTASEMAVMGLLTLNIYAASYFISWAKPEEVCVETGYKMYSKQWQQTVKMAVVGLPFVVFPLTVHCGASEIIAAWRTRSWSRLGCCVDIG* >Brasy5G295300.1.p pacid=40075287 transcript=Brasy5G295300.1 locus=Brasy5G295300 ID=Brasy5G295300.1.v1.1 annot-version=v1.1 MPADPHAAVSPSTAAARNSSSKMQKLLKSAFKRGESLSAALGEETAELSPSAPGRTPSGRRVGRRRRDDTGDRSSRESVELDGSNKEVAALREAKIQSAYEAFPFEEKMKSLLPFPGGGAGESSGRFLSLLLLPRATDGSHTRYKSLEDTLARADAWLSSSRASGVPIRLASVQTEALLTKISGESTAASTVGSLSDHMSNASLYGFEDYHGVDIGVVRAVRLWYAPESPSEIAVEMVLRQGDTRLGFAISRTEEGFIHVSSVAEASTPGAASARSGLLALHAAARRAARLLVVSRVGGEKVLPWMVSTAGDVRCYDTVSLSRKLSLHRHALRPITLHFLAWDRSRDDHGGVVQIASPPVLMLMPPPPPSPQEDDDDDDVDGSGGKHGSSFTFQNIGLPDSWL* >Brasy5G313400.1.p pacid=40075288 transcript=Brasy5G313400.1 locus=Brasy5G313400 ID=Brasy5G313400.1.v1.1 annot-version=v1.1 MEICGTASAAHPRPSRFLCPADDAARKGGVRASTGAWTGRTGGGGQRGSGVSYYGRFFLNWYSRILVDHVVLSLATLAFDGAEIVVKACCCSIRRIWFLHHSCKDPSA* >Brasy5G199800.1.p pacid=40075289 transcript=Brasy5G199800.1 locus=Brasy5G199800 ID=Brasy5G199800.1.v1.1 annot-version=v1.1 MELAVAGLGAHAATAATVAQLLGYVVGLISRINQMANIARQNSECLHLARRVSVIGELLPRLQKRDPEVARALAGLRDTLNEAHNLVGACCSQRKRDAVRDFFNATGHAERFREVNGRIDSHLILVPLLSHLSVTNRLEKLIQTGVPKTMAKSSSAPSRASGSRYHRQMQEPTTTMKPKVRSPLRRVAEVEFTAAEITIVSGNFTVVLSQDQWSGTVYKHNLRRPGGQGGRVKRLNNDKRGPRGVQREDTSVCLCDWYVEEEGMFVCLCDWCVEDDDLSVCLYDWCAVEEL* >Brasy5G125100.1.p pacid=40075290 transcript=Brasy5G125100.1 locus=Brasy5G125100 ID=Brasy5G125100.1.v1.1 annot-version=v1.1 MVQARVSLSPPLPLGLTRIHPPAPPLCAAAGMTSCLAGRAPVRSPRRTLAASLLASPDPSLPGGVGRGCEERGAVAAARR* >Brasy5G296700.1.p pacid=40075291 transcript=Brasy5G296700.1 locus=Brasy5G296700 ID=Brasy5G296700.1.v1.1 annot-version=v1.1 MAVLGLSTAFSPPRGSWIAVRLRQSGAAGRSLSSLRLRRSGSATAVAVRAEVSFVDGDEAKRLVAEEGYTVLDVRDRRQYERAHVKASAHVPLFIENDDNDIGTIIKRQAHNNFAGLFYGLSFTKLNTDFTKMVKDKFSPDAKLLLVCQEGLRSTAAADALEREGFQNLACITSGLQTLKPGTFESVGKTELQNAGKAGLVTIQGKISIVLGTILISLLLFITVFPDQAEKLFELAGIKL* >Brasy5G422600.1.p pacid=40075292 transcript=Brasy5G422600.1 locus=Brasy5G422600 ID=Brasy5G422600.1.v1.1 annot-version=v1.1 MDPSLQQIQVHLFFYMVSSLLRYLRCLPITPLLELSGLLMRFLTRLSIYHKDNWDTTYYDFCSRRTKWVSHGNAVVDFKYKMELIGPSRANTADGGFVMKFLTPATRKKDFKTDTLVLSTDDDISLYNQVKTHTWKTDRCHLYVSYSVLPDALSGFVKVLLQLPNHWRGVAGATIYGLVTARFEKFDIGSTLFSKDFNEAVALEAPPHDDLCSMQGYCCMMVPLTRSVLAVPVGEYLHINGKLRVCGYDELHITMDHRISIDCYDVNTPWIKGGESLSAITIRLSPIF* >Brasy5G368800.1.p pacid=40075293 transcript=Brasy5G368800.1 locus=Brasy5G368800 ID=Brasy5G368800.1.v1.1 annot-version=v1.1 MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGVLPPPPLLAMGRKGGPRDRNPIHPMTGRPLNLEGVTIVDDPNATEGAAEESTEPTLEA* >Brasy5G173900.1.p pacid=40075294 transcript=Brasy5G173900.1 locus=Brasy5G173900 ID=Brasy5G173900.1.v1.1 annot-version=v1.1 MKRSSKWARTCCCWRSTATGGSLAEQRRPSGEWPTGEARRGAEQRIPRRGSRSRGGRRPAGRRRRGAPGRRRRGPRARRRGPSLESAAAGLERGGGAQGRGGGVAERLEGVLVASGIENSPLIVTLLVTCIPNTRH* >Brasy5G192200.1.p pacid=40075295 transcript=Brasy5G192200.1 locus=Brasy5G192200 ID=Brasy5G192200.1.v1.1 annot-version=v1.1 MIVDNIGTLLMWRLKGSTASTSLYYDHIVKASYKAQGLLRRITANLLPVTSRCCTH* >Brasy5G377100.1.p pacid=40075296 transcript=Brasy5G377100.1 locus=Brasy5G377100 ID=Brasy5G377100.1.v1.1 annot-version=v1.1 MGRESSYSKQFRRGNSVNLYVFFSLSVEANLLPSDSGTAGPPDLSEQDISGSSAPNCPSKLMDGRSLRLIYFLVLCLVPLLRHAVAIRFVMDREECFSHNVDYEGDTVHVSFVVIKAETPWHYSEDGVDLVVKDPNGNQIHDSRAKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFSPLFAQILKLDEALYSIQFEQHWLEAQTDRQAILNKNMSKRAVHKALFESAALVAASVVQVYLLRRLFERKLGSSRV* >Brasy5G134800.1.p pacid=40075297 transcript=Brasy5G134800.1 locus=Brasy5G134800 ID=Brasy5G134800.1.v1.1 annot-version=v1.1 MDFGGGVASCRSVAPPSIPKISNNSPCMCYDLLPDWSQKFPNLRCYGASDLASWRIKRSGLKLAHVILFLFLVLASFLAVAATHLNLQLGGPRCGPRRLHGEYDGAAWPSWWSQPVSGVIVHRPTLAHIQYLLRLACFHPPVLFRESSEAGCSKPSLHAGWQPERQLGGNVVFS >Brasy5G367900.1.p pacid=40075298 transcript=Brasy5G367900.1 locus=Brasy5G367900 ID=Brasy5G367900.1.v1.1 annot-version=v1.1 TPDSGVPDHASAVCKRAAPAMTMSGDLALLAGMKRVIGESRVATSAVSLRRSASSSASHPRPRPLACSVSARFSLTMGRNSFHNSFSGKHMTDLEVLSCRFCSNRSLSYTGNGSSSATKEISNGSGDDTMEIQQQNVERAARIGILSLMPRSLVAWGDRGRTSSAASVRLHRRALSFPTPLRHPHIAQAPRICSLVRVPPVACVPPSAPESPLEPPSFWQQVKKFDHLSWKCVISLVLLLGCTAVGYFANKGEQWALFLVDVLDMSNKAYKPTLEFVVLVIAIIVSLSSK* >Brasy5G065900.1.p pacid=40075299 transcript=Brasy5G065900.1 locus=Brasy5G065900 ID=Brasy5G065900.1.v1.1 annot-version=v1.1 MAEGNDEVELEETNSGSGCWPKKKTNDEVLSLLIPIGDEWAEIEYINSYAVLMGYLSMALRGLAFLVVTWTTVILLGGFVSVLGKKDFWCLTVITLAQTVGVFDVFLNEKLSNIWFAYKGLFSTTVCGTLAEPPDNLSCEDTIAYVIIWGLVGLVKAAAQILLFAIILLPLVGLYMLGLYISTALSLWRLIQHDYGNTGNGGTNLKPALDVLYSLASVQGE* >Brasy5G371800.1.p pacid=40075300 transcript=Brasy5G371800.1 locus=Brasy5G371800 ID=Brasy5G371800.1.v1.1 annot-version=v1.1 MAAAARDLRRRRGRAPGVSSAAAADDDGEEHHLNPFLLDAAPSSSRVQFRNVASRARWVEEAGAAEVVDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALVLLDNKDETIGMQDIYKKIAGGNYGCSWDAFQAYKHLKLLGYIIGRYGVPWTMKHSCTSEITDSSKSVAGTDGSFDRANGDCNDITKLFKEMHIDEIYPSFEVHLPNSKFKKSSPGVPSFLICLLRDNPPSRSELETVENKFEGIALKFCHVDNGRVSFLSFHKVLLPSLP* >Brasy5G371800.2.p pacid=40075301 transcript=Brasy5G371800.2 locus=Brasy5G371800 ID=Brasy5G371800.2.v1.1 annot-version=v1.1 MAAAARDLRRRRGRAPGVSSAAAADDDGEEHHLNPFLLDAAPSSSRVQFRFLAERGALVLLDNKDETIGMQDIYKKIAGGNYGCSWDAFQAYKHLKLLGYIIGRYGVPWTMKHSCTSEITDSSKSVAGTDGSFDRANGDCNDITKLFKEMHIDEIYPSFEVHLPNSKFKKSSPGVPSFLICLLRDNPPSRSELETVENKFEGIALKFCHVDNGRVSFLSFHKVLLPSLP* >Brasy5G462900.1.p pacid=40075302 transcript=Brasy5G462900.1 locus=Brasy5G462900 ID=Brasy5G462900.1.v1.1 annot-version=v1.1 MRTGGGGYRPAVQQQQQVLGADAAAAVRHAAGLARRRGHPQVTPLHVACAITMSSSSTSSAPGTGTGAAGLLVRAACPLRLRQQHESAALRLRLDAALERLPVRAPLQSHYSQGHRAGAAPAPKTMVPLSNALVAALKRAQAAAGEHHRRSGKVVAVELERLVASILDDPNVNRAVREASAAAALSSSETGRTACPETVQQPRPVPVVLHQDGKLALSLRCHNSGNQSSQTKQRTGRSAIVGGRGSHRGEGDPPALAPPHQPRSISSKPHHSRITPPSKVHGTHGREPQDHVRRARGTHPATAQGRRPRRSDHRAPVPLRHAEEEASAAAGLDFEDDFASCDSDPGLKITRRRWPRDNRAYAGARLLLEAILKNPHRVVFIDGIDDGLDNHEPENAIKNVITGCNGNGGIGLEDAIVVLSSDGATSSSSSSPPAKRRRGIGGNCGEQIREGSRRRFGLDLNAPAEDEEDHIVVGEEEEEENWADDDAWIMGVVDGVFHFD* >Brasy5G236700.1.p pacid=40075303 transcript=Brasy5G236700.1 locus=Brasy5G236700 ID=Brasy5G236700.1.v1.1 annot-version=v1.1 MIFEEMGIAFSVEPSCILRDKDAYPGGEWLPGAVLNAAANCLSAKPGRSSSDVAIVWRDEGKDSEPLNVVTLEELRKKVCLVANALDSLDLTKGSAIAIDMPMNVNAVIIYLAIVLAGYVVVSIADSFAAPAISTRLKISEAKAIFTQDCILRDDKELPLYSRVLEAKAPMAIVIPARGSTPIKGLRTDDLSWQDFLGRADRTKADIYTTVEQPVYEFSNILFSSGTTGEPKAIPWTHLTPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGVVPSIVRTWKNTDCTAGFDWSTIRCFSSTGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFITGCLLQPQALSAFSTPAMGCNLFILDSNGKPLPQDSAGIGELALDPTLFGSSTTLLNADHHEVYFSGMPEWNAKVLRRHGDEFERTADGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDDILETAAIGVPPVGGGPEQLTIAVVFKDQRPQAEDLNQLKLMFNSALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKELTLAKHSKI* >Brasy5G016800.1.p pacid=40075304 transcript=Brasy5G016800.1 locus=Brasy5G016800 ID=Brasy5G016800.1.v1.1 annot-version=v1.1 MSAATIHASAVLRRRAKGEEADDGDDAYWSAAPRLYDFSTHDRLKLDPSPPPASPPPAPSSPSSPAPAQEPCGHLCLLALQGTGVGWGVRKRVHYVGRVPRRSNADDRPADPPAPAPDAVVQSAAVVQGDEESSSNAKKDGGAGAAVPEAAVAVAGTETKKKKRRRKPGRGRGFSLRPKKRVRRAPAAKAEALEAAAPVAVAKEEESEKQQQEEEEEERKVVVAVKADAEQRRKGKARRGCRLIKRLEEILPEGRKMMDRTAEEEESSQGDSKVALMAAVNKRTRPEPEPEPEESGQDEDDRKAVVATKTERKKTTHRRKKSRRAQEEEPAERKTEKPAAPPKPKAAAMADHRWTEQRYASASASFLAVARAMGASAGEPVQRGELRAELRKRIGDTGLLDHLLKHATGTVLPGGGDRLRRRHNADGAMEYWLEPAELLALRREAGVADPYWVPPPGWKPGDPVSPDACALQAKRQVEVLAAELAGVKRHMEQLVKANQGMVSNEVKSEAAKAYISHEPYQEKYECMMKANVNLEKKVLSLEDKYASAARSNGKLEQEVLSLKEKYEAVLEKNTMLESQMAALSASLLSLKENLLLQNDHEQERLLLQNGDEQEGLLLLNDNGDQQPQQQLLLMGPEQEPGGDHLLCIRESREADEEESNGDGAGNQIVDAGDGAATSSGGGGGKRTSRKCSVRICRPQGTFQWPDGQPSSPLTPTAAAMDGDGGLALPPTPPSASSTNAASAKLLLLPAPASPPTPSAAGTRDADLQAAAVQPESSHDLQLRQSSSWPCGATAGLPESKKTALEAGGVGTELALATPSN* >Brasy5G215900.1.p pacid=40075305 transcript=Brasy5G215900.1 locus=Brasy5G215900 ID=Brasy5G215900.1.v1.1 annot-version=v1.1 MVVHFCPLSSFFLGPPMAELKEVCWLDICGRQELSQLTPGVTYEVVFEVMLKQGSSGWVVPVNLRLKAPDGTVIQERQESLQDKPIERAWLQLKAGDLEAHRGQSGELEVSLFEHSVYWKKGLVVKGIKITPKE* >Brasy5G339500.1.p pacid=40075306 transcript=Brasy5G339500.1 locus=Brasy5G339500 ID=Brasy5G339500.1.v1.1 annot-version=v1.1 MAGEKSQPAYHHQPLEEPQEEEEAPVDDVPDDSAPAAAPAAARAPHDVHRQHEYFSMSTMPADYAPAGAPLPTSSDEALAHQLHMEELMDQDPENQGWRSQVNGGWGVALDLPETYVLTAGSAGLSLTARNPAPTPMSRIMNTRELRAAEHRLWSTAAIGLPSATTPPPAAATASAGWNPSAAAAATALGIQVAPPAAEDDFVSKKRRDLLADANSPTIFAGMEEEDDNGFYEFIIPNPDDDEKPEQAEKEGLHESLQQCLTPRSDRGNNNEKPYNDNNSTSPLAVAAAGEEEEEFCLDKFCDKWGISPSHPDLHPDDSGEAGPSTKKRTKVPPLADDEIPKFGCGICMETLPVLDLFHGLPCKHKFCAPCMSTYVEARIRAGELPIPCPDPSCKGGDGGKVVLHPEKCKKAIDYAAFSDWGARITESALLPSRRAYCPNPRCGVMLETAACGGGQDQEPAKAPCPACKHLLCATCGQEWSADGPEGAHDCAHGPDAVAVKKLAAEQLWQTCPKCKMYVEKIAGCNSMKCRYVLF* >Brasy5G001000.1.p pacid=40075307 transcript=Brasy5G001000.1 locus=Brasy5G001000 ID=Brasy5G001000.1.v1.1 annot-version=v1.1 MAALQPSFPSTLKNSCNGLKFPKTALPPGFCGISSPQDVQDRNASLTSSIPKAASAAQSAAEPVKPRQTKHTIDPTSPEFLPLPSFEECFPRSTKESSEIIHEESGHVLMVPFRRIHLTGDQKHFDTYDTSGPQNISPRVGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMLYCAKRENLSPEFVCSEIARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRHDVKTGVISYKIASHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITDDIRKYADEHGYGTVEEAVREGMNAMSAEFSAARKTISGEQHGETGGEIYVPQRAHK* >Brasy5G155000.1.p pacid=40075308 transcript=Brasy5G155000.1 locus=Brasy5G155000 ID=Brasy5G155000.1.v1.1 annot-version=v1.1 MATTSPRSISNSGPDDDDSTPAMHRLRRLSLHLLQPSSDPDCPASSQLAPAACAGRRRLEADAAALAAYMRGRHRAMQARVYEFYVARPELQTPVELPTAAHRELCFRQMAALVRDAGVRPLSLMAADPAEYFAVMEAVGGLDISLAIKVGVQYSLWGGSIINLGTKKHKEKFFDKIDNLEYPGCFAMTELHHGSNVQALQTTATFDPVNDEFIIDTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHVVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVARDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVGVLKVAVTIAVRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASAYAFHFARGYLVDKYSEMKKTNDEDLSADVHVLSSGLKSYITSYTAKSISICRESCGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFKGGTLSVTWSYLRESMSTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHMKTLGGFGAWNRCLNHLLTLAESHIESVILARFIEAVKSCPDEKTREVLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLVDYLSYQVRLVARELVDAFDLPDQIIRAPIGMQSEAYAQYTQFVGF* >Brasy5G155000.2.p pacid=40075309 transcript=Brasy5G155000.2 locus=Brasy5G155000 ID=Brasy5G155000.2.v1.1 annot-version=v1.1 MTELHHGSNVQALQTTATFDPVNDEFIIDTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHVVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVARDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVGVLKVAVTIAVRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASAYAFHFARGYLVDKYSEMKKTNDEDLSADVHVLSSGLKSYITSYTAKSISICRESCGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFKGGTLSVTWSYLRESMSTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHMKTLGGFGAWNRCLNHLLTLAESHIESVILARFIEAVKSCPDEKTREVLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLVDYLSYQVRLVARELVDAFDLPDQIIRAPIGMQSEAYAQYTQFVGF* >Brasy5G067700.1.p pacid=40075310 transcript=Brasy5G067700.1 locus=Brasy5G067700 ID=Brasy5G067700.1.v1.1 annot-version=v1.1 MASSASILGAMLIAALAAGCAVDAARFTITNKCSYTVWPASIPVGGGVRLDPGRTTTLDVAAGTPAVRIWARTGCSFDGSGRGSCKTGDCGGKLACAAGGKPPATLAEFTLGSGSGSRDFYDVSLVDGFNVPVSFAPAAGSGCHAISCAADINARCPPELKVDGGCASACLKFNTDRYCCQSGPAKCQPSDYSRFFKGLCPDAYSYAFDDKSSTFTCAAGTNYQITFCP* >Brasy5G331200.1.p pacid=40075311 transcript=Brasy5G331200.1 locus=Brasy5G331200 ID=Brasy5G331200.1.v1.1 annot-version=v1.1 MQPTTREMQAMAAAAAGAAQISMDDLRNNGHAGPGGGGGGVHDDFLDQMFGSLPPSAWHELAAAAASAKPPEDDSGMQQQQFGGPYDESVMLASRLRLYQISGGGGPGSAGAAAKQMVLQQLPDLRQGGHGHGHHMMLQGTMGRGGDSLPLTLGNGGSGGDVQALLKAAANSAGGEAGVFGGFAGSLQQQQQHFQPHPQQQTAPMPSQTFGGGAASGGGAQPQAGAGGGGGGAQAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGAGNGGGPAAESDSLTVTEQQVAKLMEEDMGAAMQYLQGKGLCLMPVSLASAISSATCQLRPPSGPAFPHHLNAAMRQMHDGAVPASPSMSVLTAQSAMAPNGAGACAADGGAEASHPKDAASVSKP* >Brasy5G331200.4.p pacid=40075312 transcript=Brasy5G331200.4 locus=Brasy5G331200 ID=Brasy5G331200.4.v1.1 annot-version=v1.1 MQPTTREMQAMAAAAAGAAQISMDDLRNNGHAGPGGGGGGVHDDFLDQMFGSLPPSAWHELAAAAASAKPPEDDSGMQQQQFGGPYDESVMLASRLRLYQISGGGGPGSAGAAAKQMVLQQLPDLRQGGHGHGHHMMLQGTMGRGGDSLPLTLGNGGSGGDVQALLKAAANSAGGEAGVFGGFAGSLQQQQQHFQPHPQQTAPMPSQTFGGGAASGGGAQPQAGAGGGGGGAQAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGAGNGGGPAAESDSLTVTEQQVAKLMEEDMGAAMQYLQGKGLCLMPVSLASAISSATCQLRPPSGPAFPHHLNAAMRQMHDGAVPASPSMSVLTAQSAMAPNGAGACAADGGAEASHPKDAASVSKP* >Brasy5G331200.2.p pacid=40075313 transcript=Brasy5G331200.2 locus=Brasy5G331200 ID=Brasy5G331200.2.v1.1 annot-version=v1.1 MQPTTREMQAMAAAAAGAAQISMDDLRNNGHAGPGGGGGGVHDDFLDQMFGSLPPSAWHELAAAAASAKPPEDDSGMQQQQFGGPYDESVMLASRLRLYQISGGGGPGSAGAAAKQMVLQQLPDLRQGGHGHGHHMMLQGTMGRGGDSLPLTLGNGGSGGDVQALLKAAANSAGGEAGVFGGFAGSLQQQQQHFQPHPQQQTAPMPSQTFGGGAASGGGAQPQAGAGGGGGGAQAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKVSKNEPEIVLAASFDSHSERSEDEIKLPLGFFGWKVAAFLAIVHSYTTVDQFNARGRLK* >Brasy5G331200.3.p pacid=40075314 transcript=Brasy5G331200.3 locus=Brasy5G331200 ID=Brasy5G331200.3.v1.1 annot-version=v1.1 MQPTTREMQAMAAAAAGAAQISMDDLRNNGHAGPGGGGGGVHDDFLDQMFGSLPPSAWHELAAAAASAKPPEDDSGMQQQQFGGPYDESVMLASRLRLYQISGGGGPGSAGAAAKQMVLQQLPDLRQGGHGHGHHMMLQGTMGRGGDSLPLTLGNGGSGGDVQALLKAAANSAGGEAGVFGGFAGSLQQQQQHFQPHPQQTAPMPSQTFGGGAASGGGAQPQAGAGGGGGGAQAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKVSKNEPEIVLAASFDSHSERSEDEIKLPLGFFGWKVAAFLAIVHSYTTVDQFNARGRLK* >Brasy5G242400.1.p pacid=40075315 transcript=Brasy5G242400.1 locus=Brasy5G242400 ID=Brasy5G242400.1.v1.1 annot-version=v1.1 MSGHLRSTSLPSRPHSSEIKVEEELHSLKNCFSWQPASIDKSSDGLRKLAYAYNCVEEIVRLPRSRVDLCSSKQKKVVEKELEQSLWLLDICNAMQESFAELKMSIQELQLILKRGDHAAVNLKIESFVRSAKNIQKCFKKNSRKSTSEGFNLVKLLAEAREMAFSLLESASYLLPKQIATSNYSKWSLVSKSFQNRRVVCEEDQLQALECRIGDLENGARFLFRRLIQIRVSLLNILSSSLCHVALVPCDWHPPFKGFANFVYTRLVCMRDQIYIKIVD* >Brasy5G060300.1.p pacid=40075316 transcript=Brasy5G060300.1 locus=Brasy5G060300 ID=Brasy5G060300.1.v1.1 annot-version=v1.1 MFGSHMSVRTHLVPLGSRANPVSASRTSNSESPTNKGVYLYISWPACFAPSRDSALEKLGYLRMAPFSASVASSLCLRTFGFSQSQLSFGKFACNPNYLPMAPSSASGASSLCLRRTFGFSQSQLSFGQFVADRHDAVLLGQRRESLSASVRPKQIQLLIRPACTAPYLAVPESEPDTKKLFTGREMLKHAVRGMKKEPKNKPHYIQKMMDALVRLKELATDGDKREAMRNSMGLLSDVMTPLSSVPHRTDHGAWSGLAAASLELMCLLLDDPSPSPSPSQKREMEINQEKIKKSMNGIIECDKCDEQLRTLAKKILAQVGGKH* >Brasy5G060300.3.p pacid=40075317 transcript=Brasy5G060300.3 locus=Brasy5G060300 ID=Brasy5G060300.3.v1.1 annot-version=v1.1 MFGSHMSVRTHLVPLGSRANPVSASRTSNSESPTNKGVYLYISWPACFAPSRDSALEKLGYLRMAPFSASVASSLCLRTFGFSQSQLSFGKFACNPNYLPMAPSSASGASSLCLRRTFGFSQSQLSFGQFDRHDAVLLGQRRESLSASVRPKQIQLLIRPACTAPYLAVPESEPDTKKLFTGREMLKHAVRGMKKEPKNKPHYIQKMMDALVRLKELATDGDKREAMRNSMGLLSDVMTPLSSVPHRTDHGAWSGLAAASLELMCLLLDDPSPSPSPSQKREMEINQEKIKKSMNGIIECDKCDEQLRTLAKKILAQVGGKH* >Brasy5G060300.2.p pacid=40075318 transcript=Brasy5G060300.2 locus=Brasy5G060300 ID=Brasy5G060300.2.v1.1 annot-version=v1.1 MAPFSASVASSLCLRTFGFSQSQLSFGKFACNPNYLPMAPSSASGASSLCLRRTFGFSQSQLSFGQFVADRHDAVLLGQRRESLSASVRPKQIQLLIRPACTAPYLAVPESEPDTKKLFTGREMLKHAVRGMKKEPKNKPHYIQKMMDALVRLKELATDGDKREAMRNSMGLLSDVMTPLSSVPHRTDHGAWSGLAAASLELMCLLLDDPSPSPSPSQKREMEINQEKIKKSMNGIIECDKCDEQLRTLAKKILAQVGGKH* >Brasy5G299300.1.p pacid=40075319 transcript=Brasy5G299300.1 locus=Brasy5G299300 ID=Brasy5G299300.1.v1.1 annot-version=v1.1 MGEGMAAERQLVVVVEGTAALGPYWHTIAAEYVEKIVRSFCAAQLSGQKLAGVPPELALVVFHTHGPYSAFVVQCSGWTKDMDTFLSWLSGISFSGGGFSEAAICEGLAEALMILQGSPSNNQNHQNHELQKHCVLVAASNPYPLPTPVYRPFVQSGDHKKNNEVTKESCLADAEAVAISLSQCRVSLSVVSPKQLPTLKAIYNAGKRNPQASDPSVGHAKNPHFLVLLSESFMEARTALSHPSPGNLVPNQTITKMDIAPAATVPGPTSNTNPSVNGSMMGRQPTANIKVEPTTITPMVSAPAFSHMTPISNVTSQGVSALQSSSPSIISQETNVANEILQEHKPLVNPIQQQVRPGGPANVSILNNLSQHRHSLSGATSMGPNMGATPIQVHMSNMISSGMTSTPSVISSMSGTVQPTGAQQLVQNTALGSFGSNNSTVSGNSNVAVSSSLANIQNNMATAQSVPPMAQGGLMSGSHGSQSGQGGIGTNQNMISSLGPTAISSAPAMMPTPGMAQQAGVNSLSVNNSSAMNMPMPQQPNGQQQSKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASDTLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVSTQQPPMQQQLQQQHHPLHQQQLQQLQQQQLQQQHMQMQPQGQQLQQQQQQIQQQMQQQQQQQQQQQQQQQMQQMQHQQQQQQQQIQQQQQMQQMQQQQQPQQLQQQPQMVGAGMGQQQFMQGHGRAVQMMQGKIAPQGPSSMSGGGYLS* >Brasy5G250500.1.p pacid=40075320 transcript=Brasy5G250500.1 locus=Brasy5G250500 ID=Brasy5G250500.1.v1.1 annot-version=v1.1 MIQTGYYTTSIPFSVGGHDWVLRYYPNSFKETAFYIPGYMSVYLILDSADAKDVKAKVSFGILDKDAVPLPSYSCTTTDWIFQRKGSGFGFLNFVKHEDLERSVHLIGDSFRIRCDVTVVTKIRREETKGIQYVEVPPSNLHQNLGDLLKSMDGADVTFQVGVQKFPAHRCVLAARSSVFKAELFGARKEKTGSPIQINDMESDVFEALLYFLYTDSLPPVTETTMAGHLLVAADRYNIERLKLICEEKLCNHIDANMVATTLALAEQHSCHGLKEACFDFLASPSNLEAMKARDGYEHLKTSCPSVLKELIARLLSVELKGVKDIIMSI* >Brasy5G488700.1.p pacid=40075321 transcript=Brasy5G488700.1 locus=Brasy5G488700 ID=Brasy5G488700.1.v1.1 annot-version=v1.1 MGTSCIRFFILLLLSSFCKSSDQLTHTKPLFPGNKLVSEGGDFALGFFSMASSNRSLYLGIWYNNIPERTYVWVANRDNPITTGSSSTLTITNSSGMVLLDSNGHSVWTTMSNSTAGGDGAHAVLLNSGNLVLRMPNAMVIWQSFDHPTDTILPGMRVMLSYKAHVGARLVAWKSPDNPSSGDFSWSDDPRSSIVQMVIWNKTRPYCRINVMKGVSVSGGTYMSNSRYILYSTAINLGDELYLMFTISEALNWNNHSSSWTIISGRPAAACDLYASCGPFSYCDFSGATRTCKCLDGFEPNGLDFSRGCQRIVALECGKQSHFVALPGMQVPDNFLHIPNRSFHQCAAECIRNCSCTAYAYTNMSSIGALADPTRCLVWSGELIDTGKSTYGARICISGLPILLVLQFEKKSSSIKIVLPIIACLLLLTCITLVWICKYRGKPRPKEIQKKMMLEYFSTSNKLEGENTEFPFISFEDILLATNMFADSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSEQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLVYEYLSNKSLDAFLFDVARKYELDWSTRFKIIKGIGRGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTNRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITHAWRLWEDGKATELADSSFVDSCPLHQVIRCIHVGLLCVQDRLDDRPLMSSVMVALENESAVLPAPKQPAYFALCHCEGGEARESIANSANAMSITTLEGR* >Brasy5G014900.1.p pacid=40075322 transcript=Brasy5G014900.1 locus=Brasy5G014900 ID=Brasy5G014900.1.v1.1 annot-version=v1.1 MRIDGGEPRVCYLTGSMDPLIDKLTGQEDRPTVVEELLRDLVVLKEGFCDKLALNERRETAAQAGVWMKHVRELVFDIQDWADEKPEIAAARREEVASFRTQIQEARERCTRYRLLREDLDRPDAVLASTEDQFSRLLEDLHRDLEGLKKDILELEEERRQGHWQGHWQVRAWHLQARELVHTVMEWIDDNPANDDMGRKVTRHFKTQIQRERARRRARFRLPAMLVEPQGHLNRSHHLVDGDAMGKLVEHPANGQDRFRKVVSIVGKEGIGKTTHAKEAYAKLGGKFQCRAFVTAGQSRSIKAILMDIFRQVKPEATISDDWTGPPGVQQVITKLRNHLGTMSYFILIDDIRSTYAWKVISSAFPDKNHGSRVLTTTCSVEVANTCSLCPTDVVQEMLGLRLGASVSLFDREVQRASRSLFDTEEEMTVSNEILRICSGMPLAITVAAGLLSRFPVLEEPEILQKYILSALEQFSTSEEMKIILHISCAALPASVKSCFLYLSIFPEHYTIKKDHLIRLWIAEGFISRRYKERRDGEIVDEAGIWEEGMWGRGERYFNELISRGLIQPVFGFEDDQAVGCTVHGVILDFVRSMSSKENFVTVGADLGSGPLPRIIDAIRRFSLDCRDNGDNADTLASRTPFLSSMRSLAVFGDTEWTPFPTDFEDSEVKPDLGNTEVVSVLTSFTFLRVLDLEDTGNLRSHHLKGIGGLILLRYMRLGGAGIHELPEEIGKLEHLETLDVRRTNLKTLPASIVGLKMLVNLLIDGAVELSSNILGMQGLEEVSVIGVSSSKSLHEVIGLLRGSQRLRVLGLSLDRLGQSGDSERAIFSFFMEVANSTLESLSLHCIHRGLHGQLPVSYKNQMRRFEMVFTGPRRDVPWMVATHLEIELCDLKEETIRLLGMASHLRFLKLVSSGNGGRRKRRTIRCLSEEAFPCLQVLWFACKDGGTQLVFEPLVMRQLQRLRLDFMAREMIAMCRSDGFGIKNLRGLVQVHVTIDCEGATVSEVEDVESTIRSEVDYARKARMFTKTKGEGNFLLHYQVPTPEISREHEHKMVESEGSKKRIGPLKKMKNLFTSSVPRIKTAS* >Brasy5G517500.1.p pacid=40075323 transcript=Brasy5G517500.1 locus=Brasy5G517500 ID=Brasy5G517500.1.v1.1 annot-version=v1.1 MCGILAVLGCADDTQGKRVHVLELSRRLKHRGPDWSGMHQVGDCYLSHQRLAIIDPASGDQPLYNEDKSVAVTVNGEIYNHEQLREQLSSHAFRTGSDCEVIAHLYEEHGENFIDMLDGVFSFVLFDTRDRSFIAARDAIGVTPLYIGWGIDGSVWISSEMKGLNDDCEHFEIFPPGHLYSSEKGGFKRWYNPPWFSEAIPSVPYDPLTLRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVAVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAREVADYLGTMHHEFHFSVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEELHRETCHKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMIRPDLGRIEKWALRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAESNVTDKMMSNAKFIYPHNTPTTKEAYCYRMIFERFFPQNSAILTVPGGPSVACSTAKAVEWDAQWSGNLDPSGRAALGVHLSAYELEHLPATVVAVTSKKPRMLKAVAPGVAIES* >Brasy5G288300.1.p pacid=40075324 transcript=Brasy5G288300.1 locus=Brasy5G288300 ID=Brasy5G288300.1.v1.1 annot-version=v1.1 MVWLEAIRRDQSKPGKHLLWRFISPYVFVQLVPVAMAWVWKLFTERLDGRQVIFDDEPFDRINEAELNQVRQLQGAPWDEEPAHERYVLRDDEDGIEEIAEEIFDLLTLCIWQPLQNGYVNCPFCNRRVYPGFMAVFQHSRYYEPADPDAGNKKAKHVGLC* >Brasy5G094300.1.p pacid=40075325 transcript=Brasy5G094300.1 locus=Brasy5G094300 ID=Brasy5G094300.1.v1.1 annot-version=v1.1 MHFLSSSGAHALEPSDAARAKPTARSFHYHRSAAFLTGLDARFRERGTRWALLIFLFLFPTCFPLFIFLLRAGPSRWHFRWHFRWRFHSAASGAALRRTRNSTRGAGVAGVIPSGGVVGVVSLHGSADIMKSFEDEAAPEEDKFGDLIHRRHLSRRKSLEVQIPVLDNVGQIAPGVDNVMWFFAPQSG* >Brasy5G158900.1.p pacid=40075326 transcript=Brasy5G158900.1 locus=Brasy5G158900 ID=Brasy5G158900.1.v1.1 annot-version=v1.1 MGKKKRTGGRTLSTPKAAAASRTRRETSRSRRLVAQIDPRSKATRARASTQGSGLSAPAAASCTRREAEEHGGALDGATGRTRLWLLHLTAHIIHTSIYLLCSRLSSTKREAVHGAARWTRPRPTAPTSCAEGGEACSSSVPGGAVTRMAPAVKQIKLPRRAGGLTASHRAHTSRCHRRGDIRARQLTHEERLLHARPQTHQAQDGRTEGKNPLRHDNTSLVRPRSRTARSRTRRRSAAGALREEPARQAAASAQGGGEEED* >Brasy5G465400.1.p pacid=40075327 transcript=Brasy5G465400.1 locus=Brasy5G465400 ID=Brasy5G465400.1.v1.1 annot-version=v1.1 MPTQGISSSVSSHGLPPDLAGPATPAAPPPPAMATDQAAPSSPLWSDGLPPELAGLVIRRLPSLADRTRFGAVCRRWGLAAQQQAPTLPAPLPWISSSFPTLVFQSFPDGELHDLQSAKPSRSLTCRGPSENWLLFFGPGSINFLKNPLSGATMPLPAGNLRNFELLLLLRKFIVCSDELVVAMAGDPLIACCRPGMSSSWSTAAYAAGHERDYDYRYQDIAFHRGDVYGMTTMGNLQVHKISEDSATGEMVLSNAKQVIKGSDTWFGPGLKKFFLVVSRGDLLVKWNDTKSSSFGYNSGPPFEVFKADLEMSRWSEVSSLGHQAVFVSGMCSKAVSTSGHGDYLHGNCIYFMEYETRLGAIVCGMHDLRDNIYHKAHTLECIGHDPAWFFPHK* >Brasy5G302400.1.p pacid=40075328 transcript=Brasy5G302400.1 locus=Brasy5G302400 ID=Brasy5G302400.1.v1.1 annot-version=v1.1 MSTKQGRRPVAFSRVRRRCSGECAGAAPFGGMWRGGSDPVVEAGGAVVADWGGWWIGGRLLRSARWRKSWRRGEKIGEEVPSPPPFFFPLWLTTGSLCSTLTSTKLEKHRAPKHTGKEAKERGSHIEARGGDHLRRGGLGLPELRKKAPAAGVEEEEDEAELLMCSVRRGSLGGGGSTVRSAAAGVGRGAADGGGPEEGAGTVTGVLWQVGKWQPRGHPSRWIGYPARAQRQVTACPDPQDPPYIFIGRLAAILGVGRAEHAEISGGKKLGGLASRAKLHSARALPRRRRPPRALRRRLPRYLPAPLPPPRDLSPPPLPPLPRLHWDRAALGAAAAASSPWGRVAAAPAPTAPGPSLPSTSRPSLSSDSCSKFDHCAVIRRGRSGPRRPIDSIGGLGHQVREGSVLSLKKKGEGRLLQPTVQAPNFVVADDLLRLPERAAEWSWRPPHSRSSWPWAGGSSTLCPQLRDHGQACPDGLRSGSPVPVRLFSVAEDARASYGIADCGNS* >Brasy5G256100.1.p pacid=40075329 transcript=Brasy5G256100.1 locus=Brasy5G256100 ID=Brasy5G256100.1.v1.1 annot-version=v1.1 MVGRYPEEDHLGRLSTAEQVQLLGGPRPVCGRCGLLSSKHSIKIDPMRCLVRLIRWAIRGLLLLLRARSILGTWEDGHMNLTKLVVVFALGICFASVAFGMRRSWSGSN* >Brasy5G200300.1.p pacid=40075330 transcript=Brasy5G200300.1 locus=Brasy5G200300 ID=Brasy5G200300.1.v1.1 annot-version=v1.1 MAGKFSATYLFLPLCNIVISKTRECKLQSATVNYPRNRSKSRKFKQQAAGCPGAVDWAGSGGDGGADFGALISMIMQAALTARQNRRECEQLAHKVLMIAELLPHLQLQDPDSRGRAAAGRAGRHSPGRA* >Brasy5G457000.1.p pacid=40075331 transcript=Brasy5G457000.1 locus=Brasy5G457000 ID=Brasy5G457000.1.v1.1 annot-version=v1.1 MDLDLAVQLRETVPGDDFAFTTADTRAAFLLLAHLPGYSKDEIEVRVGEGGREIAVMVGARKDDDGGVAVEASAGGRRVRVAHRRAVEGFRRDFAVPDGVEVGRISVGFEEDDELLVVVLPKLLPPPAVDDDEGLDVVSTDGSECVSEVELSDGGGSETSLEMEQEDWVDVESEPELEPLPWDVPVETAVEVEEPPLPPPPRDVSVEAEVAVETPVEVEVTVETAVEVEEPPAMVDIECDVVFEAPPVYRELAVETPIEVLEPPPPPPADVPGPPPVDIPCDVVFQPAPAPVVEEPKPPPAVEEPEPPPVEPPEPVPKPLPPPVEPPAPAPEPPPPMEPPAPVLEPPPPPPPPVDPPPTPEPPPPMEPPAPAPEPPPPPVESPPAPEPPPPVDPPPVQEPPPIDPPAEKPKQEPQEAERSGQSGSSSGESDDGGGGGGGGGGRGRRRGGGRGRRGRRRRGRFSMGMVVAPALVLLGLALIAARRRRQQRAGG* >Brasy5G277800.1.p pacid=40075332 transcript=Brasy5G277800.1 locus=Brasy5G277800 ID=Brasy5G277800.1.v1.1 annot-version=v1.1 MQTPCDATDLDLVAAATSVSIGDGNTAKFWHSNWLGGCSLRLSFPLLFAHARRKGRTVFEALNGSKWVDDLRHDLSTKLFLEFTRAWSLIQHADVTLLPGVPDTIRWILTTDGCYSARSAYRLHFEGHIWCEAALWPNCSGIATAVRQDSTSINSFHERMISATKSKHRQGIKSMFILICWAIWRERNSSCFIKDEAREWAFAGAKALRKLLWEPP* >Brasy5G472800.1.p pacid=40075333 transcript=Brasy5G472800.1 locus=Brasy5G472800 ID=Brasy5G472800.1.v1.1 annot-version=v1.1 MWEERKLDPAIAMAAALLCVAMAMSVAVAKGKEEPVTELMKGFTASHSAGSAAGFEPVLHAPNGVFAFGFLRVGESSLDLAVVHLPSSFPLWRATPARTADWARPATLSFDDGRLALSVPGSGNDGVLWSTLNIIGDTVVLLNSSDLVIRRYGGNIRTPWRSFDNPSNTLVAGQNFSGASSTPLVSSNRRFALRMEKTFMALHMEFFYGGGGRGPRPAYWRYTAREAAPENATQPPVYGRVDIRGFFGLYIEEQSVDTIAFDTFVAKNLTAAFRRMTLEDDSNLRAYYWAEDSKAWVSDYKAITDQCGLPTSCGPYGLCVPGAPAKAQCQCLVNATDTAAPQCRAEEAADLCADGKKKKQLEFEVVRRRRVSVAYKEQLPPETNKTAAECEESCAGDCGCWGAVYSGGSGYCYLLDFPVETLVYEEDDGKVGYFKVRKPPPPGRARRRGMSPGATGATVVVALVLVGLAVAGAWEGHRRRWRWRRDDGGDVGMEQELTARPYKDLKSMDSSNNSFKA* >Brasy5G398500.1.p pacid=40075334 transcript=Brasy5G398500.1 locus=Brasy5G398500 ID=Brasy5G398500.1.v1.1 annot-version=v1.1 MHRVLLFRARSATSQSRGIERMETIERKLNYDPVQSLPFLMDHDRGGIKRTWP* >Brasy5G293700.1.p pacid=40075335 transcript=Brasy5G293700.1 locus=Brasy5G293700 ID=Brasy5G293700.1.v1.1 annot-version=v1.1 MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKAPSVRFHSRINMTCVNHETGTVDPKKFGVLANWQRDYTMEHVLSQLKKDMSAQQNRKLVQPPEGTFF* >Brasy5G293700.2.p pacid=40075336 transcript=Brasy5G293700.2 locus=Brasy5G293700 ID=Brasy5G293700.2.v1.1 annot-version=v1.1 MDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKAPSVRFHSRINMTCVNHETGTVDPKKFGVLANWQRDYTMEHVLSQLKKDMSAQQNRKLVQPPEGTFF* >Brasy5G128900.1.p pacid=40075337 transcript=Brasy5G128900.1 locus=Brasy5G128900 ID=Brasy5G128900.1.v1.1 annot-version=v1.1 MAYKKLSELTTKGQSWNIKVKVMRIWNSINPRTDELISIDMILMDAEGEVIHAIIWKNLADTFIPKIKESCIYVFNNFKVDESTKYRPVNNDLKIIMLSDTKVKEVKGLSDRFQEYYFEFASKETLLERQEKDEYCSDVIGLLTQIKPIESRITRAKTDNPRTADMREIEILIPDQSNIMGNLAHSLSDDVVGKQTVLIITSTMVQKFNGLSLKSTSATRLYENLDILESLELQDSYSTEDILPKMMEIDKSTQGTLEQQMFYNRNTLREITEIRHEDPKNQDLVYTALATIDRLQENIQWWYRIRLQISDPIATTSCTLFDEEANRILNKSVTDLLDSLIGKSEEIPKIIQELCGKRLIFRFKLNKQNLTLGTPNYAVKRTFRQSSICSPMKSIVELKAIKKELEDLEKEIEDERHEQKMPSSGGLRKANKRRRASVVLSDDSEDEEPKASMTHTKEKKGHKNTRTVGRKCITRKSNKRRKSPIVLSDDSEVDDTENTEVQDEDSDVEFARKKEKPNDQLEDQVSQKRPKRIRQPSKKYQD* >Brasy5G091300.1.p pacid=40075338 transcript=Brasy5G091300.1 locus=Brasy5G091300 ID=Brasy5G091300.1.v1.1 annot-version=v1.1 MPLNSEETNHVIMPSNQDYCCSTCGLRMLQESKATPISIATTQTINVEGTEFVHGGSMGVELNDYPGSGANNRHSPHSEGTR* >Brasy5G386900.1.p pacid=40075339 transcript=Brasy5G386900.1 locus=Brasy5G386900 ID=Brasy5G386900.1.v1.1 annot-version=v1.1 MGVASLTLLCLVVAAAGMVSSAAGARVPPPLPVLPIPNAAQLAWQRREVIMFFHFGMNTFTDSEWGTGAEAPSLFAPAALNATQWMDAASAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPFHNGAGDVVREFTDAAHARGLDAGLYLSPWDRHDARYGREVEYNEYYLAQLHELLTRYGKVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDAGPDVRWVGDEQGFAGTTCWSTVNRSMITIGATGIEKYLNNGDPRGTDWVPPECDVSIRTGWFWHKNETAKPLSKLLEIYYNSVGRNCVLLLNVPPNATGLVDARDIARLREFRAAVTRIFATDLAAGSAARASSERGAGFAAGNVLLDDAGETYWAPTAEDGRRNGYWIELRRPAAMRARAFNVVRIQEPVALGQRVERHEVYVDGVAVVANGTTVGHKRLHRLPVPVAGKTVRIWFVARRGVPLVSAVGLHLDPFATNVM* >Brasy5G327900.1.p pacid=40075340 transcript=Brasy5G327900.1 locus=Brasy5G327900 ID=Brasy5G327900.1.v1.1 annot-version=v1.1 IEGTYDRYQHFAGAVRNKYQGGASTSNDEDPSNIQSRLREITARSLHNNTDEADASNLEKLEKLLTDALRITEYKKTLAKQNSNGSATGGNSNGPTGEGGKA* >Brasy5G205200.1.p pacid=40075341 transcript=Brasy5G205200.1 locus=Brasy5G205200 ID=Brasy5G205200.1.v1.1 annot-version=v1.1 MARDRMVARRTERRTLVVSLPAHQNPRSAAEHGDRGPAGAATAPDSEPVARRTRSRCASAAIAVLPLDVLLWEILIRLPATAILRCRAVCRSWRRLTADPGFLLAHHRRQPSLPLFVLSTSYDHATNPAGPQRGQPLLGFDDYHHSHKHRFLGDFVLHASCDGLLLISNRRYNNGRVYRRFFIMCNPATRQSAPVQALKAAEPDCINIEALYLHRPSGQYRVLYWRDRKYRTSNGDRVFYVLGVPRARKPRCVLLPAAYRMACTMMDDPDSRPPVMFRGCLHWDPSRCSLDDTVVVFDTVAESFRSMRLPADVATASSCCTRLHDMEGLLGLSCSSDSSTIAKVWVLEDYEREVWSLKYKINFSPESMCNLAKTSSQLVVSREGDMLLYSNSSRSYMILCQGRFLEEFQWPFWGSNLTGHLFKESLVKHAFFPKPGSARTVGHYLFTSL* >Brasy5G495200.1.p pacid=40075342 transcript=Brasy5G495200.1 locus=Brasy5G495200 ID=Brasy5G495200.1.v1.1 annot-version=v1.1 MAFFRCGFTFLAGTGFGAAMSSLRQEGCLRRRHHCCHRHGGGRLGRVAEEPETWEIEKDIKRGIKCPKEGNEEKRAAPNKEVESD* >Brasy5G221500.1.p pacid=40075343 transcript=Brasy5G221500.1 locus=Brasy5G221500 ID=Brasy5G221500.1.v1.1 annot-version=v1.1 MARTSSQSQSSVAGAGGAGGSRPATAGPRGTPAATAGMRRRPGRASSSGAGGSGGGFSGGNNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASSASA* >Brasy5G305100.1.p pacid=40075344 transcript=Brasy5G305100.1 locus=Brasy5G305100 ID=Brasy5G305100.1.v1.1 annot-version=v1.1 KEKAKNRSKTPVKPARQAANQPVTARRPFFLFSISRALLPLDVLPTSLPPLPSHIRRRAARLPVPFSSSLPSTAAASPAKRCGPPSPSRLRQEGRKGVVGGPMAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAERESTFFMETSALEAMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKAGCCSS* >Brasy5G515300.1.p pacid=40075345 transcript=Brasy5G515300.1 locus=Brasy5G515300 ID=Brasy5G515300.1.v1.1 annot-version=v1.1 MGYQPKHGGGGPGAAGGGGGGDLLPRLHSPRVAGGGYMTRRANSFKRCPGGEIELQIGSPRSPRTECVGNPPAEPPEASSSSTYASVGSAAGGGGGLHHQQHLRFRLFKRPGSSGGAGEVVLGLGIRERRKLGNLLFFAFCGVCLILGVAKIWAGGWFGLPGDDLRDLSVSFSSDKRHQVNHFGYMRGKESDRMLMTVESRIGEREGIVAEASDVWSHPSSEHFRQCIVSNSHKKNDSQTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPMAKAPISWSKVNYYRDEILPLLKKHKVVYFTHTDSRLANNDLPSHIQKLRCQVNYRSLKYSRTIEDLGATLVSRMHQDGSPYLALHLRYEKDMLSFTGCSHGLTSDEEEELRKMRFEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALVDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFEDFRKTINPDRMGFVNLVDEFDEGRIPWEEFSSEVKRLHNDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ* >Brasy5G515300.2.p pacid=40075346 transcript=Brasy5G515300.2 locus=Brasy5G515300 ID=Brasy5G515300.2.v1.1 annot-version=v1.1 MGYQPKHGGGGPGAAGGGGGGDLLPRLHSPRVAGGGYMTRRANSFKRCPGGEIELQIGSPRSPRTECVGNPPAEPPEASSSSTYASVGSAAGGGGGLHHQQHLRFRLFKRPGSSGGAGEVVLGLGIRERRKLGNLLFFAFCGVCLILGVAKIWAGGWFGLPGDDLRDLSVSFSSDKRHQVNHFGYMRGKESDRMLMTVESRIGEREGIVAEASDVWSHPSSEHFRQCIVSNSHKKNDSQTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDRYEKDMLSFTGCSHGLTSDEEEELRKMRFEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALVDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFEDFRKTINPDRMGFVNLVDEFDEGRIPWEEFSSEVKRLHNDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ* >Brasy5G515300.3.p pacid=40075347 transcript=Brasy5G515300.3 locus=Brasy5G515300 ID=Brasy5G515300.3.v1.1 annot-version=v1.1 MFHSLEACNPLPDLSVSFSSDKRHQVNHFGYMRGKESDRMLMTVESRIGEREGIVAEASDVWSHPSSEHFRQCIVSNSHKKNDSQTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPMAKAPISWSKVNYYRDEILPLLKKHKVVYFTHTDSRLANNDLPSHIQKLRCQVNYRSLKYSRTIEDLGATLVSRMHQDGSPYLALHLRYEKDMLSFTGCSHGLTSDEEEELRKMRFEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALVDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFEDFRKTINPDRMGFVNLVDEFDEGRIPWEEFSSEVKRLHNDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ* >Brasy5G515300.5.p pacid=40075348 transcript=Brasy5G515300.5 locus=Brasy5G515300 ID=Brasy5G515300.5.v1.1 annot-version=v1.1 MRGKESDRMLMTVESRIGEREGIVAEASDVWSHPSSEHFRQCIVSNSHKKNDSQTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPMAKAPISWSKVNYYRDEILPLLKKHKVVYFTHTDSRLANNDLPSHIQKLRCQVNYRSLKYSRTIEDLGATLVSRMHQDGSPYLALHLRYEKDMLSFTGCSHGLTSDEEEELRKMRFEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALVDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFEDFRKTINPDRMGFVNLVDEFDEGRIPWEEFSSEVKRLHNDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ* >Brasy5G515300.4.p pacid=40075349 transcript=Brasy5G515300.4 locus=Brasy5G515300 ID=Brasy5G515300.4.v1.1 annot-version=v1.1 MRGKESDRMLMTVESRIGEREGIVAEASDVWSHPSSEHFRQCIVSNSHKKNDSQTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPMAKAPISWSKVNYYRDEILPLLKKHKVVYFTHTDSRLANNDLPSHIQKLRCQVNYRSLKYSRTIEDLGATLVSRMHQDGSPYLALHLRYEKDMLSFTGCSHGLTSDEEEELRKMRFEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALVDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFEDFRKTINPDRMGFVNLVDEFDEGRIPWEEFSSEVKRLHNDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ* >Brasy5G081700.1.p pacid=40075350 transcript=Brasy5G081700.1 locus=Brasy5G081700 ID=Brasy5G081700.1.v1.1 annot-version=v1.1 MLSRRLAHGGAAAARLLCGYAAEASGGGGIGGGGSGGAGGGGDTLGKRLLKLIHPKRSAVVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMKLLPGDHAVHLDLVAKVRGLASAEKFFEDVPERAKGPSTCNALLHAYVQNGVREKAEAMLREMAEAGYLTCALPFNHMMSMYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSRKNSVKTAEKVFDLMKDDKVVPDWMTFSLMASIYINAGLHVKGRDALVEMEKRASRKERTAYSSLLTLYASLSDRGNLDRVWSKMREIFRKFSDTEYKCMLTSLTRFGDITAAESVYSEWESESGTRDSRIPNTILSFHIKNGKMEMAESFLQYIMQKGVKPSYSTWELFVWGYLGNDERIDKILECLKKALSSIQKWEPNPQLVAALFSLIEKRGDIEAAEELLVVLRGAGYVTTEIYNSVLRTYYKAEMMPLIIDERMDQDKVSMDEETRRLLKLTSKYPIGEVSTIMS* >Brasy5G081700.2.p pacid=40075351 transcript=Brasy5G081700.2 locus=Brasy5G081700 ID=Brasy5G081700.2.v1.1 annot-version=v1.1 MLSRRLAHGGAAAARLLCGYAAEASGGGGIGGGGSGGAGGGGDTLGKRLLKLIHPKRSAVVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMKLLPGDHAGYLTCALPFNHMMSMYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSRKNSVKTAEKVFDLMKDDKVVPDWMTFSLMASIYINAGLHVKGRDALVEMEKRASRKERTAYSSLLTLYASLSDRGNLDRVWSKMREIFRKFSDTEYKCMLTSLTRFGDITAAESVYSEWESESGTRDSRIPNTILSFHIKNGKMEMAESFLQYIMQKGVKPSYSTWELFVWGYLGNDERIDKILECLKKALSSIQKWEPNPQLVAALFSLIEKRGDIEAAEELLVVLRGAGYVTTEIYNSVLRTYYKAEMMPLIIDERMDQDKVSMDEETRRLLKLTSKYPIGEVSTIMS* >Brasy5G068400.1.p pacid=40075352 transcript=Brasy5G068400.1 locus=Brasy5G068400 ID=Brasy5G068400.1.v1.1 annot-version=v1.1 MPRKRKGSSPPPPGGVKLQRMPLYRMPLSNQKPAAAAAPIPAATVQSEPAAAAASVRAALDNNPLAFTPPSFDLGFGSRPERACANARGDTAATDDSTSFPIEGIKVADGQPAGATVRQLKLPTLENFRESRLLCDQHLLPIPEVGVKAVLSAAKFVLGISSFVDDEPLHRCSEFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMWRVSFAWPVLLITYFHAVSF* >Brasy5G015000.1.p pacid=40075353 transcript=Brasy5G015000.1 locus=Brasy5G015000 ID=Brasy5G015000.1.v1.1 annot-version=v1.1 MVVPLDLAAVNTAIGCINNLVSLLKDHKKQSGEFAGILHAIKIELEIIRLDIEEYEDLRLEEVAHDIVNYINGLWTPGPWGSFILSAVGLDPRIEDIARIKNFMDCIKRVQERLQKRSQPSDKIGSSAATAPGSSSSAESHPSYASEDRLVGISGPKREIVEMLLSPGDGKLRTFSIVGCVGSGKTALARAIYDDASVTGNFQCKAWVVASEYCHARDVVEQILQQLILQREIKDSSNPKSALQRFLEEKRYLVFIDDVQPQKLQWGDIQDAFPINDRGNRIILTTRSCSLATAYSSGVYIYKMPCLDEASSKDLFWKKVCGCSTAPAPSLADGSKSILDKCDGLPLALVSVANYLGGKGIKGSHLTKADCEDVGKELGKFMASDESAFQGIKNTLGQCYNSLPAYDHKICFLFASLFPKGHPINIKRLARRLSAEGLLVSDRNGSECLPKLIDMSMVEPASICSYLDAAKRCQLHSIMLEFGIQKSLSRNLLTLIQKNKPLHNITCPVRRLSVQSSTIVKEFELSALTSLTIFDSELLYFKERKLIQVLVLENCKVPDRKAVDDICELAFLKYLSLRNTGIDKLPTNVRKLLCLETLHIQDTVAVKLPVEIIMLPQLAYLSGKFELLPLAGSTATKELEEFLKNKSKLNTLSGIVVQEAQAGPFETVILHAANLKKVKVSCIAAAAAAAVPSFFPAIGDASAPSGTPADVSAPSGTPANVSASNPSGATDTANVTRTSTIRDHSPREAARNKKRNKKRFGFFTARIGFTGTSAVEDSASDTTPGASITESFPAHRSTSAQILPPAIRNRQETGTTLASRLISCLEKPFTGLESLSIDFNGAPNDFLASLRLQFTVSSIKVRRGLGRLPGPIELRELRNLVNLQLISTGLSSQQLEDLQYLQCLEYLKLAEDHHGSWDGDFSVGQGGFASLRLLCFEAAKHPRVRIVRGAMPRLISLQLLCPDSPHTPMESPLGVDGTAGGTTQIEMESMESPPGVDGTAGASTEIEMESTLPGDDDNTVAAVEMESPLGVDGIKHLGHLNEVILHHSVDDARVEAWKPELKRHKNRPCVKRQPVPAPVTPPF* >Brasy5G272600.1.p pacid=40075354 transcript=Brasy5G272600.1 locus=Brasy5G272600 ID=Brasy5G272600.1.v1.1 annot-version=v1.1 MDDQVNTTRSDLEHMLLDKDAKPRPLPLSIIEGVTDGFSDDLEIGRGGFAVVYKGVLWNGIIAVKKLSNTHILEDKFQEEVKCLMKVKHKNVVRFLGYCADTQGRMVDFEGNFVMADVRQRLLCFEYLHKGSLHDYITDAYSGLEWSERYEIIKGICKGLKYLHDNSIVHLDLKPGNILLDDNMVPKIADFGLSKCIGENQSRVVISKLAGSPGYLAPEFYGGKITFKSDIYSLGIIIAEILTGEKGYTKVENILQRWSSRLDESHGVAQLEQVRVCAEVGKECTEYNPALRPDPQNIIKRLDDIESTDGSNENCVRSSLVAQVGLLTVHDLEQMLLDETAEPKSLPLSLLEGITNYFSDDNEIARGGFAAVYKGMIGNGMVAVKQLSTTLEINEKKFYQQVDCLMDAKHKNIARFLGCCVDIQRKRISSNGKFVTSNVQQRLFCFEYLPKGSLHDYITDASRGLDWEKRYKIIKGICEGLHYLHQNRIIHFDLKPTNILMDENMMPKIVDFGLSRCFDENQSWAITTKLIGPVAYLAPEFYSGKVTLKSDIYSLGVITMEILTGEKGYTDVENVLESWKDRLGKSPGDMPIQLEQVRVCTEVVKQCTDYDPTMRPDPQTIINRLDETETTIRSTKTSGSHPSVAQHMLLTLHELEQMLLDETAEPTSLPLSLLEEITNCFSDDQRIGSGGFAVVYKGMLGNAAVAVKKLSAAFINDRIFQQEIKCLMKAKHKNIIRFMGYCADTHKEKVSSFYRRIFMADVQAEKHRFLCFEYLPNGSLDRYIADASCGFEWEERYEIVKGICQGLHYLHRNRIVHCDLKPANVLLDDNMVPKIADFGISRCYYASVTRTITKRMMGSMGYGPPEFYSGKITFKSDIYSLGVIIIEILTGEKGYHEVDDVLEIWSNRLDLSQGGIQLEQVRVCAEIGIQCIDVDPANRPSTQHIIDRLVES* >Brasy5G111400.1.p pacid=40075355 transcript=Brasy5G111400.1 locus=Brasy5G111400 ID=Brasy5G111400.1.v1.1 annot-version=v1.1 MANRTDPLARSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTHGGNRRPTPFLCLTLKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTIADVYQYLEPLYNDYRKIRQKLNDGKFMLTHVDEFIDELLTKDYCCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEDGQPMDVDEPSGREKQDHYRARSPTKERDRDRKHERHRRERDHDRDRDYDRDYGRGRERDRDRDRGRERDRERDRGDRDRHRIRDDDYNRDRDRERDRDGRERERRDRDRGRHRSRSRSRSRDRRERDREDGEHRRRRGRGSTSPRGRAEDDGRREEPKKRKEKKDKGTGNGVDSNDPEIIEMNKLRASLGLKPLK* >Brasy5G111400.2.p pacid=40075356 transcript=Brasy5G111400.2 locus=Brasy5G111400 ID=Brasy5G111400.2.v1.1 annot-version=v1.1 MANRTDPLARSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTHGGNRRPTPFLCLTLKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTIADVYQYLEPLYNDYRKIRQKLNDGKFMLTHVDEFIDELLTKDYCCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEDGQPMDVDEPSGREKDHYRARSPTKERDRDRKHERHRRERDHDRDRDYDRDYGRGRERDRDRDRGRERDRERDRGDRDRHRIRDDDYNRDRDRERDRDGRERERRDRDRGRHRSRSRSRSRDRRERDREDGEHRRRRGRGSTSPRGRAEDDGRREEPKKRKEKKDKGTGNGVDSNDPEIIEMNKLRASLGLKPLK* >Brasy5G486400.1.p pacid=40075357 transcript=Brasy5G486400.1 locus=Brasy5G486400 ID=Brasy5G486400.1.v1.1 annot-version=v1.1 MAPLSWRHHTLLQALLSRGPLSEPEFHALFTDITGGKNPATHKQLFVDTLGKINKELDYLNFHLRAGINQYDGTVYYGVVNTIADEESKLGSKYSVPQIAFFKGLLEAIVQEAGNDGTITSIDAFNVRIDNQVIIADSTQDSQSRLPSSITNFSFNQKEKTLDDLIQDRWLSYTSPGKIGLGIRSFLDLRSWFHSNDIPSCEVCNEAGIKASTCPNEGCNVRIHSYCLKKKFSRRKASRACPGCSTEWPQQEGEDDDDEDTNEPEEGQEVPSAPSTVRSSRKRRKGVKAELVEEAERAGPSSVMPRRSSRRAKAEAAEVAQEASSSAVASQPTRSSRRRKN* >Brasy5G514400.1.p pacid=40075358 transcript=Brasy5G514400.1 locus=Brasy5G514400 ID=Brasy5G514400.1.v1.1 annot-version=v1.1 MGEGAAVVVLEAPRPRSPPRYPDMCGRRRMQLEVQIMDREITYLKDELQLLEGAQPVSRSGCLKEVNQYVGTKQDPLIPINKRKHQSCRLYWWVRSKLGVCVSWFCCSCPCLPKCKSPRCFNCSCCNNESCCKSNCSCCSPDCSCFKISSCCKPSCSPCCDLSCCKPNCACFKTSSCCKSQCSCPSPSCCTCNLPSCKCNPCGECKPECSSCSGGCCDCKPSCSCCNQQCCSCVESCSCSCPRCTGCFSCFKFLKCSCAGCSNLCKCSCAQCFNCQSSCCEGQPSCFKCQSSCCDEGGCCRSTSCFSCPDPSCPECSCGCVWSCKNCTEGCRCARCCNPCCDTGCLC* >Brasy5G395500.1.p pacid=40075359 transcript=Brasy5G395500.1 locus=Brasy5G395500 ID=Brasy5G395500.1.v1.1 annot-version=v1.1 MSRGSVHYFFLAHRRSLLQSPSLASDSVPLPMAAAAGFRLGQRVHAAGDPRRAGTVRYLGPVDGHAGDWVGVDWDGGAGGRHDGSLAGRRYFAAAGERSASFARPAALSAGIALPDALRLRYRVDDFTKEEQDEMYVFSTSQKRVSVELVGKNKVREKLKNFGELLCASVSFMGVSSIGAPEELHDLVPNLRQLDLTGNLLSQWQDIFSLCQALASLEVLDLTNNTMENDVVESPLLKNIRVLVLNNCGVTWELVEKIAVPFACLNEVHLIWNKLNIITTPVGKFVKGFDTLRLLNLEDNNIDSWDEIVKLSYLRSLEQLHLNKNMIRHVMYPSNLPSPVPSDGAAVLPFEKLQVLLLGSNEIDDFPSVDSLNLFPSLTDVRISDNPIADPSKGGAPRFVLVARLGKVKILNGSEVSARERREAEIRYVRLVLGKTESTDPEVIKRLHPRFAELKAFHGIEDEKPTSRTLGPQKMASGLISITLRCVGASMGEKQPLTKKLPPATTVGKLKSLCDSFFKLKDIKLRLFLEEEGCPLPQLLEEETASLMELGIGTGATIIVDEES* >Brasy5G372800.1.p pacid=40075360 transcript=Brasy5G372800.1 locus=Brasy5G372800 ID=Brasy5G372800.1.v1.1 annot-version=v1.1 MGEYAAAKTSVWWDIENCAVPRGCDPHFIVQNISSALATAGYSGPISVSVYGDTSGPIAQHVLHALSSTGVSLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLKMRRYNILLAQPPNVSQTLTAAANNVWLWKSLVAGEPPLAQSPYISSATSGDMDDLDTSSSSDAIPRTNPQMQNTSRRDHQIGGNGKTDRQSKVKQPRKNQTDSASKPVSKKENSVDDFADNSKGSTANQQSQPSTPSSSSEPQDGAKVNQTSKQKIPPFSLPKKPTKFANSHQSSAPHNYFSSKKPGVPTESAPKNGAPDFGNDSGHYNPKHQNQSSQPPKPQNPVSPRPHNGSGNFHTSNSHRSNSCPPQAGHNGVPTAPLQSWPSAPPPYHAPPPNYPDMSRLNISGYPIGGHDNQGLNPNYNPNHSGAVQPPYNNYSYRPPTPSNMSSNMQNAGLWGANTGCSQPYSDSQILIRDILGALEVLKTEKLPPTEQHISDCVRYGGANLPNFDVKKALELAIQHQAIVTKKLGEMSFFLGKNENLWKCVNIMDTNTRYPKETLDAVQRYISCAAGCSAIKKSQSRYQAATLLKKTCLKRLALGEVLQVTYIITDKMKWFVPHASGWQPLSWNIVVDATKDAGGKP* >Brasy5G411200.1.p pacid=40075361 transcript=Brasy5G411200.1 locus=Brasy5G411200 ID=Brasy5G411200.1.v1.1 annot-version=v1.1 MPPKRYTAPRAQAGDPAPKKPKEKERPLGMSNADWAADVTRRNVENASRRERERRAKERNADLARQMEAQRAAASAGIPVPRPPSTQHWSGSQGSSSSSPSPVSPHMPHDHQGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGGFNPHTFASPPLRRGPLSYGGSSPSASFQQFPAGCSQPAPNPFGGMSQGDSIMADMINDGSQHAHFTYTQEEEEPYTAEDTEEREEWADGAEEPAVAAPKGKKKGAGEKKKSGGRGRGPKWTAKEDECLAEAWKVVSLDPFTGANQSGDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHRWQMIQQACNKWHGIQEEVRRRPTSGSSAHDQMVAMFTAFRDDNDGAEFKFIHVFARMETCDKWTETHADLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKRDELAAKREEVAASRWTTVIKKQDDKLEILKANVLAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARAPASAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >Brasy5G400900.1.p pacid=40075362 transcript=Brasy5G400900.1 locus=Brasy5G400900 ID=Brasy5G400900.1.v1.1 annot-version=v1.1 MAPSTSLPFLLLLLGALLAVAPLSSAAEPEAFDVRRHLSTVTRYDVARGSNSMVSAPSISDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLGALVNEAKQGPDSDSLKKIPSWIAGWESRWKGRVKGGELVSEGEEELFNFANRVKERFQGLFDEDYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGEGKNRAFSVLSESRASDICLRFFDSCQTYKDYRKRKEPDVEKQKEPILELVTSALVNRYHLNFTKQDISSLWFLCKQEASLLNITNQACQLFNEAEVYFLEWTDDLEGFLLKGYGESINYKMGLPLLKDVVQSMEEAIIAKEENHPDGTYEKARLRFAHAETVVPFTCLLGLFLEGSDFEKIQREEPLDLPPVPPQTRNWKGSVVAPFASNNMLVLYQCPGKINGGKISQDQNSSYFVQVLHNETPVSMPGCGNKDFCPFDEFKEKIVQPHLKHDFDVLCKIKKPVVTEGPSSFSSKLSNFFLGSFSRKGYHVKAQDMKTEL* >Brasy5G400900.2.p pacid=40075363 transcript=Brasy5G400900.2 locus=Brasy5G400900 ID=Brasy5G400900.2.v1.1 annot-version=v1.1 MAPSTSLPFLLLLLGALLAVAPLSSAAEPEAFDVRRHLSTVTRYDVARGSNSMVSAPSISDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLGALVNEAKQGPDSDSLKKIPSWIAGWESRWKGRVKGGELVSEGEEELFNFANRVKERFQGLFDEDYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGEGKNRAFSVLSESRASDICLRFFDSCQTYKEASLLNITNQACQLFNEAEVYFLEWTDDLEGFLLKGYGESINYKMGLPLLKDVVQSMEEAIIAKEENHPDGTYEKARLRFAHAETVVPFTCLLGLFLEGSDFEKIQREEPLDLPPVPPQTRNWKGSVVAPFASNNMLVLYQCPGKINGGKISQDQNSSYFVQVLHNETPVSMPGCGNKDFCPFDEFKEKIVQPHLKHDFDVLCKIKKPVVTEGPSSFSSKLSNFFLGSFSRKGYHVKAQDMKTEL* >Brasy5G376300.1.p pacid=40075364 transcript=Brasy5G376300.1 locus=Brasy5G376300 ID=Brasy5G376300.1.v1.1 annot-version=v1.1 MLYDCGHGFPYNFCYGTAGCLFCLTICIAPDGGKVDVLGTWP* >Brasy5G116700.1.p pacid=40075365 transcript=Brasy5G116700.1 locus=Brasy5G116700 ID=Brasy5G116700.1.v1.1 annot-version=v1.1 MDCNCATKRKATDMDAGESCACATKRKVEEPPAGPVSEEAAATGGDAAEVPRKQHMTRPPQEEVDWILSWTKEPSCSNPEDFPDADDEFKEMMRSMHEAHELQWSRTAKFQDWFRSEFAAKGFVEVDDDFLARRARIRAMNSEIFGGGEACASSSSSDADFGAGECPEEEVSSECIQSM* >Brasy5G053100.1.p pacid=40075366 transcript=Brasy5G053100.1 locus=Brasy5G053100 ID=Brasy5G053100.1.v1.1 annot-version=v1.1 MAGSGVVKHILLARFKEEVTPERLDELIRGYAGLVAVVPSMKAFHWGTDVSIENMHQGFTHIFESTFESTEGVKEYIEHPKHVEFANEFLPSIDKVIIIDYKPTAAT* >Brasy5G522600.1.p pacid=40075367 transcript=Brasy5G522600.1 locus=Brasy5G522600 ID=Brasy5G522600.1.v1.1 annot-version=v1.1 MAPTVRLLRSPATPPPLSLHRRSIALLAPLQGRPLRPTRPPRPSPKGGGRAAGGARGARRVRQDAGGAHQPLRHQPRPGRLPRQAYPAPRRRRRLRGRRPGPRPRPLSPGDWVIPSPPSLGTWQTYIVKPEHVWHKVRDDVPVEYAATVTVNPLTALRMLQDFVKLSPGDAVVQNGATSMVGQCVIQLAKVQGIRTINIIRDRAGSEEAKGKLKQLGADDVFTESQLDIKNVKSLLGALPEPALGFNCVGGNAAALILKLLRQGGTMVTYGGMSKRPVTVSTSSFIFKDLSLRGFWLQKWMSSDKADDCRRLIDYLLGLVQEGKLKYEMELSPFDDFGLALDKALGKHGSQPKQVLIGSDGERARRTVFVD* >Brasy5G249500.1.p pacid=40075368 transcript=Brasy5G249500.1 locus=Brasy5G249500 ID=Brasy5G249500.1.v1.1 annot-version=v1.1 MLSLLLTGAASYLAYAVRGLPTATIAVTKGGYLARITHGCSVTRFIILIMVCRELWEIGVYVLSQWTKVVIICHYIRLPQRRPTGWIPMLHRFVMEVARIMFCLVRRGRWHQNIRQHNLLMAARAKTTRNRRLRSRSVKLGINVQRVIFESLNQVLSAASAEDAKKKKPSSALAQKRRNRLLMSQHRKALGNNRQLQSKISDIYCALDSGETLRILVWHVATSLCQIKLLLEMKAGESARVDLYSLCPTAGLPEHYATAVNLSNYCAYLATKALVPDNGIVANKVFNAVCEEAAYTLSKYSTLGEVHNALVAEMSAPDRQQNGPSIVKIGAELSMKLMSTYAIDGQDLWERLGRFWAGYLLNLSANTTVAKHQVHLQGQGELTTFLWALLSHAGFLGDTTHGHQMLDTDDLNA* >Brasy5G166100.1.p pacid=40075369 transcript=Brasy5G166100.1 locus=Brasy5G166100 ID=Brasy5G166100.1.v1.1 annot-version=v1.1 MYMSNIHILCWRRNQIAVESEKSYRQTIGPGLKLDTIFYSHPEPNPFVLHLSHQTFLLFCVTYRHFFGYSLLLQVMSNILVLCRTKEAGARKYNPIGSCSWKRKASSCDFLIYIPRDIHKDQFQTGGSAY* >Brasy5G175700.1.p pacid=40075370 transcript=Brasy5G175700.1 locus=Brasy5G175700 ID=Brasy5G175700.1.v1.1 annot-version=v1.1 MAMAPRATFLLAVSLLAMAALASAHGGYGYCQSNVLGLDFTKCATVLDFFKLRINESCKQDCCPLIDGLLDLDAAICLCKKLYVPGVADITVGVRLILNECGKYCPADFQCSKYI* >Brasy5G508700.1.p pacid=40075371 transcript=Brasy5G508700.1 locus=Brasy5G508700 ID=Brasy5G508700.1.v1.1 annot-version=v1.1 MQAQGARPDCYTVAGVLSACTRLGALDLGRQAVGMVDWDEFLDNPVLGTALINMYAKCGSTGEAWVVFQQMRKRDIIVWNAMVLGLGMTGHGKVAFALVGQMEKSGMRLNDNTFISLLCSCTHTGLVQDGRRCLHNMIQLYRITLLQEARQLIDDMPMPANAVVWGALLGGCKIHRDAELAEHVLKQLILLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLDMKAKGVKKVPAYSWFEFDGKVHEFRVGDKSYPLMDQIYKKLDELGKKMKTMGYKSTTDVVMFDVEDEENHSEKLAIAFCLLTKNLRVCTDCHTVIKFISRITHREIIVRDNNRFHCFRDGSCSCNDYW* >Brasy5G043700.1.p pacid=40075372 transcript=Brasy5G043700.1 locus=Brasy5G043700 ID=Brasy5G043700.1.v1.1 annot-version=v1.1 MRGSPASSPSLLAAVHGHRSGRMASFPCSPGHAREVFVKLSGKNFVWYEGCDWVRTPPYNKKPVGAPSYEQTPDLIWGRRVEMLLQTYS* >Brasy5G003100.1.p pacid=40075373 transcript=Brasy5G003100.1 locus=Brasy5G003100 ID=Brasy5G003100.1.v1.1 annot-version=v1.1 MASRKALLALAMAAALFAVAAAVKPTFTVQPGSTTKQLGVKISKPGHSVAEVELRQHGSETWLTMKKTGPDTFTVQSPTPLKGPYNFRIVTDKGLRGVFDDVVPETFKCGTTYVPDEY* >Brasy5G431700.1.p pacid=40075374 transcript=Brasy5G431700.1 locus=Brasy5G431700 ID=Brasy5G431700.1.v1.1 annot-version=v1.1 MAGQAKLLYIVVVDDNGSSFRYTRSLLHSTLQLMGCKPRHAFEISRKVFDVVRGDPAEMDLMMMAGGGKGGVQRYELPDATTSPRQFQFELYKRRTTVLIPRDLFLHLVCQALALYKYVAPNQRNDLILACRIRERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVDEKENPLLWASTYHAGECLDPVAVAEAKARRKAKKNSGMSSSSGIDYEKSGVRSDKVDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVIEGVHLSLNFVMGLMRKHPSIIPFMIYISNEDKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRATGDQLCDSDTNTIAAVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSTGEDGKCSSEHGNQKSLGNPIYGPLSIGRAESVNLQFGSFGISAWPTDTGCTSQAGSADDSWINVNEGSSSHVASSSGSPKKLDGHCKEIKELSAASGSDDDEEEEEADVPPNSGSDEDLSEEDKEENHDEMEGSVDEDCNRSDEEYDDLAMRDSMENGYLSDDGMVHTGSCKFSSNKFLDSNQQNQSTPRKHLETLRSLSKIDMYVPETARSSSAMPVGTSSKRNMTRKWRRSLSDSFRSRPRSCPCLAESASKLKGPAIPVAPES* >Brasy5G449500.1.p pacid=40075375 transcript=Brasy5G449500.1 locus=Brasy5G449500 ID=Brasy5G449500.1.v1.1 annot-version=v1.1 MAARWGPPAGLLLLLLLSAVAARADRPARAGKAAEAEPEESSAVFQLYGDVYPHGLYYVAMSIGSPPRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPTKNKIVPCVDQLCSSLHGGLSGKHKCDSPKQQCDYEIKYADQGSSLGVLLTDSFAVRLANSSIVRPSLAFGCGYDQQVGSSTEVAPTDGVLGLGSGSISLLSQLKQHGITKNVVGHCLSIRGGGFLFFGDNLVPYSRATWVPMVRSAFKNYYSPGSASLYFGGRSLGVRPMEVVLDSGSSFTYFASQPYQALVTALKGDLSKTLKEVFDPSLPLCWKGKKPFKSVLDVKKEFKTLVLSFSNGKKALMEIPPENYLIVTKFGNACLGILNGSEIGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPNENTIHGFEEGYCWPQFPGIIGIQNEECAANYRSNKE* >Brasy5G449500.2.p pacid=40075376 transcript=Brasy5G449500.2 locus=Brasy5G449500 ID=Brasy5G449500.2.v1.1 annot-version=v1.1 MAARWGPPAGLLLLLLLSAVAARADRPARAGKAAEAEPEESSAVFQLYGDVYPHGLYYVAMSIGSPPRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPTKNKIVPCVDQLCSSLHGGLSGKHKCDSPKQQCDYEIKYADQGSSLGVLLTDSFAVRLANSSIVRPSLAFGCGYDQQVGSSTEVAPTDGVLGLGSGSISLLSQLKQHGITKNVVGHCLSIRGGGFLFFGDNLVPYSRATWVPMVRSAFKNYYSPGSASLYFGGRSLGVRPMEVVLDSGSSFTYFASQPYQALVTALKGDLSKTLKEVFDPSLPLCWKGKKPFKSVLDVKKEFKTLVLSFSNGKKALMEIPPENYLIVTKFGNACLGILNGSEIGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSFL* >Brasy5G051100.1.p pacid=40075377 transcript=Brasy5G051100.1 locus=Brasy5G051100 ID=Brasy5G051100.1.v1.1 annot-version=v1.1 MAFSKPLLLLLLIAATAMSAAAQGGSQATCNGHKVTVQNLCGQELRMESIQPVADSKVLFNPGWVLPNNQHAEFPVCAWTGRLKAAGAVEVDIHLGHDDGAYYKVSTAQSGMRCRVSVTPHGKLAGTCPTAGCAAGGKCFQHESPKGDCRGVTEIKIVYYAAV* >Brasy5G183600.1.p pacid=40075378 transcript=Brasy5G183600.1 locus=Brasy5G183600 ID=Brasy5G183600.1.v1.1 annot-version=v1.1 MSALKFGWFFLFYLVHIAFCVYAAISPFIHFVRKSLT* >Brasy5G195000.1.p pacid=40075379 transcript=Brasy5G195000.1 locus=Brasy5G195000 ID=Brasy5G195000.1.v1.1 annot-version=v1.1 MQVSDILLIGDWCLYPQNPTLALGFCASIFLIMAQATVAAAGRCCGSCGKKKPSETKQIAAVVCAVFSWIAAVVAFVMLVYGAAMNANVVRHTYSMCYFLPDGVFAGAAVLTLVATALGLTSYVLLRGKPSPKTDEQQQQLATGAAGVAMAQTQLPPPPQQEAPPQGQALPYYPQYNASPHGYGQFPHPPAQGYGAHASNQQFPPQGYGAHGPNMQVIPQCYGAHEPNQQFPPSAAAQGHEPHAQVPPPDPNGHNKQV* >Brasy5G435000.1.p pacid=40075380 transcript=Brasy5G435000.1 locus=Brasy5G435000 ID=Brasy5G435000.1.v1.1 annot-version=v1.1 MEVTFETTQGRRFEIEIWFLSTVRRMKEYVREEAGIPVESQRLFFNNSELQDDLDTEHYAIAHGSHVLIVLPDSAAPSPAAAAAIDKQQMNQAAAEMDQDDPPEEGTEVRDRAVLRQPAAAAKAVVSGGGNNKQQGQIGRMSVEVKWGGRSATVEVGETDAVKQLRAELDVDGQLLQLPEDGGYFFIYKQNVMEEDRTLRWHDVRSGDTIEIFNGRVTGGA* >Brasy5G259300.1.p pacid=40075381 transcript=Brasy5G259300.1 locus=Brasy5G259300 ID=Brasy5G259300.1.v1.1 annot-version=v1.1 MTTSRARWEEGGGLDMPRTATHRMRRARGPIQCDEWIHSGAADHMGGAVEEGRRGGAEWLRACDRLPPALRKLPFISQAPHRRCRGGKFLVVVELNGCPLRWIGITHARPSVCKVLGPRGSSSRSCVLAQKDHHHQEDRIGS* >Brasy5G162800.1.p pacid=40075382 transcript=Brasy5G162800.1 locus=Brasy5G162800 ID=Brasy5G162800.1.v1.1 annot-version=v1.1 MSPTEAFQAAAGVIAEGEHDLEEDYYRATNDDPRRELAFSKPRFRLKCTETLMNWLERQHEDGRFQAIAIAAPDEEDGNDLIDEALSHDSVTEKFDHAFTLEDQFCPKEPRDFLGDMLEALEDGESAEESGEEEVQDSISIVEEEIDEKISKIEEKIEEHLEKAGNKGYRVEPIGVLCGILRVLLQDAAVLGDEDQTQEKTILEDTAEKMKKYVESADEKDSCTIGVQEYHPEFVAILQELLPKQATAPVKAATDKPGEDHIVKNIRDITLKIQVQIQSELLPMTSLLQQDKSVEESPLSPGEDEEYCRERIEQVLKRMKEHLLIQETAGSVRKHLQGTRTLIILKNAYGYKWEETTKALQDLGCTSMAMVVTTKYMQSANEFCYGTEPIVYSSIEYYHDTALQLTNRRVNDDDKYSAKIFHEILEKCRMDVFCTKMFIHTLFANPMRRREELDKLSNSLVFGGSVETNGYKMIKFSYNDLPRDYKTCLLYLAIFHKNEKIIRTRLIGRWVAEGLITRQDWSSSVAQAERCFDVLADLWLFCPSDVDAGGKVKSITLHPLVYSFITKMAKKEHILDTRLSRHLARHFSILSNIRLRPSDSIVDFLKQPSNASSQLKLVKVLDLEGCASLRDNQRWLRNVCTLLILLKYLSLRNTDVTQLPKEINRLQQLEVLDIRRTPMNASAIKHLMLLKLKRLLAGQSAYSDDMAGQSACFDDTGGSDASILSTVMMPHKVRKMTDLEVLSHVQASKHHATELREVGQLWQLKVFGVVIYDWKAQLDNLLQGISDLNECLVSLSIEIKPLPTSEAVATPPDADGISAHCKNTPKLLESLSISGVTMYGRLLPFFARGCRKLAKVTLHNTVLDQDDMESLADLPNLRGLKLRHVKLHSESKLIIQTNGFQNLKYLLVEGGGITDIDFETGEAPKLEKIVWLIDEMESLSGINNLPKLKEMVFNDGIRLPDQVKEAIEAHSNFIDNNGIWW* >Brasy5G320200.1.p pacid=40075383 transcript=Brasy5G320200.1 locus=Brasy5G320200 ID=Brasy5G320200.1.v1.1 annot-version=v1.1 MAHTSFSSFLSYFCIFLLFHGSMAQVLGQGSAWQSSRQGGSRECSFDRLQAIEPLTQVRSQAGLTEYFDEQNEQFRCAGVFVIRRVIEPRGLLLPRYHNSPGLVYILQGSGFVGLAFPGCPETFQEQFQQFGQAQTTLGQSQCQSQSQKLGDVHQRVHQFTQGDVVALPAGVAHWFYNGGNAPVVAVYVFDVNNNANQLEPRQKEFMLAGNYNGVLQSGHNIFSGFNAQLLSQAFGVNEQTSQIIQNQNDGRGEIIRVDNGLQFSKPVVTQQQQEQPFIPIQHPSGQSTPNGLEENFCSLEPRENIEDPNRADIYNPRAGSITRLNSQNFPILNLVQMSATRVNLQKNAILSPFWNINAHSVLYVIQGHASVQVVNNQGRNVFNGILRRGQLLIIPQNYAVLKKAESEGYQYIAFKTNANSMVSHIAGKDSIIRALPVDVIANAYRISKQEAQNLKNNRGEEIGVLTPNFPQSTCQSYPTGAVESLRTMWHGSD* >Brasy5G226100.1.p pacid=40075384 transcript=Brasy5G226100.1 locus=Brasy5G226100 ID=Brasy5G226100.1.v1.1 annot-version=v1.1 MGRTVKKAGKSKSKKSKGPKKAEASSSANPAVASGPAKVWQPGVDALEEGEELQFDPEAYNYLRGFSIGWPCLSFDVVHDQLGLVRSEFPHTLYGVAGTQAEKASRNYVGVFKLSNIQGKKREPIPSSTVDADSDMDSDSSSDEEEEKTNEDTKPILHLKKVAHAGCVNRIRSMTQEPHICATWGDTGHVQVWDFKSFLNSVADSGPVAHKEDDIIHNHVPMKVFGGHKDEGYAIDWSPVVTGKLVSGDCNKCIHLWEPSGSTWNVDTKPFVGHSASVEDLQWSPTEADIFASCSVDGTIRVWDIRKGKVPAINVKAHSADVNVISWNRLASCMIASGCDDGSFSIRDLRLIQGDAVVAHFEYHKHPITSVEWSPHEASTLAVSCADHQLTIWDLSLEKDAEEEAEFKAKMKEQANAPDDLPPQLLFVHQGQKDLKELHWHPQIPGMIVSTAADGFNVLMPSNIDTTIAGAEKPT* >Brasy5G296600.1.p pacid=40075385 transcript=Brasy5G296600.1 locus=Brasy5G296600 ID=Brasy5G296600.1.v1.1 annot-version=v1.1 MATTVSSLVAPHYLHRRCCRSPASASASASARLSFRAAPPAVAAARAGRRAAVRVLASSAVLEAPEELATRKLYVGNIPRTVTNDELRAMFADHGTVLRADVMYDKYSGRSRRFGFVTMSSVEEANAAIESLNETEVGGRKIKVNVTESFLPNIDPSAPEPEPAFVDSQYKVYVGNLAKTVTTEVLKNFFSEKGEVLSATVSRIPGTPKSKGYGFVTFSSEEEVEAAVSTFNNTELEGQLIRVNRA* >Brasy5G356200.1.p pacid=40075386 transcript=Brasy5G356200.1 locus=Brasy5G356200 ID=Brasy5G356200.1.v1.1 annot-version=v1.1 MGKGGVAEKDEEGIKWLKHYSSMQSILIVGDGDFSFSLSLATAFGSGQNLVATSLDTYEDLTRKYAKAESNVTELKSLGATVLHGVDAKEMKLHPFLKMRRFDRIVFNFPHAGFDGKEDDLHMINKHKHLVNGFFCNARHLLRPYGETHVSHKTGLPYDSWDIEQLAYQSCFTMVEKVDFCKQDYPGYNQKRGDKAKCDQPFALGPCCTFKFCIGDVKKLKKVRANRIGSIPSHGGSGSYTGILVTDMRHSDLHPPAPAWPRPHFPPVNALHMPIAFEPYPLGVNQMEHPGFPVNFYGTDRAPHFNSWGMVRPICSIPGSPQNVLLARGGIPPPMRIPNTTLIAPPEQPWYQEGPPVELLRRDGYSFPAREYLRSLQREYEIHRQLMPGGSSLGYSVFLENRYMESVQRRERLEMLINFYGKQ* >Brasy5G343000.1.p pacid=40075387 transcript=Brasy5G343000.1 locus=Brasy5G343000 ID=Brasy5G343000.1.v1.1 annot-version=v1.1 MDSGSTEVLVDAGSFRLYKDGHVERLDGVDHVPAGFDADTGVTSKDVVIDAVTGVASRLYLPDIQAAAAGRSDGTAITKLPIVVFFHGGYFIVGSAGSPRYHRYVNSLAARARAIAVSVDYRLAPEHPLPAAYDDSGLALNWAVSGAADPWLSDHGDLGRVFLAGLSAGGNITHNMAIDAGLTGLRAPARIEGAILLHPSFCGEQRMEAEAEEHWASVKERWAVICPGARGGLDDPRMNPTTAGAPSLAALACERMLVTAASEDPRMPRDRAYYEAVVSSGWGGSVEWFVSEGEGHGFFIDDQAGREAAALMERVVGFVAGH* >Brasy5G189100.1.p pacid=40075388 transcript=Brasy5G189100.1 locus=Brasy5G189100 ID=Brasy5G189100.1.v1.1 annot-version=v1.1 MASAAAGYDDEELRGRAALREAFGDSSDNEPDAAREEDTGAPVGRGRWRWDAVAGVRGLWLCSAFLSADEQSRLLAAIQREGWFIDAHNQAMRFGDLPPWAVELSALVREAICVGDVNVDVSPDSSEENEDSCPLPSDLLWREPLFDQLIANRYKPGEGICAHVDLMRFDDGIAIVSLESACVMRFSQESAAYDMLKHGEDESTNVPVYLNPGSLVVMSGDARYHWKHEINRKPGAQLWDGRELEQRRRTSVTLRKLRASPN* >Brasy5G394000.1.p pacid=40075389 transcript=Brasy5G394000.1 locus=Brasy5G394000 ID=Brasy5G394000.1.v1.1 annot-version=v1.1 MAASSFTSHLNSRAPSYSPVALPVGCPLLPPLVPASGWPVSSGSSFAPLMSCLPPILPLPWWVRPSPPPQQALPVRHCSIEEIVGDDGSDDSPKAKGARDDNPSPRSVLTPWRRPQPAPAARPPPSPRVWDAKPAFDSSSDKTSVMICNIPNSFSKRRLMAILDQHCAVENSKFPWGAPGAGLVVRSEYDFLYVPVDFRTGFNKGYAFVNMTTAAGARRLHAFLHGHPWAMATGSRKVCEVVHAHIQGVDALVAHFSGSKFPCGEKEFLPMRFGPPRNGLRPTAERVIGRALKHR* >Brasy5G116200.1.p pacid=40075390 transcript=Brasy5G116200.1 locus=Brasy5G116200 ID=Brasy5G116200.1.v1.1 annot-version=v1.1 MVETQQSVPPVPMATLSNEEFLQAHVELWNLTYSYLKSMALDCAIKLGIATAIYRCGCAASLPDLLATIPVPDSKKPHFPRLMRFLAAIGIFTVEAASGIYGLTPLSRLLVDDNSVKSLSSFVLSQTNKYHVTAALYLPDWFMSDEGAAGVDMPFRMAHGMNPLGVMARDPKINQLFNDGPTVDTDLVMDFIVTNFGEVFEGVTSLVDAAGETGTAARAIAKAFPHIKFSVFDLANVIKSIPADGVVEDVIGDMMESIPPTDAVFFKYVLHDWDDNICVKILKQCKKVIPESGGKVIIVDSVVGSTTSNSEAMFEGHVLLDLLMMVVTPGKERDEQEWRKIFMDAGFSNYKTKPVLGFLSIIELYP* >Brasy5G011900.1.p pacid=40075391 transcript=Brasy5G011900.1 locus=Brasy5G011900 ID=Brasy5G011900.1.v1.1 annot-version=v1.1 MASSPMESDEEWVVLCPDNPADSSDDDRGVLALPASCFSSSSSDDDEEDAADDDQDEEIGGDEEDPYGVDEDDDDQPRRPPPRPISGMFHHTPSGSPAYFAFDPLRTAKQLIPDPAFAVLPEPATVLASARGLVCLRGDATGFYYVANPVTFQRVRLPYPTRDHARDADPAVVIAFDTDSPSLDGFHHDASRFSHYHVVVAYQVADGVCAFEAFSSRSWDWRVAGDVCAPETVVAASGVGALGRAFWRTTIGHILCFDPSSGLADLIPAPKEVEARPLWEIGEMEGSFSVTCMDEDVTEVAVLYMDPDPRGGEPAWVWAGQFDGENMERRHEEMTLLRSHGAAEVVMWDPREELVIAMDFDGRATRTIGPLHGAKYGKYHTDFIPYVNSCTEIYNSDDVGSVFKTNDEAVTFRVPQPSVQAY* >Brasy5G187400.1.p pacid=40075392 transcript=Brasy5G187400.1 locus=Brasy5G187400 ID=Brasy5G187400.1.v1.1 annot-version=v1.1 MASVSVTLALKTAAITALLSMLVLPSLARCPHSPSPPSPSPPPPPPPPSPPPPPSPSPPPPPPSPSPPSPSPPLPPPPLPALPPAPLVSCEDCRSECLKTCRAPIAEMCKCNEIKGKCDACTTPAINKCKAAGAVDDCDAAADAECADACSVAACSDCAWKQDKGCLTICNGPQCSPNCAMPRVPAPPPPPSPLPPPPAPLPPTPAPAPPPQLPPAPALAPAISCDGCFGPCYVACRATIPEACRQPCDSVVPTCNYCKSEVTKNCKERCTGSSCDDDCSAAAKDSCMNSLACSTRYCDACEYGVDSGCRNSCASDCKAANCMGSNY* >Brasy5G048500.1.p pacid=40075393 transcript=Brasy5G048500.1 locus=Brasy5G048500 ID=Brasy5G048500.1.v1.1 annot-version=v1.1 MHGHRPAGVLLCLVLLAVAAHAGAAALMGRLEDEVAPELMSSHLLGAGYVSGGALDGSTQRCLRNCAGKGRGDSYTGPKPVPRGCNPYYQCSTRD* >Brasy5G246000.1.p pacid=40075394 transcript=Brasy5G246000.1 locus=Brasy5G246000 ID=Brasy5G246000.1.v1.1 annot-version=v1.1 MAAAASEPPMVEQVITEFFAKSLHIILESRSPYESSRSFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVMRHRDSSNSSTAEGGKIIERWVIQYETSKSGIGSSHGSKNRKSRNSSSEDHSLYRSAYKGSTLLFRSLHLLVRLLPAYNLFRDLNSSGRIRPLNLSHKISSFVEPFTRAEDAGLKHYAFAPIETLFGHLSLSVTYVPVLEVVAAPEPTRPMPTELIPDYVGSPATDFLRQFSSLPSDGIAPSCAAMTRRHSWSIDHAARSSGSPSPSSMNSEPRGRQQPRVLMHDNPQTARPHPNSTLSPGKKKGTGFEECYPSPPLSPSPSRSPSASYPKNPLFRFESAPVVIPTVRDGGGGSALPPSPSLKGKHQLPCQSYNLTPSPDGNSNVRKDLVRFGEYDNKKNLQKVLSSGIDDLGYFHGLKFTRTSSKLFITDELDERELAFVWEDRDTIIDQLNRAGISDSGGQGTNQDTGGSMTRTPAAAICALVGMLKTAQGLGESRPSIAAPPPPVPQESSSVQRVMTEERGDTNAAPSSALRRARTAADALEELKKYKEIKESILGHAKASPRDPMEGQKPADRDP* >Brasy5G246000.2.p pacid=40075395 transcript=Brasy5G246000.2 locus=Brasy5G246000 ID=Brasy5G246000.2.v1.1 annot-version=v1.1 MAAAASEPPMVEQVITEFFAKSLHIILESRSPYESSRSFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVMRHRDSSNSSTAEGGKIIERWVIQYETSKSGIGSSHGSKNRKSRNSSSEDHSLYRSAYKGSTLLFRSLHLLVRLLPAYNLFRDLNSSGRIRPLNLSHKISSFVEPFTRAEDAGLKHYAFAPIETLFGHLSLSVTYVPVLEVVAAPEPTRPMPTELIPDYVGSPATDFLRQFSSLPSDGIAPSCAAMTRRHSWSIDHAARSSGSPSPSSMNSEPRGRQQPRVLMHDNPQTARPHPNSTLSPGKKKGTGFEECYPSPPLSPSPSRSPSASYPKNPLFRFESAPVVIPTVRDGGGGSALPPSPSLKGKHQLPCQSYNLTPSPDGNSNVRKDLVRFGEYDNKKNLQKVLSSGIDDLGYFHGLKFTRTSSKLFITDELDERELAFVWEDRDTIIDQLNRCIMPLRR* >Brasy5G180700.1.p pacid=40075396 transcript=Brasy5G180700.1 locus=Brasy5G180700 ID=Brasy5G180700.1.v1.1 annot-version=v1.1 MSGWWERDEPKNGSKAVTLLLRLSTLALALASAVTMATVDATCTVSNGTAAAVSYRDYRAFVYLVGSNVAAAVLQAAAIYLQLSGGGGGDDDEKSSVPGVLLVVVDVLAQALLYSATAAAFSTAIGVGCVVFGKQVGLSKLLSLGASVSVGLAAVVKDVPLPFSVWPSSSD* >Brasy5G129300.1.p pacid=40075397 transcript=Brasy5G129300.1 locus=Brasy5G129300 ID=Brasy5G129300.1.v1.1 annot-version=v1.1 MSPAEPTREESVYMAKLAEQAERYEEMVEFMERVAKATGGAGPGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNESHAATIRTYRSKIEAELAKICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAPAPKESGDGQ* >Brasy5G467500.1.p pacid=40075398 transcript=Brasy5G467500.1 locus=Brasy5G467500 ID=Brasy5G467500.1.v1.1 annot-version=v1.1 MALATSHHGIHLVRPTAAAGVSPRLTQLGFLRVGAPRSPPRIVCQSINSANVLGASSVIADDSVPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVATDSSVTQTKFHIMRLGRKVEDPDMLETIRLTIINNLLQYHPESSEKLAMGEFFGIKAPEKKVDVDVATHVIVEDDGPKRSMLYIETADRPGLLLEVIKIIADVNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY* >Brasy5G205400.1.p pacid=40075399 transcript=Brasy5G205400.1 locus=Brasy5G205400 ID=Brasy5G205400.1.v1.1 annot-version=v1.1 MAEEGGSSSSGCGARDGARPVLVVSLPTHRNPRSAPATEPQGRSTAVLPVEIVVWEILIHLPAAAVLRCRAVCRSWRRLTSRADFILAHHRRQPSLPLVMLQGGSSTDPRAGSEPGPGRRVLGLDDHNDGGGGGPRRRFKVHGSCDGLLLLSVSDGRFSVCNPATRQHVTLPGLTNAAAVSSIVVEALYPHGPSGEYRVLYWKKGRHLTDHDTVCYVSVVPWGRKPRRIGAPAAFPGMKLGGVARDWRTTVNNSRPPVLSGGCLHWDPGRSPHAAIVVFDTVAESFRRMRRPAAATGYCTRLHDMGESIGLTCFEDCRMVAKIWVPEDREGAVWSLKYRIKFPVESMYNFGDTEHLVLSHKGDMLVQNYFGCCMFHCDSNGKLIQEFRWELWGSSITGLLFKESLIKHAFFPKQGGARVGQPNFFFPKQDGARVGQPNFFRWL* >Brasy5G309700.1.p pacid=40075400 transcript=Brasy5G309700.1 locus=Brasy5G309700 ID=Brasy5G309700.1.v1.1 annot-version=v1.1 MEIAAHGELAFSVLRRKRALPTRDAVDVKKLESFAVGKKPAVRAREVLQQWFQSELVAVRRLLLKARPPSSASAPGGGNGGRPGFLAAEPPAKKRKASPAPVTIQQRAEPAKKTKPPAKKMKASPPPVIRRAEAAKKMTAGERELLAADLAELAAELPDHIIELLKKNSRGIHGGEMEIDIHAVQDAALAELHMYVDKFARERKPSRQDSSIKMAEEEEQDVDILGGVSPLKMAPAPAQLDEEEEEVFVDICGDASPMTKNQDPSSSPASSSPGSDSSSSSGSDSDSDSSSSSDSDGDSKESVSISPSPCLAPAEGAAKPPITDLIARAKESQQRQRRALAREKARQELLETERTAMPTDSIDPIDLELLGIARPQDQYMVGARSLLRLLGLFLKDDDDSADYHHQQQTSFFQEEDLEEGECRL* >Brasy5G463500.1.p pacid=40075401 transcript=Brasy5G463500.1 locus=Brasy5G463500 ID=Brasy5G463500.1.v1.1 annot-version=v1.1 MNRRNGNGNGSGSGNGRLELQLNLSPPVGMEVDGGHGHGHDDSGSSSPSSCVSSDGSPGSRSPMLIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLQQGGGASSAPAAVSGDGDKKRGKRK* >Brasy5G023200.1.p pacid=40075402 transcript=Brasy5G023200.1 locus=Brasy5G023200 ID=Brasy5G023200.1.v1.1 annot-version=v1.1 MAIHLRPPSKWSHNQIILATLLGTLVAVTITASISISLAPARISFSIADAIAGDWEDTQFYNFTLVARNSSPRMAVLYGALDAEVWYSPTVWVPALVDRTSALAPDGRTQPPRHETRMSVSAEYWQSKTVMGTGGKNDNDKQPTVPAGGAAGAPAMSPPSTPTSASTANNRDWSNCTVVVIAKVWFKAGSGGISTRSYDVRASCSQVSFGRKATATVFNCTP* >Brasy5G377400.1.p pacid=40075403 transcript=Brasy5G377400.1 locus=Brasy5G377400 ID=Brasy5G377400.1.v1.1 annot-version=v1.1 MGIAEKRPATEVRGRKAGGLITKTLERCRSTPTARQKPAEGCFSVYVGAGRQRFVVRTECVNHPLFVALLEEAEEVFGYAATGPLQLPCNAEAFTGVLEQIQEEKQAAACRKAVAGKGCGLARGQSAYRLLGTGGRLVHIGRS* >Brasy5G238300.1.p pacid=40075404 transcript=Brasy5G238300.1 locus=Brasy5G238300 ID=Brasy5G238300.1.v1.1 annot-version=v1.1 MESSSTTTDATPPVKTAGKRPRRAAAAAPLRDLTNLLPSSPTTPAPRSPSARRALPPPDASACSSAASATTPVSKPSAAVVVEEQEQRQQPSSVKSPISTVYASRKAQRRTMTTRRNPTGVKAPFPASCPPPEKAARAGTSSHSTRKASAAQGSHPTSSSAPCLQAKRKRHMRMKENSSSVRPKLPDDFIEKQRAYFREIDAFELPEEEASDTD* >Brasy5G269200.1.p pacid=40075405 transcript=Brasy5G269200.1 locus=Brasy5G269200 ID=Brasy5G269200.1.v1.1 annot-version=v1.1 MEHGSLADSSASSFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVMKDALQDLMVMGQHVRATFDKAVADFKSNIPAEQMDVDANKR* >Brasy5G428300.1.p pacid=40075406 transcript=Brasy5G428300.1 locus=Brasy5G428300 ID=Brasy5G428300.1.v1.1 annot-version=v1.1 MQGAVKVGPWGAAGGAPRDIKSNSVPQRLVSVSICSIGCKINGFSFTYVDQWGDPIAVGTWGIYIHGLRQRGTHRYIIIHPGEHVIHVSGTANKSGVTSLKLGTNQSEHGPYGWPNGPEFSLPLRQGKSEVVAFFGHAGATTLKALGVYVVVSEFQHSRDSAAGGQHHRKPCPVKVGPWTGHGGASRDLATASIPEQLHSITVRSSERSGGRIYGLSYTYLDADGRRVLVGPWGSKTRGRNRELLLADGEYVNAISGTHDDYGVTSLRFGTNLVEHAPFGCAAGPAFSVPLPENGGAMGFFGRSDATGIVGIGVYVTPHEDDDD* >Brasy5G335600.1.p pacid=40075407 transcript=Brasy5G335600.1 locus=Brasy5G335600 ID=Brasy5G335600.1.v1.1 annot-version=v1.1 MAFRLSNNLIGILNAITFLLSVPVLAAGIWLGVRGDGTECERYLTGPIIAIGVFLMVISIAGLVGACCRVTWLLWVYLVAMFVLIVVLFGFIIFAFVVTNKGAGEAVSGRGFKEYRLGDYSNWLQKRVENDGNWNRIRSCLQSGKVCKSLQEKRESWDEFIRTDLSPIESGCCKPPSSCGFTYVNSTQWTPGANPSPDPDCNAWGNDASALCYSCNSCKAGVVATLKKDWKRAAVVNIVFLVFIVIVYSVGCCAFRNNRRDNHNRGGYKQGGYA* >Brasy5G021500.1.p pacid=40075408 transcript=Brasy5G021500.1 locus=Brasy5G021500 ID=Brasy5G021500.1.v1.1 annot-version=v1.1 MAAAAASGLGNPPQPAREEEEEGMLGSSLSPAAAAEEGLSDAETASSSSTTAAGGAPNSSLSSGSSTSGRSLARCSSCLSRLSLDCSPAPSPSPAAASRPHRSSDPAWAAIRAASLKSPLGPSDFKLVRRVGGGDIGTVYLCRLLLPHGGTSSPCMYAMKVVDRRRVAGKKKPERERRILRRLDHPFLPTLFADFDAAPHLSCAVTEFCPGGDLHSLLRRRRRLPLPSARFYAAEVLAALEYLHMMGIVYRDLKPENVLIRADGHVMLTDFDLSLQSVSAPFLLHDDADEGDDERPTTTLSSCFPRRRRKKREPRVVAEPVAARSRSLVGTHEYVAPEVASGKSHGAAVDWWALGVLLYELLHGRTPFAGADNEATLRNVVSAPLAFPPSLSGGAMDGGNADARDLIARLLAKDPAARLGARRGAAGVKAHRFFRGVNFALLRSARPPVVPVPGGGHIRRSQSCKAPPRPDPSRFDLF* >Brasy5G516700.1.p pacid=40075409 transcript=Brasy5G516700.1 locus=Brasy5G516700 ID=Brasy5G516700.1.v1.1 annot-version=v1.1 MGGAGVFPWRSQGRSDALVNDLWMKGLERLNSAGQLRQFFSLWQAICDSVVWKFNPNGIYSAKSAYECQFLGRVPSPSLQRVWSIKMEGKVCVASSISRWWKCLTVGGRSPGRNAAMTFGAYVAWNLWKERNRRIFQGKDVSPPRLLEIISAEVEFFRFAKGL* >Brasy5G341100.1.p pacid=40075410 transcript=Brasy5G341100.1 locus=Brasy5G341100 ID=Brasy5G341100.1.v1.1 annot-version=v1.1 MATKEGFDNLHNKVRRCVVRILSIEKGQTVAITPGTIVSMGANFCYVIAHTSTFRRDSNAYYEVVFPDTNRTKVGFDISSVATCNDIAAFFIFNAPFDISMVQPVQFCEHEASKHQVVYTLGFDQDINYPSYLSDGSVNFVGMTEFIHDCCPDYFTVFGSPVFDADGYLVGLCSRYRGVLITYNLESIAELISIINKREKQSIGDLLEYIEGQDEAGSQVHWF* >Brasy5G300700.1.p pacid=40075411 transcript=Brasy5G300700.1 locus=Brasy5G300700 ID=Brasy5G300700.1.v1.1 annot-version=v1.1 MGEYTEVLQSVSDLPVQDPPGEEFSAADLRWVKYASSEHHCDDVALIPYDRAEAFISGECNNPEYPTRFHIERGRKRERGTLKEFRSDEYLLYRMYWCSFGPENYGEGGAILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLALIIYHERRHVNKSGFVCHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHIEGIQRYCGSDAKVDSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFHQDATETDAFVLGIQTEWQLQQMIRFGHQGLLASHSSFGISKLKYPLHTLLVFDSRQHALPVAWVITRSVTKQDTLRWMKALTDRIHSVDSTWRIGGFIIDDPTSELDPIRNVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREIFTILGNFMYSIWSEKNPMDALEKLFQDFVDQTTFIQYFKSFWVPKLDMWIDTIRNLPLASQESCGAIEGYHLKLKLKAYDDSQLDALQRVDWLVHKLTTELHSGYWLNLYADESGSFPQVKAEYIASTSWQRAVQIPDESVVFDDKEPLSAKVASQKDASQMRTVWNAGSEFSLCDCSWSMQGNLCKHIIKVNMICAQRKDFQPSLSFQSFQHVLLDLWQKPVDDSFSLDLSVAWVMQMQERIQKVSELATSDGIAQVAGKLPIQWSNKKGRRTALRRTSPMRVLPHSNGSTQRDLTPKKNRKRKRLSTFSG* >Brasy5G095400.1.p pacid=40075412 transcript=Brasy5G095400.1 locus=Brasy5G095400 ID=Brasy5G095400.1.v1.1 annot-version=v1.1 MDPDFRFDPDGSDDEATAASARRKPAQSPWEFSSYTESVAAEHARCRTTSIDEKISQALRGRRNPSRPYGSDGEEEEEDADDSDEEAVKGESGDEEDELEESEGDEEVKESDEEEEVEEGDGEEEQGEEEDGEEEEAVQEDEDTAEQSDTPDPSKFFASSEGASFKANSFLELNISRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLPTKVQEVALRSNPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSVEINELIRMCPKRRQTMLFSATMTEEIDELVKLSLNKPVRLEADPSLKRPATLTEEVVRIRRSREANQEAVLLALCLKTFKERVIIFSGTKHSAHRLKIMFGLSGMKAAELHGNLTQAQRLEALEQFKKQEVDILIATDIAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVADCAKLIDQLENQISNIIQEEREEMALRKAEMEATKAENMIAHKDEIYSRPKRTWFATEKEKKLLAKAAKESLGQVKSSSGVVSAQEAEDLRLKEKRRREREKNLPRKKRRKLEAQREMLEDEKEDEEETQENKGGKKAKNSKSVVDVAYRKAKSLKATGKKAGKGKNEKAKQPSEKGQTRQEEMHELFQNDMSEWKQGRSLKKKDSSFAKKSKNSFKSKSRYKRRK* >Brasy5G495300.1.p pacid=40075413 transcript=Brasy5G495300.1 locus=Brasy5G495300 ID=Brasy5G495300.1.v1.1 annot-version=v1.1 MQGRPAAMILAMVYLLLFSFFFLRLSFSAHGANLPAAAGDALPSAMAHRRLLRSMMLQHSYVPDETTMMIQQLLGPPSPRPQPGNVEDQGGVSVSPAVAAAAEQTPKMAPAPPPRGPTTADLITDDRPGRGEVDIGVDYTPPKTHPPQHN* >Brasy5G144600.1.p pacid=40075414 transcript=Brasy5G144600.1 locus=Brasy5G144600 ID=Brasy5G144600.1.v1.1 annot-version=v1.1 MVPPLARATPAEDLAEILEVRCVGCRETLEVERGLREFVCPDCATPQSLPPELMPPPPPRRRALPLPRSVADARGARLPCGACGELLSVPVGLSRCACPFCGAELAVDSARIRNYILSSAEAAVMPLPVVAAPSIGAWEAHQGRPSLSVRAGLPRAELHDRLIPLERTRIERSDRLNHVHQDEHDYPDHMIDGEEMHVVDEATANNSPQRNRFPLGHGIACSENRQALSLNAVRHQVNDQHSSYTTQPKRSQLARLHRVVHSEEMQEGPLSHEVYREARHTELIYQTAATHRNQIVGCSVGPQTLSAGKRRIETPNHIIHQPHKHPYHNSHAEGTHVGCLDGVIYAHDVQPDPVNQANHREETSTEMIDKTIAGKSIGSAGCSVGPSSGSAKRKAVTANQVTRHKQKQQSDANHAEHSHKEHPDQEICEPMSNTNHKEAVCSLLAKETTARRSKHKNSPLLNAKTIAKKRHIEPLNHIIQQAEGHTSDIDSLEMQADFDHQSKGIGRHDKHKTRSELYSTLTPKVGEQLVPPNKLNDVKQKHVRTNDEIQEKQTEVEVCKQASGGTQKINRKGSMANSNAGLQLTRSSRLAKDSIAAVQNEHVESDSVNPRVFSPAVQMPAVSMDSEPIEREPVQQLYASPSREVPVAVTDTESEESEHDEQYDVSPDQRMSESDPPDIDGIIADLNPSTSPVREMPQEISNERDDLTTTPSNADMSDPEPDDLTTTPSNTDMSDPEHFARQYCLLLLQDARRAFPKGKSNVFLHRLLSEGSNDVPLHDLTDSGEQQQGIEGNQAGVRGPTRCAKVWILPEGVRLPVSLNNSGLPIGKNAAMFITFLGTLARDGMLAPLTYQSWKSIPKENKNVLWHIVKLKFDVDPPCELSVLKSIRKTWKCWKSHLKRKHYDSHITEEEHLADRDPRVLKEQWRHIVAYWNTEKAKVRSAKCKASRAKSTYINSTGSKSFARMFQEESPSHENRAGSGSDSASAMGVKGKGSTDSHKPGASPKDLQGRLTRQAERAKRKVGNEGSTLRKEVVVMEKSHPKDSQERAVWEAVRAKRRAEDEAVALRKKVVVMEETQKKLQEDLAKMTDTVSAMQKMMSTGGLPELMGGPTVPPSFQQDRNAASSHDVFPPYIDYSGPTRSFLP* >Brasy5G144600.2.p pacid=40075415 transcript=Brasy5G144600.2 locus=Brasy5G144600 ID=Brasy5G144600.2.v1.1 annot-version=v1.1 MVPPLARATPAEDLAEILEVRCVGCRETLEVERGLREFVCPDCATPQSLPPELMPPPPPRRRALPLPRSVADARGARLPCGACGELLSVPVGLSRCACPFCGAELAVDSARIRNYILSSAEAAVMPLPVVAAPSIGAWEAHQGRPSLSVRAGLPRAELHDRLIPLERTRIERSDRLNHVHQDEHDYPDHMIDGEEMHVVDEATANNSPQRNRFPLGHGIACSENRQALSLNAVRHQVNDQHSSYTTQPKRSQLARLHRVVHSEEMQEGPLSHEVYREARHTELIYQTAATHRNQIVGCSVGPQTLSAGKRRIETPNHIIHQPHKHPYHNSHAEGTHVGCLDGVIYAHDVQPDPVNQANHREETSTEMIDKTIAGKSIGSAGCSVGPSSGSAKRKAVTANQVTRHKQKQQSDANHAEHSHKEHPDQEICEPMSNTNHKEAVCSLLAKETTARRSKHKNSPLLNAKTIAKKRHIEPLNHIIQQAEGHTSDIDSLEMQADFDHQSKGIGRHDKHKTRSELYSTLTPKVGEQLVPPNKLNDVKQKHVRTNDEIQEKQTEVEVCKQASGGTQKINRKGSMANSNAGLQLTRSSRLAKDSIAAVQNEHVESDSVNPRVFSPAVQMPAVSMDSEPIEREPVQQLYASPSREVPVAVTDTESEESEHDEQYDVSPDQRMSESDPPDIDGIIADLNPSTSPVREMPQEISNERDDLTTTPSNADMSDPEPDDLTTTPSNTDMSDPEHFARQYCLLLLQDARRAFPKGKSNVFLHRLLSEGSNDVPLHDLTDSGEQQQGIEGNQAGVRGPTRCAKVWILPEGVRLPVSLNNSGLPIGKNAAMFITFLGTLARDGMLAPLTYQSWKSIPKENKNVLWHIVKLKFDVDPPCELSVLKSIRKTWKCWKSHLKRKHYDSHITEEEHLADRDPRVLKEQWRHIVAYWNTEKAKVRSAKCKASRAKSTYINSTGSKSFARMFQEESPSHENRAGSGSDSASAMGVKGKGSTDSHKPGASPKDLQGRLTRQAERAKRKVGNEGSTLRKEVVVMEKSHPKDSQERAVWEAVRAKRRAEDEAVALRKKVVVMEETQKKLQEDLAKMTDTVSAMQKMMSTGGLPELMGGPTVPPSFQQDRNAASSHDVFPPYIDYSGPTRSFLP* >Brasy5G439900.1.p pacid=40075416 transcript=Brasy5G439900.1 locus=Brasy5G439900 ID=Brasy5G439900.1.v1.1 annot-version=v1.1 MSRDPLIVGNIVGDIVDYFDASARLRVLYGNREITNGSELKPSLYTLVMMDPDAPTPSDPSKREYLHWLVTDIPEGGDVSRGTEVVAYEKPQPTAGIHRFAFVAFRQTERQTIYAPGWRANFNTRDFAECYGLGAPVAAAYFNCQREGTCGGRRYRS* >Brasy5G360800.1.p pacid=40075417 transcript=Brasy5G360800.1 locus=Brasy5G360800 ID=Brasy5G360800.1.v1.1 annot-version=v1.1 MDPRRSHWESSSEDVTRPLLPLHDGGERCCSPLIKSLRANKYLAIAAGPLAAALICAVVDLGAGHTAARNMLAVLAWVFLWWLTDAVPLAVASMAPLFLFPLFGVSSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPGDTGDDDAREVRRFSKAVVLGVVYASAIGGMATLTGTGANIILVGMWSTYFPEQEPITFSSWMSFGFPMALVLFVALWATLCLMYCSKNTGRALSAYLDRTHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWSVLFHGDVGDGTVTIMMATLLFIIPSGKGDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGVITEFTSDDATTTLVLPLLAELGKTIGVNPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYITIKDMVITGMPLKVVGVAALTILLPTLGSVVFGVDQKV* >Brasy5G029200.1.p pacid=40075418 transcript=Brasy5G029200.1 locus=Brasy5G029200 ID=Brasy5G029200.1.v1.1 annot-version=v1.1 MQRRRDKINDKMRTLQQLMPTCTKTDKASTLEEVIQYIKSLQHQMQVLSNGYGLPTAILPPSGMRPTTCMQLVGDTFGGQVASVALSPYPMVFACPQYSNSVPLALPSPVLHRHKIGSAYATQQLAHRRHLVSPSHDLFVPTSHGRVDSHPANIKE* >Brasy5G390000.1.p pacid=40075419 transcript=Brasy5G390000.1 locus=Brasy5G390000 ID=Brasy5G390000.1.v1.1 annot-version=v1.1 MRGRSSHRGTANHTSAAASTSSNREPEDVSDAASEESGDEEVEVSSSSGSESEGDDYAERERKLERVLADVPFGELQRARADGSLAARSVSAAAAQKKARRESRKRPMEISTNVRPPRFREVIQVPKKVLRDPRFEPVYGAVDKQGFRKRYNFLFDEELPAEKEKLQKSIKKLKDPNAIEEMKSHITWIDKQLRSNPQKNVESEILRGHIKKEREAAKTGKRPYYLKKSEIRERKLVDKYNELKEAGKLDAFIEKRRKKNASKDHRYMPYRRDAGGA* >Brasy5G355700.1.p pacid=40075420 transcript=Brasy5G355700.1 locus=Brasy5G355700 ID=Brasy5G355700.1.v1.1 annot-version=v1.1 MTPRMEEKAGRWWRPHRPSVPPRPPSRTRCRSTGQRRASRRSSLRMRMPARRRKSGWGSTRDFSSLALDTGFGSGSNLVATSLDCFDTLKKKYSRAELNLAKLKNMGATILHGVNAKTMKLHADLKTRKFDRVVFNFPHAGFRGKEDQMHVINAHRELVKDFFRSASLLLRPHGEVHVSHKTKYPYNMWNLKELAAEFALDLVEQVDFQIADYPGYNNKRGDGLSCDQPFMLGKCSTFKFRIGDLKKMRRAHTFGSVPSIGNGRYHPNSLASGGCRPFYPPPLAPERPQPRVAFDMFSVPVGSGFSHYDAVQRCLPVPGCLPQPNDTMSGIIHQDQTWYQRTRSPEHFLQEQATEARLRVLVAMYGRQ* >Brasy5G262500.1.p pacid=40075421 transcript=Brasy5G262500.1 locus=Brasy5G262500 ID=Brasy5G262500.1.v1.1 annot-version=v1.1 MADVFDSDGDGNNDNDNSSREQLDGSGNLTKNSDGPSSSPVVGDVSSPPTSQLACSPLPSIRFGSFPVGALSVGATVPGGRWADMVEEDEHAASAPPFAADGCRLPPAVADRTAKAGGSASGGSVDTSLRRGPSMPAAKRSLAAVAGAAAVRASPQLAVGAGAAAAS* >Brasy5G227600.1.p pacid=40075422 transcript=Brasy5G227600.1 locus=Brasy5G227600 ID=Brasy5G227600.1.v1.1 annot-version=v1.1 MASAAPPPPWSELLPELLGRVIARLPMPNDLARFRLVCRSWHSAAREHGGRRLPWIVHSDGSFVVLPRRVLHRPLPLPQGTRFIGASGSWFALDRTDVCDRRSTRKYFLHNPFFGVTVPLPGLDSVIGDGSDGFKVRKVLMRSSSPDDLIVAVTTDKYCNYQIILCRPGKHGAWASKTHAMPYTRIHDVAFLGDNLYGITYGEGLVHLDNLYGITYGEGLVHLGLGEDYDNKPIVTNFEHIIKHQHSYAEDDDEISINGDDDDDEVSINGDDHDDEVPINEGGSDDGGLTSKGDGSNDNEELSRRKEEDNGEALIGNDTTTTNGELSSNEGNDEEDAEYIETSSVEDKNDAGKSTDPDGTDEPEDPEGTIEPEDTIITSSARVVRGLMVKRCELSSPYLGTHNLKVDVMEADMDAGVWVPATAVDVRAIFISNQSSKSAPAHEKAQTRGFKCYFDDEHDKFTPYDGTDAYIQALLNKKSTWVFPPEVVI* >Brasy5G056600.1.p pacid=40075423 transcript=Brasy5G056600.1 locus=Brasy5G056600 ID=Brasy5G056600.1.v1.1 annot-version=v1.1 MAVESNPGSLPVSVGATIQLPRVQVTATPFPSVQGVVQLPPSEVVIKPPSVTVILPGSVLAPRLAATGKLETTTGGGVDEVDQRMKEMSTTAESNSRSLPLSAEVAVQPRPAGLQDPGLAGGVITIPMPSIVVQVLPSGGIIIPGVVNTETVTGKN* >Brasy5G440500.1.p pacid=40075424 transcript=Brasy5G440500.1 locus=Brasy5G440500 ID=Brasy5G440500.1.v1.1 annot-version=v1.1 MWSSDSEADLAAAAAMTTTTASSSPSSASSSSSSPSASPPLPSPPQQQPRRRRSRRSRRQNTNTAEAEAEDVWRGAQWESAWPRRASPVVVVAAEETTAAGGGSSSPGGEGSGEGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFNLDDHHHQQETPAPPSPSPPIANWKISSPGDSPEEVKARLKYWAQAVACTVRLCS* >Brasy5G493500.1.p pacid=40075425 transcript=Brasy5G493500.1 locus=Brasy5G493500 ID=Brasy5G493500.1.v1.1 annot-version=v1.1 MSAQAQAKSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVSIRVVGVADSSSLLLAAADDVRASGGLDDVLLGDLCAAKSAGSPLSSLLARGHCQVFNKPEAMAKVIDAATMLGRTTGLVLVDCSATYDTIGVLKNAVDQGCCVVLANKKPLTSSYEDFQKLTSNLRQIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMEDINVESLYPSELGPEVISTKDFLESGLAQLDESIEERVKAASLRGNVLRYVGVIESTGCQVGLQEVPKNSALGRLRGSDNVVEIYSRCYENSPLVIQGAGAGNDTTAAGVLADIVDLQDLFQKRV* >Brasy5G388900.1.p pacid=40075426 transcript=Brasy5G388900.1 locus=Brasy5G388900 ID=Brasy5G388900.1.v1.1 annot-version=v1.1 MAMDGSDQRISSASSPSSHDQYGVVWSPLPKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGMRNKRLWLGTHLTAESAGRAHDAAMLALRGPSAAAKCLLNFPDSAWLLAVTPSTHADLDDIQRAALAAVADFRRREAANVAAANVGANFPVPIAVDEVNSIASMAPVDNAGLPPSFQPSAAGMFEAPVAMGSDMFELDMPDEMDLGMYYTDLAEGLLMEPPPPDTWACWESGDYGEGGADASLWSY* >Brasy5G067000.1.p pacid=40075427 transcript=Brasy5G067000.1 locus=Brasy5G067000 ID=Brasy5G067000.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMEREAAGGVGARGGGEAGGSRGGSRPGWGREGEAAGVGEAGGSRGGAGRVGGGGEGGGSRGGWGGGGGAGRVGGAGGGGEGRGEAGELGGGGSGGGGRGGGSGGGGGGDEAEAGRRRGGARRGGGGEGAAGMRRGGARRGGARRRRGGGGGDEAEAGRRRRRRAAGMRRRRGGGGEGRIRPWRRRIRPGRRRGAAAARERERGPARARE* >Brasy5G331700.1.p pacid=40075428 transcript=Brasy5G331700.1 locus=Brasy5G331700 ID=Brasy5G331700.1.v1.1 annot-version=v1.1 MPRTCMKLTHQAHTSLCQTADQHVENKTTSVLMTSFNGARGAAMPQDAPNASATGIIIIDSRPSDHPL* >Brasy5G252800.1.p pacid=40075429 transcript=Brasy5G252800.1 locus=Brasy5G252800 ID=Brasy5G252800.1.v1.1 annot-version=v1.1 MEVFFGLRKNREEPERKPVRSRVQGKARAQGGRRSRGRGARGHGQGKEKGSAGGPGCTRARRGQRRGGRGGTRPRSAGGAGARAKAGAARARRGRHGVRAGGPQGARGGARAKAQGGQGAWGPGGARAKAGGHARAQGGRRGQQGARPGPTGPGRPGPGRRALVGHGLAEGPLTVRLQLAGLRAIIDAVESRGWTPGRWPPASEANRSHGRRRQRCCRGGGGGGGWAAARGGRQTAMTHSRIHWPRQQGSTPGAGWAAAGGDLRPVQAAQPAGSGRRTTAGASSCRGGARSWGLDRGGGPMKPSPSMGLLHERETRPPLAELAQSPPSLSFDRHSPPTGGDGIYRGSTAGYKDSHRSPKAPTMSQQCRSSFSARRHRSRTSRPSAS* >Brasy5G151400.1.p pacid=40075430 transcript=Brasy5G151400.1 locus=Brasy5G151400 ID=Brasy5G151400.1.v1.1 annot-version=v1.1 MADLWIAVTAGRPRHRLGRRREKGVPTRRRSATGRPSLRRSLRYPKVGSYALFASADLEQPETARALTLRVSRGITVRGTEQSCGERINVPCAASATTGLKIGKQRSTINLWYIRRRHNLKPSFAEETVKANEKYERSEVHVEDRNSGTMVNQSLSFPPIGVPENGVNLTSRMTGVEVDQKGISNRSVDEMASTSSCQYRAIVFNKNSADKDLFNLSLVIQSLCNLRHFRDRLLTEPLVWIPSVDNPCIAQISYEIFSSCIAGSPQTTMIKSFADLLVLLDEQFCKENNCEDCGNVQTVELSLSNTPHFFTIVLNWLGGIESQDTLSEVMDGLASPLETEFFCRSAHSATMHTVTSMICYDRYVCFARDNDKWIIYDFEAVVMQTEDTWEHLLERFKDGKLQPEVLFFEVIK* >Brasy5G479000.1.p pacid=40075431 transcript=Brasy5G479000.1 locus=Brasy5G479000 ID=Brasy5G479000.1.v1.1 annot-version=v1.1 MAAGTVRKLVVEVVEARDLQPKDGMGTCSPYARADFDGQRRKTRTVVRDLNPVWNEPLEFTFPGAGGGVFDPLVAGGGEPLEVSVLHDLRVGPSGRNNFLGRVRLDARRFFVRKGEEALIYFPLQKKHLFSWVRGEIGLKVYYVDEPAPVPVAPDPEPPAGADPPPADAPDTATEAVEESPPPAPDVAAAPASADPPPVPTEEAAPAGEEASPDKPPEADEAATVDAPVVMTSEAVPASSTEPAAETPPPLPMPMPRQVPLPARPALPPVEVLPVEPSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAVAGGRHASTREARRGAFFEWDQTFAFARGDPADAGPTMEVSVWDLPPDADVSVADDRSFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLERADLMVATWAGTQADEAFADAWKADSPPASSMATAHPASSRAKVYVSPKLWLLRLTVIEAQDTLMAARADAGIAVRGTLGFQSLKTRTTAAATRNGGPAWNEDLLFVAAEPFTDDDCLDISLEVRNGKDAFTVGSASVSLASIERRVDDRKVASKWLDLLPSDDAAAARKANGKFRMPAAHVHGGRLHVRVCLDGGYHVADEPPYASSDFRPSARQLWRPPVGVVELGVVGCKGLLPMRAADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPQPSDDAAVTPSRPMGKVRIRLSTLENGRVYRGSYPLLMMLPTGAKRMGDVVLAVRFASSGTLLDTLHGYLQPSLPPMNNLRPIPPGHREPLRLAAARITASHLARAEPPLRREVATWMLDAGVSGFSMRKLRANWNRAAAALSWASGVARWAEETRTWRSPAATAMAHAVLVLLAWHPDLVVPTLALHVAAVGAWRYRRRPRAPAPHPCVRASMAEAPEREELDEEFDPVPSARPPETVRARYDRARVVGARLQAMVGDVATQAERVQALVSWRDPRATGMFVALCVAVAMLMYVVPLKVVAVVAGFYYLRHPMFRDRTPAPAVNFFRRLPSMAERIM* >Brasy5G176500.1.p pacid=40075432 transcript=Brasy5G176500.1 locus=Brasy5G176500 ID=Brasy5G176500.1.v1.1 annot-version=v1.1 MSAALDRATTRGVEEDIIVPLLARLAAIGVVLDAAHQDNTPATRAKAVAQLEKVRREMAHLEGVFRTIDGAEKRIRHGFDPVEQHLDNALQIPDAPPSAGRILRELLAAAADIEAIKASIREAYSIPCDDLGGGGGGEGPSSAVPTGTPMAMTNRMGEIRHGPQMRHLRLAVGGLEARLRGCVLCLAAFPSGAVIKKRLLIHWWIGEGFVKSADAGKRRFDELVAKGFIVPAAPTTSLCSTVHRCTVRPWMRDLLVSVARSSGFLDLDPGNDFTLARRPCLRSGVGGRKTLPPAGLSPDLRAIYNIDQKYLELDDGWFAGKKELRALQLGQWREFRPLQQIADPMDSHIEVSGVERLADLGVCKRLRYVSFRGISRIESLPDSIGRLRELVVLDLRACHNLEELGQGITKLDRLEYLDLSECHLLGGMPKGLGRLTRLQVLKGFVVANSNSKDPCHLNELTKLEKLRKLGIVIGKMAVPTDDEFMKLGEFAALESLTIRWGLIASEKNRNGDRAASHPIAMTKFALPRNLKKLDLHCFPVAEFPQWVPKGVRKLYIRGGKLATLGDEEDWEVEVLRLRFLRDLRYDHDRLQRCFKKLDPEHTEIHACPNFIAN* >Brasy5G344400.1.p pacid=40075433 transcript=Brasy5G344400.1 locus=Brasy5G344400 ID=Brasy5G344400.1.v1.1 annot-version=v1.1 MSHLSILTFKYNLAKLQFKPARPTGRQLSSRDRQPSDLMMYKPDDEDMKKVFNKIAGEPGRISRSDLRALLQRLEKTDPVGDARRMVCAADNNKDGYIDLEEFMEVHRSGVQVGDIRRAFFVFDRDRDGKISAEEVMDVLQKLGESCSLEECRRMVKEIDRNHHGFVDMDDFMDMMTRPRKKP* >Brasy5G145000.1.p pacid=40075434 transcript=Brasy5G145000.1 locus=Brasy5G145000 ID=Brasy5G145000.1.v1.1 annot-version=v1.1 MDAAGSSPPPPLRIVIVPWLAFGHMLPYLELAERLATRGHSVSYVSTPRNVARLPRLRPAAAPRVDLVALPLPRVEGLPDGAESTNDVPDDEREPLWKAFDGLAAPFAAFLAAACARDDTRPHWVLADCFHHWAVDATLDHKVPCAMFLPTAAVIATMPQRQPDHAASGPAEDAVPSHEMDAMAPLLSDKGVSGMSVAQRYISTQERCVLGVIRSCPEWEPESYPLAATILGMPVVPLGLLPPSPDGGRRAPDGDEHATVRWLDAQPPNSVVYVALGSEVPLPVGHVHELALGLELAGTRFLWALRKPNGVPDADMLPTGFQDRTRGHGLVTTGWVPQMSILGHGAVGAFLTHCGRNSLIEGLLFGHPLVMLPVFGDQGPNARAMERKKVGLQVERDDNDGSFNRQGVANAVRGVMLDAEARRGFVANARKMQKVVADQELQEKYVDGFIQELRSYTTAANDNFTTS* >Brasy5G156100.1.p pacid=40075435 transcript=Brasy5G156100.1 locus=Brasy5G156100 ID=Brasy5G156100.1.v1.1 annot-version=v1.1 MAGMEGSFIGSMEVGEAVTGQHRRVKEGPSKAVTGQRRRVKEGPSKAVAGQHRRVMEGPSKAVAGQHPRVKDGPSKGLQERDWNILPGEDSQRGMMKPTAGQRKKKGLPNAGKLPATRRKTEEAPAGRSVGEKVADVELSALGEEKPAADDADSKEVIFVMSKDELDYILSWDYKEDKFSEDEDSDPEWIEACDIFRKGRLELHEYQQKVCKEFEEHGYVEVDPAKYTDEYQKKEEEEDLAAFLEVFGHDFHLTRTDSGPYGEVPGVVQEVIAEGAN* >Brasy5G514100.1.p pacid=40075436 transcript=Brasy5G514100.1 locus=Brasy5G514100 ID=Brasy5G514100.1.v1.1 annot-version=v1.1 MELPQWASFLGVVLATVVFLKAVLRRRGGSPKPTYNLPPGPKPWPIIGNLNLIGTLPHRSIHALSKQYGPLMQLQFGSFPVVVGSSVDMARFFLKTHDVVFTDRPKTAAGKHTTYDYSDITWSPYGAYWRQARKICLTELFSAKRLESYEYIRGEEVLALLRDLHGASGRVVVLKDFLSTVSLNVITRMVMGKKYLEKEVKDEAGAVITTPDEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVDEHGERRRREGEGFVAKDMVDVLLQVASDPDLEVKLNREGVKAFTQDMIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDMASLPYVEAIVKETMRLHPVAPLLVPRLSREDTSIGGHDIPAGTRVLVSVWSIGRDPALWDKPEEFAPERFLGSRIDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFAWRLPEGVTSEGLSMEEIFGLSTPRKFPLEAVVEPKLPAQLYAQAA* >Brasy5G071700.1.p pacid=40075437 transcript=Brasy5G071700.1 locus=Brasy5G071700 ID=Brasy5G071700.1.v1.1 annot-version=v1.1 MERVTKLSSEKAVVIFTASEYPMCHTVTSLFSDLGVAAAEHELDKDPRGREMERDLARRLGGHSPPVPAVFIGGKLVGSTDRVMSLHLGGKLVPMLKAAGAIWL* >Brasy5G263300.1.p pacid=40075438 transcript=Brasy5G263300.1 locus=Brasy5G263300 ID=Brasy5G263300.1.v1.1 annot-version=v1.1 MGRRHPAGEKTKGIVCQRLAAGLVVLPRSVLKTLEGGSTRRRLRLRTWFALYFSSGTLSIDDMCHVFKNSEEHHVAEKKKHWWL* >Brasy5G190200.1.p pacid=40075439 transcript=Brasy5G190200.1 locus=Brasy5G190200 ID=Brasy5G190200.1.v1.1 annot-version=v1.1 MDDRPVVKIDSVLDACMEMRKAVVSVCDALRPDTPGNNTRWLAGARIHSAADKADGVCATGIDSAVVRPSTTSEALFSCMFD* >Brasy5G501300.1.p pacid=40075440 transcript=Brasy5G501300.1 locus=Brasy5G501300 ID=Brasy5G501300.1.v1.1 annot-version=v1.1 MAACEEIEVLLRRQQELVTQLRALILPSLVSRDNVGGGGGASMAPLAAQLFEDVIGCNTSAVSWLMRARPTVDDKSLVRKNASSTSAAGEKLGEKASPTRSVDQRRRRKASKQSRSLVTNVPDYDGHQWRKYGQKNINGMQHPRSYYRCTYKERSCSAAKTVQKQDHNGSSFSYGDETVNYTVMYYGNHTCNGENINNVVNADLPQLVTMDLDQTVEETVQSATEVQEFDEGDLNLPALLEVLDDPQLNWEIIC* >Brasy5G375400.1.p pacid=40075441 transcript=Brasy5G375400.1 locus=Brasy5G375400 ID=Brasy5G375400.1.v1.1 annot-version=v1.1 MGSSTSYFLAFLLGAAIPTACVVVLLPSLCSRAGVGVIPAQQLACNATQAADGGVNELGTLPATSPEDGDLPRLLRRAAMEEGNTVIMTFTNEAWTAPGSLLDLFLESFRVGHRTESLLKHLIIVAVDTKAFEHCQHVHSLCYSLVVGGGMMNLTTEQAFMSKDYLEMMWSRNKFQTRVLELGFGFIFTVNTYKLMKWGRWCADNSSPDLSHTFLIDPFLHQDVDIVWFRNPLLRIPVGADIAISSDQFYGEDPYDMNKNANGGLVYARPTGRTIAFFKGWYEARTAYAGMNEQAVFDKVKYGLSLRHGVSVHFVDTAYFGGFCHPKKDFRQLCTFHGNCLPGLRIKLDRLRGVLDEWKQFKVTGKQGDRNNSTRK* >Brasy5G308400.1.p pacid=40075442 transcript=Brasy5G308400.1 locus=Brasy5G308400 ID=Brasy5G308400.1.v1.1 annot-version=v1.1 MAEEGRLLAGAGESILPPRLEDAGLEDCALPPESIAEAFSLAALAVSSRLPHLSLSDDEDEDEGDAPLAPRGGCVEDAGPTRGSIPDPLVGAGGGRVGGADDVVVIGGGEAGGGGGSDQVVVVGRGDEEDRVEVVGEEPGQKLGQEKGCVDGVSEEEDMVKKAILVEDFA* >Brasy5G508800.1.p pacid=40075443 transcript=Brasy5G508800.1 locus=Brasy5G508800 ID=Brasy5G508800.1.v1.1 annot-version=v1.1 MGNALWRRYCCDEGQDNDNRGGGGDDIYGGGDGDDQYACYYPAPWRPPPAPHGVAVASSSANISSRIILRPEKQSPPPHGVAAASSASPTPARSSLLRFEHDLLDSVLSSLRLPQPQPAPASRPQWHAPPPVPHGAVASSASTSRSSLLRPQQPAPASRPQWHAPPPVPHGAVASSASTSRSNLLRPQQPAPAAAPVSRRKQRRQRPPAPHGVAVVSPANTSSRMIFRPKKQNPPPQPPLASHGVAAASSASPTPARSSFLRFEHDILNFVHTKMVPQGLGEHVTSSKKAQAKWYRNISKAYVKTEPLPTTSAEAAMLIATSLSRIQGANLEGILAFYGFAIPAPPVVTKEHLSSSLPDGVQYVLKTLPVDAKGIGDGDGFTAYVDTEDPMESTNVPLEVHEAVTAMAQSRKRRNNQNKNVLQNKLDKAGYGIMNTSKDVILAKQYRIRLRGIDAPEMGMQYGKESQNALVKLIAGKSVMVYIYGQDKYNRYVGDIYCDGVFIQEQMLKEGHAWHYKIYDKRPEFAKWEKKARHARRGLWTYDNPETPWDWKRSRGIGK* >Brasy5G426000.1.p pacid=40075444 transcript=Brasy5G426000.1 locus=Brasy5G426000 ID=Brasy5G426000.1.v1.1 annot-version=v1.1 MATDPAATSQAPADLVPPPPVTAATVLLGATPQDHIRPPCAMDGSDLAALAPVHRPALRRHSGRRFGRRRQGVYVGFVFGWMDSAVDSIFWFFL* >Brasy5G389100.1.p pacid=40075445 transcript=Brasy5G389100.1 locus=Brasy5G389100 ID=Brasy5G389100.1.v1.1 annot-version=v1.1 MDMGLEVSSSPSSSLSASFSSEHEAGRSSSPAPTPAKRPAGRTKFRETRHPVFRGVRRRGAACRWVCEVRVPGKRGARLWLGTYVTAEAAARAHDAAMLALGGRARLNFPDSAWLLDVPPALADLADVRSAAVQAVADFQRREAAADEATSSVSAKPSSSGNAGSLETPEPSAHDGKFGEVPVATDSDMFSRLDLFPEMDLGMYYYTSLAEALLVDPPPPEATAGAYWDNGDCGEGGTDVALWSY* >Brasy5G150100.1.p pacid=40075446 transcript=Brasy5G150100.1 locus=Brasy5G150100 ID=Brasy5G150100.1.v1.1 annot-version=v1.1 MATATEDPDWSSLPDDMLFLVMQALEIPDLFSAGSVCTSWRSACSAVRRVRIPITDASPCLLYSCAADDPATATVYSPSSGVVFKVRLPDPPFRSRHVVGSAHGWVVAADEMSNLQALNPLTGAQVDLPPVTGLYHIESFSDEKGAPMYNHYYDELNYRSPSAYRPQKLRLFLYYRVFLSCSPSAGSRCIVLLLHRPDGQLSFARVGDDRWTRIQDTECRLWNDGIRNATYNKNDGLFYIVHSDGSILTLDLNGPSPVTRKVFPKATRWDQPTKYVVLTPQGDIMQLWRYISDRIVDVSVVPPELAHEVYDPYSEIYTDDMELYKVDIDEQKLATMKNHLDNYALFIGFNSILLVSTKDYPTLKPNCAYFTDDSYEDICVCKYNTRDIGIWNFETKTVESLGDVQAVHPWLNFPSPIWITPSLC* >Brasy5G263400.1.p pacid=40075447 transcript=Brasy5G263400.1 locus=Brasy5G263400 ID=Brasy5G263400.1.v1.1 annot-version=v1.1 MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSSSLVPSFPRSSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEHEEKTDTWHRVERSSGKFLRRFRLPDNAKAEQVKASMENGVLTVTVPKEEAKKPDVKSIQISG* >Brasy5G423100.1.p pacid=40075448 transcript=Brasy5G423100.1 locus=Brasy5G423100 ID=Brasy5G423100.1.v1.1 annot-version=v1.1 MEQEELAAEYKEPPPPPTLKTEPVTFSELLQLFGDSWNVEGDAWVQVFGALQVYEFPERVHELDAILMSPGIEQGPADHHP* >Brasy5G358900.1.p pacid=40075449 transcript=Brasy5G358900.1 locus=Brasy5G358900 ID=Brasy5G358900.1.v1.1 annot-version=v1.1 MEATKERREQEQHKDSVQLPTETSPYVQYNKEDGLEDYKMRAYGARGHLPVSDLPHGGTGTDAPTIPGTALPTAPQLQQQHKQRGQGAGGDADVATDTINRHGVP* >Brasy5G502800.1.p pacid=40075450 transcript=Brasy5G502800.1 locus=Brasy5G502800 ID=Brasy5G502800.1.v1.1 annot-version=v1.1 MYDVDRTVLLAFGAGLAIAASCVAAVCCCCSVTIRKLRERAAAAELQRTLLSVAVQHFNARQHRMQQQPAAHAAPPQADPAVEAWVRARAERAETALRIVNGASFPRWQPGAGDGEEECCPACREKMERGEACCVLPACGHEFHRDCMAGWIKTSLNGTCPACRTPVCQRPVVANLV* >Brasy5G055100.1.p pacid=40075451 transcript=Brasy5G055100.1 locus=Brasy5G055100 ID=Brasy5G055100.1.v1.1 annot-version=v1.1 MIDFKPAGRMTMTTRRSQLLVLVIASKLLLLLLVFLPSASSSIFLEQQQQSNKIITHLPGFSGPLPFQLQTGYVEVDGINGVRLFYYFIRSEKKPEEDPVILWLTGGPGCSALSGLVYEIGPLSFDSHAYVDGIPKLLYRPDSWTKVSNIIFLDSPVGTGFSYSKTDQGCKSGDTEAVNQIVIFLKKWFDGHPEFMSNPLYIAGDSYSGLLVPAITLELAKGIEDGSGSLLNLKGYLVGNPVTDNNFDDPAKIPFAHGMGLISDEIYQVYKESCGVQENSHQRDRCTNSLDVIDKCVKDICTNHILEPLCSFASPRYPNNLRLTSGARQMLQAMYTAEAGLQLSEISTQCRTAGYTMSRIWTNNDTVREALGIDKGTVPSWIRCNYGILYNYTADIRSSVKYHLDVMTSRSGYRSLVYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDAQVSGYTRSYSNNLTFATVKGGGHTSPEFMPKQCLAMFSRWVSGDPL* >Brasy5G131600.1.p pacid=40075452 transcript=Brasy5G131600.1 locus=Brasy5G131600 ID=Brasy5G131600.1.v1.1 annot-version=v1.1 MIIILYASSSGSSGSSGRSGRRRGSSGRSGRRRGSGRSRSGSSSRRSRCSRRSRRSHRSGRSGRSGRSRSGGRSGGRSSRSRSSGRSGGRSSGRSGGRSGGRSSGSGSSSGHSVAVAIGWLNLAGFNAGDLGACARRSRDLWKLQRRSGAGRLYCARKQQTGAARGRSSPERFQRPAWHAGLTEGTREKERERKKEKE* >Brasy5G117300.1.p pacid=40075453 transcript=Brasy5G117300.1 locus=Brasy5G117300 ID=Brasy5G117300.1.v1.1 annot-version=v1.1 MMHSPRKPALFEALICRKCRGEIVPVEETWHRETLPLPPFYDHTIDQHTLILSYAVVGNVICVSVRTVSSGSMTVRSGSTYCFDTVSQKWSLAGDWEMPFHGKAEYVPELKLWFGVSADNSQLPCAADLSPVLGGRKPKKPYVWGDPDQPEDWHPELFSSASIVSLGSGRFCITRFLQDMEGTSWRDALSCPIGKSIVVFTGLEVLAGNGNGKESGSDSSKEHGNGNGNGLRMIKHKSRVCTILKSKAIEEVL* >Brasy5G272300.1.p pacid=40075454 transcript=Brasy5G272300.1 locus=Brasy5G272300 ID=Brasy5G272300.1.v1.1 annot-version=v1.1 MTIPKLRIFFLQIYFLNRMWFDDRLMVQGRHYAKHMVMYYCRSKCPRTSRRGLGKKSGSSENALSTLYSCLPPKKRPMHINIGSSRGCTNKDELDTETFEICMEDVWTSIDLDKKINYEYFDSLWFYIYTIGNDKNKSDVLERIKDKKKFSKQYVFVPIILWGHWNLLVLCNFGEKNYLGTKKGPRMLLLDSLKTTNPTRLQSAISKFIVDILKSQEREEFQQFIKEIKLEIPEVPQQSGRMNCGIYVLYFVFCILFIEKLGEDLSQLDALFHQEVLKIIEGFRRDIHSFLQYVYLAAQPTTNQRIKLLPYL* >Brasy5G177600.1.p pacid=40075455 transcript=Brasy5G177600.1 locus=Brasy5G177600 ID=Brasy5G177600.1.v1.1 annot-version=v1.1 MANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFRSDPSTTARTKALGAISSLIRNNQPGLSAFRLGNGYAALKDALGSDDARLQRKALHLMQYLLDNRADRSVATELGLPNLMMHLASSDDAGIREAALGGLLELARDKTPAAGNTLPDQDKLKDVLRSRIEGISAMDTDDLHAAREERQLVDSLWKE* >Brasy5G177600.3.p pacid=40075456 transcript=Brasy5G177600.3 locus=Brasy5G177600 ID=Brasy5G177600.3.v1.1 annot-version=v1.1 MANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFRSDPSTTARTKALGAISSLIRNNQPGLSAFRLGNGYAALKDALGSDDARLQRKALHLMQYLLDNRADRSVATELGLPNLMMHLASSDDAGIREAALGGLLELARDKTPAAGNTLPDQDKLKDVLRSRIEGISAMDTDDLHAAREERQLVDSLWKE* >Brasy5G177600.2.p pacid=40075457 transcript=Brasy5G177600.2 locus=Brasy5G177600 ID=Brasy5G177600.2.v1.1 annot-version=v1.1 MANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFRSDPSTTARTKALGAISSLIRNNQPGLSAFRLGNGYAALKDALGSDDARLQRKALHLMQYLLDNRADRSVATELGLPNLMMHLASSDDAGIREAALGGLLELARDKTPAAGNTLPDQDKLKDVLRSRIEGISAMDTDDLHAAREERQLVDSLWKE* >Brasy5G510500.1.p pacid=40075458 transcript=Brasy5G510500.1 locus=Brasy5G510500 ID=Brasy5G510500.1.v1.1 annot-version=v1.1 MAAEQEPTAGKRDGSGAISDELSLFASLLSRRRFGEEDLRVLEAALSPGGDVAALLATRSEARRLLRERATEAFAAVEDGDGTRLAVADFFARAFALAGDVESCLAMRYEALVLREAKYSHDIHLRVSHEEWSTFAKDSLDNGFYTIASKASANALVHIHPSHLGYLDSTNSILKKDKIDDIRGLQNLAKSLSAQRSVQTQSAEYMKRKASGVNEKCDLHPTKPKLPGSVMFRLGIRTRNAQKLLHSRKRNLEEV* >Brasy5G510500.2.p pacid=40075459 transcript=Brasy5G510500.2 locus=Brasy5G510500 ID=Brasy5G510500.2.v1.1 annot-version=v1.1 MAAEQEPTAGKRDGSGAISDELSLFASLLSRRRFGEEDLRVLEAALSPGGDVAALLATRSEARRLLRERATEAFAAVEDGDGTRLAVADFFARAFALAGDVESCLAMRYEALVLREAKYSHDIHLRVSHEEWSTFAKDSLDNGFYTIASKASANALVHIHPSHLGYLDSTNSILKKDKIDDIRGLQNLAKSLSAQRSVQTQSAEYMKRKASGVNEKCDLHPTKPKLPGSVMFRLGIRTRNAQKLLHSRKRNLEEV* >Brasy5G360900.1.p pacid=40075460 transcript=Brasy5G360900.1 locus=Brasy5G360900 ID=Brasy5G360900.1.v1.1 annot-version=v1.1 MVGEARQAQNGPAASRTPPRANTSDPTGSGTTNHGSGASITGSSRAPPLAPPRLGGLPRPGGHPRAAAPRRAASRAPPPPGAQEGEKTAPPPPSQDAPDFAGPSSGSGDAGEGGGGEVWPAARVSPVSSEEGDAGGGR* >Brasy5G043500.1.p pacid=40075461 transcript=Brasy5G043500.1 locus=Brasy5G043500 ID=Brasy5G043500.1.v1.1 annot-version=v1.1 MVEGCDDFAKIHSTYMNRKYTAYKYISCNVNFTTLITKERLNDFAENFVVIVDCHGFKYDLHIKIGNDATLLCGAYWRLFAKTHELKAGDSVHFSAGYYGTAFHALLVGVPADNVLDASIFGLREVSRDVRVRFHDTTFKNMDELTDREVRKVLAAFGRLDDHEDFDRELIVQKMTNVDMASRSLVIPCKTKNVLRIPFSGYGNFNSVKLRKFIEAKYTTTTAGDTVIFRGWEKFCLRHKIRSGSVLLFEVDNAAELFVQVHIIKR* >Brasy5G013100.1.p pacid=40075462 transcript=Brasy5G013100.1 locus=Brasy5G013100 ID=Brasy5G013100.1.v1.1 annot-version=v1.1 MAGAPSAPSLPRAALPLSQVPHPAALSSGHLRRARPLSRGLLPLSPHPGRLYASSCSSACCTPPARSTPRCRPCRARLPFAPAPRRPRLPAPSPTHAPPVPPALLVGPICSVACSSPRLHLAAGSSPAALPFLAAPCAAPHLCIFSSPQAQAKPSRCPCCVLLLLCYCCSACIVLLLCTSSTPAKTTSLWR* >Brasy5G232100.1.p pacid=40075463 transcript=Brasy5G232100.1 locus=Brasy5G232100 ID=Brasy5G232100.1.v1.1 annot-version=v1.1 MQAVLSNSSSKGAVSASSSSSSSSSSSMERTSQWVSSQDVPADIVVRVAGAAFPLHKAVMAPKCGRIRRAVVVSARAPGSAVVEVELEGFPGGAEAFEKAARYCYGANFEISTRNAASLLCAAAFLDMASGSGGGLAGRVEEFLAKVGLRTLPGAVAVLRSCEGESLRLAPVADDLARRAADTAALRICNEALFATRSPADWWAAELAVLSSASFNKVVASLRSRRAGPEILAAAAIGYVELRLAEPVAEEDHRALLESVVAVLPPRDEAPLPAAFLCRLLRVALAVDAEESTTRRDLELRLAAVLDQASPADLLSFALDDDNHDSTQPPRAAHLDTLRRVITAFVSLTSGAGPDDDNRSSNSNRRRSSLSGSPPPAPNRTAKMQKVAKTVDEVAAELATEETLPISKFVSIAGAIPRESRPGSAAHDCVYRAVDVYLKAHPGLDEIEREKVCGVMDPLKLSPAARLHASQNKRLPLQAVLSALYYDQLKLRSGQLTGDEDDRSEAGSARMQAKADATLARENEALRSELARMRAHMSSSSSGSGGGQRSKGSGSRTPVTGKTKVGFFGSMSRTLSRLNPFRTGSGGWAKDTSYIADAAIVKTKRRRFSIG* >Brasy5G232100.4.p pacid=40075464 transcript=Brasy5G232100.4 locus=Brasy5G232100 ID=Brasy5G232100.4.v1.1 annot-version=v1.1 MFRSMLMCRVSSQDVPADIVVRVAGAAFPLHKAVMAPKCGRIRRAVVVSARAPGSAVVEVELEGFPGGAEAFEKAARYCYGANFEISTRNAASLLCAAAFLDMASGSGGGLAGRVEEFLAKVGLRTLPGAVAVLRSCEGESLRLAPVADDLARRAADTAALRICNEALFATRSPADWWAAELAVLSSASFNKVVASLRSRRAGPEILAAAAIGYVELRLAEPVAEEDHRALLESVVAVLPPRDEAPLPAAFLCRLLRVALAVDAEESTTRRDLELRLAAVLDQASPADLLSFALDDDNHDSTQPPRAAHLDTLRRVITAFVSLTSGAGPDDDNRSSNSNRRRSSLSGSPPPAPNRTAKMQKVAKTVDEVAAELATEETLPISKFVSIAGAIPRESRPGSAAHDCVYRAVDVYLKAHPGLDEIEREKVCGVMDPLKLSPAARLHASQNKRLPLQAVLSALYYDQLKLRSGQLTGDEDDRSEAGSARMQAKADATLARENEALRSELARMRAHMSSSSSGSGGGQRSKGSGSRTPVTGKTKVGFFGSMSRTLSRLNPFRTGSGGWAKDTSYIADAAIVKTKRRRFSIG* >Brasy5G232100.2.p pacid=40075465 transcript=Brasy5G232100.2 locus=Brasy5G232100 ID=Brasy5G232100.2.v1.1 annot-version=v1.1 MAPKCGRIRRAVVVSARAPGSAVVEVELEGFPGGAEAFEKAARYCYGANFEISTRNAASLLCAAAFLDMASGSGGGLAGRVEEFLAKVGLRTLPGAVAVLRSCEGESLRLAPVADDLARRAADTAALRICNEALFATRSPADWWAAELAVLSSASFNKVVASLRSRRAGPEILAAAAIGYVELRLAEPVAEEDHRALLESVVAVLPPRDEAPLPAAFLCRLLRVALAVDAEESTTRRDLELRLAAVLDQASPADLLSFALDDDNHDSTQPPRAAHLDTLRRVITAFVSLTSGAGPDDDNRSSNSNRRRSSLSGSPPPAPNRTAKMQKVAKTVDEVAAELATEETLPISKFVSIAGAIPRESRPGSAAHDCVYRAVDVYLKAHPGLDEIEREKVCGVMDPLKLSPAARLHASQNKRLPLQAVLSALYYDQLKLRSGQLTGDEDDRSEAGSARMQAKADATLARENEALRSELARMRAHMSSSSSGSGGGQRSKGSGSRTPVTGKTKVGFFGSMSRTLSRLNPFRTGSGGWAKDTSYIADAAIVKTKRRRFSIG* >Brasy5G232100.3.p pacid=40075466 transcript=Brasy5G232100.3 locus=Brasy5G232100 ID=Brasy5G232100.3.v1.1 annot-version=v1.1 MAPKCGRIRRAVVVSARAPGSAVVEVELEGFPGGAEAFEKAARYCYGANFEISTRNAASLLCAAAFLDMASGSGGGLAGRVEEFLAKVGLRTLPGAVAVLRSCEGESLRLAPVADDLARRAADTAALRICNEALFATRSPADWWAAELAVLSSASFNKVVASLRSRRAGPEILAAAAIGYVELRLAEPVAEEDHRALLESVVAVLPPRDEAPLPAAFLCRLLRVALAVDAEESTTRRDLELRLAAVLDQASPADLLSFALDDDNHDSTQPPRAAHLDTLRRVITAFVSLTSGAGPDDDNRSSNSNRRRSSLSGSPPPAPNRTAKMQKVAKTVDEVAAELATEETLPISKFVSIAGAIPRESRPGSAAHDCVYRAVDVYLKAHPGLDEIEREKVCGVMDPLKLSPAARLHASQNKRLPLQAVLSALYYDQLKLRSGQLTGDEDDRSEAGSARMQAKADATLARENEALRSELARMRAHMSSSSSGSGGGQRSKGSGSRTPVTGKTKVGFFGSMSRTLSRLNPFRTGSGGWAKDTSYIADAAIVKTKRRRFSIG* >Brasy5G261000.1.p pacid=40075467 transcript=Brasy5G261000.1 locus=Brasy5G261000 ID=Brasy5G261000.1.v1.1 annot-version=v1.1 MADWGPVFIGLVLFILLSPGLLFQIPGKGRMVEFGNFQTSGLSILVHAVIYFALIAIFILALGVHVYLG* >Brasy5G317400.1.p pacid=40075468 transcript=Brasy5G317400.1 locus=Brasy5G317400 ID=Brasy5G317400.1.v1.1 annot-version=v1.1 MLSRARRLHPALRRLLRASAASSYPPPPHILTSQIPKPFPLLRRYLSSPPPPPPPPTASLPPAVVSSDLPAVSANGTCPGCGIAMQSADPALPGFFNLPSPKSPDYRARLAPVTADDTQISTSLKSGHLREGQENSGGKEAAAVEVVEAKKESKVLVCSRCHSLRHYGHVKRPDAEVLLPDFDFVAAVGPRLASPSGARSLILLLADASDFDGSFPRAVARLVAAAGEAHQEDWKRGAPANLPRAVLVVTKLDLLPTPSLSPDDVHAWAQARARAGAGADLQLAGVHLVSAARGWGVRDLLNHVRELAGARGKVWAVGARNVGKSTLLNAIARCSGAEGGKILTEAPVPGTTLGVIRVDGVLGAQAKLFDTPGLLHGHQLTSRLTLEEQKIVQVRKEMQPRTYRIKAGQSIHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTENAASMMKDHFGLQLQPPIGQKRVSELGKWVRKQFKVSGNSWDSNSMDIAISGLGWYGIGLKGESVLGLWTYDGVDVVPRSSLVHERASIFEEPGFSVSKIVSQADSMTNKVKSSKKANKKESKASSCPPAAPEPATVIDA* >Brasy5G341400.1.p pacid=40075469 transcript=Brasy5G341400.1 locus=Brasy5G341400 ID=Brasy5G341400.1.v1.1 annot-version=v1.1 MPANPEPSGKPWPLATSTCRLKATTPLFFGAGDCDDDDDFQSPHPHASRARPFEPSNGAVSRHLRKKLRLPSSNSGKENRLVTGSTAPAVKVAAVAAAAAAETLAVCSSVGASAPKDKEAASAGICGLSRYGSDYSNLGAIGKKGLSGYDGCKTSSSCFTNSTESRILESDATCDLGGGNCEEAEELSRTCTTFHGVGVEVGRSGSCLRGSASNEGNVDAKAEAASRSETKRNEWSGFGVHDGNYQLCSKESELLVGNSKYDFGGADCKFQELGLGICSLVDEERRVVAEDVATFKSETRENKSSSAADCNGCHCSGSVKPMLPESRKNHHFEADDCDNSEIGTQLNELINLCMEDQADGHLASRASSVEGNKMDSGRFEPVNKVQCPLCRSDISDLSVELQLAHTNNCLDEGDPAKESKSNNESGPCAGENIENKCVLQWLRNLGLSKYEEFFIKEEVDWETLQWLTEEDLLGMGINSLGPRKKIVHALGELRKKNDRANDTEPEVLFSENNNRTKLPMNGNKLITEYFQCFSSDQKQRDRKVQKHSNLNKQKNSSAKVATSRSLTRKGKVKDTPIWCCIPGTPFRVDSFRYLRGDCSHWFLTHFHLDHYQGLTRSFCHGKIFCSSITANLVHHKIGVAWDRLHVLPLNKRITVSGVNLTCFDANHCPGAIVILFEPPSGRAILHTGDFRFSSEMANNPVLQSSHIHTLILDTTYCSPRYDFPSQETVIQFVIEAIQAEAFNKKTLFLIGSYTIGKERLFTEVGRLLQKKIYVGAAKLQILKHLELPQEIMPWLTANEAESHIHVVPMWTLASFKRLKHLSSQYADRFDLIVAFCPTGWSFGKGKKKTPGRRWQQGTIIRYEVPYSEHSSFTELREFVRFIAPEHIIPSVNNDGPESADAMLAQLLND* >Brasy5G140000.1.p pacid=40075470 transcript=Brasy5G140000.1 locus=Brasy5G140000 ID=Brasy5G140000.1.v1.1 annot-version=v1.1 MRPAADDVAASTCVGSLLALSPCLPFFGDAGTSSAPEGCCEGLRGIVDADQSVCLCHIVNHTLQRAIGVDIPVGRAFDLIGGVCGIALAPPQDFADTCASDRAAVPPLYACPVPSA* >Brasy5G289800.1.p pacid=40075471 transcript=Brasy5G289800.1 locus=Brasy5G289800 ID=Brasy5G289800.1.v1.1 annot-version=v1.1 MAAEGSGGGGGGRKTSSPHKTKERGAAKFLAGLPSHGNFSSSSISSNLGGLRVYVCEHDTDPPAGQVIETDTTNILIRHLQLKKKESEAKDSRTPGENGKGKRSAARSLDGQHSSKKPNLSTSGLPSSGWQPNKVPAAFRETPLARQRRLWAGTKQLKSWRHTEQGVSIIQFSTEANFN* >Brasy5G289800.2.p pacid=40075472 transcript=Brasy5G289800.2 locus=Brasy5G289800 ID=Brasy5G289800.2.v1.1 annot-version=v1.1 MAAEGSGGGGGGRKTSSPHKTKERGAAKFLAGLPSHGNFSSSSISSNLGGLRVYVCEHDTDPPAGQVIETDTTNILIRHLQLKKKESEAKDSRTPGENGKGKRSAARSLDGQHSSKKPNLSTSGLPSSEEAISGFSQHTLQSFTVERLRALLRQRGLPTKGKKDELITRLRETQS* >Brasy5G039800.1.p pacid=40075473 transcript=Brasy5G039800.1 locus=Brasy5G039800 ID=Brasy5G039800.1.v1.1 annot-version=v1.1 MGRAEREQPSRQGLLIWGEGAILAPVLAGPSSRPRALANERTSAPLRGRADEQTRAPQWSQRAGVRRRREEEAGRAPALVSAPAVREEEGEDGDGGGAVERRRRARLGMGRAGSGWGRGLGAPAAGRLGMGLGRAGGGRGGQGWGRADSSGGGRTRARWAVGEMHRKRERR* >Brasy5G024000.1.p pacid=40075474 transcript=Brasy5G024000.1 locus=Brasy5G024000 ID=Brasy5G024000.1.v1.1 annot-version=v1.1 MEFNGDGFLLVSVPLLPQRKHEGRRVSANRGGGRRGGGGQCGGGAGVAVLPHQVRKMFFGDVSEIRESMMQYVLQQTPAVTLVPVSGDRRGYRKRSSRARRSTDALVKWFCHQHDFLGYALAGSFNGDSFGIDQMGCLRGMTSLLPLVRTISSIVDGVKTNMAIVAAIIENGIHASLQIPQDLTHLLDGLKNYAIGFSLMVQSHICHEEEDSKTALFVRMYKRLKVLEKIDPAKHGQILQSIQQISGTNLWLASAMSNTHLHCVLRYNPLKRNATRNMPNLVTKFLRTKVQYTDDLAGFLTCRRNGQAHMESDSSVDMIGSMEAAIFGAAAGLNTYHQLQYSDVVAAAVQPVEPLATRLLKPVPLSVAYVIEKALETFLLSGAPLSLNAAKVGAAAAANYIVNEL* >Brasy5G181800.1.p pacid=40075475 transcript=Brasy5G181800.1 locus=Brasy5G181800 ID=Brasy5G181800.1.v1.1 annot-version=v1.1 MQARWAPPTPFFVISFPHSPPPPPAPIAMAADAVPGPTKAGPLKENDENNKLFPLNEQALIAFLKAVSDAAVTGITILNVYSAICITSWAENPVNTVLKAAMMGLPFSVLPILLITRSIMKLLDQGGCSLDMGATLVLFEFIYIYVLGGVTTTVLFEVSKVTVASVIVVLICIVIKLWGRLSNHPKYLMVINFDRVDLENSVMKVSSQTVNKTKVAARKLEMAPKHLMSANSIKISLNIFFVLYLVFYVGFMLVLGTVAEKWWHALLGISVMSLIHMSGLYLTSYLRDGLVKVQTAGLPCNEDIGCKLLVSEKM* >Brasy5G098100.1.p pacid=40075476 transcript=Brasy5G098100.1 locus=Brasy5G098100 ID=Brasy5G098100.1.v1.1 annot-version=v1.1 MAFVLLQGLSEMRAPLVAGAPSALAAAAWAVVLLGALGSVSLASASDDAGFSPTHAPPLAPSPSPAAAPPHPAHRAALPRKVLRPADTDVAETGVDLVGVRPYRVDEGCAGAQDIAIYQGRGTRLPSGVPAYTVDVMNRCSGSDGECGIAGIHVRCGWFSSVSLVDPRKFRRLAEDDCLVNDGQPLLAGETISFEYSNSFPYQLSVADATCVDPSSAASP* >Brasy5G409700.1.p pacid=40075477 transcript=Brasy5G409700.1 locus=Brasy5G409700 ID=Brasy5G409700.1.v1.1 annot-version=v1.1 MAFTSSLLPAPGSCVSARPGPGLASPPARKGGVSSLATARRRRTRHGVRAEANASGSALAVDALSQVKHVLLPVTDRNPYLSEGTRQAAATTTSLAKKYGANITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLMLPL* >Brasy5G344900.1.p pacid=40075478 transcript=Brasy5G344900.1 locus=Brasy5G344900 ID=Brasy5G344900.1.v1.1 annot-version=v1.1 MTPFMVSAQSGDVATVKYLLERGGDLMKADEKGRTVLHHVVCAGSCRVTEFLLSKGVPVDIDPGRGTPLYHAATNEQDKTVKILLKHNANSMKLLIKAGADINAKGSFAPPLVFATLHGGYTNYVEFLLKAGADPNIPDDLGRLPVEFAALRDCMEEVEMLFPLTSPIPDVQNWSIDGIICHAKIENSKPLDQRHIERRKKMFKSQADTAFKQKEYKMALKFYDVAIAHAPSATMYANRSLCKSILGDGEGALSDALRCRINGTT* >Brasy5G501700.1.p pacid=40075479 transcript=Brasy5G501700.1 locus=Brasy5G501700 ID=Brasy5G501700.1.v1.1 annot-version=v1.1 MALSSKAAAMATLLVAAAVAMLLAGEQASAAVTCGQVGSSIAPCVAYVTGKAAAVSPGCCSSIRGLNNMARTTADRQAACRCLKSMAGSIKALNMGKVAGVPGKCGVNVGFPISMSTDCNKVT* >Brasy5G008400.1.p pacid=40075480 transcript=Brasy5G008400.1 locus=Brasy5G008400 ID=Brasy5G008400.1.v1.1 annot-version=v1.1 MASSDGWSTVFDKITSSIWAVDLMPKEEHADEILSLAKRLKANPKELKHRRECGTVGTASTGFVVGTTEKSVLLVTSAHSLQPLYTPEEPLSLVTCSMFDIGLLCDHYEQNYQEAVSRGSVKYSDHRRYSNGDIIGVDSTKDLLLLSVEKTVITNFGGQEKHNPLVISEDNAEETRDCMMVSWPSHHPRTISKGSVGPVRTYDGINIQNYHGYNMDLLEVYMGTKKGSSGGPLFNKNGEVLGMLHGGFGENHSYFVAGDQHINSFLEVNGVPTIPKSSST* >Brasy5G524300.1.p pacid=40075481 transcript=Brasy5G524300.1 locus=Brasy5G524300 ID=Brasy5G524300.1.v1.1 annot-version=v1.1 MANDDIKYEEAYISNARGVELFTCQWSPSNHEPKALIFLCHGYAMECSISMRGTGTRLAKAGFAVHGVDYEGHGKSSGLQGYINNLNDVVDDCSIYFASVCEKEENNRKRKFLLGESMGGAIVLMIHRKEPTFWDGAILVAPMCKIVDDMKPGPIVVTILSKLSNVIPTWKIIPSEDIIDRAIKSEEWREEVRNNPYCYKGRPRLKTGYELFMASLDIESNLDKVTLPFIIVHGGADAVTDPSVSEALYTSSQSKDKTLKLYPGMCHALTSGEPESNINIVFADIIQWLDERASVS* >Brasy5G411700.1.p pacid=40075482 transcript=Brasy5G411700.1 locus=Brasy5G411700 ID=Brasy5G411700.1.v1.1 annot-version=v1.1 MEVKILSSKMVKPACSPHGGDATETEYIPLSIFDRVTFEMQMAIIYAFAPPAPSTAAIEKGLAAVLGQYRAFAGQLGESPDGSPAVILNDRGARLVEASVDADLVDMAPAKPTPELLKLHPDLEGEQPLEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGPPPVHHYHGLFKPRSSPRVEHDHRRREYYLPSPTDVVGHHGDAADNIVIHKAHFTKDFIAGLRADASVGRGRPFSRFETILAHLWRAMTRARGLGPEESSAIRLSVDGRHRLGKPAEYFGNMVLWAFPRSTVGDLLSRPLKHAAQVIHDEVARVDGAYFQSFVDFACSGAVHKEKLAPSAVLKDAHCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLAPSYIGDGSVDAFVPVFQHNLQAFKECCYSVE* >Brasy5G126600.1.p pacid=40075483 transcript=Brasy5G126600.1 locus=Brasy5G126600 ID=Brasy5G126600.1.v1.1 annot-version=v1.1 MDPLRPRLGALVAALVLAAAGGWLWGGAEASIHTYGLESFREVGNAFLLSGGSEGIVAVGTDPAAPASSFIKFVNVTFWRTAESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIKRPSSDDPDWPVVIDTHFSANHLSVKLEDEEVRITKTGMYNLFFISCDPKLRGLAMSGKTIWKNPGGYLPGRMAPLMKFYVFMSLVYLLVMVVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTVSRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKAKLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVVWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLVVICYLWAPSQSSQRYAYSGEVADDDDEEAQSLTKGPDGDVGMVKIDKDRNAGVSNAFSLEDEPEEDKRE* >Brasy5G077700.1.p pacid=40075484 transcript=Brasy5G077700.1 locus=Brasy5G077700 ID=Brasy5G077700.1.v1.1 annot-version=v1.1 MILYFPFASAPSLQPTSSSPIIGPNLKPTSPLSRSRSLWPALLRLPCPSSPSLHRTLRLCPPARRPLRHRLNPVRLSVPPARVALSSTGLLSGFFSGSPCGSLELGGGGCHAPQLALNLNQITSCSTSICKGRDVLLLEESLCGRRFIYPDLVEPLGVVAVDAATNHAEA* >Brasy5G390700.1.p pacid=40075485 transcript=Brasy5G390700.1 locus=Brasy5G390700 ID=Brasy5G390700.1.v1.1 annot-version=v1.1 MEGNGDGKARAPGPAATAPGPGGGGYGYGGYNGAGGDRKWWPWLVPTVIVACIAVFVVEMWVNDCPKHGSALGGGCVVGFLRRFSFQPLRENPLLGPSSATLGKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRSNYISVGASGALFGLLGSMLSELIMNWTIYSNKVAAIITLLFIIAINMAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERNELPHTNQPPKYKAYQYVLWVVALLLLLVGFVTSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT* >Brasy5G007400.1.p pacid=40075486 transcript=Brasy5G007400.1 locus=Brasy5G007400 ID=Brasy5G007400.1.v1.1 annot-version=v1.1 MAAARRLLALPILAVLLAAATGTHAGITSTYRRKLEATADMPFDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTASEPGSSTVRYDRASPDPRKMKLSARGTRTRYGYFNYTSGFIHHCTLTGLKHGAKYYYAMGFGHTVRSFSFTVPPKPGPDVPFKFGLIGDLGQTFDSNSTLSHYESNGGAAVLFVGDLSYADKYPLHDNRRWDSWARFVERSVAYQPWLWTAGNHELDYAPELGETTPFKPFTRRYPTPHRAAGSTEPFWYSVKLASAHVIVLASYSAYGTYTPQWTWLQDELKKVDREVTPWLVVLMHSPWYNSNGYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSKRFSNVEYDIVNGKATPVKNLHAPVYINIGDGGNIEGIANNFTKPQPAYSAFREASFGHATLEIMNRTHAYYAWHRNHDGAMAAAADSVWLTNRYWMPTHDDSI* >Brasy5G058300.1.p pacid=40075487 transcript=Brasy5G058300.1 locus=Brasy5G058300 ID=Brasy5G058300.1.v1.1 annot-version=v1.1 MIGRSCWRSGGAGCQRPPGGAAGGNLEEEQQATTRRRRRPPGGGAAGAAGGHLEEEQQAATRRRRARARWWRKTPDLKCCACVGFMLCTVIF* >Brasy5G324100.1.p pacid=40075488 transcript=Brasy5G324100.1 locus=Brasy5G324100 ID=Brasy5G324100.1.v1.1 annot-version=v1.1 MMLFILPLMCAFSFPFSSVRAAVRSESKCDDLTGDRLGYRGELSEVSWISCVSVTAL* >Brasy5G307500.1.p pacid=40075489 transcript=Brasy5G307500.1 locus=Brasy5G307500 ID=Brasy5G307500.1.v1.1 annot-version=v1.1 MAEGNSHGCNIIPTKDTHLFHFRVRFSAPEDIPITVPVVSPVVAGYQCDVLYWPLVAPGQILFILRVLPGSNPVLDGAAQVIPHMVFLDKTGSPAPSMGIETGTEMPAYGSGRMVRSYMLLAKGDDVKANCVVDDYVVVQCSIDVIRNRTTSDSSVEKKELPDLGHDLALMLDKQELTDVVFNVDGESFDAHRLVLAARSPVFRAELYGQMTESKMTTSITIQDMRASTFRSMLHYLYHGSLPNTGKAVVSSTIAEYQHLLVAADRYDIEKLKKICEDKLCGSDDSITIGNVVSMLELAEDYICPKLKARCFDFLAEGENFKMVATSDATASPWMLIFRHTMTTHSVIPQLHAASSNLMCVA* >Brasy5G305300.1.p pacid=40075490 transcript=Brasy5G305300.1 locus=Brasy5G305300 ID=Brasy5G305300.1.v1.1 annot-version=v1.1 MGGAAVAVLAAARRRSTLISSLISGPARGLHEATAAAGEEKARTRRRGRSSSSRLLGPDFIDTWDQASRDAGRPPPPRAAGVGYEPTATIIDGKSIAEDIRLQIAEEVCQMKNAVGHVPGLAVVLVGNRRDSESYVRFKVKGCEEVGIKSLLAELPGNCTEEEVVDSVSRFNEDPSVHGVLVQLPLPQHMDEEKILSAISLEKDVDGFHPLNVGNLALRSRKPLFISCAAKACLELLLQSGIDLMGKHITVIGRSKVVGLPTSLLLQRHHATVSVIHAFTENPEEITCESDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPASDYGYRLTGDVCFEEAVGVASAITPVPGGVGPMTIAMLLANTLDSAKRVYGLSD* >Brasy5G305300.2.p pacid=40075491 transcript=Brasy5G305300.2 locus=Brasy5G305300 ID=Brasy5G305300.2.v1.1 annot-version=v1.1 MGGAAVAVLAAARRRSTLISSLISGPARGLHEATAAAGEEKARTRRRGRSSSSRLLGPDFIDTWDQASRDAGRPPPPRAAGVGYEPTATIIDGKSIAEDIRLQIAEEVCQMKNAVGHVPGLAVVLVGNRRDSESYVRFKVKGCEEVGIKSLLAELPGNCTEEEVVDSVSRFNEDPSVHGVLVQLPLPQHMDEEKILSAISLEKDVDGFHPLNVGNLALRSRKPLFISCAAKACLELLLQSGIDLMGKHITVIGRSKVVGLPTSLLLQRHHATVSVIHAFTENPEEITCESDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEVNPDGYSAFFSRRSLIYNVAVSLLFYVHHIYLM* >Brasy5G332700.1.p pacid=40075492 transcript=Brasy5G332700.1 locus=Brasy5G332700 ID=Brasy5G332700.1.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNQELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.3.p pacid=40075493 transcript=Brasy5G332700.3 locus=Brasy5G332700 ID=Brasy5G332700.3.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFWENCEESEQLVEKGRDINNDNAVVKNQELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.4.p pacid=40075494 transcript=Brasy5G332700.4 locus=Brasy5G332700 ID=Brasy5G332700.4.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.5.p pacid=40075495 transcript=Brasy5G332700.5 locus=Brasy5G332700 ID=Brasy5G332700.5.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFWENCEESEQLVEKGRDINNDNAVVKNELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.6.p pacid=40075496 transcript=Brasy5G332700.6 locus=Brasy5G332700 ID=Brasy5G332700.6.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.7.p pacid=40075497 transcript=Brasy5G332700.7 locus=Brasy5G332700 ID=Brasy5G332700.7.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFWENCEESEQLVEKGRDINNDNAVVKNLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.8.p pacid=40075498 transcript=Brasy5G332700.8 locus=Brasy5G332700 ID=Brasy5G332700.8.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNQELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.9.p pacid=40075499 transcript=Brasy5G332700.9 locus=Brasy5G332700 ID=Brasy5G332700.9.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.10.p pacid=40075500 transcript=Brasy5G332700.10 locus=Brasy5G332700 ID=Brasy5G332700.10.v1.1 annot-version=v1.1 MRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNQELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.11.p pacid=40075501 transcript=Brasy5G332700.11 locus=Brasy5G332700 ID=Brasy5G332700.11.v1.1 annot-version=v1.1 MRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNELYKQLLLITEERDTLISRTEQMSLVINELEVLKEISDNKLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.2.p pacid=40075502 transcript=Brasy5G332700.2 locus=Brasy5G332700 ID=Brasy5G332700.2.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFWSVFSWDLFIKAMVPLFCASILYGLAGRIVKNQNNLWRKEETSIMTML* >Brasy5G332700.12.p pacid=40075503 transcript=Brasy5G332700.12 locus=Brasy5G332700 ID=Brasy5G332700.12.v1.1 annot-version=v1.1 MDRIHVTVRARPLSSEDAQSSPWRISGNAVALTAQPSTRFEFDRIFGEECRTADVYTARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.13.p pacid=40075504 transcript=Brasy5G332700.13 locus=Brasy5G332700 ID=Brasy5G332700.13.v1.1 annot-version=v1.1 MRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFCRENCEESEQLVEKGRDINNDNAVVKNLIQAKANIDELNCRISTMEVKMKNDASTCSKEKTKLRMQIRWLQPELDANRGSLKEALEERALMDKKYHEATAMLKQKLAEMCREVLKLREELKNLKGASN* >Brasy5G332700.14.p pacid=40075505 transcript=Brasy5G332700.14 locus=Brasy5G332700 ID=Brasy5G332700.14.v1.1 annot-version=v1.1 MRGSTNEPGIIPLAIHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKMQIHENSERGIYVAGLREEIVTCPEQVLGFVSFGESHRHIGETNMNVYSSRSHTIFRMVVESREKVDDSDSGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELREKLKNSESEHCDEDILNLRTTLLQSELEKERIALELEEERKAKEQREKRLLQQAKKIENLSSLVLNSERDDRTVVSSKNKRRQTWCPRPQLRQFDVEVPEPAEQGSARSSVGDRRNMGMPLHFEELMQESYLSNSDPPAHGCSSRDLSNDDVSLPDSHALLHVTSRRKPNTMEKSDQDQFGGLVPELPQEWKDTMQSQEITKPSGLSARESEAILVIKQLQDQVKLLELEKSSIQNNLDDVLELATQQKASFSEKYEELQRNALAAQEQAKIANEKLSALSTTEQSKEELVYEYFSNVLMETQGIAVQMDQSTHSVGNAISFIEELFQNLSMMAENIAELKQSSYVHITQSSYVIRDHEKMSRKLIEKISGLESEKKLLHEQFLEQQDELQMTKSSLVSCEKTINDCTLQHELEKDSILSELLTLQKEVLTLSSSSLMKEKESIRKELDRTKTKLRETENKLKNYIQGKIKLEGEKAEAHREIKKLQSQKTLLERDLRKHDSLAVDKRHELNVKPEELAGFFYQADQMQEDYQRLELHASDMETEIASLQETLMTSTGEKEEALSKLELMALEQEDLKNRFSATESKMMSLSEEITLLTKRLEASESFGRKMEASLSSVSGEKEDLGMQLTDVLLEMESERSMWIAKEKAYLEAKQQVNKCNDENSILSEDLIKVRQELAQCRELLGTLEGKMVLSMEHDVHDKKFWSVFSWDLFIKAMVPLFCASILYGLAGRIVKNQNNLWRKEETSIMTML* >Brasy5G282600.1.p pacid=40075506 transcript=Brasy5G282600.1 locus=Brasy5G282600 ID=Brasy5G282600.1.v1.1 annot-version=v1.1 MSIRQYIPSWAATSEDCWLQIVQQTWCDDDWLENSENCRDRRLLMTRIRHRHGSSCFNRFKKITQGKALRMVFCDWATQVVEAYTDAAKEKHGQDFDVMNEPLDPGVVYKAGSGKKHGRHIMCDGFVDSRSSVSQGRAMSNSSSADIRPPTRVKTTTDRILDLETKMDQMHQILLTLQGHLTGNPSNNDATPDRLGILKRPTLRIHFVIMWMSAIMKLCCNLQPTKCFVYVHLYATLCLVFKRFEWLDCLVMN* >Brasy5G293200.1.p pacid=40075507 transcript=Brasy5G293200.1 locus=Brasy5G293200 ID=Brasy5G293200.1.v1.1 annot-version=v1.1 MEGKEDDRKGSAAAGSSAPGARFKNLVSREYYSHKKKVHSVAWNCIGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKVYNNRTWEDELTIVDVRKLKPIHKQKFPYEINEIAWNKTGDLFFITTGLGFVEVVSYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANIQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST* >Brasy5G293200.2.p pacid=40075508 transcript=Brasy5G293200.2 locus=Brasy5G293200 ID=Brasy5G293200.2.v1.1 annot-version=v1.1 MEGKEDDRKGSAAAGSSAPGARFKNLVSREYYSHKKKVHSVAWNCIGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKEDELTIVDVRKLKPIHKQKFPYEINEIAWNKTGDLFFITTGLGFVEVVSYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANIQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST* >Brasy5G293200.3.p pacid=40075509 transcript=Brasy5G293200.3 locus=Brasy5G293200 ID=Brasy5G293200.3.v1.1 annot-version=v1.1 MEGKEDDRKGSAAAGSSAPGARFKNLVSREYYSHKKKSKVKDIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKVYNNRTWEDELTIVDVRKLKPIHKQKFPYEINEIAWNKTGDLFFITTGLGFVEVVSYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANIQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST* >Brasy5G293200.4.p pacid=40075510 transcript=Brasy5G293200.4 locus=Brasy5G293200 ID=Brasy5G293200.4.v1.1 annot-version=v1.1 MEGKEDDRKGSAAAGSSAPGARFKNLVSREYYSHKKKSKVKDIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKEDELTIVDVRKLKPIHKQKFPYEINEIAWNKTGDLFFITTGLGFVEVVSYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANIQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST* >Brasy5G232000.1.p pacid=40075511 transcript=Brasy5G232000.1 locus=Brasy5G232000 ID=Brasy5G232000.1.v1.1 annot-version=v1.1 MRPGSWVSSSCSGNSAAPGSLRRRRRRRKMGSLICVCLLQLLLLLLLLGSSRVSAQPPPPPSGASSPARVLDAMLQDYAYRAFVRPRTGVVYNGTAPGDLAGAVAVSALRLRSGSLRRKGFSEYFEFAVPEGVIVQPYVERVVLVYHSLGEELSERYYPLPGYTYLSPVLGLLVYDAANLSAVGLPELSIVASGSPISVNFRNVRALPPGGPAPRCVWFDLDGVAKFQDLQASNVCATYRRGHFSIVVNSSAVAPAPAPSIAPPAPSEGGDRAKGGTKGWKVAVSVVGAAIALGLLASLLLCFVRYKREKKMEVMERNAEAGETLRMAQVGRTQAPVAFGTRTKPVIESEYVA* >Brasy5G249400.1.p pacid=40075512 transcript=Brasy5G249400.1 locus=Brasy5G249400 ID=Brasy5G249400.1.v1.1 annot-version=v1.1 MVDVKGVIASLFIMLKENIFVLIRIEILVALVTVLFLVMFIMDFYRCRSCGSFVITALKIVDGLSDQIVVYLLGVMQSADFDNHLFAVWAVVLVSLRASLGYLSGYGIPDHERRLTELANVTKFLAVGILNGTRRLEFTKPLWSLWAILLLRSLYRFYAGKKAIGSLWHGRSSEFLPEYMRALPQNNEESSTDNGAPKYLIYGEYRQKIDLKRPGYTLHLKTIEENSLITLDKVTKCTEQLMRSDSRYKDMTIAFTLSRLLRCRLEDVTLHREKVLMTTHLIISEITNTDAERAFRILELELAFVREYFYTLYPMVFWQGLISLCFSLILSVATFGVAFWLALGIRKVYQPPQETLVLWVRGCNVNAVLTWVFLFFMMFKEVWEIVTYLLSNWTRLLLVCKYVQQSLPNAVMKDLTKSIFTSQIADPWHGNIDQYDFLESYTYKPYFWKLASALSMGKVPEKTDGKKIGKAIKIPKGVRPVILKALLRLGLNNPQLPREIPYLTQCGSPINQFTRYSWAYLELHTCSQVILVWHIATSLCEIKLAEDHGVNLTSPGFMRSAWSNLLCRCCSSQPYLVGKNSNLSDPLKTNYHVANSLSRYCAYLQVFQPGLLPDSFVVPEVIFEETVGHARERLTDCNLIRCRYNKLMEAAQDRADDRLSMNIIQQGATLGKDLIQYEDEETRWKILAGVWADLLVHIAPSWNVHPFLDKNLRQELRNGGIDRRPPCFPTYPEPIP* >Brasy5G182100.1.p pacid=40075513 transcript=Brasy5G182100.1 locus=Brasy5G182100 ID=Brasy5G182100.1.v1.1 annot-version=v1.1 MQAHLCPHPLSASNSAHAVTWSSSAHAWPVYTGKNPRKHGENAWLRLKPRREPPVSKPSPPASRRRLPPPSRLLPGHLVPRSGSTLSAVSRLQAVSSRDLRFPAAAAPSPPSSASKPSPPATSGSPAAAATSPPAAPPGSTIPHTLRRCRRRHRLITLSFLSFSDHRIKDAPAIDFSGRMQADFLAGLTPRATRCGRRGRLRQIYCSLFF* >Brasy5G249900.1.p pacid=40075514 transcript=Brasy5G249900.1 locus=Brasy5G249900 ID=Brasy5G249900.1.v1.1 annot-version=v1.1 MAMSGLGGVIAGAVAKQIVSKLFVGGEYAAAEIALQWRYREDLEMAEKMRDLEAVLGDADERSRRGGEGGRVFQRWLTKFKRVAYEVEDVLDELDANELIRKTQSKVNLWFSRNNQFLQRITMPHKMKKVTKKIDEIEKEGRRSQLNGHGMKTGMVGRGVEKEKIISLLLTSEEANQDISIIPVVGLGGIGKTTLAESVLADKRVGVFDVSIWVNVSKQFDLHKIGSAILRSMMNSTINIANCDLQFHLKKELATRRYLIVLDDLWEEDGNNLERLKEMLQHGCKGSRIMVTTRNLRVVQQLRTGFLVNERKIVPVPESDIINLDVLEPGDCWELIKQRAFGPDDNHSGLEEIGKQIAAKCGGLPLVANALGQVMSELKTAGAWEDIRDTKVDLGLREGHQKETLERLMLSYYYMKLEFKMCFTYLAAFPKGFVMDSNHLIQQWNALGYICPGYDGQRIRFG* >Brasy5G477500.1.p pacid=40075515 transcript=Brasy5G477500.1 locus=Brasy5G477500 ID=Brasy5G477500.1.v1.1 annot-version=v1.1 MASADLLRREEEFYESIFDSGKGDAAKTRGQMIERKIEALEDMATRVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGDEQPLSAFCRTSAGEWDAFRSIDMDVEARFMQRMKQSSAKQKNGVNENELIVLNAWHRIDCQTREAMKRNFLPELLEIYEERVRTFIEDPSDKDLLVLNVQDPFQRLLLHGVCEFYNVASTTTTTIKDDKPWKTTTIKKRSGTGAPSMITLVAFMRAKKDQKPLQFVGSL* >Brasy5G033300.1.p pacid=40075516 transcript=Brasy5G033300.1 locus=Brasy5G033300 ID=Brasy5G033300.1.v1.1 annot-version=v1.1 MAATVAAGPGDGGGDYTFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSSPVSSPPPVVRPLTPSSLCSAIHAHQAAASVAAAAATGPPRSSSGPAGSGAGPSPAAVADSPTSVIENHHAAAHHSAELPSSPSDAEDADEDDQEDGRQAGMPSVPHKPTVSFAETSGSLLESSSSSDEEEEEGADEALPHAAASGRSSGSLSPAHFESASHRRGPRPRGCYRCGKGGGFWARGDKESCLACGARYCTECLLRAMGSMPEGRKCLDCIGRPVVESRRNALGRGSRVLRRLLSAAEVELVMRSERACAANQLRPEDVYVNGAKLLPEELALLQGCPCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGTLDQKASNGSTGIMINGREITKSELQMLKLAGVQCAGKPHFWVNADGSYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKATNQCGEEAVHVGGQLIPDYLEQRSIQKLLLVGSGTSTILKQAKFSYKSKPFSPDECEDLKHIIQSNIYRYLGILLEGREQFEEEVLADRRNICQHDPSSSGHSESGFCDEVTEYSIVPRLKALSDWILKAMAFGNLEDIFPAASREYAPLVEELWKDPAIQATYRRRSELPFLPSAASYFLDRVVDISRTEYELSDMDILYADGITSSDGLVSTDISFPQLVLDARVVNEADPQDTLLRYQLIRINNNGLQGNNKWLQMFDDVRLVIFCVTVSDYDECYADANGNIVNKMMESRQLFESIVLHPTFEQTDFLVLLTKFDLLEQKIGSSPLTSCEWFDDFTPMVSRNLVNGSSTRSTRSSNTGASLGQMAAHYVAMKFKRLFHSLAGRKLYVSYVNALDQESVRSAIRYGREIVKWEEEKPVFGASSTVYSGEEPSSYSH* >Brasy5G469700.1.p pacid=40075517 transcript=Brasy5G469700.1 locus=Brasy5G469700 ID=Brasy5G469700.1.v1.1 annot-version=v1.1 MTDLAAALLGPRTTKPTTCAVVGNSGALLGSGRGAQIDAHGLVIRLNNARIAGFAPDVGARTSLSFVNSNILHLCASRAAATAPGCGCHPYGAAVPMAMYVCQPAHLLDALICNATANPSSPFRLLLTDPRLDALAARIAKYYSLRRFVTSSSGAAAAAGGWAKKHDERYFHYSSGLQAVVMALGLCEEVSLFGFGKPPGAKHHYHTNQKKELDLHDYEAEYEFYGDLQDRPEAVPFLDEAQGFTVPPVRLYW* >Brasy5G130200.1.p pacid=40075518 transcript=Brasy5G130200.1 locus=Brasy5G130200 ID=Brasy5G130200.1.v1.1 annot-version=v1.1 MAPTALIVITVAFFVAAAMPAAAELPRVEHSPSADGSLTILAVGDWGRRGEFNQSRVATQMGLAAEKMGADFIISTGDNFYDDGLTGVDDKAFEESFTDIYTAKSLHKPWYTVLGNHDYRGDALAQISPVLRRVDSRWICMKSFVVDAEIADFFFVDTTPFVLKYWTNPKNSTYDWRGVAPRETYTTNLLKEVESALKQSRAQWKIVVRHHPIRTAGKHGDTEELVQLLLPMLKAHGVDLYLNGHDHVLEHISSTDSPLQYLTSGGGSKSWGGVYAPGGDKVEFFHDGQGFMSLRLTGTEASLAFHDVAGDILHSWGHTKPAHRY* >Brasy5G499200.1.p pacid=40075519 transcript=Brasy5G499200.1 locus=Brasy5G499200 ID=Brasy5G499200.1.v1.1 annot-version=v1.1 MLEFEVDILENTNLVNILRVCFFKVQLSYCYRLAENMTVLDLSRCVLEEQDLYEYSTRCSQLRELHLGFHFDNVRLHSKSLRIVQIWMSRLDRVDIEYGPNLRSLMVSASPRLNSKLLRVLAQHSPKLDHVCFNLSNQALSINGTSLKTGNLSCPNVKFVSVGLNFSEAKETKYLLNTMKCLTKLERMTIWRMDNLSNKEKLNAAFCDWPSKLAGMSCLGTLDTFKFEDYKGGYSETSLVCAVLELSSSIKYLSIESDGSDEDVLRKLEVELIKVKQRFPHHVTWNFQMAAKGVQQRSSATGNKAG* >Brasy5G016100.1.p pacid=40075520 transcript=Brasy5G016100.1 locus=Brasy5G016100 ID=Brasy5G016100.1.v1.1 annot-version=v1.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMATAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEINLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAINEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVKDLDSHQLDAFSAAYTELEPALSGLNVLVETYFADVPAESYKTLTSLSSVTAYGFDLERGTKTLDLVRSGFPAGKYLFAGVVDGRNIWADDLAASLITLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDEEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQSSRRSSPRVTNAEVQKAATALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEEYTNAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSMEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYTEVKPALSNMVEAAKLIRKQLASTK* >Brasy5G104600.1.p pacid=40075521 transcript=Brasy5G104600.1 locus=Brasy5G104600 ID=Brasy5G104600.1.v1.1 annot-version=v1.1 MHTDGGAPAAGGDSTTDPSSPYFIDTAHPYAAAAASALTSHRAKSKWSQLSSLPLPDPLPASAVSAVILLLRRRPHVALSFHSFALRRLLSSPSPPPLILSASAAHVAAASRLRRAAISVLSSATCHYSPAQIFNALAATYRRFASAPFVFDLLLLAYIRSRRDPLAAASIARRYLSAGACPLPSTTAALFRSLPSADAALEMYHQIYTLPSPRTNRFLQPTVQTFNSLLLALYRQGKYEDFDIVLGEMDIYSCKHNVGTYNIRMAAFCDGREMDKARELWDEMVQEGIEPDVTTYNTMIGGYCGAGEVGMAEEMFKDMEICGIEPSVTTFEWLVRGHCRAGDVDAAMLVRSDMRRRGFGIGAEVVEEVVDGLCQNRRVEEALGILRSEMKREEFAPSRRSYEVLIRRFCEEGEVEVALRLQAEMAGKGFKAGSDVYHAFVRAYEKAEDYEMVERLRNEMSVIGIEVQIALASTQ* >Brasy5G498200.1.p pacid=40075522 transcript=Brasy5G498200.1 locus=Brasy5G498200 ID=Brasy5G498200.1.v1.1 annot-version=v1.1 MEAAGMSGGSSSSSKARLAVAVAACVIVLGAAAVVALHAAGAPAGLPPLDALRRRFRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLHERMVMVGAVKWVDDVIPDAPYAITEDFMKKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRGERSASDSRNHSSLQRQFSSGHGQKIDDSGSGSVTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISIVVQGTIAENMDFAKDESHPYAVAMEMGILHRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYESKNFVNGE* >Brasy5G498200.2.p pacid=40075523 transcript=Brasy5G498200.2 locus=Brasy5G498200 ID=Brasy5G498200.2.v1.1 annot-version=v1.1 MEAAGMSGGSSSSSKARLAVAVAACVIVLGAAAVVALHAAGAPAGLPPLDALRRRFRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLHERMVMVGAVKWVDDVIPDAPYAITEDFMKKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRGERSASDSRNHSSLQRQFSSGHGQKIDDSGSGSVTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISIVVQGTIAENMDFAKDESHPYAVAMEMGILHRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYESKNFVNGE* >Brasy5G420500.1.p pacid=40075524 transcript=Brasy5G420500.1 locus=Brasy5G420500 ID=Brasy5G420500.1.v1.1 annot-version=v1.1 MPAIPAAAALSPDAVVARHHLLHPAPISSSSSLARSSSTRLRLPARPRAAPSMSAEARPAPSAVAPPAHPTYDLSAVISLALSEDAGDRGDVSCLATIPTDVKAEATFIAKEDGVVAGINLAEMIFNQVDPLLKVEWFEDDGNYVCKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMVSVDQFLESEKLAVPVEVETRTLEEVKDLLKYTDENKTSLTRIMLDNMVVPLPNGDIDVSMLKDAVQLINGRFETEASGNVTMDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA* >Brasy5G420500.2.p pacid=40075525 transcript=Brasy5G420500.2 locus=Brasy5G420500 ID=Brasy5G420500.2.v1.1 annot-version=v1.1 MPAIPAAAALSPDAVVARHHLLHPAPISSSSSLARSSSTRLRLPARPRAAPSMSAEARPAPSAVAPPAHPTYDLSAVISLALSEDAGDRGDVSCLATIPTDVKAEATFIAKEDGVVAGINLAEMIFNQVDPLLKVEWFEDDGNYVCKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMVSVDQFLESEKLAVPVEVETRTLEEVKDLLKYTDENKTSLTRIMLDNMVVPLPNGDIDVSMLKDAVQLINGRFETEASGNVTMDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA* >Brasy5G197200.1.p pacid=40075526 transcript=Brasy5G197200.1 locus=Brasy5G197200 ID=Brasy5G197200.1.v1.1 annot-version=v1.1 MCPDRGRPTQYGWRAPVTLRYIKRWGPAARNTRFVAVPHTPPNTPYRSRVCAGVTGSIVATAITRSPTPPLTAVDMAAPTSSSSQGEEAHYRAAAALGGDGEAEGGETEGDHQNVYQPRAPPRLPHLPPSLCPFHPILSRRRSNLSASRTVFPFLLLSLPRLARPPPSSFPSTESAAAASLPRPSSAAPQLASPLSLHLRPRTRSAAVRLRALATSRRLHADTTPFPTRAPPRAPRSIRSARTQNAYIAAGRTNLSHHRLSRRRPALSNPDPLRPTAKIFSDAVAHPSVAPHSRCLPSPAAPLHSLAPPSCPLAPPVISDAVAQSATDSCHRTYILHSSATPSRRRRPSCCGCWSRCWYILIDRCMGYLHEPYVRRREPSAAPSF* >Brasy5G197200.2.p pacid=40075527 transcript=Brasy5G197200.2 locus=Brasy5G197200 ID=Brasy5G197200.2.v1.1 annot-version=v1.1 MCPDRGRPTQYGWRAPVTLRYIKRWGPAARNTRFVAVPHTPPNTPYRSRVCAGVTGSIVATAITRSPTPPLTAVDMAAPTSSSSQGEEAHYRAAAALGGDGEAEGGETEGDHQNVYQPRAPPRLPHLPPSLCPFHPILSRRRSNLSASRTVFPFLLLSLPRLARPPPSSFPSTESAAAASLPRPSSAAPQLASPLSLHLRPRTRSAAVRLRALATSRRLHADTTPFPTRAPPRAPRSIRSARTQNAYIAAGRTNLSHHRLSRRRPALSNPDPLRPTAKIFSDAVAHPSVAPHSRCLPSPAAPLHSLAPPSCPLAPPVISDAVAQSATDSCHRTYILHSSATPSRRRRPSCCGCWSRCWCALRPS* >Brasy5G141900.1.p pacid=40075528 transcript=Brasy5G141900.1 locus=Brasy5G141900 ID=Brasy5G141900.1.v1.1 annot-version=v1.1 MADNMASTSHHIATYGPEELKEITGDYTDVLGRGGYGVVYKGKCKDGKVIAVKVLHERPGDKMDTEFNSELELIRKLVHQNIIQLVGYCYKKESEYDSTRGAALCFEYAPNGNLRDYISDKTGGPDWCTRYGIIKGICEGLRYLHHHPSGCFIYHLDLKPENILLSDEMVPKIADFGLSRLYSDHETRITKNNCEFTMRYAPHEFRLRGIRSKSFDIYSLGVLIIEIVAGPATYDKIIDVSHSEIIEHGCKKWIHKLEEIRLYQVKKCLEIALKCSDTDKTERPGINDVIEELNKVEDMIPSIIKSVYHELKNNRASATGKIWDECEGHGKHKQGHDGVDNKQSIIIVSGARSAAWKTALQQEVAFFDSNKYGIISFSGKEADNMGSTSHNTDGEPKSKGFKELQEITCNFSQMLGMGAHGAVYKGKMDGKEIAVKVLLERPGGYRVEFKKEIRNLLKLKHPHITQLLGYSYETEKRLNNFTRKLDDTMKTALCFEYAPNGSLEDYISDEAHGLHWDTCYRIIKGTCEGLNYLHHRPSGPIYHLDLKPENILLSGKSGDMVAKLADFGLSRLFTDADTRITAQRLGTVGYLPPEYIERGIISKEFDIFSLGVIILKLVGGHGTHQEIYEMPHQDIIDNVHKRWMSGLEEAWPHQVDKCLEIGLKCIERDRHKRPKISEIINQLNEVESMREGSVPHQSRNEPGPELSKEEGDDRLGLGEVYACGRRRQSGGGGGGRSPNLSPVTTNFPLTISELGSSVSTSTDDKVILYVQTQGGRPSTVRACNTIRGALQSLHINFIEKDLFDRPDYAEEVEQLSEDMPYSLHVPVLSINGESVGDARELLKLHDDGELAALFQRATLAESSGHLRD* >Brasy5G132200.1.p pacid=40075529 transcript=Brasy5G132200.1 locus=Brasy5G132200 ID=Brasy5G132200.1.v1.1 annot-version=v1.1 MDIEAGRVDENESMAEKEKSQWAAQYMDRVPAFIKNLNRRAGRVDENESMAEKEKAQWEAQYIYRVPGFIKNLNRGAYKPRTVSLGPYHHGDRELLPMESHKRRALQHVLQRAGKTRNDLVKAMEVVVEQLLNAYTDLSADWRRSRRRDDFLQMMATDGCFLLEVMRADAGTNLDDYDVNDPIFSGHGQLYAIPYIRDDMLMIENQLPLLVLERIVAFELSARTIKVTNIFVSEKADIDNKVQQFLSLCGGIEYFETRWESETSQVAFLAGRAAENLLERRRSQVAFAYYVIYKERHGADIALLLSGASISDVSVNITPVEGNEVPGSDASSRVEELISPRTLSEGPVKKAVEVVREMLDNGFVLSEDTMERARSFDNRERHQLPSSQVVSLGSPGPGRGMDESSCQVSELTLSAVAPSPYTPVQIIGEVNDGLYHHLVNRMVLKFMPSSRFGNVGAANVGLHPLDVSRQSLVFDPSKYRISATTQSSAAELYKTGIRFTQSSTGDIDFDDKHGVVRMPFLLVNDSTEQMLLNMMAFERLHVKASNEVTRYVLFMEAIIKTAEDVKRLRKDELIHNLVGEDMAVAKMFNRLTSDIVKEPHPVHEEMDEYLKKHVLRKKLYESCYYLSHTHFQNPWTAISLLAAIALFALAIVKTIYTVRQR* >Brasy5G132200.3.p pacid=40075530 transcript=Brasy5G132200.3 locus=Brasy5G132200 ID=Brasy5G132200.3.v1.1 annot-version=v1.1 MDIEAGRVDENESMAEKEKSQWAAQYMDRVPAFIKNLNRRAGRVDENESMAEKEKAQWEAQYIYRVPGFIKNLNRGAYKPRTVSLGPYHHGDRELLPMESHKRRALQHVLQRAGKTRNDLVKAMEVVVEQLLNAYTDLSADWRRSRRRDDFLQMMATDGCFLLEVMRADAGTNLDDYDVNDPIFSGHGQLYAIPYIRDDMLMIENQLPLLVLERIVAFELSARTIKVTNIFVSEKADIDNKVQQFLSLCGGIEYFETRWESETSQVAFLAGRAAENLLERRRSQVAFAYYVIYKERHGADIALLLSGASISDVSVNITPVEGNEVPGSDASSRVEELISPRTLSEGPVKKAVEVVREMLDNGFVLSEDTMERARSFDNRERHQLPSSQVVSLGSPGPGRGMDESSCQVSELTLSAVAPSPYTPVQIIGEVNDGLYHHLVNRMVLKFMPSSRFGNVGAANVGLHPLDVSRQSLVFDPSKYRISATTQSSAAELYKTGIRFTQSSTGDIDFDDKHGVVRMPFLLVNDSTEQMLLNMMAFERLHVKASNEVTRYVLFMEAIIKTAEDVKRLRKDELIHNLVGEDMAVAKMFNRLTSDIVKEPHPVHEEMDEYLKKHVLRKKLYESCYYLSHTHFQNPWTAISLLAAIALFALAIVKTIYTVRQR* >Brasy5G132200.2.p pacid=40075531 transcript=Brasy5G132200.2 locus=Brasy5G132200 ID=Brasy5G132200.2.v1.1 annot-version=v1.1 MDIEAGRVDENESMAEKEKSQWAAQYMDRVPAFIKNLNRRAGRVDENESMAEKEKAQWEAQYIYRVPGFIKNLNRGAYKPRTVSLGPYHHGDRELLPMESHKRRALQHVLQRAGKTRNDLVKAMEVVVEQLLNAYTDLSADWRRSRRRDDFLQMMATDGCFLLEVMRADAGTNLDDYDVNDPIFSGHGQLYAIPYIRDDMLMIENQLPLLVLERIVAFELSARTIKVTNIFVSEKADIDNKVQQFLSLCGGIEYFETRWESETSQVAFLAGRAAENLLERRRSQVAFAYYVIYKERHGADIALLLSGASISDVSVNITPVEGNEVPGSDASSRVEELISPRTLSEGPVKKAVEVVREMLDNGFVLSEDTMERARSFDNRERHQLPSSQVVSLGSPGPGRGMDESSCQVSELTLSAVAPSPYTPVQIIGEVNDGLYHHLVNRMVLKFMPSSRFGNVGAANVGLHPLDVSRQSLVFDPSKYRISATTQSSAAELYKTGIRFTQSSTGDIDFDDKHGVVRMPFLLVNDSTEQMLLNMMAFERLHVKASNEVTRYVLFMEAIIKTAEDVKRLRKDELIHNLVGEDMAVAKMFNRLTSDIVKEPHPVHEEMDEYLKKHVLRKKLYESCYYLSHTHFQNPWTAISLLAAIALFALAIVKTIYTVRQR* >Brasy5G132200.4.p pacid=40075532 transcript=Brasy5G132200.4 locus=Brasy5G132200 ID=Brasy5G132200.4.v1.1 annot-version=v1.1 MDIEAGRVDENESMAEKEKSQWAAQYMDRVPAFIKNLNRRAGRVDENESMAEKEKAQWEAQYIYRVPGFIKNLNRGAYKPRTVSLGPYHHGDRELLPMESHKRRALQHVLQRAGKTRNDLVKAMEVVVEQLLNAYTDLSADWRRSRRRDDFLQMMATDGCFLLEVMRADAGTNLDDYDVNDPIFSGHGQLYAIPYIRDDMLMIENQLPLLVLERIVAFELSARTIKVTNIFVSEKADIDNKVQQFLSLCGGIEYFETRWESETSQVAFLAGRAAENLLERRRSQGASISDVSVNITPVEGNEVPGSDASSRVEELISPRTLSEGPVKKAVEVVREMLDNGFVLSEDTMERARSFDNRERHQLPSSQVVSLGSPGPGRGMDESSCQVSELTLSAVAPSPYTPVQIIGEVNDGLYHHLVNRMVLKFMPSSRFGNVGAANVGLHPLDVSRQSLVFDPSKYRISATTQSSAAELYKTGIRFTQSSTGDIDFDDKHGVVRMPFLLVNDSTEQMLLNMMAFERLHVKASNEVTRYVLFMEAIIKTAEDVKRLRKDELIHNLVGEDMAVAKMFNRLTSDIVKEPHPVHEEMDEYLKKHVLRKKLYESCYYLSHTHFQNPWTAISLLAAIALFALAIVKTIYTVRQR* >Brasy5G052800.1.p pacid=40075533 transcript=Brasy5G052800.1 locus=Brasy5G052800 ID=Brasy5G052800.1.v1.1 annot-version=v1.1 MREEAHQQKTRAANVGSTKWSANPHTLRNSGTGRGSGATFSRREPPIRQATHLKSSQKDGNGAGVNSADHKLERLRHKIAARENELKVQKRPMSPGFVKDADISDQTRPPLEKIGFKASNSGGCVHLDDPIGHDDRSVKRVKLNQQFANNQAHSDFPTLVPTGSSLVNNNLQSLERRDHIENGITVNCTVNDTEHALTIEPSDGGTAKNLLSSKSHHMVIQDGGNHATVEYHGKPAGPPFTSDQAVTEDTSALVPVTSIRAEANVERSSNYVKDHVFSKWDGRQLLPVDTVSNERSQLQPGMENADLLNRSGHIGIVGQNTTLLSLLEVEELQERELDDAQEHRRKCEVAEREALRTYRKAQRALIEANERCAILRRKREVCSAQVHGLIAGNSSLGQCLNIQNAEHGHVMPSLLNSQFHADCQMAELQGGRSSSPFLDEPPPQPVDKHEARSRYCDELAPSIADPKFVSTVHDTSTPSHYTEDDLLFPSKHPRSECTSNPENHMEETIHVYAEENRQASTDSAQDYELLEASLRSRLVKRFGVKPYLNNSGEVSEELAVGKTAETDQGKQSAHVGLQLQEVDENVMTNPEGTMELANDGAHCVEKMAGLSDSSNANSVGNCGPEDNISSLGESCVPASVNYLICPSSAPQNAARHIKWVFHGFRKETSDDRNDLVTSDVTSEAAVRPSVIQDHDEENLRMISTAPRDKDMVQSGIDPFWPFCFFELRGKCNDEECQWQHAENHAWRKSKRIKCAMPSVSGRSPYDLFQHILPVPTYRVGSNLIKADLNLMQSVLASSIWQYWQRGFCASFPLPLSVQRVLPSDAPSLLAGDGSVADFDRNRQLSNFRMLDSRKNKIVQGSLDVELFLEAALGLYCGKVNKPDRLKALLLLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTADTGEELKDRSAFILDIFLQMIYFLCMSGNVEKAISKIYGILPTAISDCSGDKLLADVISCLTVSDRCIFWISCLYVSIYRKLPEEICVQLEFPKELPRVLVWHPVELTVDNRCQVTELLKYAADKMAIDINETVKNGDLSYLTLSQFLAVNHVSCLAALEGFQSSVDMLIKYMKEYPACPNILLISARLYQKYGTCPGLKGFDELLMDWPKEVQGVQYLWNQYADHALADNIELAEKVLTHWFEECGKDGKLHCSSAVGMVEGSNEESEQPSFASTQEVSSGPSASEDQVYWLLNLSLYRMLESNLQEAEVAVEKALKLAQGESYEHCLREHAAIHALERPSSCSDTQTRATLSLISGYLADHRNLPKRELLSRRFIQNVRKHKLRQLIDDTIGPASADSSLINSVLEVCYGPSLLPGRIGEVKYLVDFVESAMEALPANYRLALAVCSFVVKHYTGADPTSMGTRFWASLVLINAIFRAVPVAPESVWIKGADLLEKLQASETVKRFHQQATSVYPFSFKLWHAYLTVCKASGSNTESIVEAARQRGIELNATSP* >Brasy5G080200.1.p pacid=40075534 transcript=Brasy5G080200.1 locus=Brasy5G080200 ID=Brasy5G080200.1.v1.1 annot-version=v1.1 MGTEVLRPHDPLSRAARRHHASTKRPSSAAAASPVLPRVKVAAAEAAYAGPAFGAMSPSPRALPLPRFSTKAAAATVDDSATRELRRLLGLERSTTN* >Brasy5G411800.1.p pacid=40075535 transcript=Brasy5G411800.1 locus=Brasy5G411800 ID=Brasy5G411800.1.v1.1 annot-version=v1.1 MPTVKQLIRNARQPIRTARKTAALKGCDSFRSYTIP* >Brasy5G246100.1.p pacid=40075536 transcript=Brasy5G246100.1 locus=Brasy5G246100 ID=Brasy5G246100.1.v1.1 annot-version=v1.1 MADEEPVDPKKYLEERCKPQCVKPLYEYERCVKRVEADDSGHKHCTGQYFDYLSCIDKCVASKLFEKLK* >Brasy5G278000.1.p pacid=40075537 transcript=Brasy5G278000.1 locus=Brasy5G278000 ID=Brasy5G278000.1.v1.1 annot-version=v1.1 MSRPSKTGASTTSQPRRASPSPTPSPATAPKAKSKNLGGSGSQRRRSPLSDLNSGDASAARTGCFRFLLSSNNNSGSKSRCASTPRTPKVHPANKTRRVAGAGSLPDQESKTKTDPWPGREPRGRRQEPIGGCRIRRLEEPARKQQGLARRQQQHLEALTPERKAGARSNTPSSGATPPIHASISPEVVACGAATPACFAAGHHVLPGVRDRRKCRARGILDIAGEGTSEELDSAPSRASIHWLSSSLSEEAGTCSTKCGNEETVIWLPSPRDEGVACLLEDEIFVPRCSSEDAFWRFSPDCTGLLGSPVLGGQLDFDTPASEISETTPSSGFLPVLKTPSTGDSISPFSLIVKRASHSSRLSSLCAQQGRGSYRCGSATDPTPISGESWTENASTGNCSGLVRASSRPMTRMDPVVECLEMMSLSPRPGDADYDESRALPAPLPELSFQFAGAPTPLESIDLSSFKRSPCDTELKGKEASFRKPVSMETRISWREGLVSRMFDMGDFDCCKWMSDDDEGPIILGNAEALAETNFQPISASCLHEGGEQSGFGSVEFSCNGGLLNYDSKAPPNPVSVAESMRAEGFELVSSDDSDWTLLYKNGLFET* >Brasy5G463100.1.p pacid=40075538 transcript=Brasy5G463100.1 locus=Brasy5G463100 ID=Brasy5G463100.1.v1.1 annot-version=v1.1 MPSAALAAVPVPDTLSPPPQHHQYHRGRLRSPLAANGNGGGGNFELRHWRTPLKRAPSCPPPAIEIPVGGEGEGEGGGHGYTSLRDILSSSPAKAGSPAAACCALGGAGSSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPAAARRSRRWRGPLCRLLLGCLSFFGALFRP* >Brasy5G458400.1.p pacid=40075539 transcript=Brasy5G458400.1 locus=Brasy5G458400 ID=Brasy5G458400.1.v1.1 annot-version=v1.1 MAVAAEGGGPAAVVEEGVGESSSPPRDAPPAPAPAPSGGSGGGAGGGGASRDICAEVFERLVADGNDEAAGPDFRAQLDAHFARLPYSYQLDINVDKSADVLVHQKVLAEAKDPLRRPAFRVRFLRIEDMDSTYDSDASDEVADDGDDLSVRQDTPYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTADGYSLDVFVVDGWPIEDTDGLHKALEASVLRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGDMIASGSCGDLFHGTYFGEDVAVKVLKAEHLNKNVWNEFTQEVYILREVCHTNVVRFIGACTKPPKFCIITEYMSGGSLYDFVHKQRNVLDLPTLLKFACDVCRGMCYLHQRGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELIASKIPYDTMTPLQAAVGVRQGLRPGLPENTHPKLLDLLQRCWETIPSNRPAFPDILTELEDLLAEVQGTLGETSERPKDVSTSAN* >Brasy5G441600.1.p pacid=40075540 transcript=Brasy5G441600.1 locus=Brasy5G441600 ID=Brasy5G441600.1.v1.1 annot-version=v1.1 MGSFSSIVAVVAVLAALVAGASCFAVVPPGPNIHDQLRRSLAPRKATGGACGIKETNLPPYNSLTSCGNLPIFKDGKGCGSCFKIKCRNKACSRKPVTVFITDMNYDQFAPYHFDLSGTAFGALASPGRESELRHYGIIDLQFRRVRCRLAPGTKIAFHVEEGSNPEYLAVLVKFVAGDGGIVRVDLKQEGGWPEWKPMRESWGAIWRMDVDYHTPLQGPFSIRLASESGEVLVARHVIPADWKPKTVYQSNVQF* >Brasy5G023900.1.p pacid=40075541 transcript=Brasy5G023900.1 locus=Brasy5G023900 ID=Brasy5G023900.1.v1.1 annot-version=v1.1 MWAIAQKIGQNARITCLCSPVFFRDSHKAKHLLIPINSGRAGNVVVKEIS* >Brasy5G405600.1.p pacid=40075542 transcript=Brasy5G405600.1 locus=Brasy5G405600 ID=Brasy5G405600.1.v1.1 annot-version=v1.1 MASYLAILLLLLRFSSGALAVSSSYIARSTEQQIIATAPPAAFSDTSDGQSALPPPFLASPSGSFAAYLRRGSSSTDGSGGGDDVCYVEIVQQPAGDGGSSSVWESDCTPVGAADTCDLAFSPMGLELFAGGHSLWDTGVDSSGPALLSLDGAGDLRIVSKDGVTVWRSTGDPFTGQRCGAAVQPSTDVLPPPASSTGAKAKLLAPPVTAASSLAGSSWGSDFSFGDQTAPPLDTDTPPADAYSPDMPSPPPPADPSTDWPDLPLAPPPPADTYPVVPIPESPDQPLFSMPPPPAPAPPTAFVPQTPLSPPPPLATPPAPLVPSPAATSIATPPDSSGSDGTVFLPPPQPPVGAPRHAHQLPLGAASPPVPGAAMAPSGAGHAHGLPFGQGQGQGVFGQQQNQVLNGGAGAGQPLEDSAGGWSSRERRGGAAGMSLALVALMALAVGF* >Brasy5G402800.1.p pacid=40075543 transcript=Brasy5G402800.1 locus=Brasy5G402800 ID=Brasy5G402800.1.v1.1 annot-version=v1.1 MADPPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREDKGLIGVLPVRDSEAASVGSILSPGVGGESGEGGSKSPGGSGGESTKQDAKNGKEPIHWHNRGVAARALHLSRGVEKPSGRVTYTVVLEGLCRFSVQELNARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPIYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQVFPCDGQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEEMELDLRAAKESLDHDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNRTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSTAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAAAVKVAELGSTLRLGKEIQPITTTLLDSRLADSGEVEMEVIPMGQDISNAYVNPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLKLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSDRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLSEIPAPILAGMEILLVKRIEEVLDHAFESGFPLRPRSSL* >Brasy5G402800.4.p pacid=40075544 transcript=Brasy5G402800.4 locus=Brasy5G402800 ID=Brasy5G402800.4.v1.1 annot-version=v1.1 MADPPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREDKGLIGVLPVRDSEAASVGSILSPGVGGESGEGGSKSPGGSGGESTKQDAKNGKEPIHWHNRGVAARALHLSRGVEKPSGRVTYTVVLEGLCRFSVQELNARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPIYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEEMELDLRAAKESLDHDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNRTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSTAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAAAVKVAELGSTLRLGKEIQPITTTLLDSRLADSGEVEMEVIPMGQDISNAYVNPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLKLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSDRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLSEIPAPILAGMEILLVKRIEEVLDHAFESGFPLRPRSSL* >Brasy5G402800.2.p pacid=40075545 transcript=Brasy5G402800.2 locus=Brasy5G402800 ID=Brasy5G402800.2.v1.1 annot-version=v1.1 MQRMGRSQFTGTTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPIYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQVFPCDGQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEEMELDLRAAKESLDHDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNRTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSTAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAAAVKVAELGSTLRLGKEIQPITTTLLDSRLADSGEVEMEVIPMGQDISNAYVNPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLKLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSDRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLSEIPAPILAGMEILLVKRIEEVLDHAFESGFPLRPRSSL* >Brasy5G402800.3.p pacid=40075546 transcript=Brasy5G402800.3 locus=Brasy5G402800 ID=Brasy5G402800.3.v1.1 annot-version=v1.1 MQRMGRSQFTGTTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPIYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEEMELDLRAAKESLDHDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNRTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSTAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAAAVKVAELGSTLRLGKEIQPITTTLLDSRLADSGEVEMEVIPMGQDISNAYVNPSPMIVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLKLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSDRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLSEIPAPILAGMEILLVKRIEEVLDHAFESGFPLRPRSSL* >Brasy5G103300.1.p pacid=40075547 transcript=Brasy5G103300.1 locus=Brasy5G103300 ID=Brasy5G103300.1.v1.1 annot-version=v1.1 MAASPSPSRFRPLLLLLLVAVVGACFAASASAAGQLREVVGKGTDGLFFEPFNVSYDHRAVRIGGERRMLVSAGVHYPRATPEMWPSIIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVRFIKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVDMMKDEKLYSWQGGPIILQQIENEYGNIQGKYGQAGKRYMQWAAQMALGLDTGIPWVMCRQTDAPEQILDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGPLPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPINEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYVKLGSMQEAHIYSSAKVHTNGSMAGNAQICSAFLANIDEHKYVSVWIFGKSYNLPPWSVSILPDCENVAFNTARVGAQTSVFTFESGSPSHSSRREPSVLLPGVRGSYLSSTWWTSKETIGTWGDGSFATRGILEHLNVTKDISDYLWYTTSVNISDEDVAFWSSKGVLPTLIIDQIRDVARVFVNGKLAGSQVGHWVSLKQPIQYVRGLNELTLLSEIVGLQNYGAFLEKDGAGFKGQVKLTGLSNGDTDLTNSAWTYQVGLKGEFSMIYTPEKQECAEWSAMQTDNIQSPFMWYKTMVDAPEGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCPSSCNYPGAYSETKCQSNCGMPTQSWYHIPREWLQESNNLLVLFEETGGDPSKISLEVHYTKTICSRISENYYPPLSAWSWLDTGRVSVDSVAPELLLRCDDGYAISRITFASYGTPSGGCQNFSKGKCHAASTLDFVTEACVGKNKCAVSVSNDVFGDPCRGVLKDLAVEAECSLSSATEEPRDEM* >Brasy5G103300.2.p pacid=40075548 transcript=Brasy5G103300.2 locus=Brasy5G103300 ID=Brasy5G103300.2.v1.1 annot-version=v1.1 MLVLNGISVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVDMMKDEKLYSWQGGPIILQQIENEYGNIQGKYGQAGKRYMQWAAQMALGLDTGIPWVMCRQTDAPEQILDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGPLPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPINEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYVKLGSMQEAHIYSSAKVHTNGSMAGNAQICSAFLANIDEHKYVSVWIFGKSYNLPPWSVSILPDCENVAFNTARVGAQTSVFTFESGSPSHSSRREPSVLLPGVRGSYLSSTWWTSKETIGTWGDGSFATRGILEHLNVTKDISDYLWYTTSVNISDEDVAFWSSKGVLPTLIIDQIRDVARVFVNGKLAGSQVGHWVSLKQPIQYVRGLNELTLLSEIVGLQNYGAFLEKDGAGFKGQVKLTGLSNGDTDLTNSAWTYQVGLKGEFSMIYTPEKQECAEWSAMQTDNIQSPFMWYKTMVDAPEGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCPSSCNYPGAYSETKCQSNCGMPTQSWYHIPREWLQESNNLLVLFEETGGDPSKISLEVHYTKTICSRISENYYPPLSAWSWLDTGRVSVDSVAPELLLRCDDGYAISRITFASYGTPSGGCQNFSKGKCHAASTLDFVTEACVGKNKCAVSVSNDVFGDPCRGVLKDLAVEAECSLSSATEEPRDEM* >Brasy5G397700.1.p pacid=40075549 transcript=Brasy5G397700.1 locus=Brasy5G397700 ID=Brasy5G397700.1.v1.1 annot-version=v1.1 MKREGSEVQTQAALAANHALMNRRIGADRGAKRWESRSHPALSGVRKRKVP* >Brasy5G224800.1.p pacid=40075550 transcript=Brasy5G224800.1 locus=Brasy5G224800 ID=Brasy5G224800.1.v1.1 annot-version=v1.1 MLPPLAALSFGVRFRPTPAEAISLYLRRWIAGDPVPDADGIIHAADVYKHPPADLAAAFTRLPRTHDRYFYATCHRVPIRGGAGYRVSRAVAGVSWGTNNNKPVVDAVTGEPIGFVETLKYGKTDWLMEEFHRLPEDAAGVGVAERVLCRLYVKEHPKPGSLPVAQSVAGDRLLQVQEPAAAAGDLLLQREQAAMAAPQQRMPPSSPRNRRVMALPVLPPAPPAPAPVTIQKASKRAAPVADAPRSKKRTRAADAPATRPVMASPPAATAPPVVPIVALRQVPRPSWCPPPPPGLLGLEPRRPAPTSVIEEKDSAVQEEEAPAAAQEEEEDEWACLDAELFKEDAIQEQSSTAPAAAQEEEAPAAAVSGSLEGLAADERGDDDIALDISDVLDLVGDEDDGDGAWQRMSLSDYNFDDLQYYLARPSEPSRQQSVRIVL* >Brasy5G171400.1.p pacid=40075551 transcript=Brasy5G171400.1 locus=Brasy5G171400 ID=Brasy5G171400.1.v1.1 annot-version=v1.1 MRQIRAAGSAARPTTAATRPGRRPPHQNPPPSAARRASHYRTRRGDLAGRDGPDLDGTEHPEGRRGPSTQIHASAAISSPGHASRGAARSRRPRNCRAHSRTAQIWPGQRSTSREPRHHHPRRPGERRRPAPVAAREVSRKEWRRRLASRPPSRPREDDAGVQNPSGLAGPGLVDQYPNPKTRKPGSYAYRLNLARPTNKSSPATTAPHPTPPHRPTKKSSPATTPPHRPTQQPKPNRSHRAPIPNSQSRSVVPLPLPTPHRPPPPAKLPPAPPPPATGCRLEPATPSRLLQPPAATTPSSAAKFLPPAHLDSSPRLSPLRTTLHGCSGAASSSLRELLGQPDLDERRAGCACQEVLAAPEFLALCSLLYSYRFFVRNL* >Brasy5G218400.1.p pacid=40075552 transcript=Brasy5G218400.1 locus=Brasy5G218400 ID=Brasy5G218400.1.v1.1 annot-version=v1.1 MDEKEHTIEVLTLRVGELQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRNAALEQDIEDLQKKLGGCLRESQNLQEELAEVYRVKSKLADLYGAELSKNKELEQQVRFFQSSVAQAFSERDNSLLECEKAKEREEAVLKKFAEFEERTRECQSAMEDQKQLNDALQMELTELKAHTESSLNVILKFYEVRCRECECPSNISFEQKCSILLDDSADNWSFNSDAETSTSKYIASLEEENGSLKAKITKLQSNLRMGFEVEQHLQRNARALQKKQALIDDFMRNGLSALQKFYTHQRAEIMRILEEESSHLSTAVIEIQDKLTQIHINTEVTENPIGEMQCCDSSCKDVHVNMDTGPGTSPKDDVPVGYSATFDDSKALAQALQEKMEALMLFSQEQERYMLEKQRNQIIIEDLQKNLSQVRDEKVKVLMELAKLNEAYLLLKGSPTVKNSHGTVDPPKAIPGHDQQGMLNTILKRTSLRHWMRKENSNIGLESSDGNDHTARKECSVDLARMKVENATLLESVATMERLTSLVHRLHVVLMKVYDDVKSACSLESSYEALNSLITEANLMKTALCVVLPVSWSGDSSGAITSDSLHDPSDSPKSSKSEKVDPISSAGLEMVELLVLAADILKESFMLKKQSGM* >Brasy5G382500.1.p pacid=40075553 transcript=Brasy5G382500.1 locus=Brasy5G382500 ID=Brasy5G382500.1.v1.1 annot-version=v1.1 MEVAAEPGAYEEMLRVVEACAARIRWRLRPQSKRRLLNDILFLCAGLRSVILMDYGGTMPLLQENLCSLLHHGQQEASILNPLRVMVIKDMLYLIHVKGLAEHVSPNARSRHQPAFVDLEKSCCKLLVNTEENETVLELLSIQDGFSGKFPLEAAFEPGTTKQESKLAERASAVECTDIHVANRTSLVLDLSAILENTQIALPSLNGWLLGYPVTYLFRNESAEAATQNLSKHSLHIYRIYVVRSHHSDAKQSEEELLSFSVPCDMSMRRDEEPWAKSFLACMNEKLERCNHVWASMRLEIEVFQSQSGVIVL* >Brasy5G082400.1.p pacid=40075554 transcript=Brasy5G082400.1 locus=Brasy5G082400 ID=Brasy5G082400.1.v1.1 annot-version=v1.1 MPTSAVPATSIRKLEGAGNKVTGLDSDDAHILSAQTLLFSVGDRPTIDDDARRLIPAVDAALSRRADDGPDVDARSAWTTGEVGYSLRHGHAADITSAHLSAWLRFAARRVTGSFTLAVPPAELLATTRAETMSLTLATATLTVPAPRAGAFHALTDLTLSQVTIRTDADEHNLGLLLSSCCSPRLRRLRLEHIDGLYTLRLDAASTLQELRLMHLMHLSSLELYARGLRALHLEACWSLWGDGTARFDGTARISAPRLELLACSYMLRHLELTGLASLRRLEKLHLLSHGLPGINVDYWNQAAVGLLQRCAAAESVGLILKPPPVEWIADHEILSLLPPLPHIADLTLDVWTAVLVGSTAHRHRLRGSVATLVSRCSNVQRLQIAFTYCWAGECSDPNCFCHQDQGNEGAIHMSLERLRDAKITGFRPWLDDQVSLVRLLIASAPGLETMTLELRRRCPRRCCTQAPLLPPSLLHAGPALTCSRWGRESAGSERERESQGAGRRPPAAAAPRTAPRAGRRCPPAAASTSPPCCCCSAARRRAAAARARCCNCKLDAASRRPWLSSGDGPHGAVPLLQPEREREQGGDRGESSCEAKGGPDLDIVPCDRGQWSRVYDSKSWIYTWKPEMQKVEKKKKKKKKKKRRRRADRSCYRISSTSLAISAVLLLLAFVLLLSGSFIYKALLRYL* >Brasy5G275300.1.p pacid=40075555 transcript=Brasy5G275300.1 locus=Brasy5G275300 ID=Brasy5G275300.1.v1.1 annot-version=v1.1 MPSLGAAMADGRGGEPTTATTIITIKEDDTAKAASKTKKTTLLSLLRAKSERSAEAEEKVEWVRSQIIGGDAELDTPFGRRALVYADHTASGRGLRYVENYLLTHVLPFYGNTHTEDSYVGSRTTRTLRRASNYIKCCTGAGDDGVALLFCGSGMTAAIKRLQEATGIAAPPGVSRATVVSRLRPEDRWVVFVGPYEHHSNLLSWRQSLADVVEVGAAGGEDGGGGLVDLAALRRALGSPEYADRPMLGSFSACSNVTGILTDTRAIARVLHQHGAFACFDFAASAPYVEIDMRPGEMDGYDAIFLSPHKFPGGAPGTPGILLMSHALYVLGARSLPPSTCGGGTVACVNGASECDTVYLDGMEAAGTPPVAEAVRAALAFWVKERVVGLGVIAVRERAHADAAMRWLLRNPRVEVLGEVKARRLPIFSFLVYPGSGGGAMEIRTKRLLPLHGRFVARLMNDLFGIQARGGCACAGPYGHALLGVGHDLSLRIRSAILKGYHGVKPGWTRVSFAYYMPREEFRFILAAIDFVAEHGHRFLPLYAFDWATGNWTFRRRAVKHHLIMEELPRLGGVSDVDEARRTTKEELKTNDDDKFKSYLELATKVALSLPDTSCDPQQVASRLPEGIDPDIVLFRV* >Brasy5G025600.1.p pacid=40075556 transcript=Brasy5G025600.1 locus=Brasy5G025600 ID=Brasy5G025600.1.v1.1 annot-version=v1.1 MLLKFSAIDHLKFIPLNPQAATAPFFLQRPSTFSPSAAPPPRNSGGGVLPLHLHIGVAPLLPPHEQQPRRPSPPSPHRCRPPSSSPRTAAAASLPSISPSASPPFFLPTSSSRSTLPLHLPHWRRPPFFLPKNSSSSSAPPLHLPHRRRPLLHPRAKASFPPRISPRLRPYPPLTVANPAPERTRLVSSLSLSVSLRMDGKIPRDGRRRRVDGDLSTAARATSATFPLCAGASPLWLWTSSLGSGYLDVVEEEMSTFRQA* >Brasy5G249200.1.p pacid=40075557 transcript=Brasy5G249200.1 locus=Brasy5G249200 ID=Brasy5G249200.1.v1.1 annot-version=v1.1 MNTAVAQSRRTRSRPPSAASSRKSDDPSSASASAATTANGNGNGNGKAPSSKPASPNNSAGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPLYVASDALALEALQKMVQGKFRHLPVVENGEVIAMLDIAKCMYDAISRLEKAAEQGSALAAAVEGVERQLGGNFTAPYALIETLRERMFKPSLSTIITENTKVATVSLSDPVYVAAQKMRELRVNSVVITNGNSLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGDGRVVACLDVLQLTHAAISMAEGGPGAANDVANTVMQNFWDSALALEPPDEEFDSHSEISLVIPSEVGDGRSSIYPAVVGNSFAFKLQDHKGRMHRFTCGSESLDELTSSAIQRLGIGVENGPIQLLYDDDEGDRVLLTTDSDLASAVIHAKSSGLKVLRLHIEESSSNSEVPKQSTLELASSHKSQLMPVHYGLMAGVVALTSIAVIVYLKRSKV* >Brasy5G249200.2.p pacid=40075558 transcript=Brasy5G249200.2 locus=Brasy5G249200 ID=Brasy5G249200.2.v1.1 annot-version=v1.1 MNTAVAQSRRTRSRPPSAASSRKSDDPSSASASAATTANGNGNGNGKAPSSKPASPNNSAGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPLYVASDALALEALQKMVQGKFRHLPVVENGEVIAMLDIAKCMYDAISRLEKAAEQGSALAAAVEGVERQLGGNFTAPYALIETLRERMFKPSLSTIITENTKVATVSLSDPVYVAAQKMRELRVNSVVITNGNSLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGDGRVVACLDVLQLTHAAISMAEGGPGAANDVANTVMQNFWDSALALEPPDEEFDSHSEISLVIPSEVGDGRSSIYPAVVGNSFAFKLQDHKGRMHRFTCGSESLDELTSSAIQRLGIGVENGPIQLLVSFIQYSCV* >Brasy5G347400.1.p pacid=40075559 transcript=Brasy5G347400.1 locus=Brasy5G347400 ID=Brasy5G347400.1.v1.1 annot-version=v1.1 MRNTIAFSLSLVVLLGASLKATDASQEEQLRKFIEAKAAKRFTNRPSANGPEETDPWADPSSFSDLPTRCKGPFEGSKAADKIAALPGQPPRVNFDQYSGYVTVSKEFGRELFYYFVESPYDAPSKPLLLWLNGGPGCSSLGYGAMKELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSYASNNSNNNNNVGDRRTAEDTFVFLQNWLERFPEYKARDFYIAGESYGGHYVPQIATVIKFMNEFHGTPFINLRGIFVGNPYLDDYKNGKGFVEFLWNHGVFSDEVWAGILANCTFSPSDDWQCFVATHASQKGNIDLYNIYAPICLHGDMDSICSITATRYSVKDLNLPITKPWRPWYTPESEVGGYVQQYEGGFTFASVRGAGHLVPSYQPKRALVLLYSFLKGMLPPDDIPN* >Brasy5G235600.1.p pacid=40075560 transcript=Brasy5G235600.1 locus=Brasy5G235600 ID=Brasy5G235600.1.v1.1 annot-version=v1.1 MAAASRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFSTQEVEALQKGGNQRAKESFLKDFDTQKMRLPDSNNIGSLREFIKAVYVERRYAGGRFSERPPRDKQIQKVHEEEHRRPSSYHSFSQSPPYDCQYEERRNGKQSAFLNFWIFFFFAGKISGYSYSSHSLRERMSQDGFTGESCGSKTSNCSGSSMGDTVKSAPQSPNFPDSGCFSPPVVQDHSNVHNSCGLTSSQRTVSAGNIDSISLKSGKSSLSDLIFEDDNVHRTEKSANSAAPSFIAFSDAINAPNQDIFDSKASQEHHVTTMDSNMLTETPSADKVIPAAPSMDNAGWATFDTPPEQKQPALTGLSYVAATSIDKQAPNRDLFSFESNHELIWFRCSKDDTSVTNQNQSTTSLDTGSSQPWSIFDASSASTQYTVKVDLSLMTSRLHEPKGPMDENSSQLWHSFDDVNGIVCAQPRIDHHSNVVSISLSTSNSFMCSESYDDDSHKVLMGELSPNTLLASSTEPSLGGPSTKQMPLNPFDLPFDTQLATPDLFMDVSSLQEVLPSPDLPAFLDGLPERWFGWFSSRSCAYVPSASHGGLPCLVEQGPNSSLR* >Brasy5G376600.1.p pacid=40075561 transcript=Brasy5G376600.1 locus=Brasy5G376600 ID=Brasy5G376600.1.v1.1 annot-version=v1.1 MSPRAPLLLLAALLCVCSSMLLGAAEAKTHHHTWDISYQYKSLDCFEKLAVTVNGESPGPTIHAARGDTVVVTVHNKLETENTAIHWHGIRQIGTPWADGVSGVTQCPILPGETFTYRFVVDRAGTYLYHAHYGMQRVAGLNGMIVVTEPAGAAAEPFTYDGEHTVLLGDWWHKSVYEQATGLSANPFVFVTEPQSLLINGRGTAFNCSQPGDPTGPTCNASSCALPPPAALFTFVPGKTYLVRIGSLASLSSLSFEIEGHSMTVVEADGHYVKPFVVRSLFVYSGETYSVLVKADQDPARNYWAASHIVGRDPTKTPIGTAVVSYSSGANGPTTPPPTAPPAGPAWNDTAIRVAQSRAIVAHPAHVIPAPARPDRTLLLLNKQARVDGHLKWAINGVSLAFPSTPFLVSMKRGLTGAYDPRPPPDTYTAAGADGINATVRSAAYRLELGSVVDVVLQNAEMLSGGNRSETHPWHLHGHDFWVLAHGDGTFDPALDGGKLVLDGRDGQDQPIMKNTVPLHPHGWTAIRFRADNPGVWLFHCHVEAHVYMGMGVVFEEGVDRVGRLPKSIMGCGRSKGLQH* >Brasy5G096800.1.p pacid=40075562 transcript=Brasy5G096800.1 locus=Brasy5G096800 ID=Brasy5G096800.1.v1.1 annot-version=v1.1 MPRRSEGNKRPPPVLMFPAPSPSNVPMGDSPVPHRHPPPPPSPHSGKRQRRPNVRLAGSMPFPSHLPHPRRIPIIPASRPRNRKPHDHNPSAAETSTHSNPTASDDLVLAAAFPRKRRVLEEEEEEVEESEEGEVVDVAEWLWGMGMGRYVAAFEAHEVDSEVLPCLTMDDLRDMGIGAVGARRKLFHAIQSLQQPPLPPPPPRR* >Brasy5G319400.1.p pacid=40075563 transcript=Brasy5G319400.1 locus=Brasy5G319400 ID=Brasy5G319400.1.v1.1 annot-version=v1.1 MLAGCFGARSGSTHPPREEGDGEGRQPALSPGKKKEKLRASQLTVAPAAAIWRFCLPEPNAVSTISLRMQLCSCHVRRN* >Brasy5G244200.1.p pacid=40075564 transcript=Brasy5G244200.1 locus=Brasy5G244200 ID=Brasy5G244200.1.v1.1 annot-version=v1.1 MGPWGAYLVAGGIRVGRAEGGRIRRPPAQGRPDPAAAGPRMAGSGSGRAGWAEAGRRGSGRRRPAAWGGGGRRRPRSGGGRIHRGQPASGPSRRGKAWMEERWGEEMAMVGLLYAREERRSAAAGTGREEKGRVGMGG* >Brasy5G296500.1.p pacid=40075565 transcript=Brasy5G296500.1 locus=Brasy5G296500 ID=Brasy5G296500.1.v1.1 annot-version=v1.1 MFLIGFGVVWRPLAAMMVSSLTAAAVLGRMTAHPAASARACCELFQGTLSFHRNCQDR* >Brasy5G106700.1.p pacid=40075566 transcript=Brasy5G106700.1 locus=Brasy5G106700 ID=Brasy5G106700.1.v1.1 annot-version=v1.1 MRGGAGCSIKAAGNAGKKRKGGIIKKAKLGNNDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRARVRAAGFGCVFDWVLEGNVSRVLLCFLLMNMETTTMKIDCGSGRVLTVNRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFDRTASINTKDLRELLADLVEDETIVDSAVKVFFAILYNKLICPGSAVRLGREAAMLANMDYERMARMDFCQLVVDELKRAAEKYQNPDIPQARSHSVMHRLTPRANYLHEKSLRAIFSQDIIRNGKSNLLKYVFGKLTERQVYDAVYRLRNDPAKKDIVLFQNDECECTIGQVAQAFHPNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCLFEGNYQSRRLQNLFSIYAEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQAGNSKGESFTPKSVDHFTMEYVSVPKQGTAHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDLTLTYRLYWVLIETSKAKKALSRLFPTGKKTGKKGARASDVEIAVLLSDDEDDFVSPVPPKTRSKPAKKQVEIISTTSSDDFVTQQARRQPSGGSVLSNKRATKVPKKMRVPVPKLVPYTFPLLSKASQIKSLVLSKEYLDEFGE* >Brasy5G509300.1.p pacid=40075567 transcript=Brasy5G509300.1 locus=Brasy5G509300 ID=Brasy5G509300.1.v1.1 annot-version=v1.1 MDVDSAVNLSSPAEATVKPVTAACYDNNLVNSQGMFLGDQPLRFALPLLLVQVSLILLLSAAAHRLVLRRLGQSRFVTHMLVGVLLGPSVLGRSFPNLRGSLFSERGTYILESISLVALILFLFSMGVKTDMSLLRRPSARAVAVGLAGSVVPLAVTLPVFHVLQPSLPDDLRGSSLITELAVRLSLSSFPVVADALAELDLLNSDLGRIALTASLITDVTSWFLRACFAAAYLATEAKSSPAFTAKILASFAAFVLFVAFVARPAGRYIAFKRTPAGDMLSEGSFVVVVIAALLSALVTDVIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALAGYRTDFSELGLFHVESESEKWCALELFVALCVAGKMVGCVAAGLFFAMPIGEATALALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVLITAVATPLIKLLYDPTGRFARAKRRTMEALRPNAELRVLCCLYTEDHAAPLIDLLDASGASRDYPLSLIVLHLTELVGRAASVLKPHKKSSSSSSSASSSSSDRIVNAFRHLEQQAAAGAVAVTPYVAQSPFSSMHQDVCSLAHGRKANLILLPFHKSSDGARSTANNAIRAANRGVLDHAPCSVAILVDHGLASGSAACATMTSGGRSSSMLQRVALYFLGGPDDREALAYAARMPESGGNGPGVSLTVVRFKLRNWVGMGGRDEARDEEALQEFWQRYRENERVVYVEKMVEDGEGTASVVRSMSDKFDLLIVGRRGEDRDVEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQAGAGAEADQQEQY* >Brasy5G128200.1.p pacid=40075568 transcript=Brasy5G128200.1 locus=Brasy5G128200 ID=Brasy5G128200.1.v1.1 annot-version=v1.1 MLRACARGLRVGRRRDVMASLLAFSSSASGLPPKPSPPSTSLPPASASASARASRFRLHLASAAARRDPETPLRPAPPPAEEKKSLAVRTGELFLGLAALFVRVGRGTAPVEEVEQREGVVWEQRPQDVEAERQRQREVVATASPGFSFSAAGLLFPYHLGVAQYLLDKGYITERTPLAGSSAGAIICAVIASGNTMQEALQVTKILAENCRSNGTAFRLGAVLKDVLDKFLPDDLHLRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPEDRATPRQLFNWALEPAEDDVLDKLFELGYQDAAVWAEQNPAESTVKNEQPLVSD* >Brasy5G007600.1.p pacid=40075569 transcript=Brasy5G007600.1 locus=Brasy5G007600 ID=Brasy5G007600.1.v1.1 annot-version=v1.1 MLAQHRAAGQLRELKERAREIGERRLRYGVEVKRPYSSGSGLTKEDVHGEEEEEDSDDDGGRRLLNQDGGDHRSLELALSMMNMDEEDYLKWRLDGQWIRPVVLQGATDSKRQVSLPPIVFLVPETEDTGFLVHQAMDVAERALAHEEGEAAVTPAPDACGAPGSEKAHLEHHGEGEDEPASEHNLEIKYRRNVVLVDVPEVHYDFLQRRPEDFLYYILHELHEDQQQATTTPPTPDSRWDSIYYSKKDMFVDIKRKIQETNKVSQKIEGLEKEICQASDGKRTEMQKLENRDINNKELRVKITNKPLHKLFRLLIEYAVEQDRSKKAMHKLAASYSYIINQTAMKLKEEIEEVDSNQRAPEYEYILWQEFPRPTTAATATVDDEIKEIIHGVKEMLHELRELDSSGKKRQTDETAAATPNCQADFEETTGKKMERIKRKITKQLKIKGIMRRIQECLEGNKRILVVLRTDDECVSKWEETRKTLSLLGWGPIAGAMIVATKTTQDVKEEDLCYPQLELIEYSRVGRFLDTVLQITRQHVHEGSRRILRNIFYKCEAHEFSMTIFAHAMYIKPKRSRKELHKLYMSLHEHDTQKSLPSAAIARKMFKFSYKDLPKQYRSCLLYLAIFPQGKEIKRSTLIGRWVAEGLITTKDWHWSSSVDEAENCFDTLIARCLVCPAGNGIVAAGRFKSCKVDEPVYGFITKIAKKQRILDIRLSHGLARHFSIFSDVRLRNSDKVENFLESIPKPNSSQFSKIKVLDLEGCDADFAKNQHYLRAICSKILMLKYLSLRGTDVIRLPREINNLLELEVLDIRHTKIPASETRNVLLLKLKRLLAGHVDPSSPPDGFSSVQIPEKIEKMEGVEVLSNVKPKNEQDLNDITKLFMLKKLSVVINKRSDLRPLLNAISDLLDRCLRSLSITLNVETSTPAGQGILPCSSELPEDKFPKVLESLSIIGSTTQEYCDLLLLTLFAQDANQLAKVTLRDTWLSEAGLKVLVALPKLSCVRLRHIKYTNNTKLAFIKGEFQNLTSFVIEGPGITEIKFEDGAASNLEKMVCSFTKMESLSGIGNLPRIKEIKFSGETVPKEVEEAMRRQTRRPVYSYIHSKEPEIKTNQQEMPDDSTSSSWKKVKCLGWRN* >Brasy5G194000.1.p pacid=40075570 transcript=Brasy5G194000.1 locus=Brasy5G194000 ID=Brasy5G194000.1.v1.1 annot-version=v1.1 MADDHGDPAAQAAQLQAQQQAAQLQAQATAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDLNKQTQQKSTAPVFLD* >Brasy5G048300.1.p pacid=40075571 transcript=Brasy5G048300.1 locus=Brasy5G048300 ID=Brasy5G048300.1.v1.1 annot-version=v1.1 MQGIRLLILLLAALLLAASAAAPASAAVGRVVTISHHDAAARASAASRDDLQYTAATAALMRRRLEDEMVADLTSSLKLGASVSPGTLKRSKPACLRSCPAKGGSYTRPCTFKGRCQQ* >Brasy5G118000.1.p pacid=40075572 transcript=Brasy5G118000.1 locus=Brasy5G118000 ID=Brasy5G118000.1.v1.1 annot-version=v1.1 MRFRPRLPLRLLLPHVRRRPQLPFAPLRRPISSYPSSAVVAATDSEEDAVVARDALLAPPRAGGAGGRVGEDKEELERKASIAVRINLCYELLRQRKWREMRGALAQMVTEQGSGSAAILCDILWNGFRECDPSSIMWDALANSYARAQMIHDALYVLSKMNSLNMQISVSTYDTFEEMEAYGISKSEYSHSIIIDGLCKQDKVGEALSFLQEARKEEEFKPLGMSFNILMSSLCNWGFIQPAKSFLCLMLKYGLNPDRYTYSTLIHGLCKIGFMDEAVDLFERVTEEGMKLETVTYNSLINGYRLLGLTREVPKIIQFMRYQGIEPDIVTYTILIAGHCESGDVEEGMKIRNDILDQGLQLNIVTYSVLLNALFKKGLVHEAENLLGEIHSIGLDMDIIAYSILIHGYCKLGEIERALEVCDVMCCSQKVVPTSLNHLSILVGLCKKGLLVEARWYLENVAVKYQPGDVVLYNVVIDGYAKVGDISNAVGLYDQIVIAGMFPTIVTCNSILYGYCKFGDLQAAESYFRAIQANSLLPTMVTYTTLMDALSEAGKVNTMLSILYEMVEKGIRPNAVTYSVVIKGLCKQLRFHDAIHFLDNMHGEGVNADPVTYNTLIQGFCEVQDIQMAFHIHDRMNIYWNPSEKGVLS* >Brasy5G161000.1.p pacid=40075573 transcript=Brasy5G161000.1 locus=Brasy5G161000 ID=Brasy5G161000.1.v1.1 annot-version=v1.1 MGKCLGRTGVQRRLSSSSSASAAAAAEVAAYLTLRSGRRVPAAVAGGGSPRRRQPRGGRRRCCAAAASSPARQRRRRRVLAAASPQAGEEEKEESPPGVVVVVVSDEGCSTVRQPTTPVSGEATSTKRGRHGAAAVAAPPEAEIEAFFAAAELAERRRFAETYNYDITLDRPLEGGRFEWSPANST* >Brasy5G161000.2.p pacid=40075574 transcript=Brasy5G161000.2 locus=Brasy5G161000 ID=Brasy5G161000.2.v1.1 annot-version=v1.1 MGKCLGRTGVQRRLSSSSSASAAAAAEVAAYLTLRSGRRVPAAVAGGGSPRRRQPRGGRRRCCAAAASSPARQRRRRRVLAAASPQAGEEEKEESPPGVVVVVVSDEGCSTVRYNYDITLDRPLEGGRFEWSPANST* >Brasy5G032400.1.p pacid=40075575 transcript=Brasy5G032400.1 locus=Brasy5G032400 ID=Brasy5G032400.1.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.4.p pacid=40075576 transcript=Brasy5G032400.4 locus=Brasy5G032400 ID=Brasy5G032400.4.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.3.p pacid=40075577 transcript=Brasy5G032400.3 locus=Brasy5G032400 ID=Brasy5G032400.3.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.5.p pacid=40075578 transcript=Brasy5G032400.5 locus=Brasy5G032400 ID=Brasy5G032400.5.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.6.p pacid=40075579 transcript=Brasy5G032400.6 locus=Brasy5G032400 ID=Brasy5G032400.6.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.2.p pacid=40075580 transcript=Brasy5G032400.2 locus=Brasy5G032400 ID=Brasy5G032400.2.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIECNQSGPNNLWRRKHQNLIRCLDICLKTIVLKMYRGIKSQVSFVTFFVLNARRLESMTLGITYADNTEKFIAEQHKKLQLENRVSRDARFHFMTGDNPLLRVSNPLLGI* >Brasy5G032400.7.p pacid=40075581 transcript=Brasy5G032400.7 locus=Brasy5G032400 ID=Brasy5G032400.7.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHPRRPSRAIMKRIRSWRRRKSTLVKKRKPDRISNLPSAILGDIISLLPTKEGARTQILNRRWRHLWRSAPLNLDCRDLPYGDDGRVAAISHILSSHLGPGRRLSLDWYLLHRDVDDATLDPWLRSAALRNLQELEFVGFGSRTASLQASIFRFSPTLRVVHIGSCELPGDIVQGLHFPSLKDLELHSVTISENSLHNVIVGCPALDCLKIFGGYGFRCVRINSLSLRSIMVGTYRHDDTVKFAFEELVIENAPRLKRLLQAGSSRDLHISVISAPELETLSCKLQQDLSTKISFGSMVIQALQVDNLTTVVRTVRILAVDMNPLSLDTVIYLMRCFPCLEKLYIEAARRLGMQNLLNLCLRVTVELFKRTMPAHIQIQAEALQHHVERTSQG* >Brasy5G107000.1.p pacid=40075582 transcript=Brasy5G107000.1 locus=Brasy5G107000 ID=Brasy5G107000.1.v1.1 annot-version=v1.1 MRCFCTEFCSEFLRILHSHLEFLRILHSEKIEKRDKGSDDLLPPPRRDAGVRELLRVRELWRREGSGRPAGADGRARAAGGRRELWRHEGSGRPAGDPAARGQRQAGGSSSHARPAGR* >Brasy5G276800.1.p pacid=40075583 transcript=Brasy5G276800.1 locus=Brasy5G276800 ID=Brasy5G276800.1.v1.1 annot-version=v1.1 MEKGKHGKAQWVFVAHKVFLDVCIEEVEANNRPTHCLNAVGYANLISKFNERTKINYDRKQMKNRWEALKKDYNTWKQLTQHASGLGRDPNTHTIAASDDWWESEIKRCPDAIKFRNAPLQDEEKMAIIFDKHCVTNEHARVPTRSSRVGPSQYANVVTVDGDEENLGCEGDDYVTPGLGGKGKAKPKRACPYSPSLATKKAKEENPTELQRLLSIMESRSKNKKYLS* >Brasy5G262300.1.p pacid=40075584 transcript=Brasy5G262300.1 locus=Brasy5G262300 ID=Brasy5G262300.1.v1.1 annot-version=v1.1 MCGKTDDVHLHMEHNGLHGGEFRGGVRALLPKPPPSTSSRPNSMVVKKVCPREYIPPHIVAEAISTLHGLDLRWSGPITPSERLYVEQYVTAKYPQYSHGLIEDDSCDKDDLYATYYSSTTASSPEPPGSGGERRRSSPTGSPTSARPDIDMVRLEPSRLLDILTKKSSFTGSFISIPEIQARNRVLRHCGLTDDEYLVLFAATPKDAMMLIGESYPFFRSNYYMSILGEESDFIRTFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKLAPKGLFAYPAVSAAAAPDAAAPPARYSLHWVSEAHRNAWHVLVDATALVVGEDRLPLSLHRPDLVLCTLNDTHSQQPAPARVTCLLVRRRSFDTSAAAAAPLQQQPQAPQKQ* >Brasy5G146500.1.p pacid=40075585 transcript=Brasy5G146500.1 locus=Brasy5G146500 ID=Brasy5G146500.1.v1.1 annot-version=v1.1 MGVDPHLWAACNAAPAAEEDGRRLPTLAALRGVDAGDQSSLEVVLVDRCGGDPALVDLERRALELHRALGATLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSAVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.2.p pacid=40075586 transcript=Brasy5G146500.2 locus=Brasy5G146500 ID=Brasy5G146500.2.v1.1 annot-version=v1.1 MGVDPHLWAACNAAPAAEEDGRRLPTLAALRGVDAGDQSSLEVVLVDRCGGDPALVDLERRALELHRALGATLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.3.p pacid=40075587 transcript=Brasy5G146500.3 locus=Brasy5G146500 ID=Brasy5G146500.3.v1.1 annot-version=v1.1 MPHVSLVCPCYLHALPVISMQIDKFVRERTYLGTWAPANMGALRSEDGDLYMRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSAVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.4.p pacid=40075588 transcript=Brasy5G146500.4 locus=Brasy5G146500 ID=Brasy5G146500.4.v1.1 annot-version=v1.1 MPHVSLVCPCYLHALPVISMQIDKFVRERTYLGTWAPANMGALRSEDGDLYMRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.5.p pacid=40075589 transcript=Brasy5G146500.5 locus=Brasy5G146500 ID=Brasy5G146500.5.v1.1 annot-version=v1.1 MRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSAVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.7.p pacid=40075590 transcript=Brasy5G146500.7 locus=Brasy5G146500 ID=Brasy5G146500.7.v1.1 annot-version=v1.1 MRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSAVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.6.p pacid=40075591 transcript=Brasy5G146500.6 locus=Brasy5G146500 ID=Brasy5G146500.6.v1.1 annot-version=v1.1 MRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G146500.8.p pacid=40075592 transcript=Brasy5G146500.8 locus=Brasy5G146500 ID=Brasy5G146500.8.v1.1 annot-version=v1.1 MRWKSVSKRLRMQQKSVVVPIGRLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERRYSREYVVDLVVVPGSICNPDSSINGELLSSVSSPFKTTCTTVLATYAAPAASWNHAIGDDHHNSIYSNSRYSVAREKNYAQLATNEGVLKCSLVTQHSNMSVFEESKQLNGMEIGNEDVNKENIPGVILPKRLIVEPSFAMDWLEISWDELELKERVGAGSFGTVHRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNIVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAAGGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGAGS* >Brasy5G102300.1.p pacid=40075593 transcript=Brasy5G102300.1 locus=Brasy5G102300 ID=Brasy5G102300.1.v1.1 annot-version=v1.1 MLIKIESVVEEAKGRQITNHGTMEWLSELIDGMYQGLYLLDLSGNRSIRLIDDDDTNKVLTHPRPFILSSYNPAKRLRVAASTIKSLFSHDNCLEEINNVVENLQSMSNDLKEFMTLLGSCPPLRMPSTNLYFDCQMFGRHVERERVINFLLYRRNPSEIKLDVLPIIGHIGVGKTTLVQHVCDDPRVRNRFTPIMLFDFCSLCREKSSSETMVTLRSRYITGHLGNFSESLQVLKQKLHKKRFLMVFEDVDSHNKQMLQVLLAHLNCSKQGSKIIVTGNNNQILKLGTVQPIKLRALPHAEYWFYFKKVAFPGADFEDNCPRAVAVGEMIAKKLKGSFWGAKIIGSLLSAQPSYKFWSMALKNSSIWEMPVLGSSLPYISEVANYFLSRNLTMCHVNAYTSRPDSTDRTLSRLQDVCVGSPNIDEDKLLDDHGNSLNLHVLLCKSILPSCCVYYVAHCHLGVKDGWLCASIDAEAGV* >Brasy5G152900.1.p pacid=40075594 transcript=Brasy5G152900.1 locus=Brasy5G152900 ID=Brasy5G152900.1.v1.1 annot-version=v1.1 MGDHVVVNVDGSANGKDGGIAEKPSEAVTAAPVASSAVVDLVDEDGGEDEPLIQAAECRICQEEDSVKNLEKPCNCNGSLKYAHRACVQRWCNEKGDIMCEICHEQYKPGYTAPPRVQPDETAIDISGDWTITGTPLDLRDPRILAVAAAQRRLLEAEYDEYGGTDANGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQREEAASIAAAEVAFILQSAQGRALQFTIAPDSPTTPQHEPQQQQQQQQQR* >Brasy5G062000.1.p pacid=40075595 transcript=Brasy5G062000.1 locus=Brasy5G062000 ID=Brasy5G062000.1.v1.1 annot-version=v1.1 MEFAAAPGSEELESDPSATGDTRQQVEGGDDDNSWMQVEGDDDRDDSWMRGFQVEEIFKGDQTEEEEEEEKENDSDEKNIPAAFRDGWSARAADFQDITAFSSMMFTYATPGRLPRLATVATALQVYSVKIGPAERGLYGSPTIQEDYLKWPLQVYGVVAARDSVDYSRNLLFLRGRNHCQTVEKYDPFLQLIGPSRAIVFDSPVIFEIELKLTGGTKSQDRPLFADTFRYNGTYGGLRTPLSNDRCTVTLSFQELCETVQATILSVRVSQGPWPFENGCRVAACTDSSNARLVLLQSLDPLVPDDGDGGNYLDLPRRVVSVGLKGWLHVYVWADVDAPAPLYGPAADRRGVVSFAPKLGGVSQDTCVVGDSTVEVIVAWSLVLRTDTVLEDGHLRDDARA* >Brasy5G379800.1.p pacid=40075596 transcript=Brasy5G379800.1 locus=Brasy5G379800 ID=Brasy5G379800.1.v1.1 annot-version=v1.1 MMTGPDTRTKAQAAVDKLEDMMRQLLNKFSAPPASPSTQISGEQSTTTPLPVTHMSAVSVTPTSGPPIINLENAFKAVHIDPVTSGISIPHVQSPILSHTIGAPLFTESVTVNATRLDPVSAGQPFRLSTLQNSDPPLASSHTVSVGTNWPPPSFMQNNMGYFAPLIAPQYVSPNTYNHVTGQFINNHPNQSVYQAGVNLYNDQRNQVATQLGGPSYYAEAVIKGPRLEIPLFSGDGPIDWLIACEKFFDMSGTPYE* >Brasy5G262400.1.p pacid=40075597 transcript=Brasy5G262400.1 locus=Brasy5G262400 ID=Brasy5G262400.1.v1.1 annot-version=v1.1 MKLPKRFLATAGALLLVAASLLVATLLTSPLPLLPLLPCLPAVESPSGTGYAPPGLASLAEAAVFYATSPVVPQQSREEISLSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGVTVFLEEDPEWYREVRSQSPFLRAHLVRYRTRLDNADPLFASYKQNPSCVPPGNGNEEALQVRGNADCPLALHDLPPEVYENEWDMLMVDAPKGYFPSAPGRMAAIWTAAAMARARKGEGDTDVFLHDVDRKVEKMYAEEFLCDRFRVGATGRLWHFRIPPVSRRGNETRAAAAGGRPFC* >Brasy5G314800.1.p pacid=40075598 transcript=Brasy5G314800.1 locus=Brasy5G314800 ID=Brasy5G314800.1.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.2.p pacid=40075599 transcript=Brasy5G314800.2 locus=Brasy5G314800 ID=Brasy5G314800.2.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.9.p pacid=40075600 transcript=Brasy5G314800.9 locus=Brasy5G314800 ID=Brasy5G314800.9.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.3.p pacid=40075601 transcript=Brasy5G314800.3 locus=Brasy5G314800 ID=Brasy5G314800.3.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPHFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.10.p pacid=40075602 transcript=Brasy5G314800.10 locus=Brasy5G314800 ID=Brasy5G314800.10.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.4.p pacid=40075603 transcript=Brasy5G314800.4 locus=Brasy5G314800 ID=Brasy5G314800.4.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPHFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.11.p pacid=40075604 transcript=Brasy5G314800.11 locus=Brasy5G314800 ID=Brasy5G314800.11.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.12.p pacid=40075605 transcript=Brasy5G314800.12 locus=Brasy5G314800 ID=Brasy5G314800.12.v1.1 annot-version=v1.1 MEPEPEPRRWAATYTTQLKQKRKAYHDGTLLLHPDTGRLVLLDDAGTAIDARFLRAGESVSGGASLSFPCHLVDVGEPQRCPTTGYSGGSSPPAASKTAYRGGGRARQSGANTCAPLAFVNPPKNDGGKAEAVGSGCAKAAGSTLQEWSAMYTTQLTQKAKKYHDGFLRLEQVNSLIKRIVLLDEEGEVLGSRYLKSGECVESGKKCNFPNYLIEIGAAKNLMKGGEPKSSDEPTVTRSKNGENTSKKMGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.5.p pacid=40075606 transcript=Brasy5G314800.5 locus=Brasy5G314800 ID=Brasy5G314800.5.v1.1 annot-version=v1.1 MGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.6.p pacid=40075607 transcript=Brasy5G314800.6 locus=Brasy5G314800 ID=Brasy5G314800.6.v1.1 annot-version=v1.1 MGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHVFTDLQRGKPDGTVSYRSTGLGKLTSSDMDDPRIFNDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.7.p pacid=40075608 transcript=Brasy5G314800.7 locus=Brasy5G314800 ID=Brasy5G314800.7.v1.1 annot-version=v1.1 MGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDADVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G314800.8.p pacid=40075609 transcript=Brasy5G314800.8 locus=Brasy5G314800 ID=Brasy5G314800.8.v1.1 annot-version=v1.1 MGIGATSSLKFISPQKFHYLDKSKSEVTSSFNKPELGNIEVAAAGSTGSLMGSTDSSIKEWSVLYTTQLTQKAKKYHDGVIKLMQVGSHANQVVLLDEEGVVLGSRYLKSGESVQSGTKCPFPNYLIEVAELINQKNDVESKHSSREPLSHTGPKNGEDATQRMGDKSKSPKFVSPLKFHDHQKSKTESTADCNRPQFGKSTCSNVGDPHKFHDFTGIQRAKSESASWYNRPQVGKSMPNRMDDPLEFCDLEDGKSVCPTGFTRREIGKSTFGNTGDPLRTDLEDGKSVCPTSFTRREIGKSTFGNTDDPLRTASQILSIMKPPAGLSNFATQLRTSVQSCLKLDTVQAKNSVSTHSRNESSGNAHPTYDHQTAMKQAAFDGPELAMVDIPASEISNANEQKLNSSSNLYNGNSNGTGSALIMNATSILGLREDESGTADQPVANNTTVDPKCGSGSFLPPSIRTCRDPKIQDLIDDCPSFDLGF* >Brasy5G157500.1.p pacid=40075610 transcript=Brasy5G157500.1 locus=Brasy5G157500 ID=Brasy5G157500.1.v1.1 annot-version=v1.1 MESSSPAVVVEAAAAAQPKAKRRLTQEEIEHAMAMERRPPFRPPGLDLFARLGARGQAVLDLLAGAAEHVEELQDDRMAYKERVVREFAAKGYVEVTDYEDDDEEEENEEDDEE* >Brasy5G376200.1.p pacid=40075611 transcript=Brasy5G376200.1 locus=Brasy5G376200 ID=Brasy5G376200.1.v1.1 annot-version=v1.1 MPSTNMFHRADVQMEGAQSTILRNGPNPSVSSSVHVQQSHSGGNFNQGLKANVHTGAPPPWLEASEYEPKNMSFTSYPLPSSRKGKSRKLNPKRVGAAWAERRRAEMELEKQGKLVPETSDSNWLPNFGSVWQSGSRKESRKEFDKNHKLHEKNNPELSPEIKPYISKRMRVGSNKDGQPDSTVE* >Brasy5G390200.1.p pacid=40075612 transcript=Brasy5G390200.1 locus=Brasy5G390200 ID=Brasy5G390200.1.v1.1 annot-version=v1.1 MGGTASSTGRGGGTSLGDLPENCVAEVMLRLDPTEICGMARLSRTFRGAASGDGVWEAKLPRNYARLLAAAAAGDDSEEAAALEVEAIPKKEVYARLCRRNRLDGGTKEFWLDKVGGGICMTIYSRALSITGIDDRRYWNFITNDESRFRSVAYLSQIWWFEVRGEVEFFFPEGTYSLFFRLHLGRPFKRLGRRVYSAEHIHGWDVKPVRFQLSTSDGQHAQTKCYLTDPGVWINHHVGDFVVKNSNEPLNVQFAMVQIDCTHTKGGLCVDSVVLKPQYLAQKTAARTSSV* >Brasy5G423700.1.p pacid=40075613 transcript=Brasy5G423700.1 locus=Brasy5G423700 ID=Brasy5G423700.1.v1.1 annot-version=v1.1 MGPCALSSLRSQSLGSERPATKPKRSRQDPNSYPPRHAVAASRFRHPLIHLPVRASEGRSPDSSLAAAVARRHHQAPNVVVDPFCRLIAKARSILHKNKKNFHRSSDRSFMAVTNGLVHASAKKPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQGNIKFSVNFGDIIDGFCPKDKSLWAVQKVLDEFDKFDGPTYHMFGNHCLYNLPRSKLVDLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSLLGWPRDHPVTAAAMKLLDEKNPNTDKNNPDGLVGVDRRFVMFNGAVGKEQLSWLNDVLRDASERRQNVILCSHLPMDPGAASPAALMWNYDEVMAIVRQYNCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEAYPDRLLLVGSDRMADTEMCFQTME* >Brasy5G424400.1.p pacid=40075614 transcript=Brasy5G424400.1 locus=Brasy5G424400 ID=Brasy5G424400.1.v1.1 annot-version=v1.1 MRDFSCFRDGAVSLASGAGVGGAGGAHLDRSLQAATACVYKAALSSGKELIVRVTWTRSTAADPADAGATGLSVAVEDAVLVSSKKLLPSAAAAATPRRSLLLTQPQAQQQAVLLQKKRGSRSFVTDAGTAVAVHWDTSDAKHRHPSSPEPCRDYYLAVVSNGELALLLGGGEPARDLARRFPAPRRRALLVRAPPPPPSAATAAAAHSHSTRCRFREDGAEHEVTVACSRGADEGGGAVAVSVDGKKVVEARRVRWNFRGNRTAVLGDGAVVEVMWDVHDWWFPCAAGVHGGAGAQFMVKVRGAADGVWMDEEMASKGLPPAGFFLHLQCYRR* >Brasy5G070400.1.p pacid=40075615 transcript=Brasy5G070400.1 locus=Brasy5G070400 ID=Brasy5G070400.1.v1.1 annot-version=v1.1 MYVCTRRGGASCARSERPRRRPLRPRRLPPPLLFAGPCLFRRLRMFCPQGSLPPKRRTSIAVGSAVGSFVLFSIAISVFVWRKCKKREEEEVYFGGIPGAPTRFSYNELKIATRNFLMRLGAGGFGTVFKGKIGKETIAVKRLEGVDQGKDGFLAEVETIGGIHHINLVRLVGFCAEKSHRLLVYEYMSNSSLDKWIFHAHPAFTLSWKTRRNIIMGIAKGLSYLHEECEQRIAHLDIKPHNILLDDKFQAKISDFGLSKLISRDESKVMTRMRGTRGYLAPEWLGSKITEKVDIYSFGIVVVEIICGRENLDESQPEERIHLISLLQEKARSGQLLDLVDCSSNDMQFQLEEVREMMELAMWCLQVDSSKRPLMSTVAKVLEGAMALEATPDYDLVANYYEPNHANVLGQICSYLPSATHLSGPR* >Brasy5G147600.1.p pacid=40075616 transcript=Brasy5G147600.1 locus=Brasy5G147600 ID=Brasy5G147600.1.v1.1 annot-version=v1.1 MLRGYLALGLPRLAVGLFREMACQPDRHTYHLAVTACARASEFEIGRRIGSDAEAKGLASDLLVGTALVVMYSEAGDVEAARTVFDGMPHRDEVVWNALIAGYARAGCLGEALGLFERMRSMDGVTLTEATLVSLVSGFASFGSREVCGMMHAVVIRSGFHLYIFVCNALLELYVEFGCLREAVMLFRQMEVKDSITWSTMIGGLVRNGRPNSALKLFHWMVSNSTMLATRSILVNAIMACAELGDWGEGKWIEQSYVLANSSEFKRDSSVITALIYMYAKCGQFDSSIDLLHGLAEVRYDVIAWNAMIKGCGVFGQVEKAIGLAVEMQRAGIGPDAITFLEILPIISLIPSLKKGMEAHGQIVKRGFQNEKTIANSLITMYGRCGSLRHSVDTFGGIVNKDVISWTSMMQVYAWNGHAAEVVELFELMKKSEVKPNSYTFLAVLSACKNTGLVEEGMDLLKCMEHQYGLEPNVEHISCVVDLLCRTGRLTDAYDLIKNTVSEHVNNIILWGILLSASRSCGDLVIGEAAARHLLSLDPENRANYKMLADIYVSLGRRDSADNILTQSMSRGLDSKPGCSWTEGG* >Brasy5G119500.1.p pacid=40075617 transcript=Brasy5G119500.1 locus=Brasy5G119500 ID=Brasy5G119500.1.v1.1 annot-version=v1.1 MAMVRGFQSPVRALRLLCGMMFFAAVVCAGTSGHGGCGKIFSPMLPFPLNKGAPSSYLDILVLALIESHGSSHGTSRSELPTDLSLHLLPLFALVMARVSDSKVLESLRSVSPSLPLLLWFNKFPCMCSTNMSNVSSAYHLIWHASFGAHLDAWIWKQASTRRGHVLHQFIWLLPSSLLLMISPQISCNGEELALLILQVYHSLWSSSTSSFGGPVMGPRNRGMVDEQVGDATNVPWRHLPMEGAFTWLPEHGGLFIFWLRQHLHPQVASSRRRQPLPSLREGWRGGPQEDTWTAHLAVVAARECGLDTWWSSVVGRPHVYFRDPSVILYFFLVQNVTRVVLCSGDLAPQF* >Brasy5G222900.1.p pacid=40075618 transcript=Brasy5G222900.1 locus=Brasy5G222900 ID=Brasy5G222900.1.v1.1 annot-version=v1.1 MVHLEELHPQVTGAGGHDDDDEHRQQQQLIVAVDGGGEEKKKEKEKHEVVPQLRFPPGFRFVPSDLELLDFLRGKIDGRKRPLEVVFFEVPILNWEPHKLVEARKAYGVDRWYFFTKREPSLTNKKGDPRRKLHNVDAAWKATGSPTIIRRGPAGEDVGTRKLLTYHVGGEVGKWSMHEYVLDGGEKMLDQFVLCTIQEKVHGNGKRRKRPGKELLPKRKNKKAAASVSTSQQQPEQEETTAYYSDPPPPLSEHGQYYDHYHLAALQEEEEEEEEEEEEEEEEEEEEEEAAEEAEEEEEQEQEEEEAEEQEEEEEQEAEEEEEYRPMELEPLLPLLEHGQYYDYHPQASLQEEDAMAFGADPLAGGYLDGDHHDYTVDVVATEEEETIAYNGFGALEPPLMMPQDQHGYQALLLEEMNLEYYMQQQLDHNQGQQSMWGQTQISSQVNNEYTWHDHHHNLYQQQPAAQKTGTLEDMFKTSPDTVLQGQEPLPSGGDTRGDPVFLVNGNNGGGKDATMALHVCQHGGEGQQQKSTMDVVSFNYRGGDNLVVRCCILCVKSCESCNTGGF* >Brasy5G504200.1.p pacid=40075619 transcript=Brasy5G504200.1 locus=Brasy5G504200 ID=Brasy5G504200.1.v1.1 annot-version=v1.1 MASSKPKRAAAFFLILAVAAAAARASGSGGADEDSLRFPGFPGGRGRSSAGGYPGMPGGGRVSPPAAPRGKPWPSSSPPPPLQSSPAAGTPSIPACTPAQPLPGFPGLQQPGGNPGASSSSSSPADCVTPLAGLMTCASFLTGSEADTPTPQSECCSGLGMFLNSTAAVDDRSLRCLCPVILGDVNRMLPKPIDPVRMMYLPISCGVVLPPQVLFICFTGQPTPPVVSRIPDSWMTPASSALSP* >Brasy5G504200.2.p pacid=40075620 transcript=Brasy5G504200.2 locus=Brasy5G504200 ID=Brasy5G504200.2.v1.1 annot-version=v1.1 MASSKPKRAAAFFLILAVAAAAARASGSGGADEDSLRFPGFPGGRGRSSAGGYPGMPGGGRVSPPAAPRGKPWPSSSPPPPLQSSPAAGTPSIPACTPAQPLPGFPGLQQPGGNPGASSSSSSPADCVTPLAGLMTCASFLTGSEADTPTPQSECCSGLGMFLNSTAAVDDRSLRCLCPVILGDVNRMLPKPIDPVRMIWAADAARGLAHPRLLDDTSFISFVALMEARLKPEIHSDI* >Brasy5G241100.1.p pacid=40075621 transcript=Brasy5G241100.1 locus=Brasy5G241100 ID=Brasy5G241100.1.v1.1 annot-version=v1.1 MGNPINGNNSSGDGGVDQNGGRQVEAPVELNLWPLMEPPPAGAVKGDVGDGSDGSSSKLCVSPDGSPPNGKRCIWFCMVTKKEFPKCIRCNQPSLFRPPYSNIEINKKRDGDQSSSK* >Brasy5G446800.1.p pacid=40075622 transcript=Brasy5G446800.1 locus=Brasy5G446800 ID=Brasy5G446800.1.v1.1 annot-version=v1.1 MGERRRYCSCSSHGLSLPLPLFFFSFLCLHLSMAAAAGGTPPLPLNSTQESIMRNLLSLVGGKIGWNTTVSNPCLWSGIACSPSNSGSFSVVTNITLSAHGVSNSSVFAPICAIDTLLSLDLSRNSFTDFGDRLFSPSCSMKEGLRSLNLSSNQVASSLGGFSGFPRLEVLDLSFNLVSGNLSTELGSFPQLRSLNLSSNKLSGGVPTSMVPSLEELVLSGNHLSGPIPAGLFAYGELVMLDLSQNNLIGAVPDELWKLGKLQTLLISGNELSGSIPGRLSNSTTLSRYAANQNKFTGPIPNGITEHVKMLDLSYNNLSGTIPSDLLASPVLEAIDLTSNRLEGSVPRNFSARLFRLRLGMNFLTGRIPDSIGDASKLAYLELDNNNLSGDIPPQLGRCKELALLNLASNDLQGQVPDQISTLEKLVVLKLQMNNLSGPIKSTFSSLTNLSILNLSRNSFDGEMPQNIEQLSKLSSINLAGNKISGVIPVSVGSLQLLIELNLGDNSLTGTIPDMPDKSTSSLNLSHNYLTGSIPSKIGTLTYLEILDISYNNLSGAVPSTLQNLPSLTQLVLSYNQLSGYFHPPPHVVVNITGNPGLTIRSDTDGYPQKKKKRSDTDGNDTPVDGIVGALVGALVGLCLLAAVRLSCCSSYHLCYCRCSCWIVLTCCCHYVLIVQEDLSR* >Brasy5G172200.1.p pacid=40075623 transcript=Brasy5G172200.1 locus=Brasy5G172200 ID=Brasy5G172200.1.v1.1 annot-version=v1.1 MAYSITHMALLQALTSILLFASSSILLVVQAGSAAESTTSSAGTYRFELTHVDANRGFTREELIQRSEARAKLRAAMLSSDDSGLNTHAKYIASARYAPQEYLMELQMGKPLLTFKAVADTGSDLVWTRKLDNCRCQASSTFNHWSCSHPNASAPGNKPDLVPCNKESRSSTTPSNAQQPCKATSSNGPCMFKRMYGDSKTASGFMATERLTLSPPPPSNSDEKHKPISLGCAIDWGDGMIPEAADGIVGLNRGELSLVKKLKLKNFAYCLRDPVVAASEDAPSSRLLFDYKENLLDSSVPFQATSLVDAVDEENNPVGSLMYHVQLDGISVGKNSRMNYKSLPHDSFKRKSSRDRNKGVMFVDSGTSQTFLEKDVFDRLIELLKDEHGLEAVTYEKKNCFRLKQDAGSEWPEMALYFPGAEMHLPRANYMSETKAAGPEVFCLTIHEDAKQSILGNFQQQNMHMLFDLDHPKGEEKLKFALHKDCSKM* >Brasy5G245700.1.p pacid=40075624 transcript=Brasy5G245700.1 locus=Brasy5G245700 ID=Brasy5G245700.1.v1.1 annot-version=v1.1 MATSNDTKLAVQELGHCTIMPETGKPCPACVASYDAMLPSPQHGQWLRAAVLGASDGLVSTAALMLGIGAARPADPRAALLSGVAGLVAGACSMAIGEYVSVHAQLDVELARLKQVEEARGSSMDRAGLPSPSQAAAASAMSFAAGAAIPLLVAWFVVSYKVRVVVVVVTATLTLAVFGTLGAVKGRAPGGRAGLRAALGGLVAMGITYGLMKLFRTHSV* >Brasy5G494300.1.p pacid=40075625 transcript=Brasy5G494300.1 locus=Brasy5G494300 ID=Brasy5G494300.1.v1.1 annot-version=v1.1 MAAVLTRPTPGSVQCFGRKKTAVAVAYTKPGRGLIKVNGAPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQMYAIRQAIAKGLVSYLQKYVDEAAKKEAKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR* >Brasy5G400000.1.p pacid=40075626 transcript=Brasy5G400000.1 locus=Brasy5G400000 ID=Brasy5G400000.1.v1.1 annot-version=v1.1 MVLDLNVESPAGSGSSTSSVLNNSAGGDAASGGGFRFGLLGSPADDEAFSSGFVTRQLFPPPPPPPPPPPERGAALGMPMMTAPASWQPPRRAEDLGVMGQQQQQLATPAVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFNLSDYEEDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAAIRFNGREAVTNFETSSYNGDALPDTETEAIVDADVLDLDLRMSQPNAHDSKRDNIVAGLQLTFDSPESSSIMVSQPMSSSSQWPVHQHSTAVPPQQLQHLYSSASPVFFPSLQERPMERRPPESGGPVQPFPTWGWHQVPAGGGSSRSPLLHAAASSGFSTATGAAAQQLPPFADHRFYFPPSA* >Brasy5G014300.1.p pacid=40075627 transcript=Brasy5G014300.1 locus=Brasy5G014300 ID=Brasy5G014300.1.v1.1 annot-version=v1.1 MFMFVSRQITFCHLRFCCLLSNHGTGRGQARAGRRSSASLSPTAGTTRDQLRRVYLLPQIGMQMGWHIYPARKTFKSSTQFLINF* >Brasy5G498000.1.p pacid=40075628 transcript=Brasy5G498000.1 locus=Brasy5G498000 ID=Brasy5G498000.1.v1.1 annot-version=v1.1 MTTTAAVSDPLADLWRHLTSPDTTHLHCFFPSKVTMGGIWTGDNPLDFSLPLLLFQILLITTVTRAAVLLLAPLRLPRYISEILGGFVLGPSVLGRLPRFTDVVFPARSIFVLDSMSLLGLVYYTFTVGVEIELPTITRAGQRSFWFAAASATPPFVIGAGAGYLAISSGPGRVKGGDGLSFPIFLGATFASTAFSVLARNIAELKLAGTDVGQLTLSASLLNDTFAWAGLTVATALSHETENGLVPSLCTLGWGGAMFGVGFLAVRPALLRLAQKAAEGEVVGELRELSLLIGVMVAALVADAGGTHAIFGAFIFGLAMPNGPVGVALVEKVEDLVVGTLLPLFFAMSGLRTDVAKVTSTSAAALLTVASVAASLLKVAAAVGVAAAFGMSLHDGTSIGLLLNTKGIIELVILNIARNKRIMSDQSFTVLVFMSTLTTALVSPLLAMVVKPARRLVFYKRRTVAWPQPEAELRVLACVHVPRDAPAQIALLEMVGASSPVAVHALHLIEFAGRSSALLLINASAPAAAASSSSSSSGQSHVEKQFKHIAHAFMAYEENVAAAGGVATARTMAAVSPYASMHEDITAAAEDQHSALMVLPFHKHRSVDGGMELSHPAIQPLNTTVQACSPCTVAILVDRGLGMGGSSSCYRVAALFFGGRDDREALALAARMARNPAVDLAVLRFVKKGRSGSMTASEFDALKERKADDGCLREFRDRASGGGGAAVEYCERGVMNAGEMVSEIRSVDAEGKDLFVVGKTPGLSALTAGMAEWSECPELGPIGDLLASRDFQTTASVLVVQSYGRAAAAAAVPGGSSTATTSSMEFAVGEAVLPPAPDTQARPGHPPRRPRQPADLSIG* >Brasy5G149100.1.p pacid=40075629 transcript=Brasy5G149100.1 locus=Brasy5G149100 ID=Brasy5G149100.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLRQQQIEQQAIAAAQAQAQALTVAQEVAKAAAAAGVNIDAARLVTDFNKFINKEQSTQGTLPPSPPDPVVSPSDLGLHRLWIHLIPRDQRWISKVVAVERLLPVCVVEPADVGLWGLCFPTPGAPLHLCVVPPSAVLCTGGSWKKERGWLAQDLAFRSR* >Brasy5G186200.1.p pacid=40075630 transcript=Brasy5G186200.1 locus=Brasy5G186200 ID=Brasy5G186200.1.v1.1 annot-version=v1.1 MHKELKFLKIACNIEQDKAYPRDFFQRVRVRVQLKNEDGSPVNPAIRTSHHLLGMPKGGRLVFESQVIPICQEMLQNPEESLLCTGVVVGSHLQFSHLIYFWLLMYPITTDCSMELRVSLENVLLYTCSFSFSFSCLLFRFVPTCWLYLRFSRLNENDAALGVFEKKNVHYTTKLSKY* >Brasy5G431100.1.p pacid=40075631 transcript=Brasy5G431100.1 locus=Brasy5G431100 ID=Brasy5G431100.1.v1.1 annot-version=v1.1 MLRKRNILKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPRGFSTHFGTYEAARWYLRHELSIIRRCLEQHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGIPPCVSREIAESCASYVSTVVLQDDIVPRLSAASLARLRNEIIKTDWASVMEKEDLKHIVDIVTNSKLVVSSIQDVAHKLADYAKVVTASASSDVKDPPRLQRSTKVLNPESEEDVYVPEDLFLPGTLYYLQRDVENINGNEDESYTLWRGDAGENFQRILLSGNLMSDHKCENIHHALRDVLKTLPLQH* >Brasy5G125900.1.p pacid=40075632 transcript=Brasy5G125900.1 locus=Brasy5G125900 ID=Brasy5G125900.1.v1.1 annot-version=v1.1 MMRQICYPGTSHEKMPSSFRIMGEQLACSTVKQLILLQANAAPAAMLHWQPEEEVSFRQRLCLPERFVAREETLAKQEGSVS* >Brasy5G263500.1.p pacid=40075633 transcript=Brasy5G263500.1 locus=Brasy5G263500 ID=Brasy5G263500.1.v1.1 annot-version=v1.1 MRRSNEFHNLSKDYFYYEIDCICYLWRWRVNRSNQKGEGNWEELMRRGAVRFGVGRIRSSVEVESFIYRCLEGYRSICLITKTKTRRPNTSGGLAW* >Brasy5G200600.1.p pacid=40075634 transcript=Brasy5G200600.1 locus=Brasy5G200600 ID=Brasy5G200600.1.v1.1 annot-version=v1.1 MVLVAAGSVSVVKLIFSLAVAINAAASKAKRNRADCLDIATRATTLDAALSSLDDSSVSVSGGGGAAKAKHPAVAAALEGLHLALHRALQAVMDCQEDGAVSRHVNADRVSAELRRVNQVITDRMMDVILVAGLHTNSVVVVDAHHSKHSGDGGSPLRSPLPQIQESCLPNSRKEEELPTASSGFNSFDFSELEVATSKFSEENLIGKSDSCTVYKGALPQGSEVAIKEYSKNQYKAWRNECQNEEKLAGKLLHKNIIKLVGRCSSGGRYYQVYEYMHNRSLSDHLHGNGLQWPKLLNIIRGIAQGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGNSKVLPTSAKEGVVDSVVRPCGFTAPEYTKSLVFSAKSDVYSFGVLLLDVVTGWSRHRKGDDREELLIVFVWEFWQNRREDDCVDPMLSRATGATASQFQEMKRCVHIALLCLEEDPVLRPDMAGILRMLADNNSLMPRPQHPAYTT* >Brasy5G239000.1.p pacid=40075635 transcript=Brasy5G239000.1 locus=Brasy5G239000 ID=Brasy5G239000.1.v1.1 annot-version=v1.1 MAHMAAAAAEEGGALRRLFETPPLPLPEKKNPTLLEVLAACDSQQQKKKPTVDPASFTELFGELHFREKPPSPAAHPDPAASSWLDVVAEAERRSSKDDSSLDALLRPASAAAAMAGGGVRRSESFSSASLLLCTEGLGSESAVDSDDMVKDGAGAGDEDEDEALRGSKGKEESAAAEEEAPPLRAAAEFPPAIRSVGRGGRPSVSLRAFRGEGRFVLTRVEIPGKELLRASRGGGRLRLQFADAAEELALLAAGEQHEG* >Brasy5G068300.1.p pacid=40075636 transcript=Brasy5G068300.1 locus=Brasy5G068300 ID=Brasy5G068300.1.v1.1 annot-version=v1.1 MATITIESGALSVLAILLLSYSAGAADVNVITATQPLSGQKKLVSQGGRFALGFYRPGGGPQDKWYIAIWYNKVPKVTPVWIANREAAIPDPASSELTIWIDGNLVLLNKSRSIVWSSNTPATVLGASNRTIVAVLLNSGNLVLAYASNTSNIVWQSFHQPTDTQLPGMKFGRNKLTGVSSRQVSWRNSMDPSAGIFSVVMDPSVAAQYVLIWNNSRPYFTPGKFDPKTGAFGGIPSMTVMSRANSMYSYEYVNNDREEYFLLTVKDDNIFTRTVIDPSGQQKGMVWMKEKQDWMVYFVQPSAKCGVYSACGAFSWCVENNVPMCSCLRGFSTKSPTEWSSGNYTGGCTRNVALPCSLSMSRYEKDRFYVTNKVKLPDGSQTVQASSISDCEVACLNNCSCLAYSYNGTCSLWYTDLMNLQEDLENTGDSIFIRLPASEIPHTKSTRGRTIGITLGVSAFALGVCLLAIFCLLRRRRIKGLHHIDMNLMAFKYKDMQLITKNFSDKLGAGSFGSVFKGVLQDGTTVAIKKLEGVRQGEKQFRAEISTLGTIHHVNLVQLLGFCSEGEHRLLVYKYMPHGSLDGSLFRVSSNDVLPWNTRYQIAIGVAKGLNYLHEKCRDCIIHCDIKPQNILLDASFVPKVSDFGLAKLLGRDFSRVVTTMRGTIGYLAPEWISGTAITAKADVFSYGMMLFEIISQKRNVEHGKRCMDKFFPILVANKIQVGDVQALLDADLKRDVNLEELERACMVACWCVQEDECTRPTMGVIVQILEGLMKVHMPPVPRYLQVLAESADQSMLSST* >Brasy5G362600.1.p pacid=40075637 transcript=Brasy5G362600.1 locus=Brasy5G362600 ID=Brasy5G362600.1.v1.1 annot-version=v1.1 MMSAAGSQSQQQEIIRQHQQQQQQEMEMNISFGMSSHHPHHHHHAPPSSSSSSSMHAAAASFIRSAKEAAAASGAYDQLGELDQALFMYLDHGHGSQHASAHHQEQRQTLNIFPSQPMHVEPSPKGEISLAVLSPAPVGSKMPRSSPDHHQQHHHQLQQAAAMEELAGSRRQQQEHHLAVQQHQQPFAAEPAGVSKDVKPLAKKDKRGLSTSERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQIEQELHSARAQGVFFPGSGLLTEQGVAGKGLGGIDGLSSEAAMFDVEYGRWQEEHYRVMYELRAALQQQLPEGELQMYVENCLAHHDEVVAIKDAVIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMVLGHVEPLAEQQIVAVYGLQQSAAETEEALSQGLDALYQSLSDTVVSDALSCPPANVANYMGQMHVAMNKLSTLEGFVRQAENLRQQTLHRLHQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRPPQDHQQPGAGAGGTPHSS* >Brasy5G362600.2.p pacid=40075638 transcript=Brasy5G362600.2 locus=Brasy5G362600 ID=Brasy5G362600.2.v1.1 annot-version=v1.1 MMSAAGSQSQQQEIIRQHQQQQQQEMEMNISFGMSSHHPHHHHHAPPSSSSSSSMHAAAASFMSAKEAAAASGAYDQLGELDQALFMYLDHGHGSQHASAHHQEQRQTLNIFPSQPMHVEPSPKGEISLAVLSPAPVGSKMPRSSPDHHQQHHHQLQQAAAMEELAGSRRQQQEHHLAVQQHQQPFAAEPAGVSKDVKPLAKKDKRGLSTSERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQIEQELHSARAQGVFFPGSGLLTEQGVAGKGLGGIDGLSSEAAMFDVEYGRWQEEHYRVMYELRAALQQQLPEGELQMYVENCLAHHDEVVAIKDAVIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMVLGHVEPLAEQQIVAVYGLQQSAAETEEALSQGLDALYQSLSDTVVSDALSCPPANVANYMGQMHVAMNKLSTLEGFVRQAENLRQQTLHRLHQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRPPQDHQQPGAGAGGTPHSS* >Brasy5G222400.1.p pacid=40075639 transcript=Brasy5G222400.1 locus=Brasy5G222400 ID=Brasy5G222400.1.v1.1 annot-version=v1.1 MADHARELEALERILSDDAGAEPTRLAYGLMKSITNDFSPSREIGSGGFGVVYLGVLRKGTVAVKKLTMSPTFSDDLFLDEVRCLMSAKHKNIVRFLGYCSDTQGELIEHNGRKIMAEVRQRLLCFEYVPNGSLQRYLKEKCHGNGWKIRYQLMKGICRGLHYLHKECINHLDLKPENVLLDAYMEPKISDFGLSRWFDEGQSRIVTINTPGTRGYIAPEIIDKGEISFKSDIFSLGIIIIKLLTGSDHHEFENWHKSIDVEGPQVKSCIEIAQACVEADQHNRPSIREIIDKLNEMENAEESRDGTGSSMVSPSASKEEVIPPSTMCRMDKQDFEYAKMDTCLADGMGHSKDDGGVSKDTEMAQLLGPRRVEENGGSKLLVDGKDLYNGPKMMMNQKARGGDEKVPNGGSGPSIQVKMSGMLLTPPAMMRPNMMPGARMLQAAAAAGNPVALKNVQMMQQQMMMMNTSGTGHPMMGYGMQGMYPMPPNMMQHTTPTKVEPVKFNNHDPEDSGSSESHDLDNEESKDAGNKAHKGGGGKQDAKMYPMGPQKMQMKLSTPLMDAPADSKDPKSVKFNLSEDEFDDDDDEFSDFDDDDDFDDDGLDDDDPNAKQVGVPPHAASTRGGDKKGGGESEIPTQMKGNNGGKKYGGGQQHLGGGGGKNVGRAQPNNIKGQEKGTGTGGLAAGGLMGVMLPPRPLPPQQQQAMLRPTMMGSSGGFPGMGQMGYRPHMENGVHGMLPPPPPPPTGFYQSGMPPYDREREILHFAAAAGNSMAQLQHRALAQQQQQQHMAFYLFLFIFLFLFTIVIIIIVIFTFKARR* >Brasy5G272500.1.p pacid=40075640 transcript=Brasy5G272500.1 locus=Brasy5G272500 ID=Brasy5G272500.1.v1.1 annot-version=v1.1 MPPPTAGRLSGLLRHCAAAGELPAGAKLHAQAIVGGHLPQATLETDMVLLYCRCAALPRARKVFDAMPSPSMHAYNILLAASPPRAALELLSGLLAAGFRPDRYAVPAALRACAELQDPLLGAALHGFAVQFGFLANVVVSGALLDMYAKSGLLANAARVFDEMPEKDSVVWNCMVTAYARAGMAAETLELFRRAQVEAVNMARDLRSVPSVLNACGKEGELMKGREIHGRMVRCLAFDSDVPIGNALIDMYAKCGHVDASQAVFAGMLERNVVSWSTLISSYGVHGKGKEALRIYKEMLSQRFKPNGITFMSVLSSCSHSGLVTDGRMIFESMSKVHGVEPTAEHYACMVDILGRAGAIEEAVRLIRKMPMGPCASAWGAVLSACATHNNVDVGEIAAYRLFELEEDNASNYVTLCGIYDAVGQLDGVAGLRSRMRELGMVKTPGCSWVDVKGRAHAFYQGSIPRYLRRQMFWILDRLLEDMGRSESEYEHTNQYYELT* >Brasy5G272500.2.p pacid=40075641 transcript=Brasy5G272500.2 locus=Brasy5G272500 ID=Brasy5G272500.2.v1.1 annot-version=v1.1 MPPPTAGRLSGLLRHCAAAGELPAGAKLHAQAIVGGHLPQATLETDMVLLYCRCAALPRARKVFDAMPSPSMHAYNILLAASPPRAALELLSGLLAAGFRPDRYAVPAALRACAELQDPLLGAALHGFAVQFGFLANVVVSGALLDMYAKSGLLANAARVFDEMPEKDSVVWNCMVTAYARAGMAAETLELFRRAQVEAVNMARDLRSVPSVLNACGKEGELMKGREIHGRMVRCLAFDSDVPIGNALIDMYAKCGHVDASQAVFAGMLERNVVSWSTLISSYGVHGKGKEALRIYKEMLSQRFKPNGITFMSVLSSCSHSGLVTDGRMIFESMSKVHGVEPTAEHYACMVDILGRAGAIEEAVRLIRKMPMGPCASAWGAVLSACATHNNVDVGEIAAYRLFELEEDNASNYVTLCGIYDAVGQLDGVAGLRSRMRELGMVKTPGCSWVDVKGRAHAFYQGSIPRYLRRQMFWILDRLLEDMGRSESEYEHTNQYYELT* >Brasy5G058200.1.p pacid=40075642 transcript=Brasy5G058200.1 locus=Brasy5G058200 ID=Brasy5G058200.1.v1.1 annot-version=v1.1 MEMETDWSKLPMDVLTLIFAKLGPVEVLMGAGLVCPSWLEAAKLPDLLWRSLDMKHLNSSNNAVLNKKHFLRAMAKMAVDRADGRLETFAADNFVDDELLEYIAKRSPSLKSLKLINCSITNTVLMDTVDSCPRLELLHVSECYNVSLNCSLSAKCGRIRERTFIWNAHGTRIEYGKRRKTKRISG* >Brasy5G523600.1.p pacid=40075643 transcript=Brasy5G523600.1 locus=Brasy5G523600 ID=Brasy5G523600.1.v1.1 annot-version=v1.1 MACRRRVVFSPDDGEENNKKEPVGKERDAERSQLYSKIKASHREAQRRLLLLYADADAVLDAAGFCFGLLDRVSNIIVNALSAPPAPDASPSDKKKKKKKKKKKKKKKKKGTRRREAVKGSAEGLLAFITCFFPYLRDWEAVRYLVAAGADLALAVRLVLRDRCRRLVDYNSLGAGDSSFKMALKCAALAANHGHPDRLVATWSGEVSPPALSENCAPRSPPPTMVLGGSWEELAADRGHDDEIEKDEELASTMSLRRALLDTIHGHYLRALARLPAEYHMSMLYAGHCYGPLDPVSNIVLNTAASCGPTDKDDDTLSGKQRLLLRAGARSLYGLASFLATRYPGLGFHAALRCLLSAGGHLQEACKEADRQHPHGSNCNADLLQLQEAYAAAAIAAWHPQPDAQASRLASLSISLQAEPSSSSSSDIAAALLSQLRLQDHEQLMRISCQHLERLANSLLLPADKDDIAPPSGGIGSLASSDCKMYAKVKAALSKYYYANNTEDPEEVEIHAICGVNDRVLGPHILDKYGRRTGLPYTHHYYNTHVNFLAHCRNNKQPMLFFAELSKDDDDDMAAAACPVTPPGRPRCLYCDYEGSRIVHPPGVHAFHGRGPDFYRMVCKQDLFDDDVDPQLQGRYYTNSTIIRRSDRIAEWIEDGRYYFDLVVDDWC* >Brasy5G280900.1.p pacid=40075644 transcript=Brasy5G280900.1 locus=Brasy5G280900 ID=Brasy5G280900.1.v1.1 annot-version=v1.1 MGSSSGLVDWRGRPINSKNHGGVRASIFIHALVLLSNAANIANIMNLVSYLRSTMHMGVAEASTTPSNFMAALQMFSIPAAFLADSYIKRFYTILIFGPIEILGYILLAVQAHVPSLHPPPCSQTSGAATCDPVHGSNLSLLLLGLYLIPIGDGAARACLPALGGDQFDTADPAEQRQETSFFNWYTFAVSSGGFLGLVLIVWVQNRQGWDIGFALCALCVLLGMLIWIAGFPYYRNQLPGGSAITRMLQVLVVAFKKRNVQLPKDTSELKQMNQDDSNNGLEELERTSGFQCLEKSAIDTGETGPWSLCTVTQVEETKIVLRMVPIFLSAILGYIPVSHILNFTVQQGNAMDTKLGGIHISPATLFVVPTVFQMVILVLYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATLATGVAALVETKRKRVAEEIPISVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASTGMKSVGSSIFYCILGVSAWLGSLLIQVTNRVTRRGDGAEAATGWLDGTNLNMGKLDRFYWLLAVLELVAFFIYMFFARRYEYRNNQRAADNTGDTKAPLAPEGAVGDLIIYMCNSNY* >Brasy5G174000.1.p pacid=40075645 transcript=Brasy5G174000.1 locus=Brasy5G174000 ID=Brasy5G174000.1.v1.1 annot-version=v1.1 MASSLGRLRSAIYDKEERKTQYQSHIRGLNAYDRHKKFMNDYVQFYGHVKNLDHSLPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMTAYKKGKIGLRWRTEKEVISGKGQFVCGNKKCNENNGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKREKERVKEKELSAEKEMDLKENKRKRQHDEESEDASDDESAEKYSRRKKDRKGASSRNVGDRDEGFDEFLEGMFL* >Brasy5G174000.4.p pacid=40075646 transcript=Brasy5G174000.4 locus=Brasy5G174000 ID=Brasy5G174000.4.v1.1 annot-version=v1.1 MNDYVQFYGHVKNLDHSLPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMTAYKKGKIGLRWRTEKEVISGKGQFVCGNKKCNENNGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKREKERVKEKELSAEKEMDLKENKRKRQHDEESEDASDDESAEKYSRRKKDRKGASSRNVGDRDEGFDEFLEGMFL* >Brasy5G174000.3.p pacid=40075647 transcript=Brasy5G174000.3 locus=Brasy5G174000 ID=Brasy5G174000.3.v1.1 annot-version=v1.1 MNDYVQFYGHVKNLDHSLPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMTAYKKGKIGLRWRTEKEVISGKGQFVCGNKKCNENNGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKREKERVKEKELSAEKEMDLKENKRKRQHDEESEDASDDESAEKYSRRKKDRKGASSRNVGDRDEGFDEFLEGMFL* >Brasy5G174000.2.p pacid=40075648 transcript=Brasy5G174000.2 locus=Brasy5G174000 ID=Brasy5G174000.2.v1.1 annot-version=v1.1 MASSLGRLRSAIYDKEERKTQYQSHIRGLNAYDRHKKFMNDYVQFYGHVKNLDHSLPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMTAYKKGKIGLRWRTEKEVISGKGQFVCGNKKCNENNGLGSYEVNFSYVEAGEQKQALVKLVACKRKACL* >Brasy5G047100.1.p pacid=40075649 transcript=Brasy5G047100.1 locus=Brasy5G047100 ID=Brasy5G047100.1.v1.1 annot-version=v1.1 MAAPPPAHRCGPDQRRPGDAEAVELRTPPSCLEPGSPSSPPILATPTSSSSLPSAVACSLNSESFELMRYMRYFHLCRPRMWYCLHLCIPSRAFDFGSRGFSSIDEPLQLLPPFPKHRSGARRRKGKRAGGDVGIGIVEAPRRRNVRRGLGKPRSLSPIPRRPPTTFLDPVRGLGMYKMLVPSWSAISAYMSEYWSAIVATVIFASVGGVTIYYTINQLNKNISLSLMKAIRARAKKYKKLKDKVPAASHIWRKELGSRSKGLKCCVCLKSVSAPQYLGGTIHHCDICGATAHPSCSGNAHKDCKCVSMVGLDHVIHQWAVQWIDTTDRSEEDSFCCYCDESCSGAFLAGSPIWYCMWCQRLVHVDCHNNLAKETGDICDLGPLKRLILSPLCVKQLHSTVAAGIFSSITSGANELASTVRETIRIRSKRYKKGTTSSEPESSGTAEQPSDTEGESQGETAATKRDDHVNGKLPEVHSSSDSEKDKQLIMDNAASRPSSQPEESVVQNNQKHEIVDVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPLQVFELSKHQGPEVGLALFQKVPHFKILVCGGDGTVGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVVEKRGGLFSVLKDVEHAAVTVLDRWKITLKDNQEKLISSPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNIMDNMFYYFPWEVKLEIDGSNIEIPQDTEGILVTNIPSYMGGVDLWKNEDSISDTFQPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGYHIKIEITTTMPIQVDGEPWSQEPCTMEVSHHAQAFMLKRVSEEPISHAASIMADILENAENSGTISASQKSALLQEIASRLL* >Brasy5G219400.1.p pacid=40075650 transcript=Brasy5G219400.1 locus=Brasy5G219400 ID=Brasy5G219400.1.v1.1 annot-version=v1.1 MEDGRPCHRVSSPRRPPPFSSLHVVSLPRLHPATSSAPGRRRHLPPPRKPLPPWEPPSGIRRDPPNSLHRLPPSLATPPPYPLPTPSVAAAVLAPAPPHFAGDVEGGPLPTPPPPPWKEKKTTTVGRDGAVATANEAHAIQEQGGSPLPPLRRPPPIFGP* >Brasy5G264500.1.p pacid=40075651 transcript=Brasy5G264500.1 locus=Brasy5G264500 ID=Brasy5G264500.1.v1.1 annot-version=v1.1 MLDTILPYWKNPKQSNHHLPEIKSIIMLNSKKIGLKSIEESRQEGDAEQDEVIHGGKGDCWNELILQGLAILERLAFDENNCRDILSASDLLSKITAPLYSSTLIQDISVSAWADVANGSLKVVHRLICAPEWIGKTLGLEISANKQALSNLEMILDHDNKAGQELQMRAIEIMTELAFDSSSNLSTETKEKLIKKQLHIFLTDDEGEEEKLRVTAGRALALLSKTELLSTTGTISVFIMSEYSYIIDDVTEMLDAKNKITYRTIAAGMLENLCTHHTMDNENVKNTLLPKILAELMNSRREPTEASQSNMSAPGENTSQENSVTGDEENQLSRDSGQIKSPGRANEEQTARSRLQEALLSLTLVICDKLIISADDFDDLARKIAPGEGGFVTKLKDIVDKNCKATPDCLRIVKLCGQISVSMMRRGQYTAHFKDQKFTESLSKASEIMSNLESCMLFAGTDCGIKNTARPLLWDIAKEALELVC* >Brasy5G365900.1.p pacid=40075652 transcript=Brasy5G365900.1 locus=Brasy5G365900 ID=Brasy5G365900.1.v1.1 annot-version=v1.1 MGNPHGGLDEQIEQLLQCKHLAEPELRALCGKAKEVLMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRSFDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL* >Brasy5G365900.2.p pacid=40075653 transcript=Brasy5G365900.2 locus=Brasy5G365900 ID=Brasy5G365900.2.v1.1 annot-version=v1.1 MGNPHGGLDEQIEQLLQCKHLAEPELRALCGKAKEVLMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKLLVALKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRSFDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL* >Brasy5G134100.1.p pacid=40075654 transcript=Brasy5G134100.1 locus=Brasy5G134100 ID=Brasy5G134100.1.v1.1 annot-version=v1.1 MKPLPRSHRNRPPPSAPLPEPMPAVGTRRSTRVFVPKVPQPQPSEPARVRRSGKRLAISNSHWLRWESKNAFHDNEHGKKPKPQTPMQPRSPPTRSFGIVYSRKRCRRLTAEPKDDTRFGIVFTRKDKRSKVAPFREDTSSDLAAIPCSLSREFASRIGFLDAHFLTPVAGVASHAGDDLLVVLIDTSCSGSSHQFLRLLLPVLRWMRHGQQSKIWNLASFLSSAAVVTIFASHGLHFVKLQHQRASALLQRTMVHCGWCELLDANQSQPVLSVNFSAVPSYFQSLHSVIDLHSIYVPAGIRRSMGLVGGAEEMYPEEPLEADSWSPSTVDAEPAVDLRCDEPCRVVQDYVPLEQVAGVVMHGLRLKKHQRKRSSMRHPVNWHRLAARFPDKAIGMKQGTLTSQTELSPALTGSEISLEPLQPKPALEISLDLLENMDDSDVSTPMGSNGKQKRSFKSPIERTNERLALSEVRQNIDHFCCKANLLIIQADRCWREEGAVVMLELSNSNGWCVAVKLRGVTRVSLKPSEQRFYVVNRHTHAYVWAIEDGWKLEFSDKWDWLLFKELHIEGRERNSQEKMIPIPGVHEVSDGMGGIVTDPFSRPVPDYIRMVDDEVGRALSRDSAYDMDSEDEQWLIQLNHEASDRRSSNLNHISYEDFEKTITLFEKDVYNNPGVATDVDQLLSRYPSLGKDDNVLSIYEYWTSKRYKKGAPLIRTFQGAPVRRGRQSQKSSVKKKRSFMRQRSQPGRGKPGIFLQDNAEEEALRRVVEAERAAKHAVETAVRLRSRAQSLMANANLATYKSVMALRIAEAASISNSCRDLVWRTLN* >Brasy5G499100.1.p pacid=40075655 transcript=Brasy5G499100.1 locus=Brasy5G499100 ID=Brasy5G499100.1.v1.1 annot-version=v1.1 MEPATRGDRRHDSRAGWHGEEDPPWIALRHRLSRDFIVRIEQERARARAAEAAIDGEQWDDDAVGAHRAYSDPASKKAMLDMPMPEVGETREQDCAVCLEDFVTGAGSKLRMMPCSHSFHQSCIWDWLLINRLCPVCRFAMPPRSVDDELVVVEKEATSEQAGGN* >Brasy5G487000.1.p pacid=40075656 transcript=Brasy5G487000.1 locus=Brasy5G487000 ID=Brasy5G487000.1.v1.1 annot-version=v1.1 MGGLMPEEEGGAAVSLPVIDLSLGRDEVGRAVLHAGKDIGFFQVINHGVPEEAIRGMEALCEEYFAMPEEDRMAFYSEDNAKANRFFSGSTYDTGGAKLFLFDCLRLSSAFPIDDDSKNNWPHKPQNLRDVVEKFTVPTRGMGMELLCLLCEAMGLPLDYFEGGLGSGNMTMTLNRYPACLDPGTMMGLPPHCDRNLLSLLLPSAVPGLQFSHKGKWTDVVTLPNAYIVNFGLPLEVVTNGVLKSIEHRVVTNPTRTRMSVGVFITPTPNCLIGPAEEFLSEEKPARYHAVTFDEFYRLHSVVKHGLSSVLTINRHENVGKETL* >Brasy5G142900.1.p pacid=40075657 transcript=Brasy5G142900.1 locus=Brasy5G142900 ID=Brasy5G142900.1.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTAGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.2.p pacid=40075658 transcript=Brasy5G142900.2 locus=Brasy5G142900 ID=Brasy5G142900.2.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTAGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.6.p pacid=40075659 transcript=Brasy5G142900.6 locus=Brasy5G142900 ID=Brasy5G142900.6.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.3.p pacid=40075660 transcript=Brasy5G142900.3 locus=Brasy5G142900 ID=Brasy5G142900.3.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.4.p pacid=40075661 transcript=Brasy5G142900.4 locus=Brasy5G142900 ID=Brasy5G142900.4.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.5.p pacid=40075662 transcript=Brasy5G142900.5 locus=Brasy5G142900 ID=Brasy5G142900.5.v1.1 annot-version=v1.1 MGSKSLPANTLPQSRRPADIEKFTRNYSVELGRGGYGIVYKATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.10.p pacid=40075663 transcript=Brasy5G142900.10 locus=Brasy5G142900 ID=Brasy5G142900.10.v1.1 annot-version=v1.1 MRAVFLENDATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTAGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.7.p pacid=40075664 transcript=Brasy5G142900.7 locus=Brasy5G142900 ID=Brasy5G142900.7.v1.1 annot-version=v1.1 MRAVFLENDATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.13.p pacid=40075665 transcript=Brasy5G142900.13 locus=Brasy5G142900 ID=Brasy5G142900.13.v1.1 annot-version=v1.1 MRAVFLENDATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRHENIILLVGYCYETEKRINNFTKKFDEIIRAALCFEYAPNGNLRSYISDEDHRLGWQARYKIIKGTCEGLHYLHHHSTGPIYHLDLKPENILLSGSMVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.11.p pacid=40075666 transcript=Brasy5G142900.11 locus=Brasy5G142900 ID=Brasy5G142900.11.v1.1 annot-version=v1.1 MRAVFLENDATDEDGEEIAVKVLQERTGGNHDEEFNKEFYSLLELRRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.12.p pacid=40075667 transcript=Brasy5G142900.12 locus=Brasy5G142900 ID=Brasy5G142900.12.v1.1 annot-version=v1.1 MVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTAGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.8.p pacid=40075668 transcript=Brasy5G142900.8 locus=Brasy5G142900 ID=Brasy5G142900.8.v1.1 annot-version=v1.1 MVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.14.p pacid=40075669 transcript=Brasy5G142900.14 locus=Brasy5G142900 ID=Brasy5G142900.14.v1.1 annot-version=v1.1 MVPKIADFGLSRLFSDKTTKITKSPIGTWRYQPLEYKKGGIISQEFDIYSLGVIIIEMVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.15.p pacid=40075670 transcript=Brasy5G142900.15 locus=Brasy5G142900 ID=Brasy5G142900.15.v1.1 annot-version=v1.1 MKHRCHRKGCSDTSTRRLNPCCWRRPPWCWILTPVAAGDMRPWTMSTCSCLASSLCCSLTATCCSLDCCRPIRISTRPSPAAGSSSWPRRGRTGRFNPDLDQGAKKSSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.9.p pacid=40075671 transcript=Brasy5G142900.9 locus=Brasy5G142900 ID=Brasy5G142900.9.v1.1 annot-version=v1.1 MVVGPKTYDDFDNFSVQEIIELADKKWRPKLKKTETYHSLEAYCQQVKTCLEIALKCVENKRWERPAISKIISDLNEVENKIPKASSVDHKSRKESTQQSSDGESSLHTKRTQPREHPEATLQEMTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G142900.16.p pacid=40075672 transcript=Brasy5G142900.16 locus=Brasy5G142900 ID=Brasy5G142900.16.v1.1 annot-version=v1.1 MTDVGDIQSLVIDNGSGLIKAGFAGDETPRAVFPSIIGRPRMAGMGLKDAYVGDQALSKRGVLTLRYPVDRGMISSWDDMEKIWHHTFYNELCVAPEEHPLLLTEAALNPRANRVKMAEIMFETFDVPALYFAIQEVLSLYSTGRTTGIVTCSGDGATNIVPIYEGNMLAHAVRRLDFGGRDLTEYFMKIMAERGHYSFTTFAEREIARDIKEKLAYVALDYVQEMESARRSSSSVERRYELPDGQEIMIGEERFSCSEVLFDPSMIGMEAPGIHEGVCGAIKMCEKDLCQEFYGNIVLAGGSTMFPGLANRLSKEVTALAPSNTKIKIVAPPERLYGTWLGGSILASLNIFLQMYIRKSEYHEYGPSIVHRKCT* >Brasy5G404800.1.p pacid=40075673 transcript=Brasy5G404800.1 locus=Brasy5G404800 ID=Brasy5G404800.1.v1.1 annot-version=v1.1 MPRGGRSAVHDDAAPGFRYERPGSADGGAADVVQGPDAPGDLVERLRWQVRETDGCRHIFPIGDESVKAEARQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGVDMAIASRSPTPDIATVFIAKLELQPMFVTQEIFSSWTHKTEHFQKIQRKTGVPTSPCSSLMTRTEILNRYQKWELKVFW* >Brasy5G404800.2.p pacid=40075674 transcript=Brasy5G404800.2 locus=Brasy5G404800 ID=Brasy5G404800.2.v1.1 annot-version=v1.1 MPRGGRSAVHDDAAPGFRYERPGSADGGAADVVQGPDAPGDLVERLRWQVRETDGCRHIFPIGDESVKAEARQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGVDMAIASRSPTPDIATVFIAKLELQPMFVTQVNLVRPLLFRTGRRSLQFAFR* >Brasy5G264200.1.p pacid=40075675 transcript=Brasy5G264200.1 locus=Brasy5G264200 ID=Brasy5G264200.1.v1.1 annot-version=v1.1 MPTAHTCGFSFRDSLRVGLVQRDYGNTDSDSTQANLMPALEIFYSLVLCQGALFFLCRACNFPKKWGRITIQDYLLDTRAKCRQDPASIKGRNVVNYAVDLLDSKSVDYFSGARMLDMFIKQGVDLRSLLLPSRPKIQKLIDTLRWRSSKREITECAARIVAHLAWDIDLTQYPGAIRCVSSLLDTTLPYWNNRQGENHQLPKSKLEQSTAAEQGLVSRGKKLDQRGAKKRKSQEGHDQQGAGDSFIDERGDGWNELILQSLTILERLASDQQNCRDICATPDLLAKIMAPIYSGTLIQDISVSARENIVNGSFRLVYQLIRAQGWTGRRLRREMSASKQAMSNLEMILDYRSKANKKLQTRAIQILTELALDLSAKLAVDTKEYLIKKQLQIFLTGHGEEDPATMFIRKNKLKVTAGETLALLSKTETSSAFIIREYNSIFSHLSEVLDAKSNTIYMIVALAILENLCTNCMLDEEYVLMKVLAVLMSKRERPTETSQRKQYWVTILSASRIAQMRRRQCKAWADDEEIGGFSSEDDGEENKLSKHSSRSKSSDQASKEQTDEMELQEALLSLTLVICNKLMIGGNESDNLGQKIPNETIAVSMIRCSQYIAQFKDHNFVESLSKASEIMSNLESCMFFAGTDCGWNKTARPFLSDLEKELEEAVKLVG* >Brasy5G209600.1.p pacid=40075676 transcript=Brasy5G209600.1 locus=Brasy5G209600 ID=Brasy5G209600.1.v1.1 annot-version=v1.1 MGKPAEHEDDEMELSTSVEEDFEDEIVAAGADDDGDDDEDDAAGCGEETDDESEGANGNAEVGKWERARLREIQKLKKQKIQEILDRQNAAIDADMNKKGKGWLKYLLQQTEIFAHFAKGSQSAEKKTRGRGRHASKVTEEEEDEECLKEEDGIDCAGGTHLVVQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGIKGPHMVVAPKSTLGNWVKEIERFCPVLRAVKFLGNPEERIHIRENLLAPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFNSAETFDEWFQISGENDQREVVQQLHKILRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGEHKLLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKARDSRVLIFSQMTRLLDILEDYFMYKGYQYCRIDGSTGGDDRDASIEAFNKPESEKFIFLLSTRAGGLGINLTTADIVILYDSDWNPQMDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKIIERAYKKLALDALVIQQGRLAEQKAIKKDELLQMVRFGAEMVFNCKDSTITAEDIDRIIARGEEATSQLDEKMKKFTEDAVKFKMDNTAEMYDFDKKDENKPSFKKLESDNLIAAPRRERKINYSESEYFKQAFRQGAPAKPREPRIPQMKNLHDFQFFNIKRLDDLYKKEAKHLAQMKQKKGRIGDGDDEDQLEPLTEEEKEEKEQLLKEGFSTWTKMDFNTFIRACEKYGRNDIKSISSEMEGKTEEEVQGYAEVFKQRYTELNGYERIIKNIEKGESKISRKHEIMKSIEKKLNRYEDPWSDLKIQYGQNKEKSYSEQCDRFMLCKVHELGYGNWEGLKIAFRVCPWFRFDWFVKSRTTDELSRRFDALLRLVEKENQEYDENERQSRKDNENMPSSSKRASTSGAEFDTPIQSSSKRGRRDGSAAS* >Brasy5G210900.1.p pacid=40075677 transcript=Brasy5G210900.1 locus=Brasy5G210900 ID=Brasy5G210900.1.v1.1 annot-version=v1.1 MEMEEAPLEHDPGGSSEAEKLKKAEEGAASACYFSTSSSSVPPSFQFQSSLPNPDYGVRKEVIKNLEEVASSCQRLMDLMPTAAEVLVSIMQSANRAMEALKSPRGRFPAADVVSAGPAMKEDKMDAVKMEELHGSPPHPLPHSPSDNPHLVRAELPLPQSIAELAEQPQAGAGSSGGGVQGPPRQLIHARRGHATDDHSIAEHLHRERITKRKTLQELVPDANKVVLKLDLHDNEKKQKAMEVASTLHGIDSIAVDMKVEEMTVIGSVDPADVLSKLRELFPLAEIISPMPENTTKLPEISLEAPVCALVDVMYKLPAKLDGLLRHSHMLPKGAEDEIPLIKQDLEVMVAVLHDYSNSGAENCAMMIKCLMKEVHELSYDIEDCIDQYENAVDSRSGPVFRIPRRLITRRKSKTSRVPKKLKQRLWMANKIREFSMRSQEALQRYILFNPDAIGTTSPSSSSTDSRKKICTASTRYDASFGPWHPTPYGESPVHPVGIDAPVSKLKVWLAKDGEQNVKVISIVGFGGIGKTTLAKELYHTIGGQFECRAFVRTSQKPDIKRLLISILSQVRPHRSQHTWKVHNLISDIRTHLQDKRYLIIIDDVWSTSTWDIVSRALPESNNCSRIIITSEIEDVALRCCAYDPKYVFMMKPLSNDDSRILFFNTAFGCQHKCSPNVLEVSSNIIKKCGGLPLALVTIASVLASRMGKLGQWDYVNKSLGYGLRTNPTSDGMKQVLNLSYDNLPWHLKACLLYLSMYQEDWIIWKDDLVKQWIAEGFICASEDEDKEEIARRYFDELISSRMIQPAHINYNDEILSCTVHHMVLNLITHKSVEENFIIAIDHSQTTARLADKVRRLSLHFGNSEDATPPTNMRLSHIRTLAFFGVFKSVPSIVEFQLLQVLVLELWGDEENMSFDLTRISELFRLRYFQITSNVTLELRTKMQGLQYLETLKIDARLSVVPSDFCLPGLLHLNLPAGTKLPAGIARMTSLQTLGYVDLNINTIENVDSLGKLTNLQDLQLTYMAVPSSYLVGNMEFLGSILGKLSNLKSLTLVSAGSSHLCTTNAPYNPLGMICSGDGWSSMPCPPALLRRLELPHICIFSSLPRWIGGLAKLCVLKIAVRELSKNDVDVLKKLPVLTVLSLYVVTVPTERIAFDRTGFLLLRYFEFRCAVPWLKFEANAMPNLWKLKLGFSAHGYGAMPVGIEYLSVLKEVSAKISCVGPEESDRMTAESTLRNALRMHPGDLRVQVQHVHDMFDGEDDKSSVTKEDHMAFKQCDILEKDTSEQHAVLQKNSGEDVNKQADSWGLLSPDKGTSSTDVLGSLVGGEATGYKAKAPVLPYHNPSEEPPSPFNHRPGDGEWMATVQQPTPLGPSHSSMLVMDDGHRWRTYGQKQVMGTQKHASYYKCAFRGCPARKELSRSPDSQMTDVVYTGTHNHDAPQNTVMRSSRVLQSSHEASKRPVSGGDDTFEGPPSPGAGEQARSFQAISRRRLAENIEVPVNSSVSFSDGEVFDDEEDDGEVHRANLDRLE* >Brasy5G210900.2.p pacid=40075678 transcript=Brasy5G210900.2 locus=Brasy5G210900 ID=Brasy5G210900.2.v1.1 annot-version=v1.1 MYKLPAKLDGLLRHSHMLPKGAEDEIPLIKQDLEVMVAVLHDYSNSGAENCAMMIKCLMKEVHELSYDIEDCIDQYENAVDSRSGPVFRIPRRLITRRKSKTSRVPKKLKQRLWMANKIREFSMRSQEALQRYILFNPDAIGTTSPSSSSTDSRKKICTASTRYDASFGPWHPTPYGESPVHPVGIDAPVSKLKVWLAKDGEQNVKVISIVGFGGIGKTTLAKELYHTIGGQFECRAFVRTSQKPDIKRLLISILSQVRPHRSQHTWKVHNLISDIRTHLQDKRYLIIIDDVWSTSTWDIVSRALPESNNCSRIIITSEIEDVALRCCAYDPKYVFMMKPLSNDDSRILFFNTAFGCQHKCSPNVLEVSSNIIKKCGGLPLALVTIASVLASRMGKLGQWDYVNKSLGYGLRTNPTSDGMKQVLNLSYDNLPWHLKACLLYLSMYQEDWIIWKDDLVKQWIAEGFICASEDEDKEEIARRYFDELISSRMIQPAHINYNDEILSCTVHHMVLNLITHKSVEENFIIAIDHSQTTARLADKVRRLSLHFGNSEDATPPTNMRLSHIRTLAFFGVFKSVPSIVEFQLLQVLVLELWGDEENMSFDLTRISELFRLRYFQITSNVTLELRTKMQGLQYLETLKIDARLSVVPSDFCLPGLLHLNLPAGTKLPAGIARMTSLQTLGYVDLNINTIENVDSLGKLTNLQDLQLTYMAVPSSYLVGNMEFLGSILGKLSNLKSLTLVSAGSSHLCTTNAPYNPLGMICSGDGWSSMPCPPALLRRLELPHICIFSSLPRWIGGLAKLCVLKIAVRELSKNDVDVLKKLPVLTVLSLYVVTVPTERIAFDRTGFLLLRYFEFRCAVPWLKFEANAMPNLWKLKLGFSAHGYGAMPVGIEYLSVLKEVSAKISCVGPEESDRMTAESTLRNALRMHPGDLRVQVQHVHDMFDGEDDKSSVTKEDHMAFKQCDILEKDTSEQHAVLQKNSGEDVNKQADSWGLLSPDKGTSSTDVLGSLVGGEATGYKAKAPVLPYHNPSEEPPSPFNHRPGDGEWMATVQQPTPLGPSHSSMLVMDDGHRWRTYGQKQVMGTQKHASYYKCAFRGCPARKELSRSPDSQMTDVVYTGTHNHDAPQNTVMRSSRVLQSSHEASKRPVSGGDDTFEGPPSPGAGEQARSFQAISRRRLAENIEVPVNSSVSFSDGEVFDDEEDDGEVHRANLDRLE* >Brasy5G476500.1.p pacid=40075679 transcript=Brasy5G476500.1 locus=Brasy5G476500 ID=Brasy5G476500.1.v1.1 annot-version=v1.1 MELAISAVTGELVSRFISLLVNKYHSRRESSEEKQIERLQQLLPRARMVVEEADGRYITNSGMLAQLKVLADAMYRGYWALDASYYMSLELEETPIEEEKVSNSSAFKRFRTVHGRARNKNKSRHLLELREASEILDNVLANMTEFLVILGGCDRVMPRRPYDVYLYNDNIMFGRHAEKQKLLNFMLLQHDSPTGGLPAVLPVIGAPLVGKRTLVAHVCKDDRSLVREEDSDNPRALL* >Brasy5G519700.1.p pacid=40075680 transcript=Brasy5G519700.1 locus=Brasy5G519700 ID=Brasy5G519700.1.v1.1 annot-version=v1.1 METETAQAGRKRERRTETETAQAGRKKGPPPPPGELSAEIPSVLDLLKRERMETRQQMEALRLKRAVERRNQENPGADPIVAEALTPEQRAALVQEEKDRKKAAARELSLQRLAELERAPPRPQPPPDPNQDELNYNEYRRIWNTKWSQLYGSFEDITRIPAMCFTDNPMPCITCHPSSLQVSSVKVAGIYGGLQWPIHVFGMMAMRDDLDHNRNIIFCRSRDNCQTLTQQDSHLILTGPARAVVHEYGSVYFEALLKVKGNTESEDKDLSLLIKRSKGIELPKSSVTSKTFASKLSTLELACGLVVSSTEATIAAHVMEGSWPYGLRCQFTACNTNVPDMKVLLLDSGEEKVASLDGTVELSRRVVSVESFGRLKVSAVVFRGGDQVVEVEMSFAPLEAGRSHGVFDVGFCKLQVTVAWSPFLICYPVTGFSLAKAGESSG* >Brasy5G430100.1.p pacid=40075681 transcript=Brasy5G430100.1 locus=Brasy5G430100 ID=Brasy5G430100.1.v1.1 annot-version=v1.1 MHANAMPRMRRRASRSCSSAGRVIWASSAISSALLAILLASTHLAPSSPSTDPPSLLHGRRRHCDEESKWASSVASRLNITLVLTVDRHGCGNFSSIQRAIDAVPANNHIASRTLITVGPGTFHEKVTVWSNKTGLTIHGRGNLDSVVAWNDTAGTSGGCTPCSATFTVLAAGFVAYNVTFQNAAPPADPGASGGQAVALRVAGDQAAFHWCGFYSAQDTLLDEQGRHLFRGCYVEGSIDFIFGNGRSLYLGCTISSVAAASGSNGITGSVTAQGRRAAAERTGFAFVRCSVVGTGSVWLGRAWAAYATVVFAETYMAGIVAPEGWNDWNDPARQGSVMFGEYESSGPGANKDGRVPYARQLDRRQAAPFMDVDYIDGAQWALPPLGEAEQLLALPHGDDSDRASGGQVM* >Brasy5G467800.1.p pacid=40075682 transcript=Brasy5G467800.1 locus=Brasy5G467800 ID=Brasy5G467800.1.v1.1 annot-version=v1.1 MANCDKQRKRKGDDATAGSRTTERPSAETSWHQELQLAFAAVGGGGILHVPTSAELAHCPSRCGKVDIMYPFGIGPGCFRQGFELTCHNNKLFLGNTAAFQTQHVDYDYVDASIEHSFDMRPGMDTYNVSWEAPIKGVTLSGWSSLYVVGCGVDAYLFDHDTNHLIGSCMSVCLDDRETMEKDDAHEVDDYEDPKKMCNDGKGCCSISLRRDRRALALRIGRLRDGSGPTMEQADDRVLSKVKVFLSELYWFQMSDLYSSRINGKKYKAYFEVVMTDQQSCESAHTSSYACNKKSTCEDRRSGGRGYNCRCTNQGNPYTVDGCPVGYQPNPKRKNCKRSCGHTSIPFPFGIEEGCYALEKFRLNCTENVAVLDRGVQYLVDNVSVNEGYLSVRKMQFQDSLSSDDAEIEVAARRVNGTITDKYDFPLRFLFDLIKEDDMKMWWAVENFTCPMATSKEYRSSYACCSANSNCINVSRVNSTMQLGYRCKCSKGYEGNPYMPNGCTDVDECKIPDICNGTCINYPGGYICNGCTHGKEFDSTKGKCVMSAKRRILILRIAIGIGCGLAATILALIVTALVNKWKRGIQNRVRRAHFKKNQGLLLEQLILDKGTTDKTKIFSLEELDKATDNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTFYDLLHNDLSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSTKQSLSHYFVEGLVQGVLMDIMDMQVVEEANQEEIDDIASVAEACLRTKGGERPTMKEVEKRLQILRTTRLRRDQLAPRKGGAIEPFPCSDINSSDARTNSAGTVDVPSSCISGIYSLEQEFASLPR* >Brasy5G239100.1.p pacid=40075683 transcript=Brasy5G239100.1 locus=Brasy5G239100 ID=Brasy5G239100.1.v1.1 annot-version=v1.1 MAEVVLFRGPTNLASPARRGSSPSTSSLSSSSLSYLADSDVLQRRSSAGSADGGSQREEEEEEERWSFLALLLELLRKSLLRCRADGGGGGGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTQSMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEEFVRDQLNSGTVPDGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEKINKMNDRNVAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDTSLSQKDSSDENGHQNPSLTLDSQPEQVSRRPSFVSEEPVLYSPTHSSADKPSGINPTEGDAVASTVQKSNVPTSMESSASCSEPAPATADASCATAVNSLQGKGSRSLNSRRARKGKGLSGTRGVTTAEKSRGASIVSRINSKVERIEAWR* >Brasy5G217900.1.p pacid=40075684 transcript=Brasy5G217900.1 locus=Brasy5G217900 ID=Brasy5G217900.1.v1.1 annot-version=v1.1 MQWFNMTSSTYKSPVFIGLLAVMSVAVVLLLHHCVLVTCWNRRQRLSSATNHHPEQQQSVSLDLDLLSSSSRAALMAKAAVICRKYGATEEWAGEPTCPVCLAEFVDGEEVRVLPECSHYFHGDCIDTWLAAADNPSCPLCRAETTPTPTPTPSPAGSSSLRGQLSLSVSLEDILVRT* >Brasy5G333000.1.p pacid=40075685 transcript=Brasy5G333000.1 locus=Brasy5G333000 ID=Brasy5G333000.1.v1.1 annot-version=v1.1 MEFSRRGPATDDAADGHRFLNPPPHGDAMLVVRDALLLQLQMDRLRQEIIVAELAKIESAMALRAVSSHDTTPMLRQSMLQHRGPVFGWEHYSDVGEEHDVKLPNNDRRECAESRSWKPAMDEFWNPCKCRGKAGQQHTVYDEPKLQDSNETVPTTKTSPALKWELTGITIPVKKQKPPMKWNCAICKVQETSEKSLQKHCAGKKHQSNIAKLESRIKAIGGQKAKTAAEPSPCTSQVKTSLVTWSCSTCQANGTCLTDLEEHFKGSGHLQNIAASFQEGSNNRMANNIAPPQEAKLHESYVPQHAQKPPSVSGCSICQLIYNHESDLEIHLNGKRHLKKIQALLEESKSMAMDSDPCKNQMKTSSIIWSCRACQTNGTCLTDFEEHFRGTGHQQNSSASCKEGSNNGVVKNIVPLQEAKLHDSNVPQHAQKPPSLSGCSICQVIYNHESDLETHLNGKRHLKKVRALLEESKNMAMTSESHKAKLKPDIVPQYDEKINCELDLESCPVNESHQLNVQTLCEKINQQKNNLPEISKDQKPSSEWDCAMCQAKCNSKAQFEHHCTSKKHQQKIKLILGEGDITKTGSLETAKELPSDGSNSKNELPLDGSNSKHELPSEKVVQKELNFCEVCNLQYKSDKMLEHHRLGGSNGESSTQTNEMCLCMQSYS* >Brasy5G442600.1.p pacid=40075686 transcript=Brasy5G442600.1 locus=Brasy5G442600 ID=Brasy5G442600.1.v1.1 annot-version=v1.1 MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLAGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFVEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIEGLVDEYKACESPDYIKWGMEDLGEANVAAALDSKLVV* >Brasy5G053400.1.p pacid=40075687 transcript=Brasy5G053400.1 locus=Brasy5G053400 ID=Brasy5G053400.1.v1.1 annot-version=v1.1 MFFFLLNKIYRPVRPVSSPAVQPRHVVCLCTSRSRVPPPNPDPVSLISLSRTPFPTSLSSSPSLLLPSRPAPPVTAGPPPPPPPPLAGRQGPPPATRGFSAPPQRPLIRRRPVPRADRAVRLS* >Brasy5G349600.1.p pacid=40075688 transcript=Brasy5G349600.1 locus=Brasy5G349600 ID=Brasy5G349600.1.v1.1 annot-version=v1.1 MEVETPPAVPSRAQAQQQACKNSSSSNKLPSAGATSNNKPLHHMYSPLFVVNFLLMVVGSACGPLLLRAYFLHGGNRKWLSSLLQTAGWPLLLPPLGFSFVSRRRRRQATKGATSAPLFLMSPRLLAATVAVGFMTGLDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVTKAQYVAGFAMTLGAAALYGLVLPVMELSQARHAARDGRPVTYALVMEMQAVIGLTATAFSAVGMLVNNDFRVGYYLLLAGSATVYQFFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGIALALSLWGFVSYLYGEVRAKAHKSESDKPPNTEDLDP* >Brasy5G506500.1.p pacid=40075689 transcript=Brasy5G506500.1 locus=Brasy5G506500 ID=Brasy5G506500.1.v1.1 annot-version=v1.1 MSSKGIGKCTEVLVKRIAGLRPAQAARLGSALAALMAVLRMVRPYLPGLLRRFFRYYVGRLIKRYLRRALGFLDPCLTVNIGEYSAAGDRIRRSQVYDQAKAYLSDRCSSQARSLWADLASHGSHAFVLSMSDREEVADEFRGATVWWQHFKPGGSAWEFYQLVFHERHRDLVVQSYLPHVCREGKAVMARNRRRRLFTNYTGDRQMASWTCVLFEHPSTFETLAMDPAKKRSIMDDLDAKEYYTRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRHLLIQTSGKSIIVVEDIDCSADLTGKRKKPPTMAPANSPPTQASANSPPTQALANSPPTDQKKVTTLTLSGLLNAVDGLWSACEGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGVDDHPLFEAVKELLQAAKITTADVAEHLMRKCADDNADSCLANLLKALALKGEAVLGN* >Brasy5G348200.1.p pacid=40075690 transcript=Brasy5G348200.1 locus=Brasy5G348200 ID=Brasy5G348200.1.v1.1 annot-version=v1.1 MRLRMSSPSGVSNATRTARGCEAREWKTLTGPEPALAPFGLRSLLCLQRTAAWSSAGTTPRRRKRRPAMAGQAAGASSSPPSLPPCRLSSPAAKQRRRAGQQADACGDGGVGAEARAAAHGAAGAARGAGGVGAEARVAAHGAVRSRALAAAEQRGWRRRRGVGSRGTRGDGAWGWRRRRGGGSRGTRCLRRRRSRARPAAEQQRRGTAERGGALFGHAGVEEESETRRRAQPRRARTRRSGYDPAHGRARAWRLVFSVRPSPPLLVGIRRGNDEAAVGKRERKEIGGRNHAGGCGCWVRMEEGEGRGRRRKGTALTPGQPDVWVRLVRNAIIRRNRYNQWYVQQFH* >Brasy5G352700.1.p pacid=40075691 transcript=Brasy5G352700.1 locus=Brasy5G352700 ID=Brasy5G352700.1.v1.1 annot-version=v1.1 MLHHYHGEVASLHCLTPPNPPLFHTHYHSSMITMTPPPFQFSAAAYDDDEPVQAISNNSPARSGGAVGDTDIQGRAAAEEEERRRRRMVSNRESARRSRVRKQRQLSELWAQVVHLRGANRRLLDELNQAMRGRDDVRRENGRLSDEKAELEARLQQYLMQAEQENHNTPSSSPSYENTATATE* >Brasy5G346800.1.p pacid=40075692 transcript=Brasy5G346800.1 locus=Brasy5G346800 ID=Brasy5G346800.1.v1.1 annot-version=v1.1 MKYLSLYSQLLLVCVAAAAALHAANASQEASLKEFISSRRTSGSSSDTFKARDIADRFAASLSAESSVSDQSSMKAADKITELPGQPKGVDFNQYGGYVTVDEENGRALFYYLVESPSGASEKPLVLWLNGGPGCSSLGYGAMQELGPFRVSEDNKTLIRNMNAWNNVANVIFVESPAGVGFSYSNTSSDYDLSGDERTADDAFVFLVNWLDRFPEYKNRAFYISGESYAGHYVPELAATILFHNTYHNKTIINLQGILVGNPYLDANRNIMGAVDFYWTHAVMSDEVYANVSKNCDFDSLGGSNTFGGPACDGALDAFVAGQIDAYNIYAPVCIDAPNGTYYPSGYLPGYDPCSDYPTHAYLNDAAVQYAFHARTTKWTGCANLHWKDAPMSMLPTLKFLIESKLPVWIFSGDFDSVCSLPATRLTIQDLGLPVTTPWRPWTAKEEVGGYVQQYAGGFTFLSVRGAGHLVPSFQPERALVMLSSFLKGMLPPYTQEQQ* >Brasy5G239800.1.p pacid=40075693 transcript=Brasy5G239800.1 locus=Brasy5G239800 ID=Brasy5G239800.1.v1.1 annot-version=v1.1 MIAALISYRVLPHRAFVACCRPGNDNDTPAWSTGPITRSNRYDDMAIFKSRAYAVTAHGAFCGRRSYLVTSACGDKLRMVRWIVPYSYCEPRIGGGPCRCTECAAAKAMEFKVFEAADMENAGGGRWVELESLDDEEVLFVSARCSEAMSISGSTLSSSKIYLADDHLSSWCDVPRISRSCPTRTCGVYDMRTKVISPISDKWPINRYLPTTWFFPFTC* >Brasy5G154500.1.p pacid=40075694 transcript=Brasy5G154500.1 locus=Brasy5G154500 ID=Brasy5G154500.1.v1.1 annot-version=v1.1 MWNSSSLKEWLPALFMVLIQFFNVGVLLLVKVVADDRSSMWNILTYRFFLGALLAVPLAMFYEKGKLKELTLKAFIWMFISALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLCRKEPLNMLSLVGNIKVIGTMVCVGGTLIISLYKGKVLHLWPVNIIGYHPKQGSGGAFGHHHTRGTILLITSCLSLAIWYTVQAQMLKVFPYKYWSTVATCFVGSIQMAIVGVAMNREKATWEIKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVIFTTILDSLLLGHDLSVGSILGMIMILAGLYLFLWGKRKELMLKNEEKPKGNVQSQGGDDQINKEVASNV* >Brasy5G100600.1.p pacid=40075695 transcript=Brasy5G100600.1 locus=Brasy5G100600 ID=Brasy5G100600.1.v1.1 annot-version=v1.1 MNCETCHLNELELEPREIKDVLRCILHTIFFHRTLTLVRPKDVDCERFQITYVQCGLPDLEKEVDEKINQFIAWAEKHPNRRSQVCLSFFDEKNKHPGWFSNKTERIYWEQWFINLHVISPKGHGKQHSSKAQTNIAGEAWEQASSRHDALGSLIQEVLFQIINHANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRALSSGHSYSLN* >Brasy5G100600.2.p pacid=40075696 transcript=Brasy5G100600.2 locus=Brasy5G100600 ID=Brasy5G100600.2.v1.1 annot-version=v1.1 MNCETCHLNELELEPREIKDVLRCILHTIFFHRTLTLVRPKDVDCERFQITYVQCGLPDLEKEVDEKINQFIAWAEKHPNRRSQVCLSFFDEKNKHPGWFSNKTERIYWEQWFINLHVISPKGHGKQHSSKAQTNIAGEAWEQASSRHDALGSLIQEVLFQIINHANEKKDHIPPISDRIFNHEISIPR* >Brasy5G124800.1.p pacid=40075697 transcript=Brasy5G124800.1 locus=Brasy5G124800 ID=Brasy5G124800.1.v1.1 annot-version=v1.1 MWVVDMERKINDSEPSAKTAKWGKHSIFCVPPRFKMVHGRASGGETSVFMPQTVALGPFHHGNEGLEPMEEHKMRAVRHLLHRADKTIGELVESVEVVADELEDAYMDLGDEWRGENRGKFLEMMIADGCFLLEVMRKGDRNDYLDSDPVFSKNGMQHIRPFVQRDTLMLENQLPLKVLQRIVAVETGKPPNDGDVAINEMVLAFLSPKWGPRVPIDGLGLHPLDLFRRSLLKCSVAPVHRGAPGTARPEPERVERDVPRSAQKLADAGIRFRTHGEKFQLNDIDFHRMTRRLMMPRVFLDDATPHKFHNVMAFEALHVRTSNDVTAFVLFMKDVIDSAEDVALLRRKGIVVHDLAADDEAVVKMFNALTRDVCKYGQSRLCSVREEMEDYYKRSVMRWLVLEPWAFLKKKYFRSPWTFIALVAAICLVATDIMQTFYAVMSYEVAKQSLQHN* >Brasy5G394100.1.p pacid=40075698 transcript=Brasy5G394100.1 locus=Brasy5G394100 ID=Brasy5G394100.1.v1.1 annot-version=v1.1 MAGFPLGGGGGGGGGSSPAAPSSSSAHHHHHPSSADVSAFLYASGRGGSFQLWGQPQHDQQLTHPFYASNLIRFAPDPDDLPSAAAHSLAGGGASSSTSSRGGGAGGGVSCQDCGNQAKKDCSHQRCRTCCKSRGFACPTHVKSTWVPASKRRERQQQLLALAASAAMAGPSSGDHRDPSKRPRARISRTTPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDVAEAELAYQTTVSIGGHVFKGILHDVGANNAAAGASAAAVGGGSGDYQFRLTGDVYPPSSAAAAGGDAAGGGNVVVSSAVVMDPYPTPGLYGSFPAGAPFFHGHPRQ* >Brasy5G147100.1.p pacid=40075699 transcript=Brasy5G147100.1 locus=Brasy5G147100 ID=Brasy5G147100.1.v1.1 annot-version=v1.1 MEPKELSMEFLKKVTNGFSEKIGESSFGVFYKGMLENGQQIAVQKLRDSSVLHSREFHNQVQILSMVEHPKIVKLIGYCYDKPVMTDPHQRFAFADIVEKFICLEYIPNGSIDNYIYGEPSALDWPVRFRIIEGICEGLQVLQDHTRPIIHGDLNPANILLDNNMEPKISGFDLSVLFGEYQTHTRIANSKSAMEYMAPEYLQRGQLSIQSDIYSLGLIIIEIATGKKNCYDDELYTTDFIEDVRMNWMNMSYIASKYVSLGTEGHRQVKTCIEIGLSCTMKKRNERPTSSDIVQKLHESGTWSSSVFGKDKIDASSRPVFDKFGNGGSFLRPSNEATKPPPDVKDQEREIAALGRVLIGASAEPVMLSYAVCRNITEDFSKVIGLGGFGVVYMGVVQKWNMAVKKLSKIDAFSEQQFQEELKCLSRVKHENIVRFLGYCSDTQQQVLRFNGENVVADLRQRLLCFEYVPNKSLHEYLKDESYGRGWNTRYQMIKGICEGLHYLHKKERIVHLDLKPDNILLDDNMVPKITDFGLSIRFGGEQTRMVIETAFGSLGYMAPEYQFKGLVSFKADIFSLGIIIIKLLRGHNDLPEIEDWHEPLLHIDCSQMKRCIGIAQMCVDKEPNKRPGIDHIIDKLNEKESI* >Brasy5G101600.1.p pacid=40075700 transcript=Brasy5G101600.1 locus=Brasy5G101600 ID=Brasy5G101600.1.v1.1 annot-version=v1.1 MGSTINDNKVEVVAQAAKTEGGHMEPSVEPNFSPLMEPPPAAAVEGEGGEGSGGDDVLILYECRRCVWFCMVTKKEFPSCIYCNQPSLFCPPYANIETKKKRDCEQSPSK* >Brasy5G148400.1.p pacid=40075701 transcript=Brasy5G148400.1 locus=Brasy5G148400 ID=Brasy5G148400.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLRQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTQDNLPEKGGVRKVAYFICWSSSGSSSARRRLVLWPQPEALPLHGGRWLFSTVVAPVAVE* >Brasy5G238000.1.p pacid=40075702 transcript=Brasy5G238000.1 locus=Brasy5G238000 ID=Brasy5G238000.1.v1.1 annot-version=v1.1 MALRRAVRQLILLAVLSSSSSVVATAAADNSGPAYHYAPGTKAGPENWFKLSPKYSACNGGGAVRKQSPIDIITKSAVPKPDLDPLTRTYVASDATLILLAGKPGTVTIGGKAFGLKKLRWHTPSEHLINGKRHPLELQMVHESESGSGEVAIIAILYKVGKPDSFVVQLKKKLAELAGDKCKFYDPAATAEEARVAAGTVHLRSLQKRTGSYFRYAGSLTQPPCSEGVIWNVLGKIRQVSQEQLDSLTAPLPLGAQHNARPVQPINGRVVTFYNPPNSTISFEM* >Brasy5G066800.1.p pacid=40075703 transcript=Brasy5G066800.1 locus=Brasy5G066800 ID=Brasy5G066800.1.v1.1 annot-version=v1.1 MAAEMVPAVAESGMLRNHGCARDIDVATRRAEEAAIRRHDAASWLRRTVGIVCTRDLPEEPSEEEFRLGMRNGIVLCNALNKVQPGAIPKIVEAPSDTVVPADGSALCAYQYFENLRNFLVTVQDLGLPTFELSDLEKGGKGVRVVDCVLSLKSFSENKTVGRQTPCKYGGNLKPSTSGKYFILKNSDAFMNKLIRSHTAEPIQNIFSAEQNIATDRCLESTEMATPDSLGMLVRTLLLDKKPEEVPLVVESLLSTVIKEYECQVANQHLMKCMSDPKGTGPFSTPETALALDQTSNSNRIKMDEEELSCLKMKKDVSSVVLNGGCTDRQFQPGAEIKFDLQQKHIQELRNNFSSVKSGMERLRLQYSEDFTKIGKHLNILSSAASGYHKVLEDNRKLYNQIQDLKGNIRVYCRVRPFLSGQASSSSSIARMEERTITIITPTKYGKDGSKSFTFNKVFGPAATQGEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDVLKEESIGVNYRALNDLFNLQAQRKGTINYEISVQMIEIYNEQVRDLLQDKGNRRLEIRNTSLKGLAVPDASLVPVTSTSDVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDMTSGATLRGCMHLVDLAGSERVEKSEVVGDRLKEALYINKSLSALGDVIASLALKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPEPEAVNEAISTFKFAERVASVELGTAKANKEVGEVRELKEQIACLKAALAKKEGEPQNIPSTHSSPNICRISRGNATPAFPKNRQPMEEVGNLEVRNTPMQKKLKFDLPGSGILVENNSPNWVDNCNGLQKEVGIGGWVDKAALGDNQFQNGNSVPELEPDLTTQLPTCFYQRYTPGKQRSRVDSVPGQDSDEFDATTSSFSDRERMLSASGLKVVGIPNGGISSKKKPQAKNANNMAMRTTNQACKSPPQSQKRLQTPIRSSAQKTPIRSSAQKTPIRNSKHLFSEITLQAPLCTEETCLFDNMAAIFN* >Brasy5G066800.2.p pacid=40075704 transcript=Brasy5G066800.2 locus=Brasy5G066800 ID=Brasy5G066800.2.v1.1 annot-version=v1.1 MAAEMVPAVAESGMLRNHGCARDIDVATRRAEEAAIRRHDAASWLRRTVGIVCTRDLPEEPSEEEFRLGMRNGIVLCNALNKVQPGAIPKIVEAPSDTVVPADGSALCAYQYFENLRNFLVTVQDLGLPTFELSDLEKGGKGVRVVDCVLSLKSFSENKTVGRQTPCKYGGNLKPSTSGKYFILKNSDAFMNKLIRSHTAEPIQNIFSAEQNIATDRCLESTEMATPDSLGMLVRTLLLDKKPEEVPLVVESLLSTVIKEYECQVANQHLMKCMSDPKGTGPFSTPETALALDQTSNSNRIKMDEEELSCLKMKKDVSSVVLNGGCTDRQFQPGAEIKFDLQQKHIQELRNNFSSVKSGMERLRLQYSEDFTKIGKHLNILSSAASGYHKVLEDNRKLYNQIQDLKGNIRVYCRVRPFLSGQASSSSSIARMEERTITIITPTKYGKDGSKSFTFNKVFGPAATQGEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDVLKEESIGVNYRALNDLFNLQAQRKGTINYEISVQMIEIYNEQVRDLLQDKGNRRLEIRNTSLKGLAVPDASLVPVTSTSDVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDMTSGATLRGCMHLVDLAGSERVEKSEVVGDRLKEALYINKSLSALGDVIASLALKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPEPEAVNEAISTFKFAERVASVELGTAKANKEVGEVRELKEQIACLKAALAKKEGEPQNIPSTHSSPNICRISRGNATPAFPKNRQPMEEVGNLEVRNTPMQKKLKFDLPGSGILVENNSPNWVDNCNGLQKEVGIGGWVDKAALGDNQFQNGNSVPELEPDLTTQLPTCFYQRYTPGKQRSRVDSVPGQDSDEFDATTSSFSDRERMLSASGLKVVGIPNGGISSKKKPQAKNANNMAMRTTNQACKSPPQSQKRLQTPIRSSAQKTPIRSSAQKTPIRNSKHLFSGTDGRTLNCRISIAK* >Brasy5G092300.1.p pacid=40075705 transcript=Brasy5G092300.1 locus=Brasy5G092300 ID=Brasy5G092300.1.v1.1 annot-version=v1.1 APVVLNVTLKFCRNDGGASCCDAAADAELQAQFKAMDVKPADGECALLKCNLFSADLFEIGSMPRTVPLLCSSFSARDSSQPEHQIYNNEDYCGQVWKHCKNTVMSNSPFQSFAPRKAGLSGSSSMLTDFWQSDKDFCVSLSGIPNNQLVCFNGHGVSFNTRRNSSPAPNGICLEKIGNGTYLNMVAHPDGSSKAFFSRQDGKIWLATVPEQGRGDGLQLDDKIPFLDLSTEGHLGSDLGLEAVAFHPDFVNNGRLFVSYICDGTQSSNCAGRCSCDHEVGCDPSKLASDNGVIPCRYQLLISEYSAKGSSSSFSEATYADPSEVRRVFSMGLPYVPNHAGQILFGPTDGYLYILTGNGGIRGDPFNFSLNEKSLLGKILRIDIDELPEMNEVGNKSLWGNYTIPKDNPNTDDSNLRPEIWALGLENPWRCSFDSLRPFHLYCADDGQEQYKVVDLISKGGNYGWSGVYEDQHVQYPPWAARGTKLTNDTIFPIMGYKVPSTTESASIVGGHVYRGSADPCLYGRYLFADMYSSAMWTGTVNTDVSCKYTSASIPLSCSEKTPLPCDDSTDCPLGRIFSFGEDNKQDGFILASQGVYRIVKPSLCGYACLTDATLKQATASVSGGSQGLTTIMKVLIAVVSVLVAGASIYFVWRCFCNNSPICCNDIETMQVTNNTTTRGDGPLATATKPGDVELAVTTRPAEHRAR* >Brasy5G332000.1.p pacid=40075706 transcript=Brasy5G332000.1 locus=Brasy5G332000 ID=Brasy5G332000.1.v1.1 annot-version=v1.1 MEAPAIAEDPFFPIYISSDEEEGISFIGPSYNPEAIQIQEAILLSIDSSRAPSAIASSSSPSGPSSAGTSGESTHESPPDRKGKRKLSSEEDDPSDSRKRQKRNRFKCTICMEKVQVSEQFLVSHCAHAFCKSCVGRYVAAKVSENVELIGCPDPECAEGFVEIGPCRDIIPQELFDRWSVALCELALGNQKYYCPFKDCSALLIKDNDETVKIRETECPHCHRLFCARCRVPWHDGIKCKELRKLGDDEKGEVDLMFKKLADKKKWQRCPSCKVYVSRIAGCLLMKCRCKQYFCYHCAAPMKKDLHYCRNCKR* >Brasy5G332000.2.p pacid=40075707 transcript=Brasy5G332000.2 locus=Brasy5G332000 ID=Brasy5G332000.2.v1.1 annot-version=v1.1 MEAPAIAEDPFFPIYISSDEEEGISFIGPSYNPEAIQIQEAILLSIDSSRAPSAIASSSSPSGPSSAGTSGESTHESPPDRKGKRKLSSEDDPSDSRKRQKRNRFKCTICMEKVQVSEQFLVSHCAHAFCKSCVGRYVAAKVSENVELIGCPDPECAEGFVEIGPCRDIIPQELFDRWSVALCELALGNQKYYCPFKDCSALLIKDNDETVKIRETECPHCHRLFCARCRVPWHDGIKCKELRKLGDDEKGEVDLMFKKLADKKKWQRCPSCKVYVSRIAGCLLMKCRCKQYFCYHCAAPMKKDLHYCRNCKR* >Brasy5G237200.1.p pacid=40075708 transcript=Brasy5G237200.1 locus=Brasy5G237200 ID=Brasy5G237200.1.v1.1 annot-version=v1.1 MSMAALSFHPTTAAPLFHHQLGDGRDVSYLQDVHPDVTDALLGFVYDPLDPAVNAGLDEFLNLPHHDHEEDQKHRVKKARADDGGGAWFDFTPTDAADGIVTGQPWDNAGAQPAPEVLPQFLTEFVLPLPPPPPPPQVYPPAFVRGSDAKRLQANDGRQHQPSTQSAAARERRRRISEKTAELSRLIPGGHKLNTAEMLQEAARHVKLLQAQVGMLALLRTLEEEKVPAMAQEQMHALLVSGGVQERLAAEGKCLVPRQLVDAVAKDAAVKSNALVNRDLARFMESLPAADQQ* >Brasy5G265300.1.p pacid=40075709 transcript=Brasy5G265300.1 locus=Brasy5G265300 ID=Brasy5G265300.1.v1.1 annot-version=v1.1 MASDRVEVDTARPFRSVKEAVAVFGERILVGANRSRLHANDGSAATLNTKQEESSGSSSTITLSPNAMAEADAEAEATTAIVPMYSAPSSPPSFTSSRSANGDDGDDEHDGLDEEASGIMVMSSVKKLEEEVAETRHEVVQLRKRSTEMEMAVASLNAQLHRGLSRLAEMEADKASAAARRRSIGGDSTDMKGAAIERWAEKSSGYSSEYLPSFSHALSLGEIDGDDLLGGGGGRRSKAQKVKPIVPLIGDILFSKSFSKRKSSKDSGDLYSVC* >Brasy5G165700.1.p pacid=40075710 transcript=Brasy5G165700.1 locus=Brasy5G165700 ID=Brasy5G165700.1.v1.1 annot-version=v1.1 MATARRVGRAGAALEAPSGESRGATARSPLRPRPPGARLLHPALWRFFLISGGGFFSSPSVICVSLLIFCLLWRIGERSGGFCICARRRRSLSDPRGAYV* >Brasy5G189200.1.p pacid=40075711 transcript=Brasy5G189200.1 locus=Brasy5G189200 ID=Brasy5G189200.1.v1.1 annot-version=v1.1 MTMKHHRGAQPPDGRWLAAVLLLLQLLVAGGMSVARALPLSSAEVSYIARRQLLAMKGAEASASVNVGGGAVGADTGVSVGGEASDDLSGVTGEGGEQGADASGPAAVGGGEAASGPDAGDGEVGGEVASGPASGGDAEVASGPAVAGGEVSGVASGPAVGSEEVGAAVGGEVGGDGGVGGVGGEGGAAEDPDVPTDFEFDDRIKARSKSFANARLRRAYIALQAWRRAFYSDPNGYTNNWVGTDVCAYNGVICTNALDEPNTTVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPRTMSRLTILHEFDVSNNRFVGVFPYVCLDMVSLKYLDIRFNDFEGDLPPKLFDKDLDAIFVNSNRFVGPIPETLGNSTSSVIVFANNKLVGCIPKSIGRMVKTLDEIIFHNNRLDGCLPLEIGLLKNTTVVDVSGNGFVGTLPKEIANIDKLEQLDVSRNVFTGIVHESICQLPALVNFSFAFNFFNSESAPCMPSDKAEVNLDDRDNCLGTLRSAQKTTLQCAPVLARPVDCSKHACAGYPVPAHPPIVGPYMPGGSPKPVPVAPGPIVSPYMPENPTPPPVPLSKTSPPAGHAAPPPPSADWLPGTPERERSPPPEVQPPPVVLSPPPAPVKTYPPPVVPSPPPAPVKTYPPPVVALPPPALVTYPPPPVKLPAPPAPVSSPPPPMKSPPPPAPVSSPPPSVKSPPPPAPVISPPPPVKPPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPMSYPPPPKKYEPPPVPVISPPAPHKPPPQEKTPPTPTPKISLPPPPPVSSPPPAEKKSSPPPAPVEKKPLPPPAPVSSPPPEEKKTLPPPVEEKKTLPPPVEEKKTLPPPVEEKKTLPPPVEEKKTLPPPVEEKKTLPPPVEEKKTLPPPAPVKVKPPPTPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKLPPPPAPVSSPPPPVKSPPPPAPVSSPPPAPVSLPPPAQSPPPAKEESQPPPAESLPPPAFENFIMPPVRGTKYMSPPPPQFQGY* >Brasy5G523900.1.p pacid=40075712 transcript=Brasy5G523900.1 locus=Brasy5G523900 ID=Brasy5G523900.1.v1.1 annot-version=v1.1 MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQQQPPASSPSPVTAPPPTASAGAVNVMHPAVMQLHHHHHHHPYTTCSFMPPQGYLQQQDAGGAVPVSGLEFAAAAGGGGKAHQQQQEWTTQQQQQMMMMENSNNINAGGSSGAGGMTPPPWSCCRPLRTLELFPTKSTGGGLRDECSSSKSSSCSTSTN* >Brasy5G432500.1.p pacid=40075713 transcript=Brasy5G432500.1 locus=Brasy5G432500 ID=Brasy5G432500.1.v1.1 annot-version=v1.1 MDLAPSPSTVEYPDHRDILQLVYIAVLIIVSVLVMFLLHVLGSLRRRSSDKVLHAIVLGAYTLSYSLVAYTLGLMQDSQYCFDVFPVWAMCLLMLLGGTDNLTACKLNDVDNWKSFHVKHIFKGALVVYIVAQYGKGVPQYQKPLWAILCVNFLQSYVRIKSMMMASKSYLLSKNVKPIAEYMEREEELQLASGKTVNPATMEGYRYIVAGEHRKQLADVISGRKEMEEVKITPVEQIWLCKGSLLRSERGLKLKDTCLSMALSKMLNRRFASFDLVEKNLDKTKDFVFNGLLAWDRTYERAFRVIEVELGFVHDLYYTRYPYLYHKGRSLALCLPLVMVMLCSWLTYELFKNQMEDLKQHKETPDRDITLSTTLFLMVVVTFLEAFQLYMHLASDWFKVALIRSYVTRDNVLHGSGGCFPRAIIGLLLRLKGLRPWNQKLGQYSLLENYNGTRRTSYCVHYVTLGLVNKARKGRKRGKLVKLSTQVKQAVFDSVGDAPNSRGRNNGQLTNGVRSLQSNGVHEQLSWACNASENKVTGILLVWHIATTICKHQLDIAAPANPELSKEDNAAISTHSAVASALSGYCAHLVAFSPGLLPDHNFDSESILDKSIEEARSLDQLQGAKTTEIKCEKLMNMPDDANDEARVVVLGARLARKLINEIQGPVLRWKVLSDFWAEMVLYIAPCDDAKARAHLEAMARGGEFITHLWALLTHAGVLERGVTSVPLAAV* >Brasy5G042900.1.p pacid=40075714 transcript=Brasy5G042900.1 locus=Brasy5G042900 ID=Brasy5G042900.1.v1.1 annot-version=v1.1 MPIASKLLYFQRRPSPAPPDPPLEPPDPRRRPCRGSTTGPRRPRSGALSHHYQHHNKPGQEPLPGSQRDGVKLVGSAGNIIEHIGSMGSSSRLNRSVSLDQGRLPDAVQQARDRLLQRLNSVDLSGRRQKTPSSETIWAAVIHPADLAVSTSADSELRSLTSYFQSSVSISALKVQECIADKRMPITPCTEPDPLLQEPACEEGENATPSAECSICLERCGGPNGLIQLRCKHIFHSACLERWLRSRGDCPYCRASVLLTSDG* >Brasy5G042900.2.p pacid=40075715 transcript=Brasy5G042900.2 locus=Brasy5G042900 ID=Brasy5G042900.2.v1.1 annot-version=v1.1 MPIASKLLYFQRRPSPAPPDPPLEPPDPRRRPCRGSTTGPRRPRSGALSHHYQHHNKPGQEPLPGSQRDGVKLVGSAGNIIEHIGSMGSSSRLNRSVSLDQGRLPDAVQQARDRLLQRLNSVDLSGRRQKTPSSETIWAAVIHPADLAVSTSADSELRSLTSYFQSSVSISALKVQECIADKRMPITPCTEPDPLLQEPACEEGENATPSAECSICLERCGGPNGLIQLRCKHIFHSACLERWLRSRGDCPYCRASVLLTSDG* >Brasy5G042900.3.p pacid=40075716 transcript=Brasy5G042900.3 locus=Brasy5G042900 ID=Brasy5G042900.3.v1.1 annot-version=v1.1 MMMTFAKHSSVSNISLFFLTQGQEPLPGSQRDGVKLVGSAGNIIEHIGSMGSSSRLNRSVSLDQGRLPDAVQQARDRLLQRLNSVDLSGRRQKTPSSETIWAAVIHPADLAVSTSADSELRSLTSYFQSSVSISALKVQECIADKRMPITPCTEPDPLLQEPACEEGENATPSAECSICLERCGGPNGLIQLRCKHIFHSACLERWLRSRGDCPYCRASVLLTSDG* >Brasy5G292700.1.p pacid=40075717 transcript=Brasy5G292700.1 locus=Brasy5G292700 ID=Brasy5G292700.1.v1.1 annot-version=v1.1 MELGGNNMGSNDGANSKASLAARQRLRWTDELHEQFVEAVTQLGGPDRATPKGVLRIMGTPGLTIYHVKSHLQKYRLAKYIPDSSTDGNKSDNKDPGDSLAGLDGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRYGSIKSETPGAGGTATVSSDQFPDSERTDPSTPAPTSESSQGVPFKRDNGGQTEATKSPCHDEQLTTDSNCHPGSPTVSPKHERVAKRQRGNGTEFSEADFSLPQHIFESSSGPEFQQCSVPYSGH* >Brasy5G292700.2.p pacid=40075718 transcript=Brasy5G292700.2 locus=Brasy5G292700 ID=Brasy5G292700.2.v1.1 annot-version=v1.1 MGSNDGANSKASLAARQRLRWTDELHEQFVEAVTQLGGPDRATPKGVLRIMGTPGLTIYHVKSHLQKYRLAKYIPDSSTDGNKSDNKDPGDSLAGLDGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRYGSIKSETPGAGGTATVSSDQFPDSERTDPSTPAPTSESSQGVPFKRDNGGQTEATKSPCHDEQLTTDSNCHPGSPTVSPKHERVAKRQRGNGTEFSEADFSLPQHIFESSSGPEFQQCSVPYSGH* >Brasy5G299400.1.p pacid=40075719 transcript=Brasy5G299400.1 locus=Brasy5G299400 ID=Brasy5G299400.1.v1.1 annot-version=v1.1 MSNEVEEVSAPKPQKRSSNYTHDENIQLCKSWINISTYAIVSNEQPSKSYWARIAEHYHENRTFDSDRSSNSLEHRWSVLQKECMKWQANFEQVERRHPSGILYKEHMKECHALYASGKPKNRAFQYVHCWLEVRHTPKFFALHGSSKRPRSTPSDEVGDGDDDSKSPTPDTARQAKPLGRKKSKELMKNAGEGGSYKEALKDLLQVREREAKMRENRWKEAEDRQERKLSLEERKFQWEQEQKITFCDVNALEPNVKVWVLAMRSQMAATAAARVGGLGGDGMVGGLGGDGMTGSFSGDGNGGGDMSNI* >Brasy5G102200.1.p pacid=40075720 transcript=Brasy5G102200.1 locus=Brasy5G102200 ID=Brasy5G102200.1.v1.1 annot-version=v1.1 MLIRIHSVLEEAKGRQITNRGALDWLSELNDGLYEGRYLLDTAGCRRDQPELQEEDDHKVAAAQPFSLSSFNPAKRIRVAACAVKSILSLRPDAGADEIDRVFENLRSLSGDLREFMMLLQGCRKRIRRPLSTNIFAEGQMFGRHVEKEKIINFLLHDDDGPLTEKLLVPLLPVVGDIGSGKTTLVQHVCDDARVRSRFPIIMLFNLTSTYAMAMGEATVVIRSKHVIGDSGNLKHPLHALNGDFRKKRFLMVFEDVDMHKKQMLEELLPRLRNGKQGSKIMVTTSNRRVASRMGTVEPIKLKPLRHPEYWFFFKAHAFAGTDVEEDPGMVAAGKGIARKLNGSFFGAKIVGGVLKARPDPRFWRRVLRSNIGELSSLGDGLGYMADLAENLLPSHVNMRQLIISKKPFSANQPEFARLHDMFLPSTPNAIAALDSCRPDVVRNEKVLLCRSVLPFYCVYYNAHCTVRG* >Brasy5G438400.1.p pacid=40075721 transcript=Brasy5G438400.1 locus=Brasy5G438400 ID=Brasy5G438400.1.v1.1 annot-version=v1.1 MLKRRGPSSEHDRDCACTARGRGRRQRRSGISTWPWDDRDKAYSLYKVDVLAAGEVDQAESHLPETPVLRLEVPESGDTCHFAALGTKIIALSNRSPPLVHRRWAWSPADSSSPVPFDPRDIACHAVHPDGRTVFVSAQRRHGASWLDHGTFSLDAGGLNGEWTRHGDWLLPFKGQAFFERQLDAGDGQRDAVLAHMGDARFCVVERAARDGGGGAECVVHVTTFAVRYGKRGELKVAERRLVGSYLVPSHNVFFKVQAFFV* >Brasy5G000100.1.p pacid=40075722 transcript=Brasy5G000100.1 locus=Brasy5G000100 ID=Brasy5G000100.1.v1.1 annot-version=v1.1 MCRRCGVKGHLMSTDHAMVRCPILKQPKPVVQLVGQVADALAGFYIPHAPIQPTKKDSRMALVSTFGKSLTEEEVVAFLRVLVSDSFAWEVTRLNGFEFKVLFPSKGDLSKMTKFNAEMKEGVTLKFQEYKEEEEYFGHALPVVWMRNLPTILREFVILWALGSLFGVTQDVDMVTTRASSFGRFVVAVLEPEAIPTKLDVIIGNRYFQLTFEENLGSLGINMGDNDNLTANSIDLLRNVENGRIKPSYCPSKNENEVESEEDEIDPDTFTISRLCGDLTEEVMDDNSADLFGVVVDVPIKVAKTKKKKKLLNKNSVAKKKIVFNERCFLKL* >Brasy5G515500.1.p pacid=40075723 transcript=Brasy5G515500.1 locus=Brasy5G515500 ID=Brasy5G515500.1.v1.1 annot-version=v1.1 MPAAKCLMHWHPNPGVTLNSQILAEACGCAESLGGTKDGRWKTSIIFYRPMTRDGTAAGGAQQQLPNDVARELLGVALHERPGLYFSILRPQRLVLQADAAFPLVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETLAKKSLPGRFIHVESNFSDYGLSDNYSFQHTAIQYATCLQQLMAAVRA* >Brasy5G369800.1.p pacid=40075724 transcript=Brasy5G369800.1 locus=Brasy5G369800 ID=Brasy5G369800.1.v1.1 annot-version=v1.1 MDLETAATAPAPPPPGSGDGGGSVGGSAHGGSNSAPVFREALTWQAYGRTPVLSMAVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLSDHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESARLETPVMPEQPMEEVEVKVKPSKKDKSQGGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQVNDGTIWSGCTNGSIIQWDGNGNRMQEFQHHTSSVQCIKSLGDRVWVGYASGTVQVMDIEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDVLRTELANRELSYTRMEKINIMVGSWNVAQGKASAESLKAWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLRPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVGRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVDEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRVEMKAGKVFQGMREGLIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRAVSVAECSLECPVVAAITSYVACMEVTESDHKPVRCTFSVDIARVDELVRRQEYGEIIESNEEVRSMLQESCFVPDTTVSTDEIILENQENIVFQITNNCETSKASFEILCEGQSTKKEDGTKSEIVPRASFGFPLWLEVQPAVGLIKPGESMEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLIVNITGSTSTETKTHKINIRHQCPATSAPPTIINPPVSATPPSNALTSEVSSKRSSKKSQTNRQQQDYAQFGSSEVHDLCRMRCP* >Brasy5G369800.2.p pacid=40075725 transcript=Brasy5G369800.2 locus=Brasy5G369800 ID=Brasy5G369800.2.v1.1 annot-version=v1.1 MDLETAATAPAPPPPGSGDGGGSVGGSAHGGSNSAPVFREALTWQAYGRTPVLSMAVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLSDHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESARLETPVMPEQPMEEVEVKVKPSKKDKSQGGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQVNDGTIWSGCTNGSIIQWDGNGNRMQEFQHHTSSVQCIKSLGDRVWVGYASGTVQVMDIEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDVLRTELANRELSYTRMEKINIMVGSWNVAQGKASAESLKAWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALARKSLRPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVGRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVDEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRVEMKAGKVFQGMREGLIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRAVSVAECSLECPVVAAITSYVACMEVTESDHKPVRCTFSVDIARVDELVRRQEYGEIIESNEEVRSMLQESCFVPDTTVSTDEIILENQENIVFQITNNCETSKASFEILCEGQSTKKEDGTKSEIVPRASFGFPLWLEVQPAVGLIKPGESMEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLIVNITGSTSTETKTHKINIRHQCPATSAPPTIINPPVSATPPSNALTSEVSSKRSSKKSQTNRQQQDYAQFGSSEVHDLCRMRCP* >Brasy5G320500.1.p pacid=40075726 transcript=Brasy5G320500.1 locus=Brasy5G320500 ID=Brasy5G320500.1.v1.1 annot-version=v1.1 MDKIQSDCPYPGCFFCVMKESNPSKRKASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGAEMESRKAEEWGSQLQCWSLQLINCFAFKPEFLHDICKPEFLAKLPGMWGGLVNENSPAGIGLLRTICQSKVGRGHVANISGVLEALCNISHSSDDWQYMAIDCILWLVQDPSTYHKVIDKIAPTLIDLADISTLGDYKKLGDTIVTVLQDCMQQNENSRNSVSSQTIEEIEELLSSKQKLKSERNMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAATKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSSDPDLLSRQNKVPDYAERLVKKQMRAAWLFRESSLKHGGIHCEGDASDAFGQEVDDSEWETVSESDGENDERREVDDETEWKNAGHREDVYEKS* >Brasy5G320500.2.p pacid=40075727 transcript=Brasy5G320500.2 locus=Brasy5G320500 ID=Brasy5G320500.2.v1.1 annot-version=v1.1 MDKIQSDCPYPGCFFCVMKESNPSKRKASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLREMESRKAEEWGSQLQCWSLQLINCFAFKPEFLHDICKPEFLAKLPGMWGGLVNENSPAGIGLLRTICQSKVGRGHVANISGVLEALCNISHSSDDWQYMAIDCILWLVQDPSTYHKVIDKIAPTLIDLADISTLGDYKKLGDTIVTVLQDCMQQNENSRNSVSSQTIEEIEELLSSKQKLKSERNMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAATKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSSDPDLLSRQNKVPDYAERLVKKQMRAAWLFRESSLKHGGIHCEGDASDAFGQEVDDSEWETVSESDGENDERREVDDETEWKNAGHREDVYEKS* >Brasy5G151000.1.p pacid=40075728 transcript=Brasy5G151000.1 locus=Brasy5G151000 ID=Brasy5G151000.1.v1.1 annot-version=v1.1 MKQQWERTLHTVLSLPVRALCLSCANSTAASPLVSASGFGPMSARAAVSEEDEDQNRRRPAQTRRRCREPPLLEFQPPLRRPEFPPPPSSSSRRHPELLPRQRLATSSGRRHDHPAPSSRRHEFQPRRRDVVLDVVVEEGSESRPEFPLPPCQPRRLILVVAAPGERAAAAADGGEDGPRRSAFDAVAEGILSGHPPRIPSNSSARTTARA* >Brasy5G192700.1.p pacid=40075729 transcript=Brasy5G192700.1 locus=Brasy5G192700 ID=Brasy5G192700.1.v1.1 annot-version=v1.1 MMANISIGSNAPSCDAYLLFSAEKLLPNGVRAFAYTVALGYCFIGLSAITARFFKSMESITNHSREVVTIDPHTNTPIVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVIMPRAGSMKKISDLGVWLVELFWSFWAYIWLYIILEVLTPNVITLWEALLTVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEDTSVDHDKNCGESSEILPSENEDIVDIFSMHSYNNTGYHHVPEKDIEESSKTTLVVKNTQEDTCWLSIWRQQFVDALMLESPEQRKMDSVCLRFIIIFWNSIIAPWKLLFAFVPPYQIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVNPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLTDTVYNYFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRLVLGAELGGPRLWAWATSAYFMVLWVVFVVLSSLRVSGVI* >Brasy5G192700.2.p pacid=40075730 transcript=Brasy5G192700.2 locus=Brasy5G192700 ID=Brasy5G192700.2.v1.1 annot-version=v1.1 MMANISIGSNAPSCDAYLLFSAEKLLPNGVRAFAYTVALGYCFIGLSAITARFFKSMESITNHSREVVTIDPHTNTPIVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVIMPRAGSMKKISDLGVWLVELFWSFWAYIWLYIILEVLTPNVITLWEALLTVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEDTSVDHDKNCGESSEILPSENEDIVDIFSMHSYNNTGYHHVPEKDIEESSKTTLVVKNTQEDTCWLSIWRQQFVDALMLESPEQRKMDSVCLRFIIIFWNSIIAPWKLLFAFVPPYQIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVNPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLTDTVYNYFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRLVLGAELGGPRLWAWATSAYFMVLWVVFVVLSSLRVSGVI* >Brasy5G192700.4.p pacid=40075731 transcript=Brasy5G192700.4 locus=Brasy5G192700 ID=Brasy5G192700.4.v1.1 annot-version=v1.1 MMANISIGSNAPSCDAYLLFSAEKLLPNGVRAFAYTVALGYCFIGLSAITARFFKSMESITNHSREVVTIDPHTNTPIVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVIMPRAGSMKKISDLGVWLVELFWSFWAYIWLYIILEVLTPNVITLWEALLTVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEDTSVDHDKNCGESSEILPSENEDIVDIFSMHSYNNTGYHHVPEKDIEESSKTTLVVKNTQEDTCWLSIWRQQFVDALMLESPEQRKMDSVCLRFIIIFWNSIIAPWKLLFAFVPPYQIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVNPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLTDTVYNYFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRLVLGAELGGPRLWAWATSAYFMVLWVVFVVLSSLRVSGVI* >Brasy5G192700.3.p pacid=40075732 transcript=Brasy5G192700.3 locus=Brasy5G192700 ID=Brasy5G192700.3.v1.1 annot-version=v1.1 MMANISIGSNAPSCDAYLLFSAEKLLPNGVRAFAYTVALGYCFIGLSAITARFFKSMESITNHSREVVTIDPHTNTPIVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVIMPRAGSMKKISDLGVWLVELFWSFWAYIWLYIILEVLTPNVITLWEALLTVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEDTSVDHDKNCGESSEILPSENEDIVDIFSMHSYNNTGYHHVPEKDIEESSKTTLVVKNTQEDTCWLSIWRQQFVDALMLESPEQRKMDSVCLRFIIIFWNSIIAPWKLLFAFVPPYQIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVNPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLTDTVYNYFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRLVLGAELGGPRLWAWATSAYFMVLWVVFVVLSSLRVSGVI* >Brasy5G513400.1.p pacid=40075733 transcript=Brasy5G513400.1 locus=Brasy5G513400 ID=Brasy5G513400.1.v1.1 annot-version=v1.1 MAQSSADLAGDGFSAERLFSQGVSYTYDDVILYPGFIDFPADAVDFSRGVSLSIPCVASPMDTVSDPPWPPLGGGAVVHCNTEPHIQASIVRAARSRRLPFVSSVPFFSPASAPSPNEFAGHDYAVVTERGDSLSRLVGVAVAADAASSGACTCPSIRIHAPCRAPRSASASFDFEQAAAFLADEGLDYAPLVPPK* >Brasy5G419800.1.p pacid=40075734 transcript=Brasy5G419800.1 locus=Brasy5G419800 ID=Brasy5G419800.1.v1.1 annot-version=v1.1 MEAEGSWTSPRLRDWGDGLPLELLECIAGRLTSAGDAAAFRLINRSWKAALPFSRFAPVQMLPFDPESPEGTVTFCRPTSGGGETFTKNLPAVRGKVVCGSSCGWVALMDEAGSLTLLNPFTGATAELPPANVTVAANSWRRARASVVDGRRRWVLETSPDGLQLGQEEQQRVVTLGEMRHVFFREIVLSSPPDSGGVCVAMAVLAASRAVMFCRVGAGGAWTRLNSRLPGCVSTAVHCRGNKFLALGYNGEVSICECNTDGDMAAAGAASMGGAFVPPRLFCRRGYLMVDGELHLVGTSRRRFQNATTYRTHVYKCNVLGRKPAWTRVKKLEWTSQKNGKHRKNKKCDQQMTLLVSNRFTTGCSGPTLSGLASNSVYFCEPMYATPEDPHHRIEIGHVDNGTSEYQQRIQQGSEQALCWIQPNIWRTQRYV* >Brasy5G215000.1.p pacid=40075735 transcript=Brasy5G215000.1 locus=Brasy5G215000 ID=Brasy5G215000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNTGPFKYIPRGFISCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEAEHYRKQLWIALGHLSAVVDAGMYQNEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G100800.1.p pacid=40075736 transcript=Brasy5G100800.1 locus=Brasy5G100800 ID=Brasy5G100800.1.v1.1 annot-version=v1.1 MATETTTPRAVVALSGAAAAAREQQARRGAAVAAQQQARRGAAAARAAAAVADGSSGEASGSSRRGGAWRWRREPQQQQARCERQSDATCLCTTSIEEGDMAMGAEERGSLVTLSMEQGIG* >Brasy5G262800.1.p pacid=40075737 transcript=Brasy5G262800.1 locus=Brasy5G262800 ID=Brasy5G262800.1.v1.1 annot-version=v1.1 MLRLRSCILSRIVSSPATSLHRLLSAATPAVPTSPSFAVEEYLVETCGLTRPQALKASAKLSHLKSPANPDAVLAFIAGLGLSRADVAAAVADDPRLLCASVKRTLGPNVVGLTGLGLSNSEIARLASLSGCMFRCRSIVPRLHYYLLLFGSCENFLRRFKRRSYILSVSMERVVKPNVAFLRECGLGSCDIAKLFTRDTTMLTSNPERVRAKVACAEDLLHVPRGSGMFRHALLAISFRSKETIATTVEYLMKTFGWSDREAGIALSRAPQLLGRSKEMRQRTCEFLISEVGLEPSYIAQRSVMINYSLEGRLRPRYYVLKFLKANGLLDHKRDYFSALVVTEKEFAEKFLCPNKEAAPHLAEDYAAACRREVLNNFRFT* >Brasy5G326700.1.p pacid=40075738 transcript=Brasy5G326700.1 locus=Brasy5G326700 ID=Brasy5G326700.1.v1.1 annot-version=v1.1 MLPYYPNPHRFWISQEPPTQQAPNPTFAMPPAHAHPDQNQIQHYDHFFPGHGQQFNSETLEAVLRPRPAVLPPATGSTLEPAANNGGGVAVAGGHGRTRKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDQLGFDKASKTVDWLLTQSKPAIERLSAESSSSRRLNVVVRAGENQGKETEAAAGSGKRAMDVLEKAMRARSGGGTSSVPMEHTSSSRLVSAPPMSTGEYYCYDLGELMLSNNGGGGGEGDDDGEYEEDGDFLDGMQY* >Brasy5G106100.1.p pacid=40075739 transcript=Brasy5G106100.1 locus=Brasy5G106100 ID=Brasy5G106100.1.v1.1 annot-version=v1.1 MAPRPPAFHLLAVVFFLLPLQPPPAADAATTVAFAFPSFSLRNLTLLGGASLRSASVSLPPPSSHALFPLPLPFPPSASFATSFLFASPAPARPASRLSLVLLPDPVAAAEGRANRSLPLEVAFDASRNRVSASSAGKDVAGNSTGAVDLRNGNEVRSWVVYDARRSRLEVFMSHASLRPPTPALVANSTGLSTRFAELMFVGFEVSCSSDNNRSSDGGFVIHSWSFQTNGLPAVDPASRPSHNVSDNVHSAPAALGSVAGREDGRRKRLALGLGIALPIGFLGAVMLFVIMYLKKWRFGTAGFNKGVRAKAADQPRQFLYQDLFSATKGFDPSLVVGSGGFGTVYKAVFPRSGVTYAVKRSKQSRDSHNEFTSELTIIADLMHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHSCPGAQRHVTLNWAQRYNVAMGIASAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPKLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGRATEKSDVYSYGVVLLEICTQRRPIEREAPDSMNMLNLVDWVWNLHSKGKLLDAVDKSLNGEYDTEQIMRLLLLGLSCVNPFSEERPVMRTVLGILEGKNEPLPVPRKKPLLVFVSNAPIDFEGIVSECNQSTVSSDLFELKIDIN* >Brasy5G096400.1.p pacid=40075740 transcript=Brasy5G096400.1 locus=Brasy5G096400 ID=Brasy5G096400.1.v1.1 annot-version=v1.1 MAADSATVNVLFLSLATALFFILLLHLLRNRERQPPHGLRLPPSPPSLPVIGHLHLFKKPLHRALASLAASHGPVLLLRFGSRRVLHLTDPAAAEECFTAHDVVFANRPRLPSARHLSNGYTTLGSSSYGPNWRNLRRIATVEVLSAHSLLRSSPIRAAEVRDMARRLLSDWDSNSNSDPGPARADVKARAFELALNVVARMIAGKRYYGGGEESETEEAAGFREMVREYFAMHGASNLQDFLPVLGLVDFGGAKRRAVRLSRTRNAWAQRLIDERRDAAAEAEAEGRNRDGIGRTMVGDLLDMQASEPEAYSDKVIRALCLSILQTGTDTTSSTIEWGMALLLNHPDTMSKARAELDATIGTGRLLEESDLPNLPFLQSIITETLRLHPIGPLLGPHESSAACSVAGYHVPAGTMLLVNVHAMQRDPSVWEEPEKFRPERFEEPGGGGSGGEGEKWMLPFGMGRRRCPGEALGVKVVGLALGTLVQCFEWRRVGEEEVDLTEGSGLTMPMAVPLEALYWPREEMASVLRAL* >Brasy5G525200.1.p pacid=40075741 transcript=Brasy5G525200.1 locus=Brasy5G525200 ID=Brasy5G525200.1.v1.1 annot-version=v1.1 MSNKRQHQQLDGGRAVRRPRPAPKKHLYVVLDDWDKGFSIHKIDADTLQDTCTTDLQAGFPDHAVLRLPAPVHGLHMGFAALGSNILITTNARCLQTPALVYDTETAGISVGPRLPLSLLGNDTITIAAGGTLYALTRHHINEQHHFRAISWAPVENDDDEPWDPRPAMRWSWKSVPSPPTFDMEDHEITSYALHPDGHTIFISAHERRYPYLPSGTFSFDTKHSEWRCHGEWTLPFQGQGYFDNELDAWVGLRKDGYICSCQVASRSNTSTVEPEWKMVKEKLFLKVPERQLKSARATLAYMGNSNFCLVEFLLREGVEFKYAFGDRDGCVLQMSMFGLKYDRKGELQIMRHRTNSFVVSKHLLTVSPVVFWM* >Brasy5G213500.1.p pacid=40075742 transcript=Brasy5G213500.1 locus=Brasy5G213500 ID=Brasy5G213500.1.v1.1 annot-version=v1.1 MAGMAPATVEVVEELTRLYRELPPRPAVEDVEAAAAVLTSADAEEEARLGEVAREEAARTREGVPGELLSVLREARRAAVRLHALQQRKEAAHVVELERRFKVLDGLIQRASRVLSSASSSGEGGGAGGGVAAEEEEVVVEETEAKRRNDVAAAPAIVEIERGSKSVGFGLEAVSSLRRHGSTGSDMVDQKLSLIQVASLIESSAKKGITELNLRGKLVDQIEWLPVSLGKLQDVTELDISENRIMALPSTIGSLRYLTKLDLHSNQLINLPDSFGELSSLIDLDLHANQLKSLPTSFGNLTSLANLDLSSNQFRILPDCLGKLTNLRRLIAETNELEELPYTIGSCVSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGSLTRLRELDVSFNEVEGIPESICFATSLVKLNVSRNFADLRALPRSIGNLEMLEELDISSNQIRVLPDSFQFLAKLRVFHADETPLEVPPREVIKLGAQAVVQYVVDMVASGGARPKETDRTSFWAWLRSLFGCCKKDEELGLVAA* >Brasy5G066400.1.p pacid=40075743 transcript=Brasy5G066400.1 locus=Brasy5G066400 ID=Brasy5G066400.1.v1.1 annot-version=v1.1 MVAGMGATHYLKQNGTNIYKTLEISVQSLKCGLSFVQSRDSGSSKEALEAVVTENEFEKNLLSDVIAPNDIGVSFCDIGALENVKATLEELIMLPLKRPELFNKGMLIKLCLVMLRSWKCHQSISFWQPSKGILLFGPPGTGKTMLAKAVATEAGANFINITMSSITSKWLGEGEKYVKAIFSLASKISPCVIFIDEVDSMLGRRENPGEHETMRKMKNEFMVNWDGLRTRDKERVLMLGATNRPFDLDEAVIRRFSRRLMVDLPDASNRERILKLILSKDALAPDVNLESLAKMTNGYSGSDLKNLCETAAKLPLRELLEKEKKEKNLAKAEGRPEPPLLGSEDIRALRMDDFKSAHEQCASTPSDPTNRSLLIEWNNEYGEGGSRRNKEPPSYFM* >Brasy5G101300.1.p pacid=40075744 transcript=Brasy5G101300.1 locus=Brasy5G101300 ID=Brasy5G101300.1.v1.1 annot-version=v1.1 MDWQGQKSAEMLMQVLLVASAVAAFLVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDPAEADRHPRPIVISAAALTAGKKKAGKNK* >Brasy5G508000.1.p pacid=40075745 transcript=Brasy5G508000.1 locus=Brasy5G508000 ID=Brasy5G508000.1.v1.1 annot-version=v1.1 MEAETETGAAGAKRQLRTESNEAGRKKVAGPVAAAGGTKTKIPSMLEASFKLIEHARLKSAVARLNKANPGAPPLVLEALTEQERATLVEEEKEFMRKVSAENSKRRLAQYEAGLAQDPPEEEEEEDPGKDEWDKRYGCFRQHLEEKRANQNFSFEAITRIPAMCFTDKPIPGHDSYRPSVQIFTVKVAEINGGLCWPLDVFGMIAVRDKLDYSRNIIFSRTRDNPQTLTQQDPYFLLTGPTRAPVYRRYVYFEAVLKVKGTTESEDRDLSLLFERYICCVAPNLCANEGDCSSKSCVASTLYSSKLSTLELQCGLVVSSVEATITLRILEGSSWPDGFRGQFTACIGSVSHMKVLLLDSGEEKAPVVAADGTVELSRRVVSVESFGQLIVHGVIFRGGNRQGQVFAEKKKSFAPLAAGRSRGLLDLDVCKLEVTIAWSRLLKYYPDDGLPLTNGSAGADSS* >Brasy5G508000.2.p pacid=40075746 transcript=Brasy5G508000.2 locus=Brasy5G508000 ID=Brasy5G508000.2.v1.1 annot-version=v1.1 MEAETETGAAGAKRQLRTESNEAGRKKVAGPVAAAGGTKTKIPSMLEASFKLIEHARLKSAVARLNKANPGAPPLVLEALTEQERATLVEEEKEFMRKVSAENSKRRLAQYEAGLAQDPPEEEEEEDPGKDEWDKRYGCFRQHLEEKRANQNFSFEAITRIPAMCFTDKPIPGHDSYRPSVQIFTVKVAEINGGLCWPLDVFGMIAVRDKLDYSRNIIFSRTRDNPQTLTQQDPYFLLTGPTRAPVYRSSQFMRERGRLQFQILCGQYTLQQQAQHARAPVWSRRVLRRGHHHTSDPRGFVVARRVPRPVYCLHRQRESHEGLASRFRRREGSCCCC* >Brasy5G508100.1.p pacid=40075747 transcript=Brasy5G508100.1 locus=Brasy5G508100 ID=Brasy5G508100.1.v1.1 annot-version=v1.1 MVVAPTNVNLCDISANSSPDDTTKLTREAYTTKCGLDERTHGDERRRRSDHIWERFHCDPLQLGKGVDSERACAVAATRTAGALGGRGSAQLRRQRSAWDGAAGAGAGAARTAVAGSASGGYSARLGHHGGTRRGEAAAARRGEASARARSGASARSGQLGTRRRRAAHSSGGGRAVLGGLGARRGRRSGRGRRSGRGQRAGEERRTRRR* >Brasy5G308000.1.p pacid=40075748 transcript=Brasy5G308000.1 locus=Brasy5G308000 ID=Brasy5G308000.1.v1.1 annot-version=v1.1 MKFLQHICLGGCQNLVKLPANIVKLQKLRYLSLDNTCINSVPRGFCALTNMRALYGFPVHVDGDWCSLEELGPLLQLMEIGLKGLENVSATLSATKARLGAKMHLSLMGLYCSSRLGDDGLVKDGVTEKDQGIIEKVFDELYPPPYMEDIRIGGYFGRQLPRWMMSTTMEPLESLRILSMIDLACCTQLPDGLCQLPCLEFLNVNRAPAIKRVGPEFVQPYSCRHHASSQVEAAFLRLRDMALSEIVEWEEWNWEEEVQAMPVLEVLLIQRCKLRCLPPGLSTHARTLRKLSIYNVQRLHSLDNFAFVVELTVDNIPELTRISNLPKLQKLEINCCRKFESLQKMTALRRLMLTIQHSEKQLPLYLQTVQPSHLLLDCSPEILTSISVGKFGPEFDKFSHIEKVEACSKDGDNVKKWYVYTSEPYNMSRNMEKHLQDLSVDDEE* >Brasy5G302800.1.p pacid=40075749 transcript=Brasy5G302800.1 locus=Brasy5G302800 ID=Brasy5G302800.1.v1.1 annot-version=v1.1 MAMASARPPLLRCFALLFNSRVRANHRLLASPFSTAGNAETQSPVEPEARLKELHSEEPSAESNMLRLSVEAGGCSGFQYTFSLDDKKNADDRVFEKNGAKLVVDNVSYDFVKGSTRGTDMLGICG* >Brasy5G423800.1.p pacid=40075750 transcript=Brasy5G423800.1 locus=Brasy5G423800 ID=Brasy5G423800.1.v1.1 annot-version=v1.1 MAAGLLLGDAPGAHLAVCRPRLHLCSWAPRPLRPRHGRSISRARRGGYVPARFAASASGSGGGEELSEDEARRKREAEMTRRVKEAEEMEELERTAEELQSRASAVDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGKGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYDANRRHKDCIALYKELENTHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIEEQENKKRKMVVASSQAEASTNIFSSLSLLRPPSEWKKSAWVIVTLWIVLIGTAFYLQR* >Brasy5G132600.1.p pacid=40075751 transcript=Brasy5G132600.1 locus=Brasy5G132600 ID=Brasy5G132600.1.v1.1 annot-version=v1.1 MAKLMCLLLVVLAIAVTTWAEGCDKDREDMMRECLKYEKFPAKPKLDPSEACCAVWQREDIPCLCKLITKKIEKVCSMKKVAYIAKFCNKPFAPGYKCGSK* >Brasy5G324600.1.p pacid=40075752 transcript=Brasy5G324600.1 locus=Brasy5G324600 ID=Brasy5G324600.1.v1.1 annot-version=v1.1 MADEEAPKSDALPTYPDMILEAIDALDDKNGANKSAISSFIEEKYKDLPSAHASLLTANLASMRESGKLLFDKNNYVRPTSDAPAPPKRGRGRPPKPKDPNAPPPPAPPARDPNAPKRGRGRPPKPKDPNAPPPPPRAPKAKAPKRGRGRPPKTDKATSSPPAPRGRPAKKAKVAKEAPAPAAAAPAKRGRGRPPKVRA* >Brasy5G253500.1.p pacid=40075753 transcript=Brasy5G253500.1 locus=Brasy5G253500 ID=Brasy5G253500.1.v1.1 annot-version=v1.1 MDSPHHSIQLSGSDAGKKRPVSDGDGTMSSELYLAVCEGRKEEAMALLLQQGSGIYQVSAERNTVLHLAAEQGHDELIQELYTSCGDNILLSSQNSALETPLHCAARAGHDRAVSLIIQLIWDSGDQSIIGCKNKVGDTALHLASRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSPLHFASSDGDQAVVGAILNISPPCVVRMQDSGGLSALHIAAGMGHARVVEALIKACPDVTELRDDRGGTFLHSAARGGHSKVVQLVLRKRMLRSLLNLQDGDGNTPLHLAAAAHAPSIVEALMRHGKVQADVMNNDGHTPLDLAARSTSFFSMLGLVVTLAAFRAKSHPQRQDRVEQSSGHDMTKRIEKTSDSLAVVAVLIATVAFTAANNVPGSYEQADDTSPDRYGKMVTKGMAVLQGKNLFKYFLVLDSLALVTSLVAVVLLIYGKASRSDRSWKSFVAALHFIWASLLSMILAFYAALDSVTSTRAVSTIVLNILYLGFYGLSIIVARMISPSVSNRTIWKVLWHTALKGKHSVLKRRIRQQFPVADVYVPNLLLFLVTNLLAIVGYVVVLAFSNWPDKTLREAMQSGASAPSSVLS* >Brasy5G253500.2.p pacid=40075754 transcript=Brasy5G253500.2 locus=Brasy5G253500 ID=Brasy5G253500.2.v1.1 annot-version=v1.1 MDSPHHSIQLSGSDAGKKRPVSDGDGTMSSELYLAVCEGRKEEAMALLLQQGSGIYQVSAERNTVLHLAAEQGHDELIQELYTSCGDNILLSSQNSALETPLHCAARAGHDRAVSLIIQLIWDSGDQSIIGCKNKVGDTALHLASRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSPLHFASSDGDQAVVGAILNISPPCVVRMQDSGGLSALHIAAGMGHARVVEALIKACPDVTELRDDRGGTFLHSAARGGHSKVVQLVLRKRMLRSLLNLQDGDGNTPLHLAAAAHAPSIVEALMRHGKVQADVMNNDGHTPLDLAARSTSFFSMLGLVVTLAAFRAKSHPQRQDRVEQSSGHDMTKRIEKTSDSLAVVAVLIATVAFTAANNVPGSYEQADDTSPDRYGKMVTKGVTLRQVVEELRGSTALHMGITVEHDPGLLRCSGFCDKHKGSLHHRVEHPVLRLLWTEHHCCAYDLSFCVEPHDLEGSMAYCLKGKA* >Brasy5G253500.4.p pacid=40075755 transcript=Brasy5G253500.4 locus=Brasy5G253500 ID=Brasy5G253500.4.v1.1 annot-version=v1.1 MDSPHHSIQLSGSDAGKKRPVSDGDGTMSSELYLAVCEGRKEEAMALLLQQGSGIYQVSAERNTVLHLAAEQGHDELIQELYTSCGDNILLSSQNSALETPLHCAARAGHDRAVSLIIQLIWDSGDQSIIGCKNKVGDTALHLASRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSPLHFASSDGDQAVVGAILNISPPCVVRMQDSGGLSALHIAAGMGHARVVEALIKACPDVTELRDDRGGTFLHSAARGGHSKVVQLVLRKRMLRSLLNLQDGDGNTPLHLAAAAHAPSIVEALMRHGKVQADVMNNDGHTPLDLAARSTSFFSMLGLVVTLAAFRAKSHPQRQDRVEQSSGHDMTKRIEKTSDSLAVVAVLIATVAFTAANNVPGSYEQADDTSPDRYGKMVTKGRGRASWQHCTSYGHHC* >Brasy5G253500.3.p pacid=40075756 transcript=Brasy5G253500.3 locus=Brasy5G253500 ID=Brasy5G253500.3.v1.1 annot-version=v1.1 MDSPHHSIQLSGSDAGKKRPVSDGDGTMSSELYLAVCEGRKEEAMALLLQQGSGIYQVSAERNTVLHLAAEQGHDELIQELYTSCGDNILLSSQNSALETPLHCAARAGHDRAVSLIIQLIWDSGDQSIIGCKNKVGDTALHLASRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSPLHFASSDGDQAVVGAILNISPPCVVRMQDSGGLSALHIAAGMGHARVVEALIKACPDVTELRDDRGGTFLHSAARGGHSKVVQLVLRKRMLRSLLNLQDGDGNTPLHLAAAAHAPSIVEALMRHGKVQADVMNNDGHTPLDLAARSTSFFSMGEVTPSKTGSRGAIERP* >Brasy5G253500.5.p pacid=40075757 transcript=Brasy5G253500.5 locus=Brasy5G253500 ID=Brasy5G253500.5.v1.1 annot-version=v1.1 MDSPHHSIQLSGSDAGKKRPVSDGDGTMSSELYLAVCEGRKEEAMALLLQQGSGIYQVSAERNTVLHLAAEQGHDELIQELYTSCGDNILLSSQNSALETPLHCAARAGHDRAVSLIIQLIWDSGDQSIIGCKNKVGDTALHLASRLGHGKAVEAMVSVAPRLASEVNNAGVSPLYLAVMSGSVPAVRAITTVCTDASAAGPSLQNALHAAIFRGSEMVSLLLDWKPTGQSLSYQADSSGSSPLHFASSDGDQAVVGAILNISPPCVVRMQDSGGLSALHIAAGMGHARVVEALIKACPDVTELRDDRGGTFLHSAARGGHSKVVQLVLRKRMLRSLLNLQDGDGNTPLHLAAAAHAPSIVEALMRHGKVQADVMNNDGHTPLDLAARSTSFFSMGEVTPSKTGSRGAIERP* >Brasy5G196300.1.p pacid=40075758 transcript=Brasy5G196300.1 locus=Brasy5G196300 ID=Brasy5G196300.1.v1.1 annot-version=v1.1 MPLPRRPWIQQRRAAMEAFFCLARCRFTRLMVAMQLTMGVLVICISMASLHRFYATNSLLPGMLDGGSCSGFHGSVADGYAGFDIRALSDRVDDVLVQLAELQDKLEATALKITKKKKKKKGPRKPQQENMTMLEFRRFLEDEVIHPLYGAHIALRLIRIPRPDPDAAEAASSPAVDPLVNFFMAEETRKYVTTKGNRDGKPSVYGTNRTYGTIGHTCVLMRRELDEYMSYDVGSYCPDDWDLGQRLMLGGCDPLPRRRCLNRASKLFTRPLPINESLWTLPDDGNVRWTHYHCRGYRCLSAKNPRPGYARCVGCFDMDREKQRWLNTTTPAKNKSTSSLSLTDFTIDEVLAVKPGGDIRIGLDVSVGTGSFAARMRERGVTVVSTALNLGAPFAETIALRGLVPLYATMSQRLPLFDNTMDLVHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFVCARADLDDYMYMFLQFRYKKHRWVVSFKSKDQVYLSALLEKPPRS* >Brasy5G099300.1.p pacid=40075759 transcript=Brasy5G099300.1 locus=Brasy5G099300 ID=Brasy5G099300.1.v1.1 annot-version=v1.1 MVISAPCFSLYHHTLSFPAATTTKGSSASSGSTSLGLPAGRRSGPTAVATEADFLSDAAAVDKRRCECFDLHQDLVPFAKSWAWQKSVVTRRKGLAGRDADDSDTLVTLQHPPVYTLGTDSREEYLHFNVKDSPIEIHRIDRGGEVTYHGPGQLVMYPILNLRHHKMDLHWYLRSLEEVIIRALQYAFSIKASRIEGLTGVWVGDQKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQKSSCGRDIDEAVLMDLAYKSLIKEFAEVFQLSLDLRPDWNLQEN* >Brasy5G410300.1.p pacid=40075760 transcript=Brasy5G410300.1 locus=Brasy5G410300 ID=Brasy5G410300.1.v1.1 annot-version=v1.1 MARARAQLSTRLMNVCLAALCRGGSLARAESVLIDAILLGMPPDVVTYNTLLAAHCRAAGLEAGFAVLRRMREAGVWPNAVTYNSLIVAASRVGLTMRALDLFDEMLRAGIAPDAWSYNVLMHCLFRSGHPEDAYRVFADMAEKGVAPCTTTYNTLLDGLFKAGHATNAYRMFRYLQRVGLPVGIVTYNTMINGLCRSGKVGYARMILRELGRTENTPNAVTYTTVMKCCFRYGRFDQGLETFLSLLEGGHIPDVFPYCTVISALVKKGRVEEASAYSELMIQSGSRLDTACYNTLIHLRCQEGKLDDAFELLSMMEEGGLESDEYTFSILVNGLCKLGHIEAAEKQLCYMEMRDMESNVVAYNCLVDALCKSDQVDAAIRLLHDMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLREGNNVLASAKRAVIAGLRSAGFKNDVRKVRSALYMARLLKS* >Brasy5G306800.1.p pacid=40075761 transcript=Brasy5G306800.1 locus=Brasy5G306800 ID=Brasy5G306800.1.v1.1 annot-version=v1.1 MSTSLRPATVLFSLITALATATSSLADTPDCPYPCLPPPTSGGIINSYPPPPPAATGGSGSGDGGFGGSYPPPPPGVFGQLTPPGVMPGFLPPPYSAVPAGPAPPPPNPVLPWYPWYYQHNNPITGSTTSSAPAVHRRTTACVGALLLQMCALVILLRAL* >Brasy5G050400.1.p pacid=40075762 transcript=Brasy5G050400.1 locus=Brasy5G050400 ID=Brasy5G050400.1.v1.1 annot-version=v1.1 MASSEVGEIQKPPRRPDGVPPGRVGFRVKKSARRTILRIALPASLPRRVLPRIALGAEDFSAPYATSDIHYSAPHLHNGYSRINETSIEAHAPSSSTMAYGMSPAQLQSFSNRSLPKETKNIAGQSYAGLDELKERLLSNFHEFEALHRQLIERPHDPATIQAYEAYKKRSEERNTFKVRVSPPQLQNFGNTSLPKEAKSIEGQSCPEEANILDEEHGECSETTVFDFSGCKGAYVLPYEFRAKEIDDHQKEENIAEQCSVNIDYQIEEARDPVKEGDKALENHPKAERAIVHAMLPSCSPNVFKELYLTNNLLVFRFGHNFIVNASISKNFIG* >Brasy5G379100.1.p pacid=40075763 transcript=Brasy5G379100.1 locus=Brasy5G379100 ID=Brasy5G379100.1.v1.1 annot-version=v1.1 MKMGKAPQILKKAAAMCKSKTCVVAARILVLASLRRRMATVGAISHRIHALMVADGPEKPTVYFHKAILPPSKVVENKPVTHRGSGIVDLSHELALFDQEDGRGHGGCPDWTLHPIFSEDDGGCCYTDEYEGNDIIDTDDDDDGDVSVLDALDGDEPSVMDVIRRSREVEGLEFNMEDEIDQAADMFIRRFRERMNQSLY* >Brasy5G458000.1.p pacid=40075764 transcript=Brasy5G458000.1 locus=Brasy5G458000 ID=Brasy5G458000.1.v1.1 annot-version=v1.1 MADGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF* >Brasy5G458000.2.p pacid=40075765 transcript=Brasy5G458000.2 locus=Brasy5G458000 ID=Brasy5G458000.2.v1.1 annot-version=v1.1 MADGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF* >Brasy5G281700.1.p pacid=40075766 transcript=Brasy5G281700.1 locus=Brasy5G281700 ID=Brasy5G281700.1.v1.1 annot-version=v1.1 MAFKLILLLLVLTFSSCHGQWIRRGLGSQAMKRPLHAALGTSTTQESDHSRQLTFSGNLPGNSVHCLPDQAVSLLQLKQSFSFDFSTTTLPSWQAGTDCCLWEGISCDVSSGEVTALSLAGRGLYSYGIDSAIFNLTSLQHLDLSGNNLGGSDLPIIGFERLSLLTNLNLSGSGLCGQIPISIGYLTSVVSLDLSNYTKRKHFSIYFSNMLVLWEPSFTVLVGTLTNLRELYLDFVDVSATGEEWCGALARHVPHLEILSLEHCRLYGPIHPSLSRVRSLTVINLHSNHRISGAVPNFFADFQNLSVLQLGDCGFDGLIPPRVFELKNLRVLDLSYNSNMLVHLSDILNGSSLEILNIQGTNFSTASSKSFSNLKSLEELHIDGKYKYLTVLPASSFKSLKKLHLSQLESETPASWWIGELQNLTYLKIFSSNFSGRTPSWIGTLRTLRNLEIYDCIFSEPIPSTIGNLKNLTDLALWNCGFSGRIPAWVGNLTQLSYLELDTNDLSGEIPDSIFTLPALQKLDLSSNRLSGKLRDFLASSSSLYLIDMTDNELDGSIKSLIQLRNLEALFLGSNNFMIDQVELNSLLQLRELWALDLSNNRLSVIDGQGISVASVSRLQALDLASCNLTKLPDSLQLLHHVDYLDISNNHISGSIPKWIWDNWSDTLLYLNMSNNNFSSMELSSPFLPKALQIIDLSSNRLQGEIPIPLKPTNLQFLDYSNNRFSSILKNCTSCLGKTFYLKMANNRIRGEIPHFICNMSKLEILDLSNNSISGTIPSCLIQGGHLSILNLRDNHLQGRLASKVDKRCALQTINLDGNRIEGQLPRSLTNCKDLEFLDVGNNKIVDSFPHWLGKLPKLRVLVLRSNQLHGSIGNTSEGEDYGEPFSSLQIIDVASNNLSGNLRSQWFEKLQSMIKSSDFTGDIIEAQNLTGSYEDIVTVMFKGQYMTFEKIWSTLTMIDFSDNAFTGSIPESIGRLARLHGLNLSNNMLTGEIPAQLGCMTALESLDLSSNELSGVIPEELTDLTSLGTLNLSNNQFIGKIPELRQFGTFQNNSYEGNEGLCGAPLSKQCDSQGGGAIASKYSYQVDVILFLFVGIGYGLGFAAGLLIKWSRVGKWYRTTNTS* >Brasy5G298100.1.p pacid=40075767 transcript=Brasy5G298100.1 locus=Brasy5G298100 ID=Brasy5G298100.1.v1.1 annot-version=v1.1 MSRVAASEDEVCGLLRLRVAKLAKATIWPTASLKPIGGRNPGLDDEPPKKLALQWCPLLPNNMPHVCGRHPKKLGLVSGDQRICPYNGEFP* >Brasy5G465600.1.p pacid=40075768 transcript=Brasy5G465600.1 locus=Brasy5G465600 ID=Brasy5G465600.1.v1.1 annot-version=v1.1 MAAAAAAPEWATKEPCIMGIDEAGRGPVLGPMVYGCMFCARSYNDTLATLSFADSKTLKEEQREELFETLKTNSAIGWEVDVICPKELSAKMLKRTKVNLNEISHNSAMGLVKKALSMGVLLTDVYIDTVGDPEKYRVKLTEKFPGITFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPNTIKWLKDHKHPVFGFPTLVRFSWGTCTSYFKTGVEVTWESDELDEDAVSNGSAKRQVRLSSLGFTGFKRKPEEIESSGKGRCKFFQARKLELVRKFQ* >Brasy5G128600.1.p pacid=40075769 transcript=Brasy5G128600.1 locus=Brasy5G128600 ID=Brasy5G128600.1.v1.1 annot-version=v1.1 MQHAGCGHTSLPPGEGEPCVVLFAGLQGSGKSTSCIRYAYKHHLEGYKSSLVCTNTSRIGSFGQLRQRAMKDKIPFYQSKEIDSIATAVQGVNRFKREKKDLIVVDTIGSHQNHATLFAEMHLVIEAVKPDQVIFVIDGRLGQDASDQAKEFANSSRNGAVIVTRMDGHLKGGAALNMVAAAELPVVFIGFGEGMDQFERYSVRSFVSGLLGIMSDIDPGSDDNGCSSDSDFYLPIGMDL* >Brasy5G329200.1.p pacid=40075770 transcript=Brasy5G329200.1 locus=Brasy5G329200 ID=Brasy5G329200.1.v1.1 annot-version=v1.1 MHPSAAAAAAFLAPAVAVPNRLVPLRRARCFTVRSVASPPVPKPATSPSKTGKWQWTFEDKPVSVYYEEHAQESVENVKNILMIPTISDVSTVEEWRVVAKDIVKRKGELSYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLNSPNSPVADSDGELVVVGGGHAATIAVRAAGKGLIRPSAIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTRRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNSPKRSKAEMVALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYDFLQESYSSRR* >Brasy5G329200.2.p pacid=40075771 transcript=Brasy5G329200.2 locus=Brasy5G329200 ID=Brasy5G329200.2.v1.1 annot-version=v1.1 MHPSAAAAAAFLAPAVAVPNRLVPLRRARCFTVRSVASPPVPKPATSPSKTGKWQWTFEDKPVSVYYEEHAQESVENVKNILMIPTISDVSTVEEWRVVAKDIVKRKGELSYRATIVDWPGLGYSDRPSLNYSADVMENFLVQLLNSPNSPVADSDGELVVVGGGHAATIAVRAAGKGLIRPSAIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIVESRYELTRRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNSPKRSKAEMVALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYDFLQESYSSRR* >Brasy5G155200.1.p pacid=40075772 transcript=Brasy5G155200.1 locus=Brasy5G155200 ID=Brasy5G155200.1.v1.1 annot-version=v1.1 MSRHGTIGHCSACNQPGHNKRRCPALGRGAAPATSDADHAADHDTASDADHEAASDADHAAAQPEDHAAAQPEDHDAARKKLPVKRRIVALDGQPEAHGAAKAAAAKRTSTNQDKAQNTKRTVKRNIKGVSTQQSQAPAPLPESNFIATCREGMPVPRATTATLGLGGQKRGRTIPKKKKA* >Brasy5G364900.1.p pacid=40075773 transcript=Brasy5G364900.1 locus=Brasy5G364900 ID=Brasy5G364900.1.v1.1 annot-version=v1.1 MASPPRVCVTGGGSYVASWLVKLLLSRGYAVHATVRDPRDPKNACLEQLDGASENLRLFKADMLDYGSLVAAFAGCQGVFHVATPVPEGKMVDPEKEMMDPTVKGTKNVLEACTATEVQKLVLVSSAASVCFNPDWPQDKLKDESCWTDKELCKENENWYSLAKTESEEMALEHGEKTGLHVVTFCPGLIFGPLLQHVALNTSSKVLLYIIKGYIYKKMSMWSACLSRCNPVLLCDAGGPDTMNNKLWPLVDVRDVADALLLLYEKAGSPERYICSLDQVDVKDLLEIMKRMYPNYSYADKMADVDYRGALNSDKLKKLGWKPRKLEEMLADSVESYERAGLLRVSEDEPCRLPFFYRLPPLVD* >Brasy5G431900.1.p pacid=40075774 transcript=Brasy5G431900.1 locus=Brasy5G431900 ID=Brasy5G431900.1.v1.1 annot-version=v1.1 MELETRADPDDEYPRWVLLENGRRCEAPDAATAAESRTSDGRPVRVSFGFAPPPALSRLRVDSPGSLVEMKPQIIAAHGDSVLIRIDVTDSYDCDVSDAPSWDLFVYNAGDAAAGLPPSLLLLPPCYYITAGYDQGGSKPRRRFRHSPVQRATALVSRGHKPMVAELELVYRTAASVLRDQAELCLFRSGKWELKTRLPVIVRSEDERHKLTRWVTRATVPAGGRPFVCWVDYGTGVIFSDLSGETPKLHFVPLPAVEIGPPLHRVAVCATGGCGGGGEVRIVQVSPRCCCGCPGISSCARSRHAFNITTWALRMEDTAWHKAGVVDCGELWAALAAAGYDGVIPRIPPEHPVVSLFDPDMLCFTVHRTERHADDDDVHGDCQKRAVIELDTRRMEVRSVFCYDDDEDDSVE* >Brasy5G242900.1.p pacid=40075775 transcript=Brasy5G242900.1 locus=Brasy5G242900 ID=Brasy5G242900.1.v1.1 annot-version=v1.1 MASYGVDTRPATAASSAGAGAGAGEGALSFLSRSLREDLRLIRARAGELETLLSAPVPEPELFARLRRAYTTTTASSSSGRTRLDLSAIGRAFEAEAGSTGWGAAKANKAGWGWEIAEAEGEWEPIRAVKARLRDLDRRGHHQASDVLHKVKLSLKSMSFAPEASEDVPPLDLNELFAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDPFAYDLLSSSEPSSFRNDNVCDELDLRIASVLQSTGHRYEGGFWDDRPKYDVADKRHVAVVTTASLPWMTGTAVNPLFRAAYLAKSSKQYVTLMVPWLCKSDQELVYPNSITFSSPQDQETYIRDWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLARSIVCNVHGVNPKFLEVGKRIAAERESGQQSMSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSLEVQSAAKKLHLNLNFHKGRDHADDSLHGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYTTSEDFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSSTTTSECATRRMKKSASLPNMSDVVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQGGCGAFVVVNDTLPVPMSSSTGIRQTGYQIRI* >Brasy5G242900.2.p pacid=40075776 transcript=Brasy5G242900.2 locus=Brasy5G242900 ID=Brasy5G242900.2.v1.1 annot-version=v1.1 MASYGVDTRPATAASSAGAGAGAGEGALSFLSRSLREDLRLIRARAGELETLLSAPVPEPELFARLRRAYTTTTASSSSGRTRLDLSAIGRAFEAEAGSTGWGAAKANKAGWGWEIAEAEGEWEPIRAVKARLRDLDRRGHHQASDVLHKVKLSLKSMSFAPEASEDVPPLDLNELFAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDPFAYDLLSSSEPSSFRNDNVCDELDLRIASVLQSTGHRYEGGFWDDRPKYDVADKRHVAVVTTASLPWMTGTAVNPLFRAAYLAKSSKQYVTLMVPWLCKSDQELVYPNSITFSSPQDQETYIRDWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLARSIVCNVHGVNPKFLEVGKRIAAERESGQQSMSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSLEVQSAAKKLHLNLNFHKGRDHADDSLHGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYTTSEDFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSSTTTSECATRRMKKSASLPNMSDVVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVYWFMVARPRSLS* >Brasy5G242900.3.p pacid=40075777 transcript=Brasy5G242900.3 locus=Brasy5G242900 ID=Brasy5G242900.3.v1.1 annot-version=v1.1 MASYGVDTRPATAASSAGAGAGAGEGALSFLSRSLREDLRLIRARAGELETLLSAPVPEPELFARLRRAYTTTTASSSSGRTRLDLSAIGRAFEAEAGSTGWGAAKANKAGWGWEIAEAEGEWEPIRAVKARLRDLDRRGHHQASDVLHKVKLSLKSMSFAPEASEDVPPLDLNELFAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDPFAYDLLSSSEPSSFRNDNVCDELDLRIASVLQSTGHRYEGGFWDDRPKYDVADKRHVAVVTTASLPWMTGTAVNPLFRAAYLAKSSKQYVTLMVPWLCKSDQELVYPNSITFSSPQDQETYIRDWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLARSIVCNVHGVNPKFLEVGKRIAAERESGQQSMSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSLEVQSAAKKLHLNLNFHKGRDHADDSLHGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYTTSEDFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSSTTTSECATRRMKKSASLPNMSDVVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPIYGW* >Brasy5G087800.1.p pacid=40075778 transcript=Brasy5G087800.1 locus=Brasy5G087800 ID=Brasy5G087800.1.v1.1 annot-version=v1.1 MAVTKNGNANNAAGSGFSVRVVSRRLVRASDSSMEPHVVTFSNLDLLNDNIQLFIACVYKRPRRPTGAGEGDYKAVCAAFEAHLPSYLDYMFPLAGRIIHNQTSGLPELHCNNQGAELILAEAGAELWAVDWSRPNESLKKIPLPFAQDLPLSVQLVSFACGGFAVVWGVHHLVGDGSFGAMLVNTWSELARTGAIGAARIPTHDRSLSFCRPRDPPSYGAAVDGMFRRWDSAGHVNALTAEESFTRRLYFVDERDIARLRRTASAAGARRATTRAEALSAYLWKLLAGVVASSARLSEAAGEKRCRMLWFVDGRPRLTSSSPEVRNALRNYAGNVTSYVLAEAAAATVLSKSLAEVAAMARDAITGQNYDELYQDMADWVELHKPASFVETPAVGLGSPTLAQTVWASYRIHTDFGFGHAALAVPLDAKEGGLCSAHLYVSSSPGPGDRPWVVNACIWPRLAAALESDRPRVFQPLTAEHLGLTCSGADYLLQDAERPRL* >Brasy5G486200.1.p pacid=40075779 transcript=Brasy5G486200.1 locus=Brasy5G486200 ID=Brasy5G486200.1.v1.1 annot-version=v1.1 MTMDIGANNLVLRIKRIVHSSIGIGCRSACDYPMVLGAGILLLLLHRICPPLLAFLVSYSPLFLLTGLLLGALLSYGEPCAPSVIGEETSENQQTLSLKSKTSIVECSTEEVEDVIVEKRTDSAGVYAEERALADYTHDIVLSSEPSKHAQSNVVLGSEEHTEEISEKAELQELESSNTERGNSEVHNQYQLGESTSPCWQSADRQDPCYDSESDCTDESSSPDASMTDIIPMLEELHPLIDLGTGHPTLASRYNLNSSSDDDEDDLEDDDVEDDLEDDDDEDELEEDEENGISNDEDDGNHQDIMGKNSTVDSLMELQRAKNILKFELDQRLMNLQTTDVTHKLKEASCFHVQVPSISTPRGKPFDPSNGSAEVIELPQIPDSAPSVLLPRQNLFGLPSDQILGHASKLQETWTPRSYSPATQLRKHGKLHGRCSTNPDGNGFKLGKGEISGEAAHGSHSGCDAEQEGNNGKLSGSLETHIGEEINVLSEAISDANMLKVGCEMHESNMNADFSDDINSFPILGNISRTSEAKDSVHAGSQQPMSCISEVNNSEQHVVRADSMDEVNSLFRCRMEEVLVQSISEPIIGQPLTVQLEDESSNLALSSDHGLHTIEASSIEELNSQFSQLSDKAPACDASDSICVDKPIQEKSSEASPEADEHTSELPTEDGSRELFAGGYQQTADSSELHVIEASSAEEMKTLFKQLEEDAQSQ* >Brasy5G111700.1.p pacid=40075780 transcript=Brasy5G111700.1 locus=Brasy5G111700 ID=Brasy5G111700.1.v1.1 annot-version=v1.1 MAMRIWMVVTAMLCVATTAAMADMEGGDVGEYWQKRTAETRFKHGGPLHDLVSAATRYHQELLGHRDGRRYLLAEEEAASAPTPPQAQAPASADGNPAGEHNTLADHDIVG* >Brasy5G325500.1.p pacid=40075781 transcript=Brasy5G325500.1 locus=Brasy5G325500 ID=Brasy5G325500.1.v1.1 annot-version=v1.1 MASLAPLSLSIYTATGRAGRAAFVQAPRRRRLAVVRAKVREIFMPALSSTMTEGRIVSWTTSEGDRVSKGDPVVVVESDKADMDVETFHDGIIAAVLVPAGGTAPVGAPIALLAESEEEVAVAQARAQVLSKAQGQEPSPLHAAAVAPPTPAPAPVPVAARTKGIATPHAKKLAKQHRVDISKVVGTGPNGRIMAADVESVAGIKPKVTSPPPPVAPPAAAPAAAGAVRQAAVLPLVSGGTVVPFTSMQAAVSRNMVESLSVPTFRVGYAMTTDKLDALYEKVKSKGVTKTLLLVKAAAMALTHHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKSDIYLLAQKWRGLLRKTRMKQLQPNEYNSGTFSLSNLGMFGVDTFDAILPPGQGAIMAVGASRPTVIADKDGFFSIKNEMLVNVTADHRIIYGADLAAFVQTFAKIVEDPESLTL* >Brasy5G171000.1.p pacid=40075782 transcript=Brasy5G171000.1 locus=Brasy5G171000 ID=Brasy5G171000.1.v1.1 annot-version=v1.1 MLTNWGRGSRRWRRRSRRGGRRGGGPGRREEAAGARGEAARETEGARETEGRGRGARRRGRGGGGGDGGGGGGGGRGRRGRRGAGARGRRGGGTGGEEAGKGRIRPWRRQIRPGAGARGRRRRRGAGGRERDRT* >Brasy5G462700.1.p pacid=40075783 transcript=Brasy5G462700.1 locus=Brasy5G462700 ID=Brasy5G462700.1.v1.1 annot-version=v1.1 MPCPKQLAKLPAMLLLLALLLLCNGVATVHCSTVHENNVDLHALLDFKRGITNDPNGALSNWSNNTHFCQWNGVNCTTARPFRVSSLNLNSQNLQGQITSSLGNLTFLTQLDLSNNSFVGTLPTLNCLQQLEIIYLNDNNLVGKIPDAFSNCSSLSDLDFSRNLLEGVIPPTLSILSNLMYIDFGSNNLVGSIPDELGRLPKLQGLFLSKNRLSGGFPHGILNLSTSLQYLCLEYNMLGKALPHNIGDHLPNLIGIDLNGNMFDGHIPASLGNASGLEFVDLSNNNFTGKIPTSFGKLLNLTTLLLHWNQLEARDNRDWEFLNALGNCRSLNELILSSNQLQGSIPRSIGNLSSSLDILYLFANNLSGQVPHCIGKLSALTYLVLGRNNLSGPIEGWIGNLRGLQLLSLASNRFTGSIPSPIGKLSQLTVLSLGENKFEGLVPPNFGNLQGLTYLNLSHNNLQGEILLQISNLKNLTLLDLQSNRFTGV* >Brasy5G049800.1.p pacid=40075784 transcript=Brasy5G049800.1 locus=Brasy5G049800 ID=Brasy5G049800.1.v1.1 annot-version=v1.1 MATGRPDLLPVLLLLILLCLVSPELVVPIHGQAPDALGFISIDCGIDMAEGTSYPDSDPNLTMMRYVSDAGFVDAGAGSNANVSPLYVDPGLAARYLNVRNFPGGTGTRSCYTLPRLSPGAKYLVRCSFYYGNYDRINRPPAFDLYLGVNRWATVNVTAANSTYILEAITVLTAGFLQVCLVNIGLGTPFISGLQLRPVEALMYPEATVNQTLLLLSLRPPAARFPFNRYYFWRSPKVYRYPFDPCDRLWQSYVDVAAWTNITTTATVDVSNSSSFAKPPSLVLQGAATPVNGTRLDFSWSTDPSLDNNSSSSTTYLLLFYFAELQQLPSSVLRRFDILIDDASWDGSRNYTPKYLSSEVMKRVVVQGLGKHAVSLVATPDATLPPILNALEIYSVQQMTELGTNNVDAESMMKIRKAYVLKKNWMGDPCAPKAFAWDGVNCSYSSSDPAWITALNLSSSVLTGAVDSSFGDLKSIQDLDLSNNSLSGPIPDFLGQMPSLVFLDLSSNKLSGPIPTALQEKRQNGSLKLRIANNANICDNGASTCDLDDKKNNMKHIIAIAVPIAVAAVLFVAAILILHIRRNKQGWIPDTWMANNSRLNSPRQSSNLFENRQFSYKELKLITANFREEIGRGGFGAVFLGHLENKNTVAVKIRSKTSSQGDKEFLAEAQHLSRVHHKNLVSLIGYCKDKKHLALVYEYMHGGDLEDCLRGEASVATPLSWHRRLRIALDSANGLEYLHKSCQPPLIHRDVKTKNILLTADLEAKISDLGLTKVFANESMTHITTQPAGTLGYLDPEYYNTSHLSEKSDVYSFGVVLLELITGQPPAVAVGDTESIHIAQWVRQKLAEGNIESIADSKMGMEYDVNSVWKVTELALRCKEQPSRERPTMTVVVVELNECLELEASRRMGNYRSVTSGANSLSATSADLHSDAQTSNLRQKRMLELGQVGDASSTRVGPTPR* >Brasy5G049800.2.p pacid=40075785 transcript=Brasy5G049800.2 locus=Brasy5G049800 ID=Brasy5G049800.2.v1.1 annot-version=v1.1 MATGRPDLLPVLLLLILLCLVSPELVVPIHGQAPDALGFISIDCGIDMAEGTSYPDSDPNLTMMRYVSDAGFVDAGAGSNANVSPLYVDPGLAARYLNVRNFPGGTGTRSCYTLPRLSPGAKYLVRCSFYYGNYDRINRPPAFDLYLGVNRWATVNVTAANSTYILEAITVLTAGFLQVCLVNIGLGTPFISGLQLRPVEALMYPEATVNQTLLLLSLRPPAARFPFNRYYFWRSPKVYRYPFDPCDRLWQSYVDVAAWTNITTTATVDVSNSSSFAKPPSLVLQGAATPVNGTRLDFSWSTDPSLDNNSSSSTTYLLLFYFAELQQLPSSVLRRFDILIDDASWDGSRNYTPKYLSSEVMKRVVVQGLGKHAVSLVATPDATLPPILNALEIYSVQQMTELGTNNVDAESMMKIRKAYVLKKNWMGDPCAPKAFAWDGVNCSYSSSDPAWITALNLSSSVLTGAVDSSFGDLKSIQDLDLSNNSLSGPIPDFLGQMPSLVFLDLSSNKLSGPIPTALQEKRQNGSLKLRIANNANICDNGASTCDLDDKKNNMKHIIAIAVPIAVAAVLFVAAILILHIRRNKQDTWMANNSRLNSPRQSSNLFENRQFSYKELKLITANFREEIGRGGFGAVFLGHLENKNTVAVKIRSKTSSQGDKEFLAEAQHLSRVHHKNLVSLIGYCKDKKHLALVYEYMHGGDLEDCLRGEASVATPLSWHRRLRIALDSANGLEYLHKSCQPPLIHRDVKTKNILLTADLEAKISDLGLTKVFANESMTHITTQPAGTLGYLDPEYYNTSHLSEKSDVYSFGVVLLELITGQPPAVAVGDTESIHIAQWVRQKLAEGNIESIADSKMGMEYDVNSVWKVTELALRCKEQPSRERPTMTVVVVELNECLELEASRRMGNYRSVTSGANSLSATSADLHSDAQTSNLRQKRMLELGQVGDASSTRVGPTPR* >Brasy5G035100.1.p pacid=40075786 transcript=Brasy5G035100.1 locus=Brasy5G035100 ID=Brasy5G035100.1.v1.1 annot-version=v1.1 MDSLKKKMHQMEFQESIDVLHEQPTLPFYRQGSTLRPRFRPEEARRTTGVLAGREDCRGRGGAVPAEAPHPTVGDEEGGRSRADDAAAGGGLPGPRASARSGGIPTSARRNLAGCCGSSAQTRFQNLCNRDLALCCFI* >Brasy5G010700.1.p pacid=40075787 transcript=Brasy5G010700.1 locus=Brasy5G010700 ID=Brasy5G010700.1.v1.1 annot-version=v1.1 MKIKALLTSAGINIALCVLFLSLYSVLRKQPANVRVYFGRRIAEEHSRLRGAFILERFVPSTGWIVKALQCTEEEMLAAAGLDAVAFNRMLVFSMRIFSLAALLCVFGILPLNYFGQNIPHLRIPSEQLDIFTIMNVEVKSRWLWVHCVVIYIISGVACILLYIEYKHIARLRLLHLRRPTPNTGQFTVLVRGIQKTFKESCSNDVDDFFTKYHAPSYLFHQVVYKSGKVQKMMAGAKKAYRKFKHFKDTTVDQSCGAISYRCCLCGASSNSFQLLPTEFGQNTEKADLNDSSLNIDDEECAAAFVFFKTRYGALVAAEVLQTSNPTKWVTDLAPEPDDVYWSNIWLPYKQLWIRRIATLLGSIVFMFVFLLPVTFIQGLSQLEKLQQKLPFLKGILKTRYMNELVTGYLPSVILQIFLYTVAPIMILFSTLEGPTSHSERKRSACCKVMYFLIWNVFFVNVLSGTVINQLNFLSSPKDIPIQLARAVPGQATFLITYVLTSGWASLSSELMQLFGLIWNFIRKYILRMKEDTEFVPSFPYHTEVPKVMLFGLLGFTCSILAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGIKKSPVAAGFTIPLIILTLLFNQYCRNRLLPLFKTFPAQDLIDMDREDERSGRMEHIHHGLHSAYCQFPDTEDIQLEQITTVGNDEDRGCGSTESDGKADGEEQEEDLCCGSTESDGKADGEEQEARRDLSHPTLKGLPISRLQTAVRSITFLIRLQKRGLS* >Brasy5G206500.1.p pacid=40075788 transcript=Brasy5G206500.1 locus=Brasy5G206500 ID=Brasy5G206500.1.v1.1 annot-version=v1.1 MIRRRNPLSLPSPAAALDDDDLLREILLRLLPSPSSLPRAAAVCKRWRGIVLDDETGLFPRRFRAHHHHRKNPPPLLGFFVRKENRLSFVPTMDPPDRIPAARFPSPPPPQIPDPCRLIGFRHGLAFFFSWWARQAILWDPLTGRLRRVSFPSSPLMHDDGGAWTRLVNAAAVCTAAAGDVGHVHGACLLSPFKLVVVGHDDGFERAFACVYETESGEWGDVASVRADAMIAPFKKSVLVGNKLYWLLSGGSILEFDLESQGLVVIEKPTGTYCTNFCLHFQILRTENNGIGLAICSELNIQIWERKSNSEAVVEWVLQKDVQLDELLPPRLDALNRPAWVLGYDEGTNVIFLSMCRGDFMVQLNSMKFTDISKICHGGCDTYYPYTNFYATGGGICGGDGGTDMSNDT* >Brasy5G073000.1.p pacid=40075789 transcript=Brasy5G073000.1 locus=Brasy5G073000 ID=Brasy5G073000.1.v1.1 annot-version=v1.1 MEPVRRQPGAPQPQYGISDMLFSVEINHGGFFCGFGMNKSYNLDDGLRIIDRDIDTLGMASVVPKFQLFSLYVDHKDDLYSNIVLDDVCIVGSPTLPAVISPMKPGINIPERSSPRAKRKIFARDVEEDSSASSSDDSGSEWFDSDNELQKDDDDLFEDCVDHDLRD* >Brasy5G252300.1.p pacid=40075790 transcript=Brasy5G252300.1 locus=Brasy5G252300 ID=Brasy5G252300.1.v1.1 annot-version=v1.1 MYAGKPSGPCKINTREKSFFCIHLIESFEEHVTIPCYVREKFNKFTSNIVTLQTNHWFD* >Brasy5G125600.1.p pacid=40075791 transcript=Brasy5G125600.1 locus=Brasy5G125600 ID=Brasy5G125600.1.v1.1 annot-version=v1.1 MPRDLSRSPPRRRRRSPSPLYRGRTGRRERVPSRSRSPYRPSYRRKSPSPSPPRRRKSRSPSERRYRRKRSRSVTSSPVAKSSPHLGPPENKNAIDKQKLEEEKKRRQKEAELRLLEEETKKRVEQAIRKHVEDSLNSEEIKREIQRRIDEGRKKIHEEVAAQIEKEKESALVEAQRKAEREKIEREELEKKLEEDRKKAEEALMKVAMEQQQKELERYQELERLQKEREEAMKQKQMEEQQQKQNQMKLLGKNKSRPKLSFAFGMK* >Brasy5G125600.2.p pacid=40075792 transcript=Brasy5G125600.2 locus=Brasy5G125600 ID=Brasy5G125600.2.v1.1 annot-version=v1.1 MIVCFILTMVTLVHCRRKSPSPSPPRRRKSRSPSERRYRRKRSRSVTSSPVAKSSPHLGPPENKNAIDKQKLEEEKKRRQKEAELRLLEEETKKRVEQAIRKHVEDSLNSEEIKREIQRRIDEGRKKIHEEVAAQIEKEKESALVEAQRKAEREKIEREELEKKLEEDRKKAEEALMKVAMEQQQKELERYQELERLQKEREEAMKQKQMEEQQQKQNQMKLLGKNKSRPKLSFAFGMK* >Brasy5G065800.1.p pacid=40075793 transcript=Brasy5G065800.1 locus=Brasy5G065800 ID=Brasy5G065800.1.v1.1 annot-version=v1.1 MNSMKQDTKTGVGWARPRRRRVVGNELLPRRIGGAATAAVETRTEGGISGETPSAELGGGADGQTLERNWKREEQHEIERGPPATHLAGESNSEQTSLCILPERTGPEKTTRRHRAPSQRLSNARRNVVHGRDSPDK* >Brasy5G065800.2.p pacid=40075794 transcript=Brasy5G065800.2 locus=Brasy5G065800 ID=Brasy5G065800.2.v1.1 annot-version=v1.1 MNSMKQDTKTGVGWARPRRRRVVGNELLPRRIGGAATAAVETRTEGGISGETPSAELGGGADGQTLERNWKREEQHEIERGPPATHLAGESNSEQTSLCILPERTGPEKTTRRHRAPSQRLSNARRNVVHGRDSPDK* >Brasy5G493200.1.p pacid=40075795 transcript=Brasy5G493200.1 locus=Brasy5G493200 ID=Brasy5G493200.1.v1.1 annot-version=v1.1 MDRGETGGCGGGRDGAVRGQGRRPRRGRAGAGREAETAATVAEPESARRGGRGGVEPEAPVRAPWWPWWWSPRPESARRVGRGGGAAAAAEPESVPRGGGGARFRAPGRPRQRIPSPRLAAAAAEPETWLDPRPGAASRGRRAAAVEVGAA* >Brasy5G313800.1.p pacid=40075796 transcript=Brasy5G313800.1 locus=Brasy5G313800 ID=Brasy5G313800.1.v1.1 annot-version=v1.1 MMSVFLLFQYCPCLSLEKKTKDTHLTPVLNSTSPCPSSRPWRVGSGAPSKPAPLRPTVAPVRSPLCRVAPPRRPAAPTAATPRRPAPPWLPSAPLRHPPAPAAPAKSLGSYFCYEN* >Brasy5G231600.1.p pacid=40075797 transcript=Brasy5G231600.1 locus=Brasy5G231600 ID=Brasy5G231600.1.v1.1 annot-version=v1.1 MGPPLPSQVLKNRTDAPGPSCHGVLYMPSKYSSKCTCCSTFDMLFCAALPHVVSSPIYVLMLKYNMFRHRNPCPIINLVSYTCSDAEVQSSLFFMPEYMKSQTYQWPPSFFFRE* >Brasy5G357800.1.p pacid=40075798 transcript=Brasy5G357800.1 locus=Brasy5G357800 ID=Brasy5G357800.1.v1.1 annot-version=v1.1 MDSGANSRNSVGESPPPASPPSHLVAKGRGLRRWRRIPREHHDDEVSPAGPVAAAAGGGGADEDSSQLHKRRLPVAADAPKGKQDAAAAEESPAASVESSFVPPEASPSPAPTKLDPDLGLLIASTGFSVGAGGADSDNSDDRTSKSSTALPRHDFSLGGFGRERDRARSRAPGATVHHGKNLRTARARGASARTASAASSTVEAENSRSSVESDLRSSNAAHARKSSAGIGSNGVHKFLHTDGEHSDEERPSEPLLSGAGGFYENGSVVGRTGIENGDSYVNNHIFHESSIGEGENGGIHSGLDPYTESIGMLHSAQEALENEIQKFVEIGKDGIENSTTNYNGNEWSSSPNGEEFAEELGEKLKILESKLEETSLLISEKLDALNQTQPREAMLLQSEVDQLLMEKMEAEIQCFIMKRASEAWQPQTGDNVSLYEAQKSLSEDHKQLEVKLRHTESRAMTLEAMVEKLESQCKELSSTSEVVKLQARATRASLFCAIQLVLLCIAVGTFLARVFPSPPEFIPT* >Brasy5G387600.1.p pacid=40075799 transcript=Brasy5G387600.1 locus=Brasy5G387600 ID=Brasy5G387600.1.v1.1 annot-version=v1.1 MNFAMHVMCDAASCRVYIILKMPVYMCAFTSLICCCPKEIMLVVEAGSLTKEVPRICRAVRLNARDVAAFLAVALPPSNKAFTRVSPLPPKFFFRKMRVKWMLMQQPPKIEICCYLLVLIFLIDHEKH* >Brasy5G308500.1.p pacid=40075800 transcript=Brasy5G308500.1 locus=Brasy5G308500 ID=Brasy5G308500.1.v1.1 annot-version=v1.1 MGRRMRHAIAALGVACAAAVVVAVGAADRGFSQAAAAAAEVQPEEVSLLNKIANFLWQSDGNSYHHVWPPMEFGWKVVLGTLIGFFGASFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMITGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETILKREAAKRLEQTSEEPEYAPLPTGPGAAADAKIPSDEAPSLMKNIYWREFGLLTLVWLSFLAIQITKNYTSTCSTWYWILNFLQIPVSVGVTLYEALGLMNGKRVLSSKGGEQTTLKFHQLCVYCFFGVTAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSASMSVVEYYLLNRFPVPYAVYFVAVAFIAAIIGQHAVRKLISWLGRASLIIFILAFMIFVSAISLGGVGVSNMIHKIQRHEYMGFENLCKYDA* >Brasy5G120800.1.p pacid=40075801 transcript=Brasy5G120800.1 locus=Brasy5G120800 ID=Brasy5G120800.1.v1.1 annot-version=v1.1 HGFPPFLPRAADCSRDGSPPQPPEAPPRRYLTAVCHHVQVPLYPGPQSSRFASTPHPCRPPPSNPSPPTNRCPGAPPQPTACCHKGDCNLQSTACCNFPGEAPRSFVRGSSPNSSR* >Brasy5G058500.1.p pacid=40075802 transcript=Brasy5G058500.1 locus=Brasy5G058500 ID=Brasy5G058500.1.v1.1 annot-version=v1.1 MEADWSALPHDVLAAIFARLPVDELLLSAPGGAKDPATWRSVDMSVMPLKYYSRFNDEQLCAIAMAAVDRSRRSGESPSLKSLAVTGSNGVTSQGLEAVVDGCPRLERLVVFQCPDVLVEEALLAKCKHIRVLCLLPSIEQPKVYRRPAGGGDD* >Brasy5G315400.1.p pacid=40075803 transcript=Brasy5G315400.1 locus=Brasy5G315400 ID=Brasy5G315400.1.v1.1 annot-version=v1.1 MESAELTKLVFSRVQKVDPDNVCKIVGCILLREPDEDYMVQLAYGPDATLVATVVDAKATLTAIYARCSSSSAAHHQHHYQQHHLCSPHPGLRHFSPAAFSFQYWAAADSGSPAAAAAAAEKDHYAFVDAAAAAESPYGGDHLDGGGGGGYCSYAATSPGGGAAGGPRRRSNNGRRPCHYFFKGICKNGQNCHYSHHQVYSADMEHHGGHHLQGSNGNGGGTTPGSLERLEVEITELLHSRRGQPVSIASLPTLYGEMYGKGLQADGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAAKYTDCRNDRGGDMPASSHQIYLTFPSDSSFTEDDVANYFGQYGPVRDVRIPCQDQRMFGFVSFQNPETVTTLLTRRNPHFICGSRVLAKPYREKTKCISERTKPMTQCYPQRWFETDPEFYPEYDSPRLARKQLVEKRDSRLLELERRHLAGLRVEPHVAYFDCSIRDVGPFNSQSAAAKELGLMDPLVTPAPIKASNNYDDQESNQIELPESPFASSAPAGNSI* >Brasy5G294700.1.p pacid=40075804 transcript=Brasy5G294700.1 locus=Brasy5G294700 ID=Brasy5G294700.1.v1.1 annot-version=v1.1 MHKLGEKDGVAGGGGRVGGAARCGGHRGQAGREGGRRVEPVGPQGRIVMINWTLDFGGSKVRANWYQNEGRFGGSESRPAPTCRPQRAAQHEPSF* >Brasy5G226200.1.p pacid=40075805 transcript=Brasy5G226200.1 locus=Brasy5G226200 ID=Brasy5G226200.1.v1.1 annot-version=v1.1 MGDTTTRNRTYPARSEDTDAGERSPASEEQVLYYEGCPGCVMDRKKERNTGTPYKEFFYVGVTTFASALPISSLYPFLYFMIEDMRVAKNEQDIGFYAGFLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMFTVVIFNTLFGLSVKYWMAITTRLLLGSLNGMLAPIKAYSVEVCRPEHHALGLSVVSTGWGIGLVAGPAIGGYLAQPAKQYPNLLSEKSIFGRFPYFLPCLIISLVALAVLISCIWLPETLHMHKNLEREVEMVDGSTAAPHREAPHKEKSLYKNWPLMSAILAYCVFTLNDTAYSEIFSLWAVSDRKYGGLSLPSKDVGQILAVSGAGLLMYQLFMYRHVHRYLGSIISSRIAAALSIPLIAAFPFMTHLSGTRLGLAIYFAAAIKGALATTILTGTCILQNSAVSQSQRGAANGISTAAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNIVEVIGLVMTFKPFLTVPKQHELK* >Brasy5G226200.4.p pacid=40075806 transcript=Brasy5G226200.4 locus=Brasy5G226200 ID=Brasy5G226200.4.v1.1 annot-version=v1.1 MGDTTTRNRTYPARSEDTDAGERSPASEEQVLYYEGCPGCVMDRKKERNTGTPYKEFFYVGVTTFASALPISSLYPFLYFMIEDMRVAKNEQDIGFYAGFLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMFTVVIFNTLFGLSVKYWMAITTRLLLGSLNGMLAPIKAYSVEVSTGWGIGLVAGPAIGGYLAQPAKQYPNLLSEKSIFGRFPYFLPCLIISLVALAVLISCIWLPETLHMHKNLEREVEMVDGSTAAPHREAPHKEKSLYKNWPLMSAILAYCVFTLNDTAYSEIFSLWAVSDRKYGGLSLPSKDVGQILAVSGAGLLMYQLFMYRHVHRYLGSIISSRIAAALSIPLIAAFPFMTHLSGTRLGLAIYFAAAIKGALATTILTGTCILQNSAVSQSQRGAANGISTAAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNIVEVIGLVMTFKPFLTVPKQHELK* >Brasy5G226200.2.p pacid=40075807 transcript=Brasy5G226200.2 locus=Brasy5G226200 ID=Brasy5G226200.2.v1.1 annot-version=v1.1 MIEDMRVAKNEQDIGFYAGFLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMFTVVIFNTLFGLSVKYWMAITTRLLLGSLNGMLAPIKAYSVEVCRPEHHALGLSVVSTGWGIGLVAGPAIGGYLAQPAKQYPNLLSEKSIFGRFPYFLPCLIISLVALAVLISCIWLPETLHMHKNLEREVEMVDGSTAAPHREAPHKEKSLYKNWPLMSAILAYCVFTLNDTAYSEIFSLWAVSDRKYGGLSLPSKDVGQILAVSGAGLLMYQLFMYRHVHRYLGSIISSRIAAALSIPLIAAFPFMTHLSGTRLGLAIYFAAAIKGALATTILTGTCILQNSAVSQSQRGAANGISTAAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNIVEVIGLVMTFKPFLTVPKQHELK* >Brasy5G226200.3.p pacid=40075808 transcript=Brasy5G226200.3 locus=Brasy5G226200 ID=Brasy5G226200.3.v1.1 annot-version=v1.1 MIEDMRVAKNEQDIGFYAGFLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMFTVVIFNTLFGLSVKYWMAITTRLLLGSLNGMLAPIKAYSVEVSTGWGIGLVAGPAIGGYLAQPAKQYPNLLSEKSIFGRFPYFLPCLIISLVALAVLISCIWLPETLHMHKNLEREVEMVDGSTAAPHREAPHKEKSLYKNWPLMSAILAYCVFTLNDTAYSEIFSLWAVSDRKYGGLSLPSKDVGQILAVSGAGLLMYQLFMYRHVHRYLGSIISSRIAAALSIPLIAAFPFMTHLSGTRLGLAIYFAAAIKGALATTILTGTCILQNSAVSQSQRGAANGISTAAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNIVEVIGLVMTFKPFLTVPKQHELK* >Brasy5G456100.1.p pacid=40075809 transcript=Brasy5G456100.1 locus=Brasy5G456100 ID=Brasy5G456100.1.v1.1 annot-version=v1.1 MARDDIRLLISMRLFFLLLALSVATGAWGWGWDPTSGFVGVPLTDAWQLPVQRPYDVPLEQRYELTGGGSTRRLWVYCTDKPHSPASHTNPRTEIRMQNYSSGVWQFEAYAYVPAGTTGVSVMQVFGAGAGEDHTTTLMLHVYGGALRYYDQQLVEDGVYDRWFRLNVVHDVGGAGTLAVFVDGEEKLRVAGRGGDAHFFKFGVYAQANPSHLMESRWRDVRLFRKP* >Brasy5G194200.1.p pacid=40075810 transcript=Brasy5G194200.1 locus=Brasy5G194200 ID=Brasy5G194200.1.v1.1 annot-version=v1.1 MTLVDLIDFGDEEYCVKVDEVVDLSSDEDSVEDGHVEEDDNVAQPKKAAEAASSLSISEHDATATTSLSKLEQEQGATTSLSMVERRPPTSSLMADEAKQPEFQEILVASDGAEEATQFGNHELTAVANCVGEAMQNIVEASDFELRPEVSQDIVIVPPSAQNKEKQVNTSAAECSKQLSILHTKEVQPIVHDSGFSVMGNTGNGEVVMCKYQGCSKPSQGNTMHCEVHSGGSEGCMVQGCTKDALEDTPLCISHHSRLRKVCCAETGCTNIPCTSSGGRTDRCVKHGGGKRCKYDGCRKGAQGKTDFCIGHGGGRRCKFEGCPKSAPWRSDYCLEHGGGCCKFQGCSVGATCRTDFCSMHRKILLSGNYTAHEMQPTPLPKCWAKKARRNSCPVIGGGAPKRQNTNCYVDNTKSFNAFEMGEESRRVSVCEDNMLKAQQTTRETNSDQDGSTMA* >Brasy5G315800.1.p pacid=40075811 transcript=Brasy5G315800.1 locus=Brasy5G315800 ID=Brasy5G315800.1.v1.1 annot-version=v1.1 MWSFPSLGKKNPPNWTSSNADCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVTKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSSTHSEQHTSCLSSGSRANANTCTSNPIRRLPPPRVETSSSTVNHANRRAPLLNTEMVQASLRKSLSFLVHLTAKFPLVFIFVLIVLYAIPASAAVLLLYIIITVLFALPSFLILYFAYPSLDWLVREIFA* >Brasy5G315800.2.p pacid=40075812 transcript=Brasy5G315800.2 locus=Brasy5G315800 ID=Brasy5G315800.2.v1.1 annot-version=v1.1 MWSFPSLGKKNPPNWTSSNADCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVTKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSSTHSEQHTSCLSSGSRANANTCTSNPIRRLPPPRVETSSSTVNHANRRAPLLNTEMVQASLRKSLSFLVHLTAKFPLVFIFVLIVLYAIPASAAVLLLYIIITVLFALPSFLILYFAYPSLDWLVREIFA* >Brasy5G486900.1.p pacid=40075813 transcript=Brasy5G486900.1 locus=Brasy5G486900 ID=Brasy5G486900.1.v1.1 annot-version=v1.1 MGLHSFGLRTLFTSAEHHRPIIRPNQSRLSAQNYTPSLPSPLGPPLPPVVVVVSTTREIFFSSFPSQWCSAFPSSLLLLTLPRRHRAPIEQQQQQTILSLPTSSPATPLLRAIALPGKMSSQGGSTAVMGKGHHRAHIQTLVREGSLYNLTLSEVESHLGAPLLSMNLDDLVRSVLPDDTSLPIRNGFGNSGSQNTPSSGLERQGSSITVPPALSKKTVDQVWRDIQQDQESSDDEERSSGCEAQLSFGEMTLEEFLHRVGIVSEQHQKDADELSGRVGTGKDSNLMTKVQDFPQGTSPIDAFIIRQSIAQPLSVAIPSTMDAIYPDGQMSISPSLALSDLQTPTRKRMSSEDVVYKVVDRRQKRMIKNRESAARSRARKQAYTNELECKLSCLEEENKGLKREKELDRLLKSAPPPPEPKTLLRRARSASF* >Brasy5G486900.2.p pacid=40075814 transcript=Brasy5G486900.2 locus=Brasy5G486900 ID=Brasy5G486900.2.v1.1 annot-version=v1.1 MGLHSFGLRTLFTSAEHHRPIIRPNQSRLSAQNYTPSLPSPLGPPLPPVVVVVSTTREIFFSSFPSQWCSAFPSSLLLLTLPRRHRAPIEQQQQQTILSLPTSSPATPLLRAIALRKMSSQGGSTAVMGKGHHRAHIQTLVREGSLYNLTLSEVESHLGAPLLSMNLDDLVRSVLPDDTSLPIRNGFGNSGSQNTPSSGLERQGSSITVPPALSKKTVDQVWRDIQQDQESSDDEERSSGCEAQLSFGEMTLEEFLHRVGIVSEQHQKDADELSGRVGTGKDSNLMTKVQDFPQGTSPIDAFIIRQSIAQPLSVAIPSTMDAIYPDGQMSISPSLALSDLQTPTRKRMSSEDVVYKVVDRRQKRMIKNRESAARSRARKQAYTNELECKLSCLEEENKGLKREKELDRLLKSAPPPPEPKTLLRRARSASF* >Brasy5G472600.1.p pacid=40075815 transcript=Brasy5G472600.1 locus=Brasy5G472600 ID=Brasy5G472600.1.v1.1 annot-version=v1.1 MHTARVRFAEGGPEHEVSVGCAASSSAGAGEELWVSVDGKRAVQARRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFRDPPGCAVVMLRARSALESRLWLEEEGAAPGFSLVVQAFRTPP* >Brasy5G337700.1.p pacid=40075816 transcript=Brasy5G337700.1 locus=Brasy5G337700 ID=Brasy5G337700.1.v1.1 annot-version=v1.1 MMELNNSEQDEHEVNLELTLAPAAPAAPEPRGFFFCVYCDRKFRCSQALGGHQNGHKLERSLAKRRREIAAATRAHGAGAPSAAQDHARAREYGAARDLLMPAATGKAAAAPVPGMARKRGRSLSEHGYGAIERADDEVDLSLRL* >Brasy5G413900.1.p pacid=40075817 transcript=Brasy5G413900.1 locus=Brasy5G413900 ID=Brasy5G413900.1.v1.1 annot-version=v1.1 MPGLLYGATEVSKEGPSQSSLRQQLPKRDMFKILAPLLWHSFAQFPSYSNLEEVHVQEFASVVVALLCTIFELLEVTVAIYPVLSPPNVAAAASNRVCNVLTLFQVDTFIVQKVLLDDVGLGYVCATPERFFEMGCALANMVILLTVTLPRGY* >Brasy5G432200.1.p pacid=40075818 transcript=Brasy5G432200.1 locus=Brasy5G432200 ID=Brasy5G432200.1.v1.1 annot-version=v1.1 MASASSASLRHLLLLRPLRPARRLLCVSSSSSSSSMLTHGDSSSAAASSVGVVELNPPRGTRDFPPEDMRLRTWLFDNFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAEAELLQAVVLLFQRLGITSSDVGIRLSSRKVLQAVLNMYTIPEHLFTQVCVIVDKLGKLSREDIEKELITTGLSSEAVQGIIEVLSLKSLSKLEEVLGPGVEAVSDLKKLFSFAEQYGYANWICFDASVVRGLAYYTGIVFEAFDREGELRAICGGGRYDKLLSTFGSEDVPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEDLEEPASSVASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGKSEWERGMVRVKILSTREEFEVSAGELQ* >Brasy5G355000.1.p pacid=40075819 transcript=Brasy5G355000.1 locus=Brasy5G355000 ID=Brasy5G355000.1.v1.1 annot-version=v1.1 MLTDFDLSLRCSVSPTVIRSANPGLDAMQRNNAAYCVQPACIQPSCVAPTTCFGPRFFSKSKSKSKSKKEKSKPDAVTQGNLFPEMIAEPTDARSMSFVGTHEYLAPEIVKGEGHGSTVDWWTFGIFLYELLFGKTPFKGSCNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGHKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPAASQKGSDNYLEFEFF* >Brasy5G322900.1.p pacid=40075820 transcript=Brasy5G322900.1 locus=Brasy5G322900 ID=Brasy5G322900.1.v1.1 annot-version=v1.1 MAAVSSRRTLGWSLVRRETASGVDGFFAGPPALAPPATAGLQQQEQPGPGPSAMAARITPAVLFITVVLAVVLLVSGLLHILRRLFLKSHRANARAEAVERQLQQLFHLHEDGPGLDQAAIDALPCFAYGELELSLGADAAKEGEEDSDKKKKKGTRPFDCAVCLCEFAADDRLRLLPLCGHAFHVACIDTWLRSSATCPLCRTQALSASAHALAAPPTADTDTPSAVLEPGGTGVEEQQKQDDDASKEDAAFPGSVVLPVRLGRFKNVQGGGADGEASGGSSRRLDARRCYSMGSNYRYVLAEDNLVVSVRWRPGDSASGAAPGGGVATAAARRSEQQGKKVCAASRGDSFSVSKIWQWRGSGRRLPALGRADGSQSPPPDDGLPWAQAPARTRSARQEIDA* >Brasy5G117600.1.p pacid=40075821 transcript=Brasy5G117600.1 locus=Brasy5G117600 ID=Brasy5G117600.1.v1.1 annot-version=v1.1 MIHTESKQHEPACAGGHHLLPAPPGLRDGEKHRHREPLLDGPDGRVEQLDHVDDAHLVLLEQLPVAAAGGDERRQRPRGGVPLGDEFGVQHPDEPRHGVADLLPGLAGVAAKLGQRHGRLRAGDGPLAAQRGHDVADEAGGDGDLGDVAEGRHVAEQPERRREARLVVAAAAEEDSRKSLTQPSAPSWKASTLSLWPSSPSLAVRFWRHPRASSCARGVPRETSCTSKGSAPSSRNDGRRSGNAARLRSTPAAAAARSAATPPRAATAAAASREEAVSGSSATQACSALAPPDSSARQRWGTTVADSCARERRQAASLEEAKAAIRETALSREEGRRSTESSMAATAETAAAEEDAGTDPTDSASESSAAAREAAEPRRRRGRRLSGSDPPRASAPSETSRRASAASWEREAAEEERRETVWRWPRGGPPQTERRAERAEARGARSGAEGGKAAWEREAETEEREAEMEGQWRRKAGEEAAAAGSEARRRRHSARASPDSGGGGGGCIFVKGGSAGEVAGGEGNGRRARGLRNCAESARHTTKAAGPTTLYCH* >Brasy5G019300.1.p pacid=40075822 transcript=Brasy5G019300.1 locus=Brasy5G019300 ID=Brasy5G019300.1.v1.1 annot-version=v1.1 MEAPPPPLPAAFDNDDCCAVDLSLTLAPLPVPQPSPSPPRPRPSPSSGNSGVRLFPCLFCNKKFLKSQALGGHQNAHKKERNVGWNAHLYTAPFQVSNTAVDADHAMPTHQLHPIQASHSCHHRGYLAGGSKTPGGSGGWRLDGDDGEKQQLSRKVCSRLAAWTAGYILVQGSVIYSAGVHVCSHVVLSVPNVVLVNNGGQSSEVVAVNLCFCRRKYTSWSLIT* >Brasy5G244900.1.p pacid=40075823 transcript=Brasy5G244900.1 locus=Brasy5G244900 ID=Brasy5G244900.1.v1.1 annot-version=v1.1 METMLYPCSPLLSFPTHEESNFFLWSPQVALHENATIHVDHSTDQQQDCGFLDTLSLDYSNDWHRQDASDVDVFINCDERIWGPENSNLVAIQEELMEENSLTDLLLTGAEAVEAGDSRLATAVFSRLDGLLLGIPENTAVGSFDRLAYHFAQGLRSRLSSANTRCPPPEPLPSDRMSVQQIIQELSPFAKFAHFSANQAILDATKGDLDVHVVDLNIGEGVQWPSLMSDLASHGGRSFYLTAITTDADYSDAIHQASARRLSEFANSLKLPFQYNSLHIHSDEDLHDFSKSCNGPVIFSCDTTSMPYKSLSKLRTILPGCVKMLRPKLMVIVEEELVGIGKEASVCNVSFVDFFFEALHHFTTVFESLASCFGGGNHGLCLRLVERDMVGPRIQDFVEHYGPVALGANAPGVLERYGACEMSASNVAQARMLVGLFNRGFGVVHEKGRLVLCWKSRPLTSVSVWAPI* >Brasy5G382400.1.p pacid=40075824 transcript=Brasy5G382400.1 locus=Brasy5G382400 ID=Brasy5G382400.1.v1.1 annot-version=v1.1 MDASGTQAAAAGGEGTQRTLYPYVTGNSVIAMKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGGSGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHAYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEDNHLATGFGNHMAIPILRAEWREDMTFEEAIKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYALKTNWGFSAFENPSKGAVGTW* >Brasy5G002400.1.p pacid=40075825 transcript=Brasy5G002400.1 locus=Brasy5G002400 ID=Brasy5G002400.1.v1.1 annot-version=v1.1 MVSRPIAPWADDKFLTWFTTAKVLTSASRAKNVLSLTIWRIWRMRVFNGARPKCRTLVNLILNEAELWQLADACLKQKIICSYELHQWTPDNYTNRNLCLFWNL* >Brasy5G247600.1.p pacid=40075826 transcript=Brasy5G247600.1 locus=Brasy5G247600 ID=Brasy5G247600.1.v1.1 annot-version=v1.1 MAAAAHAKLTALLIPLLASIVLVSGAAAASVSSGPARPPLADRVRLASASLFSQTAGGEGQQQQGWLMECWGAVAELRACTDEMVLFFLNGESYLGRACCIAIRTVTAHCWPAMLDAVGFTAREADVLRGFCDAEVNAGSPPPPSPTIAPPSPPAPAPAKHT* >Brasy5G110000.1.p pacid=40075827 transcript=Brasy5G110000.1 locus=Brasy5G110000 ID=Brasy5G110000.1.v1.1 annot-version=v1.1 MAHFSSSGLLALAVAVTLFAGVWCAPKVTFTVEKGSDPKKIVLQVKYDKEGDSMAEVELKQKGSNEWLAMSKCKDTGAWKYESPEPLKCPLNIRFQSEKGMRNVFDDVIPENYKVGSTYAPQEY* >Brasy5G371200.1.p pacid=40075828 transcript=Brasy5G371200.1 locus=Brasy5G371200 ID=Brasy5G371200.1.v1.1 annot-version=v1.1 MATPRTMRAVQYDKYGGGADGLKHVEVPVPSPKEGEVLLKMEAASINPIDWKIQKGMLRLFRLPSKFPFTPVSDLAGEVVELGSGVSNFKPGDKVISISFPHGGGLAEYAVAPASLTVARPAEVSAVEGASLPTAAGTALQLLKAVGVGFDGGPPSSGPKNALVTAASGGVGHYAVQLAKLAGVQHVTATCGARNAGLVAGLGADEVLDYRTPEGAALRGPSGRRYGAVAHCATGVPWPVLRAALDDGGGTVADVTPGIGTAVTSLLQKVTLSKKRLVPLMLAPKKEEMEWLADMARQGKLRTVVDSRYPLSRAQEAWAKSMEGHATGKIVVEIGGAD* >Brasy5G296400.1.p pacid=40075829 transcript=Brasy5G296400.1 locus=Brasy5G296400 ID=Brasy5G296400.1.v1.1 annot-version=v1.1 MDDAGDGGATAVGAKKKPATAAAKGKATGKGKASSKASPEAESSLKQKSPAEFFAENKNIAGFDNPGKSLYTTLRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDQERVDEALYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKDAPAARGKGRGEASFFRVTCKDNGRGMPHEDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEINSSMKDQNYISFCRLDIDIHKNAPHVHVHEKRENKDHWHGAEIQVIIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLSDAPEKNLTIKFTRRTDVMPPVPLLTKHHPSAVDLLLLKRLIADTTKQNLLQFLQHEFVNIRKAHADRLIGEMGSGFSAETTVKSLTSQQLVRIQQLFREVKFDDPSGNCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGVSIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNKYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEGPREAIYLNSLEGSYKSVDFQSPTFVFRFIP* >Brasy5G296400.2.p pacid=40075830 transcript=Brasy5G296400.2 locus=Brasy5G296400 ID=Brasy5G296400.2.v1.1 annot-version=v1.1 MDDAGDGGATAVGAKKKPATAAAKGKATGKGKASSKASPEAESSLKQKSPAEFFAENKNIAGFDNPGKSLYTTLRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDQERVDEALYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKDAPAARGKGRGEASFFRVTCKDNGRGMPHEDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEINSSMKDQNYISFCRLDIDIHKNAPHVHVHEKRENKDHWHGAEIQVIIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLSDAPEKNLTIKFTRRTDVMPPVPLLTKHHPSAVDLLLLKRLIADTTKQNLLQFLQHEFVNIRKAHADRLIGEMGSGFSAETTVKSLTSQQLVRIQQLFREVKFDDPSGNCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGVSIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKPLSSAVSN* >Brasy5G522200.1.p pacid=40075831 transcript=Brasy5G522200.1 locus=Brasy5G522200 ID=Brasy5G522200.1.v1.1 annot-version=v1.1 MRRRRGGGGEGRGGGGEEAGRRRGGGGGYEAEAGRRRGGARRGGARRGGGGGDEAEAGRRRRRRAAGMRRRQGGGGEGRIRPWRRRIRPGRRRRWRRRRGGGGRERERERGPARARE* >Brasy5G449200.1.p pacid=40075832 transcript=Brasy5G449200.1 locus=Brasy5G449200 ID=Brasy5G449200.1.v1.1 annot-version=v1.1 MAVHHHLLRRGLAGGSPLSPLRGLFLVSQELGRRQASSAAAGDAAAELRGAREDVKQLLKDKHCHPILVRLGWHDSGTYDKNISEWPKCGGANGSLRFEIELKHAANAGLVNALKLVQTIKDKYAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVSAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDPSFKIYAERYAEDQDTFFEDYAEAHAKLSNLGSKFDPPKGISLD* >Brasy5G440300.1.p pacid=40075833 transcript=Brasy5G440300.1 locus=Brasy5G440300 ID=Brasy5G440300.1.v1.1 annot-version=v1.1 MAVQGIVDWRGRPVHPKRHGGVKAAMFIYFLIVMTNMGNIPTMLNMVSYLHGTMHMGIADASTTATNFFGALCVFSFLGAFLSDSYLTRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCAMANENYDCAPVSGKNLSLLTLGLYVIPVGEGALRVCAAALGGDQFDGDDDPRGKASFFNWYAFCISLGGFVGLVFLVWVQNNVGWDLGFALAALMALVGMLVLLAGLPLYRHQVPTGSPLTRILQVFVAAFRKRKLSLPEDWMEMHETCDGGASRSIEVLDRTPSFKFLEKAAVDDGDGRRWSLCTVTQVEEAKMILRMLPIFLSSVLGYVPIPLLLTFTVQQGGAMDTRIGFGKNAKIDIPPASLFVVPIVFQLLILVAYDRAFVPWLRRATGSAGGVTHLQRVGAGFASSVVALAVAAVVEARRRRISSSEPEMAMSVLWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIFFCILGVASWLGGFLVKAVNRATASSHGSGRGWLAGDSLADSHLDWFYWLLASFGLVSFLLYLCCASRYTYRLEHSNRMLETVQDDGKALTVLAKKAVV* >Brasy5G165800.1.p pacid=40075834 transcript=Brasy5G165800.1 locus=Brasy5G165800 ID=Brasy5G165800.1.v1.1 annot-version=v1.1 MAAPSPPRALRALCPRGSPPLARPRVPPRRSAHAARPRAPPLALPAPLGPACRRAALPRTPPLALPEPSSSGTMAVYIWLDDAVRAHLRWGAAGSTSTSSGSEHDASACLFGGCRAGAARPAGGTQGRAARAEQAAARGGEPRGQSAQGRAAWGTRGRAARAGESGAVARGAERRGGEPRGQSAQGRAARRHAGPSGAGRASGGARG* >Brasy5G445300.1.p pacid=40075835 transcript=Brasy5G445300.1 locus=Brasy5G445300 ID=Brasy5G445300.1.v1.1 annot-version=v1.1 MPTTSASPAAAHHRRRSRRRPPPPPRQPWCCSFGLDPLSATGRTPVPAPRPRAATKAPPPPPTAPLSRRIRSPGRVSPIDDLSTCAASACPSARLSSVSECPPPAPPLPVPPPPLPAVEKPRGTLRIRLVAKGLILEVDEVERVCRESRMIEKALRGGGGEVAVEGKVEVWAVREVVEMMLEEDDEDKAMRRLGRGGVERAISLLEVSFTLMFDRGVASCLKYLEAVPWNEPEEEKIKSLLSQYSSKNSASQDLLARLQPQNSSSSSELVSELIQSITKGTNNNARKELRTLVDGILSKTSIYIKGDKDLDKMNIYRTCHSCLNCLVELFEEASDLGPADQTAISVGKGPLERIYKQVEDLNWLLQILIERQMGEDFVDLWASQKILASMHGRVSPMVRYELSRISATIFIAMGSGKLHCTGEKRFSIFRTWFRPMLADFGWLRRCPKGLNMTTLEEGIGQALLTLSLMQQQELFMEWFEAFGQRGRECPNLMRAFQIWWRRSFIRPIVSG* >Brasy5G191000.1.p pacid=40075836 transcript=Brasy5G191000.1 locus=Brasy5G191000 ID=Brasy5G191000.1.v1.1 annot-version=v1.1 MLEVNKPQRRYSIVCSALGEKRDDMDRSQWPELVNSTTSPGHRTAPLATCIFCLWKRNG* >Brasy5G406700.1.p pacid=40075837 transcript=Brasy5G406700.1 locus=Brasy5G406700 ID=Brasy5G406700.1.v1.1 annot-version=v1.1 MESGDTKFDASQYAFFGNNVTEEVELGGLDDDDVFVEPVDEEYAPTYGRDPLEGGDVSSFTNVDDLAGAFSKLSRTVNEPKQPGIFSRGGSISGQSSAADWAQEPESLYWPTKPVLDAEQGLDNKNWWSQPPHPAHFTDSRLHRTSSSPQDAQYNPNEPILGPRPSPLQRMSSYPQQEPQYNTTEPIRGNGTMFAPALTQRPNGLIPPQMPLLRQQNGIQQSPPQFSQLHAQMLGPQHSPPQNLHMFGPRHPPPQMMGRFDPNFVMPDLSDPRARSMLHHGRQGLRYPPQGFEPNNMRMDNRFQRFRSRYMSTEEIENIARMQQAATQINDPYIDDYYHQACLARKSAGAQLKHHFCPTLIRDPSSRARSRDEPHAYLQVDALGRLPFSSIRRPRPLLDVEEASEPSDNITEKSASKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPNKNASLSPNDDLVFLRIVSLPKGRKLLSRYLELVPSGSELMRIICMAVYRHLRFIFGDLPSESSIAGTTTKLMSAVSTCVLRMDLSGLSACLAAIACSSQQPPLRPLGYAAGDGASVIIKSILDRATELLTDQHVASTYSIQNRALWQASFDAFFRLLMEYCMSKFDAVVHTVQMQPAAAAVIRSETPVELLRASLPHTNEYQRKQLLSFAQRTVPVNNSSSHGSGNVPMASESVQS* >Brasy5G350700.1.p pacid=40075838 transcript=Brasy5G350700.1 locus=Brasy5G350700 ID=Brasy5G350700.1.v1.1 annot-version=v1.1 MEIELPNIQKATSSDYWSLARNQYPSGKFPKVSIGIPVPRAGSVSRSRDAATIPASERNLSQATDGRSRPPKGYIASLRISQEAANHDGSATEALEAAPTKVSVSQPDNNVCEQTGTFSFGTRKEQGSQIDQLEKTLLVSSQGKRQVESADKTKPNSELLRMKLWEILGGTSQTKEAVASRNPEDIETSSLPKSRIANIPSSGNTKLYTSPVPDNIKTPDLLNCQTANHTKCKPSSDPIESDSDTPQVVEIRPVTRTLGRKRAPAASKQQDKSAKKPLSTTSRSAPKQKMLDNVFVFNEKCTPKTVGKSVTGNSGSLRNLGSSNKKAKVEHKKIHYSDRISDKTTQDDRGRHMPSQNAPSENKREKTTSFSSLSRTGKTAESCSRRPKREKMLNGIAEVGPRKMQISENLLAKALNGDEDKLSSQNILSKGKGNCSSLHQKENDSLNQDPDRSPQAEIAGGNNFNSPQSGAASPSHEPKTYPWDREASPQIHGTHGEKFASPLADR* >Brasy5G486700.1.p pacid=40075839 transcript=Brasy5G486700.1 locus=Brasy5G486700 ID=Brasy5G486700.1.v1.1 annot-version=v1.1 MAAMKAELTAGGGGDSFTEERLSGKLAKLSSSAASIQTLSNWCIFHRKRSKRIVDTWERQFNNATTDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYENGGEHGKKVVARLIGIWDERKVFGTRGESLKDMLGDNPPTLDKNGTSSNRSFNPSSVSKSAQKDSSTTIEKLAVCGMAEKIVTAYQSVLVQEEDTALNKCKTTVSVLEKMDKDIDDACTNDIQQTSSLICNLQEQEAILKECIEQLESVDAARITLINQLKEALSEQETKSELLRNQLQVARAETEHAVQLRQRFSAAHVTNGTGYSSSPLMTAFPSEQTATMMQSAAIRPISPQFPPHLATSLPATSSAAVDESKKIAAAMADKLASLSSKEQVLSSILASLAAEQAASKNGGSPSVELSKGPPGFAVPKRPEVENPSFAPAMCAPPFFGQLSQAQQIGAPPASLGGPQLSTQANQAPDFAVPKRPKLQNPSEGGDMCAPPFFGQLSQPQQIGASPAFLGGTTPLTQANQAPGSFAPPPLPFPPASPTPLHPFSQNAVFAMGGTFGMMASSMPPQLHNMLPPGFPSPSGPPVSAAQDQSPTQQQPSPQALQQSPTPTGFFPTSGMGIFPPVQVQQSPPAQQQ* >Brasy5G486700.2.p pacid=40075840 transcript=Brasy5G486700.2 locus=Brasy5G486700 ID=Brasy5G486700.2.v1.1 annot-version=v1.1 MAAMKAELTAGGGGDSFTEERLSGKLAKLSSSAASIQTLSNWCIFHRKRSKRIVDTWERQFNNATTDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYENGGEHGKKVVARLIGIWDERKVFGTRGESLKDMLGDNPPTLDKNGTSSNRSFNPSSVSKSAQKDSSTTIEKLAVCGMAEKIVTAYQSVLVQEEDTALNKCKTTVSVLEKMDKDIDDACTNDIQQTSSLICNLQEQEAILKECIEQLESVDAARITLINQLKEALSEQETKSELLRNQLQVARAETEHAVQLRQRFSAAHVTNGTGYSSSPLMTAFPSEQTATMMQSAAIRPISPQFPPHLATSLPATSSAAVDESKKIAAAMADKLASLSSKEQVLSSILASLAAEQAASKNGGSPSVELSKGPPGFAVPKRPEVENPSFAPAMCAPPFFGQLSQAQQIGAPPASLGGPQLSTQANQAPDFAVPKRPKLQNPSEGGDMCAPPFFGQLSQPQQIGASPAFLGGTTPLTQANQAPGSFAPPPLPFPPASPTPLHPFSQNAVFAMGGTFGMMASSMPPQLHNMLPPGFPSPSGPPVSAAQDQSPTQQQPSPQALQQSPTPTGFFPTSGMGIFPPVQVQQSPPAQQQ* >Brasy5G291400.1.p pacid=40075841 transcript=Brasy5G291400.1 locus=Brasy5G291400 ID=Brasy5G291400.1.v1.1 annot-version=v1.1 MGSRNLKWLQMSSVGLVSPCSGLCRWGRNTGAAGRRGYDKGTGPRGQEGKGGAGQAGRRGYGGIGRRRRVGKGSARLGGAAGRCGAGTKGRRRREGKGD* >Brasy5G439200.1.p pacid=40075842 transcript=Brasy5G439200.1 locus=Brasy5G439200 ID=Brasy5G439200.1.v1.1 annot-version=v1.1 MSMPSGSPAPATDRRLAVLLSHLRPSASPLAGGPGAPRANAERHQMMAAAAADCLVASPCAGGGTGESSGGGYCVFCEIVKGTLPASKLYEDDTCLCILDVKPLSVGHSLVIPKSHFSSLQETPPSVLGAICSKLPLLAKAITEATQCDAFNVLVNNGEEAGQVIFHTHVHIIPRSKDDGLWSSETYERNFLEDNQDTKNLVSCIKELLSSHEDYSTEGSTVPK* >Brasy5G439200.2.p pacid=40075843 transcript=Brasy5G439200.2 locus=Brasy5G439200 ID=Brasy5G439200.2.v1.1 annot-version=v1.1 MSMPSGSPAPATDRRLAVLLSHLRPSASPLAGGPGAPRANAERHQMMAAAAADCLVASPCAGGGTGESSGGGYCVFCEIVKGTLPASKLYEDDTCLCILDVKPLSVGHSLVIPKSHFSSLQETPPSVLGAICSKLPLLAKAITEATQCDAFNVLVNNGEEAGQVIFHTYERNFLEDNQDTKNLVSCIKELLSSHEDYSTEGSTVPK* >Brasy5G154700.1.p pacid=40075844 transcript=Brasy5G154700.1 locus=Brasy5G154700 ID=Brasy5G154700.1.v1.1 annot-version=v1.1 MDLVVGASSGAVKTLVGKLGSLLAQEYTLIQGVRDDIQYINDELASMQAFLNRTKRATSHDEQRQDWMKQVREVSYDIEDCVDDVNHRLGHEPRGTGFRIYVQKKWYLLTTLYARRCIATEIGNLKVRAQHVSDRRTRYGVENLTDKDGTNKPVGADDAPTDRVAPPPELIGTKPPVGVEDAIKELGGWFEEGKQSAEKRFLAIFGFGGLGKTTLAVELYRMFGDDFDCRASVQASQKFNHLMLLRSLVKQFHEQQAGASHTDLLDNIEEWEEKRLNDKLIDQLKHKRYLVLIDDIWSVSAWENINDSLPKILEAIGSVVVTTRFKSVAVACCRRNGHLYDHKPLTEKNSYKLFHQIIYRGSDNLTKGLSDDHIISHAPDNPAKAALDGQIISGAANNATKAASDDQFITGAVDNPNKAVSDAEIMSCTSDNPTEDARALLKKCGGLPLAIIVIGGLVASKLRSGTSSKTLGVHLGEVDKALSRGLGTHLSTEGVTKILDQCYNDLPADLKTCLLYLSMFPKGCFVSRKRLIRRWIAEGFIIEKHGKTIEEVAEDFFNELISRNLIRAVNNSSNGKVKNYQIHDMVLEYIVSKSSDENFITVVGGHWQTPFPSYKVRRLSIHRSAREEKETVERMKLSHVRSLTTFESFKALHSCLLKFEILQVLDLEACRDLSSNQLEKICKMHQLKYLSLRQTDIEEIPSEIGKLEYLEVLDIRETEVKELPQTVSGLKRMKHLLTGNKSKRKALILTEEITKMTALETLSGVGICGSSTIGMVGSTRRTMATTLFKAASSSSSKQVLQALEKLTNLKKFSLHILGKLEEADEVLLLSAIEHLSSCSLKFLAIDDGFTDFIDRSLSSSKAPPEHLHTLELSGKLCEVPKWIVSMHGLEKLTLSLTSLKTDTMILLAELPELFSLTFSLDATKSNNASALEILHKNTMNSGGEIFVPAGGFEKLKLLRLLAPLLPPLSFLEGALPMLMRLELRFRTADGVHGLENLASLRQVLLAVSSRAPKAAEEIKRLANKLENPPAVIVDEYNESSTEQ* >Brasy5G067400.1.p pacid=40075845 transcript=Brasy5G067400.1 locus=Brasy5G067400 ID=Brasy5G067400.1.v1.1 annot-version=v1.1 METEKPMKIFCKMNMSLNAAVRGDKVMLVTADSSDKSQHWIQDYSATGNLTDDDGRRAFALVNRTTGQAMVNINGEGPVQLAPYSGHVAVELNKLWSLGEERDDGFSNVRSLQNTYFTLNAMGGYPVKSGALLGTYRPQEYDHTYWKIVPVNDED* >Brasy5G067400.3.p pacid=40075846 transcript=Brasy5G067400.3 locus=Brasy5G067400 ID=Brasy5G067400.3.v1.1 annot-version=v1.1 METEKPMKIFCKMNMSLNAAVRGDKVMLVTADSSDKSQHWIQDYSATGNLTDDDGRRAFALVNRTTGQAMVNINGEGPVQLAPYSGHVAVELNKLWSLGEERDDGFSNVRSLQNTYFTLNAMGGYPVKSGALLGTYRPQEYDHTYWKIVPVNDED* >Brasy5G067400.2.p pacid=40075847 transcript=Brasy5G067400.2 locus=Brasy5G067400 ID=Brasy5G067400.2.v1.1 annot-version=v1.1 METEKPMKIFCKMNMSLNAAVRGDKVMLVTADSSDKSQHWIQDYSATGNLTDDDGRRAFALVNRTTGQAMVNINGEGPVQLAPYSGHVAVELNKLWSLGEERDDGFSNVRSLQNTYFTLNAMGGYPVKSGALLGTYRPQEYDHTYWKIVPVNDED* >Brasy5G223100.1.p pacid=40075848 transcript=Brasy5G223100.1 locus=Brasy5G223100 ID=Brasy5G223100.1.v1.1 annot-version=v1.1 MSLSATFRSTKIPRRTLPTKSVKAIALSPSRVPAAPASAASGDPSAAAGGKKKKKKKEEEEAAAAWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLTCLVEGFTNRSEACEFESKWKNISKKMTRKRSEPGMNAVLQHREAALGKVKTFLDCSRLDIKWHPS* >Brasy5G223100.2.p pacid=40075849 transcript=Brasy5G223100.2 locus=Brasy5G223100 ID=Brasy5G223100.2.v1.1 annot-version=v1.1 MSLSATFRSTKIPRRTLPTKSVKAIALSPSRVPAAPASAASGDPSAAAGGKKKKKKKEEEEAAAAWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLTCLVEGFTNRSEDEEDGSDNNTDVNVHEDKSNTNSSDGEPSVISLFKQHHASLNRSGRTSPRR* >Brasy5G465300.1.p pacid=40075850 transcript=Brasy5G465300.1 locus=Brasy5G465300 ID=Brasy5G465300.1.v1.1 annot-version=v1.1 MPTQAISSSASSSSALFAPLVRRPPAGARGPRDPPAAVPRRPGPLRRRLPPLGPRRAAAGADAAGAPPLDQQLPNPSLPKLPRRRIARPATTIRPADPVPGMPLLIGELVDVPEAGELRPIQFIVCSDDDLIVLMARGCPYPPPPLGPLIACCRPGSMSSWSTATCVAQERDYDLHYQDIAFHHGNVYGVNRTGDLYAHSISEDSGTGEMVVSDAKQVIKESDAWFGARLRQFFLVVSRGDLLLVKWIDDEMFTSSFEVFKADLEMSRWSEVSSLGDQALFVSGMCSKAVSTSSHGDYLRGNCIYFMDNDRRGAKICAMYDLRDNIYHKTTGTLQCRSHEPAWFFPHK* >Brasy5G015100.1.p pacid=40075851 transcript=Brasy5G015100.1 locus=Brasy5G015100 ID=Brasy5G015100.1.v1.1 annot-version=v1.1 MSRSVHMQLMNYQSFLRWSKIWRVDEHVIPSFRDETLLNHQAKLRKNMSKNTSLTPKQVQENH* >Brasy5G171200.1.p pacid=40075852 transcript=Brasy5G171200.1 locus=Brasy5G171200 ID=Brasy5G171200.1.v1.1 annot-version=v1.1 MAVVKDPCDAGYLVTVHLPPEEGLVGVVPSAYSVARYDFASALQGAARDAALHVFHAHHAALARIGMGEWPHYLEESGSSSTAPPPTFVPPQLVSTPVLPSLPPLVATFVPPPPPPPPSALPPLYLTVPPSTSDFSAPPRSLVQELLSQLDILQQRCSRLERENRDLAAALRDYTRPGMALNPLVLESSPSVTPDRVGYSIDQQSLATDEPSSQVGATLSSTAAPPLLDPTPRTPLGSPPVVPAPPSPDYTPASPEYTHASPDYTLASPDHTPGLADAVLTYSDFAELDTSLVSSQFTPSDFLHVPSDVTPSEHPLSSAPDSTAHGSDAAAPSSPPPVPSVFQSAPTSSLDPDLLLTCCVSPLVRED* >Brasy5G048000.1.p pacid=40075853 transcript=Brasy5G048000.1 locus=Brasy5G048000 ID=Brasy5G048000.1.v1.1 annot-version=v1.1 MLGIRAATVAMDIYNVEAAEILAKEALVLPITEAAPIYEKLLATFPTSAKYWKQYVEAYISAHNEDIAKQIFSRCLLTCPHINLWRCYITFIKRVNNKRGSEGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPAVTPQDESHRMTTIRKVYQRAILVPTSHVEQLWKDYDNFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIEDIDWNMLAIPPTGSYEEEQQCMAWKRLLAFEKGNPQRIDAATANRRISFTYEQCLMYLYHHPDVWYDFAMWHAKNGSTDSAIQIFQRAVKALPGSEVLKYAFAELEESIGAIQPAKAIYESLIAENANMTSLAHIQFIRFLRRTEGIEAARKFFLDARKLPGCTYHVYVAYATMSFCLDKDAKVAQNVFEAGLKRFMQEPGYVLEYADFLCRLNDDRNVRALFERALSLLSPEKSIEVWKRFVQFEQIYGDLSSMLKVEQRRKEALSRTSEDGLSSLEDTLYDVVSRYNYMDLWPCSSKELDYLSRQEWLAKNMFKKVGKSVILTGGATFDKANIGVGASAKTLPQPAKVVRPEISRMVVYDPRQMKGPVISTTSSGYTKEVDEIFKMLSPPIMSFITNLPAIEGPSPDIDIVLSVLLQSTLPTGHDVGKPSGPVTGSATNDILGPGKSGFNQNGHRPPRDGQPSKRKAIGRQDEEDAAAAAQNRAMPRDIFRLRQIQRSRGAGGPAQSGSAAMSSGSAFSGEQSANSSS* >Brasy5G203000.1.p pacid=40075854 transcript=Brasy5G203000.1 locus=Brasy5G203000 ID=Brasy5G203000.1.v1.1 annot-version=v1.1 MEGVVRHRTVEANGISMHVAETGPEVDAKGTVLFVHGFPELWYSWRHQMEHLAARGYRCVAPDLRGYGGTSAPPDVASYTAFHIVGDLVALLDALSLAKIDDGQTRIIYWHVFVVGHDWGALIAWYLCVFRPERVTALVNTSVTFMRSIMIRTGPGFVKPTDYFNSTYGPKFYMCRFQVPGVAEQQFMSADAKHLLKQVLCQCFSHGVACEENMDDDPSLPSWLTEADVDYFGAAFEKTGFTGAIHYYRNLDRNCELAAPWSDAKVQVPTKFIVGTGDIAYNFPGIHDYLHNGRFKEDVPLLEELVVIPGAGHFVQQEKAQEVSDHIYDFITRF* >Brasy5G042500.1.p pacid=40075855 transcript=Brasy5G042500.1 locus=Brasy5G042500 ID=Brasy5G042500.1.v1.1 annot-version=v1.1 MRNLSGQRKLTELVGTGWLRACDRGEAVAARCAGKSGGGRGRTLRGRRAVRRTAAAAASTATAGCMEDGAAHWRRAAVAEEEDGAGAWGEGRRAAAAAPRTATARCSTAAAVRTAVAARTAVAARRRRAAHGTKDAAATASDGEDHHVRSARGATLARDDAEEHAEEEGPTCGGAC* >Brasy5G122700.1.p pacid=40075856 transcript=Brasy5G122700.1 locus=Brasy5G122700 ID=Brasy5G122700.1.v1.1 annot-version=v1.1 MATPIPHAPPPNPHHLLLHRPPALIPPRRLRLPIRRLRIASAAAATATATASAAPDDIAQLNHRLRALVRRRDAASAASSASSPMDPVQAEAYLHMIRDQQRLGLRQLRRDPAGQKEERDSGVDDGDEEEEGGGRRKGMAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFVCISGEDGEDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRSLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQRRSPYTKPEAIDQFAAEFPYEPTPDQNQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSAGYQAMVLAPTVILANQHYDVMSERFSSYPDIKIAIFSGAQSKEEKDELITQIRSGHLQIIVGTHALLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKSSIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSRERALSAIKLELKRGGQVFYVVPRIKAIDDVLQFLKNSLPDVPMAVAHGKKVSKNIQLAMEKFSCGEIKILVCTHIIESGIDIPNANTMIVQYAELFGLAQLYQLRGRVGRSGREGFTYLFYTDKSLLSRIALDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSMFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCINPVPYKDVQLDINISAHLSSEYISYLENPVELLNEAAKAAEKDIWTLTQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISQIYPCGKMIIMKTNMNKKVFRLMVEAMASETHRNSLTLNGREIKAELLVTLPDTLLLNWLFHCLADCYAVMPALVKY* >Brasy5G414900.1.p pacid=40075857 transcript=Brasy5G414900.1 locus=Brasy5G414900 ID=Brasy5G414900.1.v1.1 annot-version=v1.1 MVSAKRLAQMAKKWQRLAAMGRKRLTLTTSPRAADECCTTSSVAVKGHCVVYTADGGRFEVPLRYLGTAVFSELLRMSQEEFGFAGGDDGRITLPCDAAVVEYAMCLLGKDASAEVVKAFLSSVATPCWFDGGVVAPCVGFNQHVAVC* >Brasy5G157900.1.p pacid=40075858 transcript=Brasy5G157900.1 locus=Brasy5G157900 ID=Brasy5G157900.1.v1.1 annot-version=v1.1 MQEHVYDSLAEKLPKYEAQDAKVNTGYRLQNCREQPQMVNLSGSAVPSPGSKRSLEDQMAKTLVSKSSLVRLLREVRSSSPAAPRDSLLSMWRTFRSESVPLGKLYPDWSFLKLHGGSSSPNKLKPVVEYTISPPESSQFENIERKKIRNEIEAINSRLIDTEISITDDSGTYGIPTPCYAGTTIKISHTAVAFSPDLKSALTEFGKSIVMPVQLFVPPDYPRSSPMPILDKGDDQLRKKISAISDLVDVTFQLTLRTLREPWSIEEIATAWDTCVREAVIKFAHRHGGGTFSSRLYTIKALPLWGLGPVAHQFALRARPSPPAALGFTPSSFQPSSRRCLPRSSSKQSPSPTAKESMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPIREGDILTLLESEREARRLR* >Brasy5G484900.1.p pacid=40075859 transcript=Brasy5G484900.1 locus=Brasy5G484900 ID=Brasy5G484900.1.v1.1 annot-version=v1.1 MMATSYRLVAGEDDCYEEKDAVLHWCSRYIKKVHPPHTHPRPHPRTQCCATVKKSDMECVCRVLTDQEKQGIDEVLKTECQTPPFVGS* >Brasy5G492400.1.p pacid=40075860 transcript=Brasy5G492400.1 locus=Brasy5G492400 ID=Brasy5G492400.1.v1.1 annot-version=v1.1 MSTCAASLAPLLGPAAANATEYLCNQFADTTLAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKHFFGLRSIPAIGGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFSSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKSYGPAGSIHGQWSAVGRAAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCSVVDPWAAIVCGFVSAWVLIGLNALAARFRFDDPLEAAQLHGGCGAWGVVFTALFARKEYVEQIYGVPGRPYGLFMGGGGRMLGAHLVLILVIAAWVSCTMGPLFLALNKMGLLRVSAEDEMAGMDQTRHGGFAYAYTDEDASSRPADRGAGGFMLKSAQTAQVAAAASPAAGGQV* >Brasy5G274300.1.p pacid=40075861 transcript=Brasy5G274300.1 locus=Brasy5G274300 ID=Brasy5G274300.1.v1.1 annot-version=v1.1 MATAGKVIKCRAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGAMLNVAKPKKDSTVAIFGLGAVGLSAMEGARMAGASRIIGVDMNPAKFEQARNFGCTDFVNPKDHTKPVQEVLVEMTNGGVDRAVECTGNVDAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPEVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMEE* >Brasy5G042300.1.p pacid=40075862 transcript=Brasy5G042300.1 locus=Brasy5G042300 ID=Brasy5G042300.1.v1.1 annot-version=v1.1 MLCGLFTCMAKPWLFFLSPLCDHLMDDATSGWLPVLEQSCPNHSISTDGCALFGRSVSRSGANKPLRQLLSVRDFEDRRLSVQVNFLSALSIRTIS* >Brasy5G372200.1.p pacid=40075863 transcript=Brasy5G372200.1 locus=Brasy5G372200 ID=Brasy5G372200.1.v1.1 annot-version=v1.1 MLRCHTPPQCRPAPLGRRGLPRAAAEVVRCAARGPRRLPSVIVASSSSSAAAAVTCGESLAERLRMGSLLEDGLSYKENFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRELGLIWVTNRMHIEIYKYPAWGDVVEIETWCQADGRMGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDEVRDEVFVHCPKTPRLAFPEENNGSLKKIPILTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYVEEGEAKSSNGSAFAAPHPQEQCQFLHCLRFAGGGDELNRGRTVWRKLSR* >Brasy5G237300.1.p pacid=40075864 transcript=Brasy5G237300.1 locus=Brasy5G237300 ID=Brasy5G237300.1.v1.1 annot-version=v1.1 MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSVWRARRSARLREERRAHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGKVPSGWPCSQDWVNAAGDPGYWLDLRCSADNSYSGFSWRLLSCFCMGMTWFWRRVLRFGSSGDGRGLGRDGKMLGKGGENGGKAEESRVDKAKRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEAERRRQERRRKEDKGSSKSNSDCEDIERRVSREGECKRDFDRRNEPDRRDVTRVGTEGYKPHNFDANSQGSKIVQSRTKYFGRMTGGFLSSSRSFGGGSIFGRSAQAPAPQANKVTKPLATAINQSNAVKRDAQPAATQAMFKSATTGETRNSWANSHRSVSPNMQAHPTGLKKSWHQLFSRSASVSPCPDVTTSAREKNGLPEFNGAQINSAQNFLAQYPPLDSKPRLSQSMQFTGFPPVNGAPAGTPLSHFPAAHTPFYTEAEPTVLEEPERFEDPCYDPDAIALLGPVSESLDSFPLDWDNRFVLSDVPKEQHVKPSPIESPLSKSRTVDEKPIKHSHLSISNGPNGSMSPDATNEQGTWQMWSTPLVQDSLGLRGPQTQWLLPNKNQFTHCVDNLNVGTRSPLSAGLHGNDIWLQKSPFQQLPLDSESMFLSHDLSEHDLQNDLGFGSPNKAARLHPFVPPGPGHSWSKEELVLNGPPGATQIRSPKGVHAGLFPTNPDVQSVWSFDQKRDNIELIN* >Brasy5G475100.1.p pacid=40075865 transcript=Brasy5G475100.1 locus=Brasy5G475100 ID=Brasy5G475100.1.v1.1 annot-version=v1.1 MAGEGTGDVLTVTVIDSSGAGSEDYIPLIVRRLDGRLRNLLVRAVYRRPEAIMNSSVVQDAEEEEEEEEEEEREEDDEEEAMLSADPARDGGEEEEEEQSADQLLPVPRLPGRAGPSPFRSSSRAGLVPRPVKLETTRYAGEAAGDGGTSTGCAICMEEYEAGDELSVVPCAGKHRFHRSCLAPWLARKRLCPLCRHPLPAAGDEPH* >Brasy5G300400.1.p pacid=40075866 transcript=Brasy5G300400.1 locus=Brasy5G300400 ID=Brasy5G300400.1.v1.1 annot-version=v1.1 MPSLPSLSFSCDNLSCNIWFCCGSPKDEPVKHDDSFRKNPDQPAAPMQSAPHVVTAPAPYYPPTTELLPPPPPQQVVTPVSAPKRQEPPPQPAWIPPPPPAAAAAAVPSKRYEPPPYSPPVSVTSSQPSPLTRWPSRVPSKTYDGMLPAVMPSPAQAAARSPASQYPPARQLINFDDTKPGVESYPQAHQVYY* >Brasy5G457300.1.p pacid=40075867 transcript=Brasy5G457300.1 locus=Brasy5G457300 ID=Brasy5G457300.1.v1.1 annot-version=v1.1 MLGRMNCLVGGGVVDQGSPRGAARRVSPASGRVHNAAAAAVAGPADKGAVRFRPPDVMETVHEVAVYIHRFHNLDLFQQGWYQMKISAMWEEGASGGKTPASPARVVQYEAPDVGADDALGIWKIDDSDNSFYTQPFRIKYARQDIYLSVMVSFNIFNTEEEGPAVSAVILKYELIYAPTLENGSDIQGSSVTSSAAVHEFRILRKALLGLHTYCPVHFDAFHAVLVDLTLHIVYLKAGANKSSLKIPEQGLHPASHHIVKALLTSREMLLEELKKISDAVGKTIEDLDVADLNLGKYESLQPPKSVLPDSGKVFPVTTKGVGHLAGILHDFLERPNGVADGTSDMLYTLSNEELLELFLTVSSQLSLLWNTFLKFHRTNKTKILDYLRDIWAIDRKSEWSIWTNHSRIEIPHRYLRSIGDDPSHRHSLLRVSGSRKFHEDPVQNSASRAELHRKSIAQMKINTRSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDLPSTSSEQKDTIVLPKLQGESSAQKNTSGKKGGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGAECLMSEANEEKTSGDFKEMGSRLAGETVAFLKKKVDKLARYGGCKELKLSFVGHSIGNVIIRTALADPQLEPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPLNTFFYRLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQIRAPSSETRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR* >Brasy5G522300.1.p pacid=40075868 transcript=Brasy5G522300.1 locus=Brasy5G522300 ID=Brasy5G522300.1.v1.1 annot-version=v1.1 MGVKSLLWLLSAVLMISRVGAGDEATLLAFKALVSSSDSRTLASWNGSAHFLEANAGAIGNLTYLQTLNLGSNRLHGEIPESIGRLRHLQTLDMSFNSSGMLPVNLSSCINMTFMAMHSNKLDGRIPAELGDTLMSLAVLSLRNSSFTGRIPASLANLSDLQYLDLSNNQLTGSIPPELTKIQGMLQFDLGINNLSGMLPSSLYNLSLLEKFVVGRNMLYGSIPDDIGNKFPSMQTLNLAINQFTGTIPSSISNLSDLTVVFLYQNKFSGYVPPTLGRRLGSLQDLYLNENRLEANGKEGWEFITSLVNCSQLRYLVLGSNSFEGQLPVSVVNLSTTLQKLYFDDNRISGSIPADIGNLVGLNVLMLANTSMSGVIPESIGKLRNLTNLGMYSSGVRGTIPASVGNLTRLSWFLAYYNNLEGPIPESLGNLKELSVLDLSTNYRLNGSIPKDIFKLPSVLWQLDLSYNSLSGPLPSEVGTMTNLNELILSGNKLSGQIPSSLGNCKVLEKLLLDKNSFEGRIPQTLENLKGLNILNLTMNNLSGEVPDGGYFRNLTYMAVVGNSNLCGGTPQLQLAPCSTPPLSKKKISESLIISLATAGVIFLLFSVILLVQMLHKKFKQSEKGIVQTLIAEDQCERIPYHALLRGTNGFSEDNLLGRGRYDAVYKCVLDTGERTLAVKVFNLWESGSSKSFEVEYEAMRRIRHRCLNKIITSCSSLDHQGRDTLNLAQGLDIAVDIVDAVEYLHNHCQPLVIHCDLKPRNILLAGDMSARVGDFGISRTLTEKTSKRMQNSYSSTGIRGTIGYVAPEYGEGCAVSTPGDIYSLGILLLEIFTGRSPTDEMFRDALDLHKFIADTIIWLHGQTQDNIATSRIQECLVSVFMLGISCSKQQPQERPSIRDVAIEMHAIRDAYLEFVG* >Brasy5G102900.1.p pacid=40075869 transcript=Brasy5G102900.1 locus=Brasy5G102900 ID=Brasy5G102900.1.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNEPGKADTVVMVDNAEHLMDANHVNTTQVCSHNADHISHVSGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G102900.6.p pacid=40075870 transcript=Brasy5G102900.6 locus=Brasy5G102900 ID=Brasy5G102900.6.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNEPGKADTVVMVDNAEHLMDANHVNTTQVCSHNADHISHVSGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G102900.5.p pacid=40075871 transcript=Brasy5G102900.5 locus=Brasy5G102900 ID=Brasy5G102900.5.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNGKADTVVMVDNAEHLMDANHVNTTQVCSHNADHISHVSGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G102900.2.p pacid=40075872 transcript=Brasy5G102900.2 locus=Brasy5G102900 ID=Brasy5G102900.2.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNGKADTVVMVDNAEHLMDANHVNTTQVCSHNADHISHVSGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G102900.3.p pacid=40075873 transcript=Brasy5G102900.3 locus=Brasy5G102900 ID=Brasy5G102900.3.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G102900.4.p pacid=40075874 transcript=Brasy5G102900.4 locus=Brasy5G102900 ID=Brasy5G102900.4.v1.1 annot-version=v1.1 MDDLVSDAEEKIASCEETSSEETEDVKVCDICGDVGKEKKLAVCCRCNDGAAHTYCMRVMIEEVPESGWLCEECQAEVEIEKKKLEEPQVNVGMISSDNRVDTGNVGRKDSSEENQGNDITVKRKEEDMSNVAAWNKRLNTHTEAKSYEEIEDVKVCDICGDVGEVEKLAICGRCNDGAEHVYCMRVMMEKVPDVKWLCEACQSEVEITKKRMKLQKLEVMVGASQGQSFEGQTNKPVNDRNSRSSSEAEMVGSKEPNMRNQPDGMASTRTEKDAGITSVIREKLSEPGGVSMQGDSRKGVPPSHESSLKLDSNKGKEPTTQMLSSLLSNARKNQAPPLRGKFANNQATPLHGSLSKSISFNNSKVPKVKPLVIEVPPKPKNLKGPLSFITKQKGPMSTLAKSASFKQPNSSEPTNQGKDSLLPNGEEPRLMNSPMNRNVTNKRGTSISGHPSDAASMIAPFSSEAESAAQCLNNRNNMDSLGMAYGQGVINSPGAQRKVIQNPDTSRVDKIKHPPSLKLRASSSSQTMRCQRCDDVGHSTLLCPVDRFSLFARKPLNEQTNGQTARSNRTSEATTLVVTEEDILRSADQPEPILKRRPCHNPLYKPIDVLCNSSSHVQSNEQKMGNCISTPSSASSVDCLELKYKEHQAVSAMGRRYLDSNSSELTDKTPIFAPSDEGTAPTFPELAYIWQGCFELWRTGRSPELCEGLQVHLSSSASPKVLEIAKKFPSKIQLEELPRQNLWPLQFHENVPMYDSIGLFFFARDIQSYENHYNKLVENMLKDDLVLRGNIETAELLIFPSNILSKNFQRWNMLYYLWGVFRVRRNGTCEDLQCFQPLEANHERCHYGENSNHPLCRGPPEYQHPDSITASFSTNNTSAINDFVTAPIRKNQEHAYSEQEEKMRDCADHNGGAHKRDVETANWADEVNVSHKKIKLDNGCSVKPMSG* >Brasy5G493100.1.p pacid=40075875 transcript=Brasy5G493100.1 locus=Brasy5G493100 ID=Brasy5G493100.1.v1.1 annot-version=v1.1 MQLDTIAAVVCAATVLLISNGCLSMASAEDKIRGLPGQPPVSFAQYSGYVAVDAVRKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRIVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFSRVCNYSRYVSEYYHGSISPVCDRVMSQVTRETSRFVDKYDVTLDVCISSVLAQSNTLTPQQSTQQLSRELDVCVEDETMNYLNRKDVQQAMHARLNGLPKWTVCSSVLEYKQLDLQIPTINTVGMLVKSGIPVLVYSGDQDSVIPLTGSRTLVHRLAKRLRLNATVPYRVWFEGKQVGGWTQVFGDALSFATIRGASHEAPFSQPERSLVLFRAFLARRPLPESFE* >Brasy5G493100.2.p pacid=40075876 transcript=Brasy5G493100.2 locus=Brasy5G493100 ID=Brasy5G493100.2.v1.1 annot-version=v1.1 MQLDTIAAVVCAATVLLISNGCLSMASAEDKIRGLPGQPPVSFAQYSGYVAVDAVRKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRIVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFSRVCNYSRYVSEYYHGSISPVCDRVMSQVTRETSRFVDKYDVTLDVCISSVLAQSNTLTPQSTQQLSRELDVCVEDETMNYLNRKDVQQAMHARLNGLPKWTVCSSVLEYKQLDLQIPTINTVGMLVKSGIPVLVYSGDQDSVIPLTGSRTLVHRLAKRLRLNATVPYRVWFEGKQVGGWTQVFGDALSFATIRGASHEAPFSQPERSLVLFRAFLARRPLPESFE* >Brasy5G085700.1.p pacid=40075877 transcript=Brasy5G085700.1 locus=Brasy5G085700 ID=Brasy5G085700.1.v1.1 annot-version=v1.1 MELVSVSTCLWVSHSEWKPSCLLAMVCTSSQIKIQSVKLARKLSVFQEIKASAFRSRCDQQQKLAGRRS* >Brasy5G350000.1.p pacid=40075878 transcript=Brasy5G350000.1 locus=Brasy5G350000 ID=Brasy5G350000.1.v1.1 annot-version=v1.1 MAKDKERVDPVESSAGSRGRAPSTTPPAAAALSSVERPRGRAPSLTPPRQRAPSLSPPPADTAMSSAGRRGQSVPGRGRRPLIDDDVSDNDDDSDNDAELQHVLNMTPVLSSCDQHAPYAIECGLEAVEAQEKFELQTALLNSVCTNIVEERGRAAVREYWATVDAMHADDDDEASDNCEEESDDDNNDA* >Brasy5G095900.1.p pacid=40075879 transcript=Brasy5G095900.1 locus=Brasy5G095900 ID=Brasy5G095900.1.v1.1 annot-version=v1.1 MAVICTAHQPFLLANQAPSGNRFQKNAVACSRRAATLRVRAAKLPAGVQVPRVQPKLSEPFLGFTNTAEVWNSRACMMGLIGTFIVELILNKGVLEIIGFEVGKGLDIPL* >Brasy5G474400.1.p pacid=40075880 transcript=Brasy5G474400.1 locus=Brasy5G474400 ID=Brasy5G474400.1.v1.1 annot-version=v1.1 MGPFPGDVAGLTSLRLRRLVWFLRRWNLCNTAHALEQETGVTFMPSYLRLLLVTQKWEQAYAYVEGQVDPAAASSMAAMILSRIHIFGIMAKFSRGEASTVSDASFQRADAILLASPELHPARRVLHTLRSDPFKAKIQYGHICRGAVEAVLKWAAKCPELKAKTLSRPRCPFDYTYVVSLGPRFWERGRRGKKSRTGRRIPARALARYFVRKSSGSLEGAAEEFRSDYHVQIAGQTA* >Brasy5G316000.1.p pacid=40075881 transcript=Brasy5G316000.1 locus=Brasy5G316000 ID=Brasy5G316000.1.v1.1 annot-version=v1.1 MAAAPPLTADFLVSSSPAAAPAPVVAAAWANRRGAGSSRCRALRATRGRGWLAPVLGRPPRTLSVRCNASSRDGRITQQEFTEMAWQSIVLAPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFLKAGVDNTRLLDATEKYIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFADDKRFGRQLFKDFQITVKTLKSAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLITLDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQVILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTVSILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRSVLKLEMERLSLTNDTDKASKDRLSRIEAELSLLKERQNKLTEQWEHEKSVMTKIQSIKEEIDRLNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTENEINEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEDAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQVLDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGGATDLAYENMKKRVMDAARSVFRPEFMNRVDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVLQQYVENELAKGILRGEFKDEDSILVDTQVTVPSNGQLPQQKLVFRRTSEESKPAAAEDEKFLPTV* >Brasy5G442900.1.p pacid=40075882 transcript=Brasy5G442900.1 locus=Brasy5G442900 ID=Brasy5G442900.1.v1.1 annot-version=v1.1 MAMAIPLIILALVLQPAGVFAASSLRSNNESDLAALLAFKSQLSDPLGILRNSWAANVSFCRWVGVSCGRRHRVTALELPGMPLHGLLVPHLGNLSFLFVLNLTKAGLIGSIPDDLGRLRRLRYLNLRHNSLSNSIPSTFCNLTGLQVLDVGKNMLSGQIPTDMQGLHNLAYIALHANYLSGPIPAHLFNNTRLLSYVSFGNNSLSGSIPDGVGSLPMLDFLDLQLNHLSGPVPSAIFNMSRLRMLYMATNNLTGPIPGSNGSLSLPMLQVISLSQNYFTGPIPSGLASCQYVRIIDLFQNFFTGPVPTWLAELPFLTGFLAGENELVGQIPHELGNLTMLTRLDLSFCKLDGEIPIELGTLRQLNILLLSSNRLTGSIPAFLGNLTSLSTIGLAFNLITGSVPATLGNMKSLQELDLGSNHLQGELGFFDALSNCRELRLLNLQVNDFSGGLPDYTGNLSRKLVIFDATGNKLTGGIPAAISNLSGLSSLILMNNQLSQSIPESITSMENLERIDISGNSFVGPIPAEIGMLKRLVQLRLYDNKFSGSIPDGLGNLTMLEYISLSYNNLSFDIPASLFLLDKLVELNLSHNYLTGALPFDLSHMKQISKIDLSTNILVGSLPDSFGQLRMLTYLSLSHNSFQNSIPYSFRNLISLATLDLSSNNFSGTIPKYLANLTYLTSLNLSFNELQGPIPDEGVFRNITLQSLIGNLGLCGAPSLGFLPCLDNSHSGNDGHLLKFILPSVTLALVVVAICIYLLMRRDSLKQGEITTAADGVDPIRLVSYHEVVRATENFSEDNMLGVGSFGRVFKGRLDDGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLIRILNTCSNLDFRALLLQYMHNGSLEAHLHTESRKPLGFINRLDIMLGVSEAMEYLHHRHHQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDEKSSMVSASMPGTIGYMAPELAYMGKASRKSDVFSFGIMLLEVLTGKRPTDPMFVGESSIRQWVSQAFPARLVDVVDEKLLQDEEIISHCFHRKMDTTSSASSSAFCHGDFVASTFQLGLECSSEFPDKRVSMGDIVTRLKNIKKDCSASSPASA* >Brasy5G092000.1.p pacid=40075883 transcript=Brasy5G092000.1 locus=Brasy5G092000 ID=Brasy5G092000.1.v1.1 annot-version=v1.1 MKIEKASAGHLTNCEVLQFLRSRGATTDPMGCLGAVAASECKVYEYLLKTPACNQTRESVTEFVKRCEGFKLTDADKQNVINWRPSSAADVYAMIEECGKRFSKDEQGGTTNEDKRVEELLNLIDEIFPPPPTEPEDGVNVDMKDDKAAGVGETNGVNVDMKDDEAAGVGGTNDGVNVDMKVD* >Brasy5G381900.1.p pacid=40075884 transcript=Brasy5G381900.1 locus=Brasy5G381900 ID=Brasy5G381900.1.v1.1 annot-version=v1.1 MAIAARALRRLPLHLSPSLARPFCAISPAAAAPAPAAASAKVADRIVRVLAIDLDGGRREVVGLSGQTLLRALSNAGLIEPASHRLDDIDACSAECEVHIAQEWLEKLPPASYEERYVLTRASRNRELNKHARLGCQVVLSQEHQGMVVALPEPKPWDIP* >Brasy5G353300.1.p pacid=40075885 transcript=Brasy5G353300.1 locus=Brasy5G353300 ID=Brasy5G353300.1.v1.1 annot-version=v1.1 MDDAPAAVHADTAVARRIPNPESLKRKERRRRAAESKKSQEDAAARALAAAAEEEAIAAEAAQNQRNQQLAAQHALLLYNQQAVAEAFAQRAANVASQSSGNRLTGSMSPPVSSPTYGYQPAMGHFSPCSQSPEVGDSMPFAGAPLTLDLNSMYNSGDSPMMQRMPPSARGLPDGQNLFGSPSPTAVAPDARNVFSELSPVNEAYYDDELMMQEMIRHGGQQDGGQGVQQDGGLDGEENGGLDEEENGEQDEEFDYMGEGTFEEELSQVASQAASRTKRTGSYTEDEDKLLCDAWLTIGQDPIAGAEQKGGAYWKHIHEYFHKYKSHIPYAFESDRNENSLTHRWQHILAECNKYTAAYDSVKNRPVSGVGVASQVIQAMDAFRAMNNGKKFNLSHCWIKLHKAPKWIDLVASLKIQANNAAQGKKRSNDGTPIDLEADEISGGLGKRSDRPRGKKLSKDDLKREASTIALQESLKEMISAKESSSGKRGEIRELKKDERFNSFMNTIQEKYRGDAAVAADMAATAKLDAASRAKEVDLKVMMEENRIMSMDVSTLDDVSRAWFIKKKKEIADRQA* >Brasy5G386100.1.p pacid=40075886 transcript=Brasy5G386100.1 locus=Brasy5G386100 ID=Brasy5G386100.1.v1.1 annot-version=v1.1 MEGIFPFVCAAFRKRRTKAADRYDYERLVVPGAGDARARFDGNGGGGASLQSQSCRFPVRRPPTDGPGFSHGRDDDRPPPEDLSGELSPPAGRSGRGGLSRSLRFGSMRVLACIGGP* >Brasy5G511600.1.p pacid=40075887 transcript=Brasy5G511600.1 locus=Brasy5G511600 ID=Brasy5G511600.1.v1.1 annot-version=v1.1 MEPLLSSKILASLSIIVMMATAAAVVSGTVVLPSLKEQAGALLAWKASLSNQSQVSLKSWGNMSSLCSWRGIRCGAHTVHRRWHQAVITGVSLQGMRLAGGTLESLNFSALRTLTSLDLSNNELDGSIPSSIEFLVELHALLLQGNQIRGSIPPSLANLTKLHFLELSGNQISSEIPEQIGRLSSLVNLNLSDNHLNGPIPREISHLKHLSILDLSNNNLSSSIPRNIGDLNRLITLYLYNNQLSGHIPQELGCLINLEDLELYKNTLTGSIPISLRNLTKLTTLYLSHNQLSGHIPRELDYLLNLEILGLGNNSITGSIPKGLCNLTKLSTLYIWGNKLSGHIPQELGYLVNLVDLELNGNTLTGSIPNSLGNLSKLTTLYLYENQLSGHIPQELGCLINLEDLELYKNTLTGSIPISLRNLTKLTTLYLSHNQLSGHIPRELDYLLNLEILGFGNNSITGSIPKGLCNLTKLSTLYIWGNKLSGHIPQELGYLVNLVDLELNGNTLTGSIPNSLGNLSKLTTLYLYENQLSGHIPQELGCLINLEDLELYKNTLTGSIPNSLGNLTKLTSLYLYDNQLSGHIPRGLGYLVNLEDLDLTNNTLTGAIPNSLGNLTKLTTLYLGDNQISGHIPREIGNLTNLVELDMRFSNLSGPLPSGLCAGGKLQRFIAMNNNLVGPIPTSLLICRSLVRVRLEKNKLEGDISKMGIYPNLVYIDISSNKLSGKFSHRWGECYKLTMLRASNNNINGAIPPSIGQLSQLRILEFSSNKLDGTIPPEIGKLLSLFILSLGNNLLWGTIPQEVGFLANLEYLDLSSNNLSGSIRRSIENCSKLRFLKLSHNHLNGTIPIELGMLVNLQYLLDLSDNSFDGMIPNQLAGLNRLENLNLSHNTLNGSISASFQSMISLLSMDVSYNKLEGPVPRSRFFEEAPVEWFMHNNNLCGVVKGLPSCEITRSHGKDKSKLVLLAIILAIVSFVLIMTLVTILQYKRKKSSSIGKENEPGQTNLFGIWNYDGEDVYKKIVEATENFSDTHCIGIGGNGSVYKALLPTCEIFAVKKIHMMEDDELFNREIDALMHIRHRNIVKFYGFCSAIQGRFLVYEYMDRGSLAACLESKETVVTLGWTRRLNIFKDVAHALSYMHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDTDSSNCTKLAGTKGYLAPELAYTTRMTEKCDVYSFGVLVLELFLGHHPGDFLSSMATKSTSLEDILDTRLQLPEAEIASEIFKVVTIAVRCIEPNPSHRPTMQQVIKFSTAEGADNHLDYLHTDIHIPACWS* >Brasy5G511600.2.p pacid=40075888 transcript=Brasy5G511600.2 locus=Brasy5G511600 ID=Brasy5G511600.2.v1.1 annot-version=v1.1 MEPLLSSKILASLSIIVMMATAAAVVSGTVVLPSLKEQAGALLAWKASLSNQSQVSLKSWGNMSSLCSWRGIRCGAHTVHRRWHQAVITGVSLQGMRLAGGTLESLNFSALRTLTSLDLSNNELDGSIPSSIEFLVELHALLLQGNQIRGSIPPSLANLTKLHFLELSGNQISSEIPEQIGRLSSLVNLNLSDNHLNGPIPREISHLKHLSILDLSNNNLSSSIPRNIGDLNRLITLYLYNNQLSGHIPQELGCLINLEDLELYKNTLTGSIPISLRNLTKLTTLYLSHNQLSGHIPRELDYLLNLEILGLGNNSITGSIPKGLCNLTKLSTLYIWGNKLSGHIPQELGYLVNLVDLELNGNTLTGSIPNSLGNLSKLTTLYLYENQLSGHIPQELGCLINLEDLELYKNTLTGSIPISLRNLTKLTTLYLSHNQLSGHIPRELDYLLNLEILGFGNNSITGSIPKGLCNLTKLSTLYIWGNKLSGHIPQELGYLVNLVDLELNGNTLTGSIPNSLGNLSKLTTLYLYENQLSGHIPQELGCLINLEDLELYKNTLTGSIPNSLGNLTKLTSLYLYDNQLSGHIPRGLGYLVNLEDLDLTNNTLTGAIPNSLGNLTKLTTLYLGDNQISGHIPREIGNLTNLVELDMRFSNLSGPLPSGLCAGGKLQRFIAMNNNLVGPIPTSLLICRSLVRVRLEKNKLEGDISKMGIYPNLVYIDISSNKLSGKFSHRWGECYKLTMLRASNNNINGAIPPSIGQLSQLRILEFSSNKLDGTIPPEIGKLLSLFILSLGNNLLWGTIPQEVGFLANLEYLDLSSNNLSGSIRRSIENCSKLRFLKLSHNHLNGTIPIELGMLVNLQYLLDLSDNSFDGMIPNQLAGLNRLENLNLSHNTLNGSISASFQSMISLLSMDVSYNKLEGPVPRSRFFEEAPVEWFMHNNNLCGVVKGLPSCEITRSHGKDKSKLVLLAIILAIVSFVLIMTLVTILQYKRKKSSSIGKENEPGQTNLFGIWNYDGEDVYKKIVEATENFSDTHCIGIGDALMHIRHRNIVKFYGFCSAIQGRFLVYEYMDRGSLAACLESKETVVTLGWTRRLNIFKDVAHALSYMHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDTDSSNCTKLAGTKGYLAPELAYTTRMTEKCDVYSFGVLVLELFLGHHPGDFLSSMATKSTSLEDILDTRLQLPEAEIASEIFKVVTIAVRCIEPNPSHRPTMQQVIKFSTAEGADNHLDYLHTDIHIPACWS* >Brasy5G335100.1.p pacid=40075889 transcript=Brasy5G335100.1 locus=Brasy5G335100 ID=Brasy5G335100.1.v1.1 annot-version=v1.1 MAAAARLRLFASSHLSPRLSRTAPWYGRGTRALCTLSSTLGSGAGEDEIERIRHEFEDAKRNYLSIPAAIKNMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSDHLQCLIYDLAKKHLVNELKYPESCLQYEYDCNFPVRGLYYDKLKGCLLKLDFFGSIEPDGCFFGRRKLSLTEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADIVQHFVDAKLEFDAPYVYEDVNESIQHVHRSGLVHRKVLSEPQKFLIKNSQVFRFLKTLREKGKKLFLLTNSPFYFVDGGMSYLLEDQHFDGNSWRELFDVVIAQANKPSFYNSDHPFRVYDTGKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIGIQNSDNYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSGMRDLFNSSFGATFLTDTGKESSFAYHIHQYADIYTSKLDNFLSHAPESWLHPPHDIKIMPHNAKVPTSLFTSS* >Brasy5G488800.1.p pacid=40075890 transcript=Brasy5G488800.1 locus=Brasy5G488800 ID=Brasy5G488800.1.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYAWRSWENGKATELVDSSFVDSCPLHEVLRCIHVGLLCVQDCPDDRPLMSFVMVTLENGSVVLSAPKQPVYFALRTREGGEAMESVENSANAMSTTTLEGR* >Brasy5G488800.2.p pacid=40075891 transcript=Brasy5G488800.2 locus=Brasy5G488800 ID=Brasy5G488800.2.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIAWRSWENGKATELVDSSFVDSCPLHEVLRCIHVGLLCVQDCPDDRPLMSFVMVTLENGSVVLSAPKQPVYFALRTREGGEAMESVENSANAMSTTTLEGR* >Brasy5G488800.3.p pacid=40075892 transcript=Brasy5G488800.3 locus=Brasy5G488800 ID=Brasy5G488800.3.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRAGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYAWRSWENGKATELVDSSFVDSCPLHEVLRCIHRTEVWCFPLQSNRYTLLYVPVKVEKQWRAWRILQTQ* >Brasy5G488800.4.p pacid=40075893 transcript=Brasy5G488800.4 locus=Brasy5G488800 ID=Brasy5G488800.4.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYAWRSWENGKATELVDSSFVDSCPLHEVLRCIHRTEVWCFPLQSNRYTLLYVPVKVEKQWRAWRILQTQ* >Brasy5G488800.5.p pacid=40075894 transcript=Brasy5G488800.5 locus=Brasy5G488800 ID=Brasy5G488800.5.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYAWRSWENGKATELVDSSFVDSCPLHEVLRCIHRTEVWCFPLQSNRYTLLYVPVKVEKQWRAWRILQTQ* >Brasy5G488800.6.p pacid=40075895 transcript=Brasy5G488800.6 locus=Brasy5G488800 ID=Brasy5G488800.6.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIAWRSWENGKATELVDSSFVDSCPLHEVLRCIHRTEVWCFPLQSNRYTLLYVPVKVEKQWRAWRILQTQ* >Brasy5G488800.7.p pacid=40075896 transcript=Brasy5G488800.7 locus=Brasy5G488800 ID=Brasy5G488800.7.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRAGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYVNIVEFIINFPSHFLIQ* >Brasy5G488800.8.p pacid=40075897 transcript=Brasy5G488800.8 locus=Brasy5G488800 ID=Brasy5G488800.8.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSARFKIIKGIARGLLYLHQDSRLTIVHRDLKASNILLDTEMTPKISDFGMARIFGANQNQANTTRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKINSPQLIPNFCSLITYVNIVEFIINFPSHFLIQ* >Brasy5G488800.9.p pacid=40075898 transcript=Brasy5G488800.9 locus=Brasy5G488800 ID=Brasy5G488800.9.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRAGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFASFYIDHERCCTEI* >Brasy5G488800.10.p pacid=40075899 transcript=Brasy5G488800.10 locus=Brasy5G488800 ID=Brasy5G488800.10.v1.1 annot-version=v1.1 MHTKKIQDCLMATSCIRIFILLSSSTFCRSSDQLTHTKPLFPGDKLISKGGDFALGFFSPDSSNSSLYLGIWYNNIPERTYVWVANRDKPITAAPSTALAITNTSDMVLLDPNGLSVWTTMSNSTARGAGAYAVLLNSGNFVLQLSNGTETWQSFDHPTDTILPTMRFVLSYKDKVAGRLVSWKTPDNPSSGDFSYSSDPSATTLQAFVWHRFRPYYRAGVSNDASVISTGKYLGNSSYMIFVTVAELRDKIYFVFTVSPGSPFMRMTLDYKGRSKFLVWNNNSSSWTIINERPTNTFDLYASCGPFGYSDFTGATPTCRCLDGFEPNGLNFSRGCRRIEELECDKQSQFVTLPGMRVPDKFLHIMNRSFDECTAECSNNCLCTAYAYANLSNYLSNFGAMADPSRCLVWSGELIDARKITAGGEPLYIRLDGSHDKKSSTVKIVLPIIACLLLLTCIALVWICKYRGKRRKQEIQKKMMLGYFSNSNELQGKNTELPFISFEDILSATNMFADSNLLGKGGFGKVYKGTLEDGNEVAVKRLSKGSGQGILEYRNEVLLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFASFYIDHERCCTEI* >Brasy5G347300.1.p pacid=40075900 transcript=Brasy5G347300.1 locus=Brasy5G347300 ID=Brasy5G347300.1.v1.1 annot-version=v1.1 MNTKGVIDYLWSHAVISDEVQINITKNCKFNPSDGTACSDAMAAYDLANTNVYDIYGPICIDAPDGKYYPSRYIPGYDPCSGYYIEAYLNDLEVQKALHARTTNKWSGCTDLHWKDSPASMVPTLKWLLEHGLPVWLFSGDFDSVCPFTATRYSIHDLGLAVAEPWRPWTASKEVGGYVQLYTGGLVFASVRGAGHQVPYFEPERALILVSSFLKGMLPAYEKGL* >Brasy5G158300.1.p pacid=40075901 transcript=Brasy5G158300.1 locus=Brasy5G158300 ID=Brasy5G158300.1.v1.1 annot-version=v1.1 MISGSVHHGDEGSTPPPSPPTWLSPVSATDEIVSASTSRVFKIEGYSETRGRLGAGNSIRSPTFFLGGHGWQIWYYPDGLHPSLSRRWISMALVLVGTDSRRSVKASVTVTFVLVNSETGKPQQGQGGFAGGAGRATLGKDEPLGVPEFVERARLENSCYLKGDCFSVRCDISVATTNVVACGTELSEPRFVVVPPSDLRRDLGRLLSSGAGADVAFEVGAGGETVAAHRHILAIRSSVFAAELLNGTTKEEEKTIPASILRIDSMEAAAFKAMLHFIYTDSLPETMDEAGGSIMLMAPDLLVAADRYDLRRLKSMCEDKLCDHIAVETVASLLAMADRHGCRGLKEACLGFVQIPGNLKPVLETDGFERLTKSCPSVLKDLLAVFAP* >Brasy5G004600.1.p pacid=40075902 transcript=Brasy5G004600.1 locus=Brasy5G004600 ID=Brasy5G004600.1.v1.1 annot-version=v1.1 MSSSPKNKRPMEADPSSREEQVQAKRRGPRREEAPALLPMPAGRARLVNYSKWLNAETERKRREAELGLTPPVEEAQVPTLEYFEPPTTFHTERLLHVRESGSMAVLTAAKSLLGVSSSVGGKPLKWCSGFWIDWDEESKTGTVLTTAHLIRTKKAPTNIWSGGEEYDPHANVTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSFNEEVKLVQDVFRLGRDNMLDLRITYGRAVSENPETFQRYHNMYFHCAGVPNDEEEYDGGGPVIDLEGKVVGMSNVRCTRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFVAIKLLEPAHVDKIWRMYNIDNGLVVQKVSKGSHAEKFGIQIGDIIECCNGESVSTTVELENMLMSICKGSSDNLNGLNVEVNVSVEVFHTLKKLRTVGELAADVSDHGEVIIA* >Brasy5G153900.1.p pacid=40075903 transcript=Brasy5G153900.1 locus=Brasy5G153900 ID=Brasy5G153900.1.v1.1 annot-version=v1.1 MGGGGGRRRTWRRRRPPDGRRTWRRRRLPYTRLALRSDAAAEADGRGRGSRWIPVERPPSPENGCRGGRRRTWEEEALPAIYRTVPNLSRRPEALKCGLDECLQIASLQLGNVAGKDEHVGRAEIPAGFRLSSPAGPASRPSSRTGGGAGSRRGL* >Brasy5G365600.1.p pacid=40075904 transcript=Brasy5G365600.1 locus=Brasy5G365600 ID=Brasy5G365600.1.v1.1 annot-version=v1.1 MGSCVSRSPASAGSVATAKVIDMDGSMTRFAAPVTASEALGATASSARFFLCSSDELRFDEPPRALGADEPLQPGWLYFALPLHMLRRPLSGQEMAALAVKASSALAASAGVSPPRKNRVAGDGGKRRRKAGRVAPIAVMDEEKGEPEGGWTHKTAGRTRKGAGGYRSGGARRRAALVQSLSAIPEASDCE* >Brasy5G223700.1.p pacid=40075905 transcript=Brasy5G223700.1 locus=Brasy5G223700 ID=Brasy5G223700.1.v1.1 annot-version=v1.1 MASADTPTFRGLLPIKPVFSQSVTSFFRQYDTSVIRRIWSTLAHLPMKERFEALKKELEPYNKHTSPLRAPRLDNEEETDDDEEDEEDEEGDDFEEDGELEDAKKPAAAIDEQLHATDAEGESQRKKPRLADGCQGEEA* >Brasy5G243200.1.p pacid=40075906 transcript=Brasy5G243200.1 locus=Brasy5G243200 ID=Brasy5G243200.1.v1.1 annot-version=v1.1 MEGPRPRVKRVEPEAPMASAPAVIFVFLVLCFSGAGAQAAGGGPDAGQLCISECGTCPTICSSPPPSPPAPSSSPPPAPPLSSSSPPPSPLASSPPLPPSSTLPPILPLSIAPPPPYLTLLLPPPPSSSSSSSTPAATSSNPSPPSPKSSNSGGSSSSSSSSPSAPASHVSSPPTPPSASTSNPYYYFYLSGSTRSRGGGGAASVFSAVALALLLPAVAFWR* >Brasy5G420100.1.p pacid=40075907 transcript=Brasy5G420100.1 locus=Brasy5G420100 ID=Brasy5G420100.1.v1.1 annot-version=v1.1 MERPVGVYIDIALEQVKTTDRSDTDAPCFLVELFQLASEYEWVYGCDVSGQDAAVAMNDLWSWNRPLTSGPNTPCYSCDGTDLLCLCLSISCHDQICFASVFP* >Brasy5G299600.1.p pacid=40075908 transcript=Brasy5G299600.1 locus=Brasy5G299600 ID=Brasy5G299600.1.v1.1 annot-version=v1.1 MRQEGVEAAGGRKAAALRWSAGSGRCSLFMRSGVRRRAAPASLGSGGRALARRQEARLRQWKEIRAREEAGGVAARGGEEEDPVRGKREEEEGGGPTAGESGGARGIEGRWGSGEKEERQGRDECDGG* >Brasy5G406500.1.p pacid=40075909 transcript=Brasy5G406500.1 locus=Brasy5G406500 ID=Brasy5G406500.1.v1.1 annot-version=v1.1 MLRKVAVVFLACAALYLTFSPYIRRERIADVQLPAVTHRVYLDVEIDGQNIGRIVIGLYGEVVPKTVENFRALCTGEKGVGPKGKSLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFSVKHTHPGIVAMANSGTDSNGSQFYITTIKTFWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKALITDSGEIPKEKWGEEIQ* >Brasy5G302000.1.p pacid=40075910 transcript=Brasy5G302000.1 locus=Brasy5G302000 ID=Brasy5G302000.1.v1.1 annot-version=v1.1 MATSAACNICNRVVDSWKAVHEGEFHGPFSTHLFINCFISELGSLKEQGHVPPTGRPQVPRWIPPPPGMMKLNSDGAVTKTARRGAAGVVCKDGDGLFLGASAIVIEDQTDLSTLEAMGCREALALAADLQISHMKIFSDCQGHGMVISDNLKLKQDFQACVFSFEKRDANGEAHRLARTATSLVAGRYVWLTTPPEHLCIPLNFTS* >Brasy5G486300.1.p pacid=40075911 transcript=Brasy5G486300.1 locus=Brasy5G486300 ID=Brasy5G486300.1.v1.1 annot-version=v1.1 MADRVHPMPPSPSPPAKDPDQDAAAAAATETTPLHPAAAFHGPPPPPAPAPKPAYIVQIPKDQVLRVPPPDRARRYKSLSSRPARRRRLHRACCSACALLLLLLIAAAAFLGIVYLVFRPKPPSFSVSSINLRGLNSSVVSGSVAVRADNGGNGKVGVEYLSGGEVELSYAGSPLAAGKWPAFVQAPRNVTAFTVAMMTTGASFGGEEQGKRAVPMAVEARVPVRLRFGKTLLRTWTVDVKATCEVTVDGEAAAAAGSRGCRVKVRPFLWWWW* >Brasy5G474900.1.p pacid=40075912 transcript=Brasy5G474900.1 locus=Brasy5G474900 ID=Brasy5G474900.1.v1.1 annot-version=v1.1 MAMTRRRGVFSPAAAAALALLLLLVCSSHRPAHAARPLQHPSAAPLSRQAEMDDAVLVKEAAEDGLVLQEEAANNGVDKPVVVYEETVPAAADEDQDQDEEAAACDAGEDENCMQRRLLHDAHLDYIYTQHKGKP* >Brasy5G474900.2.p pacid=40075913 transcript=Brasy5G474900.2 locus=Brasy5G474900 ID=Brasy5G474900.2.v1.1 annot-version=v1.1 MAMTRRRGVFSPAAAAALALLLLLVCSSHRPAHAARPLQHPSAAPLSRQEMDDAVLVKEAAEDGLVLQEEAANNGVDKPVVVYEETVPAAADEDQDQDEEAAACDAGEDENCMQRRLLHDAHLDYIYTQHKGKP* >Brasy5G501400.1.p pacid=40075914 transcript=Brasy5G501400.1 locus=Brasy5G501400 ID=Brasy5G501400.1.v1.1 annot-version=v1.1 MKVEAKNSSRHPSPRGRHGSSPSQSQVEALREMARGQSLVAQLRAVVLPELVDDERAGVVAQMFQSVLDCSAKAMAALKLCQLDDLARADADGALMADDKKRSVKKIVVFSGDGDNAKPHRQRKRRRLADECVTLETPVPHYDGHQWRKYGQKVINNAKHPRSYYRCTYGQEQGCKATKTVQQQDDNGAGAIYEDDQAMFAVVYYGQHTCKPPSSSDTDGTVVDDSGGDSRCSSNISVTCTSVAIIDHHRQSSLESSLLDMADQEDLATEEYDQLFDVAAYEPLDSDPAWEMEDAHGHGHGILKFGHW* >Brasy5G439600.1.p pacid=40075915 transcript=Brasy5G439600.1 locus=Brasy5G439600 ID=Brasy5G439600.1.v1.1 annot-version=v1.1 MASAKAVGMLCILATVAISCRCLASACEEDKNAVMHHCWKNIEKHLGDQFPKTESQCCQYITRIAQVNCICARFTHADLAKISLSKVANVCKVCGNPMPANTNCAG* >Brasy5G327300.1.p pacid=40075916 transcript=Brasy5G327300.1 locus=Brasy5G327300 ID=Brasy5G327300.1.v1.1 annot-version=v1.1 MPSNFPRRCPPLPPNLPPHIPYSRALQQRLYLLAQHVRRRRDLAPGATSSSSSGAARRALDQLHAQLLLNGFHRKRFLLAKLLSLAAAAADLPRAESLFLSASSPSSPHHPPTLANLLLRAAAASRAPPQQLLSLFSRLVGRHGFRPNAFSFSTLLAALADAGAGALPHGPALHASALASGLAQSSSHVMTSLLDMYAAAGQLGDAGKVFDGMPERSVAAWNCMLSAYVRCSEVDAALHFFGEMPGRDAVAWTTVIAGCANAGRAAEAFDLFWRMRKARVKDDAVTMVALLTACAELGDLQLGRWVHARVDQGGQDQRIVLLDNALIHMYVRCGAVEDAHCMFLQMPRRSTVSWTTMISGLAIHGRAEEALELFHRMEERPDGATLLAVLWACSHSGKVDDGWRYFKSMERLYGITLEIQHYGCMVDMLCRSRRLYEALELVETMSLQPNEAVWGALLSGCKREGNLELAAKVIDRLIELQPDRAAGHLVLLSNMYAAAGQWEQAQMVRERVAGLNYGKPTGGSWVNANQVRMLVA* >Brasy5G488400.1.p pacid=40075917 transcript=Brasy5G488400.1 locus=Brasy5G488400 ID=Brasy5G488400.1.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDGKPMDMVDSSIVDKCSPTEVLRCIHIGLLCVQDNPINRPLMSSVVFMLENETTTLSTPKQPVYFAQRNSEAKETEENTSSSMNDMSLTVLEGR* >Brasy5G488400.8.p pacid=40075918 transcript=Brasy5G488400.8 locus=Brasy5G488400 ID=Brasy5G488400.8.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDGKPMDMVDSSIVDKCSPTEVLRCIHIGLLCVQDNPINRPLMSSVVFMLENETTTLSTPKQPVYFAQRNSEAKETEENTSSSMNDMSLTVLEGR* >Brasy5G488400.2.p pacid=40075919 transcript=Brasy5G488400.2 locus=Brasy5G488400 ID=Brasy5G488400.2.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDAWQPIYSKSKDCKYRREKPSH* >Brasy5G488400.3.p pacid=40075920 transcript=Brasy5G488400.3 locus=Brasy5G488400 ID=Brasy5G488400.3.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLPRLSDFPNLLAYAWNLWKDAWQPIYSKSKDCKYRREKPSH* >Brasy5G488400.4.p pacid=40075921 transcript=Brasy5G488400.4 locus=Brasy5G488400 ID=Brasy5G488400.4.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFGMFKLYICQKLANNLIYFWLHTYNNIAYALFLLDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGT* >Brasy5G488400.5.p pacid=40075922 transcript=Brasy5G488400.5 locus=Brasy5G488400 ID=Brasy5G488400.5.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKRLSKNSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDVFIFGMFKLYICQKLANNLIYFWLHTYNNIAYALFLLDPASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGT* >Brasy5G488400.6.p pacid=40075923 transcript=Brasy5G488400.6 locus=Brasy5G488400 ID=Brasy5G488400.6.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELAVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKSTGTSSDFLAVAFMEMKGY* >Brasy5G488400.7.p pacid=40075924 transcript=Brasy5G488400.7 locus=Brasy5G488400 ID=Brasy5G488400.7.v1.1 annot-version=v1.1 MFPNLAAMLVFSVLCFSLVTSGSGSSSSSSDTLNDGRRNITAGQTLVSAGGTFTLGFFSPGTPSLRYLGIWFSASTDAVVWVANRDSPLNDTSGVLTIKVTTGILLLLDGSGHTAWSSNTTTNGNNSSSSSAAAAQLQLLESGNLVVVRGTGGAVVWQSFDHPSNTLIAGMRLGVNPQTGAEWALTSWKYPTDPSTGDCRRAMDTRGLPDCVSWRGGAKKYRTGPWNGLYFSGVPEMASYSDMFTNEVIVRPDEIAYVFDAKPASPAPPFSRLVLGEAGVLQRLVWDPASKVWNVFAQAPRDVCDEYGRCGRFGLCDVNTPSTLFCGCVAGFGPVDAAQWAIREASAGCRRNVPLDCRAGGGTTDGFRAVRGVKLPDTDNTTVDMAATVEECRARCLANCSCVAFAAADIRGGGEGSGCVMWVGDIVDVRYVDKGQDLYVRLAKSELVNKNGRRMLKILLPVTSCLLVLACIFLVWICKFRGMRRSKDIQKKAMLSASTELGDENIELPFFSFRDMVTATNDFSNDNMLGQGGFGKVYKGMLEDDKEVAIKSTGTSSDFLAVAFMEMKGY* >Brasy5G414200.1.p pacid=40075925 transcript=Brasy5G414200.1 locus=Brasy5G414200 ID=Brasy5G414200.1.v1.1 annot-version=v1.1 MVSAKRLAQMAKKWQKMAALGRKRLARTTSTRAAGECCTTSSVAVKGHCVVYTADGCRFEVPLRYLGTAVFGELLRMAREEFGFAGGDDGRITLPCDAAVVEYAMCLLGRDASAEVMKAFLSSVARPCSFDGGVAAPCVGLNQHAAVC* >Brasy5G443100.1.p pacid=40075926 transcript=Brasy5G443100.1 locus=Brasy5G443100 ID=Brasy5G443100.1.v1.1 annot-version=v1.1 MRWTRGVRSQTVEDINGRNVLENPKLEASRRYNFLSRKFSRMASQAADHEECFLLVDGALDGVFSLLEEKLKELTIGNTVQTEVHEVYEVPENMEHNAGLKKKEVVQKSSSRRKRNWVEKMHNKHKKKPASTTTSTVHFLYLLLTTN* >Brasy5G307400.1.p pacid=40075927 transcript=Brasy5G307400.1 locus=Brasy5G307400 ID=Brasy5G307400.1.v1.1 annot-version=v1.1 MALSRRLLPLFLRRGGGLPPSPTRALSTAALPADVPAAEEEDDSITIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVAALVGADPREIFFTSGATECNNIAVKGVMHFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLIDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICREKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRVTALQQRLLDGIRAKVDDVVINGSMEHRYSGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFSTEEEVDRATELTVHQVIKLREMSPLYEMAKAGIDIKSIQWSQH* >Brasy5G208500.1.p pacid=40075928 transcript=Brasy5G208500.1 locus=Brasy5G208500 ID=Brasy5G208500.1.v1.1 annot-version=v1.1 MADNNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPTF* >Brasy5G264600.1.p pacid=40075929 transcript=Brasy5G264600.1 locus=Brasy5G264600 ID=Brasy5G264600.1.v1.1 annot-version=v1.1 MASRVGAARSRGNSPWKQVEELRPEEESLHRHVLLQKYILMAVTGIGYLALLWSTVVLLGGFVSSLGKKDFWCLTAISMLQASSKTPKSSGRRDIQETLRIVQVTVTWGISTTRQGDSRCIVSVARGSAAAPSSTESWLASAAVAFDLPSIGQGGGRGEGWGVVSPCEEWGGRRLPSRGEGSVAGVDIHVSRRVALLCEAWKFHEEWCSTSVVGYFRETRKKCQQDPNSIKDMRLIKYAVELLASESSQDYLSGTRMLDMFIKQGVDVRSLILPSRPKIQKLIDTLRWRSSGIEIRELAARVLAYLARDIDLTQYPGATRCISSLLDTTLPFWKNQQEANYQSPKDDNLICDVEVLQKHRANKKKNRDGDDQQGGAGSSIDDNRSGWNELILQGLIILERLASDQHNSRDICCTANLLPKIMTPLYSDTLVQDINVSARANVVNGSFKLVHQLIRAPGWTGRRLRREICANKQAVSNLESILDQDNKVSQELQIRTIEILTELALDLSTTLSMETKEYFIRKQLQIFLTGYEGKDPGTMLIGKNELKVKAGQSLALLSKTETISMFMVREYNDILDRLTGIVLDAQSKIMYRTEALEILENLRSSEEDDEENRLPKHDRRIKSSDQENEEQIEEMELQALLSLTLVICNKLVVNEDDFDDVAQNIFHGDEVVVMLKAIVDLRCQATVNNSLRTVQLCGQIAVSLIRHSQYTAHFKNHKFVESLCKASEIMSSQESCTLLAGAENRVKNTARPFLSDLEAVLKEAVESVGSPAS* >Brasy5G262000.1.p pacid=40075930 transcript=Brasy5G262000.1 locus=Brasy5G262000 ID=Brasy5G262000.1.v1.1 annot-version=v1.1 MGKGKVHPSPPAPGGLETAEGVLLRLLPAAVLAMAAPLGAGGKEVLAYLVLASLRSSAPPTPAREEESGCAARRPPHGPELGCGCFECYTAYWSRWDGSPESDREAIHRAIEAFDEHLAREEEGKGNRRRKKRAAAKDKAGKGKGKAAAAAVSPQPLVVLPEQEQASSSSAAAVGEEQKTVEEAEAAAAVGLSDGDGVQEERRRRVWGAVAGVFNWRGWTLWGSH* >Brasy5G153400.1.p pacid=40075931 transcript=Brasy5G153400.1 locus=Brasy5G153400 ID=Brasy5G153400.1.v1.1 annot-version=v1.1 MVHVNFYHNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGKQIVNIPSFMVRIDSEKHIDFSLTSPFGGGNPGRVKRKNQKKASGGGGGGDEEDEE* >Brasy5G497200.1.p pacid=40075932 transcript=Brasy5G497200.1 locus=Brasy5G497200 ID=Brasy5G497200.1.v1.1 annot-version=v1.1 MDAYEATKVVFARVQALDPELASKIMGMLLVQDRSEEDMIRLAFGPEHLLHAVVARARADIAGHHHKLSSPSSPPPLSPWEDDLAPPFAGDGQRFDGDGRAAAMEEFMWGEQQQQHRRSLSLSDADAAGGWKPCLYYARGFCKNGGSCRFVHGGLPDHALAAEQDMGDAIRAARAEAAVRSELMASAFPFSPSPRGLNFLLQQQQQQSDSQRVAAAAMMMAGGGGDDMHKFAVRSPRLDRCVSDLASNPAARQIYLTFPADSTFSEEDVSSYFSMYGPVQDVRIPYQQKRMFGFVTFVYAETVRLILAKGNPHFVCDARVLVKPYKEKGKVPDRFRKFPHAHQGDFAGSTTPTGLLDSRDPFDLQSPQIGPRMMYGNMGSHEAFLRRKLEEQQQAAELQQAIELQGRRFMGLQLLDMKSRGHHHHLASPIGSPVGLRHHADCNGNGNALHFDDATSIQDHKMSSGGGLAMMTSAAPAAISAIAEDGKQQLEEGDGDRSSPKQGVNSGEEEKRESGPLANGFQESGVEEHNLPDSPFASPTKANSSVDAAAATHNGNINGSPHLVASSLFPPTSALELPPYKSCFFQVPRFSPGHGAIGL* >Brasy5G177000.1.p pacid=40075933 transcript=Brasy5G177000.1 locus=Brasy5G177000 ID=Brasy5G177000.1.v1.1 annot-version=v1.1 MATPEIPFLADEILEEIFVRLPHPQALGCAAIASPSFRRIVTKRSFLRRFRKLHPPPLLGFVTGGEGGFYPAQEPHHSAPIARALVRAADFSYAFVPAPKGVFSRWFACDARDGRVLLESSRCFRRSILHTVFAVCEPLSRRYLVLPPLPVDLYQQSVQHKKLDNFQHRLASVGEDEDETSFRVIFWVNCSSKIVTFVFSSATGQWCVDASPSWISLGIDDPSWRRVLRRFMYSSSCFYWAARYKDKVFVLDTRTMEFSTSRCTSSFVLPIEGALEMFTVVEDCCPNGSSYLYHTTQENNNGSCHLKIVKPLPHGFCYSTEDANEGFLFSLEVKTSQLKKVYGPTRISRYFHSYFGLPPLLSKPSL* >Brasy5G018000.1.p pacid=40075934 transcript=Brasy5G018000.1 locus=Brasy5G018000 ID=Brasy5G018000.1.v1.1 annot-version=v1.1 MGISSKWIKSLVGIKKHGKTENAESSKERCSSDQLLHKRKHSMDTEGTLAIAELTVQTEPLASDINTQPILNTISSPSMYLQDSQSELDTEEHQAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMEALVKAQARVRARQVRVALESQETQNKAPEQNLHDDHAREEERWCDGIGSVEEMKAKVLKRQEAAAKRERAMAYALTHQRQAGSRKQKAATVQGLDEDENQWGRNWLERWMAARPWENRLLDSNAKESFPIGDDKQAEENEANNVIRPKGKVPVSIHSSGSSQKKGATHKKSHSDVSGSSSGQSATVLPTTSLGSSKLKPKPSDQTSEEVNSESSNLVSRSTSNPKERPAQVNAPAKKRLSLPNNATAGRGIGKGPANSSQKSRSISSKNTAKGASKQRPNPASTTAKRVQAQA* >Brasy5G379400.1.p pacid=40075935 transcript=Brasy5G379400.1 locus=Brasy5G379400 ID=Brasy5G379400.1.v1.1 annot-version=v1.1 MVLRMLTPPLFFFFAVFVAALTNPRGASALTRRDFPEGFVFGAGSSANQVEGAAAEDGRKPSIWDTWSHQGYSFDGSTADVSADQYHHYKEDVQLMHNMGLDAYRFSIAWPRLIPDGRGQINPKGLEYYNSLIDELILHGIQPHVTIYHFDLPQVLQDEYGGLLSPRFIEDYTAYAEVCFKSFGDRVKHWVTVNEPNIEPIGGYDTGFQPPRRCSYPFGVDCAGGDSSTEPYIAAHHLLLAHASAVSLYREKYKETQGGQIGITLLGWWHEPATNTPQDAAAARRMNEFHIGWFMHPLVYGDYPPVMRSRVGARLPVLTVLDSEKIQGSFDFIGFNHYIIMRIQSIDTNSSQQPRDYYVDAAVKNPADSISKGQVETAPWSLSKLLEHLKLNYGNPPVWIHENGYGSAAPGALGKTEYEYDDDRTEFLRDYLEVLQLSIRNGSNARGYFVWSFLDVFEFLFGYQLRFGLCGVDMSDPGRTRYVRNSARWYSGFLHGGELRPVVQSEKYYSEK* >Brasy5G222500.1.p pacid=40075936 transcript=Brasy5G222500.1 locus=Brasy5G222500 ID=Brasy5G222500.1.v1.1 annot-version=v1.1 MAGRSYADEPDLCELWLQLNADDDEQQQPAAPPNAAAADAPAPVHHVVQGQQQPPPALQQPQQEEEELPPPEPEPMQMMMGDMAPEPEPVEFEEQADIEDFLGGIFDFWDDGGEDQDLPAVVVPGAGNMVEPPPPIIIHMHAADPDMEEMRRFILDAGEDACNKLPKPIRQFCYNRPPFNKFLAMLGKQNGKIKRLANVAKHSAFVTAEMRRLMEEYLGQKAEIIACLEDGMNKEKCKNNFPAFGRRGNNGGDGPSGGSAGAGAAAMA* >Brasy5G391800.1.p pacid=40075937 transcript=Brasy5G391800.1 locus=Brasy5G391800 ID=Brasy5G391800.1.v1.1 annot-version=v1.1 MQHLALLRPLIQSPLIPASPLAAPCRRRRVRGLGVRGRCAPGEGAGDGVSSAEWLSSAVGEKVDELLQREENRALLEGVEAAERRVELARAALQDIERQEAAARLASEEVRRLEKRRDEIQESQRELLLAREMITEAQRTLSSSLEDQSFGDVSTGDIDEDSERLESVKAAALSSIVGVLASLPISFYEVDSFPRLFVRSSIVFVSGALFGVTFRYAIRRDVDNIQLKTGVAAAFAFVRGLAMLESGRPFELSSEAVISLALDGAFSVAESIFIFLPAAIALDFCFKMRFLSPFPTRKL* >Brasy5G035500.1.p pacid=40075938 transcript=Brasy5G035500.1 locus=Brasy5G035500 ID=Brasy5G035500.1.v1.1 annot-version=v1.1 MESYLENFGDVKAKNSSEEALRRWRKLCSVVKNPKRRFRFTANLAKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLKLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVAGIAGKLATCPEDGISTDEDSIKRRHDIYGINKFTESEVRSFWVFVWEALQDTTLIILAICAFVSLVVGITMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKRKIQVQVTRKGFRQKISIYDLLPGDVVNLAIGDQVPADGLFISGFSLLINESSLTGESEPVFVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLITQKYHDGLLLSWSGDDALAMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIIEVNNPPNASKLCSELPENVVKTLLESIFNNTGGEVVINQNGEYQILGTPTETAILEFAMTLGGDFKAKRAENKIVKVEPFNSTKKRMCVLLELAGGGYRAHCKGASEIVLAACDKFIDVTGAVAPLDKATADKLNGIIDSFASEALRTLCLACREMEEGFSIGEQLPLQGYTCVAIVGIKDPVRPGVRESVATCRSAGVMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLEELLELIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNIFGQSFYQFVVMWYLQTQGKSFFGLGGSDADIVLNTIIFNSFVFCQVFNEISSREMEKVNVLKGMLNNYVFMAVLTSTVVFQFIMVQFLGEFANTTPLTRLQWLASVLLGLAGMPIAAAVKLIPVGSS* >Brasy5G220900.1.p pacid=40075939 transcript=Brasy5G220900.1 locus=Brasy5G220900 ID=Brasy5G220900.1.v1.1 annot-version=v1.1 MRFLGWYLKIACGGAAIGAAMELFMVHTGFYEKVTMLESEKRAWESSPEAQAMREALNPWHNARQDDERERR* >Brasy5G478100.1.p pacid=40075940 transcript=Brasy5G478100.1 locus=Brasy5G478100 ID=Brasy5G478100.1.v1.1 annot-version=v1.1 MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN* >Brasy5G290100.1.p pacid=40075941 transcript=Brasy5G290100.1 locus=Brasy5G290100 ID=Brasy5G290100.1.v1.1 annot-version=v1.1 MEAARPAETTQQHHHGGCLAAARTFMPPAGPVARCSGGSSLAGSSDEASCGSPRWIEKGLSCVCIKRRGAYERICMNLTPLQEQRLQRLRHRMKVYFDPSRRNHQEALRALWYATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQSGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAVFIQMLSEDEWAFDLLYCVAFLVMDKQWLEKNASYMDFNEILKSTRTQLERELLLEDVMRIEDMPSYGLLC* >Brasy5G335300.1.p pacid=40075942 transcript=Brasy5G335300.1 locus=Brasy5G335300 ID=Brasy5G335300.1.v1.1 annot-version=v1.1 MSRMKIISLGIVVLAALVLASEGRIARKDLGIDLGGGGSLGIGTGIGISIGGGAGGAGSGSGSASGSGSASGSGSGSASGSGSGSGSGSGAASSAGSGAISGGGSYAGSGAGSGSGAGSGSGYGQGQGQGSGYGQGSGSGHGQGYGSGSGYGEGHGEGHGQGNGSGSGYGEGHGEGHGQGNGYSQGSGYGEGHGQGSGSGSGYGEGSGSGYGSGNGAGSGYGEGHGYGYGSGHGN* >Brasy5G111800.1.p pacid=40075943 transcript=Brasy5G111800.1 locus=Brasy5G111800 ID=Brasy5G111800.1.v1.1 annot-version=v1.1 MASGSSRGQVDKFFSAKKRRSSSRKDEPLPFGSQHGSPGGAKGSLAGYLVRSPSAAAAAAAGSQGVGDEGVRRNLSAAMDVDVYNSAAATAGYGDDLEMNRSSIPSVMCDAGKGEPDKKQKRSAGHIPCSGRSVKKQCVAHSGAFEVPKVTHGSGSEPLEELGEVAKLSSEGFGGLQRCSFTPNTAQKKVEFSVPPGQTPKSASSLVSPGEDFWNAAIEFADGISALADKGPRRAYHDVTEDKSSCPVALCSKTLPRSGDDFDCENTVGSNVTKQMDESSNKVELVATTRHHKNISPLPVKHLNFFHEDEIPVSSLEGKEKGGTFSENVQADQGKLKDNCFPRMENLRHSVDDLKTITFDPHTSSPIMIPSEGLFKSNMVGKGHSTEMGGEGSCMIKTDLNQLTHGETKSLAPYSNCRKPCRDSQSKFASQRVEVSTPTSSVPLKDHSKLSSWLPPQLCAVYMKKGISELYPWQVECLLMDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNIAILVLPYVSLCAEKAAHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGENSSSSSGKTGATHGLQIIGMSATMPNVAAVADWLQAALYQTTFRPVPLEEFIKVGNQLFDKDMNIVRVLPKVADLGGKDPDHIVELCNEVILEGHSVLLFCSSRKGCESTARHIAKYLKVAYVGSKEVGSEFQDAASAVEALKRCPAGLDPVLEETLPCGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCKPEEVKRITGIIRSECPPLQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFVEWNNDTKIYSTTPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLSSLEQSVGNRVGVTEPFLMHMAHGAAMPVRGKSKKNTSGGSGGNSLINEQSLRVSKRFYVALMISRLAQEIPVTDVCESFKVARGTIQALQENAGRFASMVAAFCQRLGWLDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYNSGLRTPVNIAEASVLQIAKALFESSTWSGQDDSGLRRMQLGIAKKVKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPASEDSPPREITVPPGGDHAKCHESALGTHVGDDINICFDYVDQRASTEILVEDIHPISSIQIKASEGIENNVSMATMQEEASPLSTEIIDKLPSRNVAEKGPVSAYSFPGGFDSFLDQWSLVNEFTFDLHFVRKSTKLSSAIFEILGLAVCWENSPIYYCNFPKDLATTSNNDSAEIWEEFNRRWSRIVGIMQQKSVRKMTWNLKIQIQALKSPCISCQRLARIHLDYKTLNNIEVLDSTYVMLPPISVYNGLDICLVAWILWPDEESKTVPNLDKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSQNLNDLVETVEGPLVNVLADMELWGIGCDMEACLRARHIIIKRLKELEKEAYKLVGKSFSLNSNADIADILYTHLKLPVPKGIEKGKLHPSTDKQSLDHLRDLHPIISVIRDHRTLAKLLNGTLGSICSRAQLCSKSQRHIIHGNWLQTSTATGRLSMEEPNLQCVEHMVDFTTGKNDKDLTSMSMVHHHEINARDFFIPTQDNWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWIGKDESLVSSKDRDNTKRFIYGILYGMGANSLAEQLECSPEEAAQKIQSFKRFFPGVSSWLQAAVASCRQKGYVETLMGRRRFLSKITAGNSTEKAKAQRQAVNSICQGSAADIIKVAMLKVHSVITNENYTVDSMDGLMHNFSEIRGRCHLILQVHDELVLEVDPSMVAEAGRLLQISMENAASLFVPLRTKIKVGKTWGSLEPFQPEP* >Brasy5G111800.3.p pacid=40075944 transcript=Brasy5G111800.3 locus=Brasy5G111800 ID=Brasy5G111800.3.v1.1 annot-version=v1.1 MASGSSRGQVDKFFSAKKRRSSSRKDEPLPFGSQHGSPGGAKGSLAGYLVRSPSAAAAAAAGSQGVGDEGVRRNLSAAMDVDVYNSAAATAGYGDDLEMNRSSIPSVMCDAGKGEPDKKQKRSAGHIPCSGRSVKKQCVAHSGAFEVPKVTHGSGSEPLEELGEVAKLSSEGFGGLQRCSFTPNTAQKKVEFSVPPGQTPKSASSLVSPGEDFWNAAIEFADGISALADKGPRRAYHDVTEDKSSCPVALCSKTLPRSGDDFDCENTVGSNVTKQMDESSNKVELVATTRHHKNISPLPVKHLNFFHEDEIPVSSLEGKEKGGTFSENVQADQGKLKDNCFPRMENLRHSVDDLKTITFDPHTSSPIMIPSEGLFKSNMVGKGHSTEMGGEGSCMIKTDLNQLTHGETKSLAPYSNCRKPCRDSQSKFASQRVEVSTPTSSVPLKDHSKLSSWLPPQLCAVYMKKGISELYPWQVECLLMDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNIAILVLPYVSLCAEKAAHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGENSSSSSGKTGATHGLQIIGMSATMPNVAAVADWLQAALYQTTFRPVPLEEFIKVGNQLFDKDMNIVRVLPKVADLGGKDPDHIVELCNEVILEGHSVLLFCSSRKGCESTARHIAKYLKVAYVGSKEVGSEFQDAASAVEALKRCPAGLDPVLEETLPCGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCKPEEVKRITGIIRSECPPLQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFVEWNNDTKIYSTTPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLSSLEQSVGNRVGVTEPFLMHMAHGAAMPVRGKSKKNTSGGSGGNSLINEQSLRVSKRFYVALMISRLAQEIPVTDVCESFKVARGTIQALQENAGRFASMVAAFCQRLGWLDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYNSGLRTPVNIAEASVLQIAKALFESSTWSGQDDSGLRRMQLGIAKKVKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPASEDSPPREITVPPGGDHAKCHESALGTHVGDDINICFDYVDQRASTEILVEDIHPISSIQIKASEGIENNVSMATMQEEASPLSTEIIDKLPSRNVAEKGPVSAYSFPGGFDSFLDQWSLVNEFTFDLHFVRKSTKLSSAIFEILGLAVCWENSPIYYCNFPKDLATTSNNDSAEIWEEFNRRWSRIVGIMQQKSVRKMTWNLKIQIQALKSPCISCQRLARIHLDYKTLNNIEVLDSTYVMLPPISVYNGLDICLVAWILWPDEESKTVPNLDKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSQNLNDLVETVEGPLVNVLADMELWGIGCDMEACLRARHIIIKRLKELEKEAYKLVGKSFSLNSNADIADILYTHLKLPVPKGIEKGKLHPSTDKQSLDHLRDLHPIISVIRDHRTLAKLLNGTLGSICSRAQLCSKSQRHIIHGNWLQTSTATGRLSMEEPNLQCVEHMVDFTTGKNDKDLTSMSMVHHHEINARDFFIPTQDNWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWIGKDESLVSSKDRDNTKRFIYGILYGMGANSLAEQLECSPEEAAQKIQSFKRFFPGVSSWLQAAVASCRQKGYVETLMGRRRFLSKITAGNSTEKAKAQRQAVNSICQGSAADIIKVAMLKVHSVITNENYTVDSMDGLMHNFSEIRGRCHLILQVHDELVLEVDPSMVAEAGRLLQISMENAASLFVPLRTKIKVGKTWGSLEPFQPEP* >Brasy5G111800.2.p pacid=40075945 transcript=Brasy5G111800.2 locus=Brasy5G111800 ID=Brasy5G111800.2.v1.1 annot-version=v1.1 MASGSSRGQVDKFFSAKKRRSSSRKDEPLPFGSQHGSPGGAKGSLAGYLVRSPSAAAAAAAGSQGVGDEGVRRNLSAAMDVDVYNSAAATAGYGDDLEMNRSSIPSVMCDAGKGEPDKKQKRSAGHIPCSGRSVKKQCVAHSGAFEVPKVTHGSGSEPLEELGEVAKLSSEGFGGLQRCSFTPNTAQKKVEFSVPPGQTPKSASSLVSPGEDFWNAAIEFADGISALADKGPRRAYHDVTEDKSSCPVALCSKTLPRSGDDFDCENTVGSNVTKQMDESSNKVELVATTRHHKNISPLPVKHLNFFHEDEIPVSSLEGKEKGGTFSENVQADQGKLKDNCFPRMENLRHSVDDLKTITFDPHTSSPIMIPSEGLFKSNMVGKGHSTEMGGEGSCMIKTDLNQLTHGETKSLAPYSNCRKPCRDSQSKFASQRVEVSTPTSSVPLKDHSKLSSWLPPQLCAVYMKKGISELYPWQVECLLMDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNIAILVLPYVSLCAEKAAHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGENSSSSSGKTGATHGLQIIGMSATMPNVAAVADWLQAALYQTTFRPVPLEEFIKVGNQLFDKDMNIVRVLPKVADLGGKDPDHIVELCNEVILEGHSVLLFCSSRKGCESTARHIAKYLKVAYVGSKEVGSEFQDAASAVEALKRCPAGLDPVLEETLPCGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCKPEEVKRITGIIRSECPPLQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFVEWNNDTKIYSTTPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLSSLEQSVGNRVGVTEPFLMHMAHGAAMPVRGKSKKNTSGGSGGNSLINEQSLRVSKRFYVALMISRLAQEIPVTDVCESFKVARGTIQALQENAGRFASMVAAFCQRLGWLDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYNSGLRTPVNIAEASVLQIAKALFESSTWSGQDDSGLRRMQLGIAKKVKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPASEDSPPREITVPPGGDHAKCHESALGTHVGDDINICFDYVDQRASTEILVEDIHPISSIQIKASEGIENNVSMATMQEEASPLSTEIIDKLPSRNVAEKGPVSAYSFPGGFDSFLDQWSLVNEFTFDLHFVRKSTKLSSAIFEILGLAVCWENSPIYYCNFPKDLATTSNNDSAEIWEEFNRRWSRIVGIMQQKSVRKMTWNLKIQIQALKSPCISCQRLARIHLDYKTLNNIEVLDSTYVMLPPISVYNGLDICLVAWILWPDEESKTVPNLDKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSQNLNDLVETVEGPLVNVLADMELWGIGCDMEACLRARHIIIKRLKELEKEAYKLVGKSFSLNSNADIADILYTHLKLPVPKGIEKGKLHPSTDKQSLDHLRDLHPIISVIRDHRTLAKLLNGTLGSICSRAQLCSKSQRHIIHGNWLQTSTATGRLSMEEPNLQCVEHMVDFTTGKNDKDLTSMSMVHHHEINARDFFIPTQDNWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWIGKDESLVSSKDRDNTKRFIYGILYGMGANSLAEQLECSPEEAAQKIQSFKRFFPGVSSWLQAAVASCRQKGYVETLMGRRRFLSKITAGNSTEKAKAQRQAVNSICQGSAADIIKVAMLKVHSVITNENYTVDSMDGLMHNFSEIRGRCHLILQVHDELVLEVDPSMVAEAGRLLQISMENAASLFVPLRTKIKVGKTWGSLEPFQPEP* >Brasy5G111800.4.p pacid=40075946 transcript=Brasy5G111800.4 locus=Brasy5G111800 ID=Brasy5G111800.4.v1.1 annot-version=v1.1 MASGSSRGQVDKFFSAKKRRSSSRKDEPLPFGSQHGSPGGAKGSLAGYLVRSPSAAAAAAAGSQGVGDEGVRRNLSAAMDVDVYNSAAATAGYGDDLEMNRSSIPSVMCDAGKGEPDKKQKRSAGHIPCSGRSVKKQCVAHSGAFEVPKVTHGSGSEPLEELGEVAKLSSEGFGGLQRCSFTPNTAQKKVEFSVPPGQTPKSASSLVSPGEDFWNAAIEFADGISALADKGPRRAYHDVTEDKSSCPVALCSKTLPRSGDDFDCENTVGSNVTKQMDESSNKVELVATTRHHKNISPLPVKHLNFFHEDEIPVSSLEGKEKGGTFSENVQADQGKLKDNCFPRMENLRHSVDDLKTITFDPHTSSPIMIPSEGLFKSNMVGKGHSTEMGGEGSCMIKTDLNQLTHGETKSLAPYSNCRKPCRDSQSKFASQRVEVSTPTSSVPLKDHSKLSSWLPPQLCAVYMKKGISELYPWQVECLLMDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNIAILVLPYVSLCAEKAAHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGENSSSSSGKTGATHGLQIIGMSATMPNVAAVADWLQAALYQTTFRPVPLEEFIKVGNQLFDKDMNIVRVLPKVADLGGKDPDHIVELCNEVILEGHSVLLFCSSRKGCESTARHIAKYLKVAYVGSKEVGSEFQDAASAVEALKRCPAGLDPVLEETLPCGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCKPEEVKRITGIIRSECPPLQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFVEWNNDTKIYSTTPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPTNVDVEPDWELYYERFMQLSSLEQSVGNRVGVTEPFLMHMAHGAAMPVRGKSKKNTSGGSGGNSLINEQSLRVSKRFYVALMISRLAQEIPVTDVCESFKVARGTIQALQENAGRFASMVAAFCQRLGWLDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYNSGLRTPVNIAEASVLQIAKALFESSTWSGQDDSGLRRMQLGIAKKVKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPASEDSPPREITVPPGGDHAKCHESALGTHVGDDINICFDYVDQRASTEILVEDIHPISSIQIKASEGIENNVSMATMQEEASPLSTEIIDKLPSRNVAEKGPVSAYSFPGGFDSFLDQWSLVNEFTFDLHFVRKSTKLSSAIFEILGLAVCWENSPIYYCNFPKDLATTSNNDSAEIWEEFNRRWSRIVGIMQQKSVRKMTWNLKIQIQALKSPCISCQRLARIHLDYKTLNNIEVLDSTYVMLPPISVYNGLDICLVAWILWPDEESKTVPNLDKLVKRRLHSEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSQNLNDLVETVEGPLVNVLADMELWGIGCDMEACLRARHIIIKRLKELEKEAYKLVGKSFSLNSNADIADILYTHLKLPVPKGIEKGKLHPSTDKQSLDHLRDLHPIISVIRDHRTLAKLLNGTLGSICSRAQLCSKSQRHIIHGNWLQTSTATGRLSMEEPNLQCVEHMVDFTTGKNDKDLTSMSMVHHHEINARDFFIPTQDNWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWIGKDESLVSSKDRDNTKRFIYGILYGMGANSLAEQLECSPEEAAQKIQSFKRFFPGVSSWLQAAVASCRQKGYVETLMGRRRFLSKITAGNSTEKAKAQRQAVNSICQGSAADIIKVAMLKVHSVITNENYTVDSMDGLMHNFSEIRGRCHLILQVHDELVLEVDPSMVAEAGRLLQISMENAASLFVPLRTKIKVGKTWGSLEPFQPEP* >Brasy5G429500.1.p pacid=40075947 transcript=Brasy5G429500.1 locus=Brasy5G429500 ID=Brasy5G429500.1.v1.1 annot-version=v1.1 MGASGRAMEVLDMMMGDMFERLADEAARLAKVAGRATLSSREVQNAVRLVLPGELAKHAISEGTKAVTSYMSDRPAG* >Brasy5G320600.1.p pacid=40075948 transcript=Brasy5G320600.1 locus=Brasy5G320600 ID=Brasy5G320600.1.v1.1 annot-version=v1.1 MAGQPRDRGSRAARKGRPVRTPSGPLASDPDPSSPAADGVAPWGRATMEELEDRLLKKLEEAYAAALARLADLGYAEEAALRAVLRAGHCYGKLDDPVANIVANARAFLSDPDLAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLSRTEAMWCLLSTDLHLEEAISKGASFTDDKSSSSFTPAEGGDLCPTLPASRQLGYCHYHATTVAATPENHLFDPETFMRLAMRPVADCATGAAGVVSCIKSTWSRSSGPVPDGQPKQSFAMKVSTEDLIESVVMELESLDIDKKDPPAEKPDPKNEMVHDLIKQTREMEVLLKERKEWAQKKAVQAARKLGNDLTELRLLRMEHDDNQRRKKDKQAMEDETMKRLTYLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASETERQCQMLLKKDKKDSKKLELWERQKAKLQEEISECKAKITQADKELAATNKSIKNMEIKIREDAKTTEENLSLAEQERGKRESAKADADRRLEEIRQKTEVESQCYKDDLRRLQDELSRLQKSMGAPTVPSTQPRAMTDRSAVRAPKQPNQRSPLASNRPQEPTQKTGRRRDCVICRREEACVILLQCAHQVLCVSCNKLHEEKGGRCPSCNSKIEERIRVFGATSN* >Brasy5G479800.1.p pacid=40075949 transcript=Brasy5G479800.1 locus=Brasy5G479800 ID=Brasy5G479800.1.v1.1 annot-version=v1.1 MRRRFARMGAVECSGEAQWLAGARRRAGLLNTRWGTVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNGSSPPALLRATNSTQEVPRFDSLPSSTEGRLMICPKFSYLPAHTRCLMICPKFSYLPAHIRCLMICLFQIFDLCKVIMVDNGESFLQKSDMRLLRCIQR* >Brasy5G479800.5.p pacid=40075950 transcript=Brasy5G479800.5 locus=Brasy5G479800 ID=Brasy5G479800.5.v1.1 annot-version=v1.1 MRRRFARMGAVECSGEAQWLAGARRRAGLLNTRWGTVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNGSSPPALLRATNSTQEVPRFDSLPSSTEGRLMICPKFSYLPAHTRCLMICPKFSYLPAHIRCLMICLFQIFDLCKV* >Brasy5G479800.4.p pacid=40075951 transcript=Brasy5G479800.4 locus=Brasy5G479800 ID=Brasy5G479800.4.v1.1 annot-version=v1.1 MRRRFARMGAVECSGEAQWLAGARRRAGLLNTRWGTVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNEGRLMICPKFSYLPAHTRCLMICPKFSYLPAHIRCLMICLFQIFDLCKVIMVDNGESFLQKSDMRLLRCIQR* >Brasy5G479800.3.p pacid=40075952 transcript=Brasy5G479800.3 locus=Brasy5G479800 ID=Brasy5G479800.3.v1.1 annot-version=v1.1 MRRRFARMGAVECSGEAQWLAGARRRAGLLNTRWGTVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNEGRLMICPKFSYLPAHTRCLMICPKFSYLPAHIRCLMICLFQIFDLCKVIMVDNGESFLQKSDMRLLRCIQR* >Brasy5G479800.2.p pacid=40075953 transcript=Brasy5G479800.2 locus=Brasy5G479800 ID=Brasy5G479800.2.v1.1 annot-version=v1.1 MRRRFARMGAVECSGEAQWLAGARRRAGLLNTRWGTVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNEGRLMICPKFSYLPAHTRCLMICPKFSYLPAHIRCLMICLFQIFDLCKVIMVDNGESFLQKSDMRLLRCIQR* >Brasy5G121800.1.p pacid=40075954 transcript=Brasy5G121800.1 locus=Brasy5G121800 ID=Brasy5G121800.1.v1.1 annot-version=v1.1 MAYVEFPAKIFLEEFVSLLELAPPEFTGQKDGPEGFFIVGVKVTLGPADRIPYYEAAGATVAEAEQVASHVLIQVVAAERQVEIHDINYPEVQYLRNQVAGMQGKYMEMQKLCVELLGILRRSESEVIFLERLSQRFYRRIRSLHDVIAVLQSGGGSGGGGSSSGSNF* >Brasy5G521900.1.p pacid=40075955 transcript=Brasy5G521900.1 locus=Brasy5G521900 ID=Brasy5G521900.1.v1.1 annot-version=v1.1 MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVEENDDGGNPALLGSCNDRAKQLHASASGRLLTALIGEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSSKNGAEGSRSAESGPMLLDVLEGYLKYENLSQNKDGW* >Brasy5G032800.1.p pacid=40075956 transcript=Brasy5G032800.1 locus=Brasy5G032800 ID=Brasy5G032800.1.v1.1 annot-version=v1.1 MAPPGMARSWLSHRFSEQGEKEGGVCGCSPEAEIAPLGQGAGHRQAIMSSWGSFTELLASVGGALPASSQREPAPRKQRPNIKEWVSKEKKRVIASMDPQGEMLHEMIEGLVSSLEDKFTSVFSTALLNCSQVRLHDVTIQVRYLDDSHVLILRATDLLCGPELVSRSTLFRGLFGSSISSRKKNRLLVKCDDFEFMLKENDRTDCSVSFTGLSACVKLDHLQLAAFSIHVPDARWKISPKVIPSLMVILDITSQKEDYRVRSGRELWKIAGRKLDSSVVCHRFSLNKALSCATFWRRYVHAYVLLLSLVGYPSDKVIMKNCSRVTRNRKFLGAIRYHWKNVIELEENVPVEAIARARRAARSKLTMSQQHSKQESSKSLLFCSVMKVLSPFLCLWGFLVSIFWSMWRNVVSGNQGCRSGAHVFPVFSHESDMDFELSIHLEELSITLLPIADYFTGTKRLNRGNKTCPIDLPLAHVVMKTSCLLYSVGCTTQSLFFVVGELKTLLSAVPKLSQVDNSNTPTRNSSFGTPEFAEDPDSKMVLWTDSASMHPFSGKQSDEFSHSDDSSAALIQSSMEDLWAEWMVISTSYNESGVSHNAMPCVISGFKSCVVDPYKTTSGFQQCIFTVGRLNLDLDYVCAASTYMLYTQFTHYKQLKEPIEYFSDLSNSGGACVTPTSGVVDKLRSFTQRIKIVLSDAIPENTLKVAVLVAGPSIQLNFDNNNLYQNSKKMYEPLFSQMNNKASIVLSLAYVECAMWPTSLSTPLRSNSHVKESHNMFGMKEVQEPPYLATESSAKHVYPGNIVSDACLKFAGLTLLIDNLEANQKCNIFGPMSANFQLSMSRKYVHSFFVSRNILSLNLGGAIVGCKALVYMDELFTVCQLIESMPLVALNSDLVQFKYSQDFIGRLASFCNRSVKGSTTDLGVDHILQEESVDSHTELMVEVDLELESTYIIFSTSRGGLFPNPALFVNSTISYITISPIFEGIAAQELLDMLTLGVGFCIRSSSLKFLLGGQCTGILVNFSGIQSVVCENQVEYTTMLSSLPYNKNQFIITEFIFHLRVGPTKDSLTNEKVQAESRSGHTSASLGIWYSIETELTEVYIGDYRIHNYLNEVNQPRKQKISLLIHDNQIFKCKIQGGFIFLETLFLAKLFLGCKLYSWLLMEIPLWTTTNLAKDSVAPASARSDPDVTNTSTERDVSPLSLGVHSQTEESQLNVVKYLDVDLSRFSLTLAIADESDTYQGLTLEVDAGLQLLNFGTKISFEVKRLSISTISSMHKHAHEQLRDVPEPRFRSSKSVALPSQPEIQEYLPFIEADNVLTHDHDAPSTSTSIVESSTGSKSLEFSSHKSYILSHFSTSLKIERKKLDGDCTLICLNGDWFGKGVVSGLEVVMSLSSIEMITSLLAPFHGMLGSASTKKEIHVDDTAQREQTDSIDYTIPDGAIVAIQDLDQHMFVSVKNTGMNYQVVGAYHYSLAGEHALFKVKHHKRWRSDTPYISLLSLHAKNDEGKELALSFSQGSDLVEISSFVDKPCSLWSTYPLRFDSFDDDDDDNKSCKVVSSSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKLKVLDESLFSDVARLDIPNMNLDSNSYLDVEDELPSAMRDRLETGASSQHVTISIDKIVFIITHEVFDTGNIFPLVQNCISDIRVVTQIYASKIRILSSFKVSGQYFDAQRNLWEDLISPITSYVFLRFRFFNQDPVTRCSRTPLRFFFHLKQVDIFINELSVDILLYLAGKLDLMGPYAVRSSAIFPNCCKIENNSRLTLVCHFQNNGDAIVPGRQSTSIFLRHLIFDDDRLHEESLVSISLLKEGAFSTAPISTSLQESGIFAWRTLASSLKGSRSFSGPFVVVKVSQDSVEGLSLSVQPLLRIYNKSDFPLELRFQRPNKANEEAAFVTVRSGDMVDESTGVFDAMDLSGGSKRALMSLALGKFMLSIRPEISEHSKNLGHVILVKWSEDIAGEKTVRISGVMEKLNYNLRKALSIDSVKSSFSSLSCPVSVDGQNVTDLHFLIHTLGRDVPLQPTNGTRVSGRSAPVALQFQREIFIYPTVQVYNFLQTDIHVLLTDCQPENIREDNFGLIGKQATITSGSNAYFYVNPSMFNFSVTLISYGSKSKAANSGDWVKRMQKQTDRAQFLDLELEFIPGKFHSSLRLLRQEKGLLEVALFTRYTLQNASDYPLLCTSSHKKSLPVSEFGKDNIILPQNGCVLPSMSMSSWFTKSSKLRISLHDKEGSEAFIDLEALSGFTEFFLEIHDNVLPHRIAAFGMCLQPVNYGLPVSSQVVLIVPRYVISNESVTAVAVRQCFVQDDIDGLTIEAKQRATLQTWKPGKKREVNYFDLFVKKHRSVSEDSHIFIQFCPKETGYSWSGPICVSSIGLFFLKFRRSEGMLTDVIKKDTLQDGKLKQFASVDVVQESTSFVLHFTKPPKVALPYRVENFLNETSIMYFQKDSDESDVLRPQESEQYAWDDLSLPRKLVVRIVDTPALREIKIDKISPWKPFLKMRQNSRLNLDFSFSNGLSSGKQGFDDSFGLRVFKIGYEVYADGLTRVLRICENAENPKAEKIKRPIAHAQFRISYMCFHVLDKNQSDEKLQSLSTILTARFQHVSADSLITDRYKHITIAIYSVNLDEKWEGASFGSVLRRNQLQGDTLSENIFRVTFVLNSTNSNVKQVHYCSIILQPIDLKVDEGTLMKLVPFWRTSLAPTGTPSTQFYFRQFEVHPIKIIASFRPGSPHTSYSSAQEALRALLHSVIKVPEISNSAVELNGVLLNHALVTFRELFLKCAQHYSWYALRAIYISKGSLLLPPSFASIFDDSASSVLDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSVVRGAETNGLNGMVTGFHKGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQVILKNLPPNSALINEIVENVKSFLVSKALLKGDASTVRRLRHLRNEPEWRIAPTVLTLCEHLFVSFAVRVLHREATKAIAEITSKVRPPTGGEDGGESSSSGGALAKRSRLWTVGRFAASGVVAYVDGRLCRHIPNPIARRIVSGFLLSFIDRRDNE* >Brasy5G076300.1.p pacid=40075957 transcript=Brasy5G076300.1 locus=Brasy5G076300 ID=Brasy5G076300.1.v1.1 annot-version=v1.1 MDLLDAGQRHSLEPSSAGADMDGASALPLSGAAYQPYVSELLSFSIERLHKEPELLRVDGERVRRQMQEVAVENYAAFIAASEALSFVRGQLEGFDKHLEALVEEIPNLTSGCTEFVESAHQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKVSKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNAYDYLKGMVSCHRTHLFDVVNQYRAIFNNDKSGSEENCDGGLLFSWAMHQVSNHLTTLQIMLPNITEGGSLSNILEQCMYCAMGLGLVGLDFRGLLPPIFESAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSIGFVTNGVVDDASDDVTPPSVLMEHPPLAVFVNGVSAAMNELKPCAPLSLKHVLAQEVVKGLQSISDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCAACFGRCYPNGAVLITECRSTFDAVSQLLTVPVRSSSGISSVDRRQSGGIERRQSGGIERKQSGGIERRQSGGIERMQSIESAGTAVSMNGLLPDGPEPVVSEDARSTTAPARADRQTVPPAHT* >Brasy5G374500.1.p pacid=40075958 transcript=Brasy5G374500.1 locus=Brasy5G374500 ID=Brasy5G374500.1.v1.1 annot-version=v1.1 MENSSFMQDRAQHSPGDELTSCPCSWGVESIMLPTTVEASHRVSALGHTMIEGKTPQTFVPESLPVALDGSLRRGWSLHSICRSSDQTPRFQLFPPPFGFDNLPRSRPLMANIRFARRGRQHRVSSSRANPRSTCKDRHRRRDGAVSMEHGSDSDMGEAQRAWGVEAEEARGSTGTGEGRSTSSSVERGGSSSPKEPQSSFSGEPSSESEKTQGLVEQRMEGDGVDGEAIWHGWETEASGLGEAELHGGHGRRRRVAGRVAGAHGFRSRI* >Brasy5G157700.1.p pacid=40075959 transcript=Brasy5G157700.1 locus=Brasy5G157700 ID=Brasy5G157700.1.v1.1 annot-version=v1.1 MRAKADQVMQVMYVLLKAPTDRWLCHRDNYWHCLVGHQPVVKNVSGFKIAALPAFLESSSLSSMSTKKRTMACHRNNRISSQLSS* >Brasy5G156800.1.p pacid=40075960 transcript=Brasy5G156800.1 locus=Brasy5G156800 ID=Brasy5G156800.1.v1.1 annot-version=v1.1 MAGIVLGLAKSAVEGTLSKVKSAMEEEAELKVRVQDDLVFITGEFQMMQSFLKVASEERAKNEVVRTWVRQVRDLAYDTEDCFELIFHLDKKSAWSPSWLWRLVPSFVAPARPLDEVVTEIKKLKARVEDVSQRNMRYNLIGDSGSKPSAPDSQQSLTTRQGTPAAGKNKQRAGGVLELTEWICSEDEDLKMIFVCGTGSEFGATSIIRNSCNQDVVRGRFRTQAWVKLVHPSNQREFMETLLTQIRVNDSSRRRDDTDAAAVRMDLKRRADENIISDLRNYCRRYKCVIVLEELSSEDEWDTVKGYLRMAGLADHQSGHRIVVQLQTHKFEVASSCPEKPYRVQELRQLSHDHPVYAFSKEDFHADQNSGRAARQKNSGRISSSRRRMEEAAHYWIDNFKLVGRQREMLELCCHIRSADHLDVRHHVTSVWGMAGVGKSALVRNIYHIEVLEPYFHSCIWVDLTHPFNLAELSWQILSGSTLDAAFKDPIQMSCVLLNTHRYLMVIDGLRSTEEWDSIKGTFFSGKTNASVVVITNEASLAAYCADGAHSVVNLKGLEADVALQLFTKIRGNPEQETRCQAARFYSELALMDNGGRVVAIPREITHPSDSGKEDIHIEETSVLERTSTLKTSQLDLLNKETGTPEGESAYLDMQHGKIVAKCGGIPKVIVHVAKYLSDHEYASAWTDLSAHFMDVLQSKAEFHDLRDLIAWMHSYLRTCPDVLKLYIFYLSIFPADQNIRRRRLVRRWIAESYSRDSDGSTAEENGEMFVRRLFDLNMIQLPPQHQTSAISTYTRMVSCQINGFFLEFISAWPIEDNLIFALEGRCRLNTQRTGQHLVIGSSWDRDINVFESIDFSRLRSLTVFGRWESFFITDKMRLLRVLDLENTSDLTDYDLEDVVELLPRLKFLSVRGCGDICCLPSSLDRLRQLQTLDVRNTSVVKLPSAIIKLEKLQYVRAGRKVTQDKCQASTPSTSRRHSLFRRRRLVCGVKVPTRIGKLTNLDTLGVVRVDVAGGETILKEIKKLTQLRKLGVCGVNRRNSQGLCSAVSGHGHLESLSVRLNKDKDKHVSVTSVCCLDDVVNPPETLQSLKLYGLADKFPVWIKQLHNLRKLYLEMTKLSQEQVHVLAGLPCLRILLLRVEDGELHFQPEVSVGRPPKYFRSLVVLDVACSSKLQIIFGERSSSSLEETSEATEGSTSGPSAAQARIMESLELLKVHVHRCSESQFSLSGLEQLPKLREVSVTGSCKDELKQVLQEQLDRHPYEVKPVLKYVGTFFFLF* >Brasy5G229300.1.p pacid=40075961 transcript=Brasy5G229300.1 locus=Brasy5G229300 ID=Brasy5G229300.1.v1.1 annot-version=v1.1 MSAVLTRPAPGTVQCFGRKKTAVAVAYCKPGRGLIKVNGAPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKGLVAYFQKYVDEAAKKEVKEIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR* >Brasy5G246500.1.p pacid=40075962 transcript=Brasy5G246500.1 locus=Brasy5G246500 ID=Brasy5G246500.1.v1.1 annot-version=v1.1 MHHVHRMIVQAWKDGPPVVVDLDLDLDGDHARCIQELREKLTDDDLIKKHLLPVQQPKQPARWIHINLMARSETNKEPSHITLVIRDDNVNLVGFMNQNGLFELGIEGINGHLIKGSTFLGCDVGYRGLVGGSQNLVGLELGKSSAVSAVCRLSEYEHVRPADAATREDLARLCVMLCESARMIPH* >Brasy5G480800.1.p pacid=40075963 transcript=Brasy5G480800.1 locus=Brasy5G480800 ID=Brasy5G480800.1.v1.1 annot-version=v1.1 MAADMLTIYLHYADGNHGQKILQRSMRRHDVSYYDLILMIEEVGFESIDYLYYEKKDPQGNNYLVEISNESLVGKMVSDPEIAKILKLYVFKEKASTNIAPSNHPSEGGVSLDGVVSGERTEQIKTRRPQGPLRRSKRLNVIQLNAECADGDDNNHEQYLAPGDESEALEDKDDHIDNQVDEKVGKRRTISLPIVWNMPNGQRIVVKCNEESQPIGDEGAILGKFLGTIARNGGYCPLDINDWRDVKKDGGEKTILQCIKTKFVYPRSCEKWILKTTGRDWSRFKASLKKTIFIPAIKKNPKIKRKALYKLCPDDVEKDQWRGIVKFWKSKKGKAQSEKNKISRSLVKNSHNAGTKSYARWGEDMRQADPEKKRPHRAKVYLATHKKTKNDSDKNERLARLEDLVVQHPDLAQNVNGRVAWEGDALQQVLGKEKAGQVHGMGLLPTPKQVYGRTPRYLKNINMTTADGSASEGETDVWEVMAKLEERIKRQDQIIADMKNKEGHRKNEMEVENQEATDHGKIQSEIVHIKRKRVQCNGRDEVRSLMEDDTYEDDHGVFSSEKDIEHDYVNGLPGQDKSSSAQHWVLDSPSEMRKTRDRMNENFGSLQQQVTRNKQPHSASAKRMRTPSIPSMEEGTKVILKTWKYPNKRQVAYATFLSSNPATRVQGVQLGGEFTLVRIDKPIQEDEELVRGVRDCKTIGAAFATGSFIAWPSVFIEKDSGVAV* >Brasy5G480800.2.p pacid=40075964 transcript=Brasy5G480800.2 locus=Brasy5G480800 ID=Brasy5G480800.2.v1.1 annot-version=v1.1 MAADMLTIYLHYADGNHGQKILQRSMRRHDVSYYDLILMIEEVGFESIDYLYYEKKDPQGNNYLVEISNESLVGKMVSDPEIAKILKLYVFKEKASTNIAPSNHPSEGGVSLDGVVSGERTEQIKTRRPQGPLRRSKRLNVIQLNAECADGDDNNHEQYLAPGDESEALEDKDDHIDNQVDEKVGKRRTISLPIVWNMPNGQRIVVKCNEESQPIGDEGAILGKFLGTIARNGGYCPLDINDWRDVKKDGGEKTILQCIKTKFVYPRSCEKWILKTTGRDWSRFKASLKKTIFIPAIKKNPKIKRKALYKLCPDDVEKDQWRGIVKFWKSKKGKAQSEKNKISRSLVKNSHNAGTKSYARWGEDMRQADPEKKRPHRAKVYLATHKKTKNDSDKNERLARLEDLVVQHPDLAQNVNGRVAWEGDALQQVLGKEKAGQVHGMGLLPTPKQVYGRTPRYLKNINMTTADGSASEGETDVWEVMAKLEERIKRQDQIIADMKNKEGHRKNEMEVENQEATDHGKIQSEIVHIKRKRVQCNGRDEVRSLMEDDTYEDDHGVFSSEKVKINHHQHNIGFSILLQR* >Brasy5G008600.1.p pacid=40075965 transcript=Brasy5G008600.1 locus=Brasy5G008600 ID=Brasy5G008600.1.v1.1 annot-version=v1.1 MNRLRSGRRERENRRRSLQGRRGSSRRASPSGPARRGEASECCSAKGGRVAPRRVSPWRAAAVEALGRPLARLPGKSGGGESAARVLEAGFRRGLGGLRRKIKSEPFTHLRLPIRRCSRLPLRRVPLLVSTPALPWSRWSPSQLAAFVVGTANQSSVASSPYVVASHPSSISEPGTTAMNRRHGPAASAMDLRPPRACVELAGEIHALLPLPKLLLAGPATAS* >Brasy5G429300.1.p pacid=40075966 transcript=Brasy5G429300.1 locus=Brasy5G429300 ID=Brasy5G429300.1.v1.1 annot-version=v1.1 MAIVGAAENSDPPSPQQQPSTAPCKNPQPGQGAGPEEERAEELKGVRHLCERGIAALPPRYILPPCDRPAPVSARGIPVIDLARLRRGSRDPAELAALDAACRDLGFFQVVNHGVDGRTGAMLDVARRFFALPFEERARHMSPDIRSPVRYGTSFNQLNDGVLCWRDFLKLLCNPSRMDDVVPSWPQQPGDLRDVVSSYAAANQRLFKELMEALLEAMGITGGGVLEELDEGTQMLMVNCFPACPEPELTLGMPPHSDYGFLTVLLQDRVNGLEVNNHHDGDWLLVDPLPGALVVNVGDHLEIFSNGRYKSVLHRVRVNSTRSRISVASLHSLPPERVIGPAPELLAGEPRRYMDTDLTTFLDYLASAEGKHKTFLRSRTITTPPSC* >Brasy5G277600.1.p pacid=40075967 transcript=Brasy5G277600.1 locus=Brasy5G277600 ID=Brasy5G277600.1.v1.1 annot-version=v1.1 MDDVNFDATLSETGEQEDEDMSTPTVSLSPEPVEHHLEDSTPTPTPSLSPSPDPNPSTISRPPVPIPPSGSGGRLGYLLGVPPPNPRKKAAGGSGSGSSSSRRPAAARAVARVKAMARSPSPLGGAGRGAKTSAGRGSGGGGAASSSGVASSSGAKGKGKSPWTKHLEDSSNTALEHFSWAAAVFFKI* >Brasy5G229200.1.p pacid=40075968 transcript=Brasy5G229200.1 locus=Brasy5G229200 ID=Brasy5G229200.1.v1.1 annot-version=v1.1 MTMAPPRARALLLPLAAATVLVASTIFLFAAAAWRGGPAAGLPVPSTSADFSAVPISARVPSVAKGHELSFLDENGQPDDPGSASVSAPARCDPRDAAVRVFVYDLPPEFHFGMLGWSPTGGDGGAVWPEVSAAPRYPGGLNQQHSVEYWLTLDLLSSSSSSPTAGLPCGAAARVADARDADVVFVPFFASLSYNRHSKPPVPPEKVSRDRALQEKLVRYLAARPEWKRSGGADHVIVAHHPNSLLHARSALFPAVFVLSDFGRYHPRVASLEKDLVAPYRHMAKTFVNDTAGFDDRPTLLYFRGAIYRKEGGNIRQELYNMLKDETDVFFSFGSVQDHGVSKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFSIFVRSSDAVKKGYLMKLIRGVTKHRWTRMWKRLKEVDKHFEYQFPSRKDDAVQMIWQALARKVPSIRLKAHRFRRSSRSEGGSK* >Brasy5G033700.1.p pacid=40075969 transcript=Brasy5G033700.1 locus=Brasy5G033700 ID=Brasy5G033700.1.v1.1 annot-version=v1.1 MVAWAPLVRRSGDHLRRPSRAIMKRIRRWRRRKVLVKTTKPPDRISSLPDAILGEIISLLPFKEDARTQILNRRWCHLWRSAAPLNLDCRDLRGDTEARVAAVSRILSTHLGPGRRLSVHEGLLRGVADDATLDAWLGSAALRNLQELEFFGVTSISRFSPTLRVVHIRSCKLPDGTVQGLQFPLLKELKLYYVGISDLRRIKVQSSRSLRHGEIRFEELVIENAPCLKRLLQVGQRDDIRISVISAPKLEALSCRLKQDLPTNISFGSMVIQVDDLMMVVRTVKIIAVDMNPLSLDRVIYLMKCFPCLEKLYIKILATYHDPNNLWRRKHHQLLRCLDIRLKTIVLEHYRGIKTQVNFSTFFVLNARRLESMTLGIRGFGRGDYTEWFIADQHKKLLLENRASRDAQFHFTTGDDCFPYSWFI* >Brasy5G295000.1.p pacid=40075970 transcript=Brasy5G295000.1 locus=Brasy5G295000 ID=Brasy5G295000.1.v1.1 annot-version=v1.1 MTRQFASTVRKRRPGRGGLIKQGFWRLAFPFCRPLLLSPPLCRCAAPRLAATREMRSLAITSPVPPTSAAAARRQPRSSASGREVVSQCLKCEINKDRPLGGYLRIGQSQGSLLRHGSKNFIAQAAAAISVEQDEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMYSLVHKAQSRDDSYMMALDQIFEKHMASAKELLDGEDLARFLSQLHSDISNLRAMLRAIYIAGHATESFSEFVVGHGELWSAQILSYAIQKSGTACSWMDTREVLVVKPSGYNLVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTVICKQPANEDGDLDACVKSFATIDNLALVDVEGTGMAGVPGTSSTIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEIIHGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGTTLIKQLGEQVAVLKENMNIDVRVIGITGSSTMLLSDSGVDLSRWKEDLQTEPKPADLAIFVRHLSENHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDNILRIEGIFSGTLSYIFNNFEGTRSFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARECGLRLELSDIPVKSLVPEALTSCSSADEFMEKLPSFDQDWARQRHDAEAAGKVLRYVGVVDVVNRKGRVELQRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLMVRGPGAGAEVTAGGVFCDILRLASYLGAPS* >Brasy5G295000.3.p pacid=40075971 transcript=Brasy5G295000.3 locus=Brasy5G295000 ID=Brasy5G295000.3.v1.1 annot-version=v1.1 MTRQFASTVRKRRPGRGGLIKQGFWRLAFPFCRPLLLSPPLCRCAAPRLAATREMRSLAITSPVPPTSAAAARRQPRSSASGREVVSQCLKCEINKDRPLGGYLRIGQSQGSLLRHGSKNFIAQAAAISVEQDEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMYSLVHKAQSRDDSYMMALDQIFEKHMASAKELLDGEDLARFLSQLHSDISNLRAMLRAIYIAGHATESFSEFVVGHGELWSAQILSYAIQKSGTACSWMDTREVLVVKPSGYNLVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTVICKQPANEDGDLDACVKSFATIDNLALVDVEGTGMAGVPGTSSTIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEIIHGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGTTLIKQLGEQVAVLKENMNIDVRVIGITGSSTMLLSDSGVDLSRWKEDLQTEPKPADLAIFVRHLSENHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDNILRIEGIFSGTLSYIFNNFEGTRSFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARECGLRLELSDIPVKSLVPEALTSCSSADEFMEKLPSFDQDWARQRHDAEAAGKVLRYVGVVDVVNRKGRVELQRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLMVRGPGAGAEVTAGGVFCDILRLASYLGAPS* >Brasy5G295000.2.p pacid=40075972 transcript=Brasy5G295000.2 locus=Brasy5G295000 ID=Brasy5G295000.2.v1.1 annot-version=v1.1 MKKIRALPGRHKTHTRQEVVSQCLKCEINKDRPLGGYLRIGQSQGSLLRHGSKNFIAQAAAAISVEQDEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMYSLVHKAQSRDDSYMMALDQIFEKHMASAKELLDGEDLARFLSQLHSDISNLRAMLRAIYIAGHATESFSEFVVGHGELWSAQILSYAIQKSGTACSWMDTREVLVVKPSGYNLVDPDYLESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTVICKQPANEDGDLDACVKSFATIDNLALVDVEGTGMAGVPGTSSTIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEIIHGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGTTLIKQLGEQVAVLKENMNIDVRVIGITGSSTMLLSDSGVDLSRWKEDLQTEPKPADLAIFVRHLSENHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDNILRIEGIFSGTLSYIFNNFEGTRSFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARECGLRLELSDIPVKSLVPEALTSCSSADEFMEKLPSFDQDWARQRHDAEAAGKVLRYVGVVDVVNRKGRVELQRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLMVRGPGAGAEVTAGGVFCDILRLASYLGAPS* >Brasy5G407900.1.p pacid=40075973 transcript=Brasy5G407900.1 locus=Brasy5G407900 ID=Brasy5G407900.1.v1.1 annot-version=v1.1 MESQTIKNMIEEGCTGIIPLPNVTARILALVIEYCKKHVLARAAAGADGDAPADATGPTSKAADDELKSFDTGFVKVDQRTLFELILAANYLDIKGLLDLTCQAVADMITGKTPEEIRKVFNIENDLSEEEEAAVRRENQWAFE* >Brasy5G249300.1.p pacid=40075974 transcript=Brasy5G249300.1 locus=Brasy5G249300 ID=Brasy5G249300.1.v1.1 annot-version=v1.1 MLARLNFSNLYLRQTIAGPDANQLVVIEGDDKTRFGKTAVNNWAIYDGAGPDAEVVALAKGLHTNTAGWYISFIMVFQNERFKGSTLEIMGVTTAQKSQAEWAIVGGTGAFSMARGVIERKFLAQEANGEVQELNIDAFLPHEEQTPESGVAPPSPVNPLRAGIRDVHTNAPSTGSAGTTRTATNASAATASAEQQIVWRRGLGIDLGFCLARIELPAPTKVGPWGTTGGSLQEIEGKPQRLETVTIWSGSNVDGISFTYIGEDGQVHTAGRWGDDFHYSTSTIKFGPSEFVKEISVATLDDYMVELLIITDPRRNQPATRYTAPADKTIVGFFVNSDRHIRSIGLYAA* >Brasy5G094100.1.p pacid=40075975 transcript=Brasy5G094100.1 locus=Brasy5G094100 ID=Brasy5G094100.1.v1.1 annot-version=v1.1 MAAELVGPRVYSCCNCRNHVCLHDDVISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCSECREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW* >Brasy5G094100.2.p pacid=40075976 transcript=Brasy5G094100.2 locus=Brasy5G094100 ID=Brasy5G094100.2.v1.1 annot-version=v1.1 MAAELVGPRVYSCCNCRNHVCLHDDVISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCSECREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW* >Brasy5G440800.1.p pacid=40075977 transcript=Brasy5G440800.1 locus=Brasy5G440800 ID=Brasy5G440800.1.v1.1 annot-version=v1.1 MVRKRSTGRFASSGGASSNAAAPGYAPMEFTHKGIKTEERAGSPGGNNVAPDAAASRNYSPVSIFPTEALPPYKCSALSTGYLFPQSFISRLPVVFLFAVLFSACFVSSDALDPNSEEGNCNFNMIGISALSCQHFNGSSNLPSSSCCTSLLYAIDELPPAGESGECCLCRYTINRGLTPKLATSYIACNGKARDNVTTWTYPIRTCRPACKGKNVFAGKNTPAQMDHSGGHGSMIIIVLAVASLCLILLVGSACFCWSRQTRRRRESLPMRNLEMQQGEMPDESGSESENSRSSGQLKGRRNSSGRRRSLHGSPNAQGFVG* >Brasy5G440800.2.p pacid=40075978 transcript=Brasy5G440800.2 locus=Brasy5G440800 ID=Brasy5G440800.2.v1.1 annot-version=v1.1 MWHQIKRQTFGFFLPPYKCSALSTGYLFPQSFISRLPVVFLFAVLFSACFVSSDALDPNSEEGNCNFNMIGISALSCQHFNGSSNLPSSSCCTSLLYAIDELPPAGESGECCLCRYTINRGLTPKLATSYIACNGKARDNVTTWTYPIRTCRPACKGKNVFAGKNTPAQMDHSGGHGSMIIIVLAVASLCLILLVGSACFCWSRQTRRRRESLPMRNLEMQQGEMPDESGSESENSRSSGQLKGRRNSSGRRRSLHGSPNAQGFVG* >Brasy5G248800.1.p pacid=40075979 transcript=Brasy5G248800.1 locus=Brasy5G248800 ID=Brasy5G248800.1.v1.1 annot-version=v1.1 MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD* >Brasy5G379300.1.p pacid=40075980 transcript=Brasy5G379300.1 locus=Brasy5G379300 ID=Brasy5G379300.1.v1.1 annot-version=v1.1 MGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLGYGIQPHVTIYHFDFPQALQDEYKGMLSRRFIEDYTAYAEVCFKNFGDRVKYWSTVNEPNVEPIGGYDQGILPPRRCSFPFGTLSCDQGNSTTEPYIVAHHLLLAHASAASLYKEKYQAKQGGHIGLTLLGWWYEPATQTPEDIAAAARMNDFHIGWYMHPLVHGDYPPVMRKNVGSRLPSFTAEELKRVHGSFDFVGFNHYIAIYVKADLSKLEQPLRDYMGDAAVAYDMPFLNSKNKPFLFGLKSDFMTSTPWALKKMLGHLQLKYKNPVVMIHENGAASMPDPSGGNTYDDEFRSQYLQDYIEAALESIRDGSNLQGYFVWSFLDVFEYLFGYRMGFGLYGVDFGSEARTRYQRHSAKWFAGFLRGGELRPVALPGKAYSQ* >Brasy5G016200.1.p pacid=40075981 transcript=Brasy5G016200.1 locus=Brasy5G016200 ID=Brasy5G016200.1.v1.1 annot-version=v1.1 MISRFPSSLRWSRGLLRGSSIRCRGVSAMARGGEEGQLGEFLEYMDRLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHYPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGEHGGPVPAALLSGLFDQAKEAIDQSIESESGALTHFEVFTALSFLLFSRENVDFAIVEAGFGGARDATNVIRNTELAASVITTVGREHLAALGGSLQSIAAAKSGIIKEGQPVVVGGPFSTDIEQIIRDRAFLTQSPVISACDPGVRCVTRCIGWDYGKPYQSCDIAVQISNDMPLSMELHDVKLQLLGDHQRQNAVTASCTALCLRELGWKISDASIRAGLEKTQLPGRSQFLTEDEASVLGLDGASTILIDGAHTEASAKALSDVIKTVEPEGPLALIIGMANDKEHLAFAAQLLSGRRPDILLLTEARIAGGTARSMPASSLKDIWTGVAHDQGIDCVDIGTVTGDEAPDLIDHLAAAASSSPDEAAPMMIGCGNALFSRGLLKAASRLLQARASTDGGAPAGLICVTGSLHMVSSVLQQLEQ* >Brasy5G473900.1.p pacid=40075982 transcript=Brasy5G473900.1 locus=Brasy5G473900 ID=Brasy5G473900.1.v1.1 annot-version=v1.1 MTWMRQPPGVSRGRQPRPRADPGQFILPHEENQRLNYSSLLCPSRSSEIHGRFPFRQIRRREGGRSRPPWRPWRSSPARSAPTTSGCRQVGRAEQPHRASCAAHGGERTDQGSGSGGSFGSCRPGEARAAGRKMPQRLGKATSRMQAAIRRSGRWSVPSLYTSSPGIFTGRLLHLFASLRFTGKSKI* >Brasy5G236800.1.p pacid=40075983 transcript=Brasy5G236800.1 locus=Brasy5G236800 ID=Brasy5G236800.1.v1.1 annot-version=v1.1 MSRPQAAAAAAAAAAQSSAASAATVIKPPADAPVRTPNLNPIPTPFASQFRPLGLGAPPPAPYPAPFYYMGRPPLFPPGAFPGPSMYPPLPPPPGGFVPHPGIPSPPFVMVVL* >Brasy5G359300.1.p pacid=40075984 transcript=Brasy5G359300.1 locus=Brasy5G359300 ID=Brasy5G359300.1.v1.1 annot-version=v1.1 MGSKSCSCCYVKAVFSRIKPSCLEGKQRSNEANIVKAEIRQDTLQDILYAQQSFEAEETAVPSPRRPSPKVRPLNLDYSYENNSPNVQDSFSTDRISLRSQNSITRRVSFRFPDESDIFIIPARKDPDAYSTDDESAESVSEEGIGAKRTGCAITRY* >Brasy5G187800.1.p pacid=40075985 transcript=Brasy5G187800.1 locus=Brasy5G187800 ID=Brasy5G187800.1.v1.1 annot-version=v1.1 MASGSTVLGLKTVAIVAVLAVLALPSSGRCPSLGPAPPPPAPLAPPLPAPVSAPAPTPATVPAPAPAPTPAPAPAPAGPPILCGDCGSHCNSTCEASAHEKCREYCYHPYCNPCVSAYLREHCDMECCRLGSSCSCGPCGQSAFYSCYSFCNDRLCQPCRDGTARSCRESCNTDCANTCHQE* >Brasy5G013700.1.p pacid=40075986 transcript=Brasy5G013700.1 locus=Brasy5G013700 ID=Brasy5G013700.1.v1.1 annot-version=v1.1 MPSPMKRASSLRRLLAALRPPPPPVQTGFPTSLADLVVQNHGRLRSRRQQRPLAPTPSSPAPVAAAAEPPPSPSPPPSSRALPPGRPEVLVGGAVAVALLAVWSEALVAAVTVAALSLLWIETSAAAASSRRQRFPAEDSSGRGHVSPIREAEDEAATWSSSFSDSDKGTAERPELVEQVPPEMRKKKKKKRSLRKLLSNKFHGVKKKHEAKEEEELDLSSVPSAAEQTPAESSGESSSSPPPEVPVVVDGGGGEFKMKLPLAAFIPVILAGLVLVGGKLPATALAVLCAAFFSGAVDRSKVYYVAREGSLDDPLETEGELD* >Brasy5G447400.1.p pacid=40075987 transcript=Brasy5G447400.1 locus=Brasy5G447400 ID=Brasy5G447400.1.v1.1 annot-version=v1.1 MLALRVFRLVWRSAFVCLTTVFAMLLPFFGNVMGFLGAVSFWPLTVYFPVEMYIKQRAVPQGGTQWLCLKMLSVGCLIVSIAAAGGSIADVIEALKVYRPFSG* >Brasy5G272100.1.p pacid=40075988 transcript=Brasy5G272100.1 locus=Brasy5G272100 ID=Brasy5G272100.1.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDECKNKPSRTSRRATMNTSGSSQNVLPSFDGNLPPKRSSKRIAISKRDKTNQDKLDTEIFELYMEDLWKRIDEDKKSAYAYFDSLWFNMYNRGDKKSNVLKWIKAKKIFARQYVFVPIVCWGHWNLLVLCNFGETSYSDTKKKPRMLLLDSLKTTNRAELQSAIRSFIADILKTEEREDSELFIKKVHLEFPEVPQQTGEECGIYVLFFIYCFLQNEKLGEDFSQLSKDVMFNPEELEKFYKDIRSFQASRNAKMAE* >Brasy5G272100.2.p pacid=40075989 transcript=Brasy5G272100.2 locus=Brasy5G272100 ID=Brasy5G272100.2.v1.1 annot-version=v1.1 MARRSRSQVLVDLDSDEGDECKNKPSRTSRRATMNTSGSSQNVLPSFDGNLPPKRSSKRIAISKRDKTNQDKLDTEIFELYMEDLWKRIDEDKKSAYAYFDSLWFNMYNRGDKKSNVLKWIKAKKIFARQYVFVPIVCWGHWNLLVLCNFGETSYSDTKKKPRMLLLDSLKTTNRAELQSAIRSFIADILKTEEREDSELFIKKVHLEFPEVPQQTGEECGIYVLFFIYCFLQNEKLGEDFSQLRN* >Brasy5G317600.1.p pacid=40075990 transcript=Brasy5G317600.1 locus=Brasy5G317600 ID=Brasy5G317600.1.v1.1 annot-version=v1.1 MSTLVVGSLPGAVVTNGLTRRIQGSQLQRAQVNHMSFQQEVTMKPILRSVRCNASQTQSVQSKSPTATIKRSDPKGKVQGPKLDDGSGGFPPFRFSKGGGGGGGGGSGSNYFGGFLLFTIVLLLDYLKELEKNLLSGRHRAGETIGAMGC* >Brasy5G330800.1.p pacid=40075991 transcript=Brasy5G330800.1 locus=Brasy5G330800 ID=Brasy5G330800.1.v1.1 annot-version=v1.1 MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEAGDSADQALLREQAAISRNSGQVDTVISQAQATLGALMSQRSTFGGITTKISNVSSRLPTVNHILASIRRKKSMDTIILSLVASVCAFLIFIYWLSK* >Brasy5G430200.1.p pacid=40075992 transcript=Brasy5G430200.1 locus=Brasy5G430200 ID=Brasy5G430200.1.v1.1 annot-version=v1.1 MDESVHTVNAAAVVLAAAARSSNGLQRHNHHQQQLHDDHATATRKIRWWSRLKAKLCFIPHGHPRRIADDASSSSPQPAAAYSVHHAPQPLFAFVAPPSSPATSLLHSEAPSPPALLGGHGISPRSIFSVGPYAHGPQQLVSPPVLYSAFTTEPSSASLTPPAPDLHLAATTNPSSPEVPFARFLASSSSMAEAEHCGGMLQAAYQLQPGSPIPAVVSPSPSSSPQPLFRKLHRRNEGSLLDGHIPMLSTSGAGAGSDQEEGRGGSGRDDDEVPKSGEFVFGNADDGGGAENVAANRWPAFLPHG* >Brasy5G302500.1.p pacid=40075993 transcript=Brasy5G302500.1 locus=Brasy5G302500 ID=Brasy5G302500.1.v1.1 annot-version=v1.1 MSPHPPGGSLNRKNCPNEPTSTPSHVNFCHPQFPQHMQFSQPPYAMNIPFQQLPQQPMYPPNVHYVVVQPQYAPYSLPPPLPPPQPALVMPSTSVSDSGTPHVETCPEEQGIDNVDNDDSAEPERTARRLAWTEKEDIRLISAWLNCSKIKKYDMYWANVSAAYNSTTPRDRRREAKHLKCHWHKITKKIACFDDCWCQVKAKYPSSLSDNMQLMDKTWVMLNEEARAIYLEEEKHRFAFDHCWKAVWDQPKWKAYISSLSSKTTKLSESGDYTSSTEDTEDDPEEMDEQGCATAKEIHEGKGKMPSLSEVEKDIECSVDLQNTLKVNEEEMTGVQLMHSDQNLELSRLEQTERVDNGTFISENQQELLMADAALLNEFQPGSELLAGNSKFSELQRGRSLREDVPKNGTLGQGYKELDYERATVRENIPEKETGPQVCNKREHGRAVRGNAPQSETPTQSCKAAKLKRKRKGKSVPCSSEVQEDIKHAVDLQIMLTKDREKMSEVQLRLSKEKLEFAKLKQQEAKDKKETTLYEKYSELLMSDTSRFNEFQKAEYEKAVRHMGEMLFGRDDN* >Brasy5G273900.1.p pacid=40075994 transcript=Brasy5G273900.1 locus=Brasy5G273900 ID=Brasy5G273900.1.v1.1 annot-version=v1.1 MAARGEIAVLKSKERAAAVREDFLLGELVGMSSELRGLLPDSHEESGRVRHRLNALQRAGPTVPSFWFDNDRGYILALLQDRVVRAKSCLLSCQQILTDLHHALFPDTPLVTGATVALAFTRLRYPGLDLNTLHIVPFIDEEEISMEYYYDAVEWSARELVNIVEMQ* >Brasy5G254900.1.p pacid=40075995 transcript=Brasy5G254900.1 locus=Brasy5G254900 ID=Brasy5G254900.1.v1.1 annot-version=v1.1 MTTRRQFINLVLDTYDINCRYAYGVHRIDASSLFHPRKPKQEAGASKGGDNNIPRQPAKGVASLFLLTMWETWKERNRRIFKHKLKSPSAVVLLIKEEAALWECTGAKIGALTSGDDDVP* >Brasy5G360400.1.p pacid=40075996 transcript=Brasy5G360400.1 locus=Brasy5G360400 ID=Brasy5G360400.1.v1.1 annot-version=v1.1 MSPPAALACYRRNAKRRRDAPDHALPSSKQPVMTTHSAASSGAGGSFYASPRSVHFFVRATDSKTIAMHAAWDDTVGAVLDHLAGCGYGRDLRLLYAGRQLLPEATIASLCLPPDSTLHLAARLRSTPHPEAWQLASHIAATAAAAEAAATSTPSHSLDCLVKEYILCASGSRKRGDRDDPVDIMSTRHRAEEYLDIFLQAGAAVALVRLYLSNSSFFRSFAERAIRCFLSTDPVSPMPPAVKPVTAPVLLEFCRLLSVTAGSKDSLYKSCRYTLASVLCEPLSPLTSSKSPARVIEQVLPFAREVVEVVLSGLTSESMMVTRADLEEFSNFFKVLRHQVHRWMPDGPMRKNLYNREHEHSDTWVWELHEMSMNLLRSVDECLKRLEMDLSTLSSESRGVIESQPLWANRLHILAMLTELDLISAIFEDVAHNLRFVLLAHKAPLNALVRSSKRNEHLHWLVKHKDLLCFEARRNLVLMLFSEGKDDYGELHEMLIDRSHLLDESFEYITQARPSELHSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPNDQRRFYLNGTSVVDPLHLKYFIFSGRIIGLAVMHKVQVGIVLDRTLFLHLAGRSITLEDIAAADPVTYASCKRILEMGATDIDELTLTFSRDVHTLGSRRTIELCAGGQDISVNIKNREHYIDLLIKNIFVDSISAQLANFAKGFSEILASPDLQKVFFGFLDLEDFDRILGGSNSTINLKDWRSHTQYNGYKEKDRHINWFWKAVESMTIEQQRQLLFFWTSVKYLPSDGFGGLSSKLYIYKTLESADHLPSSHTCFYRLCLPPYPSLKVMQNQLQKITQEHVSCSFGTW* >Brasy5G277200.1.p pacid=40075997 transcript=Brasy5G277200.1 locus=Brasy5G277200 ID=Brasy5G277200.1.v1.1 annot-version=v1.1 MAAGTVSTSSSGGALMDMHLLKAATHGDAMSMKDMASNNPSILLGKTSQGNTCFHISCAHGHEEFCKDVLALNQSLLSEVNLDGETPLIIAVTRAHASLASFLLGRCRVLGLRQVTLQQDKHGCNALHYAIRCGHKDLALELIEAEPALSQGVNKYSESPMFIAAMRDFQDIFEKLLEILDSAHSGHLGNNALYAAVRNGNPVIAKKIMETRPGMAREDSDGSTPIILAVLWDKIDVLRVLLEHDLSLGYELSKDGISLLQFAAFRGHVGVARELLDHCPDTPHSKEDGWTCLHEAVWFNRTEFVEFILRAPQLRKLVNMRDNQGKTALHHAVRNCNPKIVAALLSHMDIDVTVEDNLGNSAEWELGNATDLAKTLNWNEVSMLVLKADPRNATVFYNLHKQTKEEITNLSRKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSATGSEGLPIMARKFAFQAFLISDTLAMCSSLAVAFICIIARWEDLEFLIYYRSFTKKLMWFAYMATTTAFSTGLYTVLAPRLQWLAIAICCVPVFLPALTKLLGEWPVWELRYRLGQTFKSDLLDMV* >Brasy5G040200.1.p pacid=40075998 transcript=Brasy5G040200.1 locus=Brasy5G040200 ID=Brasy5G040200.1.v1.1 annot-version=v1.1 MQENQAMEISLSHALLFSALLLPLLLLLHLLSSARNKLHPSNGHGNNSKPIPSPPGLPIIGHLHLLKTPLHRSLAALAARHGANTGLLLLRFGKRPVVLVSSPAIATECFTAHDVALADRPGLASRQQLTGDNCPGIGTARYGPLWRSLRRLATVHALCAQRLSLTSPARDAEARAMAAKLLSLAGAGASGYFVVSVKETAYEFVANVIMAMVGGRRMGEGEVRRFRAMTEAGLAAAGAANRQDFVPLLRKMDFGRTARRLAALAEERREFSQRLVDEYRSRNGAVAGDDETMKSPAPRTVIGDLLREQERAPEECSDVVIRTVCLSLLQAGTDTSSSTIEWAMALLLNNPTKMAKVTDEIDSVVGTSRLLEERDLACLPYLRWVVTETLRLYPLTPHLVPHEASSDCVVANRQYVITRGTMLLVDVFSMQRDPATWNDPHKFIPERFADDNDANRDGDKGIMLPFGMGRRKCPGEALAWKTIGIALGVMMQCFEWERIGKEKVDMSEGSGLTMPMAVSVMAMCRPRREMDGVLRGL* >Brasy5G412500.1.p pacid=40075999 transcript=Brasy5G412500.1 locus=Brasy5G412500 ID=Brasy5G412500.1.v1.1 annot-version=v1.1 MPFGMAQQRMADSYGSENASVLDTYAAMKSGFKNLDAHGKPRGAIPRVAQKRIDNYLKAAKEVDPDLPDDWVPEEIDGQVLYKSGGGMPHGRLPIADGAACKDEIIYAGKQAKKSRTSVPLPSRQSHQLQRVIEENGELKVTNQGLVQKLELHDRLILEIFKDMNKEPPAWFGTNLLPTPQNGNSSCCNEDVASC* >Brasy5G049900.1.p pacid=40076000 transcript=Brasy5G049900.1 locus=Brasy5G049900 ID=Brasy5G049900.1.v1.1 annot-version=v1.1 MAPSAMATASRRPPLLIFVLMLCLVSSELRVHGQPDTLGFISIDCGTAEGTSYPDESTNGLRYVSDAGFVDAGAGANAGISPPYSDRGLAPRYLNVRYFAPSGSGSGSNQRSCYTLRGLTRGAKYLVRCSFYYGNYDQLSRLPAFDLYLGVNRWAAVNVTAADDTYILEAVTVSPAELLQVCLVDVGLGTPFISGLDLRPLRAAMYPDATVNQSLLLLSFRRPAARFALNRYHFWRPASSYRVFRYPFDSHDRLWQSYGDITAWTNITTTATVGVSNSSSFDEPSVVLQSAATPVNGTRLDFSWSPDPSLNNENSSTAYLLLLYFVELQRLPSGALRRFDVLVDGASWDGSRSYTPKYLSAEVMEKLVVQGSGQHAVSLVATPDSTLPPILNALEIYSVRQMTELGTNNGDEEAMMAIRTAYSLKKNWKGDPCAPKAFAWDGLNCSYSSSGSAQIKTLNLSSSVLIGAVDPSFGDLKSLQHLDLSNNSLSGPIPDFLAQMPSLTFLDLSSNKLSGPIPAALLQKHQNGSLVLRIGNNANICDNGASTCDAGDKKKNRTLVIAIAVPIAVATLLFVAAILILHKRKNKQGLIPDTWTANTRLNSPRERSNLFENRHFSYKELKLITGNFREEIGRGGFGAVYLGYLENESTVAVKIRSKTSSQGNTEFLAEAQHLSRVHHKNLVSMIGYCKDKKHMALVYEYMHGGDLEDRLRGEASVATPLSWHRRLKIALDSANGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKICDFGLSKVFADEFMTHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLELITGQPPAVAITHTESIHIAQWVRQKLSEGNIESIADSKMGREYDVNSVWKVTELALQCKEQPSRERSTMTDIVAELKECLELELSRGMGSYSSVTSGANNLSATSADLHSDAQRTDLRQQSMLELGQVGDASTSHIGPAPR* >Brasy5G049900.2.p pacid=40076001 transcript=Brasy5G049900.2 locus=Brasy5G049900 ID=Brasy5G049900.2.v1.1 annot-version=v1.1 MAPSAMATASRRPPLLIFVLMLCLVSSELRVHGQPDTLGFISIDCGTAEGTSYPDESTNGLRYVSDAGFVDAGAGANAGISPPYSDRGLAPRYLNVRYFAPSGSGSGSNQRSCYTLRGLTRGAKYLVRCSFYYGNYDQLSRLPAFDLYLGVNRWAAVNVTAADDTYILEAVTVSPAELLQVCLVDVGLGTPFISGLDLRPLRAAMYPDATVNQSLLLLSFRRPAARFALNRYHFWRPASSYRVFRYPFDSHDRLWQSYGDITAWTNITTTATVGVSNSSSFDEPSVVLQSAATPVNGTRLDFSWSPDPSLNNENSSTAYLLLLYFVELQRLPSGALRRFDVLVDGASWDGSRSYTPKYLSAEVMEKLVVQGSGQHAVSLVATPDSTLPPILNALEIYSVRQMTELGTNNGDEEAMMAIRTAYSLKKNWKGDPCAPKAFAWDGLNCSYSSSGSAQIKTLNLSSSVLIGAVDPSFGDLKSLQHLDLSNNSLSGPIPDFLAQMPSLTFLDLSSNKLSGPIPAALLQKHQNGSLVLRIGNNANICDNGASTCDAGDKKKNRTLVIAIAVPIAVATLLFVAAILILHKRKNKQDTWTANTRLNSPRERSNLFENRHFSYKELKLITGNFREEIGRGGFGAVYLGYLENESTVAVKIRSKTSSQGNTEFLAEAQHLSRVHHKNLVSMIGYCKDKKHMALVYEYMHGGDLEDRLRGEASVATPLSWHRRLKIALDSANGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKICDFGLSKVFADEFMTHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLELITGQPPAVAITHTESIHIAQWVRQKLSEGNIESIADSKMGREYDVNSVWKVTELALQCKEQPSRERSTMTDIVAELKECLELELSRGMGSYSSVTSGANNLSATSADLHSDAQRTDLRQQSMLELGQVGDASTSHIGPAPR* >Brasy5G049900.3.p pacid=40076002 transcript=Brasy5G049900.3 locus=Brasy5G049900 ID=Brasy5G049900.3.v1.1 annot-version=v1.1 MAPSAMATASRRPPLLIFVLMLCLVSSELRVHGQPDTLGFISIDCGTAEGTSYPDESTNGLRYVSDAGFVDAGAGANAGISPPYSDRGLAPRYLNVRYFAPSGSGSGSNQRSCYTLRGLTRGAKYLVRCSFYYGNYDQLSRLPAFDLYLGVNRWAAVNVTAADDTYILEAVTVSPAELLQVCLVDVGLGTPFISGLDLRPLRAAMYPDATVNQSLLLLSFRRPAARFALNRYHFWRPASSYRVFRYPFDSHDRLWQSYGDITAWTNITTTATVGVSNSSSFDEPSVVLQSAATPVNGTRLDFSWSPDPSLNNENSSTAYLLLLYFVELQRLPSGALRRFDVLVDGASWDGSRSYTPKYLSAEVMEKLVVQGSGQHAVSLVATPDSTLPPILNALEIYSVRQMTELGTNNGDEEAMMAIRTAYSLKKNWKGDPCAPKAFAWDGLNCSYSSSGSAQIKTLNLSSSVLIGAVDPSFGDLKSLQHLDLSNNSLSGPIPDFLAQMPSLTFLDLSSNKLSGPIPAALLQKHQNGSLVLRIGNNANICDNGASTCDAGDKKKNRTLVIAIAVPIAVATLLFVAAILILHKRKNKQGLIPDTWTANTRLNSPRERSNLFENRHFSYKELKLITGNFREEIGRGGFGAVYLGYLENESTVAVKIRSKTSSQGNTEFLAEAQHLSRVHHKNLVSMIGYCKDKKHMALVYEYMHGGDLEDRLRGEASVATPLSWHRRLKIALDSANGLYDCTHLKF* >Brasy5G049900.4.p pacid=40076003 transcript=Brasy5G049900.4 locus=Brasy5G049900 ID=Brasy5G049900.4.v1.1 annot-version=v1.1 MAPSAMATASRRPPLLIFVLMLCLVSSELRVHGQPDTLGFISIDCGTAEGTSYPDESTNGLRYVSDAGFVDAGAGANAGISPPYSDRGLAPRYLNVRYFAPSGSGSGSNQRSCYTLRGLTRGAKYLVRCSFYYGNYDQLSRLPAFDLYLGVNRWAAVNVTAADDTYILEAVTVSPAELLQVCLVDVGLGTPFISGLDLRPLRAAMYPDATVNQSLLLLSFRRPAARFALNRYHFWRPASSYRVFRYPFDSHDRLWQSYGDITAWTNITTTATVGVSNSSSFDEPSVVLQSAATPVNGTRLDFSWSPDPSLNNENSSTAYLLLLYFVELQRLPSGALRRFDVLVDGASWDGSRSYTPKYLSAEVMEKLVVQGSGQHAVSLVATPDSTLPPILNALEIYSVRQMTELGTNNGDEEAMMAIRTAYSLKKNWKGDPCAPKAFAWDGLNCSYSSSGSAQIKTLNLSSSVLIGAVDPSFGDLKSLQHLDLSNNSLSGPIPDFLAQMPSLTFLDLSSNKLSGPIPAALLQKHQNGSLVLRIGNNANICDNGASTCDAGDKKKNRTLVIAIAVPIAVATLLFVAAILILHKRKNKQDTWTANTRLNSPRERSNLFENRHFSYKELKLITGNFREEIGRGGFGAVYLGYLENESTVAVKIRSKTSSQGNTEFLAEAQHLSRVHHKNLVSMIGYCKDKKHMALVYEYMHGGDLEDRLRGEASVATPLSWHRRLKIALDSANGLYDCTHLKF* >Brasy5G493400.1.p pacid=40076004 transcript=Brasy5G493400.1 locus=Brasy5G493400 ID=Brasy5G493400.1.v1.1 annot-version=v1.1 MGLSFGTLFGALFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERITEARDELHRMLNEDELRNAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSACATSGEGLYEGLEWLSNNIASKS* >Brasy5G164700.1.p pacid=40076005 transcript=Brasy5G164700.1 locus=Brasy5G164700 ID=Brasy5G164700.1.v1.1 annot-version=v1.1 MDDDLHELDGLPMRTGPPPPLPPGVQERWKEPRGVPLSTASKGRARAPVSAAAKQRAKKRSAMAAGGKVPPVRGGAEAQFEEPQSKSFLNMMDDAIDIETFSMSNEVEEVSAPKPQKRSSNYTHDEDIQLCKSWINISTDAIVGNEQPSKSYWARIAEHYHENRTFDSDRSSNSLEHRWSVLQKECMKWQANFEQVERRHPSGIPYKEHMKECHALYASGEPKNRAFQYVHCWLEVRHAPKFLALHGSSKRPRSTPSDEVGDGDDDSKSPTPDTARQARPLGRKKSKELMKNAGEGGSYKEALKDLLQVREKEAKMRENRWKEAEDRQERKLSLEERKFQWEQEQKIMFCDVNALEPNVKVWVLAMRSQMAATAAARVGGLGGDGMVGGLGGDGMTGSFSGDGNGGGGMSNI* >Brasy5G259600.1.p pacid=40076006 transcript=Brasy5G259600.1 locus=Brasy5G259600 ID=Brasy5G259600.1.v1.1 annot-version=v1.1 MAGAAFMDGELGTLVKASAAVWAALCYARTAAACIRPGTGRLLALLPVVALFYAVPLAFSSTTFRGSSAFFLCWLGTFKLLLLAFGRGPLDPSLPLLHFACSASLPVKLRQQPPKSKPKQDPSSSPAAVKILVSGAVIPFIIYGYQFKDSMSRLQLLAMYAAHIYFSLELLLATTRILIHGALGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVHRPVRARFGDAAGVMASFIVSGIMHEVIFYYIMWRPPRGDVTAFFVLHGGCTAAEAWWGRHAGWWRPPRALAVPLTLAFVGGTGFWLFFPAMINGGLDELVMQECQGLLALMEQAGRWLAGDPAVVAGS* >Brasy5G009800.1.p pacid=40076007 transcript=Brasy5G009800.1 locus=Brasy5G009800 ID=Brasy5G009800.1.v1.1 annot-version=v1.1 MAPPHAPLACGTRQVQGYSDPSRRQQQQQGCGRRAAGLVGGGIAAAFFASLERCACVDLRTTGDDRDCDCDAADAPLLTKTLHDGGAARAATAKTKSSSTKRSTSSAAGAARKGRRGGGGFGGCCVNQLLLASPRQSD* >Brasy5G083500.1.p pacid=40076008 transcript=Brasy5G083500.1 locus=Brasy5G083500 ID=Brasy5G083500.1.v1.1 annot-version=v1.1 MRILQHSRRHLDFDDIEMDDVFTHTRWSVCVRVVVKFHPNSSGDNMRFILMDKTGSKIEAIVAGNEEVNRFKQILESGKNYTIHNVSFQPNAEDILFRNIRHTFECAFDRKTKVVRCTMAIPFPLYPKEFTPYPEVRNRPNKTFVDLVGIVVYFGDLEIVGRYPYAEQYREVIFMDLRGKLMTVGIKGGYLIQHSYRWSTAGANKPIVIATMLCKNKKFGCLDTSEHTTIAWNPDHPAATALQEIRQKVLREEIDLKFVRSYLEQRWAYLATVVKATKPARRPFLL* >Brasy5G225200.1.p pacid=40076009 transcript=Brasy5G225200.1 locus=Brasy5G225200 ID=Brasy5G225200.1.v1.1 annot-version=v1.1 MLSLVYDDGQVSSIYWPNPYFSYWQNSRKIYNFTRAADLDSSGHFLSSDNATFDAADLGSAAAGGGVGRRLTLDADGNLRLYSLQKQQSSSSGSGGTMMAWAVTWMAFGNPCNIHGVCGANAVCLYSPAPACVCAPGHERAHRSDWTRGCRRLFGNSSIAGGWPIKYVELPHTDFWGFDLNNSEYLSLDACREQCSGEPSCAVFQYKQGKGECYPKSYMFNGRTFPGLPGTAYLKVPADFNVPEVNVNQWRTDGIGAGLAIEENIARCNDGAMLPEVLLNVSGKSTSGNQGKSLWFYFYGFLSAFFVIEVFVIAFGCWLFSKNGVLRPSELLAVEEGYKIITSHFRAYSYSELERATKKFRFEIGHGGSGTVYKGVLDDERTVAVKVLQNVSQSEEVFQAELSAIGRIYHMNLVRMWGFCVEGAHRILVYEYVDNGSLANVLFQRAEDSGKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDSEMEPKITDFGLAKLLNRDGSDSGMSRIRGTRGYMAPEWVSSLPITDKVDVYSYGVVLLELMKGRRVSDWVVDGKEGLETDVRTVVKMIVDRSKSGDEGCIMYLMDEQLDGKFNHVQAKMMAQLAVSCLEEDRNNRPGMKHVVQMLISADDESRDHRYPEI* >Brasy5G493000.1.p pacid=40076010 transcript=Brasy5G493000.1 locus=Brasy5G493000 ID=Brasy5G493000.1.v1.1 annot-version=v1.1 MEGEDESSAAEAALGLSPQIFVNEVLNIIADLRYECFKEYCLQEGTVDAVGAATATHKAEELQQGVQYMHKLVQDVLGKRMGNWEKYCLRHCLTVPEEFVAPEDDNSSAKVSHKDGNYDSELDAELNLLRTKLENANKESEELERELSSLERQTTYRTNLKSSIAEVLKLFEDKSVQDNMQALVNALPKLHQKMKVMKRKKIEVASMVDGIRNQKRLASSSNASAEDIQEVNKALYGLRKE* >Brasy5G374300.1.p pacid=40076011 transcript=Brasy5G374300.1 locus=Brasy5G374300 ID=Brasy5G374300.1.v1.1 annot-version=v1.1 MGKLAALAVVVALLGCLAHTCEASYGFPYPMHPREKKTPPPAASGLKVGYYRHTKCSGVEKTVRDIVEKAVKPYPGIGAGLIRLFFHDCFVEGCDASVLLNATEANPQPEMLGIPNINSLRGFDVIDKAKDALGEECRDVVSCADILAFAARDATVLLGKVKHFEMPAGRYDGKRSLASDTLPNLPPPFADVATLKAMFLEKGLDTDEMVVLSGAHTIGISHCSSFGSRINASNPSDMDPEYAAELRAKCNNQPTTTVSQDFKTPDDLDRQYYRNVLDKKVLFESDAALLSSSDTEGAVKEYAKPSGKWEEKFKEAMVKMGNIGAKSKGSDAEIRKVCGFVNY* >Brasy5G269600.1.p pacid=40076012 transcript=Brasy5G269600.1 locus=Brasy5G269600 ID=Brasy5G269600.1.v1.1 annot-version=v1.1 MDGYRGQSSAMAWDDVCCLVFSNVWLQNLTKAKASHILMLACQKEEEFLNMRSHAVQLRASSAIKVRRRQESCQPRPCLQTWP* >Brasy5G407800.1.p pacid=40076013 transcript=Brasy5G407800.1 locus=Brasy5G407800 ID=Brasy5G407800.1.v1.1 annot-version=v1.1 MPLALSIASHLCPLSLSLRRTQATTVLHTSPQLTAMAGAGDDLDLLLSLDEDGEQVVLETPPSSPRPAGGGAFTPPRTLRPGGTDMSVFRDAVKDYIEAIPASTSAAGPKRSKLPKSTQTLVDTYSGLRIKDMAVSPLELTNRFADIRFVRISAFKNLAGTDTFSGCWATAGVVLDKGTTRVSAQGKDYSIWRMGALDDSEVSVFLFGDAHTHYSGATVGDMFALFNGNVRMDNGGKGFSVSVASVGQMMKMGVSADFGMCKGKRKDGMACTMATNRRKGSYCKFHSSNTSSQKYSTGRVELKGGNFQFASKLRSNGIYMVNPSSERSNPRNPSRPLKVMSVDGLKRALRFELVVARSLHRDSPVLLGRNECICTHSICNADKVTTKNNSQGIRFLSHVTGDMEPNLSSNGPTALQKQKLVSNKRPVSFGAKAAPKPGFQKQEQDVKRRKANNPVENTIELDLASSDDDEINIVLRR* >Brasy5G407800.2.p pacid=40076014 transcript=Brasy5G407800.2 locus=Brasy5G407800 ID=Brasy5G407800.2.v1.1 annot-version=v1.1 MPLALSIASHLCPLSLSLRRTQATTVLHTSPQLTAMAGAGDDLDLLLSLDEDGEQVVLETPPSSPRPAGGGAFTPPRTLRPGGTDMSVFRDAVKDYIEAIPASTSAAGPKRSKLPKSTQTLVDTYSGLRIKDMAVSPLELTNRFADIRFVRISAFKNLAGTDTFSGCWATAGVVLDKGTTRVSAQGKDYSIWRMGALDDSEVSVFLFGDAHTHYSGATVGDMFALFNGNVRMDNGGKGFSVSVASVGQMMKMGVSADFGMCKGKRKDGMACTMATNRRKGSYCKFHSSNTSSQKYSTGRVELKGGNFQFASKLRSNGIYMVNPSSERSNPRNPSRPLKVMSVDGLKRALSNADKVTTKNNSQGIRFLSHVTGDMEPNLSSNGPTALQKQKLVSNKRPVSFGAKAAPKPGFQKQEQDVKRRKANNPVENTIELDLASSDDDEINIVLRR* >Brasy5G485100.1.p pacid=40076015 transcript=Brasy5G485100.1 locus=Brasy5G485100 ID=Brasy5G485100.1.v1.1 annot-version=v1.1 MPRFPPLGGVTVCEINRDLVVADSLSEDRAKEAYGDLLGMVFSPIPFQPDDLLAKHEAPAPDDAVEPDAARTSLVSSMAESFKQLLFPSCDPKLLEEFDTQKVSWNPHKHCLAFVSGKDQVTVHDFEDSDGKESCILTSEHQKEVKAIEWRPNSGKMIAVGCKGVICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWVLVDVLRGSSTELVSALCWKPDGRYLASASCNGQSFTIWDVSQGLGSPIRRGLSSISLVRWSPSGDYFLTAKFDGTFHLWETNTWTSEPWSSSNGYVTGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLALSFKDGNETYHGLVAVYDVRRSPLISVSLVGFIRGPGEGVKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILRSH* >Brasy5G006600.1.p pacid=40076016 transcript=Brasy5G006600.1 locus=Brasy5G006600 ID=Brasy5G006600.1.v1.1 annot-version=v1.1 MAAWRQRRAPPSMAAAPWSRVGNARSLRQGGGRPDPAMERPGNAGSVPGTNTAEKSIAERERERENGEREREREREREREEETYRRMEMEGERVPAAMSQPRPEPVAVRGGWRRGRPDPAGAAARGGGRDDGRRRWCEAGRKARRSAEERREGRPDQARARRKMLPAEESAEWPATRSREGRREFGGEERVRVRGESSGERDIMGDRESAWRRRQGGESGCGLARVALI* >Brasy5G505700.1.p pacid=40076017 transcript=Brasy5G505700.1 locus=Brasy5G505700 ID=Brasy5G505700.1.v1.1 annot-version=v1.1 MSVPPLASDSHRGRLESAASLPPPAPSRAASTTAGKWEGSGAADQEEAGRKMQAAAARHREEGEGREGGGGASSRGKRGDRGGSGADQEEAGRKRQAAAARPHEEGGGMEGAVARMRRRRGR* >Brasy5G091000.1.p pacid=40076018 transcript=Brasy5G091000.1 locus=Brasy5G091000 ID=Brasy5G091000.1.v1.1 annot-version=v1.1 MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRVESEKHIDFSLTSPFGGGQPGRVKRKNQKKASGGGDAGGDEDEE* >Brasy5G424900.1.p pacid=40076019 transcript=Brasy5G424900.1 locus=Brasy5G424900 ID=Brasy5G424900.1.v1.1 annot-version=v1.1 MVGTLDEGMIIQYFKNKSILITGSTGFLGKILVEKILRIQPDVKKIYLPVRAVDAAAAKRRVQTEVVGKELFGLLREKHGQDGFDRLVESKIVPLAGDVMREGFGIDGATSAELGLLESLHVIVNGAATTNFYERYDVALDVNVQGVKHMCDFAKKCPNLEALLHVSTAYVAGEKQGLVRERAFRDGETLKDGTHLDIGAEMRLARDLKKQLEYVDGDGERPVVEKAKAERKAMKDLGLDRARHFGWPNTYVFTKSMGEMMLAQQLRGGRVPVVIVRPSIITSVLNDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVMPGDMVVNAMMAATAAAAHSNGGPHAVNPAHSPSPQQQPVTVYHVSSSLRNPAPYAVLYRTGLRYFTEHPRRTPDGRAAPTRKVRFLSTLASFRLFMLLRYRLPLELLHLLAFLCCGLFGLAALYDRLARRYRFVMQLVDLYGPFSLFKGCFDDVNLSKLRVAMDNGGGGEGQGVFNFDAKTVDWDDYFYRVHIPGVMKYVLK* >Brasy5G173100.1.p pacid=40076020 transcript=Brasy5G173100.1 locus=Brasy5G173100 ID=Brasy5G173100.1.v1.1 annot-version=v1.1 MEEDAGTSKSKWKKAAVPYAAAMAIQAIYTGMFVVSKAAFDATGTINTFVFIFYRLAAAAALLFALSLLSFSSRSPSPSSPPTMTPRLLCKLFLCALLGNTFTLNVYNVSLKQTSATVASAAINSMPVFTFLIAVLMGMEKVGKIRSRSAVGKLAGVALCVAGVLVVALYSGPSVVPPLTSHPVLFQASGGAKAAAASNGAVWIRGTFLLLLACATWSLWIVLQVPLLKEYPNKLMATAMQCLFGAIQSFVVAVVVERDFSKWKLDFDIGLLAILYSAFLGTGALMYLQAWCAEMKGPVFAVMWNPMALIFTIFCSSFFLGESVHLGSVLGGILLVGGLYSVLWGKSKEKENKITSVIPEESQEGTTIEHKDEEGELTSQV* >Brasy5G003700.1.p pacid=40076021 transcript=Brasy5G003700.1 locus=Brasy5G003700 ID=Brasy5G003700.1.v1.1 annot-version=v1.1 MGKLICFLAVAVVFLVALAEARDVPAAATATKTTAAAATSGTKNVAGLGEQKTFLPPVVGGYGGGVGGFAGAVGGIGSALGGVGGGVGGIGGVSSLGGVGGGVGGIGGVSSLGGAGGGIGGLGGGVGGLGGGGLGGGGLGGLGGGSGSGGGIGGLGGGGLGGGSGLGGGSGLGGGGAGGIGGGIGHGGGGGGCIHP* >Brasy5G375200.1.p pacid=40076022 transcript=Brasy5G375200.1 locus=Brasy5G375200 ID=Brasy5G375200.1.v1.1 annot-version=v1.1 MLSVMFTISNLVRFILAAAIAAACAVMLLSPSSCPGGPDQKELGLRNGTQADPSIRNIGTLQATAARKDDDGLAELLRSAAMENNVIILTFTNEAWTAPGSLLDLFLESFRIGVDTGPLLKHLVIVAADGKAFEQCQRVHPSCHLLDAGGGKFAADKAYMSPDYLEMMWVRNKFQTRVLELGHAFVFTDVDVVWFRNPLLRIPVGADIAISCDRYKNGGEPYDLRKEANGGFLYARPNARTLGFFRDWYEARTRYAGLHDQDVFEKVKEELSRRHGAAVQFVDTAYFGGFCEPKMDFRKLCTFHGNCLKGLGTKMGRLRDVLGEWKQFRNGTRPK* >Brasy5G375200.2.p pacid=40076023 transcript=Brasy5G375200.2 locus=Brasy5G375200 ID=Brasy5G375200.2.v1.1 annot-version=v1.1 MLLSPSSCPGGPDQKELGLRNGTQADPSIRNIGTLQATAARKDDDGLAELLRSAAMENNVIILTFTNEAWTAPGSLLDLFLESFRIGVDTGPLLKHLVIVAADGKAFEQCQRVHPSCHLLDAGGGKFAADKAYMSPDYLEMMWVRNKFQTRVLELGHAFVFTDVDVVWFRNPLLRIPVGADIAISCDRYKNGGEPYDLRKEANGGFLYARPNARTLGFFRDWYEARTRYAGLHDQDVFEKVKEELSRRHGAAVQFVDTAYFGGFCEPKMDFRKLCTFHGNCLKGLGTKMGRLRDVLGEWKQFRNGTRPK* >Brasy5G144400.1.p pacid=40076024 transcript=Brasy5G144400.1 locus=Brasy5G144400 ID=Brasy5G144400.1.v1.1 annot-version=v1.1 MVGRMERQTASTSCSHSAAGSSSSSCGGKKRPDILNMIRSATCLHSSSADTGKGRSKLSSTKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDRVPSYLRANLFCNILKEPLFWTNPQEAIKNAYGSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVLCERGAANQLTVDHEPHTTNERQRIEQQGGFVTTFPGDVPRVNGQLAVARAFGDHSLKTHLSSEPDVRHVPINSNIEFVILASDGLWKVMKNQEAVDLVKSTKDPQAAAKRLTTEALARKSKDDISCIVIRFRC* >Brasy5G380600.1.p pacid=40076025 transcript=Brasy5G380600.1 locus=Brasy5G380600 ID=Brasy5G380600.1.v1.1 annot-version=v1.1 MGVVSAVADAVVVLFSLTIAVAAPLIDAQSVLPHTLYPAPLLDLKRWYADEFGDYLMAHPPGFLRGLVWLELAFLWPLALANLYGVLAGRRWAATTSLIAGVSTLTSMSAILGEILGSGRATNKLLQMYVPFVVFAVVAILRGLCPCSSSAAAPSLGPSSRKKRV* >Brasy5G222100.1.p pacid=40076026 transcript=Brasy5G222100.1 locus=Brasy5G222100 ID=Brasy5G222100.1.v1.1 annot-version=v1.1 MEAMGMEGDLPWLARWGGQAFMVSSLLMASLAAVLLLARRWPWCGCHVCRAYLTGSWAKDFVNLGDWYAHLLRRSPTGTLAVHMLGCVVTASPANVEHVLRHRFDNYPKGKPFAAVLGDLLGGGIFNVDGDAWRRQRKMASLELGSVAVRSYAYGIVAQEVHGRLLPVLAAAAGNGAVVDLQDVFRRFAFDTICKISFGLDPGCLELGMPMSELAAAFDTASRLSAMRGAAASPAVWKAKRLLNVGSERELRKAIALVDELAAAMIRQRRKLGFASSHDLLSRFMAASAGDQGDDHDDKYLRDIVVSFLLAGRDTVSAALTTLFMLLSEHPGVASAMRAELAATAPTSPASTTYEQLKSLHYAHAVVYENMRLYPPVQFDSKFCAAADVLPDGTYVAAGARVMYHPYAMGRMPAIWGDDCESFRPERWLTGDGGAFQPASLYRYPVFQAGLRVCLGKELAVMEMKAVAVAVVREFDVDVVGGNGNGGAPKFAPGLTASISVGLPVRIRRLAPRNF* >Brasy5G072300.1.p pacid=40076027 transcript=Brasy5G072300.1 locus=Brasy5G072300 ID=Brasy5G072300.1.v1.1 annot-version=v1.1 MGSIPMSNRWRLICQGGPMASGAPSIMFRRLFKTLTVNPALVSGMTSQHHQLQQRAPVSGTSKGKAKLKAGLPLKRNVIAKKGGTASTGGGGGAGRGRREAIERITQIAESCLNSPTPLRHLTPKERLREAKREELGLVSKERQRELDIAKAKAKSKDKGGGDGGRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRQHRARQTAESALLRLKNEAIAALPEKLRAAAMVPDMAPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR* >Brasy5G072300.2.p pacid=40076028 transcript=Brasy5G072300.2 locus=Brasy5G072300 ID=Brasy5G072300.2.v1.1 annot-version=v1.1 MASGAPSIMFRRLFKTLTVNPALVSGMTSQHHQLQQRAPVSGTSKGKAKLKAGLPLKRNVIAKKGGTASTGGGGGAGRGRREAIERITQIAESCLNSPTPLRHLTPKERLREAKREELGLVSKERQRELDIAKAKAKSKDKGGGDGGRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRQHRARQTAESALLRLKNEAIAALPEKLRAAAMVPDMAPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR* >Brasy5G072300.3.p pacid=40076029 transcript=Brasy5G072300.3 locus=Brasy5G072300 ID=Brasy5G072300.3.v1.1 annot-version=v1.1 MASGAPSIMFRRLFKTLTVNPALVSGMTSQHHQLQQRAPVSGTSKGKAKLKAGLPLKRNVIAKKGGTASTGGGGGAGRGRREAIERITQIAESCLNSPTPLRHLTPKERLREAKREELGLVSKERQRELDIAKAKAKSKDKGGGDGGRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRQHRARQTAESALLRLKNEAIAALPEKLRAAAMVPDMAPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR* >Brasy5G072300.4.p pacid=40076030 transcript=Brasy5G072300.4 locus=Brasy5G072300 ID=Brasy5G072300.4.v1.1 annot-version=v1.1 MASGAPSIMFRRLFKTLTVNPALVSGMTSQHHQLQQRAPVSGTSKGKAKLKAGLPLKRNVIAKKGGTASTGGGGGAGRGRREAIERITQIAESCLNSPTPLRHLTPKERLREAKREELGLVSKERQRELDIAKAKAKSKDKGGGDGGRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRQHRARQTAESALLRLKNEAIAALPEKLRAAAMVPDMAPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR* >Brasy5G145600.1.p pacid=40076031 transcript=Brasy5G145600.1 locus=Brasy5G145600 ID=Brasy5G145600.1.v1.1 annot-version=v1.1 MAVGVPSMELSRSPPLLSGSRGKTCLQKPFLVQAKRSGGLDKVNTRSRGTGTPEQLKKRAPLTRGTVSAPLPVPGHIPRPPYVGTNNMPEISSEVQMHDKETIVHMKAACELAARVLENAGKLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELKDGDIINIDVTVYLNGYHGDTSKTYFCGEVDEASKRLVKVTEECMLRGISACKHGASFKKIGRRISEHAERHGFGVVEQFVGHGVGRVFHSQPIIYHQRNNMPGQMVEGQTFTIEPILAMGSNSIECDMWDDGWTAVTTDGSLAAQFEHTILITRTGAEILTKC* >Brasy5G319100.1.p pacid=40076032 transcript=Brasy5G319100.1 locus=Brasy5G319100 ID=Brasy5G319100.1.v1.1 annot-version=v1.1 MLRRTLPRLSSVKDRVAGAAKHAVKGNEHFPSLHGHPAARVHAREAAEKQAGLAAAEEEKQRGGKADTVKEFQIYRWNPDSPGRPFLQSYFVDLTTCGPMVLDVLQKIKSDHDSTLAFRRSCREGICGSCSMNIDGVNTVACIKPVDTDTSTATMITPLPHMFVVKDLVVDLTNFYQQYKSIEPWLKTKQPVTEGREHPQSPGERRKLDGLYECILCACCSTACPSYWWNSEDFLGPAALLHAYRWVSDSRDEYGEERIQSLSEGWDKLYRCRMIKSCTATCPKSLDPAAAISALKTMHQLRKA* >Brasy5G396600.1.p pacid=40076033 transcript=Brasy5G396600.1 locus=Brasy5G396600 ID=Brasy5G396600.1.v1.1 annot-version=v1.1 MPPRPPPPRRRPYTTTAPASNPTASPSRTAEQHCLRLLERSSTPASLLQSFAFLLKSGLHSNPLVVTRLFAASASAAPALLEPLVSSLLGPSLPLDAFLVNTLIRAHVTSPLPSARRRAAAFFPLMLRAAVAPNKFTFPFLLKSCAALPGSPAVGLQAHAAALKFGFAADHYVSNTLIHMYSCFGAGFLGDARNVFERMPKESAVTWSAMIGGYVRAGLSSDAVVLFREMQASGVRPDEVTVIGVLAAAADLGALELTRWVGRFVEKERIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMEERTVVSWTSVIDALAMEGRGKEAVGVFEEMKAVGVLPDDVAFIGVLTACSHAGMVDEGRGYFDLMKTEYDIEPKIEHYGCMVDMFGRAGMVEQGLEFVRAMPMKPNPIIWRTLVAACRAHGRLELGESITQNLLNEFPAHEANYVMLSNVYALTQRWKEKSEIRREMSKRGIKKVPGCSLVELDGEVHEFIAGDESHPQYKEIYRMVEEMSRELRRIGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRVCSDCHAAIKRISQVYNREIVVRDRSRFHRFKDGSCSCKDFW* >Brasy5G264100.1.p pacid=40076034 transcript=Brasy5G264100.1 locus=Brasy5G264100 ID=Brasy5G264100.1.v1.1 annot-version=v1.1 MTVEKVDATVADLESHLEKLFSAADGKLKLLLFLADREPPSSSLTWCPDCNVAEPLIYEKLEALGKDVVLLRAFVGDKPTWRDPAHPWRADPRFRLSGVPTLLRWEKGAAAAGRLGDEEAQVGDKVDAFLSAAAGN* >Brasy5G168800.1.p pacid=40076035 transcript=Brasy5G168800.1 locus=Brasy5G168800 ID=Brasy5G168800.1.v1.1 annot-version=v1.1 MTNTTTTLDSGTIKFTVDYDKIKLLIPPGEAVYSGVVSVGQHRWRIDCYPSGSDEANHGEHLSIFLKHMSKSRSVKAVFDALLMHRNVQPSVVSSRTEIHEFRIAGDDDDWGWGRFAETPVLDSNHIGIHLGVLIDQTQGMDVLFIVQGKKFPANRAVLAARSPVFKAELLGSMAEATMPSITLRDITPATFKAMLGDSPVEMLLDLLAAADWYLWDNVSGETVGDILACAEIYNCPELKSKSVDFYVRERKIKKAKRDGQELRGTNAP* >Brasy5G229100.1.p pacid=40076036 transcript=Brasy5G229100.1 locus=Brasy5G229100 ID=Brasy5G229100.1.v1.1 annot-version=v1.1 MSATTRPPPDPTKMDYPEIMNEEGSQMDPVKINDGEGHLVEMLVDKPCFLEPLCAEEINEDTRVYPRVGDEYQVEIPNLATEEERMELRSSPVVDSSMFGFEYPVAVGLTIPVSWTQNTNTRMEEECREFSGHNSCPSGDEHNNHSSGNTPRNLTQHSMYPERLGSKVEHDEQCGKSSKSAGQNIHCSSVTRKANDYVPVPGMPMYSWTYEDAQTFLLGLYIFGKNLVQVKKFMISKTMGEVLSYYYGEFFRSDGYKRWDACRKVRSRRCILGSRIFSGPRQQELLSRLLAAVAREARDALLEVFKKFNEGTSDFEQFILCLRSTVGAQVLVEAVGIGKGKYDLTGFPLDPIRKHGMSARAETPIVKTYSSLSSGDIIKYLTGDFRLSKARSNDLFWEAVWPRLLASGWHCEKPKDSSLIGKHAFVFLIPGVKKFSRKKLVKGNHYFDSVGDVLRKVASKPRLLDFGVEGGNNEDGVKPENGGVHNVEPDKSALPDKKPPCYIRPNEPGCSSELMKFTVVDSSSVQGEEPCNLRSLRNLPADTNHGYISSPHSGDSGSGTSEELSDSEDSSQPSEDLNTNKSTTDASYVNERKRKPPTVDKMDADVLPKIPSTVNGHNSVDQFFGTTNTVCTSTATVLPVGNQRVHPTDSSTEINFQFDQSVHPDPEVLLAPVSKRRRLVSCNYERTGRKSTAVNKINSWKHADAPLQHVLKANEASAGANPFVRGAIPNSSTNITLDINKNNTYSRQLHDVPHNNNVQTTSYNEKAQRKNVIDLNIPQLPSDYEATASYIVPPSDNNTSIVDRPVHPPETKEMDGSLLEMNACSNAVLGEQLSFDSRRQSTRSRLPTTRALEALAGGFMGTEQNGEDANSNRSSRPVQQPPRRSTDIMAPLSSEGEGSSFHFTNPAIVVDERRMSNPQDHSTPSDRSSAEVTCQLSARETICPSCHHKWNEYE* >Brasy5G201200.1.p pacid=40076037 transcript=Brasy5G201200.1 locus=Brasy5G201200 ID=Brasy5G201200.1.v1.1 annot-version=v1.1 MVAAAVAAGSALKLIFSLVIAIRAASTKAKRNGEDCRDIAARACSLEASLSSLEDDGAKHPAVASALDKLHDALYRALQAVMDCEEDGAVARRFNAGKVSEDLRKLNQSIGDRILEVILATSLHTNSVVLGAQQSKHHGDEGLLLHPRPQIQEGSSTNSFMEREPAATSSGLRKFNLSELEAATNNFSEENLIGESDSCTVYKGQLRYGSEVAIKAYSKMQYKACRKECENEEYIAGKLLHKNIVELVGCCSSGGLFYQVYEYMHNRSLSDHLHGSKIQWPKIFNTIIQGIARGVDYLHEQCGLGIVHLHLKPSSILLGHDYTPKICDFGISKMLPDSAKEGTVDTVIGTWGFTAPEYMLSRRFSIKSDVYSFGVILLELLTGWSRHQEAKNCKDPVNVLVWGLWKTGKLDECVDPRLARATGVTESQVEEMKRCIHVALLCVEEDPALRPDMSDVLRMLRDNSPIIGRRSPRRPAYTM* >Brasy5G178700.1.p pacid=40076038 transcript=Brasy5G178700.1 locus=Brasy5G178700 ID=Brasy5G178700.1.v1.1 annot-version=v1.1 MAHKFSSALIILAAIAILLVSVGNQVPCVKGSGGGGRGGGLRGGEVVMISKGSFSIRLSPWGQSCADLCKAQGFNKGAECPPPNQGNCCCVN* >Brasy5G419500.1.p pacid=40076039 transcript=Brasy5G419500.1 locus=Brasy5G419500 ID=Brasy5G419500.1.v1.1 annot-version=v1.1 MAAFGNGGYGYSSGSDGEDEEEGPEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLKRFVALKIQKSARDYAHAALHEIELLSAVAKGDPTNSKCVVQLLDHFKHSGPNGQHICLVTEFLGDSLLRLIRYNRNKGIGLSRVREICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERSVGNQYGGKVTSFSEKMLKIRARRAVAKISLRRVSLGGVAAEVEKKRSLDGISMKCKIVDFGNACWADQRLAGEIQTREYRAPEVIIGSGYSYSADMWSFACMVFELATGDMLFAPKNCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKVYFDRHGDLKRIRRLKFWPLERVLIERYNFTEPDANGFADFLRPILDFTPENRPTAAECLKHAWLN* >Brasy5G269900.1.p pacid=40076040 transcript=Brasy5G269900.1 locus=Brasy5G269900 ID=Brasy5G269900.1.v1.1 annot-version=v1.1 MANEWDPESREPAVAAPRPPNIAAKPRPPPAADEDEESDRKIPNCIWVSLQVLLTVVACSPLLALALTRTDGREKAALCLVMLPLVAGVFCLLRAACDRPRLSVVAYVVKR* >Brasy5G269800.1.p pacid=40076041 transcript=Brasy5G269800.1 locus=Brasy5G269800 ID=Brasy5G269800.1.v1.1 annot-version=v1.1 MISTTHQHTSLKSHQVHTCICHRDPIVPHRRDVPADIDAVDTMLLHLLTLTASRTCSKTMPSGGGNGARETQTRGFPKSGPSEGRELQNRCLRQGNTAKAPPSTVMTEVGLVFNDSPAIPNPNLTGSEQSWTMETHVTAGTPMGLQQPLTFPQRGADRPWATLTMDLTGS* >Brasy5G499000.1.p pacid=40076042 transcript=Brasy5G499000.1 locus=Brasy5G499000 ID=Brasy5G499000.1.v1.1 annot-version=v1.1 MAKVHPKASGAAARAAVGEEEERERPATVLTVWRKSLLFNCDGFTVYDSATGDLAFRVDSYGTSSARRRSEDVVLMDAAGKPLLTVRRKARLLGPAHWVIYEGDAAAAGSGSGSAKPLLSVRRRRHGGASDKAVADVTRLAAGAEAGDYVVEGSYGRRSLAVRDAAARGGAVVAEVRRKESVGDDVFRLVVPAGGAMGAAAAMGVVIALDQMFPGGSSSSRPRSLLPRSWSA* >Brasy5G452800.1.p pacid=40076043 transcript=Brasy5G452800.1 locus=Brasy5G452800 ID=Brasy5G452800.1.v1.1 annot-version=v1.1 MATGGAPPPSAESEPVGGTSGARTDESARPLLRGAGASAWVLMVGDGAREDQAGVQTGMAAAGVQGDTAAVAVGDARPDAGVHTVTAVAAVQAGTATAGVQTGTTVVVGGRASAASGVQIGSEAVAGGRARAATGLQTGMVAAGFQGETAAVAESGHARAASGVQFGSPAVAGGRARAATGWQSGTGAAGVQAGTAAAGIQTGTAAAGIQTGTTAVVGRWESGMQDMGAGSSTQANLRMMTGVASSSVGALGGSGVSAVALPAEDDQQRFSKGIHLHHRRTPPRPPRASSCQIPAPHGINRQPRSRAAGPACQISVILATPHARSRRLDLASILLSFPSPSVAPSPTPPTASRPRKAHPKRCSPTPTPPMAS* >Brasy5G388700.1.p pacid=40076044 transcript=Brasy5G388700.1 locus=Brasy5G388700 ID=Brasy5G388700.1.v1.1 annot-version=v1.1 MAMDGYDQWVSSASSSSSHDQYGVVWSPPPKRPAGRTKFKETRHPVYRGVRLRGNAGRWVCEVRVPGNHGKRLWLGTHLTAESAARAHDAAMLALHGPSAAAACLLNFPDSARLLAVTPPALADLDDIQRAALAAVADFLRREAVNVAATVPVPAAAAEITSIASMVPVDDAGSWPSLQPCVAGMFDGPVAMGSDMFELDMPDEMDLGMYYADLAEGLLMEPPPPAPDTGACWESGEYGDGHYNTNVL* >Brasy5G415900.1.p pacid=40076045 transcript=Brasy5G415900.1 locus=Brasy5G415900 ID=Brasy5G415900.1.v1.1 annot-version=v1.1 MIHSKKLAQLVKKFQRLVAAGAQETADTDGCCSTSSVADRGHCVMYTADGSRFEVPLVYLGTMLFKELLRMSQEEFGFTCDDKITLPFDATVMKYVMCSIRREASEEVEKAFLSSIVRPCHHASCLVPSVGFYQQFSVCS* >Brasy5G449900.1.p pacid=40076046 transcript=Brasy5G449900.1 locus=Brasy5G449900 ID=Brasy5G449900.1.v1.1 annot-version=v1.1 MAPLIPSSQPWVEKYRPRQVKDVAHQEEVVRVLTNTLQTTDLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDERGINVVRTKIKDFAAVAVGTARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDVMTNRILHICNEEGLTLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDIVKSLLGACKSGDFDVANKEVSSIIADGYPVSQLLSQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDMASETIRALFNMPQGLVF* >Brasy5G449900.2.p pacid=40076047 transcript=Brasy5G449900.2 locus=Brasy5G449900 ID=Brasy5G449900.2.v1.1 annot-version=v1.1 MAPLIPSSQPWVEKYRPRQVKDVAHQEEVVRVLTNTLQTTDLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDERGINVVRTKIKDFAAVAVGTARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDVMTNRILHICNEEGLTLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDIVKSLLGACKSGDFDVANKEVSSIIADGYPVSQLLSQMSKRQEYVKSLGKLISVWSMGRTSICSF* >Brasy5G031900.1.p pacid=40076048 transcript=Brasy5G031900.1 locus=Brasy5G031900 ID=Brasy5G031900.1.v1.1 annot-version=v1.1 MARLSGPTASTARDLLWGLNLCVAYNRIQKGPKMWKGKQSTHPPPLTSKVAPVAGEEPVAFQPRIVRAPVSRRLSRRLHVGARRVRRATPGASAAAGRGGVARAVEPPAQLGDAELQRRGALRVHLGRQHVLAPGRLSLLPPQERGVRRRGGRDARQGGCGVVPLPAALRRAEHPGHDPRGHAAGGGVGRRAAPAAAEEEPRAGGDAVVGAGERPLGLLHGAVAGRREGDDDGGGGRVEGDDAAVRGRGVPSGDQRQERGAVGGVAGEGDRAAGGEAERVRDAAAAAPAVARLGDIRRGGEGFVAMGEQQGEGEGEEQGGDETAHPLLPVGIGTTFFRSLLPSLSYFCTRESERGLVGCAVVAC* >Brasy5G030100.1.p pacid=40076049 transcript=Brasy5G030100.1 locus=Brasy5G030100 ID=Brasy5G030100.1.v1.1 annot-version=v1.1 MPPASMVLPQQIGGLMETDVTESFIHKLQLSVSNGLPHAAPVPASRTEEAELVKSVFQVLQGFDTLLLYWDENVPAYCQKAGTYVSHLSQASLGSVLKPFLFAATCLKHVEIFVGKVRSCGHGTPTLNAFASSVHSWLMRLREAALKEEEGLFLSVDRTITLLGLTDSMSSLCSGAEHLYQVVHGAVPDDFWNSGANIASSEVAVHVVNHIFKKLNEVCLVEDGEGEPYHMLLVIFAGSLLPYLHCLDSWLYDGILDDPYEEMFFYANNAVTVDQPSFWEMSYMLRVRGSRADNSSTLTDTESIRTKESNKQEPANTGACLKASNQGYVDILCPVFLKDIARAIVSAGKSFQLVQHVQSTHHTRTHQGTNGFDVDQHSDHSSRLNRPDILSFEIQAGHLTCEDDLRKSTGQFGHDAREMELLTLSEIFLICLSGLLENGDHVYEYLRNLPAGSTPDVKALLECKSDAQGTKEACAENSSEKTWLKLLRDAISGRKCDDMERTLSKSAAMRDPTSVHEYLQDLSSNAVGRHFSPCCYENPAITTCGDVLQRNPNSWSNLNISKCFDLPPLNDENMRRAIFGDHPSAGTSICGDTQSATSFPGLDGTDYKFGFRFDDLEYVRQEDDRRTLEDLYAFPTLLPCVNENVPLSEILPLQKDSTLASRVLKFIQSMALKDPLHPVGIIQECLSKCIKRQVDHIGRQILCKLMGEWRLMDELLVLRAIYLLGSGDMLQQFLITIFDKLDKGNSWDDDFELNTLLQESIRYSADKMLLTAPDSLVVSLAKPDTRYDEESALTSRKGHAQGFGIDALDVLNFTYKVSWPLDLIANAEALKKYNQVMGFLLKVKRAKFVLDETRKWMWKGRGSTAHNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLGTGGTAPAVRSRCEMELDRIDKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDTGSFSAIPGSRSRQQP* >Brasy5G161800.1.p pacid=40076050 transcript=Brasy5G161800.1 locus=Brasy5G161800 ID=Brasy5G161800.1.v1.1 annot-version=v1.1 MSISSTSRLVATTGSTIVSEQVSGSHVLKIHGYSRSKGLGIGNCVASAAFAVGGHRWRLKYFPEGVPGLGIVAAAGVASLFLCLDDDVHSAASGVKAWFKMSLLLTHREDNGGEERPSLFRVVAEKQNAVFRRKGEQLGFPIFFKKKDLEEKPAGYLRDDAIVIRCDLTVVKEVVRTDAPPPPRVVVPPSDIRTHLARLLSSGDLADVEVEVGGERFYAHSNMLSSRSSVFATELLVLRSMSRRTPTRMRVDGMDARVFKAMLHFIYTDSLPAEMGDDGTSASTRNVAAVLALAEQHGCRGLKEACVNFLEFPRHFKAVVVSEGFDHLKSVCPSELFDLQVAAIQNKMEHCRVRINHLGRLFF* >Brasy5G194900.1.p pacid=40076051 transcript=Brasy5G194900.1 locus=Brasy5G194900 ID=Brasy5G194900.1.v1.1 annot-version=v1.1 MNISWAWSASPLARPELLAAPCSQHAARPELVAASARLPKLLAAAAPPRAARPALPLPTTRCRYCRELEPLPDARRPALVAASAPAPSWSPRAGRCLRARLELLAAAAPPRVARPALPLRPRARAAARRAPPRAGRPALPLPAARRPPRPAAASCLLHTSRSREREGANGESSSYRER* >Brasy5G066100.1.p pacid=40076052 transcript=Brasy5G066100.1 locus=Brasy5G066100 ID=Brasy5G066100.1.v1.1 annot-version=v1.1 MDAMAALARTSPTLAATGRRSSARLRPSASLSFAAASSRPRGRVALSTPEWSGRATRVARVSPRRIVASSDVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKELAQEHYKDLKERPFFPKLIDYITSGPVICMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELAQWESVQTPWLIE* >Brasy5G009300.1.p pacid=40076053 transcript=Brasy5G009300.1 locus=Brasy5G009300 ID=Brasy5G009300.1.v1.1 annot-version=v1.1 MAALVGGDPAYWLAEHPAIVGFRWSPTGLWFSTWPFLLAFLASYVSLCLSLDALLRLRRRRNPLPLGPLPSAHALLTAAVSSLIFTGTLLSAAAEIRDTRWSWRGRSPSTPLRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLRRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGSRLWLAPAAMGAARVALACQVALLGCNLVCHAGVVWMHFGGAVAGGCSGIGAWVFNTMLNAALLWVFLHCYGKRGVCDDDGGNKDL* >Brasy5G081600.1.p pacid=40076054 transcript=Brasy5G081600.1 locus=Brasy5G081600 ID=Brasy5G081600.1.v1.1 annot-version=v1.1 MQASGSVTWVVGAQASVLGRCSGGLPCPSSSSSSSSASSGRFQGLGAVRCCVQAQDKKPRVRKTKEERREMVESFVNTYRVSNNGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNAKALSFEDSSELSASHVLGQDNIEILDLSDENQGGKDSVLEMSDKEGVFSLKNNVISTEQLLGSSNLLQSGILNGVVQNGNVGSATCLDTNLQEEDEALCGKPSEVETIGSEKQAPSFVHVSDLQKDIEVDSSVDAHKVTTSSITDEVTLVSELSTVSQTNGALLDEHVTLPDDCDDISNSAVDEAILYSETNGVLQTNQTLAQDHEKLPETESIMADDVHFIDGQFRSTTSANQWDAYALNKSAEAIDSALSVDKRDEVERPHLDASSDEQDNLEGLASQPALDTKGLQKEDKHSTVEKDVSELGTSISDVTHEQREASNVNTERAISVTGRRTGKVQQKKEDNLFWLIIRAFVVSMSKLWAK* >Brasy5G081600.2.p pacid=40076055 transcript=Brasy5G081600.2 locus=Brasy5G081600 ID=Brasy5G081600.2.v1.1 annot-version=v1.1 MQASGSVTWVVGAQASVLGRCSGGLPCPSSSSSSSSASSGRFQGLGAVRCCVQAQDKKPRVRKTKEERREMVESFVNTYRVSNNGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNAKALSFEDSSELSASHVLGQDNIEILDLSDENQGGKDSVLEMSDKEGVFSLKNNVISTEQLLGSSNLLQSGILNGVVQNGNVGSATCLDTNLQEEDEALCGKPSEVETIGSEKQAPSFVHVSDLQKDIEVDSSVDAHKVTTSSITDEVTLVSELSTVSQTNGALLDEHVTLPDDCDDISNSAVDEAILYSETNGVLQTNQTLAQDHEKLPETESIMADDVHFIDGQFRSTTSANQWDAYALNKSAEAIDSALSVDKRDEVERPHLDASSDEQDNLEGLASQPALDTKGLQKEDKHSTVEKDVSELGTSISDVTHEQREASNVNTERAISVTGRHALCILTLGCMLTVYNFLHTFQKAVTH* >Brasy5G161900.1.p pacid=40076056 transcript=Brasy5G161900.1 locus=Brasy5G161900 ID=Brasy5G161900.1.v1.1 annot-version=v1.1 MKNRKGRHSRNKATRNEAAAGNGDRISKLPDDILLNILERMDTLDALRICILSKRMLKLPTMLSQIVVNIGNLAARINPCAFSVREVVRINGAVADVTDNILSTRKPEITIRKLHARFVLRHYDCLSIGKSFARAMATQKVEKAEIEIMAEKTNKDCDSDDLIRFAMLFNTFLGACPDAFAGLTRLWLQNMRFGEEDIPNMLSTCKRLESLRFSFCDTGICSRLKLQHDQLVELEIDHGHFEIIELSCLPKLQRVSYNSWFPYEDHPLSFGFVPRLSKLSLYKTGGCSDRALVLSRLLATFLSLSELHLDFRSEKIWVLPECPKLLVPLLGNLQLVNLDNLPEGCDIAWTMFILEAAPSLKELCITVWDHCMEMDKECRKARGLCDKANVEWKPSASDFKHKNLAKLTIYGFLPNDNFMRYIRRVLEAAVNIKEISLHEKKVCEDCSDLDPKINVCSARYPQNDEERKYVMKELGMSWQDVIHFQS* >Brasy5G333400.1.p pacid=40076057 transcript=Brasy5G333400.1 locus=Brasy5G333400 ID=Brasy5G333400.1.v1.1 annot-version=v1.1 MEAGAGLVAGSHNRNELVLIRGHEDHKPARALSGQVCEICGDEVGRTADGDQLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDDDEEDIDDIEHEFNIDDDDKRRAVQLHNNGHITEAMLHGRMSYGRASEDGGEGNNTPLVPPIITGNRSMPVSGEFPMSAGHGHGEFSSSLHKRIHPYPMSEPGSAKWDEKKEVSWKERMDDWKSKQGILGTADPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLIVLCVFLRYRILNPVPEAIPLWLTSIICEVWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSPVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLSFESLSETAEFARKWVPFCKKFNIEPRAPEFYFSRKVDYLKDKVQPTFVQERRAMKREYEEFKVRINALVSKAQKVPDEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPSGPKRPKMVTCDCCPCFGRKKRKQAKDGLPESVGDGMDGDKEMLMSQMNFEKRFGQSAAFVTSTFMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKHGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFISLFISIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYTFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIIWSVLLASIFSLLWVRIDPFTVKAKGPDVRQCGINC* >Brasy5G032900.1.p pacid=40076058 transcript=Brasy5G032900.1 locus=Brasy5G032900 ID=Brasy5G032900.1.v1.1 annot-version=v1.1 MASAITISSVSAQAALISKPRNHGITSYSGLKASSSSISFETGFSFLDKNASLRASVTPRIVPKAKSGAQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELRLYDIANVKGVAADLSHCNTPSQVLDFTGPGELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINYRDAGIVKSLIEAVADNCPEAFVHIISNPVNSTVPIAAEILKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEDEIEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTYVQSELTELPFFASRVKLGKNGVESIISSDLE* >Brasy5G434800.1.p pacid=40076059 transcript=Brasy5G434800.1 locus=Brasy5G434800 ID=Brasy5G434800.1.v1.1 annot-version=v1.1 MLECSGKVMAEVQDGHYDSSTDSLRVEPIYESFLCPLTKQIMRDPVNIESGATFEREAILKWFKESDSRGERLVCPVTRKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSESSENDILQALEYVTEICQRSRSSRHVVRKLGLISLISELMKNSSTKVRQKALESLRFVTKDNNDNKDEIAAGDNIRTIVKFLSHGHVQEKEQAVSLLHELSEYKPLSEKIGSVPGAILILVGLSSSKVENVLTIDRAEKTLVNLESCEKNVRQMAENSRLRPLLRLLLEGSPDTQLSMAAYLGELVLSNDIKVLVAQKAGSALVNVMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLVTDLFTVGSNQLPMRLKEVSATILANVVASGANFLSIPLDHNRQTLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTSSSKTVQNVVDAIKSSGATVSLIQFVEAPQREVRMASIKLLNNISPYMGQELADAFRANFSQLSSLIRVIADNNGISEEQAPAAGLVGDLPQQDSVLTRRLVQDGAFATIISKVIMIRQGESRGGRFANPFMEGLVRIISRITFVLDDDPDIIAVAREYNLTALFSDLLQMGLDSVQIVSATALGNLSRQSKHLTKILPPPNPGLCFSIFPCISQKSVPTGVCRVHVGICSSRESFCLLEGKVVEKLVACLDHDNEKVVEASLTALSTLLEDGVDIEQGVMILCDVEGVKPILDVLCENRSEALRERAVWAVERILRTHEIACEISGNQNVGTALVEAFRHGDFRTRQVAERALKHVDKLPNFSGIFSKIGTQ* >Brasy5G358400.1.p pacid=40076060 transcript=Brasy5G358400.1 locus=Brasy5G358400 ID=Brasy5G358400.1.v1.1 annot-version=v1.1 MPRVSLTRGAVASPMSKSNNKLRSCDVYIGFHGEGIALTRFCKWLKSELELQGIAAFMADRSRYSGAHSHEVADRIICSVSFGIVVVTMSSFLNPFVLEEIRFFAQKRNLVPILFHTRVSDIAGLFDGKPEDKEGMEALEGLMRCHELKLETDESNRRSSVSRVVAMLQLKLGRGTIGEKEGEGIEGLPFPRNRHFIGRENELAEIEGMFFRCTVDAEDVVCQSGTMPNCGSSGVSDGFADEESDTVRTSNCCKKPMLEAFVDPAIQLSSAKVRSLQKQRPKHMKSRFRCNSKDHGNDNVICINGISGIGKTELALEFAYRYSQRYKMVLWIGGEAKYMRQNILNLSRYLGLDISTEAEKEHGRIRSFEEQELDAFQRVKRELFRDVPYLLIIDNLESERDWWEGKDLQDFIPRNTGASHVIVTTRLMVVMNLTPMHLPKLSFHDAMILIKGKKKEDYPPEEIEVLRKFDEQLGRLNFGLWLVGSLLSELMIAPGVLFEAVERVSLNDNMIVLSSGEDNLWQNNFFLIKLLVFCFALMDQVKGGCLALKMITVGSWLAPSPISSSLLAAMASKLPTKANSIQLWSESLKTALLCGTHCFLPPQARKAEVESAHLLVKLGLARKTTQPGCWIQFHPIMQLFGKISGGLAPATAAVSGVIRTGNMSMYTDHMWASAFLLFGFKSEPPVVQLKAVDLVLFIKKIALPLAIQAFMTFSRCSSALELLKVCTNILEDTEKSIASRIQDQKQGSLCWKKKLQTNNHVDEFIWHEMALLKATLHETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHAQTMAAQETLAKVVRYRSKI* >Brasy5G221700.1.p pacid=40076061 transcript=Brasy5G221700.1 locus=Brasy5G221700 ID=Brasy5G221700.1.v1.1 annot-version=v1.1 MEEGSSSSGHHHPRHHSSKDPHQQHLLAGYGVGFHGAAAMPTTTSSMPSASFFAEQEEGAGYLGELEEALMHHHHHHHQAGGSSSKNTAIHHLHQQTVAAAAAAHHHGHEVHNNNNTMAAMAIPMPFHAGTTATGAAAARPPPTLDIFPSWPIRPLAPPHHHTPKEGSNVTADSTDSESSSKNNNIKHSPDHQKVQAVSMAMAGQFHQISQQQQNHQQQQHHHQQKMATSSTHSDRTGKALDPNKTMRRLAQNREAARKSRLRKKAYIQQLESGKIRLAQLELDLNRARSQGLLLGGAQGGNCSADAAMFDAEYSRWLDDDSRRMIELQRGLHAHLPDSDLRAIVDDALTHYNELFRLKDTAARTDVFHLITGMWATPAERCFLWIGGFRPSDMLKTLVPQLDPLTEQQVSGICSLRQSLQQAEEALTQGLEQLHQSLADTVAGSGSLADDTNMGSFLGDMALALGKLSNLENFVIQADNLRLQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREIMMADEGNCGELSIAAHPSESQYAAF* >Brasy5G221700.2.p pacid=40076062 transcript=Brasy5G221700.2 locus=Brasy5G221700 ID=Brasy5G221700.2.v1.1 annot-version=v1.1 MEEGSSSSGHHHPRHHSSKDPHQQHLLAGYGVGFHGAAAMPTTTSSMPSASFFAEQEEGAGYLGELEEALMHHHHHHHQAGGSSSKNTAIHHLHQQTVAAAAAAHHHGHEVHNNNNTMAAMAIPMPFHAGTTATGAAAARPPPTLDIFPSWPIRPLAPPHHHTPKEGSNVTADSTDSESSSKNNNIKHSPDHQKVQAVSMAMAGQFHQISQQQQNHQQQQHHHQQKMATSSTHSDRTGKALDPNKTMRRLAQNREAARKSRLRKKAYIQQLESGKIRLAQLELDLNRARSQGLLLGGAQGGNCSADAAMFDAEYSRWLDDDSRRMIELQRGLHAHLPDSDLRAIVDDALTHYNELFRLKDTAARTDVFHLITGMWATPAERCFLWIGGFRPSDMLKTLVPQLDPLTEQQVSGICSLRQSLQQAEEALTQGLEQLHQSLADTVAGSGSLADDTNMGSFLGDMALALGKLSNLENFVIQADNLRLQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREIMMADEGNCGELSIAAHPSESQYAAF* >Brasy5G186900.1.p pacid=40076063 transcript=Brasy5G186900.1 locus=Brasy5G186900 ID=Brasy5G186900.1.v1.1 annot-version=v1.1 MASFSAALLKATTLLAAVLAVLVLPSSARCRSSAPAPAAPPPPPPSPAPAPAPAGVIVRCGECPAHCAAAVAAAGLCGKYCDPPSSTSCDGCRSMVLQFCTACCRAGGTCSSGTAATVDCGACGYCGGQGCAGEVQRACEQPQGACRVEDQDACKGCRAQEEAHCNDYCNSNCVQ* >Brasy5G024200.1.p pacid=40076064 transcript=Brasy5G024200.1 locus=Brasy5G024200 ID=Brasy5G024200.1.v1.1 annot-version=v1.1 MPIMPSNQNDILRWIPAKDGKCSTKNIYRHLIRQDLIQLPQQGSRSINHHANLILQRAWKSKNLPPLIKAFTWRLIRRALATGERASRFQRKTWTPWQVHHAVAAFTRTHQDALQQQPAAPGSSTLISMTMEGVQGTGSHIDDTTTTNLQGTMCITAGNTNSPTRSSTIGPVLNPTSAPGIKCYVDASTAPDHEPTISRTAGIGIFFVNPQVQPVHTIYIRAQMTQTLSVLMAEAAAMALAATLADQLHYDNVTFLSDCQQLVDFLSSPDRNNPPEWQIKYYTQVFVNSTASHHAKIYKINRDLNTTADNLAKQASSATVPSTPNLSHTCSNMAHISRCPLVDALHNVKINSVILLAASCC* >Brasy5G186600.1.p pacid=40076065 transcript=Brasy5G186600.1 locus=Brasy5G186600 ID=Brasy5G186600.1.v1.1 annot-version=v1.1 MIKRPWQRTGTVLVINKGRKVSVVIVRVSRRLRPSLGARKSKKKKPPNPQESPGPPITRSPDPRELFPAFSVAAATALHCGSSRLADITLPDPKIQKHLLRIKAWLWLVCWVCAEEGSRARLGRCLPRRSSVGGRGAMGNPRARLGRRRPWRKRSSIGWVVAGHVQSSRD* >Brasy5G391400.1.p pacid=40076066 transcript=Brasy5G391400.1 locus=Brasy5G391400 ID=Brasy5G391400.1.v1.1 annot-version=v1.1 MTTMIAASSIVNTNLPCSSRISSCSDFTSGHSWRPLEAAKHYRTNGVRSLRIACAATKPAKSPAEEEWKTKRQVLLEKRVRSVDVKEALRLQKENNFVILDVRPEADFKEAHPPGAVNVQIYRLIKEWTAWDITRRAAFAFFGIFAGTEENPDFIKSVEEKLSKDEKIIVACSAGGTMKPTQNLPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYAWFKADLPAVEGEE* >Brasy5G051900.1.p pacid=40076067 transcript=Brasy5G051900.1 locus=Brasy5G051900 ID=Brasy5G051900.1.v1.1 annot-version=v1.1 MSCCGGSCDCGSCGCNGMMYHDLAEKNTSTPGIILLGVSSEKVYSRVERFEEAAESGEVAHGCGCGSGCKCSSCTC* >Brasy5G023700.1.p pacid=40076068 transcript=Brasy5G023700.1 locus=Brasy5G023700 ID=Brasy5G023700.1.v1.1 annot-version=v1.1 MVSPRSLLLLLLLLASPFLLLLLHASSDEDLDYIIHNAAADDLPADDEWLQEGSDDDQEESDPFHQGDIDETHVFLLTGANFSDFLSSRRHVMVEFYAPWCGHCQSLAPDYAAAAYQLALLHQDVVALAKVDATEDADLAQKYDVQGFPTILFFIDGVPKDYTGERTKEAIVAWINKKLGPGVHNVTTVDEAEKIITGEDKAVLAFLDSLSGAHSNELAAASRLEDAINFYQTSNPDVAKLFHIDLAAKRPSIVLLKKEEEKLTIYEGEFRASAIADFVSSNKLPLITILTQETAPSIFDNPIKKQILLFAVANESSEFLPIFKEVAKPFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFLDGEMSLDNIKKFAQDFLEDKLTPFYKSESIPEPNDEDVKIIVGKNLDQIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKPDGFPTILFYPAGKKSFEPMTFEGDRTVVEMYKFIKKHASIPFKLKRPDSSAARTERAESTGSTEGEKSSGSDLKDEL* >Brasy5G277100.1.p pacid=40076069 transcript=Brasy5G277100.1 locus=Brasy5G277100 ID=Brasy5G277100.1.v1.1 annot-version=v1.1 MTPHGAHVGTTLACLLPPGRCSLVSLQRDAAGRAPQGGNRELPCALRPQGDDSLRNGLVIRSAAAWVRHSGQRPSMRQTSEPVHPGYNSTGVWWRRARCLHRARLPIHQDPWQM* >Brasy5G304000.1.p pacid=40076070 transcript=Brasy5G304000.1 locus=Brasy5G304000 ID=Brasy5G304000.1.v1.1 annot-version=v1.1 MGLKLLAEVSPQDLLVALAELHNHTIGYVKSMALKCAVDLGIPAAIHCRGGSATLADIASDASVHPARLPDIKRVMELLSASGIFSAKPADQEDSSGSGAVVYGLTTAGRFLVGYRNISPVVPFLTNPLVVSSFFGMSDWLRSEPTTAAAGAGGSSGSLFELAHGCSQPEMASKDAALNAVQNEAMAADSQLFMEVVIFDKGRIFRGLSSLVDVGGGNGAGTQVIAKAFPRIKCTVLDLPHVVGQAAGEGNLQFVAGDMFESVPSANAALLKNVLNHWGHDDCVKILGRCKEAIPARNAGGKVIIIDMVRGSVLGDSRVSEMEAIQNMFMMYVNGAERDESEWKAIFSDAGFSDDYKIMPVLGPLSVIEIYP* >Brasy5G354200.1.p pacid=40076071 transcript=Brasy5G354200.1 locus=Brasy5G354200 ID=Brasy5G354200.1.v1.1 annot-version=v1.1 MAGTAPALAGCLLLLFVAVQLAIGASPAIAAETPAASPAKSLPSAVLSKASGAAHGVIGTLEAGAAGTLGLIKGTLGAKGKAAHASAPAMAPGARGARKHGGHAAMGSPDHGTGGPAETPEYGAPAAMGPDAAAASAPDAGPGHNKAFVMEIGASSPGEEEVEEGPASAPGTEAESEAATGPSASEAEGPDAAVGGSGEAEAFPPDALIAGEAPMAEVTPSSDNSPPAATEASAATAISEGPAAAEAPGPGADDEASGGESVVASSGLVGTVALFAGVVSALAAL* >Brasy5G062300.1.p pacid=40076072 transcript=Brasy5G062300.1 locus=Brasy5G062300 ID=Brasy5G062300.1.v1.1 annot-version=v1.1 PLKDATHLGGRIGVSSIYMLRAFRRETQIWISLLNKIRGHLVEAGFTICLNRSKDDSSTDTEASLSSVYSMTTLQLCWSAESHVLLMFLSCFCAMLVRRMEDCILARIALSSPLLRTNCIS* >Brasy5G226800.1.p pacid=40076073 transcript=Brasy5G226800.1 locus=Brasy5G226800 ID=Brasy5G226800.1.v1.1 annot-version=v1.1 MGQCCSKGAAAADGTADPAAAPPPPAQAAAAPRVEPTASSSSASNRGGAQGKPSSSSAPVGEVLGRAMEDVRATYSIGKELGRGQFGVTHLCTHRTSGEKLACKTIAKRKLSTREDLEDVRREVQIMYHLSGQPNIVDLRGAYEDKHSVHLVMELCAGGELFDRIIARGHYTERAAASLLRAIVGIVHTCHCMGVMHRDLKPENFLLLGKADDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLAGVPPFWAENENAIFTAVLRGHVDFSGDPWPAISAGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDNEIEQLMEAADADGNGLIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGFITRDELEQALKEKGLYDAQEIKEVISEADTDNDGRIDYSEFVAMMRKGTGTAEPTNPKKRRDLVL* >Brasy5G476000.1.p pacid=40076074 transcript=Brasy5G476000.1 locus=Brasy5G476000 ID=Brasy5G476000.1.v1.1 annot-version=v1.1 MHSTNLLLEEPIRMVSILEPSKPNFFPAMTKIVGTLGPKSRSVEDISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSEVTISLEENESVVLTPHHGQEASSKVLPINFSGLAKALKPGDTIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGTPNKIDFLSLSYTRHADDVRQAREFLSKLGDLSQTLIFAKIENVEGLNHFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKRTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSTTNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD* >Brasy5G360100.1.p pacid=40076075 transcript=Brasy5G360100.1 locus=Brasy5G360100 ID=Brasy5G360100.1.v1.1 annot-version=v1.1 MSPLYKGTSGRSSFPTPITSSTQTNPTPAHTSVKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGRPTGIPPNLLQLALKFNEKRMVCRKCYARLPARATNCRKKKCGHTSELRPKKKFL* >Brasy5G030200.1.p pacid=40076076 transcript=Brasy5G030200.1 locus=Brasy5G030200 ID=Brasy5G030200.1.v1.1 annot-version=v1.1 MAGTLVEDYTGDGRSSSTEDLPSDQHSHSGDSLAEWRSIEQVENGTPSTSPAYSDTDDDDCGPRPTELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLCRLIEDRLRWSSFNAFWLGMDPGVRQHMTREKTETILKVLMKHFFIEKEVTSTLVMDSLYCGLKALEYKSKNKKELSKLTETDVRSTSMVLVEQDMFVLADDVILLLERATLDTLPHQPLPMKDEKSSQNRTKDASSGDDFNKDSIERDDRRLIELGWKTLEFFALAHIFSRIEVSYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKERRIRKKQAKQKKISRKNNKGKNEKVDLKEIVTESSPSDDRILDDFSSQAEEMTSNADNAEETSDVSDTRDDSSEVLHIDLEDRESSPVNWETDASETQATVPGGEMQHEQAGKRTSFVDDSSSTCSSDSVPSVILNGSYTGGAWTNVKSSSNRGNNRRNKDTDSRTGLAQSGPNLAPNGFFGPSNSKDSRLEAEVCKGPQVIKQLISDDKVVDDKIVSQKKPQRHVDIMSPSKLRITESSFPSVSPVKKQPIFSQQPKSSLENTNTLGSRASEVSSATATTTRASVTSNPAVQLVPNKGPSSIPAIHSERSVPVASRPLQVPAPSQSDAQKQTSLVNTATPSQVTTVPRPLSAPQVPAVKQTASAVSTVQTAPLLSRSMSAVGRLGNEPSASAPSFIPRSYRNAMMEKSSIGASGFIHQTSSSEQGVAQSQSMFSSSPSILSSDNLSMEDKSSLKPGFTFGTVKPESLNQYQWREESSQQASCSSSSSDHSLPNSSMANEFEKLNLNRRPRGKVQLSEISTRFTPYQPQGLVGDEFPHLDIINELLDEGLSDRRKVLQPGFYRQFSLPNSIPTSASTPDYGMFGDGYLFDQPEQYYDEEPPAFYSDLNGAPQGLGDRSYSQFDLPSYSNSQFEMSQWPYSHADNSSMPNFGADLNGYPYHPTSVNGAGRYPSYRPANGH* >Brasy5G030200.2.p pacid=40076077 transcript=Brasy5G030200.2 locus=Brasy5G030200 ID=Brasy5G030200.2.v1.1 annot-version=v1.1 MAGTLVEDYTGDGRSSSTEDLPSDQHSHSGDSLAEWRSIEQVENGTPSTSPAYSDTDDDDCGPRPTELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLCRLIEDRLRWSSFNAFWLGMDPGVRQHMTREKTETILKVLMKHFFIEKEVTSTLVMDSLYCGLKALEYKSKNKKELSKLTETDVRSTSMVLVEQDMFVLADDVILLLERATLDTLPHQPLPMKDEKSSQNRTKDASSGDDFNKDSIERDDRRLIELGWKTLEFFALAHIFSRIEVSYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKERRIRKKQAKQKKISRKNNKGKNEKVDLKEIVTESSPSDDRILDDFSSQAEEMTSNADNAEETSDVSDTRDDSSEVLHIDLEDRESSPVNWETDASETQATVPGGEMQHEQAGKRTSFVDDSSSTCSSDSVPSVILNGSYTGGAWTNVKSSSNRGNNRRNKDTDSRTGLAQSGPNLAPNGFFGPSNSKDSRLEAEDDKIVSQKKPQRHVDIMSPSKLRITESSFPSVSPVKKQPIFSQQPKSSLENTNTLGSRASEVSSATATTTRASVTSNPAVQLVPNKGPSSIPAIHSERSVPVASRPLQVPAPSQSDAQKQTSLVNTATPSQVTTVPRPLSAPQVPAVKQTASAVSTVQTAPLLSRSMSAVGRLGNEPSASAPSFIPRSYRNAMMEKSSIGASGFIHQTSSSEQGVAQSQSMFSSSPSILSSDNLSMEDKSSLKPGFTFGTVKPESLNQYQWREESSQQASCSSSSSDHSLPNSSMANEFEKLNLNRRPRGKVQLSEISTRFTPYQPQGLVGDEFPHLDIINELLDEGLSDRRKVLQPGFYRQFSLPNSIPTSASTPDYGMFGDGYLFDQPEQYYDEEPPAFYSDLNGAPQGLGDRSYSQFDLPSYSNSQFEMSQWPYSHADNSSMPNFGADLNGYPYHPTSVNGAGRYPSYRPANGH* >Brasy5G199500.1.p pacid=40076078 transcript=Brasy5G199500.1 locus=Brasy5G199500 ID=Brasy5G199500.1.v1.1 annot-version=v1.1 MASVLHPLVGSCITKLQEIIAEKAVLILGVKEELKKMQETMKQIRCFLDDAEQRRIKESAVNNWLSELRDAMYDADDIVDSARFEGSKLLKDRPSSSSKNSTAGCGISLLSCFPVIQRRHEIAIKIRDLNDRVEQLSKHGNSFLHLGVGPTSQGSTSKQRESSKLVQPKLVGKEIMHSSKKFVGLVLAGKVQKDYKLAIVGTGGVGKTTLAQKIYNDQKIKPVFEKRAWVCVSQECNEVNLLKEILRNIGVYQDQGETIAELQNRIAETIEGKSFFLVLDDVWKSSVIDLIEAPIYVAASSVILVTTRDDRIAMDIHAAHTHRVNLLSEEVGWELLWKSMNIDEEKEVQNLRNTGIQITKKCGYLPLAIKVIARVLTSKDQTENEWKKILSKISAWSELHDDIEGALYLSYNELPHHLKQCFLYCALYPEDSTINRDDIVRLWVAEGFIGEQEGQLLEETGEEYYYELIHRNILQPDGSTFDHDSCKMHDLLRQLACYLSRDECFTGDPESLEGQRMTKLRRISVVTKKDMLVFPTMDKEHLKVRTLLRRFYGVSQGVDHSLFKKLLRLHVLDLTGSSIQTIPDCIANLIHLRLLDLDGTEISCLPESVGSLINLQILNLQNCDALHSLPSTITQLCNLRRLGLQGTPIDQVPEGIGRLVFLNDLEGIPIGGGTDCGKAQDGWKLEELGHLLQLRRLDMIKLERATTCSTDSLLMDKKYLKILYLCCTKHPVESYLEEDIGNIEKIFEQLIPPHNLEDLAIVDFFGGRFPTWLGTTRLVSVKHLNLIDCNSCVHLPPLGQLPNLKYLRIDGAATVTKIGPEFVGCGRCNPRSTVAVAFPKLEWLVIEDMPNWEEWSFVEEGDAAAAAMEGEEDGSAEIRKGEAPSPRMQLLPRLKKLDLVGCPKLRALPRQIGQEATSLETLALRRACSLKVVEDLPFLSEILGIVNCKGLERVSNLNQLKELRLSRCPELTCVEGLGSLQQLWLDEDMQDVSSKWVPGLQEQHLKLHGDDLDIYTWPRG* >Brasy5G512900.1.p pacid=40076079 transcript=Brasy5G512900.1 locus=Brasy5G512900 ID=Brasy5G512900.1.v1.1 annot-version=v1.1 MRVPTCRLIRCRRAASPINQFCHIRRALSRDGPDSGRPRRHTVMSVHSHRPSPQSLHAPIVQLQVTSSSFFPLRRGRWQETLTGNFLPDRPTWPGRESCRRKEPHRHRRTNSNWCSIREEETRPGDLGATQQRIQKFRVPRCTYIAVHKQCTVRTISGQQR* >Brasy5G512900.4.p pacid=40076080 transcript=Brasy5G512900.4 locus=Brasy5G512900 ID=Brasy5G512900.4.v1.1 annot-version=v1.1 MRVPTCRLIRCRRAASPINQFCHIRRALSRDGPDSGRPRRHTVMSVHSHRPSPQSLHAPIVQLQVTSSSFFPLRRGRWQETLTGNFLPDRPTWPGRESCRRKEPHRHRRTNSNWCSIREEETRPGDLGATQQSIFQYINAREC* >Brasy5G512900.2.p pacid=40076081 transcript=Brasy5G512900.2 locus=Brasy5G512900 ID=Brasy5G512900.2.v1.1 annot-version=v1.1 MRVPTCRLIRCRRAASPINQFCHIRRALSRDGPDSGRPRRHTVMSVHSHRPSPQSLHAPIVQLQVTSSSFFPLRRGRWQETLTGNFLPDRPTWPGRESCRRKEPHRHRRTNSNWCSIREEETRPGDLGATQQSIFQYINAREC* >Brasy5G512900.3.p pacid=40076082 transcript=Brasy5G512900.3 locus=Brasy5G512900 ID=Brasy5G512900.3.v1.1 annot-version=v1.1 MRVPTCRLIRCRRAASPINQFCHIRRALSRDGPDSGRPRRHTVIGRWQETLTGNFLPDRPTWPGRESCRRKEPHRHRRTNSNWCSIREEETRPGDLGATQQSIFQYINAREC* >Brasy5G512900.5.p pacid=40076083 transcript=Brasy5G512900.5 locus=Brasy5G512900 ID=Brasy5G512900.5.v1.1 annot-version=v1.1 MRVPTCRLIRCRRAASPINQFCHIRRALSRDGPDSGRPRRHTVIGRWQETLTGNFLPDRPTWPGRESCRRKEPHRHRRTNSNWCSIREEETRPGDLGATQQSIFQYINAREC* >Brasy5G228600.1.p pacid=40076084 transcript=Brasy5G228600.1 locus=Brasy5G228600 ID=Brasy5G228600.1.v1.1 annot-version=v1.1 MREPVDGKSGKLAVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy5G521700.1.p pacid=40076085 transcript=Brasy5G521700.1 locus=Brasy5G521700 ID=Brasy5G521700.1.v1.1 annot-version=v1.1 MAPPLRLLPPTARLLRTRRLSLSTAAASFFSPPSKAVLYDQHGAPDQVLRVADVPPVELGERDVCVKMLAAPINPSDINRVQGVYPVRPSLPPAAVAGYEGVAQVHALGPAVTRPLSPGDWVIPSPPSFGTWQTYIVKPEDVWHKVRDDVPVEYAATVTVNPLTALRMLQDFVKLNPGDAVVQNGATSMVGQCVIQLAKVQGIRTINIIRDRPGSEEAKEKLKQLGADEVFTEGQLDVKNVKSLLGALPEPALGFNCVGGNAASLILKLLRQGGTMVTYGGMSKRPVTVSTSSFIFKDLSLRGFWLQKWMSSDKADDCRRLIDYLLGLVHEGKLKYEMELSPFDDFGLALDKALGKHGSQPKQVLRF* >Brasy5G050900.1.p pacid=40076086 transcript=Brasy5G050900.1 locus=Brasy5G050900 ID=Brasy5G050900.1.v1.1 annot-version=v1.1 MAMNLSIAAFLLASTLFAPSSATTLTIHNLCPYPVWPLITPNTGLPSISDNAARLDTNALLSVPFPSTFWAGRVAARTSCDAGAGAPRNCGSGAAPPSTVAQIAVHGGGSADAAGVSLVDGFNVPMVISPQGGGSGQCPALGCAVDLNCDCDPDQRAAEGAACRGPPEYFKSRCKLTRTTPTDVEPVPQSCRAPGELKIVLCQTSMLAHGAADDMLVGTVGEAES* >Brasy5G103500.1.p pacid=40076087 transcript=Brasy5G103500.1 locus=Brasy5G103500 ID=Brasy5G103500.1.v1.1 annot-version=v1.1 MEHYERLEKIGEGASGVVYKARCRRTGAVVAVKRLRGGCFCGEDEQQQLAEDFLREARCLEACRGHPSLVELRAAHLDASGGAAFLVMEYVGPSLAQAMRERRGRPFPEADARRLMRQLLDGARAMHEPSPPLGGGVLLHRDLKPDNVLVDAHGNLKICDFGMSRFLSTNTKTPYTSPVVTLWYRAPELLLGSRDYGAGVDTWALGCIMAELLSGGEPLFPGRSEMDQLNKVFDALGTGGIERWPGFARLPRAGSPLCARTRPPSRLREMFPALSAAGFDVLSGLLDCRPDTRLSAADALRRPWFTDAVADSPEQPRNACGARFAARVGAAAAADAIVV* >Brasy5G309100.1.p pacid=40076088 transcript=Brasy5G309100.1 locus=Brasy5G309100 ID=Brasy5G309100.1.v1.1 annot-version=v1.1 MDREALRMVCSPEFWRMGVLWTLSLLYSYLLLFLRGRADTPRRRRPRPHAGGGRPICVVTGATSGLGKAAAAALAREGYHVVLAGRSTQLLYETAQEIRRQQSDAYLEEFQVDLSSYKSIKKFETALNQWLRDSDLMPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.6.p pacid=40076089 transcript=Brasy5G309100.6 locus=Brasy5G309100 ID=Brasy5G309100.6.v1.1 annot-version=v1.1 MCLCVQATSGLGKAAAAALAREGYHVVLVMFSFFILVELVHPYWGVSVFELKQHAFYFVSAGRSTQLLYETAQEIRRQQSDAYLEEFQVDLSSYKSIKKFETALNQWLRDSDLMPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.2.p pacid=40076090 transcript=Brasy5G309100.2 locus=Brasy5G309100 ID=Brasy5G309100.2.v1.1 annot-version=v1.1 MDREALRMVCSPEFWRMGVLWTLSLLYSYLLLFLRGRADTPRRRRPRPHAGGGRPICVVTGATSGLGKAAAAALAREGYHVVLAGRSTQLLYETAQEIRRQQSDAYLEEFQVDLSSYKSIKKFETALNQWLRDSDLMPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.8.p pacid=40076091 transcript=Brasy5G309100.8 locus=Brasy5G309100 ID=Brasy5G309100.8.v1.1 annot-version=v1.1 MCLCVQATSGLGKAAAAALAREGYHVVLAGRSTQLLYETAQEIRRQQSDAYLEEFQVDLSSYKSIKKFETALNQWLRDSDLMPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.3.p pacid=40076092 transcript=Brasy5G309100.3 locus=Brasy5G309100 ID=Brasy5G309100.3.v1.1 annot-version=v1.1 MDREALRMVCSPEFWRMGVLWTLSLLYSYLLLFLRGRADTPRRRRPRPHAGGGRPICVVTGATSGLGKAAAAALAREGYHVVLAGRSTQLLYETAQEIRRQQSDAYLEEFQVDLSSYKSIKKFETALNQWLRDSDLMPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYDLFTDVLI* >Brasy5G309100.4.p pacid=40076093 transcript=Brasy5G309100.4 locus=Brasy5G309100 ID=Brasy5G309100.4.v1.1 annot-version=v1.1 MPSIQLLINNAGMLAKSRRVTEDGHDETMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.5.p pacid=40076094 transcript=Brasy5G309100.5 locus=Brasy5G309100 ID=Brasy5G309100.5.v1.1 annot-version=v1.1 MLGCWQNRGELLKMGMMSRTMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G309100.7.p pacid=40076095 transcript=Brasy5G309100.7 locus=Brasy5G309100 ID=Brasy5G309100.7.v1.1 annot-version=v1.1 MLGCWQNRGELLKMGMMSRTMQTNYIGPFILTNILLPLLKNSPVPSRVVNLTSFTHRCVSEIDLSEEALRGVKFGQCLVRGTYPLASIYEYTKFCLLMFSYELHRQLHISSGLSVMAADPGVVETRIMRELPPCLSRFAFFVLRFMNLLQQPDTGVDAILDAALALPEASGKYFFGGKGKTVRSSVLSYDVEVAKKLWAGSSALLRDYELKTN* >Brasy5G502900.1.p pacid=40076096 transcript=Brasy5G502900.1 locus=Brasy5G502900 ID=Brasy5G502900.1.v1.1 annot-version=v1.1 MMDGFLVSVEEVLSAEWITGPAEYITDVCLVITFAVLLLSMLAKLAVEAYVYVVLYRHGLLPRRQDAGVREEGAGELPMAAAAEVPAPAPGRRRRRPGVVKRAGGSVEKMPWI* >Brasy5G243300.1.p pacid=40076097 transcript=Brasy5G243300.1 locus=Brasy5G243300 ID=Brasy5G243300.1.v1.1 annot-version=v1.1 MSPDADGGEAAVEKGSGGGGVGGGGGAAGGGGSGGAARGVIRWDEILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWDVMRGRAYAFDLVLTEVDMPTLSGIDLLARIVAAHECKNIPVIMMSSQDSIGTVLRCMQNGAVDFLVKPVRKNELRNLWQHVWRRHSMNTQTNASENNAASNHISANSGKRSKTGDNSDEESDAQSSGSKRETEIQSVEKLSETVTENGASSSRELTIQNGPFDRVTTKAHRFNINVDPPSGNVCETGELQVFSAEKKLRSKCLNGITSAKVAGQIMDNALRIADASSCRPTDPGKDLLAAAPSTAGKKGNSPAIENSAVNPAMESTPHEKSKGTAIGRAESLPPRSLEINLEKQPQLNSNGYANQEFKDKDNFRHSNSSAFSRYGNKRIEPSAQQLFPPSLHLSHEEPVCDKNIQLGGALSSREHNTWKSAVQAKVPLDSCTEGVAILSSSSAREDAGPSSSSPRTEILNHPPYGFIPVPIPVGAAIPYHYGAIMQPLYYPQAPLMQHDSAGINQMAIQHASFHSNYHQSLSKPSEVVEHRQLEENQLLHHHSRKNLRESEPIDLSRAHVDSANPSTSCSQDLRKGSGWAVSGETDMNTNTLIAMESGNDSGIQNFSNNGLDIDRSRREAALMKFRMKRKDRCYEKKVRYHSRKKLAEQRPRIKGQFVSQKLKSDTATPTTTEDVEAD* >Brasy5G051800.1.p pacid=40076098 transcript=Brasy5G051800.1 locus=Brasy5G051800 ID=Brasy5G051800.1.v1.1 annot-version=v1.1 MAEHRPFDGRSTGCLEGLFNFLALNQKLQMPKMIAYRKHGEGNSNTLSVKVPKPKNGIEKEETAPKEANSVSPTAKGSMFMWKTLLFKKKAPKKDQKKSDSHASSPSSSSRLMRSSSIHHSKCFDYSVPDELASEYQRMNGLTLNETGPSQSAPGSSHESPRGPIFQESSKVSASISGKRSLDAEAPYEAVPENLNTENEASSKQKSHDDPTHHSKEFLDFLELFNAHRELFLKILHDPSLLVPPEQQEQEASSRGAIPLSKLESFPRPGGSSGKRNPIFDRSDSGKSKKAEIERSPSRSKVDLEATKVISTRMPTGVEASTVSLAESRSLKKARTTSNRFKAISKKIKDVVKENQKELARITKDGVFHKMPYGQKKDELTKSSSTKKFVQEEKRIRKSYSTAESVDKYSTLYYSISRESKISRERQGTALEDCASLKDKKSPMHMKRITSLPEMQLYSPQRDDLSEHPDSKIVPKTYYMESDHFSSQRNDSFSIYTEENLYPEDTIERMADIYSEQNDGEGAFLGSVEEDLRSILRTPSLSSYSQSFSHRRINSLPSFDRSFFQDRSCSFNDHSVADSEPTFEHMQLEDDEWLVKPSQLSGECTANFKDDQWLIRPLQPSGIDSTDHEDEEWMVETPRLIGANATEDEEWLVKPAQPLGADGLNTEFQFIHEFAEQDDSGSLHIYVNDKNEADFQYVKDILKKSGFSCGEADWYASNQPVSPVIFEEAECSQELEMANDEPHSIVRRMLLFDIINEVLMHIYDSSLVNGAWHSRFDPRTRPIPMGSHVLQEVWANVSCYLSLQWKPNQTVDDIVAYDVTRKDSWMNLLYDAECVALDLEDLMVEDLLDDVVLQIVLISIDE* >Brasy5G154200.1.p pacid=40076099 transcript=Brasy5G154200.1 locus=Brasy5G154200 ID=Brasy5G154200.1.v1.1 annot-version=v1.1 MEKIMEQEGKQQQQRRSSVPAFGEWEETMKAAGGALPDYSLDFTKIRAARMQRKDAPLSATWPAVQEQRRSVGSDAAADAGCHRRQHSDGTDLRRPLRPDRAAPKGRSKSKGCLFGCMGGW* >Brasy5G154200.2.p pacid=40076100 transcript=Brasy5G154200.2 locus=Brasy5G154200 ID=Brasy5G154200.2.v1.1 annot-version=v1.1 MEKIMEEGKQQQQRRSSVPAFGEWEETMKAAGGALPDYSLDFTKIRAARMQRKDAPLSATWPAVQEQRRSVGSDAAADAGCHRRQHSDGTDLRRPLRPDRAAPKGRSKSKGCLFGCMGGW* >Brasy5G494100.1.p pacid=40076101 transcript=Brasy5G494100.1 locus=Brasy5G494100 ID=Brasy5G494100.1.v1.1 annot-version=v1.1 MCHFCHCSCCDMNLFLLPREQAYIIRSPSPLEKERQVWLPNVTSLHLLSPCPRMLSKKVLSAHTARDHDFSVWAIMALLIIGDPAEL* >Brasy5G378400.1.p pacid=40076102 transcript=Brasy5G378400.1 locus=Brasy5G378400 ID=Brasy5G378400.1.v1.1 annot-version=v1.1 MAAVAATPPTVTPPRPSFFPRRPPGGLRRIRASASARIRAPRPRPAPPARRGVMREYVEAAREMAPDGGPPRWFAPLDPGAGGRVPGAPTLLYLPGIDGVGLGLIRHHERLSKMFEMWCLHIPVEDRTPFERLVEYVERTVKSERSRAPDRPVYLVGESVGACIALAVAARNRDIDLVLILVNPGTSFHKSRLDSLSAFLDLLPDPFHLSTPQLNFLTGNFMKMPSTFDGAGQALSEITTGLLPSLMFLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVLASGKDELLPSHEEAERLHGTLQKCRIRHFRDNGHKILLEDEFDLPTTIKGAGYYRRSRQTDFVSDYLPLTPGELEKAIDRDRVLNFATDPVMLSTLPDGKILRGLAGLPREGPVVLVGYHMLMGFELGPLVTGVLRNTGIHIRGLAHPFMFSESSEQLMPDSSHFDLHRIMGAVPVTPVNFYKLLSEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDLCDVLLDYNDLLKLPFYDILDKKLNEDGLKLRTDSTGEIKNQDLHPVVVTPKLPGRFYFIFGKPIETRGREKELRDKEKAQHLYLHVKSEVESCIKYLKEKREEDPYRNILPRLLYQAAHGPSAEIPTFVP* >Brasy5G378400.3.p pacid=40076103 transcript=Brasy5G378400.3 locus=Brasy5G378400 ID=Brasy5G378400.3.v1.1 annot-version=v1.1 MFEMWCLHIPVEDRTPFERLVEYVERTVKSERSRAPDRPVYLVGESVGACIALAVAARNRDIDLVLILVNPGTSFHKSRLDSLSAFLDLLPDPFHLSTPQLNFLTGNFMKMPSTFDGAGQALSEITTGLLPSLMFLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVLASGKDELLPSHEEAERLHGTLQKCRIRHFRDNGHKILLEDEFDLPTTIKGAGYYRRSRQTDFVSDYLPLTPGELEKAIDRDRVLNFATDPVMLSTLPDGKILRGLAGLPREGPVVLVGYHMLMGFELGPLVTGVLRNTGIHIRGLAHPFMFSESSEQLMPDSSHFDLHRIMGAVPVTPVNFYKLLSEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDLCDVLLDYNDLLKLPFYDILDKKLNEDGLKLRTDSTGEIKNQDLHPVVVTPKLPGRFYFIFGKPIETRGREKELRDKEKAQHLYLHVKSEVESCIKYLKEKREEDPYRNILPRLLYQAAHGPSAEIPTFVP* >Brasy5G378400.2.p pacid=40076104 transcript=Brasy5G378400.2 locus=Brasy5G378400 ID=Brasy5G378400.2.v1.1 annot-version=v1.1 MAAVAATPPTVTPPRPSFFPRRPPGGLRRIRASASARIRAPRPRPAPPARRGVMREYVEAAREMAPDGGPPRWFAPLDPGAGGRVPGAPTLLYLPGIDGVGLGLIRHHERLSKMFEMWCLHIPVEDRTPFERLVEYVERTVKSERSRAPDRPVYLVGESVGACIALAVAARNRDIDLVLILVNPGTSFHKSRLDSLSAFLDLLPDPFHLSTPQLNFLTGNFMKMPSTFDGAGQALSEITTGLLPSLMFLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVLASGKDELLPSHEEAERLHGTLQKCRIRHFRDNGHKILLEDEFDLPTTIKGAGYYRRSRQTDFVSDYLPLTPGELEKAIDRDRVLNFATDPVMLSTLPDGKILRGLAGLPREGPVVLVGYHMLMGFELGPLVTGVLRNTGIHIRGLAHPFMFSESSEQLMPDSSHFDLHRIMGAVPVTPVNFYKLLSEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDLCDNRCC* >Brasy5G378400.4.p pacid=40076105 transcript=Brasy5G378400.4 locus=Brasy5G378400 ID=Brasy5G378400.4.v1.1 annot-version=v1.1 MHCSCRGGAKPRHRFGTSFHKSRLDSLSAFLDLLPDPFHLSTPQLNFLTGNFMKMPSTFDGAGQALSEITTGLLPSLMFLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVLASGKDELLPSHEEAERLHGTLQKCRIRHFRDNGHKILLEDEFDLPTTIKGAGYYRRSRQTDFVSDYLPLTPGELEKAIDRDRVLNFATDPVMLSTLPDGKILRGLAGLPREGPVVLVGYHMLMGFELGPLVTGVLRNTGIHIRGLAHPFMFSESSEQLMPDSSHFDLHRIMGAVPVTPVNFYKLLSEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDLCDVLLDYNDLLKLPFYDILDKKLNEDGLKLRTDSTGEIKNQDLHPVVVTPKLPGRFYFIFGKPIETRGREKELRDKEKAQHLYLHVKSEVESCIKYLKEKREEDPYRNILPRLLYQAAHGPSAEIPTFVP* >Brasy5G378400.5.p pacid=40076106 transcript=Brasy5G378400.5 locus=Brasy5G378400 ID=Brasy5G378400.5.v1.1 annot-version=v1.1 MHCSCRGGAKPRHRFGTSFHKSRLDSLSAFLDLLPDPFHLSTPQLNFLTGNFMKMPSTFDGAGQALSEITTGLLPSLMFLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVLASGKDELLPSHEEAERLHGTLQKCRIRHFRDNGHKILLEDEFDLPTTIKGAGYYRRSRQTDFVSDYLPLTPGELEKAIDRDRVLNFATDPVMLSTLPDGKILRGLAGLPREGPVVLVGYHMLMGFELGPLVTGVLRNTGIHIRGLAHPFMFSESSEQLMPDSSHFDLHRIMGAVPVTPVNFYKLLSEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDLCDNRCC* >Brasy5G314400.1.p pacid=40076107 transcript=Brasy5G314400.1 locus=Brasy5G314400 ID=Brasy5G314400.1.v1.1 annot-version=v1.1 MAAAYRASSAPRRKRDFATLDVKTEVFGAVGKKMSGAGGPENVRKILRERFQSELVAVRHLLRKARPRSSPTAPNGKVSRQQGFLAATATPPAKKRKASPLPVTIQRSDQAPKKMTAAEREQLAADLAGLSAELPRHIIELLKKQSRGIHGDEMEIDIHAVQDAALVELKMQLDNFLGQRNPPSQERRQDSKMDDQEEDDVDICGGVSPLVVAPAPLLLAEEEDDFVDICGDATQVVMPNNLGGSGPATSSSSDSGSDSSSKDSDSDGGSGESVSCPAPAKRAATPPTPISELIARAKESLECQRRAQAREKARQELLDMERTAIPSETIDPMVLLDLGGIAPQEQYMVSPNSIMQQLGLFFKDEDDDDGVEEQQHHQPSLDEDLKGRRTSVLILMP* >Brasy5G371100.1.p pacid=40076108 transcript=Brasy5G371100.1 locus=Brasy5G371100 ID=Brasy5G371100.1.v1.1 annot-version=v1.1 MQKHHGARALPKIIVAGSTLFRRAAAKFTAMPSSSSSPSRTMRTVQYDKYGGGAEGLKHVEVPIPSPKKGELLLRMEAASINRVDWRFQQGKARPVLPSRFPFTPVCELAGEVVELGSGVSGFTAGDKVVAVNFPGGGGLAEYAVVSASCAATRPPEVSAAEGACLPIAAATALAVLRTAGVGLDASGPPKNVLVTAASGGVGTFAVQLAKLSGKHHVTATCGARNLGLVRALGADDALDYGTPEGAALRRAEPTGRKHDAVVHCAEGFPWSAFEPALADAGGVVVDLTPRFASVAVAVLQRVAFARKRLVPLLVSPKKEDMEALLGLVRRGEIRVVIDSRYPLSRAHEGWAKSMGGHATGKIVVEMGVPE* >Brasy5G310200.1.p pacid=40076109 transcript=Brasy5G310200.1 locus=Brasy5G310200 ID=Brasy5G310200.1.v1.1 annot-version=v1.1 MNEGGEAQAPGNGDVRTWDGQVESRALPPAYAALQSFAVAAANGSMENGGYVADSLLLGGKGGRESGQFVADVEVIGGQYGREMRCQAGDGKLVRIDHGGREKRWLMSVVNPPPKRRAVSAMRRFPRGCWRDAAISAGIGGDNGYVSEATPISFELDRESGESVRDWEVVSGDDGRETGSRTGDGELARKEDGAKKKRWLTSAVNLPPKRGTNSAIRRFPLGCGRPAVSGTGIGDEEGLVSEATPISFATNHASVVDALPTVPTSCHGASSMLRGDASNEETQNKGEEVDRATEARNCRIQESEVVNHAVDDFAGGFPGSDHPQHGVKKRYTKTLFH* >Brasy5G069800.1.p pacid=40076110 transcript=Brasy5G069800.1 locus=Brasy5G069800 ID=Brasy5G069800.1.v1.1 annot-version=v1.1 MDVPPVPPLAGEHSYIRHWVPVVKHCCGSVFYITFDPRPDQMRSIKERVETVRTVPYNDSLLILTCAHILQHVFSGSDPITSREVNSLFTVTALCIHHEIDFRKPSSIGERAPARAWVLAINCTADLLLLRVRKADIRAATGGGRCRGIHRPLILRPDNPSPGEICCFLSWLHLLPAIFAAGHVGVLRQITEMSINQYGYDVNLLEANIESDGGASGAPLLDGQGYVIGLLHGGAGGKHSYFVSRSAISKFLSEHI* >Brasy5G382600.1.p pacid=40076111 transcript=Brasy5G382600.1 locus=Brasy5G382600 ID=Brasy5G382600.1.v1.1 annot-version=v1.1 MELSISTGGSLAATSLANPKMMNHKCAKGLRPQLQFSHDVPSSNCLVMFNKQCSKRNNHKVCRVVQAISPVQCTENPTQAFISFEDFQVSVLTEEDGVIKIRVTVSSTMTDSIFQKVFSKHVAAAQPLPGFRQRNGGKTPDVPKEVALHLIGPSKVKKAAIKKIINRAVAEYVEKENLDVSKNLKVLQSYEELEAAFEPGKEFCFDAAVYLQ* >Brasy5G382600.2.p pacid=40076112 transcript=Brasy5G382600.2 locus=Brasy5G382600 ID=Brasy5G382600.2.v1.1 annot-version=v1.1 MELSISTGGSLAATSLANPKMMNHKCAKGLRPQLQFSHDVPSSNCLVMFNKQCSKRNNHKVCRVVQAISPVQCTENPTQAFISFEDFQVSVLTEEDGVIKETNTGHFPPHCLSTWCYLNHSGKTPDVPKEVALHLIGPSKVKKAAIKKIINRAVAEYVEKENLDVSKNLKVLQSYEELEAAFEPGKEFCFDAAVYLQ* >Brasy5G382600.3.p pacid=40076113 transcript=Brasy5G382600.3 locus=Brasy5G382600 ID=Brasy5G382600.3.v1.1 annot-version=v1.1 MELSISTGGSLAATSLANPKMMNHKCAKGLRPQLQFSHDVPSSNCLVMFNKQCSKRNNHKVCRVVQAISPVQCTENPTQAFISFEDFQVSVLTEEDGVIKKQIRVTVSSTMTDSIFQKVFSKHVAAAQPLPGFRQRNGGN* >Brasy5G382600.4.p pacid=40076114 transcript=Brasy5G382600.4 locus=Brasy5G382600 ID=Brasy5G382600.4.v1.1 annot-version=v1.1 MELSISTGGSLAATSLANPKMMNHKCAKGLRPQLQFSHDVPSSNCLVMFNKQCSKRNNHKVCRVVQAISPVQCTENPTQAFISFEDFQVSVLTEEDGVIKIRVTVSSTMTDSIFQKVFSKHVAAAQPLPGFRQRNGGN* >Brasy5G221000.1.p pacid=40076115 transcript=Brasy5G221000.1 locus=Brasy5G221000 ID=Brasy5G221000.1.v1.1 annot-version=v1.1 MDILGIAAPAVLALAADPVTALVDTAFVGHIGSVELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAVDASPSGVGERDESSSTQEQATEKRKFLPAVSTSLALAAGIGLMEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSGALLIGRTIAVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G221000.2.p pacid=40076116 transcript=Brasy5G221000.2 locus=Brasy5G221000 ID=Brasy5G221000.2.v1.1 annot-version=v1.1 MDILGIAAPAVLALAADPVTALVDTAFVGHIGSVELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAVDASPSGVGERDESSSTQEQATEKRKFLPAVSTSLALAAGIGLMEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G221000.4.p pacid=40076117 transcript=Brasy5G221000.4 locus=Brasy5G221000 ID=Brasy5G221000.4.v1.1 annot-version=v1.1 MEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSGALLIGRTIAVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G221000.5.p pacid=40076118 transcript=Brasy5G221000.5 locus=Brasy5G221000 ID=Brasy5G221000.5.v1.1 annot-version=v1.1 MEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSGALLIGRTIAVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G221000.6.p pacid=40076119 transcript=Brasy5G221000.6 locus=Brasy5G221000 ID=Brasy5G221000.6.v1.1 annot-version=v1.1 MEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSGALLIGRTIAVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G221000.3.p pacid=40076120 transcript=Brasy5G221000.3 locus=Brasy5G221000 ID=Brasy5G221000.3.v1.1 annot-version=v1.1 MEMVALIAGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVGAGNLVNAILDAIFIFPIGLGVSGAALATVTSEYLAAFILLCKLNNELVLFSWDVIGGDIIRYLKSVILPLSLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQAILASEYAKGNYKQARMVLYRVLQIGGITGVALAAALFLGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVISSVFLLVAAPKFGLAGIWSGLVLFMGLRAIAGFWRLGSKGGPWEVVWSETD* >Brasy5G202400.1.p pacid=40076121 transcript=Brasy5G202400.1 locus=Brasy5G202400 ID=Brasy5G202400.1.v1.1 annot-version=v1.1 MVDAVGNLVQIVDLVLRIKDVVDTVHQNRKECDHIKCRVERVGHTLSPCKANPELMKDSAVCTTVEALSKVLGEALEVVTSCQEETSGANAMCLWCTSGKLSRQLSMVDRGISDANLDLALAILVCLISKQTRNGALPAPLPRVPFFSRLAQKIEGKLGTRKDSQPRSHHGGSSGGHSDGYNADGGDQSCTLLQNIVWVTLEINAAAETVQRNKEDCVEIRRRADKVGEHLSRLEGTEVMKDAAVRAVLEKLIETFCRARTAVVACQSRSIVVFVRHSAWLPGRLSGELRGVLDQMVLDIDALIDILP* >Brasy5G094200.1.p pacid=40076122 transcript=Brasy5G094200.1 locus=Brasy5G094200 ID=Brasy5G094200.1.v1.1 annot-version=v1.1 MAGGGGEKKGASASAMCTPAFALRVMRSRWYVVFASMVVMAASGSTYIFALYSKELRSVLGYNQQTLNTLGFFKDLGTNVGVVSGLVQQVAPTWAVLLTGAAMNLGGYLMVYLALTGRTAAPPVWLMCAYMCVGANALTFSNTGALVACVNNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRAGGEGPNSKPFFCFLYISIALAAYLLVMIVVQKQVPKFSHAAYGIGAAVLLLILFLPLGVVIKEEYKAVSQLEEALQHPPSIAVQEPSNNDEPESSSKTKLSACGMGGCVTNMFKPPALGEDYSIMQALVSVEMLVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYMSEFFLARYRFPRPLALTAVLLVSCAGHLLIAFGVPQSLYAASVIIGFCFGAQWPLLFSIISEVFGLKYYSTLFNFGSAASPIGAYVLNVLVAGRMYDAEAARQHGGHAAVGDKVCKGVNCFKHAFLIITGVTLAGVLVSLILVWRTRSFYKGDIYAKFKVAPATVVDGSDNEMVEGTEEEMTKGKKKKEEEVHEEELK* >Brasy5G321900.1.p pacid=40076123 transcript=Brasy5G321900.1 locus=Brasy5G321900 ID=Brasy5G321900.1.v1.1 annot-version=v1.1 MGASTPRPHSSSRQTLPRLTKKILTFAVYALVPLALLHYFISSLPIADPSHSSLAPHGGEERQAGPRKQRLKVAPAAARPRCDYSDGEWVRDAAGPRYNGTSCGATIKDGQNCIAHGRPDKGYLYWRWRPRGHGCALPPFSPGEFLELVRGRHVAFVGDSLARNQCESLVCLLGSEFPAELVLGAGGEGEEEERRFRRWEFRSHNATVSVFWSPFLVNGTEKSSAPGGADHNRLYLDQPDERWAAELPGMDVVVLSVGHWFLHPAMYYERGAVIGCHHCPEPNHPETGFFGVFRLAVKNALRKIIARSGASASAGRRGKKLAVVATFSPAHFEGEWDSPDACARSEPYAPGEKEMRYMDRELWRTEVEEAAAAADEARVRGSAVTVEALQVTKLADMRADGHPGPYMHAGGGGGDRVANDCVHWCLPGPIDTWNEILLQMVKRWRQGTP* >Brasy5G010100.1.p pacid=40076124 transcript=Brasy5G010100.1 locus=Brasy5G010100 ID=Brasy5G010100.1.v1.1 annot-version=v1.1 MGQEAAVAVAVPPPIAVFSWEHEPAAMARKQAAAPENLKKPPVARALSVPPPPGRPAAARMSFSRAVRPEEDPFLAAYLACTNSKNGGGQRRTKTALEPKGSRRSAWARLGLGLGLGLSCKRSSSNGVVEESMVRLAKLPELDPRDDA* >Brasy5G387900.1.p pacid=40076125 transcript=Brasy5G387900.1 locus=Brasy5G387900 ID=Brasy5G387900.1.v1.1 annot-version=v1.1 MGRAANAVVRGWMGSQRPQDGGCRGGALDPRGSSSSAAAAAGDDDLRYSEQKGTKTLATAMAMEMGSAAPPRPSRPAAFARRHPSVPTVRRPPRRRLNAPSACRLDSRPQIQVFVNLSLLPASDSDTGEPRPEALLPPGQPRQEEVPRQRHHDELPSPQSYTAATKPAPAVEILHTETNPRVRWRPPLN* >Brasy5G240000.1.p pacid=40076126 transcript=Brasy5G240000.1 locus=Brasy5G240000 ID=Brasy5G240000.1.v1.1 annot-version=v1.1 MLMNLPQECWDVETITDAFVPYGRFLVWNKDPSNRARILVKIRAYNADTLPLSLVVLSNSSDNGSGESWTCPMYVISSTMIGALPADEDPLPPFGGNPHPMTVHFHDNWHGEEPPHAQYAHAPQAPEDVAQNIHPQALPHVDDAPLQQPEDQNPNNENQGNGQTADDQLQAPEPDVDRENTMTVPPPAVTGSRSNQLDDPVTMLQNLVAELVSNAPAILPQIGNTKIIGANCQIVDVEEHAGVKHKCFVQLCTISDVPPATSSCKITSLYDVDEPMNPAPDPRRRKAPVDVLNLRRSSRIASLTAGFKDTPKARDAQAESSMAAGKRRRKAANVVKKLGPTFEAMAIDTNAPPPPHLPLDTVQALGIQACQMPPEEVSKEALMFDSSNDTA* >Brasy5G371400.1.p pacid=40076127 transcript=Brasy5G371400.1 locus=Brasy5G371400 ID=Brasy5G371400.1.v1.1 annot-version=v1.1 MTPGLNQTRTSPKRRHSRILRQVFTNGRDGKGQEISPALPSPWWLLPDDGVGNRARRAMAQAGQKRLLWTGWAFSIQLLGPLFTAIDRSATKRNRREKPTVSIL* >Brasy5G509900.1.p pacid=40076128 transcript=Brasy5G509900.1 locus=Brasy5G509900 ID=Brasy5G509900.1.v1.1 annot-version=v1.1 MASSTCSSLFISIIAALLLCCSQLTVAKEQQHEFVIQETAVKRLCNGGMSIVTANGQFPGPTVEVSEGDSLVVNVANNATYNVTIHWHGVRQMRTGWSDGPEFVTQCPIRPGQSYTYRFTVTGQEGTLWWHAHSSWLRATVYGALVIRPRDGVPYPFDFAAETTPILLGEWWDMNPIDVIRAATRTGAAPNVSDALTVNGQPGDLYSCSSSQGTTVFPVKSGETNLLRFINAALNTELFVSLAGHAMTVVGADASYTKPYNTSVLVLGPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYRAGGATASPSMPTLPAYNDTATVTAFTTSLRNLRSLGLPMTVDEDLFFTVGVGLFNCSKGQSCGGPNNTRFAASINNVSFVLPSTVSILQAHYDGGANAGVFTADFPANPPVQFDYTAQNVSRGLWQPVPGTKVYKLKYGSVVQIVLQGTNIFAGENHPIHIHGYDFYILAEGFGNFNAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLGAPPPDLPIC* >Brasy5G093200.1.p pacid=40076129 transcript=Brasy5G093200.1 locus=Brasy5G093200 ID=Brasy5G093200.1.v1.1 annot-version=v1.1 MLDDLDAKICAPLLILLLRVLDDLDAKAGIFDYSSSLRCWPTWMLRLVFWLILPCCISVCIHLIYC* >Brasy5G265000.1.p pacid=40076130 transcript=Brasy5G265000.1 locus=Brasy5G265000 ID=Brasy5G265000.1.v1.1 annot-version=v1.1 MEQFRSGFDDILLSSVLHVKIHDEMYLVTAKAIHAIFDPYDAIKVEMRRGRTITRALVSFPSSWEARRARQDLQGRNIYDGCCCMQIRNKMEPFTEASPPPTTANEPAVPVVEPAAIDLTAALAQLTACCRQPETTGVTAPAESHGSPAAPLAPPAATTEVSPISDPVPGICAAASHAAAPQTADDLVHTPPVKCWRECLAPNTDDINAKAEVPAVPVSLAKPCSWVCKPPPKPPDNSFMVCATGTAIPERLSAENLVGAALSQEEQFDYLHESLDILEYNTMELMPWQTIVMLGNVGLFDIQVQCAATYAIVHIWDQGAYGNKCLVCSHCHQQLMVPSLYVSWWNCSGSLEFGQLQVVRSASSLITELWSVSRTLQTMQHIQFWVVISFSKQLQLSSFCQAVELKPWPSLKLKLLVTILQCYGDFSDYETAVLGWFHNGCEQLDSTVKSGFQLCSAPNTTNPSAQFQWQIPWFLQLDSTVNGYKFFCAGCYHYCEGNIGIQGLVTLNLGARKCWLVTSEHSNYVLAGSSKQSGLDQNGDLIILDNVGMPPTLPVLNCYGQSVAKPLHWKFNAESHLNFTNPIITSAMRQNITVQVMQMQPWLKFIGNSLSESSCSRFYYFQHYVQLAQVLYLVQAHQFCNMAKKTWDPGGDFSSAWGQAEFQGEGYVTYQRLEYPKCQDKVHLYWWVRIVREQREESWPYMPMHCTESTMEDNVAG* >Brasy5G301200.1.p pacid=40076131 transcript=Brasy5G301200.1 locus=Brasy5G301200 ID=Brasy5G301200.1.v1.1 annot-version=v1.1 MDQEQQRGKPKVVFVLGATATGKSKLAIALAARFGGEVINSDKIQVYAGLPVISNKVTDEERAGVPHHLLGCVRCPDADFTAEDFCREASAAVARVLSRGALPVVAGGSNRYVEALVEGGDGAFREEHDCLFVWLDAAPDTLRRCTAVRVDGMVRRGLVDEARAAFDPALRADDYTRGVRRAIGLPEMDAYLRREEEEGAEEELLAAAVEEIKANTFGLVLEQMDKIRRMSTLEGWDVRRVDCTELLARVAAGGEGGDEELWRKTVWEPVEDMVRSFVDSSNASGRPPLQY* >Brasy5G098800.1.p pacid=40076132 transcript=Brasy5G098800.1 locus=Brasy5G098800 ID=Brasy5G098800.1.v1.1 annot-version=v1.1 MMDLVNGVLNWVAAPSMVASFLLFYPPYYLFKTCYSFLSYLFPEDLSRKVVLITGASSGIGEHLAYQYAMKGASLALVARREWSLRQVADKALELGAPDVLILPGDVSNSDDCRRFVQATIDRYSRLDHLVCNAGIASVGAFQEIPDVTNYSSQLDVNFWGAVQSTFAALPHLKRSRGRIVVTASATGWNPVPRMIFYNAANAALINFFETLRTELGSEVGITIVTPGWIESEMSKGKFLKEHGGVEVDQEFRDAQIGTFPVEYAKNCAKAMVQAARQGERYLTVPAWFSTMYLWRVLAPEVVEFCYRLLYMHGGHGADQTDAPSKKMAEGGAKQLLHSADVKND* >Brasy5G448700.1.p pacid=40076133 transcript=Brasy5G448700.1 locus=Brasy5G448700 ID=Brasy5G448700.1.v1.1 annot-version=v1.1 MATSPPEQLTVGEEEVEEARGLLYEAYNELQRLAAELGGAAVPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPIALHLRFNPRCDVPHCRLLAGSGEGDADEDDDGAVDRPMPLADIQAYIEAENMRLENDPCQFSEREIIIKIEYKHCPNLTIVDTPGLILAAPGRKNRVLQSQACAVEALVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARASDVEVFLHPPTCVLDGSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLQDKLGRSLTKEEKNRIGLSNLRLFLEELLQKRYIESVPSIIPLLEKEHRTATRNLRKVTEEISDLNEAKLKEKARLFHDSFLTKLSLLLKGMVVAPPDKFGETVINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNASGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLSPISVFLLENSKQKDGEHFSRHDVLVKRVQAAFNRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLSANAHTPAHSAGLHEQSSGLNDNKQDKAKGDLKSSHPLDSNTSSVVSETRLVDLLDSTVWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLAALLREDLESAFEDDLDSIFNVNQLRHSLGQRKRELEIELKRIKRLKEKFGEINKNLNSLQVRQ* >Brasy5G198700.1.p pacid=40076134 transcript=Brasy5G198700.1 locus=Brasy5G198700 ID=Brasy5G198700.1.v1.1 annot-version=v1.1 MGFQEGTGTHAAAEGGLAPAARQGALHHAALRNRSSACWGHRRACRGPGGGRDDLGGLGQEGANVDGAGEQPNHCFCYVMQIFGKKYNTQMFGALSS* >Brasy5G341300.1.p pacid=40076135 transcript=Brasy5G341300.1 locus=Brasy5G341300 ID=Brasy5G341300.1.v1.1 annot-version=v1.1 MASVHLCGPQVALAKPASVDGLRIPQLSSQAVSRGRKFRGLVVRAATVVSPKYTSVKPLGDRVLVKTKISEEKTPGGILLPTSVKPKPQGGEVVAVGEGRSIGSNTVEISVPVGAQVVYSKYAGTELEFNDSNHLILKEDDIIGILDTDDVKDLKPLSDRILIKVAEAEEETSGGLLLTQATKEKPSVGTVIAVGPGPLGEDGSRTPLSITPGSNVLYSKYAGSEFKGADGEYIVLRVSDVIAVIS* >Brasy5G341300.2.p pacid=40076136 transcript=Brasy5G341300.2 locus=Brasy5G341300 ID=Brasy5G341300.2.v1.1 annot-version=v1.1 MASVHLCGPQVALAKPASVDGLRIPQLSSQAVSRGRKFRGLVVRAATVVSPKYTSVKPLGDRVLVKTKISEEKTPGGILLPTSVKPKPQGGEVVAVGEGRSIGSNTVEISVPVGAQVVYSKYAGTELEFNDSNHLILKEDDIIGILDTDDVKDLKPLSDRILIKVAEAEEETSGGLLLTQATKEKPSVGTVIAVGPGPLGEDGSRTPLSITPGSNVLYSKYAGSEFKGADGEYIVLRVSDVIAVIS* >Brasy5G296300.1.p pacid=40076137 transcript=Brasy5G296300.1 locus=Brasy5G296300 ID=Brasy5G296300.1.v1.1 annot-version=v1.1 MAGEGDLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRSQESAEAAVELARDFVPDIECKWGDAGLEEIMGDRSIMGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSTTEAEAALSIYNSFPKQFPYKPIWALAENYRFEPAFVESNKLMRDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFVLDMGVHFIAGLRMLVGSEVATVSSISRHVDTALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTVQIERGIDSGKHGYQVLFSRENGQCQKTFYPFCGVNEELKAFVHDILQASKDVDHKAEPRSSYVEGVRDVAVLEAMLESSTKQGALVQVKKF* >Brasy5G296300.2.p pacid=40076138 transcript=Brasy5G296300.2 locus=Brasy5G296300 ID=Brasy5G296300.2.v1.1 annot-version=v1.1 MAGEGDLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRSQESAEAAVELARDFVPDIECKWGDAGLEEIMGDRSIMGVAVVLAGQVQVELSLKMLKAGKHVIQENYRFEPAFVESNKLMRDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFVLDMGVHFIAGLRMLVGSEVATVSSISRHVDTALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTVQIERGIDSGKHGYQVLFSRENGQCQKTFYPFCGVNEELKAFVHDILQASKDVDHKAEPRSSYVEGVRDVAVLEAMLESSTKQGALVQVKKF* >Brasy5G120900.1.p pacid=40076139 transcript=Brasy5G120900.1 locus=Brasy5G120900 ID=Brasy5G120900.1.v1.1 annot-version=v1.1 MAELEAKQAWQANELLREEVDDMRVDTKAQIDVLLEEVKLLRGMVVQSNAGNNKSSMHHIDTEPADSVGESAAANEQYSFHDVNIDEEDIMEQELREAQEQFERKKAEEALLRKKKEAARIQNRDAAQRQKEQQELLQKRKEAEILQKKRKESELRQNEEELQNKEAELKKKEAELQKKKKAAEVQKKKEAEAQKKKQQAAITQENHQVFFHLILYFSFINFDMNAKK* >Brasy5G002200.1.p pacid=40076140 transcript=Brasy5G002200.1 locus=Brasy5G002200 ID=Brasy5G002200.1.v1.1 annot-version=v1.1 MGRRRVNLPPRVITRAAGRGRRRVNLPPRVTTRAAARAAAQAQPEPSSAPADVQQEQQPSDKEMADSGGVSANNAAAGHDDEEGNTAPFPETVQVGGSPEYKVERKLGKGGFGHVFVGRRLTGGNGRSTGASAQEVAIKFEHNSSKGCNYGPPYEWQVYAALGGTHGVPKVHYKGRKGDYYVMIMDMLGPSLWDSWNSSGQSMSSEMVACIAVEAISILESMHSKGYVHGDVKPENFLLGQPSTAQEKKLYLVDLGLATRWKDPTTGMHVDYDQRPDAFRGTVRYASAHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPESLCGICPPPFRQFLDTVVNMKFDEEPNYSKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLLNDDDDSSAKKKVRLGVPATQWISVYNSRSPMKQRYHYNVADMRLAPHVEKGNDDGLLISSVSSCIDLWAVIMDAGTGFTDQVYQLSPHFLHKDWIMEQWEKNFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFYVTSMATSGSRWAIVMSRNAGFTDQVVELDFLYPSEGIHKRWDNGYRITAMAATMDQSALILSMPRRRPRDETQETLRTSQFPSAHVKDKWAKNLYLAGICYGRTVA* >Brasy5G438900.1.p pacid=40076141 transcript=Brasy5G438900.1 locus=Brasy5G438900 ID=Brasy5G438900.1.v1.1 annot-version=v1.1 MASYFAASLLVALAVSITTVAGLPSPSAVDGDIASTTQEMQQARYFTFVMLIRMVQEQIPRNTTFLMPSDRLLSTASVPENQVLDLLLRHSVPAVLMFADLDRLPNGTVVPTRHTSQMVTITKREHQQLYFNYIELTSPDVCRGGDSFRCHGINGVLRPTATRRGRGAACPRSNAPTTAAPEPASAANQSSGTSSQSPGVVSATSPALEPTESSQSSDTSASRSGLSCTTLVLVLMISIF* >Brasy5G165100.1.p pacid=40076142 transcript=Brasy5G165100.1 locus=Brasy5G165100 ID=Brasy5G165100.1.v1.1 annot-version=v1.1 MGSFKGHALPGTLFLLVGAWHVWSAAARFASAGGPARFRLRVWNPVDFSFPGGAALPRHLELYVVAGGAFVDMCVEVLYSTHLRIFAPGGGVSPAHLNDLEHGGMLLMFFLFGALALLSQKTRYLPLTEGALCLVAATAFTAELLLFYFHSTTHQGLEGYYHYLLVVLIGLCVVSTVLGALLPESFPVDLASGVLITLQGLWFYQTAFTLYGSMLPKGCQRDADANIECHGHAAEERAGQLANFQFFAYVFLVFAYTLGCYAVAAAKYGHPDLRTMHATTMEWRDNGTNGHELGGGSAPPLEEGAI* >Brasy5G235200.1.p pacid=40076143 transcript=Brasy5G235200.1 locus=Brasy5G235200 ID=Brasy5G235200.1.v1.1 annot-version=v1.1 MAQPTFLSSLRSSFRSTQPQLPHLQPRRGYHVELGAREKALLEEDAALKRFKSYKNSVKQVSKIGNVLTLVVVAACSYEIVALATSTQRRKVKSCRRT* >Brasy5G126500.1.p pacid=40076144 transcript=Brasy5G126500.1 locus=Brasy5G126500 ID=Brasy5G126500.1.v1.1 annot-version=v1.1 MADRLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVSPAAKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKNELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRSNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQENAEEVASYQRYKYPSMSKTQGNFKLSVAEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTIEGTEVEIPEFNVSYKPQKISPKFQHPVRHLLHQKIRDSYTHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRASIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKNAGSYYYLDD* >Brasy5G182300.1.p pacid=40076145 transcript=Brasy5G182300.1 locus=Brasy5G182300 ID=Brasy5G182300.1.v1.1 annot-version=v1.1 MLKYMLAGISHGESDEPVVATRDTFLFELTVANLLCLHLTVSYKGAELSKFKLRTEVKKMFCDMKSLFCPRAYFDPCHLAIQVNQELSGYFPIDLCFR* >Brasy5G084700.1.p pacid=40076146 transcript=Brasy5G084700.1 locus=Brasy5G084700 ID=Brasy5G084700.1.v1.1 annot-version=v1.1 MRKPECPATKAASGPGNGCSNAVAAAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLLRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKMSSAASSPATTTECASPPEPKLDVGCPEDGGHHAMKSAWRMMDSSSSTSSSSSMQTRPSSAMAAASRSYGGGLLLPLPDQVCGGGDTQAPPLFFHDHHPLSFKHASALHGGSYYHHGVAMEDGSGAGCFMGEEVVAGEESALFNVPPPLLEPAMAAAQDQTLMAPRNKSGTTPEATTLSSNNGSNITDNNDNSKNNNNVSSSVVYWPEQLHGHQQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE* >Brasy5G306000.1.p pacid=40076147 transcript=Brasy5G306000.1 locus=Brasy5G306000 ID=Brasy5G306000.1.v1.1 annot-version=v1.1 MPLQGFPRSSPSSYAAGSPSPSPAPRFLTPRGSPRPSSVPNLSVPTSDPYSRSSVPTPRFPMSRVLPRPWPSTDADSPSPAPPPPPRFLMPRPSVPSSPNAAASPSPSPSPRLPMPGRLTPSSSSLPAVNPFTAKAAFIRYWNRKVHSSRLLHPAFFFAKLSPLSAPDAAAFSSLAAAGQLASRLAAFCAAASILCPATSDAVWSGSSVAAGDASGNTSPASSGSGTAPFKNYDNGNFSSYGNNGGGGADAFAVYSRGQINPVDSFRRYGKGSLGRNDSFATYEALGNVGTASFNSYTTGATGGSGDFAAYDGETNTAAVTFANYDVTGNGRSREFTDYTRDANSGVESFTGYGKTANSAGESFRDYGNHSNSIMSGFINYGEKANSANDTFASYGLNGNAPENTFRSYASGSNAGADDFKGYRDNANVGDDSFMSYATDANGATADFESYGKSVNPGSVQFKGYGQGSNPNHRIGFTHYTGDNTTFKAYSNDGVEFKEYQNMSKMEVSKVVADLSSGLHHRPQPKWSPEPGKFFRERDLMTGNRMPMPDISDKMPPRAFLPRDIAAKIPFEAGAVSALFGAPPGTAMRQVVASTVAECERAPSRGETKRCATSAEDVLDFAVEMLGDNIAVRSTESPAGGGGDVRLGRVGGVAGGGVTRSVSCHQSLFPYLVYYCHSVPSVRVYEAEIMAVDSGQRINRGVAICHLDTSDWSPDHGAFVALGGKPGEMEVCHWIFQGDMTWTVADVI* >Brasy5G380800.1.p pacid=40076148 transcript=Brasy5G380800.1 locus=Brasy5G380800 ID=Brasy5G380800.1.v1.1 annot-version=v1.1 MSRGRDPLALSQVIGDVLDPFVKSAAMRINYGEKEITNGTGLRSSSVLNAPQVEIEGRDRTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEATNARFGNEIVPYEAPRPPAGIHRIVFVLFKQEARQTVYAPGWRPNFNIRDFSAFYNLGPPVAALFFNCQKESGVGGRRFQGPG* >Brasy5G206400.1.p pacid=40076149 transcript=Brasy5G206400.1 locus=Brasy5G206400 ID=Brasy5G206400.1.v1.1 annot-version=v1.1 MATWNGASQVASVAQLAGVDAYGLIKMIVEAVQTVRRNKETCQKLARRVKMIGDLLQQLHEAQLMQHGDTRSPVEQLEETLRQAFMLITTCQDSSFMYHCFTGGNQACQLREVENDIVFYLQLFPLVGYVDTSRTLLHLSRGQPSHTEEYAEGAQVLQNNDSGQAPRLEATAETVKTRLRGVKKNSTINKEHLTDTNTGESLNWSKRLHIIEGIAQGLVYLHELSHQCVVHMDLKANNILLDYEMNPKISDFGMARILASSGMEGTSDTVKGTIGYIDPEYVKSGKFSVKSDVYSFGIMILEIISRKRRTPLLSNGDMMDLPTGPGSCGKQENPMSFLIYHHCVMNTKWLRSSDALMWHYCVFMTARQTGLPCWMFF* >Brasy5G405500.1.p pacid=40076150 transcript=Brasy5G405500.1 locus=Brasy5G405500 ID=Brasy5G405500.1.v1.1 annot-version=v1.1 MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKNADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDDIENGSDEDEFENQETQKKQLGKDPTVETSFLPDREREAEEQAERERLKRQWSREQELIKNEPLSITYSYWDGTGHRRVIQVRKGDSIGEFLRSVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD* >Brasy5G272200.1.p pacid=40076151 transcript=Brasy5G272200.1 locus=Brasy5G272200 ID=Brasy5G272200.1.v1.1 annot-version=v1.1 MYVEETSREHTPTTSRSSQKLNNREVEGEEEEEEEEEEEEEEEEEEEEEEEEEEEEGNEEEEGREKERREEKEEEEGED* >Brasy5G069700.1.p pacid=40076152 transcript=Brasy5G069700.1 locus=Brasy5G069700 ID=Brasy5G069700.1.v1.1 annot-version=v1.1 MVLHALLDLLPHTGNSEIQNRRCSRRKLPTLPHTLGSPQPKNSPLLFAPSLPTKLEARRANPSAAAAAPPLPHPLSGEPCSSVAADSAFVRRHFRPSSIAADSALGRRQFRCSSAVCTPLPPPAAAPRTASSSSASPSPSARPNFIYSSPCGPVLARPSPPRTASSSSASASPRARPIFVYSSPCGPVLSPPPLLAAVSFRAVLLPSHTQSSTACRHIHRCSSPLPWTCDVRLAWSCAALLVSTSTARHVPWTSVRPAVERLISFRQP* >Brasy5G203400.1.p pacid=40076153 transcript=Brasy5G203400.1 locus=Brasy5G203400 ID=Brasy5G203400.1.v1.1 annot-version=v1.1 MANSKGATCCTAALLLLAAASIAAPPEDSAVPAAWQKMMRPAAADDDDDDGSQSQPETCMAFLLALSPCLPFFRDVAGTVPTPAPEGCCEGLRSIVYGPIMCLCHIVNHAAARAVGVDIPVNGAFDLIGGVCRLPVPSPQTFVYMCHHQRAGVPPLYACPAPEV* >Brasy5G236900.1.p pacid=40076154 transcript=Brasy5G236900.1 locus=Brasy5G236900 ID=Brasy5G236900.1.v1.1 annot-version=v1.1 MIGYALFVLDYLRLTNMAEGILYFLSTVDPRYIANPDCPQPPNFPPSLIYPPESSGFLAMDRPPSLPVAPASEPHFRVFVGNIASTVDDDFYLSLLQACGLVKSWKRGTKLDETPLTFGLCEFGSGEDSLRAKRLLNKLSVDGQELVVTVNADTQEYLKKYGEDTTEEKVKEAEMEKIRCMIEERLRSKLPGSPTSPVQPSASIGDADGDGNMSSSALEERKVRRRCEKEEHLGEMKALGSQGWKDREVTAAGKPSLQIDAASSMDVSKKHNTVNDERESQHSTKGDVFHKSNDEEKGIVFVPALVSDKQNNSAPGEKVGFELQATSKAVDKKTLDAEQLLATVPKTKEELFAYVINWEIYDKHGLHEKIMPWASKKGSEYFGEVLEAFVDYILLAIKEHVDAPAILEPLAGHLDDVTEKFFLSLWRKLIFEIKKVETGLA* >Brasy5G140500.1.p pacid=40076155 transcript=Brasy5G140500.1 locus=Brasy5G140500 ID=Brasy5G140500.1.v1.1 annot-version=v1.1 MGSKRESGVFWSLLLAGLFLLAAASAAEARRERDTKKEEDIQWCKKDCAWKFGQDSRKVRDCKEHCERRHSPGGRLPEDDEPNSDDDEVIFKRCERQCKHQRDDRKRQHCIEDCFYGHGQGEGDGGRCREQCERYPRGSYGREQCLGECKSKEEEMNHHGKGGYKDECKRPYEHGYERQQCVRDCESPPHHGGGGAGGRGREGDERQQEHGSWEMRVAGVENLGIFDEYLIQKIMAIIM* >Brasy5G001600.1.p pacid=40076156 transcript=Brasy5G001600.1 locus=Brasy5G001600 ID=Brasy5G001600.1.v1.1 annot-version=v1.1 MTVALMPALDAHTRMLPASQLDVTIFQTKPPPAMAPKASSMSLSDQSRLDLCTESLGCETGSRDQAAAMECLLYDQEEPLPLASEEPTQEEEEEEEEDEEEEDMGDHGVLTAVRYHRAPRAFPPPLQSMSRRLQMRPVRRDGRLVVEAVAAKPQGYLHARRQEGRLRLCFVDCCSVSAQTQSQKQSMSPMQQQQSMVPHEEEEEEEEDEDDEVEVVDRGTMVEVVAASGGKASRIVINKFVGGAPMASDSDVQQPSPPVAAAAVLPGMRRVPSSTTTLAAAVAAAVSSGMDDSDDDLDEAGSECETSKEKKKLVFSWRAGDREELVQSVRRCRQLRRHKQPLFILEPYYTINIAT* >Brasy5G288800.1.p pacid=40076157 transcript=Brasy5G288800.1 locus=Brasy5G288800 ID=Brasy5G288800.1.v1.1 annot-version=v1.1 MAHDGFLRSFHGLPWPPAVVNGATPPSFDVALQMQHALPGWDQQQQHDAAAGSLAYNSALSSLVSSPSSMAAAAAEAAASSSPPHGAAAVLMMDELVSRLGVCGGLAIPPPSPQPPSCYSTPLGSPSKPATPLPAGTGKKQLSRVASSQSLLGNGEYRKRKAPGAKAKGAITTKSPEPETRAKKCKISEAVEVPIIMPVAAGSEEHGGGNGKGKEKEVADDPHKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPSCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQMEFDVDNFLPKEDNEPCSLPTTAYTAAEGANPAAFCYPSPEAGKATMQQSPLAICSKGFEVPSLFVSHGTIPASSSHQELIQNACNLWEDDDLQSVVQMGFRGNT* >Brasy5G358200.1.p pacid=40076158 transcript=Brasy5G358200.1 locus=Brasy5G358200 ID=Brasy5G358200.1.v1.1 annot-version=v1.1 MLLVRSSSMASSVTSAQVAPAPAVGRGRGAGCSGSRERLRRLWVEVAGPGTRRCLRIEGGGACESRERRRVEEARGRMQLGQTAQRRRVDVCEGEGETRGHVLDRLGDFFRLFGLPRA* >Brasy5G286500.1.p pacid=40076159 transcript=Brasy5G286500.1 locus=Brasy5G286500 ID=Brasy5G286500.1.v1.1 annot-version=v1.1 MAEKKALKGRRVILKEYVEGYPREEHMELLPAADVVVDQPAAAESPSSVLVKNLYLSCDPYMRPKMSRPIPGQSSYTSAFVPGSVLTGYGVAQVLRSSVPGLSPGDLVWGITGWEDYSLITPPFTNLLTKIPPEGSSPSPQINNKIIPLSYYTGILGMPGLTAYVGFHQICSPKKGETVFVSAASGAVGQLVGQFARLMGCHVVGCAGSDEKVELLRSKFGFHDAFNYKEEGADLGGALRRFFPEGIDVYFENVGGKMLEAALANMKTHGRIAVCGLISQYNLAGGEKDGVRNFACVVSKRLRIQGFIEPDHKHLYPEYVDWVVPHIREGRVVYLEDVADGLEMAPEALIGLFHGRNVGKQVVRLTSDF* >Brasy5G430900.1.p pacid=40076160 transcript=Brasy5G430900.1 locus=Brasy5G430900 ID=Brasy5G430900.1.v1.1 annot-version=v1.1 MVLDAFASYLGDLLKQVLEEELGTMVGVSGEIDKMGEKLRDLKNILIDADKRRITDVSVQDWVTELKRAMPWSGALPRSSDDDGCCNPLLFCLGNPLYAHDIGSRIKKLNQRLDSIRDRSAPFSFLDLSSYEDRRMARARPNAVERKTDPVLERSAVVGEKIEEDTRALVEKLRNKNNIDSVVVVAIVGVGRIGKTTLSKKVFNDEVIEQGFDMKIWLSVTKEFSQAELLSTAIEQAKGKLPMAGGGAQVKSLLVPALVSAIKDKKFFLVLDDMWGDNEWNNLLKAPFSHGAPGSRVLITTRHEIVARGMKAVHPYHHVDKLGPEDAWSLLKKQVLTNERSEPEIDMLKDIGLQIIAKCDGLPLAIKVMGGLLCQRKKERRDWEKVLNDAIWSVSPMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKTLLSDMQFVSMWIGEGFVHGSSDRLEELGIEYHNELILRNLIERNTSFIGQYVCNMHDIIRSFAQFMTRDEALTVHSGESDNNSKLSLQRFLRLSIETSGVESDEFEWRTLKKQKSLRTLILTGNFKIQSGDSLVTFSSLRTLHIEYAKFAILVESLYQLKHLRYLAVKKCNDIDRLPENISKMKFLQHISLEGSKSLTKLPDSIVKLRELRHSFVSLVESLYGFPAHMDGDWCNLEELGPLSQLTGLGLKGLENVLLLHVSASTSAVKARLGAKERLTTLDLCCNNSNLLGHDGLVKEVISAGEQRRIEEVFDELCPPPCIESMLIEGYFGPRLPKWMTSTATMPLKSLRVLSMIDLACCTQFPDGLCQLPCLVSLGVNRAPAIKRVGPGFVQPFNQPHHHSISQAIVAFPRLHQMILNGMVEWEEWEWEEQVQAMPVLEKLYLRSCKLRCIPPGLATHARALKKITIWNVRGLHSLENFAFVVELDLFALPDLTRISNFPKLEKLEIDSCQKLESLQEMAALRRLVLTVVHSEKQLPLYLQTVKPSQLVLNCSLEVLTSMALGKSGSEWDKFSHIKHVKAYAKDGGGEKKRYVLYTSEPYSMETNIELNLQIWYRRCYCCRNICVLFQIFFLLITNKPYAVGFEIE* >Brasy5G427100.1.p pacid=40076161 transcript=Brasy5G427100.1 locus=Brasy5G427100 ID=Brasy5G427100.1.v1.1 annot-version=v1.1 MLLHGFFLQNHYYKQLLRHVASHGFIMVAPQFHLSIIPTGDTKDIEAAAKVADWLPEGLPSVLPKGVEPELSKLALAGHSRGGHTAFSLALGLTFSALIGIDPVAGTGKSSHLAPKILTYEPSSFDMSAAMPVLVIGTGLGEEKKNIFSPPCAPKDVNHHCYRKGL* >Brasy5G123500.1.p pacid=40076162 transcript=Brasy5G123500.1 locus=Brasy5G123500 ID=Brasy5G123500.1.v1.1 annot-version=v1.1 MDRFLGEPRPPVSFALPRIYNIMSRPSRIDRSVSCATPGQARRARPPPPPPPPPPASGNHDRNKGRRISLDRSLVIRLPLPLLLLSVSLAACQVPKSMTVRVVVTMHARLIAREDTTEVLLDDTEYMGSGFSNLPIEIYFSGSCLETLVDINSTVRTGLELGRRFNLQNIVNVCNIFE* >Brasy5G372900.1.p pacid=40076163 transcript=Brasy5G372900.1 locus=Brasy5G372900 ID=Brasy5G372900.1.v1.1 annot-version=v1.1 MGEFAAAKTSVWWDIENCSVPRNCDPHFIVQNISSALAAAGYDGPISVSAYGDTRQIAHNVQQALSSTGVSLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNAIHKLKMKRYNILLAQPPNVSHTLTAAAKSVWLWKSLLAGEPPLAKSPYVSSTSSGNKDDLDTSKNIVSNSSNATHDTNPQVKNVLCDHQSGASGKADKQDKVKQPQEMQTDKVSKLERKKNQKRCNPGGLHSAEQPKSKRPKTVQKPVKGVCYKCGDGHHFALECPFTGDCYRCGQPGHRDRVCIQNPNSILKLETAHAKVLATSSPGSVQVTGPASQLHPTFSPPSGCSLQETRAVPSPPPATPVAPVPPQTDSRASSSPPHSGVDTMPITASLGSADVMQPSSSAPSSHKMVPAHRPVSRVICFKCGAVGHRAAKCTYNGSCHYCGQVGHTDSVCKENPDSIIKREQHERVLATSSLGSVHVTGPASQLHQTLTPTPGWFLQATPAVPTPPLATPVTPAPAHHPQGGSAPRPPMANDFFSRSFSHAGFSAPGQYHQVGGSAYTRPNGVVAAANPHDGCSTSQVLPAWAPPPGCVWQAAPVVSSPLPSPLVASVPPQPGVAASSAPANSGVYVMPFPFGRPW* >Brasy5G388600.1.p pacid=40076164 transcript=Brasy5G388600.1 locus=Brasy5G388600 ID=Brasy5G388600.1.v1.1 annot-version=v1.1 MAMDGSDQRITSPSSSSSHDQHGVAWSPPPPKRPAGRTKFKETRHPVYRGVRHRGNGGRWVCEVRVPGTSGKRLWLGTHLTAESAARAHDAAMLALHGPSAAAAAAAARLLNFPDSAWLLAVTPSALADHEEIQRVAIAAVVDFQRREAATVQVVNEPPINPAFAPLPPDNAVPWAASQPSAANTGMFEEPVAMDSNMFELDMTSEMDVGRYYADLAEGLLMEPPQPAPDTGPCWESGDDGDDATLWSYRNDLP* >Brasy5G170100.1.p pacid=40076165 transcript=Brasy5G170100.1 locus=Brasy5G170100 ID=Brasy5G170100.1.v1.1 annot-version=v1.1 MAHGDVGAADESATTAPLLASPETEKKNKPRRNMYAFACATLASMTTILMGYNLALMSGAQLFIREDLGLTDEQVEVLSGSMNVFMLASILAAGPAADLLGRRGMLVLANAFLMAGALAMSLGASYATLLAARFVTSLGVGFALVVAPVYNAEISPASARGVLSSLLDIFVNVGILLSYVSNWALAGLPVRLGWRVMFGIGVLPPVLLAVAVLAVMPESPRWLAMRGRESDARAVLLRVSDTAAEAELRLDEIKHAVVAFSSSDAPKPSESSPSSSSSNSNVWKELVLRPTPTVRRALVCVIGLNFLQQAAGIDAILLYSPVMFKKAGMTSNGAILGATVAIGVVKTCFIGVVSLFSDRVGRRPLLLLSSAGVALSLTALALTLSFVVPGSGDSSLSVSMCVGFTLAAVAFFSCGFGSLPSTVSAEIMPLRLRAQGSSLGMAANRITCGAVTMSFLSLAGWISMPGCFLLYAGLAAAACVFVFVRLPETRGRSLEDMDVLFSK* >Brasy5G164200.1.p pacid=40076166 transcript=Brasy5G164200.1 locus=Brasy5G164200 ID=Brasy5G164200.1.v1.1 annot-version=v1.1 MLGVEKSPCLPETKAACEEAICSKLDLTALASLAKHNAGPGFKCGIWSEQSGPCRKSREAGTRCEKRLEDVELEQEFVESIMMRQPARPALTVLPRHPELFRKAAQGSQDCRDFDWDILTQFSIYGCGFFWTCDCGGDEEEEEEEEYFGWVDYEYKTRMMRSSDPSN* >Brasy5G314700.1.p pacid=40076167 transcript=Brasy5G314700.1 locus=Brasy5G314700 ID=Brasy5G314700.1.v1.1 annot-version=v1.1 MGANKTKSYSVLEIQFPVTPSGFGIIRPISPRLFVISPPKAPPPPSLPKPRFSPPMALLFPPPALSPKPPFHSTLRSTWPRRVTCISGSSTVSSPLRPTAAAPPPSTSSSYKAPALDGGTERRKKKRRNLKPSFEEQALRRWSARAPSQRASFPWQRQQQPQPPHRENEDAHDEEPSSDTLRSIVEYFDYDSSDDGDIGLDVGGDEGAGMGKDGVAHGEAVQDRDEESRSQPSYLIGSRPVSAPWMHGEEEPSVDQLVSGPVGGDEEEVDRNGVFDDELGLVDGDEECAYNDDVFEEEPMNGNLEGELFEDSATPTANSSSLMDFVVDQGSRGGGIDRSIRRSSVSSIVSTLRNSMEESGPNATIGCSHEEDFVQKLGSVLLPWEREKDDAFDGVRQGKRSNTELAETTIPEPELRRLRDAALRMKERMRIGPGGVTQAIVESIHSKWSVDEVVKMRFEGPPSLNMKRTHEILEDRTGGTVIWRSGRSIVLYRGMNYNLRCVQSYAKIAEVDSSKKVSDVSTVIPSCVEHNLHKLSADGVNRSTSIVSSSQGATETFDIDSFLDQLGPRYKDWSGRSPIPVDADLLPGLVPDYKPPFRQLPYRTKLSLRDKEMTALRRLARQTAPHFALGRNREHQGLASAIVKLWEKSTIVKIAIKRGVPNTCNDRMAEEIKKLTGGVLISRNKEYIIFYRGNDFMTPKIRQVLVEQQQQAITQQDQEELARLKASASITLIPNELKNPQVAGTLAETREAESRWGDLINDGRRKKERNHLILAKHTSLSKNMTRKLILAKTKVAKAEMALAKVQEFLSPAELPTDLETVTDEERFLFRRIGLKMKAFLMLGRREVFAGTVQNMHLHWKHRELVKIIVKGKSFAQVKHIAISLEAESGGVLISLDKTTKGYSIIVYRGKNYKRPQILKPRNLLTRRRAMARSIELQRLEALNHHISILRQKIWKLKSQLAQMRVAGGKQDADLLQTVEDDLSSDDDDIEDEGDEAYLQTYISDDEDDAGNDSNEFL* >Brasy5G483600.1.p pacid=40076168 transcript=Brasy5G483600.1 locus=Brasy5G483600 ID=Brasy5G483600.1.v1.1 annot-version=v1.1 MNPSSYPFELHNRSSSGSTKFLAQLPTISCPRGTIPILQNNRSDIINFQSLRAMNLEHKGEFAIAKSMDNIYGSRVSINVYEPKVKEKTEDFSASWFLLFDDDNLLGAGSMVWPSFSGDNFARFHITWDHSHLRQMCFDHRCPGFVQVSSSIGLGSRIQPVSVYNGPQHQIDVLVFKDQKTKDWWLAFVPQVVWGGYVWGPTVELNFPEMGSGHFAQEGFGKAAFMKDIKIVDDNNKYVTPNMDKTFATGTKRSCYPVDNFGHDEGGMHVFYGGPGGCKS* >Brasy5G023100.1.p pacid=40076169 transcript=Brasy5G023100.1 locus=Brasy5G023100 ID=Brasy5G023100.1.v1.1 annot-version=v1.1 MFFLGNSSYANGSCASVEKYFTSNLTSSYADQTNEATMVLTSVVMFMLAALFFSLNLFSRLSDLSAILNPSVRLFLSTSLSLFLPVMSYLFSEAKNEAAALVVGNTDSSSYYSQLGTELSLRARTILMWMLLVELLRKKVEAILVNVAVKSYTSTIDRASRIAWLGYLVFYNLRSTGKKAVYGILWVLGAAKFLQRLAINELLRRSLAYGKNAELLSSYMAQIMPQGQGNQHQDGVIDEGADGVELLRGCKYALMGEEDLEVKAGPNGYEIELKNNTIVTVGDVWTLADKEDRLQQDSMLKRLCLSFALYKLMRRRFEDLPPITDRETSNCRSLIFKGLRKQLQEEAEAALLPSSTTGGPQEHELLHLDAERKEDLKGTVVAVALFQVFDEEIQFLCEYYHSVLPVVLSNPFFFFANYILFPILVLAFCLLTFILCGNGDVSYAYKSIREDNYIVSIGTIKLAMCLLGKITSPAALFSIVDLSITMLLLLTFLYEELWEYLVFILSNWLMVSLLCKYTAAKKKPWHEPRDRRSILFLSGLIRGILWVRSKMSRRQLSFKQFSMLGFTGGRSYASMMMMPNTNSIAVPMEVKKSIMEYLMEAHGASAARPLSSGWSTLQSEKHNHRLNSSSSWLSSACKSKSVAEVILTWHVATSILEFKCPMPTGGRARRHGHRHRKVAATLSGYCTYLVALYPELLPDNKDGTKLVYKKMQQELKKELGGCLRYRMSSPCARYDKLLSLSETADKDNSAPAGMVHKAAKLGKTLVGMAEQEEDHVWELLADLWTELMVYVAPSSSELHVKAHKEALAHGGEFITVLWALCTHTGITRPAVEPCEAASGTQEPVAEPRFNQTPGQHCIIHCD* >Brasy5G478800.1.p pacid=40076170 transcript=Brasy5G478800.1 locus=Brasy5G478800 ID=Brasy5G478800.1.v1.1 annot-version=v1.1 MDQKHTGASDLLDPSVLVDETLYQEGFRDGYADGLVSGKEEAKEVGLKMGFQVGEELGFYRSCLDVWTSATGVDPTAFSARVKKNIQQVAALLSSYPMSDPEDEEVQEIMDKIRLKFRMISASLGVKLDYEGRPTPSKQDVEDM* >Brasy5G013800.1.p pacid=40076171 transcript=Brasy5G013800.1 locus=Brasy5G013800 ID=Brasy5G013800.1.v1.1 annot-version=v1.1 MAKKLGKKARKFANKHLQSGAKRSRKLRSQFNRRTRKDGKGREEEDGGRMDGDEEMRRGDSTMNTNDDVTTLANYLEFPEDENELDGDLSESDGYLSEDPECLYYSDSEDGDVLKDCIAEDDLDEQNNDMNLAIKKQKKKLKKLLDKDPEFANFLEKWQSELVSHGSKEDSDEEDEMDSMHDGVDSGDRNPPNDKILTSKTISEWCQLVSKEPKTPVLRNLLNAFRDACRFGVNSNSLSMKRLQSTEVFYQIISFVLAEADNILRALLDISDDDKGKIMNLRHGNKWQAIEPLIKSYLRNSLDLLSQLTDNQMLTFVVTQLRPSAVFFSAYPSTSGKLLKILIRLWASGDQNLSLSSFLMIRELASLLPDCLDLCLTKAYNAYLASSKLVDNRNIKHIELFMNCLVELYSLDVHKSSERVVTSVGQLSAILRQASKTKEKEDLLKIDNWQYINCLNLWVRFICVNYKDCDLRTLLPTVVQIIRGVAHLLPGIRYLPLRLKLAQMLNELSNCNQMFFPVPSLIFGCLEFREISQKEQTQKTKIHFSSLLKVPRNLLKSRYFQEQCILSAIEVLSAHFAQWSYHVSFPEVATIPLILLKRLHEQTPIESLHRPLKRLIDQVSENRDFVQRKREVVSFSPNDQSTVESFLQDEKISGNASFTQFYASVSKNSQTRGRKLL* >Brasy5G454100.1.p pacid=40076172 transcript=Brasy5G454100.1 locus=Brasy5G454100 ID=Brasy5G454100.1.v1.1 annot-version=v1.1 MNPYFVGFLVPIAVSLLLQKRRKVGNKRGVPVDVGGEPGYAIRNHRFEHPVETRWEGITTLAELFEKSCNEYLHMPLLGTRKLISKEMESSPDGRSFEKLHLGEYEWKCYAEAFKSVCNFSSGLIRSGHQNNERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKLIDISWQLDTVKRVIYINEEGISAELSLARNSTSWALESFDEIDRLGSEAPVDASMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTVVPELGRKDIYMAYLPLAHILELAAEALMAAVGASIGYGSALTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDAKGGVVKKLFNIGYSRRLAAINGSWLGAWGVEKLLWDRLIFTNVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGATFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKEDERGLRWFYSGDIGRFHSDGCLEIIDRKKDIVKLQNGEYVSLGKVEAALAMSPYVENIMVHADPFHSYCVALVVAARNELEKWALQQGVTYTDFTELCQNKEAVQEVLGSLAQAAKQTRLEKFEMPAKIQLIPDPWTPESGLVTAALKLKREVLRKKYENDLAQLYA* >Brasy5G242600.1.p pacid=40076173 transcript=Brasy5G242600.1 locus=Brasy5G242600 ID=Brasy5G242600.1.v1.1 annot-version=v1.1 MHGVKRQSYLTTDGRIKELKQNDLNRRVHVHVSQASVEEISRWNPLELGMLNCSSPRE* >Brasy5G398200.1.p pacid=40076174 transcript=Brasy5G398200.1 locus=Brasy5G398200 ID=Brasy5G398200.1.v1.1 annot-version=v1.1 MGKFLFTRSLAAGGKSEPPAAGSDRRGSRTRSTQRAPRERSRVRIERRSAEPVPPKLSRARSAEHAARSARGQKREHRRRRRRWRREYVPLPSSGGGRNPRRRRGKGGMDAVALF* >Brasy5G002100.1.p pacid=40076175 transcript=Brasy5G002100.1 locus=Brasy5G002100 ID=Brasy5G002100.1.v1.1 annot-version=v1.1 MRPSDIWKAHAGSSQSEGPALDMERNGCNHNCCPPPLQPIASAGQHSESSVAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVNKKWLRPTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSQTGSKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDITNVSTSVKGVGIIGDIKAIDLQSPISSLIGKQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRISLAAATAAANSTATESSPVATPQENEKVDKIYEPLGINIQQLPRDGSANLTDQPFGSDEFHVDTVEGMNNVEERQFIPNLIGMSPMRDNAREGNGGLDNLAEMENSPEDICFSLHLGEREPKRLRSGSTLDIDLQK* >Brasy5G002100.2.p pacid=40076176 transcript=Brasy5G002100.2 locus=Brasy5G002100 ID=Brasy5G002100.2.v1.1 annot-version=v1.1 MRPSDIWKAHAGSSQSEGPALDMERNGCNHNCCPPPLQPIASAGQHSESSVAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVNKKWLRPTQCLPAALEVASLETYGTLGAIVKSQTGSKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDITNVSTSVKGVGIIGDIKAIDLQSPISSLIGKQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRISLAAATAAANSTATESSPVATPQENEKVDKIYEPLGINIQQLPRDGSANLTDQPFGSDEFHVDTVEGMNNVEERQFIPNLIGMSPMRDNAREGNGGLDNLAEMENSPEDICFSLHLGEREPKRLRSGSTLDIDLQK* >Brasy5G160200.1.p pacid=40076177 transcript=Brasy5G160200.1 locus=Brasy5G160200 ID=Brasy5G160200.1.v1.1 annot-version=v1.1 MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLAEAETIALSILKQVMEEKVTPNNVDIAKVSPSYHLYTPAEVEAVIARL* >Brasy5G381400.1.p pacid=40076178 transcript=Brasy5G381400.1 locus=Brasy5G381400 ID=Brasy5G381400.1.v1.1 annot-version=v1.1 MDSDDDLRVASESELVDDADHHYCSDGEINGGRSDDEEFDVGGDEVVAMREKRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTSRQKAQADLQKAASENLAKLSDVFGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G381400.4.p pacid=40076179 transcript=Brasy5G381400.4 locus=Brasy5G381400 ID=Brasy5G381400.4.v1.1 annot-version=v1.1 MRSVSFSHWRSYLPTSQGSCSGSTRKMLLVYTRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTSRQKAQADLQKAASENLAKLSDVFGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G381400.2.p pacid=40076180 transcript=Brasy5G381400.2 locus=Brasy5G381400 ID=Brasy5G381400.2.v1.1 annot-version=v1.1 MDSDDDLRVASESELVDDADHHYCSDGEINGGRSDDEEFDVGGDEVVAMREKRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTSRQKAQADLQKAASENIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G381400.3.p pacid=40076181 transcript=Brasy5G381400.3 locus=Brasy5G381400 ID=Brasy5G381400.3.v1.1 annot-version=v1.1 MDSDDDLRVASESELVDDADHHYCSDGEINGGRSDDEEFDVGGDEVVAMREKRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G381400.5.p pacid=40076182 transcript=Brasy5G381400.5 locus=Brasy5G381400 ID=Brasy5G381400.5.v1.1 annot-version=v1.1 MLLVYTRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTSRQKAQADLQKAASENIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G381400.6.p pacid=40076183 transcript=Brasy5G381400.6 locus=Brasy5G381400 ID=Brasy5G381400.6.v1.1 annot-version=v1.1 MLLVYTRYIVLTENDIHERQDEGINRVSSIFSIPRESACILLRQYKWNVSKLSDEWFADEDHVRRFVGLPTNGVILPDCQKLTCGICFEGYSTSALSSASCVHFYCNECWEGYISASINDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKKFVLRSYIEDNKKMKWCPAPDCTRAVEFLGDSNYDVSCMCKFNFCWNCTEETHRPVSCETVSKWILKNSSESENMNWIIANSKPCPKCKRPIEKNQGCMHMTCTPPCKFQFCWLCLGAWSEHGIRTGGGYNACNRFESAKEKGIYDEAEARRERAKNSLVRYMHYYERWASNQTIIECRRVLKWTYAYGYYLDDKAKSEFFVYLQGEAESGLERLHKCAEKDIHAFLPKAGKTEPAPSLQDFSKFRVKLAGLTSVTRNYFENLVRALEAGLEDVHGMGQSTSNNTTGTSYKKLGTTGKSGRNKAARLS* >Brasy5G439700.1.p pacid=40076184 transcript=Brasy5G439700.1 locus=Brasy5G439700 ID=Brasy5G439700.1.v1.1 annot-version=v1.1 MPSAKAAGLLCLLVAISCCSQRARAKIVDQCEIDKKTLMYHCWRNIEKHVGDQFPKRDSPCCQNVARIADIRGICEKFTAAELALISLAKFIHVTEVCGNPIPANSNCAG* >Brasy5G154100.1.p pacid=40076185 transcript=Brasy5G154100.1 locus=Brasy5G154100 ID=Brasy5G154100.1.v1.1 annot-version=v1.1 MAGLVRHVAAALLYLVAAASAAGASTYYASDPNLGSARVVFQTNHGDIEFGFFPHVAPKTVEHIFKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRKAPMNEEQEQQAEKSIVGEFSTVKHVRGILSMGRHSDPDSGSSSFSILLGDAPHLDGQYAVFGRVTKGDDTLRKLERLPTRREGIFVMPIERIDILSTYYYDIEVDSCEAEKSILRRRLSESASEIERWRRKCFA* >Brasy5G105300.1.p pacid=40076186 transcript=Brasy5G105300.1 locus=Brasy5G105300 ID=Brasy5G105300.1.v1.1 annot-version=v1.1 MNTPSTERKEIKKFFTMKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.7.p pacid=40076187 transcript=Brasy5G105300.7 locus=Brasy5G105300 ID=Brasy5G105300.7.v1.1 annot-version=v1.1 MNTPSTERKEIKKFFTMKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.8.p pacid=40076188 transcript=Brasy5G105300.8 locus=Brasy5G105300 ID=Brasy5G105300.8.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.9.p pacid=40076189 transcript=Brasy5G105300.9 locus=Brasy5G105300 ID=Brasy5G105300.9.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.10.p pacid=40076190 transcript=Brasy5G105300.10 locus=Brasy5G105300 ID=Brasy5G105300.10.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.5.p pacid=40076191 transcript=Brasy5G105300.5 locus=Brasy5G105300 ID=Brasy5G105300.5.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.4.p pacid=40076192 transcript=Brasy5G105300.4 locus=Brasy5G105300 ID=Brasy5G105300.4.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.2.p pacid=40076193 transcript=Brasy5G105300.2 locus=Brasy5G105300 ID=Brasy5G105300.2.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.6.p pacid=40076194 transcript=Brasy5G105300.6 locus=Brasy5G105300 ID=Brasy5G105300.6.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.3.p pacid=40076195 transcript=Brasy5G105300.3 locus=Brasy5G105300 ID=Brasy5G105300.3.v1.1 annot-version=v1.1 MKYMKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYMLHKFPLLLKCGLLQRLCADSEEDEPVPVALHDIPGGPEAFELCAKFCYGIAVSISAGNIVEATLAARFLRMTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G105300.11.p pacid=40076196 transcript=Brasy5G105300.11 locus=Brasy5G105300 ID=Brasy5G105300.11.v1.1 annot-version=v1.1 MTEHVCKGNLASKLEAFFESCVLQGWKDSITALQAAWRASGWSESRIVQPCVDSIVEKILLPPSKVSWSYTYTRPGHAGGKPPSSVPKDWWTEDVSELDIEVFRSVISTVRAARLLPPPLIGEALHVYACKHLPDPALGGVMDGHEELAKQRRVLETVVTMIPGDAGAVTGRFLLRLLRVANYVGASSSTRAQLVRQAGAQLDEARAADLLIPLPAGSASGSGPGAYDVGAAEAVLEHFLAQFQRRPDERRRTSAAMDKVVRVFDEYLRTIALDAGFPVARFADLADCLPAVARNQHDGLYHAIDTYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVIGTAGTSSSHAPPPDRASVSRITAIDDDDDREEDHKSDVHRPRGDRAERLQRAAVTRSLSASTKTEATADDRRSRLRNK* >Brasy5G492600.1.p pacid=40076197 transcript=Brasy5G492600.1 locus=Brasy5G492600 ID=Brasy5G492600.1.v1.1 annot-version=v1.1 MAAGPRAAESTGVEVRETGSARVASGKGRIRRYGGPRSSPAPRVACRAAAACQSGLRAREGEGAGTPRAREREQGWRREGMRELRDGEEKGSARETRCREGEGRRGGTRVRGRREGRRLGK* >Brasy5G084900.1.p pacid=40076198 transcript=Brasy5G084900.1 locus=Brasy5G084900 ID=Brasy5G084900.1.v1.1 annot-version=v1.1 MAIPLVLVVLPLGLLFLLSGLIVNAIQAVLFLSIRPFSKRLYRQINVFVAELLWLQLIWLVDWWAGVKIQLHADQETYESMGKEHALLISNHRSDIDWLVGWILAQRSGCLGSAIAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKSGLQRLKDFPRSFWLALFVEGTRYTPAKLLAAQEYAVSQGLTAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPEDSPKPTMLRIFQGQSSVVHVRIKRHPMSDMPSSDEDVSRWCKDIFVAKDALLDRHIATGSFDEEIRPIGRPVKSLVVVLSWSCLLLYGAHRFLQWTQLLSTWKGVILFVAGLAMVTAVMHVFIMFSQSERSSSAKAARDRVKKD* >Brasy5G173600.1.p pacid=40076199 transcript=Brasy5G173600.1 locus=Brasy5G173600 ID=Brasy5G173600.1.v1.1 annot-version=v1.1 MIYQSKQAAKFDVNNEKNMDFLLSLLDSENENVTELAANIISHSCDSNTEQLALCSAGVPQKLVGLFGGSMNLRDACIDSMTAIIRDNCDVASRFASMDHGKYFRSIVGLIHDRSPRTRLLACLCLIALGHASPCHFPDRQIKTKLILVLLELIEEPGNVGDEAPLALTTLIKDSVELQKQAFSTNAVVKLSNHLLANSLETRRAVTILFALAELCSKQEESRSQLMSGQVSTLILDALKHDCADIRVAACSCLKNISRSSKVLSAGRISCETVIVPLVQLLYDSSTSVQIAALGAICNIAVNLTPRKSVLLHSGVVSQLVNLSKSMDPTLRLKSVWALRNIMFLLNPKDKDFILKELTVSTLSSLICDSEHFVQEQTLALVQNLLDGHVDSVNYVIAEDGMVLNAISRQLNSASAPGVCVQGMLVLANMAAGDELNKEAVMDVIVPHRADRIKPSFVVNFLQSKDKQLRVATLWCILNLIYPKCDTSSTRVTRLQNVGVISQVKGMMNDPCLDCKLRVRMVLEHCLDTATAGFM* >Brasy5G348100.1.p pacid=40076200 transcript=Brasy5G348100.1 locus=Brasy5G348100 ID=Brasy5G348100.1.v1.1 annot-version=v1.1 MIPAAAAEPMIPAAAGKAWGLCARCSKPTTSRCARCKIVKYCSMKCQVSHWRRVHKFECHVPSIDAKKDSTSATEGVECNSSYEQSVVAGVESAVKISNSVATMPELSKENCDAKLLGNESKEMPFQEASNTAEPPQVTGQTESAHVLNFPTSGKDCKVQGASVSENGSRSQIPADNSSSRAHCSAEFESELEQSSKQAPCIDNLKSSRSLPPMSTTDKVPSTCAGANFPVPNPSKKTDSLPAMSVGSENEVVVPNNLSTDLIRQQTAPKILSHSPSESTLFPYELFTKLYNFDKVELRPFGLCNLGNSCYANAVLQCLAFTRPLTAYLFEGLHSKKCSKKEWCFLCEFEKLIVEGKAGKSPLSPTGILSHLHDIGSSFGPGKEEDAHEFLRYAIDTIQRASMKEAEKNGVGKLAEETTLMQLMFGGYVRSQIKCTKCQVSSGQCERILDLTVEIDGDISTLEEALHQFTSTEVLDGDNKYHCSRCNSYERAKKKLTIYEAPNILTIALKRYQTGSSGKINKAISFPECLNLSSYMSTTNDYSPVYRLYAVVVHHDVQNAAFSGHYVCYVKDSHEKWHEMDDRQYCL* >Brasy5G348100.2.p pacid=40076201 transcript=Brasy5G348100.2 locus=Brasy5G348100 ID=Brasy5G348100.2.v1.1 annot-version=v1.1 MKCQVSHWRRVHKFECHVPSIDAKKDSTSATEGVECNSSYEQSVVAGVESAVKISNSVATMPELSKENCDAKLLGNESKEMPFQEASNTAEPPQVTGQTESAHVLNFPTSGKDCKVQGASVSENGSRSQIPADNSSSRAHCSAEFESELEQSSKQAPCIDNLKSSRSLPPMSTTDKVPSTCAGANFPVPNPSKKTDSLPAMSVGSENEVVVPNNLSTDLIRQQTAPKILSHSPSESTLFPYELFTKLYNFDKVELRPFGLCNLGNSCYANAVLQCLAFTRPLTAYLFEGLHSKKCSKKEWCFLCEFEKLIVEGKAGKSPLSPTGILSHLHDIGSSFGPGKEEDAHEFLRYAIDTIQRASMKEAEKNGVGKLAEETTLMQLMFGGYVRSQIKCTKCQVSSGQCERILDLTVEIDGDISTLEEALHQFTSTEVLDGDNKYHCSRCNSYERAKKKLTIYEAPNILTIALKRYQTGSSGKINKAISFPECLNLSSYMSTTNDYSPVYRLYAVVVHHDVQNAAFSGHYVCYVKDSHEKWHEMDDRQYCL* >Brasy5G232600.1.p pacid=40076202 transcript=Brasy5G232600.1 locus=Brasy5G232600 ID=Brasy5G232600.1.v1.1 annot-version=v1.1 MAETAITAVLSKCGELAMRGVEYEAKVLLQVGDDIMLLRDRLEWLQAFVRDADRKRRVGTDDLTRVWVRLTRDVAFEAEDALDEFFHKVDLDIQGRRGWKLWRGHLNINRLTIRHVLSSRIKKIKSRLDQMSETQKEYNIEYAPSATRTSADTALIARGGQDKVLGFDEDVESLKKLLLLKGHPKKMFISILGESGVGKSTLVKEIYGEVEGEFEIPVMYIMPPDCSKEDLLREVYRIVHDEARERKIVGDELKEHGSEEEGNELRAFLAHKKYLLILGGISSKIVLNCLAASLPDSENGSRVVLTLEPESEEVASHAATLNLELKKINYTHRLKRLDEERSRDLFRTRVFGAKGEEVEEGEGEEAEAEEEEEEEEAEEEEEEEEAEDEEEKKMTRYEKDVYHITGGHPLAIVVLAGLLRTKERPVEWDAVLQYLKPGMEEEEEGREDGGGTPLSKDKSAVEWLHKMMMSPAPARVEPKLSNRMAIERIFSSSFDDLPQDIKSCFLYFAAYPKDLNHEADQIIWMWTAEGFIRPCKGKTMEQLGHSYLKELVSRCLVEVEETNSCGDIKMVRVHNRLLMFLQSEAREASFIEVHDKNDVLAPASVRRLSIQDDSGSYIKFTNKFPKLRSFICRISDQVQGNSCCSTAGQDTKQSPGSSGTGADQDKEQSQGNRKPYDLKFLCGSKFLRVLSMQGSNLAELPEEIGGMIHLRYLRVDCKKLEKLPSSIKKLLNLQTLDISETDVNKIHQDFWLIKTLRHVLAKNLTLPMSVENMEELADLQTLHGVQLEQGPPKKQVKHSKQIKPAYPLDMMPKLRSLDICDFKHQNDAAALKTALGKMHLLGHLKLKGDKIPLCVFTEQSLQCLQTMVLDSTVELPEVTALEVIRKRPNLVSLKVNKITDELKILNERKKMETELVTLISK* >Brasy5G309300.1.p pacid=40076203 transcript=Brasy5G309300.1 locus=Brasy5G309300 ID=Brasy5G309300.1.v1.1 annot-version=v1.1 MARLFRESRRDSAASSSSNGFAAPAAAAAEALPSPFPDLGVPLSAADLREAAYEVLVAASRTTGGRPLTYIPQVGPASPASVSSASSANSSSPSLQRSLTSAAASKMKKALGLKSSASSKGGSPGSGGGAKATPRRPATVGELMRVQMRVSETADARIRRGLLRIAAGQLGRRAEAMVLPLEFLQQFKASDFPDPQEHEAWQGRNLKLIEAGLLVHPFVPLNKSDSSAQRLRQIIRAAYDRPLETGKNSESMQVLRTAVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWVILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLVEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFSTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARTRIETYVRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTDLTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKGWIKERVDRLKGWVDRNLKQETWNPGANRDNFAPSSVEMLRIIGETLDAFFELPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGARNSFMPQLPPLTRCEVGSKLLFKKKEKPQNPQLRGSQNGATNGTDPLGLPQLCVRLNTFQYIRSELENLEKKIKTCLRNVESAQADITDGLDVKFELCQAACQEGIQHLCETTAYKVTFYDLGHILWDTLYVGVTASSRVELLLRELDPILETISGMVHIKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFRSLRDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSEGLIDRYKRMMAESNRSASRSKLPLPPTTGNWSPNEPNTVLRVLCYRHDETATKFLKKTYNLPKKL* >Brasy5G264800.1.p pacid=40076204 transcript=Brasy5G264800.1 locus=Brasy5G264800 ID=Brasy5G264800.1.v1.1 annot-version=v1.1 MPPSPPVAVAPLRLGHHRRTHSAAVAAPSRPGPRGRRPSPTPPLSKPYPAPARVAVVQSPPCRRPTRIPPRPASPLSQSRPSQPRRPAAVAACTTPAVPAPGRAPRRRPRPCVWQPPSRPPASQNHRSSRRRRRRRLQKKDCFKVASWCLAQSSCSRIRRVK* >Brasy5G339800.1.p pacid=40076205 transcript=Brasy5G339800.1 locus=Brasy5G339800 ID=Brasy5G339800.1.v1.1 annot-version=v1.1 MALMTAATAATHLLSSPAVASPLPVPCRHRRTEAARSLSCRASLGPDGPLSALAAPRPAPARARTYLREHSCLLFPPPRGRCPLAVVKFLGGAFIGAVPEATYGYLLELLAQEGFLVVSVPYNVTFDHAAAAREVFERFHGCYDALLASGLPEAGLSALDIAELPLYSVGHSNGALLQLLVGSYFAEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMMEVSPVYSAARDASGDAWNALFDLAGGLIREYDQEAMVSMTKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSIDGIDDTDIIEDILRPRVDSIGGQIKKVILSGTHLTPCIQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL* >Brasy5G307300.1.p pacid=40076206 transcript=Brasy5G307300.1 locus=Brasy5G307300 ID=Brasy5G307300.1.v1.1 annot-version=v1.1 MSYHHFVIMVLCICCFLLSIHVPLATSLSFSFNFSAPGSYCAPDAEIACAGDAYFHTPVMELTKNDISEGNNRSIGRVWYMQPVPLWDKATGEVTSFSTSFSFQIKPVNADFSADGMAFFLGHYPSGIPPGSYGANLGLFNGSNNKNATGSDRIVAIEFDTYKNTEWEGDDNHVGINVNSIVSVVSTSPDQKLILGNTMTAEISYDNITENFSVTLWMEETSYRINTSIDMRICLPEEVAIGFSAATGSSIEVHRVLSWSFNSTLEGKGSSITLPGAAPLPAETTSSKLQANVHATIASSVAILSVLVCAFMGFLLWKRRAWKKATEISDGEFEDGIDKADFDKGGFGPRRYHYSELAAATDNFAEEKKLGRGGFGHVYQGCLRINDEDHHVAIKKLSPESSVQGRKEFEAEVKIISRLRHRNLVQLIGWCDSCKGLLIVYELVSEGSLDKHIYNKASLLKWPERYNIIIGLGSAVRYLHTEWEQSIVHGDIKPSNIILDSSYNTKLGDFGLARLVDHGANSQTTKVVMGTAGYIDPEFVNTRRPSTESDVYSFGIVLLEMVSGRHPVTESEDKLFVLLRWVWDLHVRKVITEAVDKRLRGGDEMEERQMERVLAVGLWCAHPDRSERPSMAQAMHVLQSEDAKLPRFGAQMYRAEPVLPMGPEHGYTDLSVWTPSTGSTAIGSDAEYN* >Brasy5G181500.1.p pacid=40076207 transcript=Brasy5G181500.1 locus=Brasy5G181500 ID=Brasy5G181500.1.v1.1 annot-version=v1.1 MAAALLRPKPFILPRPLSLLSPTTFSPSFPSRTALQLGWSLPPLWAKASAQGTAKKENSETHLDSKTIKIMTYNEVTPDIQNHLKRSCASWQEYECVSPDEEDVERHRYYCLLMSKMPVNSSELIYWTKPKGGRGLYTVYVTIGGGINLVLATTHLEGRVVRYFKPGRIHSKRTKKQRKEERLRKNEKRRGQAEACRRLLPAHPNVILCGDMNWDDRVDGSFPLLDGFIDPWIVLKPGENGYTYDTEANAMLAANAEHNLVKSKLQGRLDRFFCKLEDFKIDNIEIIGKEAIALDKRWIIHDTPLLPSDHFGLVLTIILRSTGSATSS* >Brasy5G181500.2.p pacid=40076208 transcript=Brasy5G181500.2 locus=Brasy5G181500 ID=Brasy5G181500.2.v1.1 annot-version=v1.1 MYALGDLIQRHNPDLVCLQEVTPDIQNHLKRSCASWQEYECVSPDEEDVERHRYYCLLMSKMPVNSSELIYWTKPKGGRGLYTVYVTIGGGINLVLATTHLEGRVVRYFKPGRIHSKRTKKQRKEERLRKNEKRRGQAEACRRLLPAHPNVILCGDMNWDDRVDGSFPLLDGFIDPWIVLKPGENGYTYDTEANAMLAANAEHNLVKSKLQGRLDRFFCKLEDFKIDNIEIIGKEAIALDKRWIIHDTPLLPSDHFGLVLTIILRSTGSATSS* >Brasy5G310600.1.p pacid=40076209 transcript=Brasy5G310600.1 locus=Brasy5G310600 ID=Brasy5G310600.1.v1.1 annot-version=v1.1 MALKQKGSAATAAPDTNKRRRVGFAGIDTGIEANECMKVFLARNPDEVCSVNNTSIEPFDLNHFFGEDGKIYGYTNLKINVWISAISFHAYAEISFQETSDGGKGITDLKPVLQSIFGENLVEKDEFLETFSKECQYISDVVTNGNPIKHDVSDEGDLDVEIVRVELQGAAAFLYSRLVSLVLLLVEGSTPIDIAEHGWEMLLVVKKGTLEASPSKFQLLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGRRLLEAINSIAQSENIYDVTIEDPSDYLQYVRTSIDCLRLLTFDPIKPALDAMLLSLMETNLSKRTRSLVMVPPADLAETVRLTLKINKKQFLRCWEILIYLRLDAEDRKCIDNFRACIYDRTKGELLGGASGTNGKRLVQVASSFDEETSFAVYWTNESGDADDQTVEQETEDLKTQEEQLNELVDTQMQEIVDVAKNVTSRGKDKLTSLVA* >Brasy5G026600.1.p pacid=40076210 transcript=Brasy5G026600.1 locus=Brasy5G026600 ID=Brasy5G026600.1.v1.1 annot-version=v1.1 MTCFPALRWKRNSRSQIVQHDIDTPVYGNVKIYSSKELKKSTRNFCSGNKLGQGSFGCVYLGRLKNGQKVAIKVLSSESKQGTKEFLNELSVISSITHHNLVKLHGCCVDGGQKMLVYNYLENNSLAKTLFGNSNSSIRFDWRTRVKICIGVAEGLAYLHEQVRPHIVHRDIKASNILLDKDLNPKISDFGLAKLLPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRWHTDPRLPLQDQFLLEMAWTLYESGDLEILIDKTLNSGFSTEEAQRFLRIGLLCTQDTPKVRPSMSTVTKMLKGECAIGDKIMRPGLITDVMDLKVRTLEPLQLGVSPGSSNVSHR* >Brasy5G456200.1.p pacid=40076211 transcript=Brasy5G456200.1 locus=Brasy5G456200 ID=Brasy5G456200.1.v1.1 annot-version=v1.1 MFLAARRSAFDGSLRHLGYEKPGSAEDVARMTWEALETEIATWIKAFRHAINVGLSTEHDLCLRVFPVSGGTVGRAVFADLARCVMLQMLGFTDAVAATKRSAERLFKVLDMYEAVRDASPVVDAFFLSDSGDSNNALSDLKSEMAAVRARLGDSAVAMFRELESSIRADAGRQPVPGGAVHPLTRYVMNYLKYTCEYNATLEQVFRDHAGHGAAHGPYSSSETHNPFAAQLMDVMELLHSNLEGKSRLYKDPALSSIFLMNNGRYMLQKIRGSPETNAVLGEAWARKQSTSLRQYHKNYQRETWSRVLTLLRDDGVLTVKGHVQKPMLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFGQTFSAGRQAEKYVKLSAEDLEGIIDELFDGNPSSMSRRRT* >Brasy5G013000.1.p pacid=40076212 transcript=Brasy5G013000.1 locus=Brasy5G013000 ID=Brasy5G013000.1.v1.1 annot-version=v1.1 MILEVERWQGGAEQMRPSELRTAARGRRRRRSGGGGGRGGRRRRPSGRGRRSQGRRRILLASHAAELMGPSDLRVAALVEDVVGVGAEETGEDGRGGGRGGGGGALEPAAVLNARCAAGGGLRRRLANDEGKDGWGGGRGTQGKQQQQHRRRRATSSGRWHSHDIAVGRIRGSCVTGSGGGRLGVGEMGTWGEELERLALFFC* >Brasy5G067800.1.p pacid=40076213 transcript=Brasy5G067800.1 locus=Brasy5G067800 ID=Brasy5G067800.1.v1.1 annot-version=v1.1 MEDSRQQGGGGQARMWTTMKTSMRGKRSARRRDSQRRRRQEEEELARRLLRELEDEEEKITGPASATAMEALHVPAAGEAREQDCAVCLDELFVDGGGVKKKLRMMPCSHSFQERCIFRWLRLNRRCPTCRFQIAASGTGQRRRRFYRDLQLVQTLVADSPD* >Brasy5G000400.1.p pacid=40076214 transcript=Brasy5G000400.1 locus=Brasy5G000400 ID=Brasy5G000400.1.v1.1 annot-version=v1.1 MRAPTHNVLRSKLHLSHLLSLRFGLRPPSPSPSTRATPSPPLLPSDRAMPLTTKTHSSAFLLPPDSTPEPTATSYALVVLNQPLPRFTPLLWVHARLRMCADGGANRLFDGMPELLPNEDPAEVRTRYKPDVIKGDMDSIRPEVKEYYSNLGTEIFNESEDQDTTDLHKCISFITSNQQDPTNSNLCILVLGALGGRFDHEMGNINVLYHFSNIRIILLSDDSLIFLLPKTHTHKIHIERSVEGPHCGLIPIGALSTSTTTSGLRWNLDNTSMSYGRLVSTSNIVEEDEVAVTSDSDLIWTISLRN* >Brasy5G475200.1.p pacid=40076215 transcript=Brasy5G475200.1 locus=Brasy5G475200 ID=Brasy5G475200.1.v1.1 annot-version=v1.1 MEGRMGIAVAGGGHEAAGLGMFRGDVSMADAQGEGGAAGKVGYLSSPSSSPSTSLTPSPPPAEELAGHGGYAAAAPPPHAWSFGGEQEQEKPGETAGAEDDGNGNGNGVGGGMHMAAHRGEHSAAVASSSGRRRGRPRGSGRRQILASLGEWFALSAGGSFTPHVIIVPRGEDVVTRIMSCSQKGPRSVCILSANGTISNVAINQPGSASGDTVTFEGLFEILQLTGSFTMAEEGRRRTGGLSVSLARPDGRVFGGVVAGMLRAETPIQVILGSFLPNSLKQHQRRMGLNQQPSTVPALPAIAAPPPVLTAATPISKAAAAALPRILTAAMPMSQAAAAAPHLTAAMPISQAAPGNGRHPVQPPVSVAPLQAHQHGAATGMNLNSSSSGFTMVGWPVNSSTAQQPPMANRTSPDINLCLTPQE* >Brasy5G398700.1.p pacid=40076216 transcript=Brasy5G398700.1 locus=Brasy5G398700 ID=Brasy5G398700.1.v1.1 annot-version=v1.1 MGVKRERDQEEIVSLALSLSTDSSGSTTTTESGPPAAKRVRRQRRSRRAAAADGEFVCKTCGRAFASFQALGGHRTSHLRGRHGLELGVGVARAIREHQQRQRRKEEEEDKKQRHECHICGLGFEMGQALGGHMRRHREEMLTAGADRWVDLEAVAGRGTAGEPPVLLELFV* >Brasy5G383000.1.p pacid=40076217 transcript=Brasy5G383000.1 locus=Brasy5G383000 ID=Brasy5G383000.1.v1.1 annot-version=v1.1 MNKDRSRISADGGGGDGLPPQSSRRAGPSSSTTPPPEYDISRMPDFPTRNPGHRRAHSEILSLPDDLDLSAPGGGDGPSLSDENDEELFSMFLDVDKLNSSCGASSEAESSSAAAGGGQAAGMGHGMRPKHQHSQSMDESMSIKTEELVGATGMEGMSSVEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIGELERKVQTLQTEATTLSAQLALLQRDTTGLTSENSELKIRLQTMEQQVHLQDALNDTLKTEVQRLKVATGQLANGGGMMMNYGGMPQAPHQFGGNQQMFHNNQTMQSLLATHQLQQLQLHQQPRQQALRPQHQQQPLHPLQAQQLQRDLKMKGPMGGGQSLWGDGKSSGGSGGI* >Brasy5G100900.1.p pacid=40076218 transcript=Brasy5G100900.1 locus=Brasy5G100900 ID=Brasy5G100900.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy5G207700.1.p pacid=40076219 transcript=Brasy5G207700.1 locus=Brasy5G207700 ID=Brasy5G207700.1.v1.1 annot-version=v1.1 MRETLILILLLLLLLLGVDIITEEAVLILGVKEDLKQLQRTTNQIQYFLNDAEQRRTEESAVNNWLGELKVAMYYADDIIDLARSEGAKLLTKHHKSSRKSAACSGISLFSCIPCIQKRHKIGVQIRDFNAELEKISKLGKRYLKLQNMQPKAEAPTVKQMRTSHLLEPNLVGKETLHACRRLVELVLAHKEKKAYKLGIVGTGGVGKTTLAQKIYNDQKMNGKFSNQVWICVSQDYSEAALLKEILRNFGVHHEQNETVGELSSKLATAIADKSFIIVLDDVWVPEVWTNLLRIPLHGAATGVILVTTRDDTVAHAIGVEDVHQVDLMLEDVGWELLWKSMNINEEKDVQHLQEIGKDIVRKCGGLPLAIKVASRVLATKEKDENEWRKFIDRRAWSVGNLPTELRGALYLSYDDLPYHLKQCFLYCGLYPEDCIMFRDYLAKSWVAEGFILEQKGQLLEDTANEYYYELIHRNLLQPVGSTFDHDRCKMHDLLRQLACYLSREECFVGDPELLGVINMSKLRRLTAITEKDILVLPSMDKGGLKLRTFRTDHKPWSVEDRFFKRIPCIRVLNLNDSLVQSISDYIGNLIHLRLLDLDGTNISFLPESIGFLMNLQILNLSRCKALNSLPSAFTRLCNLRRLVLDDTPINQVTKGIGRLKFLSDLEGFPIGGGTDSGKTQDGWKLEELELYLGMARGPGPGPGSTFPPKARPENPKKARNDLKRLEHLSHIRRLDMIKLERAKIRSTNSLLTNKKYLIFLALHCTSLVEPYSEEDVGNIEKIFELLIPPHNLGHLTIVNFFGRRFPTWLGTTHLVSVKYLILIDCKSCVHLPPLGQLPNLKFLKIDGASAVTKIGPEFMGCWEPNPRSTVAVAFPKLESLVIEDMPNWEEWSFVKEGYAVAACMEGEEDGSTEIRKGEVPSPRLQVLPCLKRLELDGCRKLRALPRQLGQEATSLEVLLLRGASSLKVVENLLFLSVLHIEECEGLERVSNLPRLGVMYAKGCPYLRCVEELGSLQQLWLHEDVKQISSRWVPDLQQRCQQLRGEGLDVYDWV* >Brasy5G027000.1.p pacid=40076220 transcript=Brasy5G027000.1 locus=Brasy5G027000 ID=Brasy5G027000.1.v1.1 annot-version=v1.1 MSMSFLSMVEGELPPGFRFHPRDDELICDYLAPKVTGKLGFSGRRPPMVDVDLNKVEPWDLPVAASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALAGMRKTLVFYQGRAPKGRKTEWVMHEYRLEGAHEPASKFSSKQEDWVLCRVICKKKLAVGATSKPRNLTTIGHGTSTDTSSPPLPPLMDTTLAQLHATMNNTPATAAAAAALEQVPCFSSFNNNSASNRSTAQPCYLPMVTGNNGMNYMDHGLPDFGSYLDSASMNCDKKVLKAVLSQLSSMGGEVMPSLPPEMAAAVSSSWMNHF* >Brasy5G027000.2.p pacid=40076221 transcript=Brasy5G027000.2 locus=Brasy5G027000 ID=Brasy5G027000.2.v1.1 annot-version=v1.1 MSMSFLSMVEGELPPGFRFHPRDDELICDYLAPKVTGKLGFSGRRPPMVDVDLNKVEPWDLPVAASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALAGMRKTLVFYQGRAPKGRKTEWVMHEYRLEGAHEPASKFSSKEDWVLCRVICKKKLAVGATSKPRNLTTIGHGTSTDTSSPPLPPLMDTTLAQLHATMNNTPATAAAAAALEQVPCFSSFNNNSASNRSTAQPCYLPMVTGNNGMNYMDHGLPDFGSYLDSASMNCDKKVLKAVLSQLSSMGGEVMPSLPPEMAAAVSSSWMNHF* >Brasy5G268600.1.p pacid=40076222 transcript=Brasy5G268600.1 locus=Brasy5G268600 ID=Brasy5G268600.1.v1.1 annot-version=v1.1 MDADASSVVIDGNPSLSPSRFVKVFMHGKAFGRKINLAVHNSYDSLSLTLKRLGSNYSLSQEELNNLAMNEEDGAADDNDFVLWYENVDGDRLFLGAVPWEIFTISVKKIYIAPAENQDDNGDYLEEGAGDNGDGNGGAAAADGDEIAIAIGEDEEAPAAAAGAAEEDGDGVAIGEDEEVLDAAGAAEEAGDGAAIGEVEDAGSVAGDIGDGSVEDDMPEI* >Brasy5G518200.1.p pacid=40076223 transcript=Brasy5G518200.1 locus=Brasy5G518200 ID=Brasy5G518200.1.v1.1 annot-version=v1.1 MEEDQSAVLVAEGAIKSIKLSLSTEDEILTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLSLVCLKCLRIKKGKAKQINGKENVSVTACSYCRDVPALSLKEVKTADGAFRLELRAPPRRLMKDSSWNFLDKYGYHYGGASHFRTLLPEEALNILKKIPDDTRKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLMKKILHRIEQIKKSRAGTPNFESHEAESSDLQISIAQYIHLRGTTKGPQDTKRFTISTDSSHLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDINIKRLQEVVDKGLCLTYRDGQTTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKVNLQLSNDSLLALKHMSSRTVLSKESANQFAMLLSFSLPDPAVVKLKPCWTITQIIQGALPAALTCEGGRFLVKDSTVIKLDLAKESVQASFSDLVSSILCVKGPGDALQFLNALQPLLMEYLLLDGFSVSLRDFNVPKVLLEEVHKSIQEQSLVLEQSRCSKSQFVEMRVDNNLKDVKQQISDFVVESSHLGLLIDPKSEPSMSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSSFVDKHPPIVGNQHPPEAYGLVQNSYFHGLNPYEELVHSISTREAIVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNICSNSIMQLKYNEDDATDIPSAVTPGEPVGVLAATAISNPAYKAVLDASQSNNTSWASMKEILQTKVGYKNDMNDRKVILFLNDCSCPKKFCKEKAAIAVQSRLKRVTLEDCATDICIEYHKQINLDGSSEATPALVGHIHLEKARLDTINVSTEDILQKCQEVSLKHGKKKGHLGHLFKKITFSTCNCSFTQKPMVDGKLPKVPCLQFSFSEDIPMLSESVERAVSVLADSLCDVLLDTIIKGDPRIQEAKIMWVGSDAKSWVKNTRKVSKGEPTVEIVVEKNEASKQGDAWRIAMDACIPVIDLIDTRRSIPYGIQQVRELLGISCSFDQIVQRLSTTMKTVAKGILKDHLILVANSMTCTGNLYGFNTGGYKATFRALKVQVPFTESTLFTPMKCFEKAAEKCHSDALGCVVSSCSWGKHAALGTGSSFQILWNENQLKSNKEYGDGLYDFLAMVRTDQEKARYTFFDDVDYLVEDNAMDDICLSPELDGTHGVPTFEDNVEQQDSQNGNSWENGTKANASWEQNASAGNDSDNWGGWGNAAAATDTGAAKPADQGNSSWDVPATVENDSTGWGGWGNEKSKENKTLSIEPAELDSWSDRGAKKGTDGGGGSWEKQPNTCEESGKNLERNSWAKMPASPSLSTWAKKNSDGGDGTWEKQANSCKKNVEQDSWENMPVSPARNSWTKKESGRGDATWEKRASTWEEKKTGESNEGFWEKSNARKDSWGNAQQGSSDKVAVKDNDMQQDPWGHIATQNINAQDDLWGSAAAKAQTSTAEDADAQNDSWGAVAAKAQTSTAQESWGNVAASPPDNAWKAAPISQTSTAEHTDAHNDSWGIVAAKAQTSAAQQESWGNVAASPSDNAWNAAPMDSDAKQAGSWDGWNSALAEDSNKTDDSGNKNKGWKSDGWGAKGNRRDQRDNPSRPPMRPDERPPRPRFEVPAEAKKILREIEPIVTMVRKIFRESCDGVRLPLEDEKFIKESILEHHPEKERKVSGEIDHIMVNKHHLFQESRCFYVVLADGTHTDFSYNKCMDNYVRKTYTDAAEDADLVCQMYFKKRDRDRAAAVVGGSTPADASQSTQVMETSQDEAPQEAQPETCVATQEETPVSPQETPAATQQEETENNPDSASEADYHSASEAGLPEGV* >Brasy5G518200.3.p pacid=40076224 transcript=Brasy5G518200.3 locus=Brasy5G518200 ID=Brasy5G518200.3.v1.1 annot-version=v1.1 MEEDQSAVLVAEGAIKSIKLSLSTEDEILTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLSLVCLKCLRIKKGKAKQINGKENVSVTACSYCRDVPALSLKEVKTADGAFRLELRAPPRRLMKDSSWNFLDKYGYHYGGASHFRTLLPEEALNILKKIPDDTRKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLMKKILHRIEQIKKSRAGTPNFESHEAESSDLQISIAQYIHLRGTTKGPQDTKRFTISTDSSHLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDINIKRLQEVVDKGLCLTYRDGQTTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKVNLQLSNDSLLALKHMSSRTVLSKESANQFAMLLSFSLPDPAVVKLKPCWTITQIIQGALPAALTCEGGRFLVKDSTVIKLDLAKESVQASFSDLVSSILCVKGPGDALQFLNALQPLLMEYLLLDGFSVSLRDFNVPKVLLEEVHKSIQEQSLVLEQSRCSKSQFVEMRVDNNLKDVKQQISDFVVESSHLGLLIDPKSEPSMSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSSFVDKHPPIVGNQHPPEAYGLVQNSYFHGLNPYEELVHSISTREAIVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNICSNSIMQLKYNEDDATDIPSAVTPGEPVGVLAATAISNPAYKAVLDASQSNNTSWASMKEILQTKVGYKNDMNDRKVILFLNDCSCPKKFCKEKAAIAVQSRLKRVTLEDCATDICIEYHKQINLDGSSEATPALVGHIHLEKARLDTINVSTEDILQKCQEVSLKHGKKKGHLGHLFKKITFSTCNCSFTQKPMVDGKLPKVPCLQFSFSEDIPMLSESVERAVSVLADSLCDVLLDTIIKGDPRIQEAKIMWVGSDAKSWVKNTRKVSKGEPTVEIVVEKNEASKQGDAWRIAMDACIPVIDLIDTRRSIPYGIQQVRELLGISCSFDQIVQRLSTTMKTVAKGILKDHLILVANSMTCTGNLYGFNTGGYKATFRALKVQVPFTESTLFTPMKCFEKAAEKCHSDALGCVVSSCSWGKHAALGTGSSFQILWNENQLKSNKEYGDGLYDFLAMVRTDQEKARYTFFDDVDYLVEDNAMDDICLSPELDGTHGVPTFEDNVEQQDSQNGNSWENGTKANASWEQNASAGNDSDNWGGWGNAAAATDTGAAKPADQGNSSWDVPATVENDSTGWGGWGNEKSKENKTLSIEPAELDSWSDRGAKKGTDGGGGSWEKQPNTCEESGKNLERNSWAKMPASPSLSTWAKKNSDGGDGTWEKQANSCKKNVEQDSWENMPVSPARNSWTKKESGRGDATWEKRASTWEEKKTGESNEGFWEKSNARKDSWGNAQQGSSDKVAVKDNDMQQDPWGHIATQNINAQDDLWGSAAAKAQTSTAEDADAQNDSWGAVAAKAQTSTAQESWGNVAASPPDNAWKAAPISQTSTAEHTDAHNDSWGIVAAKAQTSAAQQESWGNVAASPSDNAWNAAPMDSDAKQAGSWDGWNSALAEDSNKTDDSGNKNKGWKSDGWGAKGNRRDQRDNPSRPPMRPDERPPRPRFEVPAEAKKILREIEPIVTMVRKIFRESCDGVRLPLEDEKFIKESILEHHPEKERKVSGEIDHIMVNKHHLFQESRCFYVVLADGTHTDFSYNKCMDNYVRKTYTDAAEDADLVCQMYFKKRDRDRAAAVVGGSTPADASQSTQVMETSQDEAPQEAQPETCVATQEETPVSPQETPAATQQEETENNPDSASEADYHSASEAGLPEGV* >Brasy5G518200.4.p pacid=40076225 transcript=Brasy5G518200.4 locus=Brasy5G518200 ID=Brasy5G518200.4.v1.1 annot-version=v1.1 MEEDQSAVLVAEGAIKSIKLSLSTEDEILTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLSLVCLKCLRIKKGKAKQINGKENVSVTACSYCRDVPALSLKEVKTADGAFRLELRAPPRRLMKDSSWNFLDKYGYHYGGASHFRTLLPEEALNILKKIPDDTRKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLMKKILHRIEQIKKSRAGTPNFESHEAESSDLQISIAQYIHLRGTTKGPQDTKRFTISTDSSHLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDINIKRLQEVVDKGLCLTYRDGQTTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKVNLQLSNDSLLALKHMSSRTVLSKESANQFAMLLSFSLPDPAVVKLKPCWTITQIIQGALPAALTCEGGRFLVKDSTVIKLDLAKESVQASFSDLVSSILCVKGPGDALQFLNALQPLLMEYLLLDGFSVSLRDFNVPKVLLEEVHKSIQEQSLVLEQSRCSKSQFVEMRVDNNLKDVKQQISDFVVESSHLGLLIDPKSEPSMSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSSFVDKHPPIVGNQHPPEAYGLVQNSYFHGLNPYEELVHSISTREAIVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNICSNSIMQLKYNEDDATDIPSAVTPGEPVGVLAATAISNPAYKAVLDASQSNNTSWASMKEILQTKVGYKNDMNDRKVILFLNDCSCPKKFCKEKAAIAVQSRLKRVTLEDCATDICIEYHKQINLDGSSEATPALVGHIHLEKARLDTINVSTEDILQKCQEVSLKHGKKKGHLGHLFKKITFSTCNCSFTQKPMVDGKLPKVPCLQFSFSEDIPMLSESVERAVSVLADSLCDVLLDTIIKGDPRIQEAKIMWVGSDAKSWVKNTRKVSKGEPTVEIVVEKNEASKQGDAWRIAMDACIPVIDLIDTRRSIPYGIQQVRELLGISCSFDQIVQRLSTTMKTVAKGILKDHLILVANSMTCTGNLYGFNTGGYKATFRALKVQVPFTESTLFTPMKCFEKAAEKCHSDALGCVVSSCSWGKHAALGTGSSFQILWNENQLKSNKEYGDGLYDFLAMVRTDQEKARYTFFDDVDYLVEDNAMDDICLSPELDGTHGVPTFEDNVEQQDSQNGNSWENGTKANASWEQNASAGNDSDNWGGWGNAAAATDTGAAKPADQGNSSWDVPATVENDSTGWGGWGNEKSKENKTLSIEPAELDSWSDRGAKKGTDGGGGSWEKQPNTCEESGKNLERNSWAKMPASPSLSTWAKKNSDGGDGTWEKQANSCKKNVEQDSWENMPVSPARNSWTKKESGRGDATWEKRASTWEEKKTGESNEGFWEKSNARKDSWGNAQQGSSDKVAVKDNDMQQDPWGHIATQNINAQDDLWGSAAAKAQTSTAEDADAQNDSWGAVAAKAQTSTAQESWGNVAASPPDNAWKAAPISQTSTAEHTDAHNDSWGIVAAKAQTSAAQQESWGNVAASPSDNAWNAAPMDSDAKQAGSWDGWNSALAEDSNKTDDSGNKNKGWKSDGWGAKGNRRDQRDNPSRPPMRPDERPPRPRFEVPAEAKKILREIEPIVTMVRKIFRESCDGVRLPLEDEKFIKESILEHHPEKERKVSGEIDHIMVNKHHLFQESRCFYVVLADGTHTDFSYNKCMDNYVRKTYTDAAEDADLVCQMYFKKRDRDRAAAVVGGSTPADASQSTQVMETSQDEAPQEAQPETCVATQEETPVSPQETPAATQQEETENNPDSASEADYHSASEAGLPEGV* >Brasy5G518200.2.p pacid=40076226 transcript=Brasy5G518200.2 locus=Brasy5G518200 ID=Brasy5G518200.2.v1.1 annot-version=v1.1 MEEDQSAVLVAEGAIKSIKLSLSTEDEILTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLSLVCLKCLRIKKGKDVPALSLKEVKTADGAFRLELRAPPRRLMKDSSWNFLDKYGYHYGGASHFRTLLPEEALNILKKIPDDTRKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLMKKILHRIEQIKKSRAGTPNFESHEAESSDLQISIAQYIHLRGTTKGPQDTKRFTISTDSSHLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDINIKRLQEVVDKGLCLTYRDGQTTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKVNLQLSNDSLLALKHMSSRTVLSKESANQFAMLLSFSLPDPAVVKLKPCWTITQIIQGALPAALTCEGGRFLVKDSTVIKLDLAKESVQASFSDLVSSILCVKGPGDALQFLNALQPLLMEYLLLDGFSVSLRDFNVPKVLLEEVHKSIQEQSLVLEQSRCSKSQFVEMRVDNNLKDVKQQISDFVVESSHLGLLIDPKSEPSMSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSSFVDKHPPIVGNQHPPEAYGLVQNSYFHGLNPYEELVHSISTREAIVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNICSNSIMQLKYNEDDATDIPSAVTPGEPVGVLAATAISNPAYKAVLDASQSNNTSWASMKEILQTKVGYKNDMNDRKVILFLNDCSCPKKFCKEKAAIAVQSRLKRVTLEDCATDICIEYHKQINLDGSSEATPALVGHIHLEKARLDTINVSTEDILQKCQEVSLKHGKKKGHLGHLFKKITFSTCNCSFTQKPMVDGKLPKVPCLQFSFSEDIPMLSESVERAVSVLADSLCDVLLDTIIKGDPRIQEAKIMWVGSDAKSWVKNTRKVSKGEPTVEIVVEKNEASKQGDAWRIAMDACIPVIDLIDTRRSIPYGIQQVRELLGISCSFDQIVQRLSTTMKTVAKGILKDHLILVANSMTCTGNLYGFNTGGYKATFRALKVQVPFTESTLFTPMKCFEKAAEKCHSDALGCVVSSCSWGKHAALGTGSSFQILWNENQLKSNKEYGDGLYDFLAMVRTDQEKARYTFFDDVDYLVEDNAMDDICLSPELDGTHGVPTFEDNVEQQDSQNGNSWENGTKANASWEQNASAGNDSDNWGGWGNAAAATDTGAAKPADQGNSSWDVPATVENDSTGWGGWGNEKSKENKTLSIEPAELDSWSDRGAKKGTDGGGGSWEKQPNTCEESGKNLERNSWAKMPASPSLSTWAKKNSDGGDGTWEKQANSCKKNVEQDSWENMPVSPARNSWTKKESGRGDATWEKRASTWEEKKTGESNEGFWEKSNARKDSWGNAQQGSSDKVAVKDNDMQQDPWGHIATQNINAQDDLWGSAAAKAQTSTAEDADAQNDSWGAVAAKAQTSTAQESWGNVAASPPDNAWKAAPISQTSTAEHTDAHNDSWGIVAAKAQTSAAQQESWGNVAASPSDNAWNAAPMDSDAKQAGSWDGWNSALAEDSNKTDDSGNKNKGWKSDGWGAKGNRRDQRDNPSRPPMRPDERPPRPRFEVPAEAKKILREIEPIVTMVRKIFRESCDGVRLPLEDEKFIKESILEHHPEKERKVSGEIDHIMVNKHHLFQESRCFYVVLADGTHTDFSYNKCMDNYVRKTYTDAAEDADLVCQMYFKKRDRDRAAAVVGGSTPADASQSTQVMETSQDEAPQEAQPETCVATQEETPVSPQETPAATQQEETENNPDSASEADYHSASEAGLPEGV* >Brasy5G325700.1.p pacid=40076227 transcript=Brasy5G325700.1 locus=Brasy5G325700 ID=Brasy5G325700.1.v1.1 annot-version=v1.1 MPKSYLRKVKQSRRVAQKISLLGYGGPEIGDAGQSSSGTNIMQMQVLPAPPTDLGEVQHKIARAFSLGHPFMARLMGSVFNPGELELSFEDVMEQLLKSGSDKRYMWAQEFNEYLQKPVVEHPTDSEASAPNSSDSNSSLQSNMSVEDGAEVEMQGDSADITICEILDEEVVPSQASSIQEVPISQEVTDRIREQGDRIRVLKTCLWGIKQYQSEQVIG* >Brasy5G013900.1.p pacid=40076228 transcript=Brasy5G013900.1 locus=Brasy5G013900 ID=Brasy5G013900.1.v1.1 annot-version=v1.1 MVAGKNFGRTMRSRKLVGQLCDNTPEKAALLCNMLWSQRPYEVVMSFTRSKPGPRAVRRIGRYLSGTTHPTLLPIGGRPSYSRPKRNCSNLE* >Brasy5G178300.1.p pacid=40076229 transcript=Brasy5G178300.1 locus=Brasy5G178300 ID=Brasy5G178300.1.v1.1 annot-version=v1.1 MPKIKNLSEACKVSFSPGGPVSEEALERVRALLDEIRPLDVGLDNEAQIARNWNSSARQQNGRRGRNGSNQCAPPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGKLHAESYDWIDVADPPGQLQPRPAKCVRDREMTAPETTILYPDRGGNIHTFRAVTPCALFDVLSPPYSAENGRDCSYFRKSLVKEPSVVLPSEINSSEVVWLEELEDHQPPEGFVVARGLYKGPVIRR* >Brasy5G020200.1.p pacid=40076230 transcript=Brasy5G020200.1 locus=Brasy5G020200 ID=Brasy5G020200.1.v1.1 annot-version=v1.1 MAGGGAKVASHGAFQGESPLDYALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLDAVFPAHSMTVLDTLANLGLLFFLFLVGLELDLNAIRRTGKKALAISLSGIAVPFIIGIGTSFAFRATVPGLDQSPRGPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMAMSAAAVDDVTAWILLALAIALSGSGSPIISLWVLLTAVGFIVAVFVLLRPLLAWMARRCPQGEPIKEVYIVATLAIVLAAGFVTDVIGIHALFGAFIVGIVVPKDGPFAGALIEKVEELISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVILNACVGKIGGAVATCLLVKIPVREAVTIGFLMNTKGLVELVVLNIGRDRRVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSSSVPYKRRTVGGDDDEDTGGDLRMLACFHGSRNIPTLLNLVELSRGTRGRRRLVMYAMHLVELTERSSAISMVHRARRNGMPFFSVTSSERTTMEVAFEAFQQLSPVRVRPMTAISVLDTIHRDVIDSAAAKRAAIVVVPYHKALQHDGTFRSLGSAYHAMNRRVLREAPCSVAILVDRGLGGHSHVSAKNVAFSVAVLFFGGADDREALAYATRMAEHPGVAVTMARLQPSRPLLDEADSTAADEAAVEAFKSMAAAADDGSMKFEEREGGTKEDVVEAISSLSKCNVFVVGRMPPTAPLVENPEELGPVGSYLVSPEFKTAASVLVIKRYDPATNPKSMRFDPKVRPQVATDEDALDEETGSGSGSAMVVPASPSPSELA* >Brasy5G393900.1.p pacid=40076231 transcript=Brasy5G393900.1 locus=Brasy5G393900 ID=Brasy5G393900.1.v1.1 annot-version=v1.1 MAALLVSVVFLLLLLGIGLCSCGNVHIVYMGEKLPELVRDSHHGMLAAFLGSEQAAESAILYSYRHGFSGFAAVLTDTQAARLSDWPGVVRVVRNRVLDLHTTRSWDFMRVNPSPSGKSGILSESRFGEDSIIGVLDTGIWPESASFRDDGMGEVPRRWRGRCVAGDRFNASNCNRKIIGAKWYVKGYEAEYGKMNTTDINEYMSARDAVGHGTHTASTAAGALVADASFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSLHAVMRGIVVVCSAGNSGPYSETVINSAPWVLTVAAGTIDRTFLAKITLGNNISYVGQTMYSGKHAATTMRIVYAEDVSSDNADDSDARSCTAGSLNSTLVKGNVVLCFQTRGQRAAQVAVETIKKARGIGVIFAQFLTKDIASAFDIPLVQVDYQVGTAILAYTTSTRNPTVQFGCAKTILGELIGPEVAYFSSRGPSSLSPSILKPDITAPGVNILASWSPSVAISSAIGSVNFKIDSGTSMSCPHISGVAALLKSMHPNWSPAAVKSAMVTTANVHDEYGFEMVSEAAPYKQANPFDYGGGHVDPNRAAHPGLVYDMRPSDYVRFLCSMGYNNSAIGSMVRLRTPCQHTPKSQLNLNLPSITIPELRGNLMVSRTVTNVGPPTSRYRARVEAPPGVDVTVNPSLLTFNSTTNRLSFRVTFQAKLKVQGRYTFGSLTWEDGAHTVRIPLVVRTMISKFYVNA* >Brasy5G207200.1.p pacid=40076232 transcript=Brasy5G207200.1 locus=Brasy5G207200 ID=Brasy5G207200.1.v1.1 annot-version=v1.1 MAAVLDPLVGSCITKLQEIIAEKAVLILGVKEELKKLQGTMKQIRCFLDDAEQRRIKESAINNWLSELRDAMYDADDIVDSARFEGSKLLKDRKSASSKNSTAGCGISLLSCFPVIQRRHEIAVKIRDLNDRVEQLSKHGNSFLHPGVRPTGQGSTSKRRESSKLVQPKLVGKEIMHSSKKLVDLVLAGKVQKDYKLAIVGTGGVGKTTLAQKIYNDQKIKPVFEKQAWVCVSQECNEVNLLKEILRNIAVYRDQGETIAELQNRIAETIEGKSFFLVLDDVWKSSVIDLIEAPIYVAASSVILVTTRDDRITMDIHAAHTHRVNLMSEEVGWELLWKSMSINEENEVQNLRNTGIEIIKKCGYLPLAIKVIARVLTSKDQTENEWKKILSKISAWSDNKFHDDIEGALYLSYNELPHHLKQCFLYCALYPEDSTINRDDLVRLWVAEGYVEEQEGQLLEETGEEYYYELIHRNLLQPDGSTFDHDSCKMHDLLRQLACYLSRDECFTGDPESLEGQSMTKLRRVSAVTKKDMLVFPTMDKEHLKVRTLLRRFYGVSQGVDHSLFKKLLRLHVLDLTGSSIQTIPDCIANLIHLRLIGLDGTAISCLPESIGSLINLQILNLQGCDALHSLPLTITQLSNLRRLGLKGTPINQVPEGIGGLTFLNDLDGFPIGGGSDIGKTQDGWKFEELGHLLQLRRLEMIKLERAATCSTDSLLIHKKYLKLLSLSCTKHPVESYSEEDVGNIEKIFEQLIPPHNLEDLVIVDFFGRRFPTWVGTTHLVSVKFMKLIHCNSCVHLPPLWQLPNLKYLRIEGAAAVTKIGPEFVGCRGGNPISTVAVAFPRLESLIIEDLPNWEEWSFVEEGDAAAAATKGEEDGSAEIRKGEAPSPRLQVLPRLTRLDLVGCPKLRALPRQLGQEAACLEELQLRGASSLKVVEDLPFLSGQLGIVDCKALERVSNLKQLKELRLSECLDLMCVEGLGSLQQLWLDEGMQDVSSKWVPGLQEQHLKLHGDDLDIYTWPRD* >Brasy5G438700.1.p pacid=40076233 transcript=Brasy5G438700.1 locus=Brasy5G438700 ID=Brasy5G438700.1.v1.1 annot-version=v1.1 MRTIPATILLILLLLIALPPPPSAVALTADGLALLAFKTAVTEDPSSALSSWSESDADPCRWPGVTCANISSQPRVVGLAVAGKNVSGYIPSELGSLLFLRRLNLHDNRLTGAIPAALSNASSLHSLFLYNNALTGVLPVAALCGGLPRLLNLDVSRNALSGELPLELRGCRSLQRLVLAGNGFSGEVPGGIWPEMASSLQQLDLSSNAFNGSVPPSLGQLAKLSGTLNLSHNQFSGVVPPELGRLPAAVALDLRFNNLSGAIPQMGSLASQGPTAFLNNPALCGFPLQIACRAVPPPTLSPAPPQNTSPSTAAAASDQGQQHHHPIRTNLIALISVADAAGVALVGIILVYIYWKVKDRKKGGDDDEEDEDRKQGLCRCMWARRGRGGSRDESDDGGSSDDDEEEGVRKQSGGGGDGELVAIDKGFRVELDELLRSSAYVLGKGGKGIVYKVVVGGGSTPVAVRRLGGGVGGADRRKEFRAEARAMGRVRHPNVVRLRAFYWSPDEKLVVTDFVGNGNLATALRGRSGEPALSWAARLKIAKGAARGLAHLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLVRLLAIAGCAPDGALPHPPPPSSGGGGLLGGAIPYTKPALGQGGAGYRAPEARAAGARPAQKWDVFSFGVVLMELLTGRGPAADHASSPSTSASFSGPASSSTGTTTDRSGSGEHGGGGVPEVVRWVRRGFEEDSRPVAEMVDPALLRGAAMAPALPKKELVAAFHVALACTEADPELRPKMKTVAESLDKIGS* >Brasy5G386300.1.p pacid=40076234 transcript=Brasy5G386300.1 locus=Brasy5G386300 ID=Brasy5G386300.1.v1.1 annot-version=v1.1 MDRVKKVPGMSRGASATPVWEERDESLVLFGELYRHDQEKDVNLLEPMYSVEFEAIQGDRRLFKLASGKKNYLLTDGEKNDYDWLKTPPATPLFRSLEMEADSPQMVFQRELPLLQPVKTSRFSKKPETPSITSTRSESPTTSSSSKSATPTARPSSSSKKHLTTRGFPALSKEETSAYKIEKRSSYTPLGNRQHNAVAVPTSTTDTKATKKISGKKPTVPGSTNAEKNIAAKPPMKNIAAAARRARTKDPSVGAKDLKVVAGNGGATRRKPCPPAAATATDNRELNAVAPRGRSRGDGEPATGNARAAEAAVEKGRRRAGGEKEKQRQEKLGSHAMKSVG* >Brasy5G506700.1.p pacid=40076235 transcript=Brasy5G506700.1 locus=Brasy5G506700 ID=Brasy5G506700.1.v1.1 annot-version=v1.1 MKKKRRKLAIAMELATGAMSSLLPKLVELIEGEYKLQTTGVKKDVESLLRELKSIEVALTKVAEVPLDQLDKQVRLWANNVKQLSYEMEDIVDSFMVRVEGSEPDADLKRSKRFRKKIANFFKKGKTRHQIADKIQDIKVRVKEVADLRDRYKVDDVCANPSAMNTVDPRMINLFKDQKELVGIEEPRNELIKRLMEEGDGVSNSKLQLKILSIFGFGGLGKTTLAKAVYDKLQGEFVCKAFISVGQNPNVKKVFMDILRQLDKNSYLNATTLDEVQLIDELRELLENKRYLIVIDDIWDKIPWNIMKCALIDSNCGSRIITTTRIFEVAKEANDVYKQKPLSSGTSKELFYTRLSIGKCKITSRQPIEISEKILQKCGGVPLAIITIASLLASKPWEDWSEVYDYIGFGDGANIHVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIRKNTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEEPYKSIIYACRVHDLVLNMICYLSKEENFVTIHGSSNTEHQPSQSNVRRLALQNVVLDEEPNSDITD* >Brasy5G085500.1.p pacid=40076236 transcript=Brasy5G085500.1 locus=Brasy5G085500 ID=Brasy5G085500.1.v1.1 annot-version=v1.1 MVLWSEQVNPFSHKKMAQLQIFITSPPPRPLLQPPCPSILLLHHCSSASDDSLAFFLSKSNRGQPNWI* >Brasy5G467300.1.p pacid=40076237 transcript=Brasy5G467300.1 locus=Brasy5G467300 ID=Brasy5G467300.1.v1.1 annot-version=v1.1 MTAGCLLSTLPPSVNRCLNSRVQGSRSLTLQLNCVSLIASKAILTWRMDRSLFSRRTVVHAQGFPIMDAANDANPSSKLHTRLRLWEFTDRYIFEPIDGLADLYLSVSRSSGSMNLVDELPPRSPSTNPKVRTVYGVIGALKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNDSLNTSAEQKKMESEISELMDAAERTIGLYFSYDINLTLNSQRLYDLGDEFKSRPLWRQAEPRFLWNSYLLKPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVDVTLIARRCTGRIGTRMWRRGADPEGYAANFVESEQIVQSKGYTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPHVLERHFNDLQKKYGAILAVDLVNTHGGEGRLRERYAKSIEPILSEDIKYVHFDFHHICGHIHFEHLSQLYDQIEGYLKKHRYFLLNDKGEKIQEQVGTVRTNCIDCLDRTNVTQSMIGRRILESQLQRVGVFGVNDTISKYPAFDASYKVLWANHGDAISIQYSGTPALKGDFVRYGKRSAQGILNDLQYSLARYYLNNFADGTKQDAMELLQGRYITSVSRDITAPSKSGFLENYASARLAFALVSGAFMFMMMSLRQARNDGRHLLLSVMWAGLCIGITHFVRTNGRVFTNRPRIYQSRH* >Brasy5G467300.2.p pacid=40076238 transcript=Brasy5G467300.2 locus=Brasy5G467300 ID=Brasy5G467300.2.v1.1 annot-version=v1.1 MDAANDANPSSKLHTRLRLWEFTDRYIFEPIDGLADLYLSVSRSSGSMNLVDELPPRSPSTNPKVRTVYGVIGALKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNDSLNTSAEQKKMESEISELMDAAERTIGLYFSYDINLTLNSQRLYDLGDEFKSRPLWRQAEPRFLWNSYLLKPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVDVTLIARRCTGRIGTRMWRRGADPEGYAANFVESEQIVQSKGYTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPHVLERHFNDLQKKYGAILAVDLVNTHGGEGRLRERYAKSIEPILSEDIKYVHFDFHHICGHIHFEHLSQLYDQIEGYLKKHRYFLLNDKGEKIQEQVGTVRTNCIDCLDRTNVTQSMIGRRILESQLQRVGVFGVNDTISKYPAFDASYKVLWANHGDAISIQYSGTPALKGDFVRYGKRSAQGILNDLQYSLARYYLNNFADGTKQDAMELLQGRYITSVSRDITAPSKSGFLENYASARLAFALVSGAFMFMMMSLRQARNDGRHLLLSVMWAGLCIGITHFVRTNGRVFTNRPRIYQSRH* >Brasy5G030600.1.p pacid=40076239 transcript=Brasy5G030600.1 locus=Brasy5G030600 ID=Brasy5G030600.1.v1.1 annot-version=v1.1 MRRRAPAMPMWARPSSSRATRAAHERAVAVPAAPRWGVARAGRHLPPLFDPATPPPPPPPPRLRFLPVHVTHLFPFAPTPPLVLFLHTPLLAAYLSLASLSLSLSLSRTPLFIPRPGAAGGRERDCEGKVIAEQPSERALILCASFDWLKEI* >Brasy5G489100.1.p pacid=40076240 transcript=Brasy5G489100.1 locus=Brasy5G489100 ID=Brasy5G489100.1.v1.1 annot-version=v1.1 MTPLLWSCTTRLPDPCSRTSADADDELLPVVFEDVQTVWPEPSSRRRLPTVTLISSMPAVSFSGLSRFATQMTASVDAENHIPRYRRFGVPGEKNPRVKVPPAETSVSPSMMLRRPSFSVSDDETGVAGVTRASQSTETRMTARSLVGRALPLKTAGAIAARGGRGERGNWEGDRRGAMG* >Brasy5G296800.1.p pacid=40076241 transcript=Brasy5G296800.1 locus=Brasy5G296800 ID=Brasy5G296800.1.v1.1 annot-version=v1.1 MEGSSLRDLVDEELVLKTSKAAGIGLAAGSVWGALVSMLHDGPQVASNVKYPELIRTGKVCGTYAASLAVLGATYVGVEQALENYRMKKDFVNGAVAGFTAGATMGFRVGRVPTAILSGSALALTSVLLDATGMKTTKEEEKGQH* >Brasy5G495000.1.p pacid=40076242 transcript=Brasy5G495000.1 locus=Brasy5G495000 ID=Brasy5G495000.1.v1.1 annot-version=v1.1 MVCLGRLFPFLVGTAAGVYAAQNYKVPNLRSLAGDGADTARRYEEEYRKKKPDDAGERKKTTATKKKVQLEIDGDE* >Brasy5G352400.1.p pacid=40076243 transcript=Brasy5G352400.1 locus=Brasy5G352400 ID=Brasy5G352400.1.v1.1 annot-version=v1.1 MESSQITGDDGEECNSNESGWTIYLSSPMRSDDEKENGSEGSNVEDGSGYINERRKGKEDHNQDDDGDYDSLTSDASTGPAQVKALEGKEEKNRQTNVGCSDEQGKDEQDEIRTKILTTCNKKTGKIKKGDEKTSRRGHSKRRSSSRTSFFW* >Brasy5G048100.1.p pacid=40076244 transcript=Brasy5G048100.1 locus=Brasy5G048100 ID=Brasy5G048100.1.v1.1 annot-version=v1.1 MQGNRPAGVLLVLAALLFLLVVVADAAPGAAHGGGRVVIGHAAAGTTTIGAAAAAATKRGLVEVTSSLAAGGISSGSLNANKPACLGSCPARGDRYTGRGCSSSYQCRG* >Brasy5G094700.1.p pacid=40076245 transcript=Brasy5G094700.1 locus=Brasy5G094700 ID=Brasy5G094700.1.v1.1 annot-version=v1.1 MRTTRVHRPVHPAGHRITSLNVHIQWVLQAWRHDTVILLAQKTQPIRGQRVKRSTSSMAQARATRGDHEHGMKKAAAQEEQQPGPEPIQYGHVFAVTGELAVQPIAPRDAAAMRSAEDSVGGAQVPLAGGGGFSAATAMETAAAYNQAVGAVRPGQASRAAYSQGIAVTQTPVTGGRVVTEFVAGQYSVADQPMEGDASKVTVGEAMEAAALSAGDRPVDEADAQAIRAAEAQAQGAGKTMPGGLADQAQAAARANLRAENGQDKIMVGNVLSGATTKLAGTEDAARVVKAETYADAGARTKAGGVGAAVTTAARLNLEDDEVDAA* >Brasy5G457100.1.p pacid=40076246 transcript=Brasy5G457100.1 locus=Brasy5G457100 ID=Brasy5G457100.1.v1.1 annot-version=v1.1 MRGNESGTRNNPSSKLGLPYQQRESGHGMTSSAASPAAAAADGIGGGRITGEPSFFVACARRREEGELSGGGFERRERETEGRWRGFRGSGRRRRSIWFRPSGGIGVAGGEEWGVWAAEGGCRGEAGRAERGGGGERGAGRGGRCGSIGVVCVGLDMSRAELGWAGSWAG* >Brasy5G230600.1.p pacid=40076247 transcript=Brasy5G230600.1 locus=Brasy5G230600 ID=Brasy5G230600.1.v1.1 annot-version=v1.1 MWRAAASRLLLRPPPSLPSSAAAALRHSRLFSPSPPPQPQPPAPEVTAAEARRLVRLVGVEALKRRLREDGRGEVVPYGELLDACVEAGAARTRGEAEALAHAMDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLALAPDNDKRKEEFKKLQEKKEEIEKLAHKQVRRILWTGLGFFMTQVGLFFRLTFWEFSWDVMEPIAFFTTTSGLLVGYAYFLITSRDPTYQDFMERMFESRRKKLCAKHGFDMQKYLELQKNCKCPLEGHYSHGHDS* >Brasy5G282500.1.p pacid=40076248 transcript=Brasy5G282500.1 locus=Brasy5G282500 ID=Brasy5G282500.1.v1.1 annot-version=v1.1 MATITKYREALFRLMEQSTVRLISSFEIKDSGHHKIKDKKKLYVPYNILPLDPESTDQAIVMKVS* >Brasy5G282500.3.p pacid=40076249 transcript=Brasy5G282500.3 locus=Brasy5G282500 ID=Brasy5G282500.3.v1.1 annot-version=v1.1 MATITKYREALFRLMEQSTVRLISSFEIKDSGHHKIKDKKKLYVPYNILPLDPESTDQAIVMKVS* >Brasy5G282500.2.p pacid=40076250 transcript=Brasy5G282500.2 locus=Brasy5G282500 ID=Brasy5G282500.2.v1.1 annot-version=v1.1 MATITKYREALFRLMEQSTVRLISSFEIKDSGHHKIKDKKKLYVPYNILPLDPESTDQAIVMKVS* >Brasy5G274000.1.p pacid=40076251 transcript=Brasy5G274000.1 locus=Brasy5G274000 ID=Brasy5G274000.1.v1.1 annot-version=v1.1 MAIRADPVVVVEKMSMLLNKIKDFVQNQFPASKTGISLKENSISAVVLDAFRCPISDGLMEDPVMISTGQTYERGSITRWFEAGNNTCPKTQQKLVNKSLTPNYVLHGLIVQWCELNCLELESPKRSVEVSSIIEHNKVTELLQKLSSQNLLDILLKHRSRASCPRWWSSQEVAQTERKGKRSSFSNGTKKKMERHG* >Brasy5G180400.1.p pacid=40076252 transcript=Brasy5G180400.1 locus=Brasy5G180400 ID=Brasy5G180400.1.v1.1 annot-version=v1.1 MSSPYSLVSGLNDDSQDWRIRVRIARLWEQRDSAKANEVVRLHFVAVDKKGNGIHGFIPRGFLAKFRNALVESHVYYLEHFEVAAVRDKFRSVDHRFELRFTSWTLIHEIVPTPAGFPMHTYKLRSFESILANITDKTFLVDVLGVLTGVSEVVSPEVRGYTTSKRTLRITDTRHTAIVSLWGDNAEEIDTTGLVELSKKEPVIVLIMGCTFHGQDSMLSLSASYGSKVCINLENRDVVALRNRIAGQFYLVENIADRGRRFASADPERTDVPYLASLVPHVAMNKMFRCFMRIDGPAEGQGWWFMSCDTCTCRATEDGDSFICTNNECDGKTASPRYKLAVNASDKGSSVEMVFFGDICRDLVGKPADISVAESYNVPSGVPSHIEQLFGRSYVVDVAVSRYSFRRDNISYQVLKFYPEGGAVFSDFVSCVGDGEGAGSSGAQGLGSLSTSLVNDDILQVHDILFIVLFTLGLAVAFVCRW* >Brasy5G456500.1.p pacid=40076253 transcript=Brasy5G456500.1 locus=Brasy5G456500 ID=Brasy5G456500.1.v1.1 annot-version=v1.1 MFMFFHPARVLVSVLACHSVNSAIFQTNSDFDAKPMVMLLCQYSIGKTTLIKHLLKTRYPGFRLCLIQNT* >Brasy5G160400.1.p pacid=40076254 transcript=Brasy5G160400.1 locus=Brasy5G160400 ID=Brasy5G160400.1.v1.1 annot-version=v1.1 MPPKRYAAPRATAAGDAAAKKPKEKERPPEMSNADWVADCTRRKVKNAARRGWERKAKERNADLARQMEAQRVAASSQMASMTASWPPTGQHWSSGSQGSSSSSSSPSSPSGISPVSPHMPHENHGNATPSLSRFSPDYPDTDPLGGFKPNTFAADPLGGFNAFASPLLRRGPLYYGGSSAPASFQQFPAGCSEPAPNPFGGMSQGDSIMSDMINDSSQHAPYTYTYTQEEEGQEEEDADREEWADRTEEPAVAEPWGKKKAAAEKKKAGGGGRGPKWTSKEDECLAEAWKVVSMDPFTGANQTGDTYWRRVKTAYDERRDIDREFAMLAHDRNESGLSHRWGMIQQACNKWHGIQEEVRRRPQSGSSAHDQMVAMFTAFRENNDGVDFKFIHVFARVETCDKWKETRNGLSKSGAYDPTAAPPAAAEGRPIGYKKAKAMRDAAPATERLYTCIEKCMSDAAAQATKKEELAAKREEVAASRWATVIKKQDDKLEILKANGAAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAETRASASAPATATTATSTPSAPSPPETATTATSTPPAGSEVPSTLVDDEGAE* >Brasy5G003400.1.p pacid=40076255 transcript=Brasy5G003400.1 locus=Brasy5G003400 ID=Brasy5G003400.1.v1.1 annot-version=v1.1 MGRLFLMSLAPTGAIYSCKHCQTQLAYAHHIVSKMFRCKHGKAYLFDKVVNVNDGEKDDRMMTTGLHTVCDIFCVGCGSILGWKYVSAFEKSQRYKEGKFILERCKIHGGGPTDRIQLWAEHDARISESEDDDQDTM* >Brasy5G018600.1.p pacid=40076256 transcript=Brasy5G018600.1 locus=Brasy5G018600 ID=Brasy5G018600.1.v1.1 annot-version=v1.1 MRTIYILFPKAEIPVAEQGLEIMDLVDESTPLPWWFTEEDLSIYTHLYEKKSFITALQIPYRTNAAKPECANPRFEMPMFVIIGQKDYILKFPAMEDYMSSEKLKEVAPDHDITYIPEGTHFVQEQFPDLVNQLMIDFIKKHL* >Brasy5G312400.1.p pacid=40076257 transcript=Brasy5G312400.1 locus=Brasy5G312400 ID=Brasy5G312400.1.v1.1 annot-version=v1.1 MLPHAPYQPNLEHVPILIIQVDAEDGLNAYQTVMRRKKPRERRIAPSLYRDRPVTPPNHPTSGPSFHILRLVHSAAPERFVDFLICDANQYLAAFRRMVAAEWSPWYHFQDMKNHMPWFMTEAEYRALSIKALHNRQTTLPGGLDVILDDMFFILLNPDSTDDELRRVLFRAVLLFCEATRLDSVQREIVMRWMDHALVVQQLNDTLWSRIGKWSKMSACALSRRANLDVADALLLDLLVGCHLEDIDAVMVELQLILYGTEYIQLVNQPPLAYPEDFVDIFPMPDEPEEDLQAQAQGRGRGRARVRGGARGRGR* >Brasy5G081800.1.p pacid=40076258 transcript=Brasy5G081800.1 locus=Brasy5G081800 ID=Brasy5G081800.1.v1.1 annot-version=v1.1 MAFHVACPITCRRLCDCELGFGAARGKEAKAGVWADAAAALEGFLADPWLLRPAEAGDGGAVGTVQVEVPPLPLPEEAEDEAHRAAMQRQAAAAEDFARRLEGSYGFPEAEGDDDDSDQEEGNAAIKVMCRLCISGENEGSSKAAKMLPCKLCNKKYHKKCLKYWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVSHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADENLQYTCASCRGECSQIRDAEDAVRELWKRRNIVDHDLMVSLRAAAALPSLEDVSPSDPNSDDERLGAFVPKNDGRNTLKFSFKSNSSKPPLDQSGQEKNVPKTSGSNKKHSKKKGSQGNISVGDPDEIFLERRHEAKSFSNLGGHTIEGNHGQNTIKNDDNVFTLSSTRSSEKGAANNADMIPKVKIRGSKAPSLHFKDVKDVGEGNTAKSDSGKGTKLVFHFGTRHKSGSGSPKSEMPNSHKEQELGSVHGGKIDITSQFKSSKSEKKEKSVMKLVRETGVQQRNSLLGDLGTSKKHVTGKRSNAIISGMENASESGTRSRSFGHKQSIPNQLTDNQATASLPVNNSPDNLKPSLLKLKFKRPHLEQPSAQVAQPEEMATWASQQEELNVAKGQRSKRKRPSVDKMDGSEGKTPGKRHQQSTGDEAMDATWILRKLGKDAIGKRIEIQLPSDGKWHQGVVSNVLSGTLCVQLDDGSSENLELGKQAVRLVAQRSKGGKR* >Brasy5G081800.2.p pacid=40076259 transcript=Brasy5G081800.2 locus=Brasy5G081800 ID=Brasy5G081800.2.v1.1 annot-version=v1.1 MCRLCISGENEGSSKAAKMLPCKLCNKKYHKKCLKYWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVSHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADENLQYTCASCRGECSQIRDAEDAVRELWKRRNIVDHDLMVSLRAAAALPSLEDVSPSDPNSDDERLGAFVPKNDGRNTLKFSFKSNSSKPPLDQSGQEKNVPKTSGSNKKHSKKKGSQGNISVGDPDEIFLERRHEAKSFSNLGGHTIEGNHGQNTIKNDDNVFTLSSTRSSEKGAANNADMIPKVKIRGSKAPSLHFKDVKDVGEGNTAKSDSGKGTKLVFHFGTRHKSGSGSPKSEMPNSHKEQELGSVHGGKIDITSQFKSSKSEKKEKSVMKLVRETGVQQRNSLLGDLGTSKKHVTGKRSNAIISGMENASESGTRSRSFGHKQSIPNQLTDNQATASLPVNNSPDNLKPSLLKLKFKRPHLEQPSAQVAQPEEMATWASQQEELNVAKGQRSKRKRPSVDKMDGSEGKTPGKRHQQSTGDEAMDATWILRKLGKDAIGKRIEIQLPSDGKWHQGVVSNVLSGTLCVQLDDGSSENLELGKQAVRLVAQRSKGGKR* >Brasy5G499600.1.p pacid=40076260 transcript=Brasy5G499600.1 locus=Brasy5G499600 ID=Brasy5G499600.1.v1.1 annot-version=v1.1 MASTTNSNSATHTAAPSYHKPPPADLHHQKRRRRQRRICCGCLLVLATILLLGLTILILFLTVLRIRDPTTRLVSTRLAGVAPRLTLPALSLQLNVTLLLALSVHNPNAASFAFPGGGHADLSYRGTHVGDAQIDPGAVPSRGDGDVTMALTLQADRFVSGGGGQMAQLVADVEAGSLPLESSTRIPGKVNVFGIFKRRAVAYSECSFVFGVAELAVRSQECRDHTKL* >Brasy5G239500.1.p pacid=40076261 transcript=Brasy5G239500.1 locus=Brasy5G239500 ID=Brasy5G239500.1.v1.1 annot-version=v1.1 MSTYVAKTTKKKAAPATAGAIEAAEASPQAQQPSFLYTAPPPAMPVPFVWGGSTWPPPPAGGAYDHAAAAAGAGHHPPPPICFPPGCAWYYPVMAAAAGGDQSPTSQDPPPTSTAGDPCSLTLAIDAAAAAKSACQSSGGSKESKAATAAEARRRRKELTKLKQLHAAGGRHGAGAGEHWATGN* >Brasy5G118600.1.p pacid=40076262 transcript=Brasy5G118600.1 locus=Brasy5G118600 ID=Brasy5G118600.1.v1.1 annot-version=v1.1 YLKKIPRSLSLSPPDLSPSPLHTTSASASASPSSTPSTSTSSAPSASASPSLYFPSSLRRYGGPPLLWWPADAAAIGAGSSRPRPVRRRIREGAAGSSTRRRSDEAGAATKEMVAHARVPPAARRRRRPGQLGFFFLCFIRFLFPDVMQPMFLIAMRFLP* >Brasy5G344800.1.p pacid=40076263 transcript=Brasy5G344800.1 locus=Brasy5G344800 ID=Brasy5G344800.1.v1.1 annot-version=v1.1 MAMAAEAGAVMTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLSEAGQRVAGDPSTSFSVFALFDGHNGSGAATYTKKNLLNNVLRATPSGLSRDEWLAVLPRALVAAFVKTDKDLQALAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNRDEVERVTAWGSKVGKLNVIGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTFDMALECSRGYPSDIAANRIVNEATLPRGLRDDTTCIVVDILPPEKLAPSPPTKWQGKFQGKVVLNNMFRRKHPNVSFKIDREYAEPDVVEEIFEDGSPMLSKRLTTGYALQNMFEPSSCAVCQLRLRAHQGISIHANPLQHEKLQGWQGPFLCQSCHEKKEAMEGKRRPIGYASETQRCTWGAPADLLVGEKEIQCSKCETKSIRENKCERKSKMFDYYILLCTCNFL* >Brasy5G344800.2.p pacid=40076264 transcript=Brasy5G344800.2 locus=Brasy5G344800 ID=Brasy5G344800.2.v1.1 annot-version=v1.1 MAMAAEAGAVMTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLSEAGQRVAGDPSTSFSVFALFDGHNGSGAATYTKKNLLNNVLRATPSGLSRDEWLAVLPRALVAAFVKTDKDLQALAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNRDEVERVTAWGSKVGKLNVIGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTFDMALECSRGYPSDIAANRIVNEATLPRGLRDDTTCIVVDILPPEKLAPSPPTKWQGKFQGKVVLNNMFRRKHPNVSFKIDREYAEPDVVEEIFEDGSPMLSKRLTTGYALQNMFEPSSCAVCQLRLRAHQGISIHANPLQHEKLQGWQGPFLCQSCHEKKEAMEGKRRPIDSSTADVFGHVVQA* >Brasy5G344800.3.p pacid=40076265 transcript=Brasy5G344800.3 locus=Brasy5G344800 ID=Brasy5G344800.3.v1.1 annot-version=v1.1 MAMAAEAGAVMTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLSEAGQRVAGDPSTSFSVFALFDGHNGSGAATYTKKNLLNNVLRATPSGLSRDEWLAVLPRALVAAFVKTDKDLQALAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNRDEVERVTAWGSKVGKLNVIGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTFDMALECSRGYPSDIAANRIVNEATLPRGLRDDTTCIVVDILPPEKLAPSPPTKWQGKFQGKVVLNNMFRRKHPNVSFKIDREYAEPDVVEEIFEDGSPMLSKRLTTGYALQNMFEPSSCAVCQLRLRAHQGISIHANPLQHEKLQGWQGPFLCQSCHEKKEAMEGKRRPIDSSTADVFGHVVQA* >Brasy5G344800.4.p pacid=40076266 transcript=Brasy5G344800.4 locus=Brasy5G344800 ID=Brasy5G344800.4.v1.1 annot-version=v1.1 MAMAAEAGAVMTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLSEAGQRVAGDPSTSFSVFALFDGHNGSGAATYTKKNLLNNVLRATPSGLSRDEWLAVLPRALVAAFVKTDKDLQALAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNRDEVERVTAWGSKVGKLNVIGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIAVPHVKQVKEATLPRGLRDDTTCIVVDILPPEKLAPSPPTKWQGKFQGKVVLNNMFRRKHPNVSFKIDREYAEPDVVEEIFEDGSPMLSKRLTTGYALQNMFEPSSCAVCQLRLRAHQGISIHANPLQHEKLQGWQGPFLCQSCHEKKEAMEGKRRPIDSSTADVFGHVVQA* >Brasy5G033600.1.p pacid=40076267 transcript=Brasy5G033600.1 locus=Brasy5G033600 ID=Brasy5G033600.1.v1.1 annot-version=v1.1 MASSATSSRNSRATRAPAPSYSSAGGATSGGPPLSTSNAAESAVSRILSSHPGPGRRLSLDRYNLQEFRYFHDIDDATLDAWLRSPALHNLQEIEYSAFYSSASLRASIFRFCPTLRVVRIDRCKLPDGIVQGLQFPLLKELKLYNVTISEHSLHNMILGCPALDSLEISGGFGFRRARINSLGLRRIDLKSQGQSDNYTLRFQELVIENAPCLKKLLSNHFGGDMLISVVSAPKLEALRCDLWKDDSTKFMFGSMVIQGLQVDNSAMVVRTVRILAADMYPPSLDTVIYLMRCFPCLEKLYIDVMILH* >Brasy5G193100.1.p pacid=40076268 transcript=Brasy5G193100.1 locus=Brasy5G193100 ID=Brasy5G193100.1.v1.1 annot-version=v1.1 MAPASSSAHITIVVLLMLLANSASSPAPMSSSDTDLAALLAFKSQLVDPHGELASNWTIGTSFCRWVGISCSRRRDRVTALSLPGSSLHGPITPHLGEIPPQLGLMERLSYLNLGGNQLTGVIP* >Brasy5G392900.1.p pacid=40076269 transcript=Brasy5G392900.1 locus=Brasy5G392900 ID=Brasy5G392900.1.v1.1 annot-version=v1.1 MSPFIALVAAVAVLLALGHVIITKVRSSSKLPPSPPSLPLVGHLHLLGRLPHRSLRELHARYGSDGGLLLLQLGRRRTLVVSTAAAAADLYKNHDLAFASRPPNAAMDKLTYGSNNVSFAPYGDRWRRGKKMAVVHLLSPRRADSFAPVRAAEVSALVAGIRRSAEAGEPVELRELLFGYGNAVVTRAATGAAGATGERLKQLMGNSAALMAGLQAEDVLPDAAAKVVRWATGLEKKLDGELAAWDEFLSEIVAEHVEKKRGDAAAAAAAAGEDEDFLDVLLRLREEGTAGFELTDDRIKSIVKDMIAAGTETSSISLEWAMAELVGNPRAMTKLQDEVARVTDGKPAVEEGDLSKMEYLKAVVKEVFRLHPPVPLLVPHESTVAAVFQGYEIPPKTALFVNAWAIGRDPAAWGEAAEEFRPERFLASGGTVVDVRGNDYQLIPFGAGRRICPGISFALPAMEIALASLVRHFDWEIPAGTRAAAEGLDMTEEPGLTTPPLVPLRLVVCKRKNFA* >Brasy5G006100.1.p pacid=40076270 transcript=Brasy5G006100.1 locus=Brasy5G006100 ID=Brasy5G006100.1.v1.1 annot-version=v1.1 MASRSDGQKLLDLVIACLRAISHRTKQLFVDLLLRPTCRRSSPAPWSELPEDILALIIASLPDTADLARFSSVCRSWRHSARIRSCYPSSSSVLSTAHSIRRVMELAYSADTPASMAWRRIWSTLLCRRRQQPWIVLPEGFYLDEFDLAFSDCGPDPITAFPDGLTCVGSTDSWLALDYAFPFADADKKRRRTRNHAYLLHNPFSRATVSLHELHTVLDIRNVSDLFRIRKVLMRSGGADGDLVVVLTNNRNLPIIFIQLGRCAVHPRKGEIGGAHPLLVAIVDIAFLGGRLYGITADEDLVSFRFTFSGRRMTGVTHQRVIRSNGGDYDYGDDANDGGGGDDEENNDDVSDDENGDVEEEEDSDVEEDDEDSEEEEEEENIDVEEDNEDSEEEDEDENDNCALGRLMLKTGDAMVGDGIQREPEFEISRYLVAEPCGGKLLMVWREGMTAGFTRKVEVFEADVSAGAWTLLSNGLGGRTLFISQRFSKCVVARGEVEPDAIYFADFESSSGSPASAFPKHREPVRRDTSGSTPPNSHANKFILGTLPSFPNCNSQSRSPSSCSFFFSKLRRRPGLIEGEGDRRRPAARHGAGPLPSPGCSARRRSKVCC* >Brasy5G156500.1.p pacid=40076271 transcript=Brasy5G156500.1 locus=Brasy5G156500 ID=Brasy5G156500.1.v1.1 annot-version=v1.1 MAIADRRRLTGPSLTTSIHRRHGARAPSVPRQGRHREALSQPRYPSSASTATRTSMPSPSPSRSIPAPLRSTRSSACLCLARPPTARRNASWELGPLLTTFDSFNSAPLRTVNIHHLWL* >Brasy5G124300.1.p pacid=40076272 transcript=Brasy5G124300.1 locus=Brasy5G124300 ID=Brasy5G124300.1.v1.1 annot-version=v1.1 MAIVMGVLVVCMAIMVGVLLVCMAMVLGVAVISSVLVVCMAIVMGVLRVCMAMVLGVAVISGMLVVCMVAMVVGVAVFSGALLVGVAVFARVLLFGVDHDFIGWYTASAASCEPQPARREASAAVEPEPCAMNSVAASRTRLAEVLLRLMITTAASMLEPSVPAASVLQPWAAAAGDVVHSIPTAGESESVAASGELERWAAAAGDTENSIPAAGDAENSIPASGELDPWAAAAGDVVLSFSFFISIPLQIITQILNFTLILFYPIPVDRLVKIQVGHEGKQRRKQSGEQNWIFSSW* >Brasy5G256700.1.p pacid=40076273 transcript=Brasy5G256700.1 locus=Brasy5G256700 ID=Brasy5G256700.1.v1.1 annot-version=v1.1 MALKSFTAECEALRNIRHRNLVKIITVCSSIDTCGNDFRAIVYEFMPNGTLEGRLHPDEDDQEEQRHLDLLERVAILLDVAYALDYLHYQGPAPIAHCDVKSSNVLLDVDMIAHVGDFGLARIFIEGSSSPQQSTSSMGFTGTIGYAAPEYGAGNMVSTSGDIYSYGILVLETVTGKRPTDSGSRQGLTLHEYVLLGLDDRVSEVIDMRLSLDFENGVQTMDDSPYRKKIDCIASLLRLGISCSQEIPSSRMSTGDIIKELHSIKVSLSRASRM* >Brasy5G267500.1.p pacid=40076274 transcript=Brasy5G267500.1 locus=Brasy5G267500 ID=Brasy5G267500.1.v1.1 annot-version=v1.1 MAESGESPRAATSAVQYAATAAVAPMPTTGHEVISGVKIPDAQHTANADGGSLLHTGDQMTAVAQLVPSFSLDSSGDQVAASNLLVAGDKMMQASAGSTSTMGNHGSNSIGTMLTGSARTSSPTKVQIHVLVCANKIMIC* >Brasy5G364700.1.p pacid=40076275 transcript=Brasy5G364700.1 locus=Brasy5G364700 ID=Brasy5G364700.1.v1.1 annot-version=v1.1 MVAQWSRLVLLCILFGGGTAARETEEVRGAADVGNGGRKGHCFGGSEGCEVRCPWGKWRRGGVPRTWRGGRESIRCGTGGLEEGMPVGAGGLSKSNMHAWRY* >Brasy5G451700.1.p pacid=40076276 transcript=Brasy5G451700.1 locus=Brasy5G451700 ID=Brasy5G451700.1.v1.1 annot-version=v1.1 MAAVNDARRRRRIVLFPLPFQGHISPMLQLADVLHRRGQLAVTVLHTTHNAPDPAARPDGFDFVAVPDGMPDAVAASDDLLEKILAMNAAMDASGCIRDALASILLSAAAEEQQEEPRRARPVACLIMDMALPAAQKAAASLGLPTVVLHTVSAASTRLYRSYAMLHEKGYLPAQEQELNRPVKELPPLRVSDLFDPSKHPDQESANKILDGNFRTTSNSAGVVINTFEALETPELEALRDELGTGTSTKVFAIGPLHELSAIGAAASSLQEQDRSCISWLDTQAAGSVLYASFGSVIPVHRDEFAEVAWGLAGSGVPFLWVVRRGLVAGSGSASDPDLPDGFERAVEGKGKVVRWAPQREALAHPAVGGFWTHSGWNSTLESVHEGVPMLCRPLFGDQPVNARYVGEAWKNGVLLMEGEKKLERGKIAQAIRVFMDPWELGGDEMRERAEELRRQSVACLEPGGSTYRAVDELVDHILSL* >Brasy5G196800.1.p pacid=40076277 transcript=Brasy5G196800.1 locus=Brasy5G196800 ID=Brasy5G196800.1.v1.1 annot-version=v1.1 MEDITMICCLHEQCNHTEEEVHGKHRLRGLALATCFHHLCQWKHYANKAFLSGLGITEEEFHAMRGLAVGLWMAITPPGIPRWRPETQLLKSEEAK* >Brasy5G016400.1.p pacid=40076278 transcript=Brasy5G016400.1 locus=Brasy5G016400 ID=Brasy5G016400.1.v1.1 annot-version=v1.1 MHLGQTLAPLADGTTVAAAKELPRPWPRISGSVRTESLPRRGGGGARVEPCSVLRPLAALPKNLIHEPCVGWGKKKLLCMHGPWKTFFLRALYYMIAESDS* >Brasy5G406300.1.p pacid=40076279 transcript=Brasy5G406300.1 locus=Brasy5G406300 ID=Brasy5G406300.1.v1.1 annot-version=v1.1 MASSAALSSSIVCLLFVFQGTTTLVSGATTFTFTNRCGGTVWPGVLANAGSPALETTGFALGSGESRSLPAPQGWSGRFWARTGCAFDSGSGGKCATGDCGTGEAECHGAGATPPATLVEFTLAGSGASKQDDYYDVSLVDGYNVPVVVEAAGCPATGCAADLDERCPPELRVTGGSGCRSACEAFGRPEDCCSGPEFGSPGACRPSAYSQVFKAACPRAYSYAYDDATSTFTCSGSGAYSVTFCPRAGATLSSQKSSMDPTMPRPNDLDDSARLAADSWLASLATGETDAATMTAAAAFQAALAAATVVALLVSH* >Brasy5G342300.1.p pacid=40076280 transcript=Brasy5G342300.1 locus=Brasy5G342300 ID=Brasy5G342300.1.v1.1 annot-version=v1.1 MDDHQTQDLVKELVLRLLSDESGGGRRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSVRSRPASLWPLLYLLDSLSSHRRSAAASSCLPYLPTAGPPRAPAAAGGKPASRVPGAPPGGVVLVSKDPDNIREMALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKGRDTYDLPDAIRVPRSTRTLVRKLCELGWLFCKVRGFISDNISRLPSDAATEIGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPTVRMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMFQDFMSRLLQRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAECLWREGYLIQSDMLPTFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRVQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEISWARFSEEMDAAKDLDDLLTGHDKYLTSIVEKSLLGERSQGLLRNLFALFDIILQFRSHADRWFERIYDLQLRGKGKSKTKSKEAGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK* >Brasy5G342300.2.p pacid=40076281 transcript=Brasy5G342300.2 locus=Brasy5G342300 ID=Brasy5G342300.2.v1.1 annot-version=v1.1 MDDHQTQDLVKELVLRLLSDESGGGRRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSVRSRPASLWPLLYLLDSLSSHRRSAAASSCLPYLPTAGPPRAPAAAGGKPASRVPGAPPGGVVLVSKDPDNIREMALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKGRDTYDLPDAIRVPRSTRTLVRKLCELGWLFCKVRGFISDNISRLPSDAATEIGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPTVRMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMFQDFMSRLLQRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAECLWREGYLIQSDMLPTFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRVQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEISWARFSEEMDAAKDLDDLLTGHDKYLTSIVEKSLLGERSQGLLRNLFALFDIILQFRSHADRWFERIYDLQLRGKGKSKTKSKEAGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK* >Brasy5G342300.3.p pacid=40076282 transcript=Brasy5G342300.3 locus=Brasy5G342300 ID=Brasy5G342300.3.v1.1 annot-version=v1.1 MDDHQTQDLVKELVLRLLSDESGGGRRDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSVRSRPASLWPLLYLLDSLSSHRRSAAASSCLPYLPTAGPPRAPAAAGGKPASRVPGAPPGGVVLVSKDPDNIREMALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKGRDTYDLPDAIRVPRSTRTLVRKLCELGWLFCKVRGFISDNISRLPSDAATEIGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPTVRMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMFQDFMSRLLQRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAECLWREGYLIQSDMLPTFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRVQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEISWARFSEEMDAAKDLDDLLTGHDKYLTSIVEKSLLGERSQGLLRNLFALFDIILQFRSHADRWFERIYDLQLRGKGKSKTKSKEAGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK* >Brasy5G030400.1.p pacid=40076283 transcript=Brasy5G030400.1 locus=Brasy5G030400 ID=Brasy5G030400.1.v1.1 annot-version=v1.1 MAMRGQPCLLAVALVAAAWISDASSSSEQAGSSQKSVNCMSCSRTYVGRAHLDDLTEMPDSADLCQQLADDLDVPMLSKLHRQLVGEGSHRRLVYSVKFGNCKDAMVKFFDDYDANLVIIEKLPSGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSSLSDVEIHLDLKPSASMNCNFVVELPLHARYPPLDASGYSMVEFSSPELLLRFRKKGIHSDSCVWALKDLDAAPLEKATWRIPCGDEAHTGFVSSITFLSALVCSMSIVLAASLVS* >Brasy5G372100.1.p pacid=40076284 transcript=Brasy5G372100.1 locus=Brasy5G372100 ID=Brasy5G372100.1.v1.1 annot-version=v1.1 MGKKLKTKIKKAQQQEPLADAESGDVGLQEASNSTEEAAVSASDWEQCGHYSRDSPHLDKVLREIMSSKHVASCEHCRDDSPRKKGGKQQKKKGGGGAAKTQAKVEKTPADDKVLGAVGSINNALVLANCHGNVIRGLPNLGNTCFFNAVLQSLLALDSLRSKMSGPDVPTGALTMSLKKLFVETSASNDAGGALSPKSLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRMQETEARKLLEDASNSGVPTIVDSIFGGQLSSTVSSTECTHGSVKYDQFLDLSLPVPSRRPPSKSVSPPPAKRTNQSIRGRNRNRRYGKITTTRVSPTVVENSKERVETVAECDDSQILGSELGQVVNEKEPEPSEGKLKAASYVEDDISWLDFVADTDETKSAILDSVYSAEAGQIWDSNDVMHGSYDTRDDALPKEQVLSSEHSCENIVDDAACLQPVILLPYKEFCTTTDGIYETVKSSQNPKDVRPAPDFSPKIMHISGGNGEQDDYIGLGDMFNEPEVSSEAKKETTAGDIDVMAWSSNSADDEVDDSNALISVEGCLALYTETELLSKSWHCEHCSSAVACPNTNEGKEGDEIVASANDRKDSEKMMASDDERQGGDKVTTNCSKKENSGQIMTTDGCSDNVEPDLSCSKGGRGNPYAENTSDGNSPDTGNATLQKTGLMFTVDTIEQPDSKIYHQEFSNLNSFPVEFTSSSKQRHDSTKHNDGNTVDIAAEEASAPLSCGDNDSASCNTTSNRMVESGANAEEVVTSSLPSQTQSILPSATDNEDVITRNMGRGKRLKMVGKAHKGQDNRNKQKEDETKVFRAAMRRILISKAPPVLTITLNRFNQDSYGRFKKLKGHVHFKETLDVRPFMDPRSKENDNTAYRLVAVVKHMGTMTGGHYVAYTAEPGGGLIFPSSGRFRGRQRHRCRASPASPRGSSAPRWPGASPNVLALAPGCPSLVFPASDRAPLAAAQTFPPTGDLDHLPRALSLPRDEPLLRPPHLAVAGFLQRRICLCNAAAHLVLPAHLGAIFSAGPCSEQRRRRGWTEHGKRSSRPGSGRGRLEEKGGCDSGRRQPATACVRGQKRGGRVRLWQPATACVAKLSGGRR* >Brasy5G340300.1.p pacid=40076285 transcript=Brasy5G340300.1 locus=Brasy5G340300 ID=Brasy5G340300.1.v1.1 annot-version=v1.1 MVQIVKKLFTILLCVSLSMQRPKSKDKYGQPLSRTRKQNAIP* >Brasy5G016500.1.p pacid=40076286 transcript=Brasy5G016500.1 locus=Brasy5G016500 ID=Brasy5G016500.1.v1.1 annot-version=v1.1 MASAGADDSEFLHKLAKFIQEWEHQEKEWLREMRGWITVLATIAVTIAYQAGLNPPGGFWQDDSHGHTAGTPVLYDRSPRRYLAFYYLNATTLLTSLGIIVLLVNKRASVRGLVCASMFDIMSLVGAFIVGSTSNLTSSIGLIVAACVVFLFLVYSSWDSLVKMMLVHIRFTRNLARLHWFPVPADVVRRSSDVPLDEEQRPAERTEGRFLVPKDVVILPGGRVGT* >Brasy5G264700.1.p pacid=40076287 transcript=Brasy5G264700.1 locus=Brasy5G264700 ID=Brasy5G264700.1.v1.1 annot-version=v1.1 MKLVVWNCRGLGNAPAVWGLLELQKSEVPDVLFLSETKLTAKKMERFKWLLGMTCMVARDCEGRSGGIAVFWRKGLDVELRNFSRFHIDLEIREEDGFKWRFTGMYGEPRAEKRHLTWKLLRILHHQIDLPWLCAGDFNEVLFAHEKEGGKQKSQACMDCFKEALEFCGLHDLGFEGDIFTWRNNNHNWNKYIQQRLDRAVANDAWRQKFGSVRVVNSAHRHSDHRSVIIDTKGREERGGDGSGGRRRGSNFKFEACWLEEEGCRELVKQAWREAAAAGVSPMQESLKKVAAKLSLWSNNVLGSLEKRLKKARREVEIWRKKPVSKEKVAGEEVAKYKVERLEEQVNTFWKQRAHTNWLQKGDRNTSFFHARVKERRRVNRIGRLKRERGRS* >Brasy5G432000.1.p pacid=40076288 transcript=Brasy5G432000.1 locus=Brasy5G432000 ID=Brasy5G432000.1.v1.1 annot-version=v1.1 MGRAPCCDKGSVKKGPWSPEEDRKLKDYIHKHGTGGNWIALPAKAGLKRCGKSCRLRWLNYLRPNIKHGGFSDEEDRVICSLYASIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKLLNIMSHSQIRPSKPPPLAAAANVGTGGADDHPPLALFSQLLLDQHYHSSSLMFGIGGDHHQHYQQQQMAPSSSVFHNDFDHLVSRSSGSGSDGLEQGSIFAGLQLQQQQEELPADNGMIASGLMMPQLDHHPHHHRLYGSSYEEDQHEMKPLVIAPSTGSFLYAATATPGTRYQY* >Brasy5G124400.1.p pacid=40076289 transcript=Brasy5G124400.1 locus=Brasy5G124400 ID=Brasy5G124400.1.v1.1 annot-version=v1.1 MMLGAAGSSHTGTRGGQIWPSPVRSWPGGAGTGALTERRLQEHPDSPGVRRRRRPELPRPE* >Brasy5G080500.1.p pacid=40076290 transcript=Brasy5G080500.1 locus=Brasy5G080500 ID=Brasy5G080500.1.v1.1 annot-version=v1.1 VAVLSSDLPPSLQFSSCRNKMTPIPAVDSFSFSDIHPPSNTESDPTANLPRIRTSGNTSPRAEHSGNLNLNPARARNTPRTPHTNQRAKRQRLHPLHLLSTHSYESISLLCFHLHLGWEGAELPQPTGADFTELNHHIVEPIDAPMNRADRKRYRDRDRYLQMTPSQREAYLQRNREYKRAKRDSNASGSSAQSTTGQTNVSYNDNIDMLMESALCRSTRMFLKYETRFGKIYTVRNYCLNGLLIIIV* >Brasy5G480200.1.p pacid=40076291 transcript=Brasy5G480200.1 locus=Brasy5G480200 ID=Brasy5G480200.1.v1.1 annot-version=v1.1 MASRQAVLLLAVAAIAVSFFSAPASAEVFMVGGDPGWTLPYPADWTEGKTFAVGDSLMFMYSPGKHTVVEVGGPAFRACNVSDSNAIGSWATGRDTVALDKPGKRWFVCGVQDHCAKGMKLVVNVGAPGPDAPPKSSASFVGGAVLSAAAAAVVAAAALMF* >Brasy5G393200.1.p pacid=40076292 transcript=Brasy5G393200.1 locus=Brasy5G393200 ID=Brasy5G393200.1.v1.1 annot-version=v1.1 MGSYGDYGVELTELTLGPPGARARRARRARKNNGGEAEAAAATSPAFVKVSMDGTPYLRKVDVAAYADYDELLEELNAMFHCCSIGRMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFACSCKRMRVMRACEARGLSSTA* >Brasy5G351000.1.p pacid=40076293 transcript=Brasy5G351000.1 locus=Brasy5G351000 ID=Brasy5G351000.1.v1.1 annot-version=v1.1 MKQMASNGSMASQFFPPNFLLQMQQPFPQQPQQLQDPNEHHHLLPAHLNPFLQDFNAMAPPPPMLLGSNDELAADGGAASDEDEEGGSALENPKKRRLSVEQVRTLEKNFEVGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQFDAARAENDALLAHNKKLQAEIVALKGGGGGSRQQEAASELINLNVKETEASCSEDSSEINLHISRPPPPAESPATTNVQFYASLGRPGLDIDNLLHSHSSPSPPLKMELGTGNAAPANFGSLLCGAVDEPPQFWPWAADGHPQFN* >Brasy5G351000.2.p pacid=40076294 transcript=Brasy5G351000.2 locus=Brasy5G351000 ID=Brasy5G351000.2.v1.1 annot-version=v1.1 MKQMASNGSMASQFFPPNFLLQMQQPFPQQPQQLQDPNEHHHLLPAHLNPFLQDFNAMAPPPPMLLGSNDELAADGGAASDEDEEGGSALENPKKRRLSVEQVRTLEKNFEVGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQFDAARAENDALLAHNKKLQAEIVALKGGGGGSRQQEAASELINLNVKETEASCSEDSSEINLHISRPPPPAESPATTNVQFYASLGRPGLDIDNLLHSHSSPSPPLKMELGTGNAAPANFGSLLCGAVDEPPQFWPWAADGHPQFN* >Brasy5G021400.1.p pacid=40076295 transcript=Brasy5G021400.1 locus=Brasy5G021400 ID=Brasy5G021400.1.v1.1 annot-version=v1.1 MFMEQQRAESPTPADGYNNKLEELELPTLDLEEESGLTEALAAACRDLGVFRLANHGIPADLSDRLFGLTRRLLEEPDAEKKAKLPGYFSGTPALSLRVKELNWVEGFHVAPAATDAGADPTAADDDTGGEFGSFRTVAAEYVSHMARIARKLFDAMDLGLDASQRAAYLAEHDGTFRAYRYPACPAGGHIGMEAHTDSSVLSILNQQDLQVSSLQVLLRPQGSWRSVRPVGGTLVVNIGDMMQAITGDAYRSVEHRVVPLPDTDRMSLCYFAFPQDDAIISGCGEDGGEKGSCYRAFSYREFREQVQADIKATGAKVGLARFHRGASAPELASAIGTEAGL* >Brasy5G503300.1.p pacid=40076296 transcript=Brasy5G503300.1 locus=Brasy5G503300 ID=Brasy5G503300.1.v1.1 annot-version=v1.1 MIRMRSLTQPVRNAHHVVNRYLNAHHVLNRFLDPHPQRELPAVEEPRLRVAKATGGVPVAGLFTIKDGLSNLLPVVSFYTPVPRGEHHGPPSSDLGEERAEEEQKVAEQVHQLLDKESNAFKEGDFVNTDISHATDLETRSAPNEESVKGTTSKDDAGNSTTSNSNVEDAAPLWEESDSEKGTVQSVIICSSKGHPPR* >Brasy5G103200.1.p pacid=40076297 transcript=Brasy5G103200.1 locus=Brasy5G103200 ID=Brasy5G103200.1.v1.1 annot-version=v1.1 MAGAPQLHGLLCLPPPGPVRPSSARPPPCRARPPLSSLRILEPPPPVPKKRLSSATAAKSKAAAAAVLEDENGAREEAHEEQEEEEGYVATVGSGDPAGAPAHHLAAARAGLGDPVFYLLTFVAITTSLAFTGMVAVAIPTMLAMRRAANSFTQLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSANIVQAVEDGIGQMRNIARQQTTSMIQERANLRTIPTAGPAKKSNESLNPQRRRSN* >Brasy5G202500.1.p pacid=40076298 transcript=Brasy5G202500.1 locus=Brasy5G202500 ID=Brasy5G202500.1.v1.1 annot-version=v1.1 MEALSLAPAEGGSNAHVCVPLSFLLLRCARGARTNAEPAENKGGGVNCAAALAGVRPVSRGGPQYHPLHFREKSLRVKSTTCRRQQVVVAKVEDGARATGQPLMAHGSRGRQASKPMPVL* >Brasy5G440600.1.p pacid=40076299 transcript=Brasy5G440600.1 locus=Brasy5G440600 ID=Brasy5G440600.1.v1.1 annot-version=v1.1 MANLYQIAVFLVCLLLLLPSGFLGHAAALMDSPLPGPPFRLGSVIAVRLGNTRSCVSGYANNHAVFRFCIPSWVAFSDDGAALVGEAAKDHAAANPRAAVFGFKRLLGKKFGHEDVQRAAESFPYKIVYNETSGTGTGIQVAKQLLHVEDVAAMVLAELKRRAEAFLGHKVRYAVLTVPNYFRDASRLAAFDAAKSAGLEPVRIIDEPTAAALAHGLHENKTTKNVLVLHVGGGTAEATLLVHEDGVFEAIGTWHDARLGGDGFDRRTADHFVELIREKHGVDLSNDTAKLWKLRAECEHAKKELSDRYVAHLDVDSVVDASVDLSEPLTRAKFEELNHDLFNRIIAVVDEAMVGSELAEKKELVDEILLVGGSSRMPRIQELISDYFGGREARIATTAVEPDEAVVHGGALLSHPTAGGYECLGGERRQFGAATDFCRQELGWSNQNG* >Brasy5G005800.1.p pacid=40076300 transcript=Brasy5G005800.1 locus=Brasy5G005800 ID=Brasy5G005800.1.v1.1 annot-version=v1.1 MAAFLFFLLVLALATSNHPAVAATGSNDDPPNLIIVNLQGHGTDKSSVALQKHDISIAGFTNSSGHWHTFLPGHDHLVPPPTPLPFGSSYSDLIGGLANLPGVPLGQEAMLQAIRVLTAYDPATTADNVEPLKRALASITVMLCEAQRLQPISDTVRRGWKIGAQVAAEHLPYIDHWDTMSYEIIHANRTGKWNGPFTEMLEKSANIHSQEEALAVVKLLVNPSLEEVLKAHAIKIKIEDVQPEILELVNSIRRMFGKYQEEESEI* >Brasy5G378100.1.p pacid=40076301 transcript=Brasy5G378100.1 locus=Brasy5G378100 ID=Brasy5G378100.1.v1.1 annot-version=v1.1 MKSSLRKLRGFALQRQEQRVDRHRDHSTAAKVAADELLAAAKDMADMRSCYDNLLAVAAAIANSSYEFSEALQEMGTCLLKRVTPNKEGINDKVLLLLGKSQFELRKLVDSYRVHVLSTITAPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKEKGRSKNSKGDSASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRIAAEQQHIDHQFSALEEDCSVEDENDDDYNDSHDGELSFDYGESKEGTEAGHASRSPSEEFFDRSERQRPVSQSAPLFPEKKLEAAERVKELRRSATRKLNTYVLPTPNDVQATSHTVSGNPMSGSHVETKGAFHSSPLNQSTNMGDLRDNNKLPSPTRLSNAQSVLKESNTNTAEIKTMLPASDLALPGYHELKTSSDNKKVKRGSFSGPIPPRSRSTENIDVISAPPRHSSAHQPTVHVRLSPSSSPPPISSPKIKELHELPRPPTSASKTTAFPSLVAHSAPLVPNSAPLAHRGHLGHDHFNFRARQTPPSAPQTASPLPTPPGPIARSFSIPSRGMRTGISDGKETEDHHDKGAARMSLSSLPSAQTFLDDRQPSSAAAESISKV* >Brasy5G378100.2.p pacid=40076302 transcript=Brasy5G378100.2 locus=Brasy5G378100 ID=Brasy5G378100.2.v1.1 annot-version=v1.1 MRVHVLSTITAPSQSLLNELQTVEEMKRQCDEKRELFEFMLNAQKEKGRSKNSKGDSASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRIAAEQQHIDHQFSALEEDCSVEDENDDDYNDSHDGELSFDYGESKEGTEAGHASRSPSEEFFDRSERQRPVSQSAPLFPEKKLEAAERVKELRRSATRKLNTYVLPTPNDVQATSHTVSGNPMSGSHVETKGAFHSSPLNQSTNMGDLRDNNKLPSPTRLSNAQSVLKESNTNTAEIKTMLPASDLALPGYHELKTSSDNKKVKRGSFSGPIPPRSRSTENIDVISAPPRHSSAHQPTVHVRLSPSSSPPPISSPKIKELHELPRPPTSASKTTAFPSLVAHSAPLVPNSAPLAHRGHLGHDHFNFRARQTPPSAPQTASPLPTPPGPIARSFSIPSRGMRTGISDGKETEDHHDKGAARMSLSSLPSAQTFLDDRQPSSAAAESISKV* >Brasy5G378100.3.p pacid=40076303 transcript=Brasy5G378100.3 locus=Brasy5G378100 ID=Brasy5G378100.3.v1.1 annot-version=v1.1 MEMKRQCDEKRELFEFMLNAQKEKGRSKNSKGDSASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRIAAEQQHIDHQFSALEEDCSVEDENDDDYNDSHDGELSFDYGESKEGTEAGHASRSPSEEFFDRSERQRPVSQSAPLFPEKKLEAAERVKELRRSATRKLNTYVLPTPNDVQATSHTVSGNPMSGSHVETKGAFHSSPLNQSTNMGDLRDNNKLPSPTRLSNAQSVLKESNTNTAEIKTMLPASDLALPGYHELKTSSDNKKVKRGSFSGPIPPRSRSTENIDVISAPPRHSSAHQPTVHVRLSPSSSPPPISSPKIKELHELPRPPTSASKTTAFPSLVAHSAPLVPNSAPLAHRGHLGHDHFNFRARQTPPSAPQTASPLPTPPGPIARSFSIPSRGMRTGISDGKETEDHHDKGAARMSLSSLPSAQTFLDDRQPSSAAAESISKV* >Brasy5G204100.1.p pacid=40076304 transcript=Brasy5G204100.1 locus=Brasy5G204100 ID=Brasy5G204100.1.v1.1 annot-version=v1.1 MVDPVGMVTKIVEVALRIKEAVDIVHQNKEECIQIKKRVNIVRRTLSSRCENEAELMKDPAVRAALEALSEVLGEAFELVMDCQEETNVACLFCKAGKLSQQLCKVDKRISKINLDAGFAIMCYSVHKQNQDKFAAHPPASNPKGYLAGPVPLERMQADCLPQDACNTGSPVPLQEMETYCPPQEHFHDLPLSVAYGHTVDRPCNLLHQIAEVAPKIEMAVETVRKRKEECAEIGRCVNKFNSFLRRFANKEIMEDMEMSDALEKLLETLHHAHKLVTDCQRRNIWPSGKLSKQLRDVLDEMMLDLDDMISIRVRRNNEVSKGFTKFRLFELETATNKFSEENMITQDRLANVYKGVLPDRRKVAIRKFHDRQSEDQKQHIEYVESGVISVKNDVYSFGVLLLDTISGTSTCGEDSIRWAQNRLEGQYLKMSFDPSIRNESQADAVERCICIGQLCVAHEREERPTMAAVVEMLRVSNDKFPTPSNSGSRNAARLLGPI* >Brasy5G515700.1.p pacid=40076305 transcript=Brasy5G515700.1 locus=Brasy5G515700 ID=Brasy5G515700.1.v1.1 annot-version=v1.1 MESTPAGNGPRTGHGHRGLRGRLAGIFSSSPSPNLQCSDQVAKLRDEVQKQRDLKETYKARLESTQEYLRFCLEVAQEHGFLHLISNSSNDESPRQDDETDAATAARADGEDERAKADAEAPPNDPHLAATRDLAVQHGWSVSPDEIKLHEMIGQGSTADIHRATWRGLDVAVKWVRPEFFFSRYGNGMSGEAFFAQEADLLSRQRHPHVLRLMGACLRPPDGCFLVTELLSGATLGEWLHGAKDRRRLSRRDDHRPTPTLAERVSRALEIALAMRYLHEQTPRVLHRDLKPSNVLLDGDSRVRVADFGHARFLPDGKAALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGVILNELVTGEHPYVDTSYGPSKIALEVADGKLRPRLPEDDANSGALVDLICRTWHAEPLNRPSFDTITSALREIKEQLETSQS* >Brasy5G446600.1.p pacid=40076306 transcript=Brasy5G446600.1 locus=Brasy5G446600 ID=Brasy5G446600.1.v1.1 annot-version=v1.1 MGQPSKEPCKKEACDIQACLSKNLFDSKRCLRVIQSLKYCCEQCEYKSTHCGSLTDLLKNISK* >Brasy5G332900.1.p pacid=40076307 transcript=Brasy5G332900.1 locus=Brasy5G332900 ID=Brasy5G332900.1.v1.1 annot-version=v1.1 MDDGGDVRLARKKGKRKMAPSAPAAEPEGGGASSRARGRGRDRFQSLWRDYHDLLQETEAKKRRLESMNRRNLGLLAQVKFLRKKYSSFVKDDPQQTHHRLKHKKARHIPSPLGSNSASVFAYPGETGDGPSTSKNTNLDLNQDSAMDDERADCQGYQHHSDVEELNQTGVNEDMMTTDVNLLACRDTGNQPVMLRGQ* >Brasy5G348500.1.p pacid=40076308 transcript=Brasy5G348500.1 locus=Brasy5G348500 ID=Brasy5G348500.1.v1.1 annot-version=v1.1 MGQPSTSSPAPAPAPRRSPKVRLRRQRLESLLEELKRTLDGLGDADLVDSLSEVATEAPDYGDSEGGGDRDLAPSLASDTNHETDQMFDALKSRFESPEFVQKIDEIQKSVYQNGAVELDTSWDIIKAVDLWEDNDDNGYILVKPEDAVDGIAFFVATYLLTLTKSKELSPDRLQKALKKTFSAEKRKGKLRKAWDGTKVIYNAASWGATAIGIYNNQAILKVASTAFWTSCRVVSKFL* >Brasy5G203900.1.p pacid=40076309 transcript=Brasy5G203900.1 locus=Brasy5G203900 ID=Brasy5G203900.1.v1.1 annot-version=v1.1 MARSLPQVWNEWGIQILVLLSFAWQVLLLASAGIRRRGPPAPLRLLLWLMYLLADSTAIYALGHLSFTSKSQEHKLVAFWAPFLLVHLGGPDNITAYSLEDNRLWLRHLFTLSVQVMGAAFVIYKYVVGTGTLLLIAGTLMFVVGMLKYGERTWALKCGNMDSIRSTVDKSMDDDYRSAFSAGLRFPFPDGSDNHTDEEFLLAAHSLFHMCKSLFADLSIMLSGFKLCSVGVHTKKSKSDLYKLVELELSLMYDILYTKAAVIHTWYGCCIRVVSLLGTVAAVLLFQFSDKLGYSVADVVITYILLVGALVLEFISSLKAAGSTWTCAMLYCMGSGWLLKVLKSVRLYARAASKRGWSGSIGQFSMFHLCTRDIMELGSRVAPWIWLEDKWNTMHFAGTTTLSPDLKELLLEKLPKMDVRESRGIQILETRKFDKDLAEWSHWSVTTSFDESILVWHIATVVYLCESKRKRDEAAAKRAEAEQARKDAEAAQAEKDVETKTARATEEAIAEKARKDAEAEKARKEAEAEKAGKEAIKHAGAIQVLSNYMMFLLVSKPEMLPGPIRQSQYVHSCNILDQLWSQGSTNQAETKLAQPSSENPPVGSTSQGESRVTQPCENPLVVLNKWFRRAWEGVRKLFCQDGPRGSITPQIQTLSRKMLRTFRDITAGENLATQVKSLGAPIDGAACIYGLTLAEELLRLESNKPDLLEAMFEVWVQMLCYAAHHCSRDAHARQLNSGGEFITVVWLLTQHILMHPQLARQSKKQSGANPGGVVADIV* >Brasy5G094000.1.p pacid=40076310 transcript=Brasy5G094000.1 locus=Brasy5G094000 ID=Brasy5G094000.1.v1.1 annot-version=v1.1 MARLSPSPSGSSRRLSELLEEQQEPFFLDLYLLAKGCSPKLLDSSAGASSSTCWPRNSRRTSQALKRTAAKNCKKTPASGVLRLLVSKILILRGTTAPRRKKLQTTSAIDCGRFDGEKTPSRLKKALEVSMDEAEEEEDDDDSSKQLSPVSVLEQRTFEFEQAPPAKKAIVIFRDLLDAAANKPASLRSFTTTAAAPAPAPAPTRATGRATSTIQLEEMFEAELAKAHELIAADMAMAMARLRDDVRSERLDVAAELAAAVLEAMTEEIAAELLGMDDHDDEAVEDRKRCLVLKC* >Brasy5G115300.1.p pacid=40076311 transcript=Brasy5G115300.1 locus=Brasy5G115300 ID=Brasy5G115300.1.v1.1 annot-version=v1.1 MSTAKTSWPEVVGWPAAQAVTQVGTDRPDVAIEVLPTGTSVAPGFNSERVRVFFDGTGSVAATPVVG* >Brasy5G268300.1.p pacid=40076312 transcript=Brasy5G268300.1 locus=Brasy5G268300 ID=Brasy5G268300.1.v1.1 annot-version=v1.1 MRQFSSLARALRRSTAPKPQTPSAGPKPHKRSSAPKPKPRPSSSPPSPVPDTPVPVPVPGPPAPAPMPTRPWGEALDAAQRAFCLPLAGRVLAAAGTGNAAVSPAGVHAALSLAAAGARGATRRQMLATLGCGGGGRGAAADAANVASRVVKRVLKDRAKSGGPRLAFACGVWADASTKLSTEFVEAAGGLYGSVAKTADFKDKPEDAAEQINSWVNKSTKQTITSLLPDGLIDQNTGLVLGSALYFRGRWLDKTDTGKTAEEKFYCLDGTSVVVPFVEYDRTRLFAVHDGFKVIKLPYKQGNNERKFSMYIFLPDAHDGLFELTKKIFSEPAFLEQHLPTEKCHVGIGVPKFTISFQIDMKDFLKDMTLELPFLRDADFKDMVSEDDSGEPLFVSDVLHKVILEVNDNEIEEASMKKTIGKPLPRDQFTADHPFFFVIREEVSSAVVFMGHVLDPSSQA* >Brasy5G268300.2.p pacid=40076313 transcript=Brasy5G268300.2 locus=Brasy5G268300 ID=Brasy5G268300.2.v1.1 annot-version=v1.1 MRQFSSLARALRRSTAPKPQTPSAGPKPHKRSSAPKPKPRPSSSPPSPVPDTPVPVPVPGPPAPAPMPTRPWGEALDAAQRAFCLPLAGRVLAAAGTGNAAVSPAGVHAALSLAAAGARGATRRQMLATLGCGGGGRGAAADAANVASRVVKRVLKDRAKSGGPRLAFACGVWADASTKLSTEFVEAAGGLYGSVAKTADFKDKPEDAAEQINSWVNKSTKQTITSLLPDGLIDQNTGLVLGSALYFRGRWLDKTDTGKTAEEKFYCLDGTSVVVPFVEYDRTRLFAVHDGFKVIKLPYKQGNNERKFSMYIFLPDAHDGLFELTKKIFSEPAFLEQHLPTEKCHVGIGVPKFTISFQIDMKDFLKDMTLELPFLRDADFKDMVSEDDSGEPLFVSDVLHKVILEVNDNEIEEASMKKTIGKPLPRDQFTADHPFFFVIREEVSSAVVFMGHVLDPSSQA* >Brasy5G083800.1.p pacid=40076314 transcript=Brasy5G083800.1 locus=Brasy5G083800 ID=Brasy5G083800.1.v1.1 annot-version=v1.1 MKFGRGWMKLQKFVFEMKDGFWDAFSQGRKGFDPSYDAHNPSTYDFCCESLKDLRLAHITFGPEIGLRVLLGKCKALEKLWLEYVNGLNDNDMIALSRSCSNLRSISLWLAPQFYDYSCRTSFTDDSLKALAISCPMLQAVELTFFDCDPCWPSEIGFTLEGLLVLIQSCPVSVLVLNGANFFNDEGMEVISSAPFLEALELVDCVAITDTGMRFIACTPCLTNLTLRLCNNVTDSGVAELGHSHKLQCLIIEGCEGISQKAVQGAAKSVHYSIKSASRGELKRVSKECRG* >Brasy5G483000.1.p pacid=40076315 transcript=Brasy5G483000.1 locus=Brasy5G483000 ID=Brasy5G483000.1.v1.1 annot-version=v1.1 MDPADEMFQLCRFDLSPLEAVTYYLPRLLSGETLHGAERLIHRADCIYGDLEPKDLAAAFPPAPKAERTGARFFFTLCKRQKGSRARSARSAGAGTWTAQRTLDVVDHAGVKKGEVKHLSFKKDKLSTGWVMEEYHCLLPEAVVEDGEMVLCKIHLSPSAQKARKGSAAGSQEQEDQPAPMPVVATPKRPAPMASDDGPPCAKRARVDAQDEPAVEAAADYMDGRFTCTMDELLGGATDEDQEEEAATLPAGEAEQQTVDFDFELPVLDDDALSEIMLSLDDAPMSLPSLDGDFVRLPAAVEAEQLRVVDLPVASDEDFDFELPTQEELNAMLSNLGDWPLSLAPDGDLLRFPVLPAAVL* >Brasy5G424700.1.p pacid=40076316 transcript=Brasy5G424700.1 locus=Brasy5G424700 ID=Brasy5G424700.1.v1.1 annot-version=v1.1 MLCCRSGGDAAMAAAAATGFRLPRRWASSPRLAQQTRSRRRWCSAAMDQAETEPNKEKKTATVKSKAGDELEVCRVVNGMWQVSGASWGRAAPAAAVDAMLRYADGGLATFDMADIYGPAEDLYGMFINRVRRERPPEMLEEIKGLTKWVPPPVKMTRSYVEDNINKSRKRMDVAALDMLQFHWWDYSNPGYLDALKHMTDLKEEGKIKTIALTNFDTERLQIILENGIPIVSNQVQHSIVDMRPQKKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMIDAWGGWSLFQALLQTLKTVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIRDTNAILSLELDEEDMNSITEASKRGRNLMDVIGDCGDEYRA* >Brasy5G424700.2.p pacid=40076317 transcript=Brasy5G424700.2 locus=Brasy5G424700 ID=Brasy5G424700.2.v1.1 annot-version=v1.1 MSLSVAHAISPALAAPPPLPRRRLCVRPARCAGFVGPAVESAAPGARAATVSSSRGGDSLAICRVLNGMWQTSGGWGRIDRADAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPEMLEEIKGLTKWVPPPVKMTRSYVEDNINKSRKRMDVAALDMLQFHWWDYSNPGYLDALKHMTDLKEEGKIKTIALTNFDTERLQIILENGIPIVSNQVQHSIVDMRPQKKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMIDAWGGWSLFQALLQTLKTVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIRDTNAILSLELDEEDMNSITEASKRGRNLMDVIGDCGDEYRA* >Brasy5G098500.1.p pacid=40076318 transcript=Brasy5G098500.1 locus=Brasy5G098500 ID=Brasy5G098500.1.v1.1 annot-version=v1.1 MATRVSNSSAAAMYSLLLLIVLVLSGSSASEAIRTHGRGGYVSVVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDIDSSVDEQGRATYEVAHLVTDADNSLRAGDPFPGSTASTAITDVDRYAAAKEIYLGERCQVSDSPAPPWQFWMVMLKNGNLDTAAAVCPEDGRPARPFPQTSRFPCPGGPGECMNQPLVFHNRTALSDGRRLRGGLFGTYELDAATGFGSNANVSYYSVTWEKQIEPTGNAGSGWAFHHKLRTSPMYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPNFKVRLTLEVKKGGGPSSQFYLLDMGSCWKNDGGPCDGDTATDVTRYSEMIINPETPSWCGPARTGQCPPWHTFRNGTRVHRTDRTRFPYAAYHVYCSPGNARGRAEQPTTYCDAYSNPQPQEILQIVPHPVWGEFGYPTGRGQGWVGDPRAWELDAGALSQALYFYQDPGTEPARRRWTSLDVGTEIYVSEHAEAEWTLSGFDVIVLEDGRRVIHHELLVAHQSRS* >Brasy5G362100.1.p pacid=40076319 transcript=Brasy5G362100.1 locus=Brasy5G362100 ID=Brasy5G362100.1.v1.1 annot-version=v1.1 MEQPPGVDADGYRIRPLELADLSKGFCDLLAQLSPCAALTEDAFRSRFAELAALGANHLVLVAEDAATGRLAAAGAVLVERKFIRRCGSVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKVILNCTPELMGFYAKCGFVEKNVQMGLYF* >Brasy5G262900.1.p pacid=40076320 transcript=Brasy5G262900.1 locus=Brasy5G262900 ID=Brasy5G262900.1.v1.1 annot-version=v1.1 MSAAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILALYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHTAYQELRTAGVDFPPREENTVPLFTPPQTQPLRQPHLFPPGQSYEDVAIQASLQSSAPAAAALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYHARVMDLVSDTGDESLLFQALGLNDELQRVLQRHDDIAKGLPPNIPVHVAGNVNQGAPPPRPAGSSFSPLLNVHHEDDEPEDDFSVLSRRSARDGTAAQGSLSSVARNERPYPSPLLPPPPSTKRPVYTEASSVDYLSGDSYKSEKVPDDFVNPTAPANIPAPSYSKAETNRQPSYDNRSESVSDDFINPTAVPSFSMPSRPVSEPNSSSVNQHESLPDDDFINPTALPGFSSSSTSDDLPKAPWEAQAPVSLPPPPARYGQRQQFFEQNHVFPGGNNGGGYDELLTQTGNLSLNQRNTENEKSASASTAPRQPKPEDSLFKDLVDFAKKNPSSPTKPANSRRTR* >Brasy5G262900.2.p pacid=40076321 transcript=Brasy5G262900.2 locus=Brasy5G262900 ID=Brasy5G262900.2.v1.1 annot-version=v1.1 MVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHTAYQELRTAGVDFPPREENTVPLFTPPQTQPLRQPHLFPPGQSYEDVAIQASLQSSAPAAAALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYHARVMDLVSDTGDESLLFQALGLNDELQRVLQRHDDIAKGLPPNIPVHVAGNVNQGAPPPRPAGSSFSPLLNVHHEDDEPEDDFSVLSRRSARDGTAAQGSLSSVARNERPYPSPLLPPPPSTKRPVYTEASSVDYLSGDSYKSEKVPDDFVNPTAPANIPAPSYSKAETNRQPSYDNRSESVSDDFINPTAVPSFSMPSRPVSEPNSSSVNQHESLPDDDFINPTALPGFSSSSTSDDLPKAPWEAQAPVSLPPPPARYGQRQQFFEQNHVFPGGNNGGGYDELLTQTGNLSLNQRNTENEKSASASTAPRQPKPEDSLFKDLVDFAKKNPSSPTKPANSRRTR* >Brasy5G126800.1.p pacid=40076322 transcript=Brasy5G126800.1 locus=Brasy5G126800 ID=Brasy5G126800.1.v1.1 annot-version=v1.1 MSNADWVADCTRRRVENAARRGRERKAKERNADLARQMEAQRVTASSQMAGMTAPLPPTSQHWSSGSQGSSSSSPSPSGISPVSPHMPDENHGNATPSLSRFLPDYPDTDPLGGFKTPSPQIPSPAPNPFGGMSQGDSIMSDMINVGSQHTPYTYTYTQEEGQEEEDADREEWAGGTEEPAVAEPRGKKKAAAEKKKAGGGGRGPKWTSKEDECLTEAWKVVSMDPFTGANQTGDTYWRRVKTAYDERRDIDREFAMLTHDRNESALSHRWGMIQQAGSSAHDQMVAMFTAFREDNDGIDFKFIHVFARI* >Brasy5G180000.1.p pacid=40076323 transcript=Brasy5G180000.1 locus=Brasy5G180000 ID=Brasy5G180000.1.v1.1 annot-version=v1.1 MKVTGKTPDKVKKIYIQGSQDSATFHFDDSQGPPKDGLWLHLQASSAAGDATTLPGAHETAVSFSPPPRQVPPRASNNPRKRKETVPDLAVVDDDDDFVQVPPQPPASSFKPPKTTVRKLKGLESSKLLVFLMDRLDPDTMSLDIGNGKLLKITRHSIQCVLGLPNRGEHVVAPDKHKQKEALSNLKKNLGIEPGADVKVHDLIFWIKKGGTDPFTIRCFLMILLGKLLVPGTSDFITGKEAALTENMAALCSGNLAGVVYDDIVIAAKLWRSKKACMNPSMHGCLLFPLVYYLDNLVGKQSTTPVPTPLKQGHQPTPTPRIAHITKQQLLDLIEEDKQVVDGVETFGALPDQLAAKEALRTCDEKVGNLMSRISSAQMTAIQKIRGILRDGQSRMTPSPPADGHYMEQIFGTPDHHESPYNSHHESPYDSPHEVLTNFVCKTCYELATVEEGNEQPGLCTQVDADAAVQMASPRTRRISPSMRNIVSDPSGRGIHTEVRSLQSI* >Brasy5G386200.1.p pacid=40076324 transcript=Brasy5G386200.1 locus=Brasy5G386200 ID=Brasy5G386200.1.v1.1 annot-version=v1.1 MERPVQLVLDASLLLEPSDPVGSGGTDEAPPALRRGAEALLRRLRYSNLGVAICHPEEMPTNASGFLQKTAAIYSFGYILLPAPTGNHSLNELMLEWSRTSSCFYATSIVDEDLFSELQNNGWRVIAVDDQCGTNGSRVVNVGKIQELLLTLTALIKKEIASSSVLTVGYAMKQSREEDFAKRGAFPLYPSKNGLIFVPLSFEIPLASQLQEVDIALHKMTDEIVNIDPNCSIDFPKGISFSGGMSEIIRFVEEHPSFCIIDPFKNISPLLDRLQIQEILVKLQEFCIEGRPKLRAPQSLKVKNFDAVDLQKRLAEANLLFPIIVKPQVACGVSDAHNMALVFQIGEFRNLSVPLPAILQEYIDHGSKIFKFYVIGDKVFHAIKNSMPNASSLKLSSGDEPLTFNSLKTLPVATKEQVLQTRVEDGKSLDINLVEEAAKLLKESLGLTIFGFDVVVQEGSGDHVIVDLNYLPSFKEVPDSEAMPAFWDAIRQSYESKKGKMQI* >Brasy5G152600.1.p pacid=40076325 transcript=Brasy5G152600.1 locus=Brasy5G152600 ID=Brasy5G152600.1.v1.1 annot-version=v1.1 MGVSLVSGARPPPAGDGSPRGEGLKVPTEPRPGFYDNGAASELAGKESGEATTLVHSAKGSSLEADEKLVGEAEATGVGVISTGAGGGGGGVITAGANRRWGRGPVAGRGGGGGSDNRGARTEADGGGGGGGRTESRHDRRSRSASSPASSRRAAEGPLRAGVEEASANARTGLLPVRRVIVPQVRLERRVRRRFLRARGGEATLSAGRSAALDDRPILDQINVDQSIEVGTFRRRGDQATWRGVAVHLLDTSGALGEDGEGMLAGDAIQAPAPHPAAEELGVVAGVGAAVETVDEDVLAEDGFCPFDGPEMEWHLLNAYPHVQGVLLDRAVLALAPRQQVEGGAVDDDAAEADGAVPVGGAVEVDEEILREVLGDAQSMRRDTQGPFDLLADVNRVGRVQRGGRLDEDAAAAKGEDLVLDVPGRHDDGVGEAGSAGSRLGDDTAKHGGGRGWGRKAEPLVDGQPRGLRVAGPAGLAAGRLRRQHSMQRGGSLQSARRWSEQRQRKQRPLKRLKKASRPARLTGAGRGAGLQQAAWAGANAHPEEGRHSVFDCAAEAPRSMDCHSRQEAPREETGWCRPQWTAGEDGPRSGGPKQAAGWPRHGGSPQARQRARSDYHGARASKNQWTLKRIERGRATTRRIQRRSRNGSGHGVQSGSRTPWESRRKTRRGGMGWPPRPEWPVARSRRSDPGFGCDAAFLDFPLLSFLIAGTAVVIL* >Brasy5G520600.1.p pacid=40076326 transcript=Brasy5G520600.1 locus=Brasy5G520600 ID=Brasy5G520600.1.v1.1 annot-version=v1.1 MASPSHIPSAAGSDSPPLPMETGDKENICHDHENSTVRAEGTVSTKRKKKPGGFNLRKSIAWNPAFFTEEGVLDNTELSVLSGSQMKPSRSPGSGVVATASPFCRFGRSGSASVLKEVAENSRGKLLVKYRTAENKGRKLFSPAKTSDSDELAGTQDKRSARSIQKCIPRSPAGYATKKMPNLSATAQILRTPKKSQPSISVVPTSASSSRNVSKLSKMLPPVKTERRPRVDGLQLKSKIKPTSSTKSSGPNIEKDVVPAVSAIHEEVSDSSKCESFSAYSQNKPSSSVGVPTSTFAKPSALRMPSPSVGFFTQGKPSVNIAQGNAKNSLAGNTSSLSKPPRYKQPEDLKSRLCHAPVSNGDTAQGNSKSCFSGNTSSLLKPPRYKQPDDFKSRHCLTKQLPTNCPATLKPPVHPVTNESTLNTLDSSLPGLQHANVCSGKESSSKGVITYSAKSRNTYSEPMQNVDCFSGGSGASTLPLSSEQNGVPNSYSDASQVEGRGIINRTEPIENSHSPKAICSSNIKPAEDSCSHEAKLSSSCISSQVCTLDYPKCQSKLDNCASVAIDMEKSLAGETTVAVSLLEDNSHSPGPDFLGDFDSCKQYSTEGSTLRESVESPACADGVEQCSNSIDIKPALVDSTTDLHGSLCNEERPTSSEEPDTDGGVELDLDSSHIGKEVQLSIGCECDHDYRCTKCPPMEPTTPMPCADFTDLIEVTVDSNTEVHDSFPAEERHVLSEEPNTEDDMELDTNELSAPEDASPKGKNKSVKKSGKNTIIKDHLKQLVPFTEEWLAAMEACGEEVLEQKSGAVQNSPTDKAVPEPNPWSPVKRKAQDFGPFDCTKYSKTVPASDTP* >Brasy5G113300.1.p pacid=40076327 transcript=Brasy5G113300.1 locus=Brasy5G113300 ID=Brasy5G113300.1.v1.1 annot-version=v1.1 MGSTDLNSEMTRTVLGLTLWIWIAIGVVALLVAILLMICIWVASRRRTKRTIDNMSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPPQDKHANREPGKTPGHLALSKSSDHDNMSQGSSVCNVDRGGSVHSGEDGSSGQHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTDVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPVLEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKVERTGSSRSDRSQS* >Brasy5G113300.3.p pacid=40076328 transcript=Brasy5G113300.3 locus=Brasy5G113300 ID=Brasy5G113300.3.v1.1 annot-version=v1.1 MGSTDLNSEMTRTVLGLTLWIWIAIGVVALLVAILLMICIWVASRRRTKRTIDNMSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPPQDKHANREPGKTPGHLALSKSSDHDNMSQGSSVCNVDRGGSVHSGEDGSSGQHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTDVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPVLEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKVERTGSSRSDRSQS* >Brasy5G113300.2.p pacid=40076329 transcript=Brasy5G113300.2 locus=Brasy5G113300 ID=Brasy5G113300.2.v1.1 annot-version=v1.1 MGSTDLNSEMTRTVLGLTLWIWIAIGVVALLVAILLMICIWVASRRRTKRTIDNMSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPPQDKHANREPGKTPGHLALSKSSDHDNMSQGSSVCNVDRGGSVHSGEDGSSGQHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTDVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPVLEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKVERTGSSRSDRSQS* >Brasy5G113300.4.p pacid=40076330 transcript=Brasy5G113300.4 locus=Brasy5G113300 ID=Brasy5G113300.4.v1.1 annot-version=v1.1 MGSTDLNSEMTRTVLGLTLWIWIAIGVVALLVAILLMICIWVASRRRTKRTIDNMSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPPQDKHANREPGKTPGHLALSKSSDHDNMSQGSSVCNVDRGGSVHSGEDGSSGQHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTDVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPVLEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKVERTGSSRSDRSQS* >Brasy5G113300.5.p pacid=40076331 transcript=Brasy5G113300.5 locus=Brasy5G113300 ID=Brasy5G113300.5.v1.1 annot-version=v1.1 MGSTDLNSEMTRTVLGLTLWIWIAIGVVALLVAILLMICIWVASRRRTKRTIDNMSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPPQDKHANREPGKTPGHLALSKSSDHDNMSQGSSVCNVDRGGSVHSGEDGSSGQHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTDVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPVLEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKVERTGSSRSDRSQS* >Brasy5G487300.1.p pacid=40076332 transcript=Brasy5G487300.1 locus=Brasy5G487300 ID=Brasy5G487300.1.v1.1 annot-version=v1.1 MGRGVLEPERDAGGRNPGSPSPAQERGACPMHVRRPLTAESQRQVKRRQTVLEELKIPMHHLVVLLLPPRATHMPLH* >Brasy5G308300.1.p pacid=40076333 transcript=Brasy5G308300.1 locus=Brasy5G308300 ID=Brasy5G308300.1.v1.1 annot-version=v1.1 MPDLPSTPDPSSSARAVAPPPPRSLSTSASSSSSSHTPAQIRLLLLSHGQPRSASSSYWREAEGCEEDADVRAEAAARKDENYRHAEAEASSPPPLPRPGPTPARLPASPHRLPRSRRLNSCTATRRSGCAWSARPRQPRSAPRAPPRRSTRSGARSSSSHAKERRPAGTTATADACWPASRTRSAGGNTGMLAFIGVPRAGVLHCRLLSPRPPASPSWTTRPASNTSSTTEDQFRKWMSNCYHGVSSSRYCRKHREKLQRVLSAFSDVP* >Brasy5G308300.2.p pacid=40076334 transcript=Brasy5G308300.2 locus=Brasy5G308300 ID=Brasy5G308300.2.v1.1 annot-version=v1.1 MPDLPSTPDPSSSARAVAPPPPRSLSTSASSSSSSHTPAQIRLLLLSHGQPRSASSSYWREAEGCEEDADVRAEAAARKDENYRHAEAEASSPPPLPRPGPTPARLPASPHRLPRSRRLNSCTATRRSGCAWSARPRQPRSAPRAPPRRSTRSGARSSSSHAKERRPAGTTATADACWPASRTRSAGGNTGMLAFIGVPRAGVLHCRLLSPRPPASPSWTTRPASNTSSTTEDQFRKWMSNCYHGVSSSRYCRKHREKLQRIYDTP* >Brasy5G174900.1.p pacid=40076335 transcript=Brasy5G174900.1 locus=Brasy5G174900 ID=Brasy5G174900.1.v1.1 annot-version=v1.1 MAKAPRATLLLAVSLLAMAALASAHGGSETCPSNLLGIHFTRCVKVLGINLFEINEPYNKEYCCPLIKDLVDFDAAACFCKTLNLPGGVDLIIGARLILNNCGKYCPTDFKCPKPDY* >Brasy5G514700.1.p pacid=40076336 transcript=Brasy5G514700.1 locus=Brasy5G514700 ID=Brasy5G514700.1.v1.1 annot-version=v1.1 MKISLLSGDEFTSRAMGSRSASLVLLILACLWLCPGQSSGLSWNIFSSPSSSATPMMEFDGAVAEFSMDGSNNPRGVELLENARNKLVGPKNCWHEAYTRLFASCGAIMADKELQSRLAWHLSSCFQEDSGRPAFPSCGEASKMVHCRKRLGDSENKVFLEFFLETNTLCHQLQAEAFKRSTERLVNELSRSSKSAEEKLEVIDDKSEQIIRESRKVQDTLSAVETQAERLAETSRNVEDQIGDVLVHSRTIFDQSREIVASQAELKEGQAEMREKIDAGMERVQESYEKLGNGMDRLKEETVDIHKEIRSVGESMSSKMQDLQGTADEIGSVAGISLENQMQLLAGQGKAMEGLNSLYSFQSKALEESRETMQKLAQFGQRQQEELLSKQEQIRQAHEHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFRIRGQLYFGLCITLLLEMGLIRIGASNFDNQFWVLSKVFLVRSLFLVVATIQILHSIFTFRDYELLNHRLLQTLVEKVRALEENAGERMLSYSGSDESLRNYSWVFDELADEADSKGDPNYALPEPARRRYAALPEEVGENSITTSSRRYNLRPRSRH* >Brasy5G514700.3.p pacid=40076337 transcript=Brasy5G514700.3 locus=Brasy5G514700 ID=Brasy5G514700.3.v1.1 annot-version=v1.1 MGSRSASLVLLILACLWLCPGQSSGLSWNIFSSPSSSATPMMEFDGAVAEFSMDGSNNPRGVELLENARNKLVGPKNCWHEAYTRLFASCGAIMADKELQSRLAWHLSSCFQEDSGRPAFPSCGEASKMVHCRKRLGDSENKVFLEFFLETNTLCHQLQAEAFKRSTERLVNELSRSSKSAEEKLEVIDDKSEQIIRESRKVQDTLSAVETQAERLAETSRNVEDQIGDVLVHSRTIFDQSREIVASQAELKEGQAEMREKIDAGMERVQESYEKLGNGMDRLKEETVDIHKEIRSVGESMSSKMQDLQGTADEIGSVAGISLENQMQLLAGQGKAMEGLNSLYSFQSKALEESRETMQKLAQFGQRQQEELLSKQEQIRQAHEHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFRIRGQLYFGLCITLLLEMGLIRIGASNFDNQFWVLSKVFLVRSLFLVVATIQILHSIFTFRDYELLNHRLLQTLVEKVRALEENAGERMLSYSGSDESLRNYSWVFDELADEADSKGDPNYALPEPARRRYAALPEEVGENSITTSSRRYNLRPRSRH* >Brasy5G514700.2.p pacid=40076338 transcript=Brasy5G514700.2 locus=Brasy5G514700 ID=Brasy5G514700.2.v1.1 annot-version=v1.1 MGSRSASLVLLILACLWLCPGQSSGLSWNIFSSPSSSATPMMEFDGAVAEFSMDGSNNPRGVELLENARNKLVGPKNCWHEAYTRLFASCGAIMADKELQSRLAWHLSSCFQEDSGRPAFPSCGEASKMVHCRKRLGDSENKVFLEFFLETNTLCHQLQAEAFKRSTERLVNELSRSSKSAEEKLEVIDDKSEQIIRESRKVQDTLSAVETQAERLAETSRNVEDQIGDVLVHSRTIFDQSREIVASQAELKEGQAEMREKIDAGMERVQESYEKLGNGMDRLKEETVDIHKEIRSVGESMSSKMQDLQGTADEIGSVAGISLENQMQLLAGQGKAMEGLNSLYSFQSKALEESRETMQKLAQFGQRQQEELLSKQEQIRQAHEHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFRIRGQLYFGLCITLLLEMGLIRIGASNFDNQFWVLSKVFLVRSLFLVVATIQILHSIFTFRDYELLNHRLLQTLVEKVRALEENAGERMLSYSGSDESLRNYSWVFDELADEADSKGDPNYALPEPARRRYAALPEEVGENSITTSSRRYNLRPRSRH* >Brasy5G188600.1.p pacid=40076339 transcript=Brasy5G188600.1 locus=Brasy5G188600 ID=Brasy5G188600.1.v1.1 annot-version=v1.1 MSAPNLQFHHHINTVNTVSRRQPSIPFFSLPDALTVQVKLGSSPYINEQVFIQTWMDLLHMDGMSSSARQCSSLSSTAFICFLLAKGNLAALQAHDLLNVVVHTY* >Brasy5G156300.1.p pacid=40076340 transcript=Brasy5G156300.1 locus=Brasy5G156300 ID=Brasy5G156300.1.v1.1 annot-version=v1.1 MPSSKQNQIESSSESVPKKTKQIGNGFGKHEVIALNEMRMMLTDSRGVLNDWNSNQVSPCYFVNVRCNQDGNVIGIILSSSGLSGVLSPSIAKLTALEQLFLDDNSITGRIPQELGNLSNLMTLKLGRNRLNGSIPETFGLLSKLQNLDLSQNLLSGNIPSSLSNHSALNDINLANNNLTGEIPEQLLQVSQYNYTGNHLNCGQNLISCEGGTTKTGGSRKSTLKVILGSIGGAVTLLVAIVLFVLWWQRMRHRPEIYIDVAGQHDHSLEFGQIKRLSWRELQIATNNFSEQSVLGKGGFGKVYKGVLPGPDGKKVAVKRLFEVESPEGEMAFLREIELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKQNEPTLDWQTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNLEAVIGDFGLAKMMDMGRNTVTTAVRGTMGHIAPEYFKTGRPSVKTDIFGYGVMLLEIVTGERAIFPDFLEGAGEVMLIDQVKLLMQEGRLEEILDRNMDYVYDLQELANIIQIALICTHMDPDQRPAMSEVVHMLEGNTVPTDRWEEWQIAELTRRQQYENRQQHNLFSFSEESLNIHEAIELSGGR* >Brasy5G342500.1.p pacid=40076341 transcript=Brasy5G342500.1 locus=Brasy5G342500 ID=Brasy5G342500.1.v1.1 annot-version=v1.1 MAMELEVGSHGALIKVGVFVLVQALVYLILAQSSGVFSRTKSLGLRPARSLSARRMVSLLSDLPLGWEPSPRAVSGGEQSLVLSAHQKKDQVLFSGVEKK* >Brasy5G295900.1.p pacid=40076342 transcript=Brasy5G295900.1 locus=Brasy5G295900 ID=Brasy5G295900.1.v1.1 annot-version=v1.1 MGGFRAEDHHHNQPLELPLGFRTASPPPMIASSSMSKESTSYDMADFDQAAIFLYLDGHDQQSIQEQRQTLNIFPSQPMHAAEQLTAAKINGGAAMAAMMLPNGGNPQQPSPRRPEQQQQGGPNASPSSLLPNSAKENKNSSTTTSLIKKEGTSSGKGATSSSTDQEREAGVRRTQDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQIEQQVQAARVQGVLLGTTGDQHQQLQGLPNSAPSVAGMFDAEYGRWVEEHGKLIFQLRAALNEHVPDNQLQALVGAAMAQHDELLNLKAAIARADIFHLLCGVWASPAERCFLWLGGFRPSDVIKVMLKHVEPLSEAQLLGIYNLQQAVQETEEALNQGMESLQHSLSDTVAAPEVSAGNFMGHMSLALNKIASMEAIVRQADNLRQQTLQKLHQTLTVRQAARCLVAIADYFHRLRAISTLWAARPRHDQQGPHP* >Brasy5G295900.2.p pacid=40076343 transcript=Brasy5G295900.2 locus=Brasy5G295900 ID=Brasy5G295900.2.v1.1 annot-version=v1.1 MGGFRAEDHHHNQPLELPLGFRTASPPPMIASSSMSKESTSYDMADFDQAAIFLYLDGHDQQSIQEQRQTLNIFPSQPMHAAEQLTAAKINGGAAMAAMMLPNGGNPQQPSPRRPEQQQQGGPNASPSSLLPNSAKENKNSSTTTSLIKKEGTSSGKGATSSSTDQEREAGVRRTQDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQIEQQVQAARVQGVLLGTTGDQHQQLQGLPNSAPSVAGMFDAEYGRWVEEHGKLIFQLRAALNEHVPDNQLQALVGAAMAQHDELLNLKAAIARADIFHLLCGVWASPAERCFLWLGGFRPSDVIKVMLKHVEPLSEAQLLGIYNLQQAVQETEEALNQGMESLQHSLSDTVAAPEVSAGNFMGHMSLALNKIASMEAIVRQADNLRQQTLQKLHQTLTVRQAARCLVAIADYFHRLRAISTLWAARPRHDQQGPHP* >Brasy5G412800.1.p pacid=40076344 transcript=Brasy5G412800.1 locus=Brasy5G412800 ID=Brasy5G412800.1.v1.1 annot-version=v1.1 MAVEEEAESCGSHAAAAASGGGLGSSSPPAASGAQSRKHQQQQRHKLEVYTEVLRRLHDAGIPEEQSSGFEDELWSHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALGASKSQGQDHDSTSDNVRSLYRPMHEITFSTIDKPKLLSELTCLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHAEETEDLVEEVKKEISKIEETQAWSSSHSWSSPVDNMQIVENSAPDHVEIPTDGASEWEIDVKLLSFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERVNADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEYMSGGSVYDYLHKHKGVFKLPALVGVAIDVSKGMSYLHQNNIIHRDLKTANLLMDENGMVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTVPKNAHAKLAELLQKCWQQDPTQRPDFSEILETLQRIAEEVGDEHEGKHKDKTLGGFFSALRGRGH* >Brasy5G387300.1.p pacid=40076345 transcript=Brasy5G387300.1 locus=Brasy5G387300 ID=Brasy5G387300.1.v1.1 annot-version=v1.1 MSSGLSTVSTPAGGAIPFIAIPAPGSSAAGDGNQMVPSAAVWMVPQPANVVGAANQPTHYWAFQTNSELVNFAGAQSFNVANYQHHQACYSPMQFVAGGESQDQQTGGQLSTGDQPQGLQDDYEGEALTDSSSEE* >Brasy5G471900.1.p pacid=40076346 transcript=Brasy5G471900.1 locus=Brasy5G471900 ID=Brasy5G471900.1.v1.1 annot-version=v1.1 MPPDGEADMAADELQSLSFGSSERSRSASTVSTATASCSTSSSGPIAPNPAAPPRLGTVQLSDIRFVRRLGAGDIGSVYLAEVKGNNGKESVALVAAKVMDRKELQGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYVAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDQALSFSSGAGHSSSSSSCILPSCIVPSVSCFTLFPNRHRNRNTTKKTITTSSAFSNNNKSKSSSFPTGALDLEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFVYELLYGVTPFKGHDNEMTLANIVARALEFPGNKDREAPSVSSAAKDLVAGLLAKDPGRRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFVVGAAARRKDGGGGGLGVAGAGEDLSEDDGDDSSCPGTPVEYY* >Brasy5G060900.1.p pacid=40076347 transcript=Brasy5G060900.1 locus=Brasy5G060900 ID=Brasy5G060900.1.v1.1 annot-version=v1.1 MSGNLLRAASGSMDRPAPPLFPPPTRQEPPPLPERTRGCEPPTLFTARPCCFAAAAAASPVPQTPARATFAAAQPLNLCLPPPPPCYTAWRIQVHKNYFISYAIWLNVDSALGVNSRTLS* >Brasy5G297300.1.p pacid=40076348 transcript=Brasy5G297300.1 locus=Brasy5G297300 ID=Brasy5G297300.1.v1.1 annot-version=v1.1 MWRPFTQLMPKEHSGPSGGLEGRTIDVGNVKVHVREAIAEGGFSCVYLARDLMNPARQYALKHVIVQDRESLDLVQKEITVMRSLKGHPNVVTLVAHAILDMGRAREALLLMEFCERSLVSTLESRGARFFDEEQVVLIFRDVCNAVFAIHCQTPPIAHRDLKAENLLLGADGAWKLCDFGSVSTNHKCFDKPDERGIEEDIIRKHTTPAYRAPEMWDLYMRQIISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSSITNLIEEMLQSSPDARPDITQVWFRVNELLPLELQKDLPVGSPSGSAFELNITDDEALSQLPQESSPSPSTDSMKNTRSKDPSSLRSQGPLKVAENKGSVGAFWSTQHAQELAFTDDKVSAPSQEPIHEATSKQPHAKNQNTPRSTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKITKTDGKTSAQTDTSNSFVAEFDIVKASAPYNASCLNTPSKLKEHQLETEVTLLKEQLKIANLEKEEISLKFDKLSASCSSQRREIQELKQALATASATLPVKEFKENSKGELSPQTASLDTPPREKIAGTPPELRQGLFTSSPGTLSPDPKPWSAFPEEPKAQAAMKSAHPRSVRTVRASNSNKVSSLGQSKTNPGADPFAFGQDSFKAVPSGAVPSEMSNLGNASQSPNTLKAEVKKDAPYQPAGWTGF* >Brasy5G229800.1.p pacid=40076349 transcript=Brasy5G229800.1 locus=Brasy5G229800 ID=Brasy5G229800.1.v1.1 annot-version=v1.1 MSPQPITPAATTPAANPNPAYFQAAPVNNQEIQHKEVLLHLYAYQYVQKQPKGNQRVIVDPKLPECFGCVAANDWTIYDGPGPNANLVARAQGLHLGASMTKENWFICFNMVFIDERFKGSSFKIIGDFQGEKGEWAIVGGTGEFAYAQGVISFNKTQLAEGNVRELHVRALCLFLTKPLMTPAQSSVTKIGPWGKISGEFLDVPKTPERLETVTIRSGVVVDSLAFSFVDQVGGQHTVGPWGGPRGNEKNTIRLAPSEIVTEVSGTIGTFREDDIEYNVIASLTIVTNIKPYGPFGIPQSTHFSVPMQDGGSIVGFFACAGKYVEALGVYARPSSVQNYPDGVFLLLRRLASIVLSIFSVPSIFLRHCVKNKDVPAFCFCVLRLPCFCVRITG* >Brasy5G517700.1.p pacid=40076350 transcript=Brasy5G517700.1 locus=Brasy5G517700 ID=Brasy5G517700.1.v1.1 annot-version=v1.1 MAFSMATSASSAAAASLRRLPAPASSSSCSSRGGVRFPPAHLPRPRSGRLLLSVSAALSKLSEAPPVPIPQEPAEALPGEDALPARPGVYGVFDPAGDLQFLGISRNVRASVEGHRRKVPADLCASVKVSVPQEEAPDKSVLTNAWKSWMEEYIAATGKAPPGNVAGNHTWVGAPPQRPADLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFASVDILDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIISSMAENGELAALFQK* >Brasy5G356600.1.p pacid=40076351 transcript=Brasy5G356600.1 locus=Brasy5G356600 ID=Brasy5G356600.1.v1.1 annot-version=v1.1 MCCCPSKACCICTIIILVLVAVGIVFGFGIYTRGFHKLTNNIHLQEPSYGGGRSFRAYGHLAPPPSY* >Brasy5G130700.1.p pacid=40076352 transcript=Brasy5G130700.1 locus=Brasy5G130700 ID=Brasy5G130700.1.v1.1 annot-version=v1.1 MASSKITFPSVVLALRLLALALLAGSIALIVTNKVSVDLVDVEIFSLTFKDIYAYRYVLGIAVVGCAYTLLQIPLAGVAIAKRSKVIGGTANVALFLICADVVIAVALATGAGAGFGLSYDAKRFFDEQYDEQLDGATKARADYSKLLRDVDRFFVHGYAAAVLVLLAAKSVAAVVLITVYALVK* >Brasy5G047200.1.p pacid=40076353 transcript=Brasy5G047200.1 locus=Brasy5G047200 ID=Brasy5G047200.1.v1.1 annot-version=v1.1 MRADPQKTYANSREKEVGSQENFNPPWLGLSGVEVAAACMKRISIKRGEQQQFIASARESNTKRPWLVFFGASVAGGRRAEGSGGGGRRPSRGGGGRGRAEGAGGVTEGWRDRRRPSHGGSRAGSRRIWPEAVEGGVGGGSRILKDWFFAHVTRKLVVSGSGGQQYAPTTRSIKFSLAAVASDGNYTLRVALAAAQMARLRIEVNPPPPSTGKTKKINRPMAVFTTEEFGAGNAIARHGEHGTWRSFEFGIEGRMLVEGENVISITQVRAFGEFLGVMYDYIRFEAPPASRRDPTTAAAAMNGSSIAG* >Brasy5G174500.1.p pacid=40076354 transcript=Brasy5G174500.1 locus=Brasy5G174500 ID=Brasy5G174500.1.v1.1 annot-version=v1.1 MRGACPPPSKAATPPPASPALPPPPPPPAAGPLPGLRQPPAHPLAADSSHRRKPLPQLRMKRKVALAPRLISGSDSRPSASSSVWPLPIGLLLAGCPSAASSQQPLLKFHPQENLFSPRESFFSSFLLPFASARRRRLPSAGLLLPASARRRRLPSAGLLLPASARLLCRAQPASPPLPHPPVLLPFPSGRTLGPPSAALLCRARTPRPLALTICGRRHLLPSAVLLCRACVCLPPRDDPPTRDWAFRCQPAAAAETRTGPGISDLDPPPSQPHGRHATWCSARHPPRPRSPLKVSPAFWIGKPFLPVLISFAA* >Brasy5G287600.1.p pacid=40076355 transcript=Brasy5G287600.1 locus=Brasy5G287600 ID=Brasy5G287600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYCDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMGVTLLRTKYERLNSGPFKYIPRGFITCDNKFVTFPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G095600.1.p pacid=40076356 transcript=Brasy5G095600.1 locus=Brasy5G095600 ID=Brasy5G095600.1.v1.1 annot-version=v1.1 MHKLGRGSREKVQQFMAITGASEKVALQALKASDWHLEGAFDYFYSQPQISVANSRHLEDLFNRYKERDADMIMVEGTSQLCNDLLVDPQDVVMLVISWHMKAATMCEFSRQEFFDGLQSIGVDSIEKLHEKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETAIGMWRLLFDGRHWPLIDHWCQFLQVKHNKAISRDTWSQLLEFVKTIDPQLTNYDEEGAWPYLIDEFVEYLTENGCVQRRK* >Brasy5G024700.1.p pacid=40076357 transcript=Brasy5G024700.1 locus=Brasy5G024700 ID=Brasy5G024700.1.v1.1 annot-version=v1.1 MTRQRPWPPPLLPPPPTSLPAVAIDNGGGMARLSPGAGAPQVDTGKYVRYTPEQVEALERVYTECPKPSSLRRQQIIRDCPILCNIEPKQIKVWFQNRRCREKQRKESSRMQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENMSAKSLKTQLRNASAATTDTSCESVVTSGQHHQQQNPAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIHIVDHIDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITITVNSSPNKLAGSHVSPSPLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVLRLEGQGFSHDEVLLARDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDTNTDVPSATRTLDLASALEVGSGGALRGSSDAPGTCNTRSVLTIAFQFSFENHLRESVAAMARQYVRAVMASVQRVAMAIAPSRLGSQIELKHPPGSPEALTLASWIGKSYSAHTGAEIRWSNTEDADSPLMLLWNHSDAILCCSLKAAPMFTFGNNAALDMLETTLVNLQDISLEAILDDEGRKALCTDFSKIMQQGFAYLPAGMCKSSMGRQASYEQAVAWKVLSDDVAGAPHCLAFMFVNWTFL* >Brasy5G024700.2.p pacid=40076358 transcript=Brasy5G024700.2 locus=Brasy5G024700 ID=Brasy5G024700.2.v1.1 annot-version=v1.1 MTRQRPWPPPLLPPPPTSLPAVAIDNGGGMARLSPGAGAPQVDTGKYVRYTPEQVEALERVYTECPKPSSLRRQQIIRDCPILCNIEPKQIKVWFQNRRCREKQRKESSRMQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENMSAKSLKTQLRNASAATTDTSCESVVTSGQHHQQQNPAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIHIVDHIDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITITVNSSPNKLAGSHVSPSPLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVLRLEGQGFSHDEVLLARDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDTNTDVPSATRTLDLASALEVGSGGALRGSSDAPGTCNTRSVLTIAFQFSFENHLRESVAAMARQYVRAVMASVQRVAMAIAPSRLGSQIELKHPPGSPEALTLASWIGKSYSAHTGAEIRWSNTEDADSPLMLLWNHSDAILCCSLKAAPMFTFGNNAALDMLETTLVNLQDISLEAILDDEGRKALCTDFSKIMQQGFAYLPAGMCKSSMGRQASYEQAVAWKVLSDDVAGAPHCLAFMFVNWTFL* >Brasy5G305200.1.p pacid=40076359 transcript=Brasy5G305200.1 locus=Brasy5G305200 ID=Brasy5G305200.1.v1.1 annot-version=v1.1 MLPSRPLLAAARQRSHRLPAAVEGCSGKLEADVIRCNKAITAHMRAGRVGEAEHLFDAMPRRSTSTYNAMLAGYASNGRLPVALSLFRSIPRPDTFSYNTLLHALAISSSLTDARSLFDEMPVKDSVSYNVMISSHANRGLVSLARKYFDLAPDKDTVSWNGMLAAYVRNGRIQEARELFNSRTEWDAISWNALMAGYVQLGRMVEARELFDRMPQRDVVSWNTMVSGYARGGYMVEARRLFDLAPVRDVFTWTAVVSGYAQNGMLEDARMVFDAMPERNPVSWNAMMAAYVQRRMMEKAKELFDMMPCRNVASWNTMLTGYAQAGMLDEARAVFDGMPQKDAVSWAAMLAAYSQGGLSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGKLIKAGYGLGWFVGNALLAMYFKCGNTEDARNAFEEMEERDAVSWNTVIAGYARHGFGKDALEVFDTMRTTSTKPDNITLVGVLAACSHSGLVEKGISYFHSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAQNLMKDMPFEPDATMWGALLGASRIHRNSELGRRAAEKIFELEPENAGMYVLLSNIYASSGKWRDVGEMRIMMEERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATGMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPLGRPIRVIKNLRVCGDCHNGFKYISVIEGRLIILRDSNRFHHFRDGSCSCGDYW* >Brasy5G305200.2.p pacid=40076360 transcript=Brasy5G305200.2 locus=Brasy5G305200 ID=Brasy5G305200.2.v1.1 annot-version=v1.1 MLPSRPLLAAARQRSHRLPAAVEGCSGKLEADVIRCNKAITAHMRAGRVGEAEHLFDAMPRRSTSTYNAMLAGYASNGRLPVALSLFRSIPRPDTFSYNTLLHALAISSSLTDARSLFDEMPVKDSVSYNVMISSHANRGLVSLARKYFDLAPDKDTVSWNGMLAAYVRNGRIQEARELFNSRTEWDAISWNALMAGYVQLGRMVEARELFDRMPQRDVVSWNTMVSGYARGGYMVEARRLFDLAPVRDVFTWTAVVSGYAQNGMLEDARMVFDAMPERNPVSWNAMMAAYVQRRMMEKAKELFDMMPCRNVASWNTMLTGYAQAGMLDEARAVFDGMPQKDAVSWAAMLAAYSQGGLSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGKLIKAGYGLGWFVGNALLAMYFKCGNTEDARNAFEEMEERDAVSWNTVIAGYARHGFGKDALEVFDTMRTTSTKPDNITLVGVLAACSHSGLVEKGISYFHSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAQNLMKDMPFEPDATMWGALLGASRIHRNSELGRRAAEKIFELEPENAGMYVLLSNIYASSGKWRDVGEMRIMMEERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATGMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPLGRPIRVIKNLRVCGDCHNGFKYISVIEGRLIILRDSNRFHHFRDGSCSCGDYW* >Brasy5G411500.1.p pacid=40076361 transcript=Brasy5G411500.1 locus=Brasy5G411500 ID=Brasy5G411500.1.v1.1 annot-version=v1.1 MAGCRHARTEVVSMGGGGANLRGMGPWGRRTARPSRRPPTPAAVSELTARAKLLEEEEEEEAQCCLRKKRSFLI* >Brasy5G284300.1.p pacid=40076362 transcript=Brasy5G284300.1 locus=Brasy5G284300 ID=Brasy5G284300.1.v1.1 annot-version=v1.1 MDHVSSMEERIVTERIRRKLEEVNAAAQQHLVGVQDHVNFTMQQAYFKCAYECFDRRQNQEGINNCVENCSVPVLSANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTDATQELESCVHRSIDDSIRVLPHVVDQIKSTLNMK* >Brasy5G222800.1.p pacid=40076363 transcript=Brasy5G222800.1 locus=Brasy5G222800 ID=Brasy5G222800.1.v1.1 annot-version=v1.1 MDASDRRLRAVSAHLRPPATSAPGRGGSHLAASPTAGEYAHVLGYSVVLPEKLQTGKWNVYRSAQSPITLVNKPLDSPDIGTLHDNFVYAVETFRDCTYLGTRVQADGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARVGLYFINRPEWIIADHACSAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVVGGIDENMPSAPAIAGVEIITYSRLHNQGHTSPQTFRPPKPEDVATICYTSGTTGTPKGAVLSHANLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQIWLLQRGVAIGFYQGDNLKLMDDLATLRPTIFASVPRLYNRIYAAITNAVKESGGMKERLFNVAYHAKRQAIINGRNPSPMWDKLVFNKIKARLGGRVRFMSSGASPLSPDVMEFLRICFGGEILEGYGMTETSCVISTMDVGDKSIGHVGSPNPACEVKLVDVPEMNYTSEDQPYPRGEICVRGPTIFLGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKYIAQCFVYGDSLNSSLVAIIAVEPDVLKAWAVSEGIQCEDLRQLCGDPRAKAAVLADMDSIGKEAQLRGFEFVKAVALVAEPFTMENGLLTPTFKVKRPQAKAYFAKEISDMYAQLHDAESGRAKL* >Brasy5G421200.1.p pacid=40076364 transcript=Brasy5G421200.1 locus=Brasy5G421200 ID=Brasy5G421200.1.v1.1 annot-version=v1.1 MGFMSLLLVASMPIVQVMLIGVIGAFLASGYSNVLTASARRDMNKVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFLVGGALGWIVCKILKPPPHFRGLIISFCSAGNLGNLLLIVVPAVCDEDGSPFGEDRSRCRSRALSYSSLSMALGGLFIWTHTYSLMQKSGKLYHKMQSKSIQCPADSDEEHLAQFKADGEAADGADEEAPLPASATPDEDKDGNQISAPLLSCESDVAANKGFWTNLQETLHQVVEELTAPPTISAIIGFVVGLVPWLKSLVIGDGAPLKVVQDSLQLMGNGTIPCITLILGGNLTQGLRKSVLKRAVIVAIVCIRYVVMPVVGIAVVHAARGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAVALTTWSTVFMSILS* >Brasy5G507700.1.p pacid=40076365 transcript=Brasy5G507700.1 locus=Brasy5G507700 ID=Brasy5G507700.1.v1.1 annot-version=v1.1 MPTSASDVHEMSSSPRPEPDHHRLLATLARHGRLAAAATLFSSAVPTTRALNTLLAALCSSPALVRVAPSVLLLAAPTAAPDAATFRVLASALCRARRPSAAADLLRLMPPLLLDPDRSLCAAVLSSLCAHAPATHAAAFLHDMRQWGLLVPSPPSGSGHHHRAVLRVLLRDGLPAEAYKVLTDAMASDGVAPRSADFELLLRAFADAGDFRAVDDAFDEMLLRGIVPGAPVYAAYLAALCARGDLPGARRMLGCMARAGCPPDARAFGVVVAGCARAGDPAAAGEAAREAVRRGLRWDAPALAELVGALRASGHLAAAQGTLLDLFLDGGCAGVDASAFARMICASEEDCTPSPSEPCMDRAS >Brasy5G226500.1.p pacid=40076366 transcript=Brasy5G226500.1 locus=Brasy5G226500 ID=Brasy5G226500.1.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.5.p pacid=40076367 transcript=Brasy5G226500.5 locus=Brasy5G226500 ID=Brasy5G226500.5.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFAAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.10.p pacid=40076368 transcript=Brasy5G226500.10 locus=Brasy5G226500 ID=Brasy5G226500.10.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGKLIN* >Brasy5G226500.6.p pacid=40076369 transcript=Brasy5G226500.6 locus=Brasy5G226500 ID=Brasy5G226500.6.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPDAD* >Brasy5G226500.11.p pacid=40076370 transcript=Brasy5G226500.11 locus=Brasy5G226500 ID=Brasy5G226500.11.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFAAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGKLIN* >Brasy5G226500.7.p pacid=40076371 transcript=Brasy5G226500.7 locus=Brasy5G226500 ID=Brasy5G226500.7.v1.1 annot-version=v1.1 MAGEAAAPLLEKKAAAYQEGCPGCAVDRRKALNAGIPYREFFHIWIIILVSCLPISSLFPSYTSWLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFAAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPDAD* >Brasy5G226500.2.p pacid=40076372 transcript=Brasy5G226500.2 locus=Brasy5G226500 ID=Brasy5G226500.2.v1.1 annot-version=v1.1 MGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.3.p pacid=40076373 transcript=Brasy5G226500.3 locus=Brasy5G226500 ID=Brasy5G226500.3.v1.1 annot-version=v1.1 MLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.8.p pacid=40076374 transcript=Brasy5G226500.8 locus=Brasy5G226500 ID=Brasy5G226500.8.v1.1 annot-version=v1.1 MGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFAAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.4.p pacid=40076375 transcript=Brasy5G226500.4 locus=Brasy5G226500 ID=Brasy5G226500.4.v1.1 annot-version=v1.1 MLLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFAAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGDQMVFFLLAIVELAQLVWTFKPFLAVPQQFSSN* >Brasy5G226500.9.p pacid=40076376 transcript=Brasy5G226500.9 locus=Brasy5G226500 ID=Brasy5G226500.9.v1.1 annot-version=v1.1 MGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLIGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIIGPTIGGYLAQPTEKYPKLFPTYSLFGRFPYFLPCLCISIFCFVVLISCIWLPETLHYHKADKMGDKASESSIAHLSDPEDLNEHGTTTTTDQNLFKNWPLMSSIILFCIVSFEDMAYSEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILAYQIFIYPRILGVLGPINTSRIATSLSMVVILTYAPITYLSRPWSQIAVTIASVLKNNFASTVFTSSFILQNNSVAQDQRATANGLATTLMSFCKAFAPAGAGIVFSWPQRRQHAFYFPGKLIN* >Brasy5G259800.1.p pacid=40076377 transcript=Brasy5G259800.1 locus=Brasy5G259800 ID=Brasy5G259800.1.v1.1 annot-version=v1.1 MDFHADFHSWLGSFHTFVSGEQSDADKTNDKVAKSNRLKIYGRICVLGLAAVCFGGIGDVLKLIYGTNKNHGYYCLIYFTFSLVIMLMGFVGAAFPESSLMHTFIAGLGAWQAMVLVSLLATLRSGVLYYVPTPEYAIYLMMATSVPFILYWGLIANDLQVVLWLKSLAYQAGIWIQAKGINADAHTRGTLARRFPWYR* >Brasy5G064700.1.p pacid=40076378 transcript=Brasy5G064700.1 locus=Brasy5G064700 ID=Brasy5G064700.1.v1.1 annot-version=v1.1 MDRRHCNRSNSSTCRICDVGLLEDCSHLFFSCSFSSRCWNKIGFTWDLSASIKDRMVIANNSFRGPGFMLIFICAAWHIWKQRNDLIFERDPPPARQWLVNFKQELLTNSLRTKEAHRIAILDWLSSLDGTR* >Brasy5G251900.1.p pacid=40076379 transcript=Brasy5G251900.1 locus=Brasy5G251900 ID=Brasy5G251900.1.v1.1 annot-version=v1.1 MVGPFERSPTPRATGGTKWNRRSRSGGFEDGTAHSSVILHSGRTLEGGERRGGRERRSRSDRPIHDGAALRARGAARGGEGGGGGSRSTTAGGWLTTAAPCAGGGPQG* >Brasy5G073400.1.p pacid=40076380 transcript=Brasy5G073400.1 locus=Brasy5G073400 ID=Brasy5G073400.1.v1.1 annot-version=v1.1 MEESSAVVARLLAAKAECGKSFSDIAAETGLTNVYVAQLLRRQAQLKPDMAPKLRAAVPALTDELVELMMRPPFRSYHPEIVHEPAIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYTEQRSEHMMSRLNRDAS* >Brasy5G207300.1.p pacid=40076381 transcript=Brasy5G207300.1 locus=Brasy5G207300 ID=Brasy5G207300.1.v1.1 annot-version=v1.1 MEVLKIFLEPKKKCSQSRSSEDAKWVVIKLVNSYSQAILWTSEIHYRQFLEQNWSRGAELMKFKLRTEVIFLFCEMILLYILPMVPITNHAI* >Brasy5G383300.1.p pacid=40076382 transcript=Brasy5G383300.1 locus=Brasy5G383300 ID=Brasy5G383300.1.v1.1 annot-version=v1.1 MRMFSKACSLVASSLPRCSSSSPAIRGQPSLIPRVSRKWLGKPLMYGIGTLLVMPLRTLHGVGRMFGAVRYLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEAVPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTLGHVSYYFSGTGAIFTGDTLFNLSCGKLFEGTPQQMYCSLQKITALPDVTKVYCGHEYTLSNSKFALSIEPGNEELQEYAASTADLRNKNTPTVPTTIGREKQCNPFLRTSSPEIKKMLSIPDHFDDARVLEVIRRAKDNF* >Brasy5G520400.1.p pacid=40076383 transcript=Brasy5G520400.1 locus=Brasy5G520400 ID=Brasy5G520400.1.v1.1 annot-version=v1.1 MAADQHPLDSFLAAARGAFAHLHLPIRLPGSDSKQQQPDCLLHLHVALANFLHKPLRSFSRCFGNDDHHKPKRGRTKHSPPLRDTGKKQEQQLELLLCIAFDALAHNLQMLEGACKQKGEEIGSAALQIDQFRVVRKVIVGKKADFDGFLSNLGFARVGAPPASFGDDSPASAAPAVGQEGPASVTGDREGAGSGGGDGTTPQPPQKFAGRLLNIPLSNVERLRSTLSTVSLTELIELVPQLVGRLSTSVDSHPDKKKLFSVQDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMKNRRLPRRYARDLLRRTRSNYFSKSIGWKQFQSLMEQKEATILRAYTTLCLSKSGTLHKNQILESLKGAGLPSNEDNAAAMLRYLNADSEGSISYSHFRSFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISAGNVLKSALAGGLASALSTSMLHPIDTMKTRVQASTLSFPELIVKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLILVRVAPTLPEIQVQSLASFCSTILGTAVRIPCEVLKQRLQAGIFDNVGEAIVGTMKKDGIKGFFRGTGATLCREVPFYVAGMCLYAEAKKGAQHVLNRDLKPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIEAESESTDPLNEKKTMVGSRG* >Brasy5G403400.1.p pacid=40076384 transcript=Brasy5G403400.1 locus=Brasy5G403400 ID=Brasy5G403400.1.v1.1 annot-version=v1.1 MAMNKRSADPLEADDRELCAEAKEVVWSQFTGHLKWLEALGNQGEIEMIAEHELNEVKIVQPDLKACMDTDPADQRDVEEDDDEGIKEVATEIYDLMVLGVWKPIGSQGVSCPFCSRFRNLAFLSVLQHAESYRRPQEPNAGGRKGKHTGLNWYLRANVPAHLQDMVAARDANMGRV* >Brasy5G391100.1.p pacid=40076385 transcript=Brasy5G391100.1 locus=Brasy5G391100 ID=Brasy5G391100.1.v1.1 annot-version=v1.1 MAEHQSAAIAAAADGDGGEPPLPVPAALAAPADAAGQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVQSSAGLAAAAAAAAAGAVTVAAAAIPMALPVTRSGSPEPHSSEEQVLSSNSGSAEECLPGPFGSGSGLGGGAGVGSSSGDLGEENDRLRRDNTRLTRELGQMKKLCNNIVLLMSKYAATQQPDGPASLSSVVNCSGESALAPPPLLPTAILDLMPSCSALATAAGLAVDGEPDTSARLFGVSIGQKRTRDSEDGGGREEDPTEDGGEGADVKPEQADRCPDSQERSPDGPDQHSWPIYRPKPVYRACNGPDGAGSDQDRSNSR* >Brasy5G242300.1.p pacid=40076386 transcript=Brasy5G242300.1 locus=Brasy5G242300 ID=Brasy5G242300.1.v1.1 annot-version=v1.1 MPAKQQCRATIEVKVQPSEVENYINMLLEEMACHQRTASLPSKPHSTEAKVEDELQGLRVSISSPSATINTMRDALVWLGDIYNCIGEIMSLPSSQVGLSLPRNKKMVEEELERSLVLIDLCNSMQESLAGLKMSTQELQLVLKLGDAAAVQLKIESFVRLAKQAQKPFKKTIRRTTSEGCRLVLLLTEAREMAICLLESTSQMLPKQFASSKGSKWSLVSKRIQKTKVVCKEEQLQELEQSMAGLENGAELLFRRLIQSRVSLLNILSS* >Brasy5G096500.1.p pacid=40076387 transcript=Brasy5G096500.1 locus=Brasy5G096500 ID=Brasy5G096500.1.v1.1 annot-version=v1.1 MCFPGPPCLCRITGALARSAATAPWGRRRANEGGRWPLARTAAAERGGGRGRARRREEEGRRGGGRRSAAASEEGDVRAPQAPVLRGGAWRRRRRIPWRPPPQQSSSTAARPGSPCPAEELRRRARGGAKEECGSLDLQRVREAAGSREKKRD* >Brasy5G294200.1.p pacid=40076388 transcript=Brasy5G294200.1 locus=Brasy5G294200 ID=Brasy5G294200.1.v1.1 annot-version=v1.1 MDDLISQEGPVFEEEVDEQWDDETQEGVSIDTELLYTGVDTDPGSDASRCQRKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYSFISDRGEVSLQKRWGLIHSECNKFVGAHDHVKARPVSGFGVDDMAYQALEYFKVMYKKPFGLIHCWRILKEAPKWQDLYVATKKSPGDGKKRGYNVIDLDVQKRKLAIEEANAAATRTAAAAAMLAEETRIMAVDLSLMDEPTRAWFLAKRKMIQERDAPAPLEK* >Brasy5G131500.1.p pacid=40076389 transcript=Brasy5G131500.1 locus=Brasy5G131500 ID=Brasy5G131500.1.v1.1 annot-version=v1.1 MEGGASVVTQPRCRSPPAFPSARQRGPDPDVGFCGVRLAGRGKVQMFLSPALQHAEVGVGDETISSILVQIVFLLKTSTACLGKQEAGRLYYNHNDVLFLLLEEKNTKAKGERKSTNGSVRELCEDEGRPGYTCVS* >Brasy5G131500.2.p pacid=40076390 transcript=Brasy5G131500.2 locus=Brasy5G131500 ID=Brasy5G131500.2.v1.1 annot-version=v1.1 MEGGASVVTQPRCRSPPAFPSARQRGPDPDVGFCGVRLAGRGKVQMFLSPALQHAEVGVGDETISSILVQIVFLLKTSTACLGKQEAGRLYYNHNDVLFLLLEEKNTKAKGERKSTNGSVRELCEDEGRPGYTCVS* >Brasy5G080600.1.p pacid=40076391 transcript=Brasy5G080600.1 locus=Brasy5G080600 ID=Brasy5G080600.1.v1.1 annot-version=v1.1 MAKKKSFHAAAAAAASAANGNGNGHDLDHAPPAPGMAEAYATEEKRDSSPERDRKAEQLKTLNTMLLKEAVERRGQVAALTARLDELSADGDALAASERAVARAALAAPLRAAADEAAALRARLDAVQDSLRLAESRAALEAGAKDEANARLEAAAGEKVRFLELLRVKEAEVASISNKVSSLAAMMAELEGSNSELFSQNGELVKQLEETKEAVRVLSSQKAEVERSFEEFKKEAEAYRVEMEGVVKAKVEELKVLGFKKAEMDARVTSLETELAVAVTKTGGLEAEMVAKKREFDFLKGERDKLRSEVTAAEKKHSLSAAEVERLRMELGALVKAKEAAIKSFDAERTEVLKELENLKRKVGEIQADKEAAEGATLEKDAQAIKLRAELEDLHVSMSQLQTSYDELDTKRSCLHKEKNSVQKALDAEKAEAGQLRSKIEALENCNGKMDSEIGELRVALKEKNGKIEVLTKEAEQLQLAVAEAQKRKKGGIWAWVYAATTTMVAAISLIYATRAQ* >Brasy5G225800.1.p pacid=40076392 transcript=Brasy5G225800.1 locus=Brasy5G225800 ID=Brasy5G225800.1.v1.1 annot-version=v1.1 RRGSSETTPAAAAVRCGGGEVAAGRLQKPCGGAAGRLPQLPPRSRLKTLWRHMPVAMATTYTSKELMAKVSFYGE* >Brasy5G140100.1.p pacid=40076393 transcript=Brasy5G140100.1 locus=Brasy5G140100 ID=Brasy5G140100.1.v1.1 annot-version=v1.1 MAGRCQGQGWRGPGARTPRRLGGGARRTASGRRTSACTSASKHASAAAGGEDVRKGGSGAGRTRRRIRSRTRAAAASTPTDDREADKCRTGCEQRCGPGHQKEQCMQVCKKRPHHGGGARQRDRGDERGRDTVAGAVLSEA* >Brasy5G449300.1.p pacid=40076394 transcript=Brasy5G449300.1 locus=Brasy5G449300 ID=Brasy5G449300.1.v1.1 annot-version=v1.1 MATEMEAEMCDALHADLAKPRTEACLHEISLIKSSCLFALKNLKKWMKPQKVRAKLMTFPSAARIAAEPLGVVLIISAWNYPLLLSLDPVIGAIAAGNAVVLKPSEVAPATSSLLAELLPRFVDGRCVKVVQGGVPETTALLEQKWDKIFYTGNSQVGSIVMAAAAKHLTPVVLELGGKCPLVVDSTVDLHVAAKRIAAGKWGCNNGQACIAPDFIITTSSFAPKLIEALKEVLGKFYGKDPLGSADLSRIVNSNHFNRLKALMDEEMVFEKIVFGGQRDADQLKIAPTILLDVPLDSAIMREEIFGPFLPIITVDKINECFGLINSMTKPLAAYLFSRNRKLQDKFERSISAGGMLLNDTSIYLTNPYLPFGGVGESGTGAYHGKFSFDAFSHKKAVLNRGFFGEAKARYPPYSEPKLKIMKGVLEGKLGDMIQAFLGFPRGK* >Brasy5G380900.1.p pacid=40076395 transcript=Brasy5G380900.1 locus=Brasy5G380900 ID=Brasy5G380900.1.v1.1 annot-version=v1.1 MVYLNDFYGQLFVHIFSKDLEVCLKLQELTSPAWSLAWARTKKFTLDGTNGHLDFPSCGDRCYHSGACIRTVPARIPPVAASALHINIHVRYSLADQMGISTRTLLAERQKINYELLKVLP* >Brasy5G236200.1.p pacid=40076396 transcript=Brasy5G236200.1 locus=Brasy5G236200 ID=Brasy5G236200.1.v1.1 annot-version=v1.1 MRERRHTWLPLDAETRPLLSSSRRAGRPPPCEKERERVREYERNNREGGRDGRIWPQTDRRRGGAAVAGRIHRRRPRGWPDPTPSIEQVGGVASAGGEEEGSVAAWWRPAAAGWRSAGTAEERPVQGGRRRALRGVGWRRWRRGGVGRERRWRRGGVGGREKRGDGGWRRLEGEIKEI* >Brasy5G108100.1.p pacid=40076397 transcript=Brasy5G108100.1 locus=Brasy5G108100 ID=Brasy5G108100.1.v1.1 annot-version=v1.1 MEPAASKEAPPPEPQSASEEDGMLSVTAAMARDAAVLFQSHRYAECAEVLAQLLLKKEGDPKVLHNMAIAESFVDGCPCPKKLLGILGNVKKRSDELACASREQSDSANGVGNNASSGLRGGGIVPPISAAHNTTAYGEEFDTTIITFNTALILYHLHDYESALSVLEPLYRNIEPIDETTALHVCFLLLDITLALQDASKAADIIQYLERSFGVANTMNHNENASTVQQQSAQPKPHAKSNTPPDSDSNICPGASDILSVGSFSEDTLEFESLYSTLDRGNHLGRPILNEFSRASADRAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPVMLAMFYNNLGCILHQQRSNHSSILCFSKALKYSLSLRSEKPLKLSAFSQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLYHRPLFWLRFAECSLLALEKGFLTAIGATSCNDEVEIHVVGSGKWRHLVINPVKSRSCFSDSGSSDKHGNLITLRFARQCLLNAQLLMDDLEQENSVIASDTEDCNQGAQCQKSSGQNTMSVESKTPSGPTQANANGEQKGAASLNATLQSSLGMYDAICRRENLKIRQAILGDLAFIEMCLENPLKALSIAKLLQQLPDCSRMYLFLSHVYAAEALCALNRPKEAAEQLTVYLRDGNDIELPYSVENCEKAPVEKDSDGEDSHAPAVTKLTSEESQHSLSLSPEDACAVVYVDLGMTAAMQGDLEQANYMVNRGFAMLPNNPRALLASVYVDLLQGKVQEAIGKLRQCRNVRFRTAGRVAASR* >Brasy5G015400.1.p pacid=40076398 transcript=Brasy5G015400.1 locus=Brasy5G015400 ID=Brasy5G015400.1.v1.1 annot-version=v1.1 MDVRRQPNAAAAAATLGGLFPHQPAMDSEDNNTEWLSIYLEDCLSTHPVSAEQASQGAVKQKLPPPSSSNARRKKRSLASVIKDEEEHCFTVFVEPPLLLPDQKHWLAESELILPKKEKDQELVQQQEQEHEEEKKRNASAEMLFQQEQMLMCSYCLSNQTPQWWDGPSGVLCNACGLRLQARNEFISMERCGQEISKEQEQGKRQEKRRIKRPAYIDEELPQKKRTKKTTYMNEELPPEEPVQRCTHCMSHKTPQWRTGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFSSYMHSNSHKKVMQMRKGVEHSGQ* >Brasy5G038300.1.p pacid=40076399 transcript=Brasy5G038300.1 locus=Brasy5G038300 ID=Brasy5G038300.1.v1.1 annot-version=v1.1 MEATSESAAVDRDAPVMRCACGYAAASRTSKTPRNPDRQYLRCKNAPEGCFLWIWEDLLNQYVELVAAKELQTLTDNRLARLELEYAECEDERLALQKKLDEEELQSRKFKAIALILLVAILVAKLIH* >Brasy5G466700.1.p pacid=40076400 transcript=Brasy5G466700.1 locus=Brasy5G466700 ID=Brasy5G466700.1.v1.1 annot-version=v1.1 MGNRVGRRAAVTGDAHHHLLAGRVGAPADSVFPLEEHAMVSMMTAGSQLALTGTATLNLFAASSVAPLLPTVLATWSIIFPYPKFLRFWLPAREGQAKLNAQAALEKAKEAAKVARKAATDAEEAAVAAESDLQAAQVFLNKTIAAEEEAKDRAGTAEDFASEALNHALAAQQSSFAAAGHATSAKVASVTAKKHRDTAGVAALEAAAAQEQLDAGAQGR* >Brasy5G328600.1.p pacid=40076401 transcript=Brasy5G328600.1 locus=Brasy5G328600 ID=Brasy5G328600.1.v1.1 annot-version=v1.1 MKDHLMFNVDRLMVPEPIEVTGAPKSSSSRDVTAQLPPTSHSFFAVGDSMIPEEEPLLQMLECRICQEEDDIKNLESPCACTGSVKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP* >Brasy5G328600.2.p pacid=40076402 transcript=Brasy5G328600.2 locus=Brasy5G328600 ID=Brasy5G328600.2.v1.1 annot-version=v1.1 MKDHLMFNVDRLMVPEPIEVTGAPKSSSSRDVTAQLPPTSHSFFAVGDSMIPEEEPLLQMLECRICQEEDDIKNLESPCACTGSVKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP* >Brasy5G308200.1.p pacid=40076403 transcript=Brasy5G308200.1 locus=Brasy5G308200 ID=Brasy5G308200.1.v1.1 annot-version=v1.1 MSNADWAADCTRRSVESASRREQKRKAKKRNADLDRQMEEQRVAASAQMAANKAGIAAPRPPSGQHWSSGSQGSSSSSPSPSSISPVLPHMPHDNHGNAMPSLSRFSPDYPDTDPLGGFNPNTFVADTLGGFNPNAFASPPLRRGPLSYGGYSPSASFQQFPAGCSQPAPNPFGGMGKKKAAAEKKKAGGRGRGPKWTSKEDECLAEAWKIVSMDPFTGANQSGVTYWRRVKTAYDERRRIDRQFSSVTHDRNESGLSHRWQMIQQACNKWHGIQEEMVAMFTAFREDNDGVEFKFIHVFAGIETCDKWTETRNALSKSGTNDPKATPPAAAEGRPIGHKKAKAMRDAAPATERLCTCIEKCMSAAAAQAAKREELAAKREEVAASRWATVIKKQDDKLQILKANGAAKKRREGLFILTCDTTGMDAEVKAWYDDQRRLILVKARTPASAPATAAPATSTPSAPSPPETATPTTSTPPAGSEVPSTPTDDEVAE* >Brasy5G271300.1.p pacid=40076404 transcript=Brasy5G271300.1 locus=Brasy5G271300 ID=Brasy5G271300.1.v1.1 annot-version=v1.1 MANKPLILSLVFLAIVFTGTAAATTTHLLFYMHDIVTASPPSYLAATAVRAVKGVTALPDNPTNRFGDTYVIDDSLTEGPEADSRLIGRAQGYYMFTSMTEASLLLSANMVFTAAVGKNYNGSAVAVLARDSILDTVRELPVVGGTGAFRGARGYGLLRTHSFNASSNNAVLQIDMYVQV* >Brasy5G284900.1.p pacid=40076405 transcript=Brasy5G284900.1 locus=Brasy5G284900 ID=Brasy5G284900.1.v1.1 annot-version=v1.1 MASPNVPTCGDLPAAVAAFADAFVDFAVSGIFFPISSLLPPPSPPATPTTFIPSPSRLVAVGDLHGDLPKSLAALRLAGLLPASNNPGPSSASWAAGPTLAVQLGDILDRGGDELRLLYFLRRLSISAAAEGGALLPILGNHEVMNVAGDYRFVTPEGLKEFSAWAGWYRAGLAIKRRCGDLDPPKNPFLGVPKAFPGVKAEFWDGFRSRLAALRPEGPIARRFLADLPTVLVVGDSVFVHGGLLEAHVEYGIERINAEVSDWIRGGRGDNARAPEHVSGRDAVVWLRRFSEGFNCDCQRLQGVLGMIPGTKRMVMGHTIQSEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGSEVRVITTDPAEAWQYRKQKPEKATTALEKKGEVKDGLALLVRESHVLKGVEAKA* >Brasy5G075400.1.p pacid=40076406 transcript=Brasy5G075400.1 locus=Brasy5G075400 ID=Brasy5G075400.1.v1.1 annot-version=v1.1 MVSLAKMSGSSGPWRAPAPVRQLYWRVRKAVLRRPRRDEARFGYDLQSYSRNFDDGEIVSA* >Brasy5G465200.1.p pacid=40076407 transcript=Brasy5G465200.1 locus=Brasy5G465200 ID=Brasy5G465200.1.v1.1 annot-version=v1.1 MAAGPSKALRPPAPGDEASSSLWSDDLPPELAGLVLRRLPSLADRVSFGAVCRLAAQQQAPTLPPALPWVCTFPTRVFQSFPDGQVMQNLRLSQRFQGLAYYAEYFSLLHCRKFIVLCSDDDLTVVVAASSTPPLGRPLIACYRPGMYSWSANYAAADYPNQSACYQDIAFHRGNIYGVTWLGNLWVHKISEDSGTGEMVVSDAKQVIDTGRFHDVAVKELFLVVSRDNNLLLVKRKNTYAQTTSRAFEVFEADLEKSRWSKVSSLGDQVLPPSHIK* >Brasy5G233300.1.p pacid=40076408 transcript=Brasy5G233300.1 locus=Brasy5G233300 ID=Brasy5G233300.1.v1.1 annot-version=v1.1 MPPISRPRRCSLWPLTVWRCPFLSHRHRPTSFPCSTSTAERTVQVPAGQLLPSTCCSAPNLSLSLLCFVFSETESWTRCTCTSLTE* >Brasy5G382700.1.p pacid=40076409 transcript=Brasy5G382700.1 locus=Brasy5G382700 ID=Brasy5G382700.1.v1.1 annot-version=v1.1 MASLSGKRVAIVGMLVVLLVAVAGLAGAARPMPAAERSSSGGEAYLAVYPAAVAVAEEARKTVDMLLQRLPAGPSPKGPGH* >Brasy5G513300.1.p pacid=40076410 transcript=Brasy5G513300.1 locus=Brasy5G513300 ID=Brasy5G513300.1.v1.1 annot-version=v1.1 MASFAHKEHQFLAELGLSPRNPGSFACGAWGGSGPVITTTNPTNNQVIAEVVEASVDDYEKGMSACFDAAKTWMAIPAPKRGEIVRQIGDALRAKLDHLGRLLSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVFEKNNLPSAIFTAFCGGAEIGQAIALDRRIPLVSFTGSSKVGQMVQQQVNARFGKCLLELSGNNAIIIMDDADIPLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTVLDQLVEVYKQVRIGDPLEKGTLLGPLHTTASKESFLKGIQIIKSQGGKILLGGSAIESEGNFVQPTIVEISPSAPVVREELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTRKPDAIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG* >Brasy5G344000.1.p pacid=40076411 transcript=Brasy5G344000.1 locus=Brasy5G344000 ID=Brasy5G344000.1.v1.1 annot-version=v1.1 MDSKRTTRPPRRPPPPASKRSAVWLVLLLVALCFFTLPLLLELSRGRPNLSDVSKMGISVTARHEDETSTEAEPNSGSAAPGSPREQRDRLLGGLLSPDISESTCHSRYRSSLHRKAPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAHSMGLVDCDYLVWAPSSHLGDRVLSMASAFLYALLTRRVFLVHATADMAGLFCEPFPGASSWELPAGFLVPNLTRLHRGSDSSYGNLLGAKKVPAGVRAESLPSYAYVHLEHDHRQPDQLFFCDDDQTVLSKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARSTAFHHIGRYLFHPSNKVWDVITRYYASYMASFQEKIGLEITTFAWNPVPPDEYLKQITACTSQERILPDVDPASSIAREEVSATTSKAVLVSSAQPEYAEKLKSMYYEHATVTGETVAVLQPGAGKLQKNQKALVEMFLQSFCDVSVVSGWSTVGYIGHGLAGTKPWLLLPPRNKTAAVDPPCVQAASMDPCFHAPPSYDCRAKKNGDLGAVLRHVRHCEDVGSGLKLFD* >Brasy5G273600.1.p pacid=40076412 transcript=Brasy5G273600.1 locus=Brasy5G273600 ID=Brasy5G273600.1.v1.1 annot-version=v1.1 MESTTHYASEEPKYMHYQEIQTITENLKNELGRGGFGVVYKGKCEDKEVAVKVLFESPQGNQDNEFKEELTNLMKLSHQNIIQLVGYCCETYQKYDQRIDKWDIVPRTALCIEYAPNGNLRKYTFDENNRLDWPKVYNIIKGTCEGLYYLHHHTLGSMWHLDLKPENILLDHNMDPKIADFGLSKLFTNPTTKLIQMGGTTDYVPLEYKMRGKVTRKYDIFSLGVVIIEMMAGIGTYRIINDFDNHEDFIKLVLEKWNDILSGYHDQVKACIEIAIKCVDIKPENRLELTCIIDKLKAVENIVPPTPLSQTSSKYEGSSTGEQTGLTSLETTTIATCDSLPSRSLAIEWGDDARFWRWISVLKSRYDECAELLGVCYLNVSSREIAPKDMSAGKRYTVYLVYKLANSNSGLDGVVLTSGIKHHGTVLPNTTSKVILYPKAHGAAGANDVTYPVERADGWLELRLGELPSENKTVTVELHHEDANKTMSGIIIEGMEIRSQNLCTN* >Brasy5G273600.2.p pacid=40076413 transcript=Brasy5G273600.2 locus=Brasy5G273600 ID=Brasy5G273600.2.v1.1 annot-version=v1.1 MESTTHYASEEPKYMHYQEIQTITENLKNELGRGGFGVVYKGKCEDKEVAVKVLFESPQGNQDNEFKEELTNLMKLSHQNIIQLVGYCCETYQKYDQRIDKWDIVPRTALCIEYAPNGNLRKYTFDENNRLDWPKVYNIIKGTCEGLYYLHHHTLGSMWHLDLKPENILLDHNMDPKIADFGLSKLFTNPTTKLIQMGGTTDYVPLEYKMRGKVTRKYDIFSLGVVIIEMMAGIGTYRIINDFDNHEDFIKLVLEKWNDILSGYHDQVKACIEIAIKCVDIKPENRLELTCIIDKLKAVENIVPPTPLSQTSSKYEGSSTGETGLTSLETTTIATCDSLPSRSLAIEWGDDARFWRWISVLKSRYDECAELLGVCYLNVSSREIAPKDMSAGKRYTVYLVYKLANSNSGLDGVVLTSGIKHHGTVLPNTTSKVILYPKAHGAAGANDVTYPVERADGWLELRLGELPSENKTVTVELHHEDANKTMSGIIIEGMEIRSQNLCTN* >Brasy5G284800.1.p pacid=40076414 transcript=Brasy5G284800.1 locus=Brasy5G284800 ID=Brasy5G284800.1.v1.1 annot-version=v1.1 MVTFTARRSEPQLVCPAMPTPHETKNLSDLDDQWTLRFYESIVGFFRAPPRPAGKANNNNMAKGIKAAIAGALVHYYPIAGRLRKLPGGGNKLAVDCTGEGVVFVEAAADVRLVDLGEPLLPPYPCIEEFMADVVGDTTDVVDKPLLFMQVTQLKCGGFAIGIHMCHCIADGFGLLQFIKCIADFASGKLVPTTLPVWKRDLFTARIPPSLSHVYPAYKPFLLGLDRTEEDIMLSTPPENTIVQYFFFGPKDIEILRSHVPSHLAQSTTTFELISAVMWRCRTIALGYESSDKVRVMFTLNARGRRSINGDTLVPRGFYGNAHISPMVEATVDELTTKPLAHILELMRKAKSETTEDCMKSMVDLTALWQQLPPFCMDRTYEISDTKWVAGNALKLGMAELVAGGTPLVGDFTSKLISYHTSCKNEKGEDSTMVSLLLPKPAMKRFANEMAIWLKD* >Brasy5G334600.1.p pacid=40076415 transcript=Brasy5G334600.1 locus=Brasy5G334600 ID=Brasy5G334600.1.v1.1 annot-version=v1.1 MCGRMIQQVLFDLLSVIRISDLCKLVLKTYFLMSQEETIFIQDLLLMTLFATCYSQEHLAWLQMIKFHCLLPSDDQSKLAKKQRGGQKKEQE* >Brasy5G235700.1.p pacid=40076416 transcript=Brasy5G235700.1 locus=Brasy5G235700 ID=Brasy5G235700.1.v1.1 annot-version=v1.1 MGCAVWPLSGPGPRPFFLSSLPELLFSPSPDRIPFIPGVLSPRPRATPWTGRGGRIPPSPVASPRPSRHGRCTEKLRTCCCPRRRCPSPPIAEPLH* >Brasy5G029600.1.p pacid=40076417 transcript=Brasy5G029600.1 locus=Brasy5G029600 ID=Brasy5G029600.1.v1.1 annot-version=v1.1 MAAWLYPIISHGDHQKHVDDDGVVGQNGRPMASVKETMNKQLEENKLLTTKKNNGASARRKMEGGGRRANYAGAHRQTEKRRRSKINEKLKTLQQMVPGCEPSQASTLDQTIHYVRSLQHQVQAMSVVGCSMPVPAASSRAALLGPPQPPQTMVPFVPMLPCPAHHPAVIHDAAVDLPAGSCACCWWPSSSSSGLQ* >Brasy5G204700.1.p pacid=40076418 transcript=Brasy5G204700.1 locus=Brasy5G204700 ID=Brasy5G204700.1.v1.1 annot-version=v1.1 MHIYVKNPTEMHIYVKNPTGRTICLRVHESDTLYTVKAKIQEQHRLVFDGVQLDQDNLTLADYDIEHGSTLDLQEKMQIYVMETQAGRTITLEVDSLDTIDEVKSKIQVKEGFPKGQQRLIFGNKQLEDGELTLADHNIWKESTVLLILKPSPPRGTRMRIFVQTMRGETIGLNVESSDTVDDVKVKIYEKDGTRPIQQRLIFNGKQMEGDRTLADYNMENGETLDLVLCQCGC* >Brasy5G349800.1.p pacid=40076419 transcript=Brasy5G349800.1 locus=Brasy5G349800 ID=Brasy5G349800.1.v1.1 annot-version=v1.1 MAIVIVVLIAAFFFLGFFSVYIRHCYGDRSGYSVNPLPAGNAARSRRQQRGLDKAVLETFPTMAYADVKEHKSVKGALECAVCLSEFDDDETLRLLPKCSHVFHPDCIDTWLASHVTCPVCRANLDVPDDDPAAAPAADDVSVEAAELSAPPVPAPQPQELPAAAVAIDVEEETEDERIIREETDELTRIGSLKRALRSKSSRRAPFPRSHSTGHSLAAAGTRTGTGAERFTLRLPEHVLKEVVAAGKLRRTKSLVAFPASRQLGSTRRGVLFRAGGDGDGSSRGGRSVRLGQSGRWPSFLSRTFSAAWGSRSTRRAAESDGSSKGGRAGAGRSVECSEQSCGIGQRV* >Brasy5G418600.1.p pacid=40076420 transcript=Brasy5G418600.1 locus=Brasy5G418600 ID=Brasy5G418600.1.v1.1 annot-version=v1.1 MAAATMALSSPAMAGTPVKVSRATPFGEGRITMRKTAAKPKVSASGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK* >Brasy5G388800.1.p pacid=40076421 transcript=Brasy5G388800.1 locus=Brasy5G388800 ID=Brasy5G388800.1.v1.1 annot-version=v1.1 MPARTGGRILQPGRILACYAEVDLHAPWTGWRPARRGDVLRDLLHLDARSAAMRQALATGGATPSRSKDAASADGGSSGSEASVRRWRRTGAVEEDRVGVGAGEEPWRRRRLGVGGGRDRIGGWGWVGVPAAPSTFIPSGLWRTEEAGATLASTKQELVSELKEPCVEVVSAIVLDTRGAIASRLSSRLRGQRFDCRLAWSPQTRARAHPATASWPFSGGTPCDASQESPCMLRRAAAAPAMALAAGQPVGQSKSGVRSCSSTLRRIPASNQRQRRRTSWRRAADQRIARAGDRSEDSTGGWSSSAEGGPSTSSEPSKQPAKAEEQTTVWRRGQWLGGARGTRGGRDEGAHRPRRWSGMGYSPEEGRRRSHLAWRRGSGEENCWRLCSGEEGAKGWWAARSGEKRRG* >Brasy5G113800.1.p pacid=40076422 transcript=Brasy5G113800.1 locus=Brasy5G113800 ID=Brasy5G113800.1.v1.1 annot-version=v1.1 MRKRRRKGAAASRGVEGGAGQHARDEMRASGQGAGAGGLLDGEERRRGRAARWGRKEAEWEREMRRGRAARWGRKEAVREKEREMRRGRAARWGRRS* >Brasy5G487400.1.p pacid=40076423 transcript=Brasy5G487400.1 locus=Brasy5G487400 ID=Brasy5G487400.1.v1.1 annot-version=v1.1 MALLLLLLLLLFLGSAGAGDEDSTPATALFVLGDSTASCAATTLPLNLTTSFSSPCVFHHSGARRRLIPDLLAAKMGLPPPPAISTLNGTAAAAAQGVNFGDSSDVITNAPAATTNNAGVFRMGAVGQQLRLATETLQLLRLESPSPTAAAAALSRSLFVVSFGSDAYARLLGRGTEADAWAPKHGRRGFARLLAGRVGRAVQELYEAGARRVAVLAVGPLGCAPRVMWEGLHLVDNNGGGGCVEEANELVAAYNGRVEAALDKLRPSLPGADLVFCDVYKAVMEMISNPGAYGFEEAREACCGLGPFGGTIGCLTREMACPTPQGHIWWDLYGLTGTANSLLVDWAWAAPPSATSNLTSVCRPVTLQQLAGRRANDN* >Brasy5G477300.1.p pacid=40076424 transcript=Brasy5G477300.1 locus=Brasy5G477300 ID=Brasy5G477300.1.v1.1 annot-version=v1.1 MDSLTWLAELLELPEDAAREGPPRLPGPVNVPDLGDAADFDLYWSGAGAELFGAPPPASGAAMAALRETTAVEARENRCAVCLEKFKAEEKLRTLPCGHTFHQGCIFEWLGVSGTCPLCRHRLPAERL* >Brasy5G113000.1.p pacid=40076425 transcript=Brasy5G113000.1 locus=Brasy5G113000 ID=Brasy5G113000.1.v1.1 annot-version=v1.1 MNRESARRRIPRVAAQVSLRAGASCSPEWPSPDPRTAPSWTALPPQAILLLRPRRRRAACEARAAVIRMGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKQTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.4.p pacid=40076426 transcript=Brasy5G113000.4 locus=Brasy5G113000 ID=Brasy5G113000.4.v1.1 annot-version=v1.1 MNRESARRRIPRVAAQVSLRAGASCSPEWPSPDPRTAPSWTALPPQAILLLRPRRRRAACEARAAVIRMGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.5.p pacid=40076427 transcript=Brasy5G113000.5 locus=Brasy5G113000 ID=Brasy5G113000.5.v1.1 annot-version=v1.1 MGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKQTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.2.p pacid=40076428 transcript=Brasy5G113000.2 locus=Brasy5G113000 ID=Brasy5G113000.2.v1.1 annot-version=v1.1 MGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKQTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.6.p pacid=40076429 transcript=Brasy5G113000.6 locus=Brasy5G113000 ID=Brasy5G113000.6.v1.1 annot-version=v1.1 MGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.3.p pacid=40076430 transcript=Brasy5G113000.3 locus=Brasy5G113000 ID=Brasy5G113000.3.v1.1 annot-version=v1.1 MGNHRTCGICVILSILFVSSTVVSASDDGLVRFVLKKRPVIESIYSEVIPKSTMENKATLGYAGVRLNGAAGTNFDPVREAVNQVRAHERRMFIETVAMEQQCNHNLSCRGIRGNRSVHDSQQNIITLKNYMNAQYFGQIGVGCPPQNFTVVFDTGSSNIWVPSAKCIFSLACYFHPKYVSRWSSTYKENGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.7.p pacid=40076431 transcript=Brasy5G113000.7 locus=Brasy5G113000 ID=Brasy5G113000.7.v1.1 annot-version=v1.1 MVQHLQGEWYASSLHRLIYQHIVGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKQTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G113000.8.p pacid=40076432 transcript=Brasy5G113000.8 locus=Brasy5G113000 ID=Brasy5G113000.8.v1.1 annot-version=v1.1 MVQHLQGEWYASSLHRLIYQHIVGTPASIHYGTGAIYGFYSEDQVTIGDLVVKNQEFIETTYEHGFTFLAAKFDGILGLGFKEISVEGSDPVWYNMIDQGLVKEQSFSFWLNRDANDGEGGEIVFGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIAVIAQINEKIGATGVANEECKQVVAGYGQQMIELLEAKTAPAQVCSKIGLCTFDGTRGVSPGIKSVAGEAQKTALGGMSDATCNACEMAVTWMQSEFVHNLTKEGTLEYVNRLCGHMPSPVGSSVDCRHIDSLQSVSFSIGGKIFELQPEQYILKVGDGFMARCISGFTALDIPPPVGPLWILGDVFMGAYHTIFDYGKMRVGFAESA* >Brasy5G168100.1.p pacid=40076433 transcript=Brasy5G168100.1 locus=Brasy5G168100 ID=Brasy5G168100.1.v1.1 annot-version=v1.1 MSVIFFFSVSGVAARRTRPHDAAPQACTPPPRELPGRLPRPCSLPVSRRSRLPASAALPLPLAHELPPPLSREPPPLAPKSRPAPVVFPRAAAAACPRAPRPLAREPPRGRKRAPGEKIRRQGQGRIRSSGVGRTKEMAGTWRPCPLSGVMQGRTGIEQEGEGLRVPVHSERNGERNN* >Brasy5G063700.1.p pacid=40076434 transcript=Brasy5G063700.1 locus=Brasy5G063700 ID=Brasy5G063700.1.v1.1 annot-version=v1.1 MEVGGSSAGSSSRRGGGDLCAPQESRQEEKARSGGGGGAMRARVCARVRTPHGIGALLLVGGAIVGAAVVAWRRRGDGKGANNHQHREQQPAKDEGVLDGGVVEDEQIQGKFDQSDENLGREDTEVGTNGLDGKETHDLHENQEEEEKKEEINADKLDSKLVEKFDPNSSRGLAEIVAVDTVSSAFCTYKESGDVNKADQNSRKNDIEEEVMPNAIKDVESVENCDQSTLTISSPEIAHEEHNDGPQETASTQATTPQLLISEEAKTDTMAETAEAELAEGTAIEENVSEHEAAEAELAKGTTVEENVSEQEAAAAELAKGTTMEENVSEHEEHKPAAEKPAVAAGIDSSAISSPQYLLKPAEKKRPANPDWKESGMKLDRDQDCGNGELTKVGTKQGGVAVVTVDRRAASMAVLAIILAVTIGVNAIFALTIGVNIISRLYSHLRGT* >Brasy5G063700.2.p pacid=40076435 transcript=Brasy5G063700.2 locus=Brasy5G063700 ID=Brasy5G063700.2.v1.1 annot-version=v1.1 MEVGGSSAGSSSRRGGGDLCAPQESRQEEKARSGGGGGAMRARVCARVRTPHGIGALLLVGGAIVGAAVVAWRRRGDGKGANNHQHREQQPAKDEGVLDGGVVEDEQGKFDQSDENLGREDTEVGTNGLDGKETHDLHENQEEEEKKEEINADKLDSKLVEKFDPNSSRGLAEIVAVDTVSSAFCTYKESGDVNKADQNSRKNDIEEEVMPNAIKDVESVENCDQSTLTISSPEIAHEEHNDGPQETASTQATTPQLLISEEAKTDTMAETAEAELAEGTAIEENVSEHEAAEAELAKGTTVEENVSEQEAAAAELAKGTTMEENVSEHEEHKPAAEKPAVAAGIDSSAISSPQYLLKPAEKKRPANPDWKESGMKLDRDQDCGNGELTKVGTKQGGVAVVTVDRRAASMAVLAIILAVTIGVNAIFALTIGVNIISRLYSHLRGT* >Brasy5G515900.1.p pacid=40076436 transcript=Brasy5G515900.1 locus=Brasy5G515900 ID=Brasy5G515900.1.v1.1 annot-version=v1.1 MSLLRRRKQQQPPPPSPGDDSNGSDHDEKDKGDKGKKPAQPSSSSAPPSKEAGRRVKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKMQKEGLHAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGVRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPDWCAKHIKTVANIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEDGFECKAKKQKTNDSEVSKDVTRGPVEVHREPVNYGRLVSFGKDVAEAPPSDIEQIEFRDAVKGNNIAHSNTSCGDVWTEYHELGWGGVKAVADYKVYTASSIIDLLHFVAPRMMQRGSVHFSYGIADNLDDPKYDHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLANGDETVPVLSAGYMCAEGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALMEDIIRIAAGATGEELGGDQVYSDIFKWSEKINLKL* >Brasy5G088100.1.p pacid=40076437 transcript=Brasy5G088100.1 locus=Brasy5G088100 ID=Brasy5G088100.1.v1.1 annot-version=v1.1 MAAATATTTTTVRDVLYLYSVARAAYERFVSVCGNQEQAQKAVALLVWLDQGTVSAIHHLPGITPAAVKLVAAEAYGVLECLRHQEPVLPAIPLISALCYEGGVFVDPRFFAFHQDLVVRGVAEFLDGVGKLVFNERLHLLLRRYETGLVGNPPELMAPYISNQRVTVPEDCRSMFITFSKGMPIQRDQIFHYFRQKWGDCVVRVLMERTSNDTEPVYGRIIFKTEALINLVLNGQRVVKITIGYRQLWLRKYVPRPANM* >Brasy5G254300.1.p pacid=40076438 transcript=Brasy5G254300.1 locus=Brasy5G254300 ID=Brasy5G254300.1.v1.1 annot-version=v1.1 MSDDDGVLTVAVDLVVPVMAGMSRYRRWCCSCSLAEGSLRAACGRPSSGPRPQLPRSRERPDAHAPCGQTPRAAGDGGAIAGLPRRPVHRIRTRDGRIWWRATPYRRRSGEEGGASRPGTGGGGRRQQEGRGGSDRKKGRGREKRRAAVGRKMGREGSLVGWLSLRDRYRRRPARRGGAGTRSGGVETARGEKETRFVFY* >Brasy5G454800.1.p pacid=40076439 transcript=Brasy5G454800.1 locus=Brasy5G454800 ID=Brasy5G454800.1.v1.1 annot-version=v1.1 MDVLPEDVVADVLGRLDPRSLAACRCLCKSWRAVVDAGRLLRKDLLPLSVAGIFDLYTTGIGEDLPPMFFSRPASINNNPPIDTDLLSYLDAVFFHDWAYSADITGHCNGLLLLFAAVANPATRQWARLPPYPREDPRPNKAFYQHAFLAYDPTTAAPAGPSQQHFEVFFMHCPFRTAGERRSRTELDPANPGSEWPPSPFVMSVFSSRTWRWEERSFVREGMGVRHIASLVPFLEDVSRHDSVYWRGRLYVCHIFFIIRLDLEDNRYHVIQLPPMKEGEPCRNIDPYFGKSKNGVYYGFVQGWCKLEVWFLDESTQGTHQWVLKHDIDLEPLLANFPWKHGDGPWSVQGYSVNAGDTLEKEEKPEWDSDNDDGISATAAHDSVKKRIGIWILGFHPYKEIVFLHTTSGRVMACHLNSSKAEDLGCLPLDRYDYDVRLGLSFTYTPCWMELSCLSLEAGVDRALGRRL* >Brasy5G191900.1.p pacid=40076440 transcript=Brasy5G191900.1 locus=Brasy5G191900 ID=Brasy5G191900.1.v1.1 annot-version=v1.1 MAAVAVFLSGFLVGLLLLALAEGAALLWAVRTLRRRGPRPPEAAAAAELSGDRPLTTEKQGFLWMLEPEKVPKVSSNNHLPNGVQKDTKDKKNIVEVFPLRMLAKIDGHSLILSGPDGSRITVELLNCTVVAVSASNLPSRKWAKRYPIKLESKGSEICKGGKVCYLYVDTSWEKESWCKALRLASSHYGRNLLCRRPRAAGKYLVSTDKEKLNLHARLSEEFRSYISSLNAGYPCFLKSSALNAEDHEIMDKVVKSDGSSKVRLLLKKLARKASGKAPQVTRTSSISAQAERKGLEKIRSNHGSSLIDAPEERSTGSSSSQGTNQPSTPSSDFGHSNMFSDSPDANIDEKCADGGTLCWNLLISRSFFDRTLSNMRTAAYIGEITLTDLSLGELPPYLRRMRALPRDLNELWAFEVDFEYCSGIILHIEARLEVQEPELQKDIMKTTLGADSNGGIDSELLENIEHYGNQFRSPQLLAPVVEDEDDTGVKAVKEYWVDTSAYTSRWKNILHSITDHVSQVPFSLAIKITSVRGTLRMQIKPPPSDQIWYGFTSMPELAWELESSVGDRKITNSHIASLISNRIKEKYDWVPRKVAPFIWLNREPPEAVKQNADMGTVRPDDVVALKVSANNKASKSSPPAPSTRIGDEALKNVISTLRPNQEPATVASTSSCSSLPSEIEPSNELMTPLLTTRNFDQESASENAVGSSLQLAVVVPRGEQSSSSASPRGYDVKRKGSKRALVMGLGRRMGDKLEEKRRHIVEKIKKENAEKEQ* >Brasy5G127700.1.p pacid=40076441 transcript=Brasy5G127700.1 locus=Brasy5G127700 ID=Brasy5G127700.1.v1.1 annot-version=v1.1 MEKLSMATAIFCAVAVTVLAAAVGGEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDVTDGDTVVVHVKNHLPHGLTIHWHGVRQIMSCWSDGAGFVTECPIPPGGEHMYRFNVTGQVGTLWWHAHVTCLRATVAGALIIRPKADKYPFPTPAKDVPIIIGEWWELDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVVRGETYLLRIINTALFSEYYFRVAGHTLTVVGADGNYLTPYKTDMVTVAPGEAIDVLMVADAKPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGVPNNNNGMPVPTPLMPNQHNTMPSFYFHNNLTGLAHPDRHRVPMHVDERLFVTLGLGSICRNGSKTSCKRRRSNETIVVATMNNVSFHHPTKASLLESYYDGKPNKTLYTEDFPDNPPHPYNYSDRALIPPGPLEEALEPTFKATKLRRFKYNSSIEIVFQSTALLQSDSNPMHLHGYDFFVLAQGIGNFNPRTDPKRFNYHNPQLRNTVQVPRTGWAAVRFIADNPGVWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTRP* >Brasy5G013500.1.p pacid=40076442 transcript=Brasy5G013500.1 locus=Brasy5G013500 ID=Brasy5G013500.1.v1.1 annot-version=v1.1 MAEKAVWDDENMRHFIDICKEEISNGNRPLGFFNRIGWKNLGDKFEAKSGKMLTKTQLKNKWDNMKKEYTWFMELKNAAAGLGWLDDRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYRLYKTTCGRIGAAADNISSGVQASSAPPPTSHVPSIADVMQMVKDCGVQEGTALMHTATMLIVKPNFREIFSLLQTKEGRFDWLERKLKKSM* >Brasy5G347800.1.p pacid=40076443 transcript=Brasy5G347800.1 locus=Brasy5G347800 ID=Brasy5G347800.1.v1.1 annot-version=v1.1 MYAMMVQARSGEPEEMGMEDDERAPATRSGGGTSRSHSEAERKRRQRINAHLATLRSLLPSASQMDKAALLGEVVRHVRELRDRAPAGEAGFLPGESDDVGVEEEEEEHWDARGSGEIKTKRPVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVAGRTRSVLELDVGQAVGASRPALQAALRAVLLGRQEELLALESGCKRQRFSALISEV* >Brasy5G513700.1.p pacid=40076444 transcript=Brasy5G513700.1 locus=Brasy5G513700 ID=Brasy5G513700.1.v1.1 annot-version=v1.1 MEPAATMVFVYGTLKQGFPNHPRLAAFGSPFVGAASTAAPVSLVIGPYAVPFLVPSPIPSSGRLVSGELYSASPSALADLDAFEGTHLGVYERRRISVVVDGTSREVEAEAYFANASYAEALWRRCGGEAAEIGEYTMEHADRYIPPSGRSPGISGLMQAVHGFVATTPPDN* >Brasy5G130300.1.p pacid=40076445 transcript=Brasy5G130300.1 locus=Brasy5G130300 ID=Brasy5G130300.1.v1.1 annot-version=v1.1 MASSVALLIIGVVVVAGALLLSPAAGELQKVQHSPKEDGSLTVLAVGDWGRAGQYNQTLVAEQMGVVGEKLSADFMISTGDNFYNDGLAGDNDTAFFRASFSDIYTADSLQKPWYIVLGNHDYTGDALAQQSPAIRDVDTRWTSVNKSFIVESGIVDFFLVDTSPFVLKYWNESKFDWRNVAPRDTYIANLIKDLEEALTASNATWKVVVGHHPISSGCEHGNTTELREYLLPVLQTHGVDMYLNGHDHCLQRTGGTDSPVEFVTSGGGSKAWAGKFKPTSDKMEFLYDGQGFLSMQLTAADARFAFYDVAGEVLHAWELTKSPAAALTIVS* >Brasy5G434400.1.p pacid=40076446 transcript=Brasy5G434400.1 locus=Brasy5G434400 ID=Brasy5G434400.1.v1.1 annot-version=v1.1 MADTDANPAPESDPIASIPPSPSPSPPAGDAAAAIETQFADLAVTVELPASGWEDDDGPAAVLEIATGDEVTGDKVPAPAKAAVSDSGPRFPRRPAEPDCTYYIRFGTCRFGMKCKFNHPARRKKNRAKGSGSSGSGSNSGSSNKASSPPDDDQTPKEEYEEDLVPDIAAEVDSLGFVNKGSKSSNSGDLMKTSYEKGQMEPKELKIDETEKGTYSKKFDEADDQKVAKEKRRDTVSEGSAQEECKYYKTFGGCKFGKSCKYLHPGGKERKTEVEEVELNFLGLPIRPGGKECQYYMRTGSCRYAANCRFHHPDPTNVASREPVLEHENGDIPQQNVQGPSQPNVSIWPADQRTLNEHHAPFLAPAPSYSAGMIPPQGMIPPQGMYPTPEWSGYHQVPLSPYYPPGIPFHHFPGPPVNHQIYRGTDVPGHQQLPSDEYPERPGQPECQHFVKSGYCKFGVKCKYHHPRSLMPPPPPPPPPQAGTLSPLGLPLKPDQPVCTYYGRYGVCKYGPACLYNHPFNFGHPVPAAGPPLAGQYLTPGNYTV* >Brasy5G434400.2.p pacid=40076447 transcript=Brasy5G434400.2 locus=Brasy5G434400 ID=Brasy5G434400.2.v1.1 annot-version=v1.1 MADTDANPAPESDPIASIPPSPSPSPPAGDAAAAIETQFADLAVTVELPASGWEDDDGPAAVLEIATGDEVTGDKVPAPAKAAVSDSGPRFPRRPAEPDCTYYIRFGTCRFGMKCKFNHPARRKKNRAKGSGSSGSGSNSGSSNKASSPPDDDQTPKEEYEEDLVPDIAAEVDSLGFVNKGSKSSNSGDLMKTSYEGQMEPKELKIDETEKGTYSKKFDEADDQKVAKEKRRDTVSEGSAQEECKYYKTFGGCKFGKSCKYLHPGGKERKTEVEEVELNFLGLPIRPGGKECQYYMRTGSCRYAANCRFHHPDPTNVASREPVLEHENGDIPQQNVQGPSQPNVSIWPADQRTLNEHHAPFLAPAPSYSAGMIPPQGMIPPQGMYPTPEWSGYHQVPLSPYYPPGIPFHHFPGPPVNHQIYRGTDVPGHQQLPSDEYPERPGQPECQHFVKSGYCKFGVKCKYHHPRSLMPPPPPPPPPQAGTLSPLGLPLKPDQPVCTYYGRYGVCKYGPACLYNHPFNFGHPVPAAGPPLAGQYLTPGNYTV* >Brasy5G486500.1.p pacid=40076448 transcript=Brasy5G486500.1 locus=Brasy5G486500 ID=Brasy5G486500.1.v1.1 annot-version=v1.1 MKPSSYPYELHNRSLSLATNSLAQLPTISCPRGTVPVLQNSKGGIKKIEGFHKMEDPHGEMALMKTVDDIYGSRVLINVYEPKVKENTEDLSASWVLMLNKQDASHLESIGAGSIVWPSYSGDNFARFHITWRDNTLDSMCFDHDCPGFVQVNPRIALGSRIQQVSVYNGPQHYIDVLLFKDPKTKDWWVALGGTPIGYWPSSLFSHLKDKVTEAAWGGHVYGPTAQSYFPEMGSGHFASEWFGKAAYVSNIKIVDENNNYFTPDTEKTFARSTKPRCYTVDNFGQDEGGMHVYYGGPAGCKNYNS* >Brasy5G121900.1.p pacid=40076449 transcript=Brasy5G121900.1 locus=Brasy5G121900 ID=Brasy5G121900.1.v1.1 annot-version=v1.1 MKGRTPFKSPLPSSSHASDASSSSSAGAVATHRLYQVWRGRNKFLCGGRLIFGPDANSIVLSISLIMTPLVLFVAFVSFRLAELMGKPLGPFVPTTAMAVGAFDVIVLVLTSGRDPGIIPRNKRPPDPEDLLLDGMSSPMAGAGAPPPPSGVLPPTRDVYVNGIVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRFFYMFISSTTFLCLYVFVFCWVNLVLIARQERCGLGGAMLSSPVSCLLIGYTFITSWFVGGLTAFHSYLASTNQTTYENFRYRYEGKSNPYNRGVARNLVEIFLSPIPASKNDFRQKVAVDPNALFYGPPSMAYSYSFGLLSSSKKSFNTQGSLSFDMTKQASFDLGGYPASGKRTSVGSSDFGDIYGGTGGEGATVQQPRHSIFGDAESKKAAADDAESVATVDLETMAEYGAAAAAGRPRGREFEAV* >Brasy5G074200.1.p pacid=40076450 transcript=Brasy5G074200.1 locus=Brasy5G074200 ID=Brasy5G074200.1.v1.1 annot-version=v1.1 MENGYGEYAKDGSVDLRGNPVLRSKRGGWKACTFIVVYELFERMAYYGISSNLVMYLTNRLHEGTVQASNNVTNWSGTVFLTPLVGAVVADAYLGRYWTFVVGSVIYLMGMILLTLSVTVPALKPPSCSGGSCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDEFHPSEKLHKLSFFNWWMFTIFTGILVSSTVLVYLQDNISWGVGYGVPTLGLLVSVAVFLAGTPLYRHKVPQGSPLTTIARVLAAAVWKRRVSLPGHVKDQELHELEPEHYASKRTFRIEATDSMKFLNKAAVPAGAPAPRWTLCTVTQVEETKQIIKLLPLLAAMVLPCTLIAQTNTLFVKQGATLDRRVGKFSVPPASLGVFVTLTLLACLALYDRVFVPAARRRTGNPRGITLLQRIGAGMLLQVATMAVTAAVESGRLSFARTHPAVRGVLPLTIFVLLPQFVLMGASDAFLAVGQMEIFYDQAPESMKSLGTALSLTAYGAGNALSSVILSLVQRVTAARGTPWVTNDLNASRLDCYYALLAALAALNLSVFVALSGRYTYRTESRETIDVAMGVQGGGDARFRSDSAPTA* >Brasy5G255700.1.p pacid=40076451 transcript=Brasy5G255700.1 locus=Brasy5G255700 ID=Brasy5G255700.1.v1.1 annot-version=v1.1 MESLVQIFNEWEIQLLVLLSFTLQVFLFVTGGLRRHSTNSLLRFSMWVAYLGADMVAIYALGFLSRHHKEVTTGKETLRQAHPLAFLWAPFLLMHLGGQDTVTAFAIEDNSLWLRHLLNLVVQVVAALYVFWVSTTGRGDRSVQLPGIFVFIAGVIKYGERTLALMYGNLKNISSSSTMEVQHREVLTSDTHVDHLSKLLEIELDLMYDDIYTKAMVLRSRSGIILRCVSQASMVVGFVLFIVGAVNNKHGYSRADLSITYVLFTGGLCLEVCAVFILLMSPWTWAWLKAQNSHGFQGLACISSFLLSSNIGRPERRPLWSNSMGQSNFLCYLSSDHQSRLSKLVKDVTRTATSLVVGTREQTKSFLWMSKILDTKYVEVDKEIMDSVIQMVCRYHPGESTMNAEDWPYLGPLLATLVPDFGASFGYGIVCFHIFTEAHLRKYTYPDRDHVLVSACRRLSNYMLYLLITHPEMLPVSGTTEPTVLFFLDKISPHLKCMSSHTILMRVSTIFDEMDLLGAVDVGSTEALVEMRNLWTTLLIFSAGKSRADVHAAQLSRGGELLTFAWLLMAHKKLGDVGEAFNFNIRGPIMTGPKLTRAWHVPARGW* >Brasy5G356300.1.p pacid=40076452 transcript=Brasy5G356300.1 locus=Brasy5G356300 ID=Brasy5G356300.1.v1.1 annot-version=v1.1 MAAAKRSAAARDTDVTVVLHAPWMTSGKRTRLWSSSDCEETGALGEGAFGAVVEARHRATGEAVAIKKACSPTRNGGTGTGMMREAAFLAACQGHGNVVELRAVSLEPLGSSPERLSLVMGLVGPSLHDVLHHRRGGRPFPEAEVRRIMRQLLAGAQHMHGLRVVHRDIKPQNILVVAGDGDQIESVKICDLGLAVSMSEPTPYERCGTRRYMAPEVLLGKPDYDAAVDAWSLGCVMAELLAGAPLFDGEDDGAQLLSIFSVLGVPLFTLWPEYKSLPLAGKVVQPPNKRHRNKLRQHFPEERLSEEGFEVLQGLLSCNVDKRLSATTALRRPWFTKKAVDAQA* >Brasy5G485400.1.p pacid=40076453 transcript=Brasy5G485400.1 locus=Brasy5G485400 ID=Brasy5G485400.1.v1.1 annot-version=v1.1 MESSKDGAETTEQPLDMKPLLSLAPMFPAPAGYDMATQSGDPPLVYVTPIFRSCSSSEWPRPSSGQPRPSSEQPMPAAPASFARPSPVSSVPLKATPISAAFPKPRRKDESSDEDYDPFCKKRKTSTPKRTTKKVQLAGDSNAANIKRRPIRRSLSKELAGWPSSADSPRESVEAIMIMFDSLRRRMLQLDEKEDAGKRADLKAGALMMQNNLRINSLKKIGPVPGVEIGDIFFFRFEMCIVGLHAQSMAGIDYMSSKHAGKDESLAVSIISSGGYENAEDDTDTLVYTGQGGNSRYKEKHDQKPERGNLALMNSTKRKNQIRVVRGVQDPFCNSGKIYIYDGLYRIEDSWMDTAKNGFNVFKYKLRREPGQPDGISVWKMTEKWKENPVTRGRFITLDLSSDIENQPVCLVNDVDNEKGPSHFEYVTGVKYSRPLSRNKPLQNCKCPIVCLPGDPNCSCKNGGDLPYSSTGVLVKHVPILYECSSDCHCSQDCRNRVAQKGIKLNFEVFWTGHRGWGLRSWDPIRAGTFVCEYAGEVIDETNMNTDVEEHEYTFRTCPGDKALRWNLGAELLEEKSADAAAESFRQLPIIISAKDAGNIARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMKHIPPMTELTYDYGERGAPPGIKGKFPQACKLKACLCGSTNCRGSF* >Brasy5G261900.1.p pacid=40076454 transcript=Brasy5G261900.1 locus=Brasy5G261900 ID=Brasy5G261900.1.v1.1 annot-version=v1.1 MVILCNGHAAPSVHTEFISGWLSLNTSKMRCRLNSTGRKLRNQNRHQKMISFAKSSSLHDSIASVKPSRLLPTVEPRTFPSSVPKEIISRVRLQESDAFYMLELSTSREFSSSLLDKNAAILICLIDVDGDSLLQRIPAIYWDQPAQSTKALQSVPFQSGSVDIITFKGPKLQRIKEVWIGLESGSWRLDGLSLKMIHGPLNTPNDLEVTLELKFNGLQYTFDKLNALLGEDGASVVEAKPISVTDLSGISIFDLQEGQLSSASTASSIVELEDGLREYADLKQSLLLYDIAIVITGFSAFTLASNDAAAYSFLVGGIGGFLYLLLLQRSVDGLPATSSPSEAGSPEPSVKGFSGIRRPWLILSLAMVSGAVALKYGAGGETFELTPTELFVGAAGFLANKVSVLLAAFKPLQTNLKREDGSGD* >Brasy5G523300.1.p pacid=40076455 transcript=Brasy5G523300.1 locus=Brasy5G523300 ID=Brasy5G523300.1.v1.1 annot-version=v1.1 MDVEDIFGWDIDGAKEQILEYVTGRPEKKVIYFHGWDGFGASPVLRSIAQVLLSLKVDAPRELCFDRIIYVDCSRWKSRREMQRVIAEELKLDRATMDMFIKQDEEDDFSGLDQDSRDVIPSIATEIDRTLRDCRFMMIFLNGSDDEVDVTRFGIPQMTEFRNNRMIWTFKRRLLTIHSRPSGIKEKLRNTQLFLDAALVYGEYQITESEFCALVQGEAATTIARYPCMLDIDSTMVTDCCLLELSLHYNFHSTTRFGWAANSSNYWLCDGIIQGDRAMEISNALHGEIRWECDASLLHRVLEGLEPLFLVTTNTSRPAVSTRCLAKGRWISITYQGHKVCVMKNIPATASLFFLAFKRTDDLPSSERSDRTPAFQGQDDPTAVLPSGMFEHSSNLAVLVLSYCAFCFASPPFNACHGLRFLGLDHCKHKTREGEGHTDIEWTFLLSLCVLEVRYTEWDEMLSEEKMDLMTNLRELNIEGVRCWKYINLLRKRLAHIHRLRITKPMIHHPEETDVVVVDSIMDKLEILDLSGNTEMKVVPISLPNASRLQVLVLDGCNGLECVAAPDTLPPSLLSFSLDGYGATSHWTPALNLLPPENLRPSSTDQEDAKASKISLEGCTSLENLFLRGLPNLVELDLSGTAIKVLDFTTMVVQVQCLRRVFLLGCEHLLAIRWDQKSRSGIQPQLELLCIDTRSGTGYSRPSMDHITKSFRLKVHAILSDARLARSLWPAIQHYRSKGSLTDVYFNIHITSLPVLHSEDVPPEATHRILARKYGDVHVMVGDAAMQAFPEPPATELDRHVEISQESYRLETELLGYVPARNNLALLMRRCADSMHLHDISTSASMPMNEWNYLRHCRVERCAKLDTVFPGTYGFEALETIWASDLLMARCIWSKGGSYYHYSSFGGLRHMHLRSCPRLRFVLPVWVSSFPSLETLHIIQCRDLRHVFVLDEAHPEARVIFPNLAAIHLHDLPMLRDICEAQMLMLAPALQTVKIRGCWGLRRLPAMEGRGLHMKKPDVEIEKDVWDALEWDGVEAGHHPSLFEEPRHSRHYKQVTLPRVSVLR* >Brasy5G486100.1.p pacid=40076456 transcript=Brasy5G486100.1 locus=Brasy5G486100 ID=Brasy5G486100.1.v1.1 annot-version=v1.1 MDPGPSSASADPSPSQPAPPEEEEQEEQDEEREEDGCGGGGGSTWVVVPAGEVLGAGSPKVVGWEELQQELARLWSLSAALAAARDRKAALAARLESALQARKAFLQQDNELAEMKQRLQAHTDFMGELRMQTKELSANVDDRKEQLCVKIRTLTVAEKTVSAAQSKLQEPGKLLSGERGHGRLKSLERMLRMRQQYMIGQVVQIYPVRPFNEQSPVVKPGLNSSIFRTGDADAMSPNGSQSGQTPLSILGLQLSKLSIKKTSYFSDKTEIQKSATLLGYVAHAVSLIASYLAVPLRYPLRLGGSHSYIVDHAPSVDPSIASGVSSSIPSSTSMRTTEFPLFFEGQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISD* >Brasy5G192300.1.p pacid=40076457 transcript=Brasy5G192300.1 locus=Brasy5G192300 ID=Brasy5G192300.1.v1.1 annot-version=v1.1 MYILLSHCPVGPAPVSNLQRVSPPSSPSLPQRRRGSARGSSGGAAVAASGSCAAGEAWWQSMCFRRAMAAEHVRGGGAHMLPAAARICFRRRRGMRCKAGVFVPCTVQLGAVRSGATTAYFRKKELHRSRVNQVQRVAIDRSRMPVSRIAIGSPGELSHPDTFKAGVAEFISMLIFVFAGSGSGMAFGKLTAGGATTPAGLIAAALALFVAVSVSANISGGHVNPAVTFGAFVGGNISLLKAVVYWVAQLLGSVAACLLLKIATGGAAVGAFSLSAGMGVWNAVVLEVVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSGVWENHWVYWLGPFAGAAIAALVYDIVFIGQRPLHDQLPSAEY* >Brasy5G012300.1.p pacid=40076458 transcript=Brasy5G012300.1 locus=Brasy5G012300 ID=Brasy5G012300.1.v1.1 annot-version=v1.1 MRDPHRRRQQRARRLPPHREHRRPPTQGTTPTAHELSQGQIRTDPTGPTKPGCRATGGAARNPAARRPRGPRPGDQHVHLQLGPPPGAPRPRRSGRTARTPPAPRRRAQPAAAGHAAQPAAAGSTPPAATGPLRAARTCAAATPRAIPGDQAPRPEKAPPPPTLPGLCPAALTGGGEGRKGRKGRRRRPELGFRAPLGSDAGDGGKLYY* >Brasy5G080700.1.p pacid=40076459 transcript=Brasy5G080700.1 locus=Brasy5G080700 ID=Brasy5G080700.1.v1.1 annot-version=v1.1 MGTGPTAPAASGHRGSLLLALLLGAAAAFLPLAEPSCPRDNSLVKDINQMHQSDYGRKGFSHITIAGALAHGMKEVEVWLQNFGPGQRTPIHRHSCEEVFVVLKGRGTLLLGSTSLNYPGTPQEIPVFQNSTFTVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYVWDADCLPAPKDEL* >Brasy5G294100.1.p pacid=40076460 transcript=Brasy5G294100.1 locus=Brasy5G294100 ID=Brasy5G294100.1.v1.1 annot-version=v1.1 MPDSPPSQTAPPTPKPKPKRHLLVLLTAPSLLAVALAVFFATSTNPLPRLLHLLFRPSPSLLRPPEPGPLAHTSRDAAGRPPCVLWMAPFASGGGYCSEAWSYVASVDANVAADVGANFTLAIAHHGDLESPEFWHGLPEQSKNLAYRLATARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVSTFVKSGVDPSKVVKVVQAVDATFFDPAKHVALPLPIGFSVMAPDDSDSTWNTVNSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVALYLLINAYHSDTDFSGKIHRFVKNSSIEEPVLGWAEVRVIDEHVPQSSLPRLYKAADAFVLPSRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEQNGYPLEADRLAEVTEGPFKGHLCAEPSVERLRGLMRRVFDHPEEARRKGRKAREDMAEKFSPEIVARIVADQIQQVLVSTQQTDN* >Brasy5G488500.1.p pacid=40076461 transcript=Brasy5G488500.1 locus=Brasy5G488500 ID=Brasy5G488500.1.v1.1 annot-version=v1.1 MMLEYFNTSNHLEGRNEFAFVSFEDILSATNMFSDSNLLGRGGFGKVYKGILEGGSEVAVKRLSKGSGQGTTEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAILFDDGRKDVLNWLTRFNIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTEMTPKISDFGMAKIFGANQDQASTSRVVGTYGYMSPEYVMGGVFSVKSDTYSFGVLLLEIVSGLKISSSQLITNNSIITSFCGQAWRLWEDGKAIELVDSSIVDNCRLYEVLRCIHVGLLCVQDRPDDRPLMSSVIFMLENESAPLPAPNQPAYFALNSGNDGQARESMENSLNVMSITTLEGR* >Brasy5G312300.1.p pacid=40076462 transcript=Brasy5G312300.1 locus=Brasy5G312300 ID=Brasy5G312300.1.v1.1 annot-version=v1.1 MLVGFAGCGPICQLPSVTKGNTGILIRQGLFPLPRFLPRNKLLPSSRKNWHEFKLSKSLDGGTTVYRLRRRRAIEFEMEMESEGAERKRERRMEMAQAGRKKGPPGKLSAEIPGVLDVLKQRQMESQRQTEALRLKRAVERRNQENPGADPIVADALTAQERAALVQEEKDRERAAARERSLQRLAELERAPSRPRPPPDPNQDELDYNDYRQIWNTKWSKLYGSFEDITPIPAMCFTDNPMPRITRHPSTLQVSSVKVTGISGGLQWPIDVFGMMAMRDDLDHNRNIIFCRSRDNCQTLTQQDSYLILTGPARAVVHEYGSVYFEALLKVKGNTESEDKDLSLLIERSKDRELPKSSATSKTFASKLSTLELACGLVVSSTEATIAVHVIEGSWPDGLRCQFTAWNASVPAMKVLLLDSGEEKVVSLDGTVELSRRVVSVESFGGLIVSAVVFRGGDQVVEVKMSFAPLEAGRSRGVFDLGFCKLQVTVAWSPFLACYPVFLQPRLEDPPARLVLAGANPKLTHSDC* >Brasy5G312300.2.p pacid=40076463 transcript=Brasy5G312300.2 locus=Brasy5G312300 ID=Brasy5G312300.2.v1.1 annot-version=v1.1 MLVGFAGCGPICQLPSVTKGNTGILIRQGLFPLPRFLPRNKLLPSSRKNWHEFKLSKSLDGGTTVYRLRRRRAIEFEMEMESEGAERKRERRMEMAQAGRKKGPPGKLSAEIPGVLDVLKQRQMESQRQTEALRLKRAVERRNQENPGADPIVADALTAQERAALVQEEKDRERAAARERSLQRLAELERAPSRPRPPPDPNQDELDYNDYRQIWNTKWSKLYGSFEDITPIPAMCFTDNPMPRITRHPSTLQVSSVKVTGISGGLQWPIDVFGMMAMRDDLDHNRNIIFCRSRDNCQTLTQQFEGLNDLNILAGATQIILYASCFSAICYPRISSSNHYN* >Brasy5G336700.1.p pacid=40076464 transcript=Brasy5G336700.1 locus=Brasy5G336700 ID=Brasy5G336700.1.v1.1 annot-version=v1.1 MSSCNIIGERIIGERTSRSSTMPIPHLFILLLVLSPFSAAGTSSPNSNGSDTDLAALLAFKAQLSDPLGVLAGNWTTGTSFCHWVGISCSRRRQRVTVLSLPDIPLYGPITPHLGNLSFLSVLNLNSTNITGSIPHDLGRLHRLEFLRLGNNGLSGSIPPTIGNLRRLQVLDLRLNLLTGSIPVELRNLHNLAYINLKANYISGSIPTDIFNNTPMLTHLNFGNNSLSGSIPSCIGSLPVLQYLIMQFNQLTGVVPPAIFNMSKLQSIILSKNYLTGSFPTNGSFSLPMLQIFSMGENNFTGQIPSGLASCQYLKVISFPVNSFEGVVPT* >Brasy5G507100.1.p pacid=40076465 transcript=Brasy5G507100.1 locus=Brasy5G507100 ID=Brasy5G507100.1.v1.1 annot-version=v1.1 MPLPPDPIQPLSLPRFLHTSPSPLPSLHQPVAHGGAAVGIMEKQQQCPWRMEQQRRGAAPPDPPAKIQSATGSGLPRPSSGRIRPPPPPATPHSYRFRLRPTPPPQILFVHGRFREQFWWPPRPSPRDPASCLVPQPSRASPTSPTSPLLPSGPAAPVLYIELEEHRRYFLIFFV* >Brasy5G490600.1.p pacid=40076466 transcript=Brasy5G490600.1 locus=Brasy5G490600 ID=Brasy5G490600.1.v1.1 annot-version=v1.1 MAETVFTPCLEGMKHVKSDSGVMLAKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYNMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYSKTLKKWHGWLASSSFTVAMKLAPSRDKFMVVITGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDMKAS* >Brasy5G084000.1.p pacid=40076467 transcript=Brasy5G084000.1 locus=Brasy5G084000 ID=Brasy5G084000.1.v1.1 annot-version=v1.1 MEDLPEELFTEIIARITRTSDLNSLSLVSKRLYTIEACQRRALRVSCGLCPAREALASLCSRFPNLWKVEIDYSEWTSGNGKQLDNKGLLVISSRCHSLTDLTLSFCSHISDSGLGYLADCKKLMSIRLNSAPEITSSGLLAVATGCKNLSALHPDNCEKIESVEWLEYLGWHGSLEELVVTNCKGINQYDLLKFGPGWMKLQKFGFDTKKRLLAIPGVHDFHDHLLARFETGTKVGLRFLLGKCKALERLSLEYVFGLNDKDITALSQSCRNLKSISLWLTPLHYEDDFRTAFTDNSLKALSLCCPMLQAIELTFSGCEPSWPSEIGFTQEGLLVLIQSCPIRVLVLNSANFFDDDGMKALSSAPFLETLELMHSQKITDAGMGFIACSPCLTSLTLRRLHNVTDVGLAKLAHAQKLDSLIIECCRSISQQAAQGVARSVHYSESLQPGFIEKMYF* >Brasy5G243600.1.p pacid=40076468 transcript=Brasy5G243600.1 locus=Brasy5G243600 ID=Brasy5G243600.1.v1.1 annot-version=v1.1 MDEESKLEVRVLLGLDPVKNEADINIALHCLHYVLPDPPFVSSHGAAALPLPHDGADEDRISGLPDAILGNIVSRLPVKDGARTAALSGRWRGVWRAAPLVLLDADLLPAPRTGAGLKVSRADALSVAAAVTRILAAHRGPLRRAHLVSCHVQDIPGLFARWLQLLADKGVEELVLVNRPWPATVFLPDTFFCMAATLTRLYLGAFSFPDRHAVQFTHLRELGLCLVAIQNQTMDFILARCPVLEILCIQANAMLRCLNIVSRSLRCVQIIEGVDLDMVVENAPCLERFIIWTCSERDGLRRRVKIGRAPALSLLGYLEPARHVLEIGNIVIKAGTRASPKPMVRSVKILALRVCFRFHSDAKMLPSFLRCFPNSSETDGPIGKLDVKFWQEAGAIECVQSHVKLMIFYGFQGMPSELSFLKYFLESARMLTKLVIVFCKGSFPSMAKANKKVKPLYAGTWASKDCSLQLVESAHQAGDDKWLLNFESGSDFSTEDPFMCTTVWGLHPKAGKTGSY* >Brasy5G519500.1.p pacid=40076469 transcript=Brasy5G519500.1 locus=Brasy5G519500 ID=Brasy5G519500.1.v1.1 annot-version=v1.1 MEVTLCWRGRRRFPGRRSTFCGGGGRPGGSTTTSQGRHHGGGTALHAVASHGDDEEFFKCADIIYERAKHLLFAKNNKGDTPLHCAVRAGKSRMVSHLIALATSEDDHRKHRLLREVNGLQETALHDAVRIGDEKMVEKLMELDPELANYPKDQGVSPLYLAILLHKYRIAETLHRQSNGNLSYSGHNGQNALHIVILRNTAVTKQVLEWNKSLTIERVLENGDGSTPLHFASSLCPSHFFGCRILCFRFSWGPVNLSTLTEVFKANPAALYQADNKGFSPIHVAASVGATSIPIIEYFLAKCPNSAGLCDAKGRTFLHVAVENEKLNIVRFVCQTPSLDWILNMQDNDGNTALHLAVQAGNFLIFCTLLGNPKVQINLPNNCGETPYDVSRSKLQRGMEYYVNSEFQIWKALEFVDADCSAFPRDKSAEKYSRRVMLPDDRGRESTKLKDATEMFFIGSVLIATVTFGAIFALPGGYRADDHTNGGTPTLAGTFTFDAFVMANTLAFICSTIATIGFVFSGTPMVNLTTRRFNLGISVYFMSSSVTSMSAAFALGMYMVLAPVAHKTAVVICVIIPFGGLSAHVEFLFKQICLAWPLWVRKGFQGMVFIQCKIYAQALAALWTFVVIFGWAAFARIHR* >Brasy5G519500.2.p pacid=40076470 transcript=Brasy5G519500.2 locus=Brasy5G519500 ID=Brasy5G519500.2.v1.1 annot-version=v1.1 MVSHLIALATSEDDHRKHRLLREVNGLQETALHDAVRIGDEKMVEKLMELDPELANYPKDQGVSPLYLAILLHKYRIAETLHRQSNGNLSYSGHNGQNALHIVILRNTAVTKQVLEWNKSLTIERVLENGDGSTPLHFASSLCPSHFFGCRILCFRFSWGPVNLSTLTEVFKANPAALYQADNKGFSPIHVAASVGATSIPIIEYFLAKCPNSAGLCDAKGRTFLHVAVENEKLNIVRFVCQTPSLDWILNMQDNDGNTALHLAVQAGNFLIFCTLLGNPKVQINLPNNCGETPYDVSRSKLQRGMEYYVNSEFQIWKALEFVDADCSAFPRDKSAEKYSRRVMLPDDRGRESTKLKDATEMFFIGSVLIATVTFGAIFALPGGYRADDHTNGGTPTLAGTFTFDAFVMANTLAFICSTIATIGFVFSGTPMVNLTTRRFNLGISVYFMSSSVTSMSAAFALGMYMVLAPVAHKTAVVICVIIPFGGLSAHVEFLFKQICLAWPLWVRKGFQGMVFIQCKIYAQALAALWTFVVIFGWAAFARIHR* >Brasy5G519500.4.p pacid=40076471 transcript=Brasy5G519500.4 locus=Brasy5G519500 ID=Brasy5G519500.4.v1.1 annot-version=v1.1 MVSHLIALATSEDDHRKHRLLREVNGLQETALHDAVRIGDEKMVEKLMELDPELANYPKDQGVSPLYLAILLHKYRIAETLHRQSNGNLSYSGHNGQNALHIVILRNTAVTKQVLEWNKSLTIERVLENGDGSTPLHFASSLCPSHFFGCRILCFRFSWGPVNLSTLTEVFKANPAALYQADNKGFSPIHVAASVGATSIPIIEYFLAKCPNSAGLCDAKGRTFLHVAVENEKLNIVRFVCQTPSLDWILNMQDNDGNTALHLAVQAGNFLIFCTLLGNPKVQINLPNNCGETPYDVSRSKLQRGMEYYVNSEFQIWKALEFVDADCSAFPRDKSAEKYSRRVMLPDDRGRESTKLKDATEMFFIGSVLIATVTFGAIFALPGGYRADDHTNGGTPTLAGTFTFDAFVMANTLAFICSTIATIGFVFSGTPMVNLTTRRFNLGISVYFMSSSVTSMSAAFALGMYMVLAPVAHKTAVVICVIIPFGGLSAHVEFLFKQICLAWPLWVRKGFQGMVFIQCKIYAQALAALWTFVVIFGWAAFARIHR* >Brasy5G519500.3.p pacid=40076472 transcript=Brasy5G519500.3 locus=Brasy5G519500 ID=Brasy5G519500.3.v1.1 annot-version=v1.1 MVSHLIALATSEDDHRKHRLLREVNGLQETALHDAVRIGDEKMVEKLMELDPELANYPKDQGVSPLYLAILLHKYRIAETLHRQSNGNLSYSGHNGQNALHIVILRNTAVTKQVLEWNKSLTIERVLENGDGSTPLHFASSLCPSHFFGCRILCFRFSWGPVNLSTLTEVFKANPAALYQADNKGFSPIHVAASVGATSIPIIEYFLAKCPNSAGLCDAKGRTFLHVAVENEKLNIVRFVCQTPSLDWILNMQDNDGNTALHLAVQAGNFLIFCTLLGNPKVQINLPNNCGETPYDVSRSKLQRGMEYYVNSEFQIWKALEFVDADCSAFPRDKSAEKYSRRVMLPDDRGRESTKLKDATEMFFIGSVLIATVTFGAIFALPGGYRADDHTNGGTPTLAGTFTFDAFVMANTLAFICSTIATIGFVFSGTPMVNLTTRRFNLGISVYFMSSSVTSMSAAFALGMYMVLAPVAHKTAVVICVIIPFGGLSAHVEFLFKQICLAWPLWVRKGFQGMVFIQCKIYAQALAALWTFVVIFGWAAFARIHR* >Brasy5G090500.1.p pacid=40076473 transcript=Brasy5G090500.1 locus=Brasy5G090500 ID=Brasy5G090500.1.v1.1 annot-version=v1.1 MANAAALLFLLAALLCHHPGNGNAQPSSCGKSDITVTATPTENYFRGQREYVATISTSCAACPLKDVRVWCGGVEDSAVPLDAGVVEVDEGMCVLKRPVARGSPAVFKYSSAVPVNFRVFNAAPAC* >Brasy5G067200.1.p pacid=40076474 transcript=Brasy5G067200.1 locus=Brasy5G067200 ID=Brasy5G067200.1.v1.1 annot-version=v1.1 METEQPMRISCKANRSLNAAIRDGKVILVRADPSDKSQHWIQDFDKVGKVTDDQGRRAFSLVNRATGQALVSKGFNMELADYKEGHERVKLSMLWSLGVKLDGGFSEVRVLRNLARTLNALGGSPIKEETGVGIFNSEPHYAHALWEIVPINKV* >Brasy5G503200.1.p pacid=40076475 transcript=Brasy5G503200.1 locus=Brasy5G503200 ID=Brasy5G503200.1.v1.1 annot-version=v1.1 MRYVIEVLFYVLCRSGVFMCCFSFLWTLFSMLLCVSSYFLMFCTMVIDSIALTAVVPVLLHFYRHHCYCCRFP* >Brasy5G128700.1.p pacid=40076476 transcript=Brasy5G128700.1 locus=Brasy5G128700 ID=Brasy5G128700.1.v1.1 annot-version=v1.1 MPAARIHLGPCDVCGKRSGPYKIKAKDKSFFSIALIEFFEDYVDFANTMHSTRKSSS* >Brasy5G105800.1.p pacid=40076477 transcript=Brasy5G105800.1 locus=Brasy5G105800 ID=Brasy5G105800.1.v1.1 annot-version=v1.1 MWRRLPSRRLASALLSASAPQLPPPLYRLLHPVTVAAPGILPPPRSLWGLQPPRLVSSSAAAAEEVDDLHHALEEIVPVDPKPNLPAGVAGKERKGRSRSGRGRQATEAAAAHGMGSSKYTALRRRQVRIETEAWEQAAKEYRELLTDMCEHKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHAPYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTNKTNTKEVDNVVEAGDSDIAKEQQRLRKKVTDLMKKQKIRQVRNIVKKQDSTRPWGQDAHAKVGSRLIELLIETAHIQPPVSQSADSTPDIRPAFTHEMRTVTREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAIKKAPKEQMQLIFEALDTLGSTKWRINNKVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDETELKKWRWKLRSVKKANSERHSQRCDVELKLAVARKMKDEVGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRPLGHSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSANRPLEGKRWWLGAEDPFQCLAVCMDLTEALRSSSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVSGEKPADVYSGIATRVVEIMRRDAQKDPAIDSDATRARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFGASCYAAKVTLTALGEMFEAARSIMNWLGDCAKVIACENEPVRWMTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACNKQGLYFAGVHDSYWTHACDVDTMNKILREKFVELYDAPILENLLDSFETSFPTLRFPPLPERGDFDLKDVLQSPYFFN* >Brasy5G347900.1.p pacid=40076478 transcript=Brasy5G347900.1 locus=Brasy5G347900 ID=Brasy5G347900.1.v1.1 annot-version=v1.1 MLPRSLRSAARRLPAAATRAAASVSVARSPEPAPARRQVSPPPEDDDGRAPQQHAAEWDDDDDLSPDPDAQWLCHRRMPRRDFSCITYASRDHSGLTRQLLDFQHATVDETDEDHDPFRKLKARFMDFKQQNYLENFTKYQNLAEQQTPEFMVIACADSRVCPSSILGFQPGDAFTVRNIANLVPPYQHGASETTAGLEFAVNSLKVPNVLVVGHSRCGGIQALMSMKSKKDGSNSRSFIKDWVSLGKSARLSTEAAAGNLNFEMQCRHCEKESINNSLLNLLTYPWIEERVNEGTLNLHGGYYNFVDCTFEKWTLVYRQGLEGGSKYAIKNRSTWS* >Brasy5G347900.3.p pacid=40076479 transcript=Brasy5G347900.3 locus=Brasy5G347900 ID=Brasy5G347900.3.v1.1 annot-version=v1.1 MAPSLLRPASHLAPPSAADSGRSRSTVTIGDSRARSAALRVGGSTRRDFSCITYASRDHSGLTRQLLDFQHATVDETDEDHDPFRKLKARFMDFKQQNYLENFTKYQNLAEQQTPEFMVIACADSRVCPSSILGFQPGDAFTVRNIANLVPPYQHGASETTAGLEFAVNSLKVPNVLVVGHSRCGGIQALMSMKSKKDGSNSRSFIKDWVSLGKSARLSTEAAAGNLNFEMQCRHCEKESINNSLLNLLTYPWIEERVNEGTLNLHGGYYNFVDCTFEKWTLVYRQGLEGGSKYAIKNRSTWS* >Brasy5G347900.2.p pacid=40076480 transcript=Brasy5G347900.2 locus=Brasy5G347900 ID=Brasy5G347900.2.v1.1 annot-version=v1.1 MAPSLLRPASHLAPPSAADSGRSRSTVTIGDSRARSAALRVGGSTRRDFSCITYASRDHSGLTRQLLDFQHATVDETDEDHDPFRKLKARFMDFKQQNYLENFTKYQNLAEQQTPEFMVIACADSRVCPSSILGFQPGDAFTVRNIANLVPPYQHGASETTAGLEFAVNSLKVPNVLVVGHSRCGGIQALMSMKSKKDGSNSRSFIKDWVSLGKSARLSTEAAAGNLNFEMQCRHCEKESINNSLLNLLTYPWIEERVNEGTLNLHGGYYNFVDCTFEKWTLVYRQGLEGGSKYAIKNRSTWS* >Brasy5G224300.1.p pacid=40076481 transcript=Brasy5G224300.1 locus=Brasy5G224300 ID=Brasy5G224300.1.v1.1 annot-version=v1.1 MAGYPPPPGSGYPYAGPAAGGGGGGYGSAQPTPYGQQKPPKEGKTSSSSSGPGPYHGAPPPQQPPPHGYGAPPPPAGQQQQQPYGGGYGSPFAALVPSAFPPGTDPSVVACFGAADRDGSGVIDDRELQAALSGYGQQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRGIFERFDRDRSGKIDASELRDALLNLGYSVSPAVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFGYEAFMLTVLPFLIA* >Brasy5G497100.1.p pacid=40076482 transcript=Brasy5G497100.1 locus=Brasy5G497100 ID=Brasy5G497100.1.v1.1 annot-version=v1.1 MSLTPVKLGATAGLRLIGDKQSEQILQAVRDVIWSRSIFQYNPKWINVLEGSQEGSYIWVALNYLLDRLGGDYSNTVGVIDLGGGSVQMAYAVSANAAANAPAMPDGKDPYIAREYLKGKDYNVYVHSYLYYGNLAARVEILKANPWPFSDCMLLGATGNHTYNGEDYPAVAAPWGASYGKCRKEARRALRLDAPCKAKNCTFNGVWNGGGGAGQKEIYAASFFYGKATQVGWLDKAAPSAKSSPAEFRAAAKRICPLSLEEARAAFPGVRETEFICMDLVYQYTLLADGFGLRRRREITLVDKVKHGEFFIEAAWPLGEAIEAVAPKKGLSQDS* >Brasy5G088400.1.p pacid=40076483 transcript=Brasy5G088400.1 locus=Brasy5G088400 ID=Brasy5G088400.1.v1.1 annot-version=v1.1 MERHWLNYYSFGSRQQPGHLFQPSSAAACPAARRLACPSSAGAPFSSVVVAAPLAPPHHLHSSFVRPVPRYPFSRTTPSPKHPLPLSLASLSPDRQPASLRTSSSAALRSLDPPSSAPPPPLGLRPRCAVILGERPPRWNLTASWRRPSLEPPRLCLRLGAASPVPPRRNPSLRATRWIRRASAPIGCGQPRPRLAGCREEGERREEAGGGGNGGWKGGEAVGGRREGRGAREEEEQQGESWSGGGRGRSQRGHGVVRTQESGRIACVSACAPVACKN* >Brasy5G053500.1.p pacid=40076484 transcript=Brasy5G053500.1 locus=Brasy5G053500 ID=Brasy5G053500.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTQAGDAAAKKPKEKERPPGMSNADWAADCTRRSVKNASCRERERKAKERNADLARQMEAQRVAASAQMAANKAGIAAPRPPSVQHWSSGSQGSSSSSPSPSGISPVSPHMPHDNHGNATPSLSRFSPDYPDTDPLGGFNPNAFAADTLGGFNPNAFASPPLRRGPLSYGGYSPSASFQQFPAGCSQPAPDPFGGMSQGDTIMADMINDGSQHAHYTYTQEEEPYAAEDAEEGKKKAVAEKKKAGGGGRGPKWTSKEDECLAEAWKIVSMDPFTDANQSGVTYWRRVTTAYDERRRIDRQFASVTHDRNESGLSHRWQMIKQACNKWHGIQEEVRRRPQSGNSAHDQMVAMFTAFREDNDDIEFKFIHVFARIETCDKWTETRNALSKSGTYDPKAAPPAAAKGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKREELAAKRKEVAASRWATVIKKQDDKLQILKANGATKKRREDLLILTCDTTGMDAEVKAWYDDQRRLILAEARTPASAPATAAPATSTPSAPSPPETATPATSTPPAGSEVPSTSADDEGAE* >Brasy5G514500.1.p pacid=40076485 transcript=Brasy5G514500.1 locus=Brasy5G514500 ID=Brasy5G514500.1.v1.1 annot-version=v1.1 MAARSWNPFSCCITGGTSMEDDDEYCASQRRIMRRGKKGGSPRSSSSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLHAFTYAELRTATANFSRANYLGCGGFGPVYKGAVDDKLRPGLAAQAVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMSAGSLENHLFKSINGSLPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILVDSDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRRSVDRSRRPREQCLVDWARPYLKYSDRLYRVMDPALECQYSCKGAEVAALVAYKCLSQNPKSRPTMKEVVKALEPVLGMEDFFPVGPFVFTVIVEEDKVVDMKVEIEEKHRHRQHHQNHQDRHRQKYPDSAINTGIVLHARDGLLAGYTGALRRQQRSSSYHREKVA* >Brasy5G251100.1.p pacid=40076486 transcript=Brasy5G251100.1 locus=Brasy5G251100 ID=Brasy5G251100.1.v1.1 annot-version=v1.1 MAAVLTVDGYTHAKETVKNGDCLRSGPDFIVGGHRWAVTCYPNGSKPEHADSISLYLRLLDSYGDNVRAKFKFSLLDEDGEPVPAYSFTNDVHTFSTKSSSRGHPDFIKKADLEASPYLTEDSFRIRCDVTVVKISGKRSKGAKFVDVPQSNLHLHLGDLLKSQEGADVIVYVAGEKFPAHRSVLEARSPVFKAELSVGDTKETVVGSSHSVVEVRGMEPHVFKSLLHFMYTDTVLVSPEMARRKKKEGEPRGDVVMAGNLLVAADRYGVERLKLMCERKLCNSVGSDTVATGLVLAERHGCRGLKEACFEFLASPSNLEAMVASDGYRHLKSSCPTVIKELIAGFLPAELKAAKDIVMAMAV* >Brasy5G097100.1.p pacid=40076487 transcript=Brasy5G097100.1 locus=Brasy5G097100 ID=Brasy5G097100.1.v1.1 annot-version=v1.1 METKESTVAADASRRLPPGFRFRPTDEELVVHYLRRRALSSPLPAAVDIPDVRLLAHDPSDLLPPGWSEEERYFFTCKESKYVKGCRANRATGAGYWKATGKEKPVAVSVPAAKGAAVVVGMKRSLVFYRGKAPSGKKTDWVMHEYRLAGAGLAPRRLAQAAANANPEEGWVLCRVFRKKKGAAAADRTDTGDIQDPVDNEDGAPISSGVRFIDFFARADARRRRAASPLSSSCVTDASAEHCREQQETTSRGC* >Brasy5G521500.1.p pacid=40076488 transcript=Brasy5G521500.1 locus=Brasy5G521500 ID=Brasy5G521500.1.v1.1 annot-version=v1.1 MAGGDERVLSYGDVVLLRSDLAILRGPHFLNDRIIAFYLAHLSASFHDDGDLLLLPPSIPFLLSNLPDPESVAAVSEPLRLASRRLVLLPVNDNPDASVADGGSHWTLLVLDAATADPHRFVHHDSLRGSANAAAARRLARALTGGGALRFVEAPTPTQRNGYDCGVYVLAVARAICDWWRSSRRRESQQGTGGDWFGTVMEQVDADSVGAMRAELLQLIDRLIQDKEQEKKSKAGVEEDSSGQ* >Brasy5G274800.1.p pacid=40076489 transcript=Brasy5G274800.1 locus=Brasy5G274800 ID=Brasy5G274800.1.v1.1 annot-version=v1.1 MVLGMNCFGAHGAAGRKISPASKQLGAEVLDQKVVIQEEALKGHVAGEAKLVGGDQVKVGEKEEGKKKSGAPILMYHFPFHSRPGLL* >Brasy5G486600.1.p pacid=40076490 transcript=Brasy5G486600.1 locus=Brasy5G486600 ID=Brasy5G486600.1.v1.1 annot-version=v1.1 MKGLLYQIKFFFWSYTTSISSTIHGSKCPVKKKRHGQRQSISGVVLFCIQPQYHEKSTTQLEHVIDI* >Brasy5G038200.1.p pacid=40076491 transcript=Brasy5G038200.1 locus=Brasy5G038200 ID=Brasy5G038200.1.v1.1 annot-version=v1.1 MRHGRKARLQPEDAATASFGNGIVGGGRGGDSEGGGLSRPSYPPSLSWEACSGETARGRRWRRAAGVGENRRREEQIAPTAAWGSEFAGDRRSGRRRSRTRSAAGACNLGVSMRAAKRGRSPASTTIGIPRNEKCQEFGGDSSNGRKKNNDGKMDFASVSCRQQPLRSHSNSTMVSVLQPLDRDGAGVAVVVPCSLERCTRHPQPSPVRPHRN* >Brasy5G108500.1.p pacid=40076492 transcript=Brasy5G108500.1 locus=Brasy5G108500 ID=Brasy5G108500.1.v1.1 annot-version=v1.1 MAVPTVPATSCSGMASSSATLASHEPRELRVQRRAVPRRGPRAQQAPVELGRVAGSEPMRTISAKQLSRRTNALPSARRWLVATVSANLPHANRTSCSTRGGPRRRGSGSGRGSGRFRRSAPAAFQRAGGARRWMRVIWFPAPSRRLSSPSPLASLLPTPAAAPSSHHRSPFRSAGKVAVGAWEEGGEKK* >Brasy5G044400.1.p pacid=40076493 transcript=Brasy5G044400.1 locus=Brasy5G044400 ID=Brasy5G044400.1.v1.1 annot-version=v1.1 MEREGSFELGDKVFSPGHYGDFASTPLDWGFPIDPLWSHAPPLPSYQMNVDLPQSSAAAEFQPPSGGDLVSSPPIGRAAGILSQKDRPRSAVEEELQAWRLPDLPSTPTGVELLKNHLRPAAQRLPRKSIAQRFPPSGLSTEEETLLEYRQQIAKMPPKKKSRYAVVTRGRIIGSKKTFDYHTKSGTKTEWIMNVYSLLNHYFAFIEDNLVPCHVFQQDSPGYDPRPKCPPFNQGRCHGFSAIMHHYPDQRSGPRLNLFTPNPGFLVGDPDPDTSTERGVPPTAHHAESKKRKSDVWDYFTKIFAHDIKGNELTFAVCNHCSKVLTAGSKGGGGGGGIADRCTPHAGEEEEGGARVVVKATSSCLADGGLGRRGGGRHPTPERRRGGNSKRPAADWAQRRGDGPCFFLARLPASTRRQRRHVCLPAPWTGLDPAALGPAGAGSSLPDLVSVPVPRAVAVGGRERHG* >Brasy5G485600.1.p pacid=40076494 transcript=Brasy5G485600.1 locus=Brasy5G485600 ID=Brasy5G485600.1.v1.1 annot-version=v1.1 MDSHNSPLLHVPEVTMDISSASGAAGNKMCRGGACDFSDSSNTSKDAKERSTSIRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRVEILGAMVSIQLIWLLAGILVYEAIMRLLNESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHGHGHSHGHDHDHDNSEVDHHSHHGDHEEGHVHRHEHSHGTSITVTTRQHSHSSTGQHQDAEEPLLKHDGDCESAHPGAKSAKKPRRNINVHSAYLHVIGDSIQSVGVMIGGALIWYKPEWKIIDLICTLIFSVIVLFTTIKMIRNILEVLMESTPREIDATRLENGLRGMEGVIAVHELHIWAITVGKVLLACHVTITQEANADEMLDKVIGYIKAEYNISHVTIQIERE* >Brasy5G383100.1.p pacid=40076495 transcript=Brasy5G383100.1 locus=Brasy5G383100 ID=Brasy5G383100.1.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDVRAGADGLHHDGAAHAEESFNTVIRIQGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G383100.2.p pacid=40076496 transcript=Brasy5G383100.2 locus=Brasy5G383100 ID=Brasy5G383100.2.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDVRAGADGLHHDGAAHAEESFNTVIRIQGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G383100.6.p pacid=40076497 transcript=Brasy5G383100.6 locus=Brasy5G383100 ID=Brasy5G383100.6.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDVRAGADGLHHDGAAHAEESFNTVIRIQGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G383100.3.p pacid=40076498 transcript=Brasy5G383100.3 locus=Brasy5G383100 ID=Brasy5G383100.3.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDVRAGADGLHHDGAAHAEESFNTVIRIQGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G383100.4.p pacid=40076499 transcript=Brasy5G383100.4 locus=Brasy5G383100 ID=Brasy5G383100.4.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G383100.5.p pacid=40076500 transcript=Brasy5G383100.5 locus=Brasy5G383100 ID=Brasy5G383100.5.v1.1 annot-version=v1.1 MSSEPQLPDAAEASPSPPKESSSGGGGAGAVPGAGHETNTLWVGNLPPFASEDDVMAAFTAHGALDCVLTRAGSRSYAFVLFRSLSESRAALEALRGAKVKGSSIRIEFARPARAIRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTVLGGKELCVDFQRSRGRAERSEASNFNVRGSMPPVDMGFGHAKGPAGVRLREGNPTNVLWVGLPNTHKINEEALRRTMAAHGVVTNIKTFPERQYAFVEFATVEGASNAKNLLDGRLFNDSRIHVLFSNSELAPNKLDNLSPPAGFPRSEMYSDSRYAAPDYIGSGRGSHGTLQGYDPRRGRSRYLDYDAVPITGGILPAPEAGPSSLTGRSAQDIFDPREAKRMRLDAGADPYDGTVQQTSSSLGHFWRGSLAKCGAPVCRVRCLSIRKGIEIPLPDVVNCSARTGLDLLEMHYREASGFDIVFFLPDSEDDFVCYTEFLRYLGSKSRAGVVKFDQGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMPTAAFQRPQLTGPESQQPYDDERETMYTTQRNYSMVSSNDNHHLDAHYRGALREEAVQPALSSYPMNQTAGQQAQSSLKPDIMATLAKLMPNVQSSVPVTSQMGNLQHSGQQFSTQAPAAHLTSYGSMVGAQEHSTQHTAYNPEIALNLPPPPPVPTLAPGAVMPSSVGGYSLPTQMNQQQYQPEQYYVSQSNYGLLPTASQSNLQASNNNLPAPPPPQLNNGPLPANNQMGNSTQLHLAAPFPADRANQDFNSQAQQQQNVASGSVQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNKP* >Brasy5G394800.1.p pacid=40076501 transcript=Brasy5G394800.1 locus=Brasy5G394800 ID=Brasy5G394800.1.v1.1 annot-version=v1.1 MSSTSSSSSSRPCSIALLLLVIQLMVLIVLVHSGAPCDYRRRRNCPNGTTSP* >Brasy5G495400.1.p pacid=40076502 transcript=Brasy5G495400.1 locus=Brasy5G495400 ID=Brasy5G495400.1.v1.1 annot-version=v1.1 MSACPLSGPGPQLFLLARAPRIRFPSFPTSCSTMLYALPRPRAPHPAAVHAGAARRLPSSPAGSRGRGLPLRTVGSLHLPAPYTPWTVRRAGAAVAGKISSPRPSPVFSPPRAPPTSPQPRWPLMAPPPPPGSSLQDAARAGTAAPHDKELQGRDLLFHAPPRAGAKAPPINPARLPRSLMEVRAVQHPPAKGGRLTGAGEVA* >Brasy5G422200.1.p pacid=40076503 transcript=Brasy5G422200.1 locus=Brasy5G422200 ID=Brasy5G422200.1.v1.1 annot-version=v1.1 MDSLPKRDGNYVPLSPVTFLPRAATVYADRTSVICGGTSFTWRQTHARCLRLAASLQALAVSKNDVVSVLAPNTPALYEMHFAVPMAGGVLNAINTRLDASGVAAIVKHADPKLLFVDYQYIRLATDALSSILAAADSTGTAAALPLLVVIDDIENPTGLRVGELEYEQLVARGDPAQHPPRPVEDEWDAVALNYTSGTTSSPKGVVYSHRGAYLSTVGLLLQWGVAHEPVFLWSLPMFHCNGWTFTWGVAARGGANVCVRAPTAQAMYSAVAAHGVTHMCAAPVLFNVLLDDAPPAPLPRRVEVLTGGAPPPAALLERVEKLGFHVTHAYGMTEATGPAMVCEWRERWDALPPPERALLKARQGVSALSLAGADVKDLQTMASVPRDGATMGEIVLRGSSVMKGYYKDPKATAAAFRAGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYGHPAVREAAVVAMPHRHWGETPCAFVALKKEFSAGEVSEEEVVSFCRNKMAHFMVPRKVVFMDELPKNATGKLQKLALRERARGLRPRAPEKRRPGPAHPAALTAASRL* >Brasy5G315200.1.p pacid=40076504 transcript=Brasy5G315200.1 locus=Brasy5G315200 ID=Brasy5G315200.1.v1.1 annot-version=v1.1 MSGAALRKLEQSPRRRSPRLKNIRVAYAEDCETDLSPLRCIKTEIVYPEGTTSDSTSELNVASLGGNDVEQDLHNVTLKDLRAQCKAKNQKAQKIIFEGPGSVMHQTQCGDLENEIPKEVVDLDEPLIALKQKKQKSSPSKAKRKMDAPTSSDSAKVEDTTSKRGGTNLVQAPSLDAILLDSMKSKLERRATDLEHLEIAIVSDHNEEIVGVCAGMEKTIVYKIKAEDIDTYFHEEAGVSSFCQNNSFEHSSVEMHQVSVEDAVSGQQAGFTQATELDVSDHSCEPTHSVEAFFDGNVVQNKTTNIVSSFDCIDELSNHQKPLDDIASSDVDNSSTVSDVLLCSLNQSCEELVDNHEYWYPGVVQGNTPEDSKTVEDSSTAEFNTEMWSSSVVIRSDLCGSTQGNCPSLKEVGQMQAEGPLDSLACEGVRIKDTLLHMNVEQEATDYNFIFDKTLDLVDTPNFGTQDGRLESIVFDALNNHVQRKSSETTTFVGVSDTAVTHDNQLLLANDMVRSSNDMDQLNGTLSGDISTPFNDKDSREEFGFQHKLFQACDDVDKTIRLTSESSSDPEETQQIPAGASNSTATSQGTDGQTKLSEFVVDEESIEEHTPKKLLSKRKIMSPTSQEKLCHALTGIDLCGVKRLKRKIHVEDCDQIRRTLPQTANKQDQSILSTDRRLKGRTCVSYTSKGVLKSTESQSPPQTSCACMRSSPVLLDTRKAVEFSERQMHDIESIAANLIRSLKHMRSIVDGSLSSEAHSLIPNFNSAEIRAASEDALEVERTTRKWLAIMNKDCNRFCKILTLEGKKAVPHSEVPKKRRKIIFADEAGGTLCHVKVFNDEQTSLLSE* >Brasy5G315200.3.p pacid=40076505 transcript=Brasy5G315200.3 locus=Brasy5G315200 ID=Brasy5G315200.3.v1.1 annot-version=v1.1 MSGAALRKLEQSPRRRSPRLKNIRVAYAEDCETDLSPLRCIKTEIVYPEGTTSDSTSELNVASLGGNDVEQDLHNVTLKDLRAQCKAKNQKAQKIIFEGPGSVMHQTQCGDLENEIPKEVVDLDEPLIALKQKKQKSSPSKAKRKMDAPTSSDSAKVEDTTSKRGGTNLVQAPSLDAILLDSMKSKLERRATDLEHLEIAIVSDHNEEIVGVCAGMEKTIVYKIKAEDIDTYFHEEAGVSSFCQNNSFEHSSVEMHQVSVEDAVSGQQAGFTQATELDVSDHSCEPTHSVEAFFDGNVVQNKTTNIVSSFDCIDELSNHQKPLDDIASSDVDNSSTVSDVLLCSLNQSCEELVDNHEYWYPGVVQGNTPEDSKTVEDSSTAEFNTEMWSSSVVIRSDLCGSTQGNCPSLKEVGQMQAEGPLDSLACEGVRIKDTLLHMNVEQEATDYNFIFDKTLDLVDTPNFGTQDGRLESIVFDALNNHVQRKSSETTTFVGVSDTAVTHDNQLLLANDMVRSSNDMDQLNGTLSGDISTPFNDKDSREEFGFQHKLFQACDDVDKTIRLTSESSSDPEETQQIPAGASNSTATSQGTDGQTKLSEFVVDEESIEEHTPKKLLSKRKIMSPTSQEKLCHALTGIDLCGVKRLKRKIHVEDCDQIRRTLPQTANKQDQSILSTDRRLKGRTCVSYTSKGVLKSTESQSPPQTSCACMRSSPVLLDTRKAVEFSERQMHDIESIAANLIRSLKHMRSIVDGSLSSEAHSLIPNFNSAEIRAASEDALEVERTTRKWLAIMNKDCNRFCKILTLEGKKAVPHSEVPKKRRKIIFADEAGGTLCHVKVFNDEQTSLLSE* >Brasy5G315200.4.p pacid=40076506 transcript=Brasy5G315200.4 locus=Brasy5G315200 ID=Brasy5G315200.4.v1.1 annot-version=v1.1 MSGAALRKLEQSPRRRSPRLKNIRVAYAEDCETDLSPLRCIKTEIVYPEGTTSDSTSELNVASLGGNDVEQDLHNVTLKDLRAQCKAKNQKAQKIIFEGPGSVMHQTQCGDLENEIPKEVVDLDEPLIALKQKKQKSSPSKAKRKMDAPTSSDSAKVEDTTSKRGGTNLVQAPSLDAILLDSMKSKLERRATDLEHLEIAIDHNEEIVGVCAGMEKTIVYKIKAEDIDTYFHEEAGVSSFCQNNSFEHSSVEMHQVSVEDAVSGQQAGFTQATELDVSDHSCEPTHSVEAFFDGNVVQNKTTNIVSSFDCIDELSNHQKPLDDIASSDVDNSSTVSDVLLCSLNQSCEELVDNHEYWYPGVVQGNTPEDSKTVEDSSTAEFNTEMWSSSVVIRSDLCGSTQGNCPSLKEVGQMQAEGPLDSLACEGVRIKDTLLHMNVEQEATDYNFIFDKTLDLVDTPNFGTQDGRLESIVFDALNNHVQRKSSETTTFVGVSDTAVTHDNQLLLANDMVRSSNDMDQLNGTLSGDISTPFNDKDSREEFGFQHKLFQACDDVDKTIRLTSESSSDPEETQQIPAGASNSTATSQGTDGQTKLSEFVVDEESIEEHTPKKLLSKRKIMSPTSQEKLCHALTGIDLCGVKRLKRKIHVEDCDQIRRTLPQTANKQDQSILSTDRRLKGRTCVSYTSKGVLKSTESQSPPQTSCACMRSSPVLLDTRKAVEFSERQMHDIESIAANLIRSLKHMRSIVDGSLSSEAHSLIPNFNSAEIRAASEDALEVERTTRKWLAIMNKDCNRFCKILTLEGKKAVPHSEVPKKRRKIIFADEAGGTLCHVKVFNDEQTSLLSE* >Brasy5G315200.5.p pacid=40076507 transcript=Brasy5G315200.5 locus=Brasy5G315200 ID=Brasy5G315200.5.v1.1 annot-version=v1.1 MSGAALRKLEQSPRRRSPRLKNIRVAYAEDCETDLSPLRCIKTEIVYPEGTTSDSTSELNVASLGGNDVEQDLHNVTLKDLRAQCKAKNQKAQKIIFEGPGSVMHQTQCGDLENEIPKEVVDLDEPLIALKQKKQKSSPSKAKRKMDAPTSSDSAKVEDTTSKRGGTNLVQAPSLDAILLDSMKSKLERRATDLEHLEIAIDHNEEIVGVCAGMEKTIVYKIKAEDIDTYFHEEAGVSSFCQNNSFEHSSVEMHQVSVEDAVSGQQAGFTQATELDVSDHSCEPTHSVEAFFDGNVVQNKTTNIVSSFDCIDELSNHQKPLDDIASSDVDNSSTVSDVLLCSLNQSCEELVDNHEYWYPGVVQGNTPEDSKTVEDSSTAEFNTEMWSSSVVIRSDLCGSTQGNCPSLKEVGQMQAEGPLDSLACEGVRIKDTLLHMNVEQEATDYNFIFDKTLDLVDTPNFGTQDGRLESIVFDALNNHVQRKSSETTTFVGVSDTAVTHDNQLLLANDMVRSSNDMDQLNGTLSGDISTPFNDKDSREEFGFQHKLFQACDDVDKTIRLTSESSSDPEETQQIPAGASNSTATSQGTDGQTKLSEFVVDEESIEEHTPKKLLSKRKIMSPTSQEKLCHALTGIDLCGVKRLKRKIHVEDCDQIRRTLPQTANKQDQSILSTDRRLKGRTCVSYTSKGVLKSTESQSPPQTSCACMRSSPVLLDTRKAVEFSERQMHDIESIAANLIRSLKHMRSIVDGSLSSEAHSLIPNFNSAEIRAASEDALEVERTTRKWLAIMNKDCNRFCKILTLEGKKAVPHSEVPKKRRKIIFADEAGGTLCHVKVFNDEQTSLLSE* >Brasy5G315200.2.p pacid=40076508 transcript=Brasy5G315200.2 locus=Brasy5G315200 ID=Brasy5G315200.2.v1.1 annot-version=v1.1 MSGAALRKLEQSPRRRSPRLKNIRVAYAEDCETDLSPLRCIKTEIVYPEGTTSDSTSELNVASLGGNDVEQDLHNVTLKDLRAQCKAKNQKAQKIIFEGPGSVMHQTQCGDLENEIPKEVVDLDEPLIALKQKKQKSSPSKAKRKMDAPTSSDSAKVEDTTSKRGGTNLVQAPSLDAILLDSMKSKLERRATDLEHLEIAIVSDHNEEIVGVCAGMEKTIVYKIKAEDIDTYFHEEAGVSSFCQNNSFEHSSVEMHQVSVEDAVSGQQAGFTQATELDVSDHSCEPTHSVEAFFDGNVVQNKTTNIVSSFDCIDELSNHQKPLDDIASSDVDNSSTVSDVLLCSLNQSCEELVDNHEYWYPGVVQGNTPEDSKTVEDSSTAEFNTEMWSSSVVIRSDLCGSTQGNCPSLKEVGQMQAEGPLDSLACEGVRIKDTLLHMNVEQEATDYNFIFDKTLDLVDTPNFGTQDGRLESIVFDALNNHVQRKSSETTTFVGVSDTAVTHDNQLLLANDMVRSSNDMDQLNGTLSGDISTPFNDKDSREEFGFQHKLFQACDDVDKTIRLTSESSSDPEETQQIPAGASNSTATSQGTDGQTKLSEFVVDEESIEEHTPKKLLSKRKIMSPTSQEKLCHALTGIDLCGVKRLKRKIHVEDCDQIRRTLPQTANKQDQSILSTDRRLKGRTCVSYTSKGVLKSTESQSPPQTSCACMRSSPVLLDTRKAVEFSERQMHDIESIAANLIRSLKHMRSIVDGSLSSEAHSLIPNFNSAEIRAASEDALEVERTTRKWLAIMNKDCNRFCKILTLEGKKAVPHSEVPKKRRKIIFADEAGGTLCHVKVICFPR* >Brasy5G524800.1.p pacid=40076509 transcript=Brasy5G524800.1 locus=Brasy5G524800 ID=Brasy5G524800.1.v1.1 annot-version=v1.1 MQQGDDEQEEIAAVVPDNESDKKKKKALLRDALSNSSSFSLSLSLRRRGDELHEQHAGSRRDDEAELKWAAIERLPTMDRLHTSLPLHANNAGPVDVRRLGAAERQALVHTLIADIHDDNLRLLLKQRRRMDRVGVHQPTVEVRWRNLCVDAECQVVHGKPIPTLLNSATSTLSVLTTMLGMGFNRNEERIHVLKHATGILNPSRMTLLIGPPGCGKTTLLLALAGKLNKNLKVTGEIEYNGVKLQGFVPEKTAAYISQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGITPDPDIDTYMKAISMEGLEGSMQTDYIMKIMGLDICADILVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYELFDDIILMAEGQIVYHGAKSCIMSFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSCTEERYSFVTVDQFCDKFKASQSGQNLTEELSKPYDKSKGHKNALSFSIYSLSKWDLLKACFARELLLMKRNAFLYITKAVQLGLLAVITGTVFLRTRMDVDRVHATYYMGSLFYALLLLMVNGFPELAMAINRLPVFYKQRDYYFYPAWAYAIPSFILKIPVSLVESVAWTSISYYLIGYTPEASSFFRQLLVLFLIHTVSLSMFRCVASYCQTMVAGSVGGTMAFLVILLFGGFVIPRSFLPNWLKWGFWLSPLSYAEIGLTGNEFLAPRWSEITVSGVRLGRRILIDQGLDFSRYFYWISIGALIGFILLFNAGFSIGLTIKNLPGTSRAIISRNKITRFGGSVQYMSKDTKKGMPKLQAETVSTANRTGRMVLPFTPLVISFQDVNYYVDTPAEMREHGYMEKKLQLLHNITGAFQPGILSALMGVTGAGKTTLLDVLSGRKTGGIIEGNIRIGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEIDTKTRNEFVNEVLETIELDEIRDALVGIPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVIRAVKNIADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPRIKDNYNPSTWMLEVTSASMEVQLGVDFAQMYRESAMHKDKDMLVKQLSIPIPGTNDLHFPTRFPQKFREQFKACLWKQCLSYWRTPSYNLVRIVFITVACIFFGALFWQQGNINHINDQQGLFTILGCMYGVTLFTGINNCQSVMPFVSIERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLVQVVLFMLIAYPMIGYAWTAAKIFWFMYTMSCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYISPMSWTLNVFFTTQFGDDNHRMIVVFGETKSVAAFMRDYFGFRRDLLPLAAVALAAFPILFAVLFGYNISKLNFQRR* >Brasy5G020900.1.p pacid=40076510 transcript=Brasy5G020900.1 locus=Brasy5G020900 ID=Brasy5G020900.1.v1.1 annot-version=v1.1 MEEDSNRRAPLLDFVDDRSGASEELLRREPVPLDVLSRLALWEAGNLWRISWASILITLFSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMLGMSSAVQTVCGQAYGARRYRAMGVVCQRALVLQFVTAVAIAFLYWYSGPFLRLIGQAADVASAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYMTLAVLVFHVLISWLAVFVLSFGLLGAALTLSFSWWVLVALTWGYIIWSPSCKETWTGLSMLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPNPEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARLSVIVVVTASTAFSILATVVVLALRYPLSTLYTSSTTVIEAVISLSPLLAISIFLNGIQPILSGVAVGSGWQVIVAYVNVGAYYLIGLPIGCVLGFKTSLGAAGIWWGLIIGVAVQTMALIVITARTNWDSEVEKATQRLRHTAAADEGVLVMVDADDGVV* >Brasy5G475000.1.p pacid=40076511 transcript=Brasy5G475000.1 locus=Brasy5G475000 ID=Brasy5G475000.1.v1.1 annot-version=v1.1 MAVPCQGVSEASPSAALLPLRPSLSLPQRRSPQPLRPSLPLPPLHLRPCLPSASATCAPAFRSARWAAPATGAAAPQIQPQRRHLIPAARAAPAPLPCPAVGAAALYSMPSAARSCFLPSVVPLSSSLSIPTSSRSSTTILLSATPISRSGRLHPSHRGSRRRRDQKKMARPRERGRTWRRNGAREPSASAVARWGIPSSMLGMRNPERDLRQGSI* >Brasy5G046200.1.p pacid=40076512 transcript=Brasy5G046200.1 locus=Brasy5G046200 ID=Brasy5G046200.1.v1.1 annot-version=v1.1 MSTAACSLRPAPRWGAPSQRRLVEQHLASLPHGLPRLHHLQELHAQLLKHGLHLDPVAASKLLSSYALHRRLPASRRVFASFPNPHATTFLPNTLLRAYALNALPREAVAVFSAMPHRDSFTYSFLIKALSSAGVAPLRAVHSHVVKLGSIEDTYVGNALIDAYSKNGGFSDASKVFEEMPTRDVVSWNSAMAAMVRQGEVEGARRMFDEMPEKDTVSWNTLLDGYTKAGDVEEAFKLFQCMPERNVVSWSTVVSGYCKKGDMEMARVIFDKMPTKNLVTWTIMVSACAQNGLVEEAGKLFTQMKEAAVELDVAAVVSILAACAESGSLALGKRIHRYVRTRQLGRSTHVCNALIDMFCKCGCINRADYVFDTEIVEKDSVSWNTIIGGFAMHGHGDKALDFFAQMKLQGFCPDAVTMINVLSACTHMGFVEEGRRYFANMERDYGVVPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWDPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSSAGNYAVLSSIYAEAGKWSDMAKARMQMKGTGSQKSSGSSWIELDEAFHEFTVGDRKHPDSDQISEMVDRLSSHAKDVDCVPTGRELLVQ* >Brasy5G060400.1.p pacid=40076513 transcript=Brasy5G060400.1 locus=Brasy5G060400 ID=Brasy5G060400.1.v1.1 annot-version=v1.1 MDRPPAKRLRIMVGGGGEAAAMPAAATGSRKLRQSLLVVLFLVSLRNTVTASLSQIGRMVQRNVDRAFQKSQAAMFSKLESLEGQLRGLHQEMKQLTRLYSSSQAAQQTRLEIDHEHGAAERSNTRLCFLNDLQTPIYTDKNIAAENNTAIKIGIFEGPNIIRKGPLSNIKVEVMVLRGDFSNDGQESWSEEEFNSHIVKGRYGQGFVLGGDNCVVRLTNGEASLEKLCFKEGSCRTHSRKFVLAARVCKTENAGVRVQEAVMKPVTVLDRRNEANEKRHPPKLDDDVYRLEKISKDGIYHERLQKAHIFTVHDFLKALNKNDKKLREEVLQMRKKHNSFVKMVNHARECCLREKYDLKAYENEERNVRLYFNCVDQLVGAEFQGDYVTQEEFDPAQKAQANKLKECAHDKLEDISFDYVMRNNFPAQICTSSNAAVAGPFFPNPSGQNMSNQGTSAAVSLGHDEVSSLAEPLLPTEEQVPLGPTNSCNSLVEHDPLSDLVFEEDDFDVFQDFNAFSEPDFPCFGDDAVQPLWADDDPQPSSSSFPGMFHGNE* >Brasy5G060400.2.p pacid=40076514 transcript=Brasy5G060400.2 locus=Brasy5G060400 ID=Brasy5G060400.2.v1.1 annot-version=v1.1 MLIGPFRSLRLQCLGCSHHCSRSTFWTIYKLESLEGQLRGLHQEMKQLTRLYSSSQAAQQTRLEIDHEHGAAERSNTRLCFLNDLQTPIYTDKNIAAENNTAIKIGIFEGPNIIRKGPLSNIKVEVMVLRGDFSNDGQESWSEEEFNSHIVKGRYGQGFVLGGDNCVVRLTNGEASLEKLCFKEGSCRTHSRKFVLAARVCKTENAGVRVQEAVMKPVTVLDRRNEANEKRHPPKLDDDVYRLEKISKDGIYHERLQKAHIFTVHDFLKALNKNDKKLREEVLQMRKKHNSFVKMVNHARECCLREKYDLKAYENEERNVRLYFNCVDQLVGAEFQGDYVTQEEFDPAQKAQANKLKECAHDKLEDISFDYVMRNNFPAQICTSSNAAVAGPFFPNPSGQNMSNQGTSAAVSLGHDEVSSLAEPLLPTEEQVPLGPTNSCNSLVEHDPLSDLVFEEDDFDVFQDFNAFSEPDFPCFGDDAVQPLWADDDPQPSSSSFPGMFHGNE* >Brasy5G426700.1.p pacid=40076515 transcript=Brasy5G426700.1 locus=Brasy5G426700 ID=Brasy5G426700.1.v1.1 annot-version=v1.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLTEVPKYKQITPSVLSERLRINGSLARRAIKDLMERGLIRMVSIHSSQQIFTRATNT* >Brasy5G164100.1.p pacid=40076516 transcript=Brasy5G164100.1 locus=Brasy5G164100 ID=Brasy5G164100.1.v1.1 annot-version=v1.1 MKPAVAPSVSSSAVRCNTSAADDPTRVCSSSVRRPTGSRRAATGSAGVEAGSRPASAGSACVGALEAVARRTGRKRRSTARGQGGRAVAGARRRARRSGGRIRWRRARGRPDPVETGSGKVGSGPAPAGSGLKLTGDVSMAPAEGGGEGRRRGAGERTSSGEEDGRGGALGEEEEEEEGRACGGVGGESSGDREETKECGGVGVGDGRAGGVSYKGAQNLGLVLGRAQLY* >Brasy5G374100.1.p pacid=40076517 transcript=Brasy5G374100.1 locus=Brasy5G374100 ID=Brasy5G374100.1.v1.1 annot-version=v1.1 MPSTPARPSLPPPAQRPKTPCHPPPGPRAPTPPAHGTSSYPPPPVPISTSSPPPPAPIRTPSPPPPAPIRTPSPPPPTPSPPPPAPISPSSPPPPAPISTPSPPPPTPSPPPPAPISTPSPPPPKSSPPPPAPISTPSPPPPTSSPPPPAPISTPSPPPPTSSPPPPAPISTPSPPPPTPSPPPPPSSTPSPPPPTPSPPPPQGLTVGHYNNICLQAEAIVRNAVSVASTGTMAGLIRLFFHDCFIRGCDASVLLDQTDPNNPPEKLGIPNLTLRGFKVIDAANARILQECGNVVSCADILAFAGRDATFFLSGRKVDFDMPTGRFDGNVSLASETLPNLPLPFATVDMLKANFASKGLTADEMVTLSGTHTIGVSHCSSFSSRLTSTSDMEPGLKSSLQGQCSSNTGSDNTAVQDLKTPDELDNHYYKNVLNRQVLFESDAALLTASDTSAAVRANAGDTGQWEEKFKAAMVKMGAIEIKSRANGEIRRSCRVVNTRQMIIDPLQLIS* >Brasy5G231300.1.p pacid=40076518 transcript=Brasy5G231300.1 locus=Brasy5G231300 ID=Brasy5G231300.1.v1.1 annot-version=v1.1 MAEWSKAPDSSSGPLTRAWVRTPLLTFYLFFTFIFYTRDIKPRLLLSCPLNPSEETNTTSCPMCTDGREPLPQEDDFDLPQRGT* >Brasy5G398000.1.p pacid=40076519 transcript=Brasy5G398000.1 locus=Brasy5G398000 ID=Brasy5G398000.1.v1.1 annot-version=v1.1 MVSPSTSDSGKSKSRSRSNSRSKSRSRSRSRSRSRSRSRSKSSSRSRSRSPRRDRLRSERAPRRSRSRSPPRRGRSPPRRSERRGHRELICNNCRRSGHFARDCPSASTCNNCNLPGHFAAECTSKTVCWNCKKSGHIATECTNEALCHTCSKSGHLARDCPTSGSAKLCNNCFQPGHIAVDCTNDRACNNCRQSGHIARECTNDPVCNLCNVSGHLARACPKTTLASEIHGGPFRDISCRMCGQPGHISRNCMATVICDTCGGRGHMSYECPSARVFDRRLRRF* >Brasy5G398000.2.p pacid=40076520 transcript=Brasy5G398000.2 locus=Brasy5G398000 ID=Brasy5G398000.2.v1.1 annot-version=v1.1 MVSPSTSDSGKSKSRSRSNSRSKSRSRSRSRSRSRSRSRSKSSSRSRSRSPRRDRLRSERAPRRSRSRSPPRRGRSPPRRSERRGHRELICNNCRRSGHFARDCPSASTCNNCNLPGHFAAECTSKTVCWNCKKSGHIATECTNEALCHTCSKSGHLARDCPTSGSAKLCNNCFQPGHIAVDCTNDRACNNCRQSGHIARECTNDPVCNLCNVSGHLARACPKTTLASEIHGGPFRDISCRMCGQPGHISRNCMATVICDTCGGRGHMSYECPSARVFDRRLRRF* >Brasy5G398000.3.p pacid=40076521 transcript=Brasy5G398000.3 locus=Brasy5G398000 ID=Brasy5G398000.3.v1.1 annot-version=v1.1 MVSPSTSDSGKSKSRSRSNSRSKSRSRSRSRSRSRSRSRSKSSSRSRSRSPRRDRLRSERAPRRSRSRSPPRRGRSPPRRSERRGHRRSGHFARDCPSASTCNNCNLPGHFAAECTSKTVCWNCKKSGHIATECTNEALCHTCSKSGHLARDCPTSGSAKLCNNCFQPGHIAVDCTNDRACNNCRQSGHIARECTNDPVCNLCNVSGHLARACPKTTLASEIHGGPFRDISCRMCGQPGHISRNCMATVICDTCGGRGHMSYECPSARVFDRRLRRF* >Brasy5G398000.4.p pacid=40076522 transcript=Brasy5G398000.4 locus=Brasy5G398000 ID=Brasy5G398000.4.v1.1 annot-version=v1.1 MVSPSTSDSGKSKSRSRSNSRSKSRSRSRSRSRSRSRSRSKSSSRSRSRSPRRDRLRSERAPRRSRSRSPPRRGRSPPRRSERRGHRRSGHFARDCPSASTCNNCNLPGHFAAECTSKTVCWNCKKSGHIATECTNEALCHTCSKSGHLARDCPTSGSAKLCNNCFQPGHIAVDCTNDRACNNCRQSGHIARECTNDPVCNLCNVSGHLARACPKTTLASEIHGGPFRDISCRMCGQPGHISRNCMATVICDTCGGRGHMSYECPSARVFDRRLRRF* >Brasy5G149900.1.p pacid=40076523 transcript=Brasy5G149900.1 locus=Brasy5G149900 ID=Brasy5G149900.1.v1.1 annot-version=v1.1 MATATEDPDWSSLPDDMLFLVMQALEIPDLFSAGSVCTSWRSACSAVRRVRIPITDASPCLLYSCAADDPATATVYSPSSGVVFKVRLPDPPFRSRHVVGSAHGWVVAADEMSNLQALNPLTGAQVDLPPVTGLYHIESFPNKLRLFLYYRVFLSCSPSAGSRCIVLLLHRPDGQLSFARVGDDRWTRIQDTECRLWNDGIRNATYNKNDGFILTLDLNGPSPVTRKVFRKATRWDQPTKYVVLTPQGDIMQLWRYISDRIVDVSVVPPELAHEVYDPYSEIYTDDMELYKVDIDEQKLATMKKNHLDNYALFIGFNSILLVSTKDYPTLKPNCAYFTDDSYEDICVCKYNKRDIGIWNFETKTVESLGGVQAVHTWLNFPSPIWITPSLC* >Brasy5G211600.1.p pacid=40076524 transcript=Brasy5G211600.1 locus=Brasy5G211600 ID=Brasy5G211600.1.v1.1 annot-version=v1.1 MGRDLKDEEGVVFAEQSWLMNAWIRGALSRALVAACGRERIGRGAGAAGTDEQEMGSKRARNVRPCTVAWEGRRPVECRAAEQHARGQEMGRRAVTDAHDRLGGQAARADCRGGGVAHRKDGGARAGEAVQDFFTEGLSRARLVRGLAALDASWAARGGLDVMGLSDGIIFGN* >Brasy5G190400.1.p pacid=40076525 transcript=Brasy5G190400.1 locus=Brasy5G190400 ID=Brasy5G190400.1.v1.1 annot-version=v1.1 MGAKGAALSAALLYVVLSGRLSSEIPEEAPQQRRRRRREAEGSEKTRWPERAPASWREAAAVAARTAGFTYGETLGKWPLGDIAFGIRHYMRLQGNLQHEYAGSNSVPLEGPGVRQELIALLRYLRLCMFFSKKPYEVFLQFGGYDQSDILIEKSKARLMKPAFTIVRDESTRCFLLFIRGAISVKDRLTAATAAEVPFHHAVFHEGHGSCVVFGHAHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAALLTYILHENKKLSSSSCIAFGPAACMTWDLAESGKEFITTIVNGNDLVPSFGKVSATSLRREVMASSWEPDLQKQIQQKRILGLLNHSVNFMLSRIPFISNSRCKVPAVDMLLSHTSEAQTRKLSEDALAAVLKKHSALSYWPYVAANRQAVEPVVNPTHSIAALMSTYVKGTEKCTEKQAAGEQASCSPGEADKEVDKGTDGDEHMEQLLESLRSPSSSTASELPPHCQLYPPGRIMHMVALPATEEGNEIDQGRRSEGVALYDTPRGMYGKIRLARFMVRDHYMPRYIETMEILVNKLTEEDAPLGETNNRLD* >Brasy5G190400.2.p pacid=40076526 transcript=Brasy5G190400.2 locus=Brasy5G190400 ID=Brasy5G190400.2.v1.1 annot-version=v1.1 MKPAFTIVRDESTRCFLLFIRGAISVKDRLTAATAAEVPFHHAVFHEGHGSCVVFGHAHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAALLTYILHENKKLSSSSCIAFGPAACMTWDLAESGKEFITTIVNGNDLVPSFGKVSATSLRREVMASSWEPDLQKQIQQKRILGLLNHSVNFMLSRIPFISNSRCKVPAVDMLLSHTSEAQTRKLSEDALAAVLKKHSALSYWPYVAANRQAVEPVVNPTHSIAALMSTYVKGTEKCTEKQAAGEQASCSPGEADKEVDKGTDGDEHMEQLLESLRSPSSSTASELPPHCQLYPPGRIMHMVALPATEEGNEIDQGRRSEGVALYDTPRGMYGKIRLARFMVRDHYMPRYIETMEILVNKLTEEDAPLGETNNRLD* >Brasy5G227000.1.p pacid=40076527 transcript=Brasy5G227000.1 locus=Brasy5G227000 ID=Brasy5G227000.1.v1.1 annot-version=v1.1 MITAADLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYAMDLRFLAADTLQKLAVLALLFLASRVPFFSGSGGGGVSLDWAITLFSLSTLPNTLVMGIPLLRGMYGRGGAGEDAGTLMVQIVVLQCIIWYTLMLFLFEYRGARMLVMEQFPGGGGAEIVSFRVDSDVVSLAGGGGGELEAEAEVGEDGRMRVTVRKSTAGTSSRSETACGSQSASAMAQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIAGAGGRGDEEKGGGNGNGNGGLSPQQQGGIGKRKDLHMFVWSSSASPVSERAAAAAAVHAFGSDHGGGAQASYEEYGGGGGSQDGPALCKLGSNSTAQLRPKEEEDEERAAAAAMPAASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVSYRWGIEMPAIIARSIAILSDAGLGMAMFSLGLFMALQPRIIACGHSLAAYAMAVRFVVGPAIMAAASLAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLAYYVLLGL* >Brasy5G213400.1.p pacid=40076528 transcript=Brasy5G213400.1 locus=Brasy5G213400 ID=Brasy5G213400.1.v1.1 annot-version=v1.1 MFKKYLADRVPTDEITRTCSISSPLLHLVVTRQACESAHRQTGTPDLMDQSDGRGRRVRSYAREEVLYCHHPRNLNVHPELQAAPMACTLDSSSNKNSLQILTEKVKEISRIPASWGAYAWSIGREDQRRGIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVITVVVVLEFTAGATICKGLNRGFGTVMAASLAFIIELVAVRSGKVFRGFFIGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQDDVLPLARDRLSTIVIGCAICLFMSLFVLPNWSGEDLHSCTVRKFEGLARSVEACVDEYFRDQDKDDNILDKQVSRASIHTGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPTSVRSLFRNPCTRVAQEVAKVLQELAVSIRNHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGAKHGSANSRMLMELNSSKHTTSRTTLPSFKTDTASLLERKNMKADQPPERNERSTLGRTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKDLERSANFKEFMEYDHLTIDFTGKEEKKSNSSVPLGSHTVSTAAE* >Brasy5G213400.2.p pacid=40076529 transcript=Brasy5G213400.2 locus=Brasy5G213400 ID=Brasy5G213400.2.v1.1 annot-version=v1.1 MLHFKSTAAPRRHSSGQTGTPDLMDQSDGRGRRVRSYAREEVLYCHHPRNLNVHPELQAAPMACTLDSSSNKNSLQILTEKVKEISRIPASWGAYAWSIGREDQRRGIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVITVVVVLEFTAGATICKGLNRGFGTVMAASLAFIIELVAVRSGKVFRGFFIGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQDDVLPLARDRLSTIVIGCAICLFMSLFVLPNWSGEDLHSCTVRKFEGLARSVEACVDEYFRDQDKDDNILDKQVSRASIHTGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPTSVRSLFRNPCTRVAQEVAKVLQELAVSIRNHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGAKHGSANSRMLMELNSSKHTTSRTTLPSFKTDTASLLERKNMKADQPPERNERSTLGRTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKDLERSANFKEFMEYDHLTIDFTGKEEKKSNSSVPLGSHTVSTAAE* >Brasy5G213400.3.p pacid=40076530 transcript=Brasy5G213400.3 locus=Brasy5G213400 ID=Brasy5G213400.3.v1.1 annot-version=v1.1 MACTLDSSSNKNSLQILTEKVKEISRIPASWGAYAWSIGREDQRRGIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVITVVVVLEFTAGATICKGLNRGFGTVMAASLAFIIELVAVRSGKVFRGFFIGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQDDVLPLARDRLSTIVIGCAICLFMSLFVLPNWSGEDLHSCTVRKFEGLARSVEACVDEYFRDQDKDDNILDKQVSRASIHTGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPTSVRSLFRNPCTRVAQEVAKVLQELAVSIRNHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGAKHGSANSRMLMELNSSKHTTSRTTLPSFKTDTASLLERKNMKADQPPERNERSTLGRTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKDLERSANFKEFMEYDHLTIDFTGKEEKKSNSSVPLGSHTVSTAAE* >Brasy5G322400.1.p pacid=40076531 transcript=Brasy5G322400.1 locus=Brasy5G322400 ID=Brasy5G322400.1.v1.1 annot-version=v1.1 MEPPLETSAPRRLTVADILRIRRPTTGASSLVSSSPSSATSASPPRKKPKLPAPSPAHSTAPFAPIPQTVLLAGMLSLPSASSPAACRNHCLSLTDPSSAASVYCYLLDFDPAAIGREIRVLAWNFLPSIDAHGAGVLEVVRWCLAEAPEPVPHPSFLTTIPLNCVDAEPDLATRGRVFGVVMSVSVVFNVQKSKADGGGDSVGFIVEMMCCGCRQCRVSHPERDQDHKFEVEKFVYFVDSASRWRPVLARLIARMVSVTGLKKKRVSVGKKGSYTMLVSSTKTLVAWCPSYVGVPPSDKLPGKCGGVYSGVVSGIYMQGMLVELDEIAWLLIDDKQLLPSHSLRVGAVISVRNFQAVRQNFAWTSVFLLGTCSKTSITINSFSLVDSKCHLRTESRDLLVKSVESLELSDRFWMLLLTSCFKQKFTKLFSKEEISGLKNMQGLVHTYATKVLSSKGSKSQHDFFMKFCNHNCGSSCTGSNLEACKLIIPFANFICKGESLWISTMLKFWNSIEKVSKYQGLKHFLCDGVSTPSTTKRMISSGDLGLVLVGSIKMSSIPGRLQLVDDTGCIDLVIPDIPPNVSIDGIYEINDCKLALEGPVAYLDHFGVADPLSCKAVLEKISYRKSVHHLKIYGIVHWSELNLIGPSSHIPLQTIKCARLFHLLKLSHIFPAISDIQHHTMPGPSLYAEAVIVPYNLKFTGRADCIEHPESFKVSTTRSLYNSKVSMEKPCHIPCSLSFRTTNLSGTLVSSYCCGPDGTVLIDTSTTGGEQGHTSRILLEFKEGCFLKYQLLRIGGYYLLECPSNNLSFAVKDCGCFQGGKISLHSQDNLWSLSITFNGNINMKQGIVDQSIGISSAEVDEPFSRNTIHNEIKLVKSWNDFRQCSDFHLQFYCEAVREKMEEYDTICYVLNELCSYSNEVMTVSSCIEIMMHKKPFSSSNLENEKLVQGDLISLHGKVENIHSRDCKEGRRVPGFEKCSICIHVADHNQTVRLRGYLSKHCYPVGLGPGAVVTFHRVLLTQHELLLTQVTYIEVTSINHTELNREHATPLMPDGLKDGSLTTVSPCVLLHQKHFTDSGPIQFQCRVVTIHLLVLDSGLIDFPASESINQSKILKVKVPVAGFVVDDGSSLCCCWADGARAELLLRLQEVAVLDFSVNLKSTKDKSNSKLQQTVGSCLEQILKKQKKVTVKNYGIPPDISCRDLELSSGIGNVLSSLEEKLLKFIILNACWKGTLNVIASVFNPNALNRSNADLPGVYPVQNMQNFWVTEVFQVDPLEEARKLYCRLDSR* >Brasy5G296900.1.p pacid=40076532 transcript=Brasy5G296900.1 locus=Brasy5G296900 ID=Brasy5G296900.1.v1.1 annot-version=v1.1 MHRWCCSVATVRDRVVVDLVLLGRPTVLSSLRLWRDDRAVPMEAMAIGNIGLHLCVDSEDCGGMERNLLGPRSFRGWWHLLSSGRRPFDPTGGRSKVVQGRKQGGMGRLLLPAPHPVVFG* >Brasy5G054500.1.p pacid=40076533 transcript=Brasy5G054500.1 locus=Brasy5G054500 ID=Brasy5G054500.1.v1.1 annot-version=v1.1 MENQSYNGYTDLLQSDVGLEDLHWSGGHGEEHINLEGHEEHHINLEETQEATPPVKASAKKARASKASAPKSGSSKRQKNFSQAEDLTLCDAYLEITQDPIIGVDQSHDCYWKRINAYFHANKTEDSDRTQGSLQHRWAVIQEQVNKFSACYVQVMNRNQSGMTHENKLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTSSMDTPGTSSSVFEDEATSPSKSVPTKRPIGQKRAKEAQRQASASGSSSRELFGDIFETRESKRQERFELMLAIDKQREEERLAEERNRTAIKEKKVALEEEKIQIMRMAEERLATAEDGRIMSMDISGMGEEEKEFYKLRKSQILKRLRN* >Brasy5G301400.1.p pacid=40076534 transcript=Brasy5G301400.1 locus=Brasy5G301400 ID=Brasy5G301400.1.v1.1 annot-version=v1.1 MAHQKREANSTDEYWTSKRLKGAATSIEKDYNVEAAASQETNAEKRETSQKESTMPVDPSMLDEKATMISKVSSQQDMIVTCVEADAAEDKGCRHTMEDTWVVLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQTHLHQNVIAAGLPRELMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRAFGDRHFKKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G301400.4.p pacid=40076535 transcript=Brasy5G301400.4 locus=Brasy5G301400 ID=Brasy5G301400.4.v1.1 annot-version=v1.1 MAHQKREANSTDEYWTSKRLKGAATSIEKDYNVEAAASQETNAEKRETSQKESTMPVDPSMLDEKATMISKVSSQQDMIVTCVEADAAEDKGCRHTMEDTWVVLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQTHLHQNVIAAGLPRELMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRAFGDRHFKKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G301400.5.p pacid=40076536 transcript=Brasy5G301400.5 locus=Brasy5G301400 ID=Brasy5G301400.5.v1.1 annot-version=v1.1 MAHQKREANSTDEYWTSKRLKGAATSIEKDYNVEAAASQETNAEKRETSQKESTMPVDPSMLDEKATMISKVSSQQDMIVTCVEADAAEDKGCRHTMEDTWVVLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQTHLHQNVIAAGLPRELMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G301400.2.p pacid=40076537 transcript=Brasy5G301400.2 locus=Brasy5G301400 ID=Brasy5G301400.2.v1.1 annot-version=v1.1 MAHQKREANSTDEYWTSKRLKGAATSIEKDYNVEAAASQETNAEKRETSQKESTMPVDPSMLDEKATMISKVSSQQDMIVTCVEADAAEDKGCRHTMEDTWVVLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQTHLHQNVIAAGLPRELMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G301400.3.p pacid=40076538 transcript=Brasy5G301400.3 locus=Brasy5G301400 ID=Brasy5G301400.3.v1.1 annot-version=v1.1 MGMVAAWLRSMHRHIYIRTLSLQDYHMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRAFGDRHFKKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G301400.6.p pacid=40076539 transcript=Brasy5G301400.6 locus=Brasy5G301400 ID=Brasy5G301400.6.v1.1 annot-version=v1.1 MGMVAAWLRSMHRHIYIRTLSLQDYHMDVKVAKKAIIEGFRKTDESLLQESTRGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEGSLVDTRSLMKAIVLTREHKAIFPQERSRIQKAGGSVGPNGRLQGRIEVSRAFGDRHFKKVGLIATPDVHSFEVTKKDNFIILGCDGLWGVFGPSDAVEFVQKQLKETSSVTLAVRRLVKEAVRERRCKDNCTAVLIVFKH* >Brasy5G407000.1.p pacid=40076540 transcript=Brasy5G407000.1 locus=Brasy5G407000 ID=Brasy5G407000.1.v1.1 annot-version=v1.1 MMHHQQQQQEQWLAGGVGGSLRPTKSAPCSPVKPTPAPTMLRTNSDAFHVAFKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAVKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEIKLVGRPAWAASGDQLDKSWLFDALMLGSSSSIWQPQPCVEHLLPPLPRDHFADENAAVGAGASKKMAAAAMQPNLNIDAQPFYSHSLRMPPLATKPQNALRQQPAPPPQQKPISQLHDPMGNLKRTRSGTAMDKAATAAVEKKEQDDNKNNSGGAGSRRKSLSAEERWPELPDHNAFDEALVAAVLAPVLADDENYCSHKPAAVPASCDTSPAAVKEKVGKRLRIFQDITQTLNAL* >Brasy5G247500.1.p pacid=40076541 transcript=Brasy5G247500.1 locus=Brasy5G247500 ID=Brasy5G247500.1.v1.1 annot-version=v1.1 MAEEKKHHLFHHKKEDTDVVVNPATGAVDEYGYSAETVVTPTGAGGEYERITKEEKHHKHKEHLGEMGAVAAGAFALYEKHEAKKDPENAHRHKIAEEVGAAAAVGAGGYVFHEHHEKKKDHKEAQEVSGEKKGHHLFG* >Brasy5G062400.1.p pacid=40076542 transcript=Brasy5G062400.1 locus=Brasy5G062400 ID=Brasy5G062400.1.v1.1 annot-version=v1.1 MFRRATLLWTNLRNRIPAPASRSPTLHSRTCNCSSLQTTEVFFWNALQTRDRLGFAICLDRRFNVDSSREVVISLASLNSLTILQPSLDEVTFFSAFLKCFFAVFTNRV* >Brasy5G092200.1.p pacid=40076543 transcript=Brasy5G092200.1 locus=Brasy5G092200 ID=Brasy5G092200.1.v1.1 annot-version=v1.1 MSNSPFQFFAPRKVGLTGSSSMLTDFWQSEKDFCVSLSGAPNNPLVCFNGHRVSFNTRRNSSRPNGMCLEKIGNGSYLNMIGHPDGSSKAFFSRQDGKIWLATVPEQGTRDSLQLDETIPFLDLATEGHLSSDLGLVGVAFHPDFVNNGRFFVSYICDATQSSNCAGRCSCDHEVGCDPSQIGSGNGVEPCRYQLVISEYSTKSSSSSFSEATYADPSEVRRVFSMGLPHVSNHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNEKSLLGKILRLDIDELPEMNEVANKSLWGNYTIPKDNPNTDDSNSRPEIWALGLENPWMCSFDSLRPFHLYCADNGQEQYKVVDLISKGGNYGWSGVYDDKHVHYPPWAAQGTKQTNDIIFPILGYEVPSTSEYAAIVGGYVYRGSADPCLYGRYLFADMYSSAMWAGTVNADGSGLYTSASIPLSCSEKMPLPCDGFINSPLGPIFSFGEDNKQDVFILASQGVYRIIQPSLCDYACVNDATTKQTRPSVSSGTQRMSTTMKAVIGLVIGLVSVLGTGAIYLVRTCYCNNPVIFVNNRIGSMQVTNNTTTRGDNPSFASTTKQGDIELGVTK* >Brasy5G376400.1.p pacid=40076544 transcript=Brasy5G376400.1 locus=Brasy5G376400 ID=Brasy5G376400.1.v1.1 annot-version=v1.1 MDWDLKMPVSWDLAELEHDAVPAMAAPAAVAAPGIAAAAASGRPECSVDLKLGGLGDFGAAADAMKEPSAAKAPVVPSPSASTAAAAVPSASPLKRPRPGAGSGSGGGQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLPEFDEAKRSCRKRLDGHNRRRRKPQVESMSSGSFMTSQQGTRFAPFPVPRPEPSWSGMIKSEDSSPYYTHQVLNNSNRPHFAGPTSTYSKEGRRFPFLHEGDQMSFSTGVEIPVCQPLLKSVVAPPPPPPESSSSNNNNKMFSNGQLAHVLDSDCALSLLSSPGNSSSVDVSRMVRPTEHIPPVSQHLVPNLQFASSSWFACSQASSGGGVSASAAGFTFPSMDSTQLNAAGLVPSSNDNEMNCHGIFHVGAEGSSDGTSPPLPFSWQQ* >Brasy5G303300.1.p pacid=40076545 transcript=Brasy5G303300.1 locus=Brasy5G303300 ID=Brasy5G303300.1.v1.1 annot-version=v1.1 MINLFKIKGQKREEAANSNGGPPVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATLRPDEGYYVGGTFMFTFQVPPSYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPQKFQRNVQMAMSGGYVDNTHFPRCK* >Brasy5G063100.1.p pacid=40076546 transcript=Brasy5G063100.1 locus=Brasy5G063100 ID=Brasy5G063100.1.v1.1 annot-version=v1.1 MCTPDPKPADRVRVNVGGRVFETTASTLASAGRETMLGAMVDASWNASGMPEYFIDRDPACFAALLGMLRSGELHVPPGVPERLLFREALYYGLLDRVRAARVPELDGDRLRLAASLQPPPGRADATAVRAAPDGGCCVAQGTTVRLYNWMLEELRPAYLAPAAAPVRDAAYLDASTLVVGAGSGMAAFSTRTGDLTHHFRSATHRPFTPRALVYDKKSTIFASSKASLYLPSEHDGIGTWDCSTGEQLAGSLFPDRPGFAFSDAAKLQWLETTNALLVVSTEASASGNKDRRFLALLDFRDTSVVWSCTDRMTLENVVDAVMLEDERWVCVVNKFHDLGFLDVRKNHGGAVLQSWRPTAEPVSHYPKLATHGGLLVASRNDTVSVYSGPHCALTSTLRGSGGAIGDLAIGGDRLFALHNEQNVVDVWEALPPPII* >Brasy5G411100.1.p pacid=40076547 transcript=Brasy5G411100.1 locus=Brasy5G411100 ID=Brasy5G411100.1.v1.1 annot-version=v1.1 MERKPKHARTRSAGGVFASFLRSTAASFSSSSTNFAPRTGTGGGKSSFNHRNAFSGPMVSIVPPEARGGGRRSGSSKKSSGYRTPEPSSPKVSCIGQIKRSKSKSKSKKTAKKAAACCGKDGGACPLPPRPPMGASGSRPKSSLVKRMSFFRRSRSRSSSSKPSGRGSTAVHAAAPAPGAGLGHMKRFTSGRGAFQDFDWREAEAERTGSDDDEEEYSFVDDGGFVAHSAPLVLGGGVVASEPRKEVNLWRRRPMSPPTPLQLP* >Brasy5G453600.1.p pacid=40076548 transcript=Brasy5G453600.1 locus=Brasy5G453600 ID=Brasy5G453600.1.v1.1 annot-version=v1.1 MVMDRASWLWKRKSSDNSPGATDSSVPVSSHSERCSSDQEVLRPVSNNASPHSGQSPKVSSRIRPDETQETGVPKSLNEKLASRVNLNDFSAHHGGSLEQHLSSNARDEETRETVKSLNEKLAAALLTISDKEDLVKQHAKVTEEAVAGWEQAEAEATALKRLLEAAAQRNVYLEDQVSHLDKALKECVRQLRLAREEQEEKIRGILTKKSQEVESEKSKLQSHIAELEKQLDATKSEASTMSAQPDLQEKLQTVEKENLDLKAKLLVQSKDLKILSLEKDLSNQAAETASKQHLESVKKIARVEAECRRLHHLTQKTALVIDSRPLPSNACVESLTDSHSDSAERMVAVDNELRNSDSWASALIAELDQFRNGKATNNPVEIDLMDDFLEMERLAALPESDQTSSTFDMETDSDKAVTRNNPSKLENQELRHHVADLQAQVEKSESEKRELETALIEARNQLDISCDALVAARNRLVEMQMQLDLVNDSKYAALGDVKRLDSEKKALEIQLESKSVEAEELHAVVASLGENVEMKECESQIQLLSAQAAELRLTVASLEERVEAEASLSVQHKAKADAARNAQELLETQLCSANTEVGKLRDIVKALENEVEKEKALCEELAAQSVVKIEAAVEAVKEPLEAQLCSVNTEVEKLHGIVEELENGIEKEKALHEELTAQLEMKIEVERTSVEAVKESLEAQLLSANNEVAKLRDIVEALENEVEKEKALHQTQANTERSLTVQSVKESLEAELQLVNSEVVKLRDMVSALEHEVVKEKEFSAEVQMQLEAVEAIKKMLESEVESAYQDTRKLNEKVELFEAKLKEQTSSAAEFTAKAEAVQSERMAMEHQLEAAKVEVLNLRNMVSLLEDEIVHERLLSGDFEQKCRNLEAQLSRNARDAKLWRLANSNGDLKVKQEKELANAAGKFAECQKIIASLGRQLKSLTEFDNVVLEPELLEPMDVPLDFRTGDAGGHIQKRNSGADFAVFADELYDLDLTSGDRSCFSPIPSIQPSSPPPSEMSVFAGGLSSLSSYRNKRRK* >Brasy5G199900.1.p pacid=40076549 transcript=Brasy5G199900.1 locus=Brasy5G199900 ID=Brasy5G199900.1.v1.1 annot-version=v1.1 MVSAVPAALPHLPPWDLNRSGAGWTLRALNRRKELAWSSKRSAAEQQQALGSRTKIHSMSFSRCCDFP* >Brasy5G520700.1.p pacid=40076550 transcript=Brasy5G520700.1 locus=Brasy5G520700 ID=Brasy5G520700.1.v1.1 annot-version=v1.1 MMMLQWHGGKLHLQPQPHPPPAPPPSFFPSSSSSRTHNHLLHSAISPAPQLSPTHDGDNDKDDALLALLRAGDTDAAYRLFAASPTLPDSPAAASRLLAQLSYTSPRTFPRAADLLRRLRAEGGLGLLDANSLSLAASAAARSGSARLACSLLLSMLRRGLLPDRRAYTAAVSRLSPPFRALRLFDAVLHHLRRTTAAPASSLPDTAAFNAALSACADAGDCRRFRRLFDEMRAWGAAAEPDVVTYNVAIKMCARAGRKDFVARVLPRILAAGLSPDATTFHSIVAAYVGLRDIPAAEAVVQAMRDRRADLCLLLRQLASSSSSSSDADEHSHVLEDIVVGDDDQGTAAAPLLLPRTYPPDSRVYTTLMKGYMNAGRVDDVVAMARAMRREGETMPASKPDHVTYTTVMSTLVAAGDVGRAHALLDDMADAGVPPSRVTYNVLIKGYCQQLQMSKARELLEDMTTSGGIEPDVVTYNTLMDGCVLADDSAGALALFNEMRSRGVAPSTASYTTLMKAFAAAGQPRAAQRVFDEMDRDPNAAPDRAAWNMLVEGYCQQGHLESAKAATERMKERGVQPDVATFGSLAKGVAAARKPGEALVLWGEVKARCDAGELRPDEELLDALADVCVRGAFFKKALEIVACMEENGIAPNKTKYKKIYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRVGPLDGNSEDEDGDYE* >Brasy5G439000.1.p pacid=40076551 transcript=Brasy5G439000.1 locus=Brasy5G439000 ID=Brasy5G439000.1.v1.1 annot-version=v1.1 MMRSFSSVSTYLLLLVATLLHLLQMFLPRRSCRIFSSPPAGPGAVGPWHLPPGPSPWPVVGSLPEMTLNKPAFRWIHRVMHELGTDIACFRLGGVHVIPVTCPRIAREVLQKQDANFASRPLSFASGAVSGGYVDVVLAPFGEQWKNMRRVLISEIVCPSRHKWLHERRAQEADNLTRYAYSLALQGAAVDVRHVAQHYCGNVIRRLVFGRRYLGGGGIEDGGPGPLEVEHVDASLAALGMVYAFCVSDYLPCLLGLDLDGHEKKVREAVAKMDRLHDAVIHERWRQWKSGERLPEEAEDFLDVLISSKDPSLSIDEVRALCRDIVMAAVDNPSNAVEWAMAEMVNRPELLEKAAEELDQVVGRDRLVQESDIPKLRYAKACIREAFRLHPVAPFNLPHVSLGDATVAGYHIPKGSHVLLSRVGLGRNPAVWSDPLRFDPERHLMNDDVALTESELRFISFSTGRRGCMAASLGTAMSVMLFGRLLQGFTWSKPEGVEVISLKEAERDLFMEKPLLLHAEPRLPVHLYRAAAQPVN* >Brasy5G360500.1.p pacid=40076552 transcript=Brasy5G360500.1 locus=Brasy5G360500 ID=Brasy5G360500.1.v1.1 annot-version=v1.1 MTVHSATSSSDGGGSSSSFCAPQRSAHFFVRATDSKTIAVHAASDDTVGALLAHLADCGYGRNLRLLYNGRQLLPEATIASLCLPHDSTLHLAARLRSTPHPEAWQLASHIAATAAAATSTPTPSHSLDWLVKEYILCASGSRKRGDLDDRVDTLSTRHRAEEYLDIFLQAGAAVALVRLYLSNSSFFRSFAERAIRCFLSTDPVSPLPPGVKPVTAPVLLEFCRLLSLTAGSKDSLYKSCRHTLASVLREPLSPFNSSKSPTRVIEQVLPFAREVVEVVLSGLASESMMVTWADLEEFSNFFKVLRHQVRRWMPYGPMHKNLYNMEHEHGNTWVWELHKMSMNLLRRVDECLKRLEMDLSTLSSQSRRVIESQPLWSNRLHILAMLTELDFISAFFEDLAHNLRVMLLAHKAPLNALVRSSKRMEHLHWLVKHKDLLCFEARRNLVLMLFSDGKDDYGELHEMLIDRSHFLDESFEYITQAKPSVLHRGLFVEFKNEETTGPGVLREWFCMVCQALFSPQQVLFTPCPNDRRRFYLNGTSVVDPLQLKYFIFSGRVIGLALMHKVQVGIVLDRTLFLHLAGRSITIEDIAATDPVTYASCKRILEMGASDIDNLSLTFSRDVHTLGSQRTIELCAGGQDISVNIRNREHYIDLLIKNIFVDSISDQLANFAKGFC* >Brasy5G202900.1.p pacid=40076553 transcript=Brasy5G202900.1 locus=Brasy5G202900 ID=Brasy5G202900.1.v1.1 annot-version=v1.1 MLSAGGSECLALVGREIKGIADGAKRQGMGEMEAWTSASQGAMHSLPMKLEWLLSRHYGLQGPGRNEINILKDLKDDLQQLLNNYCIEPSEVVAPASTADCWADEVRELSYDIDDFIDEHVHGAGSINKLKPWESNTVANEILGFRARVAQVIRWHDCYLANCEMQPSSSSRSTLGNWPPPPPRRQQHRLVGMHKSSIREIHRLLANDGKPERKVASILGVSGVGKTTLARELYRQLRQQYQCKAFVQASRKPDVRRLLTSILSQVRQHQLHGNWELEKLVDELKAYLKDKTYFIIIDDLLSPSMWNLVNRALPKDGCCSRILATTEVDVVAQICCAGNSKYIYKKEPLSEDESRQLFSSTLLGRQSEIPEHLNNVLYEIINRCGGLPLAVIATASILACQPVSIEQCNYLRNSLDLGLITDPNLEGVKQVLNLGYNNLPHCLKACMLYLSLYEEDCIIWKDDLMKQWIAEGFICAVEGDTSREETAGSYFDELVNRGMIQPEDINCNDEVLSCTVHRMVHQFIRDKSIEKNFSIAIDDSQTSIRHAEKVRRLGLNFGNAEDATLTATLRLSRVRSLAFSGLLKCMPSIVKFRFLQVLILKSLFEPVDMSENLTEPDGVSFNLTGILALFRLKYLSIGVSQSHVTVELPTQMQQLKELVALEIQAKLTAAVPSDTFDLPAGLLYLRFPSETPLPDSIRWMRSLRTLGYLDLSMNSTCSLMNICNLTNLRELHLTCSTVQSGNLEDNMRILGSALVELRNLKCLTLAPAAGSSRVDSPNAAGASSMSISFDVFTIVSPPALLERLDLSRRCCIFSSLPDWTNKLAKLCILKIAVGKLLTHDIDVLGGFLSLTALSLYIEAAPAEMIFFGKAGFSVLRYFKFRCRGRVPFVKFEAGAMPKLQKLKLGFNAPAVDQHSAAPINIEHLSSLVEISAKIRGAGTDAESALTTAVNNHLSNPSIDVDLVDCVIYGEEGIIRGTKGEGHMAPDQQDAIVEVENQDECNTQVENKGGDKNTRFGLDMLLDRKIRMADTLTTVLKLGLKIKEVVDKVSHNKHDCRGTGSLVERLCAILAPLEEEVIRGAEMSAALDALEEHLGRALELISSCKERRSVTRQRFRLRKISRQLQEVNRDIIDQMVVVTLASENNVVVPIRHFQDGARMSPPEAVKEKLGSFSSHSDSDPELMTEERVVDDIESVGVSEATEPKQDANSFASFSRYGSGGTKVLPKRRHRFRWPSWWTQKETTSLVDRLIGHGGIAGFTIFSFSQLAASTNDFSHDNKIGRGGYGSVYKGQFPSGIDVAIKINDKYTSHRPDFENEVRITSKLQHANIIKLLGCCMEGDKKILVYEYMPNGSLDCLIEETTGLQINWPIWFGIVKGIAQGVVYLHQHSRLRVIHGDLKPSNVFLDRDMTPRIADFGISEVLSSGLDEKQTSQVSGTFGYLEPEYFTSGIISTMSDLYAFGVTLLRIISLRRPYTDFLERQQSLVVHAWKLWSSGRAMELIHPSLHDEPAIGDILRCVQIALLCVQKYRADRPTMSDVLHMLNCGSISLPVPNPPIQQFNEESAPNSPEQRVQCLTM* >Brasy5G079300.1.p pacid=40076554 transcript=Brasy5G079300.1 locus=Brasy5G079300 ID=Brasy5G079300.1.v1.1 annot-version=v1.1 MRFNLALQLSPAFPSLLLSLSYTWEFQVRGICNSQPFSSFKSLPMRPARIASLAPTFAQCLLRFSVLLSLLVGPRSS* >Brasy5G331300.1.p pacid=40076555 transcript=Brasy5G331300.1 locus=Brasy5G331300 ID=Brasy5G331300.1.v1.1 annot-version=v1.1 MDTAPVCLDLMVGLPMAREPSSCTGTRTLTEVPSPACGRAASMTNEEAKTLQAKLAQVSDENRRLTEMIAHLYGSQVARGSSPSACTAASPTSNKRVRALSPPATSTAASPVSSKKRGRESDSVETSNSREAANCDKKSGTADGADHVDSPLRDDGSYRRIKVKRVCSRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAENSSVLEATYEGEHNHPQPTRAGDLATSCVTRSGSVPCSISINSSGPTITLDLTKNGGGVQVVEAGEAQQPDLKKVCREVASPEFRKELVEQMARELTSDPNFTGALAAAILQKLPDY* >Brasy5G294900.1.p pacid=40076556 transcript=Brasy5G294900.1 locus=Brasy5G294900 ID=Brasy5G294900.1.v1.1 annot-version=v1.1 MAAAAGGAGGRPWRVIPRPVLETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLHRLLPQWSEPPHSAAFVDFLHPAPSSAAQATAAPWSLLADTVPSLPCLRLRLESALEGLTRSAVLRGAVGSKDVLAALSRSHGIHSALSRLAGPAARRARGTNHVPVLWARAVLAASSPARGDDPVFHIDEGEVTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEEDFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFNEQEWKVVDEVLGPNPRQLSEIHMLKQKVNDPGVLHDTNIEEIIDTYLAHLQVSVVNPAMQTALSMVQKFASDALEGKIPENRLCFGAPWRHPPRTDDPDISHKWAKIQLMDFVQSFVKTEFGLNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFVRPITRGVQRCIARWLVQQRLELSLQESISYLWQRLIRGRSYRHLMKEVGYK* >Brasy5G294900.2.p pacid=40076557 transcript=Brasy5G294900.2 locus=Brasy5G294900 ID=Brasy5G294900.2.v1.1 annot-version=v1.1 MAAAAGGAGGRPWRVIPRPVLETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLHRLLPQWSEPPHSAAFVDFLHPAPSSAAQATAAPWSLLADTVPSLPCLRLRLESALEGLTRSAVLRGAVGSKDVLAALSRSHGIHSALSRLAGPAARRARGTNHVPVLWARAVLAASSPARGDDPVFHIDEGEVTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEEDFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFNEQEWKVVDEVLGPNPRQLSEIHMLKQKVNDPGVLHDTNIEEIIDTYLAHLQVSVVNPAMQTALSMVQKFASDALEGKIPENRLCFGAPWRHPPRTDDPDISHKWAKIQLMDFVQSFVKTEFGLNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFVRPITRGVQRCIARWLVQQRLELSLQESISYLWQRLIRGRSYRHLMKEVGYK* >Brasy5G294900.4.p pacid=40076558 transcript=Brasy5G294900.4 locus=Brasy5G294900 ID=Brasy5G294900.4.v1.1 annot-version=v1.1 MAAAAGGAGGRPWRVIPRPVLETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLHRLLPQWSEPPHSAAFVDFLHPAPSSAAQATAAPWSLLADTVPSLPCLRLRLESALEGLTRSAVLRGAVGSKDVLAALSRSHGIHSALSRLAGPAARRARGTNHVPVLWARAVLAASSPARGDDPVFHIDEGEVTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEEDFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFNEQEWKVVDEVLGPNPRQLSEIHMLKQKVNDPGVLHDTNIEEIIDTYLAHLQVSVVNPAMQTALSMVQKFASDALEGKIPENRLCFGAPWRHPPRTDDPDISHKWAKIQLMDFVQSFVKTEFGLNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFVRPITRGVQRCIARWLVQQRLELSLQESISYLWQRLIRGRSYRHLMKEVGYK* >Brasy5G294900.3.p pacid=40076559 transcript=Brasy5G294900.3 locus=Brasy5G294900 ID=Brasy5G294900.3.v1.1 annot-version=v1.1 MAAAAGGAGGRPWRVIPRPVLETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLHRLLPQWSEPPHSAAFVDFLHPAPSSAAQATAAPWSLLADTVPSLPCLRLRLESALEGLTRSAVLRGAVGSKDVLAALSRSHGIHSALSRLAGPAARRARGTNHVPVLWARAVLAASSPARGDDPVFHIDEGEVTNCSMEERAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEEDFFQPKLVLNNVDVLRKATCEDETMVPAAMYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFNEQEWKVVDEVLGPNPRQLSEIHMLKQKVNDPGVLHDTNIEEIIDTYLAHLQVSVVNPAMQTALSMVQKFASDALEGKIPENRLCFGAPWRHPPRTDDPDISHKWAKIQLMDFVQSFVKTEFGNYPI* >Brasy5G521400.1.p pacid=40076560 transcript=Brasy5G521400.1 locus=Brasy5G521400 ID=Brasy5G521400.1.v1.1 annot-version=v1.1 MGRQDTWQGTGGGDPAAAAEWWQTIPNPSPSSSSSSPTRPSNNTSIGASFLHRKSPTSFPPLPNPPPLPSARFHQRERERAPPATTPPTPPPRL* >Brasy5G093900.1.p pacid=40076561 transcript=Brasy5G093900.1 locus=Brasy5G093900 ID=Brasy5G093900.1.v1.1 annot-version=v1.1 MASSVFKAMAEKAAPAARKQALTLTDAAASKVHHLLDLRQRSYLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIEPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTATNKSST* >Brasy5G093900.2.p pacid=40076562 transcript=Brasy5G093900.2 locus=Brasy5G093900 ID=Brasy5G093900.2.v1.1 annot-version=v1.1 MASSVFKAMAEKAAPAARKQALTLTDAAASKVHHLLDLRQRSYLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIEPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTATNKSST* >Brasy5G093900.3.p pacid=40076563 transcript=Brasy5G093900.3 locus=Brasy5G093900 ID=Brasy5G093900.3.v1.1 annot-version=v1.1 MASSVFKAMAEKAAPAARKQALTLTDAAASKVHHLLDLRQRSYLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIEPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTATNKSST* >Brasy5G093900.4.p pacid=40076564 transcript=Brasy5G093900.4 locus=Brasy5G093900 ID=Brasy5G093900.4.v1.1 annot-version=v1.1 MASSVFKAMAEKAAPAARKQALTLTDAAASKVHHLLDLRQRSYLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIEPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTATNKSST* >Brasy5G441500.1.p pacid=40076565 transcript=Brasy5G441500.1 locus=Brasy5G441500 ID=Brasy5G441500.1.v1.1 annot-version=v1.1 MANFQITPRSALVEGNELNFSNLFLFHTPIGSSPNQSAITEANATTGLGRTVVHNWLIYDGPGSNATLVARAQGLHTYAGNWQNTFSILFEVERFKGSTLQVMGISVEEGEWAIVGGTGQFAMANGVIYKRFHEQRSYGNIIELTVHGFCPMLDGAPSLLTKLGPWGGNGGKEKDTTEAAPRRLESITVHSGDVVDSIGFSYVDQAGKKYTAGPWGGPGGSPHEIQLAPSEYVKEVSGTFGDYYGATVITSLTFVTSLKTHGPFGQEDGTPFTVPVGKNSGIVGFHARGGKFLDAIGVYVRPL* >Brasy5G382900.1.p pacid=40076566 transcript=Brasy5G382900.1 locus=Brasy5G382900 ID=Brasy5G382900.1.v1.1 annot-version=v1.1 MPPPAPADAGSGGWPRPRPCQEDEQEQPSSWRVSGYGREREQYALRRSQFVRSYRLISPATPGRGDAGEGLIRVWVRKARVAGRGAVARAHHAAVWWLGRASTGLLGCFGGASAARKYYYLQHGFP* >Brasy5G216300.1.p pacid=40076567 transcript=Brasy5G216300.1 locus=Brasy5G216300 ID=Brasy5G216300.1.v1.1 annot-version=v1.1 MSNCVTTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRMKYERLNKGPFKYLPRGFISCENKFFTLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGTYENEVRYPPRPPAPELTKVFQVKGFTPARGPPRVFESTYFPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G091700.1.p pacid=40076568 transcript=Brasy5G091700.1 locus=Brasy5G091700 ID=Brasy5G091700.1.v1.1 annot-version=v1.1 MQAIEKKRPTSFVCKGRVELKILRYPVIRRSLISQSFPAEQRGGARQVLLTLG* >Brasy5G068500.1.p pacid=40076569 transcript=Brasy5G068500.1 locus=Brasy5G068500 ID=Brasy5G068500.1.v1.1 annot-version=v1.1 MGPERARSSRPPPQGRLRRGEAAADPADAVPRSPPGPAAWPRRTKPPHRRPGASAAPRAHAGARPAPPAVPAPRTFTRPPRSDAAPPRPAATSRRRASAASPRPPSAATPRTAAPRRPQPRRAPAASAAPPLATTTVVAQIRPTGEKPPRCHHPWACPASPARPPAAAK* >Brasy5G090600.1.p pacid=40076570 transcript=Brasy5G090600.1 locus=Brasy5G090600 ID=Brasy5G090600.1.v1.1 annot-version=v1.1 MQQAMSMQPGAPAPGMTPAGGLSTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTSVSRPQMAPPGASPGAGHYMSQVPMFPPRTPLTPQQMQEQQLQLQQQQQAQLLPFSGQMVARPGVVNGMPQSMQVEPPHVTAMRQDAGGATSEPSGTDSHRSTGADNDGGSDLADQS* >Brasy5G059700.1.p pacid=40076571 transcript=Brasy5G059700.1 locus=Brasy5G059700 ID=Brasy5G059700.1.v1.1 annot-version=v1.1 MSAAAAAADTSPFSGHFPGGCVVDSSACSTPFVSAPSSPARDPSFHIAPPAAGCYFSAPASPARGAGGEEDEFDSGFGFGFDFSSRFPYPAAAAMSSADELFHNGQIRPMRLSSFLLRPQQPLPPPAGDLTEAAADDERGRFGKSRSAHRRARSLSPFRGPRKVSPARAAPVEDKSPTSASRSSSSSSTASSASSASSSSSRSHRRWGFLKDLVHRNHKSDDGPPGPSLPPMPEKKSPARVVAAEARRGRRRRSAHERLYEARRAEAEDMRRRTFLPYRQGLLLGCLGLGSRGYGAMNGLAAAAAARSRS* >Brasy5G053200.1.p pacid=40076572 transcript=Brasy5G053200.1 locus=Brasy5G053200 ID=Brasy5G053200.1.v1.1 annot-version=v1.1 MARKKIREYDSKRLLKEHLKRLAGIDLQILSAQVTQSTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSVVSDRLGCTISFSECGGIDIEENWDKVKTITLTTEKPMTLDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVMSPSESYIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRALGSELGLPIEVYGPEATMTGICKQAIDCVMSEA* >Brasy5G267800.1.p pacid=40076573 transcript=Brasy5G267800.1 locus=Brasy5G267800 ID=Brasy5G267800.1.v1.1 annot-version=v1.1 MLMSSFRPQTNVSRHLLTYLLHHYSVYEIPVYLLPIVLFLYRYKLSLKVTDDTASMETIAFSFVAEDLLEQTAMQASQNMKIDASDHAVALEKAIGKKRLFSIGMNPKYFSRFSINHVLKKSYKIHDDTSQVNV* >Brasy5G342400.1.p pacid=40076574 transcript=Brasy5G342400.1 locus=Brasy5G342400 ID=Brasy5G342400.1.v1.1 annot-version=v1.1 MSTSSAEIVNFFCHACGATVRGTAPSSIFHFTLCPRCGEGYLDDCPAAPNQAPLPAWLLRLPPPPPPWLSSAPPSAGSSSRRASASEYSSPWSPPLALLPPPPPPLLHRGEPPRGSSSPLTLRSSAASTSQQISLRPPPPPPWLLPPPPPTTLRDSWDDVTSLILIPSDEESPPRPPPPPPVSNSTDHDSTMSQAPAPPESVAALPTVAVSDAALVCPICTDGLLLLPQPAEAARQLPCGHVYHSGCIVTWLSLRNSCPVCRSSIPAMPPSAATGDAAASSSSSSASLQPEMGRRPRGRRIRRICSRLLRYMDIISRGRQANTSSSGDVRVS* >Brasy5G079700.1.p pacid=40076575 transcript=Brasy5G079700.1 locus=Brasy5G079700 ID=Brasy5G079700.1.v1.1 annot-version=v1.1 EIEIQAKNIDAAAGEVFGVLEDTSQGNLIYFGGWEGLGASALLNLVTQRLKSSTRTNKFDKVIHVDCSMWKSMRALQKAIAEEVELPHSVMAIFDQCDEDDDFNGTDQGSRGVIEDIRREICTRLVNSRFVVVFHNGSSRYIDLYECGIPVISLMSNKVLWTWHGRFRLGLVLEDREQEKMEWQIDVDLRISLGSDFKDKELRRDLMHEEAEEVAKCTGIVEPDYMNVVKQCFLYRCVLTAAGMDLGTHVSNYWICDGIIQGQGNTSAWEIGDRLQRTMQLDWVAKRDVLDAQDHLEELFTDVDVNRWILADTGVLLPPEATSFFLYIDESPINTVPVLLVSMLQHSQSSKLRVLYLSWCTFSFTSPPFLRCSQLRLLHLDHCIDHMTVQEHPSNNGNISCFQKLWVLDLSSMVDLRELNVEGVKHASISDLCHGRPSLVMLRVTEDEDFMASNFINEVLSSSLESFSFINKAEGAPKISSISFRGCSQLKSILLKGYLGGLEELDLSGTSVKTLDLREVQAPNLKHLILVGCERLCAILLPAINKRTRVLKVVHINTIRSASPSQPNWEEGTKKASAATRSASIHTVAATKPGTSQAASFHFNWYISVRDSRLLRSLVPVEEYIEADFVHIEMDSSPTSRVAAGGRDVAQGIRTLRQPDKYLYARDFIFRGHMQTGAGTEGAISWMWACPTSPTPRGGDWYVHIRDEVEMKSRLLPQPGSTEETISSDVLTPAFICNNARMMHVHDSLAITCIPCQGSVDWGCLQWCRVERCPKLHAVFPTPQKNLVFLHLDYCPRLIHVLPLSVYMDTLRNLETLEIVLCGDLREIFPLDPKRQEEQKIIKIPKLRRIHLFELPLLQHICGSRMSAPNLETVKIRGCWSLKRLPAISGNTTKRPKVDCEKYWWDNLDWDGLWANHHPSHYERTHAMCYKKAQLPRGPLLR* >Brasy5G195400.1.p pacid=40076576 transcript=Brasy5G195400.1 locus=Brasy5G195400 ID=Brasy5G195400.1.v1.1 annot-version=v1.1 MSGREVREYTNLTDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSAPAVPVALRVESRPKSGIRQQDLLKNIVGIKPKRPKVLSPSQAAENDQPKQSQEVPVTRIPSLQNQSLGENEKESSHGAVGPVKQPLSKPAEQSDAKPRNVTGSLLGLAYESSDEE* >Brasy5G195400.2.p pacid=40076577 transcript=Brasy5G195400.2 locus=Brasy5G195400 ID=Brasy5G195400.2.v1.1 annot-version=v1.1 MSGREVREYTNLTDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSAPAVPVALRVESRPKSGIRQQDLLKNIVGIKPKRPKVLSPSQAAENDQPKQSQEVPVTRIPSLQNQSLGENEKESSHGAVGPVKQPLSKPAEQSDAKPRNVTGSLLGLAYESSDEE* >Brasy5G195400.3.p pacid=40076578 transcript=Brasy5G195400.3 locus=Brasy5G195400 ID=Brasy5G195400.3.v1.1 annot-version=v1.1 MSGREVREYTNLTDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSAPAVPVALRVESRPKSGIRQQDLLKNIVGIKPKRPKVLSPSQAAENDQPKQSQEVPVTRIPSLQNQSLGENEKESSHGAVGPVKQPLSKPAEQSDAKPRNVTGSLLGLAYESSDEE* >Brasy5G389900.1.p pacid=40076579 transcript=Brasy5G389900.1 locus=Brasy5G389900 ID=Brasy5G389900.1.v1.1 annot-version=v1.1 MMRAKAKGTFLDAMKETSPPPPLASAAAAKEAVKEDEWEVRPGGMLVQKRSPDSDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKMMSTKTGLHPEDQKVVYKDKERDSKAFLDMSGVKDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALQSIVGKGGKVAEADVVALTEALMTELVKLDAIAADGDVKAQRRMQEKRVQKYVETLDAIRAKNSSAAAPKANGNGNAKDRALHLPPRPPPVSQRRQFKQQQPAPANGKAPTANWESFDLLSSMPSTSSATATTTMPAASPIPRFDWELF* >Brasy5G320100.1.p pacid=40076580 transcript=Brasy5G320100.1 locus=Brasy5G320100 ID=Brasy5G320100.1.v1.1 annot-version=v1.1 MACGVGPRRRGGARPAAQRRPCVQGGSGQRSGWIWARCGPRSGRECGGGAMAAMASWCGATRVRAWLRGSRGRVGGQGGVRGDGMVCSWSRFEMDLGIVGLQCGVQGQLLLGPVACACRCRDVCREAGLGKGGLCRVCVLLVAGCKGGAAADGGSACGLDLGAGCIRAPVRWRGGCRLRSEFRRGGAGMLLLLLGPFWV* >Brasy5G421800.1.p pacid=40076581 transcript=Brasy5G421800.1 locus=Brasy5G421800 ID=Brasy5G421800.1.v1.1 annot-version=v1.1 MAASVRGLLPLPPPPSSPCTSMRCLTSSPRRLTVPRRRAPGVRAAAEAAGGAPRQPEPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTVHVTDNSEVQLIQRSHGTETVVRDLEPPVSLHPCPPAVILVASAGQDADQTAALELLSAVKSADKLAASIFLKPFCFEGQRRQVEASDLIAKLQACSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIIMSGFNHMFWSSLNTQIKEVGPEEVGKLLKSYGEARVGFGAGYNVQSAIKQAVLHCPFLRGGIKDLNSVVFLSLTSARLLAESDMISTLHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTVCNNRDENVASVKEGFLSSLALHFPFISSLMGGDTPELKQAWSNQSSRQLPDGGLSMAEQGLAEQGSPLSEELGNMKPERESNNNDARIQPEFQEATSEPHEEISIDVGREGLSLQQGHKFWSNAPAFGIAQLWAQERNTTDRSNQRNELDVITLPVGVKSPGIQYDHSPNTQPETRNVTDSTSLATGHAASGASLSDVGLEKMMEICSSAAAFLKGRMDKSRKRGSIASRAASMLDAEREPEKTWSPIVEILYGGGTYRGRCQEGVPEGKGRLTYSDGSFYDGVWRYGKRSGLGTLYYSNGDVFHGTWRDGLSHGKGWYYFHSGDRWFANFWKGKASGEGRFYAKDGSIFFGLFKNGWRHGECLLIDANGSRWLEVWDDGLLIRQTKLEK* >Brasy5G421800.2.p pacid=40076582 transcript=Brasy5G421800.2 locus=Brasy5G421800 ID=Brasy5G421800.2.v1.1 annot-version=v1.1 MAASVRGLLPLPPPPSSPCTSMRCLTSSPRRLTVPRRRAPGVRAAAEAAGGAPRQPEPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTVHVTDNSEVQLIQRSHGTETVVRDLEPPVSLHPCPPAVILVASAGQDADQTAALELLSAVKSADKLAASIFLKPFCFEGQRRQVEASDLIAKLQACSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIIMSGFNHMFWSSLNTQIKEVGPEELLKSYGEARVGFGAGYNVQSAIKQAVLHCPFLRGGIKDLNSVVFLSLTSARLLAESDMISTLHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTVCNNRDENVASVKEGFLSSLALHFPFISSLMGGDTPELKQAWSNQSSRQLPDGGLSMAEQGLAEQGSPLSEELGNMKPERESNNNDARIQPEFQEATSEPHEEISIDVGREGLSLQQGHKFWSNAPAFGIAQLWAQERNTTDRSNQRNELDVITLPVGVKSPGIQYDHSPNTQPETRNVTDSTSLATGHAASGASLSDVGLEKMMEICSSAAAFLKGRMDKSRKRGSIASRAASMLDAEREPEKTWSPIVEILYGGGTYRGRCQEGVPEGKGRLTYSDGSFYDGVWRYGKRSGLGTLYYSNGDVFHGTWRDGLSHGKGWYYFHSGDRWFANFWKGKASGEGRFYAKDGSIFFGLFKNGWRHGECLLIDANGSRWLEVWDDGLLIRQTKLEK* >Brasy5G459000.1.p pacid=40076583 transcript=Brasy5G459000.1 locus=Brasy5G459000 ID=Brasy5G459000.1.v1.1 annot-version=v1.1 MASSDADLGNLSAAELVSRLSNNLGDLDAVVRVLSARERQHRSALAEIGALRKNSERIQEELEDHLTAALSDIQEALEKGAFKLNALLAGKYHRWEGTVVFDVHGEHMERLGRIAAELRAKISASFSNVITVEGGQDDDEAFIIEQERRQKVFRDEALDVLRELDLHFGARLRPYRAQMAQIVNHFLNPLPHASTEDLRRWLSARTSPVQQTVAKEEGHLVGTVSAEHEDGQQPIMQDEQLIRDVGSFLQERLRQQMDQFESRYTNGTRSADEASRGTS* >Brasy5G167300.1.p pacid=40076584 transcript=Brasy5G167300.1 locus=Brasy5G167300 ID=Brasy5G167300.1.v1.1 annot-version=v1.1 MAGGGVSGLPSGFQFVPTDEELTVHCLRKKALSFLLPSDIIPVVDLARIHLADLPGNEAHEEKFFFSRPVPLCGRRPVGWHPACGRHASLLLRRRRRRREHPVGHARVRPHPAMPTVTANNGKAVEDWVVCRVFQKATRAQRMRGPSSPHSPASSCVTEESGDDDEEEEMASSK* >Brasy5G481900.1.p pacid=40076585 transcript=Brasy5G481900.1 locus=Brasy5G481900 ID=Brasy5G481900.1.v1.1 annot-version=v1.1 MTRAGRRRTHQHAAMQSQQPSRRVASDPSLGLFPAALTLLFARDNFHVALLDAYTGYQEWVTGVAEVVICLVYVLVKLYEFPGELSQVLTLKSLIKFPTCPDNIRIYRDTAVIVIIAYVLLLDINLSYLWLSIFPAIAIGFIYALCIELCPPGEGDELANHSGNCDNMTTKGSEQKALKGMAAVPFGVLLVMAQLNDDAADRFTISQFLLFLSTTLGALTYMMMRLPTCTSPGVATASELLHKSFLLLLLVTAHTVAAEALGEEVVLICMPELVPLLLWFSLHLDGNSSIISLDKMRWPGKDVLAVLGAVVVALLVHLATSMGESGLSRCTTVSLSCCVSGLLTCYLGFMIRQWPEQQTAAAKIDDVAAADISHQPKKKGGKSKTATASSEDAAQPEGQTAASSEEAVQLLKLWAIFLLTVAAVLVILKYVVARRIGMQELQLVDTFCRNFQRLCW* >Brasy5G219500.1.p pacid=40076586 transcript=Brasy5G219500.1 locus=Brasy5G219500 ID=Brasy5G219500.1.v1.1 annot-version=v1.1 MRRLRSSTGIGVQVGRACRLSRSPSRPVCPTKSFLGGPLSRTGLTGRKPKCPAGSPLSRTGSSSSRR* >Brasy5G033400.1.p pacid=40076587 transcript=Brasy5G033400.1 locus=Brasy5G033400 ID=Brasy5G033400.1.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGTLSFRRNCQDR* >Brasy5G033400.4.p pacid=40076588 transcript=Brasy5G033400.4 locus=Brasy5G033400 ID=Brasy5G033400.4.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGTLSFRRNCQDR* >Brasy5G033400.5.p pacid=40076589 transcript=Brasy5G033400.5 locus=Brasy5G033400 ID=Brasy5G033400.5.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGNGKDG* >Brasy5G033400.6.p pacid=40076590 transcript=Brasy5G033400.6 locus=Brasy5G033400 ID=Brasy5G033400.6.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGNGKDG* >Brasy5G033400.2.p pacid=40076591 transcript=Brasy5G033400.2 locus=Brasy5G033400 ID=Brasy5G033400.2.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGNGKDG* >Brasy5G033400.7.p pacid=40076592 transcript=Brasy5G033400.7 locus=Brasy5G033400 ID=Brasy5G033400.7.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGNGKDG* >Brasy5G033400.3.p pacid=40076593 transcript=Brasy5G033400.3 locus=Brasy5G033400 ID=Brasy5G033400.3.v1.1 annot-version=v1.1 MQDRPNTNRPGSYPIHSPRSSYKTLNPPASSPPTDPNHLLLLLRRSINPSIMAWRGAASRTVLAAMRRPAPSAAIGAIRAPPPFAAPRRRLPSAFANAPPTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPAASARACCELSQGT* >Brasy5G258600.1.p pacid=40076594 transcript=Brasy5G258600.1 locus=Brasy5G258600 ID=Brasy5G258600.1.v1.1 annot-version=v1.1 MLKPATQYVSLNDVPLDVIDDLDLDPPGGGLCSPDDPLDKVMTYVALFDDGFFEDLGIDCSPPPDEESSRSALEEDGGGALPAAAALGVTKRSACQVFDGVPEAPSSSPASTASAGPFLGTLEAPDFGEVLRWTVLPRPHHRRTAARRRHVWPVSFPLALMTSPAPAAIHDNSNANDDVKDKDFKLISHCDVGKGNGNKRRRLSEPKRQRDKDNVEDKDFELAGYCNVGGSNGKQRRSAEPKPQLDKDGRLRTCTHCRSWQTPQWRHGPNGNGTLCNACGIRYKMGKLCEEYRPSTSPEFSSLKHSNRHRNVEKIRDQKMKQLKVMSPVVPVTPDSSKVLLRMCKYET* >Brasy5G299200.1.p pacid=40076595 transcript=Brasy5G299200.1 locus=Brasy5G299200 ID=Brasy5G299200.1.v1.1 annot-version=v1.1 MKLLSWNCRGMSSPSAVRALSDLQEQVRASILFLAETHLNYERADALRRKLGFTSMQVDESDGRSGDIAFQYMTPNYIDAVIMVDNEPSWRDLHGRMNFPWLLIGDFNEILYSHEKEGGADRPDRMMQAFRDCLSDCGMEDIGYSGDKFTWRRCGIRERLDRAVCNSGWANMFPLAGVINEQHTHSDHRPVIVDFENYNGKELRAPAGKQFEARWLSEEAVDEIVKTAWQSAVLAGEAPTLAQRTRAVHDELHRWDKQVLKGPKNRIKKFKAELETSRRGANTPEAIARQKELQVLIDNLLAQEEMHWIQRGRANWLLHGDRNTSYFHNAATARKKRNQIKKLLDDTGVWKEGTDELGPFISGYFANLFTSELSLHLFRQKRFVKLYLTSGISRPQV* >Brasy5G415800.1.p pacid=40076596 transcript=Brasy5G415800.1 locus=Brasy5G415800 ID=Brasy5G415800.1.v1.1 annot-version=v1.1 MIHPKRLTQLLRKWQRVKMISRDDEACCTTSLVADKGHCTVYTADGRRFEVPLAYLSTTVFGELLRMSQEEFGFTCDGRITLPLDAVAMEYVMCLLRRNASEEVERAFLSSVVRPCHYSSCIMPSVSLHRQLAVCSS* >Brasy5G380200.1.p pacid=40076597 transcript=Brasy5G380200.1 locus=Brasy5G380200 ID=Brasy5G380200.1.v1.1 annot-version=v1.1 MRRKSIKTPDLRKVYSSAVLVQCTKGRNLMTKYFLVRGEKYKYTSTCSDHTTLFFVPTPPGRQGFSSRAFFCCLRPASLPTLRVSVRPRDPTWLPDPSIREMAEQIASDPVFNQIAEKLQKSAQATGEQGFPNLDPQQYMETMTQVMQNPQFVSMAERLGNTLMQDPAMSSMLENLTSPAHKEQLEERMARIKDDPSLKPILDEIESGGPSAMVKYWNDPEILQKIGQAMSINFPGDGATSTVLSGPQETEEEGEYDDESIVHHTASVGDAESLKKALDGGANKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVAKLNSQDEVLKLLEKDVFL* >Brasy5G380200.2.p pacid=40076598 transcript=Brasy5G380200.2 locus=Brasy5G380200 ID=Brasy5G380200.2.v1.1 annot-version=v1.1 MASDQNKTSKPEGSSDAQKGASPTADAGLGSPFDFSNMSSLLNDPSIREMAEQIASDPVFNQIAEKLQKSAQATGEQGFPNLDPQQYMETMTQVMQNPQFVSMAERLGNTLMQDPAMSSMLENLTSPAHKEQLEERMARIKDDPSLKPILDEIESGGPSAMVKYWNDPEILQKIGQAMSINFPGDGATSTVLSGPQETEEEGEYDDESIVHHTASVGDAESLKKALDGGANKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVAKLNSQDEVLKLLEKDVFL* >Brasy5G508500.1.p pacid=40076599 transcript=Brasy5G508500.1 locus=Brasy5G508500 ID=Brasy5G508500.1.v1.1 annot-version=v1.1 MLLKMAIRHHFLLLPVVIARATAISKTMKSVVIPNNDLPMSYPRYKFNNSVTVGANPEVLGDPDGLPWSGGEKAKCNGKFLAVMN* >Brasy5G027100.1.p pacid=40076600 transcript=Brasy5G027100.1 locus=Brasy5G027100 ID=Brasy5G027100.1.v1.1 annot-version=v1.1 MNVRTTVHVLPSKASVSTKPPRAVATLPSPNPYPDLYTRRYPARPQVNSLHFSPKQPSSESRPVSHGEIMEIVVTWTAQRKALSACRELIDRTVYYGKLKSWLGLVPGSSQQRVCDVRPCGRVHVGGEIGMWWMSLVAVTAVAVIAHLRVSFTW* >Brasy5G313200.1.p pacid=40076601 transcript=Brasy5G313200.1 locus=Brasy5G313200 ID=Brasy5G313200.1.v1.1 annot-version=v1.1 MPRFGALLRPDVSFPTLSPSVGPAGRTAPAEPPPGGTGIRYPARPPRSTIHLHRTARSVRFHESTRAKRGQISSGKKSWRASRGRPPRALLHPTPPPAAGSPPPRRCRPPPDLLPRRRCPPPDLLPRRRRPPRALLHPPPPPAAGSPPPTAADRIQCLGAPPTASSVRLRPIPLQPSTPRRPPLVRPTPPRRTPAGTVPPAATAEFDHCAMGEIDKGIPQVGMRFRNVDEAWAFWVAYGGRAWFDVIL* >Brasy5G133200.1.p pacid=40076602 transcript=Brasy5G133200.1 locus=Brasy5G133200 ID=Brasy5G133200.1.v1.1 annot-version=v1.1 MVGLGAMPGYGIQSMLKEGHKHLSGLDEAVLKNIEACRELSAITRTSLGPNGMNKMVINHLDKLFITNDAATIVNELEVQHPAAKLLVLAARAQQAEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYNKAIIKALEVLEDLVEKGSENMDVRNKEEVVLRMKSAVASKQFGQEDVLCSLVADACMQVCPKNPANFNVDNVRVAKLVGGGLHNSSVVRGMVLKNDAVGSIKKVEKAKVAVFAGGVDTAATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAIALLKLSQPNADELGYADSVSVEEIGGARVTVVRNEEGGNSVATVLLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAISKFAESFELVPRTLSENAGLGAMEIISSLYAEHASGNARVGIDLEEGACKDVAITKIWDLYVTKSFALKYAADAVCTVLRVDQIIMAKPAGGPRPPQQGAMDED* >Brasy5G136300.1.p pacid=40076603 transcript=Brasy5G136300.1 locus=Brasy5G136300 ID=Brasy5G136300.1.v1.1 annot-version=v1.1 MEGAVAADAEAVPANGMGGEDYVVVKAAEQDAAVAAEAVAETEGGDHGDLADGESAAGSAAAVAAPEGPSKASTKKGGSGEASGRKKGKALNSSNKVSPASAAARGKKPGLSPSASFPARGAAGAKKGSAATAVPKQAKPEGKGALPNGSAAAAVAGRGTEKKVNSTQTPATRRSMPIKPESVDSTPNDASEVQESNENATKSFRQSLPAKLEDDVHSTTSSTNTQRKSAAAGFSFRLEERAEKRKEFLKKLEEKIHAKELEQTNLQEKSKESQEAEIKRLRKSLTFKAAPMPSFYKEQPPKVELKKIAPTRARSPKLGRHKPASSAAAASADGSVACESPRSTTNSAKVNKGAENNKPQVPARKPVQRSVTKAPSPASGTAKAETRALATKQKTLGTKPRISRAKVEQLQDNPVEIPAAEPSTPEGLAAEHSVEDAAGPDLAAPLATSNEVPVQG* >Brasy5G136300.2.p pacid=40076604 transcript=Brasy5G136300.2 locus=Brasy5G136300 ID=Brasy5G136300.2.v1.1 annot-version=v1.1 MEGAVAADAEAVPANGMGGEDYVVVKAAEQDAAVAAEAVAETEGGDHGDLADGESAAGSAAAVAAPEGPSKASTKKGGSGEASGRKKGKALNSSNKVSPASAAARGKKPGLSPSASFPARGAAGAKKGSAATAVPKQAKPEGKGALPNGSAAAAGRGTEKKVNSTQTPATRRSMPIKPESVDSTPNDASEVQESNENATKSFRQSLPAKLEDDVHSTTSSTNTQRKSAAAGFSFRLEERAEKRKEFLKKLEEKIHAKELEQTNLQEKSKESQEAEIKRLRKSLTFKAAPMPSFYKEQPPKVELKKIAPTRARSPKLGRHKPASSAAAASADGSVACESPRSTTNSAKVNKGAENNKPQVPARKPVQRSVTKAPSPASGTAKAETRALATKQKTLGTKPRISRAKVEQLQDNPVEIPAAEPSTPEGLAAEHSVEDAAGPDLAAPLATSNEVPVQG* >Brasy5G509600.1.p pacid=40076605 transcript=Brasy5G509600.1 locus=Brasy5G509600 ID=Brasy5G509600.1.v1.1 annot-version=v1.1 MAVGDSTAAAAAEEVKLSISGAALAALLHRCGAAAGDCDGLLFGRASRPPAPTPALSDYDDDHHSGPAAPCLSVSVSGHSSLSSPSSLSDPLGCFRPMTAASSTQTPIGFFSSSRRRRAPLRPSMRELALARSLSKTLPPPAPIAHPLLFVLVSPSASPDLSTHSFDYRAFLLLGSRLVPASLAVVNVGPGFRDQYNSFAPESPFPSLPTPAHESAGGGSIGEHKAVDSMVEGFGLGRLQGLVGAAAGQAAEMDAMYVGMLRRLETLAREVEKSNQRVLEQEKRNLMLRYRSAGLE* >Brasy5G509600.2.p pacid=40076606 transcript=Brasy5G509600.2 locus=Brasy5G509600 ID=Brasy5G509600.2.v1.1 annot-version=v1.1 MAVGDSTAAAAAEEVKLSISGAALAALLHRCGAAAGDCDGLLFGRASRPPAPTPALSDYDDDHHSGPAAPCLSVSVSGHSSLSSPSSLSDPLGCFRPMTAASSTQTPIGFFSSSRRRRAPLRPSMRELALARSLSKTLPPPAPIAHPLLFVLVSPSASPDLSTHSFDYRAFLLLGSRLVPASLAVVNVGPGFRDQYNSFAPESPFPSLPTPAHESAGGGSIGEHKAVDSMVEGFGLGRLQGLVGAAAGQAAEMDAMYVGMLRRLETLAREVEKSNQRVLEQEKRNLMLRYRSAGLE* >Brasy5G399200.1.p pacid=40076607 transcript=Brasy5G399200.1 locus=Brasy5G399200 ID=Brasy5G399200.1.v1.1 annot-version=v1.1 MSNTRTGNHIPCGAPTISSTASSQLPPRHTNVAQSTSAFALTAIRAAISRPPRIDRASHGRVGAGGRRRRLPAARPPAGLRPRPLPRRRRGARDGHRGQLHQVHGHRRVPPGRRRRVLARRQVGRQAGRRPRRRRRLLPRRRHGGVREVHEGDDDPAADGGAVRGEGDGELRQVLAGHGRVHGRRGRRRGQVQGGLPAPQLRSRRLHTLHPLPRRRPHRGVLEGLVGAGVGRGGDREQAAVRGRAGVHHRRARGLAGGQAQPGHQGRRAPQGGRARVCFVVNYEMDTVAGGWPVVLCVGDPVCVWWCPW* >Brasy5G169500.1.p pacid=40076608 transcript=Brasy5G169500.1 locus=Brasy5G169500 ID=Brasy5G169500.1.v1.1 annot-version=v1.1 MWAIRDRTRMRERVPVGLQPTGPRPKGHRSGVHGGRRLLLKPAGGSLTTATARRLGWPSGNAPARRRRRELDPVAPPRGPRSDYLREPGASIQAGAAEGVLVSHEPRAAAASLQWIEEASHMNKKTEIWRPHAGCSSSSALEKKKKTPARSEASNVVCPGQHFNQQT* >Brasy5G059500.1.p pacid=40076609 transcript=Brasy5G059500.1 locus=Brasy5G059500 ID=Brasy5G059500.1.v1.1 annot-version=v1.1 MAVPVLVGRGVHGITNVNAFTPRQVLDAAAADWLTDNQYYAIVANPHRYQILHAPHGELDVDT* >Brasy5G287900.1.p pacid=40076610 transcript=Brasy5G287900.1 locus=Brasy5G287900 ID=Brasy5G287900.1.v1.1 annot-version=v1.1 MCGRARCTLSPAQIARAFGFPTTGAAGGGDGGGAAAGGGGDAPAVPMLQMDRFRPSYNVSPGAYLPVGAVRARTVDGDDGGRGGEGELEPVIQCMKWGLVPSFTSKTEKPDHFRMFNARSESIKEKASFRRLVPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDQRPLVFAALFDTWKSSEGETLHTFSILTTCASTSLKWLHDRMPVILGDKNSVNAWLNNGSVKLEEITVPYEGADLVWYPVTTAMGKTSFNGLECIQEVKMRPSEKPISEFFTKKAAVYCQGIKPEKTSREITESQVFRTAKEECDESEEKQLDKTNKQQPAENQEAACVVKDEPATLELQTFHNAQSIEKEAFTVPDDTNQKDDLFRSKRKIEDTEVNAEVKTEKSCSSTILPVKKKEKGPKSCSDGQASLLSFFAKK* >Brasy5G166600.1.p pacid=40076611 transcript=Brasy5G166600.1 locus=Brasy5G166600 ID=Brasy5G166600.1.v1.1 annot-version=v1.1 RANRARDGKNGLRCLTPGLDESPHLLEKRAVVADVRDRLWVDAAELANWVMGPLPDCQVIGSEDFPMGDRPRKKSASWFCLSLPDLGRGEGGEGANELERTQLLEVISFCTRPGQRWLGVEPSGSQASCQKRAEAPSPTRIVVDARKRARSVASHGDDRPTHGLPRSVQANQSQRRRRARPKRAKSGTPRPPRSFGLQTVPQLTKGSEEKSYTTST* >Brasy5G117900.1.p pacid=40076612 transcript=Brasy5G117900.1 locus=Brasy5G117900 ID=Brasy5G117900.1.v1.1 annot-version=v1.1 MKPPTRGTTPKGAIIIGRDQAPCKFSPGVACCATTKRADKARSSNNQGTECERSCRSPNPDQRCRLPKPPRNAGSGCPRDAKHWIQAPRSQSQHRPTEDPSPRRGARPTARPW* >Brasy5G153500.1.p pacid=40076613 transcript=Brasy5G153500.1 locus=Brasy5G153500 ID=Brasy5G153500.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILALLFMPGAMAATVASFDASRSHHLPLPRGYLRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKIGWTSYAHGPDYSSEACTASKLRPETVTESHCGRPLGLQFHHKTGNLYIADAYKGLMRVGPAGGEATVLVNQVDGAPLRFTNGVDVDQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPHTNDVTMLQSDITYPNGVSISHDRTHLVVASTGPCKLLRHWIKGPNAGKTEPFADLPGYPDNVRQDRRGGYWVALHREKNELPFEFGSHLLAVRVGPNGKIVEQMRGPKSVRPTEIMERSNGKYYMGSVELQYVGVVTHK* >Brasy5G368400.1.p pacid=40076614 transcript=Brasy5G368400.1 locus=Brasy5G368400 ID=Brasy5G368400.1.v1.1 annot-version=v1.1 MTDRSDGPIGSLPEHLLIEIFTRLPICEWVQISCVSKHWASLFQGEYLWQTAIARNWPSVGFRKRWPGPIPRGSARRRFQALYVCENLVPSGGEIDELVGHTYLYLKEQLERLAVPPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFMLLKHLAQEGEFFLPFPYSRSYKVLWRVFDKLFTDFPDCFSRADYHDALTNAKSRFQPVPSSWLGY* >Brasy5G467900.1.p pacid=40076615 transcript=Brasy5G467900.1 locus=Brasy5G467900 ID=Brasy5G467900.1.v1.1 annot-version=v1.1 MNEFPSLMQSSSLPKSIHTPTMAAVSEHTRVAGQLNCSTECLDGSLFLPARSVVDQCKFGFRYTLYAVAVAQPASLASVFSRIDIA* >Brasy5G467900.2.p pacid=40076616 transcript=Brasy5G467900.2 locus=Brasy5G467900 ID=Brasy5G467900.2.v1.1 annot-version=v1.1 MNEFPSLMQSSSLPKSIHTPTMAAVSEHTRVAGQLNCSTECLDGSLFLPARSVVDQCKFGFRYTLYAVAVAQPASLASVFSRIDIA* >Brasy5G467900.4.p pacid=40076617 transcript=Brasy5G467900.4 locus=Brasy5G467900 ID=Brasy5G467900.4.v1.1 annot-version=v1.1 MNEFPSLMQSSSLPKSIHTPTMAAVSEHTRVAGQLNCSTECLDGSLFLPARSVVDQCKFGFRYTLYAVAVAQPASLGISTY* >Brasy5G467900.3.p pacid=40076618 transcript=Brasy5G467900.3 locus=Brasy5G467900 ID=Brasy5G467900.3.v1.1 annot-version=v1.1 MNEFPSLMQSSSLPKSIHTPTMAAVSEHTRVAGQLNCSTECLDGSLFLPARSVVDQCKFGFRYTLYAVAVAQPASLGISTY* >Brasy5G508400.1.p pacid=40076619 transcript=Brasy5G508400.1 locus=Brasy5G508400 ID=Brasy5G508400.1.v1.1 annot-version=v1.1 MPVGSVPPNHSAPSHCILLRHLVEHLPRFGHLTTLDIQVHRRGRHEHAARDPLPLRRPPHRLPGAKVRDPRARSQDPHGREAVRTHAARERVPRDGNSFPDAPRVHVRGDEHAPGDHAGAAHFVEHGPRVPQEAASGVQGEEGGEDVRVGVGR* >Brasy5G333800.1.p pacid=40076620 transcript=Brasy5G333800.1 locus=Brasy5G333800 ID=Brasy5G333800.1.v1.1 annot-version=v1.1 MLEEEGGAMETDGLAGSEPAPGEARGGGGRWAEAVLEPVRWVRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQFLSIFLYIPLVLKPLWGVMTDVFPIHGYRRRPYFVFSGILGMSSAAILAMGVGLSLPSAIICFVGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALSIMALPPAMLVFLGLYIYELKMYQQNVKEKVLNKVSVAVKAMVRTIRYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKEPPNPGFSQEFVGVVHAIGAVASMVGVLIYHKRLKDYPFRSILFFAQLLHGVTGLLDLTFVLRWNLLVGVPDAVFVIMEESVSRVVSRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSLGMLTAKTSGAFVLRALHVTRTDFSNLWLAVLLRNLLRLSALGAIFLVPTADQTDVLLPHDLLSSGGTAAAAADEEELLLQLGKLTSHTDDV* >Brasy5G093800.1.p pacid=40076621 transcript=Brasy5G093800.1 locus=Brasy5G093800 ID=Brasy5G093800.1.v1.1 annot-version=v1.1 MAAEGEPELVSIPATPRGLSTPEGLSTPPVRRGAAASLASGAGTPVRRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHSLNAGLGFQALLLPLAFPCLGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGVWLALFPTIYLSAGTATALILVGGETMKLFYQIVCGPLCSPSPISTVEWYLVFTSLSIILSQLPNLNSIAGISLIGGATAIMYCTMSWVLSVSQQRPPAISYEPVKYTSFGSSLFATLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVAMWRGAKVAYLLVAMCIFPVAIGGYWAYGNMVPPGGMLTAIYVFHSHDIPRALLAATFLLVVFNCLSSFQIYSMPVFDSFEAFYTGRTNRPCSVWVRSGFRVFYGFISLFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSFSWYLNWGLALLGTAFSVASSVGGVWSIINTGMKLKFFKPN* >Brasy5G270800.1.p pacid=40076622 transcript=Brasy5G270800.1 locus=Brasy5G270800 ID=Brasy5G270800.1.v1.1 annot-version=v1.1 MRWRLPNRWIFSLSTDRSLPRSHPSIDPPPSSASSSFSPRLPTFLLPLQPPAASFSTAREGAAAAVQEAADAFSPASPASLLSLLSSVGRALLFPAHHLSNGLPRAGLLLPADRPPPRRRSSDDGPCRRRPPDHARIRPPSASPPSDPPSPGTSTTRSASKTQRDAGSAAPEHNCRRIRLRRSW* >Brasy5G215200.1.p pacid=40076623 transcript=Brasy5G215200.1 locus=Brasy5G215200 ID=Brasy5G215200.1.v1.1 annot-version=v1.1 MDLATGAIGSLLPKLSLLLMEEYKLQKSAKEDIEFLLIELTAMDAALRKVADVPRDQLDPWVKIWASQARELSYEFEGVVDSFLLRVDGRGSPISPDCGFESLMKRTANLFNKAGKDGPQIADALKDIKQQVQELANMRFNKVIDVDRIPIATQTIDPRLIALFKDSREIVGIEYPRDELIKMLTDGDDDVSKQLKIHSVFGSGGLGKTTLAKAVYDRLGMQFDCTAFVSIGHNPDIKKVLNNVLIHLQSHMEMAMEMDEQQLIDKLRELLEDKRYFIVIDDIWDIRVWEIIRLAFINNKCGSRIIMTTRNFEVAALADDVYKLKPLSHDKSKELFYTRLYGGKGNCPSDQPDELPDRILQKCGGMPLAIITVASLLVGKPREDWSDVYNSIGLGYENNTHMENTRKVLLFSYYDLPSYLRTCLLHLSMFSGDLTIPKDTLIWKWIAEGFIHVEQWTGLFELGEVYFNQLIDRSMILPIEIPYRGIVCGCRVHDLVLDIICSLSNEENFVTVLDCNGEHRSSQSNVRRLAVKKRVVEHDDILVDSPMPQVRSFNATMCHFNKMLSLSSFQDLRVLAIEHCTFMEGSSHHLKHIGGLLQLRYLGIDNTPVDEIPEEIGELRFLQTLNLRNTKIEELPQSVGQLRRLKFLRADVGSTRAEEWIGNLTSLEKLSLNFVSPNIVKELKKLTELRELEIYIQEFDESSNEALVESLSNLTKMKDLQLFGAGWCSEEINWEGYVPPPELCDLHLSIESSRLPAWITSSRLPNLSQLYISVKSVEEEEVAILGGCPQLIALTLFTREDVVFPDVVGGGAFPKLRYFHASAVPRFLPGAMPSLESLWFDIRVRELKDASCFDGFDFMEDSLGRNLPSLQDVRVEIYCRGAESTDVMTAEAAVRHAVDLHRNYPSLLLRKFGEGEMTVLSSDQDLQQECSTSTQNAS* >Brasy5G215200.2.p pacid=40076624 transcript=Brasy5G215200.2 locus=Brasy5G215200 ID=Brasy5G215200.2.v1.1 annot-version=v1.1 MDLATGAIGSLLPKLSLLLMEEYKLQKSAKEDIEFLLIELTAMDAALRKVADVPRDQLDPWVKIWASQARELSYEFEGVVDSFLLRVDGRGSPISPDCGFESLMKRTANLFNKAGKDGPQIADALKDIKQQVQELANMRFNKVIDVDRIPIATQTIDPRLIALFKDSREIVGIEYPRDELIKMLTDGDDDVSKQLKIHSVFGSGGLGKTTLAKAVYDRLGMQFDCTAFVSIGHNPDIKKVLNNVLIHLQSHMEMAMEMDEQQLIDKLRELLEDKRYFIVIDDIWDIRVWEIIRLAFINNKCGSRIIMTTRNFEVAALADDVYKLKPLSHDKSKELFYTRLYGGKGNCPSDQPDELPDRILQKCGGMPLAIITVASLLVGKPREDWSDVYNSIGLGYENNTHMENTRKVLLFSYYDLPSYLRTCLLHLSMFSGDLTIPKDTLIWKWIAEGFIHVEQWTGLFELGEVYFNQLIDRSMILPIEIPYRGIVCGCRVHDLVLDIICSLSNEENFVTVLDCNGEHRSSQSNVRRLAVKKRVVEHDDILVDSPMPQVRSFNATMCHFNKMLSLSSFQDLRVLAIEHCTFMEGSSHHLKHIGGLLQLRYLGIDNTPVDEIPEEIGELRFLQTLNLRNTKIEELPQSVGQLRRLKFLRADVGSTRAEEWIGNLTSLEKLSLNFVSPNIVKELKKLTELRELEIYIQEFDESSNEALVESLSNLTKMKDLQLFGAGWCSEEINWEGYVPPPELCDLHLSIESSRLPAWITSSRLPNLSQLYISVKSVEEEEVAILGGCPQLIALTLFTREDVVFPDVVGGGAFPKLRYFHASAVPRFLPGAMPSLESLWFDIRVRELKDASCFDGFDFMEDSLGRNLPSLQDVRVEIYCRGAESTDVMTAEAAVRHAVDLHRNYPSLLLRKFGEGEMTVLSSDQDLQECSTSTQNAS* >Brasy5G329300.1.p pacid=40076625 transcript=Brasy5G329300.1 locus=Brasy5G329300 ID=Brasy5G329300.1.v1.1 annot-version=v1.1 MRLRKVHRGQGHEIIDGHQDMRSLCASLPEPHRLLIIPSLLRDLKGLFWLLPSSRRKTAIPTGRVLVHKSKSRSLPISPPFLKFRFFGLKTTSSRAPTCLPKLRRSRNPHPDAPNSAAGSGLPKPTIPTESALLAPSPSSSPSISRSVLLFPCQRRRGPDVETRASKWAGTGGGRWRPDPPVEGQGRGRGAVAAGSAGCGGRWRPDPPVEGQGATPAAVEGQGRGAVAAGSAGCGAVSAGSAGGGAGGDSGGGGGAGAGGGGGRIRRLRGRVGRIRRWRGGGRLRRRWSRALLHVARPERRRAERLRGAGARLRRRREKRRERERERVWRR* >Brasy5G216500.1.p pacid=40076626 transcript=Brasy5G216500.1 locus=Brasy5G216500 ID=Brasy5G216500.1.v1.1 annot-version=v1.1 MAQGGGRPAREEEEGGRLGRRRKATGSVGGRPEGGRIRGFPWRREEGGRLGRRRKAEAVRGARICRPPWGGATEDTAGSAAAEAYREMRGAGLRAAGSGGGAAARELGDDGFVAQRRGGSGAGAREKTGGDSAATATAVGLIVQHQEIRREGLGSKEARRSRGRELGCSGGEEKGGRVRA* >Brasy5G216800.1.p pacid=40076627 transcript=Brasy5G216800.1 locus=Brasy5G216800 ID=Brasy5G216800.1.v1.1 annot-version=v1.1 MWAFPRPKWKCLVAFAFLSLLCLLPPFPPRRRPLRFRIPIIFGPWPPPFLNYLRPKRVPRSSRIRMAGRRRSESRSHAPVRRLRPIHRL* >Brasy5G001800.1.p pacid=40076628 transcript=Brasy5G001800.1 locus=Brasy5G001800 ID=Brasy5G001800.1.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKDPGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.2.p pacid=40076629 transcript=Brasy5G001800.2 locus=Brasy5G001800 ID=Brasy5G001800.2.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKDPGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.3.p pacid=40076630 transcript=Brasy5G001800.3 locus=Brasy5G001800 ID=Brasy5G001800.3.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.4.p pacid=40076631 transcript=Brasy5G001800.4 locus=Brasy5G001800 ID=Brasy5G001800.4.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMVLEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.5.p pacid=40076632 transcript=Brasy5G001800.5 locus=Brasy5G001800 ID=Brasy5G001800.5.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKDPGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.6.p pacid=40076633 transcript=Brasy5G001800.6 locus=Brasy5G001800 ID=Brasy5G001800.6.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKDPGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.7.p pacid=40076634 transcript=Brasy5G001800.7 locus=Brasy5G001800 ID=Brasy5G001800.7.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G001800.8.p pacid=40076635 transcript=Brasy5G001800.8 locus=Brasy5G001800 ID=Brasy5G001800.8.v1.1 annot-version=v1.1 MGCCSCFGLFTKRHESPSQSRDSDGLLSDDLLICQDDYGHDGEDADFPLESDTRPPRSVKRSQEIILSRAQSGFVCRQVPVKETNKAFCHEDEEGNKMVNEYVHLRKIGSGSYGKVVLYRNTEDGKLYALKVLHKSYMKKVRVAPSETAMSDVLREVSIMKMLDHPNIVNLIEVIDDPEIDKFYMEYVEGKMVCGNGLGEIVSRKYLRDIICGLMYLHSHNIIHGDIKPDNLLVTSNGNVKIGDFSVSQVFEDDDDMLRRSPGTPVFTAPECCQGLTYHGRAADTWAVGVTLYCMILGQYPFLGDTLQETYDKIVNNPVEIPDGINPQLVDLLEGLLCKGDRMSLQAAAQNSWVVGEDGPVLEYWCRCGFGRRENHVQ* >Brasy5G370900.1.p pacid=40076636 transcript=Brasy5G370900.1 locus=Brasy5G370900 ID=Brasy5G370900.1.v1.1 annot-version=v1.1 MEGDEQARRRKEALRGGHLCHVCGHQYPNPNPSAKLRRSHRRNCPKVTPAAEEGGGPEVEGAAGERNAGEGLVLGGGRGDAECGNGASEPNGGSALRGSSEEGSESVEDKENAEHASLNNAAIQVITGGCIETGLVDCTNNSSENVNEGNGTSLPRTCTNGNQHKVVKHCSVIAPLAVAHLAEREDSFDEYQDASPFLQQSDRDDGAAVTPKTDIPKEIKSCLPLGTSVAADLTSVDMNGLCEDHLSREPSMTYFPAVSKFENVVECLLGDRDPGLAGPEDSPKFQSTDECSVNIGSNGTDVEVDRESDKTSGCCEFVGDSSLQKCSPLMSDTESQSTCSRKVESFREDGVDVLHIMSEVSPRAKIVGADNIGSETISNSGTNFVPVGDELKLTCTKNTSTNCSAEHSRNLSVMDTSGAQQPVENSCLGDSVCSVLGYQNDLAVANADGMRKFIAEDNCSEGILVKGSELDSFYEESPQQDVNHIITVAERNPSLEKHNGFSKEAVCNRENDSGVGKYQVSASQEHGTLLMDQAAWNKNPFTLDDSRSDDLFELASDSFHLEAPNAFESRQQVDSTSLTASNQTIIAGGQHCLMSDVCMHAVASENLYAVGAEDMTVSTSADPTKDNSLHDCSMNHRMQECESHTGSSTSVPSQVFLTEFGTVLVSQDISSLDTYVEEKIETEDTGVKDMTVVGGINKFEEKKQTEDTGAKEMNAILDLDNIEEGTLAQDTGAELDAVQHTDDVEEKKQAEDTGAKGVSAIRSVGPEGAKVVRAVGSTENIEKKNQTEDRAKKMDTEFNADNVEEKRQADDTSKEEMDEVQHTDSAEEKKQPQETCAKEMNTVQSTDSVKEQKQIEGTSRLNSGRVHVPLKVLLAEASLESKEKRPSTKERVLSFRRRVSKDDTSSAKAGSDDQYWDSPAKLPHDNIDKRSKARKQPWMPFICCHSVH* >Brasy5G370900.2.p pacid=40076637 transcript=Brasy5G370900.2 locus=Brasy5G370900 ID=Brasy5G370900.2.v1.1 annot-version=v1.1 MNGLCEDHLSREPSMTYFPAVSKFENVVECLLGDRDPGLAGPEDSPKFQSTDECSVNIGSNGTDVEVDRESDKTSGCCEFVGDSSLQKCSPLMSDTESQSTCSRKVESFREDGVDVLHIMSEVSPRAKIVGADNIGSETISNSGTNFVPVGDELKLTCTKNTSTNCSAEHSRNLSVMDTSGAQQPVENSCLGDSVCSVLGYQNDLAVANADGMRKFIAEDNCSEGILVKGSELDSFYEESPQQDVNHIITVAERNPSLEKHNGFSKEAVCNRENDSGVGKYQVSASQEHGTLLMDQAAWNKNPFTLDDSRSDDLFELASDSFHLEAPNAFESRQQVDSTSLTASNQTIIAGGQHCLMSDVCMHAVASENLYAVGAEDMTVSTSADPTKDNSLHDCSMNHRMQECESHTGSSTSVPSQVFLTEFGTVLVSQDISSLDTYVEEKIETEDTGVKDMTVVGGINKFEEKKQTEDTGAKEMNAILDLDNIEEGTLAQDTGAELDAVQHTDDVEEKKQAEDTGAKGVSAIRSVGPEGAKVVRAVGSTENIEKKNQTEDRAKKMDTEFNADNVEEKRQADDTSKEEMDEVQHTDSAEEKKQPQETCAKEMNTVQSTDSVKEQKQIEGTSRLNSGRVHVPLKVLLAEASLESKEKRPSTKERVLSFRRRVSKDDTSSAKAGSDDQYWDSPAKLPHDNIDKRSKARKQPWMPFICCHSVH* >Brasy5G075900.1.p pacid=40076638 transcript=Brasy5G075900.1 locus=Brasy5G075900 ID=Brasy5G075900.1.v1.1 annot-version=v1.1 MVDWISDSDDSAKFEWESDDEAEPSSAPVLRNFDAPGPSTLDANGWANGDAPSTSLVEEYVGMGFPKEMVLKAIKEIGHNDANALLELLLTYKVLGEDPTVGNCSTSGCAPQSVEDDDDGDLDSEDWDDEDDADGGKPNFDSSGDEDFLQEMSEHDKKIKSLVDMGFPEDESNMAIVRCGVDAALTVLVDSIYASQAAGDCNSRNSSHHEVCDSFGGRTNKKKRKQYGGGTQGNRPSLCHEELMPLPNPMVGFSLPTARLPSVSRRLPKQASGPPFFYYENVALAPKGVWTIISRNLYDIEPEFVDSKYMCATARKRGYVHNLPIENRSPLLPLPPKTIFEAFPHYKKWWPSWDPRRQLNCVQTCVSSAKLTERIQCALARSGDPPPLHVQKYVMYECRKWNLVWVGKNKVAPLEPDEMEYLLGYPRDHTRGIGKTARYKCLGNSFQVDTVAYHLSVLRDIFPNGLNVLSLFTGIGGGEVALHRLGIHMKTVVSVEISEVNRRILRGWWDQTQTGTLIEIPDVQSLTSDKIRSFIRRFGGFDLIIGGSPCNNLAGSNRHHRVGLEGEHSSLFYHYPRILDTVKDVMAGM* >Brasy5G520800.1.p pacid=40076639 transcript=Brasy5G520800.1 locus=Brasy5G520800 ID=Brasy5G520800.1.v1.1 annot-version=v1.1 MYHPLLGFLVLLLPLRACAFAGHEMEEVGMAMNGAGGRQGAAARLRPECPRCHSGDTKFCYYNNYSLSQPRYHCRGCRRYWTQGGSLRNVPVGGGCRNRSSSKQRSSGNKTAPKPKLLPADPAASSSSGPTAMAASSMVNLIPDMLPAFVPPPGWGSDLVVPPTPSFLDILRSGGGLLPIDGAMPHRQNNTDYYADIGMAMPLPSFGGTAMVQQQQHQELAVGDGGVAGDMPMAGFEWQPQLIGGNIDGGVESSGVAQQHLGAGENNVTDINNSIIINNGGEGSSRDDYYWIDNNNGGPWQSLINSSQ* >Brasy5G260600.1.p pacid=40076640 transcript=Brasy5G260600.1 locus=Brasy5G260600 ID=Brasy5G260600.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYGNEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G168500.1.p pacid=40076641 transcript=Brasy5G168500.1 locus=Brasy5G168500 ID=Brasy5G168500.1.v1.1 annot-version=v1.1 KSDQRAQKTPEEVEDQESKGREQRLGRRRRRRGLGGRDGDGGRNPSRGEELCERESIGDGSRRHGGEKQRFPVRAPQHLAVAIQSRLPIPSHHGATSRFVSANAAVAATSAKPPGFFSLAIATPPRRRRHRAEFLAPRLLPCRIAVLLAPPPRGPHQPRSAAGSSCTASTPPLLEPDASLLLTGEEGARRAARAWASGASEGGGDDEVRRALAHAGPPSSTPPPTQLPPRRDVSTSPRLALRIPSSRG* >Brasy5G008500.1.p pacid=40076642 transcript=Brasy5G008500.1 locus=Brasy5G008500 ID=Brasy5G008500.1.v1.1 annot-version=v1.1 MHSTGDIWSIERLHECTRSNSPPLKSPNKFMHAYGWLLPSFYLRVRTWQGRFEAFLPAICCFASSAHLCCLSFAVLPLLRSGHGHRSCSGSPSVPQDLIDMDREDERSGRTPASLRVLPVPRHPRHTAGANYDRPSGTMMRTEVAAPLSLMAKQPARNKKPQVLRSVSPNTDERAPN* >Brasy5G176800.1.p pacid=40076643 transcript=Brasy5G176800.1 locus=Brasy5G176800 ID=Brasy5G176800.1.v1.1 annot-version=v1.1 MAADSREIRRWRRQATARLRRPTMVCEAGRLGLAGLQIEGGERGCSSQLAEQGRRAGLQASARAATRGAGTGRQRRRSRGQRSSGARDGRRHRGRRRAVLQQRHAAQGRSAGRQAAARRASAAAPARGAGAAGGAAGVSARGGARSWGGAAAEALAAAEQQRCAGRQAAA* >Brasy5G427000.1.p pacid=40076644 transcript=Brasy5G427000.1 locus=Brasy5G427000 ID=Brasy5G427000.1.v1.1 annot-version=v1.1 MAMAAAAASRTQARAAARFMQSRLRSSGGKVFSEEEKAAENIYIKKMEQEKIEKLARKGPSPGEQAPSTPSSAASDVKAGGGPAESSSAGVSTDKNRNYAVLAGTVAGLSALGWYLLSKPKKVEETVD* >Brasy5G135800.1.p pacid=40076645 transcript=Brasy5G135800.1 locus=Brasy5G135800 ID=Brasy5G135800.1.v1.1 annot-version=v1.1 MPLLLSPHAAARSPGLRHTPRVLLPSRVRPLGAESRGVRKGRVAVVSEKLAAAVEGEGRSGDGDGERYDAIVVGSGIGGLVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSEKGNLNLITQALEAVGCKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVKELVSKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAVSLANGLVDKGSEIRYKANVTNVILENRKAVGVKLSNGKEFFARTVISNATRWDTFGKLVKVKELPEEEKNFQKNYVKAPSFLSIHLGVKASALPAGTDCHHFVLEDSWSNLEKPYGSIFLSIPTVLDPSLAPDGHHILHIFTTAGIEDWEGLSRKDYEQKKEVVANEIIRRLEKKLFPGLEDSIVLKEVGSPKTHRRFLARSDGTYGPMPRGKPKGLLAMPFNTTSIYGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEQRSPVLDTGLLGVLRWLRTLA* >Brasy5G405900.1.p pacid=40076646 transcript=Brasy5G405900.1 locus=Brasy5G405900 ID=Brasy5G405900.1.v1.1 annot-version=v1.1 MASSNSKNDSGNNNKNPLDAMGAFFSAQVNRRKLVTTEKQALATRATSSGDEFPGSGHRPADRKTWMSELGPDRVRVHQVVWPGSHDSATNKIGIPFITRPFAQCQSLSVYEQLATGCRLIDVRVQEERRVCHGVLATYSVDVVLADVKRFLAETDSEVLVLEIRTEFGHEDPPEFGKYLVEQLGEHLIPQDEAVFHRTLAELLPRRVFCVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNIKFLGQQPRVQDRRYFYRVENTVTPQADNPVLCVKPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGTA* >Brasy5G155900.1.p pacid=40076647 transcript=Brasy5G155900.1 locus=Brasy5G155900 ID=Brasy5G155900.1.v1.1 annot-version=v1.1 MAATMDILSAKLLRSSLHLPSPFLPSTSLPSRRHRRRPSPIHCRLTTSSSPTATTTSEGDPDQDLSDDSSATGSAGSRRKRSNSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDGGNVVKAKDNMKIAFLSQEFEVCASRTVREEFLSAFQEEMGVKSRLDQVQAALERATEDMDLMGRLLDELDLLQRQSQDVDLGMVEVKIQKLMPELGFVPEDADRLVASFSGGWKMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKMQDVPMVIISHDRAFLDQCCTKIVETEFGVSKTYKGNYSEYILAKAIWVETQRAAWEKQQKEIEHTRELISRLGAGASSGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAINNLKFGFGDKVLFNNANLIVERGEKIAIIGPNGCGKSTLLKLALGMEKPQEGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLSFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVIAVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELARAEELEEKAPKVKAKSKMSKAEKIARKKQKVQAFQQSKQKSKSMKNSKRWN* >Brasy5G134600.1.p pacid=40076648 transcript=Brasy5G134600.1 locus=Brasy5G134600 ID=Brasy5G134600.1.v1.1 annot-version=v1.1 MFSLALRASESNQYLFVGSESKNTSFIFYLDISKRRNKELAILTTCVYGIDTTASHRGNHFYIKRRSKEFYNSELVACPMNNIAEVTVLLPHRESLKIQDFQLFENHIAVYERENGLPKVTVYGLQANEESVGQLQGGRVIDFFDPAYAVEPEESQFHSSIIRFHYSSLETPPSVFDYDMDSGVCVLKKINTVLGGFDASNYVSERKWAAASDGTQIPISILYRKDLVKLDGSDPMLLYGFGSYEVCVDPSFRVSIFSLADRGFIYAIAHVRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIQSKYCSKEKLCINGKSAGGLLIGAVLNMRPDLFKAAVVGVPFVDALTTMLDPTIPLTTAEWEEWGDPRKEEYYFYMKSYSPVDNVKAQEYPHILVTAGLNDPCVMYSEPAKFVAKLRELKTGDNLLLFKCELGAGHNSKSGRFEKLREDAFTYVFILKALGMTHKA* >Brasy5G134600.2.p pacid=40076649 transcript=Brasy5G134600.2 locus=Brasy5G134600 ID=Brasy5G134600.2.v1.1 annot-version=v1.1 MFSLALRASESNQYLFVGSESKNTSFIFYLDISKRRNKELAILTTCVYGIDTTASHRGNHFYIKRRSKEFYNSELVACPMNNIAEVTVLLPHRESLKIQDFQLFENHIAVYERENGLPKVTVYGLQANEESVGQLQGGRVIDFFDPAYAVEPEESQFHSSIIRFHYSSLETPPSVFDYDMDSGVCVLKKINTVCVDPSFRVSIFSLADRGFIYAIAHVRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIQSKYCSKEKLCINGKSAGGLLIGAVLNMRPDLFKAAVVGVPFVDALTTMLDPTIPLTTAEWEEWGDPRKEEYYFYMKSYSPVDNVKAQEYPHILVTAGLNDPCVMYSEPAKFVAKLRELKTGDNLLLFKCELGAGHNSKSGRFEKLREDAFTYVFILKALGMTHKA* >Brasy5G481400.1.p pacid=40076650 transcript=Brasy5G481400.1 locus=Brasy5G481400 ID=Brasy5G481400.1.v1.1 annot-version=v1.1 MRIFFEGKTKQQVKKRNGSTKKVQFKDELHEVKVDDTENDDGNVGPALEDEQGLSTHENERQKMRAKIEQMEKASLEPSVWTMKGEVTASSRPRNSALEVDLDFEHTCPIITEEVTASLEEMIKKIIAEGHFDDVEKPSTLPSKAPKEQKEMDESKSKKGLAELYEEDYVKEAGLAPAPLSISDELKKEPVCNTVSDAAMLAPEEVFEGKGDIKEEAELTQAERKRRRANKKRRYAESRKDKPAESHKERPAKLQKD* >Brasy5G337200.1.p pacid=40076651 transcript=Brasy5G337200.1 locus=Brasy5G337200 ID=Brasy5G337200.1.v1.1 annot-version=v1.1 MATAASGGDGERVTAGVTGVDGASRRLCATLDRYGIVGGGYGGASNLVMRAPASPPLYMRSATGARQPYWVGRSRSGRVRSRARWAVGPTREEIILTVSSRALDAMQGVLQVKPHVQDTVELQNFRQRWRNKYRSKKPGTRLSEPTLSGLYAYDTVWALALAAEKAGYSNSEFVRSISNSGSTDFEKIGASKTAKKLRGTLLDVNFTGLSGEFKIQDLQLLSVNYEIINIFGSGISRSLNRTVDLPTIIWPGDNGAAPRGWLFPMNKNLTIGVPMKGGFDKFVTYENGPRPEGFCIKVFEAVVAALPYTVNYSYHVFKDGKGKSNGTYDELVQKVYLKEYDAVVGDITILANRSSYVDFTLPYTDSGVRMLVPVRDRRQKTAWTFLKPLTADLWLGTGTFVVFTGFVVWCIEHRAKEGFRGPPVNQIGSVFYFSFSTLVFAHREKIVNNLARVILVVWLFVVLILQQSYTASLSSILTVEQLQPTVTNLEEVIRKGSYVGYLNDSFMLGLLKSLKIDESKMIAFNSPTEYNEALTTGRVDVIIDEIPYLKVFLEQYCRNYTMIGPTYKFDGFGFAFPRGSPLTSEISREILRFASTTEMSKLEKDLYGDKPCPDKDDSQTSSSLTDQCSLYIYFKLIYDSSQNSWNRCLDILTKLFQERNCPSNNPDKEPTTKNVGTTTIEITPNLDMDAVCPPEKGTPVEELSVQDAEPPSFAHSESSQSSWNKCRNILSKLLQERNNPSNNRDKEPTMKNVGTATIEIPAEEHSVQDAEPPSVAHSERGLNVAAFLSRNGSSIRRRQVNA* >Brasy5G164600.1.p pacid=40076652 transcript=Brasy5G164600.1 locus=Brasy5G164600 ID=Brasy5G164600.1.v1.1 annot-version=v1.1 MSMQQRMKQAAAVAAQQQQMMQQALLMQQQQQQQQQAAAAAAAQQPPMFPQHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFSAYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINELNGKWLGNRQIRCNWATKGANAGEEKQNTDSKGMVELINGSSEAGKENANEDGPENNPQYTTVYVGNLPHDINSNDVHRFFHLLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLVGGRQIKCSWGSKPTPPGTASAPLPPPALAVAPYTPGVSAADLFSYERSLALSKMAGNPALMGQHAALRQAAMGMGAGASQAIYDGGFQGVNPQQQQQQQQQQQLMYY* >Brasy5G164600.2.p pacid=40076653 transcript=Brasy5G164600.2 locus=Brasy5G164600 ID=Brasy5G164600.2.v1.1 annot-version=v1.1 MSMQQRMKQAAAVAAQQQQMMQQALLMQQQQQQQQQAAAAAAAQQPPMFPQHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFSAYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINELNGKWLGNRQIRCNWATKGANAGEEKQNTDSKGMVELINGSSEAGKENANEDGPENNPQYTTVYVGNLPHDINSNDVHRFFHLLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLVGGRQIKCSWGSKPTPPGTASAPLPPPALAVAPYTPGVSAADLFSYERSLALSKMAGNPALMGQHAALRQAAMGMGAGASQAIYDGGFQGVNPQQQQQQQQQQQLMYY* >Brasy5G164600.3.p pacid=40076654 transcript=Brasy5G164600.3 locus=Brasy5G164600 ID=Brasy5G164600.3.v1.1 annot-version=v1.1 MSMQQRMKQAAAVAAQQQQMMQQALLMQQQQQQQQQAAAAAAAQQPPMFPQHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFSAYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINELNGKWLGNRQIRCNWATKGANAGEEKQNTDSKGMVELINGSSEAGKENANEDGPENNPQYTTVYVGNLPHDINSNDVHRFFHLLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLVGGRQIKCSWGSKPTPPGTASAPLPPPALAVAPYTPGVSAADLFSYERSLALSKMAGNPALMGQHAALRQAAMGMGAGASQAIYDGGFQGVNPQQQQQQQQQQQLMYY* >Brasy5G325200.1.p pacid=40076655 transcript=Brasy5G325200.1 locus=Brasy5G325200 ID=Brasy5G325200.1.v1.1 annot-version=v1.1 MASRRLSNGRSPLVRKQSQITSFFSTPSPSSSASKSAAKPSPSPSSSAPKPDAKPSPSPSSSAPKSDAKPSPSPSSSAPKPAAKPSPSPLNPKARKPPLEVPSPSPPKSAPPPPQQQRQDEGKKKEQNASSAPAGEAVGRRLRVYWPLDDAWYEGRVDDYDEGSRRHRVKYDDGEDEEVDLRKEKFEWAAEEATPPPARKLRRLRRMSDTANAKSLAGLEDEEIGDSPEDGDWKKDAEEVELDDEEDEAVSLRKGKSRNSLSMSASTPRSTSGLASTSSGSTVLKKRKKVDVGTLDCAKKFSFQLASTPEKVELKVPTSCDRGEKILENAHTVLTGELAERFGQRQAEKFKFLGDGRKDAKGRRPGHPAYDPRTLSLPPQFLANLTGGQRQWWEFKSQHMDKVLFFKMGKFYELYEMDAHVGARELDLQYMKGDQPHCGFPEKNLSVNLEKLAQKGYRVLVVEQTETPNQLELRRKETGTKDKVVRREICAMVTKGTLTEGEFLLANPDPSYILSVAESYQHSSKKSQDGHTIGVCIVDVSTSKFVVGQFQDDAERHVLCSILSEIRPVEIIKPAKMLSPETERALKNNTRDPLINDLLPSTEFWDAEKTIHEIEQYYSSSDKLTTSQNTPGVQNNVGCLPALLSELIGAGDRAYALSALGGSLFYLRQVLLDKKLIPCAEFEPLTCSGLLNNMRKHMIFDAAALENLEILENATGGLSGTLYAQLNHCVTGFGKRLLKRWIVRPLYDSKAILQRQGAIAIFKGVGHECAIQFRKDLSRLPDMERLLARLFSSCDENGRSSKSVVLYEDVSKRLLQQFTSALRGCQQMFQACSSVRMLTGTEGSCLLNDLLSPGKGLPDVSSILDHFRDAFDWSEADHNGRIIPHEGCDPEYDATCSAIEEIESSLKEYLKEQRELLADSSVKYVDVGKDTYLIEVSESLGGSVPRNYELQSTKKGFYRYWTPEVKELISELSKAAAGKESILKGILQKLIHLFVEHHSKWRQLVSVVAEIDVLISLAIASDYFEGPTCRPTIRESYGSDDTPTFHARNLGHPIIRSDSLGKGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAENFEFSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHHVQCLGLFSTHYHRLAVEQQDIKVSLCHMACEVGMGEGGLEEVTFLYRLTAGSCPKSYGVNVARLAGIPASVLQRANQKSNEFEANYGKQHFATKDKFVCALREDNFATIKDLFRVVKAGNHQEGQVASLSMLREIQKLARVQPIKG* >Brasy5G368000.1.p pacid=40076656 transcript=Brasy5G368000.1 locus=Brasy5G368000 ID=Brasy5G368000.1.v1.1 annot-version=v1.1 MLAVRCGGGAGAGTQLTAQRTRAARSGHDRGTVLSAGTGARELTLRVSFSSCSHPRRIGASIPWPERCSPVPSPDTEERCVSNKRKKEAWETLKAEIADMFRPLLRNLAEICSLRRAYDLEDYQIGMLFGAFLGCAGCYQLWKTAPSFFVDTTLAFVFYKLSVVSSELHRRGESNSLITRLKFGTILIMVMKDIKKNYVRLDVIRMPVLLLYICAFLFDVAGMKKYGRHTLISLVNLLKTRGGIQEIYRIMRYPGYISPYDDSADWLRDSS* >Brasy5G474700.1.p pacid=40076657 transcript=Brasy5G474700.1 locus=Brasy5G474700 ID=Brasy5G474700.1.v1.1 annot-version=v1.1 MENTANPESRSRAAAVAAQIQIIEDLQAELMAVRALLRRMQAQERLDWELERELRAGGGVDSWPSAPAAAAKELETTRYEYGGGGGAAGDCAICMEDYKAGDELSVVPCSGKHRFHRRCLAAWLERKRLCPLCRHALQ* >Brasy5G045000.1.p pacid=40076658 transcript=Brasy5G045000.1 locus=Brasy5G045000 ID=Brasy5G045000.1.v1.1 annot-version=v1.1 MPGFECAFAGAVGGTARWQSCNFLDSDDSPAASRFFFTVHLPPLSLAVPPPPSSALIFLPDLPFLLDLPFPPLESPGRSKPQHLRPPDLVPRPCRPPGRARGREGRHGCGAVGAEWQFRHRTGARTSVARGEIRQRRERKEAR* >Brasy5G316800.1.p pacid=40076659 transcript=Brasy5G316800.1 locus=Brasy5G316800 ID=Brasy5G316800.1.v1.1 annot-version=v1.1 MASTVLRSGCRAFSQSVPRVLDEKHRLLAQSLTQTSRFSSSDGIEPIENSFSHHVARLDKSGQKGHIPQRLMSRDERIYMQLDEMEKIMISNGERLEKIIVARQQKDERDAMYAERRAACIGAIIVVSGIAGLAFCVTKVKNVVFC* >Brasy5G237900.1.p pacid=40076660 transcript=Brasy5G237900.1 locus=Brasy5G237900 ID=Brasy5G237900.1.v1.1 annot-version=v1.1 MAAPPGSTRLRIGDDVTWSDVGGVYERDDSLKENTNPKCLLKNNHGAGGQQLQQHHGGSQRISGNLKPTAAPIIGLSGKLGGGGRRNSHHPPAMFPRKAKTGGGGREARQGVPEPGSPKVSCIGKVLSERERARRRTDHRQRTPHPPPETEETTSRGGRGCCPGFGGFMGLRRSRSRKSAVDSVVDWSPPPPPPAGVRKAVEEDLPAAAPGLGGVMRFASGRRAAGWAAAAGAEDDHRVAKSGPL* >Brasy5G309400.1.p pacid=40076661 transcript=Brasy5G309400.1 locus=Brasy5G309400 ID=Brasy5G309400.1.v1.1 annot-version=v1.1 MERRSRTRFSPSEIARMEKLVSDRKERVFDDNFCRKLAEEFNCSAARVGSRALQPTQVKGWFLDKFPASTTKPTCLDTISEEENTLASEADAFVSEIKAPVSEEKVLCLDTSISNNEDALSMDLPKDTTDKVPELEDVQFEARSSKDFAWYDIDNFLAHRTTSSGEVEVYVRFAGFGAEEDEWVNVRKSIRQQSIPLESSECRNIAIGDLVLCFKESNDDALHFDGHVLDIQRKQHDIRGCRCVFLVEYDHDGSQERVNLKRLSRRPKYL* >Brasy5G309400.2.p pacid=40076662 transcript=Brasy5G309400.2 locus=Brasy5G309400 ID=Brasy5G309400.2.v1.1 annot-version=v1.1 MERRSRTRFSPSEIARMEKLVSDRKERVFDDNFCRKLAEEFNCSAARVGSRALQPTQVKGWFLDKFPASTTKPTCLDTISEEENTLASEADAFVSEIKAPVSEEKVLCLDTSISNNEDALSMDLPKDKVPELEDVQFEARSSKDFAWYDIDNFLAHRTTSSGEVEVYVRFAGFGAEEDEWVNVRKSIRQQSIPLESSECRNIAIGDLVLCFKESNDDALHFDGHVLDIQRKQHDIRGCRCVFLVEYDHDGSQERVNLKRLSRRPKYL* >Brasy5G069400.1.p pacid=40076663 transcript=Brasy5G069400.1 locus=Brasy5G069400 ID=Brasy5G069400.1.v1.1 annot-version=v1.1 MDPRDRNFTNHTIPHSPKSHSENLNIPPSQYSQGYSQNYSPGYSPPQYSPPQYGMHLPQSQYPQSSPPSHYFQNLRPFSTPYNYEHHAVPRGSFQGMQQAYVNSPCGVPRAAEQPKQEIESDASSPEEDGRKGVRINWNDEENVRLASSWIENSNDPVEGNAKKSEFYWRSVTEDFNKNRPTNGTIRTAKQCKSHWSTLNKGIAAFNGVYERAKSAYSSGQCDKMLKSKTREWYKAENNQKAFTMEYLWDQVKDNPKWRRIYMKDDKSKRTKISETGAYASSSNPEGEETTREMRPEGQKAAKARRKAKAVIDEPCENMKMYHSAMSKKSEGQVAIACATNQRTKFNKMSKYLDMMEKDTSSFSEERLKRHNQALDQMQLELFG* >Brasy5G017100.1.p pacid=40076664 transcript=Brasy5G017100.1 locus=Brasy5G017100 ID=Brasy5G017100.1.v1.1 annot-version=v1.1 MDSPRFRAILRVTSGGRRKRAPDVKSFSHELNPCGGGGSAYYHPMRYMPRGGVGGCGAGGGGGCGPAPGPEEFIGAIRTKFIKLKEEVDCELGVFAGDLVGLLERTANAAEEAAAEEDRDSQEWRVTLEDLLVVAQKCAEMSPEELWVKCEGIVQALDDRRQELTTGLLKQAHTRVLFILTRCTRLLQFRKECAGAYAGGGAGDDRQHVLGLHQLSDLGLYPFQGTGDGGSTDLGRRSTSSLTELKERLIRRRMLEHKHLTIDFPGRHGHFSGSETSDSPSSGKISSWKKLPSPAEKNRCKNAEVNKEDKVTPTKKAITRNKIDVDEIVERIDAASIHPDGLACLGDSAVKIEISPEYPGAQQIIVDGKPRMICRICDFEIPMSCAEGHFIACTLADRCDSKGLSTDKRLQRVAEVLERILACFEAKSPHDGEFNHPENARVSTSSLTGEEYDGSTDHDNDLSHLLTMPSTELFSEGALTPGSGSLSQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLLTISRGIESIKSSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDMSNESLGPIDEDGPMENSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRGLGCLDEDMARTYIAELVLALEYLHSLNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAHKREQRQKQTAVGTPDYLAPEILLGMAHGPTADWWSVGVILFEILVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKGINWNMIARQQAAFIPCTDDECDTSYFACRHAWGTADDQVNAAHNEYDDRSETSSMSCGSSQHSCDYEDGDECGSMEEFGAPPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS* >Brasy5G017100.2.p pacid=40076665 transcript=Brasy5G017100.2 locus=Brasy5G017100 ID=Brasy5G017100.2.v1.1 annot-version=v1.1 MDSPRFRAILRVTSGGRRKRAPDVKSFSHELNPCGGGGSAYYHPMRYMPRGGVGGCGAGGGGGCGPAPGPEEFIGAIRTKFIKLKEEVDCELGVFAGDLVGLLERTANAAEEAAAEEDRDSQEWRVTLEDLLVVAQKCAEMSPEELWVKCEGIVQALDDRRQELTTGLLKQAHTRVLFILTRCTRLLQFRKECAGAYAGGGAGDDRQHVLGLHQLSDLGLYPFQGTGDGGSTDLGRRSTSSLTELKERLIRRRMLEHKHLTIDFPGRHGHFSGSETSDSPSSGKISSWKKLPSPAEKNRCKNAEVNKEDKVTPTKKAITRNKIDVDEIVERIDAASIHPDGLACLGDSAVKIEISPEYPGAQQIIVDGKPRMICRICDFEIPMSCAEGHFIACTLADRCDSKGLSTDKRLQRVAEVLERILACFEAKSPHDGEFNHPENARVSTSSLTGEEYDGSTDHDNDLSHLLTMPSTELFSEGALTPGSGSLSQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLLTISRGIESIKSSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDMSNESLGPIDEDGPMENSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRGLGCLDEDMARTYIAELVLALEYLHSLNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPTDAEQRAHKREQRQKQTAVGTPDYLAPEILLGMAHGPTADWWSVGVILFEILVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKGINWNMIARQQAAFIPCTDDECDTSYFACRHAWGTADDQVNAAHNEYDDRSETSSMSCGSSQHSCDYEDGDECGSMEEFGAPPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS* >Brasy5G139700.1.p pacid=40076666 transcript=Brasy5G139700.1 locus=Brasy5G139700 ID=Brasy5G139700.1.v1.1 annot-version=v1.1 MASSKAAGTAALLLLLLLAAAAIATPAEASKKNAYWDSVPGQKMRPTAEVMPSKKTAYWDSVPGGSKMRPAAEDAASTCVGSLLALSPCLPFFRDAGTSSAPEGCCEGLRNIVEDDQAMCLCHIVNHTLQRAIGVDIPVDRAFDLIGGVCGIALAPPQDFADTCASDRAAVPPLYACPAPSA* >Brasy5G139700.2.p pacid=40076667 transcript=Brasy5G139700.2 locus=Brasy5G139700 ID=Brasy5G139700.2.v1.1 annot-version=v1.1 MASSKAAGTAALLLLLLLAAAAIATPAEASKKNAYWDSVPGQKMRPTAEVMPSKKTAYWDSVPGGSKMRPAAEDAASTCVGSLLALSPCLPFFRDAGTSSAPEGCCEGLRNIVEDDQAMCLCHIVNHTLQRAIGVDIPVDRAFDLIGGVCGIALAPPQDFADTCASDRAAVPPLYACPAPSA* >Brasy5G294400.1.p pacid=40076668 transcript=Brasy5G294400.1 locus=Brasy5G294400 ID=Brasy5G294400.1.v1.1 annot-version=v1.1 MVPNGAHTVPVLLLPSNEPKSEAARPPSAPWCRRRVEELNRSHMNPEGIDIYAFSLSKEVEEIPPPKTQKRSSNYTHDEDIQLCKSWINISTDAIARIAEHYHENRTFEFDRNVNSLEHRWNVLQKECMKWQANFEQVERRHESGIPYKEHWGAKNKSFQYVHCLIEVRHTPKFLALDAATKRSRYTPSDMIGDGNDDSNSPTPDSARKARPVGRKKSKEMMKNAGEGGSYKEALKDLLQVREKERKMREERWKEAEDTQERKLSLEERKFQREQEQKIMFCDVNALEPHLKVWVLAMRSQMAATAAARAGGLGAGSLGGDGMTGGFGGDGMTGSFNGGGNGGDTSNI* >Brasy5G155500.1.p pacid=40076669 transcript=Brasy5G155500.1 locus=Brasy5G155500 ID=Brasy5G155500.1.v1.1 annot-version=v1.1 MDPDEVKSKLQGLAFGNVLAAAARDYKKEVIAKEKAQAAPAIHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLAEVTRGDKVICHFYHREFYRCKIMDKHLKALAPVYLGTKFVKLDAENAPFFVAKLAIKMLPCVILFKKGIAVDRLVGFDDLGSKDDFSTRALENVLKRKGIIEEKKKDEDDEDDETDMSKNRRVRSSIAHDSDSD* >Brasy5G158400.1.p pacid=40076670 transcript=Brasy5G158400.1 locus=Brasy5G158400 ID=Brasy5G158400.1.v1.1 annot-version=v1.1 MRRLDILSTFSAKASGKGHDKFIKREKLEQSAYLKDDCLEIRCDVTVVKEICLAKDVAVQFVVVPQSDIHQHLGALLSDAGSEGADVSFEVGGETFAAHRCVLAARSSVFKAGLFGPMKEKTATSVKIDDMDPRVFQAMLHFIYTDSLPQMDSADATMMAQHLLAAADRYSLARLKLICEHELCSNIDKSTVTTTLALADQHGCHGLKEACFSFLRSPGNLKEITASDDFEHLMKSCPSVLKELVAQLAP* >Brasy5G212400.1.p pacid=40076671 transcript=Brasy5G212400.1 locus=Brasy5G212400 ID=Brasy5G212400.1.v1.1 annot-version=v1.1 MRGSRMNPGDHRTRSVMSVLIVMSLCGFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSRGGVNPLVMNNKVFEPCHIRYSDYTPCQDQSRAMTFPRENMTYRERHCPVKNEKLHCLIPAPKGYVTPFPWPKSREYVPYANAPYKSLTVEKAVQNWIQYQGDVFKFPGGGTMFPNGASSYIDELASVIPLADGTIRTALDTGCGVASWGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMAHCSRCLIPWVSNSGMYMMEVDRVLRPGGYWILSGPPINWKTHYQTWRRSRQDSEEEQNMIENTAEMLCWDKIYEKGDTAIWQKKADSNGCGNKHGRTSKMCKVQGADDIWYKKMEACITPLPEGGQLKKFPKRLFAVPPRILEGTSGVTEEVYEEDKKSWKKHVNTYKRMNKLIGTSRYRNIMDMNAGLGSFAAVLDSPGTWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHASGVFTLYQNKCDLEDILLEMDRILRPEGAVILRDNVHVLNKVRSTVAGMRWKTKLLDHEDGPYVPEKVLIAVKEYWVGSEEENGS* >Brasy5G040300.1.p pacid=40076672 transcript=Brasy5G040300.1 locus=Brasy5G040300 ID=Brasy5G040300.1.v1.1 annot-version=v1.1 MEISLSHALLFLGPLLLPLLLQLLSSRRKNDHPDNGHGHAHGHCKSIPSPPALPIIGHLHLLKKPLHRSLAALAARYGGDVPGAGLLLLKFGGKPVVLVTSPVIAKECFTMLTGDDSPAIGSAHYGPLWRHLRRLATVHALCAQRISLTSPARDAEARAMAKKLWRITGADAETAITMKETAYACVVNMVMSMVAGGSRRMEEDEVRRFKEMTERASAALGAAHRHNFLPVLRVMDFGRTTRRLMSLGKERREFGQQLIDEYRIGGAIGEETSSRMRTVIGDLLRQQEQSPESYSERRVLVNICMIMQSLLQAGTDTSSSTIEWAMALLLNNRAALAKATREINSIVGTSRLLEERDLGCLPYLQCVITETLRLYPLIPHVIPHEASRDCLVANGQYVIKRGTMLLVDVFSMQRDPVTWKDPEKFIPERFTSGNDTGRGNDERIMLPFSMGRRKCPGEGLAWKTVGLALGVMLQCFEWERIGEEEVDMSEGSGLTMPMAVPLVAMCRPRQEMYGVLMAL* >Brasy5G351500.1.p pacid=40076673 transcript=Brasy5G351500.1 locus=Brasy5G351500 ID=Brasy5G351500.1.v1.1 annot-version=v1.1 MPNLWHKLLSTFSCALHEPLPVPSAARSRNPGSRRRRRFSLLLFIIIFSVDLVLDVSLGVFFLQRGHPGIRSLLVRFDLSELIEDVLRQLGKTSRRQVLQERWGLELAVDLLLGKSQHLLLLLRLSWLGLTLLFSGRGGRGIGLRRRRRLPLRRARHY* >Brasy5G223200.1.p pacid=40076674 transcript=Brasy5G223200.1 locus=Brasy5G223200 ID=Brasy5G223200.1.v1.1 annot-version=v1.1 MDDGHGSRSGSGHDNASPMAADESVPPSQSQAVRLVRLFLSDMARESSGNGAGGHDETAIQLDDGGGGEFKILHIHGSTTGTGDDDLDMMALHMVHIHSHAGAGADDGMGVGEGAYRDGGFGTVQASREAIEGLPEAPAGDAAVRDASCAVCLESLIPSDGDGGGDGGGRIRKMPCCSRGFHERCISDWLRVSRLCSCCRFALPAAAEEDDDDMEDGEVRGYRPYVLYQELEEEEEDGGESEEGELRESSEPHEEEEEEEDDDDDDDDDETEEGELRDSSRPYDEIEEDDDETEEGDLREEDDDDVEDELADDVEDELDDDMEYDEEEEDDDDAYGAKEEDYMFL* >Brasy5G339900.1.p pacid=40076675 transcript=Brasy5G339900.1 locus=Brasy5G339900 ID=Brasy5G339900.1.v1.1 annot-version=v1.1 MAKGGLSKLKCMIKRWHSSSRISRTHSAGSHGGGEGGEEHDLWRRGGAAPVVSGRGGGSASFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPLFQNLVDRSGGGAAGGTIVGCEVVLFDHLLWMLENADPQPESLDELVEYYAC* >Brasy5G049600.1.p pacid=40076676 transcript=Brasy5G049600.1 locus=Brasy5G049600 ID=Brasy5G049600.1.v1.1 annot-version=v1.1 MAVAPDLRPVLLLLLSCLVSPELSFISIDCGIAEGTSYPDPDRTMMWYVSDAGAGANAAISQSFVIPDLATRYTNVRSGAGGARSCYTLQGLTRGAKYFIRCSFYYGNYDGIRRPPAFDLFLGVNRWATVNVTDAKERYVLKAVVVSTASFLQVCLVDIGLGTPFISGLDLRPLKPAMYPEATANQWLLLLSLRPPGGGFPFNRYYLWPSPRVFRYPFDLYDRDWQSYVNVTAWTNITTKATINVSNSSSFAELPSVVMQSAATPVNGTRLDFSWSPDPSLNNNDSGISKAYLLLLYFAELQQLSGSALRQFDILIDGASWDGSRNYTPKYLPAEVVKRVVSMMKIRKTYVLKKNWMGDPCAPKAFAWHGLNCIYSSSGPAWITALNLSSSALTGPVDSSFGDLKSIKYLDLSNNSLSGPIPDFLGQMLSLILLDLSSNKLSGSIPAVLLEKRQNGSLVLRIGNNVNICDNGASTCNPGAKKNSPTLAIAIAVPIAVTTLLFVAAIIILHRRRNEQDTWAANNLRHNSSRNGSNLFENRRFSYKELKFITANFREEIGRGGFGAVFLGHLENENAVAVKIRSTISSQGDKEFLAEAQHLSRVHHKNLVSLIGYCKDKKHLALVYEYMHGGDLEDCLRGEASVATPLSWHRRLRIALDSAHGLEYLHKSCQPPLIHRDVKTKNILLTADLEAKLSDFGLTKEFANEFMTHITTQPAGTLGYLDPEYFNTSRLSEKSDVYSFGVVLLELITGQPPAVAVSDTESIHIAQWVRQKLSEGNIESIADSKMGMDYDVNSVWKVTELALRCKEKPSSERPTMTGVVVELNECLELEMSRLIDNYSSVTTSALSAMSADLHSDVQTSDLRQNNILELGLEGNESAILIGPTTR* >Brasy5G060000.1.p pacid=40076677 transcript=Brasy5G060000.1 locus=Brasy5G060000 ID=Brasy5G060000.1.v1.1 annot-version=v1.1 MGYLSVAPSSSSSLPSSPCLRTFGFSRSQLPFGQFGDHHAILLSHKQRDLLNVSVKPKQIQLVCPAYLRSISDRVDESSIIRGMEMLNYAVNDRKLGHRKLMMEALVMLKTLAADGNNRRAMRSLFGLLSLVMAPLSSDLHGRIDHDAWSKLAAESLGLMWALVYDPSSPQRVSTMKREMARNKEEIKASMTGIIKCQKCRSKQFRKLAKKIHTQVVKIH* >Brasy5G261500.1.p pacid=40076678 transcript=Brasy5G261500.1 locus=Brasy5G261500 ID=Brasy5G261500.1.v1.1 annot-version=v1.1 MAVSSVLLALVLIVFLPSLASCSSGDDFLQCLAEKIPSELLYPQNSTGFMSVLSSSVQNPKFLTNTTVRPACIVTPTGVPHVQDAVRCGRRHGVRLRVRSGGHDFEGLSYRSVRRDEAFAVLDLARLRAVSVSRGSWWEAATAWVDSGATLGELYYAIGKASPTLAFPGGACPTVGVGGFLSGGGIGLMTRKYGIGADSVVDARVVNADGELLVRASMGEDLFWAIRGGGGESFGVVVSWRLKLSSMVSPTVTVFNIGKTFDESASGAAVLAKWETLSLDQSLPDELTIRVALQGKNVFFQALFLGGCQRLEYTMRRLLPELGMSSADCREMSWLRAMAFISLGSMDTPVEAMLNRTNNLGTYVKNRSDYVRRAVGKAGWESVFREHLSPSGGAVLMILEPHGGAVARVSADSTPYPHRGGVLYNVQYAVYWCCDADGGAAAAATGRLDALYGFMEPMVSDNPREAFANYRDLDIGQNVVGADGLTAYESGRVWGERYFMGNFRRLAAVKGKVDPGDYFRNEQSIPPLLQ* >Brasy5G500000.1.p pacid=40076679 transcript=Brasy5G500000.1 locus=Brasy5G500000 ID=Brasy5G500000.1.v1.1 annot-version=v1.1 MSGNQAQSSDYELLASQPDAQCLVCTRPFTLDTEVTNSFEALAICRECKATVLNDNETEVATSSTNQQRRHRRRRSRTASLGSSEDAFSQQFSHLINLARQGHEADIDSPTVVRQVASYNSTPNQSQRWHASDDESDGLNFADSVFDDHESNISFGDYAGESDASLDQHSMMGRVISIQLDNESYMNTDTDIDPMNAGIDQWDSDDQEDEDVQLAESDFDEAVDTMRQYQQQSRGIGPSELAGWESEDGVWAWRMTASQRANLTNLMADVEGPEIRTPFVGNPGDYADARQFEIILEQFAEDNNSRRGAPPAATSFVGNLPSLYISKIHETKGGVICPVCKDPMPIRTRAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDPEYERSEQAATNERDDHLVEEHIRLREFVEEISDEPEVDETQYTSNRAAEETNTSEHGAEQSNRAHGRRRWLFMAAAPVVSFVGLALVLCFANPANSRRQQCRGSQSSSAAHVDTKRSWWSMF* >Brasy5G325800.1.p pacid=40076680 transcript=Brasy5G325800.1 locus=Brasy5G325800 ID=Brasy5G325800.1.v1.1 annot-version=v1.1 MIRGLVIVVRGHASGKAIEAELKSWAGEECTWRFFARPISDHEYIIRFPTEKLLADISYFPSVNLRSVPDAFMKVERWIDDIQPKVRLQTVWLRIRGIPLLFRTRENAFYAENLVGKDKTMDRSSLHNEAYVRVQVACRDPSLIPNTREGEIEDGIYEFTYTS* >Brasy5G413800.1.p pacid=40076681 transcript=Brasy5G413800.1 locus=Brasy5G413800 ID=Brasy5G413800.1.v1.1 annot-version=v1.1 MLEHSCSIYTSFRVQTFISAKVQFSQPRTQQNLSTMIHPRKLAQLAKKLQRKVAAGGGGQQADGECCSTALVADKGHCVVYTADGARFEVPLAYLGTTVFSELLRMSGEEFGFASGGDRITLPCDAMAMEYVLCLVRREASEEVERAFLSSISGHCVAPSMGLHHQFALCT* >Brasy5G319500.1.p pacid=40076682 transcript=Brasy5G319500.1 locus=Brasy5G319500 ID=Brasy5G319500.1.v1.1 annot-version=v1.1 MDSGNSGSLQSSSGGDDEFDSRGGGGVDSSPLSALLRPTPSPSAAGVFSLHGSLYGLQDFTSAPPQQQQQQAAPWSAAQFMAGGSSSSPRVEQPSDAGMASGAGAHQTAADLSAQGAPAAAPPRGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPNPPFAAGAGAGASFLSRSFDHIFPSTSTLRSAAGADPAASSLPPYLLRPFAQKLHTAAPSSSSPFPPFTSPPSSTPPSSSSIGVANANANATTANTASQRADDFQLTSSALLRMQQQQQQDQSSSYLSFQNLLGSTPPSSQHMFVGAGMSATRLHEPSPSEFMAGIGGGGTSIGFTHGGMMVSEGMHMHPRNDVHQGVSGGDELSGVVRAGASGCKLNYTSHAGASSSSAAASTDMPPGGASRPSQGEGLDPWLCTSE* >Brasy5G480400.1.p pacid=40076683 transcript=Brasy5G480400.1 locus=Brasy5G480400 ID=Brasy5G480400.1.v1.1 annot-version=v1.1 MASRQVLLMAAAAIAVAAAFLPAPASAEVFKVGDDASWTLGYPAAWTNGKTFAAGDSLKFVYPAGKHTVVEVTGAGFKDCNTNGNLGSGDTIKLDKTGRRWFICGVGNHCEKGMKLLVTVAGANDKSSPATSLKYNVGAGAAALAAGAAAVLML* >Brasy5G278700.1.p pacid=40076684 transcript=Brasy5G278700.1 locus=Brasy5G278700 ID=Brasy5G278700.1.v1.1 annot-version=v1.1 MHSQDWRPQPCVKAKANLNKLMGGIIRHVVGGSTRVEWYTGSRLQSRSASSSQGDIDKLGMPVTPRRPCRSASPSSSPSSPASELVGSGEWQVPEGYVLSRNHM* >Brasy5G056000.1.p pacid=40076685 transcript=Brasy5G056000.1 locus=Brasy5G056000 ID=Brasy5G056000.1.v1.1 annot-version=v1.1 MAAATSSSAVAASTPQGVADRRGIPAASFVEDVETYLRQAGLDVNSALAFLQERLQQYKMVEMKLLAQQRELQAKIPDIEKCLAIVATLQAKKALGEALIADFELSEGIYSRAKIEDSNSVCLWLGANVMLEYSCDEANDLLKSNLENARASLEVLVADLHFLRDQQTITQVTIARIFNWDVHQRRSKQYAMKET* >Brasy5G437300.1.p pacid=40076686 transcript=Brasy5G437300.1 locus=Brasy5G437300 ID=Brasy5G437300.1.v1.1 annot-version=v1.1 APPRTGGLLAPPHLQNRARPCLHAPATTRNHARTTHRAAAASSHRIRRQPPQIWRPSTDPATPPADPAQRAAASSPGPPQPARAPRGRKNVPPPPSSDMHGFAVTLSSVGEAGEEGEWPLGLAARVPPCRQRRATHFFVVFAAPAVDSSPDASQPHVPFVLLYYISLYSNM* >Brasy5G466200.1.p pacid=40076687 transcript=Brasy5G466200.1 locus=Brasy5G466200 ID=Brasy5G466200.1.v1.1 annot-version=v1.1 MSSSRSELASFLPRMPMPAAMESKATGHETIASIMMNEDDYEVHSCDAGGSADDSHHRQSMDVVSDDDELFELDITFLRGFDDDGDDQEHDRHGDDGGGVHHALLANCLLPVSSVSMAVPVMASSTVTWYDPLYGHSGPRRFNSGGGKGRGRPWRVAVDGVGNFTSGRFRLSSRRFTTAWNFQR* >Brasy5G233000.1.p pacid=40076688 transcript=Brasy5G233000.1 locus=Brasy5G233000 ID=Brasy5G233000.1.v1.1 annot-version=v1.1 MANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDRLGCKRTLQIDSIPLILGALISAQAHSLDEMLLGRFLVGIGIGINTVLVPLYIAEVAPTKYRGFLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAASIPGFLIAVGMQFAAESPRWLVKVGRLDDASRVVESLWGASEVEKSIEEMKSVVNDDSQANWSELLLEPQNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGLTNFGGALVASNLMDKQGRKNLLIGSYLGMAFSMFLIVYSISAPLDEDIGHSLSIIGTLLYIFTFALGAGPVTGIIIPELSSARTRTKVMGFSFTVHWICNFLVGLYFLELVKMLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGERK* >Brasy5G233000.2.p pacid=40076689 transcript=Brasy5G233000.2 locus=Brasy5G233000 ID=Brasy5G233000.2.v1.1 annot-version=v1.1 MLLGRFLVGIGIGINTVLVPLYIAEVAPTKYRGFLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAASIPGFLIAVGMQFAAESPRWLVKVGRLDDASRVVESLWGASEVEKSIEEMKSVVNDDSQANWSELLLEPQNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGLTNFGGALVASNLMDKQGRKNLLIGSYLGMAFSMFLIVYSISAPLDEDIGHSLSIIGTLLYIFTFALGAGPVTGIIIPELSSARTRTKVMGFSFTVHWICNFLVGLYFLELVKMLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGERK* >Brasy5G079000.1.p pacid=40076690 transcript=Brasy5G079000.1 locus=Brasy5G079000 ID=Brasy5G079000.1.v1.1 annot-version=v1.1 MTGVVVVSTGCKGGRVGKKRGGGGGEEEADEQERQQLSVLALLLAAVRRSVVACRVEREPDRVAGGGWGEHEHDEDAAAGLGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPPRVPSASASVFGVSAESMQCTYDGKRNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEELVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTPAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLREREDAATGDYTPYSSPASSGRHSDADYYGSEREMMDRSCELSDMHSQISKSGGQADYLVRYNTCFDSEQEADHDLTEAEEGFLNMLESQLEDERPEVSTSKQCEISSEIMAMEDVHPELKSETKAMEVLEDIQEEEGAELK* >Brasy5G251800.1.p pacid=40076691 transcript=Brasy5G251800.1 locus=Brasy5G251800 ID=Brasy5G251800.1.v1.1 annot-version=v1.1 MAGRRIVTSAIVAEEETRTHVIKIDGYSRTKEMLQTGKSTSSIPFSVGGHNWTLEYYPNGYDESAGYISVFLVLDSADAKDVKAKVSFCLLDKDGVPVPSYRRTTRECTFPNKGSNWGFSKFIKHEDLEGSVHLRGDSIRIRCDVTIRMKIRSEETKSNQFVAVPASNLHQHLGDLLKSMDGADVTFQVGGERFSAHRTVLAARSSVFKAELFGAMKEKASTLITIDDMESDVFESLLHFIYTDSLPMTEVVKAGHLLVAADRYNIERLKLICEDKLCNQIDVNMVATSLALAEQHSCHGLKEACFNFLASPSNLEAMKASDGYGHLKNSCPSVLKELVATFLPVELKAVKDIIMDI* >Brasy5G167800.1.p pacid=40076692 transcript=Brasy5G167800.1 locus=Brasy5G167800 ID=Brasy5G167800.1.v1.1 annot-version=v1.1 MEFDAIISGVNPQILFYQIRPKLVRAHRTRRKRSRWKRSEANFRDRRIGKLSRGSKHDITRCRKTPAPKDPKSREAPQSGYQTREPNPPANSRLASPSQSTRQKGLPAPPPSADRSATPPDEEAPPGCRSREEHDIGETHERNRSSGVRPSSSSSSSLTSPARSDERAQKRPPGRPSLILRLGGAAGRRERCLVRAPARAERPPPAAKS* >Brasy5G246700.1.p pacid=40076693 transcript=Brasy5G246700.1 locus=Brasy5G246700 ID=Brasy5G246700.1.v1.1 annot-version=v1.1 MQCLDGLQLVELFNVRFHGGGAPTGTISVFDSKRGQIIYSRSSPNPPRDDLEKEIIQKENIGLPLTGPRRAISGDGCVAIAIDLHGHCHHHDTSSSSTSSSSPPSYVAKVFLDSDTTYDEAITETVETCDGTWADVTYALLSDAVEAIVEVKLLRPRDGGGESICGRIVARTEFTNGTVGEVVLFEEDKGVGSLSLKPTIIIPLARSVLAVPLAGRLTIEVDLHGHSTGDEIVKKASVVCYPDPDTEHVWRRGRNGEVEVKITWSE* >Brasy5G328200.1.p pacid=40076694 transcript=Brasy5G328200.1 locus=Brasy5G328200 ID=Brasy5G328200.1.v1.1 annot-version=v1.1 MARLSCFLLLLQAQLFLLVAGEFLRLPSEQDVAGTRWAVLIAGSNDYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIANNPDNPRPGVIINHPTGGDVYAGVPKDYTGKDVNANNFLAALLGDKSRLTGSGSGKVVSSGPNDHIFVYYADHGGPGVLGMPEDEPYLYANDLVRALEKKHAGGAGYKSLVFYLEACESGSIFEGLLPGNISVYATTASNAEESSWGTYCPGDDDGAPPAEFDTCLGDLYSVAWMEDSDAHDLKAESLRQQYDRVRDRTSAHETYDLGSHVMQYGDLGINAQSLDIFIGSDPANDKQSTNSSVSALLRSARAGVVHQRDADLLHFWHKYKRSAEGSARKLEARRRLVEMMARRARVDGSVELIGGLLFGSEEGARVMNAVRPAGRALVDDWDCLKAVVRSFEARCGPLAQYGMKHMRALANVCNAGVGVEAVDRAASQACAVHPSVVF* >Brasy5G338300.1.p pacid=40076695 transcript=Brasy5G338300.1 locus=Brasy5G338300 ID=Brasy5G338300.1.v1.1 annot-version=v1.1 MTMKAPSFSSYLLLAPLAILFLVFLLPSLNRSSVSDGLGVLCSRRTGADHHNHQTVVPPPAQAVAEPELSLLVGILTMPKLRERRDIVRLAYALQPPVPAYARVDVRFVFCRVADPTEAALVSLESARHGDIIVLGDSCAGENMNDGKTYAYISSVPRLFAAAPYDYVMKTDDDTYLRVAALVGELRGKPRDDVYLGYGYNMSGDPMLFMHGMGYVMSWDVASWVSTAAEILARNDTLGPEDLMVGKWLNLAGKGRNRYDLKPRMYDLNWAMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGIRQPSSKLYRRLP* >Brasy5G161300.1.p pacid=40076696 transcript=Brasy5G161300.1 locus=Brasy5G161300 ID=Brasy5G161300.1.v1.1 annot-version=v1.1 MSRPSGTPDFSSEFPSTVGAIDGRTQSLPAIRVIPRPLAPNRVGGILGAAPGAVSPVRDVDRELPRPSAGDTTRGTVAVVDDILGAPSEGSSSRSTSPPSPPARRLGFSLDSLFSHFWRNPSSPRVRVGAPSTVWRGVASGDRRSFAQVVATPACVRRLGMRAFGDLRREGFGAGRGRGDRGRGDGRGRGRNLVWNRNPEAAGGGLGRERGAAMEVRGETRRSPTPETGATSEEAAEAAAAEAPESAAGGQQRFLTNSPGKHPRDEQGRLPREASHCDKCGKLGHTVRECSVRFLEDYTAQMCGFQSHGQGFFFIPPIPSENKNSSVVITVVDGVASARQIEETFNDVFAGTWRCTARPLGPGKFTMRFPNAKKVEEYSAFKSFNLRKTNAKIDVDPWSPTVGAKGEIQQAWVKVSNIPTDTRSEAVIAYVGSLVGVTKDIDKSTMYKPEYVRIKLRCPDVYNIPPTAEGYIGDYLYDFYYELDSVVVGPSQPSSSAAAIHHDKDGNAQTPKRRKPNDPPPKSAPPKFDTAKSYDGGKSVALDPVMDEDEEDESEDDCNELLIDTLAQEADAAPLGQVVPVMSFVEAGGQPHIPFDFNLSSWLKRDQILPPVPSVTASFDVKGMLQFLCPLLDLSTVGPYQQQRITNVSPCLAPVIEENFSFSEDDGPFSQDSQNIIEDNMPPVIAKAKKNLIPIRHSDRLLKKGQAPVLHRAEALLQKKNLEGNHCSNSFSVLDDHAIISKAQAMGALILDDDFGCVNVLRELETARDSLKTHIFVPVPVVDAPPVIDDFILVQSRKKKKPQAQLRSVGVIGPCKGAPC* >Brasy5G425100.1.p pacid=40076697 transcript=Brasy5G425100.1 locus=Brasy5G425100 ID=Brasy5G425100.1.v1.1 annot-version=v1.1 MGHRLPRRSLPPTMASAALLCLTVAALWPAASAAAMDGGCGSSVAASSSKRKKQEQKKLWVFGDSYADTGNLGDLGRELTHAWYDPYGQTFPRRPAGRFSDGRVLTDFVASAMGMPTPVAYKVRRGARPGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQALQGRRRVRENNNDTWTALVVVSGNDYSYASSGGASNDNNGTSAAIAYIPTVVRELREQLRRLRDEAGMKRVVVTNLHPMGCTPVFTRPLNYTGCDPLANAGAAQHNAALESVLAALDPANQTFLLLDVHTPFAAFLLDDDDGDNNGRFEIPLRPCCESFSSDGYCGQQDEAGKPQYTLCADPGRRFYWDDVHPTQAAWAAVADSFRTTVKDFLST* >Brasy5G139300.1.p pacid=40076698 transcript=Brasy5G139300.1 locus=Brasy5G139300 ID=Brasy5G139300.1.v1.1 annot-version=v1.1 MEARSPPPESVSCHLKQLHAHLLRRGHPFPPADDPEPDRAYLSVIRAAAAASPALALAASACLRRAGLPAPGPRALPALLRAAARARCGDTVRGTHGLAVRVGAEEDGFVWTALVGAYAACQRVAEARRVFDGMPERDLVAWGVMFDSYCNTQNYREPFLLLNKMKRSRVVPDQVILATVLSTCGHTRHLRSGKAIHSYILVSDIFVDARLSSALINMYASCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.2.p pacid=40076699 transcript=Brasy5G139300.2 locus=Brasy5G139300 ID=Brasy5G139300.2.v1.1 annot-version=v1.1 MEARSPPPESVSCHLKQLHAHLLRRGHPFPPADDPEPDRAYLSVIRAAAAASPALALAASACLRRAGLPAPGPRALPALLRAAARARCGDTVRGTHGLAVRVGAEEDGFVWTALVGAYAACQRVAEARRVFDGMPERDLVAWGVMFDSYCNTQNYREPFLLLNKMKRSRVVPDQVILATVLSTCGHTRHLRSGKAIHSYILVSDIFVDARLSSALINMYASCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.3.p pacid=40076700 transcript=Brasy5G139300.3 locus=Brasy5G139300 ID=Brasy5G139300.3.v1.1 annot-version=v1.1 MEARSPPPESVSCHLKQLHAHLLRRGHPFPPADDPEPDRAYLSVIRAAAAASPALALAASACLRRAGLPAPGPRALPALLRAAARARCGDTVRGTHGLAVRVGAEEDGFVWTALVGAYAACQRVAEARRVFDGMPERDLVAWGVMFDSYCNTQNYREPFLLLNKMKRSRVVPDQVILATVLSTCGHTRHLRSGKAIHSYILVSDIFVDARLSSALINMYASCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.4.p pacid=40076701 transcript=Brasy5G139300.4 locus=Brasy5G139300 ID=Brasy5G139300.4.v1.1 annot-version=v1.1 MEARSPPPESVSCHLKQLHAHLLRRGHPFPPADDPEPDRAYLSVIRAAAAASPALALAASACLRRAGLPAPGPRALPALLRAAARARCGDTVRGTHGLAVRVGAEEDGFVWTALVGAYAACQRVAEARRVFDGMPERDLVAWGVMFDSYCNTQNYREPFLLLNKMKRSRVVPDQVILATVLSTCGHTRHLSCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.5.p pacid=40076702 transcript=Brasy5G139300.5 locus=Brasy5G139300 ID=Brasy5G139300.5.v1.1 annot-version=v1.1 MKRSRVVPDQVILATVLSTCGHTRHLRSGKAIHSYILVSDIFVDARLSSALINMYASCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.6.p pacid=40076703 transcript=Brasy5G139300.6 locus=Brasy5G139300 ID=Brasy5G139300.6.v1.1 annot-version=v1.1 MKRSRVVPDQVILATVLSTCGHTRHLRSGKAIHSYILVSDIFVDARLSSALINMYASCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G139300.7.p pacid=40076704 transcript=Brasy5G139300.7 locus=Brasy5G139300 ID=Brasy5G139300.7.v1.1 annot-version=v1.1 MKRSRVVPDQVILATVLSTCGHTRHLSCTDMEMADKIYSGMRRKDLVSSTAMVCGYAKNGKIEIARSIFNHMPEKDVVSWSAMISVYAENNQPSEALNLFNKMQGCGVSPDEITMLSVISACANIGSLDKARWIHSFVENHGFYKILSICNALIDMFSKCGSLTLALNMFNAMPRKNVITWTGMIAAFAMHGDGRSALTLFGQMKGEGVEPNGVTFLVLLYACCHAGLVYEGRSLFECMLQEYRIEPKHEHYGCMVDLMGRAKLMQEAVDLIESMHIRPNVAIWGSLLAACSMHGDIELGEFAAKKILELDPNHDGAYVLLSNIHAKSGNWNNAQKLRGVMKVHGLSKETGYTVGWS* >Brasy5G214600.1.p pacid=40076705 transcript=Brasy5G214600.1 locus=Brasy5G214600 ID=Brasy5G214600.1.v1.1 annot-version=v1.1 MELVVGASEATMKSLLSKLGGLLAHEYSLIRGVRGDIQYINDEMASMQAFLGDLSAASAAPRGHDRRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPTAGSGGEICCAFLVSGAYEIWTWRPRRDIAAAVAELKARAQQIGERRARYGVENPRSGDGDGESGGGAVTGFDAAENQQTSLELVGTKKPVGVDKEMDELGKWVTLQQQQQQQVLPAASSSQLDPPSDPASQAEAAKRHDHGVLSIVGFGGVGKTTIATALYQRFGDQFERRAMVTVSQSSDIEAILRIILAQVMPQSKDSDGQQGGSGGGASEKNRLLAAIGTIWATDEGTSERTQGNIQTMKLERLKEELEKHLKKYSYLLLVDDVWSATTWEQTKKSLPRSERGSRVIVTTRFQAVASTCKRDKGDYVHKVVVLSGDKPKELFMEVMAESKFNKEDEEKQKKIPSEVMPESKFNKEDEEKQKKILSEVMDKSKLNKEDEEKQKKIPSEVMAKSKLNKEDEEKQKKIPSRIWEMCGGLPLAIVTMAGLVACNPHRSEEEWNKVCNALVPATVKSLAQDGVTRILSHCYNDMPGEIKTCSLYLSIFPKSRKISRKRLTRRWIAEAFVSEKQGLSVEDVAETYFNHLIRRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSKASEENFVTVVGGHWLMAPPSSKVRRLSLQGSDSKHGSATENMNLSHVRSLTMFGSLNQLPSNSLKFGIVQVLDLEGCKDFKQHHTKEICKMLLLKYLSLRRTDIKSLPRKIGNLQYLETLDIRETNITELSKSVCQLERLINILGGNKRTRKALKLPEELKKKTMKALRILSGIEIVEGPTTVADLHHLTDLRKLAIYKLNIKKGDKIFEDLSSSIEYLGGYSLHTLIINDESSEFLKSLGALSSPPKFLNALELSGKLFELPEWITDLDALTKLTLSVTALTADALGRLSKLKMLFSLTFSLTAAKQDPETIAIIEENKEHSHGEIIVPAGGFENLKLLRFSVPFVPLLSFPEKAMPKLERLELRFSILEGIYGLENLAELKEVHLRVHDEAGEVTKLLVDDMATAAREDDKGPRIIVDQYHG* >Brasy5G214600.2.p pacid=40076706 transcript=Brasy5G214600.2 locus=Brasy5G214600 ID=Brasy5G214600.2.v1.1 annot-version=v1.1 MELVVGASEATMKSLLSKLGGLLAHEYSLIRGVRGDIQYINDEMASMQAFLGDLSAASAAPRGHDRRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPTAGSGGEICCAFLVSGAYEIWTWRPRRDIAAAVAELKARAQQIGERRARYGVENPRSGDGDGESGGGAVTGFDAAENQQTSLELVGTKKPVGVDKEMDELGKWVTLQQQQQQQVLPAASSSQLDPPSDPASQAEAAKRHDHGVLSIVGFGGVGKTTIATALYQRFGDQFERRAMVTVSQSSDIEAILRIILAQVMPQSKDSDGQQGGSGGGASEKNRLLAAIGTIWATDEGTSERTQGNIQTMKLERLKEELEKHLKKYSYLLLVDDVWSATTWEQTKKSLPRSERGSRVIVTTRFQAVASTCKRDKGDYVHKVVVLSGDKPKELFMEVMAESKFNKEDEEKQKKIPSEVMPESKFNKEDEEKQKKILSEVMDKSKLNKEDEEKQKKIPSEVMAKSKLNKEDEEKQKKIPSRIWEMCGGLPLAIVTMAGLVACNPHRSEEEWNKVCNALVPATVKSLAQDGVTRILSHCYNDMPGEIKTCSLYLSIFPKSRKISRKRLTRRWIAEAFVSEKQGLSVEDVAETYFNHLIRRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSKASEENFVTVVGGHWLMAPPSSKVRRLSLQGSDSKHGSATENMNLSHVRSLTMFGSLNQLPSNSLKFGIVQVLDLEGCKDFKQHHTKEICKMLLLKYLSLRRTDIKSLPRKIGNLQYLETLDIRETNITELSKSVCQLERLINILGGNKRTRKALKLPEELKKKTMKALRILSGIEIVEGPTTVADLHHLTDLRKLAIYKLNIKKGDKIFEDLSSSIEYLGGYSLHTLIINDESSEFLKSLAKQDPETIAIIEENKEHSHGEIIVPAGGFENLKLLRFSVPFVPLLSFPEKAMPKLERLELRFSILEGIYGLENLAELKEVHLRVHDEAGEVTKLLVDDMATAAREDDKGPRIIVDQYHG* >Brasy5G214600.3.p pacid=40076707 transcript=Brasy5G214600.3 locus=Brasy5G214600 ID=Brasy5G214600.3.v1.1 annot-version=v1.1 MELVVGASEATMKSLLSKLGGLLAHEYSLIRGVRGDIQYINDEMASMQAFLGDLSAASAAPRGHDRRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPTAGSGGEICCAFLVSGAYEIWTWRPRRDIAAAVAELKARAQQIGERRARYGVENPRSGDGDGESGGGAVTGFDAAENQQTSLELVGTKKPVGVDKEMDELGKWVTLQQQQQQQVLPAASSSQLDPPSDPASQAEAAKRHDHGVLSIVGFGGVGKTTIATALYQRFGDQFERRAMVTVSQSSDIEAILRIILAQVMPQSKDSDGQQGGSGGGASEKNRLLAAIGTIWATDEGTSERTQGNIQTMKLERLKEELEKHLKKYSYLLLVDDVWSATTWEQTKKSLPRSERGSRVIVTTRFQAVASTCKRDKGDYVHKVVVLSGDKPKELFMEVMAESKFNKEDEEKQKKIPSEVMPESKFNKEDEEKQKKILSEVMDKSKLNKEDEEKQKKIPSEVMAKSKLNKEDEEKQKKIPSRIWEMCGGLPLAIVTMAGLVACNPHRSEEEWNKVCNALVPATVKSLAQDGVTRILSHCYNDMPGEIKTCSLYLSIFPKSRKISRKRLTRRWIAEAFVSEKQGLSVEDVAETYFNHLIRRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSKASEENFVTVVGGHWLMAPPSSKVRRLSLQGSDSKHGSATENMNLSHVRSLTMFGSLNQLPSNSLKFGIVQVLDLEGCKDFKQHHTKEICKMLLLKYLSLRRTDIKSLPRKIGNLQYLETLDIRETNITELSKSVCQLERLINILGGNKRTRKALKLPEELKKKTMKALRILSGIEIVEGPTTVADLHHLTDLRKLAIYKLNIKKGDKIFEDLSSSIEYLGGYSLHTLIINDESSEFLKSLGSRNNRHH* >Brasy5G195300.1.p pacid=40076708 transcript=Brasy5G195300.1 locus=Brasy5G195300 ID=Brasy5G195300.1.v1.1 annot-version=v1.1 MPEMDGDEATKRIHEEESCYGVALTAHSLEEGLQETIEAASTSSKRECVVLAKPASSPTLRERGSEREKREKGGRDLLRGPGPRTAGSGGGAAPGHQIRPRARSGTVDPASGGGAARARGDQEIRREGLGSEEAMSSGGRGGRPDLDPAQRRLGGGGRALQVPGARTLQRRRERRGEREGRREERDWVGGEGER* >Brasy5G070000.1.p pacid=40076709 transcript=Brasy5G070000.1 locus=Brasy5G070000 ID=Brasy5G070000.1.v1.1 annot-version=v1.1 MKLSEASTAEENQAVAPLPQEILMEILALLPAKSVGRFRCVSPGWSAMLSSAYFVDLHARWSGLLAPVNKPCHGLVLIRCTDYRGYFVCNPSTGEVLPLPDSEVPLKTIWRPSGNGVAYGLGYCSVTKQHKVVRLFWCNDVSSCEVFVLDTPAYWRPSAQEPPLCFVSEDKPAVFLRGHLHFLCRGADIITFNTSSETFGSLLLPAGFEDALPLLTELDDCLCFCYGEPDSDDPYHVFLLRDYMGGRWEKLCCIDRIAWPEPERMLLRSLCISPLVMYHSDDGQRRIMFGTGACKVFAVGLDTNIPEILFTPDGTVIGSCEDDYILLLCLFEEYLGSAGRTIEEMAFSSPTTKAWSDILKWMPARSVSELSLVCREWRAMIMTDRFIQSHVVHANLNKSPQVMIVLDPRFGQYMNLKDFFDAGAPVLHQNLVCTPQPCHGLNVGSIGGWDFICNPVMGYREHIESYNDNETFFAGRIGLGYHSEIKKHVVVHITYKEKNLDTRDYELQCKLRYVKEKQWRSVDPPPRPIADTPATYVDGKIYWVVEPNLGPIFLRCESVAFNVETEEFEVLQGPPCSHDNGRISILQLQGALCIASSDKSKNVLDVWMMMDNDTWLLRYHIELKDFLPHYLSENTTPLVVDPKDGRILLNTGLSLGYYDPKMVALETVYSVGIVKHDCTFCPIICDESLDCPFGPS* >Brasy5G492800.1.p pacid=40076710 transcript=Brasy5G492800.1 locus=Brasy5G492800 ID=Brasy5G492800.1.v1.1 annot-version=v1.1 MVPPRLGLCLPNSDPHTPFRGSDSSSSSASSVFFTRLRVSFASRPHPPRPSRGLTRTPPQPRARRCRIAPLRPPAAASRPGRRRITTPRAPAASLPGHHCSPACATGREEQRERLRVAGGEPGADPRVHDGGRVVPQQLRHRPVPLHAGETAAFFGSIRNCTLRFCWYRTANLL* >Brasy5G437000.1.p pacid=40076711 transcript=Brasy5G437000.1 locus=Brasy5G437000 ID=Brasy5G437000.1.v1.1 annot-version=v1.1 MPPANDPPAALPLTLDLEDFKGDFSFDALFGSLVDELLPEFRGDDAAGVPPPPPPLLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIELIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVALAVGSANASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGPQADSSYIAEGLSMLYKEIADTVRKEATTIMAVFPSPNEVMSILVQRVLEQRVTTILDRLLIRPSLASLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSVGCGDLDIEGLTESIYVSHKDEYTEFEQASLRQLYQAKMAELRADAKQQSESTGSIGRAKGTSLTTSPQQQLSVTVVTEYVRWNEEAISRCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGLDRARESLNEAAAQRDRFVIGTSVSRRVAAAAASAAEAAAAAGESSFRSFMIAVQRCASSVAILQQFFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMCEVERLLSSEQKATDYRSPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLTHWQKFTFSPSGGLRLKRDITEYGEFVRSFSAPSIDEKFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNNIMSE* >Brasy5G234200.1.p pacid=40076712 transcript=Brasy5G234200.1 locus=Brasy5G234200 ID=Brasy5G234200.1.v1.1 annot-version=v1.1 MEDGSGISNGGEALVNEGGAGEKSNGAAAATGGKAVQALQRRFAEVQEILERNRVLIQEIGQNHATREPGGLSRNVALIRELNNNIARVVNLYSDLSYSFSSSLVVPKNNPASFAANAPNAEVSPAADVANTSFAAGNYAAAAKGAYKRPRSTQ* >Brasy5G331000.1.p pacid=40076713 transcript=Brasy5G331000.1 locus=Brasy5G331000 ID=Brasy5G331000.1.v1.1 annot-version=v1.1 MTTPVEDKPDDVEIREVWAENLEAEFAVIREIVDDYPYVAMDTEFPGVVCRPLGTFKSAADFNYATLKANVDMLKLIQLGLTFSDERGGLPALGPGGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHAADGADSRRFAELLMSSGVVMNAEIHWVTFHSGYDFGYLLKLLTGTNLPDTNSGFFDLIRIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFNKLKESYFGGLTEKYAGVLYGLGTEGGETTSVH* >Brasy5G354300.1.p pacid=40076714 transcript=Brasy5G354300.1 locus=Brasy5G354300 ID=Brasy5G354300.1.v1.1 annot-version=v1.1 MHRSHLAAALLFVVVALPLVSAAGKAAPAPAAPPNATAAMAKGGCKAFADLIAASPDAASTYQSAADGGVTVFCPSDSAVRAFMPRYKNLTADGKASLLLFHAVPVYYSPGSLKSNNGVMNTLATDGASKNFNFTLQNEGEAVAIKTGASRGGGVARVEATVMDRDPVAVYRLDAVVEPVELFKPVPAPAPAPAPAADAPKAGKGAAARRHPAAPVVADAPGPDADDDTAPADKKKGSKKSATAPGAPCFRWFAAALVAVASMLV* >Brasy5G060100.1.p pacid=40076715 transcript=Brasy5G060100.1 locus=Brasy5G060100 ID=Brasy5G060100.1.v1.1 annot-version=v1.1 MSSTEATVRVPVEELKKAAQAIDAAADFYYGSSVQLDSTLDYPEAVEITVKESLFRAAEDFEADFQFHKIETKIHRFPPGLRGLGGRYVVPTVVAIGPYHRGSPRLQEMAKVKRVAAHHFIIGASTRSTYEKMTAEFFPVAANARSSYASDVVAGIQEADFVDMMFRDACFLLQYMLCMSSSLGRQENFDVNSSLRRFFFSNRACIDTDVMLLENQLPWTVLDALSKFSTVKMEDFVAEMGNRFQITEDIVPKSFVSDPDMCTPPHLLGLLRFYLLGRRKAPELPVPDDGFSEDGDGQENEETEAAHDQVSEDSDEPEHKETEAAHGQVSDEDGDEEENKKTELAAHGQVSDEDGDGPEDKETEAAAHGQFGEGGPENKKTGHGHGLKKVSVSNAIELAEIGVRLKPSKTADFMDMGMKKGAFWENLFSGNLSIGNLFLAPLSLDSTKACCLVNMAAFEVCTVSRFQEDVQKTAVCSYIALFAMLMDREEDVHRLRSKKLLHGNYTNKEMLDFFKSLAKNLPDGGYKFARIMADIESYKRGCFRRILIKVYKFLYRNWKTIAAVLSLLGAIAGIMKAVLSI* >Brasy5G157100.1.p pacid=40076716 transcript=Brasy5G157100.1 locus=Brasy5G157100 ID=Brasy5G157100.1.v1.1 annot-version=v1.1 MDLRYSRPLAPTAAIRIPEEFETSSSDPAGESVTPIPDTTGPEDSKALIPGSIGPEESKPPSTESIRPEESKTPSTDSILPDESKTPSPDSADPEDESDDEFGQMSKAEIDRWFNRQWKEMIDELGFEASDFADYDALYAMDEKMEGEQVASIPHEGATSEAQS* >Brasy5G253700.1.p pacid=40076717 transcript=Brasy5G253700.1 locus=Brasy5G253700 ID=Brasy5G253700.1.v1.1 annot-version=v1.1 MADACTPQIPRFLEQFHVLRFFSPGGTPKSPDSLFPVRAQGSGSNPHLLRAAAAWGHGRGRAAPDRRREREMGKSEYVVVARSAVVLVEHNGAGVATNAGAVARQTLERTPAE* >Brasy5G239300.1.p pacid=40076718 transcript=Brasy5G239300.1 locus=Brasy5G239300 ID=Brasy5G239300.1.v1.1 annot-version=v1.1 MPPPPPPPPATNGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCDAVANLFGRILNAYARVPPKAKVPPTKSEFEELEAVPTSAAIDGPLEPPPASTHISDIPDESLANYFGGAASIGSVSSAPMVGRGHPPSESVGSSHVPMIISSAAPTHQIPLPLEASSAPPLPLQDNNAHASHSTNLVTPAFFAPPSSSAASQAPLASSMLPTAPPLHPTLSSAQHSQYGHGTPLLQPFPPPAPPPSFAPGHNNEGPVISRDKVKDALLRLVQSDQFIDLVYRELQNAHM* >Brasy5G459900.1.p pacid=40076719 transcript=Brasy5G459900.1 locus=Brasy5G459900 ID=Brasy5G459900.1.v1.1 annot-version=v1.1 MMAAPCPSGVARRVRASIVGGGATGKMLCAAGTRKLASAVSDSSVNEQHISMSSAQPDNMMMIVGSTISL* >Brasy5G172900.1.p pacid=40076720 transcript=Brasy5G172900.1 locus=Brasy5G172900 ID=Brasy5G172900.1.v1.1 annot-version=v1.1 MAAIHHAGDGARPQGHRPARCWSGLCKRRPPLALTLRQATAAGCCSAAARGCHPSTRVARQVFDTGPDHNQGHMETLSENGEPSEN* >Brasy5G282400.1.p pacid=40076721 transcript=Brasy5G282400.1 locus=Brasy5G282400 ID=Brasy5G282400.1.v1.1 annot-version=v1.1 MAIKPFKRTMQLLILPAMVLLSLALAVAGTESATATKTTTHLRFYMHDVITATPPSYPVATAVQVINGTVPLPNDPTTHFGDTFAIDDLLSAGPDPVASAEFGRAQGFFQFASLTEYALLLSANFVFTAGSGKHNGSTVAVLARDVIFDDVRELPVVGGTGGFRGATGYGLMRTHSIDTVGKNAVLLIDLYLDV* >Brasy5G305000.1.p pacid=40076722 transcript=Brasy5G305000.1 locus=Brasy5G305000 ID=Brasy5G305000.1.v1.1 annot-version=v1.1 MRMLLEKGSTMDLVLVPCGLAIMAGYHLFLLYRILRHPHTTAIGYENHNKLAWVERMALLKTTAPQETALALSVISDNISASTTLASLCIALGSLIGAWVGSGDSGTPEAPAALTASQPTLKLTSLLVCFLASFACFIQSAGHYVHASFLVTAIGLGSDAPASHAQRAVVRGGDFWALGLRALYFATALLMWLFGPVPMLACSVITVVVLHLLDSSYMPLHHHRFRAQVEPGAR* >Brasy5G465800.1.p pacid=40076723 transcript=Brasy5G465800.1 locus=Brasy5G465800 ID=Brasy5G465800.1.v1.1 annot-version=v1.1 MGFDNECILYIQSLPGEYFCPVCRTLIYPNEALQTQCTHLYCKPCLAYVAATTQACPYDGYLVTEADSKPLVDSNKSLAESIGKVTVHCLYNKSGCQWQGNLSESITHGTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGSQSQTQQADSSLTQSSAATTQAVTQDPSALPSAVSSAVPAAAPLTAVPPATTAGAATASAVATSSAGATTASTTAVAPFLTAAPAAASQGQAVAPQTQTAEQYQQQLQYQQYYQQHYPGYNPYTQQYQQYGQYQQYPQPQMQVAPQHVAQASAQPAPYAQPQFAQPSQAQHMAGNQQNQSHLSQFQAPVVQPQPQQNPPLQSAPQVPQMQPHGEVPLQLPHPQAQPASQVGSQPFAIPATQAVVSQVQPHVQSHPQQYQQAVAQQQHTQMQHLPQQQHLQSQTQHQHAQVQQQSYPQRQVYNQPHTVPQAQPQNPSIHAVTGHQSYPQPQPAHQSQMPHGATLQHPAHASHQQLVGPQHPALVRPLQGQFPLQGQQSAMLAPQGSQHTQQHQQHVGHHAQQPPMHPSIPSQAPAQGFPLNTSVPPQTGQLYQQGMHSSQQQMHSQPFQPHGPPFMQQQHVPTSTSRSTSYVATAHQFQESGKSENAANTNANAEVSDTRNRGVESSGIKPQSLVDKNVNREQNDFGTIRKDASQTGIALGGADGSDKGKGKDEFTGQESNSHVTDVRGGQESKASNISNDLEKGGSNLGGLGSYVPPGMGPQFPSGSDKMLPQHMLHPGPMPPMQGQLNQMRPPRHSFPENIQPTMQQQPYGSYQSEMAPRAFAPNLPRPGPIRPDDGMIRPPMGGPLPGHHDPIGPPFAPENVGRPHSIGMIKSNGVGSGPLENSRAFHEEGFNTSWEHSRSLATYPGRYNVNPKDIEEKMKQFPGPTHHDGDGLQRPPRPFDSFADSLPGRLPFPNKPGPYPIGFPEDLSRKPHSIGGHPDLEFGNHRMDGIPRNPGPFVQGMAAGPGGLRKDQLGSANLPGNIQRDFDNPEFQRPLFHPGDTFVPRNLHGGGEPLGHGQLHAIEPSGYRFQGHMHPDDPNLVEYSQHGYPQESGNFSLGGFFSNRDVGWCRICMFNCGSAEDLDLHVHTREHQQHAMEIVLKMKHDVAKRQKMNSGGPKSFNKKVAGKSNFRGNRR* >Brasy5G465800.3.p pacid=40076724 transcript=Brasy5G465800.3 locus=Brasy5G465800 ID=Brasy5G465800.3.v1.1 annot-version=v1.1 MGFDNECILYIQSLPGEYFCPVCRTLIYPNEALQTQCTHLYCKPCLAYVAATTQACPYDGYLVTEADSKPLVDSNKSLAESIGKVTVHCLYNKSGCQWQGNLSESITHGTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGSQSQTQQADSSLTQSSAATTQAVTQDPSALPSAVSSAVPAAAPLTAVPPATTAGAATASAVATSSAGATTASTTAVAPFLTAAPAAASQGQAVAPQTQTAEQYQQQLQYQQYYQQHYPGYNPYTQQYQQYGQYQQYPQPQMQVAPQHVAQASAQPAPYAQPQFAQPSQAQHMAGNQQNQSHLSQFQAPVVQPQPQQNPPLQSAPQVPQMQPHGEVPLQLPHPQAQPASQVGSQPFAIPATQAVVSQVQPHVQSHPQQYQQAVAQQQHTQMQHLPQQQHLQSQTQHQHAQVQQQSYPQRQVYNQPHTVPQAQPQNPSIHAVTGHQSYPQPQPAHQSQMPHGATLQHPAHASHQQLVGPQHPALVRPLQGQFPLQGQQSAMLAPQGSQHTQQHQQHVGHHAQQPPMHPSIPSQAPAQGFPLNTSVPPQTGQLYQQGMHSSQQQMHSQPFQPHGPPFMQQQHVPTSTSRSTSYVATAHQFQESGKSENAANTNANAEVSDTRNRGVESSGIKPQSLVDKNVNREQNDFGTIRKDASQTGIALGGADGSDKGKGKDEFTGQESNSHVTDVRGGQESKASNISNDLEKGGSNLGGLGSYVPPGMGPQFPSGSDKMLPQHMLHPGPMPPMQGQLNQMRPPRHSFPENIQPTMQQQPYGSYQSEMAPRAFAPNLPRPGPIRPDDGMIRPPMGGPLPGHHDPIGPPFAPENVGRPHSIGMIKSNGVGSGPLENSRAFHEEGFNTSWEHSRSLATYPGRYNVNPKDIEEKMKQFPGPTHHDGDGLQRPPRPFDSFADSLPGRLPFPNKPGPYPIGFPEDLSRKPHSIGGHPDLEFGNHRMDGIPRNPGPFVQGMAAGPGGLRKDQLGSANLPGNIQRDFDNPEFQRPLFHPGDTFVPRNLHGGGEPLGHGQLHAIEPSGYRFQGHMHPDDPNLVEYSQHGYPQESGNFSLGGFFSNRDVGWCRICMFNCGSAEDLDLHVHTREHQQHAMEIVLKMKHDVAKRQKMNSGGPKSFNKKVAGKSNFRGNRR* >Brasy5G465800.2.p pacid=40076725 transcript=Brasy5G465800.2 locus=Brasy5G465800 ID=Brasy5G465800.2.v1.1 annot-version=v1.1 MGFDNECILYIQSLPGEYFCPVCRTLIYPNEALQTQCTHLYCKPCLAYVAATTQACPYDGYLVTEADSKPLVDSNKSLAESIGKVTVHCLYNKSGCQWQGNLSESITHGTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGSQSQTQQADSSLTQSSAATTQAVTQDPSALPSAVSSAVPAAAPLTAVPPATTAGAATASAVATSSAGATTASTTAVAPFLTAAPAAASQGQAVAPQTQTAEQYQQQLQYQQYYQQHYPGYNPYTQQYQQYGQYQQYPQPQMQVAPQHVAQASAQPAPYAQPQFAQPSQAQHMAGNQQNQSHLSQFQAPVVQPQPQQNPPLQSAPQVPQMQPHGEVPLQLPHPQAQPASQVGSQPFAIPATQAVVSQVQPHVQSHPQQYQQAVAQQQHTQMQHLPQQQHLQSQTQHQHAQVQQQSYPQRQVYNQPHTVPQAQPQNPSIHAVTGHQSYPQPQPAHQSQMPHGATLQHPAHASHQQLVGPQHPALVRPLQGQFPLQGQQSAMLAPQGSQHTQQHQQHVGHHAQQPPMHPSIPSQAPAQGFPLNTSVPPQTGQLYQQGMHSSQQQMHSQPFQPHGPPFMQQQHVPTSTSRSTSYVATAHQFQESGKSENAANTNANAEVSDTRNRGVESSGIKPQSLVDKNVNREQNDFGTIRKDASQTGIALGGADGSDKGKGKDEFTGQESNSHVTDVRGGQESKASNISNDLEKGGSNLGGLGSYVPPGMGPQFPSGSDKMLPQHMLHPGPMPPMQGQLNQMRPPRHSFPENIQPTMQQQPYGSYQSEMAPRAFAPNLPRPGPIRPDDGMIRPPMGGPLPGHHDPIGPPFAPENVGRPHSIGMIKSNGVGSGPLENSRAFHEEGFNTSWEHSRSLATYPGRYNVNPKDIEEKMKQFPGPTHHDGDGLQRPPRPFDSFADSLPGRLPFPNKPGPYPIGFPEDLSRKPHSIGGHPDLEFGNHRMDGIPRNPGPFVQGMAAGPGGLRKDQLGSANLPGNIQRDFDNPEFQRPLFHPGDTFVPRNLHGGGEPLGHGQLHAIEPSGYRFQGHMHPDDPNLVEYSQHGYPQESGNFSLGGFFSNRDVGWCRICMFNCGSAEDLDLHVHTREHQQHAMEIVLKMKHDVAKRQKMNSGGPKSFNKKVAGKSNFRGNRR* >Brasy5G169900.1.p pacid=40076726 transcript=Brasy5G169900.1 locus=Brasy5G169900 ID=Brasy5G169900.1.v1.1 annot-version=v1.1 MAELATGAVSTLLGVISNEARRLGRVRGDVQFIQEEMESMSSFLANLSRGNIRDQQHNGGGGDDDDQVRTWMNQVRILANDCNTCLDLYLYRGDPELHRSKAGLRRYLYLWWAPWLVRKMLAQHRAAGQLRELKERAREIGDRRLRYGVEVKRSSSSGSGLTKEDIHGEEEEDDDDDGGHRPLNQDGAYRRSLKVALSMISMDKEDYLKWRLDDHWIRPVVLQGAADSKLQVSLPSIVFLVPKTEDAGSLAHQAMNVAERALAHEAVKKPTLSIMAKKTSQHLSEQKIKIKYRRNVVAVDVPEVHYDFLQRRPEDFLYYILHELQEGQLQATTPPSPTPDARWYGIYWSKREMFREIRLEIQETYKVKKKIEGLKTEICQVVERKEVQKLDKKDVSYEELREKITNKPLHKLFRLLIESTGQDRTDKAMRKLVASYDYVINQTAMKLKEEIEGGTNLQAPEYEDILWKVFPKPTAAATATANTFVDDQIKEIIHGVKEMLHELRELDRSDKIRETGETSGAQKLQAGFKETTTRKKMEEIERKIRKQLKIKGIVRRIQDCLEADKRILETRNTLRLLGCAPIAGAIIVATKITQRQDVKEEDPCYPQLELIDYSPVGRFLDTVLQITRQHVHEGSRRILRNIFHKCEAHEFCMKIFAHAMYTKPKRRRQELHKLYLNISHEHDTQKSLPSAAIAKKMLKFSYKDLPKQYRSCLLYLAIFPRGTEIKRSILIGRWVAEGLITTKGWHWSSSVDEAENCFDTLIARCLVCPAGNGIVVAGKFKSCKVDEPVYGFITKITKIAKKQHILDIRLSHGLARHFSIFSDVRLRNSEKIENFLESIPNSSQFSKIKVLDLEGCDAHFAKNQHYLRAICSKILMLKYLSLRGTDVIRLPGEINNLLELEVLDIRHTKIPASETRNVLLLKLKRLLAGHVDPSSPPTGFSSVQIPEKIEKMEGVEVLSNVKPKNKRDLNDITKLCMLKKLGVVINKRSNLRPLLLDAISDLLGRCLRSLSITLKVETSTPAGQGILPCSSELPEDKFPKVLESLSITGSTTQDCDLLLLTLFAKDANQLAKVTLRGTWLSEAGLKVLVTLPKLFCVRLRHVKYTNNTKLTFIKGEFQNLTSFVIEGAGITEIKFEDGAASNLEKMVCSFTKMESLSGIGNLPRIKEIKFSGETVPKEVEEAMRRQTRRPVYSYIHSKEPEIKTNQQEMPDDSTSSSWKKVKCLGWRN* >Brasy5G028000.1.p pacid=40076727 transcript=Brasy5G028000.1 locus=Brasy5G028000 ID=Brasy5G028000.1.v1.1 annot-version=v1.1 MLSPAGGAQGAAVARGPSVAATVPGRPATGIRSGKERVNEWVEFPVRASARQHRGAALAICQIRPPAGPSRLPRRRRRPGFLKAAPAVNWRREGLTAATQTYKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKIGP* >Brasy5G241600.1.p pacid=40076728 transcript=Brasy5G241600.1 locus=Brasy5G241600 ID=Brasy5G241600.1.v1.1 annot-version=v1.1 MVKICCLGAGYVGGPTMAVIALKCPDIQVVVVDITKSRIDAWNSDTLPIYEPGLDDVVKQCRGRNLFFSNDIEKHVCDADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSNGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQTLKAVYAHWVPEDQILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVANKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPVHLQPMSPTTTKQVSVTWDPYEAAKDAHGICILTEWDEFKKLDYQRIYESMQKPAFVFDGRNVVDSEKLREIGFIVYSIGKPLDAWLKDMPAVA* >Brasy5G156700.1.p pacid=40076729 transcript=Brasy5G156700.1 locus=Brasy5G156700 ID=Brasy5G156700.1.v1.1 annot-version=v1.1 MQNSWYHARVHPVQFHVQEIHHAICIGAQHKKVRHLFIHASNGANSRTTRNKYLSRVRSLIVFGNAGDAVSDLCKYKLTRVLDLEGCVDLKDCHLKDICKLWNLRNLSLGPYITEIPKEIAQLKLLETLDVSKRVNALPVGDFGLPCLIHLTGKFKLQEPVKDKEIAKREIIVVAFL* >Brasy5G260400.1.p pacid=40076730 transcript=Brasy5G260400.1 locus=Brasy5G260400 ID=Brasy5G260400.1.v1.1 annot-version=v1.1 MLAVCARHGPAKLPPPPLAGERVAWVSGRWWWWRPAAARRGVAVRAPSFNSRIGLDSQNSHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLQNAVMSALCDPETGECPVRYDVPSEDLPVLEDKVAAVLGCMLALLNRGRTEVLSGRSGVASAFQGSEHSTMDRIPPLALFRGDMKRCCESMQVALASYLVPNEARGLDIWMRLQRLKSACYDAGFARADGHPCPTLFANWFPVYFSTVSDDLGTDELEVAFWRGGQISEEGLAWLLTKGFRTIVDLREEDVKDDLYLSAVQEAVSSGKVEVVNMPVEIGTAPSAEQVQQFAALVSDGAKKPIYLHSKEGVGRTSAMVSRWKQYATRAERLATQNRSPNVNGKALKKHGAEQHTSSPRSSSNGSENGVLVESDRTVDAGEERDIDIEIARNNLEVTNSLPNEGELHGTRAELLSDFKLETNPLEAQFPSCNVFSRKEMTKFFRSKRVYPKSVLNSRRRSSSLMISRRKQNLRAEQNEAIDYEAADMTVLKNSNGTLFDNDYLLSVSSGITNGRPNNNGTSTSVEEKERSASVLTIDPRTSNASNSNGNAQHGSQKSSEKNGAPYLKGYPSDPVDGSMCASATGVVRVQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGFGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDMRAVIHGNNTLGVYITLRMRLRCVIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHICMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL* >Brasy5G483500.1.p pacid=40076731 transcript=Brasy5G483500.1 locus=Brasy5G483500 ID=Brasy5G483500.1.v1.1 annot-version=v1.1 MAAESCHSRSFSWLVKSCVPADPGRHISVPVPISSSAAAAGVSEPAAHIDALPEDLLLECLARVPRASLPPLPAVCRRFADLLASDAFLHLRRARGQLRPSLLFLSLPDRGGGGSFSQALLPLQLQPETHPLQVTSLPLPQTLLLQCGSGGGAGHFSHARAVFLPPRSVFLVTGRGANNLRLDAVTGGGFARACARTLFPRKKFAAAAVGGRIYVAGGSARTGAVEEYDPAADAWRVVAECPRRRYGCVGAGAGGVFYVAGGVAVSGSGGGSDGHVCAAGSVDALHVASGAWARPRALPAGGCVIGACGVGEHLYVVASHAVELSFWRLQGRGGWVALEPPPMPRGAVGIGMAVRVAMAEVGRGTVAAVVSAAAVRGGASASTSAFEGMVLVYDVAGGRWSRAPDLPPGFRRAACTGVEC* >Brasy5G018100.1.p pacid=40076732 transcript=Brasy5G018100.1 locus=Brasy5G018100 ID=Brasy5G018100.1.v1.1 annot-version=v1.1 MAPPYTSPYVLSSLLLLLSIPAVVLLAPRLLLPRTLPAIPDADESDDLALFRRAVLLSSSGSGTGGFVVHGRPQPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNLYVHADPSAVLASPPTPSFRGRFISGGKATARASATLISAARRLLATALLDDPANHFFALLSQSCVPLQPFPTLYRTLLFDNNNAGAGHRRRHRSFIEILDSEPTLHDRYYARGDNVMLPEVPFDAFRVGSQFFVLARRHAVMVVRDRRLWNKFKVPCLVKEKDSCYPEEHYFPTLLDMQDPDGCTKYTLTSVNWTDTVDGHPHTYQPEEVSGELIGELRKSNGTYSHMFARKFAPECLGPLMEIADSVILRG* >Brasy5G505400.1.p pacid=40076733 transcript=Brasy5G505400.1 locus=Brasy5G505400 ID=Brasy5G505400.1.v1.1 annot-version=v1.1 MPPKRYAAPRTLAGDPAPKKPKEKERPLGMSNADWAADVTCRNVENASRRERERRAKERNADLARQMEAQGSSSSSPSPVSPHMPHDHQGNATPSLSRFLAVRLFPAVPRRMQPARAQPHAHFTYTQEEEEPYTAEDTEEREEWANGAEEPAVAAPKGKKKGAAEKKKSGGGDRGPKWTAKEDECLAEAWKVVSLDPFTGANPSGDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHRWQMIQQACNKWHGIQEEVRRRPASGSSAHDQMVAMFTVFRDDNDGAEFKFIHVFARIETCDKWTETRAGLAKTGTYDPTAAPPAAAEGRPIGHKKAKAMKDAAPATERLYTCIEKCMSDAAAQAAKRDELAAKREEVAASRWATVIKKQDDKLEILKANVLAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARAPASAPQTAATATSTPSALSPPDTATPATSTPPAGTEEPSAPAEDEVAE* >Brasy5G281600.1.p pacid=40076734 transcript=Brasy5G281600.1 locus=Brasy5G281600 ID=Brasy5G281600.1.v1.1 annot-version=v1.1 MSRREEYQDEHPPYKVSYVLHVFVLNQMDSSVFYALPFLFSGLCAGAKSPILPAVEFDLVGGCDFYCSDTTYFQTYVFSYQPL* >Brasy5G266900.1.p pacid=40076735 transcript=Brasy5G266900.1 locus=Brasy5G266900 ID=Brasy5G266900.1.v1.1 annot-version=v1.1 MASSSQKATVDPGLEKKSKEKAPVPLPAPAAPAKGGKKTKTKKILMPASHLDYLESVALDKGEPLLPIDEKLFEDFKARIPNGEQFMAERGFTLESYLALATVDDDMEKANLELLSEVRDEYRRKGYVVMEVPDDEEQASSSCLGKGRRRRFRPGVAKNAGGRASKLN* >Brasy5G001300.1.p pacid=40076736 transcript=Brasy5G001300.1 locus=Brasy5G001300 ID=Brasy5G001300.1.v1.1 annot-version=v1.1 MALELEVALTLVFNLLLLVFMVKLVLALFSTKLMVILLYIAVLLFAMALSGRFPGGEF* >Brasy5G503500.1.p pacid=40076737 transcript=Brasy5G503500.1 locus=Brasy5G503500 ID=Brasy5G503500.1.v1.1 annot-version=v1.1 MAMLRSAIGIALRRSATVSRFFSSVSQGCSEAAAAVRRPALASRSFTNGGRGSPGCRDITGSKMSSYASGGSKGNEGGSSSKVFQQQDSTWWNVLFCGIGAGVMLTVQHKVGVQQDEKWKRDRTHKTETPSWMMDKEKAENVVNSWTRC* >Brasy5G205100.1.p pacid=40076738 transcript=Brasy5G205100.1 locus=Brasy5G205100 ID=Brasy5G205100.1.v1.1 annot-version=v1.1 MAQWWARPRSSSGAAVHAGGAEAEQGAAEERRAAAGAVLRGGARAELGPAGAALRGGAEAERGPVGAAKGASRRWRRGGRGRRLARPGPGPAARKQSAGKPPMARVFARRFCGGGGSQDKPTMARVLASG* >Brasy5G430700.1.p pacid=40076739 transcript=Brasy5G430700.1 locus=Brasy5G430700 ID=Brasy5G430700.1.v1.1 annot-version=v1.1 MRPSVAALLSRAVRPSPPSPALPQPPLISQIWTHIEQGGIDGGSLSAGQGWRRHESGIRPGGVCSVSLPSYRLRTHSSGCWQFK* >Brasy5G346200.1.p pacid=40076740 transcript=Brasy5G346200.1 locus=Brasy5G346200 ID=Brasy5G346200.1.v1.1 annot-version=v1.1 MVSKIKRQLASLPPLAKAALLLLILLLLLAAILLPIFLIPHHHRAELPPASPGNNNGSTGPDDVVAFDFSPFLVMYKSGRVHRMDGTDRVPAGVDEATGVTSKDVVIDSKTGVAARLYLPRGGGKGAVLPVLVFYHGGAFVIESAFTPKYHVYLNSLVAKAGVVAVSVEYRLAPEHPLPAAYEDSWRALNWVAKNADAGPEPWLRDRGNLSRLFLAGDSAGANIAHNMAMRAGNEGGLAGGAAIKGILLLDPYFWGKKPVGAETTDQAKRRQYEATWSFICDGKYGIDDPLIDPLATPASELRKMACSRVAVTVSGLDDFEERGKAYAAALRDSGWDGEVVQYETAGERHVYFLDAPKDPKSAKELAFAAGYLSRE* >Brasy5G077300.1.p pacid=40076741 transcript=Brasy5G077300.1 locus=Brasy5G077300 ID=Brasy5G077300.1.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPRLKIFADNAASTNAPESRFRDVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGWNQAKRRLKSRLRSNLTVQPAIKSSVE* >Brasy5G077300.2.p pacid=40076742 transcript=Brasy5G077300.2 locus=Brasy5G077300 ID=Brasy5G077300.2.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPRLKIFADNAASTNAPESRFRDVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGWNQAKRRLKSRLRSNLTVQPAIKSSVE* >Brasy5G077300.5.p pacid=40076743 transcript=Brasy5G077300.5 locus=Brasy5G077300 ID=Brasy5G077300.5.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPRLKIFADNAASTNAPESRFRDVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGKKKTQKQAQKQPNGATCD* >Brasy5G077300.6.p pacid=40076744 transcript=Brasy5G077300.6 locus=Brasy5G077300 ID=Brasy5G077300.6.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPRLKIFADNAASTNAPESRFRDVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGKKKTQKQAQKQPNGATCD* >Brasy5G077300.3.p pacid=40076745 transcript=Brasy5G077300.3 locus=Brasy5G077300 ID=Brasy5G077300.3.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPNVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGWNQAKRRLKSRLRSNLTVQPAIKSSVE* >Brasy5G077300.4.p pacid=40076746 transcript=Brasy5G077300.4 locus=Brasy5G077300 ID=Brasy5G077300.4.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPNVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGWNQAKRRLKSRLRSNLTVQPAIKSSVE* >Brasy5G077300.7.p pacid=40076747 transcript=Brasy5G077300.7 locus=Brasy5G077300 ID=Brasy5G077300.7.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPNVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGKKKTQKQAQKQPNGATCD* >Brasy5G077300.8.p pacid=40076748 transcript=Brasy5G077300.8 locus=Brasy5G077300 ID=Brasy5G077300.8.v1.1 annot-version=v1.1 MVCMSGHFKMASVLKLVMMENHTMPDEAINEKSSSHILQKQLLGAHEPNLLDEDDMHIFGSKPMADPLELVHCNTCTKPIKASEYAPHAERCSSGKVNPSISMGVYDDADPKNPPKKGRKIKLSSNGNQKEHTKVEAKSQSQNRNTVNDFELNNGNATKPLDSTADPNVPAPLATKIYHSQGNYRLRLELGQLYRESCAEQSHSYATSRTINSSQDNGLKLCDSSALHGAQKSLVPQKKYLDQLPASTSELCSGVSQQLLASGPNRLQATKSQRADTQVSAVRNELARGRGNKAAAPSSKSTGKKKTQKQAQKQPNGATCD* >Brasy5G125300.1.p pacid=40076749 transcript=Brasy5G125300.1 locus=Brasy5G125300 ID=Brasy5G125300.1.v1.1 annot-version=v1.1 MLLAAAAAAAPDHPFFFLSRRLPPPPPSFFAARRASGGSAVSPRALLRRCRGHTQSHQSFHCRCHSSSSWCGNAHARRSRHCRVGATTPDELPPGRGGSYHPSEDIAETLQLNDGEPARLTDAESARTIVEVHNKATVMISTLIDDGVHERIVLPEFPYLTDENGDIYFEVDNEDTVMESIMGDDKLAHVIIGLDNTEVFADLDLAASSAEFVQEDDEDEDDDDSDDEEGDFDDDFEEEGVFDVDEEDGDDDDDDEDAPSWSNLETVNSCHPLYFARMIVESANKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLLNGDEPQKDRKESGATFFKVEVLSIELITAYGTEPKVKIEEYRRSRPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQVEEAAIIGVDCLGFDLRLCSGTQVQTLRFAFPAKATSEFSAEKQIHELLFPRIHQEGQSPQTQQKES* >Brasy5G065500.1.p pacid=40076750 transcript=Brasy5G065500.1 locus=Brasy5G065500 ID=Brasy5G065500.1.v1.1 annot-version=v1.1 TPWAVGAPGGSWDLRTNHGQWASSIKFRAGDQLVFKYSRAAHNVVEVSKADYDACSGSSPLASFQTGNDVVPLPAAGNRYFICGVPGHCDAGMKVRVNVEAAASSSTDAPAPAGRRALSPALAPMPSAMTPAAGGQAVPPSSSAASVSVGSVGLSLGGFLAAALIVLC* >Brasy5G056800.1.p pacid=40076751 transcript=Brasy5G056800.1 locus=Brasy5G056800 ID=Brasy5G056800.1.v1.1 annot-version=v1.1 MEGDKSKTPSFAIAFLVLLLLASSFSPASSQHQQASFVIGGKGGGGGGNKPSSGSGGGGGAGSFDDFITKNVQHYAVTEQLYASKAKANGGKLLDADLSAAEAAKVRYVVSPNGKGKFRTISEAIKAIPEKNKKRVILDIQPGTYKEKFSIPTTKPFITFLGNPMNPPVITWDDTAGTRGKDGAPIGTLASATVAMESDYFMASGIVFKNHAPLAPPGAKGGQAVALRVFGTKAAFYNCTFDGGQDTLYDNKGLHYFKNCVVKGSVDFIFGFGRSLYVDCTIVSVTSQVAVLTAQQRSRSIAEATESGFSFVRCKIMGMGQIYLGRAWGDSSRVVYSFTDMSKEVIPVGWDGWSVEKPERTGVYYGEYKCSGPGAMSKQRIGWARVLDDTQARPFTGSHFVYGNSWILPPPK* >Brasy5G504600.1.p pacid=40076752 transcript=Brasy5G504600.1 locus=Brasy5G504600 ID=Brasy5G504600.1.v1.1 annot-version=v1.1 MAGGSWAQQQLSTGFYSASCPGAQAAVRTAVESAINREARIGASILQLFFHDCFVQGCDGSLLLDDPVQGDKGEKTAAPNNGSVRGFEVVDDAKAAVERLCPGVVSCADVLALAARDSVVLLRGPNWEVKLGRRDSTTASRAGANASIPAATSGLRELTDLFANQGLSQKDMVALSGSHTLGQARCVNFRSRIYSDTNIESGFAGTRRSNCSSNPSSGDGNNLAPLDLQNPLVFENNYYRNLIDRKGLLHSDQELFNGGVTDQQVRSYVDNQSTFFADFVAGMIKLGDISPLTGTNGQIRKNCRRIN* >Brasy5G451800.1.p pacid=40076753 transcript=Brasy5G451800.1 locus=Brasy5G451800 ID=Brasy5G451800.1.v1.1 annot-version=v1.1 MEHTHLLLPCILLLLLLASDNATANGDADVSSTRTYIVFMDAAGAAAHPSPANWHAAHLESLSIDPARHLLYSYSAAAHGFAAALLPHHLPLLRGSPGVLQVVPDAVLQLHTTRTPEFLGLLSPAMRMGGSLDAASHDVVIGVLDTGVWPESPSLAGDGLPPPPAHWKGACEAGVDFPASSCGRKLVGARSFSRGFRAANGGRRVLASARDRDGHGTHTATTAAGAAVANASLLGYANGTARGMAPGARVAAYKVCWPEGCLSSDILAGIDAAVADGVGVLSLSLGGGAAPYFKDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPGGPAQSAAMLPMVYGGGRDNASKLCLSGTLDPASVRGKVVLCDRGVNARVEKGSVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKTAGDKIRNYVYAAAARGNKPMAMLSFGGTVLGVRPAPVVAAFSSRGPNTVVPDVLKPDMIAPGVNILAGWTGAKGPTGLAKDGRRTRFNIISGTSMSCPHVSGVAALLKAAHPNWSPAAIKSALMTSSYTADNTNSSLRDTAGSSAANPFAFGAGHVDPHKALSPGLVYDISTNDYVVFLCSLDYSATHIRVVTKMSNISCPQKSRPGDLNYPSFSVVFKNKPKHVMRYRRELTNVGPAMSVYNVKVSSPASVSVKVSPEKLVFKKMGQKQRYYVTFTSKVVDPSRVKPDFGWISWVNNQHVVRSPVAYTWTM* >Brasy5G177800.1.p pacid=40076754 transcript=Brasy5G177800.1 locus=Brasy5G177800 ID=Brasy5G177800.1.v1.1 annot-version=v1.1 MPSSCVSSPSCRHKCVRRLPAPPVPRALLAAARPPRRRSFASLRAMRSEAAAAPDVAPTGDAGKAAAAAVRAEMAEAAAVWCALHGLVVGDRGDPRSGTVPGVGLVHAPFSLLPARFPSSFWKQACELAPIFNELVDRVSLDGEFLQSSLSRTKQVDDFTARLLEIHAKMMAVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSKLHRSLLNRYGEVLGLDSERIPPNWAASQFAEALYKAWAEYNHDSAVVMMVVQPEERNMYDQYWLINHLKESHGVMAIRKTLAQVEAEGQVLPDGTLVVDGRLVAVVYFRAGYAPNDYPSEVEWSGRLLIEESSAVKCPSISYHLVGTKKIQQELAKPNVLERFVGNETHIAKLRKCFAGLWSLDNDEIVKSAIEKPDLFVLKPQREGGGNNLYGHVLRETLIRLQKEQGEALAAYILMQRIFPRASLTQLVQGGVCFEDLTISELGIFGAYLRNKDNVVINDQCGYLMRTKVSSSNEGGVAAGFAVLDSIIITDEW* >Brasy5G177800.2.p pacid=40076755 transcript=Brasy5G177800.2 locus=Brasy5G177800 ID=Brasy5G177800.2.v1.1 annot-version=v1.1 MPSSCVSSPSCRHKCVRRLPAPPVPRALLAAARPPRRRSFASLRAMRSEAAAAPDVAPTGDAGKAAAAAVRAEMAEAAAVWCALHGLVVGDRGDPRSGTVPGVGLVHAPFSLLPARFPSSFWKQACELAPIFNELVDRVSLDGEFLQSSLSRTKQVDDFTARLLEIHAKMMAVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSKLHRSLLNRYGEVLGLDSERIPPNWAASQFAEALYKAWAEYNHDSAVVMMVVQPEERNMYDQYWLINHLKESHGVMAIRKTLAQVEAEGQVLPDGTLVVDGRLVAVVYFRAGYAPNDYPSEVEWSGRLLIEESSAVKCPSISYHLVGTKKIQQELAKPNVLERFVGNETHIAKLRKCFAGLWSLDNDEIVKSAIEKPDLFVLKPQREGGGNNLYGHVLRETLIRLQKEQGEALAAYILMQRIFPRASLTQLVQGGVCFEDLTISELGIFGAYLRNKDNVVINDQCGYLMRTKVSSSNEGGVAAGFAVLDSIIITDEW* >Brasy5G177800.4.p pacid=40076756 transcript=Brasy5G177800.4 locus=Brasy5G177800 ID=Brasy5G177800.4.v1.1 annot-version=v1.1 MPSSCVSSPSCRHKCVRRLPAPPVPRALLAAARPPRRRSFASLRAMRSEAAAAPDVAPTGDAGKAAAAAVRAEMAEAAAVWCALHGLVVGDRGDPRSGTVPGVGLVHAPFSLLPARFPSSFWKQACELAPIFNELVDRVSLDGEFLQSSLSRTKQVDDFTARLLEIHAKMMAVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSKLHRSLLNRYGEVLGLDSERIPPNWAASQFAEALYKAWAEYNHDSAVVMMVVQPEERNMYDQYWLINHLKESHGVMAIRKTLAQVEAEGQVLPDGTLVVDGRLVAVVYFRAGYAPNDYPSEVEWSGRLLIEESSAVKCPSISYHLVGTKKIQQELAKPNVLERFVGNETHIAKLRKCFAGLWSLDNDEIVKSAIEKPDLFVLKPQREGGGNNLYGHVLRETLIRLQKEQGEALAAYILMQRIFPRASLTQLVQGGVCFEDLTISELGIFGAYLRNKDNVVINDQCGYLMRTKVSSSNEGGVAAGFAVLDSIIITDEW* >Brasy5G177800.3.p pacid=40076757 transcript=Brasy5G177800.3 locus=Brasy5G177800 ID=Brasy5G177800.3.v1.1 annot-version=v1.1 MPSSCVSSPSCRHKCVRRLPAPPVPRALLAAARPPRRRSFASLRAMRSEAAAAPDVAPTGDAGKAAAAAVRAEMAEAAAVWCALHGLVVGDRGDPRSGTVPGVGLVHAPFSLLPARFPSSFWKQACELAPIFNELVDRVSLDGEFLQSSLSRTKQVDDFTARLLEIHAKMMAVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSKLHRSLLNRYGEVLGLDSERIPPNWAASQFAEALYKAWAEYNHDSAVVMMVVQPEERNMYDQYWLINHLKESHGVMAIRKTLAQVEAEGQVLPDGTLVVDGRLVAVVYFRAGYAPNDYPSEVEWSGRLLIEESSAVKCPSISYHLVGTKKIQQELAKPNVLERFVGNETHIAKLRKCFAGLWSLDNDEIVKSAIEKPDLFVLKPQREGGGNNLYGHVLRETLIRLQKEQGEALAAYILMQRIFPRASLTQLVQGGVCFEDLTISELGIFGAYLRNKDNVVINDQCGYLMRTKVSSSNEGGVAAGFAVLDSIIITDE* >Brasy5G112800.1.p pacid=40076758 transcript=Brasy5G112800.1 locus=Brasy5G112800 ID=Brasy5G112800.1.v1.1 annot-version=v1.1 MASQHPPPPAKLPTAAPTTIDALDEDLLREAFVHLPSLASLVRAALTCSTFLHVVRSSPAFRRRFQALHRSPFLGLYTERRNADIPSFAAHNRLSRDLAAVVRGSDFSLAGLPDPDCVGGNQGVDDDSEDCHDDDDQDGNDEEEEAELLAAYNPITRALQLFPLPPDVIPDSFYFEFHIIFSEEDPDKLCVVCFSYGLSISYNFDFDSSRPRVAVISPDSTKWQIFPMTANMGTTGKMVNGSVYWTHPKKPYMTVFNTATLQFSQMELAPLLQYRDGDFVVGTTKDGRLCIVSPDIWGDASLDVYFWRREDEDEDEDDGVEYWILSQTIPLKTIRRVTKCSKDSDVIVRVRDVIDGIVYLHTDYEGETEAPQLCLSFCLETAKLEKISEDYHRPVYPYVMAWPPSLVRDKGPCSKDQALSTGSSEGSDVKTGGGPTKPASVAKA* >Brasy5G112800.2.p pacid=40076759 transcript=Brasy5G112800.2 locus=Brasy5G112800 ID=Brasy5G112800.2.v1.1 annot-version=v1.1 MASQHPPPPAKLPTAAPTTIDALDEDLLREAFVHLPSLASLVRAALTCSTFLHVVRSSPAFRRRFQALHRSPFLGLYTERRNADIPSFAAHNRLSRDLAAVVRGSDFSLAGLPDPDCVGGNQGVDDDSEDCHDDDDQDGNDEEEEAELLAAYNPITRALQLFPLPPDVIPDSFYFEFHIIFSEEDPDKLCVVCFSYGLSISYNFDFDSSRPRVAVISPDSTKWQIFPMTANMGTTGKMVNGSVYWTHPKKPYMTVFNTATLQFSQMELAPLLQYRDGDFVVGTTKDGRLCIVSPDIWGDASLDVYFWRREDEDEDEDDGVEYWILSQTIPLKTIRRVTKCSKDSDVIVRVRDVIDGIVYLHTDYEGETEAPQLCLSFCLETAKLEKISEDYHRPVYPYVMAWPPSLVRDKGPCSKDQALSTGSSEGSDVKTGGGPTKPASVAKA* >Brasy5G005700.1.p pacid=40076760 transcript=Brasy5G005700.1 locus=Brasy5G005700 ID=Brasy5G005700.1.v1.1 annot-version=v1.1 MAAFLLFLLALALATSNSNHPAVAATGDDDPPPIIILDLKGPGLDKNFLALQSHDISIAAFTNGSGHWHTFLPEHEHLNPPSTLLPFGSSYRDLIGGLENLPGVPLGQEAMLQAVQVLSAYDPATTGHDVVPLKRALASLMVMIYEAQRLQPIHETVSRGWQSGAHVAPEHLPYIDHWDTMSYEIIHANRTGKWDGPFTKMLETSANIHSKEEALAVVKVLVDRDFGQVLEAHAIKIKIEDVQQEILDLANSFRSLFAKYQEGEPEI* >Brasy5G160700.1.p pacid=40076761 transcript=Brasy5G160700.1 locus=Brasy5G160700 ID=Brasy5G160700.1.v1.1 annot-version=v1.1 MYAVGKVLYTVAGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVNIAVNGVEAGFHMFLDSNGEAHFLRDADSNVEQGDFVVSPLSSGDEREVPVPVQDAQFRKSKSTCDVSTMEANVGDGKVPEKTISRRGTILDRMFGRKSITDSDHAVDRVGSLERAEIAAELLDTKWSTNLPRGSEARKSGHEPSSSHLMNAGNGSTVETSKTSLPDCSFDKGKVNCDNVDSTAGSPYGGRSSGEENEHCVQNTSVKVEEVAIYAHKTGDLTDGIISTIDCPTSESMSKDLETDKNINESVTTQGELQGNFEDVVREIHTKETLSHGIFEIHAIEMDMTDGKSEVVSQFVTVDSYGVDQNFAQTQSPTYSTSYLSSERHDCPLIASDQDACQEKAVIINSTRTVESSYDVSNILVDRDCDAEGISLADALQVEEPSRVSSEKIDQVDAEERPQSYLGGSNKGIHNLDVREVSVVEDSSSQISQANLPDKDISVNTLVNDHVMCSAHGPDIDISVDTVVNDHSINSAHGFPDASSSIIEISSYVHENDVDDVAKDSTVETKTCNGEYGVSFIQTSRTANVSSTCIAQTASFPNKVEGDISSLSEAEAENAKLEYDENTSSSATKVEIGFVPEEPRDEAEAGMSLSEFVEETTDCPNRLEDEVSPIISDFSSLSEAEAKNTKLEYDENTSSSAGEVEIGLVPEEPKEEAEAVTTFSEYVEEITDCPNRLEDEVSPVISDLSRSSKVEAENTKLEYDDNISSSATEFVIGLVTEEPREEAEAVRPLSEYVEETTDFPNRLKDEVSPIISEFSRFSKVEAENTKLEYDENISSSATEVEVGLVPEEPRDKAEAGMSLSEFVEETAGCPYILEDEDPIISDFSRLSKVESVKAKLEYDENISSSATEVEIGLVPEEPRDKAEAGMSLSEFVEETAGCPNILEREVSPVISDFSSLSKLEAENTKLEYDENISSSPGEVGVGLVPEEPRGKAEAGMPLSEFLEETADCPNILEDEVSPIISDFSSLSKLEAESTKLVYDENMSSSAGEVEVGLLPEEPRDEAEAVMSLSKYVEETTDCPNRLEDEVSPIISDFSRLSKVEAEDTKLEFDATEVEIGLVPEEPRDKVEAVMSLCEFAEKTADCPNRLEDEVSLIISDISSLSKVEVENTKLEFSENISSSASEVEIGLLPGESRDGAEAVMSLSEFVEKTTDCLNRLEAEVSPSISDFPSLSKVETKNTEIENGENRPSSAIGVESGPVTEETRDEAEAVVSSTEFIDEIQFQFSDTISFANKKSLDDVIGNKSAGAGVHDESDSDTDEQGGDEIDPQNKPEDLSDFSRPETTLIPIPGSELHLADNNIEAKSLPNLCSHLHDLERSDSFQVSRSLSNSKNNGLDPVKSKNSGLTEQESEGTGDSKENSAPPGLINNPVCDDKHSDDLKVDTFNPFVELSLCRHLLSEGMGADAACKAFDAEKITLEKFRAMKQSLIRNDKLVVRIAGRYFPWDVAAPVVLGMVSFSQEQVFEHQCMIKVERVEPSTTQSGWKIWPFSFRRTRTMNTIQPVSESTVQSSASVAVKESDGERNESMTKMMERKVRSLTPTSKELASLNLREGRNVVTFTFSTSMLGVQQVDALIYLWKWNTHIVISDVDGTITKSDVLGQFMPMVGVDWSQNGVAHLFSAIKENGYQLLFLSARSISQAHLTRQFLLNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSHPFYAGFGNRDTDELSYLKVGIPIGKIFIINPKGEVAVNRRVDTKSYTSLHALVNRMFPPISSTSEQEDYNTWNFWKMPVPDI* >Brasy5G160700.2.p pacid=40076762 transcript=Brasy5G160700.2 locus=Brasy5G160700 ID=Brasy5G160700.2.v1.1 annot-version=v1.1 MYAVGKVLYTVAGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVNIAVNGVEAGFHMFLDSNGEAHFLRDADSNVEQGDFVVSPLSSGDEREVPVPVQDAQFRKSKSTCDVSTMEANVGDGKVPEKTISRRGTILDRMFGRKSITDSDHAVDRVGSLERAEIAAELLDTKWSTNLPRGSEARKSGHEPSSSHLMNAGNGSTVETSKTSLPDCSFDKGKVNCDNVDSTAGSPYGGRSSGEENEHCVQNTSVKVEEVAIYAHKTGDLTDGIISTIDCPTSESMSKDLETDKNINESVTTQGELQGNFEDVVREIHTKETLSHGIFEIHAIEMDMTDGKSEVVSQFVTVDSYGVDQNFAQTQSPTYSTSYLSSERHDCPLIASDQDACQEKAVIINSTRTVESSYDVSNILVDRDCDAEGISLADALQVEEPSRVSSEKIDQVDAEERPQSYLGGSNKGIHNLDVREVSVVEDSSSQISQANLPDKDISVNTLVNDHVMCSAHGPDIDISVDTVVNDHSINSAHGFPDASSSIIEISSYVHENDVDDVAKDSTVETKTCNGEYGVSFIQTSRTANVSSTCIAQTASFPNKVEGDISSLSEAEAENAKLEYDENTSSSATKVEIGFVPEEPRDEAEAGMSLSEFVEETTDCPNRLEDEVSPIISDFSSLSEAEAKNTKLEYDENTSSSAGEVEIGLVPEEPKEEAEAVTTFSEYVEEITDCPNRLEDEVSPVISDLSRSSKVEAENTKLEYDDNISSSATEFVIGLVTEEPREEAEAVRPLSEYVEETTDFPNRLKDEVSPIISEFSRFSKVEAENTKLEYDENISSSATEVEVGLVPEEPRDKAEAGMSLSEFVEETAGCPYILEDEDPIISDFSRLSKVESVKAKLEYDENISSSATEVEIGLVPEEPRDKAEAGMSLSEFVEETAGCPNILEREVSPVISDFSSLSKLEAENTKLEYDENISSSPGEVGVGLVPEEPRGKAEAGMPLSEFLEETADCPNILEDEVSPIISDFSSLSKLEAESTKLVYDENMSSSAGEVEVGLLPEEPRDEAEAVMSLSKLSKVEAEDTKLEFDATEVEIGLVPEEPRDKVEAVMSLCEFAEKTADCPNRLEDEVSLIISDISSLSKVEVENTKLEFSENISSSASEVEIGLLPGESRDGAEAVMSLSEFVEKTTDCLNRLEAEVSPSISDFPSLSKVETKNTEIENGENRPSSAIGVESGPVTEETRDEAEAVVSSTEFIDEIQFQFSDTISFANKKSLDDVIGNKSAGAGVHDESDSDTDEQGGDEIDPQNKPEDLSDFSRPETTLIPIPGSELHLADNNIEAKSLPNLCSHLHDLERSDSFQVSRSLSNSKNNGLDPVKSKNSGLTEQESEGTGDSKENSAPPGLINNPVCDDKHSDDLKVDTFNPFVELSLCRHLLSEGMGADAACKAFDAEKITLEKFRAMKQSLIRNDKLVVRIAGRYFPWDVAAPVVLGMVSFSQEQVFEHQCMIKVERVEPSTTQSGWKIWPFSFRRTRTMNTIQPVSESTVQSSASVAVKESDGERNESMTKMMERKVRSLTPTSKELASLNLREGRNVVTFTFSTSMLGVQQVDALIYLWKWNTHIVISDVDGTITKSDVLGQFMPMVGVDWSQNGVAHLFSAIKENGYQLLFLSARSISQAHLTRQFLLNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSHPFYAGFGNRDTDELSYLKVGIPIGKIFIINPKGEVAVNRRVDTKSYTSLHALVNRMFPPISSTSEQEDYNTWNFWKMPVPDI* >Brasy5G006300.1.p pacid=40076763 transcript=Brasy5G006300.1 locus=Brasy5G006300 ID=Brasy5G006300.1.v1.1 annot-version=v1.1 MPHWPWLQPAAATARRATPFILRRNKCFSPASVCASPSSHDRAQEEDERQSLVVVGGGAAGVYASIRAKTLAPHLNVLVIDKGKFLSKVKISGGGRCNVTNGHHLEPSGLARNYPRGHKELRGSFFSTHGPQDTMHWFSDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSSASVSDSGKFVLKVEKRTVDFVDYVNANYVLVATGSSQQGYSIAAQHGHSIIAPVPSLFTFKIADKRLSDLSGVTFTRVKAKLMLDGIQKSAPELTQTGPMLVTHWGLSGPVVLRLSAWGARELYQEKYQAKLMVDFIPDIHIEDVKRILFQHKDQHAKNKVNNSFPTEFGLVKRFWRFLLEQESLDGDMHWATIPKNHLNAIALRLKQWIFEVVGKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSSNLRQQACLQLEAS* >Brasy5G006300.2.p pacid=40076764 transcript=Brasy5G006300.2 locus=Brasy5G006300 ID=Brasy5G006300.2.v1.1 annot-version=v1.1 MPHWPWLQPAAATARRATPFILRRNKCFSPASVCASPSSHDRAQEEDERQSLVVVGGGAAGVYASIRAKTLAPHLNVLVIDKGKFLSKVKISGGGRCNVTNGHHLEPSGLARNYPRGHKELRGSFFSTHGPQDTMHWFSDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSSASVSDSGKFVLKGYSIAAQHGHSIIAPVPSLFTFKIADKRLSDLSGVTFTRVKAKLMLDGIQKSAPELTQTGPMLVTHWGLSGPVVLRLSAWGARELYQEKYQAKLMVDFIPDIHIEDVKRILFQHKDQHAKNKVNNSFPTEFGLVKRFWRFLLEQESLDGDMHWATIPKNHLNAIALRLKQWIFEVVGKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSSNLRQQACLQLEAS* >Brasy5G187500.1.p pacid=40076765 transcript=Brasy5G187500.1 locus=Brasy5G187500 ID=Brasy5G187500.1.v1.1 annot-version=v1.1 MVASGPAAALALKAAVLALLVLPSMGRCRHPAPSPSPSPAPSPAPAPAPVVLCKDCYPRCYSACEVSVQQRCKQYCFPDCESYRRQVIESCTAGCPAGSSSCDCDGAADRSCVSACSTVQCQPCLGGLRQQCQDACRTTDCKDCVDQYK* >Brasy5G460600.1.p pacid=40076766 transcript=Brasy5G460600.1 locus=Brasy5G460600 ID=Brasy5G460600.1.v1.1 annot-version=v1.1 MGPWSPAEFVCPISMTRMQDPVTAPSGVTYERGSIERWLASGHSTCPVSGHGPLSLADLVPNLTLQRIILSWKPNYAAPAPPPHPLAKLVNKVVTASVPDADVLLEAAAMAAAADAGARRIMLRCQGGALLPRVLHLFVAANVRTGSPTEKKNFSAACLALVDALGVSADEIRPLLLAEPDVLGVGLVDALTRVLLLEEEENVTSSARQRAARLLESVAAASTPALLDRLRLHLRPGLFCALTAVLRDETMTRAALQTVLNLRAARCDFRLAAESGFVHELIDLIKPELSAGNNGDAPTMKDLAMEVLSTVCGRSAEARAAVAGLAAGIAAVARHVRARGCSAAAVRVLASVCGSGAAPETVREMARMGAVGKLCCVLQSPECHPWTKEMARRVLRLHAGEWARSPCVSSHMLYRCL* >Brasy5G162900.1.p pacid=40076767 transcript=Brasy5G162900.1 locus=Brasy5G162900 ID=Brasy5G162900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G281900.1.p pacid=40076768 transcript=Brasy5G281900.1 locus=Brasy5G281900 ID=Brasy5G281900.1.v1.1 annot-version=v1.1 MAAAEDMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFDEFISFCNANPGLMKDVAKVF* >Brasy5G159300.1.p pacid=40076769 transcript=Brasy5G159300.1 locus=Brasy5G159300 ID=Brasy5G159300.1.v1.1 annot-version=v1.1 MAPRRGFDPPPALPEELLEEIFLLLPPDDPSRLLRASYVCKPWNRAASSRGFRRRLHERHGAPPLLGFLHNSDDPRFVSTTASPFPLPVPDRGSWHALDFRHGRALFISVADEDDLAADARVLLVWEPFTGRHQLVPAPAALKSYFCNGAVLCAADGCDHSCCHGGPFRVVFISFDDTLEDTFACVCSSETGTWGEPTILTGTFFDFHQPGVLLGNSQLYFVSESNFIIEYDLARHSLDLFESPLDYEPEDVFDYDRLVLMLSENGGLGAAIARDSRLILWSRVLEDGGDVPWVQSRVIDLANLLPIGDLVTESSETSPKVMGFADGTNTAFVGTVAGLFTIELQSQRVRRVHEDREFSSLIPIVGFYSPCCTLETPGSKDHGLPLSNASEGKWKEDKTREQARELVYKGYKAITEGNFVDAADCFSHALEIRTAHYGQLAPECASMYYKYGWALLHKAQKAAVPLSNVPMSAQNEESMKNSVSKDDTGTQRPPVATLKIAPTSGKGLNTNEKDQEYEIGDGKDDENVSDSDLDLAWKMLDFARVIVEKSPSDNTIEKYKILFALAEVSKERGDIENSLGYYFKALASLEHVVEPDHRGIVKLNYRICLLFESASRLADAIPYCAKAISLCKSRMQRLENASKALLADEGDNASAIDGRSGVLAIEDEIELQTDTLAVLQMKLEELEPAMSTPSSATEERVA* >Brasy5G050000.1.p pacid=40076770 transcript=Brasy5G050000.1 locus=Brasy5G050000 ID=Brasy5G050000.1.v1.1 annot-version=v1.1 MVAVAPADYIQVCLVNTGLGTPFISGIDLRPLKTNLYPEATANQSLALLNFFRPSVANFGFNRYQFWGSVAPIYRYPYDPYDRIWQRYDNAPSWTNVTISQTVQTSKISNFDVPSLIMQSAATPLNGSQIDFSWSSDPSVNDSNMTYLLLLYFAELQQLPSNVLRQFDILVDNDTWNGSQHYTPKYLSAEAVSWTVHGSGQHSVSLVATQNATLPPILNAFEIYSVQQLTGFTTNIGDAKAMMKIQVKFGVKRNWMGDPCAPKTFSWDGLNCSYSSSGPAWITALNLSSSGLTGAIDASFGDLVSLQHLNLSNNNLSGPIPDFLSQMTSLKFLDLSSNKLSGLVPAVLLQKSENGSLSLRFGNNDNLCESGASTCKQNKSSNKTTIIVIATVIPIATATLMFIAAFIILHRMRNKQASRMVYNSRPNSPRDQSTLFVNRKFTYKELKLMTENFREEIGQGGFGTVFLGHLEDGTTPVAVKICMQKTSRGDKEFTAEAQHLGRVHHRNLVSLIGYCKDKKHLGLVYEFMHGGDLEDRLRGVSSSTSSVTSEAFAVAPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTRNILLTADLQAKIADFGLTKALTGGEFVTHVTTQPAGTLGYLDPEYYNTSRLSEKSDMYSFGVVLLELLTGLPAAVPMSATESIHVAQWTRQRLAEGCGVENVADPRMGEYYDINSAWKVAELALRCKELPSRERPAMSDVVAELRECLQLEAYRVATSSSSSGYRSSAACSSGGTTVVSSVSMAGDDRRWRRGTGSSAGDTNDMSVVGVEQLVHDGSPATHVGPAPR* >Brasy5G127600.1.p pacid=40076771 transcript=Brasy5G127600.1 locus=Brasy5G127600 ID=Brasy5G127600.1.v1.1 annot-version=v1.1 MGKLSMATAIFCAVAVAVLTAAVGGEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDVTDGDTVVVHVKNHLPHGLTIHWHGVRQIMSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGALIIRPKEDKYPFPTPAKDVPIIIGEWWELDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVVRGETYLLRIVNTALFSEYYFKVAGHTLTVVGADGNYLTPYKTDMVTVAPGEAIDVLMVADAKPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGVPNNNNGMPVPTPLMPNQHNTMPSFYFHNNLTGLAHPDRHRVPMHVDERLFVTLGLGSICRNGSKTSCKRRRSNETIVVATMNNVSFHHPTKASLLESYYDGKPNKTLYTEDFPDNPPHPYNYSDRALIPPGPLEEALEPTFKATKLRRFKYNSSIEIVFQSTALLQSDSNPMHLHGYDFFVLAQGIGNFNPRTDPKRFNYHNPQLRNTVQVPRTGWAAIRFIADNPGVWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTRP* >Brasy5G090400.1.p pacid=40076772 transcript=Brasy5G090400.1 locus=Brasy5G090400 ID=Brasy5G090400.1.v1.1 annot-version=v1.1 MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGEEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKELTLQEAETIALSILKQVMEEKVTKNNVDIAKVAPNYHLYTPAEVEAVIARL* >Brasy5G017400.1.p pacid=40076773 transcript=Brasy5G017400.1 locus=Brasy5G017400 ID=Brasy5G017400.1.v1.1 annot-version=v1.1 MTDSQGQDHDPSGWISPLRIGQVTHPSSGFNLAAFFFFSSLGSLAWLLFSSSPSVSAPPLQSKSSTAPPKSAARLLSPDPHRTPTPMPASPLAAAAGDAASTSSGPADDDDRVFLVLHRWWREAQDGAGIEANGVPYAAAPAGPTSYGMKVLSLLMSDHTAFTLRRADDLAQPPPPAEGSAKGGGGGNSGARSYALVAADLFGKARAWHLESAKSTGKDSLLTEEASVNIYPIMLRVSVTRGTNALAVKISKKDNSVENFKRATKILAPDSEPVHIWDFSGRTTFILMNEWNRIPQDPRSSDQEMPLEIQFYDISEPSANGAIGKKDELALTMGSSVLSNGSIMDMDLDSSSGICKQVGSGLTGLDNLGNTCFMNSSVQCLAHTSKLVDYFLGDYHKEINPHNPLGMKGELAYSFGDLLRKLWTIDRSSFSPRQFKARLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKFKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDTCQGQYKSTLVCPLCKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGITGPCPYTVNVPKSGDTKTLINALSNACSLRDDERLLVAEVYNSSIIRYLEEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFKNQRMESSLSSFGRKSWKNFGAPLVSSLPGTINGRTIYNLFLQLLVPFRVSKDDIADADQMNCKSSAVNGIADMDMGSGPVECASIHNNAGEDAIMTDTAMEFYLNNERFPDQHFKIEMDQVVTPGLRKRLHVIVCWQDDGLKQYNLDSLDSLPEIYKSLLFARRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLDTFVDFPIHDLDLSKFVRDRSGQVSNHYQLYAVSNHYGSMGGGHYTAYVFHEGEKRWYDFDDRSVERVDKEDSIKTSAAYVLFYRRIQGDSSSLVTETTIESDCTS* >Brasy5G017400.2.p pacid=40076774 transcript=Brasy5G017400.2 locus=Brasy5G017400 ID=Brasy5G017400.2.v1.1 annot-version=v1.1 MTDSQGQDHDPSGWISPLRIGQVTHPSSGFNLAAFFFFSSLGSLAWLLFSSSPSVSAPPLQSKSSTAPPKSAARLLSPDPHRTPTPMPASPLAAAAGDAASTSSGPADDDDRVFLVLHRWWREAQDGAGIEANGVPYAAAPAGPTSYGMKVLSLLMSDHTAFTLRRADDLAQPPPPAEGSAKGGGGGNSGARSYALVAADLFGKARAWHLESAKSTGKDSLLTEEASVNIYPIMLRVSVTRGTNALAVKISKKDNSVENFKRATKILAPDSEPVHIWDFSGRTTFILMNEWNRIPQDPRSSDQEMPLEIQFYDISEPSANGAIGKKDELALTMGSSVLSNGSIMDMDLDSSSGICKQVGSGLTGLDNLGNTCFMNSSVQCLAHTSKLVDYFLGDYHKEINPHNPLGMKGELAYSFGDLLRKLWTIDRSSFSPRQFKARLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKFKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDTCQGQYKSTLVCPLCKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGITGPCPYTVNVPKSGDTKTLINALSNACSLRDDERLLVAEVYNSSIIRYLEEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFKNQRMESSLSSFGRKSWKNFGAPLVSSLPGTINGRTIYNLFLQLLVPFRVSKDDIADADQMNCKSSAVNGIADMDMGSGPVECASIHNNAGEDAIMTDTAMEFYLNNERFPDQHFKIEMDQVVTPGLRKRLHVIVCWQDDGLKQYNLDSLDSLPEIYKSLLFARRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLDTFVDFPIHDLDLSKFVRDRSGQVSNHYQLYAVSNHYGSMGGGHYTAYVFHEGEKRWYDFDDRSVERVDKEDSIKTSAAYVLFYRRIQGDSSSLVTETTIESDCTS* >Brasy5G017400.3.p pacid=40076775 transcript=Brasy5G017400.3 locus=Brasy5G017400 ID=Brasy5G017400.3.v1.1 annot-version=v1.1 MTDSQGQDHDPSGWISPLRIGQVTHPSSGFNLAAFFFFSSLGSLAWLLFSSSPSVSAPPLQSKSSTAPPKSAARLLSPDPHRTPTPMPASPLAAAAGDAASTSSGPADDDDRVFLVLHRWWREAQDGAGIEANGVPYAAAPAGPTSYGMKVLSLLMSDHTAFTLRRADDLAQPPPPAEGSAKGGGGGNSGARSYALVAADLFGKARAWHLESAKSTGKDSLLTEEASVNIYPIMLRVSVTRGTNALAVKISKKMPLEIQFYDISEPSANGAIGKKDELALTMGSSVLSNGSIMDMDLDSSSGICKQVGSGLTGLDNLGNTCFMNSSVQCLAHTSKLVDYFLGDYHKEINPHNPLGMKGELAYSFGDLLRKLWTIDRSSFSPRQFKARLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKFKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDTCQGQYKSTLVCPLCKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGITGPCPYTVNVPKSGDTKTLINALSNACSLRDDERLLVAEVYNSSIIRYLEEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFKNQRMESSLSSFGRKSWKNFGAPLVSSLPGTINGRTIYNLFLQLLVPFRVSKDDIADADQMNCKSSAVNGIADMDMGSGPVECASIHNNAGEDAIMTDTAMEFYLNNERFPDQHFKIEMDQVVTPGLRKRLHVIVCWQDDGLKQYNLDSLDSLPEIYKSLLFARRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLDTFVDFPIHDLDLSKFVRDRSGQVSNHYQLYAVSNHYGSMGGGHYTAYVFHEGEKRWYDFDDRSVERVDKEDSIKTSAAYVLFYRRIQGDSSSLVTETTIESDCTS* >Brasy5G054300.1.p pacid=40076776 transcript=Brasy5G054300.1 locus=Brasy5G054300 ID=Brasy5G054300.1.v1.1 annot-version=v1.1 MAGWAGHRGQGRGRAVRAGCAGAAGRGRAGGQAARAGCAGACRGRREATRRPWRSTRSRKASSPTCWGGTGRLRGGCGQGARGGDAGGQAARAGCVGACRGRKEATRRPWRSTRSRKASSPTCWSGRTGCRGAAAQAGCAGEGRAHGQAAVGDHVLSVDEWQPEQEKKNGAKGVNGNNRGLNG* >Brasy5G213700.1.p pacid=40076777 transcript=Brasy5G213700.1 locus=Brasy5G213700 ID=Brasy5G213700.1.v1.1 annot-version=v1.1 MSNSCAIPQAILDLATETEKQAPTTTLSGKPSQLGCEPNIASDWRTTKPKRPLRRPSCHYEQLCGLVITSFASASACGPHGPKGLSINVISCLLIDANRVCGIWE* >Brasy5G323300.1.p pacid=40076778 transcript=Brasy5G323300.1 locus=Brasy5G323300 ID=Brasy5G323300.1.v1.1 annot-version=v1.1 MAIECLVLGAGQEVGKSCVVVTIGGKRIMFDCGMHMGYHDCNRYPDFARILAAAPETTDFTSAISCVIITHFHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPLMLEDYRKVMVDQRGEEEQYSYEDILRCMKKVIPVDLKQTIQVNRDLVIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSEGGKVLIPTFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCHFERSFINDPGPCVLFATPGMISGGFSLEVFKRWATSDKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHVDVRCQTHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKDRIESELGMSCYYPANNETVSIPTTQNTKISATEKFITNCAALQARDSLQKSDLISSIHLSGVNEDERLAEGILLMEKSKAPKILCEDEFLKLLGTDRHSVQFEPLLPSRIEEAEAAIVDDLTSE* >Brasy5G103900.1.p pacid=40076779 transcript=Brasy5G103900.1 locus=Brasy5G103900 ID=Brasy5G103900.1.v1.1 annot-version=v1.1 MAPAMASLKSSLLLPSPLSDFSGAALSMSTQNRRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFMSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTNLSAKGFDVVYDINGREATEVAPILEALPNLEQFIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLEKSGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLATAFIKVLGNPAASKQVYNISGTKYVTFDGLARACAKAGGFPEPEIIHYNPKDFDFGKKKAFPFRDQHFFASVEKASKELGFTPEYDLVDGLTDSYSLDFGRGTFRKEADFTTDDMILGKKLVSV* >Brasy5G510600.1.p pacid=40076780 transcript=Brasy5G510600.1 locus=Brasy5G510600 ID=Brasy5G510600.1.v1.1 annot-version=v1.1 MAGAQGGSGSSTPCASCKLLRRRCARDCVFAPYFPPEDPARFATVHRVFGASNVSKMLQELPAAQRADAVSSLVYEATARARDPVYGCAGAISYLQHQVAQLQVQLAVAQAQILHRVHPSSSPSPAPPAAAALPLHDLQQLQQLQQQQQQSMQMDYDYDDVKAAYGNGGGFVTQNDLMNTLLLQEACCGLKKESLWT* >Brasy5G053800.1.p pacid=40076781 transcript=Brasy5G053800.1 locus=Brasy5G053800 ID=Brasy5G053800.1.v1.1 annot-version=v1.1 MLDREMDTNDSLFTNHGVQGPHADQDEDNTVKVTKTSSGDGGTVGLTGLQVSDELPSTETWGDPPSDRGGWQKRLEN* >Brasy5G050800.1.p pacid=40076782 transcript=Brasy5G050800.1 locus=Brasy5G050800 ID=Brasy5G050800.1.v1.1 annot-version=v1.1 MAMNHAIIGAFLLVSTLFSAASATTLTIHNLCPYPVWPLITPNTGLPAISDNAARLATNALLSVRFPPTAWAGRVTARTGCDAGRAPRNCGSGAAPPSTVAQLQVHQAGSADAAGYSVSLVDGFNVPMVISPQRGGSGQCPALGCAADLNCDCPPDERAAEGAACRGPPGYFKSRCPLTRTTPTDVEPRPQSCRAPGELKIVLCQPSMIGHGAADDMLVGTVVEADS* >Brasy5G248600.1.p pacid=40076783 transcript=Brasy5G248600.1 locus=Brasy5G248600 ID=Brasy5G248600.1.v1.1 annot-version=v1.1 MPMPTPVLEQQPPTPPPAGEWRLLHANIGVSAMHMQLLPDDFVLMFDRTDSGPSNISLSGDSSPSSPCAAPPNATNSTNSTAAAIKDCTAHSVLLDLRSNALHPYPLATNPWCSSAALLPNGTLLQTGGFSDGDRVARLFCPSSGWTDLPATLAARRWYASDLLLADARVLVLGGRRQFNFEFIPPLSPSSPPLPTFFPFLDETTEPEAENNLYPFLHLLPDATVFVFANDRAVVFNPYDDPSNPLSTRRLPPIPGGVPRNYPSSGSSVLLPLNPSFPSHAEILVCGGAPRGSYQQALKKNGTFLPADATCGRIAPTDPNPAWAMEDMPLRRVMGDMVLLPTGDVLIVNGAASGTAGWELARDPVLSPVLYRPNVEQVGGASRFEVMAATGTPRMYHSAAALDTYGRVLVGGSNPHVGYAFAGVEFPTELSLQAFLPPYLDPRMDPVRPRVVAAPAEVGYGEVAAVRFEVPGGPLLLAGGGDDHQQQQVVVRVAAVAPGFATHAFGMGQRVVGLAVGRVAEVGEGVYEAEVAAPPSPGVAPPGYYLWFVVHAGVPSGAAAWVRIRPLGAAT* >Brasy5G471300.1.p pacid=40076784 transcript=Brasy5G471300.1 locus=Brasy5G471300 ID=Brasy5G471300.1.v1.1 annot-version=v1.1 MARVGAVLLPLAFAALILLAAAAAAQSDNPKKADQEPKERSPKAQGPKPKPKPMSVKCNEKRKSCYADCDACKPVCVCSMPGACGDPRFIGGDGNAFYFHGKRDADFCVVSDRDLHINAHFIGKSGAPGMSRDFTWIQAIAMLFDGHSLYVGARKTAAWDDDVDHLEITLDSEPVDLQDDAKWTSGLVPALSVTRTKAANGVLVAVEGKFSVRANAVPITEEESRMHRYGVTADDCLAHLELAFKFEALTDDVHGVVGQTYCSDYVNAFDVRASMPTMGGDASFTTSGMFAPDCAVTRFGPGRGKDDAALMPEIPGVTCASSMHGQGVVCKK* >Brasy5G333500.1.p pacid=40076785 transcript=Brasy5G333500.1 locus=Brasy5G333500 ID=Brasy5G333500.1.v1.1 annot-version=v1.1 MDVLKSDVQHASLVDETRRPPLVPSEKHNASAVSRGRDVASRYKTDKAATPTRRCTSPSLGRTSATNSTPLPIRAQSVDRRRPSTPSTPSSRASIPSTPTSRSVTPVRDTIKEVNKSSRCITNERSPHGLWPAKRNLSPSFQLGSLATPCKEKDKVTSSPSLDRTKGQVGVLTERKRSPLRRKKIGEQCENAQPSENPPKRATEQNRWPAMISARGPANHMSSTELSDKASRSVPLSNASRGLSPRKIPASEDTGKRLNQSLDDVARRLAIHASRRDEQVSCSDVNSQTAERSKYVSRPSRTITLPVPVLHRSSSPSKVLSTSSSASRAFQSPSRTRPSTPCRSQSAVTIQSGVASPIVSYMVDPRKGKKNTSQIENIHQLRMLYNRSLQLLFVNARAEDILSFQKATVESIIYNVWRNTSNLRDGVNLRRIMLQRHQQELKLHDILQEQVAYLEQWPALEKENSDSLFRATEALKASTLRLPVTSGATADVVSLKNAVSSAVDVMQGLGSSVCRMLSKVEDRTYLVSELSVIADQENAKLHECRELLAMAAKLEVQESSLRTHLMEVKDLSR* >Brasy5G333500.3.p pacid=40076786 transcript=Brasy5G333500.3 locus=Brasy5G333500 ID=Brasy5G333500.3.v1.1 annot-version=v1.1 MDVLKSDVQHASLVDETRRPPLVPSEKHNASAVSRGRDVASRYKTDKAATPTRRCTSPSLGRTSATNSTPLPIRAQSVDRRRPSTPSTPSSRASIPSTPTSRSVTPVRDTIKEVNKSSRCITNERSPHGLWPAKRNLSPSFQLGSLATPCKEKDKVTSSPSLDRTKGQVGVLTERKRSPLRRKKIGEQCENAQPSENPPKRATEQNRWPAMISARGPANHMSSTELSDKASRSVPLSNASRGLSPRKIPASEDTGKRLNQSLDDVARRLAIHASRRDEQVSCSDVNSQTAERSKYVSRPSRTITLPVPVLHRSSSPSKVLSTSSSASRAFQSPSRTRPSTPCRSQSAVTIQSGVASPIVSYMVDPRKGKKNTSQIENIHQLRMLYNRSLQLLFVNARAEDILSFQKATVESIIYNVWRNTSNLRDGVNLRRIMLQRHQQELKLHDILQEQVAYLEQWPALEKENSDSLFRATEALKASTLRLPVTSGATADVVSLKNAVSSAVDVMQGLGSSVCRMLSKVEDRTYLVSELSVIADQENAKLHECRELLAMAAKLEVQESSLRTHLMEVKDLSR* >Brasy5G333500.2.p pacid=40076787 transcript=Brasy5G333500.2 locus=Brasy5G333500 ID=Brasy5G333500.2.v1.1 annot-version=v1.1 MDVLKSDVQHASLVDETRRPPLVPSEKHNASAVSRGRDVASRYKTDKAATPTRRCTSPSLGRTSATNSTPLPIRAQSVDRRRPSTPSTPSSRASIPSTPTSRSVTPVRDTIKEVNKSSRCITNERSPHGLWPAKRNLSPSFQLGSLATPCKEKDKVTSSPSLDRTKGQVGVLTERKRSPLRRKKIGEQCENAQPSENPPKRATEQNRWPAMISARGPANHMSSTELSDKASRSVPLSNASRGLSPRKIPASEDTGKRLNQSLDDVARRLAIHASRRDEQVSCSDVNSQTAERSKYVSRPSRTITLPVPVLHRSSSPSKVLSTSSSASRAFQSPSRTRPSTPCRSQSAVTIQSGVASPIVSYMVDPRKGKKNTSQIENIHQLRMLYNRSLQLLFVNARAEDILSFQKATVESIIYNVWRNTSNLRDGVNLRRIMLQRHQQELKLHDILQEQVAYLEQWPALEKENSDSLFRATEALKASTLRLPVTSGATADVVSLKNAVSSAVDVMQGLGSSVCRMLSKVEDRTYLVSELSVIADQENAKLHECRELLAMAAKLEVQESSLRTHLMEVKDLSR* >Brasy5G333500.4.p pacid=40076788 transcript=Brasy5G333500.4 locus=Brasy5G333500 ID=Brasy5G333500.4.v1.1 annot-version=v1.1 MDVLKSDVQHASLVDETRRPPLVPSEKHNASAVSRGRDVASRYKTDKAATPTRRCTSPSLGRTSATNSTPLPIRAQSVDRRRPSTPSTPSSRASIPSTPTSRSVTPVRDTIKEVNKSSRCITNERSPHGLWPAKRNLSPSFQLGSLATPCKEKDKVTSSPSLDRTKGQVGVLTERKRSPLRRKKIGEQCENAQPSENPPKRATEQNRWPAMISARGPANHMSSTELSDKASRSVPLSNASRGLSPRKIPASEDTGKRLNQSLDDVARRLAIHASRRDEQVSCSDVNSQTAERSKYVSRPSRTITLPVPVLHRSSSPSKVLSTSSSASRAFQSPSRTRPSTPCRSQSAVTIQSGVASPIVSYMVDPRKGKKNTSQIENIHQLRMLYNRSLQLLFVNARAEDILSFQKATVESIIYNVWRNTSNLRDGVNLRRIMLQRHQQELKLHDILQEQVAYLEQWPALEKENSDSLFRATEALKASTLRLPVTSGATADVVSLKNAVSSAVDVMQGLGSSVCRMLSKVEDRTYLVSELSVIADQENAKLHECRELLAMAAKLEVQESSLRTHLMEVKDLSR* >Brasy5G345200.1.p pacid=40076789 transcript=Brasy5G345200.1 locus=Brasy5G345200 ID=Brasy5G345200.1.v1.1 annot-version=v1.1 MKLNPGMSALVTGGGSGIGKALCIALSQKGLFVTIVDFSEDNGGEVASLVLKESKQFHGDSRVPSALFIKCDVTNADDLAAAFRKHVHTYGGLDVCINCAGFVNKSLFYDDTSNGTSTWRRAVNVNLVAVIDCTRIATQIMRSQKKPGAIINIGSVAGLYPMHYEPIYSGSKGGVVMFTRSLAPLKRHGIRVNVICPEFVQTNMGEQVNRLLVDALGGFLKMEDVVNGAFELIEDESKAGACLWISKRRGMVYWPTSEEEKKYLVYSSKPKRAFTKNRFPSIQTPEFFEKIVVHTLSHNFRNATKLDRVRLRLPLEPHCALVKIIYAGVNASDVNFTSGRYFSGNAKEASAYLPFDAGFEAVGIVASVGDSVRHIKVGAAVALMTFGGYAEFMLVPAKHLLPVPRPDPEVVAMLTSGLTASISLEKSGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGASKAALLASLGVDRVINYQHEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALGVHGRLIVIGMISQYQGEDGWKPQSYKGLCEKILGKSQTVAGFFLIQYADLWQEHLDKLFNLHTSGKLKVSLDPKKFLGVASVPDAVEYLHSGRSVGKVVVCVDPAYSQTLARL* >Brasy5G466400.1.p pacid=40076790 transcript=Brasy5G466400.1 locus=Brasy5G466400 ID=Brasy5G466400.1.v1.1 annot-version=v1.1 MAAVERATAMALLPGLLPTPPRSTMITPSPCIIILPVASNPKFPKPGRADAAERWDAHKKDDDDDDKTTNPRRSPASSSCGSSTSPGRADSCQRWDINKIKKTNTVSSTSSSSMSSRGSTAISGERYKRPPSRASSAGRWDAHKKPRDALSDAESQTGEEATSKEEDETTKMEETLPVFSGPTFVASPEPSMLPMPAFFARRAGINPLPAFVQAH* >Brasy5G087100.1.p pacid=40076791 transcript=Brasy5G087100.1 locus=Brasy5G087100 ID=Brasy5G087100.1.v1.1 annot-version=v1.1 MATSLAAAAAGTALEDLPEDALLAILAFLAPPDAAAAACACRALAKAASSPSLPLALALRLGLPPPRPSPEACPAAARRLFRSLHRLRRLLGLWRRLPSTHSGSPSLAAFEWAPCATLAASLLAPSKHGFSVSKSPFVTLSISESGDTVAALGEVPVCVNFVGDNHIVVEAASGEDEEEGMEGGSPPEEMYMHFANRRSPGAGRRRRERNGRRKGGRMEAEHFVRIPDAEPTKVRPLQGLWKGICENRTMEFYVVTYDDIGGVTCRRVSDTGGQSSGYSPIFWTTDATFLAPPFSEQELDNYNCREHIRGVTSGHEGTRNKVVTRILCINSSYDVVDPHLSAPLDDTRNVEGRIWLYEDGTFGFGFIGTNSVIDLRHVSLDGCIDSS* >Brasy5G434900.1.p pacid=40076792 transcript=Brasy5G434900.1 locus=Brasy5G434900 ID=Brasy5G434900.1.v1.1 annot-version=v1.1 MQQPLLNHSVSPNLSRTKSNSSNASLFTAAGIFSTITFSWVGPFLDVCKKKRSLDLDDVPLLDDKDRASCVLPKLKAYLDEQSSSDQSKHPSNSSTGQYDAVTVLKLAKALVLSTWKLILVTAIFALVRTVASYVGPYLIEYFVEYLNGSQRSTNNGYLLILAFIVAQLLEGLSSRHLSFRSQQVGLRVRAALVAIIYQKGLTLSSKSRQSSSSGEMINIVSIDAECVSDFSSSMHEIWLLPVQVSLALYILYSAVGMAAFAALAGTILVMLTNIPLGGIERNYREKLMDSKDARIMSTSELLRNMRILKLHGWEMKFLSKIMVLREEEMNWLKKYVYTSSMLGSVYFGAPAFVAVVTFGTCVILGIPLQSGKVLTAMATFRQLQEPIYHIPDAISTMVQSRVSLNRILSFLRLEELRNDVVLKLPRDSHTKLSIEVSNGCFSWDTSSLVPTISDVNLRIEQGMRVAICGAVGSGKSSLISCILGEIPKLSGEVQTYGNIAYVSQSPWIQSGKIVDNILFGKEMDKERYEMVIEACALKIDIESMPFGDQTVIGERGINLSGGQKQRLQIARALYHDADIFLFDDPFSAVDAHTGSYLLKECLLGFLASKTVIYVTHHVDFLPAADLILVMKDGRIVNGGKYTEILDSGKEFADLVDSHKDALSSLNTVEITNGTSGSTNHANDNSSSMICAGEEIDHDKSQVKEDIVQNGQLVQEEEKEKGRVGLSVYWKYITMAYGGAFVPLIVLCQIVFQVLQIYGNYWIAWATPISEEVKPPVSILKMINIYLALALISSLFLLMRSHFLVTAGCKTAVMLFDKMHQCIFRAPMSFFDSTPSGRILSRASNDQSAVDSRIFDLMGHLLFPNIELLGSIVLISQVAWPVFIIFIPTIIASLWYKQYYVEGARELQRLNGVQEAPLIQHIAETIAGTTIIRTFYKEGQFISSTNHLIDSLSRPTLCSTATMEWLSFRLDILSSIIFSFALTLLVTLPASVIDPKMAGLAVTYGLSLNVLQGWAILILCSLENRMIAVERILQYTTIPAEPPLKTEGNILNSHWPSKGDIELRDLRVRYAPQLPYVLKGLTCSFPGGMKTGIVGRTGSGKSTLIQSLFRIVDPNIGQVLIDGIDICTVGLHDLRSRLSIIPQDPVMFKGTVRGNLDPLGEYTDEQIWEALDSCHLGEEVRKNELKLDYAVTENGENWSMGQRQLVCLGRILLKKSKILVLDEATSSVDPTTDNLIQKTISQQFDKSTVITVAHRITSVLDSDMVLLLDNGKISEHDTPARLLQDSSSSFSKLVCEYTSQTSYERQQQPIAK* >Brasy5G434900.2.p pacid=40076793 transcript=Brasy5G434900.2 locus=Brasy5G434900 ID=Brasy5G434900.2.v1.1 annot-version=v1.1 MQQPLLNHSVSPNLSRTKSNSSNASLFTAAGIFSTITFSWVGPFLDVCKKKRSLDLDDVPLLDDKDRASCVLPKLKAYLDEQSSSDQSKHPSNSSTGQYDAVTVLKLAKALVLSTWKLILVTAIFALVRTVASYVGPYLIEYFVEYLNGSQRSTNNGYLLILAFIVAQLLEGLSSRHLSFRSQQVGLRVRAALVAIIYQKGLTLSSKSRQSSSSGEMINIVSIDAECVSDFSSSMHEIWLLPVQVSLALYILYSAVGMAAFAALAGTILVMLTNIPLGGIERNYREKLMDSKDARIMSTSELLRNMRILKLHGWEMKFLSKIMVLREEEMNWLKKYVYTSSMLGSVYFGAPAFVAVVTFGTCVILGIPLQSGKVLTAMATFRQLQEPIYHIPDAISTMVQSRVSLNRILSFLRLEELRNDVVLKLPRDSHTKLSIEVSNGCFSWDTSSLVPTISDVNLRIEQGMRVAICGAVGSGKSSLISCILGEIPKLSGEVQTYGNIAYVSQSPWIQSGKIVDNILFGKEMDKERYEMVIEACALKIDIESMPFGDQTVIGERGINLSGGQKQRLQIARALYHDADIFLFDDPFSAVDAHTGSYLLKECLLGFLASKTVIYVTHHVDFLPAADLILVMKDGRIVNGGKYTEILDSGKEFADLVDSHKDALSSLNTVEITNGTSGSTNHANDNSSSMICAGEEIDHDKSQVKEDIVQNGQLVQEEEKEKGRVGLSVYWKYITMAYGGAFVPLIVLCQIVFQVLQIYGNYWIAWATPISEEVKPPVSILKMINIYLALALISSLFLLMRSHFLVTAGCKTAVMLFDKMHQCIFRAPMSFFDSTPSGRILSRASNDQSAVDSRIFDLMGHLLFPNIELLGSIVLISQVAWPVFIIFIPTIIASLWYKQYYVEGARELQRLNGVQEAPLIQHIAETIAGTTIIRTFYKEGQFISSTNHLIDSLSRPTLCSTATMEWLSFRLDILSSIIFSFALTLLVTLPASVIDPKMAGLAVTYGLSLNVLQGWAILILCSLENRMIAVERILQYTTIPAEPPLKTEGNILNSHWPSKGDIELRDLRVRYAPQLPYVLKGLTCSFPGGMKTGIVGRTGSGKSTLIQSLFRIVDPNIGQVLIDGIDICTVGLHDLRSRLSIIPQDPVMFKGTVRGNLDPLGEYTDEQIWEALDSCHLGEEVRKNELKLDYAVTENGENWSMGQRQLVCLGRILLKKSKILVLDEATSSVDPTTDNLIQKTISQQFDKSTVITVAHRITSVLDSDMVLLLDNGKISEHDTPARLLQDSSSSFSKLVCEYTSQTSYERQQQPIAK* >Brasy5G434900.4.p pacid=40076794 transcript=Brasy5G434900.4 locus=Brasy5G434900 ID=Brasy5G434900.4.v1.1 annot-version=v1.1 MQQPLLNHSVSPNLSRTKSNSSNASLFTAAGIFSTITFSWVGPFLDVCKKKRSLDLDDVPLLDDKDRASCVLPKLKAYLDEQSSSDQSKHPSNSSTGQYDAVTVLKLAKALVLSTWKLILVTAIFALVRTVASYVGPYLIEYFVEYLNGSQRSTNNGYLLILAFIVAQLLEGLSSRHLSFRSQQVGLRVRAALVAIIYQKGLTLSSKSRQSSSSGEMINIVSIDAECVSDFSSSMHEIWLLPVQVSLALYILYSAVGMAAFAALAGTILVMLTNIPLGGIERNYREKLMDSKDARIMSTSELLRNMRILKLHGWEMKFLSKIMVLREEEMNWLKKYVYTSSMLGSVYFGAPAFVAVVTFGTCVILGIPLQSGKVLTAMATFRQLQEPIYHIPDAISTMVQSRVSLNRILSFLRLEELRNDVVLKLPRDSHTKLSIEVSNGCFSWDTSSLVPTISDVNLRIEQGMRVAICGAVGSGKSSLISCILGEIPKLSGEVQTYGNIAYVSQSPWIQSGKIVDNILFGKEMDKERYEMVIEACALKIDIESMPFGDQTVIGERGINLSGGQKQRLQIARALYHDADIFLFDDPFSAVDAHTGSYLLKECLLGFLASKTVIYVTHHVDFLPAADLILVMKDGRIVNGGKYTEILDSGKEFADLVDSHKDALSSLNTVEITNGTSGSTNHANDNSSSMICAGEEIDHDKSQVKEDIVQNGQLVQEEEKEKGRVGLSVYWKYITMAYGGAFVPLIVLCQIVFQVLQIYGNYWIAWATPISEEVKPPVSILKMINIYLALALISSLFLLMRSHFLVTAGCKTAVMLFDKMHQCIFRAPMSFFDSTPSGRILSRASNDQSAVDSRIFDLMGHLLFPNIELLGSIVLISQVAWPVFIIFIPTIIASLWYKQYYVEGARELQRLNGVQEAPLIQHIAETIAGTTIIRTFYKEGQFISSTNHLIDSLSRPTLCSTATMEWLSFRLDILSSIIFSFALTLLVTLPASVIDPKMAGLAVTYGLSLNVLQGWAILILCSLENRMIAVERILQYTTIPAEPPLKTEGNILNSHWPSKGDIELRDLRVRYAPQLPYVLKGLTCSFPGGMKTGIVGRTGSGKSTLIQSLFRIVDPNIGQVLIDGIDICTVGLHDLRSRLSIIPQDPVMFKGTVRGNLDPLGEYTDEQIWEALDSCHLGEEVRKNELKLDYAVTENGENWSMGQRQLVCLGRILLKKSKILVLDEATSSVDPTTDNLIQKTISQQFDKSTVITVAHRITSVLDSDMVLLLDNGKISEHDTPARLLQDSSSSFSKLVCEYTSQTSYERQQQPIAK* >Brasy5G434900.3.p pacid=40076795 transcript=Brasy5G434900.3 locus=Brasy5G434900 ID=Brasy5G434900.3.v1.1 annot-version=v1.1 MINIVSIDAECVSDFSSSMHEIWLLPVQVSLALYILYSAVGMAAFAALAGTILVMLTNIPLGGIERNYREKLMDSKDARIMSTSELLRNMRILKLHGWEMKFLSKIMVLREEEMNWLKKYVYTSSMLGSVYFGAPAFVAVVTFGTCVILGIPLQSGKVLTAMATFRQLQEPIYHIPDAISTMVQSRVSLNRILSFLRLEELRNDVVLKLPRDSHTKLSIEVSNGCFSWDTSSLVPTISDVNLRIEQGMRVAICGAVGSGKSSLISCILGEIPKLSGEVQTYGNIAYVSQSPWIQSGKIVDNILFGKEMDKERYEMVIEACALKIDIESMPFGDQTVIGERGINLSGGQKQRLQIARALYHDADIFLFDDPFSAVDAHTGSYLLKECLLGFLASKTVIYVTHHVDFLPAADLILVMKDGRIVNGGKYTEILDSGKEFADLVDSHKDALSSLNTVEITNGTSGSTNHANDNSSSMICAGEEIDHDKSQVKEDIVQNGQLVQEEEKEKGRVGLSVYWKYITMAYGGAFVPLIVLCQIVFQVLQIYGNYWIAWATPISEEVKPPVSILKMINIYLALALISSLFLLMRSHFLVTAGCKTAVMLFDKMHQCIFRAPMSFFDSTPSGRILSRASNDQSAVDSRIFDLMGHLLFPNIELLGSIVLISQVAWPVFIIFIPTIIASLWYKQYYVEGARELQRLNGVQEAPLIQHIAETIAGTTIIRTFYKEGQFISSTNHLIDSLSRPTLCSTATMEWLSFRLDILSSIIFSFALTLLVTLPASVIDPKMAGLAVTYGLSLNVLQGWAILILCSLENRMIAVERILQYTTIPAEPPLKTEGNILNSHWPSKGDIELRDLRVRYAPQLPYVLKGLTCSFPGGMKTGIVGRTGSGKSTLIQSLFRIVDPNIGQVLIDGIDICTVGLHDLRSRLSIIPQDPVMFKGTVRGNLDPLGEYTDEQIWEALDSCHLGEEVRKNELKLDYAVTENGENWSMGQRQLVCLGRILLKKSKILVLDEATSSVDPTTDNLIQKTISQQFDKSTVITVAHRITSVLDSDMVLLLDNGKISEHDTPARLLQDSSSSFSKLVCEYTSQTSYERQQQPIAK* >Brasy5G441400.1.p pacid=40076796 transcript=Brasy5G441400.1 locus=Brasy5G441400 ID=Brasy5G441400.1.v1.1 annot-version=v1.1 MANFQITPRSALMDGNEFKISGLFMYHTPIGPAPNQSATIEAHATTGLGGTVVHNWTVFDGPGPNAALVARAQGEHVYAGNWHNTFSILFEIEKFKGSTLQVMGISVEQGEWAIVGGTGQFAMATGVIYKKFHEQRSHGNVIEITLHGFCPSLKASPSPSVVKKLGPWGGSGGEVKDVKVNETPKRLESITVRGGAAIDSIEFSYIDQSGEKRTSGVWGGSGGNIYKVELGPNEFVKQLSGTIGAFNGVNVITSLKFETNVKTHGPFGTEKGAPLDIPPSTGKVVGFHIRGGIYLDAIGTYEEQ* >Brasy5G408100.1.p pacid=40076797 transcript=Brasy5G408100.1 locus=Brasy5G408100 ID=Brasy5G408100.1.v1.1 annot-version=v1.1 MASAAASAVSLARPVKANYVNASFSGVRKDNVAFRMQPVPQRFSVCCAAKKETIDKVCEIVKSQLVLAEGTEVSGSSKFTDLGADSLDTVEIVMGLEEAFGISVEESSAQAITTVEDAAELIDSLVVAK* >Brasy5G188000.1.p pacid=40076798 transcript=Brasy5G188000.1 locus=Brasy5G188000 ID=Brasy5G188000.1.v1.1 annot-version=v1.1 MASGSATLTLKQVAFVAAILSMLVMPSLGRCPSLGPVPPPPPTPASPTPTTVPGPKVSCAYCSQCGNSCSSDCAAISCLRE* >Brasy5G450100.1.p pacid=40076799 transcript=Brasy5G450100.1 locus=Brasy5G450100 ID=Brasy5G450100.1.v1.1 annot-version=v1.1 MDAAMKRNGTGDGAAAAEEEEKNRNRGHGSTALFVAVDYAFLLAFAGFLSYLVGSQLLPSVA* >Brasy5G429600.1.p pacid=40076800 transcript=Brasy5G429600.1 locus=Brasy5G429600 ID=Brasy5G429600.1.v1.1 annot-version=v1.1 MAPKRRVKKVVSSVVKKTKVVQETVQVSTAILPDDSTQPDTQLEPEPEAVVVDDISTPATVVKQVEVTTTTSDGDDQATEAGATTVNNKPTQSKAQDKPEAHQAPAAAVASLQSQETQDPNEEEEAAEPETPETDKKKQQQDIILLPANNNGPHENQHQQQPEPPETPKQHKAKQQQQQQDAANAPEKKRKKKKAKRGPVGDMGMMGEGTSGTCTGC* >Brasy5G015600.1.p pacid=40076801 transcript=Brasy5G015600.1 locus=Brasy5G015600 ID=Brasy5G015600.1.v1.1 annot-version=v1.1 MDRNSTVGQYQKIIYTFKNLNQFVRDLDERTLPAFVTQLCDANMLCSFSNEDALCIFKTAAEVHGCNIVPHINQIVSTTIRIMSSVTGSLHSVGCSKVICTLSRYVIDPLGTEEEKSVMISSLCRPLSDCLMSTNESASSGSALCVTALIQSNNWQFASDELVNDICLKVSGALQEVHWQAVSHLGLVVALSKSNQLTLEPYGRSLIRSGLRILDESTKAGNSQMIISSIEMIHSIMKNLGVRIISCEINKIIHGMELLQDHSMPEIITSVCQVASTTTNLCNQILSRSTLEKQQISTPEDGKLPQANSVGRVKSVRKYSDVITIIAEPLSPCSNPEGTNKCSQLYNSMSDGPNPIRRRLTYSEDLSKHHDLFVCSLDGSAWTRFSEYAKENRDNLTVKIIIPRSKVNKTSETTSNELKLIIRRAFQLLKKVHKAGLTFGGQLSSRSFAINSYNTVKLDKTTKEIIKRIEDKDVEENEDHHSFVRMIKREIFDMRTTPTDLLSWLFLVDGCDRSCDELMQHHISLMDEHQNVSTFMSMFDTLREMEKEDIEAYQNVIDELSKNENYSNWQQHVNYRDGNRYLRDTFRYQVDKKKTPYSNDPRGLLGLLRNSRQHSAKFKEHLFARIIAEHFPDLLADMQLAMHKEGYLKNVHLRFSMC* >Brasy5G416300.1.p pacid=40076802 transcript=Brasy5G416300.1 locus=Brasy5G416300 ID=Brasy5G416300.1.v1.1 annot-version=v1.1 MGCGQSKLEEELAVKHCRERSELLALAIRHRYTLADAHRAYAESLGKVGAVLHDFLHGVQTLPPPPLEPTLHLPAHRKGDGLPAASPSPAPAIASSSSAQPPPVAKQVRISPDDDHIHFHSDDDSDSEDGHIKFHSDDEPEPAQRRPEIVRSAGEPGPRPPPQMGPPPYGSSAYGPPPPYGPGYGFGGYGSAPDYGGGIGGGGYDPGYGGMGGGGGNGPGYSSMGPTVGDGYDPPGYGGVGGYGQSFFNMNYARSQPPPPSISYQHHPQATDARVETFFNTSYARGQPPPPAVSYEQRPLPTNARVHYYGDSAQPPLPGYGGYASPPQNSSSYNQYGYGGYYGGGAPPPPADMPSSSREEVAPPPPPSPPRVSTWDFLNPFETYASYYDQPTATQAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKQLMKGYTGKGKAAKEEGRSSTGDELPRESKSSEASSSGKSLEHDVHVIEKSVVGEQVQQSEPRQHVAGLPPTGSEKTYIDDNEVVLEIKAQFERASNSAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTLEEEFLRFEEDKAMGCGNLSSTLQKLYMWERKLLQEVKAEEEERLKYDKKREELKILDEKGAEAEKIATTEKEIRKLSTKISIAIQVVNTISGKISKLRDEELWPQTCELIQGLMRMWDIMLECHQIQLHAISQAKNIDSMIDAAKFGEAHMDLIKRLELQLLDWIACFAAWVNAQKSYVNTLNKWLLKGVIYVPEETDDGVPPFSPGRLGAPPIFVICNNWAAGVGRISEKEVVKAMQAFASNVLNLWEKHRSEQRQGMMANKGMDRDLRVMERDEQAMRKALEAQNKKLVLISDQSGVSLSAQVVHDGAPHAEIGSLQSSLRNIFEAMETFTAASANTYRDLHQRAEEEKIRVAQESGRVS* >Brasy5G416300.2.p pacid=40076803 transcript=Brasy5G416300.2 locus=Brasy5G416300 ID=Brasy5G416300.2.v1.1 annot-version=v1.1 MGCGQSKLEEELAVKHCRERSELLALAIRHRYTLADAHRAYAESLGKVGAVLHDFLHGVQTLPPPPLEPTLHLPAHRKGDGLPAASPSPAPAIASSSSAQPPPVAKQVRISPDDDHIHFHSDDDSDSEDGHIKFHSDDEPEPAQRRPEIVRSAGEPGPRPPPQMGPPPYGSSAYGPPPPYGPGYGFGGYGSAPDYGGGIGGGGYDPGYGGMGGGGGNGPGYSSMGPTVGDGYDPPGYGGVGGYGQSFFNMNYARSQPPPPSISYQHHPQATDARVETFFNTSYARGQPPPPAVSYEQRPLPTNARVHYYGDSAQPPLPGYGGYASPPQNSSSYNQYGYGGYYGGGAPPPPADMPSSSREEVAPPPPPSPPRVSTWDFLNPFETYASYYDQPTATQAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKQLMKGYTGKGKAAKEEGRSSTGDELPRESKSSEASSSGKSLEHDVHVIEKSVVGEQVQQSEPRQHVAGLPPTGSEKTYIDDNEVVLEIKAQFERASNSAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTLEEEFLRFEEDKAMGCGNLSSTLQKLYMWERKLLQEVKAEEEERLKYDKKREELKILDEKGAEAEKIATTEKEIRKLSTKISIAIQVVNTISGKISKLRDEELWPQTCELIQGLMRMWDIMLECHQIQLHAISQAKNIDSMIDAAKFGEAHMDLIKRLELQLLDWIACFAAWVNAQKSYVNTLNKWLLKGVIYVPEETDDGVPPFSPGRLGAPPIFVICNNWAAGVGRISEKEVVKAMQAFASNVLNLWEKHRSEQRQGMMANKGGT* >Brasy5G251700.1.p pacid=40076804 transcript=Brasy5G251700.1 locus=Brasy5G251700 ID=Brasy5G251700.1.v1.1 annot-version=v1.1 MASSPSSPPHAVMIPFPAQGHITPMLNLAKLLHARGFHVTFVNNEHNHRRLTRSQSHGGADGLNGVVPGFRFAAIADGLPPSPDQDATQEIVPLCHSTMNLCYPGFVELIGKLNDEAENSGGAGRRVTCVVADGIMTFALRAARELGLRCATLWAASACGLMGYWHYKDLVQRGLIPLKDEAQLTNGYLDTTIIDWIPGMPKDLRLRDLPSFVRTADPDDFLLKFCIHEAAGMSQASAVVINTFDELDAPLLDAMAAILPPVYTVGPLHLTVHNNLQKGSPIAGIRSNLWKEQDAPLRWLDNRAARSVVYVNFGSIAVVSKEHLLEFAWGLANTGYAFLWNVRPDLVKRSDGDGDDETAAPLPAAFYATTEGRSVMSTWCPQDKVLEREAVGLFLTHSGWNSTIESVSAGVPMLCWPFFAEQQTNCRYKCTEWGIGMEIGDKVTRAEVEALIREAMEGRKGQEMRRRVMELKESAAASAQTGGRSMHNVDRLIAEVLLP* >Brasy5G251700.2.p pacid=40076805 transcript=Brasy5G251700.2 locus=Brasy5G251700 ID=Brasy5G251700.2.v1.1 annot-version=v1.1 MASSPSSPPHAVMIPFPAQGHITPMLNLAKLLHARGFHVTFVNNEHNHRRLTRSQSHGGADGLNGVVPGFRFAAIADGLPPSPDQDATQEIVPLCHSTMNLCYPGFVELIGKLNDEAENSGGAGRRVTCVVADGIMTFALRAARELGLRCATLWAASACGLMGYWHYKDLVQRGLIPLKDEAQLTNGYLDTTIIDWIPGMPKDLRLRDLPSFVRTADPDDFLLKFCIHEAAGMSQASAVVINTFDELDAPLLDAMAAILPPVYTVGPLHLTVHNNLQKGSPIAGIRSNLWKEQDAPLRWLDNRAARSVVYVNFGSIAVVSKEHLLEFAWGLANTGYAFLWNVRPDLVKRSDGDGDDETAAPLPAAFYATTEGRSVMSTWCPQDKVLEREAVGLFLTHSGWNSTIESVSAGVPMLCWPFFAEQQTNCRYKCTEWGIGMEIGDKVTRAEVEALIREAMEGRKGQEMRRRVMELKESAAASAQTGGRSMHNVDRLIAEVLLP* >Brasy5G494900.1.p pacid=40076806 transcript=Brasy5G494900.1 locus=Brasy5G494900 ID=Brasy5G494900.1.v1.1 annot-version=v1.1 MVSELTNEEKYSDDDDKPPDEPKHTNDVIYELANEEKTDVIYDYLPQDYALTELDLCAHLVIEDSSEKEILMKIDQVYVKQCDLMCLLDSAKWLNDDVINAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTKIVENYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEHKRVDLTNTLQGLQYHLDILKTQENLCNHNWKDLDVTKWTITEQLRNPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIDFRYKLAAILICWKTNTAQASTTIEESDYSEGDPNDVVMFECIDEDQSKTSNSLSIEKKYQSLITVLSNMSVHDLEGGLCNIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEELPMEHDCFNLVVRKIMFDDIQTAKKTKGLISNHYLDMRFWMITDFGRHPNFRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDSRTVYILDPAPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSAWTENIFLWHQQIINNIPIHNR* >Brasy5G509700.1.p pacid=40076807 transcript=Brasy5G509700.1 locus=Brasy5G509700 ID=Brasy5G509700.1.v1.1 annot-version=v1.1 MVKKKSSWSQVVTGRPTNLFASARNLHPQDLGAVIFGCTNSTIAECHARQLFGLPRAHLSYVQNIKEGLPLFLFNYDDRKLHGIYEAASNGKFCPESNAWTYNGSEKTSYPAQVAMRVRMWCIPLEESKFRNAIIGNYYQKMPAVPGQKPHFFRFELDHAQTRALMVMFTPSPSPIKFWTPPVAQPAQTGSEHLREPTSPPVRAQKTEGNNELKSEKVLVSYADMVKQNKLESEGVGMGDVDDQRNNDLKPKKVLLSYADMVKQRKFEVQGVGMGDVDDEHASSSKESSDGFDDLDCKETPPECEDHVLSSEGVEVQQQSIQEGNGLSFTLVLQKLKALSVQQLSSDPYAYGAGTEHIDAYGCKDMQEIKGVFIEGHSSLPENLDTDIDQLSWGHSSSLLQGLDYESYSEAKLIDVVKELSERIEATEKKQACSNKEIKYLQGVNDRLLKRVADLKDTVKNLNSKIDPLSLDDSLNQFVEECLGSEDVIYLTGGFDGISFLSSLDSFSPSLDILTPLKPMTVGKSYTSTVALDGKIFVLGGGDGACWFDTVDCYDRRHDDWTPCPSLTHEKGSLAGVSLYGKMYAFGGGDGIGCFSDVEMFDPAQGKWIKCQPMLEKRFALAGTELNGAIYAVGGFNGIQYLSSAERLDPREPNWNMLPMMSTGRGCHTVAVLDEKIYSIGGYDANAGAMVATVEFFEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVERYKEGWGWVNTGMKSIGRRCYCSAIVL* >Brasy5G509700.2.p pacid=40076808 transcript=Brasy5G509700.2 locus=Brasy5G509700 ID=Brasy5G509700.2.v1.1 annot-version=v1.1 MVKKKSSWSQVVTGRPTNLFASARNLHPQDLGAVIFGCTNSTIAECHARQLFGLPRAHLSYVQNIKEGLPLFLFNYDDRKLHGIYEAASNGKFCPESNAWTYNGSEKTSYPAQVAMRVRMWCIPLEESKFRNAIIGNYYQKMPAVPGQKPHFFRFELDHAQTRALMVMFTPSPSPIKFWTPPVAQPAQTGSEHLREPTSPPVRAQKTEGNNELKSEKVLVSYADMVKQNKLESEGVGMGDVDDQRNNDLKPKKVLLSYADMVKQRKFEVQGVGMGDVDDEHASSSKESSDGFDDLDCKETPPECEDHVLSSEGVEVQQQSIQEGNGLSFTLVLQKLKALSVQQLSSDPYAYGAGTEHIDAYGCKDMQEIKGVFIEGHSSLPENLDTDIDQLSWGHSSSLLQGLDYESYSEAKLIDVVKELSERIEATEKKQACSNKEIKYLQGVNDRLLKRVADLKDTVKNLNSKIDPLSLDDSLNQFVEECLGSEDVIYLTGGFDGISFLSSLDSFSPSLDILTPLKPMTVGKSYTSTVALDGKIFVLGGGDGACWFDTVDCYDRRHDDWTPCPSLTHEKGSLAGVSLYGKMYAFGGGDGIGCFSDVEMFDPAQGKWIKCQPMLEKRFALAGTELNGAIYAVGGFNGIQYLSSAERLDPREPNWNMLPMMSTGRGCHTVAVLDEKIYSIGGYDANAGAMVATVEFFEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGVKGEADTILDVVERYKEGWGWVNTGMKSIGRRCYCSAIVL* >Brasy5G454900.1.p pacid=40076809 transcript=Brasy5G454900.1 locus=Brasy5G454900 ID=Brasy5G454900.1.v1.1 annot-version=v1.1 MSPLFLPTAPSLSLHFAAASRRGTLVVAYAGARSNSSSSASSSSSAPFDAAAFEAERHRLDADARAGMAAAAAGADPRAWKWRIRKRVWDALEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLEVFQNAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESQMIPTGCIPEACTSVGAAKYGRPIGLDESIKVDLIVIGSVAVNPSTGARLGKGEGFAELEYGMLRYMGAIDNSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVILTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQKTGTTLPCGPSENLPPTAQRRRRRGQ* >Brasy5G454900.3.p pacid=40076810 transcript=Brasy5G454900.3 locus=Brasy5G454900 ID=Brasy5G454900.3.v1.1 annot-version=v1.1 MSPLFLPTAPSLSLHFAAASRRGTLVVAYAGARSNSSSSASSSSSAPFDAAAFEAERHRLDADARAGMAAAAAGADPRAWKWRIRKRVWDALEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLEVFQNAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESQMIPTGCIPEACTSVGAAKYGRPIGLDESIKVDLIVIGSVAVNPSTGARLGKGEGFAELEYGMLRYMGAIDNSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVILTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQKTGTTLPCGPSENLPPTAQRRRRRGQ* >Brasy5G454900.2.p pacid=40076811 transcript=Brasy5G454900.2 locus=Brasy5G454900 ID=Brasy5G454900.2.v1.1 annot-version=v1.1 MSPLFLPTAPSLSLHFAAASRRGTLVVAYAGARSNSSSSASSSSSAPFDAAAFEAERHRLDADARAGMAAAAAGADPRAWKWRIRKRVWDALEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLEVFQNAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESQMIPTGCIPEACTSVGAAKYGRPIGLDESIKVDLIVIGSVAVNPSTGARLGKGEGFAELEYGMLRYMGAIDNSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVILTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQKTGTTLPCGPSENLPPTAQRRRRRGQ* >Brasy5G454900.4.p pacid=40076812 transcript=Brasy5G454900.4 locus=Brasy5G454900 ID=Brasy5G454900.4.v1.1 annot-version=v1.1 MSPLFLPTAPSLSLHFAAASRRGTLVVAYAGARSNSSSSASSSSSAPFDAAAFEAERHRLDADARAGMAAAAAGADPRAWKWRIRKRVWDALEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLEVFQNAQCVKVNPDSPQKQVRFLTLSAKYGRPIGLDESIKVDLIVIGSVAVNPSTGARLGKGEGFAELEYGMLRYMGAIDNSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVILTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQKTGTTLPCGPSENLPPTAQRRRRRGQ* >Brasy5G454900.5.p pacid=40076813 transcript=Brasy5G454900.5 locus=Brasy5G454900 ID=Brasy5G454900.5.v1.1 annot-version=v1.1 MSPLFLPTAPSLSLHFAAASRRGTLVVAYAGARSNSSSSASSSSSAPFDAAAFEAERHRLDADARAGMAAAAAGADPRAWKWRIRKRVWDALEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLEVFQNAQCVKVNPDSPQKQVRFLTLSAKYGRPIGLDESIKVDLIVIGSVAVNPSTGARLGKGEGFAELEYGMLRYMGAIDNSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVILTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQKTGTTLPCGPSENLPPTAQRRRRRGQ* >Brasy5G028400.1.p pacid=40076814 transcript=Brasy5G028400.1 locus=Brasy5G028400 ID=Brasy5G028400.1.v1.1 annot-version=v1.1 MAAAGAATSAVYRSNQELTRLARSGQLAAARRLFDSMPSRNTVSYNAMLSALARHGRLADARRLFDEMPRRNTVSWNAMIAACSDHGRVADARRLFDAMPARDGFSWTLMVSCYARAGELGLARDALDRMPGDKCTACYNAMISGYAKHGRFDDAVALLREMPTPDIVSWNSVLVGLTRNGEMVRAVKFFDEMPERDMVSWNLMLEGYVRAGDVDSAAGLFARVPSPNVISWVTLLNGYCRAGRIGEARELFDRIPDRNVAAWNVMLGGYLRLSHMEEAYKLFTEMPDKNSISWTTMVSALVRGGKLQEAKDLLHKMPFDSFAAKTALMHGYLQSKMIDDARVIFDGLEVRDAVCWNTMISGYVHCGMLDEAMVLFQQMPNKDMVSWNTMIAGYAHVGQMRKAVSIFRKMNQRNTVSWNSVISGFVQNGLCVEALQHFVLMRRDAKRADWSTYACCLSACADLAALHVGRQFHSLLARSGYISDSFAGNALISAYAKCGRILEARRVFDEMPGPDIVSWNALIDGYASNGRGTEAISVFREMEASDVRPDEVTFVGVLSACSHAGLIDEGFDFFNSMTKDYSLQPVAEHYACMVDLLGRAGRLSEAFELIQGMQIQPNAGVWGAMLGACRVHKNHELAQFAAEKLFELEPRKTSNYVLLSNISAEAGKWDEAENMRVLIKERGVHKPPGLAGST* >Brasy5G028400.2.p pacid=40076815 transcript=Brasy5G028400.2 locus=Brasy5G028400 ID=Brasy5G028400.2.v1.1 annot-version=v1.1 MAAAGAATSAVYRSNQELTRLARSGQLAAARRLFDSMPSRNTVSYNAMLSALARHGRLADARRLFDEMPRRNTVSWNAMIAACSDHGRVADARRLFDAMPARDGFSWTLMVSCYARAGELGLARDALDRMPGDKCTACYNAMISGYAKHGRFDDAVALLREMPTPDIVSWNSVLVGLTRNGEMVRAVKFFDEMPERDMVSWNLMLEGYVRAGDVDSAAGLFARVPSPNVISWVTLLNGYCRAGRIGEARELFDRIPDRNVAAWNVMLGGYLRLSHMEEAYKLFTEMPDKNSISWTTMVSALVRGGKLQEAKDLLHKMPFDSFAAKTALMHGYLQSKMIDDARVIFDGLEVRDAVCWNTMISGYVHCGMLDEAMVLFQQMPNKDMVSWNTMIAGYAHVGQMRKAVSIFRKMNQRNTVSWNSVISGFVQNGLCVEALQHFVLMRRDAKRADWSTYACCLSACADLAALHVGRQFHSLLARSGYISDSFAGNALISAYAKCGRILEARRVFDEMPGPDIVSWNALIDGYASNGRGTEAISVFREMEASDVRPDEVTFVGVLSACSHAGLIDEGFDFFNSMTKDYSLQPVAEHYACMVDLLGRAGRLSEAFELIQGMQIQPNAGVWGAMLGACRVHKNHELAQFAAEKLFELEPRKTSNYVLLSNISAEAGKWDEAENMRVLIKERGVHKPPGLAGST* >Brasy5G019200.1.p pacid=40076816 transcript=Brasy5G019200.1 locus=Brasy5G019200 ID=Brasy5G019200.1.v1.1 annot-version=v1.1 MKSLSNHLFLRCSFAKEVWHGLRPLFPAEQQNVFAATSILRWSKRVCYGAQSESKSKAGSLGAYVLWNLWKERNRRIFQGKVVCASRIKELALGEFECFWLARSS* >Brasy5G434300.1.p pacid=40076817 transcript=Brasy5G434300.1 locus=Brasy5G434300 ID=Brasy5G434300.1.v1.1 annot-version=v1.1 MSLPPVDPPEWLRTLPEAPEYRPTLAEFVDPIAYILKIERDASRYGICKIVPPLPAPSREATVQRLKASFTSNAAATAPGDASPTFPTRLQQVGLSTKNRRGANRRVWESGERYTLEAFRTKARDMELPRHATPPKHATPLQLEALFWGACAARPFNVEYGNDMPGSGFAEPEGTGDAAAAPRDVGDTDWNMRVAPRARGSLLRAMSRDVAGVTSPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVYGYGDDLNAIMAFQTLNQKTTVLSPAVLLSAGVPCCRLVQNPGEFVITFPGAYHSGFSHGFNCGEATNIATPLWLQVAKEAAIRRASTNCGPMVSHYQLLYQLALSLRQREPKDFHTIPRSSRLRDKKKKDEADIIVKEKFVGNVIENNNLLSILLDKTSCIIVPEIAFPRPFFSKMMVPEVTANQSLVAGHCSISRQAVENMSVDVALDKIIGVDNVSGPQSVTEASFYACNRKLYETKYGEPDTAAFGLSTSEMQNGVTDKHRLHREGGLLDHGRLPCVQCGILSFACVAIIQPREAAVQFVMSREGISSSAKVGELSKSDSNWISGNHEMVPPQGQASGAHSVNLAQVSDHCRQLYDRNTNGCTSALGLLASMYESSDSEEEKSDNESTDREKHVAANQGKDIQFLGTSDSCPNTVQHERANLHLYEEGCETRNAASLMKPIKHNGMPLTWSSKDTDIGHFARLGEPGTSYEQCSVYLDLVDDLTVSGVKASPDTCVSRTKSSIEPDVLTMLKYNKDSCRMHVFCLEHALETCTQLQEIGGANVMLLCHPEYPRAESAAKLIAEELGLKHDWNDITFEEATKDDIRRIQLALQDEDAEPASSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYEAFGQENPDTVTDYEEQTSGATKKRVVGWWCGKAWMSNQAHPFLARSKVMFAAKSHDKVQDEPSTLGSIMISRSPSKRISRKKREDSMEKSGAKRKRCSANDETVLHGGSLGTNTETISAQSENFNVDVKHEGDEIEEAPSTQQHQQHKLQNVDMKLSSKKQKSNKRSSNLHEVCDEDNDVDCWLNIDAGDNAAIGNLDNSPQGLDAKAKSPGKLQGSKRKSSKGKASDDSLNGDKKSQKMNKKSISRKQNNGKINRQFREDYNEDNTLDNLLDVESGVEATLGNLDKVPKEETDDVKAEPRGKRRSGKDDKRSSNFRGEHNDVDCWHAMDSGDNATTGNLDNNQQQRLEAVKLKSGGKSQSCKRKSSKGKTNDDPPGGDKKLQVVSTKSSSRKQKVDSINRQSREGPDEDNPVDYLPKDEDEATRDNCHKVSEEQTDDVKVKSRVKTQTVKRKTSKRQAGDKAAKFSCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLKCTFEDCKKTFKWPWAKTEHMRVHTGARPYKCKEPGCTQTFRFVSDFSRHKRKTGHSIDKKNKEST* >Brasy5G434300.2.p pacid=40076818 transcript=Brasy5G434300.2 locus=Brasy5G434300 ID=Brasy5G434300.2.v1.1 annot-version=v1.1 MSLPPVDPPEWLRTLPEAPEYRPTLAEFVDPIAYILKIERDASRYGICKIVPPLPAPSREATVQRLKASFTSNAAATAPGDASPTFPTRLQQVGLSTKNRRGANRRVWESGERYTLEAFRTKARDMELPRHATPPKHATPLQLEALFWGACAARPFNVEYGNDMPGSGFAEPEGTGDAAAAPRDVGDTDWNMRVAPRARGSLLRAMSRDVAGVTSPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVYGYGDDLNAIMAFQTLNQKTTVLSPAVLLSAGVPCCRLVQNPGEFVITFPGAYHSGFSHGFNCGEATNIATPLWLQVAKEAAIRRASTNCGPMVSHYQLLYQLALSLRQREPKDFHTIPRSSRLRDKKKKDEADIIVKEKFVGNVIENNNLLSILLDKTSCIIVPEIAFPRPFFSKMMVPEVTANQSLVAGHCSISRQAVENMSVDVALDKIIGVDNVSGPQSVTEASFYACNRKLYETKYGEPDTAAFGLSTSEMQNGVTDKHRLHREGGLLDHGRLPCVQCGILSFACVAIIQPREAAVQFVMSREGISSSAKVGELSKSDSNWISGNHEMVPPQGQASGAHSVNLAQVSDHCRQLYDRNTNGCTSALGLLASMYESSDSEEEKSDNESTDREKHVAANQGKDIQFLGTSDSCPNTVQHERANLHLYEEGCETRNAASLMKPIKHNGVKASPDTCVSRTKSSIEPDVLTMLKYNKDSCRMHVFCLEHALETCTQLQEIGGANVMLLCHPEYPRAESAAKLIAEELGLKHDWNDITFEEATKDDIRRIQLALQDEDAEPASSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYEAFGQENPDTVTDYEEQTSGATKKRVVGWWCGKAWMSNQAHPFLARSKVMFAAKSHDKVQDEPSTLGSIMISRSPSKRISRKKREDSMEKSGAKRKRCSANDETVLHGGSLGTNTETISAQSENFNVDVKHEGDEIEEAPSTQQHQQHKLQNVDMKLSSKKQKSNKRSSNLHEVCDEDNDVDCWLNIDAGDNAAIGNLDNSPQGLDAKAKSPGKLQGSKRKSSKGKASDDSLNGDKKSQKMNKKSISRKQNNGKINRQFREDYNEDNTLDNLLDVESGVEATLGNLDKVPKEETDDVKAEPRGKRRSGKDDKRSSNFRGEHNDVDCWHAMDSGDNATTGNLDNNQQQRLEAVKLKSGGKSQSCKRKSSKGKTNDDPPGGDKKLQVVSTKSSSRKQKVDSINRQSREGPDEDNPVDYLPKDEDEATRDNCHKVSEEQTDDVKVKSRVKTQTVKRKTSKRQAGDKAAKFSCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLKCTFEDCKKTFKWPWAKTEHMRVHTGARPYKCKEPGCTQTFRFVSDFSRHKRKTGHSIDKKNKEST* >Brasy5G434300.3.p pacid=40076819 transcript=Brasy5G434300.3 locus=Brasy5G434300 ID=Brasy5G434300.3.v1.1 annot-version=v1.1 MVSHYQLLYQLALSLRQREPKDFHTIPRSSRLRDKKKKDEADIIVKEKFVGNVIENNNLLSILLDKTSCIIVPEIAFPRPFFSKMMVPEVTANQSLVAGHCSISRQAVENMSVDVALDKIIGVDNVSGPQSVTEASFYACNRKLYETKYGEPDTAAFGLSTSEMQNGVTDKHRLHREGGLLDHGRLPCVQCGILSFACVAIIQPREAAVQFVMSREGISSSAKVGELSKSDSNWISGNHEMVPPQGQASGAHSVNLAQVSDHCRQLYDRNTNGCTSALGLLASMYESSDSEEEKSDNESTDREKHVAANQGKDIQFLGTSDSCPNTVQHERANLHLYEEGCETRNAASLMKPIKHNGVKASPDTCVSRTKSSIEPDVLTMLKYNKDSCRMHVFCLEHALETCTQLQEIGGANVMLLCHPEYPRAESAAKLIAEELGLKHDWNDITFEEATKDDIRRIQLALQDEDAEPASSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYEAFGQENPDTVTDYEEQTSGATKKRVVGWWCGKAWMSNQAHPFLARSKVMFAAKSHDKVQDEPSTLGSIMISRSPSKRISRKKREDSMEKSGAKRKRCSANDETVLHGGSLGTNTETISAQSENFNVDVKHEGDEIEEAPSTQQHQQHKLQNVDMKLSSKKQKSNKRSSNLHEVCDEDNDVDCWLNIDAGDNAAIGNLDNSPQGLDAKAKSPGKLQGSKRKSSKGKASDDSLNGDKKSQKMNKKSISRKQNNGKINRQFREDYNEDNTLDNLLDVESGVEATLGNLDKVPKEETDDVKAEPRGKRRSGKDDKRSSNFRGEHNDVDCWHAMDSGDNATTGNLDNNQQQRLEAVKLKSGGKSQSCKRKSSKGKTNDDPPGGDKKLQVVSTKSSSRKQKVDSINRQSREGPDEDNPVDYLPKDEDEATRDNCHKVSEEQTDDVKVKSRVKTQTVKRKTSKRQAGDKAAKFSCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLKCTFEDCKKTFKWPWAKTEHMRVHTGARPYKCKEPGCTQTFRFVSDFSRHKRKTGHSIDKKNKEST* >Brasy5G255500.1.p pacid=40076820 transcript=Brasy5G255500.1 locus=Brasy5G255500 ID=Brasy5G255500.1.v1.1 annot-version=v1.1 MSIGEPEKPYFLDLDTGSTLTWLECNAPCKSCHKVPHELYRPRSNLVPCEDERCVAVHRDVRFKHDCKENPNRCDYDVRYAGGDSSLGMLIADKFSLPGSDDRPTLTFGCGYDQKVKPDQEGGEAKMPVDGVLGIGRGTGDLASQLKQQGMITENVIGHCLGIQGGGYLFFGGEEVPSSVVTWVPMVSNAQSYYSPGLATLNFNGNLGNPISVAPMEVVIDSGSTFTYMPTETYRRLVLAVIGSLSKSSLRLVRDPALPVCWAGKEPFKSIGDVKGEFKPLQLAFTQGSSQAIMEIPPENYLIITERGNVCMGILDGTQIGLRELNVIGDVTMQNQLVIYDNERGQIGWARAPCVPSV* >Brasy5G046400.1.p pacid=40076821 transcript=Brasy5G046400.1 locus=Brasy5G046400 ID=Brasy5G046400.1.v1.1 annot-version=v1.1 MDHHFLSQKAEDEDCLAMLTDDTLLSILERVDLATAAKTSVLSKRWRNLPLLLPELNFDVRDFLPAPCPNPVEAQHMDQAMASLTKAVRSLLGNRRSERSIIKLSLKLYMTGRTYSRDIGLLVSDAINNKIVKEFDLAIIDDNEPKQRKDKQLQHFSIDYCDAGERSVWQINAPDSKLRVLEIYFNRLLRVEVLCLPNLERLYWEGWFYDEAPLLLGSVPALEELCLMHSFAIDQHDFSLSEVFHGSSNLRSLTLNFQGDGIWIQPEGKQLCSAFNKLRKLSINGIYVEFDLLWTIDLLEAAPTVEIFDVEKPSWKIPRFKSSNKWQLKELQFVGFRTGLDHHFSFISAVMDRAPNLKTVLLIDDLLPCAECDALAVLPPPIGGMFPRDRDEQQTIVKQLKDMVCSSAQIVFTCR* >Brasy5G461500.1.p pacid=40076822 transcript=Brasy5G461500.1 locus=Brasy5G461500 ID=Brasy5G461500.1.v1.1 annot-version=v1.1 MKSTNVASETDDKILKDELDSVLKETEGVVSVTKPFNPIRNIQEATPTNAAETARNRSIHGTFSDPCNVDDKLADSILKNSMCRELNFDSAESSHMDRNRKAMIEAAPSAPSFDLGIDELFDNIILQNVAPVPSCLIEAKETEVVEPGTSEANETEVVVISSNEDEVHARFDADDEQLAAQAGNKVVVEMPVAVNAEQQVQRNPSIVEQRSKVQSITPVPVAHQKRVLRAAKAKRTPFTDLENDKQFVVPRATVALYNKLFKHGSNKGCKPKEVKIIEIDDNYIYLNDLANCIRPTHELSNSVCEIALQILAKEMAPQKKLVFPLRISIMFPTLQVLSEDRRSKGAAHYFLINLNLKAERFEIMDSLRAEGDVKMMEAVQQLVSAVKFLWLQEYKDSKISILTYPVEYVPAPKQENLHDCGIFMLKYIESWDGRRMSYFNQGNILNIRMLFMKKWLDWPENRIEWQKILLPS* >Brasy5G128400.1.p pacid=40076823 transcript=Brasy5G128400.1 locus=Brasy5G128400 ID=Brasy5G128400.1.v1.1 annot-version=v1.1 MEKLDKCLKEANLAGHKDYLPDFTMNNIEKGDIEFLAVISNLNSLIKKAFISQAESFLSKRASASGGDICGITELISQIQQWESDNGAQILCCEGKILAAQLLDKKTKLEKLLTVADAPYSARGSDTDIVSSVSSDSDRSSSFSTDRTSSESDSKTDNSDDPDYSESET* >Brasy5G421100.1.p pacid=40076824 transcript=Brasy5G421100.1 locus=Brasy5G421100 ID=Brasy5G421100.1.v1.1 annot-version=v1.1 MRHLLQSVEHLEAMAAPPSAGGVHTNTFLILAAVLCFLLCVVGLAFVARCSRLCNPSAFSVDADADAELVAKAACKGIKRKALDSMPTVSWARPEQEEGGDDADEERPECAICLAEFARGDTVRVLPACGHGFHASCVDAWLVSSSTCPSCRRTLVVATGCVGAGADVEAQASASASAAGAGGCRSSAP* >Brasy5G059200.1.p pacid=40076825 transcript=Brasy5G059200.1 locus=Brasy5G059200 ID=Brasy5G059200.1.v1.1 annot-version=v1.1 MFLVDWFYGVLATLGMWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVNLGETNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK* >Brasy5G179400.1.p pacid=40076826 transcript=Brasy5G179400.1 locus=Brasy5G179400 ID=Brasy5G179400.1.v1.1 annot-version=v1.1 MAPTAQVAAAPVQERRVGGCGGGGGGALLKAAVRCHPALTPSTEAGKKASIRGVVGELLGCAGSGGGGGEGKGLVSLGVGDASAHTCFRRGGEFSADAVAAAAVSGDFDCYAPSFGFPAARSAVADYLSAGARHSTRDSDVFLTVGGTGAISAITTVLGGAPGANILLPRPGFSPYEAACELVGAEPRFYDLLPRHGWEADLTGVRALADSATAAIVVINPNNPCGAVYSVQHLLQIAETARDLGIPVISDEVYAHMVFGGSKFVPMASYAHIAPVITIGAISKRFMLPGWRLGWLAFCDPNGALKHVRTATEMLLNVTSGPASIIQAALPNILSYEHKEFHLNVVNLLESAADALYRRVNKIEALHCYSKPHGSMFMMVEINTSLLFGIADDMDFARELITEESVLILPGSVLGLKNWVRIFFGAPVNLILEACDRIESFCHRRAGQVKLQKKKF* >Brasy5G467600.1.p pacid=40076827 transcript=Brasy5G467600.1 locus=Brasy5G467600 ID=Brasy5G467600.1.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.6.p pacid=40076828 transcript=Brasy5G467600.6 locus=Brasy5G467600 ID=Brasy5G467600.6.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.3.p pacid=40076829 transcript=Brasy5G467600.3 locus=Brasy5G467600 ID=Brasy5G467600.3.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.2.p pacid=40076830 transcript=Brasy5G467600.2 locus=Brasy5G467600 ID=Brasy5G467600.2.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.7.p pacid=40076831 transcript=Brasy5G467600.7 locus=Brasy5G467600 ID=Brasy5G467600.7.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.5.p pacid=40076832 transcript=Brasy5G467600.5 locus=Brasy5G467600 ID=Brasy5G467600.5.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G467600.4.p pacid=40076833 transcript=Brasy5G467600.4 locus=Brasy5G467600 ID=Brasy5G467600.4.v1.1 annot-version=v1.1 MLFSTLPCKSQAPAAVAVAAISSPRLSSSSPALIPPPHHDDNPFAPLLTSDPPPLDPLRKVLATGDVHVALRGLPGLARQLFRWAEGTPHGFPRSASAFAAVLFPLAEAGHNRAVYPVSLRALHLGLLLPLVSLILTYPLSPTSHQLLNSLLRMSTKFSAECQAQKSVPTSCSTQCLSAFREMARHGVAPFVKECNCVLRVLRDAARWDDVRAVYSDMLQLGVEPSIFTYNTLLDSFCKAGRMDQAVMLLKDMEARAAGCLPNDVTYNVVINGLARKGELEKAAQLVDIMRLSKKASAFTYNALITGLLARDFVEKAGALQLEMENEGIVPTVVTYNSLIHGLFKTGNAEAAEVKFDEMKAKGLLPDLITYNSLINGYCKAGNLKQALCLLGDLRRAGLGPTVLTYNILIDGYCRLGDLEGARRLKEEMTEEDCLPDVCTYTILMNGSCKVRNLAMVRIFFDEMLSKGLEPDCFAYNTRISAELTIGAVTNAFQLREEMMLRGISSDTVTYNVLIDGLCKTGSLKDAYVLWMKMVTDGLRLDCVTYTCLIHAHCERGRLIEAKNIFDGMVASGLSPSVVTYTIFIHTYCRRGNLYSAYGWFRKMLEEGVEPNEVTYNALMHALCRMGRSESAYQHFHEMLERGLVPNKYTYTLLIDGSCKEGNWVDAIRLYCEMHQKGIHPDHCTHNALFKGFGEGHMYDAVQYLENVVLGT* >Brasy5G208100.1.p pacid=40076834 transcript=Brasy5G208100.1 locus=Brasy5G208100 ID=Brasy5G208100.1.v1.1 annot-version=v1.1 MDRAAGDRGWTSVSNGGRRRRPALPLPVHPSVEQSAAWRICDMPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEVLGEAADDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G208100.5.p pacid=40076835 transcript=Brasy5G208100.5 locus=Brasy5G208100 ID=Brasy5G208100.5.v1.1 annot-version=v1.1 MDRAAGDRGWTSVSNGGRRRRPALPLPVHPSVEQSAAWRICDMPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEVLGEAADDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G208100.2.p pacid=40076836 transcript=Brasy5G208100.2 locus=Brasy5G208100 ID=Brasy5G208100.2.v1.1 annot-version=v1.1 MDRAAGDRGWTSVSNGGRRRRPALPLPVHPSVEQSAAWRICDMPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G208100.6.p pacid=40076837 transcript=Brasy5G208100.6 locus=Brasy5G208100 ID=Brasy5G208100.6.v1.1 annot-version=v1.1 MDRAAGDRGWTSVSNGGRRRRPALPLPVHPSVEQSAAWRICDMPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G208100.3.p pacid=40076838 transcript=Brasy5G208100.3 locus=Brasy5G208100 ID=Brasy5G208100.3.v1.1 annot-version=v1.1 MPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEVLGEAADDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G208100.4.p pacid=40076839 transcript=Brasy5G208100.4 locus=Brasy5G208100 ID=Brasy5G208100.4.v1.1 annot-version=v1.1 MPIGCVILEEIMQYTLSHLHIVQKSLRYWNSKARGSDSQHRYFMVFERGPRAFFEATCQSLTRLTGRGGPSPSLLDSAEDIISINIDVLTSMERCLAAFLSELYSEADICKEGLTGSRDKSLHALFIALNNVFFKLEEDQTLLFIHSGNPSELRFTRLPEVVGTESPQWTETLSTDGIRLIYQNLQKLDNLVSSQLSSHKKPSYMTLYWLPYTCGAIGFSACSLWLLRHSSLMGSSDLDSWIGHAKESLAGFWNVAEKPVRSVGDKSFESFKGIDRPLMDKQESRQTEKSLQRHEKEWMHQIQNLFGAMCFKIQKPEPDLLHSILELDQVVKANILDLHEAILGFSPVFEAIKLNFALLALGLPLLLLLRARARAVHVQRTEGRRRIAWRLIVAEQRLLEFQECNV* >Brasy5G191400.1.p pacid=40076840 transcript=Brasy5G191400.1 locus=Brasy5G191400 ID=Brasy5G191400.1.v1.1 annot-version=v1.1 MARGTSSTTALLLVLFLVGLLVAPSDTKGDEQSANKASGGPVIGIDLGTTYSCVGVYRNGHATASRAPSWVAFTDSGERLIGEAAKNQAASNPLRTIYDAKRLIGRQFGDAEVQKDMKHLPYKIVEKQGKPHMEVEVREGDVRTLSPEEVSAMVLARMKETAEAFLGEPVKDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAAIAYGLDTKAKDAKEEKNVLVFDLGGGTFDVSVLTIDNGVFEVLATNGDTHLGGEDFDHRLMDYLVKLVKRKHGKDLRRECERAKRALSSQHQVRVEIESLFDGVDLSEPLTRARFEELNSDLFRKTMTPVKKAMADAGLAKGDIHEVVLVGGSTRIPKIQQLLKDYFDGKEPSKGVNPDEAVAYGAAVQGSIVRGDNAEKLVVLDVTPLTLGIETAGGVMTPLIPRGTVIPTKKTKSFTTYQDRQTTVSVVVLEGERSMTKDNKQLGKFDLTGIAPAPRGTPQIEVTFEVDVNGILHVSAADKGTGKSEKIRITSAADRRITQEEIDRMVREAEEFAEEDRKVRERVDARNRMEAYVYHVRTTVDGEAGQGMDGGHKERVQEAAREANEWLEENPEADKDEYVEKLKELEDLCNPVFAAADSHKSGGGHDGAEEDDHDEL* >Brasy5G393100.1.p pacid=40076841 transcript=Brasy5G393100.1 locus=Brasy5G393100 ID=Brasy5G393100.1.v1.1 annot-version=v1.1 MRTICDVCESAVAVLFCAADEAALCRPCDEKVHLCNKLASRHVRVGLADPNKLVRCDICENSPAFFYCDIDGTSLCLSCDMAVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVPMQQIESENQRDQNKAPHSVPKEQIVSHHHAYDNQASDGNCNGQGNIDSKMFDLNMRPARNHGQGSSSQTQGVDLSANNHDSSGVVPTCNLERDTSK* >Brasy5G256500.1.p pacid=40076842 transcript=Brasy5G256500.1 locus=Brasy5G256500 ID=Brasy5G256500.1.v1.1 annot-version=v1.1 MTQSSSSRSSLWPRYGVVPLTQCPDCPRVEPLVRLTCKKLDTGNFGREFVKCESRPQPGKLLRQCTFFMWMDSYVEKLQLDGKLQGREEETPRADPRAEEGTEKAALLFLEEVKSMNEKLSKIVELRKEEIQVAKLFYACIVMLGLILVGFRF* >Brasy5G205600.1.p pacid=40076843 transcript=Brasy5G205600.1 locus=Brasy5G205600 ID=Brasy5G205600.1.v1.1 annot-version=v1.1 MAEDGGGGSSSSGCGVRDGARPVLVVSLPTHRNPRSAPATDLHGATGAGRKGSSGDAVLPEEIVVWEILVHLPAAAVLRCRAVCRSWRRLTSRADFILAHRSRQPSLPLVVLQGGTVTDPRPSSSEDGRRVLGFDEYYDGTGRRFKLCGSCDGLLLLSLLDRRFSICNPATRQCLPLPALTDAVGGSVVEALYLHGPSGEYRVLYWDEGRNLTDHNSVCYVMAVPQGREPRCIGVPADFPGMKLGGIARGRRMVTNHSRSPVLVRGCLYWDPGRSPHVNMVVFDTVAESFRSLRCPAAATSYCNRLHKMGGSLGFTCFEECRTVAKIWVLEDREGEVWSLKHRIKFPVESMYNFGDTEHLVLSHKGDMLVQNYSGRCMFHCDSNGKLIQEFRWELWGSSITGHLLKESLVKHAFFPKRGAARVGQPNLFRWL* >Brasy5G205600.2.p pacid=40076844 transcript=Brasy5G205600.2 locus=Brasy5G205600 ID=Brasy5G205600.2.v1.1 annot-version=v1.1 MAEDGGGGSSSSGCGVRDGARPVLVVSLPTHRNPRSAPATDLHGATGAGRKGSSGDAVLPEEIVVWEILVHLPAAAVLRCRAVCRSWRRLTSRADFILAHRSRQPSLPLVVLQGGTVTDPRPSSSEDGRRVLGFDEYYDGTGRRFKLCGSCDGLLLLSLLDRRFSICNPATRQCLPLPALTDAVGGSVVEALYLHGPSGEYRVLYWDEGRNLTDHNSVCYVMAVPQGREPRCIGVPADFPGMKLGGIARGRRMVTNHSRSPVLVRGCLYWDPGRSPHVNMVVFDTVAESFRTVAKIWVLEDREGEVWSLKHRIKFPVESMYNFGDTEHLVLSHKGDMLVQNYSGRCMFHCDSNGKLIQEFRWELWGSSITGHLLKESLVKHAFFPKRGAARVGQPNLFRWL* >Brasy5G130400.1.p pacid=40076845 transcript=Brasy5G130400.1 locus=Brasy5G130400 ID=Brasy5G130400.1.v1.1 annot-version=v1.1 MSANTGSASTRGAVLLPMATLLLRGLVGLLCTASRGFVSTALAHLPAFVERGRTSLNSLDQHERTAEHVSWVAGVGRAYCLLAMAFVTFNVVRRKMMIGGSLRGTVFLISADVACSALVAARAAAALGFTVENQGLIKMFDSDYMRFYESVQISCLFLLVATICMVVIIMISISLAK* >Brasy5G479500.1.p pacid=40076846 transcript=Brasy5G479500.1 locus=Brasy5G479500 ID=Brasy5G479500.1.v1.1 annot-version=v1.1 MDSTGSWGRDYGITGSSGTHRVCLVGRRGGTREMERDGWAAGGRRRGSARRTEELCAADDAPAAEGLYAGSGGTARGRRRACIGGDVRGRLGAGGGGALRWRQRGGSWAAAREGDARWEGGDSSRERERPLHLKKRPYRPYLASSMRGPLLQLGFSPLMRAICSPAIQTVAGDRKEQGFTLTVLQHEGSSSCSNQNGDRSWRLLLLRGRAGRRRRLLLRGREEVATVCCGAAGQRGGRGGVLSISHPGRGLLVGGTAADRPRPRTGKRRPQRSAQVRRLGFSVSKGWEMNDAAGRGRNRKGSGSI* >Brasy5G199300.1.p pacid=40076847 transcript=Brasy5G199300.1 locus=Brasy5G199300 ID=Brasy5G199300.1.v1.1 annot-version=v1.1 MMEAILDSLVGSCAKKLQEIITEEAVLILGVKEDLRELQRTMTQIQCFLNDAEERRTEESAVNNWLGELRDAMYYADDIIDLARSEGCKLLAEDASSSRKSTSCIGRSFFTCIPNVQKRHKIAVQIRDFNAELHKISEMGERNLKLQNIQLKAEVPTVKQTGTSHLVEPNLVGKETLRACRRLVELVLAHDEDKAYKLGIVGTGGVGKTTLAQQIYNDQKIKGKFSNPVWICVSQNYSEAALLKEILRNFGVHHEQNETVGELSSKLATAIAGKSFFIVLDDVWVPEVWTNLLRIPLHAAATGVILVTTRHDTVARAIGVKNPHRVDLLPADVGWELLWKSMNISEVKDVQHLQEIGMDIVRKCGGLPLAIKVAACVLSTEDKTENEWRKFINRSAWSVGTLPSELRGALYMSYDDLPRHLKQCFLNCGLYPEDRVMHRDYIAMSWIAEGFIQEQKGQLLEDTANEYYYELIHRNLIQPDGSTFDHDRCKMHDLLRQLACYLSRKESFVGDPESLGAINMSKLRRVTAVTEKDIMVLPCMDKGELKVRTFRTDQKTYSVEDTFFKRIPCIRVLDLTDSLVQRIPDYIGNLIHLRLLDLDGTNISFLPESVGSLMNLQVLNLSRCKALNSLPSSFARLYNLRRLGLNGTPINHVPKGIGRLEFLNDLEGFPVSGGRYNAETQDGWKLEELGHLLQLRRLNMIKLERAASSSTDSLLTDKKYLKLLSLFCTKHPVESYSEEDVGNIEKILEQLIPPHSLEDLAIAYFFGRRFPTWLGTTHLVSVKYLKLINCNSCVHLPPLWQLPNLKFLKIDGAASVTKIGSEFVGCRGDNPRSTVAVAFPKLEILIIHDMPNWEEWSFVEEGDAAAAAMEGEEDGSAEIRKGEAPSPRLQVLPRLKRLQLRGCPKLRALPRQIGQEATCLETLILRGASSLKVVEDLPFLSEVLWIAGCDGLERVSNLPHVGTLRVGGCPNLRCVERLGSLRDLWLYKDMQEISKLWVSGLQQQCQKFHDEDLDVYDWA* >Brasy5G404200.1.p pacid=40076848 transcript=Brasy5G404200.1 locus=Brasy5G404200 ID=Brasy5G404200.1.v1.1 annot-version=v1.1 MLAMARSGGVAQEVLVVCFFIAAALALGGVMGQEPPQSPTLWLPPPTPVQAYADGRPIVVDRSKPNRIFSGCNDDFGNPCVTQCSDACPQTCQFFSCSYCETTCSCMSRPGTACGDPSFTGGDGNTFYFHGRKDRDFCIVSDTDLHINAHFIGNHNPANKRTFTWIQALGLIFGEHRLYIGATGAVVWEEDEDHMEISFDGEPVDIDTANNARWVSKVMPTLSVTRINNFNSINVELAGVFKISASAVPITDEDSKIHKYGKTDKDSLVHLDLHFKFLSLTDSVDGVLGQTYRPDYINKMNVTAKMPIMGGAPKYLSSGLFSTDCDVSKFRRGGEANKIITSAS* >Brasy5G515400.1.p pacid=40076849 transcript=Brasy5G515400.1 locus=Brasy5G515400 ID=Brasy5G515400.1.v1.1 annot-version=v1.1 MPPPRKLRRLAEAAAAEADALISLPTDVVDEILTRLGLRDAVRTSALSRAWRRRWEALPSLEFSFPNLVDDDGAPKGLEAVDSILLRCPGRVRLFEACPDKLYAARIHDWILVLSRRGVETLDLSFNDCFPVLPSSVFSCSRLTSLSLFGCVIPFLPPGFEDLSELRKLTLVNVRLQENGEYQIEEIIRTSPLLEELILTDVYIGGADVIRKWVIRAPNLRHLTICSQENYGWILKELRCLRSAVVDLWDFVVHRNFLQILAGLVQVRKLLISTVFAPSNAKIPETLPCTFHNLKSMKLQMHFCELPPIMLTFCLLKSAPNLEKLKIEIFGEEQKVEANGEFQSALWTDGMCANLQVVQMTGINWLPNEMSFIELILSKARLLHTLSISHGEKIVMSSEDALNELLRYRRASAEAQVLFKGKAEDY* >Brasy5G266100.1.p pacid=40076850 transcript=Brasy5G266100.1 locus=Brasy5G266100 ID=Brasy5G266100.1.v1.1 annot-version=v1.1 MEDAEEISMFETSHVLGALLASSPLLARAWDRCAAAAAMGAASPGFVHGGGGGDEGGTVYVAFSGVQAVLSVAGAAVASGGDVFAPVGLAGDAAGARAFPQLAAAEPDAAAGDPVAVQALALRCFLKLCGSPEFQMLLNQIRGKGVVFTGHSLGGAIAALAALHYLCISSSSSAYATAPPVLCVTFGSPLLGNEALSRAILREQWGGNFCHVVSQHDVVPRLLFCPLDAVPVRIIVGLQLQQWPGCTRHAGNVTNSVEDAEQEALQQLIQAHIRVVAMEQKLAAPEMRGGSPYRPFGAYVLCSPDGAACVDSLTAAVQMLYATFAAECVSGSMKSLEAAHSCYGDLVLKMPQHLVLKRRPRAVDVPVAVSNSNYDAGISLALEASGIDGEATGATTVRHWLKASKRAGRSPSLNCAGLATRLGRITPCRAQIEWYKASFDSDTGYYDAFKQRRSPKKFHKANIYRIKLGQFWDGVLTMLETSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGSYITHGRERRYELFDKWWKGKGSFTGTSDTSTTASRSRSKYAGLTQDPCFWARVEDAREQTESATNEHDVAALATKLETLREFEHYAAELVESKEVSIDVLAPQSSYSLWLEEWKELQAHR* >Brasy5G266100.3.p pacid=40076851 transcript=Brasy5G266100.3 locus=Brasy5G266100 ID=Brasy5G266100.3.v1.1 annot-version=v1.1 MMLLNQIRGKGVVFTGHSLGGAIAALAALHYLCISSSSSAYATAPPVLCVTFGSPLLGNEALSRAILREQWGGNFCHVVSQHDVVPRLLFCPLDAVPVRIIVGLQLQQWPGCTRHAGNVTNSVEDAEQEALQQLIQAHIRVVAMEQKLAAPEMRGGSPYRPFGAYVLCSPDGAACVDSLTAAVQMLYATFAAECVSGSMKSLEAAHSCYGDLVLKMPQHLVLKRRPRAVDVPVAVSNSNYDAGISLALEASGIDGEATGATTVRHWLKASKRAGRSPSLNCAGLATRLGRITPCRAQIEWYKASFDSDTGYYDAFKQRRSPKKFHKANIYRIKLGQFWDGVLTMLETSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGSYITHGRERRYELFDKWWKGKGSFTGTSDTSTTASRSRSKYAGLTQDPCFWARVEDAREQTESATNEHDVAALATKLETLREFEHYAAELVESKEVSIDVLAPQSSYSLWLEEWKELQAHR* >Brasy5G266100.2.p pacid=40076852 transcript=Brasy5G266100.2 locus=Brasy5G266100 ID=Brasy5G266100.2.v1.1 annot-version=v1.1 MLLNQIRGKGVVFTGHSLGGAIAALAALHYLCISSSSSAYATAPPVLCVTFGSPLLGNEALSRAILREQWGGNFCHVVSQHDVVPRLLFCPLDAVPVRIIVGLQLQQWPGCTRHAGNVTNSVEDAEQEALQQLIQAHIRVVAMEQKLAAPEMRGGSPYRPFGAYVLCSPDGAACVDSLTAAVQMLYATFAAECVSGSMKSLEAAHSCYGDLVLKMPQHLVLKRRPRAVDVPVAVSNSNYDAGISLALEASGIDGEATGATTVRHWLKASKRAGRSPSLNCAGLATRLGRITPCRAQIEWYKASFDSDTGYYDAFKQRRSPKKFHKANIYRIKLGQFWDGVLTMLETSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGSYITHGRERRYELFDKWWKGKGSFTGTSDTSTTASRSRSKYAGLTQDPCFWARVEDAREQTESATNEHDVAALATKLETLREFEHYAAELVESKEVSIDVLAPQSSYSLWLEEWKELQAHR* >Brasy5G266100.4.p pacid=40076853 transcript=Brasy5G266100.4 locus=Brasy5G266100 ID=Brasy5G266100.4.v1.1 annot-version=v1.1 MLLNQIRGKGVVFTGHSLGGAIAALAALHYLCISSSSSAYATAPPVLCVTFGSPLLGNEALSRAILREQWGGNFCHVVSQHDVVPRLLFCPLDAVPVRIIVGLQLQQWPGCTRHAGNVTNSVEDAEQEALQQLIQAHIRVVAMEQKLAAPEMRGGSPYRPFGAYVLCSPDGAACVDSLTAAVQMLYATFAAECVSGSMKSLEAAHSCYGDLVLKMPQHLVLKRRPRAVDVPVAVSNSNYDAGISLALEASGIDGEATGATTVRHWLKASKRAGRSPSLNCAGLATRLGRITPCRAQIEWYKASFDSDTGYYDAFKQRRSPKKFHKANIYRIKLGQFWDGVLTMLETSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGSYITHGRERRYELFDKWWKGKGSFTGTSDTSTTASRSRSKYAGLTQDPCFWARVEDAREQTESATNEHDVAALATKLETLREFEHYAAELVESKEVSIDVLAPQSSYSLWLEEWKELQAHR* >Brasy5G283500.1.p pacid=40076854 transcript=Brasy5G283500.1 locus=Brasy5G283500 ID=Brasy5G283500.1.v1.1 annot-version=v1.1 MLLYTVQFLCSVAAAFARLLRALRKAMASVLRSPTLSVPAAASPASSAPLSLRRGNNKVHPIQFFNGDALHEQRESKEQLLIKPTAVAMDVFEACNGKDNFKTEVEKTKKAAWAARRRPSMLVIPVSPPEAGEELTAGWGVAAVAAEKEAKAEVEGDGFWVASRRGARHGMEDSYGVITHKDGADSQLAFYGVYDGHGGRAAVDLVSDRLGKNVVAAVLSATEATHDAVTAAIRAAYVATDSEFLRQGVRGGSCAATALVKGGDLYVANLGDCRAVMSLDGAATALTSDHTAARDDERARIENSGGYVSCGSNGVWRVQDCLAVSRAFGDAGLKQWVISEPEIRRQPLTDGCEFLVVASDGLWNKVSNQEAVDAVSSSRRSRRHSSGSHSCKELVDLARGRGSRDDITVMVVDLTRFLR* >Brasy5G225100.1.p pacid=40076855 transcript=Brasy5G225100.1 locus=Brasy5G225100 ID=Brasy5G225100.1.v1.1 annot-version=v1.1 MGAAAPRGDILPLKSSLTVEEHDTDAGILRSPDGTFACGFHAIYTGAFTFSIWYHHSNSLNETAVVWSANRGRPVLSRRSLVTLRGDGTMVVADHDGKVVWQTQGGLPNVKHAQLLDTGNLVLRNTTGDIVWQSFDSPTDTFLPTQRIPAMAKLTSTAGGGQLHLPGHYSFRFSDQSILSLFYDDANVSDIYWPDPDYEYYENNRNLYNSTRMGSLDDSGEFFASDFASHQPLVASDKGFGIKRRLTLDPDGNLRMYSLSSSNGSDTDSDRATWTISWVAVSQPCMIHGLCGPYGICHYSPGPTCSCPPGYAMRNPGNWTQGCKLIIDTIGCGKREQDVQFLRLPDTDFWGSDQGRIGKVSLEACRKACLRECTCKGFQYQPGNGTCYPKSFLFNGRSFPTPTVRTMYIKLPASANVSSTPIPQSNMLSSETRGLKCDDPASAKTVQPVRDVVEREDDDAGEEPKWVYFYGFIAALFVIEVSFFAFAWFFVLRREFRSSQLWAAEEGYRVMTSHFRMYSYRELVKATEKFKYELGWGGSGVAYKGTLDDERAVVVKMLENVTRNKEEFQDELRVIGRINHMNLARIWGFCSERSHRMLVLEFVENGSLANMLFSNKILLEWDQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLQPKITDFGFAKLLSRSGSNQNVSQARGTLGYIAPEWVSGLPITAKVDVYSYGVVLLELVLGTRIVDSVVGSEEDVHGVLNKFVQMLTYRLDGEELLWLDEFVDFRLGGKFNCLQAKELIRIAVSCLEGNRKKRPTMESIVEILLSIDEAESL* >Brasy5G225100.2.p pacid=40076856 transcript=Brasy5G225100.2 locus=Brasy5G225100 ID=Brasy5G225100.2.v1.1 annot-version=v1.1 MGAAAPRGDILPLKSSLTVEEHDTDAGILRSPDGTFACGFHAIYTGAFTFSIWYHHSNSLNETAVVWSANRGRPVLSRRSLVTLRGDGTMVVADHDGKVVWQTQGGLPNVKHAQLLDTGNLVLRNTTGDIVWQSFDSPTDTFLPTQRIPAMAKLTSTAGGGQLHLPGHYSFRFSDQSILSLFYDDANVSDIYWPDPDYEYYENNRNLYNSTRMGSLDDSGEFFASDFASHQPLVASDKGFGIKRRLTLDPDGNLRMYSLSSSNGSDTDSDRATWTISWVAVSQPCMIHGLCGPYGICHYSPGPTCSCPPGYAMRNPGNWTQGCKLIIDTIGCGKREQDVQFLRLPDTDFWGSDQGRIGKVSLEACRKACLRECTCKGFQYQPGNGTCYPKSFLFNGRSFPTPTVRTMYIKLPASANVSSTPIPQSNMLSSETRGLKCDDPASAKTVQPVRDVVEREDDDAGEEPKWVYFYGFIAALFVIEVSFFAFAWFFVLRREFRSSQLWAAEEGYRVMTSHFRMYSYRELVKATEKFKYELGWGGSGVAYKGTLDDERAVVVKMLENVTRNKEEFQDELRVIGRINHMNLARIWGFCSERSHRMLVLEFVENGSLANMLFSNKILLEWDQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLQPKITDFGFAKLLSRSGSNQNVSQARGTLGYIAPEWVSGLPITAKVDVYSYGVVLLELVLGTRIVDSVVGSEEDVHGVLNKFVQMLTYRLDGEELLWLDEFVDFRLGGKFNCLQAKELIRIAVSCLEGNRKKRPTMESIVEILLSIDEAESL* >Brasy5G021300.1.p pacid=40076857 transcript=Brasy5G021300.1 locus=Brasy5G021300 ID=Brasy5G021300.1.v1.1 annot-version=v1.1 MAGATHPTSLHQATALVLVFFQKLIVFFLLISLATPVDGAVLLALPGCPDKCGDVLIPYPFGIGAQCAAVSLNSFFNLDCNNTFQPPQPMVGGPAGVAIEVADISLERGELRVLVPVSYICFTSSATEEEIVWWFSLSNTPFLPSPGHNRFTVIGCNTLGLVGGFRGGTSQYLAGCYTYCDGPNGASDDGAPCTGTGCCEASIPTNLTDLRVVFPNKNSSVWEFNPCFYAMIAEVGWYSFRRRDLVGQLGFVSDRAKNGVPLIVNWAVRNGSCPEPRNYACVSTNSYCESASNGPGYLCQCSLGYEGNAYLHNGCQDRDECVLREQDPKNEELYPCRHGVCLNTPGGYDCKCKGGTKSDGTNFGCRPLHTRDEQLAIGLSVSAIVMISSACFLIMQLQKRRHKKDKEEYFKQNGGLKLYDEMRSKQVDTVRILAEKEIRRATDNYSEDRVLGCGGHGMVYKGTLDDQREVAIKKSKVINDNCRDEFVNEIIILSQINHRNIVRLLGCCLDIDVPMLVYEFVSNGTLYEFLHNSADHILSPIPLDLRLKIATQSAEALAYLHSSTSRVILHGDVKSANILLDDQRHAKVADFGASALKSIDESEFIMLVQGTLGYLDPESFISHLLTDKSDVYSFGVVLLELVTRKKALYVDNNSNEKRSLSHNFLLMFHQNKHKTMLDPEIADNDVDMVVVEELATLAVQCLSARGDDRPTMQEVAERLRVLRRHLVHAAGDEKTHCYFDINYGGSPSVVLPLDEMTEGSLETCKLVLAV* >Brasy5G294300.1.p pacid=40076858 transcript=Brasy5G294300.1 locus=Brasy5G294300 ID=Brasy5G294300.1.v1.1 annot-version=v1.1 MSPGYTKRFSVARGSFDLSTHHSACRVRGRTGPDFCVGTPRDIGAVENAIRNAPAVGHGKKIF* >Brasy5G022100.1.p pacid=40076859 transcript=Brasy5G022100.1 locus=Brasy5G022100 ID=Brasy5G022100.1.v1.1 annot-version=v1.1 MVGAKQASKKIMRWIDKIPKKPNFWQLSQASKILPGQLWTRSKRILNRRPISIFNNQIGPQPKSCFWLLTSKSLAPHVIEPTATLTTARGFQSTVYKPRPPNPSSRPSLMLFVPRQVALASLSPPAAAAAMAEEPPRKEKKSKGRSKAPAKRSPEARRAAAVACVAAFLESGGFPRTLAAFQSEAKLDGGSWRTPAVSLEELVAKFLDPSHSAPGDSIAASTQQEKIADVVVEEKEAGKKKKNKKAGAEVGEPEVEASEPSAPEKPSEKADVIAKTEDQKPDGKKKKSKKQEKNEDVEARLEKAQLAVKNKFEAAGKLKDGKKSGEEEPKSQNDGSDKNGLGDGAPLDKGKKKKKSKSTSETSDKIDAGTAPTEVERKSNGASVNSDAVEEGKDANEKKSKKKKKKLSSEENVLVEEKQVPKSDDQNKIGMDIETGEDGRVSTDNGVTKKRKLEDKEGITGTEDDANECSTISKPNKRQKLSEPKALIPFQRVKLDDVKFADERLQDNSYWAKGGADSGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGLIDLQTHSIKFDNSDDE* >Brasy5G146200.1.p pacid=40076860 transcript=Brasy5G146200.1 locus=Brasy5G146200 ID=Brasy5G146200.1.v1.1 annot-version=v1.1 MSGQEGFSLRSCTTKSKAKENKRKAAGHPKAKGRARKIKGRCSKSSGAAAAEEKEVNSAEAVLSQVADPCAEAAVPAKEKKDDPDFEEVYAQCREEYATFIREEILLDSLNWVMSDFEDEEDEEELGRSAVDDEVAPAESKQ* >Brasy5G185000.1.p pacid=40076861 transcript=Brasy5G185000.1 locus=Brasy5G185000 ID=Brasy5G185000.1.v1.1 annot-version=v1.1 MATAAGLSREERWSLAGAAALVTGGSKGIGHAIIEELAGFGARVHTCSRNAAELEGCRRRWEEKGIPVTVSVCDVSSRADREKLVETVRENFDGKLDILVNNAGQLHFKAAVEWTAQEYSNIMTTNLESSFHLSQLAHPLLIRSSIAGGGSIVNISTISGSIAYPGVALYAISKGGMNQLTRSLASEWANDNIRVNAVAPGFITTDMTRNIEPDVLEKEYAKTPMRRSGEPVEVAAAVSFLCMPAASFITGQVIFVDGGRTISA* >Brasy5G343700.1.p pacid=40076862 transcript=Brasy5G343700.1 locus=Brasy5G343700 ID=Brasy5G343700.1.v1.1 annot-version=v1.1 MAGPAAQQKGGSGGRGSAGSGAGCGGPAPFLTKTHQMVEEPATDEVISWGEEGRSFVVWKPAELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANENFRRGEQSLLSGIRRRKTATTTPQFSKSCGSGGVNVAFPPPLLHPLPPASASTSGNDHSSSSAASSPSRHQDLAGDNERLRKDNHTLAVELALARRRCEELLGFLSRFLDVRQLDLRLLMHDEDTMQAAVARERCQDQEQQAGCEEKNVKLFGVLLKDAPARKRARCDEAAASERSSIKMTKMGEPWVGVAPAGPAR* >Brasy5G200700.1.p pacid=40076863 transcript=Brasy5G200700.1 locus=Brasy5G200700 ID=Brasy5G200700.1.v1.1 annot-version=v1.1 MVVADVAVTVVKLIFNLVVAIRMASRKAKRNEKECRDIADQASSLEASLSSLDDDGAAPRHPMVTSALKELHDTLQQALQAVRKLEEDGDAGSRVNAAKVSHDLGKLSQSIRDRKQDLNLAACLDTNSIVQQSKHRGDGGLLLPPLPQIQEVEPAATSSGIKKFSLSELEAATKTFSKQYLIEESDCCTVYKGELRDGSKVAIKAYSKMQYEDCRKECDNEEHIAGNLLHKNILKLVGSCSSGGPHHRAAGLHAESSSAANPTLFYQVYEYMQNRSLSDNLHGSKIEWSKIFNTIIQGIARGVDYLHEQCGRGIVHLHLKPSSILLDHDYTPKICDFGISKMLPASAKEGIVDTIIGTWGFMAPEYMSSRRYSIKSDVYSFGVILLELISGWSRHEEEKNSKDPVNELVWGYWKKGLLDECVDTRLAGATGVTESQIEEMKRCIHVALLCVEEDPALRPDMSDVLWMLRDNSPIAGRRSPRCPAYTT* >Brasy5G508300.1.p pacid=40076864 transcript=Brasy5G508300.1 locus=Brasy5G508300 ID=Brasy5G508300.1.v1.1 annot-version=v1.1 MGSEERSPKQQQINGGGEGRTGKKERLLDFLRAEPAPTTNSKGGAFLARVATLRTRAAARLLRERRRAVDWRGLRRRAAAWARHPMNAALLAWLAFVAGGVGLVFLLMVGALDGAVPEPARRRRWAEVANQMLNALFTVMCVYQHPQLCHHLALLFRWRAPGDPAELRSVYRKNAGAGEEEEEGGLRNERAHVAVVVALLHGTCLAQYASCALFWLLRPGERPAWAVNLAMGLGLAFPVAAGLYSLYGPLKARPPGPDPTAAGPGADEEKAAMATAGTGRGRRKAVGEPEWAGGLLAGLGDDPAAAAMSACCGFCVFGWNMERLGLGNMYVHVFTFALLCAAPLLVFAAAALSIARDDADHAGAAGELWWYLAGAAGALLSVLGLAYGGFWRSRMRRRFGLPAGDELCRCAGGRVIRCPAADYGKWLLCAPCALAQEVRTGNLYDVEGGSFYAREEEEVKKSMAPLEREGSLGALLAAEMAVVEMEMPPEPTRVKR* >Brasy5G474200.1.p pacid=40076865 transcript=Brasy5G474200.1 locus=Brasy5G474200 ID=Brasy5G474200.1.v1.1 annot-version=v1.1 MLLRRSRSSEIRGRFPFRQIRRREGGRSRPPWRPWRSSPARSAGPAPVDAAPRRPSTPPPPPRADLRVRSNLFGAGRRQVGRAEQPHRASCVAHGGERTDQGSGSGGSFGS* >Brasy5G326200.1.p pacid=40076866 transcript=Brasy5G326200.1 locus=Brasy5G326200 ID=Brasy5G326200.1.v1.1 annot-version=v1.1 MSRRPKPPSPSPLGAAGRGAGSSAGRRGTGTGANSSAGGSVAVSKRNAASKISEKAKRKFPIVRSCNSQASGDGLSEFVNEERVVISDEDGDEDDGKELT* >Brasy5G511900.1.p pacid=40076867 transcript=Brasy5G511900.1 locus=Brasy5G511900 ID=Brasy5G511900.1.v1.1 annot-version=v1.1 MEPLSPKLLASFTILIMAAAAVLVSATAAVLPSLQRQAGALLAWKATLDNESQHALRSWANMSAPCNWRGIRCGMDKVHRPVIANISLRGMRLGGTLEALDFSALRTLTRLDLSGNRLAGSIPSRIKVLVELHALLLQGNQIRGSGFWHTSLLGSSPPLSSSWCP* >Brasy5G200400.1.p pacid=40076868 transcript=Brasy5G200400.1 locus=Brasy5G200400 ID=Brasy5G200400.1.v1.1 annot-version=v1.1 MVLLFQPCLLLGVSVGVLCNVVLPDWLVTALFSLFLAFCTVKTCRAGLKIWSSESRARSHHHERENKEPLLELLPGQAQAAGHGAGFPWKDVAVLVAVWLCFFLLHAFIGDEYAKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.2.p pacid=40076869 transcript=Brasy5G200400.2 locus=Brasy5G200400 ID=Brasy5G200400.2.v1.1 annot-version=v1.1 MVLLFQPCLLLGVSVGVLCNVVLPDWLVTALFSLFLAFCTVKTCRAGLKIWSSESRARSHHHERENKEPLLELLPGQAQAAGHGAGFPWKDVAVLVAVWLCFFLLHAFIGDEYAKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.3.p pacid=40076870 transcript=Brasy5G200400.3 locus=Brasy5G200400 ID=Brasy5G200400.3.v1.1 annot-version=v1.1 MVLLFQPCLLLGVSVGVLCNVVLPDWLVTALFSLFLAFCTVKTCRAGLKIWSSESRARSHHHERENKEPLLELLPGQAQAAGHGAGFPWKDVAVLVAVWLCFFLLHAFIGDEYAKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.4.p pacid=40076871 transcript=Brasy5G200400.4 locus=Brasy5G200400 ID=Brasy5G200400.4.v1.1 annot-version=v1.1 MVLLFQPCLLLGVSVGVLCNVVLPDWLVTALFSLFLAFCTVKTCRAGLKIWSSESRARSHHHERENKEPLLELLPGQAQAAGHGAGFPWKDVAVLVAVWLCFFLLHAFIGDEYAKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.5.p pacid=40076872 transcript=Brasy5G200400.5 locus=Brasy5G200400 ID=Brasy5G200400.5.v1.1 annot-version=v1.1 MVLLFQPCLLLGVSVGVLCNVVLPDWLVTALFSLFLAFCTVKTCRAGLKIWSSESRARSHHHERENKEPLLELLPGQAQAAGHGAGFPWKDVAVLVAVWLCFFLLHAFIGDEYAKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.6.p pacid=40076873 transcript=Brasy5G200400.6 locus=Brasy5G200400 ID=Brasy5G200400.6.v1.1 annot-version=v1.1 MALPTILPPHIFSRRTVEEQMVNCFKGMIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G200400.7.p pacid=40076874 transcript=Brasy5G200400.7 locus=Brasy5G200400 ID=Brasy5G200400.7.v1.1 annot-version=v1.1 MIRITPCGIAYWVLTISQIPFAVAFTAYIIYAKRKKQVPHNQEEAMVMQANRVETTTETFLPSLILPLAAFVTGTLSGLIGIVGGLLLNPLLLQMGIHPQTAAATSSFMVLFCASMSMVQLILLGMDGIGEASVYAGICFVASLVGAVLVKRAVTKSGRVSVIVFLVTAIMALSTVIVACFGALDVWKQYNGGAYMGFKLPC* >Brasy5G445900.1.p pacid=40076875 transcript=Brasy5G445900.1 locus=Brasy5G445900 ID=Brasy5G445900.1.v1.1 annot-version=v1.1 MAVDHRDLSSSIVAQAEKISHVIKIDGYSMTKGLRENGSCATSLSFKVAGHSWAVRYYPNGYLAEEAGYVSLYLVLQPPYAGRNPKVIFGFSLLDKDLTPVPSYSPTGPARTFSKQSPSCGHGRFIRKADLERSAHLREDCFSIRCDVTVLKDIQVRENKAEFVAEFVVVPRSNLGRHLAGLLETLDGADVTFLVAGERLSAHRCVLAARSSVFKAELFGAMKEKEAGGVVEIGDMEADVFRSLLHFIYTDSLPEMTTGIESDVAMAQHLLVAADRYDVERLKLMCEDKLCRNIDSTVVATSLALAEQHGCPGLKEACVEFLDSASNFEVMLTSDGFDHLNNSYPSLLSELIRRLTPACSN* >Brasy5G106000.1.p pacid=40076876 transcript=Brasy5G106000.1 locus=Brasy5G106000 ID=Brasy5G106000.1.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRDVYYFFRTCAGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.5.p pacid=40076877 transcript=Brasy5G106000.5 locus=Brasy5G106000 ID=Brasy5G106000.5.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRDVYYFFRTCAGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.2.p pacid=40076878 transcript=Brasy5G106000.2 locus=Brasy5G106000 ID=Brasy5G106000.2.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRDVYYFFRTCAGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.6.p pacid=40076879 transcript=Brasy5G106000.6 locus=Brasy5G106000 ID=Brasy5G106000.6.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRDVYYFFRTCAGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.7.p pacid=40076880 transcript=Brasy5G106000.7 locus=Brasy5G106000 ID=Brasy5G106000.7.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.4.p pacid=40076881 transcript=Brasy5G106000.4 locus=Brasy5G106000 ID=Brasy5G106000.4.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.3.p pacid=40076882 transcript=Brasy5G106000.3 locus=Brasy5G106000 ID=Brasy5G106000.3.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G106000.8.p pacid=40076883 transcript=Brasy5G106000.8 locus=Brasy5G106000 ID=Brasy5G106000.8.v1.1 annot-version=v1.1 MQDFGQWLPQSQNTADLYFSSMVSSQLDTSIETQVRNSTVALLEKESTHSFDIRDGAGHIEVIRNDAGAIKETENGAGLTDGIDLNKTPPPKTKRKKHRPKVLKPSKTPKSATPKPSKAKEERPSGKRKYVSKNTPAGQPPPEQAANSHCSAKLKPAMRCLNFNGAVPQEKPHPGSQAQVVSTNLKDYQPSISSTSQGNVQSQLACHLGYTPRSIYSSANQMADVHLLPADNNNMTEALYSSAKQMANAQLLPADNMLKGVSLDLNSSTNQIQYESANFVDRPTEFFQSGIMETLRTGSLLELCSDMPDKNLPDLNRSIGLMQGMSTDCTDYFLSSSQVSVEETKMARQMLNCHRLPENPITHAHCSEGVAIRENSNSNVCSREAGVNNPMFYGYRSTQNPISPPVMENLNELATINDYVKYTASSYRPTGGAFGLHGPHDSALDNRGEHNASCSAHISLGVKFDHQRNGRASVGASHAATSQGSYFPATYKRMRMDNQSNCLNVDVANFSAPSGYLSNNRNTNVVSAINSNVFTLADAQRLIAREKLRASRGMIRFGASGNDMVKRPEMVRQDHRPAMHGTAYMDSAEASGRHSRFVTQEFTQLPSNPNSLQSQNYIPRIESHQLQPLEGNTAKGSDLPAELRKQSTSLEEDTRNIVCVDPSDELGRRVNGERSRFSVPPTTQSTSNDTAKKNSSQLSGEVIRPLISPINPSPCTDVLSTESYQVEVCGETTAAKPSVKRKVGRPRKEIKPGMKPKPRGRPRKEKLLGTELKSSHTDPLQIEDISFVSGPHVGESLAPKGVNTERSGESSLRNIESLADPLDLIIQKIKVLDITKSDDTGAVEPHSALVPYNGEVGAVVPYEGKVKRKRARAKVSLDPVTALMWKLLMEPDMVDGSEEMDKDKEKWLDEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKSEVSKIPSDRMFHTPSEKNGGCSGLFGDSVKLQGNILVEEVTNTTGSLVTTEEKEGSNSIGLFGNSPGGGVDCAAGVYYNSCGMLPVRLPESKPPSVGTGSFVEVDDGALEDVVSSQNSTISSQSSPDYLLHVTDPMFPSRLLNFTVEDFVGRNMAHGTSNSTTYTELLKMQELKSKPNENSGLSKYGAQIQVTNKRSMLNEVRNPSLKHQPLHSSVSYHQNGQAHLPDITYANDLEHSVYPGINRIDDSSGTVAPARFDCPLSSPGTDSENKTKMTDSLTALLYCIDESLSQNEISFPYGTTPGADLISPMMDKYFQPASADTVSFTREQSYEKNLSRNGIEAALVEQHDTLNLQEECITRANQIGGDNHQSVCSQQYGNVELPTNKDESRYSSNLCQNEKANSEPLQGVALDSIEKLKDTRKSFPEVPADGSSKAKKARVGTGKKRAYDWDILRKEVLVNHRNEERANNAKDALDWETIRQIDVKEISDTIRERGMNNMLAERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIVAESCQQPYISSRPLNQLDWNAHTHDHVLGNRQPIIEEPASPEPEPETAELKEGAIEDMFFDDPEEIPTIKLNFEEFAQNLKNYMQVNNIDIEDADMSSALVAITPEAAFIPTPRLKNVSRLRTEHQVYELPDSHPLLEGFDQREPDDPCPYLLSIWTPGETAQSADAPKTSCNSHGSGKLCDSSACFSCNSIREVQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSVPTIFKGLTTEDIQHCFWRGFVCVRGFDRISRAPRPLYARLHFPASKITRNKKTTASAARDDA* >Brasy5G478200.1.p pacid=40076884 transcript=Brasy5G478200.1 locus=Brasy5G478200 ID=Brasy5G478200.1.v1.1 annot-version=v1.1 MADFAAPSSPAMQPPSDSAPTPDAPTPMQAPSDSAPTPEAAAANTPTLPDTPASASAADPETPFSDAATPSDADASAVAPADGLGEDDGINDPSGAVGRKHMTLAPPAPPSKKSKKKGGNCVWTRPTSRKGKKKAKQPGHAGASSSGANGNGGRPRPSCGEDEFLLTPAPRLAAALSDDAPELPVLLSRVYKSDKIEVSEDRLTAGSTKGYRMIRATRGVASGAWYFEIKVVHLGSSGHTRLGWATSKADLQTPVGCDGFGFGYRDVDGSKVYKAWRDNYADAYGEGDVVGFYISLPQGELYEPKQPDLVKYKGMPFHAQGVKDEKNTPVPVPGSEIVYFKNGVCQGSAFSDIPGGRYYPAASMYTLPDQANCEVKFNFGPDFAFFPEDFGDHPVPRPMSEVPYQAFELKNEIPAENGIAEKAG* >Brasy5G273500.1.p pacid=40076885 transcript=Brasy5G273500.1 locus=Brasy5G273500 ID=Brasy5G273500.1.v1.1 annot-version=v1.1 MPPKRYAVPRSTQAGDAAAKKPKEKERPLGMSNADWAADCTCRSVENASRLERERKAKKRNADLARQMEAQRVAASAQMAANKAGIAAPRPPSGQHWSSGSQGSSSSSPSPSGISPVSPHMPHDNHGNATPSLSRFSPDYPDTDPLGGFNPNTFAADPLGDFNPNAFASPPLRRGPLSYGGYSPSASFQQFPAGCSQPAPNPFGGMGKKKAAAGKKKAGGGGRGPNWTSKEDECLAEAWKIVSMDPFTGVNQSGVTYWRRVKTAYDERRRIDRQFASVTHDRNESDLSHRWQMIQQACNKWHGIQEEVRRRPQSGSSAHDQMVAMFTAFREDNDGVEFKFIHVFARIETCDKWTETRNALSKSGTYDPKAAPPAAAEGRPIGHKKAKAMRDAAPATEHLYTCIEKCMSDAAAQAAKREELAAKREEVAASRWAMVIKKQDDKLQILKANGAAKKRWEDLLILTCDTTGMDAEVKAWYDDQRRLILAEARTPASAPATAAPATSTPSAPSPPETATPATSTPPAGSEVPSTPADDEGAE* >Brasy5G386700.1.p pacid=40076886 transcript=Brasy5G386700.1 locus=Brasy5G386700 ID=Brasy5G386700.1.v1.1 annot-version=v1.1 MLKFHPQENLSARCFVPESFFFLFFSSPRQPRVSLAADAASSSFPSPAGTAACAGSETSRLLPPRPNCPTAATFSSRPQPASPARARRSPRPPSQLPLPPLACARSALSPPCPALALPSLSTPAAAAPAPAISVAARRRRTGPPARPAVSVATRRRHDPPTVATCRRRHWSYHRGHCLTISAVSRKVKEVI* >Brasy5G361700.1.p pacid=40076887 transcript=Brasy5G361700.1 locus=Brasy5G361700 ID=Brasy5G361700.1.v1.1 annot-version=v1.1 MGARENGEERNDHSSDVEQDGKQGKGAESDYQAARDSLSSQGEANSNEDTKVKRVSRVPKKLAKKELKLNSPRSARSNSARLVNTKLQYISPNKNKSPRPSQVDNGARTLEVQKPETVEVPSCPSSDVSEETNDKVIEGGTIDGKVTDGKANEHRATNDKVTEGIATDDKANEDKLTDDKSIDGIATNDKATEDKLTDDKSIEGIATNDKATEVIATNDNTIEGIVTDDKGIEGIAIGDSATEEAKEIDVLDEAPNCDQSTGTDDEIADTEQNILDNEKSVGYEKFEELESKIEKLEQELREVAALEVSLYSIVPEHGCSSHKLHTPARRLSRLYIHAWKFWSPDKKASVAKNSVSGLVLVAKSCGNDVSRVQFQCRLTFWLSNTVVLREIIAQTFGISNQSTTVKKKAFTPNSSAKKLYKNSPPMRWRNNSSGKHARPTIMQFPEDWQETSTILGALEKIESWIFSRIVESVWWQALTPRMQTPVEDSSTPNTGRLLGHSLGAQHQGTFSINLWKTAFCDVFNRICPLRAGGHECGCLPILAELVMEKCIARLDVALFNAILRESENEIPSDPISDPILDSRVLPIPAGDLSFGSGAQLKNSIGSWSRLLMDMFGMDGDGSHKDGQDAGADGDGRRDGAGSISFKLLNELSDLLMLPKDMLLEKSIRKEVCPSMGLPLVTRILCNFAPDEFCPDHVPGLVLEELNSESLVERFTEKDVISTFPCIAAPVVYHAPRLEDVAKKVSDTSGNAEVDRRASIVQRRGYTSDDDLDDLGSPLMSLYDRSTPPSPCDGVAHFSTRRAGSMANARYELLREVWSEHLK* >Brasy5G361700.2.p pacid=40076888 transcript=Brasy5G361700.2 locus=Brasy5G361700 ID=Brasy5G361700.2.v1.1 annot-version=v1.1 MGARENGEERNDHSSDVEQDGKQGKGAESDYQAARDSLSSQGEANSNEDTKVKRVSRVPKKLAKKELKLNSPRSARSNSARLVNTKLQYISPNKNKSPRPSQVDNGARTLEVQKPETVEVPSCPSSDVSEETNDKVIEGGTIDGKVTDGKANEHRATNDKVTEGIATDDKANEDKLTDDKSIDGIATNDKATEDKLTDDKSIEGIATNDKATEVIATNDNTIEGIVTDDKGIEGIAIGDSATEEAKEIDVLDEAPNCDQSTGTDDEIADTEQNILDNEKSVGYEKFEELESKIEKLEQELREVAALEVSLYSIVPEHGCSSHKLHTPARRLSRLYIHAWKFWSPDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISNQSTTVKKKAFTPNSSAKKLYKNSPPMRWRNNSSGKHARPTIMQFPEDWQETSTILGALEKIESWIFSRIVESVWWQALTPRMQTPVEDSSTPNTGRLLGHSLGAQHQGTFSINLWKTAFCDVFNRICPLRAGGHECGCLPILAELVMEKCIARLDVALFNAILRESENEIPSDPISDPILDSRVLPIPAGDLSFGSGAQLKNSIGSWSRLLMDMFGMDGDGSHKDGQDAGADGDGRRDGAGSISFKLLNELSDLLMLPKDMLLEKSIRKEVCPSMGLPLVTRILCNFAPDEFCPDHVPGLVLEELNSESLVERFTEKDVISTFPCIAAPVVYHAPRLEDVAKKVSDTSGNAEVDRRASIVQRRGYTSDDDLDDLGSPLMSLYDRSTPPSPCDGVAHFSTRRAGSMANARYELLREVWSEHLK* >Brasy5G011500.1.p pacid=40076889 transcript=Brasy5G011500.1 locus=Brasy5G011500 ID=Brasy5G011500.1.v1.1 annot-version=v1.1 MEESSFFMQWALNTLDQNQHPSSSSAPPPPPAYDGGDSGAAAAPSFPSLHALRQSGRGGRNLQVDVHRAAATTSWSGSDDSPAAAAAAMDHDASPAATAAGWSPHTARARTSGGGGGGRQMSWNFGAASAQPTAEIISPPQQPAAAPARSGGRQAASSPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQDKVKTLEDEDGNQQHTSAAIQYSAVLVNKKKPCLASLATSSDEAGGESSESQNGSGLPEIEVRLSEKSVLVRIHCENAKGLLVRVLAEVEALRLTITHTSVMPFPAATAIITITAKVEEGFNTTVEEIVRKLNSALHRHYRANSDQNTGNGEF* >Brasy5G193400.1.p pacid=40076890 transcript=Brasy5G193400.1 locus=Brasy5G193400 ID=Brasy5G193400.1.v1.1 annot-version=v1.1 MRIRLSMAESQKRMRPSSSPSNATAAVKKQQVSSAQRPSAAAASPVAGGGGPAANQAADTAAVVVVDKDLWLHCSAALKPPIYKCEAAGHKVCSACRGAGTTVVPSSGSNPCWACADNGAAAAASTYTRCSGDDLDALMGALRVPCPHSAYGCSEQVPYHALDDHRLSCLDAPCSCSVSPCSGSFLGSQRGRLLAHLTGLAHSWPTATAIDLGKAQQLDLALAGPNSCRLLVCERQGRVFLLVAGGYTLAAAAGETAATTISQVSLTCLRAAAAGPHYRARLWAHAPRDPVSGVEDRQEAEFLVESRASPCEIGVEEDGTVLSLKRKFLHGDGEEERKILLFVRIDKLRDKPPAPASS* >Brasy5G159500.1.p pacid=40076891 transcript=Brasy5G159500.1 locus=Brasy5G159500 ID=Brasy5G159500.1.v1.1 annot-version=v1.1 MTGTSSSSRRLSAPSNPRHLLQFLGTSSRSLSESAGWRRRRQTAWKGRRIHALREPARLFHPGLGQARLFSTADQQQRQTTTKQHLYLVLDDRQNGFTIHKLDMDLDVGCDPDGIDAPLSLPEPPVIRLGPPTMGKFAQFAALGSHIIAVCPCTTGMSMVEEGFDGATVIFDTKTSVFSVADILPSKLPFGYEAAIAVGNRLYVLESNPNDNERHWPWQPLSDDSQFSWSWTVNPPKFPFDPKSITAYGVHPCTGTILLSASGFESSGSFSYGSGGSGQWKRLGDWVLPFKGPAHYDNVLGAWVGLHLRSLQTEDTNGYLCACPVMANGQPPMWKVCMKKLFMQHPWWRHVDAKLVYMGEGSKYCLMERLTYKGAGNMIYAIRLTTFTVIYGEDGELKTMPYRPARFYKAPSYLFKFDVQAFWM* >Brasy5G485700.1.p pacid=40076892 transcript=Brasy5G485700.1 locus=Brasy5G485700 ID=Brasy5G485700.1.v1.1 annot-version=v1.1 MRQHTATTLVIFLSYIALALGDGQSNDHDAVKTVLTKDGDLFKCVSIHQQPTLSHPLLKSHKVQMKPSSYPNELHNRSLSLSTKSLAQLPTISCPRGTVPILQDTKGDIKSSEGFHTLDGPRGELAMIKTVDEIFGSRVSINVYEPKVKEQTKDFSASWVIMLNKENGAIESIGAGSMVWPSFSGDNFARFHITWRDNSHNALCYDHGCPGFVQVNSKIGLGSRIQPVSVYNGPQHFIDVLLFKDPKTTNWWVLLGGTPIGYWPNSIFSHLKDRVTEAGWGGQVYGPTIQSNFPQMGSGHFAWEGFGKAAYVSNIKIVRNNNKYYTPDIDQTFAKSTRPNCYPVGHYGQDEGGMHVYYGGPGECDTFNS* >Brasy5G334200.1.p pacid=40076893 transcript=Brasy5G334200.1 locus=Brasy5G334200 ID=Brasy5G334200.1.v1.1 annot-version=v1.1 MPKLLDLPHNLLKKFDKDPPGIEIEVGILPELPQDILMRIFATLEIPDIIRAGSVCPSWRSACMSLRNLGKYKQRQTPCLLFTSESAGESVACIYSLLEKRVYKLTLPEPPIRSRFVIGSSLGWLVTVDKRSEMHIVNPITGEQIALPSVITMEQVKPIYNDLGAVHRYGYSRYTAKHVLRTLEVDLGLLREYLHHKAFIFSDASTGCFIVVLIHQPFGQLSFARVGDDKWTWLPPHTEYKDCTYKDGLLYAVTLLGEIHAFDLTGPAVTIKIIMGTVHDFDCEVTYIVQAPWGDLLLVWRSKDFENRDYDADPATQVRNTEEVKVYKVDTDAKRFVQINCLHDHALFLGIGQSLCLNTEEYPCLNANHAYFTDDDKYLSNKKNNRRDIGVLDLDNNSRDNLVSPQLWSNWPTPVWFTPDLRMMKLALNKVVECSSSSN* >Brasy5G352600.1.p pacid=40076894 transcript=Brasy5G352600.1 locus=Brasy5G352600 ID=Brasy5G352600.1.v1.1 annot-version=v1.1 MMLNIKSEPATREEETEEYEQKMNKYQAVLAARIKAKYFSDKAFDGGKIFEAETIVEGETIQSSRWPCTSSFANPVNFIRDKNSNEGSHAPSFAAESSTKNSSPCVAAEASPKNNGIALATENSLTSGKKQPSKET* >Brasy5G137400.1.p pacid=40076895 transcript=Brasy5G137400.1 locus=Brasy5G137400 ID=Brasy5G137400.1.v1.1 annot-version=v1.1 MLSGSIPSSFGMLSSLSKITLSSNNLTGAIPISFWNISSLKFLVVQDNMLSGTIPPIAFSNLPHIQMIRIDRNKFNGHIPASITNASHISEIQLNYNFFSGYVPPELGRLRDLYWLQISHNLFQAKEPKDWEFITALTNCSQLQVLGLNANKFEGVLPISLSNLSTSLVHLELKSNRIKGSIPEGIGNLVNLQRLVLMQNSFTGTLPSSLGRLKYLGGLTVGENKISGSIPWTIGNLTELNYLDLYMNDFSRDLPSTLGNLTKLFGLDVSSNNITGQIPSGLFDIVTLSGYFYLSNNNLEGSIPKEIGNLKNLVDFRAESNKLSGEIPDTLGGCQLLQVLSLQNNILNGGIPSVLGGLKGLETLDLSSNNLSGQIPKSLGDLTTLHYLNLSFNSFAGQVPIVGVFANSTAVSIQGNGKLCGGIPGLHLPLCSSQIAKKKHKFPVVPTLVSLISTLVVLALLYKLLTWHKKRKEKIPSTTSMQDHPLISYSHLVKATDDFSVSNLLGSGSFGSVYKGKLDGHAGESATFVAVKVLKLQTPKALESFTAECEALRNMRHRNLVKIITVCSSIDTQRNDFKAIVYDFMPNGNLEGWLHPDTNDKTEQKHLNLLQRVTILRDVAYALDYLHCHGPAPTVHCDLKSSNVLLDAEMVAHVGDFGLAKVLVEQSSILHQSMSSMGFRGTIGYAAPEYGAGNMVSTHGDIYSYGILVLETVTGKMPTDSESKQGLSLREYAQLGLCDRMLDVVDPRLSVDLESGLRTANTPSYRRTLECLVSLLRLGMSCSQEMPSSRMSTGDIIKELHAIGESLEGIQSMEMENYADKI* >Brasy5G111300.1.p pacid=40076896 transcript=Brasy5G111300.1 locus=Brasy5G111300 ID=Brasy5G111300.1.v1.1 annot-version=v1.1 MAAFLLLRRQVGGALPRLPQPYARLTTAAARQDTLDAGCTGSKESGGPDRGNKNRWRELPPFAPLDITAAARAILRGKGGEAEETSSSTAIRWVRRCCPDLPTSLVQKLFRLRKVRTTCSTKCTIKIDSTEKEKKEMVKKNALTAETSSTDASAERFRLKRVSAKDYLLPGDTLFLPVNIQESSVAEKTRKFDNRNEIDFLRSLEIYKDKAIIVINKPPGMPVQGGVGIKNSIDVLAPMFEENSSEAPRLVHRLDRDCSGVLVLGRTQLSASILHAIFREKTADALADGTQQVLQRKYVALVIGTPRQSKGLLSAPLAKVLLQDGKSERLTVKAGPNTTSVQDALTEYRVIESCPQGFTWLELFPLTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWSPLPEPQAIEELLKKRRLPFGLVLGGGSVVEEQPQLHLHCKQMVLPDISAAVQGLQSEDADCDFLDLEKLSFVAPLPLHMRLSWEVLKSVNK* >Brasy5G111300.2.p pacid=40076897 transcript=Brasy5G111300.2 locus=Brasy5G111300 ID=Brasy5G111300.2.v1.1 annot-version=v1.1 MAAFLLLRRQVGGALPRLPQPYARLTTAAARQDTLDAGCTGSKESGGPDRGNKNRWRELPPFAPLDITAAARAILRGKGGEAEETSSSTAIRWVRRCCPDLPTSLVQKLFRLRKVKKNALTAETSSTDASAERFRLKRVSAKDYLLPGDTLFLPVNIQESSVAEKTRKFDNRNEIDFLRSLEIYKDKAIIVINKPPGMPVQGGVGIKNSIDVLAPMFEENSSEAPRLVHRLDRDCSGVLVLGRTQLSASILHAIFREKTADALADGTQQVLQRKYVALVIGTPRQSKGLLSAPLAKVLLQDGKSERLTVKAGPNTTSVQDALTEYRVIESCPQGFTWLELFPLTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWSPLPEPQAIEELLKKRRLPFGLVLGGGSVVEEQPQLHLHCKQMVLPDISAAVQGLQSEDADCDFLDLEKLSFVAPLPLHMRLSWEVLKSVNK* >Brasy5G305800.1.p pacid=40076898 transcript=Brasy5G305800.1 locus=Brasy5G305800 ID=Brasy5G305800.1.v1.1 annot-version=v1.1 MVGTEMLVAAAVSQVARKVSEIVSATQGEVKLCCNFSDDLESIKDTLVYLEDLLKNAEKNSFGRDRANLRHWLAQIKSLAFDIEDIVDEYYSSKEHFEGSSSYAQKGSLFCSFSNPVLSKVSMVYKMKSKRELLQTRQHLPTQYHFISHLHSVVDFNEKQTTSYRNSDITIFGRDRDLENLMDMIMQKSIDELSIISIVGRVGLGKTTLAQLVFNDTRTTAFRFRIWVHVSMGNVVLEKIGRDIVSQTTERIEGNMQLQSIKKVIQTVLIKYKCLIVLDSLWGKDEELNELKQMLLAGRQTQSKIIVTTHSDIVADLVSTRPPYKLSALSNDDCLNIFSQRAVTGHGDPLFREYGEEIVKRCDGTPLVANFLGSVVNTQRQRREIWQAAKDKEMWKIEEDYPEDKISPLFPSFKIIYYNMPHDLRLCFAYCSIFPKGSVIDKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKAIHFLQVFERHQITAEMSNASEEMLCMNNFAHDLARSVAGEDILVILDAENERNNRYCDYRYAHVSTSSLQSIDSKAWPSKARSLIFKTSDTELEHVSEVLSVNKYLRVLDLSECSVNEIPATIFQLKQLRYLDASTLSIATLPPQVGSFNKLQTLDLSETELMELPSFLSNLKGLNYLNLQGCQKLQELNSLDLLHELHYLNLSCCPEVRSFPESIENLTKLRFLNLSQCSKLPTLPNRLLQSFASLCSLVDLNLSGFEFQMLPEFFGNICSLQYLNLSKCSKLEELPQSFGQLAYLKALNLSSCPDLKILGSFECLTSLQILNLSNCHSLQYLPLSLQNIKNLDISGCKDCIVQSCSGSSRSSPSQQLSEQAEQVRLSNDIFEVIPEDTATGDLKGKTKLAFASHLDEEHEVITKPNETGDIVPLVPGHRFLLSSSRSYSFASRSSPPLPSVSSSHVSKMEHPISHGETTGTQSNEKWQEPQDSINDSAEDSIPSLDTPVHLHEAVKGVNGSHVTACNGACQCDLQCDGTYRGLSS* >Brasy5G036600.1.p pacid=40076899 transcript=Brasy5G036600.1 locus=Brasy5G036600 ID=Brasy5G036600.1.v1.1 annot-version=v1.1 MEGRRATAATLCFFLLVLMLVPNPTLADTCQSFKFGSLICMRWKCKLDCIDNNPNKILKDAYCTVKHAVIRYCNCVICDKS* >Brasy5G485500.1.p pacid=40076900 transcript=Brasy5G485500.1 locus=Brasy5G485500 ID=Brasy5G485500.1.v1.1 annot-version=v1.1 MGTPRKSDKKAALDLAAWSFNITSSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLVGVAVCTVTDVSVNAKGMLAAVIAVWSTAFQQYYVHYLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFTSLSLFFLVLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLFLGFLFFGKEGLNLHVVLGMILAVLGMMWYGNASAKPGGKERRSVLPVRSERHNGASEEKDGGEK* >Brasy5G321400.1.p pacid=40076901 transcript=Brasy5G321400.1 locus=Brasy5G321400 ID=Brasy5G321400.1.v1.1 annot-version=v1.1 MCDQEDEDINHLLLRCVVARQVWTVILGRWQKLEWLPGTPDLLASWWTGLQVAGKKDKRNLDTAITLVCWMLWKHRNAVVFDGVSPSAARDWLRERSLEECRTD* >Brasy5G503000.1.p pacid=40076902 transcript=Brasy5G503000.1 locus=Brasy5G503000 ID=Brasy5G503000.1.v1.1 annot-version=v1.1 MAVKAVAAGSAPPHKFRSTAGVEDTCQVSGKKTRPASWGIVTASVSVRSHCFHSFLLLVVALLVVAAVSVSTTSSKGSGTAAESPPPLSDDAGRGEETTTCDMSSGRWVYDEEAYPVYEESACRFMSDNFACGKYGRTDLRYQRWRWQPHGCDLPRFDAARLLEKLRGKRLAFVGDSLNRNQWVSMVCLIDTATPGLHKTMNTTGSLMSFHIHEYNASVDFYWSPLLVESNSDDPVHHRAADRTVRAGSIAAHARHWAAADVLVFNSYLWWRRPSIKVLWGSLDETDGDYRVSKEIDALRAFELALTTWAEWLEFHVDRDRTSLFFMTMSPTHLGSDHWEDSSSSSSVATNKLVHEGAYGKCYNETDPMAPEERYVGGAGRRGYAALARAAEDAVRGLGARGVGVRLLDVTRMSERRKDAHPSVHRRQWEPLTEAQRRGDPGGYADCVHWCLPGVPDVWNHLLYARIVS* >Brasy5G260100.1.p pacid=40076903 transcript=Brasy5G260100.1 locus=Brasy5G260100 ID=Brasy5G260100.1.v1.1 annot-version=v1.1 MVLPGFSKLGSFLIEKKYAEGKSANELDAIEKDRLKQYGTMCSLGLANMFWNGANNVLKELNVKKNEDWILNSIIFFTCSLVLMMIGLVASTFPESAPYPTFFAGWGAWAAVLCMLGSYHLDLVPFFASPMDALISMIVTSTVFTLYWSYGAQDPWFVHLLGRFVWWIIQCIWNLIVSLYNKVAAAVGKLFKRSPMYVTTFFLTLTPY* >Brasy5G208600.1.p pacid=40076904 transcript=Brasy5G208600.1 locus=Brasy5G208600 ID=Brasy5G208600.1.v1.1 annot-version=v1.1 MADNNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPTF* >Brasy5G019700.1.p pacid=40076905 transcript=Brasy5G019700.1 locus=Brasy5G019700 ID=Brasy5G019700.1.v1.1 annot-version=v1.1 MALPLQPLLRLLLLRPPPQPPQRTPQFSPPNPTRRVAAASAAALLLFAAASPPPRPARAEPGGGGEDDVDETHVVRLFEGASPSVVFIKDLLVVARPKGRDGGAAQPVYDEEGGATVEGTGSGFVWDSSGHIVTNYHVVAKLAGDGSESHRCKVFLEDSSGTSYSKEGRLIGYDTAHDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPLGYEHTLTTGVVSGLGREIPSPDGRVIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVMQSVPNLIVYGTSVSNRF* >Brasy5G019700.3.p pacid=40076906 transcript=Brasy5G019700.3 locus=Brasy5G019700 ID=Brasy5G019700.3.v1.1 annot-version=v1.1 MALPLQPLLRLLLLRPPPQPPQRTPQFSPPNPTRRVAAASAAALLLFAAASPPPRPARAEPGGGGEDDVDETHVVRLFEGASPSVVFIKDLLVVARPKGRDGGAAQPVYDEEGGATVEGTGSGFVWDSSGHIVTNYHVVAKLAGDGSESHRCKVFLEDSSGTSYSKEGRLIGYDTAHDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPLGYEHTLTTGVTQEAH* >Brasy5G019700.2.p pacid=40076907 transcript=Brasy5G019700.2 locus=Brasy5G019700 ID=Brasy5G019700.2.v1.1 annot-version=v1.1 MALPLQPLLRLLLLRPPPQPPQRTPQFSPPNPTRRVAAASAAALLLFAAASPPPRPARAEPGGGGEDDVDETHVVRLFEGASPSVVFIKDLLVVARPKGRDGGAAQPVYDEEGGATVEGTGSGFVWDSSGHIVTNYHVVAKLAGDGSESHRCKVFLEDSSGTSYSKEGRLIGYDTAHDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPLGYEHTLTTGYPIGG* >Brasy5G168200.1.p pacid=40076908 transcript=Brasy5G168200.1 locus=Brasy5G168200 ID=Brasy5G168200.1.v1.1 annot-version=v1.1 MKRAVGRNHCEIRPTSSRTGPRRTEEPLYRQRSPAKEEVAKSDQDRRSRRRRLPPSKCPPPPRDLQRSPSLHRDSRRRSPPPEPREPPPLPPSVAVASRARRCRGLPPRESPPPSHDSRRAPRSTAASAQAAKSHTTTPRESGLYIESRRSHQNENGRLLIASTRTLVRLRVDAEPARLGSARTRRSSPPASRACLGPKTCRVILAGSARPDTQLGLARSNAVAVGGHYLDVFGTGSEEELGGLAKRAEAGGRQLDVSSMASSSSSGPSEKKGVL* >Brasy5G238700.1.p pacid=40076909 transcript=Brasy5G238700.1 locus=Brasy5G238700 ID=Brasy5G238700.1.v1.1 annot-version=v1.1 MRDIRRALLEADVSLPVVRRFVSSVSEKALGSGIIRGIRPDQQLVKVVHDELVQLMGGEVSDLVFSKTGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTVLGEQVGVPVYSEGIGAKPAQITKNAVEEAKRKKIDAIVVDTAGRLQIDKTMMDELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGISGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVVRQEDTIELQKKIMSAKFDFNDFLKQTQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRKRRIRVAEESEKTEQEVGQLVAQLFQMRAQMQKLMGMMQGQEAIAGMGDLMDSLTANEKAPPGTARRRRRRNVPQLQRELEVVGGPSRARV* >Brasy5G238700.2.p pacid=40076910 transcript=Brasy5G238700.2 locus=Brasy5G238700 ID=Brasy5G238700.2.v1.1 annot-version=v1.1 MRDIRRALLEADVSLPVVRRFVSSVSEKALGSGIIRGIRPDQQLVKVVHDELVQLMGGEVSDLVFSKTGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTVLGEQVGVPVYSEGIGAKPAQITKNAVEEAKRKKIDAIVVDTAGRLQIDKTMMDELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGISGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVVRQEDTIELQKKIMSAKFDFNDFLKQTQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRKRRIRVAEESEKTEQEVGQLVAQLFQMRAQMQKLMGMMQGQEAIAGMGDLMDSLTANEKAPPGTARRRRRRNVPQLQRELEVVGGPSRARV* >Brasy5G238800.1.p pacid=40076911 transcript=Brasy5G238800.1 locus=Brasy5G238800 ID=Brasy5G238800.1.v1.1 annot-version=v1.1 MATTGRDGQSPRRNVIVVVDRDDDAGMAPLDLKTTTATATQAPVQPNHSAVPAAAAQDAASAMVRVRRPNDAAIRSMFSGGSSDGAPAGTGSDGHRQPLTEAEKKEEQRLRRVIANRESARKTSLRRKALFADLEKKVAELTTENENLKKEKDVWTEKYRTLLEKQQQEANTILRFPPPTTSNYICLSVVSSPFPLLVVACY* >Brasy5G114500.1.p pacid=40076912 transcript=Brasy5G114500.1 locus=Brasy5G114500 ID=Brasy5G114500.1.v1.1 annot-version=v1.1 MSFAPRHDPYHNNYSPGWPGNPNMTYRNSSPEVSSFSSSYPMQGFRNEEAKSQAQLNFEAEQQAWFEDFMKTQQKKMFHQQSAIPSLADHEHVISEEGENAAENEEDVSQMDDVLEEKIRNEDEVDCASSKDAVAVSPEDKEDEEGVVAEGKEVEIQVDMTIVTQVCDETGLSNPLINDMVSYDFFDTTLHCLLLSLAVDMKCYLLVHDYTYHVCDIPQITFDETHSLCSDTYITHASFVHTDSYHSHHVLYCYAYIIGFSIDDLEGVGPMISPSCYSHDIIELNEIYHPRHVFYRYVYAIGYSIDNLEGVGPVIFSSCCECISEIPLVLCQLGKYQIRVDIPWDPSGSMAWR* >Brasy5G087900.1.p pacid=40076913 transcript=Brasy5G087900.1 locus=Brasy5G087900 ID=Brasy5G087900.1.v1.1 annot-version=v1.1 MAGTEHGSVCSGSSMRVVSRRLVQASDATIPPRVVAFSNLDLLNDMQLYIACLYPMPAASGFAAVAAAFEAHMPSFLNHMFPMAGRIVRDPASGGLPELHCGNQGAELVLADAGVALRALDWSLAKESVRKILLPFPDEVPLSLQLVSFTCGQGFAVVWGFHHLLGDGSFGGMLMKTWCELVMSGTIPNGGPIHDRTIFFRPRDPPSYSASFAATFTPWDNEHQVNGLTAEESFVQRHYYVEGRDIARLREAASTTEGGRRRRATRAEAVSAYLWKVLAGVVSSLTRLSHDEKRCRMAWLVDGRRRLTLTSAPPELRRHALRSYAGNVTAHAVGDASAAEVLSRPLAEVAAMVRAAITAPDYDALCQETVDWVEAHKRAGLGLGYAHTVALGLGSPTLAITVVSSEYNSETDFGFGAAVLGMPVDARDGRLCTAHMYVTTRPADGSWIVNAYVWPRLAAALEADERRVFRPITAEYLGLGKCLPAEARPRL* >Brasy5G130500.1.p pacid=40076914 transcript=Brasy5G130500.1 locus=Brasy5G130500 ID=Brasy5G130500.1.v1.1 annot-version=v1.1 MDHNVETTTFEDFDNRPLKKAKCLDDLLSSPSISASSLVSECSETKSTVSECSETKLTLSPVSDLINEEQTSEEDNKQTVSADDDKQPDVPQHTNDVTYDYLPQDYALTELDLCAHLVIEDSSEKEILVNIDQVYVKQCDLSCLLDGAKWLNDDVISAYIYCIKEVHEQNKNNHKVYFENTFLAGVLKRDGEIGIDEGTFMTKIVGNYLKHDMIHLPINIEHSHWYLACVNVEKFEIQVLDSLCWEHNRVDLTNTLQGLQYHLDILKTQENLSNHNWKDLDVTKWTITEQLHNPIQKDSSSCGLFMLKFMKYWTGHTLTHSITQENIIDFRYKLAAILLCWKTNTAQGDPNDVMLLESLDDENQPKPINSLSIEKRYQSLISVVSNMSVDELEGGLCNYIKSISSAEILEKAWVQSSDPYPISLTVKRLQGMLNEELPMECDCFNLVIRKIMFDDIQTVKKRKGLISKHYLDMRFWLDLEQLAYSVRSWPGIKYNVSSCKTVRPLPYTCQHNFFNLHLIPSLFQIHIPVQSNNGFILFVLAKDTRTVYILDPTPIDPIYQCNPYAKYVPRLLWIAEHLPKAMSKACPGSTWNENIFLWHQQIISNIPIHNRELSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILGKLLTFKKNGCEVNMPAGVLDIINCIRNIQTNMNVKI* >Brasy5G403000.1.p pacid=40076915 transcript=Brasy5G403000.1 locus=Brasy5G403000 ID=Brasy5G403000.1.v1.1 annot-version=v1.1 MAALAFRAPPPLLLLLVFVAVAAAAAGKEGKKGEGSSGLRFRREAGTFKVLQVADMHYADGRRTACLDVLPAQEPGCSDLNTTAFLYRVLRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPVIAMKLPWAAGIGNHDQEGTLSREGVMRHLVGMKKTLSRFNPEGVEIDGYGNYNLEVDGVEGTLLANKSVLNLYFLDSGDYSTVPSIPGYGWIKASQQAWFQQTSSSLQTKYMSEQPKQKEPAPGLVYFHIPVPEFSSFTASNFTGVKQEGISSPSINSGFFATMVEAGDVKAAFIGHDHVNDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTASGEWQGVKSIKTWKRLDDQHLTTIDSEVLWNRGSNGRRRKNHDGS* >Brasy5G395200.1.p pacid=40076916 transcript=Brasy5G395200.1 locus=Brasy5G395200 ID=Brasy5G395200.1.v1.1 annot-version=v1.1 MEEQLADAEAVPPEELVGAGEDGLPAEGGEEDPGVAPGGRVLEAGQQRPELAVGRVPRRRAPLQERRRRRRRGLLLHSGGGHAAHGIRARPMDRASS* >Brasy5G270700.1.p pacid=40076917 transcript=Brasy5G270700.1 locus=Brasy5G270700 ID=Brasy5G270700.1.v1.1 annot-version=v1.1 MELCTASVSTSASAYHSHVAMLDRRLSFNRYATSRSRVSQRRRQSSFHVMNAASTSALPSSRNISQTVGTNGAAVKSISSKKLNSALEQLDIERGVCIPFRKYSPEMVRNKVLGSSGSIISLASRGVEIIWKLGFYWSSLVYDYLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMSELCILQDDVPPFANQVAFDIIEEELGQSLEQLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLVNFKNDPTVKIPLVYKKFSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKEILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLEPDFKFLEVAYPYIANRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKSTSSQIVKTQKMEKKLDLTDTIKDGARLFLIDSGIRRQLIMAFTEDSRLHVEELVDVYRLVEDQIDIPSVALEVLQDLPSVARDFMLSWSDSVLSDRQN* >Brasy5G183700.1.p pacid=40076918 transcript=Brasy5G183700.1 locus=Brasy5G183700 ID=Brasy5G183700.1.v1.1 annot-version=v1.1 MGAPAQDPVLPLLGAQAIPTPEGRTRKYRCCSDKPWTEISCSVLALVFLCSVGLVIVRAVADLIDRAKTTGVLEYSAAITGVDGLDGLQLPPTSSPTLLSPVFSLTVHVKEFDEFISEACVGSRSTVITVSYHDLFLAKGRVPRLCVGRMQEGGVDITAWGVDVAVPAFMRERLAAELERGEAVFDVAVMDPPYEGDNQLVCKAKVGEGLCPCKFEY* >Brasy5G022000.1.p pacid=40076919 transcript=Brasy5G022000.1 locus=Brasy5G022000 ID=Brasy5G022000.1.v1.1 annot-version=v1.1 MEYERIHKVQMGVMSPTKLRMKLLGMGMGSSSSSHGGGGGGGGGKDEAASKSPSRAAAGGGEDDDGDHPKNSLLLPQELDEEYPRDPSDSSSRSRSEGSHGRAANGGFEFHMVEERAATMAAAGGLGLRQQVPSKWNDAEKWIAGRRHVVHSNPIFSSSRKKSPAAAAIAAARVAPTPPPDAKKKSLASRSALTELSPSPSSMSSVTGPPAAATVSMRDVGTEMTPAASQEQSRSGTPAAAPATPALLSPLCSEPASPSASERELQLRTRREIAALGLQLGKMRIASWASSSKDGDGLLLRASPEKSTGAGAREEDEAMEKELFEARAAAWVESQKCKLASRYQRKEVKIQEWESCQKSKFEAKVRQAEVQADQTKARAKNSLTKRLSILSHKVEGKQARVEARRNRRAARLAWQAERIRKTGRVPFRFRCCSWFL* >Brasy5G022000.2.p pacid=40076920 transcript=Brasy5G022000.2 locus=Brasy5G022000 ID=Brasy5G022000.2.v1.1 annot-version=v1.1 MEYERIHKVQMGVMSPTKLRMKLLGMGMGSSSSSHGGGGGGGGGKDEAASKSPSRAAAGGGEDDDGDHPKNSLLLPQELDEEYPRDPSDSSSRSRSEGSHGRAANGGFEFHMVEERAATMAAAGGLGLRQQVPSKWNDAEKWIAGRRHVVHSNPIFSSSRKKSPAAAAIAAARVAPTPPPDAKKKSLASRSALTELSPSPSSMSSVTGPPAAATVSMRDVGTEMTPAASQEQSRSGTPAAAPATPALLSPLCSEPASPSASERELQLRTRREIAALGLQLGKMRIASWASSSKDGDGLLLRASPEKSTGAGAREEDEAMEKELFEARAAAWVESQKCKLASRYQRKEVKIQEWESCQKSKFEAKVRQAEADQTKARAKNSLTKRLSILSHKVEGKQARVEARRNRRAARLAWQAERIRKTGRVPFRFRCCSWFL* >Brasy5G012600.1.p pacid=40076921 transcript=Brasy5G012600.1 locus=Brasy5G012600 ID=Brasy5G012600.1.v1.1 annot-version=v1.1 MADVVVEEEEWDAQERLSMMEDEVYFVEDLTEEESGEEEKDEEQECEIENDFSTEVRNLEPMDELQVFGYDSDGDLEDHEDKPKEQELIYYLDEKPHVEAKYNENGGEALVMKDNPLANIQEEKEDKCVDHPALEEPHRRQLEANKHEVWRKKPPDPRYVGACLSQVSSYIEITKGQDWRRTKKKTELQECNEDKDLMHKEKKKVRNGTKYL* >Brasy5G195600.1.p pacid=40076922 transcript=Brasy5G195600.1 locus=Brasy5G195600 ID=Brasy5G195600.1.v1.1 annot-version=v1.1 MDGIEGLLASNFGVRPQGKAAPMAAAASSRGSGGGAGSAWPNPRSAPAPAPASTPSYDDLFGAPAPAPAAASSPSLDSLFDSFKPPAPSSSSSSAAAARNKPAFDDDDIFSAVPGLRSTSSASSATRYDGDDVFGAGRAAGPVYDDVFVSSKAPQHSSYDDLLGGLTGRPRAEERKRSVAVEDDDLLGGFGMMPPPAGEKKKPVVVEDDDSQGSNGFDDLIPGFASTSPPKSRKIDDDNKKKPAVPTSKSTSNKNFGSTADDESLFDDSSAFDQVPKSDPLIASEINGTKDSHQNSKPVQSSVNRNPASRSSLEDLGDVMPKSQSTRYSDIHVDDSSERYSGNSMEDQSPISTESEDDIWLTVSEIPLFTQPTSAPPPSRSPPRLKQKSLGAKANGKYDEYVPQSTQSHNHYKDLPKQADFSSTSDWGGVAMDKPQMPANYDNNAFDDDEEFNTNSAAREEAESQERLEQAQEMRQWEEQRRLEKERELEQQREKQAVERATKEARDRAAAEARAKAEREARQRSQRAAVQRAHQEARERAAAEAKERVARAAAEERERAATEAKERERAAAEAKERERTVARERAAAERAAVERVQQEARKRAERAATERAAAEARERHAAAAAAAAKEKQSTPVDVEFFFRDSAPKQRAPTVDSMFDPQPRGRGTVNGSPRAAPKAASTRKANTSSATNMDNLFNLFDDQVPASSNVFQDVEGESEERRRARLERHQRTNERVEKALAEKNERDMQVQREQAERDRIGDSLDFEIKRWAAGKEGNLRALLSTLQYILWPACGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKEAWNKFNSEELF* >Brasy5G407300.1.p pacid=40076923 transcript=Brasy5G407300.1 locus=Brasy5G407300 ID=Brasy5G407300.1.v1.1 annot-version=v1.1 MGCPVWPLFGPGPRPFLLPPPESVDPLLPHPPWTARREASDPLLPTPASPMPSPHPSRHGRGAEKPQTCCCTRRRRTSPPRVLAAMDDAPRSSGSAAFQAGASRRLPTP* >Brasy5G117500.1.p pacid=40076924 transcript=Brasy5G117500.1 locus=Brasy5G117500 ID=Brasy5G117500.1.v1.1 annot-version=v1.1 MTGAAALAWGSERSALDMIDTREERDPREHAMRRMQSASSGLEKTTMAARISSRTPVAVWAGRQIETVEGRGESASGAGAREAASVARAPCMALATSSRTRRRRLPRHVPWRRRWRPGQRRARAADDAPALDEPPCGVVGDEVLEPQRSSSDGARGAAAVQPGLVSLSRSYVIPVGAATGSLIISTEIGHRNCAGTSCPQGVRPTVTASGISDTAPSWLRNPRRRHGRDTGVTIDASSSFRCRHPHRPSFRCRHPLRRKPQRRRPLPLLPGPPPSSPHANLGECNNLLFSECHKKELLLSSESRQRHSAREIANYRSERV* >Brasy5G211700.1.p pacid=40076925 transcript=Brasy5G211700.1 locus=Brasy5G211700 ID=Brasy5G211700.1.v1.1 annot-version=v1.1 MEEDLITAAFGPMGRLLRRLHSLEASSSDLRLPEGISTDEIRFLTEGLEGLYNHLKDLLEADLGASFTPRWWIKEVRELAYDTEDYFDEVMQSGAGDGVVVRRSARLSTISRINSKQKQSRPQIAQGFLELMARVVDARERCKSFHLAPETATESNSRQASVSRRHTPVSAASISDVHVDNGSRRVVGFEEPKKKLVNLLGFGNMNQKQLKVVPILGLPGVGKTMVARILYHQYGGEFQCRAFIRVSRNPDMRRILTSILLQIKAPSAHAFSDAQDLIDSIIKHLQGKRYFIIVDDLWTASVWNIISRAFPNGDCCSRILTTTQIEDVALACSVYESELIYKMGPLNDGESRKLFFNCVFGLKGEGGCPKEFQVVADEIIRKCGGLPLSTVNVASLLVQSKRNLVMEQWEKVDRSLPSNLRTNPTSQGMKDVLLLIYNRLPLHLKTCLLYFGMYPEGHTIRKDDLVKQWAAESFVGDTKQG* >Brasy5G227800.1.p pacid=40076926 transcript=Brasy5G227800.1 locus=Brasy5G227800 ID=Brasy5G227800.1.v1.1 annot-version=v1.1 MTRLCCSLSMAAAAAAPSTIYSSLPPSGSRVRWTNTTTPGRAAADLRCRRRLLSARGERPDPDEEDGEEEDGPAAGGFEEAVALFNGGEYHACHDAAEELLWRCADEEGSPARALLHGLLQCAVGMHHLLGRNHRGAMLELGQGLCNLRRLDLDQDSDGEDGRPFARFRDEVAALLAFLYRTQKELAACTEEMCVAMDGSATTYQLLGDFAAGQSLYRLGAGEDGVPAIVFSTGGGRSSVKLPVLHATERHLAALQRAHQYSARS* >Brasy5G293000.1.p pacid=40076927 transcript=Brasy5G293000.1 locus=Brasy5G293000 ID=Brasy5G293000.1.v1.1 annot-version=v1.1 MAAPKQNQGTRTPNRHAKTSRARPLSSPAAPPSILAGSAGAADLFSGRSAAPTSRQIHTADHLPPPPRLNNRLPSMAPPGSSNGFRGVRLRLSGRYAVEFRWLSTFPSAELAARLYDVVSWRHNRPKSNLNFQNIESLAVAEFLTSRKEEIGDCLVVQELKIHDSGKLAMVKLRAAHPELLEEEY* >Brasy5G026000.1.p pacid=40076928 transcript=Brasy5G026000.1 locus=Brasy5G026000 ID=Brasy5G026000.1.v1.1 annot-version=v1.1 SLRRGPAAPLDDDDLLSSIMLRLPPVPSSLPRAALVCSRWRRLVSDPAFPLRFRLHHHRRGPAPAPLIGFFDTYHGVSFQPSMDAPDRVPRGRFSLRIGDRYRSLGWRHGLGLFHLPIRRQVLVWDPVSGAQRRVAVPDGFHQTMGLQICAGVVRAPAAAAAAGDDALRFQVVFVGSGEPHTRTALACVYSSETGAVSDFVSAPLAYSGVPISFTGMPAVLVGPPSILEFDLYRQSLAVTSLPVEMFAGAPYTVMQLGGGGMTVMRAEGGGMAPGILFVSDFTAQFWKKETDCDGAVKWVRGRSIELDRLLPLDSAKQPPHTIGLAEENNVVFLWTVSGVFIHLESLQFKKLLETDVVSRYYPLEMVYTADIGCGHNGAQVVRHRWWKRWTRYIRQLFS* >Brasy5G340100.1.p pacid=40076929 transcript=Brasy5G340100.1 locus=Brasy5G340100 ID=Brasy5G340100.1.v1.1 annot-version=v1.1 MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSTAAAAPPADAPAVTRSITILRPPPLSVPSAGSEAGGSAPSSPASVPDSPFGTARTPRGDGWSKLRRKGRMAAADGMDAASPGTPRSPTVYDWVVISSLDR* >Brasy5G340100.2.p pacid=40076930 transcript=Brasy5G340100.2 locus=Brasy5G340100 ID=Brasy5G340100.2.v1.1 annot-version=v1.1 MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSTAAAAPPADAPAVTRSITILRPPPLSVPSAGSEAGGSAPSSPASVPDSPFGTARTPRGDGWSKLRRKGRMAAADGMDAASPGTPRSPTVYDWVVISSLDR* >Brasy5G051500.1.p pacid=40076931 transcript=Brasy5G051500.1 locus=Brasy5G051500 ID=Brasy5G051500.1.v1.1 annot-version=v1.1 MGFSSFPIFLDPPNWSQMQQQQPLQCLMSGGGDHHHHLIPPPSSQQQQQQQLAPLPCNNNNTNTATSGPPPSSLQQHQQPSSGDPAAQATLAQAPAAPSSGVTSMTERARLARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSSKKSSRSNNSQSQSQATSSATSSCSTTTATSASTTSAAAMQALPHHLALQLDASLDGYNHGHGQLQFLPAFMQQQQQGMMMQHGGHGGGYGQFADGGVGDVQQQLPDGFPRGMVASGLLAQLASIKMEEHGVANGAGGIGGGGFQLGLQGAHEQYWPGSGGGGGWPTEFLSGFSSSSSGNVL* >Brasy5G051000.1.p pacid=40076932 transcript=Brasy5G051000.1 locus=Brasy5G051000 ID=Brasy5G051000.1.v1.1 annot-version=v1.1 MASISKASILLLTATLAATAMSASAQGSQATCNGHKVTVQNLCGHDLKMDELTPVADSKVLFPAGWTLGNNQHAEFPVCAWTGRLRAPGAVEVDVHLGHDGGAYYSVSTAQNGMPVRVSVTPHGSPLQGHCPTAGCNSGGHCFEYSVPGNKCSGVTEMKVVYYSP* >Brasy5G355900.1.p pacid=40076933 transcript=Brasy5G355900.1 locus=Brasy5G355900 ID=Brasy5G355900.1.v1.1 annot-version=v1.1 MTRRRKTGRGVKWLSHYSSEQSILVVGDGDFSFSLALATAFGSGVNIVATSLDSYEALIGKYSKAELNVMELKRMGAKVLHHINAKGMMRHSFLETRRFHRIVFNFPHSGFKGSECEMHVVISHRELVKGFFSNARYLLQPYGEIHISSKIGYPYDYWNIEQLALESSLTMIGKVSFQKQDYPGYNQKRGDGPRCNQSFPLGHCCTFKFQVNEEVQERLVYRCYICHISSYYHYDCVGCGATLGLGNGGPLHLAYR* >Brasy5G513600.1.p pacid=40076934 transcript=Brasy5G513600.1 locus=Brasy5G513600 ID=Brasy5G513600.1.v1.1 annot-version=v1.1 MYTLGSSGKGVSSHGLDRPIYFTKPAFGIGPGSEQKRTGTEKGKKRARRTAMTSVFPGAQLYMGKGAESRRGEGNEPNQAEASMASSPQQGQVQGGGGGWTAEQFWSLLDKADRRFARVRDLPLFGRHEPEEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLDDHLGGPTKHLRFLARFLLVALLLARRAHTVPRLATNIRALLDESKKTLQEAEYKEWKHVVQEISRFLRADSSFMNMRPLRYSYAFDPPPDTLPTIPPTVKKRGLLLSDAILCSYYHNEVKYTDLTIDTFRMLQCLEWEPCGSFALDNGYSAHDESGQNHPNLLKDLRDAALPPNPLKTVLYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFGPDTSERVASSFNKFDISNTKPINSKEDNEPCLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSSVSSTFKSIHGTEKGETTAMLLSPSSRSCSVGFSGDSTRQSGSQFTMFLTAPLQAFCYVIGNNGLDIDRDFYNKAEKLLSLSLNEWAMTLVASTSLEPVWIEVLGDPLLRRLLLRFIFCRATLSLLKASNDKVECLPSCVPPLPESVGGESMLSQCCVMRVASFFGTTDQFSFSEVTTWPDIDDATSSGGAGKEL* >Brasy5G522800.1.p pacid=40076935 transcript=Brasy5G522800.1 locus=Brasy5G522800 ID=Brasy5G522800.1.v1.1 annot-version=v1.1 MTDVERRSLDGLVAFLTCYFPCLPEWEAVRYLLLAKDRGVKGFPSANGGGALKLALRCAAAAASHPNASQLADAWMALSSQGLLDKAASILSPPAVTEVPYQHSNSLRRVLLDTIHGFYLQALAIMPTDDLQSLYHRSLLKAGHCYGPFDPVSNIILNTIWYEAAFPQPVDEDHKLDTLGTISLMRIGVRSFYGLVSHLCTTHQNLTLHQALRCLLDTDLNIQLSHEAAAAMTVHQQQESVAREEAFRAAAIAAAHPKPEEQAQFLCSCEAMLAQAGVSLSTLLDGRRQLCSGDAQHLARLLCPKPPARMPLHQPSVSSPLLDDIMAKGKKQRRALRRISRNEPSCYYRLHVICGVNEFASGPQDRDIAYSWLKNKTCNGFPVSHDVYRHTHANFLVTQHVGSIASRPVLFFAELNNYDDDQDSQLLCCPVELPPPCAEAVRCLFCEHQGIRIVHPASEEFHGREAEFDKLFRGEDLYDDDFDPELEEQFYANYRVLASSALNSEWVGDLEEDCMYIRRDDLKEG* >Brasy5G141200.1.p pacid=40076936 transcript=Brasy5G141200.1 locus=Brasy5G141200 ID=Brasy5G141200.1.v1.1 annot-version=v1.1 MSKAQEAIDPELGAAPAEKAAPAVVKVQVHAAGRKKVAEEEDPRLRWAFVRKVYAILSLQFLFTSAISTVACLVYPIPRFFLAGTAASWSVYVAILIAPFLVMWPMLKYRQKHPVNLVLMGLFTICTSLSVAIAASTVVGRAVLQSAILTAVAVIGLTLFTFWAANRGHDFTFMFPFLFVSLLVLLVYLLIQMLVPLGTVGTTVYGALATVIFSAFIIYDTNMLVKHHTYNDYVVAAISLYLDVINLFMAQLFCAIQ* >Brasy5G141200.2.p pacid=40076937 transcript=Brasy5G141200.2 locus=Brasy5G141200 ID=Brasy5G141200.2.v1.1 annot-version=v1.1 MSKAQEAIDPELGAAPAEKAAPAVVKVQVHAAGRKKVAEEEDPRLRWAFVRKVYAILSLQFLFTSAISTVACLVYPIPRFFLAGTAASWSVYVAILIAPFLVMWPMLKYRQKHPVNLVLMGLFTICTSLSVAIAASTVVERFFSLQSSQLLQSSASPFSPSGQPTGAMISPSCFRSYLSASSCCWCTSSSRCWFHWERLARLSMEPSPP* >Brasy5G101900.1.p pacid=40076938 transcript=Brasy5G101900.1 locus=Brasy5G101900 ID=Brasy5G101900.1.v1.1 annot-version=v1.1 MKLIRRGKGSRSAKKVSSAPFQSSTGAVDGGAAGSNSRQVAPDDVLPVGTTSIRYASSRDEAFFEASPWLDSDCEDDFFSVNGDATPARTFSSASNNQLATAFGPHTQKLPTLEAILKAEPLKPPSPQMKLGDLLKEEQESGIDGADELSRAGSSRAGDEAGRCCFPQLARAIHERRKER* >Brasy5G137600.1.p pacid=40076939 transcript=Brasy5G137600.1 locus=Brasy5G137600 ID=Brasy5G137600.1.v1.1 annot-version=v1.1 MPPSAFLDPAFPHGRIPLPFPFARPHLLGFAAASLPSLQPRCNPSVHLLCAPPPVPHLRQHLCHHNAAASPTHLRRHAPLPSTAPSRRHSHRARIPLPIPIEPIQTHVPVLSLRAGLPLGIPLPIPIEPVPSQPSTLCPLLSRL* >Brasy5G421900.1.p pacid=40076940 transcript=Brasy5G421900.1 locus=Brasy5G421900 ID=Brasy5G421900.1.v1.1 annot-version=v1.1 MAAASSAPFFGLADTQMQPAVSNSVPQPPAAAPAPKKKRNQPGNPNPDAEVIALSPRSLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPARALGDLTGIKKHYCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSSERLHFVCKWGSHFIHSGTTKYHVPKYTWRDSFITHRAFCDALAQESARMPPIGAGLYGGSGNMQALGLSGMAPQMPSGFVPDQAASGQSSDVFHLGGGNGAAQFEHIMATSSAAGSSMFRPSQASSPFYLGGAQDFAEDSQRSHGHGGSSLLHGKPAAAFHGLMQLPDQHQGSSSSNSNNNGGNNNSNLLNLGFFSGNGQDARLVFQNQFNNNGNNANGNGENGLLGGIGIGAGGSFPSLFNSNSSEPSGGLPQMSATALLQKAAQMGATTSSHNVGGSSAASSLLRGAGSRGDQGGSSSSSAAAAMSERQHQQSFQNLIMNSLASGGGGVFPGVDDGKLSTRDFLGVGAGMVQAGMSGMGPPRRQGAAGLHIGSLDPAEMK* >Brasy5G421900.2.p pacid=40076941 transcript=Brasy5G421900.2 locus=Brasy5G421900 ID=Brasy5G421900.2.v1.1 annot-version=v1.1 MAAASSAPFFGLADTQMQPAVSNSVPQPPAAAPAPKKKRNQPGNPNPDAEVIALSPRSLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPARALGDLTGIKKHYCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARMPPIGAGLYGGSGNMQALGLSGMAPQMPSGFVPDQAASGQSSDVFHLGGGNGAAQFEHIMATSSAAGSSMFRPSQASSPFYLGGAQDFAEDSQRSHGHGGSSLLHGKPAAAFHGLMQLPDQHQGSSSSNSNNNGGNNNSNLLNLGFFSGNGQDARLVFQNQFNNNGNNANGNGENGLLGGIGIGAGGSFPSLFNSNSSEPSGGLPQMSATALLQKAAQMGATTSSHNVGGSSAASSLLRGAGSRGDQGGSSSSSAAAAMSERQHQQSFQNLIMNSLASGGGGVFPGVDDGKLSTRDFLGVGAGMVQAGMSGMGPPRRQGAAGLHIGSLDPAEMK* >Brasy5G383200.1.p pacid=40076942 transcript=Brasy5G383200.1 locus=Brasy5G383200 ID=Brasy5G383200.1.v1.1 annot-version=v1.1 MATAEPGPGPTQTTQPKPACAVTFGRSTLLGRNLAAALAASGRWSTVAVLDPSPPAAEQPAAPLAHFEVDLSDPAAALAPVLAGVVAVFHVDPTSSAATACSDVSSFLYLHSLAAEGTRRLLAACRTSGVRRVVYTGSTDVVTDGALDVINADEDSVSYPEQFGNAVSELRAQVEMMVLSVDGNGGMRTCVLRPSNLFGPGDSSVTRFIAGYARSPLCKFITGSGGNMSDFTYVENVTHANICAEQALCSNAASVAGKPFFVTNDEPTKTWEFMSCMLEAMGCQRPRINLPSKMLLFAAWFSNMIHHGLCLQMSYTPLLYPDTLYFLAHTRTFNTSKARRLLGYNPIVSWKDGIMRTAGSIMELPDNLDLSRKQGSCGSSKADKLLGGGIAADILLWRDEKKTFSYVTLLFLLFYWFLLSDRTFVSSSAKILLVISLALYIHGVLPSQVYGLTVEKVTPDYFEVSHSALRNPIICLASLWNGGIHKLRVIAEGDDWSTFLKVVASLFCIKVMLNFQFRMLMGVVLASLFIVFIVYEQCEEEIDASVTIASAKMRSLMDRVVRNLPAVQNALVSFKALYILERTLAMTL* >Brasy5G332300.1.p pacid=40076943 transcript=Brasy5G332300.1 locus=Brasy5G332300 ID=Brasy5G332300.1.v1.1 annot-version=v1.1 MGNAASVTSDAPDSAEKAGQAPGADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFKV* >Brasy5G332300.2.p pacid=40076944 transcript=Brasy5G332300.2 locus=Brasy5G332300 ID=Brasy5G332300.2.v1.1 annot-version=v1.1 MGNAASVTSDAPDSAEKAGQAPGADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFKV* >Brasy5G332300.3.p pacid=40076945 transcript=Brasy5G332300.3 locus=Brasy5G332300 ID=Brasy5G332300.3.v1.1 annot-version=v1.1 MGNAASVTSDAPDSAEKAGQAPGADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFKV* >Brasy5G332300.4.p pacid=40076946 transcript=Brasy5G332300.4 locus=Brasy5G332300 ID=Brasy5G332300.4.v1.1 annot-version=v1.1 MGNAASVTSDAPDSAEKAGQAPGADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFKV* >Brasy5G005000.1.p pacid=40076947 transcript=Brasy5G005000.1 locus=Brasy5G005000 ID=Brasy5G005000.1.v1.1 annot-version=v1.1 MAAAAAAAAAREMNSSPKNKRPMEADPSSREEQVQAKRRGPRREEAPALLPMPAGSARFTERKRREAELGLTPPVEEAQVPTLEYFEPPTTFHTERLLHQNRYCFNTAHLIRTKKAPTNIWSGGEEYDPHANVTVHLLDGTSAEGQLLYHQPHYDVAFVRVRVDKPVQLPSLNEEVKLVQDVFRLGRDNMLDLRITYGRAVSENPDTFQRYHNMYFHCAGVPNDEEEYDGGGPVIDLEGKVVGMSNVRCTRTFIPSSILLKCLDLWKKYEYKYIPRPHLGMTFIWRMYNIDNGLVVQKVSKGSHAEKFGIQIGDIIECCNGESLENMLMSICKGSSDNLNGLNVEVNFSVEVFHTLKKLRTVGELAADVSDHGEVIIA* >Brasy5G267700.1.p pacid=40076948 transcript=Brasy5G267700.1 locus=Brasy5G267700 ID=Brasy5G267700.1.v1.1 annot-version=v1.1 MAPAAGRATAVSKAVATPSPGVTMMTMKTRDATVAATAARTSPGTVAGCPGARAAATSHIVARTPAGGMLPMMIWLWARSALCLVLSVGATSARSELQRLLLQKKALVVQDTNTTAEGSEELAQESDVQVADDSCTLQEFLDSIAQPRPLHLLPPPAKSASSKRQLQQNIVNDPDQQNMQENSIVRRSSRLSKNPKGAGALELKAQEFLARGLGIISGGEDFDDAAKSLFVKMFQGPEPLTEAAILAIDKLVVLIKKKM* >Brasy5G363400.1.p pacid=40076949 transcript=Brasy5G363400.1 locus=Brasy5G363400 ID=Brasy5G363400.1.v1.1 annot-version=v1.1 MADGSDGPDVSPEEAAAAAPAGEIWGTLEELLLACAVSRHGTGSWDSVATEVQTRSPLAARPRLTARSCRLRFHHLHRRFSVAGAEEEAGAEGEGEDPDASAADGWLDELRRLRVAELRREVERCDLSIGTLQTKVEQMKEERERSLSGEGKKEEVTGEDNLSGEEPGRSCRESNSTDLKRPKNSGDQSGVKEEEDATAKLEEFSGESMAASKESSASQRRRRKASAGDEESEEASVQSQPLAALLDRVAARFGSVFERLHETQESESYRGTIRRHVDLETMRRKLDGPAGYTSSEFYRDLLLLCANASVYLPRHGTAEHAAAAVNALRLVSAQVSATLREPKRDLQIGKSVTAASASAAAADSRRAEAGGADVVGPLIEKTGKPLIFCRKRSSIAKASAAAAVARKEEEDAAKAEAEKEEGSDGEKKAAAAVATKDKAWGTRAKKTRGPNKNAGAAGRKASKAAAAAEEAETKADKKKGDADSDGATGAGGLVAKKRNAVDFLKRLNQGASSSTKKKRGSPLVGRKRSAAAAQEEEQPKARRGPGKKGTGRGGSKSGAKAAGTKRSVGRPPQKRGAAPATPPPSKRAKVNRSERSSSSSRRGGRK* >Brasy5G070300.1.p pacid=40076950 transcript=Brasy5G070300.1 locus=Brasy5G070300 ID=Brasy5G070300.1.v1.1 annot-version=v1.1 MRSRRNSGLPKTGFGFPSSLREPNPLPITISISNLSHPQPHRTVAPSPSSQNPNPTHDHPAPSLPASVMSDCEASPRPAVAVSAAEAEASAGENSPSPARNEELLPVGEKISELNESQTELLGRLRGLKEDLQNWRNNLDTQVQKYKTEISDIKTALNTEIDQLRSDFQELRTTLKKQQEDVSISLKNLGLEDATENDGKNGTVEENTSVGALANPEIVKLDDNLESHEESSAINEEKNEETAEDGSGVAEDDSDVVKDATKTESASDK* >Brasy5G096300.1.p pacid=40076951 transcript=Brasy5G096300.1 locus=Brasy5G096300 ID=Brasy5G096300.1.v1.1 annot-version=v1.1 MAAAARAADGTAGRGAGGQGGAVDELYAELWKACAGPLVSVPAVGERVFYFPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEQDTDEVYAQLTLLPEKQNENASTEGEKEEVPAAVPAVHERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQNPPTQELMTKDLHGVEWRFRHIFRGQPKRHLLQSGWSVFVSNKRLVARDAFIFLRGGNGELRVGVRRAMRQQASIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVPCDLYYESMKRNHSIGMRFKMRFEGEEAAEQRFTGTIVGIGDSDPSGWADSKWRSLKVRWDEASSVPRPDRVSPWQIEPAVSPLSVNPLQAPRNKRSRPNTIASSPDLSPVNREVSSKVTANSQHNGLPRALQRQESETSTSRFGDNNVKTSGKLTMWPSRTDQEKSNITVQRSSEGWMQMQRPEGYTNILSRFQPLKDAQNPLCSFPSQMSGSCSNTWNIVNVHYPDQQVNRNTFPGTWSCMPPNSGFGVNQHNYLMTPDRAPSQRAENAKFSWNGDFTSVQARGIDERSLGWFEHAEPSPHIDDASSSVIKSHPLGHALRKTKGSPCMLFGFPLDGPAKSEPMISPPSVAYDGKPQTPCSEKQPQPEVIELDRSPGTSKLVSPLDENQSDSAMAKHQTCPEATRNIQSKLQCSTRSCKKVHKQGIALGRSVDLTRFTCYDELIAELDRMFDFGGGLKGSCENWMVVYTDSDNDMMLVGDDPWNEFCDVVHKIFIYTREEVSKMNPGALVARSEDSLSASLDRGVVGK* >Brasy5G096300.2.p pacid=40076952 transcript=Brasy5G096300.2 locus=Brasy5G096300 ID=Brasy5G096300.2.v1.1 annot-version=v1.1 MAAAARAADGTAGRGAGGQGGAVDELYAELWKACAGPLVSVPAVGERVFYFPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEQDTDEVYAQLTLLPEKQNENASTEGEKEEVPAAVPAVHERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQNPPTQELMTKDLHGVEWRFRHIFRGQPKRHLLQSGWSVFVSNKRLVARDAFIFLRGGNGELRVGVRRAMRQQASIPSSVISSHSMHLGVLATAWHAVNTGSMFTVYYKPRTSPAEFVVPCDLYYESMKRNHSIGMRFKMRFEGEEAAEQRFTGTIVGIGDSDPSGWADSKWRSLKVRWDEASSVPRPDRVSPWQIEPAVSPLSVNPLQAPRNKRSRPNTIASSPDLSPVNREVSSKVTANSQHNGLPRALQRQESETSTSRFGDNNVKTSGKLTMWPSRTDQEKSNITVQRSSEGWMQMQRPEGYTNILSRFQPLKDAQNPLCSFPSQMSGSCSNTWNIVNVHYPDQQVNRNTFPGTWSCMPPNSGFGVNQHNYLMTPDRAPSQRAENAKFSWNGDFTSVQARGIDERSLGWFEHAEPSPHIDDASSSVIKSHPLGHALRKTKGSPCMLFGFPLDGPAKSEPMISPPSVAYDGKPQTPCSEKQPQPEVIELDRSPGTSKLVSPLDENQSDSAMAKHQTCPEATRNIQSKLQCSTRSCKKVHKQGIALGRSVDLTRFTCYDELIAELDRMFDFGGGLKGSCENWMVVYTDSDNDMMLVGDDPWNEFCDVVHKIFIYTREEVSKMNPGALVARSEDSLSASLDRGVVGK* >Brasy5G315000.1.p pacid=40076953 transcript=Brasy5G315000.1 locus=Brasy5G315000 ID=Brasy5G315000.1.v1.1 annot-version=v1.1 MATATATAQAQPGMDAREWDEAAYRRGILRERDLSCRTLFRAVFFDQRDDPDPDVLLAAASSDGSLASFSLSSCISSSAPTHAAPQPIAAALVDPVCIVQAHSGPAYDVKFYNDPLQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAISKQDGSVFAAAGDACAYCWDVESGKCKMTFKGHTDYLHSITVREANHQVVTGSEDGTARIWDCRSGKCTQTIHPVQNNKFEGSWVGCIAIDASESWLACGTSSGISVWSLLSNECIFNADCSAPVQDLLFDKNQILAVGAEPALSRFTINGAVLSQIKCAPPSAFSISMHSSGMAAVAGHGGLVDVISGFGSHLCAFRCRGLDK* >Brasy5G315000.2.p pacid=40076954 transcript=Brasy5G315000.2 locus=Brasy5G315000 ID=Brasy5G315000.2.v1.1 annot-version=v1.1 MATATATAQAQPGMDAREWDEAAYRRGILRERDLSCRTLFRAVFFDQRDDPDPDVLLAAASSDGSLASFSLSSCISSSAPTHAAPQPIAAALVDPVCIVQAHSGPAYDVKFYNDPLQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAISKQDGSVFAAAGDACAYCWDVESGKCKMTFKGHTDYLHSITVREANHQVVTGSEDGTARIWDCRSGKCTQTIHPVQNNKFEGSWVGCIAIDASESWLVPSS* >Brasy5G315000.3.p pacid=40076955 transcript=Brasy5G315000.3 locus=Brasy5G315000 ID=Brasy5G315000.3.v1.1 annot-version=v1.1 MATATATAQAQPGMDAREWDEAAYRRGILRERDLSCRTLFRAVFFDQRDDPDPDVLLAAASSDGSLASFSLSSCISSSAPTHAAPQPIAAALVDPVCIVQAHSGPAYDVKFYNDPLQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAISKQDGSVFAAAGDACAYCWDVVCILLRRAASVK* >Brasy5G366600.1.p pacid=40076956 transcript=Brasy5G366600.1 locus=Brasy5G366600 ID=Brasy5G366600.1.v1.1 annot-version=v1.1 MVSSTKGKVCVTGASGFVASWLVKRLLESGYNVLGTVRDPGDRRKVAHLWKLPGANERLQLVRADLMEEGSFDDAVMACEGVFHTASPVLAKSDSNCKEATLGPAINGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDETQHPQLSWDETIWSSVPLCEKLQLWYALAKIFAEKAALDFARENSIDLVSVLPSFVIGPSLSHELCTTASDILGLLQGDTDRFTLYGRMGYVHIDDVARSHILVYETPEATGRYLCSSVVLDNTELVGLLAKQFPVFPIPRRLNNPYGKQSYQLNTSKLQGLGFKFKGLREMFDDCVESLKDQGHLLECPL* >Brasy5G412700.1.p pacid=40076957 transcript=Brasy5G412700.1 locus=Brasy5G412700 ID=Brasy5G412700.1.v1.1 annot-version=v1.1 MRARQEYWSPDRAISVSGFDCASRAEIEAVLAHGVASGSIVYANPCKPEAHLEYAASVGVNLTTYDTEDEVAKVKRCHPNCELLLRIKGPDNDEAKIDLGTKYGAHAEEVVPLLRAAQRAGLNVAGVSFHVGACTSRTDVYREAIRASRVAFDAAAALGMPPMRVLDIGGGFMADAAFGRAAAAIRDALAQHFGDLLPCVEVIGEPGQYFGETVFTLAARVIGKRARGEARQYWIDDGLYGSLSCALMGDYVPRPRPLLAAPRPGGEKTYASTVFGPTCDSRDKVVSGYQLPEMSVGDWLVFDGIGAYAASSGSNFNGFLMSDIKTYLAYST* >Brasy5G244700.1.p pacid=40076958 transcript=Brasy5G244700.1 locus=Brasy5G244700 ID=Brasy5G244700.1.v1.1 annot-version=v1.1 MLAWKAFQHVASYDSAVSEWLWKQSNKGDIFPPSLTIPLSTKSTLRYGENPHQKAAFYVDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRADVLEAYRLAVKADPVSAFGGIVAFNTTINEDLAKEIREFRSPTDGETRMFYEIVVAPGYTEKGLGVLKGKSKTLRILEAKRSEKGMLSLRQVSGGWLAQESDDLAPEDIAFTKVSKRAPTDGELSDAQFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRMDSLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGVIAEPGGSMRDKDAVDCCDKYGVALLLTGVRHFRH* >Brasy5G520900.1.p pacid=40076959 transcript=Brasy5G520900.1 locus=Brasy5G520900 ID=Brasy5G520900.1.v1.1 annot-version=v1.1 MGIITSEMTCPTGRAGRGTSRSPQTRTPWGPVCRAPPACRSLSPPPPSTSPRRFRLVPGPRLSTRRRRPTPSPRCRRPAPGPRLSVRLRCPRPSPRRRRPAPGPRLSVRLRCPRPSPRRRPRPCMLPKPAIQHLASGEPAELAALSRGDQMRLWELRGATRGGRRRRSGGVRRRQGRTAGQGQRSQGRPSGQGRRSQGSRRSLLPSPTVVSGGSCCE* >Brasy5G083100.1.p pacid=40076960 transcript=Brasy5G083100.1 locus=Brasy5G083100 ID=Brasy5G083100.1.v1.1 annot-version=v1.1 MARGGGSRKLTAAATFSLAVLVLLFFPGASAAVPSIDATLTRHLPLPRGLLRGPESVAFDGKGRGPYSGVSDGRIQWTNASWTTYAYAPGYSSEACTATARRPETVTESSCGRPLGLRLHLKSGQLYIADAYKGLMRVGPGGGEATVLVNEVDGAPLRFTNGVDIDQVTGEVYFRDSSMTYQRSQHEMVTRTGDSTGRLMRYDPRTGKVVVLQAGITYPNGLAISPDRTHLVISSTGPCKLLRYWIKGPKAGTIEVLVDLPGYPDNVRPDGRGGYWVALHREKNELPFGIDSHLLAVRIGADGKILEEMRGPKSVRPTEIMERKGGRLFMGSVELPYVYVIKRK* >Brasy5G138300.1.p pacid=40076961 transcript=Brasy5G138300.1 locus=Brasy5G138300 ID=Brasy5G138300.1.v1.1 annot-version=v1.1 MTGAPALLVLVFPLLLCHCPLLSHAQPPSSPVGGATDELALLSFKSTLSGDSLLASWNVSSRYCSWPGVVCGEKHPERVVALRLRSANLLGPVSPFLGNLSFLRELDLGDNHLAGQIPPELGRLTRLQELNLSVNSLQGSIPEALAGCSSLTTIDLNRNHLKGEIPRWLPNLTSMEYLSLGGNRLYGEIPPGLGNLSKLRRLALSTNMLSGAIPSSLGRLPNLSWLSLGYNNLSGAVPTSIWNISSLVFFSVQQNMLTGTIPPNAFDTLPNLQSIYIDHNRFHGQIPASVANASEMSLLQLYYNFFDGVIPPELGRLRNLYWLQISENLLQAREPGDWGFVAALANCSQLTVLEMGANKLEGVLPDSLSNLSTSLMYLSLSGNEISGSIPRDIGNLVNLQNLDLSNNSFTGTLPSSLSRLNNLVELYVTENKMSGSIDPLTVGNLTNLNYLGLSKNAFSGWLPSTIGNLIKLLALDLSGNSFTGPMPKGLFNISTLSVNLNLSHNNLEGSIPQEIGNMKNLVEINAESNKLTGAIPDTLGECQLLQNLYLRNNILTGTVPSSMSQLKGLENLDLSGNNLSGEIPKFLANITMLNYLNLSFNSFVGEVPNVGVFANATAFSIQGNSKVCGGIPDLHLPPCSSQLENKRQKSLVIPIVTPLVAFIVIFLLICLFLSWHKSTNTKIPSTTPMQGHPLTSYAQLLRATDGFSTTNLLGSGAFGTVYKGNMESQAGGSTRLVAIKVLKLQTPGAVKSFIAECEALRNLRHRNLVKIITACSSIDNRGNDFKAIVFDFMPNGSLEDWLHPDTNDETAKCLNLLERVTILLDVANALDYLHCHGHAPVLHRDLKPSNVLLDTEMVAHLGDFGLAKFLIDIETSSILQQSTSSMGFRGTIGYAPPEYGAGNIVSMQSDIYSFGILVLEMVTGKKPTDSKFIEGLSLRRYAELGLHGKVKDVVDARLSLSLENELLTTDDCLYKQEIDCVVSLLGLGLSCSHDMPSRRTATGNIVKELHAIKESLLL* >Brasy5G083600.1.p pacid=40076962 transcript=Brasy5G083600.1 locus=Brasy5G083600 ID=Brasy5G083600.1.v1.1 annot-version=v1.1 MEYINYIKSKSDVQEEELIKIHDLSLNYKILRSLTDDRAWLEGDVISAYIYDLRTRKNMLTRAGGKVYLETSITSAMLKRDGGNNEDGTEIDVNPSKRKRQLIVKLILEYLDNDMVFLPVNIKDSHWYLANINAPKRVVQVIDSFGAIMNRNDLHKTLKGLSKYIKIVQETIPVLTCNRWPDMDVTKWAVEEMLQHKTQTDSSSCGLFMLKYMEHFTGHEVSEPVKQSDMSAFRRKMPFILFDTELNTNPRIFFECDQDPTPEITEPPVTTKRSSMGVVPGTSKITDPPVTTKKCTSGVFPGISEVTTKQISDGLEPDMIIVVGIVRNLGWGEGYAHFNIDDCTGPGMLSFRRWLDHLENNMYMESRQSY* >Brasy5G464700.1.p pacid=40076963 transcript=Brasy5G464700.1 locus=Brasy5G464700 ID=Brasy5G464700.1.v1.1 annot-version=v1.1 MAQQHECSVDVVPAAQEGEGACDSVGHQDLQAGDGARDSVGHEDLQSIKLVIDIGGRTIEIPLKGKLVVQTAAGGDAAANGVLPAGQDDQKYLDKMRGWLITVATLFVGIAFQGGIQPPAWVPDAWHRSLNSTEVRTLAADAGQAAKIKRAQAYMARFYVAFNTSTFATALTVVIMLLVMKKGQPTRTIKLLLPFGLLIAIFVSLAFVLGTSGDATTGFFSVFLCLVVYALLAIYGLRHFWLVHVMPPPRATQPTLAGPDSVDLGQVDAAPVGRDQHGLHP* >Brasy5G133700.1.p pacid=40076964 transcript=Brasy5G133700.1 locus=Brasy5G133700 ID=Brasy5G133700.1.v1.1 annot-version=v1.1 MVAASEGGRRRRQQQRLSVFFLPFFARGHLIPMTDLACHMAAARPADVEATMVVTPANAAPIAATVARAAASGHAVRVLRYPFPDVGLGPGVECLGAAAAQDTWRVYRAVDLSRTAHESLLLEHRPDAVVADVAFWWATGIAAQLGVPRLTFHPVGIFAQLVLNSLVAACSSIVYPGGPPLQVPLPGGKDHEKIAIPVAELPDFLVRDDDHLAANWGRIKASQLAGFGVVVNTFADLERPYHVDLDARRAYLVGPVSIPTPRSAVHRGGGDGADVDCLAWLSAKPAGSVVYVCFGSWASFSARQVRELALGLEASNQPFLWVLGQCQDSSSFFPDQDWEQRVSGRGMVLRGWAPQLEVLAHPSVGAFLTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVADVAGFGSRVWDGGKRGVREEDAETVPAEAIARAVAGFMEDGARGRRREKARELAMRARAAVGENGSSWSDIRRLIDDLMEARASSGVPYLRQSAVANTQECCCATQDFDLEPSDPLELGNSSLQPAPLKKKKMVVAALQDRNCRAWLAEPSRRPSVRRSYVQPPPTEKKSIVEKTGSHRPPPTSTHAQNPTISSTFLSIRIDPIRTTPPSPASRSASASLPPAPQTSLQRPSSSSVLLPHSSLRLPRADAPAPPPSLPPFAFLAMTRRRRLPLPPCLLLIRSAPSLPPASSQAQPARIKTEPIWVLPASASARLHRRRASPPAAGNDTPLPFGPDSSSRRQGPAEDSHLGRWDL* >Brasy5G408800.1.p pacid=40076965 transcript=Brasy5G408800.1 locus=Brasy5G408800 ID=Brasy5G408800.1.v1.1 annot-version=v1.1 MSKEEVAAADTSEQPATKAPYWDPPPAPLLDTSELSRWSLYRAAIAEFTATFIFLYVSIATVIGYKSQAAAETCTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTFGLLVGRKLSLVRAVLYIVAQCLGAICGAGLVKGITGRSYETLGGGANSVSDGFSVGAAFGAEIAGTFVLVYTVLSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVMYNQHKAWKDHWIFWVGPLLGATVAAFYHRFVLRGEAAKALGSFRSTGAATART* >Brasy5G456400.1.p pacid=40076966 transcript=Brasy5G456400.1 locus=Brasy5G456400 ID=Brasy5G456400.1.v1.1 annot-version=v1.1 MLACKSHDIPQVQSPFANNLVQLKISKEGTRLTCELYIFSNFVICALQMQTHLTAVTSVVDCLKRLYVKKLKPLEAAYPFNKFASPLLVKNCSHLSFLFTYI* >Brasy5G229000.1.p pacid=40076967 transcript=Brasy5G229000.1 locus=Brasy5G229000 ID=Brasy5G229000.1.v1.1 annot-version=v1.1 MSSSSFLPHQQNGPASAQLSGVVTPVVCYTKCMRNHALVVGRHAVDGCGEFMASGSDPMAALTCAACGCHRNYHLREIVYEFSVLPPPPTVIAPLNNSKTEN* >Brasy5G468000.1.p pacid=40076968 transcript=Brasy5G468000.1 locus=Brasy5G468000 ID=Brasy5G468000.1.v1.1 annot-version=v1.1 MRPSGTLTCERICIWYKGDLIAWGAVKKLSTEEREFSEAILAKCGGLPKVIAAIGEYASKRIASKNKTLASISTKIHRDFIGKLETDSRFHCLRGLFCWMQSYFDACSDSLKPCIFYLSMFQNIRRRRMLRRWIAEGYSTDKSASGTAKDNGELLFGKLVESSIIQQQQTPSSSISSSSSKMVYQVNGFFREYIISRPMEDNLVFALEGHCSPDTQRTGQHLAIMCSWDRDQIVFESMDFTRLSVWGVEVILLSTDTKMRLLRVLDLEDTKSGVTDEVLEKIVKLLPRLKFLSIRGCQDITRLPDSLGGLRQLQTLDIRHTKIRMLPYAIIKLVKLQYLRAGTTHESLAHGDDCSSIYCRTPASREDANQPAADRDGEGTSQPTAGDEDRVVMATSQPAADKVRTWIRVAPWRRAVRDLVVSCRKKLRRRPRHDDGIVEIVPAAARGIGKLTALHTLGVVHVSSSAGGKTFLLELKKLTQLHKLRVSGINRKNWLDLCSAISGHHHLKSLSVRFYKAAAATSLFSGPDDLFSDLPKTLKSLKLYAGDGNVQVSPVLLKRLGNLRKYNFELTASTQLDIDSLVEIPCIFRHLYVKPIQDGKLCYAPWTQDGLAGRFFGVRVLEIDCGSYRSEIFLGDLVSLAVQIVTIMHGISRKWLKGSYSEAVKQHFQQELAKLPWLIVDLC* >Brasy5G420800.1.p pacid=40076969 transcript=Brasy5G420800.1 locus=Brasy5G420800 ID=Brasy5G420800.1.v1.1 annot-version=v1.1 MASHQSFLDMLSFGASQQLPCSPFGEQEMPATQESSAAMKTKSTKGKNWSSDEDKVLIQAWAHTSLDAVIGTDQQSSSYWGRISDYYNTHKNSSWPERNPNAINCRWNTIREQTNKFCGCCQQIINRNCSGQTIDQKVWYI* >Brasy5G160100.1.p pacid=40076970 transcript=Brasy5G160100.1 locus=Brasy5G160100 ID=Brasy5G160100.1.v1.1 annot-version=v1.1 MAATAAAQQKTAAAEQEEVEHGPFPIEQLQAAGIAALDVKKLKDAGMHTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQVKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQLSSEGVADVKD* >Brasy5G160100.2.p pacid=40076971 transcript=Brasy5G160100.2 locus=Brasy5G160100 ID=Brasy5G160100.2.v1.1 annot-version=v1.1 MAATAAAQQKTAAAEQEEVEHGPFPIEQLQAAGIAALDVKKLKDAGMHTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEVKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQLSSEGVADVKD* >Brasy5G324300.1.p pacid=40076972 transcript=Brasy5G324300.1 locus=Brasy5G324300 ID=Brasy5G324300.1.v1.1 annot-version=v1.1 MAKVGENSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDENRDKAQLYKKEGLDALSEGKLIKAVECLTDGILLNPTSAILYATRAGVFMKMKKPNAAIHDADAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEIGSELKKVEPNVHKIEEHRKKYERLRKEREVKKADMERQRKQAEEVSAASAVVKDGEVITIHSSNELETKLKAASSLSRLVILYFTATWCGPCRLMGPVYKSLAEVHRNVVFLKVDIDELGIVAHRWNVSSVPTFSCVINGKEIDKVVGADKAGLERKIAQHDSSKKTT* >Brasy5G324300.4.p pacid=40076973 transcript=Brasy5G324300.4 locus=Brasy5G324300 ID=Brasy5G324300.4.v1.1 annot-version=v1.1 MAKVGENSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDENRDKAQLYKKEGLDALSEGKLIKAVECLTDGILLNPTSAILYATRAGVFMKMKKPNAAIHDADAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEIGSELKKVEPNVHKIEEHRKKYERLRKEREVKKADMERQRKQAEEVSAASAVVKDGEVITIHSSNELETKLKAASSLSRLVILYFTATWCGPCRLMGPVYKSLAEVHRNVVFLKVDIDELGIVAHRWNVSSVPTFSCVINGKEIDKVVGADKAGLERKIAQHDSSKK* >Brasy5G324300.3.p pacid=40076974 transcript=Brasy5G324300.3 locus=Brasy5G324300 ID=Brasy5G324300.3.v1.1 annot-version=v1.1 MAKVGENSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDENRDKAQLYKKEGLDALSEAGVFMKMKKPNAAIHDADAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEIGSELKKVEPNVHKIEEHRKKYERLRKEREVKKADMERQRKQAEEVSAASAVVKDGEVITIHSSNELETKLKAASSLSRLVILYFTATWCGPCRLMGPVYKSLAEVHRNVVFLKVDIDELGIVAHRWNVSSVPTFSCVINGKEIDKVVGADKAGLERKIAQHDSSKKTT* >Brasy5G324300.2.p pacid=40076975 transcript=Brasy5G324300.2 locus=Brasy5G324300 ID=Brasy5G324300.2.v1.1 annot-version=v1.1 MAKVGENSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDENRDKAQLYKKEGLDALSEAGVFMKMKKPNAAIHDADAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEIGSELKKVEPNVHKIEEHRKKYERLRKEREVKKADMERQRKQAEEVSAASAVVKDGEVITIHSSNELETKLKAASSLSRLVILYFTATWCGPCRLMGPVYKSLAEVHRNVVFLKVDIDELGIVAHRWNVSSVPTFSCVINGKEIDKVVGADKAGLERKIAQHDSSKKTT* >Brasy5G324300.5.p pacid=40076976 transcript=Brasy5G324300.5 locus=Brasy5G324300 ID=Brasy5G324300.5.v1.1 annot-version=v1.1 MAKVGENSFEDEIMESDIELEGEVVEPDNDPPQKMGDPSVEVSDENRDKAQLYKKEGLDALSEAGVFMKMKKPNAAIHDADAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEIGSELKKVEPNVHKIEEHRKKYERLRKEREVKKADMERQRKQAEEVSAASAVVKDGEVITIHSSNELETKLKAASSLSRLVILYFTATWCGPCRLMGPVYKSLAEVHRNVVFLKVDIDELGIVAHRWNVSSVPTFSCVINGKEIDKVVGADKAGLERKIAQHDSSKK* >Brasy5G321100.1.p pacid=40076977 transcript=Brasy5G321100.1 locus=Brasy5G321100 ID=Brasy5G321100.1.v1.1 annot-version=v1.1 MGSSNKISLKLLVDTKSRKVLFAEAGKEFVDFVFSLLTLPIGAVAKLISAGTMHGSIGRLYQSVDDIGGCYLLPGKDKADLMQPKVLHPETRELLLLQGKQAAGGGSPEDPSPPLGKLKMYTCAWYCATATVEPKTACPQCKQAMESEMAFVLPSAAPKAAASSSEKDESGGFVKGLVTYMVTDGLEVTPMSAISSITLINKFSVNKDVELAEKFVSIGMDEGLGLLRAALRSDTVLSDVFLAKKK* >Brasy5G164300.1.p pacid=40076978 transcript=Brasy5G164300.1 locus=Brasy5G164300 ID=Brasy5G164300.1.v1.1 annot-version=v1.1 MDSQDDAMGMYTSLLSERVGIDEVWDDTQNYSPIGEQAMRTQIVTPPVRPNNKRTKNFTIEEDQVLVSAWLYASLDPIVGNEQKNATYWKRIHEHYELHKPQESDHNISSLTHRWAVVKEQVGRFCGCFT* >Brasy5G373800.1.p pacid=40076979 transcript=Brasy5G373800.1 locus=Brasy5G373800 ID=Brasy5G373800.1.v1.1 annot-version=v1.1 MARSNTHPHCDLDNLEGPAIEAITSHMDIISTEHLAAYSKTMFRAIATNKQLPFELPCLLMPDLATWKDYDNQDERVVNVVPLDMCPFRANMPFLHDKFWVGGKGDWLATINEVGHCLLVNVHTRRVIDLPSMETAHIHRRGPSDMCEMFQMGWTQLKLLKIVVCQVPTEAGAYSDYKLIALFDHTVAYLRGNEHRWRSLRNSFTGVPRYSDAIEHNGTIFVVEADDGSILCWDHANKGDICLPFKIPPPLEEQRPGLWFLACSADEQHLMIVRTYGSPVGGEVNIPYLGRTVCEYAGFGCHVFERDTSSIRPGVSTWSRVHTLGTHSLFIGINYPMNLHIRDSRDPDGNTFPFMRRNYVYTSYHEFRVDRHSPEIRRFNMQQEKGQLEPGINLPGPGWFTRKAAMWFKPSLDNIQTWAHI* >Brasy5G262600.1.p pacid=40076980 transcript=Brasy5G262600.1 locus=Brasy5G262600 ID=Brasy5G262600.1.v1.1 annot-version=v1.1 MLTAACNPNQSPIHNPRTSPHSHARVVEHELGAIRRGITNSNPHNHAQVVEHKLGANPRGITRIRVARTLSNLRMSL* >Brasy5G292900.1.p pacid=40076981 transcript=Brasy5G292900.1 locus=Brasy5G292900 ID=Brasy5G292900.1.v1.1 annot-version=v1.1 MLGRGRGRGRGGWGRGRFGGGRGDGSPRFGARDEPPPPPRRSSSGWGVAPPSRHLWVGSLGSGVTTSDLSELFLRCGEIEGITRDPGRSFAFVSFMKEHEAVVAVRELQGARLRGAPIRIEFSKGDKSSGSSMDDRYTEYADERHFVERGRKQQLSPEQSIDKSKRNKSTEPSEVLWIGFPAGLKVDEAALWEAFSSFGEIVKITSFPGRTYAFVKYTSIAAACKAKEALQGRLFNNPRVSICFSRNEGVAAESGKGSFVPPYSPRLNPSARSIFEDQDSKAFPRPRPFDSPPRDLHMSSHFGPERLLRDGDDRGFSRDNYFRHGPEIEPGPVSNFEPFRKQGLGPERRISEDLYEQHRSSHAVRSDAPWHNIPFERPRRPLPLEDSWDAEDNSYPFSKKPRTGEHDAELPEYPFSEFERGHVVSGYPRRPIYDLPEDDTYPTNYQPTHVHGRNYIDPLRNPIPLVDRHEPWLSQNSFATQAGEVDRTTPEHHGSLSLPKEEWKWNGTIAKGGAPICRARCFPVGKVLNFMLPDFLNCTARTNLEMLSKHYYEAASSWVVFFVPENDTDIAAYNDFMNYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVILKFQQANPDLTSTNRQLEALEKLPPSFMTHVNTDVRSHEDRDASRRHNPPDIRTLDQGPDYLRSSTGTSTPSSTDFIPPYKFVNAPPYLGSQLPQQVPAPDSHREIRHDQHQRSPNMWPSGWSNNNDPSPGSGNFKSLATTAIAHTLNDMTREPHSLATQGVPAKGTPSEYAPGEASSMPLLKMEPPSHQVVRPQQPPSLPVSLPPEQLAQLATLLAQQKQQGIEACFPVGSSNTQSGFMQNSNPHGHALVTPGSSVSVHNSLLPGAPSVPSQLQLHVPPIQAHSSMPLGSFVPPLPEGPPPFRQLTSGTASIQPLLSSGQQTGQQLPSQEDLGGDPQKRLQATLQLAATLLQQIQKQSKPGGQN* >Brasy5G103700.1.p pacid=40076982 transcript=Brasy5G103700.1 locus=Brasy5G103700 ID=Brasy5G103700.1.v1.1 annot-version=v1.1 MATAYAPPMASQLMKSSLACSKPRGLSGASLTRRPRFVVKAIQPDKATYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVTILSLCLTMYGVASFDEGAPSTAPTLTLTGRKKEADKLQTAEGWSQFTGGFFFGGVSGVLWAYFLLYELDLPYFFK* >Brasy5G003800.1.p pacid=40076983 transcript=Brasy5G003800.1 locus=Brasy5G003800 ID=Brasy5G003800.1.v1.1 annot-version=v1.1 MHADMAKALYPAVLDAQRAHAAYKVLHAANANAGNGNHYAVLGVAAPPNGRPTKESHDAVKASHKALCDLLGGADAKTATKTAAMAAALKLVNEAFAALTDIKKNEAASLRPPAGRPRLPPLRPRPKASAAAPTRIAVVDSAVNTSDDQFFEQPAPEQKEVQGAGAAEEEEEEDYYAGGRSRSGRVIPVVKY* >Brasy5G105400.1.p pacid=40076984 transcript=Brasy5G105400.1 locus=Brasy5G105400 ID=Brasy5G105400.1.v1.1 annot-version=v1.1 MGGFGGNCRVGAVLFFSTWITLAALNRLLRPAPNGCQMTYMYPTYIPIPTPKNVSSDRYGLFLYHEGWKQIDFDEHVSKLDGIPVLFIPGNAGSYKQVRSLAAESFRAYQNGPLEHTFYREVSSTSSLNELEDFSLPSQYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLTRSTDGVRSTGNLPSSVILVGHSMGGFVARSAVVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFSRVNEEWRNGYNKGVSHTSSPKLSNVVVVSVSGGIHDYQVRSRLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLAVQVAHTLLSMIDPVDRQPFSSSQKRVFVLANMLQSAAPQSLSWMDRVTGSQSSNFLGSDTRVANEFQRNNSISCPASVQWTSDGLEKDLHIQSNLVTVLAMDGRRRWLDIQKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKYRSSIQNEVPASKKIVEVTSKMVEIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEEMSGFRFMTVSVASRPTISGRPPPAASMAVGQFFNPTEGTRALSVGRIARSSYDPEEIFLKEDHPLALTLSFSVSLGLLPVLFSLRTAGCGIKNIGDQMEADKNNLCKLRCFPPVALAWDSVSGLHIIPNIYSETVVVDSSPAIWDTHHEAERTTVLVLADPHCSYKVSLRASLGAATSRFFLLYSSEILGFMVAVILFGLMRQSSAWERDSSVPSILSAIETNLKLPSPLMFLCFTPILLFLAFLFFTTKQNPRFGTFLFVTIICYIVANGFTILLILSSKLIVYVAALLHVFIKRRWQSWEDSTQSPFIRQFLALSFSFQTLKIVQMIKNNPNIVVAFATIPLVCFVHPALGLGVLLLSHSFHAHSALCSSFLAASFRNIAHNKDQHKSKMVNNPILLSKSKQVVMEQILPMDDSPTAAKSFTDSQLEVFDCRHGIMILHLLAMLMFAPSLVAWIQRIGMGQNFPWFVDSALCVGVILHGLFGSQPTATCISFKLPGRRGHEVGLSFLYLLGGYYSFVSSMALAPYRALYAIAIIGFICCMSRILEIRGKVRGDISSRKRHWHRH* >Brasy5G162700.1.p pacid=40076985 transcript=Brasy5G162700.1 locus=Brasy5G162700 ID=Brasy5G162700.1.v1.1 annot-version=v1.1 MRPASPLGPASGAWGPRPLGPGLAVLRSCLPAPVPNRLRASRSHITQRCFHCFLGVLTDSLVHALACFIVTGQLGFLILTIWGCRVTEVLGEGPNSTGKVVIVGAEEAGNCGGRGHIGTKVYASMVKEKSSHVPLYKRTSCAVGEVAEKQLQHRNLKTSSPPLLSRVPWKFWKYARMVKSSLPLIKDVIVTLLEFQQLARL* >Brasy5G388100.1.p pacid=40076986 transcript=Brasy5G388100.1 locus=Brasy5G388100 ID=Brasy5G388100.1.v1.1 annot-version=v1.1 MRDMLSVFLEFNVTKRESSGCKAAYLVPYFHRKRGRSQSNSASMAVDASKSTANVKAKSSLKKKQKGKNTNERDMHQNNYFHASEAILSILLDKDKSSPTILSLKKAGPEISELLTQCSIGIAGTGLAVLLSVVCKIATGGRTPFAATRLLNTSVGLGLFWLSSAVNGLRNTITSIFRGPSDTNLEDEVAVKIQRSTNDILFRAVTLLAITALKFA* >Brasy5G304600.1.p pacid=40076987 transcript=Brasy5G304600.1 locus=Brasy5G304600 ID=Brasy5G304600.1.v1.1 annot-version=v1.1 MSMAQVCCDTAVVVGAEAEARARARAGRRRRATGEAATGRWKVAPEVPQGAEEAAAATRKRRAAGAEAGAAKRHGVASVAGRRREMEDAVSVREAFAAAPAEEGTEPGKAARDFYGVFDGHGCSHVADACRDRMHELVAEELAGAEPGCWATAMERSFSRMDAEVMDAEVMDAEVMAAGGREDDSASCRCEAHKCDHVGSTAVVAVVEERRVVVSNCGDSRAVLCRDGGPVPLSSDHKPDRPDELERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPFVSAVPEVTVTDRLDGDECLILASDGLWDVVSNQTACDVARACLRRGRDRWCAEAAAMLTKMALTKGSSDNISVVVVDLRPRNPLPL* >Brasy5G440700.1.p pacid=40076988 transcript=Brasy5G440700.1 locus=Brasy5G440700 ID=Brasy5G440700.1.v1.1 annot-version=v1.1 MECPPVVLLVLALNCTFQSSSSASPGSSYGVRLNADGAFQFPVFHKYHPYLNSMVQAANIPDSAVIGDDSTRENQFFVGISLGTPAVFNLVTIDTGSTISWVQCQYCGIVYCYTPAQRAGPIFNTSNSSTYRRVGCSAQVCHDMHVSQNIPSGCVEEEDSCLYSLRYASREFSTGYLSQDKLTLANSYSIQNFIFGCGADIRYSGYSAGIIGFGNKSYSFFNQIAQQTNYNAFSYCFPSNQENEGFLSIGPYVRDSNKLILTQLFGYGAHLPVYALQQFDMMVNGMRLQVDPPVYTTRMTVVDSGTVETFILSPVFRALDRALTTAMIAEGYVRESDRKKICFDSNGDSVDWSKLPVVEIKFSRSILKLPAENVFYETSDGSICSTFRPDDAGVPGVQIIGNRATRSFRVVFDIQQRNFGFEAGAC* >Brasy5G314100.1.p pacid=40076989 transcript=Brasy5G314100.1 locus=Brasy5G314100 ID=Brasy5G314100.1.v1.1 annot-version=v1.1 MNSIGLRIVVAIDSWEIIFVKVFWGLDKKLAQRKHFPSVDWLIAYSKYSTVCWYCLTDHVLMILGYILSYGSKQIMKTKRH* >Brasy5G347600.1.p pacid=40076990 transcript=Brasy5G347600.1 locus=Brasy5G347600 ID=Brasy5G347600.1.v1.1 annot-version=v1.1 MNGRARRPAGAHGTMAFPKGDRMMQKDPRKAMPVKGPTNAGRSVTKGMANRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSNTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSTSTKNGEGCVPPQLMASSPTPNSEFSPTDHAPTRSSPNGTASGKQAARKPPPATANIDERAASGAGKENQSCSNTSTRNCRQLPSQKVAKSKAPAVAPEKRRAAQTINTAPDRKRLADAASNNPEKVSQDGSSGPNRLSEELLSCLLTIFSQMSTSSSGQEEEQPLSPSVSGSCASSSEDAYPQDPYGILELGSRDIGPYKRLHVIDAASFDRNALANNTLLGRRLKALLRKLSSVDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATIEVGGRTHSAMSIEHFILRLPYSAKHVNPEETKGEDASMTARGGFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEGAKGDYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQSALRAVDQGRRAVSSADGARRIQVLPYEFRFRYLLAS* >Brasy5G347600.2.p pacid=40076991 transcript=Brasy5G347600.2 locus=Brasy5G347600 ID=Brasy5G347600.2.v1.1 annot-version=v1.1 MGSLVSTAFVASETSSNWMMQKDPRKAMPVKGPTNAGRSVTKGMANRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSNTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSTSTKNGEGCVPPQLMASSPTPNSEFSPTDHAPTRSSPNGTASGKQAARKPPPATANIDERAASGAGKENQSCSNTSTRNCRQLPSQKVAKSKAPAVAPEKRRAAQTINTAPDRKRLADAASNNPEKVSQDGSSGPNRLSEELLSCLLTIFSQMSTSSSGQEEEQPLSPSVSGSCASSSEDAYPQDPYGILELGSRDIGPYKRLHVIDAASFDRNALANNTLLGRRLKALLRKLSSVDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATIEVGGRTHSAMSIEHFILRLPYSAKHVNPEETKGEDASMTARGGFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEGAKGDYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQSALRAVDQGRRAVSSADGARRIQVLPYEFRFRYLLAS* >Brasy5G347600.3.p pacid=40076992 transcript=Brasy5G347600.3 locus=Brasy5G347600 ID=Brasy5G347600.3.v1.1 annot-version=v1.1 MGSLVSTAFVASETSSNWMMQKDPRKAMPVKGPTNAGRSVTKGMANRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSNTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSTSTKNGEGCVPPQLMASSPTPNSEFSPTDHAPTRSSPNGTASGKQAARKPPPATANIDERAASGAGKENQSCSNTSTRNCRQLPSQKVAKSKAPAVAPEKRRAAQTINTAPDRKRLADAASNNPEKVSQDGSSGPNRLSEELLSCLLTIFSQMSTSSSGQEEEQPLSPSVSGSCASSSEDAYPQDPYGILELGSRDIGPYKRLHVIDAASFDRNALANNTLLGRRLKALLRKLSSVDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATIEVGGRTHSAMSIEHFILRLPYSAKHVNPEETKGEDASMTARGGFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEGAKGDYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQSALRAVDQGRRAVSSADGARRIQVLPYEFRFRYLLAS* >Brasy5G347600.4.p pacid=40076993 transcript=Brasy5G347600.4 locus=Brasy5G347600 ID=Brasy5G347600.4.v1.1 annot-version=v1.1 MMQKDPRKAMPVKGPTNAGRSVTKGMANRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSNTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSTSTKNGEGCVPPQLMASSPTPNSEFSPTDHAPTRSSPNGTASGKQAARKPPPATANIDERAASGAGKENQSCSNTSTRNCRQLPSQKVAKSKAPAVAPEKRRAAQTINTAPDRKRLADAASNNPEKVSQDGSSGPNRLSEELLSCLLTIFSQMSTSSSGQEEEQPLSPSVSGSCASSSEDAYPQDPYGILELGSRDIGPYKRLHVIDAASFDRNALANNTLLGRRLKALLRKLSSVDLVGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATIEVGGRTHSAMSIEHFILRLPYSAKHVNPEETKGEDASMTARGGFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEGAKGDYLQAAVGVSSPGRLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQSALRAVDQGRRAVSSADGARRIQVLPYEFRFRYLLAS* >Brasy5G169100.1.p pacid=40076994 transcript=Brasy5G169100.1 locus=Brasy5G169100 ID=Brasy5G169100.1.v1.1 annot-version=v1.1 MGGLLRQREMQHSDWEQVLDDSKWSMNKMPEDLNNAVYLSYEAMPPYLKQCFLYCSLLPKSNSFDDLHVIGMWISEGFIHGNSDDLEELGKRYYKELLSRNLIELFKLDYGQQFCNMHDVVRSFGQYMAKGEALVAHNGEIEILTKLSSQKFVWLSIESEEVQSGEVDWKSLQEQQLMRTLISTIPIKMKPGDSLVTSSSLRTLYVKSVDVALIESLHQLKHLRYLYLKNAGISALPGNIGKMKFLQYLDLLKCRNLVNLPDSIVKLGQLRYLNLPGEISMIPRGFCGLTNMRILGGFPALMDGDWCSLDELGPLSQLRVLRLVQLQNASSAANASLSEKKHLINLILYCTPTVGHNELDEQQRIEKVFNQLCPSSSVENIDIHGYFGQKLPSWMMSTATVPLNNLKFILLSDLACCNQLPNGLCPLPCLQVLEVNGAPCISRVGTEFLHTSQPAAAAFPTLNRMVLKGMVEWEEWEWEEQVQAMPRLEELLLDNCKLRLVPPGLASQARALRKLYIKKVQQLSYLENFPSVVEITVLGCPDVERISNLPKLQKLTIIDCPKLKVLKDVPELQRLILKNVQQLLYLEKFPSVLELKVIQCLHMESITNLPKLQKLTIAYCAKLKVVKDVPALQRLVLEDGKMETLPEYTRDVNPRHLELKCSLMLLTSMAAGQSGPEFDKFSHVEQVEAKEKDEYMLYTRQPYSLDTNINCSFLLGGTLSIFKDAQRLESMVKMPRKSFDYICSLVKQKTFRDMYSHTFLDGKLLCLEDRVAVALIVLNSGDTLATIGSSVGVNEATVSLVTNSFVHAMPRPRWPDTSEMEKVKFNSDKIYGLPNCCGVIHTSCIPFGSQNSDNEKNDSLLMQVVIDSNMRFIDVKLGLLDDKDKLSVLHGSYLSTNCEKGIWLNGSKLKVSSDGSGEVGEYIIGDAGYPLLPWLLTPYQLENDLSDSKVEFNKRHSEAMAVALKTSARFKDTWKGLHGGTWCPENRDELWRAIRVCCMLHNIVIGMDEEGFDIPSSRKVNYREQVRRPLGLGIYCPST* >Brasy5G116600.1.p pacid=40076995 transcript=Brasy5G116600.1 locus=Brasy5G116600 ID=Brasy5G116600.1.v1.1 annot-version=v1.1 MQEGVTDERSSTEMSRMLEVCVRSYFDWLVQSPLSYFITQFRVRRFLISICWLLVVQKWGIVELSGRSYFASVGYSDPFPTCNLFVLG* >Brasy5G186800.1.p pacid=40076996 transcript=Brasy5G186800.1 locus=Brasy5G186800 ID=Brasy5G186800.1.v1.1 annot-version=v1.1 MASVSALLAVVLAVLVLPSSARCPSRAPVPAPAPAPAPAPGPSPPASGVRCSDCPAYCAGAAKGVCSRYCDRQPPSCDDCRSAVLQGCTACCSTGGGCSTGAAGGDCGTCDYCGGADGCGGVVESRCQGACTVNLRACEDCRAAEERRCSAYCNSNCVQSN* >Brasy5G192000.1.p pacid=40076997 transcript=Brasy5G192000.1 locus=Brasy5G192000 ID=Brasy5G192000.1.v1.1 annot-version=v1.1 MYFWNGSEPAVLHGGIIHWLDWDGAIIYIDIKHINECVPKRKKLNFYELKLPLMLQYSDHQFAYQLDYHLSYDLQMVAPPQMDPAPEGGNGDWTLQDVIDMEGGILHLQSCSRRWSRRH* >Brasy5G252200.1.p pacid=40076998 transcript=Brasy5G252200.1 locus=Brasy5G252200 ID=Brasy5G252200.1.v1.1 annot-version=v1.1 MDEAGGVAAAGGLTIYIENLRDAVIHLDTHIKTCPVVSLVSVEMRDAPALYLLLQKGKEKGWKVGALDRAEHLSKLSLYVDTVLVAVLPLLEENPNLLSAGRLLKRFGWQISHHSRKVDGKQGIFHSKASDVSKLLISANKSLFKENEPIGEGKVAEIREALQGLQPLLVVFPKTSDGAGGGGAGCGGAGSGGE* >Brasy5G160800.1.p pacid=40076999 transcript=Brasy5G160800.1 locus=Brasy5G160800 ID=Brasy5G160800.1.v1.1 annot-version=v1.1 MWGRHYWGGRRPSAGAGGGGEAGGAGGVVVMFAWLSSQERHVRAYVELYAALGWACLVCHSDFLTLFIPDKAAVLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLDDYQLVRECLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSEPPRVLSWMTKGIASGLDTLFINKFEAQRKEYWETLYSSVHVGPVLLFCSEDDELAPCSIVQNFGWRLLELGGDVNLVKWHSSPHVGHYKHHTDEYRAAVTELLMKASVLYISRRRLNDYGVGMSEHGDTPHSARNLHKTAANNSSDRLRRLLTEPADHFFLPSSMEYQESGNEAPKPELFNMPSVESMNPDGVLGKMLYDVCVPKNVEGWDFKPASINGRHIHSIARRHGNFNPIKCIRRSRL* >Brasy5G435100.1.p pacid=40077000 transcript=Brasy5G435100.1 locus=Brasy5G435100 ID=Brasy5G435100.1.v1.1 annot-version=v1.1 MAVAELGFLVQGTLIALFCFLISFPPSATSSLELPASNGSCIAYERDALLSIKASLRGPNMNLSSWQGDDCCSWKGVGCRYKTGHVVKLNLGGRVDGCLKYYKYTGNSLSGAIPDKIGDLKQLESLDLSNNELSGEIPTSMAALTSLIHMNLSYNTLSGKIPTGNQFQTFGPSDYTGNIGLCGYPLTNSCPGNGSNQPTDVYHGDGLEDISLYLGLIVGFVFGLWVVFCVMLFKRRWRIGHYMFIEGLQDKIYVTVVLRCANLKRRLGRT* >Brasy5G435100.2.p pacid=40077001 transcript=Brasy5G435100.2 locus=Brasy5G435100 ID=Brasy5G435100.2.v1.1 annot-version=v1.1 MNLSSWQGDDCCSWKGVGCRYKTGHVVKLNLGGRVDGCLKYYKYTGNSLSGAIPDKIGDLKQLESLDLSNNELSGEIPTSMAALTSLIHMNLSYNTLSGKIPTGNQFQTFGPSDYTGNIGLCGYPLTNSCPGNGSNQPTDVYHGDGLEDISLYLGLIVGFVFGLWVVFCVMLFKRRWRIGHYMFIEGLQDKIYVTVVLRCANLKRRLGRT* >Brasy5G276300.1.p pacid=40077002 transcript=Brasy5G276300.1 locus=Brasy5G276300 ID=Brasy5G276300.1.v1.1 annot-version=v1.1 MPAWWKGKGRSSGSKSKGADNAAAGKIPARDGRNKKASSFDEALIAREGGRGKQPAAAGVGHPLPRPLSLPTPLPSASTSGSASASSAGTSSLGSSAASDEPLDLGIYRIPDANRTLPTDSQKQSHVLEEGRFFTNNQASEHPRLSEVSVSPRKEFHLNILDLPNDRSTYCRGRKSTEIVFPTRTPSSPPSSRGQHCPTSPVRSKAFGPCPGSPTAWQDDSRSSSSPHPLPRPPSSPCSSSRSLHSQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVIADDSNSKECLRQLNQEMLLLNQLSHPNIVQYYGSELSSETLSVYLEFVSGGSIHKLLQEYGPFGETVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTILEMATARPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAQLIDHPWVKDQASVRSSRSGSTRDMFPQPTDGKKNTVKTSAELSSYRSLSPLRDTNFGMRNLTVPASAIPSISTRRVSSINPSNVRMNMSLPVSPCSSPLRQYKQSNRSCLPSPPHPAYSAGAANYSPINNVLYPTRPSNYLTDQWLEVPRQKTQTFDSPRRL* >Brasy5G500500.1.p pacid=40077003 transcript=Brasy5G500500.1 locus=Brasy5G500500 ID=Brasy5G500500.1.v1.1 annot-version=v1.1 MGLAGDSGAAAFPFSAKLSAANSPRFCNPISRRIFSDVAGDITISVDGQTFLLHKFPLVSRCGRIRRMVADTKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEITTGNVAHLRCIGEYLEMGEDYQGENLIVRTEMYMNDLVVKSLEKSLEVLCACEGLDPTVEEVGFVDRCVDAIAMNASKEQLVSGLAHLECDAGSGKLRMHCQDWWVEDLSALRIDYYQRVIAAMRRSGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLLGDNQRMIVEALINLMATEKITAVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLHLEMASLDDLLIPSLQTSDSMFDVDTVHRILVNFLQRIEEDDSGDSSPCGYESDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMTLIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPMQMAVRVLYFEQLRLKSSFSGAGAFSGGGGEFSSFSQRIAVPPSGSGVPSSCVSPRGGGGGDSYASLRRENRELKLEVSRMRVRLTELEREQGLMKQGMRGGGGEYGGRPGEHGRALLASISRGIGRMAMFGGGPGSTADRRRKGSRTAAASSQSQSQWSDGKKSRRRKKASVTYA* >Brasy5G049200.1.p pacid=40077004 transcript=Brasy5G049200.1 locus=Brasy5G049200 ID=Brasy5G049200.1.v1.1 annot-version=v1.1 MRPLLGALLEAGDGRRWWSRCFFFFGPVARQAAAWAGALALAVSVASFAPEAAFVWALSGGGGCAAGAVRVPLDGGGEHICVPARMAGRTCADLLVPPAFAALSVAASACFVRALAIGRRRDDYY* >Brasy5G088300.1.p pacid=40077005 transcript=Brasy5G088300.1 locus=Brasy5G088300 ID=Brasy5G088300.1.v1.1 annot-version=v1.1 MKSSSLLVVLLVALAAGIAADQAAADTVADSCNAIRDLVDYAFCTATLRSAGPGADRHVHLLLAADIAASRAAAAGAAASALARSQALSDDARDGLEACAFLYGSTSVPALRLLRAYAAARALEPARSLLLLTAQGGIRCDAAFGKGKGAEEAKGKMAAANREFEQLNTLATALLNNQS* >Brasy5G270900.1.p pacid=40077006 transcript=Brasy5G270900.1 locus=Brasy5G270900 ID=Brasy5G270900.1.v1.1 annot-version=v1.1 MAQGFQMRSTAAVAAVVTVILLLASEAASVPSSPPQDQTRKMLNSRGRYRPEISSPDGCSMENVVVYQNDAPHQASGIPAYSVEVINSCAGCTVYDVHLSCGNFASTDLVDPAEFRRVAYDDCLVNDGKAMGPGDSVSFHYSNSFQYPLEVASVACN* >Brasy5G121300.1.p pacid=40077007 transcript=Brasy5G121300.1 locus=Brasy5G121300 ID=Brasy5G121300.1.v1.1 annot-version=v1.1 MAFLLFFKPPMAFLGEGYCCQRREMLLTRHCRAMIPVARSQSDRPHPPKPRHRPPTCLTSVFLLSQTPQFFRRTPSPSTQRRSCKQRLVALSHRRPAAMARTTKGWARGVVAFAAVALAVAAGRRYGWDGEAAVAAFRRGKGALGPWAAPAYVAVHALTLALCPPYAIFFEGAAALVFGFLPGVACVFSAKILGASLSFWIGRAIFNYFTSAMEWLQRNKYFHVVVKGVEKDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTIVGCLPMILQNVSIVSLAGAAVASTTGSKKSHIYSYLFPILGIVSSILISWRIKQYSSALVIPQELKDPPANGKVDEDAKLGPVQSKDTTSEKTRKRR* >Brasy5G132000.1.p pacid=40077008 transcript=Brasy5G132000.1 locus=Brasy5G132000 ID=Brasy5G132000.1.v1.1 annot-version=v1.1 MKRPKSHTVRRRRRTETKRPKKRQRTADAEETSPYLVVGHGSVSPAFSVFKVEPYTDGGGDTPVGIPRRLARLKCKDGMSFVPLRLNDRRWIVGVGGCSSKDYHGAPGTIIFDTEKQSVIRGPEPKSIKSSPVLLPVGHKIYSLVRTASVTGPLDYLPWFEVLDLSDAQEVEGRLTNCEWRPLERPPFFPWELTPMEFTNPPSVTVVAYVVVGSHILVSVTGPAGVYAFDTDKEKWTIVDDKKDLPFVRGAILHGPELFLGLSRTRRAITGYKINVGSGLLTIVEVPVISDLEDEEPVVSTFDFLSLGIDRGFCVMSCWSDTQEPPYLRANVRVRTYKTDDLVESEGEFVVVCKQWMQVYKIRDLIRTLDAPCLVGVVSI* >Brasy5G211300.1.p pacid=40077009 transcript=Brasy5G211300.1 locus=Brasy5G211300 ID=Brasy5G211300.1.v1.1 annot-version=v1.1 MVGGRSTAQRRIQELYPPPKVKAKPPKKTVARKAKGKARREPSPSPPPLDVDPLYDPQDDREEEIQEEVREMEMEAGDFGEDELQDHSVGTSAGASAGAASSSGTEDKLYQRGPTRLPTRRPSCEAEKAVIRPAAKTGWVLVAAGCFKMQVKTLLGVLLKDHFPGMVHVEGKEEPEIARTWAHYVAQPDFQDRENVVYENKAERVKGEFWRFFKLAEGYDDAAIQRHFEASVKKALSEMFYHARVYAVMRYYVEVKKERLEKAQCSSALVHLALKCLEGAGGLVVQPGVRGGSRAP* >Brasy5G036300.1.p pacid=40077010 transcript=Brasy5G036300.1 locus=Brasy5G036300 ID=Brasy5G036300.1.v1.1 annot-version=v1.1 MCLLPDDTTQALHFPQLTHLSLERVRISECSLRSLIARCPALKCLLIIYSFGFRCARINSASLISLGVRVRLSRGSDNIAEERRFRELIIENAPCLERLLRLDLLDGLNVSVISAPKLETLCSLNDELYLHSTIIQGLPHDTLTTAVGAVKVLCVNLFIFDLDMTIELMKCSPQLEKLYIHQDIPGDKNIWRRKHRVVIKSLDIRLKKVVMDSFRGIRAQVSFATFFILNAKMLELMQFRVDHKLYTRWFLAEQRKELELEKRASRGARFEFKTDRMLQCHSVLNNVCDLDLSDPFVCMR* >Brasy5G036300.2.p pacid=40077011 transcript=Brasy5G036300.2 locus=Brasy5G036300 ID=Brasy5G036300.2.v1.1 annot-version=v1.1 MCLLPDDTTQALHFPQLTHLSLERVRISECSLRSLIARCPALKCLLIIYSFGFRCARINSASLISLGVRVRLSRGSDNIAEERRFRELIIENAPCLERLLRLDLLDGLNVSVISAPKLETLCSLNDELYLHSTIIQGLPHDTLTTAVGAVKVLCVNLFIFDLDMTIELMKCSPQLEKLYIHQDIPGDKNIWRRKHRVVIKSLDIRLKKVVMDSFRGIRAQVSFATFFILNAKMLELMQFRVDHKLYTRWFLAEQRKELELEKRASRGARFEFKTDRMLQCHSVLNNVCDLDLSDPFVCMR* >Brasy5G036300.3.p pacid=40077012 transcript=Brasy5G036300.3 locus=Brasy5G036300 ID=Brasy5G036300.3.v1.1 annot-version=v1.1 MCLLPDDTTQALHFPQLTHLSLERVRISECSLRSLIARCPALKCLLIIYSFGFRCARINSASLISLGVRVRLSRGSDNIAEERRFRELIIENAPCLERLLRLDLLDGLNVSVISAPKLETLCSLNDELYLHSTIIQGLPHDTLTTAVGAVKVLCVNLFIFDLDMTIELMKCSPQLEKLYIHQDIPGDKNIWRRKHRVVIKSLDIRLKKQNNGKSLSWRKGLPEVPVLSLKLIECCNVIRYSIMSVIWI* >Brasy5G036300.4.p pacid=40077013 transcript=Brasy5G036300.4 locus=Brasy5G036300 ID=Brasy5G036300.4.v1.1 annot-version=v1.1 MCLLPDDTTQALHFPQLTHLSLERVRISECSLRSLIARCPALKCLLIIYSFGFRCARINSASLISLGVRVRLSRGSDNIAEERRFRELIIENAPCLERLLRLDLLDGLNVSVISAPKLETLCSLNDELYLHSTIIQGLPHDTLTTAVGAVKVLCVNLFIFDLDMTIELMKCSPQLEKLYIHQDIPGDKNIWRRKHRVVIKSLDIRLKKNNGKSLSWRKGLPEVPVLSLKLIECCNVIRYSIMSVIWI* >Brasy5G036300.5.p pacid=40077014 transcript=Brasy5G036300.5 locus=Brasy5G036300 ID=Brasy5G036300.5.v1.1 annot-version=v1.1 MCLLPDDTTQALHFPQLTHLSLERVRISECSLRSLIARCPALKCLLIIYSFGFRCARINSASLISLGVRVRLSRGSDNIAEERRFRELIIENAPCLERLLRLDLLDGLNVSVISAPKLETLCSLNDELYLHSTIIQGLPHDTLTTAVGAVKVLCVNLFIFDLDMTIELMKCSPQLEKLYIHQDIPGDKNIWRRKHRVVIKSLDIRLKKNNGKSLSWRKGLPEVPVLSLKLIECCNVIRYSIMSVIWI* >Brasy5G141000.1.p pacid=40077015 transcript=Brasy5G141000.1 locus=Brasy5G141000 ID=Brasy5G141000.1.v1.1 annot-version=v1.1 MAAPPPEFVEVRCAGCGETLEVDPGLTEFACPDCGTHQALPPELMPPPPRRPRRALPIPGRGPASAVPVPVPSRMPCEGCGAVLSVLAGIGRFACPLCSVELVIDDGRLRLCLDSQDSTTVSVVAPPPAGATPSSPYTRRRQEVQVERHDHSIRSEMLAQRPSLSVNTEETPSSLRADTRMTIHTMLAQKEHPNHSVYRAESRIGPPNTTSMMSITRKPRLQAGTESIIGLEKVQPEPPIQASYTPQAQARPLSYSFCSDHTQGQHPVVGVASHQHQRNDVCSTMEQKNIDDPSDEALNAEQTLDKYQCKTIEGNPKRKQSIKSANGKQKGENKGLTSYPNDGLHLRRSKRFTKQSEYPGNSEPAQQSDASSNENDTDQTDIDRIIADLCPSSLPPHEMPQARSNESDNIEATILETSSNHCMPQVEQFPHCYSQLYPPEDGGRHQLDKSGKQVWPQSPEVMDVQQEGAHCGNRLLGSDRKSSGKRRGRPQNCPTRLIAPRREADRPILTPNNIDNWDVNPPCPKVASTLTILMKQKHPGSTYLLVDQNDDVPLDGEVVHHWHQYPPEMRAAVLNEFLQRYKWAPGREADCLKLFERRAVRQFAGILWEEKRRVRGELASIHKAKETSGAHRSNRRTEVNNEDAREELEDQQIAARSEDDDPLQWKPYPPAWLYPNWWERLCEHWAKEEVLMMSSQNRKNRRSGGRAFHTTGSRSLAMHRQLMVMENGGELVSELEVFNKTHKLNGGTGEFISERAKRTVEGFKKRMEEAGDKDIDPNLAWVQEVGGQSRRGRYYGLTGIVDKAKVDELAKSVPGCSGEQGQEQKFTQEQAQQMINEALQGFNEAWEIKFKSLEQSVYGMPLLGVHPEHGPGSSASGGAVQEDRSADKVSSGSQHGERCPSERHGDNNNDEEAMSTSV* >Brasy5G141000.3.p pacid=40077016 transcript=Brasy5G141000.3 locus=Brasy5G141000 ID=Brasy5G141000.3.v1.1 annot-version=v1.1 MAAPPPEFVEVRCAGCGETLEVDPGLTEFACPDCGTHQALPPELMPPPPRRPRRALPIPGRGPASAVPVPVPSRMPCEGCGAVLSVLAGIGRFACPLCSVELVIDDGRLRLCLDSQDSTTVSVVAPPPAGATPSSPYTRRRQEVQVERHDHSIRSEMLAQRPSLSVNTEETPSSLRADTRMTIHTMLAQKEHPNHSVYRAESRIGPPNTTSMMSITRKPRLQAGTESIIGLEKVQPEPPIQASYTPQAQARPLSYSFCSDHTQGQHPVVGVASHQHQRNDVCSTMEQKNIDDPSDEALNAEQTLDKYQCKTIEGNPKRKQSIKSANGKQKGENKGLTSYPNDGLHLRRSKRFTKQSEYPGNSEPAQQSDASSNENDTDQTDIDRIIADLCPSSLPPHEMPQARSNESDNIEATILETSSNHCMPQVEQFPHCYSQLYPPEDGGRHQLDKSGKQVWPQSPEVMDVQQEGAHCGNRLLGSDRKSSGKRRGRPQNCPTRLIAPRREADRPILTPNNIDNWDVNPPCPKVASTLTILMKQKHPGSTYLLVDQNDDVPLDGEVVHHWHQYPPEMRAAVLNEFLQRYKWAPGREADCLKLFERRAVRQFAGILWEEKRRVRGELASIHKAKETSGAHRSNRRTEVNNEDAREELEDQQIAARSEDDDPLQWKPYPPAWLYPNWWERLCEHWAKEEVLMMSSQNRKNRRSGGRAFHTTGSRSLAMHRQLMVMENGGELVSELEVFNKTHKLNGGTGEFISERAKRTVEGFKKRMEEAGDKDIDPNLAWVQEVGGQSRRGRYYGLTGIVDKAKVDELAKSVPGCSGEQGQEQKFTQEQAQQMINEALQGFNEAWEIKFKSLEQSVYGMPLLGVHPEHGPGSSASGGAVQEDRSADKVSSGPQHGERCPSFCSLFTGAAMNVMIL* >Brasy5G141000.2.p pacid=40077017 transcript=Brasy5G141000.2 locus=Brasy5G141000 ID=Brasy5G141000.2.v1.1 annot-version=v1.1 MAAPPPEFVEVRCAGCGETLEVDPGLTEFACPDCGTHQALPPELMPPPPRRPRRALPIPGRGPASAVPVPVPSRMPCEGCGAVLSVLAGIGRFACPLCSVELVIDDGRLRLCLDSQDSTTVSVVAPPPAGATPSSPYTRRRQEVQVERHDHSIRSEMLAQRPSLSVNTEETPSSLRADTRMTIHTMLAQKEHPNHSVYRAESRIGPPNTTSMMSITRKPRLQAGTESIIGLEKVQPEPPIQASYTPQAQARPLSYSFCSDHTQGQHPVVGVASHQHQRNDVCSTMEQKNIDDPSDEALNAEQTLDKYQCKTIEGNPKRKQSIKSANGKQKGENKGLTSYPNDGLHLRRSKRFTKQSEYPGNSEPAQQSDASSNENDTDQTDIDRIIADLCPSSLPPHEMPQARSNESDNIEATILETSSNHCMPQVEQFPHCYSQLYPPEDGGRHQLDKSGKQVWPQSPEVMDVQQEGAHCGNRLLGSDRKSSGKRRGRPQNCPTRLIAPRREADRPILTPNNIDNWDVNPPCPKVASTLTILMKQKHPGSTYLLVDQNDDVPLDGEVVHHWHQYPPEMRAAVLNEFLQRYKWAPGREADCLKLFERRAVRQFAGILWEEKRRVRGELASIHKAKETSGAHRSNRRTEVNNEDAREELEDQQIAARSEDDDPLQWKPYPPAWLYPNWWERLCEHWAKEEVLMMSSQNRKNRRSGGRAFHTTGSRSLAMHRQLMVMENGGELVSELEVFNKTHKLNGGTGEFISERAKRTVEGFKKRMEEAGDKDIDPNLAWVQEVGGQSRRGRYYGLTGIVDKAKVDELAKSVPGCSGEQGQEQKFTQEQAQQMINEALQGFNEAWEIKFKSLEQSVYGMPLLGVHPEHGPGSSASGGAVQEDRSADKVSSGPQHGFLRLSTW* >Brasy5G498400.1.p pacid=40077018 transcript=Brasy5G498400.1 locus=Brasy5G498400 ID=Brasy5G498400.1.v1.1 annot-version=v1.1 MAPPPPGRVHLAALALLLLLQLSALAAPSSSPSPSPATVSSYVPENRVLYRTLPSSSSPAPAAEKKKKILPAATVSFQPFEVCTGCRCCPPANSSACVDMKCCYGINCNLPGKPFGTCAFTPRTCGCTTNDDCPAKQPPPPAP* >Brasy5G430600.1.p pacid=40077019 transcript=Brasy5G430600.1 locus=Brasy5G430600 ID=Brasy5G430600.1.v1.1 annot-version=v1.1 MAAKQQQAEGEHSGGGISGHDDDPEPKEGAVVVPANRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIADSIAHFSRRRQRGVCVLSGAGAVADVALRQPAAPGGAVVALRGRFEILSLTGTFLPGPSPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDDEAEEDRHEAGRGVAGSTGQMAPGGVAPMMMGDHSMGMPMYGMPANLMPGGGGHGQAAAEQGINAWAPQHARQPPY* >Brasy5G156900.1.p pacid=40077020 transcript=Brasy5G156900.1 locus=Brasy5G156900 ID=Brasy5G156900.1.v1.1 annot-version=v1.1 MASKMPSTTSQHGHGSGEIKAEQHIERRRRLADFGEDKSRSVQPPCLVASHGTERRRTERRPHGVEEDRREALRGVEDCLAEYRCELVRLRQRATRLRHAPSPSSPPSTQRRRTRTTWRRRAASPVTETAAPERGDEVGRRGSSGQRRWRRRRWGREAAPAVVGKRGDGRGNLGRGSGGGEGRQSTAPALNLDQIR* >Brasy5G095300.1.p pacid=40077021 transcript=Brasy5G095300.1 locus=Brasy5G095300 ID=Brasy5G095300.1.v1.1 annot-version=v1.1 MERVGSGEKQLEDCSVSNALGTWFFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLLANASVDGESSTESFTNVDK* >Brasy5G007800.1.p pacid=40077022 transcript=Brasy5G007800.1 locus=Brasy5G007800 ID=Brasy5G007800.1.v1.1 annot-version=v1.1 MTSSSTASRKALSKIACNRLQKELAEWQVGPPAGFKYKVSDNLQRWVIEVGGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV* >Brasy5G019800.1.p pacid=40077023 transcript=Brasy5G019800.1 locus=Brasy5G019800 ID=Brasy5G019800.1.v1.1 annot-version=v1.1 MENPPPENKDNVIYDSDLIMSLKRKAVDRNSMNQLSSFRDAWRAVTWSREVSSSNFWRQTCSAHVADIKGQELTFSDLYSAFPILSPEDGDDVLYLKSMVEPSHRDGWVAAVDIGRNKALKAIGHYYLPDDFYYSRGYDPEHPFCASTLSRHLDLTPGNQVSACRKKTEAKRSADHPIKTPIRVDSCERRAKIRRLLGEPRNSPEYYAK* >Brasy5G200200.1.p pacid=40077024 transcript=Brasy5G200200.1 locus=Brasy5G200200 ID=Brasy5G200200.1.v1.1 annot-version=v1.1 MDVAAHVATVAQLVWCAGGLITKIMRAAKMARQNKRECVHLASRVSVIAPVLHRLQQQDPEVAESLVGLRDALHEAHVLVVTCGPKSQGKRETVRQFFGARRRAEQFKEVNRKIDSSLIPIALLTHISFMARLDRIISPNHVGVPTTTVVTAVVVPSPGSSSLQLESAMVPVVSSSHVAAEMFTAAEIAMVTDNFAHVLIIGEDRGSGMVYYKGRLHDGREVVVKRLENGWQGVEGAFVAELEILFPLHHDHIVCLIGWCAEEDKRMFVYDYKHVSNGTLRDHLRGGGSSWRMRIEALLGAARAIHHLHRVTEQPIVHRNVSSSSILLDALWTPRLSGFGTAIWQEGLSSKGEGQLVAEVVGTFGYVDPEYSRTNRVSSASDVYSFGMVMLETLTGWPPVEKGGDLMARVDCAFPIIENRRLQDVLLDSRPAPELTPLQLEALQLVADTAARCLWPRGEHRPAMSNVVANLETVLCSFVATSSSL* >Brasy5G457700.1.p pacid=40077025 transcript=Brasy5G457700.1 locus=Brasy5G457700 ID=Brasy5G457700.1.v1.1 annot-version=v1.1 MSFRSIVRDVRDSFGSLSRRGFEVRFLGHRRGKSHSAVHELHDPVPVIQSSCWANLPPELLRDVIERLEASEATWPSRKNVVACAAVCRTWREMCKEIVKNPEFCGKITFPVSLKQPGPRDGTIQCFIKRDKSTQTYYLYLCLSSAVLVESGKFLLLAKRYSRPTCTEYTIFMNADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNIPSVSQPGKTSRRFYSRKVSLKAPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSIDESFGSISFSKSSIRDRSIRFSSTRFSDISVGCSRNGGQTLGDNDESKECPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAAAPALSQPAPTPPSEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE* >Brasy5G457700.2.p pacid=40077026 transcript=Brasy5G457700.2 locus=Brasy5G457700 ID=Brasy5G457700.2.v1.1 annot-version=v1.1 MSFRSIVRDVRDSFGSLSRRGFEVRFLGHRRGKSHSAVHELHDPVPVIQSSCWANLPPELLRDVIERLEASEATWPSRKNVVACAAVCRTWREMCKEIVKNPEFCGKITFPVSLKQPGPRDGTIQCFIKRDKSTQTYYLYLCLSSAVLVESGKFLLLAKRYSRPTCTEYTIFMNADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNIPSVSQPGKTSRRFYSRKVSLKAPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSIDESFGSISFSKSSIRDRSIRFSSTRFSDISVGCSRNGGQTLGDNDESKECPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAAAPALSQPAPTPPSEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE* >Brasy5G449800.1.p pacid=40077027 transcript=Brasy5G449800.1 locus=Brasy5G449800 ID=Brasy5G449800.1.v1.1 annot-version=v1.1 MPRKASSSSDAKLKWRKRKRSQDPSPSNLADHSDDSDSAAANDDADDPPHGAANGGETLGAGAGAGGDDNAVLGLCEAEALSSPEPISGFPLASRRPVIRPHPSVLAVIAADRAVAGGNCASAAPAPTLENISHGQLQVLAAMLPDNPSLSNDPDLPSSYVCTVPPLMEGQGVPKQFYGKLLVVPRHSDWFSPMTVHRLERQVVPHFFSGKSPGHTPEKYIMLRNKVIVKYLERPARRLAFAECQGLVTSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRLAQSLIREEQTGELQLASAPLKSIDGLILFDRPKCSLRPEDIASVASTSSVPGVANGDTGLADLDEKIWERLSENFCTYCLQPLPSLHYESQKEADVALCSDCFHDARFVPGHSSLDFLRVDGKKNGLDNDGDSWTDEETLLLLEGVEKYNDNWNGIAEHVGTKSKAQCIHHFISLPVEDGLLESIEVPEASVSSRVQSNGFLHSNSNGSISGSYPQSSQPGQQLPFINSANPVMSLVAFLASAVGPRVAASCANAALSVLTRDDSRMSSEGNDIMGHPARPNYDTSSSVSPENVKYAAMCGLSAAATKCKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEIETLLLKESEQVERARQNLTAQRVRVMSARFASPGGSMPGGSSTMVSNPMSQASPRAPAMPGSMPQASMPAFYANNMQGHPAQMAFLQQQQRQQHQHQQMLSFGPRLPLSAIHPGSTSSGPSVMFNPGMPNSATPNHHPMLRPPSGNNSGSFG* >Brasy5G460500.1.p pacid=40077028 transcript=Brasy5G460500.1 locus=Brasy5G460500 ID=Brasy5G460500.1.v1.1 annot-version=v1.1 MGPWGAYLVAGGIRVGRAEGGRIRRPPAQGRPDPAAAGPRMAGSGSGRAGWAEAGRRGSGRRRPAAWGGGGRRRPRSGGGRIHRGQPASGPSRRGKAWMEERWGEEMAMVGLLYAREERRSAAAGTGREEKGRVGMGG* >Brasy5G208000.1.p pacid=40077029 transcript=Brasy5G208000.1 locus=Brasy5G208000 ID=Brasy5G208000.1.v1.1 annot-version=v1.1 MATILDSLVGSCAKKLQEIITEEAVLILGVKEDLRELQRTMTQIQCFLSDAEQRRSEESAVNNWLGELRDAMYYADDIIDLARSEGCKLLAEDASSSTKSTSCIGRSFFTCIPNVQKRHKIAVQIRDFNAELLKISELGERFLKLQNMQPKAEVPTVKQTGTSHLVEPNLVGKETLQACRRLVELVLAHDENKAYKLGIVGTGGVGKTTLAQQIYNDQKIKGKFSNPVWICVSQNYSEAALLKEILRNFRVHHEQNETVGELSSKLATAIADKSFFIVLDDVWVPEVWTNLLRIPLHAAATGVILVTTRHDTVAHAIGVKDLHRVDLLPADVGWELLWKSMNISEVKDVQHLQEIGMDIVRKCGGLPLAIKVAARVLSTEDKTENEWRKFINRSAWSVGTLPTELRGALYMSYDDLPRHLKQCFLNCGLYPEDCVMHRDYIAMSWVAEGFIQVQKGQLLEDTANEYYYELIHRNLIQPDGSTFDHAKCKMHDLLRQLACYLSREESFVGDPESLGSINMSKLRRLTAITEKDILVLPHMDMGELKLRSFQTDQKPWGVEDTFFKRIPCVRVLNLSDSLIQRIPDYIGNLIHLRLLDFDGTNISFLPESICSLMNLQILNLSRCKALNSLPSSFTRLHNLRRLGLEDTPINQVPKGIGRLEFLNDLEGFPVGGGSDNAKTQDGWKLEELGHLLQLRRLDMIKLERATTCSTDSLLADKKYLKLLRLCCTKDPVESYSEEDVGSIETIFEQLLPPHNLEELSITNFFGRRFPSWLGTTRLVSVKHLILIDCNSCVHLPQLGQLPNLKYLQINGAAAVTKIGPEFVGCRGEDPRSTEAVAFPKLECLVIKDMPNWEEWSFVEEGDAAAAATKGEEDGSAEIRKGEAPSPRLQVLPRLTRLELIGCPKLRALPRQLGQEAACLETLHLRGASSLKVVEDLPFLSEVLFIAGCDDLERVSNLPQVRDLRVQGCPNLRCVERLGSLRDLWLHKDMQEISKLWVSGLQQQCQKLHDEDLDVYDWP* >Brasy5G498500.1.p pacid=40077030 transcript=Brasy5G498500.1 locus=Brasy5G498500 ID=Brasy5G498500.1.v1.1 annot-version=v1.1 MEQWLGATRRWIDNARVLFSDSEPGTASIYERALDKAAAALLPGLLPTPPPPMSITTIIIPPAASNPKPGRADAVERWDAHKNQNKNRPRSPASSSSGSTSPGRADSCERWHMNEKIKNPISSSSSSSSTASSSSMSSRGSNGMVSGDIRCKRPPPSRASSSADRWDTHKKKPHDALPDAESQITGDKTTAMEETAAPGKHLVFSGPSFVVASPEPSTLPLPAFFFPRRAGTGMTVPLPASVQAH* >Brasy5G229600.1.p pacid=40077031 transcript=Brasy5G229600.1 locus=Brasy5G229600 ID=Brasy5G229600.1.v1.1 annot-version=v1.1 MPRPSFCAARLLCCSAPPSPIRAASLLAVAAEPLASACPCFPFLAEAIPMPSTHPDFFLSIQIPKSQPLTPSLPRPNSWTGAMQHAQGALARRSPRAAARDGRRRRVEGAGGMRRHVPGSSGAQVARQAACSALGRARAGSGGLWWARAARRWP* >Brasy5G418000.1.p pacid=40077032 transcript=Brasy5G418000.1 locus=Brasy5G418000 ID=Brasy5G418000.1.v1.1 annot-version=v1.1 MDSQDDSMGMYTTLLSQHVMVDEVWDDTQNCIPIGTQPMQNELATPPMRANNKRTRNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPVGSDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKIVEACSLYKATDKTKRSFVLLHCWSLLRFNQKWLAQIDRSSKSNKKQKSSSNASPSMSTPGTEIIHIDESDATSPAKADYMRRPIGKKAEKERQRQGKNVTSTDDTTVVMALDLVFSKRTAVEEAREMERQAREEARERARQAREEAREAG* >Brasy5G117100.1.p pacid=40077033 transcript=Brasy5G117100.1 locus=Brasy5G117100 ID=Brasy5G117100.1.v1.1 annot-version=v1.1 MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKFIDWFKDKNIKGYAPICLGLEDFYVRRLYLRIQDCFSRPIASAPDSWFDVVERYSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEFCTPRVIESLKKYSPSTCSVRVDGGTTKLHAELETLVARFVGKPAALLFGMGYATNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEDVLREQITDGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVSKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKDLIQHLKHSCPAHLYATSMSPPAVQQVISSIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQKVAVVTVAFPATPLLLARARICISASHTREDLINALNVISRVGDLVGIKYFPAEPPKISEADHDKLE* >Brasy5G117100.2.p pacid=40077034 transcript=Brasy5G117100.2 locus=Brasy5G117100 ID=Brasy5G117100.2.v1.1 annot-version=v1.1 MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKFIDWFKDKNIKGYAPICLGLEDFYVRRLYLRIQDCFSRPIASAPDSWFDVVERYSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEFCTPRVIESLKKYSPSTCSVRVDGGTTKLHAELETLVARFVGKPAALLFGMGYATNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEDVLREQITDGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVSKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKDLIQHLKHSCPAHLYATSMSPPAVQQVISSIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQKVAVVTVAFPATPLLLARARICISASHTREDLINALNVISRVGDLVGIKYFPAEPPKISEADHDKLE* >Brasy5G191200.1.p pacid=40077035 transcript=Brasy5G191200.1 locus=Brasy5G191200 ID=Brasy5G191200.1.v1.1 annot-version=v1.1 MKTQTTAGDDGIRTEAPEWAELPDDLLAMVRGMATSPFDRVRIAAVCRSWRAAASRRPAARPSPPLLLSVPGFPHCFSCYSTENRLYCHEDGRDYTGVLLDVPLLQNKALSREIVGSHDGGWLAAFFTTRPHAAARYQQGMLVIFNLFTRAEVALSPKQRDIGKFRVDEDKPFFVSNSKIVFSEDPTSSACILAAMIDRCQVAVCRIGCPDGGWTVYKTCSWEIGLWDQLQDIVFFNGELYGLTRERLVKLNIVGKNQDEAHPVVTTVHQLGPQISKQIVHGDDDNTSDFFLVELRGKLAIAVSDNSLHWRSTRLFEVAGDEDGYECTEVTSLGNYTLFLGSRCSKAVENSNGGFDHQHEMFHHICGVYRHWEDEDEITRNCVELGGAFYPRCDERREEIILPALI* >Brasy5G031000.1.p pacid=40077036 transcript=Brasy5G031000.1 locus=Brasy5G031000 ID=Brasy5G031000.1.v1.1 annot-version=v1.1 MGDEERQDQQPVARLPVDLLAEVLRRVPPRWLAASRCVCRAWRDAVDGRRRLRADLLPLSVAGLFFHLDEHLFPEFLSYPSSSSAGARAFSGSLGFLRSINPNYSPDPNPCAEKNHAGYIWEEAGDWEDHRVLDQCNGLLLLRQWVGLVYDPTVSPYYEVFMIPYLGYKHPDDEVDPLEESEWPPSLCKLHVFSSRSGCWEERISMTDNTYRVIKSPIVREGYPYLGVVRSEKGLYFVSLDNGWLRASPMGYPKAIPNVWILNESCGEIGWMLKHEKYLKSMVAPVHQEYHGRFHGDWILEDINYNLFQNSSHIPKGLKGYDDDDNCWLLGSGDEVEEYCGGISILRFHPYKEIVFLTTDAVQTGFAYHLNSSKMEGLGNIYPRDYVFFKMLSNEREKILSCFPYTPCWIDECLRNN* >Brasy5G327700.1.p pacid=40077037 transcript=Brasy5G327700.1 locus=Brasy5G327700 ID=Brasy5G327700.1.v1.1 annot-version=v1.1 MSSVSARAPVAALRPSASSPSPRFLGDSGRQLGLAKSLARRDVAVQAKGQWLPGLASPAYLDGSLAGDNGFDPLALAEDPADLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLLSAPEWYDAGKETYFASSSTLFVVEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPDHDCGYPGSVFNPLNFAPTLENKEKELANGRLAMLAFLGFLVQHNVTGKGPFENLMQHLADPWHNTIIQTFSG* >Brasy5G510700.1.p pacid=40077038 transcript=Brasy5G510700.1 locus=Brasy5G510700 ID=Brasy5G510700.1.v1.1 annot-version=v1.1 MDQHRHQEVKMAAAGAGGRQEEECNWSVGRWVYDNVSEPLYSGLKCSFIFDEVACEKYGRNDTRYQHWRWQPDGCDLPRFNATRLLEKLRNKRLVFVGDSINRNQWVSMVCMVEAAIPEGLKMRVYNGSLISFKAFEYNATIDFYWSPLILESNSDNPIIHRVEYRIIRAEKIEKHARAWRDADIIVFNSYLWWRKQKPDMKMKVMYGSFEDGDAKLDEVEMVEGFEIALKKLTEWLGTNIDKNKTRIYFAGSSPTHTWASDWGGDDKNKCLNESEPIVKPGYKGATTDYSMMDRAKQIFRPLEEKGLQVQILNFTQLSDHRIDAHPTVFRRQFVPLTAAQVANPSSYADCTHWCLPGVPDVWNSFLYSYLVHK* >Brasy5G187200.1.p pacid=40077039 transcript=Brasy5G187200.1 locus=Brasy5G187200 ID=Brasy5G187200.1.v1.1 annot-version=v1.1 MASDVATLALKVAAIAAALAMLVVPSSGRCPSLGPAPPSPPMQASPPPPPPPSKAYASPPPPPFPTPPPPPSPPPAQASPPPPPVAAQPAPAPGPAISCGDCFTTCDESCYATNGTRCIPGCNSKEYSCGNNCKMDVIKSCKAGGTCADGRCDCDNDVDKYSSGYCDRECANWSWFCQSCWGGLSRECGENCARQCHLDGCVEP* >Brasy5G384300.1.p pacid=40077040 transcript=Brasy5G384300.1 locus=Brasy5G384300 ID=Brasy5G384300.1.v1.1 annot-version=v1.1 MNSRFFNLVVTKPGVVPFSLHRINPATLFHPAGSPVPAKPIMEDVQLPLAQASFSAFESRSTYFMAFGRNREKILAAGNSGWNFLYDTALNSVSPLLPPLDGHIDRPAMSVAVGDSNNLYVMSPHVRQFVALQQYTIDSPTWYWQFFDPPPYMYADKYISRSHHDHDYEQDKDEGKDPFKIAAYTVVGDSHIWISTFGAGTYSFNTKDCKWNKQGEWALPFRGHAEFVPEHNLWFGFSDQHNELICASDIAAVSGERKPEDGKLTKTQLLPLGSGKLCLARFFLTEDGGLLFQDFIPEPKSRHNFMVLEGVEVVAEGGGMLRMIQHKRHRYSFGPDDANPV* >Brasy5G369300.1.p pacid=40077041 transcript=Brasy5G369300.1 locus=Brasy5G369300 ID=Brasy5G369300.1.v1.1 annot-version=v1.1 MVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPASELSLEATGAEFNAMKIADTAEMSKVDGDGVELLKETNEEIKPCWTKPSPKVQQSNGFVTLSLTIGPEYHTSQIADAVVIARYLGATLVLPEIRGNELGKMRKFEDMYDVEKFTSSLDGVVKVVQKLPDEWTAKKPAVIRVPNRVTEDFILETIQPIFQTNSYLRLAIIFSSVSLKPKGTNNKDLDSTACHAMFSGLKLKPEYSEVSKQMLDRLKELSKKSDGKVLAVDMRTDLLGKKICKTSGGARRKGCYNPQEVLNFLKKVGFSANTTIYLTETWWHKDLNNLKKAFPNTYTKDDIMPAENKGEFLNSGDSDLSRALDLEICSKSDVFVPAIAGMFYGDVTGKRIASGLTQILVPAPMAGASSQASDFISTYISKKSHFAYSCYC* >Brasy5G302200.1.p pacid=40077042 transcript=Brasy5G302200.1 locus=Brasy5G302200 ID=Brasy5G302200.1.v1.1 annot-version=v1.1 MRGRLPFSSFSLVVPVHPDAPLNSSPLPIHKLLCYPSQPPAVPLPSSHLYGGGYTATQARAVADHMKRGHLQVRRFPFLKRVIHTRWLR* >Brasy5G302200.2.p pacid=40077043 transcript=Brasy5G302200.2 locus=Brasy5G302200 ID=Brasy5G302200.2.v1.1 annot-version=v1.1 MRGRLPFSSFSLVVPVHPDAPLNSSPLPIHKLLCYPSQPPAVPLPSSHLYGGGYTATQARAVADHMKRGHLQVRRFPFLKRVIHTRWLR* >Brasy5G224900.1.p pacid=40077044 transcript=Brasy5G224900.1 locus=Brasy5G224900 ID=Brasy5G224900.1.v1.1 annot-version=v1.1 MASSVPAASAILLLLFFLAAPAGGNIILPACKTVSGRVDPAFCEEALGSVGAGVDAKSYKELAAVAADLLVANATSTKAKIDGLLTGGGGKNNGAAALQALRSCQALYGGIVDGGRRSAAAIKAGWFGVATAGLEKASAAAKRCEEGFGKSGVPSPLTKEGDDASRLAGLAVALLAFVY* >Brasy5G312900.1.p pacid=40077045 transcript=Brasy5G312900.1 locus=Brasy5G312900 ID=Brasy5G312900.1.v1.1 annot-version=v1.1 MNGCASGAGTGDAVIIRETRALPAASAPQDAVGQLRAAVAALHTDPPASSSGIIRIEVPIRQRGDAIEWLHAQSSLPRCFFSSRAPLPDKPPPINSNGNGIKAEPHWQQPVSVAGVGTAVFFRGTDPFSLRDWRAIKRFLSRDCPLIRAYGAIRFDATSDTSVEWEDYGSFYFIVPQVEFSELEESSVLATTIAWDDSLSWTWQNAVNELQSTLQKISPCSVKVNKSSLQTTIINLNHVPTKASWDVAVTKALQMIKGRQRELVKVVLARCSRYITDTCIDPLELLACLKVEGQNAYQFCIQPPDAPTFVGNSPEQLFHRKYLDISSEALAGTRARGKTRADDFQIGQDLLLSIKEDTEFTIVRDSIKKKLEMICDEVVVHPSKVLRKLPRVQHLSAQLAARLRSEDDEFDILNALHPSPAVCGLPTEEARQFIRDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLFQYQEQHICYQEVGNMGH* >Brasy5G456600.1.p pacid=40077046 transcript=Brasy5G456600.1 locus=Brasy5G456600 ID=Brasy5G456600.1.v1.1 annot-version=v1.1 MQLGYRCECSKGYEGNPYTPNGCRDIDECVMRNICNGTCQNHPGGYICNGCAHGKEFDPSKRKCVMSAKKHNLILGIAIGIGCGLAATILALGVFALVNKWNAGIQKRARRAHFKKNQGLLLEQLILDEGATDKTKIFSMEELEKATNNFDATRVLGHGGHGTVYKGILSDQCVVAIKKSKMVEQIEINQFINEVAILSQIVHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHGDLSVKCLLSWDDRIQIAVEVVGALAYLHSAAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYHTGQLTQKSDVYSFGVILVELLTRKKPVFINNEGIHQSLSRYFVEGLDQGVLKEIMDPQVIEEANQEEIDDIASVAEACLRTKGQDRPTMKEVETRLQILRTTMLRSRLAPGKVGEIESISCPDTSSSHARANVVNTVDLTSACISGRYSLEQDFASLPR* >Brasy5G487900.1.p pacid=40077047 transcript=Brasy5G487900.1 locus=Brasy5G487900 ID=Brasy5G487900.1.v1.1 annot-version=v1.1 MKMGKNLWTGDEWYLSSWRSPDDPSPGDFRRVLDYSTTRLPELILWQRDAKAYRTGPWNGRWFNGVPEASTYADEFPLHVTASASEVTYGYTAKPGAPLIRVVVTDAGMVKRFVWDTSSLAWKIFFQGPRDGCDTYARCGPFGLCDASAASSAFCSCLKGFSPASPPAWNIRETSGGCRRNVALNCRGNGTATDGFVLVPGVKLPDTHNASVDTSISTEECRARCFANCSCLAYASAEIWEGGGESGCIMWTDGIIDLRYVDRGQDLYLRLAESESELERSSKFAIVTVLVPVASAVAIVLALFFAIWWRRKHRISHGIPQSSALAVPLVDLHTLKEVTLNFSESHVIGQGGFGVVYKGQLPDGRTIAVKRLRQSALTTKETSGYSSTSTCRTRAWISTYSKTSVHRRRTQPPWHAELEAEAGYHPWHRTRCGVHARGIRRERRPQRSEAFQRAVR* >Brasy5G487900.4.p pacid=40077048 transcript=Brasy5G487900.4 locus=Brasy5G487900 ID=Brasy5G487900.4.v1.1 annot-version=v1.1 MKMGKNLWTGDEWYLSSWRSPDDPSPGDFRRVLDYSTTRLPELILWQRDAKAYRTGPWNGRWFNGVPEASTYADEFPLHVTASASEVTYGYTAKPGAPLIRVVVTDAGMVKRFVWDTSSLAWKIFFQGPRDGCDTYARCGPFGLCDASAASSAFCSCLKGFSPASPPAWNIRETSGGCRRNVALNCRGNGTATDGFVLVPGVKLPDTHNASVDTSISTEECRARCFANCSCLAYASAEIWEGGGESGCIMWTDGIIDLRYVDRGQDLYLRLAESESELERSSKFAIVTVLVPVASAVAIVLALFFAIWWRRKHRISHGIPQSSALAVPLVDLHTLKEVTLNFSESHVIGQGGFGVVYKKTSVHRRRTQPPWHAELEAEAGYHPWHRTRCGVHARGIRRERRPQRSEAFQRAVR* >Brasy5G487900.2.p pacid=40077049 transcript=Brasy5G487900.2 locus=Brasy5G487900 ID=Brasy5G487900.2.v1.1 annot-version=v1.1 MKMGKNLWTGDEWYLSSWRSPDDPSPGDFRRVLDYSTTRLPELILWQRDAKAYRTGPWNGRWFNGVPEASTYADEFPLHVTASASEVTYGYTAKPGAPLIRVVVTDAGMVKRFVWDTSSLAWKIFFQGPRDGCDTYARCGPFGLCDASAASSAFCSCLKGFSPASPPAWNIRETSGGCRRNVALNCRGNGTATDGFVLVPGVKLPDTHNASVDTSISTEECRARCFANCSCLAYASAEIWEGGGESGCIMWTDGIIDLRYVDRGQDLYLRLAESESELERSSKFAIVTVLVPVASAVAIVLALFFAIWWRRKHRISHGIPQSSALAVPLVDLHTLKEVTLNFSESHVIGQGGFGVVYKGQLPDGRTIAVKRLRQSALTTKETSGYSSTSTCRTRAWISTYSANPASVAR* >Brasy5G487900.3.p pacid=40077050 transcript=Brasy5G487900.3 locus=Brasy5G487900 ID=Brasy5G487900.3.v1.1 annot-version=v1.1 MKMGKNLWTGDEWYLSSWRSPDDPSPGDFRRVLDYSTTRLPELILWQRDAKAYRTGPWNGRWFNGVPEASTYADEFPLHVTASASEVTYGYTAKPGAPLIRVVVTDAGMVKRFVWDTSSLAWKIFFQGPRDGCDTYARCGPFGLCDASAASSAFCSCLKGFSPASPPAWNIRETSGGCRRNVALNCRGNGTATDGFVLVPGVKLPDTHNASVDTSISTEECRARCFANCSCLAYASAEIWEGGGESGCIMWTDGIIDLRYVDRGQDLYLRLAESESELERSSKFAIVTVLVPVASAVAIVLALFFAIWWRRKHRISHGIPQSSALAVPLVDLHTLKEVTLNFSESHVIGQGGFGVVYKANPASVAR* >Brasy5G025800.1.p pacid=40077051 transcript=Brasy5G025800.1 locus=Brasy5G025800 ID=Brasy5G025800.1.v1.1 annot-version=v1.1 MAIRRDLTDLFASVPSHKKRKRKRKGKLASFLEYLFVPGDMGFLRLRVPAGLCLLLLMPLLLLSCSRGEARREFISKDSSETGCQAGVCYKTCHIDGFAGGECVIVTTDPYVRRCACWPRPGLGGV* >Brasy5G263900.1.p pacid=40077052 transcript=Brasy5G263900.1 locus=Brasy5G263900 ID=Brasy5G263900.1.v1.1 annot-version=v1.1 MIFFQDRHAPRPPRLTNRCPQRSAAGRRRRRGARLGRSMRLASVVTAVGELRTGGRRPEVREESPPPLVEILLPTTMEGFSFPTPTAVQGSAAPPFPPPWFLAAVAEEDGGGGGDEKMDMLWEDFNEELACAAPVCPLSPLHGDSNGGLMTMAMMKDAAWLDSDSDGDVRGGGPEGKLRRRRHYMVVRRRRWSLVLMLRLLKKLFLAKKSRSQSQRTAPL* >Brasy5G263900.2.p pacid=40077053 transcript=Brasy5G263900.2 locus=Brasy5G263900 ID=Brasy5G263900.2.v1.1 annot-version=v1.1 MRLASVVTAVGELRTGGRRPEVREESPPPLVEILLPTTMEGFSFPTPTAVQGSAAPPFPPPWFLAAVAEEDGGGGGDEKMDMLWEDFNEELACAAPVCPLSPLHGDSNGGLMTMAMMKDAAWLDSDSDGDVRGGGPEGKLRRRRHYMVVRRRRWSLVLMLRLLKKLFLAKKSRSQSQRTAPL* >Brasy5G263900.3.p pacid=40077054 transcript=Brasy5G263900.3 locus=Brasy5G263900 ID=Brasy5G263900.3.v1.1 annot-version=v1.1 MEGFSFPTPTAVQGSAAPPFPPPWFLAAVAEEDGGGGGDEKMDMLWEDFNEELACAAPVCPLSPLHGDSNGGLMTMAMMKDAAWLDSDSDGDVRGGGPEGKLRRRRHYMVVRRRRWSLVLMLRLLKKLFLAKKSRSQSQRTAPL* >Brasy5G127200.1.p pacid=40077055 transcript=Brasy5G127200.1 locus=Brasy5G127200 ID=Brasy5G127200.1.v1.1 annot-version=v1.1 MMSLLLLKLIIAGLSFLPSITGGDDHQFVYSGFVNSNLTLDGTASVTPDGLLELTNGSARSNGHAFYPAPLRFRRTPNSTVQSFSASFVFGIISVFNDLSSHGLAFLIAPTNNFSAATPIGYLGLFNIRNDGNSTNHIFAVELDTFQNSEFQDISSNHVGIDINSVISVQSHDAGFYHDKNGTFKNLTLNSQEAMQLWVEYDAGKTLISATLAPMNMVKPTRPTVSTSYNLSTVFTDVGEAYIGFSSSTGKIETKHYVLGWSFSMGTSPAPAINATMLPAMPRRPPSKARSSRVVVRIVVPVVTVVFLLAAAAATALLLVRRHFRYAELREDWEVEYGPHRFSYKDLFRATQGFRNENLLGIGGFGRVYKGVLPGSKSNVAVKRVSHDSDQGMKEFIAEVVSIGRLRHRNLVRLLGYCRRKGELLLVYDYMPNGSLDKYLYGREGKNTTLGWTQRFEIIKGIASCLLYLHEEWEKVVVHRDIKASNVLLDDEINGRLGDFGLARLYDHGVDPQTTNVVGTIGYLAPELARTGKATPLTDVFAFGTFVLEVTCGQRPIKIGTEDGQPMLLVDWVLQHYQKGSLIDAVDAMLKGGFNAEEASLALKLGLLCSHPFTSARPSMRQVMQYLHGDMPPSEPTHESFEALASMQDEGFDAYIMAYPSSAATIGTISTVSVGR* >Brasy5G234400.1.p pacid=40077056 transcript=Brasy5G234400.1 locus=Brasy5G234400 ID=Brasy5G234400.1.v1.1 annot-version=v1.1 MAKTKQGKRDVDSYTIKGTTKVVRVGDCVLMRSSDKDNPPYVARVESLESDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGQCIVHSFKTYTKLDDVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQTKKLDYFMCSDCAKENGTKRPSYSDPASPSSDSKVQPKRRKR* >Brasy5G337600.1.p pacid=40077057 transcript=Brasy5G337600.1 locus=Brasy5G337600 ID=Brasy5G337600.1.v1.1 annot-version=v1.1 MMLVGPRFLSSSSPPSSTSPPSSTRHDPLLPALSAPPEPCRILSSYSPDGPTARRARTASSSKRPPPDLRRLTARIVDLTRRRQLDQIMAEVEASKRRARAGRGGGINIIVMNAVLEACVSCGDVDLAVQLFEDMRGPRGCGVDSVSYGILLKGLGIARRIDEAFEILESIEKDASVGSPKLTPHLICGFLNALIEAGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIIFACVKSSEIDKAFQFLEDMKDEAKRDDNPELLPDAVTYTTLLKGLGNSRDLYSVLKIVVEMKSSLISIDRTAYTAMADAFLACGSIDGALCIFGEIIKQAGSNNDLRPKPHLYLSIMRAFASIGDFDMVKRLNKRMWPDSVGSISSSAKQEAVELLMEAAINNNQIDVARRLLRRIVNEKVYFSWTSRVGLVALKVETLSGFTNSPLRPHVFPQIILNDPVEKYMIPFQESRPLCADLILENVVMRFWKDSAVPLVNDWGSCIGIVHSHDCTKMDAPLVSMARPPLCVPTSTSIEHVIDLLLREKSEMVVVVKNGNMYEGSYTSSARPLGVFSLAFLSKYSAIYQTSTTWESPG* >Brasy5G263600.1.p pacid=40077058 transcript=Brasy5G263600.1 locus=Brasy5G263600 ID=Brasy5G263600.1.v1.1 annot-version=v1.1 MAGRKEQCSRRREARERERRARRRREEREREESTVAAMVATEKGKGKGKEKEGETEKFGRQFFRMFMPQQYGERLSALCGFIFLSILLALDIFIVINVGPLVLDEDGTEELLILIGQSGHTWHVELASDNDGWFFGLGWKGFVRDHSIGTSQFLTFRYDGNSQFSVVIFSATGSEMTSAFHAKPHKDLGATLDSDGEDTELLAGTKIYSNNTSEVVPLKEGNTATKKRVRETDANGSSFKKSSVLSEKCNKKLPDEASVATYRAAETSINMNKNTTDPLERSKFPLEETHGTGTLRRSKRVRKMDAYESTLKKCSLVSQNSSVTSQRQPSVRSQRPPVSEEQKNYARQKAEEFKSKRPFTFEIMKWTNVYDGFFMIIPSKFVKDHLPTNDKILMLVDPQGKTWDVQYAYSSEQSSGAFSRGWSEFSLGNHLEEFDVCVFELLSEDMIKVHIYRANPEIFLFLHGSSSK* >Brasy5G311600.1.p pacid=40077059 transcript=Brasy5G311600.1 locus=Brasy5G311600 ID=Brasy5G311600.1.v1.1 annot-version=v1.1 MGMQDADIRVSQTGSFFQYQRLEQQDDGAAWHPRRRWLPSLTGKAAVCSCFFTLRKLKWSRITSVLLSRKVPEPSSKICHDTDEVCPTIIFLSQWGLPVLPCPSRARGSKGKHAHLKGF* >Brasy5G305700.1.p pacid=40077060 transcript=Brasy5G305700.1 locus=Brasy5G305700 ID=Brasy5G305700.1.v1.1 annot-version=v1.1 QALGRDCCSCSAHSVCLVGEVLFQAPRILLRTCKVCKQDWTSSGCLIGRAARSLAGYPQRLGLVWVLLLELCPPNSWNNRRFVANSPRRHWICSFILLR* >Brasy5G444000.1.p pacid=40077061 transcript=Brasy5G444000.1 locus=Brasy5G444000 ID=Brasy5G444000.1.v1.1 annot-version=v1.1 MPSLLEASLAMDHAMEASGKGAMSGATLLSLFGGMSAASEKGSAADAAAEDDDDDSKVSWLRSQLIGKDAEFNTPFGRRLLTYADQTASGRSLHYIEDYLINEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCLGAGPGDALIFSGAGTTAAIKRLQEIIGVALPSVEMRERVKAGMREEERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDGDGLVDVGALRRALGSPEYANRPMLGSFSACSNVTGILTDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPSTPGILLMNKSLYKLSSQPPSTCGGGTVSYVNGFNEDDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEHVGYDTMGLRERVYSEMAMKRLLQNPNVRVLGNTSVERLPIFSFLIYPAVPDSLFHGFDHGSAYDELPENLIRPKQQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHFLLDVSKELSLRLRSAVLEGYSGLKPGWTRLSFSYYLSKDEFKFILSAVEFIAAYGHRFLPLYRFDWITGDWTFRKQAIKYHIMKEELSLGAEPLKRQDGLAKVADKFEKFEGNHKRFGSYLESAKKIALSLPDIKQQIVSIPKGVDPDLVLFHI* >Brasy5G349700.1.p pacid=40077062 transcript=Brasy5G349700.1 locus=Brasy5G349700 ID=Brasy5G349700.1.v1.1 annot-version=v1.1 MGLKLRRLAATFLSPSTASTAASASDAHHAVLRATAHHPSTAPPSAHHLDALLAFGRGSRLSAAALASALTDRLHGHGDAAVALKALVALRVLLARGAFILRDQILAALVRHPASGRNPLALASFPLGGRSSSFAAASWVRFSARLLEVLLLLPDPSTSRGTDAEYLAALPNPCLVSELASFASVVAAVRQAPPPSCAPQRDALIWEAIRLAEEDRVAAERNVAARVREMGERLDTLGLADAMELVCVLKRVEEASAASPPPEWKWAGLDESVVTEARRLRERAEEVLLRLTERDRRLVRRDPAASASARDVMPVRAGHGYGADVRFGSLRWAGTVSSSWR* >Brasy5G428000.1.p pacid=40077063 transcript=Brasy5G428000.1 locus=Brasy5G428000 ID=Brasy5G428000.1.v1.1 annot-version=v1.1 MEAAAAAASMAGTSGGAGRDEKKASASACDVEALRKCLVENKGDRAKCQAQIDAFRSSCSINPSSASAPASGRRPAA* >Brasy5G241900.1.p pacid=40077064 transcript=Brasy5G241900.1 locus=Brasy5G241900 ID=Brasy5G241900.1.v1.1 annot-version=v1.1 MNPSSSSSAAADHPRDSKPAPPPPPAPAMGYPANHDANTNTSHAYYAAAPNGGAAAFGVAYPYPAPPPHHHHPPPPPPYHHPHPYHRPPPPPTCLRRLLALIVAAFLLLGAGTFIVWLLLRPRAPAFSLSTLTLSHITYSRPNSSLSASFDASLLAANPNAKLSVTYFSLLASASLAPSSPIAVTPLPPFAQAPANTTALAFRLQADGAYVGPDEAAPFLAGAAGGGAMEVQLRLTGVAVFDRGGWRTRRRILRVLCEGVPVTFRGKNATEAKFDGPARRCEVLL* >Brasy5G293300.1.p pacid=40077065 transcript=Brasy5G293300.1 locus=Brasy5G293300 ID=Brasy5G293300.1.v1.1 annot-version=v1.1 MATATGVAAATYRAPILAPAPAAFPSDQGLGCRRRRHATCGQRLRAAAGTGRPPLFSPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIGGYKNDGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPRDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLKSMMVDTTILGLDSERAKELPYIASMGIYVFSKDAMLRLLREKFPSANDFGSEVIPGATQIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQSRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETEKDKKALCETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDDVQEVSRETDGYFIKSGIVTVIKDALIPSGTVI* >Brasy5G506300.1.p pacid=40077066 transcript=Brasy5G506300.1 locus=Brasy5G506300 ID=Brasy5G506300.1.v1.1 annot-version=v1.1 MELATGAMSSLLPKLAELLKKEYNLQTGVKKDVESLLRELESIEVALTKVAEVPLDQLDKQVRLWARNVKQLSYEMEDVVDSFMVRVDDDKPDAKLNNLKCFREHIANFFEKIKTHQIANFFEKIKTRRQIAVKIQEIKVRVKEVADLRDKYKVDLVGTNPGTASTIDPRILALFKDQRELVGIEGPRDELIKRLAHGADDVSNSGKQLKMLSIFGFGGIGKTTLAKAVYDKLQANFFCRAFVSVGQNPNVKKVFTDILLQLDEQSCTNATMLNETHLITKLRELLENKRYLIVIDDIWDKSSWNTMKCAWIDSNCGSRIITTTRILKVAEEAGDVYKHKPLSPAKSKELFYKRLSIDKSKTTYDEPVEISEKILQKCGGVPLAIITIASMLASKPWEDWSEVYDSIGFGDGANIEVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDHEIQKDTLIWKWVAEGFVHEKPGMGLFELGERYFNELINRSLIQPVEKPYESIIHACRVHDLVLDMICYLSKEEIFVTIHGSSNTEPQPSQSNVRRLALQNIAMDEEPNSDNTEIRQVRSFNAIMCRVNRRSFLSSFHGLRVLSMERCTFINDGCYHLENLGRLLQLRYLGLLRTPITGLPEEIGNLGFLQVLDLRGTGIEELPESVGQLRRLKCLRLPYGFTGAMGWIGNLVFLEELLLPDVSLEIAKELGKLTELRDYVACFNKSDDPMVDSILFNNMMKSLEQLEKLQAIQVSSHTSWAHVDPAYCEGYVPSRHLRRLELRVAIEKLPAWINSSSLPNLSHLTVGPKAVEEQDVEVLGRLPELVYLDLQTDRDVIIPDVMGGGAFPRLRCYYTAASVRFLQGAMPSLECLVCYIDDEGNGAKFETDIASIGNLPCLDRVIVDFWCERGTREKGEAALRQAVEVHPNNITLEVGGRSW* >Brasy5G157200.1.p pacid=40077067 transcript=Brasy5G157200.1 locus=Brasy5G157200 ID=Brasy5G157200.1.v1.1 annot-version=v1.1 MPPPEHPLPGPKPKPPKPKPKPPKTLRDHDAVPEVPLSPDPVACASRCTEPCAHHGLCAPTLPPPGATVHLRSSSSSRLPTPLIALSASVLAASAILLVVLLVCHLLRRRRQRQSAATPLTQHLGNAEAGTAPAALSEEEGGGDGGVHHVWYIRTVGLDERAIAAITALVYDSGKCHRVGGDDDGCAVCLAEFRDGETLRLLPRCGHAFHRGCIDVWLRAHVNCPLCRAPVTAKPAPAALPTSPSSAEAPAAADPAVVGGAGVDEEEGGGPQEPAAVRRAVSMVALPRRAPWPEVSLLREPASNSGREGGMGGLVKVSGVLKLSEALEMVGVGRSGRSMSFGSAHLPARSGQPTATGIDNADETPR* >Brasy5G470500.1.p pacid=40077068 transcript=Brasy5G470500.1 locus=Brasy5G470500 ID=Brasy5G470500.1.v1.1 annot-version=v1.1 MVGESEGKLVLMGELRVLKPLAHLLMGLVLYWVAEEMTVPVLVDVTTAALCPGDGTSSCPEAIYLTGLHQTVGGIFRAVGFTLMGQLADEYGRKPLLLVAAGASIIPFSVLALSSTKVAVYAYLVLRTFSFMIGQGTITCLALAYTADLVEPSKRVFAFGCMTGILSASHSLGNVFSRFLPEQWIFQVSVVLLICSVLYMKMCLVETLQKAPSSSCRHLSLSSLIVGLPRQRWESIKENISMIKMNDTFRRITYVSFFYELGMIGISDVLLYYLKLVFGFDKNQFSEILMVVGIGSIFSQIFVLPVLIHAVGEKGVLCISILASVAYALLYGLAWSWWVPYFASSLGVIYVLVKPATYAIISREVISADQGKAQGFNATVKSAAILMAPLFMSPLTSYFISDEAPFNCKGFSFLIAGFCLAISLVFAWMLDPESKDKCTGVTVSGQLGQESVQAPLLAQP* >Brasy5G470500.2.p pacid=40077069 transcript=Brasy5G470500.2 locus=Brasy5G470500 ID=Brasy5G470500.2.v1.1 annot-version=v1.1 MVGESEGKLVLMGELRVLKPLAHLLMGLVLYWVAEEMTVPVLVDVTTAALCPGDGTSSCPEAIYLTGLHQTVGGIFRAVGFTLMGQLADEYGRKPLLLVAAGASIIPFSVLALSSTKVAVYAYLVLRTFSFMIGQGTITCLALAYTADLVEPSKRVFAFGCMTGILSASHSLGNVFSRFLPEQWIFQVSVVLLICSVLYMKMCLVETLQKAPSSSCRHLSLSSLIVGLPRQRWESIKENISMIKMNDTFRRITYVSFFYELGMIGISDVLLYYLKLVFGFDKNQFSEILMVVGIGSIFSQIFVLPVLIHAVGEKGVLCISILASVAYALLYGLAWSWWVPYFASSLGVIYVLVKPATYAIISREVISADQGKAQGFNATVKSAAILMAPLFMSPLTSYFISDEAPFNCKGFSFLIAGFCLAISLVFAWMLDPESKDKCTGVTVSGQLGQESVQAPLLAQP* >Brasy5G037500.1.p pacid=40077070 transcript=Brasy5G037500.1 locus=Brasy5G037500 ID=Brasy5G037500.1.v1.1 annot-version=v1.1 MSDLESQSGSEASIPYEIDDPSADQRHTFCFSRYVVDRTEPSDTEVAVSNMRHPELHKQVFTTGLHPGITPRNRPSPQRIHQPRRNEGSDQQLRNLLPGKKKALDRDIDLNCTPGPFDLNCPFGEDPVVQDGTNELTNEKISEGQFVQATTENEEVVVSEEFFERTFRRLEDAVPRRGDYTKTQPSIYKIPRELKRGYEEEGYEPVAVCIGPFTFTCRGSSTVQQLENYKWCCVRQLLLSRPKSSTHSRCNMSTDFLGQLKLLLKSMKRLEPVIRASYSEEIEPSCSDGLALKMLLDGCFILHRLLKYARLTEREAQGGQQRRRRLEKDDDDWTQVFGRVWVWQLVESDLLLLENQIPFSVLLNIFEHLRSTTDKDDTLVLVKGSLQLFHSLCPQMPQRAALEIDCRIVHHLLHLFYLSLLPKPKPKPNPSDHDSNKQDNGLAVEIDVSPQWIPCAKELEEAGVRFKKRKDATSFLDISFDIHSGVLEIPPLQLYDSSDKLFRNLIAFEQTYPGTRYDISTYAIFMDCLINTPEDMRILHLRGILVNQINGESDASRFFNRICSQVLWSNKNYLKDLMLEVNKYSGSRLHKWRAQLVRNYFSNPWVAMSVVAAVLLLGMTILQTTFTVYPYFKPPK* >Brasy5G037500.2.p pacid=40077071 transcript=Brasy5G037500.2 locus=Brasy5G037500 ID=Brasy5G037500.2.v1.1 annot-version=v1.1 MKDLINNSGICCQARRKHLTENEEVVVSEEFFERTFRRLEDAVPRRGDYTKTQPSIYKIPRELKRGYEEEGYEPVAVCIGPFTFTCRGSSTVQQLENYKWCCVRQLLLSRPKSSTHSRCNMSTDFLGQLKLLLKSMKRLEPVIRASYSEEIEPSCSDGLALKMLLDGCFILHRLLKYARLTEREAQGGQQRRRRLEKDDDDWTQVFGRVWVWQLVESDLLLLENQIPFSVLLNIFEHLRSTTDKDDTLVLVKGSLQLFHSLCPQMPQRAALEIDCRIVHHLLHLFYLSLLPKPKPKPNPSDHDSNKQDNGLAVEIDVSPQWIPCAKELEEAGVRFKKRKDATSFLDISFDIHSGVLEIPPLQLYDSSDKLFRNLIAFEQTYPGTRYDISTYAIFMDCLINTPEDMRILHLRGILVNQINGESDASRFFNRICSQVLWSNKNYLKDLMLEVNKYSGSRLHKWRAQLVRNYFSNPWVAMSVVAAVLLLGMTILQTTFTVYPYFKPPK* >Brasy5G394600.1.p pacid=40077072 transcript=Brasy5G394600.1 locus=Brasy5G394600 ID=Brasy5G394600.1.v1.1 annot-version=v1.1 MYQSINCLCHCAIMVAGSPRTMLPVQKLPTLRQFLFHNHKPLICLSSSLREMEKKEKEKSEEPWVGRRILGVAAAGAVAVAAGAFFLLSAVGDSSTDKDDQAADDTTARPRKTMKGPGSGGEPISRDKFEEDTANYYRTKRQKGPKAAVDEFQ* >Brasy5G102700.1.p pacid=40077073 transcript=Brasy5G102700.1 locus=Brasy5G102700 ID=Brasy5G102700.1.v1.1 annot-version=v1.1 MGREVAGSCLDGVVMEMVSAYCGRFYAAKPELAAGRIEAIGFQVGHQLSERYTMDRPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNCFPWLTHVSLDPSMESTDATESDSALLGDTAAQTTSMLLYFPCGIIRGALTNLGIPCAVSADMSNLPACSFVVRIKT* >Brasy5G102700.2.p pacid=40077074 transcript=Brasy5G102700.2 locus=Brasy5G102700 ID=Brasy5G102700.2.v1.1 annot-version=v1.1 MGREVAGSCLDGVVMEMVSAYCGRFYAAKPELAAGRIEAIGFQVGHQLSERYTMDRPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNCFPWLTHVSLDPSMESTDATESDSALLGDTAAQTTSMLLYFPCGIIRGALTNLGIPCAVSADMSNLPACSFVVRIKT* >Brasy5G469600.1.p pacid=40077075 transcript=Brasy5G469600.1 locus=Brasy5G469600 ID=Brasy5G469600.1.v1.1 annot-version=v1.1 MDRVNSNLYLQNLCIMEENERLRRKAQQLDQENKQLLAELKLKQQQQKHHTAASSSQLLPPPGGAPSAGLKASASKSGKLQTK* >Brasy5G215600.1.p pacid=40077076 transcript=Brasy5G215600.1 locus=Brasy5G215600 ID=Brasy5G215600.1.v1.1 annot-version=v1.1 MAIKSNAAVLAALMMMSALSSSSCYARTVVDEANGLTCFPMHDCIKDLCGMECFMSAGIDSPGACKDSGNCCCPVPASPML* >Brasy5G278300.1.p pacid=40077077 transcript=Brasy5G278300.1 locus=Brasy5G278300 ID=Brasy5G278300.1.v1.1 annot-version=v1.1 MAPSQAYQVEATPLQRLMLVREFRVLGEVSAVHCLSSARSTHYALHSSQGCMLALELAVKVRVCGLDSVSQCPSIASVGQTRSDGIFSSAGEIDVRNVVLVCDTLRLDSLDINTR* >Brasy5G065100.1.p pacid=40077078 transcript=Brasy5G065100.1 locus=Brasy5G065100 ID=Brasy5G065100.1.v1.1 annot-version=v1.1 MAEETTAAAAGGEGGAAPAGKMTMVVGVDESEHSYYALQWTLRHFFASPDPALQQYRLVVVTAKPTAASAVGLAGPGAADVLPFVEADLKRSAMRVIDKAKELCAQVSHAVFEVMEGDARNVLCEAVERHHAEMLVVGNHGYGAIKRAVLGSVSDYCSHHAHCTVMIVKKPKHKH* >Brasy5G361000.1.p pacid=40077079 transcript=Brasy5G361000.1 locus=Brasy5G361000 ID=Brasy5G361000.1.v1.1 annot-version=v1.1 MENPYTTFLKNYHQQTHRRPRYYNFPATPTPSPAQQAPPPPLSAYSSLFFPPPSATPPAAPAPPSPPLREALPLLSLAPAASSHGSRERRCSPDSEDEEEDEVPGPASSSSNQQRAGARRLFADLNSKAADGDDDPMDVERGGSIAAEGDVTVALRIGLPSGPAADLISRISVAAAAPSRDEEEEEDGGDGGGGGGGGGGVAALGFASAAIGRLNKGQYWIPTPTQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNVDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGRGHGAIGGGGLDDDDDCGAVSDVEHDGAGV* >Brasy5G250700.1.p pacid=40077080 transcript=Brasy5G250700.1 locus=Brasy5G250700 ID=Brasy5G250700.1.v1.1 annot-version=v1.1 MTGSRDEAWEKLRSRIKRCKISPSIVAESEQRSYVLKVDGYTTAKKQFETGKPVVSAPFSVGGYSWVMKWHPNGGRAEYAEFISAYLALHSAHAKHVKVNFSFSVLDKAGEPVPLRCRPVVGHTFSSKGSNWGHHDFIKKADLEGSDCLRDDSFSIKCDITVLKHIEKGSKFVVVPPSDLHIHLHDLLNSMDEADVTFHVGGERFPAHRTVLAARSSVFKAELFGAMKEKASGPIEIDDMEADVFYHLLVAADRYNIERLKLICEEKLCNHVDSDMVATSLALAEQHSCHGLKEACFEFLACPSNLGAMIATDGYQHLRKSCPSVLKELVARLLPPELHAAKDILMAIY* >Brasy5G187000.1.p pacid=40077081 transcript=Brasy5G187000.1 locus=Brasy5G187000 ID=Brasy5G187000.1.v1.1 annot-version=v1.1 MAPGVATLALKVAAVAAALSMLAVPSLGRCPSLGPAPPPPPAYASPPPPPLPTSPPPPPAYASPPPLPPAPAPGPGRPMISCNDCHTQCSSACTDSISSKCSYYCDYKSRCDRCRTEVSKDCKARGKCADGSCDCETTVAYSSCSSDCNGWFCGNCSNGLSRECGQNCARDCHAHGCVEP* >Brasy5G400300.1.p pacid=40077082 transcript=Brasy5G400300.1 locus=Brasy5G400300 ID=Brasy5G400300.1.v1.1 annot-version=v1.1 MPGIGDPESSSVLRLAYEFAYLGCVIFGSVLANNRDKYGLSYLPTYKFWGPRVYYWGISLMRANLFVLAVERFAAPYARPYEILWNRIFLDD* >Brasy5G068000.1.p pacid=40077083 transcript=Brasy5G068000.1 locus=Brasy5G068000 ID=Brasy5G068000.1.v1.1 annot-version=v1.1 MASATEEASKSRVLVIGGTGYIGRSIVAASAREGHPTAVLVRDPAPADAAKAAVLQGFRDAGVTLVKGDIYDHESLVAAIKSADVVISAVGYAQLQDQTRIISAIKEARNVKRFVPSEFGNDVDHVNAVEPAKSLFAGKAGIRRAIEAEGIPYTYVSSNFFAGYFLPNIGQSGVTGLPTDKVQILGDGNVKGIFAVEDDVGTYTIKAVDDPRALNKTLYLRPPSNTLSHNELVSLWEKKVGKTFERVYIPEDEVLKKIQESPPPLNVVLSLGHSVWVKGDHTNFEIDPSFGVEATELYPEVKYTTVDEYLNRFL* >Brasy5G092600.1.p pacid=40077084 transcript=Brasy5G092600.1 locus=Brasy5G092600 ID=Brasy5G092600.1.v1.1 annot-version=v1.1 MGRSDRKIIFLAPLLLLLLLLYPSSLPLPSQALPLCTDMRAPVVLNVTLKFCRNDGGRASCCDAAADAALEAQFKAMDVKPADGDCARLVKSILCAKCNLFAADLFDMGSMPRTVPLLCSSFSARDPSQPEHPIQNNADYCGQVWKHCKSTVMSNSPFQYFAPRKVGLTGSSSMLTDFWRSEKEFCVSLSGTPNNQLLCFNGQGVSFNTRKNSSPPPNGICLEKVGDGSYLNMVAHPDGSSKAFLSRQDGKIWLATVPEQGIGDGLQLDETIPFLDLSTEGHLSSDLGLVGLAFHPGFVNNGRFFVSYICDGTQLSNCAGRCSCDREVGCDPSKLGSDNGVEPCQFQLLISEYSAKGSSSSFSEATYADPSEVRRVFSMGLPYVSDHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNGKSLLGKILRLDIDQLPEMNGIANQSLWGNYTIPEDNPNTNDSNLRPEIWALGLENPWRCSFDSVRPFHLYCADDGQEQYKVVDLISKGGNYRWSGAYEDRDVHYPPWASQGTKSTNGIIFPITGYKVPSTTVAASIVGGYVYRGFADPCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPLGCSEKTPLPCDDSTDSPIGRIFSFGEDNKQDVFILASQGVYRIVQPSLCDYVCVNDGTTKQATASVSGGSQGLTTILKVMIALLSVLGAGASIYFVWRCFCNNSAICCNDIETMHITNNTATRGDRPLATATKPGGVQFAVTRPADNRAR* >Brasy5G092600.3.p pacid=40077085 transcript=Brasy5G092600.3 locus=Brasy5G092600 ID=Brasy5G092600.3.v1.1 annot-version=v1.1 MKFSVILASGCQISPKQKCNLFAADLFDMGSMPRTVPLLCSSFSARDPSQPEHPIQNNADYCGQVWKHCKSTVMSNSPFQYFAPRKVGLTGSSSMLTDFWRSEKEFCVSLSGTPNNQLLCFNGQGVSFNTRKNSSPPPNGICLEKVGDGSYLNMVAHPDGSSKAFLSRQDGKIWLATVPEQGIGDGLQLDETIPFLDLSTEGHLSSDLGLVGLAFHPGFVNNGRFFVSYICDGTQLSNCAGRCSCDREVGCDPSKLGSDNGVEPCQFQLLISEYSAKGSSSSFSEATYADPSEVRRVFSMGLPYVSDHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNGKSLLGKILRLDIDQLPEMNGIANQSLWGNYTIPEDNPNTNDSNLRPEIWALGLENPWRCSFDSVRPFHLYCADDGQEQYKVVDLISKGGNYRWSGAYEDRDVHYPPWASQGTKSTNGIIFPITGYKVPSTTVAASIVGGYVYRGFADPCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPLGCSEKTPLPCDDSTDSPIGRIFSFGEDNKQDVFILASQGVYRIVQPSLCDYVCVNDGTTKQATASVSGGSQGLTTILKVMIALLSVLGAGASIYFVWRCFCNNSAICCNDIETMHITNNTATRGDRPLATATKPGGVQFAVTRPADNRAR* >Brasy5G092600.4.p pacid=40077086 transcript=Brasy5G092600.4 locus=Brasy5G092600 ID=Brasy5G092600.4.v1.1 annot-version=v1.1 MGSMPRTVPLLCSSFSARDPSQPEHPIQNNADYCGQVWKHCKSTVMSNSPFQYFAPRKVGLTGSSSMLTDFWRSEKEFCVSLSGTPNNQLLCFNGQGVSFNTRKNSSPPPNGICLEKVGDGSYLNMVAHPDGSSKAFLSRQDGKIWLATVPEQGIGDGLQLDETIPFLDLSTEGHLSSDLGLVGLAFHPGFVNNGRFFVSYICDGTQLSNCAGRCSCDREVGCDPSKLGSDNGVEPCQFQLLISEYSAKGSSSSFSEATYADPSEVRRVFSMGLPYVSDHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNGKSLLGKILRLDIDQLPEMNGIANQSLWGNYTIPEDNPNTNDSNLRPEIWALGLENPWRCSFDSVRPFHLYCADDGQEQYKVVDLISKGGNYRWSGAYEDRDVHYPPWASQGTKSTNGIIFPITGYKVPSTTVAASIVGGYVYRGFADPCLYGRYLFADMYSSAMWTGTINTDGSGKYTSASIPLGCSEKTPLPCDDSTDSPIGRIFSFGEDNKQDVFILASQGVYRIVQPSLCDYVCVNDGTTKQATASVSGGSQGLTTILKVMIALLSVLGAGASIYFVWRCFCNNSAICCNDIETMHITNNTATRGDRPLATATKPGGVQFAVTRPADNRAR* >Brasy5G092600.2.p pacid=40077087 transcript=Brasy5G092600.2 locus=Brasy5G092600 ID=Brasy5G092600.2.v1.1 annot-version=v1.1 MGRSDRKIIFLAPLLLLLLLLYPSSLPLPSQALPLCTDMRAPVVLNVTLKFCRNDGGRASCCDAAADAALEAQFKAMDVKPADGDCARLVKSILCAKCNLFAADLFDMGSMPRTVPLLCSSFSARDPSQPEHPIQNNADYCGQVWKHCKSTVMSNSPFQYFAPRKVGLTGSSSMLTDFWRSEKEFCVSLSGTPNNQLLCFNGQGVSFNTRKNSSPPPNGICLEKVGDGSYLNMVAHPDGSSKAFLSRQDGKIWLATVPEQGIGDGLQLDETIPFLDLSTEGHLSSDLGLVGLAFHPGFVNNGRFFVSYICDGTQLSNCAGRCSCDREVGCDPSKLGSDNGVEPCQFQLLISEYSAKGSSSSFSEATYADPSEVRRVFSMGLPYVSDHAGQLLFGPTDGYLYFFTGNGGIRGDPFNFSLNGKSLLGKILRLDIDQLPEMNGIANQSLWGNYTIPEDNPNTNDSNLRPEIWALGLENPWRCSFDSVRPFHLYCADDGQVEWCI* >Brasy5G525400.1.p pacid=40077088 transcript=Brasy5G525400.1 locus=Brasy5G525400 ID=Brasy5G525400.1.v1.1 annot-version=v1.1 MQLVIAFFPLSEDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFEQVMRNALPDLFDAQPDLLFHLVTMLNPSVLRTNGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVENESLPYLKGEVERLFVKEKKCRGELWINGIVKSSIMPPRSNPNFIGSEEDPMCIICQQYLNLSAVSCNCRPSSYVCLEHWKHLCECSPEKHRLLYRHTLAELGDLACEVKASLSGENIKQSFFLLNDGPMPSKKVKDQYISYAQLAEDWLSKSDHILHMPFLDTAYSTALEAAEQFLWGDHDMDSVRNMTLKLTEAKKWALCVRNCLSRIDECLLSKDGSEKVNYVEIKELVAVRCKPSCDPSLKQLQAYAEKGKMMISEINIALSSLSTVEHLETLYSRASEFPVELTETSTLSYEISFANSWLKKARAFLEQNKQGLIDTDFLNTLKIEMVQLRVLVPEIDLVSKQWNEAESLRMRCQSYLQDPPVLKELESFLLAFNGTKFSIPELNLLKQHFSDASSWASHVNNILGKLFERNDYHNIVEELTGILKDGKSLRVKVDELPIVEKELRRSFCRKQASEINY* >Brasy5G493300.1.p pacid=40077089 transcript=Brasy5G493300.1 locus=Brasy5G493300 ID=Brasy5G493300.1.v1.1 annot-version=v1.1 MAPPPESGEETAAMKAPLLEPIRQPEAEAGIGAGEEEEEEGEEGNKGFGRRLLEENKKLWVIAGPSICTRFSTFGLTVISQAFIGHIGATELAAYALVSTVLMRFSHGILLGMASALDTLCGQSYGAKQYHMMGIYLQRSWIILFGCCILILPIYAFTEPILILLGQDPRICAVAGTIGLWYIPSLFSTAFNFTLQMYLQSQSKNFVITYLAFISFFLHLLLSWLLTAKFHLGLAGVMLSMIIAMWIPVLGQLAFVLFGGCPQTWTGFSSAAFTDLLPILKLSVSSGVMLCLELWYNSILVLLTGYMNNAEVALDALSICLNINGWEMMISVGFLAATGVRVANELGARSARRAKFAIYNVVTTSFLIGVVSFVFFLLFRGRLSYVFTESEEVAAAVADLSPLLALSILVNSVQPVLSGVAVGSGWQSIVAYVNLTTYYLIGIPLGAILGYVVGYHVKGIWIGMLLGTVVQTIVLLFIIIRTDWQKEVEVAQERLQRWNMEGNKRTTDSKGSP* >Brasy5G493300.2.p pacid=40077090 transcript=Brasy5G493300.2 locus=Brasy5G493300 ID=Brasy5G493300.2.v1.1 annot-version=v1.1 MAPPPESGEETAAMKAPLLEPIRQPEAEAGIGAGEEEEEEGEEGNKGFGRRLLEENKKLWVIAGPSICTRFSTFGLTVISQAFIGHIGATELAAYALVSTVLMRFSHGILLGMASALDTLCGQSYGAKQYHMMGIYLQRSWIILFGCCILILPIYAFTEPILILLGQDPRICAVAGTIGLWYIPSLFSTAFNFTLQMYLQSQSKNFVITYLAFISFFLHLLLSWLLTAKFHLGLAGVMLSMIIAMWIPVLGQLAFVLFGGCPQTWTGFSSAAFTDLLPILKLSVSSGVMLCLNINGWEMMISVGFLAATGVRVANELGARSARRAKFAIYNVVTTSFLIGVVSFVFFLLFRGRLSYVFTESEEVAAAVADLSPLLALSILVNSVQPVLSGVAVGSGWQSIVAYVNLTTYYLIGIPLGAILGYVVGYHVKGIWIGMLLGTVVQTIVLLFIIIRTDWQKEVEVAQERLQRWNMEGNKRTTDSKGSP* >Brasy5G154400.1.p pacid=40077091 transcript=Brasy5G154400.1 locus=Brasy5G154400 ID=Brasy5G154400.1.v1.1 annot-version=v1.1 MATKEDQKESAPAGQDPAATDDSTPPAVAAEEPENPVAAAAAAAAAEAEVIYVMPQEQIDYILSCDYGKKDRFPRYDPEIDDEGGFDSAEWNKACDSIFQKELKLMEYQAQVRHEYESYGHVRVDPAKYGDEYENRMAEKSKADFLELFGPDPCFDCSDSDDDAPGGFQELIFDDFEDA* >Brasy5G433400.1.p pacid=40077092 transcript=Brasy5G433400.1 locus=Brasy5G433400 ID=Brasy5G433400.1.v1.1 annot-version=v1.1 MSQEATSRPPPQSSVSIGGSGGSDSDDSSISSFDMDDFVLDYFILDDPVEERIEDLVVAGLLRGVTPAQRRKIKAQREESRAKSAELRRAVEAWREQNRARKSRDRAQRRTLKKYTELLGADVTGCTDEQREQRRGQLERLSREIFGKDR* >Brasy5G403600.1.p pacid=40077093 transcript=Brasy5G403600.1 locus=Brasy5G403600 ID=Brasy5G403600.1.v1.1 annot-version=v1.1 MALLALRLGHLLHAPPAQRRIRSRRRQSVLVSCSAATVSAGAAAVVWFKHDLRIDDHPGLVAACAERRRPVVPLYVFDRRILAGYSDTMLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.3.p pacid=40077094 transcript=Brasy5G403600.3 locus=Brasy5G403600 ID=Brasy5G403600.3.v1.1 annot-version=v1.1 MALLALRLGHLLHAPPAQRRIRSRRRQSVLVSCSAATVSAGAAAVVWFKHDLRIDDHPGLVAACAERRRPVVPLYVFDRRILAGYSDTMLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.2.p pacid=40077095 transcript=Brasy5G403600.2 locus=Brasy5G403600 ID=Brasy5G403600.2.v1.1 annot-version=v1.1 MALLALRLGHLLHAPPAQRRIRSRRRQSVLVSCSAATVSAGAAAVVWFKHDLRIDDHPGLVAACAERRRPVVPLYVFDRRILAGYSDTMLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.10.p pacid=40077096 transcript=Brasy5G403600.10 locus=Brasy5G403600 ID=Brasy5G403600.10.v1.1 annot-version=v1.1 MALLALRLGHLLHAPPAQRRIRSRRRQSVLVSCSAATVSAGAAAVVWFKHDLRIDDHPGLVAACAERRRPVVPLYVFDRRILAGYSDTMLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.11.p pacid=40077097 transcript=Brasy5G403600.11 locus=Brasy5G403600 ID=Brasy5G403600.11.v1.1 annot-version=v1.1 MALLALRLGHLLHAPPAQRRIRSRRRQSVLVSCSAATVSAGAAAVVWFKHDLRIDDHPGLVAACAERRRPVVPLYVFDRRILAGYSDTMLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.4.p pacid=40077098 transcript=Brasy5G403600.4 locus=Brasy5G403600 ID=Brasy5G403600.4.v1.1 annot-version=v1.1 MLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.5.p pacid=40077099 transcript=Brasy5G403600.5 locus=Brasy5G403600 ID=Brasy5G403600.5.v1.1 annot-version=v1.1 MLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.6.p pacid=40077100 transcript=Brasy5G403600.6 locus=Brasy5G403600 ID=Brasy5G403600.6.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.7.p pacid=40077101 transcript=Brasy5G403600.7 locus=Brasy5G403600 ID=Brasy5G403600.7.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.8.p pacid=40077102 transcript=Brasy5G403600.8 locus=Brasy5G403600 ID=Brasy5G403600.8.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.9.p pacid=40077103 transcript=Brasy5G403600.9 locus=Brasy5G403600 ID=Brasy5G403600.9.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQGMKDPLTKSESFVSMLREHCSKVHIRELDAGHAPHDEVPDEVNSVLCEWMKMKETELKPALEKSSAI* >Brasy5G403600.12.p pacid=40077104 transcript=Brasy5G403600.12 locus=Brasy5G403600 ID=Brasy5G403600.12.v1.1 annot-version=v1.1 MLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.13.p pacid=40077105 transcript=Brasy5G403600.13 locus=Brasy5G403600 ID=Brasy5G403600.13.v1.1 annot-version=v1.1 MLELLLFALKDLKLVLKSQESDLLIGLGNAEDVVLELVNEVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.14.p pacid=40077106 transcript=Brasy5G403600.14 locus=Brasy5G403600 ID=Brasy5G403600.14.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.15.p pacid=40077107 transcript=Brasy5G403600.15 locus=Brasy5G403600 ID=Brasy5G403600.15.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.16.p pacid=40077108 transcript=Brasy5G403600.16 locus=Brasy5G403600 ID=Brasy5G403600.16.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G403600.17.p pacid=40077109 transcript=Brasy5G403600.17 locus=Brasy5G403600 ID=Brasy5G403600.17.v1.1 annot-version=v1.1 MRSLCKVQAGVIYTEEEVEHSVRSVLANVESSLSNGSFAWGEPPDMEVWSAPLYDYKSLRGLSTSRNQFLKDKLSMTAPVASPTFPALSVELDTGSLPTLEELKVFLEESRATQDNWVHIKSMSARSILKANLNRSNIKSNVPLSVDNGGNVEDITPNAGSTGRKIMDSMFASESSLQVRGGTDLTLDALAAYLKYLEGTGKASWQELHDKVRLAETRDGASFYTLFGAAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYRLLALKSQVCIEATYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNIGSIADEGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLILLNSAGSVVPSYSFIPLSKEGRTSWLSGFQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRASYDPGAKTVIESIFNFNLSIPLNFLFDSFGGEILVIQVMLLTMKFQMK* >Brasy5G143100.1.p pacid=40077110 transcript=Brasy5G143100.1 locus=Brasy5G143100 ID=Brasy5G143100.1.v1.1 annot-version=v1.1 MKFRSWNCRSLNSDAAVRSLLDLQKNVRADVIFLSETHLNKEKADAVRRRLGFKFMFVEESDGRSGGLILFWDDDLREELDMPWMMFGDFNEIMYSHEKEGGNPRPLLMMQKFRECLAECGLEDMGFSGDIFTWSRGEIRERLDRAVCNERWDALFPMFAVTNEAHDRSDHRPIVVDTEFHVGALAPRKTGTKFFEARWLKEEAVETIVSTAWARAIASGAVGVAARTSMVHADMHTWDRQVLKGPVKKLKKLKQELELLRKGSTSPDSLAKQKELQVHIENLLEQEDIYWMQRGRVNWLMHGDRNTSFFHHSATQRKKRNQIKKLIDDDGNWHEGTTPLNNLISNYFINLFQSER* >Brasy5G115800.1.p pacid=40077111 transcript=Brasy5G115800.1 locus=Brasy5G115800 ID=Brasy5G115800.1.v1.1 annot-version=v1.1 MRPDLVGGRWPAAALTARGERQARALAVFLRSRGSRLAAAWTSPLDRARATAALVCRELDFPEDQIQISDALTEMSHGQWEGCPKSEIYTPEMVNLMDSTQPDFSAPSGESLRQVQFRMMEFLNRTVIRLPEKVAMGDTLSQQNDVKGLSRQSSTNSVQDGPSWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKELNHRHHLHEANLGSSTTSIAIFCHAIPIRCLLAGLLDCNPTMSQRICIDDSSVTVLEHSLRTGWQIKRLNDTAHLRLL* >Brasy5G151800.1.p pacid=40077112 transcript=Brasy5G151800.1 locus=Brasy5G151800 ID=Brasy5G151800.1.v1.1 annot-version=v1.1 MQDLLILLTSTLILVVTTAVVLLLHASPSKPAALHTRVGSALARWLRQPAIVIRDRATAHRLLVRGSAGGAFSDRPPSMAPSAVLSHRRFHNLTSAPYGPFWRVTRRNLTSEVLHPLRLSRYAAARREALRGLVSDLGRHQCDASGGPMGLVLAAESIRAAMFGLLVAMCFGAGVDPGLVRASSSRSSASSRGCRAWRG* >Brasy5G118300.1.p pacid=40077113 transcript=Brasy5G118300.1 locus=Brasy5G118300 ID=Brasy5G118300.1.v1.1 annot-version=v1.1 MRTILFFGKMAQDALPPDSLPTTAASTGSSVVSRPPPPQPTTPASRPSSESPDTALTPSAAVGDTLDLNASPATTPSLPTKVPRPFPASSFDGGRNLFDDMPVRAVDPTEQNYYNEPSQFMDDLISQEVPVFEEDAGEQWGDETQEGVSIDTEPLYTDAGTDPGSDASRCQTKGKSKRTQAYAECEDKMLCEAWLEYFKVMYKKPFSLIHCWRILKEAPKWQDLYLPTKKSNGEGKKRDCSVIDLEASGHTDATSRAVRPRGRTNSKLDAKRKASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKEFIDVQKRKLAIEEANAATTRTAAAAALLAKETRIMTADLSLMDEATRDWFLAKRKMIQERDAPAPALSKE* >Brasy5G075300.1.p pacid=40077114 transcript=Brasy5G075300.1 locus=Brasy5G075300 ID=Brasy5G075300.1.v1.1 annot-version=v1.1 MAFLMGSLRVTKSVGGGRMRMDAKSGGGAVSSWRRAPAPVRQLFWRVRRAMLQRPRRSAVRFGYDLKSYTQNFDDGLVYVCRHRF* >Brasy5G475800.1.p pacid=40077115 transcript=Brasy5G475800.1 locus=Brasy5G475800 ID=Brasy5G475800.1.v1.1 annot-version=v1.1 MALSSSRPHHVLRPLLRSFHASAQSLARADLHEHSKPSGFLGSWEPEAARDPREAWARLERLRKGYARDVRDLRRQYAYEVQLSEAERQRKAEARAEAARIANEERKAAKAAAAQTRAAERRAFELDFRQALMKERAQKLESWREKEKLKAQKKAEQRELLRKQSSLWVAEDKMEKKILEAILHTTPL* >Brasy5G426300.1.p pacid=40077116 transcript=Brasy5G426300.1 locus=Brasy5G426300 ID=Brasy5G426300.1.v1.1 annot-version=v1.1 MASFHALVFVALALVSAAPMAVVAGDPDILTDFVVPTNLLGVPMNVTADFFTYTGFAFPFLPPATFSVMKASMKEFPALNGQSVSYAKLTYPPGTVNPTHTHPRSAELLLVVEGALSVGFVDTAGKLYTKDLVVGDMFVFPKGLVHYQYNQGAGLAVALSAFGSANAGTVSVPLTVFGTGVDDVVLAKSFKTDVATVQKLKAALTPPPSPKN* >Brasy5G403300.1.p pacid=40077117 transcript=Brasy5G403300.1 locus=Brasy5G403300 ID=Brasy5G403300.1.v1.1 annot-version=v1.1 MGRQPCCDKLGVKRGPWTAEEDKKLMGFILRSSGGRCCWRAVPKMAGLLRCGKSCRLRWTNYLRPDLKRGLLTHDEEQLVVDLHASLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHQPLHGRPKQQQQHQEEEQASTTSAQSSTVSSSDKVMDVTSAGGGDSSPPESSSTNTSSTTTNGGGSHDQDPLVKWLLEDQEDDLPTGSAIGEQWLDFTAGDDVDDLSSIAAAMPWDVGATDWLLDYQDFGVMDSILLDGASMSMSMVDSSTDQTSS* >Brasy5G096000.1.p pacid=40077118 transcript=Brasy5G096000.1 locus=Brasy5G096000 ID=Brasy5G096000.1.v1.1 annot-version=v1.1 MASAEEEKQKKQEAEAGMAIGGKGGDARKDGVAREVVRMEKEAVIPVIKPKLVMKLADLIEHDVDRNEFLKLCKKVEYTVRAWYLLQFEDLMQLYSLFDPVSGGKRLEQQNLPPDEIDTLEFNFMTYLFQIMEKSNFKLLSDEEYDIAQSGRYLLNLPIKVDESKLDKKLLTTYFKEHPHDNLPTFADKYIVFRRGVGIDRTTDYFFMEKVDVLISRIWRSLLRVTRIDRLFSKKPQLKSRNDTKKTDEIIEDTEEEDLFVERIRLEKIELSIKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAIMSGVIGYCAKIYFTFQQNMTLYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATMQDLDLHCEELIKEEFGAECNFDVHDAVKKLEKLGIVHRDSIGRILCAPLKRANEIIGTTTEEMVLRAQQNSAS* >Brasy5G309200.1.p pacid=40077119 transcript=Brasy5G309200.1 locus=Brasy5G309200 ID=Brasy5G309200.1.v1.1 annot-version=v1.1 MEIAALGEEPFSVLRRKRALPTSCGAVDVKKLESFAVGKKPSGPAVRARELLQQRFQSELVAVRRLLKKALPGGGKGGRPGFLAAEPPAKKMKASPAPVTIQQRAEPAKKKMKASPPSVIQEEQDEDVDILGGVSPLKMAPAPAQLDEEEEEVFVDICGDASPMPKNQDPSSSPASSSDSGSDSSSSSGSDSDSDSSSSSDSDGDSKESVSISPSPCLAPAEGAAKPPITDLIARAKESQQRQRRALAREKARQELLETERTAMPTDSIDPIDLELLGIARPQDQYMDDDDSADDHHQRQQASNRRASSKKRT* >Brasy5G454700.1.p pacid=40077120 transcript=Brasy5G454700.1 locus=Brasy5G454700 ID=Brasy5G454700.1.v1.1 annot-version=v1.1 MDVLPEDVFADVLGNLDPRALAACRCVSKSWRATVDAGRLLRNDLLPLSLAGIFDLYRTDIGDDVPPLAFLDAAAAAHADWSSSIKGHSNGLLLLWEGVANPATRQWAQLPPYPWLSRDNRAFDPTTTPASSQEQHFEVVFMRCILRPYHERRTEVDPASPGSEWPPSPFAVSVFSPRTWRWEERGFVREGAGVRSIARVAPFLEHVYRQDAVCWRGRLYVCDIYFVLRFNLEDNTYRVIQLPPMNESCGCIFPYFGKSEKGVIYYGFVYGCCKLQVWFLDESTHQTTDDQWVLRHDIDLEPSLENFPWQHGDGPWSLQAGNSFYDEATPVNEENPEWDSDNDDGIAATDTFAPVSVQERFSITILGFHPYKEIIFLHTSSYEKRPRAPIDAEAWVLNFFEKKLSDKVMAYHLKSSKLEDLGCCLPFNVSRYEIRSGLSFMYTPCWMEVSGLSLEANVDRALGRL* >Brasy5G169700.1.p pacid=40077121 transcript=Brasy5G169700.1 locus=Brasy5G169700 ID=Brasy5G169700.1.v1.1 annot-version=v1.1 MKMVDVREVIASLFIMLKEDTYVLIRIEILVALVTAMFLVMFNMDFYRCRSHGSFIITALKTVDGLSDQIVVYLLGSGPWCCLAYLSGYGIPDRERRFTEVANVTKFMAVGILNGTRRLEFTKPLWSLWAILLLRSLYKVFSGQKAIRSLWHGRSSEFLPEYMRALPHDSEESSTDNGPPKYLIYGEYRQGIKLKRPGYTLHLETIDEKSLITLHKVTGCTGPLMSSDSRYKDMTIAFTLSRLLRCRLEDVTLHREKALTTQLLITSEITGGDLDSDAERSFRILELELAFVRDYFYTLYPMVFWQGLISLCSSLLLSMATFAVAFWLALGIRKVYQPPEETLVLWLHGWNVDAIITWVFLFFMMFKEAWEMVTLLLVCKYVQNSWPNALMEDLIKSIFKYEIADPWHGRIDQYDFLESYTYNPSFWKLANALSMGKVPKKTDGKKCGNAMKIPQCVKTAILNALLRLGLNNPQLPKEIPHLTQSGSPFDQFTRYSWACLELHTCSQVILVWHMATSLCEIKLAQDHDLYLVGKNSDLSDTLETNYHVAKSLSQYCAYLQVFQPGLLPDSFVVPEVIFEETVGHARARLKDCNSIRSRYDKLMEAMQDCADDRLGMNIIQQGATLGKDLIKYENEESRWKILAGVWLWNAADHKNNLEAGGEFITLVWALLWHCGIEKSSLWDKDEEPGRYARVPQENNSETSNIRPVEGQQISEINTQPTIQKGRNSHAMARARATRGKSDRALRSLLGLRPTSIPACRYAPFARSHARRLPSQAASVYSFPSRAACPPKGTRVRPLRGR* >Brasy5G456000.1.p pacid=40077122 transcript=Brasy5G456000.1 locus=Brasy5G456000 ID=Brasy5G456000.1.v1.1 annot-version=v1.1 MASPCSSSSSGRRLLLLAGADVVAALLLLCTAVACASDGSDLTAGFAKMELQEGGFVVQSPYDVPVDRRYRYDAATGVRTFVVYAADKPFNNVTATNPRTEARLPTYYYNSSSLLTSPTTTWQFEGHVYVPCGTSGTSVVQIHNENGGTPATAMMLHVYNGTMRWYSGAEVERDVYDRWVRVNVVHDVEASMVAVYIEGERKMAMAVVRSNSHYYKFGVYMQHHDVSPRMEARWRNVSIYTKPY* >Brasy5G447500.1.p pacid=40077123 transcript=Brasy5G447500.1 locus=Brasy5G447500 ID=Brasy5G447500.1.v1.1 annot-version=v1.1 MAAGKKLVSPMEVSVEAGNAEQASWLDDDGRPRRSGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAAVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGGRVVFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHANGHGVPCKSSSNPYMILFGLVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIAQTISNGGIKGSLTGISIGVGGITGMQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKNATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFFEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERWAAATWPDSALFASARAEFRVGPFALSVFRLVWRSAFVCLTTVLAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRPVPRGGTQWLCLKMLSVGCLMVSIAAAAGSIADVIEALKVYRPFSG* >Brasy5G142100.1.p pacid=40077124 transcript=Brasy5G142100.1 locus=Brasy5G142100 ID=Brasy5G142100.1.v1.1 annot-version=v1.1 MPARVARLVASFVWSLVSRGRNEEEPREKVVGRFEKLADGAGDFLRFVQSGSGGARRRWDDAIVRKPAVRGSKDVGYGLVQGGSQRCLRSGSRKGGVEIKQVVM* >Brasy5G228200.1.p pacid=40077125 transcript=Brasy5G228200.1 locus=Brasy5G228200 ID=Brasy5G228200.1.v1.1 annot-version=v1.1 MASTVEQRDHSSRRLGRARSRSPARDRVSPPRRPRSPSARRERSPAERRGSPRRRSPVKSSPLRRERSPPREKVKERVRSPKHARAPSRSPSPAVKGESRSLSPRAKRLRRAQAEREAAEATEGDRRKPSDREDRGMRKHRERDKGRDVSRDRKVDPKDDRSVLLDKRLDDNGGRDHSRDRRADRDDRSGAPREARSGRDDERHDSRGKRSDQYDRKGASREQRADPSDRRDSARERMADRDENNGGSGRSSRRGRSVSPEEHRHRGRHGSHPSPRASSRSAARTEDTNSRADTASRSGELDSLAIMNTAAEALEVKEKQKPSFELSGKLAEETNKVGGISLLYSEPPEARKSDIRWRLYVFKGGEALNEPLYVHRMSHYLFGRERRVADIPTDHPSCSKQHAVLQYRLVDKEQPDGMMAKKVRPYLMDLGSTNGTFINENRIESHRYYELFERDNIKFGNSSREYVLLHENSTG* >Brasy5G196200.1.p pacid=40077126 transcript=Brasy5G196200.1 locus=Brasy5G196200 ID=Brasy5G196200.1.v1.1 annot-version=v1.1 MADESFFDRMVSQLRSTSKYYTGYPNDLGPSRIIPFTSERQFVQLLHEGQPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVPVECPKYPGFCLTRQKTEYPFLEVFYNPEQSANPGKVVDPNIIKYSAKVLPFNYDRSVYGFREYFKKNGFKHAETN* >Brasy5G154800.1.p pacid=40077127 transcript=Brasy5G154800.1 locus=Brasy5G154800 ID=Brasy5G154800.1.v1.1 annot-version=v1.1 MSGDQTAREREITGAAVSHMTKMMMIEQVSDDMETLIKRISALLLHQRWRRLTAANYRVLQSFYFVRMELRHIAASLSQLLPVPDLGSGHVENFIWLRKLSDLVRYILNHLDKLNERASCFSRGAEFRLPHLDVMLSIEEKLCWINRQPCRYELLVLADDDDGDRNRVPPRAAPQLHSLHPDLLVGIEGIRKKLLRWFTVTGDQEDKMALRIASFVGPAGVGKTVLAMEIYRHLQQIGGDEHLYFECRAAANVSRRPSMKVLLKHIMCQIHRPAASTSVPISEITQSESEDGEDEQQLVHNINQCLQDRRYLIVIDDLWKETDWDIINSAFPRNGRGSRVIVTTRLRSVARYTCGSNGIMHEVKPLNQVHSERLLLRSVFGSENGSWPDNLMQCRGEILRRCQGIPFFISGMADWLRQEQEEVQRFCTYYSLEEVPQFPRLLKQFEQTLYPTYDDLPCGLKVLLLYMSMFPEGYMFDKDRLIRKWMAEGLTGSYEEAVARFSKLIDRNAISRATKICEHTPQPRVEEDCHWQLNYFMLQFLAYKLDDTGFACTSGMRAASPKAIGSKELRWLSVHQPDPELEMLLNRTRTSHLSRFHHTRSLAINGEVKVDKPIVFYKLMYLVVLDLEGWQCLKDEDILFICSSKMLLRLRYLNVKNTRVSRLPAEIKELCNLETLDISHTEVSELPPETYELPRLETLDLRRTAVKQLPEQIRKMQSMRHLLIGGDGARGCDHGTTVLPEGIGCLLNLRTLATVDLSVCSTRLVEALGGIETLTVLVITCSFHRCTDGRFQEALRCSFKKWGRLKSLTIYSGLGCRMEFLDSLSDDQHRSNELEKFKVTGGGRFLRIPRWFHGLDHLAFVEITVLGLVPEDLMSILGNLTSLEYLMLGLDFLLEEAIVIGRAGFRKLERLSIGCRVPWLTFSQGAMQRLRYLEMKIGGGPVSRPDNVLAGVNNLSSLLEVSVHYNARYLNSPVVETMVGAVRKAVADHLNPINLFVNGIQDQEEDTEVAQAQETAASTF* >Brasy5G299900.1.p pacid=40077128 transcript=Brasy5G299900.1 locus=Brasy5G299900 ID=Brasy5G299900.1.v1.1 annot-version=v1.1 MMSFLKGTSTQIVFKWPWRSKPQLSAHLLADVPPQIELSDYRRLPSSASETPSRLLHGEDIKADLIPDLDIFFERLYEYFCAKGLRCIIIKWIIEILNVTFVLCSIGFFFLFVDWGSLSHLKCGVEALESGEKPCDLMKVIKNDPLVPFTFMKMVTVGSMVILTVYGLINFLKFFVKLRSTLNVRDFYNNSLKVTDLEMQTISWPRVVEKVVLFQKSQRLCVVKDLSEHDIIMRIMRKENYLIGMVNKGIFAFPVSRWLPGAGPTVSSRMHGKKSYLMLPKTLEWTLNWCIFQSMFDSKFCVREDFLASPSLMKKRLIFMGITMLLLSPCLVIFPLVYLFLRHAEEFYNHPSTASSRRWSNLSRWILREFNEVEHFFKHRMNNSTVYSLNYFKQFPTPLVSIVAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLFWYTVVFGTIATVSRKVVADELQVIDPEGAMSFVVQQTHYMPKRWRGKESSELVRSEFETLFQYTITMLLEEMASILITPYLLIFVVPKRVDDILLFISNFTVYVDGVGDVCSLSLFDFRSHGNRSYGSPVDAVKSRRSSQGKMEKSLLSFQSTYISWEPNTDGKKFLCNLQKFKEMQIREHTFQAVEASQDGLSTRGQSAQIFHRLLPRNIQPSNGVIYDSPLGLLDTDRRACPYILDWYYTHHLPHSDTEDNTTRHPDESSPDPGEDLWPPQSKPLTEIEEDDPWDSNLYERARSYLEASTSSALFQCAAFKRQGKEQNYTSRQWWAQSFARSVDPLNSFLEPPGGSFLEPENFRNHYDSGHYSSHHSDRPLTSSRPTSPQGSFLEPPNFRNYYTAGHHSSHHSEDLLSGTTSVELDRSNTRSNSSWRSPQALLKTMLKTRYMDDDFDDEAEDQDNGGLSAANIHEPTSASLTVRIIPRSSDPV* >Brasy5G442200.1.p pacid=40077129 transcript=Brasy5G442200.1 locus=Brasy5G442200 ID=Brasy5G442200.1.v1.1 annot-version=v1.1 MPCGAWGRGGDRQTARPILNPPTPRASPPTRSLSGRITAAERPRLRRCQRCQRRQPQPTPRSPATPSSSPPAASAAWAPRRLRRGVGPREDRSFSRRRSSSQPPLYTPAAAQLRKVNVMLCSPQARRLLRGMGFEKGDGYFFKQMGKGMF* >Brasy5G052000.1.p pacid=40077130 transcript=Brasy5G052000.1 locus=Brasy5G052000 ID=Brasy5G052000.1.v1.1 annot-version=v1.1 MDKGMGMLGDLDNLPDEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD* >Brasy5G333100.1.p pacid=40077131 transcript=Brasy5G333100.1 locus=Brasy5G333100 ID=Brasy5G333100.1.v1.1 annot-version=v1.1 MEPGTSSRSAAAVDGPNDGRRFPDPPPPHGGDANPTQVDMAALAFRREMLVLELHKERIRQDMILCELAKTEHAMTTCLATSHQSTPMPPWPPKDLPLTPAAWWDAWRGRPLMPTWEEALYRTPPSSEQNSWWCRRPSGPVMPPVYPHVERSPVLQPWPRPVDDAEQQKHSISSGPLALAPSVCPDVKRCLSLSKEPKVEEALVTATTNAVAKSTEPALFSEEVTPGSRTTVGEEHIAGLKDSHAMQLMETDIRRSEQTKHGAVSHESELETKDSNAMQLTENEIHKRENPKPATVGQECEAMDSHAMQLMESEIQRSKQPQHAALGQEREAETTCSHAVQLMEGEISEQPKSEAFGQERKAEEKDSHAVQLMEESGIQSSEQPKPAEPTIRDRIDERILSPRQYALAGKEKSPTNEQKRLVFNEHKPENCGVKRRSLSPIPSQLKRLRPLSKANMTDEDWAQHRSNFTWFRSEQKAAELVGKSTPESSRDAYRNGSNVIEKSESQKAKFPTDFDLRKHLTDRRHQANIEDGSNEGGKRATDSTDEDRSKRRSHFCGICKVKCTSEKNLDSHLGGKRHRANLQGRQ* >Brasy5G522100.1.p pacid=40077132 transcript=Brasy5G522100.1 locus=Brasy5G522100 ID=Brasy5G522100.1.v1.1 annot-version=v1.1 MEESTNDDVLPKGKEDENVGNKMTKAIRPDPTRPSAELPLTQMSLNDDGLGVKLDFAAHSRAISFNRHKGCLMLVHLERNESPPPIHAASRGLGGQDLQVLDWEVTTWSNSKMSHSLEDWHEERCVRASNITIDARMLEVSGLPCRPPPRDHSNDEAAAVAQQQLEFHNLSMCQPALCPNGEDDVVYLLAREKYLHPKAWCLAVDMKNQGTLKHVAYIGNQGHPFCHRIFCLSRISKYTNPKMRLIATEPVKYRRIRASVDKQESNYQAGAVE* >Brasy5G401900.1.p pacid=40077133 transcript=Brasy5G401900.1 locus=Brasy5G401900 ID=Brasy5G401900.1.v1.1 annot-version=v1.1 METTSRKHLCEVHRASSVRRERLVLALAAALAVAAGVVALALFLAYRPTKPQATVARVAVYRLDISSNPPSSSCAVSANLQFMLLLRNPSGRAALLYDGLVAYASYRGEPVTLPSRLPPVIQEHGGGVAGDAVALTPLLGGAGAAPMPVTADAARALGTDRAAGRVPLRLVLAGRVRYKTGPFVTGRDLYVRCDVVVGLAPGFAVGGGGGGEVPLLEYPRCAVDG* >Brasy5G287200.1.p pacid=40077134 transcript=Brasy5G287200.1 locus=Brasy5G287200 ID=Brasy5G287200.1.v1.1 annot-version=v1.1 MQAWKEKVADRLARLLADSPPSPASAAVEPQETPFPAEHSTSANKGSLSSYVMSLLPTSNLGQEDSSPCSGSMRPLPPESLPKRWRGNDFSWKDRPLELSEESGSESDRDERTGSSNNNQILQSLRSGDNQNGNEETSTSDCLGTVYYLTEKSTFVSPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGISLRTLLRRTENLQGPCLLIVGDMQGAIFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLKDTLAFGGGGNFALCLDEDLIHGTSGSCETFGNSCLAHGPEFELKNVELWGFSHSRSR* >Brasy5G182600.1.p pacid=40077135 transcript=Brasy5G182600.1 locus=Brasy5G182600 ID=Brasy5G182600.1.v1.1 annot-version=v1.1 MLLPRLAGALAVLGVLGAAAYFICTSPPYVDPEYSLAVAVADGLDGADLRRPAVSPVLDLAFSVWKPEDQTWHVCVGGEHRRLAVSYAGAVLAGQSGPLSPEVCLGQAGGRSWLNVTAWGVDVALPRFLRERLAAELERGEAALDVKMIPDAPLDWLQGREPVLACKAKIGQGLFPCKFVD* >Brasy5G267100.1.p pacid=40077136 transcript=Brasy5G267100.1 locus=Brasy5G267100 ID=Brasy5G267100.1.v1.1 annot-version=v1.1 MEVLYSDEALAAVAPIAVYWAYSGVHMAIDHGRLMERYRLNTKEDEDSKNMVSKRDVLMNVLFQHFLQLLSVALLTTFAGGSSKAAAAATTSSPSYLAAACRVAVAVVVFDGYRYAWHRLAHRSRFIYRHMHSWHHRIVVPYAYGAIYGHPLEALMADTVGVTLALFVSGLSPRATALFLSLCNIKGIDNHCGVCLMPRFLQSLWNGAAYHGVHHMPGGVRHNFSDLFFVMWDKVFGTHMPYAVEERPGGEGLKLRLLRPKPVPKARHEPSVKSIATASS* >Brasy5G232300.1.p pacid=40077137 transcript=Brasy5G232300.1 locus=Brasy5G232300 ID=Brasy5G232300.1.v1.1 annot-version=v1.1 MESRGKILMERYELGRLLGQGTFGKVHYARSLESNQSVAIKMLEKEKMLSAGLSEQIRREVTTMRLVAHKNVVQLHEVMATRNKIYFVMEYMKGGELFDKVEKGGKLTEVAAHKYFHQLIAAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALSESKRLDGLLHTTCGTPAYVAPEVISKRGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMDMYRKIEQGQFKCPSWLSQKLQKLLYKILDPNPSTRASIQKIKESSWFRKGPVGTLTVKERTPSENATANAAPTAGVRRSRKKADGDVMPLAVTNLNAFEIISFSTGFDLSGLFIEEGCRKEARFTSDKPAAAIISKLECVAKTLSLRVRKKDNGVVKMQARKEGRNGVVQFNAEIFEITASYHLIEMKQTSGDLLEYLGLLEDIRPALKDIVRAWHGDDKQQQQQQE* >Brasy5G240500.1.p pacid=40077138 transcript=Brasy5G240500.1 locus=Brasy5G240500 ID=Brasy5G240500.1.v1.1 annot-version=v1.1 MAMNPLSQEHHPNAWPWGVAMYTNLHYQQYHYEKEHLFEKALTPSDVGKLNRLVIPKQHAERCFPLGGDSGEKGLLLSFDDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERVRGLGTGDRLFIGCRRRGDVGAPTPPPAVRVVPASGQNHHPREQQQQQPWSPMCYSTSGSYPTSPANSQAYRRSVDHDHSDMHHAGESQWDADGRTCSPASAPTRRLRLFGVNLDCGPVPEADTSTATEAMYGYMHQNPYAAVSPVPSNWGSS* >Brasy5G240500.2.p pacid=40077139 transcript=Brasy5G240500.2 locus=Brasy5G240500 ID=Brasy5G240500.2.v1.1 annot-version=v1.1 MAMNPLSQEHHPNAWPWGVAMYTNLHYQQYHYEKEHLFEKALTPSDVGKLNRLVIPKQHAERCFPLGGDSGEKGLLLSFDDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERVRGLGTGDRLFIGCRRRGDVGAPTPPPAVRVVPASGQNHHPREQQQQQPWSPMCYSTSGSYPTSPANSQAYRRSVDHDHSDMHHAGESQWDADGRTCSPASAPTRRLRLFGVNLDCGPVPEADTSTATEAMYGYMHQNPYAAVSPVPSNCS* >Brasy5G174400.1.p pacid=40077140 transcript=Brasy5G174400.1 locus=Brasy5G174400 ID=Brasy5G174400.1.v1.1 annot-version=v1.1 MAASALKMAAVCALLVTVLSTAGQPAMADGFLGLVVPTCSTSRYVCKQNCRDVCKDFAEKVLCEKLICGVQGTALQVLGQTCVDVFTPGCEKSCVQLCLAIPT* >Brasy5G146300.1.p pacid=40077141 transcript=Brasy5G146300.1 locus=Brasy5G146300 ID=Brasy5G146300.1.v1.1 annot-version=v1.1 MAQPQQQQLLRTVLCPQALLTLFLSFLCFLLLRSRRNYHKQRQLDQAPRRLPPSPGNRLPIIGHLHLVSPGAPHVSLAELSRKHAGPDGLLLLDLGQARNLVVSSPRAAEAVLRAHDHAMASRPPSAAAGVLFSGSSSDVAFAPYGEYWRQARRLLTTHLLSAAKVRALRGARDEEVGLALAKVRRAAARARSHQAVVDVSGLLGAYANDVVCRAVSGKFFREEGRNELFRELIAGHVAVLAGFGLEDFFPGLGKARLLRWLVLARTIGLKRRWDELLDKIIDDHAARSSSSSSSSSSQSQRRHNNEQEQRQEDREKDFVDVLLSLQHEYSLTRDNVKAILIDMFVGGTDTSHIVMEFAMAELMRKPGVMTKLRAEVRSKTPEGQEMVKEEDLSGMAYLKAVVKETLRLHPPVPLLLPRISMTSCDDVNGYDVPAGTRVLVNAWALGRDARSWGEDAEEFSPERFMVDGGGADYKGRDFQFLPFGAGRRICPGVSFGVVSVEMMLANLVYCFDWELPRGMREEDVDMADVFGLTMPRKEKLLLVPTIPRMRSCANSTACAHDAC* >Brasy5G101800.1.p pacid=40077142 transcript=Brasy5G101800.1 locus=Brasy5G101800 ID=Brasy5G101800.1.v1.1 annot-version=v1.1 MGSTINDNKGGGGGTGGQNGGGHMGPSVEPPPAVVVQGDGGEGSGGGDVLILCECRRCVRICMVTKKEFPKCIYCNQPSLFCPPYANIEIQKKRDCDQSSSNK* >Brasy5G278500.1.p pacid=40077143 transcript=Brasy5G278500.1 locus=Brasy5G278500 ID=Brasy5G278500.1.v1.1 annot-version=v1.1 MDVEGKMNLEIGSKDMEVESKNLRMEEPLASSDIDMDEPGVAESGVVDANNGSSKESTLPTKDSKSSKGCVTKKPKSVSTDFGDELDLEFGIGERECGRQQDRKLSRHDRVELSRSFQHAVSSHDWESADGLVGKADAQGLNDVLCVAVDAIWFLSDKEELHAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRESKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSSTFDQGWASGISASAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKLETMGCLVEEGNSVAFLGPLMRAAERGCLEVVEWFVNRGCRDMELCLALTAATSSSQVAVAAYLLPLVPQLVLAPLSIEILKAAGERSTGSLQGVDFLLRSNFLNDPAATYAVADSIARSADEAVDAKLRSFMLEQWSEAAFSEGFASAQEHFVNITRIMQRGESPVLLRKLPLQLVIAMAYLPLYRECVESGGRLLPQRLRGQLVEAAGRLEGRQLDRGSQGRELLAILEHHLPRFLVQT* >Brasy5G500300.1.p pacid=40077144 transcript=Brasy5G500300.1 locus=Brasy5G500300 ID=Brasy5G500300.1.v1.1 annot-version=v1.1 MRALLLRPPPPPPAAGNLLSVASGRPPPQLLLPARRMAAGPPPPSAAAADFPAAADVSGPVPDDGGARRRRRSVAGVDQDELLDPGALADPDSSFCDISGVSFHHKVCSAARHEDSGSGAGVPVVLLHGFGASLFSWSRVMRPLARAAGAKVLAFDRPAFGLTSRAAWSGSGPGGGDDDTTPLNPYSMAFSAMATLAFVDLLGAGKAVLVGHSAGCLVAVDACLEAPDRVAALVLVAPAIFVPGSGTKGASGEGGQEKDSDDDSNGLPLNPFARIWRGFLGMCMWIAGLVLKVVMAVQDVVRALAVQSLVALLRSPLGAIMVRLVMDKFGVMAVRNAWYDASKVTDHVIQGYTKPLRSRGWERALLEHAISMITDSTPKSRLPVSKRLSEISCPVLVVTGDTDRLVPAWNAERVARAIPGAAFEVIKNCGHLPHEEKPEEFISVVEGFLRRAFGTPDEQVLQAAV* >Brasy5G500300.2.p pacid=40077145 transcript=Brasy5G500300.2 locus=Brasy5G500300 ID=Brasy5G500300.2.v1.1 annot-version=v1.1 MRALLLRPPPPPPAAGNLLSVASGRPPPQLLLPARRMAAGPPPPSAAAADFPAAADVSGPVPDDGGARRRRRSVAGVDQDELLDPGALADPDSSFCDISGVSFHHKVCSAARHEDSGSGAGVPVVLLHGFGASLFSWSRVMRPLARAAGAKVLAFDRPAFGLTSRAAWSGSGPGGGDDDTTPLNPYSMAFSAMATLAFVDLLGAGKAVLVGHSAGCLVAVDACLEAPDRVAALVLVAPAIFVPGSGTKGASGEGGQEKDSDDDSNGLPLNPFARIWRGFLGMCMWIAGLVLKVVMAVQDVVRALAVQSLVALLRSPLGAIMVRLVMDKFGVMAVRNAWYDASKVTDHVIQGYTKPLRSRGWERALLEHAISMITDSTPKSRLPVSKRLSEISCPGSTICLFCASGDR* >Brasy5G265700.1.p pacid=40077146 transcript=Brasy5G265700.1 locus=Brasy5G265700 ID=Brasy5G265700.1.v1.1 annot-version=v1.1 MVMVPPSSLPYVLTLSARPSRTRPDRPNPTIRLLHEVPIQLLPSSPASLALHATAAAALPRRAALFLPSRGRCLSFPSAHRRRPHCSPPQSVCRTSSSTPAPIRLHRRHLFAPYLPISRASASIFPCPSRPPRAHASEGEAATALGAALLRRAVQCRPLSLGGRARSLPARAARPPLREPARAGSARPARSPLRIKSVFPPRRFRRLGPCAPSIAASRSEPPPLRVLDSGGQGAWRLWWRPRKVKR* >Brasy5G319800.1.p pacid=40077147 transcript=Brasy5G319800.1 locus=Brasy5G319800 ID=Brasy5G319800.1.v1.1 annot-version=v1.1 MDIPVDALVEILLLLPTSSRRRCRLVSRLWRDVVDTRTAEMQSRAKPLVVTMGSAYVVDDLSTRSHRLLWTDVPEHHYEKATSMVGTCNGLICVCDNLMPGGAITVVNPVTDETLAVPPPGELVRLAWSFPGVGIPPWHEAYSFAYHPATGRYKVVHVPCDHGDAWTKFDTLQVFTLGEASWLSVAVAPDEMSTCLEAGVVSVDGTTYWATRGARKVIMAVDLDGNRVAPVNSPPNVDMLYARPPGSWNLVEVRGRLGVAVCHSSSISEWSKTQVWVLEGAATGKHRWSCWYNVLIHSPHHSDFSKMRTRHLTRPHFVHGEGILTQVLLGDSNGLRTYGVSKHRTSKHTNDARCCMVEISKRNQGMVVGAMTIRFSWDRRIFSYVETTEPLSIYMPPV* >Brasy5G510000.1.p pacid=40077148 transcript=Brasy5G510000.1 locus=Brasy5G510000 ID=Brasy5G510000.1.v1.1 annot-version=v1.1 MLLRQSSDGASSMAALLLALQLATLLLLAPSPASAAHRRHPAGDGVIISEADYQGLQAIKHSLTDPLNVLQSWNATGLNGACSGLWAGIKCVNGSVVAISLPWRSLSGTLSARGLGQLVALRRLSLHDNAIAGQIPTSLGFLPDLRGLYLFHNRFSGAVPAELGRCLLLQSFDASSNRLTGGVPSSIANSTKLIRLNLSRNALSGEIPASVAASSSLLFLDLSWNKLSGAIPDAFADSSSSSSDRKELAIAGSGSHQLVFLDLSHNALSGPLPESLAGLPKLQTLDLSGNKLNGSVPPSFGNLTGGLQAFNVSYNDLAGAVPASLAQKFGPPAFAGNALLCGYSASSPPCPASPSPAPAQEQQTGHGRKFSPRELALIIAGIVIGVLILLSLCCLLLCLLSRKKKSSPSSARARSGGKQQQASSAASKDAAGRGEKPGSEAAESGGEVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFEAEAAALGKLRHRNLLSLRAYYLGPKGEKLLVFDFIPQGSLSAFLHARAPNTAVNWAARMGIAKGTARGLAYLHDEASIVHGNLTASNVLLDGDNGGELEPKIADVGLSRLMTAAANSSVLAAAGALGYRAPELSKLKKASAKTDVYSLGVILLELLTGKSPADTTNGMDLPQWVGSIVKEEWTSEVFDLELMRDAAAGGGQEGDELMDTLKLALQCVEASPAARPEAREVLRQLEEIRPGPPPEAAAAGRGEEGGHGDVASASNE* >Brasy5G370300.1.p pacid=40077149 transcript=Brasy5G370300.1 locus=Brasy5G370300 ID=Brasy5G370300.1.v1.1 annot-version=v1.1 MKNLYSQLLLICVAAAALHLHAANASQEARLKAFISSRRTSDSGSSDTFRARDIADRFAASLSAESSVSDQSSMKAADKITALPGQPKGVDFDQYSGYVTVDEENGRALFYYLVESPSGASEKPLVLWLNGGPGCSSLGYGAMQELGPFRVSQDNKTLLRNMNAWNNVANVIFLESPAGVGFSYSNTSSDYDLSGDERTADDAFVFLVNWLKRFPEYQDRAFYISGESYAGHYVPELAATILFHNTYHNKTIVNLQGILVGNPYLDSNRNVMGRLDFWRTHAVMSEEVYANVTKNCDFDGLGDSFAEPACSSALDLFDIGQIDAYNIYAPVCIEASNGTYYPSGYLPGYDPCSDYPTHAYLNDPAVQYALHARTTKWEGCGNLPWKDGPMSMLPTLKFLIESKLPVWIFSGDFDSVCPLPATRLTVQDLDLAVTTPWCPWTAKEEVGGYVQQYEGGFTFLSVRGAGHLVPSFQPERALVMLSAFLKGMLPPYIP* >Brasy5G055000.1.p pacid=40077150 transcript=Brasy5G055000.1 locus=Brasy5G055000 ID=Brasy5G055000.1.v1.1 annot-version=v1.1 MKSRHGFFFFFIIIFFFTILAQATASENKKKRTMVRHLPGFHGPLPFSLETGYVEVNDSRLFYYFIESERKPGEDPVVLWLTGGPGCSAFSGLVYEIGPLSFESPYSYMDSGRLPKLVYRPDSWTKVASVIFLDSPVGAGFSYSVTDDGYKSSDTKAVNQIAIFLTKWYDQHREFLSKPLYIAGDSYSGLIIPPLTFQIAKGIEMGDQPALNLKGYMIGNPLTDRKFDVKSKVPYAHGMGLIPDEQYEIYKESCGLDTGIMTRSVQCADCHDAIDKCLKDINVHHILEPKCSSAAYNGHSDSSSSSRMMMLELDNSSTAELNYLSQTSPGCRDEGYVMSSIWANKEEVREALGVHKGSVPLWLRCNHGTPYTTDILSSVEYHRSLLTGGGGYRSLVYSGDHDLVVPFIGTQAWIRSLGFAVVDQWRPWYADVQVAGFTRMYSNNLTFATVKGGGHTAPEYKPKECLAMVARWLSGRPL* >Brasy5G219100.1.p pacid=40077151 transcript=Brasy5G219100.1 locus=Brasy5G219100 ID=Brasy5G219100.1.v1.1 annot-version=v1.1 MASEDTPVFRGFLPTKPVFSESVLRFFRQYDLSVIHRIWSTLDHLPTKERFEALKKELEPYNKTRVPLGAPRLDNEEETDDEEEDEGDDFELEDAKKPAAAIDEQLHGSHEEGETQRNKPADGYQGEESSG* >Brasy5G102100.1.p pacid=40077152 transcript=Brasy5G102100.1 locus=Brasy5G102100 ID=Brasy5G102100.1.v1.1 annot-version=v1.1 MDKEKNVTLQDILDIASLSGIIKDPPIEKTTMADAIFSAVVGDMVGRVISLLAGHFKGQRCSEAKLRRISHMLIKVHSAIEEAKGRQITNHGTLEWLTELNDGVYQGRYLLDTVGCGRQRELEEEEDELAADHNKVVAKHFSLSLFNPAKRVRVAACTVKGLLLSRQDVEVDKNMDRVVEILEMISGDIKEFIMLLQNCQPMHRPLTTNIFVEGQMFGRHVEKERIINFLLQDDTRSNNGNLGVLPIVGDMGVGKTTLAQHVCDDPRVRNHFPVIIYSINFSCILAMVRGEAGVVLRSQHTVGDAQNLIESLHVLRENYRSKRFLIVFEDVDMCRKQTLEELLPILRQGKQGSKIIVTTNNRRVATSMGTVDPINLKVMPHPEYWFFFKAYAFPGRDVEEDPRMVAAGEAIAKKLGGSFFGAKMVGEMLKAHPDRRFWCKVLRSNIGGLSLLGDGIGYIADLAENLLPSHAEMCEVTVSKNPFSAHTELARLEDICQEPPSASSASDAIIGGYVRFARVLLCRSVLPFHCMYYTAHCSVREAASSKEVIRDGVGARKPRHQGCFAPLLSSSVRVLRIASGDRRRSRRTQCNTGLFYPGSGHPGV* >Brasy5G318400.1.p pacid=40077153 transcript=Brasy5G318400.1 locus=Brasy5G318400 ID=Brasy5G318400.1.v1.1 annot-version=v1.1 MTLIHACLMIYLVRLNHRVHHRSCKKNHDGAQTETENMIIKHPQFPVPRFHFLSGQLICNEVQIQ* >Brasy5G170800.1.p pacid=40077154 transcript=Brasy5G170800.1 locus=Brasy5G170800 ID=Brasy5G170800.1.v1.1 annot-version=v1.1 MAMASTSSCSSRPPLLHPASRTPNPARLPPLASRAPSSPRPLLLSFAPPARGRFRAAAVQQSDFEDGEEGEDGEMEEEYYSEEEEEEEEGEMDVEAMDEEARAAAADLAARLDRELRVDGDVREKRRTMRDKTSTSKHIPDNNLPKVAVIGRPNVGKSALFNRLVGSNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLVAADIEIADWLRRNFSHKCIILAVNKCESPKKGQMQALEFWSLGFTPVPISAITGTGTGELLDMVCSELKNFEALQGLEGLDDVEEDENRIPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFATEDGQKFKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVVEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYEQDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVAFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRLLWRSRKRADKQQRKASTEARGALVGASS* >Brasy5G170800.3.p pacid=40077155 transcript=Brasy5G170800.3 locus=Brasy5G170800 ID=Brasy5G170800.3.v1.1 annot-version=v1.1 MAMASTSSCSSRPPLLHPASRTPNPARLPPLASRAPSSPRPLLLSFAPPARGRFRAAAVQQSDFEDGEEGEDGEMEEEYYSEEEEEEEEGEMDVEAMDEEARAAAADLAARLDRELRVDGDVREKRRTMRDKTSTSKHIPDNNLPKVAVIGRPNVGKSALFNRLVGSNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLVAADIEIADWLRRNFSHKCIILAVNKCESPKKGQMQALEFWSLGFTPVPISAITGTGTGELLDMVCSELKNFEALQGLEGLDDVEEDENRIPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFATEDGQKFKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVVEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYEQDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVAFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRLLWRSRKRADKQQRKASTEARGALVGASS* >Brasy5G170800.2.p pacid=40077156 transcript=Brasy5G170800.2 locus=Brasy5G170800 ID=Brasy5G170800.2.v1.1 annot-version=v1.1 MAMASTSSCSSRPPLLHPASRTPNPARLPPLASRAPSSPRPLLLSFAPPARGRFRAAAVQQSDFEDGEEGEDGEMEEEYYSEEEEEEEEGEMDVEAMDEEARAAAADLAARLDRELRVDGDVREKRRTMRDKTSTSKHIPDNNLPKVAVIGRPNVGKSALFNRLVGSNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLVAADIEIADWLRRNFSHKCIILAVNKCESPKKGQMQALEFWSLGFTPVPISAITGTGTGELLDMVCSELKNFEGLEGLDDVEEDENRIPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFATEDGQKFKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVVEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYEQDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVAFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRLLWRSRKRADKQQRKASTEARGALVGASS* >Brasy5G170800.4.p pacid=40077157 transcript=Brasy5G170800.4 locus=Brasy5G170800 ID=Brasy5G170800.4.v1.1 annot-version=v1.1 MAMASTSSCSSRPPLLHPASRTPNPARLPPLASRAPSSPRPLLLSFAPPARGRFRAAAVQQSDFEDGEEGEDGEMEEEYYSEEEEEEEEGEMDVEAMDEEARAAAADLAARLDRELRVDGDVREKRRTMRDKTSTSKHIPDNNLPKVAVIGRPNVGKSALFNRLVGSNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLVAADIEIADWLRRNFSHKCIILAVNKCESPKKGQMQALEFWSLGFTPVPISAITGTGTGELLDMVCSELKNFEGLEGLDDVEEDENRIPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFATEDGQKFKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVVEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYEQDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVAFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRLLWRSRKRADKQQRKASTEARGALVGASS* >Brasy5G458100.1.p pacid=40077158 transcript=Brasy5G458100.1 locus=Brasy5G458100 ID=Brasy5G458100.1.v1.1 annot-version=v1.1 MTAKGLHGVFRFPGNKLRSTTPVSRIYGSRRKPPGPNHEQTTRGEKNTGSTAKFSATNQGKGRRGLVRRRLPWDLGGTCPPEDLPPRRAESGGRGGDGWVAAVVAEEELRQRQESQKLHVYATACIGGDGRGTSVARAPIPVRESFCRPPAPRHHRRPSPPRRLPPPPLSLLTLRRRPPSPNLKRCRPPQPPAAPDALPQPLAAPVVVHPQVCDGAAGLLRRIRPLAHHIPAESGHPRPLSLPVESGYRRATARCRCPQVNVRVIFFLDAGVLLGSLFLIVVCFSSMALDLGIERGGVRHSSSGAGHGSRVATARHHTTAVARRDIAAAAASACAEANQQARRQAASGKQQRINLWR* >Brasy5G160000.1.p pacid=40077159 transcript=Brasy5G160000.1 locus=Brasy5G160000 ID=Brasy5G160000.1.v1.1 annot-version=v1.1 MVGVAKATDGAPAPGKKQKQVVLYPSPGMGHLVSMIELGKVFAAPRRGLAVTIVVATLPNDTTAGAATDATGPFLAGVTAANPSITFHRLPQVELVRPVKSAHHEAVTFEVARLSNPHLRSFLLASGAAASPSQSVLIVDFFCSVALDVATELRIPAYFFYTSGAADLAFFLHLPVLHSQTAKSFRELGEELLHVPGIPSFPATHSIKPLMDRDDEAYTAFLRVPADLCRSHGIIANTFRSLEPRALDAIEAGLCTPPGLPTPPVHCIGPLIKSEEVKQSGGESCLAWLDAQPESSVVFLCFGSLGLFSAEQIKEIAFGLEASGQRFLWVVRSPPESGKKDPDLDALLPEGFLARTRGTGLVVKSWAPQRDVLLHGAVGGFVTHCGWNSVLEAVVAGVPMLAWPLYAEQRMNRVFLEEELRLALAVEGYGEVVKAEEVALKVGWLKGNGSEGRAVREKMAAAMRRARAALEEGGESEVELRRLVDGWMLA* >Brasy5G292400.1.p pacid=40077160 transcript=Brasy5G292400.1 locus=Brasy5G292400 ID=Brasy5G292400.1.v1.1 annot-version=v1.1 MHAIAIPQDMEFPEDAGSFEKATGVYISQGRLNYLVLHIGKDGPSLSTSILYEDGQWSQSLTISLMDAWAHDNYINSKLPCNLPSLLLVNPMDERIVYCLHTGQIFFINLATGEVGGFKQCNIISSPIEHCSARHFYVWNSTEREMPLENLEERNEAAGAQTLSAADIYNIEAATTAPELTDRGGSPEPVMPELIDDAEEHVRALGTNNVIFAITDDQNAHSIANNLTAAITDDHNAHSGANTSAQSGVPGKLRVPITFNGQTECRGSNLHSYLTLQHLRESADQSSNWLGSSLAACLLMNGEAFSVKSSELRDKSVQQTGGIFVLSDEEYRKVSVTRELYTPSAKELTERFVCSTEVQGTKITKYDYGGKLWARRRFYIENDTSYHVLQWLPRKTTSQLIDDTVQHGGAPGQPNQMVAVADDQNAHSGASTRVQSGAQGKLRVPSTFNGHTGSQGSNLHQCLTLQHLKDSVNQSSNWLSSQLAAGLLMNGQSLSVASSMMHDSDIMETGGIIVLSDEEYRKVSITRELYTSSIKELKECYVSSQEFHGIKITRHDYGGNIWARRRFCLENDTRYHVLQWLPRKIDSKILPASNKGTADEIDECSIMNCVSRTLKMELTPGEKALAADVFRCKDSREIFMSLVDQEVQLIWLKIQIGKMRSS* >Brasy5G409300.1.p pacid=40077161 transcript=Brasy5G409300.1 locus=Brasy5G409300 ID=Brasy5G409300.1.v1.1 annot-version=v1.1 MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFLMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTAV* >Brasy5G257800.1.p pacid=40077162 transcript=Brasy5G257800.1 locus=Brasy5G257800 ID=Brasy5G257800.1.v1.1 annot-version=v1.1 MPRSAADLVLLFLTTGTAVACCFFLVADAVHPQPAGPRCLPRERDALLEFKRGTNGTNGYGALTSWRKRDRHCCRWRGVTCSNITGHVIELDLGRTYLEGQISPSLLSLEHLEYLDLSGNYLLGPKGHVPAFLGSLKNLRHLDLSYIELSGTVPTQLANLSKLEYLDLSKTSLLGPNGRGPEFLSSLQNLRHLDLSHTSFSGRLSPQLANLSKLEYLDLSQTNLSGALPPQLGNLSNLRHLDLSFTQNMHASDISWLTNLPLLEYVDMGNINLSNIDVLPVVNTIPSLKTLNLFNCSLPNAIQSLTHLNLTKLEDLDLSSNYFGHPIETSWFWNLTSLKYLKLAETYLYGPFPNALGGMTSLKYLVFEDNGNAATMTVDLKNLCELENLLLGRSLAFANITEIVKNLPQCSSEKLQFLSLNDNNITGMLPDKMGHLRNLIILDLSNNSITGAIPAELGNFTSLYKLRLSLNRLTGQIPTLSRSLAELEIFMNCLSGPLPFDFGAPNLQVLSLSSNYITGQVSSNICQLQDLLFLDLSNNRFVGEFPLCSPMPNMEILRLSGNNFSGNFPSSLQNSSQLTFIDLAMNKFNGTLPVWIGDLVNLQFLLLSNNMFYGDIPVNITNLTLLQHFSLASNNISGSIPWSLSKLTAMTLEHPTRPDSYWYGRYAYHPYLDILSVVMKQQNLKYKAAAVTEMVGIDLSLNHLTGEIPDEITSLNGLLNLNLSWNHLSGKIPVKIGDMKSLESLDFSWNNLSGEIPLSLPQLTYLSSLELSYNNLTGRIPLGRQLDTLYIENPSMYDGNIGLCGPPLERNCSGDNAPMHDNEKRSAKVYEPVMFFCFGLGSGFVAGLWIVFCALLFKKAWRVTYFRLFDELYDNMYVFVSVTWGRISIKATRKYDLREIK* >Brasy5G406900.1.p pacid=40077163 transcript=Brasy5G406900.1 locus=Brasy5G406900 ID=Brasy5G406900.1.v1.1 annot-version=v1.1 MTREVVDVMSRRSKARTVSSSGCQATVGNVSPWALSPQLLSMKASPHRNNEYQIGMGTIGFKRVSREGVRFAAISESHFSLTVTNQWKL* >Brasy5G318700.1.p pacid=40077164 transcript=Brasy5G318700.1 locus=Brasy5G318700 ID=Brasy5G318700.1.v1.1 annot-version=v1.1 MALVVDLHRSSKEVWKKNISWPPMILLLEHKGKGTDLKLPGSKMPWRPHSLLLDQEEDEINRGEEEGWSFGEPYLGDARTRSDLCLPRSNSRRLCLGFLGGVCRFNHWKENRCPGHRHVWGERTG* >Brasy5G096600.1.p pacid=40077165 transcript=Brasy5G096600.1 locus=Brasy5G096600 ID=Brasy5G096600.1.v1.1 annot-version=v1.1 MVTFLRPHPQAHGPAAVDVRLRIRSKLQSSSSSLVPCGCRSLSLSLSPSMAQDPSQTKDQDTADTNPQQPQEIIAQQEPAASTSSSSSSGGDTAGSWLQLGVGGQSGPGSPSSSSARRPSKRPRTDYEAAGPSRPAGPAPPPLLPELGLSLFPGSSASASAAPVVAAAPPPAHEAGTWFVLQAAQNQRTEPPPLPQIPRSYLRVRDGRMTVRLVMSYLVNKLGLEDDSQLEITCRGQRLLPAMTLQHVRDTIWRPQPAEAAAVLPAPGSSSTNQVMTLHYGRS* >Brasy5G096600.2.p pacid=40077166 transcript=Brasy5G096600.2 locus=Brasy5G096600 ID=Brasy5G096600.2.v1.1 annot-version=v1.1 MVTFLRPHPQAHGPAAVDVRLRIRSKLQSSSSSLVPCGCRSLSLSLSPSMAQDPSQTKDQDTADTNPQQPQEIIAQQEPAASTSSSSSSGGDTAGSWLQLGVGGQSGPGSPSSSSARRPSKRPRTDYEAAGPSRPAGPAPPPLLPELGLSLFPGSSASASAAPVVAAAPPPAHEAGTWFVLQAAQNQRTEPPPLPQIPRSYLRVRDGRMTVRLVMSYLVNKLGLEDDSQVEGGGERYGRTD* >Brasy5G169300.1.p pacid=40077167 transcript=Brasy5G169300.1 locus=Brasy5G169300 ID=Brasy5G169300.1.v1.1 annot-version=v1.1 MAEPSLRAAPLASVYARRRPPPYLSAHAAANRAPCQIRQPTHLRPIKTNLTLASLSLLPAAATTSSLSLLPRLPLNPSISSPRAPLLPQSPLLADFGRARRVASAPSSARARPCRRPPSSARARPCRRPPSSARARPCRRPPSSARARPAAVRASPWRRLRVKRGNHLFPPPSYPLLSLVFFAGVAGCRSEVTPAFNVAGSGVPQPRHHRIRRPQPRPPPDPAFPGLDITGSGDLNPGRHLDRADPAFPASTKDSPLPWSAALSSVTHAAMKGDAAGSAMESVPRFFSCFFL* >Brasy5G310000.1.p pacid=40077168 transcript=Brasy5G310000.1 locus=Brasy5G310000 ID=Brasy5G310000.1.v1.1 annot-version=v1.1 MEIAALGEKPFSMLRRKRALPTSCGAVDVKKLASFAVGKKPSGPAARVREVLQQRFQSELVAVRRLLKKALPGGGKGGRPGFLAAEPPAKKMKASPAPEEQDEDVDILGGVSPLKMAPAPAQLDEEEEEVFVDICGDASPMPKNQDPSSSPASSSDSGSDSSSSSGSDSDSDSSSISDSDGDSNESVSISPSPCPAPAERAAAAPITELIARAKESQQRQRRALARENARQELLETERTAMPTDSIDPIDLELLGIARPQDQYMDDDDSAHDHQQQQQTSFFQEDDLEEGECRL* >Brasy5G051200.1.p pacid=40077169 transcript=Brasy5G051200.1 locus=Brasy5G051200 ID=Brasy5G051200.1.v1.1 annot-version=v1.1 MAFPTKPLLLLLLAAATAMCAAGAGQPAPAASCGGHKVTVQNLCGHDLKMDIEQLANSKLLYNPGWVLRNGQHAEFPVCAWTGRVKAPGAPVVEFHMGYDGGAWYQVSTDQAAMPIRVSVTPHAKSPREPLQGHCPTAGCNSGNHCFEHAVPGGNCHAVSEIKIIYYKP* >Brasy5G123700.1.p pacid=40077170 transcript=Brasy5G123700.1 locus=Brasy5G123700 ID=Brasy5G123700.1.v1.1 annot-version=v1.1 MESRGRGSGAAAGRRKPEVKVTHIITTEVSADEASFKDVVQRLTGKGSAPARAAVLLANNSAAPPALGPSRVVNNGDAVAGDGGGGGSAGGVGRGSAAPGPSSFEGAMAADAAGALPSLEEITLWWGTQHD* >Brasy5G180500.1.p pacid=40077171 transcript=Brasy5G180500.1 locus=Brasy5G180500 ID=Brasy5G180500.1.v1.1 annot-version=v1.1 MLGKFREGKNRRDFARMPVGLGVRESRSFPSGEDEADRWAPPVSGSCGRARGAGWLGRSWAAVGLGRGGVRVRAELGRFGLARARARGASGPAGSGVAASSSPGGGSPEEAGTGSSPRRCSMAVEQLFPHDDLHCKREKIRERERKRGGEREREREREREGERERERKREEGGWDPAAAGRSGRRGRCGSGNGRWPGSGAGRPEEAGVGAGRPKEVGAGSGAPDPTAGKVGGTGSGGAGERPPSTAAMVLRGRCCRSSREGNARGRKREAGARGRGRRSGRGSGGEALGEGRPGGARGKSRREGEEASGGRRKKERERGWQLGGEKIERDELRVGLVGFYSK* >Brasy5G230300.1.p pacid=40077172 transcript=Brasy5G230300.1 locus=Brasy5G230300 ID=Brasy5G230300.1.v1.1 annot-version=v1.1 MARFTGATVLLILIAAVSVYTCAVLAGVALGRTLESLERSSAEAVSSKLQLPFGISITIGAVSPKYFAANKRQREQQSSSSSSSSSSSWSSSVVPLKLSPVVSSSQSRRSASYHTPFFTPGVDPVVEQTPSVAVPRQQLRSRQHGNYGNGNFSGEIVVATKVGPWGGSGGQPFYMRTGGGGARLKSVTLYHSDAIHAFSYEYVSESGRRRAQGGGGGHPRSSKGAVRETIYLSPDEHITAIEGTFGRCRSVTQVVVTSLTFRTDKGRTHGPYGEPTGLPFSVPVANGCIVGFWGRSGWLLDAIGVYVAPCKVYKAAS* >Brasy5G285700.1.p pacid=40077173 transcript=Brasy5G285700.1 locus=Brasy5G285700 ID=Brasy5G285700.1.v1.1 annot-version=v1.1 MYFHGWDGLGASAALKMVAECLKSSTRLNNFDKVIHVDCSVWRSRRALQKTIAKELQLPVSVMAIFDRCDEDDDFHGVDEGSREEVGEVWGHIFRCLVNSTFVVIFDNGSNGYVDLSEFGIPVYSHLGNKMLWTFHGRSRSYFLAAEDVKEIKKLVGHTRVVLWCGFTVEGDERGSPMWGILHEEAKDVAERIGMPEYVNPRIVEECFLYMLPLQKMKHIDSETHAFNYWVCDGIVQGDTSAWEIGDAIQSNIHLDWSRDFMNYARDVPMPRQLPVYCSSSEITNVPPEVTSFFVEATDGADTVLPAGVFQYSGSSALRVLHVSFCTFSFATPPFLCCSNLRFLLLDHCKDKDDHTNAVHEDHASHGHSESWGTCFQRLWVLDLRYTDWYQLLSEEMMRLMADLRELNIEGVKEKGKNWSITDLCGCGTRLVKLRVIVDPIPAEDKEIFINKATTAAKIFSISFQGCSQMKSIVLAGFLGSLKLDLSGTSVKTLDLREVEVPNLTRLILLGCEKLCAILWPPENKRKNVVKVLHINTIRTASPREPKWVVLKEASAATRSSSVRTVATQEPSIGQAVPFEFNWYMFLRDARLLNSMVPLQTYFEQHNVDTETDPRLASREKLDKLLYSRNAISLGHLQEGQGNACPIESSYGYIEMDSSPKSSVVVGGDDVAQGIRSLQQPDKYLYARDAIFLGHLQSGAGSEGAISWMWDCPASPIPIGDDWYVHIQDEVEMKNGLLQQPGSTEETITSAALTPAFICNNSRVMHVHDSLAITCIPCQQSSDWRHLGWCRVESVRTHFFWCLATLWASQLPKACDIWNWSATSQPGRHSFEDLEFLHLDYCPRLIHVLPFSEYMTTLRKLKTIEIVCCGDLREHICGSKMSAPELETVKIRGCWSLKRLPAVSGSTTKRPKVDCEKDWWDNLEWDGLAANHHPSLYELTHSMYYKKAQLPRGPLLR* >Brasy5G004300.1.p pacid=40077174 transcript=Brasy5G004300.1 locus=Brasy5G004300 ID=Brasy5G004300.1.v1.1 annot-version=v1.1 MPMPMPTTRRPPPAAATVLCCYCSLILGFLLLLLLPASRAFPLCTDARAPVPLNGTLPFCGYAGSSCCDAAADAALQKQVDAVNVSDAACAAVLKSILCAKCNPYSSQLFDAGPKIRTVPFLCNSTSSATSAQSKETTQDYCKLVWDACKNVEIPNSPFQPPLQGGGRLPSSTSKLTDAWQSQNDFCTSFGGATNDRSVCFSGNAVSFNTTQPSPSPKGICLERIDNGSYPYLNMVPHPDGSNRVFLGTQAGKIWLATVPEQGSGGTLQFEATPFVDLTDQVHFDSAFGLMGMAFHPNFATNGRFFASYNCDRAKSPSCSGRCSCNSDVGCDPSKLGNNNGAQPCEYQVVVSEYSAKGSSSNVSEVTSADPSEVRRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGQKGDPFNFAQNKKSLLGKIMRLDIDNAPPGQSEITNQSLWGNYSIPKDNPFSDDSDLQPEIWALGVRNPWRCSFDFERPSYFYCADVGQDQYEEVDLISKGGNYGWRVYEGPLVYNPPWAPGGNTSLKSINAIPPIMGYNHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPKSSGNYTSTLIPFSCSKNSPIPCDTAAGSPLPSLGYIYSFGEDNSKDIYVLASKGVYRVVRPSLCSYTCPTEKPATNTVPAGPSSKASATGMGKQIEALLLSVIMFWVLVR* >Brasy5G185800.1.p pacid=40077175 transcript=Brasy5G185800.1 locus=Brasy5G185800 ID=Brasy5G185800.1.v1.1 annot-version=v1.1 MASGVATLALKVATLTAALAMLAVPSLGRCPSLGPAPPPPPSQALPPPPPPPSYASPPPANASPPPTPPPPMQASPPPPPSYASPPPPPLSTPPPPMQASPSPPRPPAYVSPPPPPPSQASPPSPLPPAPTPAPCYVPCRATVHSNCSGQCDGVESSCNYCKTEIMKNCKASGTCDDGSCDCDKLDTAGSCTSACTTRYCDGCMNAFDRQCMDNCGRQCSAPRCVPWEPAQVVPAPSTPPPAPAPGPSPVRCSDCGARCAATVAVKCSRNCHRPAGCDGCRSAALQGCTACCSTGGGCSGGGGDCDACDYDCGRGCDSAVDSRNT* >Brasy5G361500.1.p pacid=40077176 transcript=Brasy5G361500.1 locus=Brasy5G361500 ID=Brasy5G361500.1.v1.1 annot-version=v1.1 MELATQPAESGSGGSGMPVDIDLGAVRAVRVLGRGAMGTVFLVAGDAEPTTAGPGPGVHALKVFDKRAPSPPCVVSREADAARRARWEVSVLSRLAPQNHPHLPSLLGRAETPDLLAWATPYCPGGDLNAVRHAQPDRVFSPAAVRFYAAELVSALSGLHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLLPPPPSSSSPSSTSSSCSCSCSATPSPTTPKPRQRQYRHHLRRIFASSASALAAPSSTSGQEEEPRNLAWFLNRSHGGAGNLTKKAKSARAASSSVADRREKHAGFCSASANSATTTRSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAFGRTPFRGRSRRETFRNVLLREPGFPADVRRRWPDLTGLVSRLLDKDPATRLGFSGGADEVRAHPFFAGVAWDLLGEVSRPPYIPNLDDDFVSAGEGFSVVDYFQKLHQPPPLQHESPESALQEF* >Brasy5G468700.1.p pacid=40077177 transcript=Brasy5G468700.1 locus=Brasy5G468700 ID=Brasy5G468700.1.v1.1 annot-version=v1.1 EHISSLDKLVEGVGVHLIVKIRLFFDSDLGDFSISFEKIPSSPQVFSFLNKIIFASANIELYKYIYKKVSVKKIRHDKFHPISNGSLL* >Brasy5G127900.1.p pacid=40077178 transcript=Brasy5G127900.1 locus=Brasy5G127900 ID=Brasy5G127900.1.v1.1 annot-version=v1.1 MAGDRLSELSDDLLRRVLHFAPYREAASTGALSRRFRSLWRASSAVNLEARIPRDVQRYRGTSGQDDARFVALHRGFLSAARAALEAADAVPITRLTFRVEACWDDVVREFLDRQETDVVAAVLSHPAARRVQELRLAAVESNGFTPDYEPYPRSYGMTPCDLSLDSLPWETLRVLDLTGCSLGDVETPAPAFPRLPLASLRLCKCVGSRELLQALSDAAPGLGAVRLESAILYSQEEIERFDDPTRPRQEEIVARLCFPSATELVLELCCWTMTAKSQSLIGISVEIDAPRLRCFKYKGALRRLSLASPPSLARADLRFVDCWDITKDPHPQDRAILWKLLCEFRHAKELKLAVRRFEDIAVVSASERAELLCNNGFPGLVSLELEGVHNPKGKTAAVAIANLLCCCPVLRDLRINLNTVKGESPKHPQHVREFLQRKSRDDLNETMDHFMRSRLGRAGSLQGGGDDDKNDDEVSGIPALSRRPFHCLRSSLRCVGLQFRPKKSNCFGMKLIKFFAKNAVALEELRIDH* >Brasy5G029100.1.p pacid=40077179 transcript=Brasy5G029100.1 locus=Brasy5G029100 ID=Brasy5G029100.1.v1.1 annot-version=v1.1 MIMTAYKLAMESFVLLCLVISMGVLGAATGVLQPPPLMYVFGDSTMDVGNNNYLPGKMVPRANMPYYGVDFPGVPTGRFSNGDNIADFLAKSIGFWSSPPPYLLLAPKPGLLVSTALKIGVSYASGGAGILDSTNAGNNIPLSKQVQHFDATTSTMVAKVGSGAVSTLLARSVFLISVGNNDVFMEYLIEQARNRSAEQQQSDAAEFLAGLISNYSATITELYALGARKFAIINAGLIGCVPAARVSQPAGACVDCLNHLAAGFNVALRSLLAGLAPRLPGLVYSLADSFGLMEDIFADPHASGFADIAGACCGSGWLLAQPPQLCLPTSTVCSTRSERDQHVFWDSFHFSQRACFLTAQAFYNGPAKYTTPINFIQLVQSS* >Brasy5G512600.1.p pacid=40077180 transcript=Brasy5G512600.1 locus=Brasy5G512600 ID=Brasy5G512600.1.v1.1 annot-version=v1.1 MAALPTGSFAACSLQPRIPASPLAPKARPQNAAAAAACGVVPTRGCLHRAGATKGVSAVCEPLGPDRPVWFPGTSPPPWLDGSLPGDFGFDPLGLGSEPETLRWFAQAELMHSRWAMLAVAGILIPEILQKWGFMEEFSWYTAGEREYFADPWTLFIAQMALMGWAEGRRWMDYLNPGSVDIEPRFPNRKNPTPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPLDNLLAHLADPGHCNIFSAFTSH* >Brasy5G248300.1.p pacid=40077181 transcript=Brasy5G248300.1 locus=Brasy5G248300 ID=Brasy5G248300.1.v1.1 annot-version=v1.1 MVAVDLIASCLDSIRQVGDEIADALVYLDAGTLEAFQFTGAFPLLLELGARAVCSLENASPLDAAAEWHSSFVHPARKIVVITSRLLSDAHRYILRCLGNHGTVSHCTVLTSISEIGHSALDSPLGPDAFREYEILLIQDHEELFKKSGKLSKDKFAIPCTEKDFTSDGDKYSKWGSGVQYGSSESSPRKKDLSDDELGQVEARGKRLSVNVCHFPMIFSPISSRTFVLPSEGTVAESYLSNHHEDSLSPGLPSISTGKPLDGDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKIIGKLMMDMSSLYDVGRNKSSAGLLIVDRTIDLLTPCFHGDSFLDRMLSSLPRKESTSSVTKNPQTPSKQSQATVKRSPLDIKVSFETALSKEKSKSRTNMLSESMMAFVSGWNSAEADSEVTWLPDYADKAHDDKLDIPSGSFLSNYAGVRYLQALLDRGAKDGLLLIKKWLMEALLLEKLSFVSKGRQTTSVSELRSMVQMLSQDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASEIRDFINTSTSVESQNQGNKMGSSQGLLSFQDILLLTIIGYILAGENFPTSMAGGPFSWEDERSLKDVVVDSILERPSSVKFRFLDGLEKELEAKARSKDGDRNKGSSEPASTTTDDFDDQWDNWDDDDDDTTDHQKEEVYGDMQLKLDVRDRVDQLFKFFHNLSSMRVRNQALGEGLAALSRFETDSYSRKGLLYKLLLAVLSRYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQNVLIVFVVGGINTLEVREVMKAISESSRPDVELILGGTTLLTPDDMFELMLGSSSFA* >Brasy5G053000.1.p pacid=40077182 transcript=Brasy5G053000.1 locus=Brasy5G053000 ID=Brasy5G053000.1.v1.1 annot-version=v1.1 MNSLANCSELYAFSIEKNHLEGHVPKSLGNLSAQLHHLYLGRNQLTGAFPPGIANLPNLIVLQLYQNQFTDPIPQWLGALTTLQKLDLSQNSFTGSIPSSLSNLSRLAYLILDNNQFVGKIPKGLGNLQTLQILVIAGNKLHGRIPNEIFSIPTIVQIGLSFINLEGQLPTNIGNAKQLIRLILSYNKLSGNISTTLGDCQSLQHIKLDSNNFTGSIPTSLGKATSLVVINFSSNDLTGSIPSSLGNLKLLQILDLSFNHLEGEVPSKGIFKNATATRIDGNKGLCGGSPELHLLTCHIAHSNGSRHKLFIVLKIVVPSASIMSLVIVVCLLLFQRGKKEKKSISLPSFGREFPKVSFNDLARATEGFSASNLIGRGRYSYVYQGRLFEDRRVVAIKVIKQDVRGAHKSFIAECNVLRNVRHRNLVSILTACSSIDAKGDDFKALVYEFMPRGDLHTLLHCTRDDGNTLPSTSHIELVQWLSIVVDVADALEYLHHNNQGTIIHCDLKPSNILFDENLIAHVGDFGLARFMVDSTVSSSRESNSTSSMAVKGTIGYIAPECAAGGLPSTSGDVYSFGIVLLEIFLRKRPVDDMFEDGLSIVNFVKMNFPDRIPQIVDRDLLQLKPDSLQETPVMMKDKDLHCLISVLNVGLCCTKMFPYERLNMHEVAGKLHGIREAYLGGN* >Brasy5G442000.1.p pacid=40077183 transcript=Brasy5G442000.1 locus=Brasy5G442000 ID=Brasy5G442000.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQGMLTEMAADEIHLLLGVSVEIDKMSDKLGDLKNFLADADRRNITDKSVQGWVTELKRAMYDATDILDLCQLKAMECGESTLDAGCCNPFLFCLRNPFHAHDIGSRIKALNVRLDAIKQRSAAFSFINLGSYEDRGRNMHASHPSNPSRETSGEIDKSGVVGEKIEEDTRALVAKILQTGDGVNNNIMVVAIVGVGGIGKTTLAQNVFNNESIQSEFDKKIWLSVNQNFDRTELLRTAITLAGGDHRGEKVLAVLQPILTEALKGKKFLLVMDDLWSHGAWEGVLKTPLVNAAASSSRVLITTRHEAVARGMTATWPHHHIDTLSPDDAWSLLKKQVLSSEADEYHINMLKDVGLKIIQKCGGLPLAIKVMGGLLHQREMQRSDWEQVLDDSKWSMNKMPEDLNNAVYLSYEAMPPYLKQCFLYYSLLPKSNSFDDLHVIGMWISEGFIHGNSGDLEELGKRYYKELISRNLIELFKLDYGQQFCNMHDVVRSFGQYMAKGEALVAHNGDIDILTKLSSQKFVWLSIESEEVQSGEVDWKSLQEQQSMRTLISTIPIKMKPGDSLVTSSSLRTLYVKSVDVALIESLHQLKHLRYLYLKNAGISALPGNIGKMKFLQYLDLLKCRNLVNLPDSIVKLGQLRYLNLPGEISMIPRGFCGLTNMRILGGFPALMDGDWCSLDELGPLSQLRFLRLVQLQNASSAANASLSEKKHLINLILYCTPTVGHNELDEQRRIEKVFKQLCPPSSVENIDIHGYFGQKLPSWMMSTAIVPLNNLKFILLSDLACCNQLPNGLCPLPCLQVLEVNGAPCISRVGTEFLHTSQPAAAAFPRLNRMVLKGMVEWEEWEWEEQVQAMLRLEELLLGNCKLRLVPPGLASQARALRKLYIKKVQQLSYLEIFPSVVEITVLGCPDVERISNLPKLHKLTIIDCPKLKVLKDVPELQRLILKNVQQLLYLEKFPSVLELKVIQCLDMESITNLPKLEKLIIAYCTKLKVVKDMPALQRLVLEDDKMETLPEYTRDVNPRHLELKCSLMLLTSMAAGQSGPEFDKFSHIEQVEAKEKDEYMLYTREPYSLDTNINCSFLLGGTLSIFKDAQRLESVVKMPRKAFDYICSLVKQKTFRDMYSHTFIDGKLLCLEDRVAVALIVLNSGDTLATIGSSVGVNEATVSLVTSSFVHAMPRPRWPDTGEMEKVKFNSDKIYGLPNCCGVIHTSCIPFGSQNSDNEKNGSLLMQVIIDSNMRFIDVKLGLLDDKDKLSVLHGSYLSTNCEKGIWLNGSKLKVSSDGSGEVGEFIIGDAGYPLLPWLLTPYQLENDLSDSKVEFNKRHSEAMAVALKTSARFKDTWKGLHGGTWRPENRDELWRAIRVCCMLHNIVIGMDEEGFDIPSSRKVNYREQVRRQLAGEDAVRARNILSQYMTSRSSESRVDKEEQQEAASSSSGDKEQRELHTRTAEEKRNNAS* >Brasy5G075200.1.p pacid=40077184 transcript=Brasy5G075200.1 locus=Brasy5G075200 ID=Brasy5G075200.1.v1.1 annot-version=v1.1 MAFLPGSLRVTKSAGGGRSRMDARSGAVSSWRRAPAPVRQLFWRVRRAMLQRPRRGGSLRFGYDLKSYTQNFDDGLVACRRRF* >Brasy5G501800.1.p pacid=40077185 transcript=Brasy5G501800.1 locus=Brasy5G501800 ID=Brasy5G501800.1.v1.1 annot-version=v1.1 MARAQVMFMVAAVVLVLAMANSQGAEALTCGQVNSAVGPCIAYVRGTGAGPSGACCSGVRSLNSQARSPADKRTACNCLKTAARGVRGLDVGKASSIPSKCGVNIPYTISPNIDCSRV* >Brasy5G311900.1.p pacid=40077186 transcript=Brasy5G311900.1 locus=Brasy5G311900 ID=Brasy5G311900.1.v1.1 annot-version=v1.1 MLSSMAAAVTSSLGSLPLPILAPLVASALAFVVAVLRVLLRRPRPVYLLNYSCHLPDPDRQCNMEVCEYFGLRSGRYTDETADFMRLIFRKSGLGMETFAPPFIFSGKFEKTLAFAIQEAEEGLFAVVSQLLAKSDVSPRDIGVLVVACSMYSPAPSLASMIVRRFRMDPGVKTYSLAGMGCSAGTVGIDMAARALRVRRTPGYALVVVTENTSLNWYFGNNKHMLVTNCIFRVGSAAALVTDVAARRGDAKYELLRTLRTHHGADDAAYNAAVQMEDEDGGVGVALTKDLVRVAGAGLRQHIATLAPYVLPVTELLRYVYRVAWAYSGGNPKAAAAVVPDFQRAFEHMCIHSGGKAVIDTVAKLMGFGPAVVEPARATLHRFGNTSSSLVFYELAYFESKRRVRAGDRVWMLAFGTGFKACSAVWRALRDAGPDVDNPWNACAHRYPMALPVPTPRKHHHQQPQQQL* >Brasy5G241000.1.p pacid=40077187 transcript=Brasy5G241000.1 locus=Brasy5G241000 ID=Brasy5G241000.1.v1.1 annot-version=v1.1 MSNIVNNNGSGDNGKDGQNGGRQVVTPLQLNLGPTVAAPLAVVEEGDGGKGRGGSSPTSCVSTDGSSSGNELILFQCDWCFMLCVVPKKEFPKCFYCNEHSLFIPNAEINKKCDGVQFSSK* >Brasy5G206100.1.p pacid=40077188 transcript=Brasy5G206100.1 locus=Brasy5G206100 ID=Brasy5G206100.1.v1.1 annot-version=v1.1 MQEILLLRCSSAVRKRWRSGAASSSADPAPHHPEIPAPLLLLGRTAITLFSGPKNLYRFSYGFFGCHHGLDRLFLD* >Brasy5G257700.1.p pacid=40077189 transcript=Brasy5G257700.1 locus=Brasy5G257700 ID=Brasy5G257700.1.v1.1 annot-version=v1.1 MAFLRDTQQRRDAAPAASLSTRRSPGGLPLRARQPWPTALKLAPLPLCRSVL* >Brasy5G342000.1.p pacid=40077190 transcript=Brasy5G342000.1 locus=Brasy5G342000 ID=Brasy5G342000.1.v1.1 annot-version=v1.1 MSMQHVHHAHYSAYPAFAGAGYGHHHFVAAPPPHMGGGRYEPPPHYPSWSANHLAPQPMLPASRYYGGPGSVSEPINGSPVPASALWRLPGSVNVATVGAAPVPPPRQERPALVARRDEMAAAWGSRRGVQQAAGSASSASSASSSSQHEARHGAGDAADKRANVSLDLTL* >Brasy5G003500.1.p pacid=40077191 transcript=Brasy5G003500.1 locus=Brasy5G003500 ID=Brasy5G003500.1.v1.1 annot-version=v1.1 MDCSMTSSVLSANYNTILFEFGVTLVSSKILYALLRKLYQPRVFSDLLLGILLAQFRILSVTNAINLVFAKLGPFVFAPYLFALGVEMDPFALLLDAAAADAVVAYAGILSTAALVAALHSAVVLPVTGIVHERSLRAFLGLAAVLANTASPVLTRLATDLKIAKTNVGRLAVGAGVTSDMVTTLLIALGSMVWRDGDADAVTSSADLAQPVLTGAALAAVAMSGFASRAMAEWVDGRNPEGRRMRGFDLSLVALAAAAMCWVVSALQLDFNMAAFMVGLAFPSEGRVSRLLVSKANFVLSSFVLPLYVAHVCLSLRQTTDDIEAAGVDPDSQVFRVYVMQLPFPWWKVFFATAMGTLGKVAGCAGVGLIKGLGWLEALALGMLLNVKGYFHIYCALAAFEAGIITDKSFMALIFMVALNVAVTPVVGMGIASWARRSVQWRLMGLQHHDPATELRLVVGLHGAQDVPTLAFLVESLRSCGGGGLACYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYVSGDIKVRRLLALSSFQDMHGDMCICAEDTMAALILLPFHKTQCLDGTMDAAGLHYGFRLVNQKVLQLAPCSVGIMVDRGLGRLQNQQQNQTPVNVVVVFIGGADDREALTLAAFVCKHPGIRLTALRIVQSAAAQARARARTSLFESKSRRNILHLQAAAPNGGAAASNEELQAQADDKFFAEFYRKHVAGNKGAVGYLEKHVADGAELVSVLRGMQGDYRLFVVGKGRDRNSVLTEGLDEWAECLELGPVGDILASSDFSATASVLIVQQYDAKKHYKVIDDEFMPL* >Brasy5G373000.1.p pacid=40077192 transcript=Brasy5G373000.1 locus=Brasy5G373000 ID=Brasy5G373000.1.v1.1 annot-version=v1.1 MMASEPAARAVAEEVGRWGSMKQTGVSLRYMMEFGSVPTERNLLLSAQFLRKELPIRIARRALELDSLPFGLSAKPAILKVRDWYLDSFRDIRYFPEVRNHEDEVAFTKMIKMIKVRHNNVVPTMALGVQQLKNEQFSSKKLPPGFEEIHGFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTKLSPIQVAQIASEDARSICMREYGSAPDINIYGDPNFTFPYVASHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGTEDVTIKVSDEGGGIRRSGLPRIFTYLYSTAKNPPDIEGPIEGVTMAGYGFGLPVSRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP* >Brasy5G115400.1.p pacid=40077193 transcript=Brasy5G115400.1 locus=Brasy5G115400 ID=Brasy5G115400.1.v1.1 annot-version=v1.1 MMLRLVREARRAAAGSGRGLSSTAPKGRLEGKIALITGGAGGLGKATAQEFIQEGASVVLADINSDLGHKTAQELGPAAHFVHCDVSLEPSVAAAVDEAMARHGRLDVMFNNAGIVGSQANTSEMATLDLAKFDAVMSVNVRGTIAGIKHASRVMAPAGSGSILCMGSISGQMGGLGTYPYAVSKMAVAGIVRAAAAELARCGVRVNCISPHAIATPMVVRQFVEMLGGRVGEAEVEKIVRGLGELKGATCEAKDVARAAVYLASDDGKYVSGHNLVVDGGFTTYKYMNLPFPMPQ* >Brasy5G089700.1.p pacid=40077194 transcript=Brasy5G089700.1 locus=Brasy5G089700 ID=Brasy5G089700.1.v1.1 annot-version=v1.1 MSVELILWLFSFASVMVLVGLTAYQLICLSDLEFDYINPYDSSSRINAVVIIEYALQAVLCAAFLLTLNWFPFLVMAPVTYYHVKLYMSRKHLVDVTEIFRQLHGEKKYRMIKLAFYFGLFIITIYRLVMTAVLLFIDEDANLVETRTI* >Brasy5G089700.2.p pacid=40077195 transcript=Brasy5G089700.2 locus=Brasy5G089700 ID=Brasy5G089700.2.v1.1 annot-version=v1.1 MSVELILWLFSFASVMVLVGLTAYQLICLSDLEFDYINPYDSSSRINAVVIIEYALQAVLCAAFLLTLNWFPFLVMAPVTYYHVKLYMSRKHLVDVTEIFRQLHGEKKYRMIKLAFYFGLFIITIYRLVMTAVLLFIDEDANLVETRTI* >Brasy5G089100.1.p pacid=40077196 transcript=Brasy5G089100.1 locus=Brasy5G089100 ID=Brasy5G089100.1.v1.1 annot-version=v1.1 MSATAIRSGDLLAFPAALRRGAPVASASVVAFRMRAPAAGRVAVRVVAAAAEGAGADADAGGKPKPKKRAASGIMKPKPISPELREFVGGAAELPRTEALKIIWAHIKGNNLQDPANKKIIVCDDKLKKIFGGRDRVGFLEISGLLNPHFQK* >Brasy5G105600.1.p pacid=40077197 transcript=Brasy5G105600.1 locus=Brasy5G105600 ID=Brasy5G105600.1.v1.1 annot-version=v1.1 MEPQATIDVLTDDAIRDILRRLSLADLLRSALASHRWRRLAARCLPRAAPHLGYFFHPTAIGPPAPIPDPKIIDTPAEFAPLDASSARLSLDFAPDASDFKVYDSHQGLLLLEPVVILPKGIIPRFLVLDPATRRRTLLPPPPGDTVPGDRRWRRTRHYVGSAVLSRAHPSKLCFEVICFAIDDGHPRAWVASVDNGECCWRALPRDKELLVDFHPWWFEGRCVHAAGKIYWHICNSVDRLLQLDPATLKFSYLPVPAVLGYHFAKYRIGETPEDGRLCMVTDGEKQLQLWVRGEARWSDNGWLLERKIVDLRVLCDSVPGLTSDPTLRLLSVWPTDMDAARTGKVFIKTWGFGTYSFHLDTGKLERLSSKGGKDYGHPIFAYFLAWPPAFLASDY* >Brasy5G135900.1.p pacid=40077198 transcript=Brasy5G135900.1 locus=Brasy5G135900 ID=Brasy5G135900.1.v1.1 annot-version=v1.1 MPSAFHALRPSLKRKRKSKRSKRLSESRNHAADDATGGGGGDASFDLKSSASASAASGIGGGRGTLVQPLGNLLLAAARRANLRDEGLGALRPIPDDLLLDVLGLLPSRDLAALSAASKALYVISSHDPLWRAVVLAELGGDFAFAGSWRATYISAVSGRAHWPPRALEIEGFYSDYLFQSWLCANMEMRSEWLQRDTIDRRRGLSLEKFITEYEEPNWPVLLEGCLESWPASQKWTREYLLEVSAGKEFAVGPVSMTLDRYFMYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFQEDLFKVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVPPPGVHPSADGAEVTSPVSIMEWFMNFYGACRTWEKRPVECVCRAGEIVFVPNGWWHLVINLEESVAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRNAIDTAYPGTISRLEVEAQEKAAARKKKVGFWDNAVDANTGGFKFTF* >Brasy5G273300.1.p pacid=40077199 transcript=Brasy5G273300.1 locus=Brasy5G273300 ID=Brasy5G273300.1.v1.1 annot-version=v1.1 MAAAPATVVVVPRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTFLDTSDVYGPHTNELLLGKALQGGVRERVQLATKFGILDGADGSREIRGEPAYVRAACEGSLQRLGVDCIDLYYQHRIDTKVPIEVTMGELKKLFEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDVIPTCRELGIGIVAYSPLGRGFFSSGPKLVDTMSEQDFRKVLPRFQAENLEKNTVIFEHVSAMAARKGCTASQLALAWVHQQGNDVCPIPGTTKVENFNQNVAALSVKLTPEEMAELESYASADVAGDRYHNFLNTWQDSETPPFSSWKA* >Brasy5G316400.1.p pacid=40077200 transcript=Brasy5G316400.1 locus=Brasy5G316400 ID=Brasy5G316400.1.v1.1 annot-version=v1.1 MATATATAQAQPGMDAREWDEAAYRRGILRERDLSCRTLFRAVFFDQRDDPDPDVLLAAASSDGSLASFSLSSCISSSAPTHAAPQPIAAALVDPVCIVQAHSGPAYDVKFYNDPLQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAISKQDGSVFAAAGDACAYCWDVESGKCKMTFKGHTDYLHSITVREANHQVVTGSEDGTARIWDCRSGKCTQTIHPVQNNKFEGSWVGCIAIDASEVGWLCGTSSGISVWSLLSNECIFNADCSAPVQDLLF* >Brasy5G047300.1.p pacid=40077201 transcript=Brasy5G047300.1 locus=Brasy5G047300 ID=Brasy5G047300.1.v1.1 annot-version=v1.1 MALLYHLKCLKPLYNLATSKFMLIATTMTLGAAIFLLHAAQQFAHVDELLSKLCVLRPIHLLLSAIFLTATVTIYLIHRPRTVYLVDYTCFKPKSNLRVPKASFLEHAHLSPFLRDSTVSFIARMLERSGMGEETCLPPALNYVDSYCCLDEAHSEAELVVFSMIDDLLAKTCISLDTIDALITNCSIFCPVPSIADRIVNRYKLRGDIPIINLSGMGCSAGVTAVGLARNILQVIPWGSHALVVSMEILSPNYYAGNKRSMQLVNILFRMGGTAKLLSTCRSKARFRLAHVVRTTTAADDSAYKCVYQEEDDEGNKGATLSKDLVAIAGDALKAHITAIGPLVLPASELLKFLLFSVARKALRGRRRPYIPDFRMAFEHFCIHVGGPAVINSVQHGLNLSDEQVEPSCMTLHRFGNQSSASVWYEFAYIEAKGRMRKGDRLWMLGFGAGYKCNTAVWVCIQPSLDAQGPWSSCIHRYPVDVSIDG* >Brasy5G285000.1.p pacid=40077202 transcript=Brasy5G285000.1 locus=Brasy5G285000 ID=Brasy5G285000.1.v1.1 annot-version=v1.1 MTLVTGSMVMSSLLIRQIQKACRLPGETVLGVMDIWLHLSKWFVGAHWSAHFLHFCGVQIKLWLTWRTWSLVSFGSG* >Brasy5G245500.1.p pacid=40077203 transcript=Brasy5G245500.1 locus=Brasy5G245500 ID=Brasy5G245500.1.v1.1 annot-version=v1.1 MKHQQYGPAADVYSFSIVLWELMTSKMPYDTMNPIQAAVNVCEGMRPPLPENAHPRLLTLMQRCWDASPSERPPFSDAITELEDIKAEVQ >Brasy5G505000.1.p pacid=40077204 transcript=Brasy5G505000.1 locus=Brasy5G505000 ID=Brasy5G505000.1.v1.1 annot-version=v1.1 MADSAMKYLVQVEEGRAAAAAAEDGTAGAPSVGPAYRCAAGGGAASPPVVPGLDSCWDIFRLSVEKYPGNPMLGRREIVDGKAGKYIWVTYKEVYDSVLKVGASIRSCGVSKGGRCGIYGGNCPEWVVSMQACNAHGVYCVPLYDTLGAGAIEFILCHAEVEIAFVEEKKIGEVIKTLPNATKFLKTIVSFGKVSPDQKEKVEQNGLSIYSWTEFVLKGDGHEDKYELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLSNSNEQIRENDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTLFCAVPRVLDRIYGGLQDKVSTGGFMKKTLFNVAYKYKQGNMIKGTKHEDAAAIFDRLVFTKVKRGLGGRVRIILSGAAPLSNHVEEFLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEARLESVPEMGYDALSEESPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPNVDSIWVYGNSFESCLVAVINPNKQGLERWAESNGVTGDFASICGDPKAKEFILQELSKTGKEKKLKGFEMIRAVHLEPVLFDLERDLITPTYKKKRPQLLKYYQSIIDDMYQSTK* >Brasy5G095200.1.p pacid=40077205 transcript=Brasy5G095200.1 locus=Brasy5G095200 ID=Brasy5G095200.1.v1.1 annot-version=v1.1 MAATTMSLSSPAFAGKAAKNLPSSALFGEARVTMRKTAAKAKPVASGSPWYGADRVLYLGPLSGQPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK* >Brasy5G491200.1.p pacid=40077206 transcript=Brasy5G491200.1 locus=Brasy5G491200 ID=Brasy5G491200.1.v1.1 annot-version=v1.1 MEAPRGGALGKRKEREYSSTPEQQQALFPALPGKTELRRPSPLSRFAAKPPMPPPPSPQGGSSKLLAGYMAHEFLRFGTLLGERPAAPSRKEPAMVLPAPTPTPAPEPARRYAEASRLLMAGGPRIPGIVNPTQLGHWLRIKE* >Brasy5G286200.1.p pacid=40077207 transcript=Brasy5G286200.1 locus=Brasy5G286200 ID=Brasy5G286200.1.v1.1 annot-version=v1.1 MRGADAGGKKKARARQLLRGAISRVKDCATVCKAWIAMEAGTKAGKVAAARKLVLEWGLVCAAEGTAEEYAAFWTAYVAFELRHGDAERVRAVAADAAKACPHDAAVRATCALAERRHRVGMGGSVVVT* >Brasy5G449100.1.p pacid=40077208 transcript=Brasy5G449100.1 locus=Brasy5G449100 ID=Brasy5G449100.1.v1.1 annot-version=v1.1 MPLFERDRYQRLPLDISSSGAPVSGARRPSSSSSSCATATILLFVGLCLVGAWIMASSSASSNVNNKSAAQDDDHSAVALTTAGGKQDDDVTRTKETAGDKDAPPGDTANAGDKAASNGTAETAGSGQDGKKKKDDAAPATETKGDDTVDQPDKNQTAFNDQNGSLDGDQDASMNQTLGGDQISGDADAPNNETFAVVYGGDNGTEAIVFEEADPVPTNSSSSSSDDNSVPVVVVDDGNVTDNDAGEETTMAELLPSGLQAEVMNDTSSPATAQDNNNNNAGEEEAVMAELLPSGQAELLNETSPATPQDTENNTNNNYTSSSFPTQADESSSPSSKKKKKNSPSPNKTPNNETSTEESAYTFKLCKYNDSTTNTGADYIPCLDNQSAIKSLKSTKHYEHRERHCPSPAPSCLVPLPQGYRRPIPWPQSRDQIWHANVPHTKLASYKGNQNWVRLSNDSQHLVFPGGGTQFTNGAAHYLDVISSADAASVRWGARTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAVLAVMGTKRLPFPAWAFDLVHCARCRVPWHAEGGRLLVEADRVLRPGGLFVWSATPVYRKDEENVGIWKAMEKVTKDMCWEMANRTSDELDQTALVVFKKPENNECYGKRNSSAVPPLCDGSDDPNAVWNVTLQTCMHRVATGTGERGARWPAQWPERLTTAPYWLNESQVGVYGKPAPEDFAADTAHWKKAVNGSYLAGMGIDWGNVRNVIDTRSVYGGFAAALRDMKVWVMNIVPVEAPDTLPIIYERGLFGMYHDWCESLSTYPRSYDLVHADHLFSSLKDRCKLRPVMAEVDRILRPEGKVIVREDRETAEEVERIAKTLHWKVGMAVSKEGERLLCFEKTMWRPTEVES* >Brasy5G420300.1.p pacid=40077209 transcript=Brasy5G420300.1 locus=Brasy5G420300 ID=Brasy5G420300.1.v1.1 annot-version=v1.1 MAATAVAAAADTKIEKLREAVAKLDEISENEKAGFISLVSRYLSGDADQIEWSKIQTPTDEVVVPYDTLAPAPEDLDATKALLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIDIHTFNQSQYPRIVTEDFLPLPSKGQTGKDGWYPPGHGDVFPALNNSGKLDALLAQGKEYVFVANADNLGAVVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAVKRLVEAEKLKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGANVPRSRFLPVKATSDLLLVQSDLYTLVDGYVIRNPARVKPSNPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVSFGSGVILKGKVTITAKPGVKLEIPDGAVLENKDINGPEDL* >Brasy5G146700.1.p pacid=40077210 transcript=Brasy5G146700.1 locus=Brasy5G146700 ID=Brasy5G146700.1.v1.1 annot-version=v1.1 MGLEEAEKKAAGAVHGHRLSTVVPSSVTEVENYELGDADMAFRLHYLRGAYYYGGAGGAVRGVTTKVLKDPMFPWLDAYYPVAGRVRLPDADADDAAADRPYVKCNDCGVRIVEAQCDRALDDWLRDDDAAVGRVTQMCYDKVLGPELFFSPLLYIQITNFKCGGMALGFSWAHLIGDVASATTCFNHWAKILAGQNPGDVVVKPTNEPQHRAPIGTPAPRSVKPVGPVEDYWLVPSGADMACYSFHVTEAALKKLQQEEGRHGGGAFELISALLWQTVAKIRVTKEVKTVTVVRTDVAARSGDSLANEQKVGYVEASGSSPAKTDVSDLAALLADSVVDETAAIAAFPGDVVVYGGAHLTFVDMEQVDLYGLELNGRRPAHVEYGMDGVGEEGAVLVQPDAGGRGRVVTAVLPRDEVAALRAALGATLLLQAA* >Brasy5G380000.1.p pacid=40077211 transcript=Brasy5G380000.1 locus=Brasy5G380000 ID=Brasy5G380000.1.v1.1 annot-version=v1.1 MEAAAAATTALLPSFSAPARTRGRTNNALPGWRAPPRRGRGLSVVARLDGGGLGRGVPTANYVVPLDKSTGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRDVIYSNNGTVTVVYRVILKGTDGEAFRDATGTAAVREGRSDDAVAAAEEAAFSKACARFGFGLYLYHQDEIP* >Brasy5G009600.1.p pacid=40077212 transcript=Brasy5G009600.1 locus=Brasy5G009600 ID=Brasy5G009600.1.v1.1 annot-version=v1.1 MMDIDLPLPHMFEQLHGKDEDDWPPEASFLVAAYRGNVRRLKEIARSLDDRGRRGVEATVATTSYRGMNALHAAGGGGNLAVYRYLVEVVKMDVNMWDTSAAKKTPLEHAVTGGNLPAVRYLLDHGADLHMEGDENVTALHTAARKGKSEIVRLLLSRGAHVDGNSESGTPLHFAALKGHESTVEVLLEHHADPNKVVPSYLVTPLEAALIAASTSCVKLLVQAGANVNDVNNYLARAATEGLTEAIKCLLESGANPNRPDGFGRLPIELAAVYGRREDVELLFAFTSPIPNVSDWTVDGIINHAKLERIQLKDDAVVKKKTSDLKQQGDEAFDRQDYTNASLFYTEALRVDPSDSQMLVKRSRCWLRLGDGQKALEDATICKRSWRGSAEAHHCRAEALMLLKEYEKACEELSSGLELDPENDEMDKLFWEAMDLKEKKVMPARVSNIAET* >Brasy5G009600.2.p pacid=40077213 transcript=Brasy5G009600.2 locus=Brasy5G009600 ID=Brasy5G009600.2.v1.1 annot-version=v1.1 MMDIDLPLPHMFEQLHGKDEDDWPPEASFLVAAYRGNVRRLKEIARSLDDRGRRGVEATVATTSYRGMNALHAAGGGGNLAVYRYLVEVVKMDVNMWDTSAAKKTPLEHAVTGGNLPAVRYLLDHGADLHMEGDENVTALHTAARKGKSEIVRLLLSRGAHVDGNSESGTPLHFAALKGHESTVEVLLEHHADPNKVVPSYLVTPLEAALIAASTSCVKLLVQAGANVNDVNNYLARAATEGLTEAIKCLLESGANPNRPDGDDAVVKKKTSDLKQQGDEAFDRQDYTNASLFYTEALRVDPSDSQMLVKRSRCWLRLGDGQKALEDATICKRSWRGSAEAHHCRAEALMLLKEYEKACEELSSGLELDPENDEMDKLFWEAMDLKEKKVMPARVSNIAET* >Brasy5G077500.1.p pacid=40077214 transcript=Brasy5G077500.1 locus=Brasy5G077500 ID=Brasy5G077500.1.v1.1 annot-version=v1.1 MPDPNLDDLLLQAAGRNVGKGSQSRPSNQRWQQRGRAAGGSYSGGSGSDDGDSDSDAAPSYPRKRQPSGSQVPLKKRHQTEKGGGGGRGGGYDDDDLDDEARRSGGEDSDSAPSVGSDLYKDEDDKEQLENMTELQREMILAERSTRIDEYKLKKKLARTTTSSKTEARKDSPPPPLSRSRSSTRTDRSATKSALDELRAKRMRQQDPEGYRNRFKDLVTKTGSPTRRRAGSPPSDGSNDGDNRGRMNDHGGIADDAREDEFDESPSRLVPLKFDDVKSITLRRTKLVKWFMEPFFEDLVSGCFVRIGIGKTKSGPKYRLCIVRNVDASDPDRQYKLDSYTTCKYLNVVWDNEANAARWQMTQVSDSPVLEEEFKEWLQEAEKNGVRIPTRQEVLEKKEAIQKAYTFVYSAATVKQMLREKKSAVRRPMNVAAEKDRLRDQLEMALVRRDEVEAERIRARLNQLQKIAQPMSNNEKAAKLEEMNRKNRAENFKTASEMKAVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPEGEAEGDNAEAANGNGDSAIASTKDVKGAVQVGSTVTASALVAAAEAGKLVDTNAPLDLGTETNSLHNFELPISLSVLEEYGGPKGLFEGYMARKQKIEATMGYKIPDNDGRRHALTLSVSDYKRRRGLL* >Brasy5G409800.1.p pacid=40077215 transcript=Brasy5G409800.1 locus=Brasy5G409800 ID=Brasy5G409800.1.v1.1 annot-version=v1.1 MPEDVEMNDTPAPAPAPAATAVADAPASAPAPSTLQHLKEIALVVEAGSLTKEVRRISRAVRLTVALRRRLAARDVAAFLAFALAPSSEAFTRLSPLLPKEDGSEMDVDAAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDHKKYDEAKACANASIARLKNINRRTVDVLASRLYSYYSYAHELTNSLAEIRGTLLGLHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKAALTPYFELTNAVRVGDLELFRAVAEKFASTFSADRTSNLIVRLRHNVIRTGLRNISISYSRISLIDIAKKLRLDSENPVADAESIVAKAIRDGAVDAIIDHANGWVVSKETGDIYSTNEPQAAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF* >Brasy5G332800.1.p pacid=40077216 transcript=Brasy5G332800.1 locus=Brasy5G332800 ID=Brasy5G332800.1.v1.1 annot-version=v1.1 MGSKDGHAATGIADDADVVYLHGVLEATVFEAHHLHSAIHGRIMEATGKLEQSLGVHGLQRNRIYVDMDVGAARVARTRVVEFHPTNPAWNQSFRLHCAYPAASIAFTVKSQHLIGAGVLGSASVPTASVASGQPLDLWLDLRGGDRARHHTHTPRLRVRLRFLDVERDPCWDAGVRPGIFSGVKPAFFPERTNCNVTLYQNAHLSDGFDPHVELHGGRQYRAPRLWEDMYAAIRDARHFVYIAGWSVNTRVTLVRDLGGRAEGGGVTLGELLKRKAEEGVAVLVMPWQDKTSVPFLGNAGVMRTHDEETRMFFQGTGVACFLCPRDADATLTVVQSIETTTEFTHHQKAVSLDVATPGTDGGRRHVASFIGGIDLCDGRYDDERHTLFQGLDTTYAHDFMQNNFRHASLRRGGPREPWHDVHCRLEGPAAWDVLANFEQRWKKQAPKRLSGRLLDDLSPATFPDPRSFHDTDPWNVQVLRSIDDASVAGFPTDPAEADAMGLTSGKDLTIDRSIQIGYVEAIRRARRFVYIENQYFLGGCASWAEDRDSGCHNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPGSDSIQAILRWNRLTVEMMYGIVMEAIDDAGLRGLAHPCDYLNFFCLGNREAPRPGEYVPPARPEKGTDYWRAQASRRHPIYVHAKLMIVDDEYVIVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGGRARGLVHGFRMSLWHEHFMRGHDDAGVFLEPESAECVRAVRRAAEALWDAYTRDTVEDLRGHLLPFPVSVSEFGEVTDLPADGCFPDTRAPIKGRKSAKLPAILTT* >Brasy5G127300.1.p pacid=40077217 transcript=Brasy5G127300.1 locus=Brasy5G127300 ID=Brasy5G127300.1.v1.1 annot-version=v1.1 MSSTPASVCDTWRRQHAEGPAAVLAIGTANPANCVSQDEYPDHIFRVSKTEEHLPALKDRLRRICQNSGIKNRFFHLNEEILDAHPNLHDRKSPSLKARIDLTSNEVPKLAAAAAAKAIAEWGHPATDITHVVFSSYSGAGAPSADLSLASLLGLRASVSRTTLNLHGCAGAAKALHLAKDIAENNLGARVLVACSEISLIAYSGPSEDCFDTILGHGLFGDGAGAVIVGAGPIIGAERPLFEMVSATQTTIPMTEHAISMQFTESGMDYHVGTEVPAMVERNVERCLLDAIRPLGIVDAPKWNDLFWAVHPGGRAILDSIDAVFALEPRKLAASRNVLSEFGNMTSATLVFVLDEMRRRLSARQEGELGVMLAFGPGITVEMMVLRAGREQNSLV* >Brasy5G241500.1.p pacid=40077218 transcript=Brasy5G241500.1 locus=Brasy5G241500 ID=Brasy5G241500.1.v1.1 annot-version=v1.1 MLSSSPAPAAPGPGLLRAACLRSSSRSHPLQCKALELCFNVALNRLPTAFHGGGSPPALSNALVAAFKRAQAHHRRGESSSPAPVLAGHGGGTKVELEQLVVSILDDPSVSRVMREAGFSSALVKANVAVLELESTTPKPSPPSDHPHRAATRKLNNGGGGGIEDAMKMLECMASGQHRCLVAVDSGAGAGDDGGARAERAVKAVMDMVSKAELPRGQNYKQLACVQFVPLSVSSFRAAARAEVDAKAGELRGLAREAQRAGKGLVVVVQDLAWAADFWADTRSRSSRSCNGGSSSLQDDYYCPVEHAVMEVSGLVHEMRGGGGGGRFWMLGFASEAVFSRCRAGRPSLADVWGIHPVVFPGDGGLALSRSCFSGAGRPGWPPLVVINGAGEMSDEFTCCAGTWPEEPMSIIPPWLRRYHDPNLAAPPSSCGTDLQQSGLVQDLWKPTMRSNGSSAARRHTSELTLSFSSPPPPSPAPAASDRNYYSKQQPWQSLDPQQQLQLHLRATTTPSPPECTSAQSSSSGGGCSRSRPRFTELTAENLKTLCTALEGPHVPPRCKDLAPAVASAVLQRRSGVTTRQRRRTTWLVFRGDDGEGKKAMAMELARLVFGSYADFACMRISISADHSVVVSPSSGEFVPATTTTLKRRRSRSPDDGRHGCACAQSMKLYEALRENPRRVIMVDGAEQLDINNGCIKEVIATGRMRCSSSTGNGGGGDSVGLEDAIVVLSFDDSRPRVKSQRVLIGDDEEEGGGGVGMEDGPAKKKKSPPSFSLDLNSCAAGDEEEETGNLVEDDDVEIGDDVDGVFFFQLPRDLSH* >Brasy5G241500.2.p pacid=40077219 transcript=Brasy5G241500.2 locus=Brasy5G241500 ID=Brasy5G241500.2.v1.1 annot-version=v1.1 MLSSSPAPAAPGPGLLRAACLRSSSRSHPLQCKALELCFNVALNRLPTAFHGGGSPPALSNALVAAFKRAQAHHRRGESSSPAPVLAGHGGGTKVELEQLVVSILDDPSVSRVMREAGFSSALVKANVAVLELESTTPKPSPPSDHPHRAATRKLNNGGGGGIEDAMKMLECMASGQHRCLVAVDSGAGAGDDGGARAERAVKAVMDMVSKAELPRGQNYKQLACVQFVPLSVSSFRAAARAEVDAKAGELRGLAREAQRAGKGLVVVVQDLAWAADFWADTRSRSSRSCNGGSSSLQDDYYCPVEHAVMEVSGLVHEMRGGGGGGRFWMLGFASEAVFSRCRAGRPSLADVWGIHPVVFPGDGGLALSRSCFSGAGRPGWPPLVVINGAGEMSDEFTCCAGTWPEEPMSIIPPWLRRYHDPNLAAPPSSCGTDLQQDLWKPTMRSNGSSAARRHTSELTLSFSSPPPPSPAPAASDRNYYSKQQPWQSLDPQQQLQLHLRATTTPSPPECTSAQSSSSGGGCSRSRPRFTELTAENLKTLCTALEGPHVPPRCKDLAPAVASAVLQRRSGVTTRQRRRTTWLVFRGDDGEGKKAMAMELARLVFGSYADFACMRISISADHSVVVSPSSGEFVPATTTTLKRRRSRSPDDGRHGCACAQSMKLYEALRENPRRVIMVDGAEQLDINNGCIKEVIATGRMRCSSSTGNGGGGDSVGLEDAIVVLSFDDSRPRVKSQRVLIGDDEEEGGGGVGMEDGPAKKKKSPPSFSLDLNSCAAGDEEEETGNLVEDDDVEIGDDVDGVFFFQLPRDLSH* >Brasy5G364200.1.p pacid=40077220 transcript=Brasy5G364200.1 locus=Brasy5G364200 ID=Brasy5G364200.1.v1.1 annot-version=v1.1 FETIDHLLIQCSFSRQVWFAILSRRNKASFVPCLNDSLQPWWESLFRSWDSAGRKKMCGIVLLTLRFIWLERNRHIFRESYSSAPKLIETIEDGASQWKRVGRLRE* >Brasy5G352500.1.p pacid=40077221 transcript=Brasy5G352500.1 locus=Brasy5G352500 ID=Brasy5G352500.1.v1.1 annot-version=v1.1 MARAFVQVVGSPPPLPPRGAAWRVAPLLLLLGSSPLCLAISVSVSLSPHWFSPRSAHRRRGKGSRREEDGVGVGVPRRATDAGSGGGRAVADVCARPVRPRHHRALLSRLLLSLKTRRVLAASCLPPRSQRLGMKLLLGYTFLHINCSA* >Brasy5G471500.1.p pacid=40077222 transcript=Brasy5G471500.1 locus=Brasy5G471500 ID=Brasy5G471500.1.v1.1 annot-version=v1.1 MARRVGGVVAVVVLALAVLAAVATAQPDSRPPKAQGPKPKPKPMKVKCDGRKLYPACPKGEVECPAYCPESCYADCNACKPVCVCNVPGACGDPKFIGGDGNAFYFHGKTGGDFCVVSDRDLHINAHFIGKKGHSGMARDFTWIQAIGVLFDGHSSGWTHSLYLGARKTGAWEDDVDHLEITLDGEPVHLPAAKWTSARVPALSVTRTKAANGVLVAVEGKFSVRANAVPITAEESRVHRYGVTADDCLAHLELAFKFEALTDDVHGVVGQTYRPDYVNSFDVRASMPTMGGDASFAASSLFAADCAVARFGAAGNNGAGVVMPSELAAVTCASGMDGKGVVCKK* >Brasy5G253400.1.p pacid=40077223 transcript=Brasy5G253400.1 locus=Brasy5G253400 ID=Brasy5G253400.1.v1.1 annot-version=v1.1 MEASSSRSRSGPLPPKRRSGGRGHRPSAQSLNDDALRCIFSRLSDHFDLARCSAVCNSWHTIIDTAHLMRDLYYKRNPQARSSGSAISMKSYFEALAMNEHASTLARGPAEVHQWTGHSMRATLCRMKSGSVLTGMADKVLRLWSAESCKYMNEYNVPNSRKLVDFDFDENKVVGLTSSQVFIWRRSGPKSIFQSCGDSFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSYSNIYRLHPSPVTCLALTDDQLIVGGSTFGNVAIADQTSGQKLGLLKSAFAPTVIRCLSFSANSHLIFAGSSSGYAHCWDLRTLRPLWETRVSPNVIYSAHHFPGDTSTLAVGGIDGVLRLICQRTGETIRSFIMDADHQPESSSRMQLEKKSGRPVESGPRQQVETKRVREIAPDARLDNIPMHLRPPITGLSVGMKKIVTTHGENYIRVWKFRS* >Brasy5G233600.1.p pacid=40077224 transcript=Brasy5G233600.1 locus=Brasy5G233600 ID=Brasy5G233600.1.v1.1 annot-version=v1.1 MESLPIDLLLDILERLDVAAVVRCAATSKAFRGTILGQAFRRSGGFDPALLLGFSFHESTYRSAPMHRAVLTTPSNASCRARLGLLGSFQPAASRGGLFVLRRNATRRAAEALQAVELCVRDTLTGRDELLPPVAVWDSFPVALLAARRSSSCSFELLVMDTNLRFSTFSSGDGRWGDPRQATQHPQPPVVIGRTVYYSCWAYNSPFWDRILALDTATALATVVELPRRCFSGMMTIKNNEYLLLASVQGRLGLLVAESLRISLWTPPPLEPLGSGAAWTRQTVISKWEIQRQTLLDRDVYGPVCLREFGERSGAIILQLGEITKGTILRIDQLGTKEPRAVILEKQASTMLDHFYLHEIDMASLPKAMKSF* >Brasy5G361100.1.p pacid=40077225 transcript=Brasy5G361100.1 locus=Brasy5G361100 ID=Brasy5G361100.1.v1.1 annot-version=v1.1 MASPSSPTPTLLLAPTPPLPPRRPSHRNAAAAAWPNRARIRRLRGTTGDDDAAAAATTTEEDMAKRKRCLRCGALYLDVDNSPVACAFHGHITDRCPFERVAGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDRGSRPNTGRANWKGRWSCCQERDEDAPPCRRGCHVSYDDGFTLF* >Brasy5G361100.2.p pacid=40077226 transcript=Brasy5G361100.2 locus=Brasy5G361100 ID=Brasy5G361100.2.v1.1 annot-version=v1.1 MASPSSPTPTLLLAPTPPLPPRRPSHRNAAAAAWPNRARIRRLRGTTGDDDAAAAATTTEEDMAKRKRCLRCGALYLDVDNSPVACAFHGHITGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDRGSRPNTGRANWKGRWSCCQERDEDAPPCRRGCHVSYDDGFTLF* >Brasy5G269000.1.p pacid=40077227 transcript=Brasy5G269000.1 locus=Brasy5G269000 ID=Brasy5G269000.1.v1.1 annot-version=v1.1 MSFTASCRVMIPPTRPATFVTPRSFFNWGRTPANPPPPEFQYHDDVAPPFPPSLLSTTYLAGRELRCCYKASTDGFLATDFHRRCDFKGPCVVVGLNTTTGLRFGGFSPEGYRSTDDYYDSLDAFLFYWPTPDEAAHGPPVVLRKVGGSGAALFDYARGGPQFGADGLLMGPPLTPVMGVFTGPDAAGVGVGDLRSARSRLGLSYARREDGKGNLFGDESRAEVDEVLVFCSPQIAAMYD* >Brasy5G458200.1.p pacid=40077228 transcript=Brasy5G458200.1 locus=Brasy5G458200 ID=Brasy5G458200.1.v1.1 annot-version=v1.1 MQIAFNDRKSLDVEAAGSSGSCSSSERTRPEGSVPRLPSLPPRSVLLHASGGGGGSAGPPPPSPAVAAPSRPASQRSRPLPAPPPSPLTPLPLRDSRLPGDRRMRARRPTAARGSRAAGEVADGGARRERQLAAESAGMEELRGENEEQEVADFTDPKLLAILQELDPAPADNSSNR* >Brasy5G131300.1.p pacid=40077229 transcript=Brasy5G131300.1 locus=Brasy5G131300 ID=Brasy5G131300.1.v1.1 annot-version=v1.1 MSSSSRVAEIEVVVADGGARKDEEERRRKQQQKSGAEPELEPDPVVDVYSAAAYGDLERLRGFVERDGGAASLASPDGNGYHALQWAALNNYPHVALYIIEHGGDVNAQDHAQQTALHWAAVRGATAVADVLLENGARLEAGDVNGYRAVHVAAQYGQTTLLHHIVSKYGADFDALDNEGRSSLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAVIRGNLEVCTLLVHAGTKQELKLKDSGGFTPLQLAADKGHRHLTNILSNSTKVSFGDKYCSGRLGKAGYAPILFSYLVILMILFLNSIVFAPDFSRITAAVGLWSWVAISLALVSQVVFYRLSRKNPGYIKANTKGLDPKEPLMAIDLSSPTWTGSWSQLCPTCKIIRPVRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFLVFLCMGITTTLLGAAVGFHRLWTEPIILSSSESWTHFMVTKHPGAVLFMFMDIFLLTGALILAGAQATQIARNLTTNEAANQSRYSYLRGPDGRFRNPYNQGCRRNCAYFLVNGYSNDEEAAWPTLQQTLQRR* >Brasy5G131300.2.p pacid=40077230 transcript=Brasy5G131300.2 locus=Brasy5G131300 ID=Brasy5G131300.2.v1.1 annot-version=v1.1 MSSSSRVAEIEVVVADGGARKDEEERRRKQQQKSGAEPELEPDPVVDVYSAAAYGDLERLRGFVERDGGAASLASPDGNGYHALQWAALNNYPHVALYIIEHGGDVNAQDHAQQTALHWAAVRGATAVADVLLENGARLEAGDVNGYRAVHVAAQYGQTTLLHHIVSKYGADFDALDNEGRSSLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAVIRGNLEVCTLLVHAGTKQELKLKDSGGFTPLQLAADKGHRHLTNILLLSHLHVGAVYQPKYLFINMGMRKNPGYIKANTKGLDPKEPLMAIDLSSPTWTGSWSQLCPTCKIIRPVRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFLVFLCMGITTTLLGAAVGFHRLWTEPIILSSSESWTHFMVTKHPGAVLFMFMDIFLLTGALILAGAQATQIARNLTTNEAANQSRYSYLRGPDGRFRNPYNQGCRRNCAYFLVNGYSNDEEAAWPTLQQTLQRR* >Brasy5G043600.1.p pacid=40077231 transcript=Brasy5G043600.1 locus=Brasy5G043600 ID=Brasy5G043600.1.v1.1 annot-version=v1.1 MGKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQTQLMGASATGRQQRRRSKQPRRALDLQLPADQYIVGAGAEEDEDGCGTELELTLAVGGRRPCAAAARKNSGGFSSPFASDDCSGGSATSLSSSPPPSVEYPVALHGYALPMPPPPPCQRAMAFGAGVGGDQTMMKYSPWQLVQCQQYLSLRMT* >Brasy5G349100.1.p pacid=40077232 transcript=Brasy5G349100.1 locus=Brasy5G349100 ID=Brasy5G349100.1.v1.1 annot-version=v1.1 MRTRERNRRFHSDSVRATRGPRPAPPPYAAGGNPQPRPRHRQPPQTQSRAETGPETRPTRPRRQRPPPSIRPTPPSIRSLVPPRSSAVAFLVPRQPPGGFPQSQRPAPINSAALCPKNVRRVKGCHRSVLLLLLRRGPRARSTARGGLELERRPAAGEMRASAGGRRTGKRQGRDGRGPDFFFL* >Brasy5G445400.1.p pacid=40077233 transcript=Brasy5G445400.1 locus=Brasy5G445400 ID=Brasy5G445400.1.v1.1 annot-version=v1.1 MPSPGSTSSRWVMTGSVINGKLIEMLRKTSSYQVTTVDSGCKALKVLGLLDEDSSSSSSPSSSSPDHQVIDVNLIITDYLHA* >Brasy5G284000.1.p pacid=40077234 transcript=Brasy5G284000.1 locus=Brasy5G284000 ID=Brasy5G284000.1.v1.1 annot-version=v1.1 MAAAALAPHLAGGVGTGSRRHRHHQPPPSAVAVLARPRAGSVAVAAAAAASPSTDGVTPVPPRPRGSIVKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVESDRRLEVMGLVDIFRAKVVDLSERTLTIEVTGDPGKMVAVQRNLSKFGIKEIARTGKIALRREKIGQTAPFWRFSAASYPDLEVAIPSKSTLSNAMNTTSSDFEEASQGDVYPVESYETISMNQILDAHWGDMTDGDHTGFCSHTLSILVNDVPGVLNVVTGVFSRRGYNIQSLAVGPAEKVGISRITTVVPGNDESIAKLVHQLYKLIDVHEVQDLTHLPFAARELMIIKVAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEIARTGRVALSRESGVDSKYLRGFSLPL* >Brasy5G284000.2.p pacid=40077235 transcript=Brasy5G284000.2 locus=Brasy5G284000 ID=Brasy5G284000.2.v1.1 annot-version=v1.1 MAAAALAPHLAGGVGTGSRRHRHHQPPPSAVAVLARPRAGSVAVAAAAAASPSTDGVTPVPPRPRGSIVKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVESDRRLEVMGLVDIFRAKVVDLSERTLTIEIALRREKIGQTAPFWRFSAASYPDLEVAIPSKSTLSNAMNTTSSDFEEASQGDVYPVESYETISMNQILDAHWGDMTDGDHTGFCSHTLSILVNDVPGVLNVVTGVFSRRGYNIQSLAVGPAEKVGISRITTVVPGNDESIAKLVHQLYKLIDVHEVQDLTHLPFAARELMIIKVAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEIARTGRVALSRESGVDSKYLRGFSLPL* >Brasy5G304100.1.p pacid=40077236 transcript=Brasy5G304100.1 locus=Brasy5G304100 ID=Brasy5G304100.1.v1.1 annot-version=v1.1 MTRANGNGTVAPVRGTRRSKQHAEGPAAVLAIGTANPTGTIVQQDVFAGEFFRATNSEDLTDLKAKFERICDKTGIEKRHFHMTEEMLLAHPEFLDRDQPSLDARIEIVATAVPKLAESAAKKAIAEWGRPASDITHLIFSTYSGCRAPAADLELATLLNLRPTVCRTILSLHGCYGGGRALHLAKELAENNRGARVLVACSEITLVCFNGPDGSNLVGHALFGDGAGAAIVGAGPFDAPSGERPLFEMVAATQTTVPRTEHVLGMQVAGGGIDFHLAIQVPMLIGQNVEQCLRDAFRATLGDDDEDVGLPCWNDLFWAVHPGGRPILDNIDAVLKLEKGKLAASRQVLREYGNMSGATIVFVLDELRRRREKEGASGGLQPEWGALLAFGPGITIETMVLRCPPGLKGN* >Brasy5G102400.1.p pacid=40077237 transcript=Brasy5G102400.1 locus=Brasy5G102400 ID=Brasy5G102400.1.v1.1 annot-version=v1.1 MASSAAALETARRLLEDAAGETLPTEQVDALPSGFYDAFVLCGIRVHVVEPGRLLCHFTVPSRLLNSGNFLHGGATASLVDLVGSAVFYTAGAQTRGSPLEMNISYLDAAFSYEEIDIEAKVLRAGKAVGVATVELKKKSGKIIAQARYSKYLGASSKL* >Brasy5G060700.1.p pacid=40077238 transcript=Brasy5G060700.1 locus=Brasy5G060700 ID=Brasy5G060700.1.v1.1 annot-version=v1.1 METKIHRFPPGLRALGDRYIVPTVVAIGPHHHGVAQLMEMEKVKDATVHYFINAASLDISPTFEYEKIYNEFSPVAAKARSSYAMDDAMNRFGDAEFVDMMFRDACFLLQYMLCMSSSKHCQENYDVNPSLRRFFFSNRACIDNDVMLLENQLPRTVLDALRKFSPVRIEDFIAEMGNRFQIREDLDKVESFVLDPEIYTPPHLLGLLRFYKTRVPKQADGTEPPVASPYQAQADRFRQVSVPISASMLARNGIKLRASTATEFNKMEVRKGFLFDEFVISPLTLDGTTASWLVNMQAYEVCTANSFSDSNDKTAVFSYLALLAMLMKEEGGVHEMRAKGFLRGELTDKTMLDFFNTITEEVSPGPGYFQVLASIEACKRRRLRWMQNTAYRFIADNYKSIAAVFSIIGVLVGIFKALFSLKQHQR* >Brasy5G199400.1.p pacid=40077239 transcript=Brasy5G199400.1 locus=Brasy5G199400 ID=Brasy5G199400.1.v1.1 annot-version=v1.1 MSPVRASQKQRRRRGRRRVRARRGRQEESSVGSGQGGGFSRGAARWTRRGGLGQGGGIRWRRRAGGFGWAAGAGGKRLGAADATAARDGVEARLDSGCGRADAAGSGRRAREGAWRRRRARPTWESGEGGGGLARRREGGGECEGTREDAFVRIGVGWQSTSDVN* >Brasy5G435400.1.p pacid=40077240 transcript=Brasy5G435400.1 locus=Brasy5G435400 ID=Brasy5G435400.1.v1.1 annot-version=v1.1 MGAETDLSPPPPEPTASLEPEILTRDDRSWKADMMSALGESVSFGRFLAEPLEWGKWSAFEHKRYIEEAAGQSRPGSVAQKKAFFEEHYAKKRKSLADAGGDEEDVEDAGHDTDRDDPADGSAMAWSADSSCMTDGEETHGVDSDAPDCGGGIADAGPVEAAEELKAVTDGVGSSCSLVDTDDQPHEKDDVQQVAEAREGLQLHIDVGTVAAVDSVEKQPLQESSIVNQGITDSVKKRRLPMSSFFHKPTECSSSPSSGKKTSSSSMRKRSMLRSAKENSSPPPSTDSNKQAATSVPQKRSALDTMHMSMNFRRCETGNATSRSRNLGSTIASRISQLESASRPVKDIHPKVSQLRPTRKGFCRGMPDIVSRTSQLDEQRSSDVSVMGVKEKLFGSTSPPVHRKTNITAEKMGKANNERELKELRQGICFKAPNFCRKNKEPKDSSQQSAQEITHLPNSDHLLNDASNPHRMSKGSAKDKHTCCFPLRRLC* >Brasy5G329000.1.p pacid=40077241 transcript=Brasy5G329000.1 locus=Brasy5G329000 ID=Brasy5G329000.1.v1.1 annot-version=v1.1 MPPRLPSPAAITTALSAHLRRLFACTASAAQADAHHLLDEVPRPDHLRRLPPELASFVLSRAVGNTPSHLDYGKALAACVGAGHVAFAEQVYCVSWKDGLMGDAYVCSGMIDLLAKSRRFEDALKAFEDGDRGSAVCWNTVISGAVRNDEGRLAIDMFSDMAWGSCEPNSFTYSGVLSACATGAELCVGRAVHGLVLRRDPEYDVFVGTSIVNMYAKSGDMIAAMREFWRMPIRNVVSWTTAIAGFVQEEEPVSAVRLLREMVRSGVSMNKYTATSILLACSQMYMIREVSQMHGMIMKKELYLDHAVKEAFICTYANIGAIELSEKAFEEVGTVSSTRIWSTFISGVSSHNLPRSLQLLMRMFRQGLRPNDRCYASVFSSMDSIEFGRQLHSLVIKDGFVHGVLVGSALSTMYSRGDDLEDSYRVFKEMQERDEVSWTAMVAGFASHGHSVEAFRLFRIMILDGFKPDYVTLSAILSACDKPECLLKGKAIHGHILRVHGETTSISHCLVSMYSKCQEAQTARRIFDATPCKDQVMFSSMISGYSTNGCSAEAISLFQLMLSTGFQIDRFICSSILSLCADIARPLYGKLLHGHAVKAGILSDLSVSSSLVKLYSKSGNLNDSRKVFDEITSPDLVTWTAIIDGYAQHGSGRDALEMFDLMITHGVKPDNVVLVSVLSACSRNGLVEEGFNYFESMRTIYGVEPMLHHYCCMVDLLGRSGRLQEAKSFIESMPMKADLMVWSTLFAACRVHNDAVLGRFVENKIREDGYDSGSFATLSNILANSGDWEEVARVRKSMKDVKKEPGWSMV* >Brasy5G329000.2.p pacid=40077242 transcript=Brasy5G329000.2 locus=Brasy5G329000 ID=Brasy5G329000.2.v1.1 annot-version=v1.1 MPPRLPSPAAITTALSAHLRRLFACTASAAQADAHHLLDEVPRPDHLRRLPPELASFVLSRAVGNTPSHLDYGKALAACVGAGHVAFAEQVYCVSWKDGLMGDAYVCSGMIDLLAKSRRFEDALKAFEDGDRGSAVCWNTVISGAVRNDEGRLAIDMFSDMAWGSCEPNSFTYSGVLSACATGAELCVGRAVHGLVLRRDPEYDVFVGTSIVNMYAKSGDMIAAMREFWRMPIRNVVSWTTAIAGFVQEEEPVSAVRLLREMVRSGVSMNKYTATSILLACSQMYMIREVSQMHGMIMKKELYLDHAVKEAFICTYANIGAIELSEKAFEEVGTVSSTRIWSTFISGVSSHNLPRSLQLLMRMFRQGLRPNDRCYASVFSSMDSIEFGRQLHSLVIKDGFVHGVLVGSALSTMYSRGDDLEDSYRVFKEMQERDEVSWTAMVAGFASHGHSVEAFRLFRIMILDGFKPDYVTLSAILSACDKPECLLKGKAIHGHILRVHGETTSISHCLVSMYSKCQEAQTARRIFDATPCKDQVMFSSMISGYSTNGCSAEAISLFQLMLSTGFQIDRFICSSILSLCADIARPLYGKLLHGHAVKAGILSDLSVSSSLVKLYSKSGNLNDSRKVFDEITSPDLVTWTAIIDGYAQHGSGRDALEMFDLMITHGVKPDNVVLVSVLSACSRNGLVEEGFNYFESMRTIYGVEPMLHHYCCMVDLLGRSGRLQEAKSFIESMPMKADLMVWSTLFAACRVHNDAVLGRFVENKIREDGYDSGSFATLSNILANSGDWEEVARVRKSMKDVKKEPGWSMV* >Brasy5G459200.1.p pacid=40077243 transcript=Brasy5G459200.1 locus=Brasy5G459200 ID=Brasy5G459200.1.v1.1 annot-version=v1.1 MQFCRLRSRSRSSRARIGLPPHISPPLFHYPAAAAPPPSSTSRRSGFPIPSRAVPDRSDRILPRFQNGGRGARFPAPPLASPTTTAPPLTAGDRRSRPRHPPPARDGPMRCV* >Brasy5G242000.1.p pacid=40077244 transcript=Brasy5G242000.1 locus=Brasy5G242000 ID=Brasy5G242000.1.v1.1 annot-version=v1.1 MGKDCGNHGEDDLRRACRRLAAFILLLALVVAIIALIVYLVLRPTHPRFFLQDASLRQLDLPSSSNSSGAGVLSTTLQVTIASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPQVYQGHGDVDVWSPVLSGPGIPFAPYLATSLAGDVQAGYLILQVKIDGRVRWKVGSWISGHYHIFVTCPAFLVGAGGNGAPGASGLRFQAATYCRVEV* >Brasy5G018200.1.p pacid=40077245 transcript=Brasy5G018200.1 locus=Brasy5G018200 ID=Brasy5G018200.1.v1.1 annot-version=v1.1 MMSFKNYEGFGSQLAAAAAASQATGGAPLPPWWAPPQLLYGEPAGQGRSPAVSMSPEGACRDGQFQVVPRAQSVLDAPPPPPQTMAERGISEGLKFSMAHGRGGKGSEHSATIALQSPFSEYNDRFELGLGQTVVSSNYPYTDQQHGILPHYGMRSTPNGRMLIPLNMPADAPIYVNAKQYEAILRRRRARAKAEKENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEINAKDAGEGDKTLDSNPLMRLAASPSSEIQHSEQGNRSSISSLSGSEVTSLYEHEDVEHYSGFEHLRTHFFTPLPSIMDGEHGAGNPFKWAAASDGCCDLLRA* >Brasy5G018200.2.p pacid=40077246 transcript=Brasy5G018200.2 locus=Brasy5G018200 ID=Brasy5G018200.2.v1.1 annot-version=v1.1 MMSFKNYEGFGSQLAAAAAASQATGGAPLPPWWAPPQLLYGEPAGQGRSPAVSMSPEGACRDGQFQVVPRAQSVLDAPPPPPQTMAERGISEGLKFSMAHGRGGKGSEHSATIALQSPFSEYNDRFELGLGQTVVSSNYPYTDQQHGILPHYGMRSTPNGRMLIPLNMPADAPIYVNAKQYEAILRRRRARAKAEKENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEINAKDAGEGDKTLDSNPLMRLAASPSSEIQHSEQGNRSSISSLSGSEVTSLYEHEDVEHYSGFEHLRTHFFTPLPSIMDGEHGAGNPFKWAAASDGCCDLLRA* >Brasy5G018200.3.p pacid=40077247 transcript=Brasy5G018200.3 locus=Brasy5G018200 ID=Brasy5G018200.3.v1.1 annot-version=v1.1 MMSFKNYEGFGSQLAAAAAASQATGGAPLPPWWAPPQLLYGEPAGQGRSPAVSMSPEGACRDGQFQVVPRAQSVLDAPPPPPQTMAERGISEGLKFSMAHGRGGKGSEHSATIALQSPFSEYNDRFELGLGQTVVSSNYPYTDQQHGILPHYGMRSTYEAILRRRRARAKAEKENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEINAKDAGEGDKTLDSNPLMRLAASPSSEIQHSEQGNRSSISSLSGSEVTSLYEHEDVEHYSGFEHLRTHFFTPLPSIMDGEHGAGNPFKWAAASDGCCDLLRA* >Brasy5G410600.1.p pacid=40077248 transcript=Brasy5G410600.1 locus=Brasy5G410600 ID=Brasy5G410600.1.v1.1 annot-version=v1.1 MVVIEPEPEPECEETARPSSPQASVARGDLAGAEEDEEAFEDALTDEQLREKARSQANDAKSEGNKRFGAGEYEDALSQYEIALQISAEIESGEDIRSACHSNRALCFLKLGKYDESIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKILELDPSNVQAKRSLFRLEPLAAEKREKMKEEMLAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSVSFQQ* >Brasy5G483300.1.p pacid=40077249 transcript=Brasy5G483300.1 locus=Brasy5G483300 ID=Brasy5G483300.1.v1.1 annot-version=v1.1 MQCRCAGCARKVEKRRRRWPPSGVSEESVRPELYQWLKTKTRKDVKIVCPNPPAENHDQKMMVVLGSSSRVGGTTASAPPLLDHLS* >Brasy5G220400.1.p pacid=40077250 transcript=Brasy5G220400.1 locus=Brasy5G220400 ID=Brasy5G220400.1.v1.1 annot-version=v1.1 MSSNSTLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILVICLTIQSWVKRSRRAFKELPMTQIPSACKDITEVRVADQFSPNDFVVHDGLLLAIENGPVESADKEAVQLVEEDNLRHREDNNNLLGSTFCHPDGCDGIQPVSVCEQHSAHAAADSAPLAGLPEFSYLGWGHWFTLRDLDVATNHFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGNVRHKNLVRLLGYCVEGTQRMLVYEFVNNGNLESWLHGELSQYSSLTWLARMKVLLGTAKALAYLHEALEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEVITGRDPIDYDRPPSEVNLVDWLKVMVANRRSEEVVDPHLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSSETIPQEERRQRPNRMPENTETVPLRGKNSTDKSDAPEREERPPRPKSRTFSTK* >Brasy5G486800.1.p pacid=40077251 transcript=Brasy5G486800.1 locus=Brasy5G486800 ID=Brasy5G486800.1.v1.1 annot-version=v1.1 MKVQRRVLFLFLSAVFFLHLLHHHQAIATSSSSSTATSSSFLNNGNGGGAGIAARSHRRRPSLAARRLLMQPSKGAPNAMATNSFVVVNGGRPATVAKAKPGAEFNASMTTPLPRTRFNPKNN* >Brasy5G352300.1.p pacid=40077252 transcript=Brasy5G352300.1 locus=Brasy5G352300 ID=Brasy5G352300.1.v1.1 annot-version=v1.1 KLLKKLNSPRVAPIEPEADRRVAALDAVLDRDAAFRFLHRARSFMASLPSPHRIPLSEAGKLHRELGFPRGRSVSRAAARHPLLFHLTTVGSVPHLALTPLMCSLLQEERRLHDELLPTRVRAVRKLLMLTAHRRIPLAKLHHCRAVLGLPDDFRDRVREFPDDFRVAVEPDGLRVLELAHWDPALADSALERDFVVDERRVRRTFRFSVPHRRSMPLDAEDADRLDAATTFPLVSPYTNGALLRPWTLEAEKYRVGVVHELLSLTLEKRALIHHVFEFKEELGLTRHMYASLRKQCRAFYLAGTEMNWAIFLRDAYDDDGVLREKDPLVLFNEKLQGYACMTEMDSKQSW* >Brasy5G016300.1.p pacid=40077253 transcript=Brasy5G016300.1 locus=Brasy5G016300 ID=Brasy5G016300.1.v1.1 annot-version=v1.1 MRPNPASPSPWISFFPQFCPSCPSPTLPPLPSSSPWFCLLAPAYISAASSSSTPPHLATTTTSLDLAAGANLSIWFLLLPCRCREDFFLLLRTDVGGDRSAMGAEFEVAVAEVAAPPAKRRRRMVPAPAAAGGARRRRAPPPPPAKAVQRLFQACRAVFRGPGTVPAPAEVALLRAMLDRMRPEDVGLSADLRFFKAREAAEGKTPTITHTTIYKSENFSMVILFLPPNAVIPLHNHPGMTVFSKLLLGSMHIKSYDWAGPDPAASGTNSLPDERLRLAELVVDDVFTAPCDTSVLYPTAGGNMHRFRAIAPCAVLDILGPPYSIEEDRDCTYYAEIPYSHHSMTGSDLVADEQERRRLAWLKEIDMPKELKMCSVPYGGPPISDS* >Brasy5G152000.1.p pacid=40077254 transcript=Brasy5G152000.1 locus=Brasy5G152000 ID=Brasy5G152000.1.v1.1 annot-version=v1.1 MESKGCCSCKAKADAPRPTPPAPHVAMLVTPGMGHLIPLAELAKRLAAHHGVTATLITFASTASATQRAFLASLPPAITSLSLPAVDLSDLPQDAAIETLMSEECARSVPALTEILTELKSSKRLVAFVADLFGADSFDAAVAAGVPKRCLFFPTNLHGLTLILNLPKLHESIPGEFKDMAEPLRLPGCVPIPGPDVLSPLQDKSNPSYKWMVHHGARYREAHAILVNSFDAVEPDAAAALRAPEPGRPTVHNIGPLTQTHEGSTVGGGPREACLEWLDRQPAKSVVFVSFGSGGALPAEQMRELALGLELSGQRFLWVVRSPSDEGAVNANYYDAESKKDPLAYLPEGFVERTKETGLVIPSWAPQIKVLAHESTGGFLVHCGWNSVLESLVHGVPMVAWPLYAEQRQNAVMMSTEGGVGVAIRVPETKRKEEIADAVREMMVGEGKGAVVRAKVAELQKAAAEGLCEGGAAAAALAEVVHTWTAEDK* >Brasy5G274400.1.p pacid=40077255 transcript=Brasy5G274400.1 locus=Brasy5G274400 ID=Brasy5G274400.1.v1.1 annot-version=v1.1 MATAGKVIKCRAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKDSTVAIFGLGAVGLSAMEGARMAGASRIIGVDMNPAKFEQAKKFGCTDFVNPKDHTKPVQEVLVEMTNGGVDRAVECTGNVNAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPEVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMEE* >Brasy5G061700.1.p pacid=40077256 transcript=Brasy5G061700.1 locus=Brasy5G061700 ID=Brasy5G061700.1.v1.1 annot-version=v1.1 MSRRLSLPAASPVTVTLSPGRGGGGSPGDGVVRRGAALTSPVPRHSIGSSSSTATLQVSPVRRSGGSRYLGASRDADASAEFVHYTVHIPPTPDRNAAASTDAPPPAAASEEDRPQRSHVSATIFTGGLNCATRGHVLNNSVDGAARPAASGNMVCKMRGCDMPAFLDAGRPPCDCGFMICQECYADCLAAASGNGNCPGCKEAYSAGSDTDDDSADDDDEDVSSSEERDQMPMTSMAKQRFSMVHSIKMPGPSGNGKPGEFDHARWLFETKGTYGYGNALWPKNNGHGAAAAGATSGFVGIEEPPNFGARCRRPLTRKTSVSQAILSPYRMLIAIRLVALGFFLAWRIRHPNPEAMWLWALSVTCEVWFALSWLLDSLPKLCPVTRACDLAVLADRFESPNARNPKGRSDLPGIDVFVSTADPDKEPPLVTANTVLSILAADYPVEKLACYVSDDGGALLSFEALAETASFARVWVPFCRKHAVEPRSPEAYFGQKRDFLKNKVRLDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAVAAAGGNALGTTVQLEETAAVKATWMSDGSQWPGTWLSGAPDHSRGDHAGIIQAMLAPPTSEPVLGGEPGELIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRVCYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRRKIKLFLRRKPTMGKKTDRENNNEHEVMLPPIEDDDHNQLGDIESSALMPKRFGGSATFVSSIPVAEYQGRLLQDMPGVHHGRPAGALAVPREPLDADTVAEAIGVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCAATTARRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAIFASPRMKLLQRVAYFNVGMYPFTSVFLLVYCVLPAVSLFTGKFIVSHLSATFLVFLLVITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVVAGVDISFTLTSKPGGADDGDDDSFAELYEVRWSLLMVPPVTIMMVNALAMAVATARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMILSLLWVYISPPAGVREGIGGFSFP* >Brasy5G369100.1.p pacid=40077257 transcript=Brasy5G369100.1 locus=Brasy5G369100 ID=Brasy5G369100.1.v1.1 annot-version=v1.1 MAAPASGPESSQRHLYDVIVVGAGIMGSCAAHAAASRGARVLLLDRFDLLHHRGSSHGESRTIRATYPQAHYPPLVRLSRVLWDEAQRDAGYRVLTPTPHLDLGPCGQPALLAAVANGAATELLPGPGAAGEPPAWAGAFRVPDGWTAARSELGGVMKATKAVAMFQALAVRMGAVVRDRMEVVDIARKQGEETIVVRTSSGEEFHGGKCIITVGAWTRKLVKSVSGGVTDLPVQPQHTLICYWKVKPGHERELSVEAGFPTFASYGDPYIYSTPSMEYPGLIKIAMHGGPPCDPDRRDWAAATGEGKEGGLVGPVARWIDEVMPGCVDTAGGPVLRQPCMYSMTPDEDFVIDFLGGEEFGKDVVLGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELQHFRISRFEDNPMGNAKSF* >Brasy5G212200.1.p pacid=40077258 transcript=Brasy5G212200.1 locus=Brasy5G212200 ID=Brasy5G212200.1.v1.1 annot-version=v1.1 MEGIKRTTTLMVIMCLLILSLTLNSATAAQCSCCRSAKAKSCCADCIANGSSDLVCKNTCCFPCFLADSVVAKVEEMGVVANMEEGQA* >Brasy5G384400.1.p pacid=40077259 transcript=Brasy5G384400.1 locus=Brasy5G384400 ID=Brasy5G384400.1.v1.1 annot-version=v1.1 MPHPMAPLHAAATQHAALPAPNAAPKKSSSSVLPVGAKCDTRRAFLQGVMIAAGAGTLLGAVDAAPAASKRRAPPPPEEEKEKKDPNLSGVQAKVLASRKRKEAMKEAVAKMREKGKPAASVAEKEKSVGAVVE* >Brasy5G463000.1.p pacid=40077260 transcript=Brasy5G463000.1 locus=Brasy5G463000 ID=Brasy5G463000.1.v1.1 annot-version=v1.1 MAATAGAGTSSEGAARVLLQRYQPFGSPPGEYHHFGPPDGSGSGAGAGEMKEAIVLRTPLKRKHNREDNGVAESNDWMMSPGYANAASSPVPTPPSGKGSKHSTKLKAAKGQKSCSQAPLFSGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSVDEQISEMRDKLRELTEDENNQKWLYVTEDDIKSLSCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGTIDVYLVSQFEEMSGMDTPPRPAQTISTDSLENPRTPVAAGSNKDAEMELNIQDEPIIPPDAPTSSQDIGGMMKIVPSDLDIDADYWLLSDTGVSITDMWKTAPEVEWDGMDINADDFVEVSTPRQQGQLPSDIADLPSCIS* >Brasy5G463000.2.p pacid=40077261 transcript=Brasy5G463000.2 locus=Brasy5G463000 ID=Brasy5G463000.2.v1.1 annot-version=v1.1 MAATAGAGTSSEGAARVLLQRYQPFGSPPGEYHHFGPPDGSGSGAGAGEMKEAIVLRTPLKRKHNREDNGVAESNDWMMSPGYANAASSPVPTPPSGKGSKHSTKLKAAKGQKSCSQAPLFSGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSVDEQISEMRDKLRELTEDENNQKWLYVTEDDIKSLSCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGTIDVYLVSQFEEMSGMDTPPRPAQTISTDSLENPRTPVAAGSNKDAEMELNIQDEPIIPPDAPTSSQDIGGMMKIVPSDLDIDADYWLLSDTGVSITDMWKTAQVEWDGMDINADDFVEVSTPRQQGQLPSDIADLPSCIS* >Brasy5G463000.3.p pacid=40077262 transcript=Brasy5G463000.3 locus=Brasy5G463000 ID=Brasy5G463000.3.v1.1 annot-version=v1.1 MMSPGYANAASSPVPTPPSGKGSKHSTKLKAAKGQKSCSQAPLFSGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSVDEQISEMRDKLRELTEDENNQKWLYVTEDDIKSLSCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGTIDVYLVSQFEEMSGMDTPPRPAQTISTDSLENPRTPVAAGSNKDAEMELNIQDEPIIPPDAPTSSQDIGGMMKIVPSDLDIDADYWLLSDTGVSITDMWKTAPEVEWDGMDINADDFVEVSTPRQQGQLPSDIADLPSCIS* >Brasy5G463000.4.p pacid=40077263 transcript=Brasy5G463000.4 locus=Brasy5G463000 ID=Brasy5G463000.4.v1.1 annot-version=v1.1 MMSPGYANAASSPVPTPPSGKGSKHSTKLKAAKGQKSCSQAPLFSGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSVDEQISEMRDKLRELTEDENNQKWLYVTEDDIKSLSCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGTIDVYLVSQFEEMSGMDTPPRPAQTISTDSLENPRTPVAAGSNKDAEMELNIQDEPIIPPDAPTSSQDIGGMMKIVPSDLDIDADYWLLSDTGVSITDMWKTAPEVEWDGMDINADDFVEVSTPRQQGQLPSDIADLPSCIS* >Brasy5G220100.1.p pacid=40077264 transcript=Brasy5G220100.1 locus=Brasy5G220100 ID=Brasy5G220100.1.v1.1 annot-version=v1.1 MFGSTNPFGQQSSGGAFGQQASSNPFGGQTGGAFGQQASSNPFGGQTSAFGGAASNPFAPKPFGSPNPVFGAAPAFGQPSTPAFGGTSTGAFGQQSTPAFGGTSTGAFGQQATPVFGSTSTGAFGQQSAPAFGSTSTGAFGQQSTPAFGTTSTSAFGQQSATAFGSTSTGAFGQPSTSVFGTPSPSPFGSSAPAFGTSPAPAFGATSSGFGSGSLFGQKPSFGGFGSSPSQSSTFGSTFQQTQPAFGNSTFGATTPAFGSSSTSLFGASINTTFGSTTPAFGSSGTGAFGVNTAPASSFGTSTSAFSFGSSPSFGQTTAATGTTPFGTNPFGSQAAATTFGQSSFGNQSGGTRIQPYVQTPDPDSATSGTQPAAKFDSISAMPAYKEKSHEELRWEDYQRQDKGGPNPSATPAMNSFLPPQQNFQPSQPIAANPFAPKPSPFATTPSPFSSSTSTQSFGQSAFSANTSPSLFASNTSSIFNPPSTTTNPFGTGSSISNNTQSAGLFQSSPAITQQPFSNSFNQQSSTPAFSTSMFNTSSLGMTGGLFSNTSSPFTTSTFQQPAPVQTTSLFSFQTQPGAFSGVSNTMNLAPFGQQTTSQPNMVMQPTMVPSPFGTLPVMPQMSIGNGGSSPSIQYGISSLPVAEKSLPSRTLSMVVPRHLSQRRIKLLPRKYNATSDGKVPFFADDEESPATPKADAFFIPRENPRSLIIRSTEHWPSRAVLDRQSIPRDLADLDKHKDAYVGRERNKAAMSPSRTAPVENRDDQRASSEPEASARHANGATIEKQQQQFMPKLLSQADYFTEPSLAELAAKERAEPGYCRRVKDFVVGRRGYGSIKFLGETDVRGLDVESIVEFNEREVVVYKDDSKKPPVGEGLNRAAVVSLLKIKCMNKKTGDQYTEGPRVDRYREMLAKKAEEQGAEFISFDAANGEWKFRVKHFSSYGFEEVDSCGL* >Brasy5G349900.1.p pacid=40077265 transcript=Brasy5G349900.1 locus=Brasy5G349900 ID=Brasy5G349900.1.v1.1 annot-version=v1.1 MAEDKKDHPRLNERILSSLSRRSVAAHTWHDLEIGSGAPQVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFVPRTLCEDGDPIDVLVLMQEPIIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLKELSPHRLAEIRRFFEDYKKNENKDVAVNDFLPSNTAQEAIKHSMDLYAEYILHSLRN* >Brasy5G389600.1.p pacid=40077266 transcript=Brasy5G389600.1 locus=Brasy5G389600 ID=Brasy5G389600.1.v1.1 annot-version=v1.1 MVHGAARGIAVPTNLPSVWNSLQQQLQEMHGANGVSVEGSFFQSIFGSLLKEGFCTCSEGKRRCVFFSQNSYVGYEVQFLNLSSTWRPDIQMALHWRLFLFIHLLSIC* >Brasy5G037600.1.p pacid=40077267 transcript=Brasy5G037600.1 locus=Brasy5G037600 ID=Brasy5G037600.1.v1.1 annot-version=v1.1 MCEESNFSLQASKSQIPLFFFLLSGDTKITGARVRPSTASPRSRHVGTWADTAQSRACSWQPQTMPGPQVLARLQLSAAPPSLLVRPASGTGAAEVAACRRPSGR* >Brasy5G076200.1.p pacid=40077268 transcript=Brasy5G076200.1 locus=Brasy5G076200 ID=Brasy5G076200.1.v1.1 annot-version=v1.1 MADPSYLEMSPFGGVVRTIEFNLRNLYLKQVDSAAGPAGTNRSKLLSMDISIGLGSKSAASDYWAVYDGVGPDKKLVARAQGLRASPGNWHNSFSMVFEDGRLKGSTLEVMGASVEKEGEWAIVGGTGEFAMAQGIIVRKIYQQNATKDTMELTISGLCTKKIVAMTPAKNGPWGGDEGFPRDTKELPMRLESMTIRYEGLIDSFEFSYTDQSGNKQTEGPWGAGGPTSGNTQTIILGPSEFVKEVSGTYGSTFNTTNVKSLMLVSNVRSYGPFGNPNYDNVQGTPFKFTAQNGSVVVGFFGRSDQFLHSFGVYTL* >Brasy5G090100.1.p pacid=40077269 transcript=Brasy5G090100.1 locus=Brasy5G090100 ID=Brasy5G090100.1.v1.1 annot-version=v1.1 MLQLVERNSFGRMKKFRMDDLLRELAVDLCQKDCFGDIYEEDKCGGSLQMDGRRLVVHKLKKDIQQSFSSVHRLRTVITLDSSMPSFTLLSLLSNKSIYMTVLELSGVPIEKIPDAIGDLFNLRHLGLRESKVKLLPKSVEKLLNLLTLDVSRSKIGELPGEIVKLKKLRHLFVEKLNDPSGRGFQCHSGVRIPNGLGNLTNLRTLQALEAQDESVRQLEELRQLRSLRIWNVKRFYCERLCKSLVQMRFLSSLDVSASDEDEVLRLSVFPRNLQKLFLRGRLAEGALDESPLFQAVAEQNLYSLSLSWSQLREDPLPSLSRLSNLTELFFIRAYNGEQLAFLAGWFPKLKILSLRDLPNLKRLETQQGAMATLEKLYLVNLSSMTEVPAGLEFLKPLQDLAFMEITSEFFMSLRQCSTLPPCVGGILSEIELLAAAGAES* >Brasy5G502000.1.p pacid=40077270 transcript=Brasy5G502000.1 locus=Brasy5G502000 ID=Brasy5G502000.1.v1.1 annot-version=v1.1 MSSPRRPERSPAARSNCGDNGYVETDPTGRYGRFEELLGKGAMKTVYKGFDEVRGVEVAWNQANLADVLRTPDALHRIYSEVHLLSTLRHDSIIAFHASWLSSSSSSSSSSSSSPRAGRTFNFITELFSSGTLRSYRLRYPRVSLRAVRGWARQILRGLAYLHGHDPPVIHRDLKCDNLFVNGHQGTVKIGDLGLAAVLRGARASAHSVIGTPEFMAPEMYDEDYGVLVDVYSFGMCVLEMLTAEYPYSECCNPAQIYKKVTSGKLPDAFYWVEDQEARRFIGRCLVAASGRPSAQELLLDPFLSAQDYTMVITSLQSPPPPPPLLLPSTLSTMTSGVPAAGRRQQDDVEEKAAEPARTDMTITGKLNTDDDTIFLRVQIADETGHVRNIYFPFDIAGDTAAEVATEMVKELDIADRDPSEIAAMIEQEITRLVPGYRPRRGSEQLPECYTYADDDDNEEQPPFYYLSSSPTSSYGSRCGVGPAASLGFPGPHGSHGGWFQDYPASSCSDEDDTSSTTSTGSALHYNSSEEAHQPEEKKLSSNSSSKTGQAQVKPTTRFGPGESSNSPRSPRLSRNRSMLDVRSQLLHRTLVEELNKRMFFNTVGAVENIGFRHIPGYSGGGGGSSLSASGPATTTSSSSRGVGGRKNGGKLNKHQL* >Brasy5G130600.1.p pacid=40077271 transcript=Brasy5G130600.1 locus=Brasy5G130600 ID=Brasy5G130600.1.v1.1 annot-version=v1.1 MASSSSKVAFPSVVLALWLLVLAALAGSIVLIVTNKVNVTFAPGDTYSLSFEAAYSYRYVLGIAVVGCAYTLLQIPLAGVAIAKRSKVIGGTANVALFLICADVVFALAFATAAGAGFGFSYDVKRSLDEDYNGLDTRDRAENSEYHSKMDTFFVHGYAAAALVLVAAKSVAAVVLISVYALVK* >Brasy5G042800.1.p pacid=40077272 transcript=Brasy5G042800.1 locus=Brasy5G042800 ID=Brasy5G042800.1.v1.1 annot-version=v1.1 MLRWLARPAERCLGRNGCGCGGAGGGGGDGLLWNAELKTHASGQYSMAVAQANERLEDQGQVATSPAATFVGVYDGHGGPEASRFLSSHLFPHLHKFASEQGGVSTDAIKKAFHATEEEFLHLVKGSWLKRPKIAAVGSCCLVGAIANNVLYVANLGDSRVVLGHKGPNGRGVVAERLSNDHNVADEEVRKDLAEQHPDDSHIVVYTKGVWRIKGIIQVSRSIGDVYLKKPEFAKNPKFQHHVCPVPLKRAVLTAEPSIKVHHLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALSEAARKREMKYADIQQIERGIRRHFHDDITVVVVYLDNHKHGAQPKFSNLNSFRFTNAPEDIFSGRSDQPGHQPLSGAVG* >Brasy5G042800.2.p pacid=40077273 transcript=Brasy5G042800.2 locus=Brasy5G042800 ID=Brasy5G042800.2.v1.1 annot-version=v1.1 MTEFASEQGGVSTDAIKKAFHATEEEFLHLVKGSWLKRPKIAAVGSCCLVGAIANNVLYVANLGDSRVVLGHKGPNGRGVVAERLSNDHNVADEEVRKDLAEQHPDDSHIVVYTKGVWRIKGIIQVSRSIGDVYLKKPEFAKNPKFQHHVCPVPLKRAVLTAEPSIKVHHLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALSEAARKREMKYADIQQIERGIRRHFHDDITVVVVYLDNHKHGAQPKFSNLNSFRFTNAPEDIFSGRSDQPGHQPLSGAVG* >Brasy5G139200.1.p pacid=40077274 transcript=Brasy5G139200.1 locus=Brasy5G139200 ID=Brasy5G139200.1.v1.1 annot-version=v1.1 MGRSLSPLLRQELENLDKDADSRRNAMKTLKSYAKQLDSKSIPHFLAEVSDNKAPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPEEEKAGIIASLCKPLCSALMGNQDGAASGAALCLKALVESSNWRFASGETVNEVCLKVAGAMHNKSTQSNAHMGLAMALVKHNGLIAEAYARSLVRSGLQILDDDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDVMEQCQNDRMPFVRGAAFETSQTAKNIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWSAKGSPGSSTMSLSPVQFQSPESHVVDSSIMCDSTLTESPISVGQSSCNFDQNRRANRRLWSNDGVDVSLKDGLFLRFCSNSKCLDDDMGEVCDSEVTDTNFECTDTFTGFVSASPNSTMSKNKTPSPQASEKPVSIDDVKIYTTPRKLLRSLQSSYDFDSTRHEEQSIAKLNSSSSSSSSSSSSSDQQHKELVESSEDMQSQQSDNKAEEQKEETGISYVPSANGSTEIVSNEDKSGFSTIEVENTSCKASAEVECKEQDVCVRSSRGNTRKFKAIFSVLLSMIIVFIAIIAVLIRIDSCEEYVGLVPT* >Brasy5G298000.1.p pacid=40077275 transcript=Brasy5G298000.1 locus=Brasy5G298000 ID=Brasy5G298000.1.v1.1 annot-version=v1.1 MPMASSTHLGAVLAMILLLIPLSAARAVLPSSDDDGGSAKTAAYTKVCDASRFAAAGLDMSRYRYCDAKLPYGDRVRDLIGWMTVEEKVSNLGDWAAGAPRVGLPPYKWWSEALHGLSSTGPTTKFDDPKKPRLHSGRAAVFNGTVFANVINSAATFNESLWRSIGQAISTEARAMYNLGKGGLTYWSPNINVVRDPRWGRALETPGEDPFVVGRYAVNFVRGMQDVDAAGFTGDPLSRPLKTSACCKHYAAYDVDDWYGHTRFKFDARVTERDMVETFQRPFEMCVRDGDASAVMCSYNRVNGVPACADARLLAGTVRRDWGLHGYIVSDCDAVRVMADNATWLGYTPAEASAASLKAGLDLDCGESWIVQKGKPVMDFLTTYGMAAVRQGKMRESDIDNALVNLYTTLMRLGYFDGMPRYESLDEKDICSEPHRSLALDGARQSMVLLKNLDGLLPLDAAKLASVAVRGPHAEAPEKVMDGDYTGPPCRYITPREGISKDVNISQHGGDVTIYFGGINMHIEREGNDREELLLPKNQTEEILRVAAASPTPIVLVILSGGGVDVSFAQSHPKIGAILWAGYPGGEGGHAIADVIFGRYNPGGRLPLTWFKNKYIHQLPMTSMALRPRPEHGYPGRTYKFYDGPHVLYPFGYGLSYTKFRYELLSKDTAVALAPGRRHCRQLSYKTGSVGPDCPAVDVASHACAETVSFNVSVVNAGKADGANAVLVYTAPPAELAGAPIKQVAAFRRVAVKAGAAETVAFTLNVCKAFGIVEKTAYTVVPSGVSTVIVENGDSSAVSFPVQISFSA* >Brasy5G434000.1.p pacid=40077276 transcript=Brasy5G434000.1 locus=Brasy5G434000 ID=Brasy5G434000.1.v1.1 annot-version=v1.1 MAAFVILSLCFPLLLKTCGSEKQIALSGSLLSAATIFRTQRRPATCPMASSLAVFHPAAGHLPSPRRPQNPAYPRSPPFLLHPPASGPPRRSRRFAAEFAIGGGGGNGRRRGAGVDVAAIAGALRDAKTADDVESLVKGFVGGDEERLPLKVYTTVIRGLGKEKRLDAAFAVVEHLKRRGGSSLNQFVYNCLLGAVKSCGEFGRIGDVLTDMEAQGVSPNIVTFNTLMSIYVEQGKIDDVFRVYHDIEGCGLVPTAATYSTIMSAYKSAGDAYAALKFFVKLRERYKNGELMGNPADWEPEFVKYEKLAVRICHMAMRRSLAGGNNPAAAALKVLLAMDEAGVRPDRSYYERLLWACTGEEHYTIAKELYQRIRECDGGISLSVCNHLIWLMGKAKKWWAALEIYEDLLEKGPQPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQEKGLKPGSREWNAVLLACSRAAETSAAVNIFKRMIDEGLKPDVVSYGALLSALEKGKLYDEALRVWKHMLKVGIDPNLHAYTILVSIYIGKGNHDMVDTVLRDMLYAKIEPTVVTFNAIISACARNNKGGAAFEWFHRMKMQNIEPDEITYQVLIEALVQDGKPKLAYEMYIRACNQGLKLSAKSYDTVIDACQAYGSLIDLTNLGLRPTTEVDPLR* >Brasy5G074600.1.p pacid=40077277 transcript=Brasy5G074600.1 locus=Brasy5G074600 ID=Brasy5G074600.1.v1.1 annot-version=v1.1 MEHHAHESTVSGRATPLRLLLLFLLLCFSQLHGASSAPGEAEALLGWKDSLQQRHAATLALASWDWGAAANSTVAACWWRGVSCDALGRVVGVSVAGAGLAGTLDALDLSWLPSLRSLNLSSNSLTGSFFPSNTSGPLLSITSVDMSRNNLSGPIPATLPGYMPNLEHLNVSSNQLSGEIPASLANLTKLQSLVLGANRLSGGIPPVLGSISGLRQLELYSNPLGGAIPASLGNLRSLESVNISLALLESTIPSALSGCTNLTVLGLAGNKLSGELPVSLAKLTKLREFNVSKNMLAGAILPDYFTAWTHLTVFQADKNRFSGEIPAEVGMASRLEFLSFATNNLSGTIPLAIGRLTNLKLLDLAENEFSGTIPRTMGDLSRLEILRLYDNKLAGRLPAEFGNMTALQRLSINNNMLEGEISELARLPSLRGLIAFENLFSGPVPPDLGRNGLLSIVSMSDNSFSGGLPLGLCTSAPRLQFLALANNHLTGAVPPCYRNFSKLLRFRMARNRLAGDLSEMFGSQPDLYYVDLSDNLFQGVLPEHWAALQSLSYLHLDGNNISGKIPPGYGAMAALQDLSLAHNRLAGTIPPELGQLQLLNLNLGRNRLSGRIPPTLGNISTMLLLDLSGNGLDGGVPVELTKLAHMWYLNLSDNSLTGAVPALLGKMSSLEKLDLSGNPGLCGDVAGLNSCSQNSTGGGPRRYKARLNLVIALSVASALLAFVAVVAACVLVASKRRRRSGHESRDHDNKPVTRASEEGTPTDLQASIWGKDVQFSFGDILAATEHFNEAYCIGKGSFGSVYRADLPCGHSLAVKRLDVSETGDACWGVSEKSFENEVRALTHVRHRNIVKLHGFCATGGGSMYLAYERVERGSLGKVLYGGGGRSRERFGWPARLRAVRGLAHALAYLHHDCSPPVIHRDVSVNNVLLDAEYETRLSDFGTARFLGPGRSDCTNLVGTYGYMAPELVYFRVTTKCDAYSFGVVAMEVLMGRFPGELISALHGSDGACAAGNESVALLLLRDVVDQRLDPPAREMAGQLVFAFVVAVSCVRMNPDARPTMRAVAQELSARKRSVFLDRPFEAISIGDLANTRV* >Brasy5G476800.1.p pacid=40077278 transcript=Brasy5G476800.1 locus=Brasy5G476800 ID=Brasy5G476800.1.v1.1 annot-version=v1.1 MVGSPTKRPAARRVMAARRPLEKVGMAGLVAVAAAAAALLLLFVCATASLRCSAAVGYALAAPQKLWWSGGVSIAAEASSAAAAERPRVDVRAAEAEAAGERARVVGPVAAAVGAERAQAVVPVAAVGAEECDLFDGEWAWDDGRPLYESRDCPFLDTGFRCSENGRPDSSYAKWRWRPSRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSSAVPDKSSIYEIHGSPITKHMGFLIFKFSDYNCTVEYYRSPFIVLQGRVPAGAPKVVKYTVRVDVMDWMSGRGKWRDADVLIFNTGHWWNYEKTIRGGTYFQEGDEVKMEMTVNDAYQRSIRTLFDWLHKEVNTSKTQVIFRTYAPVHFRGGDWRTGGSCHLETLPDTTPFKSLEQWADLLQPVHNVLGSSIRPELPGLDILNVTQMTAQRKDGHLSVYLSPSGPVPLHRQDCSHWCLPGVPDTWNELLYAVFMKRQVMMDQNVSLAGPRTLSTG* >Brasy5G416200.1.p pacid=40077279 transcript=Brasy5G416200.1 locus=Brasy5G416200 ID=Brasy5G416200.1.v1.1 annot-version=v1.1 MAELEFYSLRAMAAAGEGRRRRTPAAGEGRRRRPPQGKGGRGGRRPPWKGGGGGRRRGREEEEDAGRGGREEEEAAGRRGREEEASRREREEEEATGGWRNRRPQGKGGGGRVGGWVGRGKKKEKTVRLGKDSPAGWNFSLRR* >Brasy5G065300.1.p pacid=40077280 transcript=Brasy5G065300.1 locus=Brasy5G065300 ID=Brasy5G065300.1.v1.1 annot-version=v1.1 MAASSFVVAVLVLALTTGSAHGHGSKGGLSSTFYDESCPGAQDIVRRVIQDARVADARIPASLIRLHFHDCFVQGCDGSILLDEDLQMMIQSEKGVPANDNSARGFPVVDDIKRALEQACPGVVSCADILAIASEVSVQLAGGPYWRVLLGRRDGMGTNIQGANDLPSPFDSLETLQEKFRNFGLDNTDLVALQGAHTFGRVQCQFTLQNCTAGQADEALENLDQATPDVFDNKYYGNLLRGRAQLASDQVMLSDPVAATTTAPIVHRFSDSQKDFFKNFAASMIKMGNISPLTGKDGEIRNNCRRVNRKPY* >Brasy5G291900.1.p pacid=40077281 transcript=Brasy5G291900.1 locus=Brasy5G291900 ID=Brasy5G291900.1.v1.1 annot-version=v1.1 MVHVSHIYLLCLQVGLYCCPSSLVWRCLADLWCAVAMLLPPAFCPMLLSVLVFRARHWSRCLSSTPLN* >Brasy5G342800.1.p pacid=40077282 transcript=Brasy5G342800.1 locus=Brasy5G342800 ID=Brasy5G342800.1.v1.1 annot-version=v1.1 MDFDLLLSSSPEAQLALMNTMLQLEQALIADQSPMASSVDTSSPPISPVQTPPHSHSLSPPPHVSSLSSTTTDASAIGYNNQDMCFSMHAAYCGAGAGTGIGAQQEYYMSPGAQQQPQRAPQRDAMREMIFHIAALQPVMNDDDVDAAEAVRPAKKQRRNVRTSKDPQSVAARLRRERISERIRVLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLELAAAHRAAAFGAGAAYPAALQHAPW* >Brasy5G127400.1.p pacid=40077283 transcript=Brasy5G127400.1 locus=Brasy5G127400 ID=Brasy5G127400.1.v1.1 annot-version=v1.1 MARPSVHIPSLEALLLLGTIALLILATLADESDDNRQALLCLNSCLSIWNSTTSPDFCTWRGVTCTTTTEPPVAAAAVALDMEGLGLTGDIPSCISNLTSLVRIHLPNNQLSGHLPPELSQLTRLRYLNLSGNTITGEIPASLSSCAGLEVLALSSNSIGGAIPPSLGYTLELKYLDLADNGLTGTLPPSVGNLSSLTALLLSQNQLQGNIPDLSKLSGLQFLDLAYNNLSGTVPPSIYKLSLLTFLGLANNNLGGTLPSDMGNSLPNINVLMMSNNHFEGTIPASLANASRMEFMYLGNNSLSGVIPSFGAMPNLQIVMLHSNQLEAGDWTFFSSLANCTQLQKLNLGRNILRGYFPVNSVADLPKTLDGLTLRSNYISDTIPLEIGNLSKTSMLYLDDNLFTGPIPSTLGKLRNLFVLSLSQNMFSGEIPPSIGNLNQVTELYLHENQLSGDIPASLAGCQKLVALNLSSNTLSGNINGLMFSKLNQLSWLLDLSHNQFTYSIPVELGSLINLGSLNLSHNKLTGKIPSTLGACVRLESLRLEGNLLQGSIPQSLANLKGVKVLDFSRNNLSVPTGGVFTNTNNAYIQGNPHLCSSVGVNDFPRCSTLVSKRKQKFIVPLLAALLGVVAVALILGLFFYVSNVLRKRKLKSSDSIDHTYMEMKRLTYNDVRKATNSFSSTNIVGSGQSGTVYKGQLDGEDTMVAVKVFRLNQYGAVGSCKALQIIRHRNLVKVITACSTYDPMGNEFKALVFEYMANGSLEDRLHAKLHKQNADLGLGVRICIAVDIACSLEYLHNQCIPPVVHCDLKPSNILFDDDDTAYVCDFGLARLIRGYSSGDQSNSTSVVGPRGSIGYIAPEYGMGSPISTEGDVYSYGIIILEMLTGQRPTDDAFRDGLTLRKYVEASLSKVEDILHPSLIAEMRHPRDDHTPRAEEYRITTQMGVCVLQLLKLGQICSEELPKDRPNMHEIYSEVIAIKEAFFSMNSY* >Brasy5G475400.1.p pacid=40077284 transcript=Brasy5G475400.1 locus=Brasy5G475400 ID=Brasy5G475400.1.v1.1 annot-version=v1.1 MVGGRRGKSSPSTEEGWIHVHGGDHDLPLSFSYASSIGDEDDFNEGDHTHRTKTKKRSTTVFESSSQDVLANAPETTLGAAALASHYASLVVFLEKLAVSPRHICPDERDALYGMLTANLRASLRSRLRPPFSAIGGSKKKKTKKKSRGSCYGDPVLAAEWADAVEGILGWLAPLAHNTVRWRSERSFEQRHVGGGGSGVLLLQTLHFADREKTEAAITELLVGLNHLWRHGTELSAARPKLESTGGGDVYHDCRDYIG* >Brasy5G059000.1.p pacid=40077285 transcript=Brasy5G059000.1 locus=Brasy5G059000 ID=Brasy5G059000.1.v1.1 annot-version=v1.1 MTKVPLFLSPLFSPRFPSPARALQPACARRRAVAAAEAGVVQEAAMSEAAATGEYPCPVSPPYPAVSKDVELRRAMTASARSGVYASAAVVFEDEWLAVVDKPAGVYCDALLSALPCSAAAAAGDPATKPNLHLANRLDRDTSGLMVITKCNKVAAKLVKAFTEHKVKKTYLALCVGYPPAWEKIRICSGHGRSKHGAWRVYAMSDVGRTLPGGSSVRDMSTKFEVLGINGKGQFREPSNVDIDDIELVTVQEKAADHSSTDDLKNHMILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGVDCDGHALHAESLSFVHPVTGLPITFRAPLPSWANEVISTMG* >Brasy5G343600.1.p pacid=40077286 transcript=Brasy5G343600.1 locus=Brasy5G343600 ID=Brasy5G343600.1.v1.1 annot-version=v1.1 MGMLKPFPHSLSVPAQGNPSWCRRRAATRGGGRWASCKATASTNLEDLVTGVPAPEQVEAVRCLNLGGWVEQHLLPLLTPVDESWQPSDLLPCFSLSPRPTQQQQQQAMTTEELQARAAGVPDDVLVCLVGNMVTEEALPTYMCMGNRAEGARDATGCSEDPWARWLRGWTAEENRHGDLLNRYLYLCGRVDMRQVERTVHHLLRNGMRMPVPPSSAYHNVIYGAFQERATFVSHSRVARHAARHGDRCLARICGAVAADERRHETAYARAAGKLFEVDPDGMARALADVLRAKVAMPGQFMTDGRDADLFARFSAVAQRAGVYTAKDYGDMVEHFVRRWKVAELGGAGGQMSGEGRRAQEYVCGLPRKIRRMEELAHDRATKAAKEPEFARFSWIFDRPVCIRA* >Brasy5G024100.1.p pacid=40077287 transcript=Brasy5G024100.1 locus=Brasy5G024100 ID=Brasy5G024100.1.v1.1 annot-version=v1.1 MPEQRTATDREQHLYLLFDDWDSGFSIRKVSLSRRSGKQPLSAGSDKEGVEPVPEVFMHMRAPRGYPDLFTSAFGTKITAMDTDMDDTAEGIPMIDVQEQALVFGPDPCNYNGFPIYFPVGDNKLFVLDMGTFDCYLRTPEDSEEPWGSYNLACPPFDRTDVSSYGVLPDGCILVSTTKSTFIFDTTKEEHVWKPYGNWVLPFTGRGHYDTSLGAFVGLSEDGYLYCSTMAASTGTGLDTGKTLHPSPDIKRTKNKVYTEGQHVSATLVHMRQGRFCLVECVSADNARTGQELKSWINEFRVPPGAAAWDVVEGGPQGGRCMYRLKTFSLIYDRKGFFLKLTDCKVRRYSLPHEARIGSVCMDPVAFWL* >Brasy5G225900.1.p pacid=40077288 transcript=Brasy5G225900.1 locus=Brasy5G225900 ID=Brasy5G225900.1.v1.1 annot-version=v1.1 MGTNPSRRPGMSTPKVKRRVGKYELGLTIGKGTFGKVNFAMNVETGEAVAIKILDKEKLLEHKMVGQVEQAIATMKLIKHPNVVHIHEVMGSKTKIYIVLELVNGGELFDKIVNYGRMSEDEARRCFQQLINAVDYCHSRGVYHRNLKPENLLLDNCGNLKVSDFWSSALPHQLKGDGLLHTTCGTPNYVAPEVLEDQGYDGAMADFWSCGVILFVLLAGYLPFEDSNITALYKKISNAQFTCPPWTSFPAKRLLTRILDPNPMTRITIQEIFEDEWFKKGYERHRKEEPVALNAFELISMSAGLDLGNFFNCELDFQKERRFASKLPPKEIIRKIEETAKPLGFNIHKTNYKLRLENVKAGWKGNLSVAIEVLQVEPSLHMVEVREVKGDTLEFHQFFKNLSNTLKDTDWKSDDLLSKSS* >Brasy5G181000.1.p pacid=40077289 transcript=Brasy5G181000.1 locus=Brasy5G181000 ID=Brasy5G181000.1.v1.1 annot-version=v1.1 MMMGLGGVALGDPPADYGSIAAVGMFVALMCVCIIVGHLLEENRWMNESTTALLIGLGAGTVILLVSSGKNSRIMVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFAVVGTLISFSIISLGAMGIISKLNIGSLELGDFLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLANFSSFKFLQLVGSFLYLFGTSTFLGVATGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDIEKWKIVSETYSPMKSVALSSIIVALVLVARAAFVFPLSYLSNLTKKTPGEKISIRQQVIIWWAGLMRGAVSIALAYNKFAKSGHTQLPSNAIMITSTIIVVLFSTIVFGLLTKPLIRLLIPTRHITRELSALSEPASPKSFLEQLTAGGPDPENGVGIRRPTSLRMLLASPTRSVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTESSVPLLAPVNES* >Brasy5G462300.1.p pacid=40077290 transcript=Brasy5G462300.1 locus=Brasy5G462300 ID=Brasy5G462300.1.v1.1 annot-version=v1.1 MVHQNIEQAIKVSDEMLDQVDIAQQAEAMLLWGPRGNIDGYLEAVDILKGVVGFFSSKENFKGVKIFLHQANILLSKAFLIIEGEFKQLLHTHSKPVEPDSLYVSPPKLQLASKGDSEVGGGNRTQSEHPSKILETAIYRTPTLIPPEILQLLHRIAQQLVQAGNQQSCYNIYRDARSSALELSLQKLGVQNVSKDDVERMQWLALEAKTGDWTQFMRIAVKHLLARERKICDQVFDCISFNKDQCFAELARTGVLTLLSFGDAVAKSKSFPQKSFLLLEMYEVMHELRSEVEVIFQGEFCSEMLEATLGLMKRLAQTAQESFLDYKEVVESDTSNTNVQDGTVHTLTYNVINYVNFLFDYQSALKLVFQEYGTGGDAESQLAVILESIMEALQNNLDVKSKLYKDPALMYIFLMNNIHYMVKSVRRSEAKDILGDDWIQRHRRIVLQNANHYKRVTWTNVVQTLSVPVPGVSSPGSSAPSDLSNIGVSRTIVKERLKSFNMQFDELRTKQYRWTIPDPQLQETLRLAVAEVLLPAYRSFINRFGNLIEQVKNPRKYLKYSPEQLEQLLGEFFGGQQLGERKQ* >Brasy5G326800.1.p pacid=40077291 transcript=Brasy5G326800.1 locus=Brasy5G326800 ID=Brasy5G326800.1.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMSYIGLLICKGYKCSANIVKVCFYLSIIQSLNEDCVLLLPV* >Brasy5G326800.2.p pacid=40077292 transcript=Brasy5G326800.2 locus=Brasy5G326800 ID=Brasy5G326800.2.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMSYIGLLICKGYKCSANIVKVRSYKLTILFENWISYICS* >Brasy5G326800.7.p pacid=40077293 transcript=Brasy5G326800.7 locus=Brasy5G326800 ID=Brasy5G326800.7.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMRCGLNHFSVLIIKYSAFILSTFCWVS* >Brasy5G326800.3.p pacid=40077294 transcript=Brasy5G326800.3 locus=Brasy5G326800 ID=Brasy5G326800.3.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMRCGLNHFSVLIIKYSAFILSTFCWVS* >Brasy5G326800.8.p pacid=40077295 transcript=Brasy5G326800.8 locus=Brasy5G326800 ID=Brasy5G326800.8.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMRCGLNHFSVLIIKYSAFILSTFCWVS* >Brasy5G326800.9.p pacid=40077296 transcript=Brasy5G326800.9 locus=Brasy5G326800 ID=Brasy5G326800.9.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRVNHFSMRFVSIF* >Brasy5G326800.10.p pacid=40077297 transcript=Brasy5G326800.10 locus=Brasy5G326800 ID=Brasy5G326800.10.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRLYWIAHLQGL* >Brasy5G326800.11.p pacid=40077298 transcript=Brasy5G326800.11 locus=Brasy5G326800 ID=Brasy5G326800.11.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLTYEGEADAREEMARLWEHVQKQDLVIGQLRNQQGSHENNETTRMWA* >Brasy5G326800.4.p pacid=40077299 transcript=Brasy5G326800.4 locus=Brasy5G326800 ID=Brasy5G326800.4.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLVRA* >Brasy5G326800.5.p pacid=40077300 transcript=Brasy5G326800.5 locus=Brasy5G326800 ID=Brasy5G326800.5.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLVRA* >Brasy5G326800.6.p pacid=40077301 transcript=Brasy5G326800.6 locus=Brasy5G326800 ID=Brasy5G326800.6.v1.1 annot-version=v1.1 MDSRSWRAEAEIICAASYRVVSDSQLRRRPYITAAACSRGGASKPPSARHVALGLFGRAQLVKARAENPSRPTPPYAPLPPPLPPRPRRRRLAPRRRPPPPPPPSSPCLLPAPSPRASSPRPLPAPSSSRLLPAPSSPPPSISSPAPSSPRLLPAAVHLLPRASSPRPPPRGSSPPPSTSSPVPPPRGSGAGCCRSDRPPPPSGLPAPWTGQIRCRSPPIRLPSSSPSPSSSTRDGLPPPPTRAPPPPHTPRPPPPSHPRPPPPLPAPGRRLPLLLRLALPCRLPLPSSSGLPLALPLNLPLVRA* >Brasy5G414300.1.p pacid=40077302 transcript=Brasy5G414300.1 locus=Brasy5G414300 ID=Brasy5G414300.1.v1.1 annot-version=v1.1 MDVSKPSSKWQGLTTELRKALSTSSGASLPSRHMTYSITSASHGSVIRPSLAKPNSSWDILRSSPTTAVPRYGSGTSNRAPSAVYITQCPFTATGDEGDVAQHSSDVLLVVRAILFLPMAAILCHFLAI* >Brasy5G383400.1.p pacid=40077303 transcript=Brasy5G383400.1 locus=Brasy5G383400 ID=Brasy5G383400.1.v1.1 annot-version=v1.1 MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALSGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILWGVRPVPGRHACVGNPEDLVLTSSSNGIGSKKAQSTNGLKTTNGLKDAGILSLEKEKSKLDKINAPHKTIGTENKKPLLTKSKSSLSKQALNGVSDKKEAVKSKAKPASIRSTPSSPTSVYSLPATFDRFSSDLRQRHGVKGPEKASSSRLSLLEKAASVLKVTTAGRKSSAGNSISSSVLGIGSGPKALRRSWEGTIDTKGKNNSDSKTTKAYKKSENRSTMTPRRKPQVDEKASHKDDSKIPNPARKSTASAPAPSADADKATKKHPPIVKRTSGVLSNPNVTNLVKIPPNGKKLTDASNSWTSLPPPLAKLGRELLKYRESAQVAAVEAMQEASAAESLLRCLSSYAEVSSAAEEQNPQPTVEQFLALHGALSRATVITDTLSKPAASPECSTASDAGTVVSATDEETAAVAAERRRRATSWVSAALATDLSSFGLYNLKPAPATVSSPLAVVVVDESVKPAAASPNAVKSSPSAKSRMSPAKGKPRTGPGATAAAASQPPPEWERGGGAEERGQLARRLGEESRGWFLGFVERFLDADVTAAAPWDRERAARMLPQLKRVNDWLGEIGAPPPDADGEAAVASTNGGGCGVPEETIERLRKKIYEFLLTNVDSAAAVLGGGAAPAPANGKKG* >Brasy5G285200.1.p pacid=40077304 transcript=Brasy5G285200.1 locus=Brasy5G285200 ID=Brasy5G285200.1.v1.1 annot-version=v1.1 MDNSVESHVSCLSDDCLLSILNKLESGSDRSAFGLTCKNWFKVRNIARKSLIFHCSFNSKVHKEYVQSLPKILARSPYLKLISLAGFTELPDSALYEVGLSGTYLQSLSLYCCSGITDDGLAQVSIGCPNLVIVELYCCFNITDLGLESLSQGCNALKSLNLGCCSAISDQGIGAIFRNCRNICALIISYCRTVSGVGFSGCPSTLSYLEAESCMLSPDGMLDTISGGGLEYLDLYNLRNSAGLDALGNVCYAKKLRFLNLRMCRNLTDDSVVAIASGCPLIEEWNLAVCHGVRLPGWSAIGLHCNKLRILHVNRCRNICDQGLQALKDGCMRLEVLHIHGCGKITNNGLALFSMARPSVKQRVDESISIGPSIEDLFRLE* >Brasy5G200000.1.p pacid=40077305 transcript=Brasy5G200000.1 locus=Brasy5G200000 ID=Brasy5G200000.1.v1.1 annot-version=v1.1 MGAVGCSPALQRSGTAPLHFGLASKSQAQKPKSRGAWGGGGGGFNPLGEGEPRELRRPPLFGVVAAGGGVAWIHPSPAPTITLRGIAIAIRLVGVSRSPTVTP* >Brasy5G394400.1.p pacid=40077306 transcript=Brasy5G394400.1 locus=Brasy5G394400 ID=Brasy5G394400.1.v1.1 annot-version=v1.1 MVLGFLAHYTLLLHLHVHGPSPRLHSPLHRAAADQPRPATGDPSACRRLPTEAELMYVCREAALGSSNWWRARSSGGSHSAAQKAGHGPPPPATPSPGFLPRRPPRRSRSFLTGGALCLALLCLLAAHSAVAQKSTAPAAAPAATTTPPAPAKKTPAPAAAPTTTTPPTAPAAALTTTTPATPAPAATPPTTPAPAKAPAIAPPTKAAAFPPAPASKAAAKPPVADAPVAAPPAPTAEAPATIPTKPDAPAPAPAKKKKPSSPSKKKKKKKSGGEERRQRPAVRDAADHEQRAPALGPGGHAVQLRHPRAAGRSGAPLP* >Brasy5G049100.1.p pacid=40077307 transcript=Brasy5G049100.1 locus=Brasy5G049100 ID=Brasy5G049100.1.v1.1 annot-version=v1.1 MERPGDSSNGTDFVVRVASAGSDGIRSDASDHIVGCVGPRRALSFSQAYKMRHRTPQVFTAWQTVVLSFQSLGIVYGDLGTSPLYVFSSIALPGPPDEADLLGILSLILWTLTLMSLVKYVLIVLRADDHGEGGTFALYSLLRQHVSFKAGAGMPAAQATRLASDLDLKFHSKIIRKKPSWVHGFLERSVAAQACITYTVLLGTCMVMGDGALTPAISVLSAVQGIQSRSPKIEQKHVVMMSVVILLLLFLFQQMGTSRVSFSFSPIMVAWFVSLSVIGLYNIVQHYPPVLKAVSPHYIYYYFAKNGAAGWEQLGAVILCITGAEAMFADLGHFNKASIQMAFSVLVYPSLILGYAGQTAYLIKNPGDMDTAFYSSVPGPLFWPMFAVATLAAIVASQSLISASFSIIRQSIALGCFPRATVRHTSDEYEGQVYCPEINYLLMVLCVLITVGFQGGPQIGQAFGVAVIWVMLITTALMTVVMVVIWEVHAVWAGAFFLGYLAIEGMYMSSLMNKVSQGGWVPFAISAFFLAVTLSWTYGRKKKGEYEAKHMVGGGELSALVGSCARVPGVCFFFTDLINGVPPIVRHYAEHTGCLRNLLLFVTVRTLPVRSVLPEERFLVAPVMAAAAADEDEVLPLPAGVYRSVVQYGYMDKQDVEGDEFLDSVVAALKEVAGSEEEAEMMELAWRSGVSIVIGRTILTASEGQLGWFRKFVLDHLYRFLQKNFRSTCAALKIDHAKTLQVGMRYKID* >Brasy5G286100.1.p pacid=40077308 transcript=Brasy5G286100.1 locus=Brasy5G286100 ID=Brasy5G286100.1.v1.1 annot-version=v1.1 MAVGAGEIEAQQQRRRRAYAFLDSVSPDRGRALRWCEAARELRSADGGNDMKEARKLLRGALRCGVRDYAAVYRTWMAMEAEGGGGGIGAARALVLEWGSLCAAKGTDDEYAAFWLAYLAFELRHGGSGSGSGRARAVAEDAARACPRDAAVQARCAALLLQGGRRSSGANKKGRDGSWTRRVAMMVATSVLQCRSIVDPDLRSIGSYVFVL* >Brasy5G078100.1.p pacid=40077309 transcript=Brasy5G078100.1 locus=Brasy5G078100 ID=Brasy5G078100.1.v1.1 annot-version=v1.1 MAGVPSDDLPLQALRVTSIKGLITVCVDALLMCCSIIRGEKPAKCFIR* >Brasy5G261200.1.p pacid=40077310 transcript=Brasy5G261200.1 locus=Brasy5G261200 ID=Brasy5G261200.1.v1.1 annot-version=v1.1 MAGRLSPPRRTMSMGSGSLGRRTAPGVDSPKPGLSRSMTMGNERTVKRLRLSKALTVPESTTVLEACRRMAARRVDAALLTDSNALLCGILTDKDIATRVIARELKIDETPVWKVMTRHPVFIISDTLAVEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARMERASEKGKAAIANVVDGVDKIVIASPGDSVLAATKKMLEAHSCSAVVAVGNKVQGILTSRDILMRMIAKNLPADSTPVDKVMTLDPDCATVDTPILDALRTMQERKFLHLPVMDRDGSIVCIVDVIDITHAAISIVESSGGGVSNDDATISMIQRFWDSAMALGPLDDETDSQSQMSEASRSQIMSEVNHEAAGAEPPYPALFSFKLQDRRGRMHRFSCEVQSLTPLVTSILQRLGADIDRDRLPQILYEDEDQDKVVLASDDDLIAAVDHARLAGWKGLRLFLDYSGTTGRRRSLVTSDGATMALANRDAWAAAYSGVAAGAALVTGLGVMAYLRRSS* >Brasy5G261200.2.p pacid=40077311 transcript=Brasy5G261200.2 locus=Brasy5G261200 ID=Brasy5G261200.2.v1.1 annot-version=v1.1 MIQRFWDSAMALGPLDDETDSQSQMSEASRSQIMSEVNHEAAGAEPPYPALFSFKLQDRRGRMHRFSCEVQSLTPLVTSILQRLGADIDRDRLPQILYEDEDQDKVVLASDDDLIAAVDHARLAGWKGLRLFLDYSGTTGRRRSLVTSDGATMALANRDAWAAAYSGVAAGAALVTGLGVMAYLRRSS* >Brasy5G271400.1.p pacid=40077312 transcript=Brasy5G271400.1 locus=Brasy5G271400 ID=Brasy5G271400.1.v1.1 annot-version=v1.1 MASLPSLLLASAFLLAGAAFLTRHDSNTTTKLNKPTTTHLHFYMHDDYTGPRPTATRVVSGRSLIPNSSISTGRHFGDIVALNNALTEGPRVSDSERVGTAQGFAVRVAEGGVVSDLSMHLAMEAGEFKGSSVAVKGRIDMDLEVRESVVVGGTGKFRLARGYMLSRDYDYTLANGGVIEIDLYLQHHD* >Brasy5G488300.1.p pacid=40077313 transcript=Brasy5G488300.1 locus=Brasy5G488300 ID=Brasy5G488300.1.v1.1 annot-version=v1.1 MWVQICELPYPLMTEEMGRVLGAKLGKVIAVSTDIHGDIADEVLRVRVEHPVEFALKPWVTTKTDEEVRYDVKYERLPRFCFCCGVIGHTAERFCRLPKEVRVANFSTDIRASPYKHSGRRGGIPMAEGRAKRSLGLQDAVAARVEAREEDAIEDGDHREPDKVINAVAAAVDGLHVSASVKEIAARDSGAAVAPADLQSSAGAAATKETAGPKVGVTETAVQIAATKLLAAPFITSLKTKPPVVPVGGQTAAPRKLPRRQWRRLFREQEEEREAIRFAGVKHFVAGIPPPPPSGGASDDASDGATSATAEAVNGNLMVTFSEGESSKILGKRKGDSEFCVSEENSLGGPNACKRVCVGADIGAEVVAESHGVEADNGTNEKKSKTSTQQEQIAEQAAAAETQEQRKDLEATSHGAAGQLTGVNGRVCQKK* >Brasy5G077400.1.p pacid=40077314 transcript=Brasy5G077400.1 locus=Brasy5G077400 ID=Brasy5G077400.1.v1.1 annot-version=v1.1 MSAAAGASRVAFVLVDGIGDVTIPLIGGRTPLEAAAAPRLDAVASAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLPLFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAENTASVVNELSKEITRILVSQPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLETPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWKAEKSGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDFVGAIGEDNVMNTPLDDFPLPSVKSGEDLTDNTELAELKHDHCKAFSGDTVYEYTEIAAARGCLGRFPGSEMMGIIKKFMKAKND* >Brasy5G201000.1.p pacid=40077315 transcript=Brasy5G201000.1 locus=Brasy5G201000 ID=Brasy5G201000.1.v1.1 annot-version=v1.1 MVDLAIFGVGGGVLKLIFTLAVAIGAKADTAKQNRKECLRIARRAAKLQSALAAQAYTLAETTRRHPAVASVLDDLRLALGEALEGVKACQRDCGALIRLLRAAKVSAQLRLLNRDIKDRIMDVLLVTSLYTNGLVYTMHLYHMEHLRIQRHIMMHGHHMEHDRLPRPLPQMQIQEQQQGYGNTHNDQSLSNQSYAIQAASPSIPDQRATSSSIPCQIEWRAAVPDDAAFRRDARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLG* >Brasy5G201000.2.p pacid=40077316 transcript=Brasy5G201000.2 locus=Brasy5G201000 ID=Brasy5G201000.2.v1.1 annot-version=v1.1 MVDLAIFGVGGGVLKLIFTLAVAIGAKADTAKQNRKECLRIARRAAKLQSALAAQAYTLAETTRRHPAVASVLDDLRLALGEALEGVKACQRDCGALIRLLRAAKVSAQLRLLNRDIKDRIMDVLLVTSLYTNGLVYTMHLYHMEHLRIQRHIMMHGHHMEHDRLPRPLPQMQIQEQQGYGNTHNDQSLSNQSYAIQAASPSIPDQRATSSSIPCQIEWRAAVPDDAAFRRDARESNIEPRVVVLLILVLLLLGTRCGLERLLLLYVWRLLFRLG* >Brasy5G355200.1.p pacid=40077317 transcript=Brasy5G355200.1 locus=Brasy5G355200 ID=Brasy5G355200.1.v1.1 annot-version=v1.1 MGISSMPAPKESLLIYLLFNAVVSIAALAGLLRSVLVFLGLPAPPPLLSGEDGESDHHQQQLAAGPSLAERFRSRFRPARFGRRRGAAASAPDCRVCLVRFEAEAVVNRLPCGHLFHRACLETWLDYDHATCPLCRSRLLPAAAADDSRSPPAPGLAWI* >Brasy5G373400.1.p pacid=40077318 transcript=Brasy5G373400.1 locus=Brasy5G373400 ID=Brasy5G373400.1.v1.1 annot-version=v1.1 MARRKKARVGDNSPKKAENKDAKGALKRNRASPAAIVKLYGDLTQDKRTVSRGMFLGSFLDIKCEVLNNNLVDYVARSYDSSSRSFVFTARGVLPLTAESVHEVLGAPNGPDDVPYYEDHAIEAELVPDLFGTGNSRPKVSDVAKAIIACEVADDRFKRLWLIYIVSTCLAPTTDTKISNKCYPMLAYINRMEDLNLCKFVVDQLHMHFSKNKFRKGCLLYCMIRYVDSLDCDHVELELEDGPFRINVWNRVTVDAVACLDSNEQGPNCFGALKLKHQFREEYGLFGGVDAFVDWMKLNVDPECPLQTRAEAAKLVTKFSIGLNNMLSELVQGLTGLVPSALKRHATHGKRRINSGDDKGDSSDEDGDESDSSDENDADKFKLARRPASKGKETSVPASSPGVVLSQINLPSDSEGQGNELSPAQDDPPEHDDRTDEEDGETIRETASALANVLSHTRLSRPAVRRSVAELRTHNEVKKMVAIELGLLKDTCALPPEMRKKTIVSVFGRRSPRKSVPWRKTSDPSASNQGAELATDPTAQATGVSLNPASEPMVVSSEPATEVVDVARQPASEGVDVASKPDADETSDAMYLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPRFTPVSQPRPDVDGTVERDGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSTGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVSVVPKDVSPIAFAIPRLSQAGEQQAIVEHFVWHASEKAKYFLFNPAADLDDETSLEIDQLDEALKGLVSKVQFAAEGEKSKTPKEKPHKVRVKRPSRFVCSPFDEAIQVTAEQEVVYDKLMTFTTKSKNSNIKTLKIIDYKTIFAEIQELADAVHPRGELSNNVAEVAVHTSCRRPIKLRTRLYYHS* >Brasy5G010600.1.p pacid=40077319 transcript=Brasy5G010600.1 locus=Brasy5G010600 ID=Brasy5G010600.1.v1.1 annot-version=v1.1 MATVNEDDDGAGKAKAAGVGDDGAPVVLITGCAKGGIGYEYCVAFSALGCRVIATDIPGRVPDLTSDATAAAAAIAVLPLDVTSDASVTAAVSQILATHGRIDALVNNAGIGCTGPLAELGGGGDESVRRAMDVNFHGQLRLIHAVAPHMAARRTGRIANVGSVVGTSATPWAGGYCASKAAVHAATDALRLELAPFGVRVVKVVPGAVRSGLGHANAAQVAGDGGHKNRWGMYGDFAAAIEERATASQGKGTMEAAVFARHVARKVMSARPPREVVYGSMTMLFAVLAAAPVWARDRFFARRFGLNKTV* >Brasy5G108700.1.p pacid=40077320 transcript=Brasy5G108700.1 locus=Brasy5G108700 ID=Brasy5G108700.1.v1.1 annot-version=v1.1 PPTHRPREALPAQVWPDPAAAQRQAGQRSPTPPARCAATVSSNHPAARRGCTRGRRSPAAAIVEDSRATRICPSKLSRDGEEKVGGRRSPAAVRAGRLPIRPPVGDYALLIFPSQFHSPTSACE* >Brasy5G346500.1.p pacid=40077321 transcript=Brasy5G346500.1 locus=Brasy5G346500 ID=Brasy5G346500.1.v1.1 annot-version=v1.1 METVKHNLCRHPPTYGNLITVLSIDGGGIRGIIAAVALAFLETQLQKLDGEEARLADYFDVIAGTSTGGLVTAMLTAPNKDRRPLFAAKDIQAFYMDHAPKIFPQLRGAFGRIMKVFRSLSGPCYDGKYLHEVVRKKLGSIRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKRNTMDALLSDICISTSAAPTYLPAHYFKTEDLHGNIKEFNLIDGGVAANNPALVAIGEVTKQIFKENPDFFPIKPMDYGRFLVISLGTGSSKFEEKYNAQKAKSWGVLDWLLSSGSTPLVDIFTRASADMVDIHIAAVFKALHSEQNYLRIQDDTLHGTLSSVDVATKDNLEKLVNVGEMLLKKPVSRANLETGQMVPACGDSEMTNEEALKRFANLLSEERRIRQARSPK* >Brasy5G396200.1.p pacid=40077322 transcript=Brasy5G396200.1 locus=Brasy5G396200 ID=Brasy5G396200.1.v1.1 annot-version=v1.1 MGTDLLLLEVDGHWRELGGAEQRRPSGEWPTGEARRGAEQRIPRRGSRSRGGRRPAGRRRRGAPGRRRRGSRARRRGPSLEGAAAGLERGGGARGSGEAGARGRGGGARGRGVAERVGGAAAERQGFTHGNPISPTAGHEDARARGSGRYFLRPSIRYIG* >Brasy5G019600.1.p pacid=40077323 transcript=Brasy5G019600.1 locus=Brasy5G019600 ID=Brasy5G019600.1.v1.1 annot-version=v1.1 MLPLVNMQASDHHHHPDKSASRQMEMMRKVSISILVMVLPVLYASVLRVPPATLLRDTTFWFLFSNSIIVLIAADSGMLFFTSDSDKLDAVDDRPFVVSVSGDDDQLLHPVAIVNDHGDAAVAIDGSRADYALLGADVNRPEREETTAMSMWMSAPPSFGPAAGVDSGETVRQQAMRRRRRRSRSHSSRALLVAAPPAAETQEKSVVVVQETTTKQLRRTATETRAPAPEKTKELEEESEYYARLSDEELNRRVEDFIARFNREIRLQVEREDQQTLLQLQAAP* >Brasy5G241200.1.p pacid=40077324 transcript=Brasy5G241200.1 locus=Brasy5G241200 ID=Brasy5G241200.1.v1.1 annot-version=v1.1 MVVCLHLRPIACFAAHLLGTKKGNEEVALGFVGIGARAAGGSGSGGGVGGALSGCSCSSEKASAAAVKSVPMWTGRPARKEMPGAALVAETRWWRSGGEARDRAAGRQREAGEARDRAADGKAWDRPRAAGGRPAATRWRRDEGETGYFWNRNWTRRFKPNRLVHRFSPKPLGTSGFFRSSGPSGPVR* >Brasy5G327100.1.p pacid=40077325 transcript=Brasy5G327100.1 locus=Brasy5G327100 ID=Brasy5G327100.1.v1.1 annot-version=v1.1 MTRFNEACEEKTRFNQLNEGKVNRIKTKYGNHLLKWDSLIDCSAAPVFLPLKHAAPADRPPGAVNQARMMAGSASHR* >Brasy5G084200.1.p pacid=40077326 transcript=Brasy5G084200.1 locus=Brasy5G084200 ID=Brasy5G084200.1.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.6.p pacid=40077327 transcript=Brasy5G084200.6 locus=Brasy5G084200 ID=Brasy5G084200.6.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.4.p pacid=40077328 transcript=Brasy5G084200.4 locus=Brasy5G084200 ID=Brasy5G084200.4.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.2.p pacid=40077329 transcript=Brasy5G084200.2 locus=Brasy5G084200 ID=Brasy5G084200.2.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.3.p pacid=40077330 transcript=Brasy5G084200.3 locus=Brasy5G084200 ID=Brasy5G084200.3.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.7.p pacid=40077331 transcript=Brasy5G084200.7 locus=Brasy5G084200 ID=Brasy5G084200.7.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.5.p pacid=40077332 transcript=Brasy5G084200.5 locus=Brasy5G084200 ID=Brasy5G084200.5.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G084200.8.p pacid=40077333 transcript=Brasy5G084200.8 locus=Brasy5G084200 ID=Brasy5G084200.8.v1.1 annot-version=v1.1 MGEASENGGVDVAAVSARMLELAADDDAEALGCLLAVHPCLADEPAPWYSPARGAEPMTPLMVAAAYGSVACLDALLSPPHLADPNRASASSLSTPLHLAAAGGAPSAPTAVSRLLASGADPTLLDHLHRRPSDLVALPPNSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSAVPSPRGAMEMAAMGMGLSSPGSSFTPPMSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAVDYDNHVGSPASVRSARGKALVPSNLDELFSAEMAASHSPRYADQGGAAHSPTHRSALLNQFQQQQSLLSPRAVATPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASVLVGSPVVSSSWSKWGLPSGAPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPAPEKSLIHGTMGTESIGIFGRSANHGEGIDGEDIAILGRSANRREGIDGEEDAATGVIGGWLEQLQLDEMVV* >Brasy5G369400.1.p pacid=40077334 transcript=Brasy5G369400.1 locus=Brasy5G369400 ID=Brasy5G369400.1.v1.1 annot-version=v1.1 MSTAVAEAFTPYGFPGSGTKKGDHGKVMLAGKKMSDGFFIEEEEEAEEAQEVLAETESSSIGAPSPSSSSIGENSSSEAGEGEEEEEVESKLKVESGLGCLEALEDSLPIKNGLSSFYAGKSKSFTSLAEAEAQEAVKELAKPENPFNKRRRILASWSRRASCSSLATATYLPPLLGPDHALHEGDEGEEDEDEDESGEDSDEQSRQHRGKNGREAPALPPPRLSAHAQQQQMGAVRKNGSFRSPRSYSLSDLQNSGGASYNQ* >Brasy5G148900.1.p pacid=40077335 transcript=Brasy5G148900.1 locus=Brasy5G148900 ID=Brasy5G148900.1.v1.1 annot-version=v1.1 MTTTIPAPSPAGDAVRLLCWNVRGLNNPVRCAAVRAMVRNSRATIVCLQETKLHAISRSDVLGLLGADFADGFGFLPADGTRGGILLATSQRFFSLHRISLTMNTISADMEWRADGSCWNLTGVYGPQGEAHKIAFIQELRDLALRQRSSWLLIGDFNLIYRAADKNNQLLNRRLMARFKRALDILSLRELPLSGRRFTWSNEQSNPTLTRIDRFFCTANWDLSFPSATLHALPAAVSDHAPLLLLGAESFPRSTSFRFESFWTRMEGFKEVVAEAWALPVLSHDKARCFHVKLARTARALSHWHRLWDASTWPRKDGPFPRLNGNCTRR* >Brasy5G404400.1.p pacid=40077336 transcript=Brasy5G404400.1 locus=Brasy5G404400 ID=Brasy5G404400.1.v1.1 annot-version=v1.1 MSDTFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPSNPLLTDGGLSTVIAKPNGAHGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNTAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP* >Brasy5G326400.1.p pacid=40077337 transcript=Brasy5G326400.1 locus=Brasy5G326400 ID=Brasy5G326400.1.v1.1 annot-version=v1.1 MAKARKQKPEGGAGAGGGATVLHQKLCLSIDMENQLIYGYTEIRVVLAENDTFALHADSMTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFVLQLLKYNDNNGNVYSDVYWLAAMVQAIGELEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G326400.3.p pacid=40077338 transcript=Brasy5G326400.3 locus=Brasy5G326400 ID=Brasy5G326400.3.v1.1 annot-version=v1.1 MPVRYTEIRVVLAENDTFALHADSMTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFVLQLLKYNDNNGNVYSDVYWLAAMVQAIGELEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G326400.2.p pacid=40077339 transcript=Brasy5G326400.2 locus=Brasy5G326400 ID=Brasy5G326400.2.v1.1 annot-version=v1.1 MAKARKQKPEGGAGAGGGATVLHQKLCLSIDMENQLIYGYTEIRVVLAENDTFALHADSMTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G326400.5.p pacid=40077340 transcript=Brasy5G326400.5 locus=Brasy5G326400 ID=Brasy5G326400.5.v1.1 annot-version=v1.1 MTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFVLQLLKYNDNNGNVYSDVYWLAAMVQAIGELEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G326400.4.p pacid=40077341 transcript=Brasy5G326400.4 locus=Brasy5G326400 ID=Brasy5G326400.4.v1.1 annot-version=v1.1 MTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFVLQLLKYNDNNGNVYSDVYWLAAMVQAIGELEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G326400.6.p pacid=40077342 transcript=Brasy5G326400.6 locus=Brasy5G326400 ID=Brasy5G326400.6.v1.1 annot-version=v1.1 MTIRNILVDGEAAEFEYSPHWKNVDDQPSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTNEQPGEENGEKHEEKGGNPVQSSDDKAVKVCNGSAEENDKEVNKENKEEAEKENGKDEKNEMETEKEMKKDKEKEEEEEEKKDEKEEKEDEKEDVIENEKDKENEMQIDDDKVKNTKVVRVDYILEKAETGVHFVNKVLHSNSQLRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPALLKLENTISFFHDAYSCYEDYLAAPFPFGLYKQIFLPSEMIVSPTSFGASMCIFSSDILNDEKVIDQIIDMRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLTEIFIKRYLGNNEARYRRFKANCTVCQFDISGATALGSPTASTDLYGTQTIGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKRRNVVELAVSRGCTAKADPGSDSHVNDDIQDSANGFPGMMSVRVHETDGVYDHPILPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDENVEASTQENRTSSDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQISLAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLTGLLHLVKFYKSRRFDADIGLPRPNDFNDIPEYFVLEGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICIDRICELIAPFRNIDKPWKVQIEASRVLIDLELHHKGLDAALLLFLKYTYEEKSLRGGTKLAVHVLRLCQANVESDLTDQIKLPTVVGLLHLLGSRKAYNNVFLRHNVFCILQITAGRSPTLHGVSKLVAPSPLVQEISSDQHAKADSSVPQLSRPQEPSSSTPSVREVLPTTGPSKDADNISNCSERRNVVRIRVKRTTSSSKADGADHRDGLHGGRNENEAGPCSSMSVDAPMVGAPNEPLNLSNHNIEEQNSCHDRESRMSASVSNAKLLDKHEISKELQCTADSRLDSVPKDQFSPVTNVQEGVDKPGSQLEGVSTSYVGTQAPEPINGLDAKEKKRKDKKDKKRNRDERRDKKDDPEYLEKKRLKKEKKKTEKELARKQKEGDRVSSQQRIVKPSDSQGTLAATLTPPATVQSAEPQVSNKDVTVDTARTPPTKIVKIKIKPLKKKP* >Brasy5G517900.1.p pacid=40077343 transcript=Brasy5G517900.1 locus=Brasy5G517900 ID=Brasy5G517900.1.v1.1 annot-version=v1.1 MAAMRQQQRPDQEASCKATEDRRGPDSFDAAKPPPFRIGDVRAAVPPHCWRKSPLRSLSYVARDVAVVAALAAAAAGGIRGWSLLWPLYWAVQGTMFWALFVLGHDCGHGSFSDSATLNSVVGHILHTFILVPYNGWRISHRTHHQNHGHIEKDESWHPITEKLYQKLEPRTKKLRFSLPFPLLAFPVYLWYRSPGKNGSHFLPSSDLFSPKERRDVIISTTCWFTMIALLIGMACVFGPVPVLKLYGVPYVVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDQDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPVKSGPLPVHLVNTLLRSLRVDHFVSDVGDVVFYQTDPSLTGDDNGSGIDKRK* >Brasy5G106300.1.p pacid=40077344 transcript=Brasy5G106300.1 locus=Brasy5G106300 ID=Brasy5G106300.1.v1.1 annot-version=v1.1 MESLLAASQLHPAAFSPAPSFARRSPPSVAVRGAGRGGPAAVRCAAATDSILYALQHDEMFNSEEVIQWESGKSINTIAAAQGIRIRRRCRPRYPSEGSGDDKAVPRNILEQIVWDKEVEVSQRKAKKPLKKVMESSEHAPPARDFIGALTAAYSRNGVPALIAEVKKASPSRGVLRDNFHPVEIAQAYEQNGAACLSVLTDEKHFQGSFENLETIRNSGVQCPLLCKEFVIDVWQIYYARSKGADAILLIAAVLPDLDMKYMLRVCTSLGMTALIEVHDERELDRVLKIDGVELIGINNRSLETFEVNTSNTRMLLEKRGDVMREKGILVVGESGLFTPDDVAYVQSAGVSAVLVGESLITEEDPGRAIAELFGKELLR* >Brasy5G001200.1.p pacid=40077345 transcript=Brasy5G001200.1 locus=Brasy5G001200 ID=Brasy5G001200.1.v1.1 annot-version=v1.1 MPTPQFQPQQQLQTQGPQPLAQSQGQVHQMQQVFPPMHQQQPQMAPQQHQQFQPMQQFGHQRPFQQQQIAPQAMQPQAQTTAPQHNCGDSDHFAEKCTKPKFYQICRATTHKAAVCPTKKKSHQTARYIGRAADGLGFYHIECPTVNSQTPDVTKNVGLVFLEFGEVTKEELAQEFSEIYKTNWTWQIRQLDQYSFLVKFPPHIPVDQVANYPCFGLSKEGVTVNVIRWDGDIDYYAELPAIWIQLRGLKPQWCEWYILDQVASPFGLMMEVDWIGMLKCFYELYQIHVVAEPPVPEGSANNDGNDHDPAGDRQLNPTDSNGMDTSNSGVPGTSHQPANGTTMMGMTMTLLGIGNLTPPTATEWTPATQVFG* >Brasy5G149000.1.p pacid=40077346 transcript=Brasy5G149000.1 locus=Brasy5G149000 ID=Brasy5G149000.1.v1.1 annot-version=v1.1 MQKESKAAAKAASELTLFPSPLRTNEPTSPPPLRFQLHPRPRLSSYKYLHCPVPPPTTQPASLPPVHPSIHRDSRRGEDKPITEPRNASSTMGIEVVLQSSKEDLDSAISPPSSDNMGMSDDKCDHPELSGTVNAENSSVVQSENGISEQLESAYVNGDTEHDGSPDTGHADQTDEEPASDEASPVNVEKEDVAPQYVESSADEQENQKTSMEGTAVSDSTSVTSMEDVLEPKKGAQSEPGDISGYPPDLSNAKASGNGNVYQNAKCVLTTSKKNIKRSASATTRKPLQATNRNTLDDWNASTLTNSKSPNGKTTTVPTGPVFRCTERAEKRREFYSKLEEKNQAMEEQKVQLEARLKREQEEALRLLRKSLTFKATPMPSFYHEGPSPKAEFKKLPTTRPKSPKLGRKKASMDTSHSSEESESMRPCCRASRDSLDNHCKCSSNSKPQQQRQPAANARHAAAASKKQGKNHAHKLSNESSMNIAVC* >Brasy5G149000.2.p pacid=40077347 transcript=Brasy5G149000.2 locus=Brasy5G149000 ID=Brasy5G149000.2.v1.1 annot-version=v1.1 MQKESKAAAKAASELTLFPSPLRTNEPTSPPPLRFQLHPRPRLSSYKYLHCPVPPPTTQPASLPPVHPSIHRDSRRGEDKPITEPRNASTMGIEVVLQSSKEDLDSAISPPSSDNMGMSDDKCDHPELSGTVNAENSSVVQSENGISEQLESAYVNGDTEHDGSPDTGHADQTDEEPASDEASPVNVEKEDVAPQYVESSADEQENQKTSMEGTAVSDSTSVTSMEDVLEPKKGAQSEPGDISGYPPDLSNAKASGNGNVYQNAKCVLTTSKKNIKRSASATTRKPLQATNRNTLDDWNASTLTNSKSPNGKTTTVPTGPVFRCTERAEKRREFYSKLEEKNQAMEEQKVQLEARLKREQEEALRLLRKSLTFKATPMPSFYHEGPSPKAEFKKLPTTRPKSPKLGRKKASMDTSHSSEESESMRPCCRASRDSLDNHCKCSSNSKPQQQRQPAANARHAAAASKKQGKNHAHKLSNESSMNIAVC* >Brasy5G050500.1.p pacid=40077348 transcript=Brasy5G050500.1 locus=Brasy5G050500 ID=Brasy5G050500.1.v1.1 annot-version=v1.1 MAMNLAIAAFLLATTLFSSSSSEARETTLTIHNMCPYPVWPLFTPDSGFPTLSDSVVRLDTNGLISVRFPDTSWAGRFTARTGCDGTGPRYCATGSAPPSTVAQLMVHYGGVDVDRAVYSVSLVDGFNVPMVISPQGGGTGHGQCPQLGCAVDLNRECPPDQRAAGGAACRGPPRYFKALCPQTRTTPGDRNPVPQSCRAPDELKIVLCQPTEPSMLVVHGAATVVEADS* >Brasy5G310100.1.p pacid=40077349 transcript=Brasy5G310100.1 locus=Brasy5G310100 ID=Brasy5G310100.1.v1.1 annot-version=v1.1 MDDGGSGRGMARCRTTHRREKDTKVYPDPFGCEWDPLPSTFQLSRRRLPSQAPPPPSSVEGDSIATGSQSRSRSLAPCPSLPSSREEAWPLPSRRTWLLPSQSRRSSRVQTLEQPGSPGRGAARGERRSTVPGGVHGWIRPGSLPLSPSLSQSQMTMQPWSPDSPPSPAARRPRAHLRPPPPPTPMSSPPPPPPMKSPPPPAPLAALAVWVLECWFDLGLIPTSRVSDCVAALRIAALA* >Brasy5G328000.1.p pacid=40077350 transcript=Brasy5G328000.1 locus=Brasy5G328000 ID=Brasy5G328000.1.v1.1 annot-version=v1.1 MVRRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELSLLCVALLVFSPAGKLYEYASSSTLLVPVPSPLPEMSLVGVLFYFLGASSWFWAARSSFLMARIRGLLGLSGTIPHCMSVSAFYLLLFSFWANF* >Brasy5G195700.1.p pacid=40077351 transcript=Brasy5G195700.1 locus=Brasy5G195700 ID=Brasy5G195700.1.v1.1 annot-version=v1.1 MVETRRSSAAKRPSASASPSPASPSASAPPPKRPKVSPSPRGSGRNLAPDLTDLNRGLGQAEPPSSPASSAPGRAEEDSEAAAASARSGGGSADDAPAAAKKDQGGDKPAAPAAAESSRRRKETTPQQHVAPWAKLLSQCSQSPHLHISVPQFSVGQSKRCNLWLKDQPVSKILCKLRHNEQGLGLCELEVIGEKGVVLLNGKTVSPGIKLPLTAGDELVFSSCGKHAYILQHPLNDKVAKAVPSSTVGLLEPPVAGVNHIHMENRTEVTSAVTGTEMLASLSNQSKDLPALPPASAGDDNQRVVRPIASSASDKSKGRCISPDKECENGETANEANSNIEDSPMDVAATPISPDAVANDISRQNGFGSDAHLDEIALEDQREIIRELTAAANLPPTRCQAFKDGMKQAIISPSDIEVTFENFPYYLSENTKNVLLSCSFLHLEKKDLIKKFSEISSINQRILLSGPAGSEIYQETLIKALAKQFGARLLVVDSLLLPGAPSKDPETQKDIGKIDKSGDKAGEKLAILHKHRSSLADAIHFRRPAAPTSSVNADIVGTSTLHSATLPKQESSTATSKGYTFREGERVRYVGSAQPPSSVIQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQVPDGNDLGGLCEEDHGFFCSAELLRPDFAGGEEVERLAMTELIEVISEESKTGSLIVLLKDVEKSFTGITESFSSLRSKLELLPAGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTNWKQQLDRDVETLKAKSNIGSIRTFLNRSAIECNDLEELFIKDQSLTNENVDKIVGYAVSYHFKNNKVETTKDGKLVLASESLKHGLDMLQSLHTDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGRDTDLESLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKSVAKAEGRPEPSLHGSEDVRPLSLDDFKSAHEQVCASVSSDSANMNELNQWNELYGEGGSRKKKALSYFM* >Brasy5G230800.1.p pacid=40077352 transcript=Brasy5G230800.1 locus=Brasy5G230800 ID=Brasy5G230800.1.v1.1 annot-version=v1.1 MGNCGTREENAVVAAHAQVQQLHLLQHSAKNPLADRKHNRTSSDISDPSTPGKIEDVKDISIYNNVIAFTLFELETITKSFRVDYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGKLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATSLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRSSREHSLVDWACPKLNDKRRLLQIIDPRLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQLQGSDGAFQSTHAGGLPDYRIHRRLTGNSVHCRPIPNPKCSSAVPACRVR* >Brasy5G018300.1.p pacid=40077353 transcript=Brasy5G018300.1 locus=Brasy5G018300 ID=Brasy5G018300.1.v1.1 annot-version=v1.1 MAGGGRKGGKGEELGRALIRQHNRAAAAAKERGEEVASSRRRAPPLESVIDVSEIDAVLQRAAEEDHLHSALADAASLSSSSDLVIDLDATGETAEERRRLRKEQEALHASSLGVPRRPPWNSRMTVEELHANERQAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEAYAKELDEHKRTMLLVNKADLLPVNIRKRWANYFKEHNILHVFWSAKAATATLEGKMLSGYAEEDSVSLDEDTKMYDRDELLMRLQAEAKSIVAQRRISTIKGDPGASSSDYISSTAKHVVVGFVGYPNVGKSSTINALVGQKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRAVLEQIYRITLPKPKPYESQSRPPTAAELLQAYCASRGHVSHGGLPDETRAARQILKDYIDGKIPHYELPPGVTSDETEQRGTAAAEGLTTSGADESDGYNSDEQDDPADPDMRSVLSDLESFDLATEGPKAAGKNTTEEASHKQHRKPQRKKDRSWRVGNDGDDGTAVVRALQKPVVNVPAVTASTKV* >Brasy5G344300.1.p pacid=40077354 transcript=Brasy5G344300.1 locus=Brasy5G344300 ID=Brasy5G344300.1.v1.1 annot-version=v1.1 MVSCFTVMPFKMSPGGCRDSSSSSMTAEEFKGWLRRFDADHDGRISHDELRRAMRVRFTGRRSRRGIVYADADGDGYIDDREIDGLVEFARTNLGLRIVAY* >Brasy5G489800.1.p pacid=40077355 transcript=Brasy5G489800.1 locus=Brasy5G489800 ID=Brasy5G489800.1.v1.1 annot-version=v1.1 MEALRVWRASSNLLGFAVSRAAAGAASAGRAHRLHIRCCSPAAAAATKPPQDRRRRSASSSSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQERYAHLENGEVCSEAAVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLTEEQFEQLKAVIDIGDILGATGSVKKTEKGELSVYVNFFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATLGKLEVDYQGTEISLERPWRRESMHRLVEEATGIDFNSFGDVESAKNAAREPLGIKSPSSDSTSLQACSSVGHVLNEVFETVVESTLVQPTFVLDYPVEISPLAKPHRRYPGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAAIEKKVKSAESKGDDDENAYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ* >Brasy5G489800.3.p pacid=40077356 transcript=Brasy5G489800.3 locus=Brasy5G489800 ID=Brasy5G489800.3.v1.1 annot-version=v1.1 MEALRVWRASSNLLGFAVSRAAAGAASAGRAHRLHIRCCSPAAAAATKPPQDRRRRSASSSSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQERYAHLENGEVCSEAAVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLTEEQFEQLKAVIDIGDILGATGSVKKTEKGELSVYVNFFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATLGKLEVDYQGTEISLERPWRRESMHRLVEEATGIDFNSFGDVESAKNAAREPLGIKSPSSDSTSLQACSSVGHVLNEVFETVVESTLVQPTFVLDYPVEISPLAKPHRRYPGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAAIEKKVKSAESKGDDDENAYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ* >Brasy5G489800.2.p pacid=40077357 transcript=Brasy5G489800.2 locus=Brasy5G489800 ID=Brasy5G489800.2.v1.1 annot-version=v1.1 MEALRVWRASSNLLGFAVSRAAAGAASAGRAHRLHIRCCSPAAAAATKPPQDRRRRSASSSSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQERYAHLENGEVCSEAAVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLTEEQFEQLKAVIDIGDILGATGSVKKTEKGELSVYVNFFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATLGKLEVDYQGTEISLERPWRRESMHRLVEEATGIDFNSFGDVESAKNAAREPLGIKSPSSDSTSLQACSSVGHVLNEVFETVVESTLVQPTFVLDYPVEISPLAKPHRRYPGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAAIEKKVKSAESKGDDDENAYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ* >Brasy5G292800.1.p pacid=40077358 transcript=Brasy5G292800.1 locus=Brasy5G292800 ID=Brasy5G292800.1.v1.1 annot-version=v1.1 MFPPGLIHHRSDGPGPGEVSRSGGAPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRMGKQTGKETSEQSKDGSYLLDAQGGMSLSPQISTQDAKESQEVKEALRAQMEMQRCLHDKVEVQKHVDIRMGAHQTYINNILAKACKIVSEQFASSNFSISDHNLPELSSCSVMCDTPTDALSSSVFHQLSVSSMSLHSPGGGKPSPPGMEGQLLLQRSPEFKRKSC* >Brasy5G292800.2.p pacid=40077359 transcript=Brasy5G292800.2 locus=Brasy5G292800 ID=Brasy5G292800.2.v1.1 annot-version=v1.1 MFPPGLIHHRSDGPGPGEVSRSGGAPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRMGKQTGKETSEQSKDGSYLLDAQGGMSLSPQISTQDAKESQEVKEALRAQMEMQRCLHDKVEVQKHVDIRMGAHQTYINNILAKACKIVSEQFASSNFSISDHNLPELSSCSVMCDTPTDALSSSVFHQLSVSSMSLHSPGGGKPSPPGMEGQLLLQRSPEFKRKSC* >Brasy5G125700.1.p pacid=40077360 transcript=Brasy5G125700.1 locus=Brasy5G125700 ID=Brasy5G125700.1.v1.1 annot-version=v1.1 MAADDAAAADFAVREAEIEEALRARISFFKEQADSLTLEGVRRTLEKDMDLELHSLDAHKKFIKQLVEKVFSDSDDEDANNGSEKAEAKDHINSSKEAPEDAQPMSGSNKISSGADEQGARSSKTEKDPEGTKNQTYGSDISETEIKKAIGKRASYFRENSGTLTLQGARRTLEEDLKLEKKALDAYKVFISTELDKVLLEPANGTTEHNKKESRKDADGKTSKGSKRACQDSDTSVISDSHSEREDSDEDTRPKKRGSEKGKAIKRQKKVTVEKKMSTSKVKKVAKRDLDKSSKKQGGDAAEDSSHSSAEEDSKKKQQPAPVYGRRVERLKCIIKSCGMSIPPSTYRRAKQAPESNREAGLIKELEDILEKEGLSSNPSEKEIKAVKKRKERAKDLEGIDMSNIITSSRRRSASSFIPLPMPRFEADSDDDDDEDVAEDDDNVVGGDKGDNGDAEAGDGSTDDAGDKSD* >Brasy5G439300.1.p pacid=40077361 transcript=Brasy5G439300.1 locus=Brasy5G439300 ID=Brasy5G439300.1.v1.1 annot-version=v1.1 MPERDVVSWTTLVDGYARGGLADEAWRVFCRMVVAGGLQPNEVTLVAVVSAIGQMGLLAFGRMVHQYVADGGVGGSVNLENALIDMFGKFGCAAYAREVFDSMAVKDVYSWTTMVNAYAKCGDLESAAQLFGDMPRRNAVSWSCMIAAYSQANQPDEAVRLFKAMIKGGVEPINSTLVSVLSACAQLGCLDLGRWIYDNYIISSKAVLTVNLGNAFIDMYAKCGDLVAASKLFAEMAERNVVSWNSIIMAHAVHGQSEEVLSLFEQLKATCIVPDEITFLGLLSACSHSGLVSEGRHYFKEMKMIHGIEPKSEHYACMIDLLGKTGLLEEAFEVAKGMPMEADEAGWGALLNACRMYGNVEIGECAADKLVQLDPSDSGIYILMSQIYASKNKWDQVKILRVMMRDRGVKKNPGCSSIEVDGKFHEFLMADVSHVHSEDVYAALKNIYFHLKWEGYVPLT* >Brasy5G473400.1.p pacid=40077362 transcript=Brasy5G473400.1 locus=Brasy5G473400 ID=Brasy5G473400.1.v1.1 annot-version=v1.1 MADHPPQPDFSTMELDLDDAPSQQLPAAGGVVLLAGDDDLRRLAAMYYSEEGALGTMPLPGLELGPWPWGSYFTIGAGVDLPLDTSDVLPAAPGVDVPDWGSAGDPMLYNFFPRNVEVTAPALFWPDEDGNGGFLNASVLMRQEDGYRERDAGVIMEEAYVHGGFGAVPASGESVDALETRKHDGGGGGGGGCVICIEEYEAGEELSVVPCEYRHAFHRSCLEQWLAQSRLCPLCRHALPAKGDERCCPAARA* >Brasy5G319200.1.p pacid=40077363 transcript=Brasy5G319200.1 locus=Brasy5G319200 ID=Brasy5G319200.1.v1.1 annot-version=v1.1 MKKIKAVGGGGGGGKGVAATAPTDLLVCFPARARQHLALMPKPICSPSRTTMDKAVAARRRQLQLPAARSGGGRGRGGSLLFRGSTKAKQTVEQGDEPQSPKVTCAGQIKAVRPKKARPAVLEKKKAGNKCGGSGRRWITVVDEIERLQEQRKKARSWLEAVGIRRDAPALPFLGGALRSLRHKVRCFGSVHAAVDSSSSTDGGGGGDADERVGEEESAAAASVFSKWLMVLEGSQETRQQDSGDDQEEKRPSREVDVEDFKAPSVPPPNALLLMRCRSAPAKGLASKGAEEPPAGEEAAQEKGYMEAAAGQDRSELAFMSTAPDFLKLSIDIAKETWVVGGADPLARSRSWKR* >Brasy5G443700.1.p pacid=40077364 transcript=Brasy5G443700.1 locus=Brasy5G443700 ID=Brasy5G443700.1.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLKRVVVGGEHAHSDPLYDKLEGGVIMQRCYGCGRCLSVCPYDRISAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYARKIVGRVLRKIPAQLGRVRIEDHPGHLLEALQEAMSLVGPVKGYPALRSPQNE* >Brasy5G443700.5.p pacid=40077365 transcript=Brasy5G443700.5 locus=Brasy5G443700 ID=Brasy5G443700.5.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLKRVVVGGEHAHSDPLYDKLEGGVIMQRCYGCGRCLSVCPYDRISAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYARKIVGRVLRKIPAQLGRVRIEDHPGHLLEALQEAMSLVGPVKGYPALRSPQNE* >Brasy5G443700.3.p pacid=40077366 transcript=Brasy5G443700.3 locus=Brasy5G443700 ID=Brasy5G443700.3.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLKRVVVGGEHAHSDPLYDKLEGGVIMQRCYGCGRCLSVCPYDRISAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYARKIVGRVLRKIPAQLGRVRIEDHPGHLLEALQEAMSLVGPVKGYPALRSPQNE* >Brasy5G443700.2.p pacid=40077367 transcript=Brasy5G443700.2 locus=Brasy5G443700 ID=Brasy5G443700.2.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLKRVVVGGEHAHSDPLYDKLEGGVIMQRCYGCGRCLSVCPYDRISAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYAHRWKSSAQNTGTTWSCAH* >Brasy5G443700.6.p pacid=40077368 transcript=Brasy5G443700.6 locus=Brasy5G443700 ID=Brasy5G443700.6.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLKRVVVGGEHAHSDPLYDKLEGGVIMQRCYGCGRCLSVCPYDRISAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYARKCPNMNLIL* >Brasy5G443700.4.p pacid=40077369 transcript=Brasy5G443700.4 locus=Brasy5G443700 ID=Brasy5G443700.4.v1.1 annot-version=v1.1 MAVAPVPPPPPTLLASHTAVRAVASRVAASRSIRVAGDGHLHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPTVQRPWVMVSVNDDCRDLHFRKAGAMSYIRDPTMTAELLKRSDVDAIEIHTTGKGTDMFSILWSSLSESINNVKLVAVSLPNAGESTIDFMNAIYAIMQSHHEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLSDRPQGFYQLAGGTNLYTVDSLKKAGLFKATTLPGTTTSEKVGSQQALIGGVAYGGYARKIVGRVLRKIPAQLGRVRIEDHPGHLLEALQEAMSLVGPVKGYPALRSPQNE* >Brasy5G209800.1.p pacid=40077370 transcript=Brasy5G209800.1 locus=Brasy5G209800 ID=Brasy5G209800.1.v1.1 annot-version=v1.1 MALGGEGAAIRHRNLPRGRRQFSSGAAPPDCPDQGWGGRSYLDRQAKDASIHGCATLLPRRIGWLQAEQLEPAAAAAAATTSIYLRGLRGVCT* >Brasy5G432700.1.p pacid=40077371 transcript=Brasy5G432700.1 locus=Brasy5G432700 ID=Brasy5G432700.1.v1.1 annot-version=v1.1 MSGCRVEEVEGQELSAGEGTGAERDACHGLELCGGRGVARSSMGNWVHAEGSSNVSHNLLLRSYAEIYECFWTGYWKLTGEPVSLWL* >Brasy5G055200.1.p pacid=40077372 transcript=Brasy5G055200.1 locus=Brasy5G055200 ID=Brasy5G055200.1.v1.1 annot-version=v1.1 MDGNSSNSGGGRAAATTSSRPHWRHRDRSATAVYVVHPAQFRSVVQQLTGAAAPSPPPSTQHGGSSSTAANGDDAAGMAAETLAKKDGKKKVSTEQRTLTQMHNDCMAWAHEC* >Brasy5G066000.1.p pacid=40077373 transcript=Brasy5G066000.1 locus=Brasy5G066000 ID=Brasy5G066000.1.v1.1 annot-version=v1.1 MKELAASIVQELLDAEHIRLDQFPGWIHCIFFMVDTSPIWVGQRILANLAEDERNWRVMINTQGFLSSIMVPLGTDRFHETMCYLLLQIADLVSRLITAPGKAGLKARREISTSTEAIRAMESILKCDRCSKRWGHTLAVDSLTDVSLDKSTKEKFIDSFEDEKEENYIKILISNFAHDKNKKHIIIRELTGQKLAELSLKSKITATIILSANDDVTSDLSAILLDVARNNSCRKSAAEILKHLCSHYTKDEEYFDRLKKALTDAMPKVLTELLRYGLTVEIETGNKANNNGFSAPDIDIETGRAAQDSTSKDISSPGELKCNQEKDRKLKEALLSLCLMVSETLTTEDPDLARQLDEIAASVCFDAVIPVMTFASIMKEAQELLNEKKQAQDSEIVPAS* >Brasy5G042700.1.p pacid=40077374 transcript=Brasy5G042700.1 locus=Brasy5G042700 ID=Brasy5G042700.1.v1.1 annot-version=v1.1 MAPRSVPLPLVVFLLLAASTARSQSPAAAPSSNSGAAVSPSPVTSAASPPSSSPTASSPSSKNPISTSPAAAPSKPSTKVTSPAPAAAPPTPASSPPPALPPPATAPAPALSSPPAPAPVVAAAPTPTLPPAVPEPAPAVSSPPPAALAPAPEVSSPPAPAPVVLAAPVPAPAPTKKPRTKTKKKSLPPAGPPLSSAAGGLAPGPGPSAADMSSDAAPRGYTAARTGGATMLLATVLVVFSLTVGHALA* >Brasy5G042700.2.p pacid=40077375 transcript=Brasy5G042700.2 locus=Brasy5G042700 ID=Brasy5G042700.2.v1.1 annot-version=v1.1 MAPRSVPLPLVVFLLLAASTARSQSPAAAPSSNSGAAVSPSPVTSAASPPSSSPTASSPSSKNPISTSPAAAPSKPSTKVTSPAPAAAPPTPASSPPPALPPPATAPAPALSSPPAPAPVVAAAPTPTLPPAVPEPAPAVSSPPPAALAPAPEVSSPPAPAPVVLAAPVPAPAPTKKPRTKTKKKSLPPAGPPLSSAAGGLAPGPGPSAADMSRGPSVSERLSGQTRPLGP* >Brasy5G507400.1.p pacid=40077376 transcript=Brasy5G507400.1 locus=Brasy5G507400 ID=Brasy5G507400.1.v1.1 annot-version=v1.1 MEKVSASVQSWVGQHKLAAAGAAACATAAACGGRRGRLAAASLAGAAVARRYYYYRGKQAEAEEVSSLELDFYSQLPPATAEDGQENERWSY* >Brasy5G056700.1.p pacid=40077377 transcript=Brasy5G056700.1 locus=Brasy5G056700 ID=Brasy5G056700.1.v1.1 annot-version=v1.1 MSGIRLHAIAPLVPVAVKKCAKDPPAYVRICAAYALCKLCDLLPEESTTLEVLDVLFSDHPLG* >Brasy5G441900.1.p pacid=40077378 transcript=Brasy5G441900.1 locus=Brasy5G441900 ID=Brasy5G441900.1.v1.1 annot-version=v1.1 MRGRQPCSERRTGGRSCGERWKRSKSKQFLRACVVGTEMACAPMILQIDAACEDQLLRIDVRGRDNILAPM* >Brasy5G118200.1.p pacid=40077379 transcript=Brasy5G118200.1 locus=Brasy5G118200 ID=Brasy5G118200.1.v1.1 annot-version=v1.1 MFEDRYHEESLVPPPESGDGKGEEEDSWDRGEALLDCDAYMINERNHTSARCPIVTRRRSDGGKKVETMEVTFCLAPPPHVSYFCVAYSSGEPTLFSREPTILAMEGNIVLIALEHTRRIDDIRYKYNYFIYRAPIHGSHESPKLNLLRKPDQDDDYILRRGAVAILRYRTSTSSKEAPFTPPTLSPALARRNKHPFPNNSNIDDYDAFKIVRLATRSRSRTLQYDICTYDSKADAWTLKPTVFPGSESAPTHLSDMVITIGGTIGWVDLWQRIILCDVHLPAPGEEDKGSSNNPVMLRCIQLPEPMQLNNGIPLRGSSSFFRDIAVVQGCIKFVDIQIHASPGSLVPNGWTAVTWSMAVGDPGFVKDDEIHSHDVVNALEPYFFVAHPTLSSQDGDILFLMAKANLDDPQSWVIAVDMKKKKVKRKAKFTTQRTGSMHFAYWRTNISSYLTPAEDSKGIMKRRGPVLMGSSRKKPPTTTCMSGHGGDTVEGEAEDSNILPSTGGDMDME* >Brasy5G193000.1.p pacid=40077380 transcript=Brasy5G193000.1 locus=Brasy5G193000 ID=Brasy5G193000.1.v1.1 annot-version=v1.1 MAAASSLSSFARIPIFFILLLLPLVISASSPNLINGSDTDLAALLAFKSQLADPSNVLAKNWTAGTSFCHWVGVSCSRRRQRVTALSLPGTPLVGRVSPHVGNLSFLSLINLTNTNIEGSIPAELGRLHRLRYLLLGGNSLSNVIPPSLGNLTRLELLLLYHNQLSGQIPSELFLHLHNLWGISLAGNHLSGQIPHNLFNDTPHLRKISFANNSLSGTIPHGIASLSMLELLNFGNNQFSGLLPQAIYNMSRLQYMKFSQNNLSGTIPNNKSFCLPMLRDVSLGMNKFTGRFPSGLPSCQYLQGIDFFGNYFMDVVPTWLGRLPHLESLLLAYNNLTGSIPAALTNLTNLNTLNLDYCNLNGEIPAALGNLSKLSYLYLTENRFSGQVPTTLGKAPLIRLTLFHNNLEGNINFLSALSNCRQLEFLGLSANSFTGALPDHVGNISTQLIIFYAAQNKLTGGLPASISNLSNLELVDLSYNQLTGSIPESITLMENLMSLHIGENNILGPIPTQIGMLGTIQRLFLQGNRLAGSVPSSFGNLSRLETIDLSNNQLNSTIPASLFHLDKLIQLNLSCNSFTGALPDDVAGLTPIIQIDISFNSLVGKIPESFGLLVMLTNLNLSHNSFGDAIPDSLQKLTSLESLDLSSNNLSGSIPDFLSNFTYLTTLNLSFNSLQGQIPKGGVFSNLTLQSLIGNTGLCGAPRIGFSLCHGEPHRSTRGFMKFLLPSAIIAFGSIAIFLYLWIRKKIKKKGELKASVEPNNVRGHVIISYHELICATKNFSDDNMLGSGSFGKVFKGQLNSGLVVAIKVMDMQVEQAMRSFDAECRVLCMVRHRNLIRILNTCSHQDFRALVLQYMPNGNLETLLYRAQDTIHMGFLERLGIMLDVAMAMEYLHHEHYEVILHCDLKPSNVLFDDDMTAHVADFGIARLLLGDDNSMICETMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTRRRPTDAMFGGELSLRQWVDQAFPGELVHVLDGQLLQDSSHSSCSLDGDFLVPIFELGLICSSELPEERVTMSDVVVRLKKIIAEYTKWRATMHSD* >Brasy5G028800.1.p pacid=40077381 transcript=Brasy5G028800.1 locus=Brasy5G028800 ID=Brasy5G028800.1.v1.1 annot-version=v1.1 MPYGSANAGTALQGAELHADMQKLTLEGNKEGSDAAAKKASGLPYGSANNGSSSQSVEPHVDRSITPLLQEAMDPNFFYQPNGYPSPAYYYPSGYDGSTNEWDSSYAGHEGMEVPQNVYGDMYHGYGYAPYGPYPSGSPVPTVGHDGQSYGTQHYQYPAPYYQQPAPTNAAHGVNGANSPSELRSVTSVTAHQARAPVASAKPNNGTASGIANANTSSLSRKQTHQNVSVTNNVSYGRGPSQGGPSASNFSHNGLRSPVQWYDAPVYSNGHQRSNASSTSYGSNSSSAKNQSHRPTTNLMGMRTQIPSSGVGLTSPSYPSRVYPDSRLYGQYGQYGNTLKAGLGFGSNVYNSRNNGQWGVVDTAKYKPRSRAPFGFGSENQDGFTELNRGPRSGGFRHQKPFGPTVTIAVKGQALPSVGKQENSVLPDKSQFNQESFPATYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDSGYLEAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVDYWQQDKWNGCFSIKWHIVKDIPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLQMLKIFKDHSSKTSILDDFAFYENRQKLMQEKRAKQQLLQGQGCDEKEKNALNGSSAVQKQTLNEGVALNAPKPAVVSGAANGN* >Brasy5G052600.1.p pacid=40077382 transcript=Brasy5G052600.1 locus=Brasy5G052600 ID=Brasy5G052600.1.v1.1 annot-version=v1.1 MHPLVVLGPLLEVAEGGWSRCFFLLCPVARQAAAWAGALALAVSVASFAPEAAFVWALSGGAGAVRVPPDGGGEHLCVPARMADRTCADLLVPPAFAALSVGASACFVRALAIGRRRVASSFMIAEGRAEQRARDTTTNWQASQACPSFLFE* >Brasy5G211200.1.p pacid=40077383 transcript=Brasy5G211200.1 locus=Brasy5G211200 ID=Brasy5G211200.1.v1.1 annot-version=v1.1 MGEMLGLIVGALPIISTLTNVTKFLHTVGVQNMRFSGKIEGGIFRINEGLQKIRRHAREQPELYGDVQTHELVHNIEDFLCRLWFPDEAGGFPRLAFGIDSRPRLIELIESYQKSIDDLLQSRSGSGSATPDPSSSAGSPPPPPYAPEKDLVGIAEPKQQLLELLSPAKGPLRVISIVGCRGVGKTALARVLYDDPAVVDKFDCVVWVVASVDSHADENDLLKKILHQVPSSSQEVDTKKALCNSLRNKRYLVFIDDVKQTEAWNKTIDTFRENDKGSDKGSRIIVTTSVHSVADACSPGSYLYTMQYLNKANSERVFWRNAHRRGSETASSSEAEPPESSSLAIGSESIFVKCDGLPRALISTGTYLGHTKGLIGRCDCEDMGKTLGGHLTDIDSVAFAKIKQDLVHWYGSLPDYNYKTCLHYASIFPRGHNINRKCLARRLIAEGLVDIDVDNGIHEAVDFAHKCLEELINHIMLEKVLIGNGSASKVVERFRVQSVLLEFNIHVCLRTNFVTLFGERGIVQKNNVSARRVISTSSSTKARSRGLSLQGIGVSSVRSLTILNRKLLVKKERDFKMYDILRVLDLEGCTGLDTTDVLEDICGLVFLKYLGLRKTDVKELPRKIQKLLLLETLDIRETGVSKLSKEVIMLPGLAYLFGKFELQSLNDCGINGLVNFLSSKSKLHTLAGILVDGTKGFKAIIEHAKELKKVKLWFKHSSALTLSRQVSLLQKLASCMMCGKSPGPGASWQLL* >Brasy5G228500.1.p pacid=40077384 transcript=Brasy5G228500.1 locus=Brasy5G228500 ID=Brasy5G228500.1.v1.1 annot-version=v1.1 MRGGAGCSRRGAGNVGKKWKGGIIKKAKTGSDDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRERVRVAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTINRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLTDLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKRAAEKYQNTHIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLYEKPLKAIFSQDIIRNGKSNLSKYVFGKLPVKSSAFTTPTASTLPAVQRIRQVYDAVCRLRNDPTKKDIVLFQNDECECTIGQVAKAFRPNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCLFEGNYQSRRLQKLFSIHSEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFTMEYVRVPKQGTAHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDG >Brasy5G517600.1.p pacid=40077385 transcript=Brasy5G517600.1 locus=Brasy5G517600 ID=Brasy5G517600.1.v1.1 annot-version=v1.1 MPCCSWVLSILASSSGGAGTPSWLHRLHAKGGLSFPKDLQIDDLLYGGSRSPAQPAATAAAAPHPPPAAPLPPPPTPAAPAAATPQAPPPKPNPTRTTPARPNPSAATNTNKPALPQQLPTPPPLSGVVSDIFAVAVPSAPRSTRLLKPFRKQSRPRPCSDDEGDDKTPRSSTKENKKETKTKGRKRRRAERDAALAAAANGAVERNSKTDVTVIDSSAEGWKGSKVLIRRGLTWKVQNKKVSLVAEPETLAKGKRRAGLVAKITRDREKERKAAASQTKKL* >Brasy5G450900.1.p pacid=40077386 transcript=Brasy5G450900.1 locus=Brasy5G450900 ID=Brasy5G450900.1.v1.1 annot-version=v1.1 MAAAAHFVFLLLAVAATTQPASAATAKEKETHIKVYWHDVVAGPNPTAVQVAHAATTNTSKSFFGAVVVIDDALTDGPSLNGSKLVGRAQGTYISAGKDSVALLMNMNFVFTAGKYNGSAVAIMGRNEVFTAVREMAVVGGTGVFRWARGYAQARTHTFDLKSGDATVEYNVYIRH* >Brasy5G459100.1.p pacid=40077387 transcript=Brasy5G459100.1 locus=Brasy5G459100 ID=Brasy5G459100.1.v1.1 annot-version=v1.1 MEQDEAVRQHEHQQQDEEDEELDEELLSFERRWNEYESSMIQYLNQLRASKTSGRGRGRGRGRGRGRGRDTSCAQALAAAALRFVPVHASPEALQRWMSPQPSPVVAAYYRNIRREGQAPVPEHNSKDGQDGIVKEEKIGSSCALNVPTITGASLRASYFMEAAAAGGASGSKRLRADDPSASSNDMRGRNMVNITGGGRTSHEGASAAATNDTRVCVLVGSYVVPRASTLARMGWTKARMLDRCPNLPNPHLLSPSPLPPSTPPPPCPESFRVATRRGPRSAISSSSARRHHHPTPPRQHRNPHVKHRSPCSPSAAILVRCVHHAASPSVRIPGTPCRASQVPARLSLGHSPLAVTSSSSHPAALR* >Brasy5G282300.1.p pacid=40077388 transcript=Brasy5G282300.1 locus=Brasy5G282300 ID=Brasy5G282300.1.v1.1 annot-version=v1.1 MKIHIRFLRSSGKALNVFVGHLCSKCTRQDDGGTSRSQAIGTTCVHGFYTPSSKEGQSAAVAKVADDEGNTEVPNVQLKEKSVLGFDPPRKPSARHRLKIWISTRHNGIVGRYGHKSAEHANIGWPDWLVNVAPEAVQGWQPLQVDSFEKLSKIGQGTYSSVYKARDLRTGKVVALKKVRFLNTDPESVRFMAREICVLRKLNHPNVIKLEGIVTSSVSQNLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLFQQILRGLDHCHSNGVLHRDMKGSNLLIDSNGVLKIADFGLATFYDPGTCQPLTSRVATLWYRPPELLLGATRYSVGVDMWSTGCIFAELLAGKPIMPGRTEVEQIHKIFKLCGSPSEEYWQKLEVPPTGVIKPRCQYKRCIADNFKDLPPSALGLIDNLLALEPETRGTAALTLQSDFFRTEPLACSPSSLPKLPPSKEYDARLRQEAARRERKAESVRPGIENTRKNRSAYGSTKSKGLAHTKTKFKKVNLNPEDDPTQVQPLGFDSTWNNKGGNNYTVNGEVPARKYRSARVANSIVSRTEGAPDMLQPESTDMRNDMTASHNRCMGAKVPMVKYKGKNSKVNCSGPLIKPDGNIDDVLREHVRNIQEAVRQTRQDKSSDL* >Brasy5G282300.2.p pacid=40077389 transcript=Brasy5G282300.2 locus=Brasy5G282300 ID=Brasy5G282300.2.v1.1 annot-version=v1.1 MKIHIRFLRSSGKALNVFVGHLCSKCTRQDDGGTSRSQAIGTTCVHGFYTPSSKEGQSAAVAKVADDEGNTEVPNVQLKEKSVLGFDPPRKPSARHRLKIWISTRHNGIVGRYGHKSAEHANIGWPDWLVNVAPEAVQGWQPLQVDSFEKLSKIGQGTYSSVYKARDLRTGKVVALKKVRFLNTDPESVRFMAREICVLRKLNHPNVIKLEGIVTSSVSQNLYLVFEYMEHDLVGLAATPGLKFTEPQVKCLFQQILRGLDHCHSNGVLHRDMKGSNLLIDSNGVLKIADFGLATFYDPGTCQPLTSRVATLWYRPPELLLGATRYSVGVDMWSTGCIFAELLAGKPIMPGRTEVEQIHKIFKLCGSPSEEYWQKLEVPPTGVIKPRCQYKRCIADNFKDLPPSALGLIDNLLALEPETRGTAALTLQSDFFRTEPLACSPSSLPKLPPSKEYDARLRQEAARRERKAESVRPGIENTRKNRSAYGSTKSKGLAHTKTKFKKVNLNPEDDPTQVQPLGFDSTWNNKGGNNYTVNGEVPARKYRSARVANSIVSRTEGAPDMLQPESTDMRNDMTASHNRCMGAKVPMVKYKGKNSKVNCSGPLIKPDGNIDDVLREHVRNIQEAVRQTRQDKSSDL* >Brasy5G521200.1.p pacid=40077390 transcript=Brasy5G521200.1 locus=Brasy5G521200 ID=Brasy5G521200.1.v1.1 annot-version=v1.1 MASAGGGVFLLQLQLLLLFSLSTAQPGFISLDCGGADDYTDGIGIQWTSDAKLVFGGQTANLLVQNQPQKQYSTLRYFPADTRKYCYTMNVRTRTRYLVRASFLYGNFDNSNVYPKFDLSLGATHWSTVIIDDADTPVVEEATILASAPTLSVCVSNASTGQPFISTLELRQFNGSLYYTDYEAQFFLALSARINFGAQGNESVRYPDDPFDRIWESDSLRRANYLVDVAPGTQRISTTNPVFVSTNEEPPEKVMQTAVVGQNGSLNYRLDLEGFPGNAWAVSYFAEIEALASNETRKFKLVVPGMPAFSKPTVDVEENAQGKYRLYQPGYPNVTLPFVFSFEFKKTNDSSKGPILNAMEIYKYVQITMGSQDANIMASLVSRYPQAGWAQEGGDPCLPASWTWVQCSSEAAPRVSSITLSGKNITGSIPLELTKLSALVDLKLDGNSFSGEIPDFSGCRNLQYIHLENNQITGALPSSVGDLPNLKELYVQNNRLSGQIPRALSKKGITFSWSGNNGLHSANDSISHSTIIIIVCAVVGAISLLGVAIACCLCTLKRKRKPSHETVVVAAPAKKLGSYFSEVATESAHRFALSEIEDATGKFEKRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVALLSRIHHRNLVTFLGYSQQDGKNILVYEYMHNGTLKEHLRGGPNDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDVKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISSDNFGLNCRNIVAWARSHLESGNIDAIIDASLDTGYDLQSVWKIAEAGIMCVEPKGAQRPTISEVLKEIQDAIAIEKQREAPQAQQLMSKRSMGSASVNTDNSVDLEQNATFDDLLRQPALR* >Brasy5G483700.1.p pacid=40077391 transcript=Brasy5G483700.1 locus=Brasy5G483700 ID=Brasy5G483700.1.v1.1 annot-version=v1.1 MAEPASSSPAPASAPEKKAAAEKKKATYHEGCPGCAMERRKENRKGAPYRELFFVGITTFASALPITSLFPFLYFMIQDLHVAQREEDIGFYAGFLGASYMVGRGFASIFWGMVADRIGRKPVIMISIVAIIIFNTLFGLSVKYWMAIATRLLLGALNGFLAPVKAYCIEVCRDDQQALGMSTVNTAWGIGLIIGPAIGGYLAQPAKQYPHLFHEKSTFGRFPFLLPCLCISVFATFALITCIWLPESLHKHNKLEKGVETAKASATQESAEPPKKSLLKNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLTVAGASLLVYQIFAYRWLDKIFGPINLTRVASALSIPIIAAYPFMTHLSGIRLGVPLYIAAMFKSCLAITRVSGTSLLQNNAVPQGQRGAANGIATTMMSLFKAFAPAAAGIIFSWAQKRQRAAFFPGDQMVFLLLNCTEVLGLLLTFKPFLAVPEHYK* >Brasy5G483700.2.p pacid=40077392 transcript=Brasy5G483700.2 locus=Brasy5G483700 ID=Brasy5G483700.2.v1.1 annot-version=v1.1 MIQDLHVAQREEDIGFYAGFLGASYMVGRGFASIFWGMVADRIGRKPVIMISIVAIIIFNTLFGLSVKYWMAIATRLLLGALNGFLAPVKAYCIEVCRDDQQALGMSTVNTAWGIGLIIGPAIGGYLAQPAKQYPHLFHEKSTFGRFPFLLPCLCISVFATFALITCIWLPESLHKHNKLEKGVETAKASATQESAEPPKKSLLKNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLTVAGASLLVYQIFAYRWLDKIFGPINLTRVASALSIPIIAAYPFMTHLSGIRLGVPLYIAAMFKSCLAITRVSGTSLLQNNAVPQGQRGAANGIATTMMSLFKAFAPAAAGIIFSWAQKRQRAAFFPGDQMVFLLLNCTEVLGLLLTFKPFLAVPEHYK* >Brasy5G191600.1.p pacid=40077393 transcript=Brasy5G191600.1 locus=Brasy5G191600 ID=Brasy5G191600.1.v1.1 annot-version=v1.1 MSYLSLTQTRAAPAPAASVAPSLPAAVGEALAQLEERLGQSADLDARQRLAGLGEAAAARVLRKIGESRTPVQRLSGFIRYMADKEMKEVMARNARGIPPAESAACSSGPSLGDESVSGPQYHNNDQMDVQSPYCGEIPLCLSNHARVESGSPNQLRHIGSQDHGGSYREIACVVPNLTRIATEIPSGRDALRNHDNTQINSPIRAMTPAMMPVPTLPWMAGENPSAWIPLLNLGAIAPLGSNQIPVHINSPIRARRSDVTMQGGNPGHCFPAGLHNHMGTNSPIQNVIHTPPRNISTPSPVRDLSRRVQGMFVPAWAMAPSPALESSPQMQALEEFEFRKIFIIFAYLSGNKIEDELSVDVIRSLKSLSMIDFESRIWTAFGRKYIKPSDRTKVYNLDSDPGATRVYHCNIEIRGDSAVKVFKGPYVENKRTLLQKVIGDDNVLVVKFMG* >Brasy5G186000.1.p pacid=40077394 transcript=Brasy5G186000.1 locus=Brasy5G186000 ID=Brasy5G186000.1.v1.1 annot-version=v1.1 MEGDPIELSWMVLVRRIGDMSPEFCGSTDVKKDVESCCAKLSKEYGKSKADICKVIILCVELFPHKVPYYSLLVGFLSSKHDGFGGQIVDATQVRLQEALGNVNFDRIRILLRFLSGMMCSKVVAPNHIIKTYEALLLRAKDILDRALESPGVQLFSDFHVYCILASLPWGGPELFKKVSPEFIRVLDGIHSYIKRRRQFDVTVFSVFKTDEGNSSQKQQDFIQGLWESIEALHCNQWKVKSVPQPYLQCPDELTAEPYTSRPISFAHLASSESAPEIVKARENKKLNLQYFGRLLRLQLVPNHSDELRRLEPVDRFVIEEYVLDLLSSCSNCERYEEHVYFLGKLPVSFMTSNLAANTLFAQLLSLPYQPDLNHCGLFISKMCQASQVASLSVASLVGVLLRKIGYMDTECRTILILWFSNHLSTVECHWPWQQLAFVKNLLEWSPPVVFLKELFVRTIRKEVSADKIVMFNFQKISESELEYLLPGANDLTVGNYKYFSRLVERIAAHEDIGPLVKEIVTEYDVAMTIQLVCQAMINEGSGKSEKLVKILENYRDAISELCPDEKTQLLLMSAVSDCWKNSSHMISTAIDMMMSHQLVSTSAIIRWVFSDDIVTQFHLCHLPLQILWKAVYEKCTPKETGLSAKSTKEKKKKKKELITSVFNNFFRVVEKCLLDFSSDEDVLAINGAHSSSVHEWRSCTLGHLKSFSRQYGAEIFRDIESFSKAFPNIHPLISNSVLSGLKQRSLNFEIQQNKQEVNNTLKRKGLVLEKPAKKLKSGEPLENKFDAHEFGDHQLRTNIERHVRGCIKYNEDDRRLRNEVLEKYRAGNFIIVSAQDAHTVISKIDGIVKIDGSGKSYLSVPSRAKANLKYCGEASRTFLYRKDIKRTLDKNPGMKTKVILDLIHYALTRGIHLTKREVFYHNAALFGSQAVSDRIIEDIACMLGCTRGSLNVIAAKRGVVAGDLSYLEEGEEVNCLRLGMNGRSITPFMERISHIRSKAQFILLIEKGATFQRIAEDRFFERFPCILITANGMPDIAARTFLKRLEKELSIPVIALMDCDPSGMKILSVYASGSKRMSYDSEGLSTPNIKWLGVLPKDLDEYGVPRNCLLSLTDEDREDISALLADEDFIRNHLEWATELKNMLTAGVKAEIQALCSSNLRYLTDFYLPHKLSLGDWVPEGPGVKILGSGS* >Brasy5G186000.2.p pacid=40077395 transcript=Brasy5G186000.2 locus=Brasy5G186000 ID=Brasy5G186000.2.v1.1 annot-version=v1.1 MEGDPIELSWMVLVRRIGDMSPEFCGSTDVKKDVESCCAKLSKEYGKSKADICKVIILCVELFPHKVPYYSLLVGFLSSKHDGFGGQIVDATQVRLQEALGNVNFDRIRILLRFLSGMMCSKVVAPNHIIKTYEALLLRAKDILDRALESPGVQLFSDFHVYCILASLPWGGPELFKKVSPEFIRVLDGIHSYIKRRRQFDVTVFSVFKTDEGNSSQKQDFIQGLWESIEALHCNQWKVKSVPQPYLQCPDELTAEPYTSRPISFAHLASSESAPEIVKARENKKLNLQYFGRLLRLQLVPNHSDELRRLEPVDRFVIEEYVLDLLSSCSNCERYEEHVYFLGKLPVSFMTSNLAANTLFAQLLSLPYQPDLNHCGLFISKMCQASQVASLSVASLVGVLLRKIGYMDTECRTILILWFSNHLSTVECHWPWQQLAFVKNLLEWSPPVVFLKELFVRTIRKEVSADKIVMFNFQKISESELEYLLPGANDLTVGNYKYFSRLVERIAAHEDIGPLVKEIVTEYDVAMTIQLVCQAMINEGSGKSEKLVKILENYRDAISELCPDEKTQLLLMSAVSDCWKNSSHMISTAIDMMMSHQLVSTSAIIRWVFSDDIVTQFHLCHLPLQILWKAVYEKCTPKETGLSAKSTKEKKKKKKELITSVFNNFFRVVEKCLLDFSSDEDVLAINGAHSSSVHEWRSCTLGHLKSFSRQYGAEIFRDIESFSKAFPNIHPLISNSVLSGLKQRSLNFEIQQNKQEVNNTLKRKGLVLEKPAKKLKSGEPLENKFDAHEFGDHQLRTNIERHVRGCIKYNEDDRRLRNEVLEKYRAGNFIIVSAQDAHTVISKIDGIVKIDGSGKSYLSVPSRAKANLKYCGEASRTFLYRKDIKRTLDKNPGMKTKVILDLIHYALTRGIHLTKREVFYHNAALFGSQAVSDRIIEDIACMLGCTRGSLNVIAAKRGVVAGDLSYLEEGEEVNCLRLGMNGRSITPFMERISHIRSKAQFILLIEKGATFQRIAEDRFFERFPCILITANGMPDIAARTFLKRLEKELSIPVIALMDCDPSGMKILSVYASGSKRMSYDSEGLSTPNIKWLGVLPKDLDEYGVPRNCLLSLTDEDREDISALLADEDFIRNHLEWATELKNMLTAGVKAEIQALCSSNLRYLTDFYLPHKLSLGDWVPEGPGVKILGSGS* >Brasy5G059400.1.p pacid=40077396 transcript=Brasy5G059400.1 locus=Brasy5G059400 ID=Brasy5G059400.1.v1.1 annot-version=v1.1 MCPSPSASNTLSSYSKLASPDDTAAAMAAAAPSSLYPRRRSTASILASAVSSPDDDAVAMAAPASSSRCPSRRSTASILGDGTDGSTTTVPSSLPLCTLPPLHLSLLLLPGEGLPPAGGARLPARTRLGSRGCNPAARGRARPARTRRGILCTPSSCRRRARSPGGSPPWSALHLTHPSSMSRLWRQKQRTDAVTSRGRKKPAGRQRENGLLGLGIRRDGHGGGGNSGRLRRGFGFWPARTRTPGGRRT* >Brasy5G008000.1.p pacid=40077397 transcript=Brasy5G008000.1 locus=Brasy5G008000 ID=Brasy5G008000.1.v1.1 annot-version=v1.1 MGAAVEEDRSPETRKRRAMAAADDTTPQDHGDERKKRHLLQTVDPDEEEEEDAAAADLEESPSSSPEHMDYLEKLDEEESHGRQGGKPLKRCSGFWIDYDEEKGIGTLLTTAHLIRTKEPKRADSVWLGGREYAPHANVIVHLLDGKTAEGQLLYHQPHYDLAFFRVTLDQPVPLPCFNQQVEFAQDVLHLGRDRSLGLRITHGRAAYSNPVLMERYHYMYLHPEDYRHIAHKVSKGSRAQKLGIREGSGGGGGGGSAITTSRAMSMATAAMQEGGDASAASDEDEHKERNLAMAKNSDEAEEAADSSPPSSPSHRMPLRERASFATIDEYVAWVKMLKEEISHRSREGEPLRRCSGFWIDWDKESGIGTLLTTAHLIRIKEPDNGVWLGGQEYAPHANVIVHLLDGKTADGQLLYHQPHYDLAFFRVAVHQRVPVPCFNEEVKFAQDVLQLGRDKSLDLRITHGRAAYSNPSQDERYHYMYLHSEDDEQIGNEYDNGGPVIDLDGKVVGLFNTCSRGSFIPSSILLKCCDSWKKYGAIFRPHLGLTFEAIKLLEPGQVDKIWRMYDIEDGLIVQEVSEGSHAQKLGIRQGDIIECCNGKCISTTVELENMLLSICERPFGDPNVKVNISVGVFHVRKQLRRNVVLTAYVSEHGEIVG* >Brasy5G438000.1.p pacid=40077398 transcript=Brasy5G438000.1 locus=Brasy5G438000 ID=Brasy5G438000.1.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G438000.2.p pacid=40077399 transcript=Brasy5G438000.2 locus=Brasy5G438000 ID=Brasy5G438000.2.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G438000.3.p pacid=40077400 transcript=Brasy5G438000.3 locus=Brasy5G438000 ID=Brasy5G438000.3.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G438000.5.p pacid=40077401 transcript=Brasy5G438000.5 locus=Brasy5G438000 ID=Brasy5G438000.5.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G438000.4.p pacid=40077402 transcript=Brasy5G438000.4 locus=Brasy5G438000 ID=Brasy5G438000.4.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G438000.6.p pacid=40077403 transcript=Brasy5G438000.6 locus=Brasy5G438000 ID=Brasy5G438000.6.v1.1 annot-version=v1.1 MVLGLRTKTKKDASIHVDFNIFIQEISPWPPSESLKSLRSAVLFWENGERNSGKTNAVAPSIGSGSTAGKIEFNEFISIQVVFQKDGSSKSGKWQKNLLELNLYEPRRDKQKGQHLGTATLDLAEHAMFHEDTAVPVPLNSKRSFKNNAHPMVYLRVQPLDGDTSSVSSTSRDALSKEASVDKDSKEFMSATMSEEYTEDAEFASFTDDDEEAPYPYHSDRNVRTGSGRSQDSLKGKDVRLVGNECTSSSLESQHEVPSSSTKVRSEEVEKHPIQIHKASVHPGTMSLISDFPGEQSPSIPPNSALRTGRKMSFAYGTTDSNQKNFGERTYSTLTSDRAKHMMFSMRVPDVSGSAINKKVDSQKEEVKEVDSKDILISRDTKDSADNGMQAQVPIRISNNRNDNKVRELELKVELLEAELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAAKSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVTENSKQSGIGNVINAADYNSKTTPRKNSASMWESLNRKKGKLLAPEFDNWEDSDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYTSSESKTASSAKKCYGRITVVGNQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLVMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPISIGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVENGDGESGIPETHKPFYLLNALSDLLMIPKDVLVETSTRKELCPTFSSSIVRSILNGFVPDEFCPDPIQDSLLQALELEDHLEDSDKGIRAVPCSASPILYGYPASGAILSVIGDPRKSGSAVLRKSNTSDDELDELSSPLTFVSKAPPNPLTKLKQIGGVNPARYRLLHEVWKLDDQ* >Brasy5G425200.1.p pacid=40077404 transcript=Brasy5G425200.1 locus=Brasy5G425200 ID=Brasy5G425200.1.v1.1 annot-version=v1.1 MEGNGHHQIHPAAAKEKLIIDTDPGIDDSVAIMMAFNLPSVEVLGLTTIFGNCTTAYSTRNALILCEKAGRTEVPVAEGSAEPLKGGKPNVADFVHGSDGIGNVPVTDPTTKKAEQTAAQFLVDKVSQFPGEVSVLALGPLTNIASAIKMDPSFVTKVKKIVVLGGAFFSAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVSFTDDDLSELRNSKGKHTQFLCDICKFYRDWHLKSYGDPAVFLHDPVSFAAMVRPEFFTFRKGVVRVETQGICRGHTSMDMGLKKFNTDNAWSGFSPISVAWTVDVPRVVAFVKELLIN* >Brasy5G451400.1.p pacid=40077405 transcript=Brasy5G451400.1 locus=Brasy5G451400 ID=Brasy5G451400.1.v1.1 annot-version=v1.1 MSVAASARGGCGAAKAARGGRFSAGRGVWGRRRAAVVLFLALAYAAGLLVFVFGGGGGGGSAVGGAGLTVASLRRRRHGDSTTPPGSVYRSHIVFDRLWPEIRDDAAPSASASVSTPSSWRRSMLMTSHHQNSGEAWMPCVNRRLIRSELPPSNGYLIVEANGGLNQQRLSICDAVAVASLLNATLVIPVFHLNSVWRDPSKFSDIFYEDRFIETVGKHVRVVKELPEDVLLRFNYNISSIPNMRTKAYSSPHHYLHKVLPKLLELGAVRIAPFSNRLAQSVPSNIQALRCLVNYQALRFAEPIRLLAEDMVVRMMKKSSSTGGKYVSVHLRFEEDMVAFSCCTYDGGWKEKIEMENARERSWRGKFHRPGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNSTFLYVASGKIYNAAKYMAPLRQMFPLLETKESLALPKELAEFEGYSSRLAAIDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWSRFKRHMQEILHHSDIKSIAFRKPNDSIYTFPMPDCMCQQDGIYNLA* >Brasy5G451400.2.p pacid=40077406 transcript=Brasy5G451400.2 locus=Brasy5G451400 ID=Brasy5G451400.2.v1.1 annot-version=v1.1 MSVAASARGGCGAAKAARGGRFSAGRGVWGRRRAAVVLFLALAYAAGLLVFVFGGGGGGGSAVGGAGLTVASLRRRRHGDSTTPPGSVYRSHIVFDRLWPEIRDDAAPSASASVSTPSSWRRSMLMTSHHQNSGEAWMPCVNRRLIRSELPPSNGYLIVEANGGLNQQRLSICDAVAVASLLNATLVIPVFHLNSVWRDPSKFSDIFYEDRFIETVGKHVRVVKELPEDVLLRFNYNISSIPNMRTKAYSSPHHYLHKVLPKLLELGAVRIAPFSNRLAQSVPSNIQALRCLVNYQALRFAEPIRLLAEDMVVRMMKKSSSTGGKYVSVHLRFEEDMVAFSCCTYDGGWKEKIEMENARERSWRGKFHRPGRVINPEANRRDGKCPLTPLEGYSSRLAAIDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWSRFKRHMQEILHHSDIKSIAFRKPNDSIYTFPMPDCMCQQDGIYNLA* >Brasy5G075500.1.p pacid=40077407 transcript=Brasy5G075500.1 locus=Brasy5G075500 ID=Brasy5G075500.1.v1.1 annot-version=v1.1 MVSIAKMSGSSGPWRAPAPVRQLYWRVRKAVLRRPKHGDARFGYDLQSYSRNFDDGQQLVFA* >Brasy5G002300.1.p pacid=40077408 transcript=Brasy5G002300.1 locus=Brasy5G002300 ID=Brasy5G002300.1.v1.1 annot-version=v1.1 MDASNPDDAVLFFGVSLVLGIASRHLLRGSRVPYTVALLVLGIALGSLEYGTKDGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMLLLAGPGVLISTFFLGTALKLTFPYNWDWKTSLLLGGLLSATDPVAVVALLKDLGASKKISTIIEGESLMNDGTAIVVYQLFYQMVLGRTFDAGSIIKFLSEVALGAVALGLAFGIVSVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNIHFERHGTSWGFLLLLYVFVLVSRALVVGVLYPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRTSDAAQQYLKPEVGAMFVFFTGGIVFLTLILNGSTTQFLLHLLGMDKLSATKLRILNYTRYEMLNKALEAFGDLREDEELGPADWVTVKKYITCLNNLEDERTHPHDVSDRDDHVHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLSSQSLCDWKGLRSNVHFPNYYRFLQMSRLPRRLITQFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEIARIVIDESNAVGEEARKLLEDVRVTFPQVLHVLKTRQVTYSVLTHLTEYIQNLQKTGLLEEKEMVHLDDALQTDLKKLKRNPPLVKMPRVGDLLNTHPLVGALPAAMRDPLLSNTKEAVKGHGTVLYREGSRPTGIWLVSVGIVKWTSQRLGSRHSLDPILSHGSTLGLYEALIGKSYICDMITDSVVHCFFIEAEKIEQLRQADPSIEAFLWQESALVIARVLLPQIFEKMAMHEMRVLIAERANMNIYIKGEDIELEHNTIGVLLEGFLKTRSQNLITPPGVLLPSNTDLNLFGLQSSASNRIDYCYTAPSYQVEARARIIFFEIGRTDTEANLQRSMSQTVEVPRMLSKEHSGLLSWPESFRKSRGPQHASLTDIRNHPGSLSARALQLSMYGSMINDMDAGQRLRPGGLQASQKNQKQSSSYPRVPSRSSNARPLLSVQSEGSNLMSRKAPAPAAGPSRQRRRKAIEDDKSSDESAGEEEVIVRVDSPSMLSFTQAPHAN* >Brasy5G111100.1.p pacid=40077409 transcript=Brasy5G111100.1 locus=Brasy5G111100 ID=Brasy5G111100.1.v1.1 annot-version=v1.1 MAAACRAGGGGVGSKDADRIKGPWSPEEDEALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTADEDEAILRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKYSAAAAASSSGSPAGGAGGADDGDDVRPLKRTSSDGHPGLCFSGGSPGSPSGSDLSDSSHHSLPSVMPSSTHVYRPVARAGGVVVLPTATPPPQQQPASPPPAPATSLSLSLSLPGLDPSQSQAAPPVLPTAPGVQPAQAQAQMPFPLQPPAPAPVAMNLAAPRPPAPFTGEFLSMMQEMIRIEVRNYMSGFDTRSPADAVHAANRMMMSMTKIE* >Brasy5G297200.1.p pacid=40077410 transcript=Brasy5G297200.1 locus=Brasy5G297200 ID=Brasy5G297200.1.v1.1 annot-version=v1.1 MVSCTASPWITYSTSSPPFPAPPTTTFHGNLNLRASTEVVLKAKHFLHAFVVKHAGRLAGAFAGARSALARCCRPKLRRRAIVRKQGKEPCGGGATPTQYLNLLPDGAMPSSEEEPEAGGAGVASYYYDSSWNAVIPAEQLPPMIPGRYLEWPEECEVEEGGCNEIDRLAERFIARCHERFVLEKQESYRRFQEMLARSL* >Brasy5G363900.1.p pacid=40077411 transcript=Brasy5G363900.1 locus=Brasy5G363900 ID=Brasy5G363900.1.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLALGAVVLWTGAYNIIRANSQVTEGDGNSPTPQTKVLVSGSTEGAVSEENHSISSNRLNESTLPLISSPTVPSTKTKIPLSERAKKIVSSVSGAVDLKKLFAPSTISVIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGDEFFCFQSIHLIISLDLSLSSGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G363900.2.p pacid=40077412 transcript=Brasy5G363900.2 locus=Brasy5G363900 ID=Brasy5G363900.2.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLALGAVVLWTGAYNIIRANSQVTEGDGNSPTPQTKVLVSGSTEGAVSEENHSISSNRLNESTLPLISSPTVPSTKTKIPLSERAKKIVSSVSGAVDLKKLFAPSTISVIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGDEFFCFQSIHLIISLDLSLSSGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G363900.3.p pacid=40077413 transcript=Brasy5G363900.3 locus=Brasy5G363900 ID=Brasy5G363900.3.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLALGAVVLWTGAYNIIRANSQVTEGDGNSPTPQTKVLVSGSTEGAVSEENHSISSNRLNESTLPLISSPTVPSTKTKIPLSERAKKIVSSVSGAVDLKKLFAPSTISVIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G363900.4.p pacid=40077414 transcript=Brasy5G363900.4 locus=Brasy5G363900 ID=Brasy5G363900.4.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLALGAVVLWTGAYNIIRANSQVTEGDGNSPTPQTKVLVSGSTEGAVSEENHSISSNRLNESTLPLISSPTVPSTKTKIPLSERAKKIVSSVSGAVDLKKLFAPSTISVIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G363900.5.p pacid=40077415 transcript=Brasy5G363900.5 locus=Brasy5G363900 ID=Brasy5G363900.5.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLAIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGDEFFCFQSIHLIISLDLSLSSGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G363900.6.p pacid=40077416 transcript=Brasy5G363900.6 locus=Brasy5G363900 ID=Brasy5G363900.6.v1.1 annot-version=v1.1 MGLLELFITACVPVLNMLLVTGVGSFLASDFAGILGKEARKHLNFVVFYVFNPCLVATYLAKTITLESLAKLWFMPVNILFAFTFGLIFGWIVVKVTGAPLKLRGLILGCGSAGNLGNIFLIIIPALCKEKGSPFGNPDACQTYGLAYSSLSLAIVGFIIGGTPLIRNAMIGENAPLRVFRESAELIGGGAIPSVTLIMGGNLITGLRGGAIVQPSVIAGIVAVRYILLPSVGTVLVKSAVRFGVIRPDPLYQFILLMQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS* >Brasy5G361200.1.p pacid=40077417 transcript=Brasy5G361200.1 locus=Brasy5G361200 ID=Brasy5G361200.1.v1.1 annot-version=v1.1 MDQDLIRRGGVMPSFAFAFNSPSFSDRFLRLEITAIDSLPGSGGNDAGSLADSARHRKRSRLELREDKESARHLSDTPNPNGNEVETKDCHLSEEDQNELGSLTEEPLPSIGEDGDEGHLDTSPSMTVTPALRVKSIPVSSVILAASSPFFRKLFTNGMQESNQKSVTIRIMETEEEAMLEVLSFMYSGELKTNDPILLLDILMAADKFEVTTCITHCTELLSRLPMSKDYALLYLDHPCSHSVAVALEPVKAAAKEFLANKYKHFSRFQDEVMGLPLSGIEAIFSSSDLQVPSEDHVYNFFLRWAIAQYPDAKECRKILNTSLFPLLRFSHLSYLKLQKVLAFMGLDRNEQASTVISSLLYKADASYRQNCLAADGVAPRKYEERSCSCRPLKVIVFYRPFLQCMAYLDLKIDECFQLFPSGCALSQEFCFAAHGFFLKACCMMEQQSMTHRLGLYLGLLNRGPMPVTLDCEFAARERPSGGFVVKSKYTHTFTDGGHSFGSRDLFSIPWMELIADNSPFFIDRMLHLRAVLTIKQP* >Brasy5G349000.1.p pacid=40077418 transcript=Brasy5G349000.1 locus=Brasy5G349000 ID=Brasy5G349000.1.v1.1 annot-version=v1.1 MDFDDHDDADEEMVPMPVSSSYETPPLGSGGAEIAPNNKPPGEPGSGGRAKAPGGVRYRECLKNHAVGIGGHAVDGCGEFIAAGEEGTIDALRCAACTCHRNFHRRESPNDFPAAAGEGAPLFSPAAYGAMVPHHQFSPYYRTPAGYLHHHHHQQHHMAMAAAAAGHPPRPLALPSTSHSGGRDDVDELSGGMAVGPMSAVGPLGSMSLGGGAGPSGYGSGGSGSGKKRFRTKFTQEQKDRMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKPSI* >Brasy5G447600.1.p pacid=40077419 transcript=Brasy5G447600.1 locus=Brasy5G447600 ID=Brasy5G447600.1.v1.1 annot-version=v1.1 MAAVEVPTNDVKLFNRWSFDGVEVQDISLSDYIGVNRDKHATYLPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLMAVRIIQHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR* >Brasy5G205900.1.p pacid=40077420 transcript=Brasy5G205900.1 locus=Brasy5G205900 ID=Brasy5G205900.1.v1.1 annot-version=v1.1 MLGLVGSILGLTVRRRVDGCGGIVCKRWCRLVLDVRFIHLFRDNHRKIPLLGFFMKQQGAPCVFSSTLDPPDRIPAARFCPPNGLGSPSWVPFKLVVVCNDPHCDNAYACLFDSGSGTWGDLITVEATTINPTIDSTSPRILVENKMYWLFHEADILQFDLKIESLSMIGKPIKWKPKADMSWVMRKSIELGDLLTQPLSIENGNMWRRGYDEETNLIFLTTDISDFMVQLETMQLRSMARIDQEQSWTCYTYANYYTTGGGIDGGEGEADMSNDTLDDYRIRFANVRSWSNR* >Brasy5G380500.1.p pacid=40077421 transcript=Brasy5G380500.1 locus=Brasy5G380500 ID=Brasy5G380500.1.v1.1 annot-version=v1.1 MALPAARWLRREVLVGLALGQLVSLLITSTGFSSFELARRGVNAPTSQSLLNYILLALVYGGTLIYKRQRLTIKWYYYLILGIIDVEANYIVVKAYQYTSLTSVMLLDCWAIPCVILLTWIFLKTKYGSRKLIGVGVCVAGLVLVVFSDVHASDRRSKGPNPLKGDMLVIAGATLYAVSNVTEEYIVKKGSRVELMAMLGVFGAIISSIQISILEREELRSTHWNAGALLPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAIGLVIYSYKGSRDEADDTAQVAGAMDEEAVGTEIHAAGRVPGAGEDHGPASDKTT* >Brasy5G022400.1.p pacid=40077422 transcript=Brasy5G022400.1 locus=Brasy5G022400 ID=Brasy5G022400.1.v1.1 annot-version=v1.1 MGAPKQKWTAEEEAALKAGINKHGAGKWRTILKDPEFSSVLRYRSNVDLKDKWRNMNVTVNASGSRNDKARTTPAAKKPRSAPKQEGPSTALAAITSDGDDDVADVMPLATAGSAKNSLSRLENIILEAVKSLNEPTGSHKTAVSNYIEEQYWPPADFDHVLSAKLNELTATGKLIKVHRKYRIAPSSSFLEGRSSKMLLLEDIQKEPNKVERAERVERAERVERAERVERDGLVALTKSQVDAELARMRNMTAEEATAAAARAVAEADAIMAEAEAAVREAEAAEADAVAAQAFAEAAMLTLKNRSSAKLIIRG* >Brasy5G083900.1.p pacid=40077423 transcript=Brasy5G083900.1 locus=Brasy5G083900 ID=Brasy5G083900.1.v1.1 annot-version=v1.1 MARGGGSRKLTVAATFSLAVLVLLFFPGASAAVPSIDATLTRHLPLPRGLLRGPESVAFDGKGRGPYSGVSDGRILKWTNAGWTTYAYAPGYSSEACTATARRPETVTESSCGRPLGLRFHLRSGQLYIADTYKGLMRVGPGGGKATVLVNEVDGAPLRFTNGVDIDQVTGEVYFTDSSMNYQRTGDSTGRLMRYDPRTGKVVVLQAGITYPNGLAISADRSHLVISSTGPCKLLRYWINGPKAGTIDVLVDLPGYPDNMRPDGRGGYWVALHREKNELPFGIDSHLLAVRIGADGKIVEEMRGLKSVRPTEIMERKCGRLFMGSVELPYVSVIKRK* >Brasy5G491400.1.p pacid=40077424 transcript=Brasy5G491400.1 locus=Brasy5G491400 ID=Brasy5G491400.1.v1.1 annot-version=v1.1 MAGKEEQKSRKEKRKEARSEKQKLRFLSWVQHQGGKNKKPTMAAVESSPVEENKPKKEPKKRRREPEDNCKSKSKSKFQEYLEMEMGGVVGGEEDLETERKLAKKLRVKNGKLGGPDDGMDDLFGDLGFGGDFGSDGETEGYSRNMVDDIKPEKKKRVSKSKKEKDDATEEYEGKVSKVDKKKQKRKNKKVKDDAVEEPNAGSVEITEEKDVTVYESEGGEPNVVEQPAVSKAKYVPPSLRAIASSEAEEISVLRRRVRGLLNKLSESNVESITQEIAALFRSVPRNVGCQLIGDEVLASCSRGPRGNEQYAAVFAAFVAGMTCLVGMDFSARILSSLANSFEDEYSKDDGLSLRNLTLLFSYLCIFDVIASDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVSQLKLCSAGREDGKADIHSKRVEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKLKSEDILLRGLKWSKLLDPEKKGQWWLSGDVSSTAGNVKDVAAVISKEVVEAQKLVRLAAAQRMNTDIRRAIFCIIMSAEDYVDAFDKILRLDLSGKQDREIMRVIVDCCLQEKTFNKYYTVLASKLCNHDKNHKFSLQYCLWDRYKELDTMELNRSMNLAKLAAEMLANFSLSLAMLKVVNLANPVEMTPKRIMHFRMLFETLMQKDDALVWNIFTRIAGIPELEILRDGIVLFIKQHVIAKDTGKELAGRFKIAKKALDNAAGVLM* >Brasy5G150200.1.p pacid=40077425 transcript=Brasy5G150200.1 locus=Brasy5G150200 ID=Brasy5G150200.1.v1.1 annot-version=v1.1 MATASEDPDWSSLPDDMLFLVMQALAIPDLFSAGSVCSSWRSACSAVRRVRIPITDASPCLLYSCAADDPATATVYSPSSGVVFKVRLPDPPFRSRHVVGSAHGWVVAADEMSNLQALNPLTGAQVDLPPLYHIESFSDEKGAPMYNHYYDELNYRSPSAYRPQKLRLFLYYRVFLSCSPSAGSRCIALLLHRPDGQLSFARVGDDRWTRIQDIEFPEWNDVVSNATYNKIDGLDGLFYVVHFEGSIFTLDLNGSSPVTRKVSPHATPWDDPTKYVVLTPQGDILQLWRYTYFRSVAVSVVPPELAHEVVNPYGEIYSNEIELYKVDIGEQKLAMMKKNHFDNYALFIGFNSSLLVSTKDYPMLKPNCAYFADDSYEDICVSKYNKRDIGIWDFETKTFDSIGDLQSGHPWLNFPSPIWITPSLC* >Brasy5G413100.1.p pacid=40077426 transcript=Brasy5G413100.1 locus=Brasy5G413100 ID=Brasy5G413100.1.v1.1 annot-version=v1.1 MGSTGKETAATAAAAATAQPQMKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARVIANSKHAAADAAPVALAPPGSLGTLYAGARALEDAFFVAAAPDRDAILCPSLPSAALPLLLAADPSAPAPAPAPAPPPPAPAKRYFRCSGSYGTSCRGNPTSVTDVAGLPCPPMTVEMRWSPGEAHGRLAQAAAQEAAAAAAAGEGAGGGYVKGVVSYLVMDDLTVSPMSTISAIMLLKKFKVADCSALEELTVDLGPREAVLLLKAAMESTTALTDVFCGGVSIDRIE* >Brasy5G008300.1.p pacid=40077427 transcript=Brasy5G008300.1 locus=Brasy5G008300 ID=Brasy5G008300.1.v1.1 annot-version=v1.1 MGGRREYRWGAGGEPPWERKLSLHCISCGGAMGGGLRRKRRLQRRRFEVGRPRPAEERGREARNGGGRDNGKRPGRWEAATAAGSMGNGDGGGWENGIFGGGRGTG* >Brasy5G433000.1.p pacid=40077428 transcript=Brasy5G433000.1 locus=Brasy5G433000 ID=Brasy5G433000.1.v1.1 annot-version=v1.1 MFPHHAASMDAPTHAAVASFVTLANKPRLLHLPPNPSRCLPRPRGGSVAVCCAHSPPPPAPLPRPAAASENEDENEEFAPPGLRLRRLAEEFRALPDAARARRLLAYGAALPRLPESGRVAANRVMGCVAQVWLVGRLDGAGRMRFAADSDSEISRGYCACLVSALDGARPEEVLDADPAHLAPLGVAARSRASTWHNVLVGMQKRARAAIAEREGRAPGQPFPSLVIGRDGAVRAQGSYAEAQAMFLSPDNSKIVELVNALVEKKIGIVAHFYMDPEVQGILTAAKRQWPHIHISDSLVMADSAVQMAEAGCEYIAVLGVDFMSENVRAILDQAGFNKVGVYRMSSEQIGCSLADAASSSAYTHFLKTASRSPPSLHVIYINTSLETKAHTHELVPTITCTSSNVVATILQAFAQIPDLNVWYGPDSYMGANIADLFQRMAIMSDEEIAEIHPDHNRKTISSLLPRLHYYQNGNCIVHDMFGHEVVEKIKAEYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLKQALDRNVDNHLQFVLGTESGMITSIVAAVRELFDAYESHQECSNIEVEIVFPVSSDAVSKTSVNASHQFGSSVADELDKLTIVPGVNSGEGCSIHGGCASCPYMKMNSLAALLNVCQQLPDEDNRLSVYKANRFNAKTALGKLVAEVGCEPILHMRHFQATKKLPDKLVRQVMHGNRGEPS* >Brasy5G308100.1.p pacid=40077429 transcript=Brasy5G308100.1 locus=Brasy5G308100 ID=Brasy5G308100.1.v1.1 annot-version=v1.1 MKLITEIHQDHLTKINTMLWAVANSSFYMMIFLFCLSYQYHALLASAVPSNYTDSDILHTTSVHGRGVTNTTVTMNDGVSVDFLERGVPLLSPPSKAKSWPAVDDLCSSTRHYRVITSKAHRDDPVTIPCRDNCTSHRDVASPRNRIPSASLPLVTSNGSTSLRLPPIHRQVQRRVQRPRRKRRGVIKVRKLLGVYHHPTRLLNDRTGTGTAVIAIGSVLSILLCAIAGILLWCMCSNSAKCTAIDLEEDEPIMQSELHQLATGPRRYSYSELAAATSNFAQGKRIGRGGFGSVYCGYIDEQDRHVAVKMLSTHSLEQGSMEFEAEVKIMSQLRHRNVVQLVGWCDSRKGLLLVYELVPGGSLDKHLYDPEKVLTWQERYKIALGLCSAIQYLHMDCNQCVVHGDIKPSNIMLDSSNNAKLGDFGLARLVDHGAEPQTTQVVAGTIGYMDPEFVSSQKRGTESDVYSFGIVLLEIACGRRPTALGQSVEATMLLKRVRYMYGRNRILHAADVRLGGNFEGNQMECVLLTGLWCAHHDKGQRPSIAQAMSALRCENHAELPVLSAMHSPGQIHHMEEQPYRDLSTESSWSVCLSTATAYHTAEPSTLHAQE* >Brasy5G308100.2.p pacid=40077430 transcript=Brasy5G308100.2 locus=Brasy5G308100 ID=Brasy5G308100.2.v1.1 annot-version=v1.1 MKLITEIHQDHLTKINTMLWAVANSSFYMMIFLFCLSYQYHALLASAVPSNYTDSDILHTTSVHGRGVTNTTVTMNDGVSVDFLERGVPLLSPPSKAKSWPAVDDLCSSTRHYRVITSKAHRDDPVTIPCRDNCTSHRDVASPRNRIPSASLPLVTSNGSTSLRLPPIHRQVQRRVQRPRRKRRGVIKVRKLLGVYHHPTRLLNDRTGTGTAVIAIGSVLSILLCAIAGILLWCMCSNSAKCTAIDLEEDEPIMQSELHQLATGPRRYSYSELAAATSNFAQGKRIGRGGFGSVYCGYIDEQDRHVAVKMLSTHSLEQGSMEFEAEVKIMSQLRHRNVVQLVGWCDSRKGLLLVYELVPGGSLDKHLYDPEKVLTWQERYKIALGLCSAIQYLHMDCNQCVVHGDIKPSNIMLDSSNNAKLGDFGLARLVDHGAEPQTTQVVAGTIGYMDPEFVSSQKRGTESDVYSFGIVLLEIACGRRPTALGQSVEATMLLKRVRYMYGRNRILHAADVRLGGNFEGNQMECVLLTGLWCAHHDKGQRPSIAQAMSALRCENHAELPVLSAMHSPGQIHHMEEQPYRDLSTESSWSVCLSTATAYHTAEPSTLHAQE* >Brasy5G308100.4.p pacid=40077431 transcript=Brasy5G308100.4 locus=Brasy5G308100 ID=Brasy5G308100.4.v1.1 annot-version=v1.1 MKLITEIHQDHLTKINTMLWAVANSSFYMMIFLFCLSYQYHALLASAVPSNYTDSDILHTTSVHGRGVTNTTVTMNDGVSVDFLERGVPLLSPPSKAKSWPAVDDLCSSTRHYRVITSKAHRDDPVTIPCRDNCTSHRDVASPRNRIPSASLPLVTSNGSTSLRLPPIHRQVQRRVQRPRRKRRGVIKVRKLLGVYHHPTRLLNDRTGTGTAVIAIGSVLSILLCAIAGILLWCMCSNSAKCTAIDLEEDEPIMQSELHQLATGPRRYSYSELAAATSNFAQGKRIGRGGFGSVYCGYIDEQDRHVAVKMLSTHSLEQGSMEFEAEVKIMSQLRHRNVVQLVGWCDSRKGLLLVYELVPGGSLDKHLYDPEKVLTWQERYKIALGLCSAIQYLHMDCNQCVVHGDIKPSNIMLDSSNNAKLGDFGLARLVDHGAEPQTTQVVAGTIGYMDPEFVSSQKRGTESDVYSFGIVLLEIACGRRPTALGQSVEATMLLKRVRYMYGRNRILHAADVRLGGNFEGNQMECVLLTGLWCAHHDKGQRPSIAQAMSALRCENHAELPVLSAMHSPGQIHHMEEQPYRDLSTESSWSVCLSTATAYHTAEPSTLHAQE* >Brasy5G308100.3.p pacid=40077432 transcript=Brasy5G308100.3 locus=Brasy5G308100 ID=Brasy5G308100.3.v1.1 annot-version=v1.1 MKLITEIHQDHLTKINTMLWAVANSSFYMMIFLFCLSYQYHALLASAVPSNYTDSDILHTTSVHGRGVTNTTVTMNDGVSVDFLERGVPLLSPPSKAKSWPAVDDLCSSTRHYRVITSKAHRDDPVTIPCRDNCTSHRDVASPRNRIPSASLPLVTSNGSTSLRLPPIHRQVQRRVQRPRRKRRGVIKVRKLLGVYHHPTRLLNDRTGTGTAVIAIGSVLSILLCAIAGILLWCMCSNSAKCTAIDLEEDEPIMQSELHQLATGPRRYSYSELAAATSNFAQGKRIGRGGFGSVYCGYIDEQDRHVAVKMLSTHSLEQGSMEFEAEVKIMSQLRHRNVVQLVGWCDSRKGLLLVYELVPGGSLDKHLYDPEKVLTWQERYKIALGLCSAIQYLHMDCNQCVVHGDIKPSNIMLDSSNNAKLGDFGLARLVDHGAEPQTTQVVAGTIGYMDPEFVSSQKRGTESDVYSFGIVLLEIACGRRPTALGQSVEATMLLKRVRYMYGRNRILHAADVRLGGNFEGNQMECVLLTGLWCAHHDKGQRPSIAQAMSALRCENHAELPVLSAMHSPGQIHHMEEQPYRDLSTESSWSVCLSTATAYHTAEPSTLHAQE* >Brasy5G076700.1.p pacid=40077433 transcript=Brasy5G076700.1 locus=Brasy5G076700 ID=Brasy5G076700.1.v1.1 annot-version=v1.1 MRCLVGEDLQSLPCEEQLLLGGERELLGWQVAAGRPGMATAAMAWQGAETKRNSLFWLRGLVVSAAGSLCLVSDRFSDQLGPPARHVAAAELGEQCGDEPVPALLALLGARPPGVLALPPAAHEREPLLVERDHGGAPLLAGALLGVPGLRPRALACGPAGPAAGLRPNAPRLRPRVPRSRPPAPHRQPLPARPHLLHAPVNASTASTATTAACQAIPAGSGTGRKKKNQGAARP* >Brasy5G488600.1.p pacid=40077434 transcript=Brasy5G488600.1 locus=Brasy5G488600 ID=Brasy5G488600.1.v1.1 annot-version=v1.1 MAMHCISFLFLLFFSSSCKSDDQLTYAKPLTQDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVSNRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGIEIWQSFDHPTDTILPNMRILVSYKGQVAMSLVAWKGPDDPSSGDFSCSGDPSSPALQQLVWNETMPYYRGNVLNGVSVSGGTYLSNTSSVVYQTSISEGDRFYYMFTVSDGAPFTRTILDYTGMFRSLNWNHELSSWTVIYEKPKAACEIYASCGPFSYCDLTGTAPACQCLDGFEPNDLEFSRGCRRKEELKCDKQSYFVTMPGMRVPDKFLQIKNKSFDECAAECSSNCSCTAYAYANLSSVGVMADSSRCLVWTGELVDTGKFMNFGENLYLRLANSPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGRRRKKETKKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITYAWRLWEDRKATELVDSSVVYNCKIREVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSMCNYEDVDARESTENSANATSITKLVGR* >Brasy5G488600.3.p pacid=40077435 transcript=Brasy5G488600.3 locus=Brasy5G488600 ID=Brasy5G488600.3.v1.1 annot-version=v1.1 MHCNFYPTHLEFILQIRQSADTREATLPWQQARLRGRGLCSRLLLQDQLRSELRTYVWVANRDNPITNSSSSALAITNSSVLVLSDSNGHTVWTTMSNITVGGDGAYAVLLNSGNFVLRLPNSTNIWQSLDHPTDTILPTMRFLMSYKAQVVARLVAWKSLDDPSSGDFSWSADPSSSALQLVTWNKTRPYCRIGVLEGLSVSGGTYLSNSSSIVYQAAIKLGDEFYYMFTISDGSPFTRLKLEYTGSLKYLSWNKDSSSWALMGEKPAAACDIYASCGPFGYCDFTRGTPTCKCLDGFEPAGLDFSRGCQRIVALQCGRQSHFVDVPAGMKVPDKFMYIRNRSFDQCAAECSRNCSCTAYAYADLSSSGAMADPSRCLVWSGELIDVGKSTSGENLYFRLAYSPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGRRRKKETKKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITYAWRLWEDRKATELVDSSVVYNCKIREVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSMCNYEDVDARESTENSANATSITKLVGR* >Brasy5G488600.2.p pacid=40077436 transcript=Brasy5G488600.2 locus=Brasy5G488600 ID=Brasy5G488600.2.v1.1 annot-version=v1.1 MHCISFLFLLFFSSSCKSDDQLTYAKPLTQDDILISKGGDFALGFFSPTSSNKSFYLGIWYHSIPGPRTIVWVSNRDNPITTPSSAMLVITNGSQMVLSDSEGHDIWTTTSNTVTGGSEASAVLLNSGNFVLRLSNGIEIWQSFDHPTDTILPNMRILVSYKGQVAMSLVAWKGPDDPSSGDFSCSGDPSSPALQQLVWNETMPYYRGNVLNGVSVSGGTYLSNTSSVVYQTSISEGDRFYYMFTVSDGAPFTRTILDYTGMFRSLNWNHELSSWTVIYEKPKAACEIYASCGPFSYCDLTGTAPACQCLDGFEPNDLEFSRGCRRKEELKCDKQSYFVTMPGMRVPDKFLQIKNKSFDECAAECSSNCSCTAYAYANLSSVGVMADSSRCLVWTGELVDTGKFMNFGENLYLRLANSPVDKRSSTIKIVLPIIACLLLLTCIALVWICKYRGRRRKKETKKKMMLEYFSTSNEIEGENTEFPFISFEDILSATNMFAGSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLLRFILIMKDVARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFDANQNQANTIRVVGTYGYMSPEYVIGGAFSIKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITYAWRLWEDRKATELVDSSVVYNCKIREVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSMCNYEDVDARESTENSANATSITKLVGR* >Brasy5G148800.1.p pacid=40077437 transcript=Brasy5G148800.1 locus=Brasy5G148800 ID=Brasy5G148800.1.v1.1 annot-version=v1.1 MAGKQARQKRSSSTGGKQLRRTQDGRRTNRRKAKTARLTVRWIKCFLSGSTIKEILERKRQPFSLWDDFDDLGPEEQRVLLEKAAEHERKEDEQEAYQAKIRAEFLANRFVSLPDQYEEIWE* >Brasy5G240700.1.p pacid=40077438 transcript=Brasy5G240700.1 locus=Brasy5G240700 ID=Brasy5G240700.1.v1.1 annot-version=v1.1 MARSTTLILLLLLFTVALISFFSVASAAAPPNHRRRRHTSPLTHIHRRRPIVAAAAAAGGVPAQVRYETKYYEQRLDHFDALPASYRTFPQRYLVNGTYWGGKTSPVFLYAGNEGNVELFTNNTGFMWELAPRFRALLLFVEHRYYGRSFPLGSEEAAFKNTSTVGYLTTTQALADLATLVQSLKANLSAHAAPVIVFGGSYGGMLAAWMRMKYPHVVMGAVASSAPILGFYGLADPYAFYDVISNDFKSESKSCHDVLMNSWGELDKALSNDAGRSHLNSTFKMCRASTVDAIPDLLDTAITYSAMTDYPTSSGFLTPLPPYPVKEMCRAIDHPKSGNDTFARIKGALDVYYNHTGGEPCLGDATESDPYGMFDGWDWQACTEMILMTYGVRNGTVFPPEPFNFTDLLAGCRASTGLPPRPYWIPTEFGGFDIKHVLRRSASNIIFFNGLRDPWSSGGVLKSISNSIIALVEPKGSHHVDLRFSTKEDPEWLKQVRIKETRIIAHWLRQYYKEETVASP* >Brasy5G301100.1.p pacid=40077439 transcript=Brasy5G301100.1 locus=Brasy5G301100 ID=Brasy5G301100.1.v1.1 annot-version=v1.1 MSPALLLHGSELPSPPSASCSLLPQSWQRGARTQDHRFKISRFGFGVKYSGFLHLNRCPVLRCT* >Brasy5G174700.1.p pacid=40077440 transcript=Brasy5G174700.1 locus=Brasy5G174700 ID=Brasy5G174700.1.v1.1 annot-version=v1.1 MAASAAPKMTAVCAVLVILLSAAAQPAMALLPAPIGTPENPTFLLGLIQCYFDNAGKLVYTLAPGGQCTYNDRKLCYPACYTACNALAEHVVCNAMICENAGDCTKNFSKSCEDACTTVCNNAFPGN* >Brasy5G466500.1.p pacid=40077441 transcript=Brasy5G466500.1 locus=Brasy5G466500 ID=Brasy5G466500.1.v1.1 annot-version=v1.1 MPSLGCSSTSLPLAADLARQQAMHTCTAVVALVGKARAAGQIPRRRRRKPRAAGCFRHHSPTAKASPCLCRRPTPLLNLLEPLEARSAQSRPRVLPRRALLPLPPRVAPASSSPAARSVPAAVARAAPPALPPPPSRTAELLLPSPPLRALCCRALSAAAAAAARSAPTAPMRAACPGLRRARRRLPPPRCATPAAALPWPPRASRRLPSARCATPAAALPRPALYALPRAALPRPPPGADPRWLALPPPCCAAPASAGRGRCHGAPAAAVALPRLLAPAAAGATECRRRRTLPPRSPSLPSLGMPIWDR* >Brasy5G481200.1.p pacid=40077442 transcript=Brasy5G481200.1 locus=Brasy5G481200 ID=Brasy5G481200.1.v1.1 annot-version=v1.1 MVELTSRCLAFAEGVVTMVCPVLLALALKKDKRKPALVPTEMLAVAGATLVTGICPLLACCITERFPTACKRWPSVTRGLATTFSSACLLVLACWISLLVVSESFVIVVGFLSGICLVIRATSYWTRSTEQRGRRRTTSDVKVVVINGDAPSTVVAKEDKAKEADQQLSPAVFEEEEEGTCRELCTMVDKSHEFLSGVTGILFLGLEGLALEGLLSTRDGTGDALRPHMTLSLFTCALGVTLMFVQMVPPRAAAMTNGIMYFTDVAMAVGTAALLVTIMVKLMGVVGLLFLASPLLILVQLLYTVKINAWRAARLPGPAAQQGKLPTKVGGSEGTEDQAAAKPPAPMGLTKVTFTGFLAVSVRAISGGSPGVSMWTVCFLLFAAAAIASGVSWRLLTHTQNKSRVGGKAAAEEAANVASFCTHFCIAVATVLFAVMAWEAEAAAAAGEHASACAHVSEVTCVHLNKILDVQLMRNMCTCIEPCN* >Brasy5G251000.1.p pacid=40077443 transcript=Brasy5G251000.1 locus=Brasy5G251000 ID=Brasy5G251000.1.v1.1 annot-version=v1.1 MVSKLFCVGGHDWVVEYYPNGARKGYADFISVYLVLHSANAKDVEVIFTFTLLDKAGELVTSYSRTMAGHTFAKKGSSGGYHDFIKKVDLEGSLYLNNDSFSFRLDVNVLKHNQHKCDQPVVIPPSNLRRHLKDLLESMDGADAIFDVGGEKFSAHRAVLAARSSVFKAEFFGAMKEKASSPIEVCDLEADVFKSLLHFVYTDSLPETTHGDALGDVVMAGHLLVAADRYNIERLKLICEYKLCKHVDSNMVATSLALAEQHSCHALKEACLQFLDSPSNVEAMMTSDGYEHLKSSCPSVLKELIARFLPAELKLVLMSI* >Brasy5G298500.1.p pacid=40077444 transcript=Brasy5G298500.1 locus=Brasy5G298500 ID=Brasy5G298500.1.v1.1 annot-version=v1.1 MRVGAHDIKMKGLKRALKEQKARLYIIRRCVAMLLSWHD* >Brasy5G115900.1.p pacid=40077445 transcript=Brasy5G115900.1 locus=Brasy5G115900 ID=Brasy5G115900.1.v1.1 annot-version=v1.1 MAAFITEAEQEKVPLRLPLLRGTPSQDHHGPYTGDGSVDFKGAPAPRERSGKWRACCSILGGEFCGALAYYAVGTNLVSYLTKVQRRSNVSAASSIASWQGTCYLASPLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTLSAVAPEIADSVVIISPRDILSSVGLYLTALGLGGIWPCVPTFGADQFDDTDAAEKTHKERYYNWYYFAVNGGFFFASTVMVWIQDNLGWGLGFGIPTLFSAAGIAGFLASTKIYRYQRPGGSALTRACQVAVAAIRKIHVHVPVDSSLLYEIQGKESAIAGSRKLMHTDGLMFFDRAATITPSDKTSADNLLNPWKLCTVTQVEELKILARMLPVLVTAIIFNTAEASFPLFVEQGSVMDNQINGFDVPPASLMTFNCICILLLAPTYNRVLMPMVSRITGIKRGISELHRIGVGMFLAMLSMVMAASVENARLRIAENRGLVHRNVEVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDAMRSMCQACALIMVTLGSYLVSMILKIVGSVTVKGGSHYWIPENLNEGHLDRFFWLMAGLQFLNLLAFVYFATRFKRKVATYA* >Brasy5G115900.2.p pacid=40077446 transcript=Brasy5G115900.2 locus=Brasy5G115900 ID=Brasy5G115900.2.v1.1 annot-version=v1.1 MAAFITEAEQEKVPLRLPLLRGTPSQDHHGPYTGDGSVDFKGAPAPRERSGKWRACCSILGGEFCGALAYYAVGTNLVSYLTKVQRRSNVSAASSIASWQGTCYLASPLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTLSAVAPEIADSVVIISPRDILSSVGLYLTALGLGGIWPCVPTFGADQFDDTDAAEKTHKERYYNWYYFAVNGGFFFASTVMVWIQDNLGWGLGFGIPTLFSAAGIAGFLASTKIYRYQRPGGSALTRACQVAVAAIRKIHVHVPVDSSLLYEIQGKESAIAGSRKLMHTDGLMFFDRAATITPSDKTSADNLLNPWKLCTVTQVEELKILARMLPVLVTAIIFNTAEASFPLFVEQGSVMDNQINGFDVPPASLMTFNCICILLLAPTYNRVLMPMVSRITGIKRGISELHRIGVGMFLAMLSMVMAASVENARLRIAENRGLVHRNVEVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDAMRSMCQACALIMVTLGSYLVSMILKIVGSVTVKGGSHYWIPENLNEGHLDRFFWLMAGLQFLNLLAFVYFATRFKRKVATYA* >Brasy5G115900.3.p pacid=40077447 transcript=Brasy5G115900.3 locus=Brasy5G115900 ID=Brasy5G115900.3.v1.1 annot-version=v1.1 MAAFITEAEQEKVPLRLPLLRGTPSQDHHGPYTGDGSVDFKGAPAPRERSGKWRACCSILGGEFCGALAYYAVGTNLVSYLTKVQRRSNVSAASSIASWQGTCYLASPLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTLSAVAPEIADSVVIISPRDILSSVGLYLTALGLGGIWPCVPTFGADQFDDTDAAEKTHKERYYNWYYFAVNGGFFFASTVMVWIQDNLGWGLGFGIPTLFSAAGIAGFLASTKIYRYQRPGGSALTRACQVAVAAIRKIHVHVPVDSSLLYEIQGKESAIAGSRKLMHTDGLMFFDRAATITPSDKTSADNLLNPWKLCTVTQVEELKILARMLPVLVTAIIFNTAEASFPLFVEQGSVMDNQINGFDVPPASLMTFNCICILLLAPTYNRVLMPMVSRITGIKRGISELHRIGVGMFLAMLSMVMAASVENARLRIAENRGLVHRNVEVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDAMRSMCQACALIMVTLGSYLVSMILKIVGSVTVKGGSHYWIPENLNEGHLDRFFWLMAGLQFLNLLAFVYFATRFKRKVATYA* >Brasy5G115900.4.p pacid=40077448 transcript=Brasy5G115900.4 locus=Brasy5G115900 ID=Brasy5G115900.4.v1.1 annot-version=v1.1 MPPQDHHGPYTGDGSVDFKGAPAPRERSGKWRACCSILGGEFCGALAYYAVGTNLVSYLTKVQRRSNVSAASSIASWQGTCYLASPLGAFLADSYWGRHRTIVVSLTIFTFGMVLLTLSAVAPEIADSVVIISPRDILSSVGLYLTALGLGGIWPCVPTFGADQFDDTDAAEKTHKERYYNWYYFAVNGGFFFASTVMVWIQDNLGWGLGFGIPTLFSAAGIAGFLASTKIYRYQRPGGSALTRACQVAVAAIRKIHVHVPVDSSLLYEIQGKESAIAGSRKLMHTDGLMFFDRAATITPSDKTSADNLLNPWKLCTVTQVEELKILARMLPVLVTAIIFNTAEASFPLFVEQGSVMDNQINGFDVPPASLMTFNCICILLLAPTYNRVLMPMVSRITGIKRGISELHRIGVGMFLAMLSMVMAASVENARLRIAENRGLVHRNVEVPMNILWQAPQYILVGVAKVFSVVGFIEFAYEQSPDAMRSMCQACALIMVTLGSYLVSMILKIVGSVTVKGGSHYWIPENLNEGHLDRFFWLMAGLQFLNLLAFVYFATRFKRKVATYA* >Brasy5G036000.1.p pacid=40077449 transcript=Brasy5G036000.1 locus=Brasy5G036000 ID=Brasy5G036000.1.v1.1 annot-version=v1.1 MGPSSSSAAAARKQQDQAVVFLGGDAAEEDNHHRRMRALAARAVKDTLRAAVAADEGKDKAARLEECARGLQAEKAKMEVFRRELPISVTLVADVIEWLKDEVEQHRRPVLMAPAPPSSSPSPAAAAKRKQADAADASDKRSWMSSAQLWTCGSHTSNSNGGIRKQQAQKVSNAFMPLGGPPTKTTAKSPERPDAAAPVSMAVVPADLSLSSPAATIDAAPSSNSSAVTTDAGAQSAQQQRKARRCWSPELHRRFVAALQRLGGPQVATPKQIREMMKVDGLTNDEVKSHLQKYRLHTRRASSDGGDHQQQQQSAAVWPPSEQPQYTASQHSTSKSDGSPMQLTGSSRATAGDSCDGEEEEDGRSASYGWGMQLNGTMASSSS* >Brasy5G367000.1.p pacid=40077450 transcript=Brasy5G367000.1 locus=Brasy5G367000 ID=Brasy5G367000.1.v1.1 annot-version=v1.1 MVRETEYYDVLGVSPSATETEIKKAYYVKARQVHPDKNPNDPLAAEKFQELGKAYQVLSDPTQREAYNSYGKSGISTDAMIDPAAIFAMLFGSEIFEDYIGQLAMASMASLDIFSEDEEIDARKLQEKMRVVQKEREENLAQKLKNRLNIYVQGNKEEFIELAEAEVSRLSNAAYGVDMLSTIGYMYSRQAAKELGKKAILLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKNHLSSECNYTEEELEAYMQSHKSVMVDSLWKLNVADIEATLSHVCQMVLQDGSVRREELRARAKGLKTLGKIFQRVKLNGNEEEPATMKNTIHNSDGNAGSSPGSSPKSPREQPFDANPPYSQSPYVEAPQFDGAYYSFNFPMPTAPPGAQRDPVP* >Brasy5G218200.1.p pacid=40077451 transcript=Brasy5G218200.1 locus=Brasy5G218200 ID=Brasy5G218200.1.v1.1 annot-version=v1.1 MALARRRPAASLLTLLLLSVAAALFTAASATGKTGQVAVFWGRNKAEGSLREACDAGTYTIVLISFLDVFGKGYYHLDISGHDVSAMNADIKHCQSKNILVFLSIGGFGSGYSVPTPKSAAAVADYLWDAYMLGANPAVARPFGDAFVDGIDFFLDSGSSHSRYSSGEFYDELAKKLYAHNKDYRGRTPVQFTLTPRCAYPPPDRRVQKVLSTGLVGRIFVRFYDDGDCAAYWQREWERWTAAHPEAQVYVGLPASEQKVGYVHPKNLFYGVIPVVQKAANYGGVMVWERFEDKRTNYSSYAIQWA* >Brasy5G263000.1.p pacid=40077452 transcript=Brasy5G263000.1 locus=Brasy5G263000 ID=Brasy5G263000.1.v1.1 annot-version=v1.1 MAICNKAWISLLLALAVVLSAPAARAEEAAAAEEAAPAAGEEAVLTLGTDNFDDAIAKHPFIVVEFYAPWCGHCKSLAPEYEKAAQLLSKHDPPIVLAKVDANDEKNKPLASKYEIQGFPTLKIFRNQGKNVQEYKGPREAEGIVDYLKKQVGPASKEIKAPEDAAHLEDGKIHIVGVFAELSGPEFTNFLEVAEKLRSDYDFGHTVHANHLPRGEAAVERPLVRLFKPFDELVVDTKNFEVSALEAFIEASSTPKVVTFDKNPDNHPYLLKFFQGSSAKVMLFLNFSTGPYESFKSAYYGAVEDFKDKEVKYLIGDIEASQGALQYFGLKAEQAPLILIQDAESKKFLNSNIEADQIVSWLKEYFDGKLTPFRKSEPIPEANNEPVKVVVADNLHDVVFKSGKNVLIEFYAPWCGHCKKLAPILDEAATTLQSEADVVIAKMDATANDVPGDFDVQGYPTLYFVTPSGKKVAYDGGRTADDIVEYIKKNKETAGQEAAAATEKAADPAATESLKDEL* >Brasy5G367400.1.p pacid=40077453 transcript=Brasy5G367400.1 locus=Brasy5G367400 ID=Brasy5G367400.1.v1.1 annot-version=v1.1 MDMDAAPVLKRKGAEPPELWLDDDGPGFPISSRATKIRRLDADVPPVVPSGAVQPPPQLVGGFGVEEVPMFGDVPVPVDMAAVAPAANEERAIVLYRPAEAERNLLLGPLRPGVPLRVSPGWINGLKETMLKEASNNRALFEGLVAGESSNLAMVPWAPSQFQPAAPAATVTATMDAEEDSEGASMEVEQDGAGQPSTAGAAGAQGEALHQWPPHCMVQQPPMPAPSYQPSPVAWSW* >Brasy5G439400.1.p pacid=40077454 transcript=Brasy5G439400.1 locus=Brasy5G439400 ID=Brasy5G439400.1.v1.1 annot-version=v1.1 MTTEYLRVEKFHQGVSAKRMEMQPELSLGPTWPALGFASAKSTKSSSSESDGTSRKKRKHYTWEEPVSHLNLELHLNDPLPLDWEQCLDLQSGKMYYLNRKTLKRSWIRPKEQGVNLELNMSTTPMNVMDGNTGSASPTLPQVTKRADAVSSSGGNMIAVPCANCHLLVMLCKSSPSCPNCKHVQPLAPAMPQAAHRRLDAAVKPLETLSLLH* >Brasy5G417500.1.p pacid=40077455 transcript=Brasy5G417500.1 locus=Brasy5G417500 ID=Brasy5G417500.1.v1.1 annot-version=v1.1 MQCRCMGCVRKIEKAMASIGSFSGVETSVADVDTGIVAVAGKVDPTELCQWLKKKTRKDVKIVGSDHNQKMILVLGSSSRTGDTTPSAPTLPDHLSWSLAPSGIQSDDEDLQLIEEKIRDLEKARDTLKIKNLKNELAAAKCALKQSREVISNGKKALLDSALNQLKVYKKLEALSQSLCD* >Brasy5G447800.1.p pacid=40077456 transcript=Brasy5G447800.1 locus=Brasy5G447800 ID=Brasy5G447800.1.v1.1 annot-version=v1.1 MWRSRAHTLLLHRSPIPSLPPQPNPLRTLTRAPAPRLLSRFLSSSAEATRVPDASRSSSSVRAVCTSTPAGAPPAFTGNLKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMAKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSEGEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQDREAKAQMKAEGEAKNAKAEVTA* >Brasy5G271600.1.p pacid=40077457 transcript=Brasy5G271600.1 locus=Brasy5G271600 ID=Brasy5G271600.1.v1.1 annot-version=v1.1 MEGRAREVILQAAGILFSPLARWAEATGLKWTASSLHPGFYPFLQVGLRSALGRDVNGPLYGLYPQKYLKVYSWCKSKRSRTSRRPVVKISESSNNSLPSFYDNLPLHRSSKHIATSKKEKTKDKLDTRIFELYMEDLWTRIDEDKKSVYTYFDSLWFNNYIQGINKSNILKWIKAKKLFSRRIAFVPIFCWYT* >Brasy5G103800.1.p pacid=40077458 transcript=Brasy5G103800.1 locus=Brasy5G103800 ID=Brasy5G103800.1.v1.1 annot-version=v1.1 MTKESEAVPGSRGRASGRPPWVGQSGRPTGGDGAAAARGASGHGLRRRKGRWRNSGRQGGAQPDARRRSSARGGGRAAGAVEVRGIGERDRGGRKTKGYGTRGQANDGFTGL* >Brasy5G249100.1.p pacid=40077459 transcript=Brasy5G249100.1 locus=Brasy5G249100 ID=Brasy5G249100.1.v1.1 annot-version=v1.1 MTHPDTKPLSNSSSPNHSQLPEVHSSIRDDDSQETQAAKSLNEKLALAPAPNDSSPQHSQLEVPEVFTNTRDGNMQDSVKSLSERLSVAISTISAKEDLVKQHAKVAEDAVAGWEQAEVEVGNLKRLLDASSLKNASLEDQVSHLDSALKECVKQLRQAREEQEEKTCDAVAKKSQELESDMSELKNIIADLKQQLEASDLQGKLQVAEKENADLKNKMLMLSKELNVLALERDLSNQAAEAASKQHLESVKKITRVEAECRRLQHLTRKTSLANNTCMESLTDSQSDSGEHMLAFDSEIKHSDLWASALIAELDQFKNANDGTRDLGNNPVEIDLMDDFLEMEKLAALPEADHTSSSFGVETDSDQAVNSRVEAEALQRQVIDLQAKVEKIEREKRELEMALAEARNQLDTSCDSLMAANNKLADLQVQVNLANESRDAALGQAERLDGERKSLSLQLESKSAEIKKLQAVVASLDESGDTKELKLQLESTSVEVVNLRKTVASLEGQIEAEKTRMTKYKADAEMTETAKDTLEAQLQSAHTEIDQLRGIMETQENEVQKEKTSQKELVAQIEAMKIELARALKAESAKESLEAQLLAANSEITKLHVTVNALERDAAKERGYSSEIKTQLEAVEGTRKVLGSELDSSHHEAMKLRETVSSLEVRLKEQIALLVEFTAKAEQAASGRKAMEGQLEAANLELAKLTNKVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKFKQEKEIASAAGKLAECQKTIANLGLQLKSLTDLDSVVSEPEKLEPNGMLLDFREGRAKPPLADEPYDLDLPTSNGSHGSPAVPLARSPSPPPSVFSGGFSSISSYMSKARK* >Brasy5G249100.3.p pacid=40077460 transcript=Brasy5G249100.3 locus=Brasy5G249100 ID=Brasy5G249100.3.v1.1 annot-version=v1.1 MTHPDTKPLSNSSSPNHSQLPEVHSSIRDDDSQETQAAKSLNEKLALAPAPNDSSPQHSQLEVPEVFTNTRDGNMQDSVKSLSERLSVAISTISAKEDLVKQHAKVAEDAVAGWEQAEVEVGNLKRLLDASSLKNASLEDQVSHLDSALKECVKQLRQAREEQEEKTCDAVAKKSQELESDMSELKNIIADLKQQLEASDLQGKLQVAEKENADLKNKMLMLSKELNVLALERDLSNQAAEAASKQHLESVKKITRVEAECRRLQHLTRKTSLANNTCMESLTDSQSDSGEHMLAFDSEIKHSDLWASALIAELDQFKNANDGTRDLGNNPVEIDLMDDFLEMEKLAALPEADHTSSSFGVETDSDQAVNSRVEAEALQRQVIDLQAKVEKIEREKRELEMALAEARNQLDTSCDSLMAANNKLADLQVQVNLANESRDAALGQAERLDGERKSLSLQLESKSAEIKKLQAVVASLDESGDTKELKLQLESTSVEVVNLRKTVASLEGQIEAEKTRMTKYKADAEMTETAKDTLEAQLQSAHTEIDQLRGIMETQENEVQKEKTSQKELVAQIEAMKIELARALKAESAKESLEAQLLAANSEITKLHVTVNALERDAAKERGYSSEIKTQLEAVEGTRKVLGSELDSSHHEAMKLRETVSSLEVRLKEQIALLVEFTAKAEQAASGRKAMEGQLEAANLELAKLTNKVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKFKQEKEIASAAGKLAECQKTIANLGLQLKSLTDLDSVVSEPEKLEPNGMLLDFREGRAKPPLADEPYDLDLPTSNGSHGSPAVPLARSPSPPPSVFSGGFSSISSYMSKARK* >Brasy5G249100.2.p pacid=40077461 transcript=Brasy5G249100.2 locus=Brasy5G249100 ID=Brasy5G249100.2.v1.1 annot-version=v1.1 MTHPDTKPLSNSSSPNHSQLPEVHSSIRDDDSQETQAAKSLNEKLALAPAPNDSSPQHSQLEVPEVFTNTRDGNMQDSVKSLSERLSVAISTISAKEDLVKQHAKVAEDAVAGWEQAEVEVGNLKRLLDASSLKNASLEDQVSHLDSALKECVKQLRQAREEQEEKTCDAVAKKSQELESDMSELKNIIADLKQQLEASDLQGKLQVAEKENADLKNKMLMLSKELNVLALERDLSNQAAEAASKQHLESVKKITRVEAECRRLQHLTRKTSLANNTCMESLTDSQSDSGEHMLAFDSEIKHSDLWASALIAELDQFKNANDGTRDLGNNPVEIDLMDDFLEMEKLAALPEADHTSSSFGVETDSDQAVNSRVEAEALQRQVIDLQAKVEKIEREKRELEMALAEARNQLDTSCDSLMAANNKLADLQVQVNLANESRDAALGQAERLDGERKSLSLQLESKSAEIKKLQAVVASLDESGDTKELKLQLESTSVEVVNLRKTVASLEGQIEAEKTRMTKYKADAEMTETAKDTLEAQLQSAHTEIDQLRGIMETQENEVQKEKTSQKELVAQIEAMKIELARALKAESAKESLEAQLLAANSEITKLHVTVNALERDAAKERGYSSEIKTQLEAVEGTRKVLGSELDSSHHEAMKLRETVSSLEVRLKEQIALLVEFTAKAEQAASGRKAMEGQLEAANLELAKLTNKVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKFKQEKEIASAAGKLAECQKTIANLGLQLKSLTDLDSVVSEPEKLEPNGMLLDFREGRAKPPLADEPYDLDLPTSNGSHGSPAVPLARSPSPPPSVFSGGFSSISSYMSKARK* >Brasy5G010000.1.p pacid=40077462 transcript=Brasy5G010000.1 locus=Brasy5G010000 ID=Brasy5G010000.1.v1.1 annot-version=v1.1 MAGGCYGRRRLSELLQEQQEPFLLLLPLHGHGGGDAPPPPCCSALGRAARRALRRWDPGCYGCFPCGRREKFRRLPLGGGDDNGGHCDDDDELDGSDGARQQFSPVSVLDVLQYDSGSDEEPSSSSSSAPALSSWEEDDEDEKASTASGSSPPPAEQDDGLAGACEAMAALVELELSSGSTAREWRRRLWEEEEDEAETEEAEASRIAESIEAMIFEEIRAEAVRDMTDFRF* >Brasy5G419600.1.p pacid=40077463 transcript=Brasy5G419600.1 locus=Brasy5G419600 ID=Brasy5G419600.1.v1.1 annot-version=v1.1 MAGEARDWSGGLPQELLETIGRKIPRGPDAGAFRSTCPAWRAALPFSERLTPVVMLPFDPESSAEVTFYRPTSGELFTKTLPAVRGRALCGSSRGWLALVDEAAAVTLLKPFTGSLKSLPPLDEYVTSVMLSPALMADGRWMVLSVDGALRPRGAVREFVAMVAIPGAAEVAFCRVGPGAADAPAPTWTLLDTKLECCVSTVVHCGGRFVAVGCTGEISICDVGAGTATLAPSLDPPGRLLARGYLELNGELLLVGSMVRTNDKTKRICYRNRVYNCADILAEKPVWSRVMDEMRDTTLFVSNNYMASFGGASVSGLRGNSVYFSEPLYGLPEDPEHCIEISDITDGTSELLPYHPRMKGFEALCWIRPCLSAQGT* >Brasy5G461800.1.p pacid=40077464 transcript=Brasy5G461800.1 locus=Brasy5G461800 ID=Brasy5G461800.1.v1.1 annot-version=v1.1 MAAYFTGGGGADSGLQTLYLMNPNYVGYSDAVPAAAAAPNMMLFNSPAASFGHHQPPQQHLVGIPLQPPPHSSSAVSAVLSLSSREEAPPPATVQQDEQVLMSSSRYLKAAQELLDEAVSVEEAMPPEVKKKMMKKKEEEDGGGGSKAEMSTAERQELHMKKNKLVNMLDEVEHRYRRYHLEMQAASSSFDAVAGRGSARSYTALALGTISRRFRCLRDAIAAQVRSASLILGEDTDGGGRTVGSRLRLIDHRLRQQRALQQLGMARGGGAWRTQRGLPERSVSVLRAWLFEHFLHPYPKDSDKAMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETGNKEAAGAGEEEEDGGNNRELSAAAVSMVDADPQQQVQPSFYGEDAAAATSFQQQQLNKARTTTRAAHDEPPPPPASFVHVSGAGHRELLMKFMEADGGGEYDGRGQGHHQASAGVEEQGHSMFAAASPYEQFGSGQQFASFAGNSGGGGGGVSLTLGLPRGGGAEQRADSFLMGGSNGSGGAPGYDVDLQSSKALAAQLMRDFMA* >Brasy5G523100.1.p pacid=40077465 transcript=Brasy5G523100.1 locus=Brasy5G523100 ID=Brasy5G523100.1.v1.1 annot-version=v1.1 MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVEENDDGGNPALLGSCNDRAKQLHASASGRLLTALIGEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSSKNGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGRRIVNSEPEPTLNTEHRNMRRPPSSSSVGSLPPMGRQMPSSQTSDRRGGSSASNTRKDEYNWGYDADDISEEVLRATSGVENLQLDRKARNLTSSWRHPGNGAE* >Brasy5G071900.1.p pacid=40077466 transcript=Brasy5G071900.1 locus=Brasy5G071900 ID=Brasy5G071900.1.v1.1 annot-version=v1.1 MDRVMKMASERAVVVFTLSSCCMCHTVSRLFCDLGVNALVHELDQDPRGKEMEKALLKLLGRAPPVPAVFIGGKLVGGTNKVMSLHLGGELVPMLRNAGALWL* >Brasy5G169400.1.p pacid=40077467 transcript=Brasy5G169400.1 locus=Brasy5G169400 ID=Brasy5G169400.1.v1.1 annot-version=v1.1 MKGPRKKAVLLPPRASAAIPTAVFIFYCLAAAAALLLPLALFFSSRSPSPSSSPPAEETTIMTPRLLCKLFLCALLGNTFALNVRVQREPEAHLGHRGVDRRPAAIDSMPVFTFLIAVAMGMEKVGKLRSRSAVGKLAGVALCAAGVLVVALYAGPTALSPLTSHPAFVSSSLHAHHHQASTGAVWVRGTFLLLLGLCNLVSLDCPAGYLYVPLLKEYPNKLMATAMQCLFAAVQSFVVAVLVERDLSKLKLGFDIGLLAILYSAFLGSGALMYLQAWCAEVKGPVFVAMWNPMALVFTIFCSSFFLGESVHLGSILGGILLVGGLYSVLWGKSKEKETKITPVAPEEESQEGTTTEQKDQEEELTSQV* >Brasy5G294500.1.p pacid=40077468 transcript=Brasy5G294500.1 locus=Brasy5G294500 ID=Brasy5G294500.1.v1.1 annot-version=v1.1 MEAVLHRLGTTSSMRGRPWLRRRRPGTRQRRRGWGPCSGASGMASSARRRPWLRWRRPVTRGWRRSSGCSTWTATGTSPPRNSGRLRRGSWQPDEAIDGVFGGGRRRSGWGETRPDMGRKRLRWGEIRGEIVGNHTWCSLIWGGKWVYEIRIRIQCGPNLLPNLKQYARAQIWGAIAPRAFPLWLLALQVLK* >Brasy5G441200.1.p pacid=40077469 transcript=Brasy5G441200.1 locus=Brasy5G441200 ID=Brasy5G441200.1.v1.1 annot-version=v1.1 MEMPAGGTKSAAVAAKPPSLLSLCLQAVAAHLTADAAGAGRSRGCGGDHFDGLDEEQEEEGGHLTPEQVAEALPWELLHQLAPRLPPFALESLHDAAHARCCSSTSTTVRFGGLDGNQRGIKRSRCEDFNTTWQALFKFRWPLDDCTGHADFVTVDWQQQYWEKHLQECLDEAAEAALLPSFRGSIDELIISAKIMSSVYLSADISQQYSRLSYHCTRLGYYVRRLRLQNVLCTVEICSMLQHSRLETLVFVRIISEAEVNGVCLLLSCHAKTLVSLEFIHCQLYPVVMDKICSSLCQQGSQNHEIQRLSTKSSRVCENNPSTISAGLLNFLSHAKSLQLLSLNDAKMQPSFAKIIIHTLLKSSCGLQTLDISENNIAGWLSTVDRSCTSFSSELESNTSLSSLTVLKLRGNNLQKGDMEDLCNIIAKMSNLRSLDISDNPITDEGIRFLIRFFERALQKENLLWRLRAENCDLSSIGVTKLLECFTSVNRPLDMLSIADNYLGSSVAAALVKFLGSHVRELNVEDIGLGPLGFQILEEALPREVHLSHINISKNRGGIRTAHFISRLILQAPNLVSVNAGSNLLPAESLEVICNALKQTTCNLVRLDLMGNVHLSSAIFPAASEFKKHGEPILVVPSQPGVCATYDADP* >Brasy5G386800.1.p pacid=40077470 transcript=Brasy5G386800.1 locus=Brasy5G386800 ID=Brasy5G386800.1.v1.1 annot-version=v1.1 MPSIATVAEPMAVDDSASKKAKRKQLKAEAAAAEAMEPASSGKKEKKEKKRKAKEPSPPPPVSDEEERSSTSSDSEPAPAAKKAKKEKKDKKKKAEEEVDDDGELTASGGDEDPADPNALANFRISEPLKQKLKSKGINALFPIQATTFGLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGPHKATRRTDYGRLPSVLVLLPTRELANQVHADFEFYGGAFGLSTCCAYGGSHYRPQEMAMRKGVDIVVGTPGRVKDFIVKGTLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPEWVKKLSMRFLKADKKTVDLVGNEKMKASSSVKHLALPCNRAARSQIIPDIIKCYSRGGRTIIFTETKESASELSGLIPGSRALHGDIAQAQREVVIAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKYSVTRIERESGVKFEHISAPQPTDVAQSAGNEAADAIASVSDSVISVFRQQAEELLSSSSMSAVDLLAKALAKAVGYTDIKKRSLLSSMENYTTLQLQTGRPMYTPGFALTTLKRFMPEDKLSDVHGITLTADGTGAVFDVPSAEVQDYILGSENAAMVTLDEVKQLPPLQEREQQSRGNSGGSRFGRGSGGRRFGVVVAEAAVVEAGEAAGGLTGGEHFESSTSIFAGF* >Brasy5G261800.1.p pacid=40077471 transcript=Brasy5G261800.1 locus=Brasy5G261800 ID=Brasy5G261800.1.v1.1 annot-version=v1.1 MALTTLSWIEAMSNLVLPVVSMQLLLALAAISNSAAAAAGNATTTSPGRSRNTTALLPPFGAKHTVGDGAGWFFDGKANASAANYSAWAANRTFYFGDYLSFKTRTDNTVVHTTNATTYRLCGSSGGGGGGGWKAEEAFVIVMLDAEGANYFFSDAGKGEHCRKGMRFDVTVAHGRGLPQVPPSYYEPLSGATAAGAGKSMWVAVSAAALLVL* >Brasy5G260700.1.p pacid=40077472 transcript=Brasy5G260700.1 locus=Brasy5G260700 ID=Brasy5G260700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRCPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy5G226600.1.p pacid=40077473 transcript=Brasy5G226600.1 locus=Brasy5G226600 ID=Brasy5G226600.1.v1.1 annot-version=v1.1 MAGGSGEVAAAAPLLETEEKAAGYHEGCPGCAVDRRNAVNAGVPYKEFFHIWIIILVSCLPISLLFPFLYFMIRDFHIAKTVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLLGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIVGPTIGGYLAQPTEKYPKLFPTHSLFGRFPYFLPCLCISVFCFFVLISCIWLPETLHNHKEDKKGDQASESSISHFSDPEELNEHGTSATTDKNLFKNWPLMSSIILFCIVSFEDMAYTEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILVYQTFIYPRIVRVLGPINTSRIATSLSMVLLLSYAPIMHLSRPWSQIAVNTASILKNNFVVTVVTCSFILQNNSVTQHQRATANGLATTLMSFFKTFAPAGAGIVFSWAQKRQQALFFPGDQMVFFLLAIVDLVQLVWTFKPFLAVPQQFS* >Brasy5G226600.3.p pacid=40077474 transcript=Brasy5G226600.3 locus=Brasy5G226600 ID=Brasy5G226600.3.v1.1 annot-version=v1.1 MAGGSGEVAAAAPLLETEEKAAGYHEGCPGCAVDRRNAVNAGVPYKEFFHIWIIILVSCLPISLLFPFLYFMIRDFHIAKTVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLLGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIVGPTIGGYLAQPTEKYPKLFPTHSLFGRFPYFLPCLCISVFCFFVLISCIWLPETLHNHKEDKKGDQASESSISHFSDPEELNEHGTSATTDKNLFKNWPLMSSIILFCIVSFEDMAYTEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILVYQTFIYPRIVRVLGPINTSRIATSLSMVLLLSYAPIMHLSRPWSQIAVNTASILKNNFVVTVVTCSFILQNNSVTQHQRATANGLATTLMSFFKTFAPAGAGIVFSWAQKRQQALFFPGDQMVFFLLAIVDLVQLVWTFKPFLAVPQQFS* >Brasy5G226600.2.p pacid=40077475 transcript=Brasy5G226600.2 locus=Brasy5G226600 ID=Brasy5G226600.2.v1.1 annot-version=v1.1 MAGGSGEVAAAAPLLETEEKAAGYHEGCPGCAVDRRNAVNAGVPYKEFFHIWIIILVSCLPISLLFPFLYFMIRDFHIAKTVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLLGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIVGPTIGGYLAQETLHNHKEDKKGDQASESSISHFSDPEELNEHGTSATTDKNLFKNWPLMSSIILFCIVSFEDMAYTEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILVYQTFIYPRIVRVLGPINTSRIATSLSMVLLLSYAPIMHLSRPWSQIAVNTASILKNNFVVTVVTCSFILQNNSVTQHQRATANGLATTLMSFFKTFAPAGAGIVFSWAQKRQQALFFPGDQMVFFLLAIVDLVQLVWTFKPFLAVPQQFS* >Brasy5G226600.4.p pacid=40077476 transcript=Brasy5G226600.4 locus=Brasy5G226600 ID=Brasy5G226600.4.v1.1 annot-version=v1.1 MAGGSGEVAAAAPLLETEEKAAGYHEGCPGCAVDRRNAVNAGVPYKEFFHIWIIILVSCLPISLLFPFLYFMIRDFHIAKTVEDIGFYAGFVGASYMLGRALTSTAWGMIADRIGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLLGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIVGPTIGGYLAQETLHNHKEDKKGDQASESSISHFSDPEELNEHGTSATTDKNLFKNWPLMSSIILFCIVSFEDMAYTEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILVYQTFIYPRIVRVLGPINTSRIATSLSMVLLLSYAPIMHLSRPWSQIAVNTASILKNNFVVTVVTCSFILQNNSVTQHQRATANGLATTLMSFFKTFAPAGAGIVFSWAQKRQQALFFPGDQMVFFLLAIVDLVQLVWTFKPFLAVPQQFS* >Brasy5G226600.5.p pacid=40077477 transcript=Brasy5G226600.5 locus=Brasy5G226600 ID=Brasy5G226600.5.v1.1 annot-version=v1.1 MLGRALTSTAWGMIADRIGRKPVIVFGIFSALLFNTLFGLSVNYWMAISTRFLIGSLNGLLGPIRAYAIEICRPEHQAIALSLVSTSWAIGLIVGPTIGGYLAQPTEKYPKLFPTHSLFGRFPYFLPCLCISVFCFFVLISCIWLPETLHNHKEDKKGDQASESSISHFSDPEELNEHGTSATTDKNLFKNWPLMSSIILFCIVSFEDMAYTEIFSLWAESDRKYGGLSFSTEDVGQVLAISGASILVYQTFIYPRIVRVLGPINTSRIATSLSMVLLLSYAPIMHLSRPWSQIAVNTASILKNNFVVTVVTCSFILQNNSVTQHQRATANGLATTLMSFFKTFAPAGAGIVFSWAQKRQQALFFPGDQMVFFLLAIVDLVQLVWTFKPFLAVPQQFS* >Brasy5G450200.1.p pacid=40077478 transcript=Brasy5G450200.1 locus=Brasy5G450200 ID=Brasy5G450200.1.v1.1 annot-version=v1.1 MYFWYVGRVELKPHKFWQRDERDERRSRGGREAGTRIIPPAQRSMSRGGISRTDWNPTLVGSTSRAPEMQAAAAAASTWAPATSPFTPSSSFKACRVAACSRGRRTTSSSFKAGAGGAACSRGRRAATSAAARSAAVSTRRRQPQAAVQPIADPAPVVDSPLTAENVELVLDEVRPYLIADGGNVAFHEIDGNVVRLKLQGACGSCPGSVMTMRMSIQRRLMDKIPQIVAVEAITDKETGLKLNEENVEKVLDEIRPYLAGAGGGKLKFVAVERPFAKVQLTGPAADVASVRVAVAQKLREKIPSIAAVHLLS* >Brasy5G450200.2.p pacid=40077479 transcript=Brasy5G450200.2 locus=Brasy5G450200 ID=Brasy5G450200.2.v1.1 annot-version=v1.1 MYFWYVGRVELKPHKFWQRDERDERRSRGGREAGTRIIPPAQRSMSRGGISRTDWNPTLVGSTSRAPEMQAAAAAASTWAPATSPFTPSSSFKACRVAACSRGRRTTSSSFKAGAGGAACSRGRRAATSAAARSAAVSTRRRQPQAAVQPIADPAPVVDSPLTAENVELVLDEVRPYLIADGGNVAFHEIDGNVVRLKLQGACGSCPGSVMTMRMSIQRRLMDKIPQIVAVEAITDKETGLKLNEENVEKHIFLHSLHHQMIYLIMFSPFHDMGKNG* >Brasy5G080900.1.p pacid=40077480 transcript=Brasy5G080900.1 locus=Brasy5G080900 ID=Brasy5G080900.1.v1.1 annot-version=v1.1 MSASTSGGGALSPQDAAALQEGIGLVFGLWPALQMAVENQWGGRDSRAKADQFAASILSWFSNSKGPYYFDDLDDMMHATISESFNADFEDGSVEEVAEHVLIMHEECLQSNYSAIEMLRNAHVQGNAV* >Brasy5G170400.1.p pacid=40077481 transcript=Brasy5G170400.1 locus=Brasy5G170400 ID=Brasy5G170400.1.v1.1 annot-version=v1.1 MPVDDMLGEDDDANLHDFQLRLAPTCEDEDETSFRVICWVNYDSKMVTIVFSSATGQWCIAASPSLSSLGIVDESSWNGIMHSFKYTCCSFYWTARLDNTVLVMDTRIMEFSTVNILTSDHMQLMNLPQQSEYTSSIVVPTEGAIEMFSVVKDCINATAEPKPWPPPEIRCPGRLSDRVDRSVIAPRFR* >Brasy5G284600.1.p pacid=40077482 transcript=Brasy5G284600.1 locus=Brasy5G284600 ID=Brasy5G284600.1.v1.1 annot-version=v1.1 MALKATEKSEARHVQDAVPENLSPPYCHGMPARFRRYNASIREMGQTKQSRNWSTVSKPHKNSNNPTGPPGSMCSTGVGESPGSSVRTGQQTNGSTRIESSCRCCCSPPPLRARRYCRTYTGSVCWTGRLRERMNWCYSSCPTEIEWSSLYRRRRRA* >Brasy5G510800.1.p pacid=40077483 transcript=Brasy5G510800.1 locus=Brasy5G510800 ID=Brasy5G510800.1.v1.1 annot-version=v1.1 MLPRVAPSAPALAAAVPAATKLLPAAASARAVCCRAAAGKGGNKEVLSGVMFQPFEEVKAGELSLVPQGQDQSLARHKFLDDCEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAKKLMEYQNKRGGRVRLQSIVTPLTEFDHAEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLQEQVEAIKKISEYVSQLRRVGKGHGVWHFDQMLLEEAA* >Brasy5G345300.1.p pacid=40077484 transcript=Brasy5G345300.1 locus=Brasy5G345300 ID=Brasy5G345300.1.v1.1 annot-version=v1.1 MAAAAAVTAVSSCSKRDSDIVGADLPKNAKKGRSPPEEEMEAFFAAAESGVARRFAAKYNYDVVKDAPMDGRYEWVRVSL* >Brasy5G323600.1.p pacid=40077485 transcript=Brasy5G323600.1 locus=Brasy5G323600 ID=Brasy5G323600.1.v1.1 annot-version=v1.1 MADQATEETSEIKSEYIGAFGTSAPPPPSSPLLELELPRLCQAPPAIRGQRDRWAQCSAKHHLQSGASGTDGHRAAGDHAVRRQDAGQRRRPDGRVDLQGPARVFLKSGEKLRGDLSVHGKRLAPLFSNCSLL* >Brasy5G469900.1.p pacid=40077486 transcript=Brasy5G469900.1 locus=Brasy5G469900 ID=Brasy5G469900.1.v1.1 annot-version=v1.1 VFTCDIAKVLWYELASILGVNHFLCYEDVAKWWISNNKHAVINMCSSAFMWTLWKFRNDLYFEQVRWSGLQVIWHRLLRLLKRWRVLCPKRNTPALDNCLSALECKVMEAPRILLC* >Brasy5G519800.1.p pacid=40077487 transcript=Brasy5G519800.1 locus=Brasy5G519800 ID=Brasy5G519800.1.v1.1 annot-version=v1.1 MRREGDGGHALAMTTGPGNVQQLKDVPLRKEDDMVPVPKPIPAIINAVLLSSACLGSSEELTCLFKQEDAGRPPFMIPSRKFIDSIMKEGSKKKGGSSSSNGDIVEEEGIDQPVLPAAAAPPLLKGVTMVGDSALHVVASHGDDEEFFKCADIIYKRAKHLLFAKNSKGDTPLHCAVRAGKSRMVSHLIGLATSEDDGLDTDHRKHKLLREVNGLQETALHEAVRIGDENMVEKLMKLDPELANYPKDQGVSPLYLAILLQKHRIARTLHRQSNGNLSYSGPNGQNALHIAILRNTVMTKLVLEWNQSLTIQRDGDGSTPLHFASSLYMSPCFRWTTPWFRFSWPPMPLMWMLAEVFKANPAALCQADNKGFSIHLMRMLAEVFKANPAALCQADNKGFSPIHVAASVGSTSIIGYFLAKCPNSAGLRDANGRTFLHVAVDKEMLKIVKFVCRTPSLDWILNMQDNDGNTALHLAVQAGKFRIFCTLLGHRKVLLTLPNNCGETPYDLSRSNLPRGMRYAMNSENQICMALQSVGASYGALRRDKSNKKYCRRVKPEEIDRESERVKDATAMFSVGSVLIATVTFGATFALPGGYRADDHKNGGTPTLAGTFAFDAFMMANTLAFICSSIATMGFMFSGTCIVNLTTRKLKLVISILFMSSSVTSMSSAFALAVYMVLAPVAHKTAVAICVIIPFGGLYANVDGLIKQILLLRPLCVRNGLFRGTLRILFYIFDMAFTALWPFIFIFGWAAFARSHR* >Brasy5G519800.3.p pacid=40077488 transcript=Brasy5G519800.3 locus=Brasy5G519800 ID=Brasy5G519800.3.v1.1 annot-version=v1.1 MRREGDGGHALAMTTGPGNVQQLKDVPLRKEDDMVPVPKPIPAIINAVLLSSACLGSSEELTCLFKQEDAGRPPFMIPSRKFIDSIMKEGSKKKGGSSSSNGDIVEEEGIDQPVLPAAAAPPLLKGVTMVGDSALHVVASHGDDEEFFKCADIIYKRAKHLLFAKNSKGDTPLHCAVRAGKSRMVSHLIGLATSEDDGLDTDHRKHKLLREVNGLQETALHEAVRIGDENMVEKLMKLDPELANYPKDQGVSPLYLAILLQKHRIARTLHRQSNGNLSYSGPNGQNALHIAILRNTVMTKLVLEWNQSLTIQRDGDGSTPLHFASSLYMSPCFRWTTPWFRFSWPPMPLMWMLAEVFKANPAALCQADNKGFSIHLMRMLAEVFKANPAALCQADNKGFSPIHVAASVGSTSIIGYFLAKCPNSAGLRDANGRTFLHVAVDKEMLKIVKFVCRTPSLDWILNMQDNDGNTALHLAVQAGKFRIFCTLLGHRKVLLTLPNNCGETPYDLSRSNLPRGMRYAMNSENQICMALQSVGASYGALRRDKSNKKYCRRVKPEEIDRESERVKDATAMFSVGSVLIATVTFGATFALPGGYRADDHKNGGTPTLAGTFAFDAFMMANTLAFICSSIATMGFMFSGTCIVNLTTRKLKLVISILFMSSSVTSMSSAFALAVYMVLAPVAHKTAVAICVIIPFGGLYANVDGLIKQILLLRPLCVRNGLFRGTLRILFYIFDMAFTALWPFIFIFGWAAFARSHR* >Brasy5G519800.2.p pacid=40077489 transcript=Brasy5G519800.2 locus=Brasy5G519800 ID=Brasy5G519800.2.v1.1 annot-version=v1.1 MRREGDGGHALAMTTGPGNVQQLKDVPLRKEDDMVPVPKPIPAIINAVLLSSACLGSSEELTCLFKQEDAGRPPFMIPSRKFIDSIMKEGSKKKGGSSSSNGDIVEEEGIDQPVLPAAAAPPLLKGVTMVGDSALHVVASHGDDEEFFKCADIIYKRAKHLLFAKNSKGDTPLHCAVRAGKSRMVSHLIGLATSEDDGLDTDHRKHKLLREVNGLQETALHEAVRIGDENMVEKLMKLDPELANYPKDQGVSPLYLAILLQKHRIARTLHRQSNGNLSYSGPNGQNALHIAILRNTVMTKLVLEWNQSLTIQRDGDGSTPLHFASSLYMSPCFRWTTPWFRFSWPPMPLMWMLAEVFKANPAALCQADNKGFSIHLMRMLAEVFKANPAALCQADNKGFSPIHVAASVGSTSIIGYFLAKCPNSAGLRDANGRTFLHVAVDKEMLKIVKFVCRTPSLDWILNMQDNDGNTALHLAVQAGKFRIFCTLLGHRKVLLTLPNNCGETPYDLSRSNLPRGMRYAMSVGASYGALRRDKSNKKYCRRVKPEEIDRESERVKDATAMFSVGSVLIATVTFGATFALPGGYRADDHKNGGTPTLAGTFAFDAFMMANTLAFICSSIATMGFMFSGTCIVNLTTRKLKLVISILFMSSSVTSMSSAFALAVYMVLAPVAHKTAVAICVIIPFGGLYANVDGLIKQILLLRPLCVRNGLFRGTLRILFYIFDMAFTALWPFIFIFGWAAFARSHR* >Brasy5G474600.1.p pacid=40077490 transcript=Brasy5G474600.1 locus=Brasy5G474600 ID=Brasy5G474600.1.v1.1 annot-version=v1.1 MGTGSKKQELKSKQKLEKKLSFYTKVKDTVTSLNAKKAISKKKKQRSRQKKLKAYDLSALSEFLQETAASPQQTEVKLNCKSKQALVQRESAQLNAVLNNPQFQLDPFAAIHQHLLSTQPPSSAKDDKSLKHRKKSTKDKRKKKKKKNSSSTSQHMDI* >Brasy5G392200.1.p pacid=40077491 transcript=Brasy5G392200.1 locus=Brasy5G392200 ID=Brasy5G392200.1.v1.1 annot-version=v1.1 MATPEDCGSWLLYLSLAAKCGGDGDHPRRLAGLLAVCATAFLVTCLLHWCFPGGPAWGRWWWTTRRGLGRGPVVPGPRGLPVIGSMWLMTGLAHRKLAAEAARLRGAGRRLMAFSLGETRVVVAGHPDVAREILTSPAFADRPVKESAYGLMFHRAIGFARHGAYWRALRRVASTHLFSPWQVAASGAQRAVIARQMVAALAGGAEVRQVLRRASLHNVMWSVFGRRYDLELDAGKEVRELGQLVDEGYDLLGQLNWSDHLPWLARFDLQGTRARCARLVPRVNRFVGAIIDDHRAKAPSAVKDFTDVLLGLQGGDRLADSDMVAVLWEMVFRGTDTVAVLMEWVLARLVLHPDVQARVHEELDRVVGRDRAVAESDAASLPYLHAVVKEVLRLHPPGPLLSWARLATSDVHVDGFLIPAGTTAMVNMWAITHDGDVWAEPMEFRPERFVGPGAEEFSVMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHEFDLLPSSDPARGVQLSETLRLSCEMATPLALTPRARRLSAV* >Brasy5G306300.1.p pacid=40077492 transcript=Brasy5G306300.1 locus=Brasy5G306300 ID=Brasy5G306300.1.v1.1 annot-version=v1.1 KPKRTGPQPPWASRRHSRSRAIGILRALVPSTGVLSIPLPLLPLPHRHSQPLLSDSLPLPRHSPPPQTPRAGTTGRQRLLSGSLLEAWNLDAGDLTCRAEARTSKTTPLAPKLHSASVCCESDEATVFKLVRQVGETFRPSKNNT* >Brasy5G214700.1.p pacid=40077493 transcript=Brasy5G214700.1 locus=Brasy5G214700 ID=Brasy5G214700.1.v1.1 annot-version=v1.1 MADLVLAAVASAVNKTLYGNHRMNIDHAAGAMGSVFPKLDELLKDEYKLQQSSKSEVEYLSRELRRMHAVLREVADMPARGQLGAEIWLWATQASELSCRVDDMVDIFLLRVKGFVPAARKADGFRRLVKKANPPHQQFAEAIKDIKVQLEDLANRQARYWIHGVVAIPAPPTPTSTSTVAPRPLPDREQLIVAGGEEAKEELILKMMLSDHKQLKILSIVGSQGLGKSTLAQLVYETLRPRFDCGAFVSLSQNLDMKDAAEGIFLQLQLGVESSVSKNEESKEFAQIIPEIREFLHNKRYIIMVDNLCNMGQWRNILHSLPSNLYGSRIITTTRLNHIAKLCCSGHDELIYEIKHLEHLDSKSLFLNRIFGSEMTWPSEAPTQVVDEILRMCSGIPPAILSVASMLASKVTMKETWQKTMYSLHSAWKEMMEFVGSVRTDIHSLRDLRASLLLSYHHLPSHLKACLRYLVTTSSSMYKQRIERHSLIRKWIAEGFIPEAGGTSREEVASGYLDELMHRNMIQSVEYYGRCRADEIYQVHCVMLYVIRLMSQEEEYLVNKLPYFDMSGAHRESSILRKLKDKVHLRSITMIDPSNLILLTNLECLGLRVLDLNGCEDLDNSYLDDICQMFLLRYLSLKQTGVTVIPPEISNLRYLETLDVRRTRISNLPGEIGKLQNLETLDVRQTRVKELPRELAHLPKLAHLYFGQSSSIGGVKLPAGSDHQFKSVKVIGTIDSRECSESAMEEISRLPEVREIAVLLYDGPADKERNDKLLSCVAKCDNLQTLIIYGDSDPSVELPPAPPNLFPLLEKLIVAGRFVRVPRWIAQLGALKKLDIRVCKLEPDHLGILGALPGLTTLALALVCIPRKKQVAITGSPECFTKLEVFSFDCRVPWITFEQSAMPSLKQLHLKLYACAAAGKFPSGITHLGSLEKIILRYSSEYENSSGVTEAVDAMREEAARHGNLIELSVSGDYEVFLSNTTLDKKITGSEIGECY* >Brasy5G438200.1.p pacid=40077494 transcript=Brasy5G438200.1 locus=Brasy5G438200 ID=Brasy5G438200.1.v1.1 annot-version=v1.1 MLKRRGPSSEHDRDCACTCKRARPPATQKRHLYLALDDRDKAYSLYKVDVLAAGEVDQAESHLPETPVLRLEVPESGDTCHFAALGTKIIALSNRSPPLVHRRWAWSPADSSSPVPFDPRDIACHAVHPDGRTVFVSAQRRHGASWLDHGTFSLDAGGLNGEWTRHGDWLLPFKGQAFFERQLDAWVGLDGRGRLCSCDGVSRRGTRGAAPERKVCEDTLLLCEPLDPDSGQRNAVLAHMGDARFCVVERAVRDGGGGAECVVHVTTFAVRYGKRGELKVAERRLVGSYLVPRHNVFFEVQAFFV* >Brasy5G514600.1.p pacid=40077495 transcript=Brasy5G514600.1 locus=Brasy5G514600 ID=Brasy5G514600.1.v1.1 annot-version=v1.1 MAHRRVLLVALAVLATAAVASSSSFSDSSLIRPVTERAATALESTIVAALGRSRHALRFARFAVRYGKSYESAAEVQRRFRIFSESLEEVRSTNRKGLSYRLGINRYSDMSWEEFQASRLGAAQTCSATLRGNHRMQDANALPETKDWREDGIVSPVKDQSHCGSCWTFSTTGALEAAYTQATGKNISLSEQQLVDCAGAYNNFGCHGGLPSQAFEYIKYNGGIDTEESYPYKGVNGVCHYKPENAAVQVLDSVNITLNAEDELQNAVGLVRPVSVAFEVINGFRQYKSGVYTSDHCGTTPDDVNHAVLAVGYGVENGTPYWLIKNSWGESWGDKGYFKMERGKNMCAVATCASYPIVAA* >Brasy5G313500.1.p pacid=40077496 transcript=Brasy5G313500.1 locus=Brasy5G313500 ID=Brasy5G313500.1.v1.1 annot-version=v1.1 MAFRSCLVLSRIVGEIPALSITPGAFPPSTSAVSATIRAAGSRATASQIVAIHSPLSHLVSASPSTIPVPTSGVTMDITPLTQMGCARRWALPWSAAAAAGLRCSPAAPVGIRWVPATGTTVGTAPMGARPFCSSAAGNLKDEDSGSLTDDAAGLHKEEAAGIKATGPPKEEKEAGTNPDEATINFEAQSSHNPFFVVVGHMIRSGIYNRAEVEYLRALLCEKFPEKTNVGELQKKLKSLQKVLDQHTLQIAALENRRETGKVDCPSNGKAKETPRT* >Brasy5G303800.1.p pacid=40077497 transcript=Brasy5G303800.1 locus=Brasy5G303800 ID=Brasy5G303800.1.v1.1 annot-version=v1.1 MEHATCDDAHEHVINVAHGETASTSIGHQDLHGDTDEPHQEDRPSTSTRTPSPESSASTSPTAYNSNNLSFPRRDSIYSHGRSLWNSGLWISFELVIYVAQIIAAIVILILSTHELPHAPLFAWIIGYTVGCFASLPLIYWRYVHRNRPLEEEPEQPPTTYPTLTSSQSSEGRNQRSSGTVLHLGCITVSCPRPSILAYHSKTAVDCFFAVWFVVGNVWIFGGRGTSSDAQDAPNMYRLCLAFLALSCVGYAVPFIMCAAICCCFPCLISVLRLQEDLGQTRGATQELIDALPTYKFKPKRSKNWGLDHASSSENLSEGGILGPGTKKERTVSAEDAVCCICLTKYGDDDELRELPCTHLFHVQCVDKWLKINAVCPLCKTDIGGVVRSLFGLPFGRRRVDRVTGRGVASSRFTV* >Brasy5G303800.2.p pacid=40077498 transcript=Brasy5G303800.2 locus=Brasy5G303800 ID=Brasy5G303800.2.v1.1 annot-version=v1.1 MEHATCDDAHEHVINVAHGETASTSIGHQDLHGDTDEPHQEDRPSTSTRTPSPESSASTSPTAYNSNNLSFPRRDSIYSHGRSLWNSGLWISFELVIYVAQIIAAIVILILSTHELPHAPLFAWIIGYTVGCFASLPLIYWRYVHRNRPLEEEPEQPPTTYPTLTSSQSSEGRNQRSSGTVLHLGCITVSCPRPSILAYHSKTAVDCFFAVWFVVGNVWIFGGRGTSSDAQDAPNMYRLCLAFLALSCVGYAVPFIMCAAICCCFPCLISVLRLQEDLGQTRGATQELIDALPTYKFKPKRSKNWGLDHASSSENLSEGGILGPGTKKERTVSAEDAVCCICLTKYGDDDELRELPCTHLFHVQCVDKWLKINAVCPLCKTDIGGVVRSLFGLPFGRRRVDRVTGRGVASSRFTV* >Brasy5G303800.3.p pacid=40077499 transcript=Brasy5G303800.3 locus=Brasy5G303800 ID=Brasy5G303800.3.v1.1 annot-version=v1.1 MEHATCDDAHEHVINVAHGETASTSIGHQDLHGDTDEPHQEDRPSTSTRTPSPESSASTSPTAYNSNNLSFPRRDSIYSHGRSLWNSGLWISFELVIYVAQIIAAIVILILSTHELPHAPLFAWIIGYTVGCFASLPLIYWRYVHRNRPLEEEPEQPPTTYPTLTSSQSSEGRNQRSSGTVLHLGCITVSCPRPSILAYHSKTAVDCFFAVWFVVGNVWIFGGRGTSSDAQDAPNMYRLCLAFLALSCVGYAVPFIMCAAICCCFPCLISVLRLQEDLGQTRGATQELIDALPTYKFKPKRSKNWGLDHASSSENLSEGGILGPGTKKERTVSAEDAVCCICLTKYGDDDELRELPCTHLFHVQCVDKWLKINAVCPLCKTDIGGVVRSLFGLPFGRRRVDRVTGRGVASSRFTV* >Brasy5G303800.5.p pacid=40077500 transcript=Brasy5G303800.5 locus=Brasy5G303800 ID=Brasy5G303800.5.v1.1 annot-version=v1.1 MEHATCDDAHEHVINVAHGETASTSIGHQDLHGDTDEPHQEDRPSTSTRTPSPESSASTSPTAYNSNNLSFPRRDSIYSHGRSLWNSGLWISFELVIYVAQIIAAIVILILSTHELPHAPLFAWIIGYTVGCFASLPLIYWRYVHRNRPLEEEPEQPPTTYPTLTSSQSSEGRNQRSSGTVLHLGCITVSCPRPSILAYHSKTAVDCFFAVWFVVGNVWIFGGRGTSSDAQDAPNMYRLCLAFLALSCVGYAVPFIMCAAICCCFPCLISVLRLQEDLGQTRGATQELIDALPTYKFKPKRSKNWGLDHASSSENLSEGGILGPGTKKERTVSAEDAVCCICLTKYGDDDELRELPCTHLFHVQCVDKWLKINAVCPLCKTDIGGVVRSLFGLPFGRRRVDRVTGRGVASSRFTV* >Brasy5G303800.4.p pacid=40077501 transcript=Brasy5G303800.4 locus=Brasy5G303800 ID=Brasy5G303800.4.v1.1 annot-version=v1.1 MEHATCDDAHEHVINVAHGETASTSIGHQDLHGDTDEPHQEDRPSTSTRTPSPESSASTSPTAYNSNNLSFPRRDSIYSHGRSLWNSGLWISFELVIYVAQIIAAIVILILSTHELPHAPLFAWIIGYTVGCFASLPLIYWRYVHRNRPLEEEPEQPPTTYPTLTSSQSSEGRNQRSSGTVLHLGCITVSCPRPSILAYHSKTAVDCFFAVWFVVGNVWIFGGRGTSSDAQDAPNMYRLCLAFLALSCVGYAVPFIMCAAICCCFPCLISVLRLQEDLGQTRGATQELIDALPTYKFKPKRSKNWGLDHASSSENLSEGGILGPGTKKERTVSAEDAVCCICLTKYGDDDELRELPCTHLFHVQCVDKWLKINAVCPLCKTDIGGVVRSLFGLPFGRRRVDRVTGRGVASSRFTV* >Brasy5G328900.1.p pacid=40077502 transcript=Brasy5G328900.1 locus=Brasy5G328900 ID=Brasy5G328900.1.v1.1 annot-version=v1.1 MDERCDMDKSEEVLLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKLASSGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTGPSLPKIPIDKNIPANDAWAICRIFKKPSSMAQRALSHSWGPQSTAMTEPDLLSALQSIQTSHFALEGSSRSAELALPANRFNSQQSFQGRQPQKLNSSENGSSSCKVINFNLSPSLTHISDKDIHSGPIILPFETQTLQKSSDGTSVLLSIAPGIINSIHEASPNTELEQPEECNGYAVDWVMDTDKGIGNSDEDPYTRKPENGYITGSQCGVSRKIKFPFDLGEDSSDDWACSMRCESLTCPEPPPEMSNSCSTKKYYT* >Brasy5G054000.1.p pacid=40077503 transcript=Brasy5G054000.1 locus=Brasy5G054000 ID=Brasy5G054000.1.v1.1 annot-version=v1.1 MSQPFRAVGSQKSIVHAYGAGSSRVSTQYSGGRTIEAAPQESTIIRDDATLPVTAKQLWNAAGDEYPSPPMISGVRPTLVRMLGRMLGMQISGIRAEFMLNDGTGGVRCTYW* >Brasy5G138000.1.p pacid=40077504 transcript=Brasy5G138000.1 locus=Brasy5G138000 ID=Brasy5G138000.1.v1.1 annot-version=v1.1 MSILCWNCRGLGDPATVHELRNLARESAPAILGVVETQISKYRVEGLARSLGFDTAFGVDSSGRSGGLRVYWRSSSVNLVLRKDDKEPWRLTVWYGEASTEQAISGHSKMWVSGD* >Brasy5G261100.1.p pacid=40077505 transcript=Brasy5G261100.1 locus=Brasy5G261100 ID=Brasy5G261100.1.v1.1 annot-version=v1.1 MCAPNKSCRHNAIKLNTGPVRTTIPSMHPMQNPLPSFLKAFVPCPATKRARRGKDGMHVRTRTSKKRVER* >Brasy5G287300.1.p pacid=40077506 transcript=Brasy5G287300.1 locus=Brasy5G287300 ID=Brasy5G287300.1.v1.1 annot-version=v1.1 MSVWTKAVGLLFGHIGHYVSVATSSISFLALPRCAVLKILTIFLNMVETNTFHFEKSIYVQELDLRRVLKYSSKPANQCFSMAMANHDSAHQQGQTFPTKIKCF* >Brasy5G044300.1.p pacid=40077507 transcript=Brasy5G044300.1 locus=Brasy5G044300 ID=Brasy5G044300.1.v1.1 annot-version=v1.1 MTGGGGDGPDGDPPPRRRRPLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPLLGFLILPVLWSLPEALITAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLHLGFSPPARSLAVLGLTAALTYLNYRGLHLVGLSAVVLTAFSLSPFVALTALAVPKIRPSRWLAFNRSAVDPRGYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGLRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAELGMIPAFFARRSRHGTPTYSILCSAAGVVVLSFMSFQEIIEFLNFLYGLGMLAVFAAFVKLRFKDPDLPRPYRIPLGSAAAAAMCVPPVVLIGTVMCLASARTVVVNAAVVAVGVAAYFGVERLKGTGWVEFLAPLPPSSDSSRGSSSAGDDDVEDVRAGLLLAAAVEQEEEEVASKAE* >Brasy5G317500.1.p pacid=40077508 transcript=Brasy5G317500.1 locus=Brasy5G317500 ID=Brasy5G317500.1.v1.1 annot-version=v1.1 MGVSGTLEYLSDLLSNSSRRRRYKQKRRQFQTVELKVRMDCEGCELKVRNALSTMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYIPYNLVAHPYAAQTYDKKAPPGYVRRQDAVMPVASYGSGPGAAQEERLTTMFSDDNPNACSVM* >Brasy5G213600.1.p pacid=40077509 transcript=Brasy5G213600.1 locus=Brasy5G213600 ID=Brasy5G213600.1.v1.1 annot-version=v1.1 MESKSMGINCVTGKRRDNKSKSCHGLEDTAVALLPNVPHDVNDHPIEILSSFFVNRLGGLNPPGTSVPVVRRLDLLKDVEVVVVKDFFPHLTGKGASKEKVCPGLMRISAKGTSRSVWPAPDGEIVGGEDLVLHKKPGEEFALRVGHGWSRGIEPCTPSWRYRNHARFNAK* >Brasy5G507900.1.p pacid=40077510 transcript=Brasy5G507900.1 locus=Brasy5G507900 ID=Brasy5G507900.1.v1.1 annot-version=v1.1 MPPSHLHAQLLKLGMSGDTFTANHLLNAYSRTGLLKNALGVFDEMPHRNLVSWTAMVSGSVRGGASQLGLGLFVSMVRSGFLPNEFALASALRATASFHDRASGLRLGLSLHGVAVKVGVGGDPFAGSSLLLMYANHGRVADAEGAFAEVRRRDLACWNAMLEGYVSNGCGHEAMRAVVLMRRCDMFTYVSAVKACLITGESDFGRQLHGCVIHNMFEPDTSVMNVLVDMYFRAGLKDVAMAVFRRILRKDTISWNTVISGFAHDEDDREVLGCFADMSRSGCKPNEVTLSVMLRLSAAKENASLGLQIFGLAYCHGYSENVLVANAVINMLSRCGLLNSADGFFCNLRFRNIVTWNEMIAGYGLYSYSEDAMRLFRSMVCFGERPDEFTYSAVLSAFQEAHEPRNHEQVHASILKQGITSRQFVSTSLIKAKAVFGSVQSALKIMEDTGKMDLVSWGVVISAFLKHGLNNEVMSLFDLFRGDCMNRADEFILATVLNACANAALLRQCRRVHSLVIRTGHSNHFCVASALVDAYAKCGGIASAKSAFADVSSESGDAILYNTMLTAYANHGLINEALSLYEDMARAQLVPTPATFVALVSACSNFGLVEQGKLLFSLMLSEEHGIHPTRANYATLVDLLARKGFLHEAKDVIEVMPLHPWPSVWRSLMNGCRIHGNKELGVLAAEEILRMTPSSDGAYISLSNVFADDGQWHFAEEARKMMAENQVRKVHAYSRIEV* >Brasy5G180200.1.p pacid=40077511 transcript=Brasy5G180200.1 locus=Brasy5G180200 ID=Brasy5G180200.1.v1.1 annot-version=v1.1 MAAGSSLGAAGGCGGFDDEHREKQLDKLERDAYRELAERKASPAPPPPLPHPRRAQGFLTGDQGLLLRNEHLASRVSHLRRRCRQRGMRGPALLESCGRDPLASRCR* >Brasy5G343500.1.p pacid=40077512 transcript=Brasy5G343500.1 locus=Brasy5G343500 ID=Brasy5G343500.1.v1.1 annot-version=v1.1 MALSYCRGLATALRETPSSILLFRDRVVQDTPIGHRDGVGSLFILVCFSIWRERNSRVFNDKSMHLRQLSVFIRDEAQDWAFAGAKALKKLLWEPP* >Brasy5G052100.1.p pacid=40077513 transcript=Brasy5G052100.1 locus=Brasy5G052100 ID=Brasy5G052100.1.v1.1 annot-version=v1.1 MAEPKAAKSKSSSKPSKKHHDEAASKKAKPKAASATPPAPSLDAHFKPCADVTGLRFGAQLVTRALTVRRAAPLELPHLLRVTHSDAGAEKQSQTLSFAPTTTAYIPTSFTILAHHAWHTLTLGLGTRNSKAVIFVFDSGAAKAACDAAWPGVVPLGDVGRRLLRAAPGSPEMARFKFRKGCVTFYVYAVRAAGARGFARADELRAVVEAVAGLKDFLDHTAMLALPGQRSIDAAPAPAAPAGVVH* >Brasy5G322500.1.p pacid=40077514 transcript=Brasy5G322500.1 locus=Brasy5G322500 ID=Brasy5G322500.1.v1.1 annot-version=v1.1 MSMALGRFTHWLWPGSSARVASHDHELPSTASMSSSFPDFPSGFREPDTVSFSTAGGGRRTRQRRVRNRRRSRGEPRVDREYDMVIVPSDGGGCLSGTESDDSDWSIGWLEPQAPEMQTDGDTETCFAVLVPCYRNGRAQQPAVPQGRFLGAGALADGGLSDGKNFVEKWLSSLQN* >Brasy5G322500.3.p pacid=40077515 transcript=Brasy5G322500.3 locus=Brasy5G322500 ID=Brasy5G322500.3.v1.1 annot-version=v1.1 MSMALGRFTHWLWPGSSARVASHDHELPSTASMSSSFPDFPSGFREPDTVSFSTAGGGRRTRQRRVRNRRRSRGEPRVDREYDMVIVPSDGGGCLSGTESDDSDWSIGWLEPQAPEMQTDGDTETCFAVLVPCYRNGRAQQPAVPQGRFLGAGALADGGLSDGKNFVEKWLSSLQN* >Brasy5G322500.2.p pacid=40077516 transcript=Brasy5G322500.2 locus=Brasy5G322500 ID=Brasy5G322500.2.v1.1 annot-version=v1.1 MSMALGRFTHWLWPGSSARVASHDHELPSTASMSSSFPDFPSGFREPDTVSFSTAGGGRRTRQRRVRNRRRSRGEPRVDREYDMVIVPSDGGGCLSGTESDDSDWSIGWLEPQAPEMQTDGDTETCFAVLVPCYRNGRAQQPAVPQGRFLGAGALADGGLSDGKNFVEKWLSSLQN* >Brasy5G136200.1.p pacid=40077517 transcript=Brasy5G136200.1 locus=Brasy5G136200 ID=Brasy5G136200.1.v1.1 annot-version=v1.1 MSSDIRKWFMKPCDKNAGTAKPSGAAAGATGAKKPVLSIPEKAAPSKVPGDKDTSARRKASKYFAPKTETDSDVTEISLSKRKLQKSSDELDDDVKPLAAHKVLEDEDDDDFVSPLKKKTPVKPPPSKKLKAASNDDDEDRMDEDAKTPSKAAGRGRGRGRGRGGRGAAHGKASTDDDDGEDMMDEDAKTPSKAAGRGRGRGRGGRGTAHGKTSSPDDDGEEDRMDEDDKTPSKAAGRGRVGRGGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRHGGRVTGSISKKTSYLLADEDVGGVKSTKAKDLGVPFLTEDGLFDMIRKSKPAKPPVNKHESNKNSEKLQKSPSKSSPVKVERRAVDQVSTINKSIASKSNKESASTDYQKAKIVDRGALQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEGQFLHSAQKGKGKKQIDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPSAVGKDDNGVKRMNCLARAAESIADGDIVNVQIRRYRQWQLSQAACLASSIVPAALMHGNRETFEAGERNFNRFGGWLGKYSTTNKNKRLLEDVHGHILASQQANVDREALRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTLVELSKFKGHPNPMDGIQAAVKSALTKAYKQGSSSRVVRSADLINIPGMKKPLKKRVAAILEPVDEGVPEENGGAAEGDEEDSSDAENDDELVAGDSKPKLDLQSDKKKGIQVQLDLKNNGGLGAKKAPAKARASGSGGKAAAGSGGKRKR* >Brasy5G136200.2.p pacid=40077518 transcript=Brasy5G136200.2 locus=Brasy5G136200 ID=Brasy5G136200.2.v1.1 annot-version=v1.1 MSSDIRKWFMKPCDKNAGTAKPSGAAAGATGAKKPVLSIPEKAAPSKVPGDKDTSARRKASKYFAPKTETDSDVTEISLSKRKLQKSSDELDDDVKPLAAHKVLEDEDDDDFVSPLKKKTPVKPPPSKKLKAASNDDDEDRMDEDAKTPSKAAGRGRGRGRGRGGRGAAHGKASTDDDDGEDMMDEDAKTPSKAAGRGRGRGRGGRGTAHGKTSSPDDDGEEDRMDEDDKTPSKAAGRGRVGRGGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRHGGRVTGSISKKTSYLLADEDVGGVKSTKAKDLGVPFLTEDGLFDMIRKSKPAKPPVNKHESNKNSEKLQKSPSKSSPVKVERRAVDQVSTINKSIASKSNKESASTDYQKAKIVDRGALQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEGQFLHSAQKGKGKKQIDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPSAVGKDDNGVKRMNCLARAAESIADGDIVNVQIRRYRQWQLSQAACLASSIVPAALMHGNRETFEAGERNFNRFGGWLGKYSTTNKNKRLLEDVHGHILASQQANVDRWALRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTLVELSKFKGHPNPMDGIQAAVKSALTKAYKQGSSSRVVRSADLINIPGMKKPLKKRVAAILEPVDEGVPEENGGAAEGDEEDSSDAENDDELVAGDSKPKLDLQSDKKKGIQVQLDLKNNGGLGAKKAPAKARASGSGGKAAAGSGGKRKR* >Brasy5G136200.3.p pacid=40077519 transcript=Brasy5G136200.3 locus=Brasy5G136200 ID=Brasy5G136200.3.v1.1 annot-version=v1.1 MDEDAKTPSKAAGRGRGRGRGRGGRGAAHGKASTDDDDGEDMMDEDAKTPSKAAGRGRGRGRGGRGTAHGKTSSPDDDGEEDRMDEDDKTPSKAAGRGRVGRGGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRHGGRVTGSISKKTSYLLADEDVGGVKSTKAKDLGVPFLTEDGLFDMIRKSKPAKPPVNKHESNKNSEKLQKSPSKSSPVKVERRAVDQVSTINKSIASKSNKESASTDYQKAKIVDRGALQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEGQFLHSAQKGKGKKQIDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPSAVGKDDNGVKRMNCLARAAESIADGDIVNVQIRRYRQWQLSQAACLASSIVPAALMHGNRETFEAGERNFNRFGGWLGKYSTTNKNKRLLEDVHGHILASQQANVDREALRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTLVELSKFKGHPNPMDGIQAAVKSALTKAYKQGSSSRVVRSADLINIPGMKKPLKKRVAAILEPVDEGVPEENGGAAEGDEEDSSDAENDDELVAGDSKPKLDLQSDKKKGIQVQLDLKNNGGLGAKKAPAKARASGSGGKAAAGSGGKRKR* >Brasy5G136200.4.p pacid=40077520 transcript=Brasy5G136200.4 locus=Brasy5G136200 ID=Brasy5G136200.4.v1.1 annot-version=v1.1 MSSDIRKWFMKPCDKNAGTAKPSGAAAGATGAKKPVLSIPEKAAPSKVPGDKDTSARRKASKYFAPKTETDSDVTEISLSKRKLQKSSDELDDDVKPLAAHKVLEDEDDDDFVSPLKKKTPVKPPPSKKLKAASNDDDEDRMDEDAKTPSKAAGRGRGRGRGRGGRGAAHGKASTDDDDGEDMMDEDAKTPSKAAGRGRGRGRGGRGTAHGKTSSPDDDGEEDRMDEDDKTPSKAAGRGRVGRGGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRHGGRVTGSISKKTSYLLADEDVGGVKSTKAKDLGVPFLTEDGLFDMIRKSKPAKPPVNKHESNKNSEKLQKSPSKSSPVKVERRAVDQVSTINKSIASKSNKESASTDYQKAKIVDRGALQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEGQFLHSAQKGKGKKQIDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDNFYFISTEKKAHDDKLQMVVSFFAKLLLGKCLYLY* >Brasy5G136200.5.p pacid=40077521 transcript=Brasy5G136200.5 locus=Brasy5G136200 ID=Brasy5G136200.5.v1.1 annot-version=v1.1 MDEDAKTPSKAAGRGRGRGRGRGGRGAAHGKASTDDDDGEDMMDEDAKTPSKAAGRGRGRGRGGRGTAHGKTSSPDDDGEEDRMDEDDKTPSKAAGRGRVGRGGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRHGGRVTGSISKKTSYLLADEDVGGVKSTKAKDLGVPFLTEDGLFDMIRKSKPAKPPVNKHESNKNSEKLQKSPSKSSPVKVERRAVDQVSTINKSIASKSNKESASTDYQKAKIVDRGALQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEGQFLHSAQKGKGKKQIDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKVLISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDNFYFISTEKKAHDDKLQMVVSFFAKLLLGKCLYLY* >Brasy5G037100.1.p pacid=40077522 transcript=Brasy5G037100.1 locus=Brasy5G037100 ID=Brasy5G037100.1.v1.1 annot-version=v1.1 MASPQWFLPVLLVMVISSVVVEQVEGQAYLPSASYCSTEGNYTNYGKYQTNLISLIGDLPAFAINGSGFSILTAGESPDKSCLRVAASDARRLWPYSREMMSVYEACVFHYSNHSFAVADMDIAIVEFFLANASDTATMIDTRRRLMSRLKSEAVEAPLRFANGTEPYKGSQLMNGLAQCTRDLSASECKRCLSHYIDLLPKYFISDAGGVIKGYFCYIRYDLEPFDIIVPPVPAQPPSSPTQSAERVHPPPPLPSTNRGFVIALSISYASLLIILVALLIWGQQRRQKQVMILDDELVMEDNFEKGTGPKRFQYRDLAVATNNFSDEKKLGEGGFGSVYRGFLKELNLEVAIKRVAKGSKQGKKEYVSEVSIISRLRHRNLVQLIGWCHAGGELLLVYELMPNGSLDKHLHGGKNAVLAWPVRYEIVLGLGSSLSYLHQEWEQCVLHRDIKPSNIMLDASFVAKLGDFGLARLVDHSQGSHTTVLAGTMGYMDPDCMITGRTTTESDVYSFGVVLLEIACGRKPVMVPQRKEEDTIHLAQWVWDFYSKRRILDAADMQLKGQFNDHEMECVMVVGLWCAHPDRSLRPLIREAVNVLRFEAPMPSLPERTPVTTCMPPVGTFTHTSSAITACSSSSSSATPIYVQA* >Brasy5G280400.1.p pacid=40077523 transcript=Brasy5G280400.1 locus=Brasy5G280400 ID=Brasy5G280400.1.v1.1 annot-version=v1.1 MAPPVTKLQALFTAAAVLLALAPAPAAALVPYGGATGLWLDDPFRVLEQTPLPRPAPSAGAAAVALARCDWKETPEAHVISVDVPGVRRGDVKVEVEENRVLRVSGERRPEPEREEGGERWHRAERAAGRFWRRFRLPAGADMGRVAARLEDGVLTVTVPKVAGHRGKEPRVVSIAVGEDEGAAVAGKGAAAEVEATKAEV* >Brasy5G353000.1.p pacid=40077524 transcript=Brasy5G353000.1 locus=Brasy5G353000 ID=Brasy5G353000.1.v1.1 annot-version=v1.1 MAPALSRTLGASSMAGLRPSPSRGLLPGAHMLVPQGRGASRRARGVRWDVGKKGRGRLVGVRCDAAVAEKTAGEEEAASGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSLTDPSMLADGAEIEIRIKPDPDAGTITITDSGIGMTKDELKDCLGTIAQSGTSKFLKALKENKEIGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEGEADSSSYLIKEETDPEKMLTRGTQITLFLRDDDKYEFADPARLQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEEESKEGEEASETVKEKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKTFNEFLDPLAHAHFTTEGEVEFRSILYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKDEKEDYKKFWESFGKFLKLGCIEDTGNQKRLAPLLRFHSSKNETDLISLDQYFENIPDNQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEKESNQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPYHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPESPAELGGKIYEMMTIALGGRWGRSAADDTEASASETNAEVDSSEGTTMEVVEPSEVRTESDPWKD* >Brasy5G375000.1.p pacid=40077525 transcript=Brasy5G375000.1 locus=Brasy5G375000 ID=Brasy5G375000.1.v1.1 annot-version=v1.1 MFNLSNLLRFVMAAAVVAASGVLLTSRSSCPCDVAGGQLATRNGTQAHPGIKSLGMATAARKDDDDLARLLRKAAMEDHTIIMTFTNEAWTAPGSLLELFLESFRVGEKTEHLLKHLVIVAVDGKAFEECKRVHPFCYSFDAGGGLNLAKEQEFMSGDYLEMMWARNRFQNHILELGFSFIFTDVDIVWLRNPLLRIPVGADITISSDKFDGDDPYDLGKRTNGGFVSARSNARTLAFFQGWHEARKAYPSLNEQDVFEKVKHELSTRVGAAVHFVDTAHFGGFCEPKKDFRQLCTFHGNCVKGLKWKLEKLRGVMGEWKKFKDERERAKKN* >Brasy5G138600.1.p pacid=40077526 transcript=Brasy5G138600.1 locus=Brasy5G138600 ID=Brasy5G138600.1.v1.1 annot-version=v1.1 MFPWRTSSTLWSLTRRTWTNSPLPARRIPTGALVAYIVISEEVTSQSRSNMFQGRRAAEPNAAARTEQVPVPMPSPAPNMHYLIALHDHWDVLRRPLQLCGCCGRRATSAVSAAATEDETGKEPNAVPSWTRSRLSWCESAQSVLLPRTSHDRRRSGGSAAPGAN* >Brasy5G455700.1.p pacid=40077527 transcript=Brasy5G455700.1 locus=Brasy5G455700 ID=Brasy5G455700.1.v1.1 annot-version=v1.1 MEDCVDDFMARVGHEHDGPKGFIKRLFDIDRVKKLKPRHEIAVEIKKLKDRAIEASKRHKRYPIHVLPPESSTCGIDPRIHALFVEVDKLVGIDGPKEHIIDWFKKEASSTQLRVVSIVGPGGLGKTTLTNQVFQTMQEEFSCAAFVSVSRKPDMKNVLREIAEKVEITHDTSGDTEQRLIERLRHHLQKKRYLIVIDDIWSMEDWKIIKDALLNNDSGSRIIATTRNSTVASLCSSQGDYVYKMKPLSSADSKRLFVHRAFGSEGSCPPHLEEVFERILKKCDGLPLAIITMSSLLAHQTAVEVWNRALAAVGSSLAKEDGAVEMTKILSLSYFDLPHYMKACLLYLSAYPEDRIIQKKSLIHKWMAEGFIRQVDDGRSTYEVGESYFNDFINRSLIDPVEGFSDGEVTFGEVEACRVHDIILDFITCKAKEENFMTSFGDAQHGGKHKVRRLSIVNRDNGMATVSMDLSHVRSLAVFRYACWNLKLDFPALRVLDLDGFWDLKNDHFANIEKLLLLKYLRLGRSSSFTEIPEGIGKLKFLETLDMRGLTIRKLPSTMAQLQRLARLYAIFELDCLSYGIIGQLHGLEELEEVAVSEIELDKFLQQLGQLTKLRTLSVHVRLSKLSKKVDDVNFVGTLISSHNLHHLTIRYYAHTTGRYYADCPREYLLSLESCRPANPVALRKIYIRNRIDKVPSWIISLGNLRVLHLSEMYRTGPEDMAILGRMPALVALKLKTWYGRKGNILVPPTGFGSLKYFDLRVRCCGTTVEFGEGSMPKLEHLKLEFSAHFLLREKFSAYLIECVNYGSDFGIQHLSALTTLEVCIDGENGHTDRIRRHIETALVKLRHRPTLSWKKSLYHGILRQP* >Brasy5G261300.1.p pacid=40077528 transcript=Brasy5G261300.1 locus=Brasy5G261300 ID=Brasy5G261300.1.v1.1 annot-version=v1.1 MAIEGNTQENMVAGGSHQDCTMKEMHRCWR* >Brasy5G298800.1.p pacid=40077529 transcript=Brasy5G298800.1 locus=Brasy5G298800 ID=Brasy5G298800.1.v1.1 annot-version=v1.1 MRTVSQGKQGGRMARALKEHRSRLYIIRRCIVMLLCWHD* >Brasy5G286000.1.p pacid=40077530 transcript=Brasy5G286000.1 locus=Brasy5G286000 ID=Brasy5G286000.1.v1.1 annot-version=v1.1 MVGGGGDLLGALPDEVLQHVLSFLPSREAVQASALSQRWRDLWRSTPAVRVPGFDAGHAHGLEQAFPTFINRFLLLRDGASPLRSLEIEVDLNDEDNYGENDHDHAFINKQFDLWIRHAAPTCRTLALAARISCKPEMLRLEARPLAASRHLTTLRLSCVKLAGGSLDFSRCPALLQLDLSGCDVDVEAIVSPSLQGLSVADCNLVPSLRRMMTATRFSTPSLHRLQLSDCVGGALPCLESMPSLTTAVVRLSGDKREDRGTHCLILHALSEPTSLELTASSYDGQVIFQRDLRWCPTFSKLKTLILNEWCVYDDETALATLLQHSPILEKLIFELSTNCPYKLKVIRSYNTWEQLTFQHLKTVEIRCQVVSSKVYKILKVLSACGVPLGKINIQGTTSQFECFNFVCTGFSSKEC* >Brasy5G338400.1.p pacid=40077531 transcript=Brasy5G338400.1 locus=Brasy5G338400 ID=Brasy5G338400.1.v1.1 annot-version=v1.1 MENERDVITWKFTPEGHFQCTFVLDVWKRIANWTNNHVWDPGTWSAASSVKQRWCLIIDNASGGGKTHCKATSSVTLLVLWELWKERNRRVFQHKLLPAVGVLAVIKGEAALWEKAGADIGVLCSGPDDVP* >Brasy5G464400.1.p pacid=40077532 transcript=Brasy5G464400.1 locus=Brasy5G464400 ID=Brasy5G464400.1.v1.1 annot-version=v1.1 MDKGALMSSSPAPATDSSTTGGSFSSLRAYGRALTQTPRRVALRACAATTPGEEMSRVRARSGTSMARALRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPEGFNQVDLVAVGVILLISVCICYSTKDSSMVNMVLTAVHVAFILFIIVMGFWRGDAQNLTRPSDPAHPGGFFPNGVGGVFSGAAMVYLSYIGYDAVSTMAEEVEKPARDIPIGVSGSVVIVTVLYCLMAASMSMLLPYDAIDTEAPFSGAFRGSEGWGWVSNVIGAGASLGILTSLMVAMLGQARYMCVIGRSGVMPAWLAKVHPNTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVSNAVVYRRYVLAASPSSSIPNGGSSDRRGASAWPTLAFLLAFSLLAIAFTLSWKLAPEGRARIALLACIGIAAVATVGAFQLLVPQAHTPQLWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSAAAVLVYALYSVHASFDAEETGSGDDGGAKVQDEDCKV* >Brasy5G464400.2.p pacid=40077533 transcript=Brasy5G464400.2 locus=Brasy5G464400 ID=Brasy5G464400.2.v1.1 annot-version=v1.1 MEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPEGFNQVDLVAVGVILLISVCICYSTKDSSMVNMVLTAVHVAFILFIIVMGFWRGDAQNLTRPSDPAHPGGFFPNGVGGVFSGAAMVYLSYIGYDAVSTMAEEVEKPARDIPIGVSGSVVIVTVLYCLMAASMSMLLPYDAIDTEAPFSGAFRGSEGWGWVSNVIGAGASLGILTSLMVAMLGQARYMCVIGRSGVMPAWLAKVHPNTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVSNAVVYRRYVLAASPSSSIPNGGSSDRRGASAWPTLAFLLAFSLLAIAFTLSWKLAPEGRARIALLACIGIAAVATVGAFQLLVPQAHTPQLWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSAAAVLVYALYSVHASFDAEETGSGDDGGAKVQDEDCKV* >Brasy5G122900.1.p pacid=40077534 transcript=Brasy5G122900.1 locus=Brasy5G122900 ID=Brasy5G122900.1.v1.1 annot-version=v1.1 MGPPPVESQKLRSKIDEVLRSRYGPDWENQPELKQLQSLVTLLTDASRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKILKLAAIRIRNLCERLRHVEQTERVYNIFKQILDQQTTLFFNRHIDQLILCCLYGVAKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G122900.2.p pacid=40077535 transcript=Brasy5G122900.2 locus=Brasy5G122900 ID=Brasy5G122900.2.v1.1 annot-version=v1.1 MGPPPVESQKLRSKIDEVLRSRYGPDWENQPELKQLQSLVTLLTDASRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKILKLAAIRIRNLCERLRHVEQTERVYNIFKQILDQQTTLFFNRHIDQLILCCLYGVAKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G122900.4.p pacid=40077536 transcript=Brasy5G122900.4 locus=Brasy5G122900 ID=Brasy5G122900.4.v1.1 annot-version=v1.1 MGQIGKISQRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKILKLAAIRIRNLCERLRHVEQTERVYNIFKQILDQQTTLFFNRHIDQLILCCLYGVAKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G122900.5.p pacid=40077537 transcript=Brasy5G122900.5 locus=Brasy5G122900 ID=Brasy5G122900.5.v1.1 annot-version=v1.1 MGQIGKISQRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKILKLAAIRIRNLCERLRHVEQTERVYNIFKQILDQQTTLFFNRHIDQLILCCLYGVAKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G122900.3.p pacid=40077538 transcript=Brasy5G122900.3 locus=Brasy5G122900 ID=Brasy5G122900.3.v1.1 annot-version=v1.1 MGPPPVESQKLRSKIDEVLRSRYGPDWENQPELKQLQSLVTLLTDASRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G122900.6.p pacid=40077539 transcript=Brasy5G122900.6 locus=Brasy5G122900 ID=Brasy5G122900.6.v1.1 annot-version=v1.1 MGQIGKISQRFYRKAFNELFSSASTCQESESTANNPDYICFGWLLFLTLRSKSPELFKDLVSCIHGLVAILAILLIHVPARFRSFTIEGSSHLIKQTERGVDLLASLCHNYHTSEARLKEMMGKSHKAIEEYFSMKPLKASECKAETLDKIDTVGLKYFKHLLDEECFQSNLEKLEKLSSTSSWEGELDLKMFLINNDNIVSAEDSSSCPKRVFETLASPTKTIKNMLTVPSSPSSPANGGSVKIMQMTPVTSAMTTAKWLREVISSLPEKPSSKLEQFLSSCNTDLTSDITKRVNIVLEAIFPTRSSGDWGGSIGLNCANAFDIPWAEARKSEASKLYYRVLEAICRVESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEESLPRELKRHLNALEEQLLESMAWEKGSSLYNSLVVARPSLALEINRLGLLAEPMPSLDDIVAHQNIRSEVLPATPSKKRAAGPDDNDDPQSPKRLCKESRNTLADHNLLTPPPKQSHTVKAKWHPLQSTFASPTVSNPVVGNEKCAEVGVNIFFSKVSQLTLTFKEIVTNYKREQQCKPEVFRSVFVGSTNRNGGLGSRHVDIIVFYNEVFVPAVKPFLVALIPSGARAEDKKNPSSQIPGSPKPSPFSNLPDMSPKKVSSSHNVYVSPLRQTKKDALLSPSSRSFYACIGESTHAFQSPSKDLAAINNRLNYTSRRINTRINFDMVSDSVVAGSLGQPNGGPASSVVAGSLGQPNGGPASSEPAAAFSPLSKKGKPGPE* >Brasy5G330400.1.p pacid=40077540 transcript=Brasy5G330400.1 locus=Brasy5G330400 ID=Brasy5G330400.1.v1.1 annot-version=v1.1 MAGGGVGALGVKKERAAEYKGRMTWAVAMACLVAAVGGAIFGYDIGISAEKLTRERLRNSAVVFGTPIQLCVLSIQADVMIGGVTSMDPFLEKFFPVVFRRKNSGHQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNYGRRASIVCGGVSFLIGAILNVAAVNLAMLILGRIMLGVGIGFGNQGVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANMINYGTQNLNPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRAQEGRRVLERIRGTADVDAEFTDMAEASELANTIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQTMGFGADASLYSSVITGAVLFLSTLISIATVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGTDKQLTRGYSVAVVVVICLFVMAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCAFKFGIFLFFAGWIAVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLHEEDGWGGAGDGARAHHK* >Brasy5G168700.1.p pacid=40077541 transcript=Brasy5G168700.1 locus=Brasy5G168700 ID=Brasy5G168700.1.v1.1 annot-version=v1.1 AAWTKLGRESPSLARDIFSLPPWLPALAIDPFLSPTSPYAAHLASSPPVGDLSPPLLVPLLPCLRAHGRRITGWGETRRGKSFRGGRVCSLRRATEEREADATPHKEDGSGHTRVQEEGGCTPRRGHAPMEEKEGCAPLRRRGDSRVAVQKENTGALLWRRGGDLRRQRREGGALRRRHTCFL* >Brasy5G168700.2.p pacid=40077542 transcript=Brasy5G168700.2 locus=Brasy5G168700 ID=Brasy5G168700.2.v1.1 annot-version=v1.1 AAWTKLGRESPSLARDIFSLPPWLPALAIDPFLSPTSPYAAHLASSPPVGDLSPPLLVPLLPCLRAHGRRITGWGETRRGKSFRGGRVCSLRRATEEREADATPHKEDGSGHTRVQEEGGCTPRRGHAPMEEKEGCAPLRRRGDSRVAVQKENTGALLWRRGGDLRRQRREGGALRRRHTCFL* >Brasy5G248400.1.p pacid=40077543 transcript=Brasy5G248400.1 locus=Brasy5G248400 ID=Brasy5G248400.1.v1.1 annot-version=v1.1 MDILAAGRDRDDNGAKKMSRAGEEVKQQKQSGGSFWAAMALKNRSQPGGGENKKSTSSSSQRKKKTTIGGRSMSCAGKSICGTKERAVLSRGSRRSSAASSSRSLKAPGGVDAFSSVSVSALSSFNSETTAATTVSSSSLSSSSSSSSAESGLSSPPSSVKAAAGSGSFRKKKLPGGSYYECHSEAALDPSAAAAAMAMMLPCAGCDEFFLNADSLELHKSTSHAVSELGASDTSRSVVEIIFRSGWKKNPPPCRIERILKVRSSSSGNGAAARLEFERYRARVMESAAASGDATRCAADGNELLRFHSTAFHCSLGLANGGGGAALCRALPPAQRHCELCGIIRDGFCVDGDGRIATAATSGLAHDMAGGGGEKRAMLVCRVVAGRVRKKKKKEKAEANGGDSGSDSSEEFGYGYDSVSRSSASCSSELDELFVFDPRAILPCFVVIYTVAD* >Brasy5G006400.1.p pacid=40077544 transcript=Brasy5G006400.1 locus=Brasy5G006400 ID=Brasy5G006400.1.v1.1 annot-version=v1.1 MIAVLLYAITMADYDQDAAGSREKLLLTRDGIKNVALYFNSVGRFANAKGAFIYPMHGHGELPQAFCRFAAVKGALYVLRMPVTGLLMDKENQRYIGTRLASGQDILCQQLILDPSYKIPSLDLPSDASESNPPRKVARGICILRRSVKPGLSNVLVVFPPKSLQEQQVAAVRVLQLSSNVAICPAGMFIVYLSIPCADAFMGKLCINKAIEVLLRFQASNGSEGHLETASEYNEDVKPGLIWNCVYVQEITQGTSGTVLSCPMPDESLDYRNILESTKKLFADTYPHEEFLPRTSGPKYADSDSDSAE* >Brasy5G006400.2.p pacid=40077545 transcript=Brasy5G006400.2 locus=Brasy5G006400 ID=Brasy5G006400.2.v1.1 annot-version=v1.1 MIAVLLYAITMADYDQDAAGSREKLLLTRDGIKNVALYFNSVGRFANAKGAFIYPMHGHGELPQAFCRFAAVKGALYVLRMPVTGLLMDKENQRYIGTRLASGQDILCQQLILDPSYKIPSLDLPSDASESNPPRKVARGICILRRSVKPGLSNVLVVFPPKSLQEQQVAAVRVLQLSSNVAICPAGMFIVYLSIPCADAFMGKLCINKAIEVLLRFQASNGSEGHLETASEYNEDVKPGLIWNCVYVQEITQGTSGTVLSCPMPDESLDYRNILESTKKVCIL* >Brasy5G474300.1.p pacid=40077546 transcript=Brasy5G474300.1 locus=Brasy5G474300 ID=Brasy5G474300.1.v1.1 annot-version=v1.1 MAGSHVHEPAIMTNPYAALSADLGAHYGRARRGGCDNIKAFVAEHLRPEHVARLPAAAGATLLSAVRHAAALSTLEKVQYAAGAGEENGGCAICMEDYKAGDELSVVPCSGKHRFHGRCLAVWLARKRLCPLCRHALR* >Brasy5G339700.1.p pacid=40077547 transcript=Brasy5G339700.1 locus=Brasy5G339700 ID=Brasy5G339700.1.v1.1 annot-version=v1.1 MLLRPASSPPRPHFPAAAPFFLVLSRCQRRRHRPYTLLLRASLSDVLASLPASLALVGPAAAAAAAAVVSSFSSPSSYFRSSIPSSPSPSNSDYDGRGDASGEWILFTSPTPFNRSVLLRCPSVSFEDGGVLLDGVNERLLTEERHYVNLSRGRIPAARGGEGTGRISYQRICIPMEDGGVIALDWPENLDLDKEHGLDSTVLVVPGTPEGSMERGIEMFVLDALKNGYFPVVMNPRGCGGSPLTTPRLFTAADSDDICTAIRFINSKRPWTTIMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLEEATRSFPHNIALDQKLMAGLVDILRANKELFQGKDKDFDVQKALSANCLRDFDGAISMISHGFATVDDFYSENSMRLSVARVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSSVFTFERNTVLWCQNLTLEWLSAVEFALLKGRHPLIKDVDMTINPSKGLAFVESPPNNKAPKDNDLCEQSQFILYNNVPHGINGLLTDSANGYSGAEVIESGLLEDNGDMDRIRQVADEEESGESSDDVEEGHVLQSASLVMHMLDATMPGTLDDDQKKKVMVAVEQGESLVKALEEAVPEDVRGKLTASVTEILQSKRENFNLDALKRLGWRRSTTKTVVQEKVKESDHGSGLKDAKMLDQHRGVTSTDEGDQKDTNLTSSDNNPGEGIDLSQGKSYQASGSVGTGIEMANEQTQLNKSEKDNSGINESSEEQHMIDQGSETAPKHVSDDRPKVNSDGPPSERVQSADVTAEQNPQSYVIDKEGDAVSANEDEVEHDVIDQNMQVPKTEESKPPPVNVTQALDALTGFDDSTQMAVNSVFGVLENMIDQFEKQHDAENGDTSDGIAVEPSVDETESDVIVDVDSVPIGRNKNQSSSDEPEHSISVEASIMPEDHTFDERKSNLSIIPPSKGKMKNSQRNISGNHVDGDVTKWPSGSPDYLLDIAANPYLKVQYALYLHEVLSTQLKMQSPHLNSATELLLDPQEGKWKLAEQMHNVHNNISKSDKNSGIEEEIKHAASSQAPSRTDNVILQPHSVLGNFADTKSKVNERLPGSALKQTLAYFITDELSNALKIEVGRKLGIKNAEQLQRSLARDVKRLAAQVSRNIVLHSELYTATSVQRNPTTVKFGVIHGENVVEAVSTAVQQSHDLRDVLPVGVIVGVTLASLRNYFHVGVSKHDNLTKAAVKSGILNEDLIIQDTDEENIDNPSPRKEANVDPSTKKEVNIDYQFEENVEHKQQEITRSEGKGMMVGAVTAALGASAFIAHHQQKTAEEHGSMDSTSTYSQHSLDETGQEKSQNNLMSSFAEKAMSIAAPVVPTKGDGEVDQDRLVAVLAELGQKGGILRFVGKIALLWGGIRGAMSLTDRLILFLRISERPLFQRILGFSFMVLVLWSPVVIPLLPTLVQSWTINASTGIVGDACIVGLYVSIMMLVLLWGKRIRGYENPVEQYGMNLASPSRLQEFFQGLVGGVAVVCLVHSISILLGFATFRAGSSSLLARPFDFLKSSSNVLLLALRGFMTATSIAVVEEVVFRSWLPEEVAADLGHYHAILISGVTFSLIHRSLPSVPGFLLLSLVLFGLKQRTQGNLAAPIGLRSGIMTASFLIQSNSIITFKPETPFWMISTYHLHPFDGAIGLSICALLAILFFPQKPVQDTFVS* >Brasy5G330600.1.p pacid=40077548 transcript=Brasy5G330600.1 locus=Brasy5G330600 ID=Brasy5G330600.1.v1.1 annot-version=v1.1 MPLHRTRRRVTPVHPVLMVPPVHQESSVMPNNTLKEKEVELEEEKELSCTRKRPKCAGSVVQSQQEIEVLAIENVNKGPGFLSLEESAYVVQRNVEANVCSQGDICGSTTQELAARAKLNDFCSAIGWKCPKYDFEEQGPNKNLFTCKATVHVDAITDTIVECFSESKPQKKAAREQAAQGILWCLKCLGHVK* >Brasy5G433800.1.p pacid=40077549 transcript=Brasy5G433800.1 locus=Brasy5G433800 ID=Brasy5G433800.1.v1.1 annot-version=v1.1 MDATTSSGASSSLPLRLIVDDTLSLVSPLQQSFQRSQRHCFGDSSPGEFPLAANPSIVLHVLTSCNVEPEDLAHLEATLDMCHKRAIFKHMTTQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIVVTTSGAVYTFGSNNSGQLGHDGLEEEWRPRPIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGVQGSKVVTTPQMVESLKGIYIVQAAIGNFFTAVLSREGCVYTFSWGSDMKLGHQTEPNDAQPHLLTGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQTLNIQPVVVAAGAWHAAVVSKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALGSVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTIIEGNNRHSNVLSPELVTSLKRTNERVVQVSLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS* >Brasy5G299100.1.p pacid=40077550 transcript=Brasy5G299100.1 locus=Brasy5G299100 ID=Brasy5G299100.1.v1.1 annot-version=v1.1 MACHLAAACAPRLAVVASSSAGGPVRRIRRISAGSSSQQEPTGAPTQPSVAEVRRAIGAADDPSASGRDRQSSFMELLASTPIGQPESDAERRIREAAEWVVDNTEARAQEGQKSILVLCMKIFPLWLFLMLTALGVIKLPFDIPGLDMDNLLM* >Brasy5G317800.1.p pacid=40077551 transcript=Brasy5G317800.1 locus=Brasy5G317800 ID=Brasy5G317800.1.v1.1 annot-version=v1.1 MAAMTRGGEGSLRDAADGLSLPSMAARVRGGDGRRWGTAGLGLASRPWCGAGDGDGQPKMTGRPEVTGRPEAAVLQGFYPSVAGGEDGGVALVGCSGMGNDASGGASCVKKVVGQARTEPKPDIPFLPQI* >Brasy5G242500.1.p pacid=40077552 transcript=Brasy5G242500.1 locus=Brasy5G242500 ID=Brasy5G242500.1.v1.1 annot-version=v1.1 MRRAMGSVDDLIEEAKVRTVWWALCVFAISYFITHTSKSMWTNVPMSILILAFLRYLSFKVEFRWRSQPVPKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIDNILRDFVMDLFYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDFLTSDMADLIGKHVDIFRKNQLQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLKELVGGVMALVLRPQDAQSPLVRCFSRELVTCLVLQPVMNFASPIYVNELIIYFLNNKDTDIGGSVSKANDHPSCKGGSQGRQIESVKLSTESSGLVPASTSGMTLLEGDKSKVSVDDHGKVVQPRQADWALVLDAATERRSQVLAPENLENMWAIGRNYQKKMVKVEHPSKGKGAGSVDNIRNAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTFVTGSHPLVQNTDEVKSKEQSQVNYNSKVKHSEAVKNTKSQLKRSNSSPDMEKRHLSKSNQTAISSESLSARKNQDDKGSGPSSHGEALIYAPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADTKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAAVNVDDAMDDIVRHVKGVSDGLKRAVSTSSPNAPYSQFADNRMSLSWNQEEINNQNQHHRSMGSAHSLSDGDSNCEDRPSSVNSACHSDNEFNNGGYASSDNKPNEAGSGCDTQVNQQIEKPARANSDSTNMASIKSLEDPTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDDWILRQINWLRKDDVIIQGIRWIQDTLWPNGIFFTKLDALQGNACASQFDKHPSGSAGEATGNRKGSTGSFELQLEASRNASEVKKLLLGGTPSTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLTYAAVEQVLVTLFPELQQLIEDIHEKGRKEQASFTYQL* >Brasy5G242500.2.p pacid=40077553 transcript=Brasy5G242500.2 locus=Brasy5G242500 ID=Brasy5G242500.2.v1.1 annot-version=v1.1 MRRAMGSVDDLIEEAKVRTVWWALCVFAISYFITHTSKSMWTNVPMSILILAFLRYLSFKVEFRWRSQPVPKQTYLSQASKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIDNILRDFVMDLFYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDFLTSDMADLIGKHVDIFRKNQLQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLKELVGGVMALVLRPQDAQSPLVRCFSRELVTCLVLQPVMNFASPIYVNELIIYFLNNKDTDIGGSVSKANDHPSCKGGSQGRQIESVKLSTESSGLVPASTSGMTLLEGDKSKVSVDDHGKVVQPRQADWALVLDAATERRSQVLAPENLENMWAIGRNYQKKMVKVEHPSKGKGAGSVDNIRNAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTFVTGSHPLVQNTDEVKSKEQSQVNYNSKVKHSEAVKNTKSQLKRSNSSPDMEKRHLSKSNQTAISSESLSARKNQDDKGSGPSSHGEALIYAPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADTKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRHVKGVSDGLKRAVSTSSPNAPYSQFADNRMSLSWNQEEINNQNQHHRSMGSAHSLSDGDSNCEDRPSSVNSACHSDNEFNNGGYASSDNKPNEAGSGCDTQVNQQIEKPARANSDSTNMASIKSLEDPTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDDWILRQINWLRKDDVIIQGIRWIQDTLWPNGIFFTKLDALQGNACASQFDKHPSGSAGEATGNRKGSTGSFELQLEASRNASEVKKLLLGGTPSTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLTYAAVEQVLVTLFPELQQLIEDIHEKGRKEQASFTYQL* >Brasy5G276200.1.p pacid=40077554 transcript=Brasy5G276200.1 locus=Brasy5G276200 ID=Brasy5G276200.1.v1.1 annot-version=v1.1 MECRTKLQSLLRTNAVLRMLSPGGIALRRIHFVPGPTSEDEQEHAPPPPSRGWYPAAYARLLRLAGSLRGLELAADGSLREIPTGSLVADPHAAARMGHFDALAAAFAAATRRGPALRETSLSSLTKVCDVLGVSAQRRKNVRLTVCPQVTQHHVWRGALEEVLRDLRADMAALEQDQELHPSIQMAEQIASACAGFLSDTADAATSSSPSWMRPTPFRKPAAPPPPAKTWQEVLDMFTDLAKSLGTDTRLAGHLQKVEAMKEGLYQIRDIIVERDIGFKEARHQDCLVQRNLSKNLGHSSRGLYTLLLFYLYHTVRDIEVHIGKCLSGKGGKNVTVHAAKFLIDGDELAVRSGIKQLSRALGVFRFVWEAANTDTGTSNGNGKDVVVKKGEDAKGVLKLQGHLWGLGVEEKKVTYRGDVFHVHQIQLP* >Brasy5G237100.1.p pacid=40077555 transcript=Brasy5G237100.1 locus=Brasy5G237100 ID=Brasy5G237100.1.v1.1 annot-version=v1.1 MESGRLMFSSAAPCRAGGRQMMLFGGSGSFLGGSPAVSGVEDGRRRKRPFLTTALDDDLQMEEYDDEMCGYGGLDSEDHAPGTGRTKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGMAPRQVAVWFQNRRARWKAKQLERDFDALRAAHDHLLAGRDALLADNDSLRLQVISLTEELQAKASSPVSEPEEHTTASGMVHAAASASPALSAQPKEACDACAVETNAGTGVAGAPAMGVAGGSPESYSCFADARSPPSSSDDDCGGAASNEGGCAFFLPDGAMLEAVAAVELHESEAEAAQLNYWAWFWN* >Brasy5G237100.2.p pacid=40077556 transcript=Brasy5G237100.2 locus=Brasy5G237100 ID=Brasy5G237100.2.v1.1 annot-version=v1.1 MEEYDDEMCGYGGLDSEDHAPGTGRTKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGMAPRQVAVWFQNRRARWKAKQLERDFDALRAAHDHLLAGRDALLADNDSLRLQVISLTEELQAKASSPVSEPEEHTTASGMVHAAASASPALSAQPKEACDACAVETNAGTGVAGAPAMGVAGGSPESYSCFADARSPPSSSDDDCGGAASNEGGCAFFLPDGAMLEAVAAVELHESEAEAAQLNYWAWFWN* >Brasy5G250800.1.p pacid=40077557 transcript=Brasy5G250800.1 locus=Brasy5G250800 ID=Brasy5G250800.1.v1.1 annot-version=v1.1 MAELRYNISAAVVAESEGRSYVFKVDGYSSAKWKLRNGEPLVSKLFSVGGHDWAVEYYPKGGRYEYSDYISIYLVLHSDPAKDVKAIFTFSVLDRDGRPVTKYSRTIIGHTFSKKGSSGGYHDFIDRGDLERALYVRDDNFSIRCDVSVVVKDNDQQKCDQPVVVVVPPSDLHRHLEGLLKSMDGADVVFRVGGEEFSAHRAVLAARSTVFKAELFGAMKEKECGLVGVCDMEADVFKSLLHYLYTDSLPDATTGGDDTLGDVVMAGHLLVAADRYNIERLKLICEDKLCKHIDSKMVATSLALAEQHSCHGLKEVCLQFLDSPSSVKAMMASDGYEHLKTSCPSVLKELVARFVPAELKEVLMSI* >Brasy5G342700.1.p pacid=40077558 transcript=Brasy5G342700.1 locus=Brasy5G342700 ID=Brasy5G342700.1.v1.1 annot-version=v1.1 MDLPAPPTNYPETLSSVILSRRRPDAERRPGARINKCASQRLVSPPPLPLHHSLLLRPDPPGRERAVVVRSSTVAAPQLVPPMTTPSPNPTIRRLDVASPVPADIDIANAVDPLPIADIAAELGVSPEHYDLYGKYKAKLLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHMTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPDELTPEEVRRFARLDIDPASITWRRVMDVNDRFLRKISVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSREPVTADDLGLGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLAPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHISNTKSYGVNVVVAINKFASDTEAEMEVVRNAAMAAGAFDAVVCSHHAHGGKGAVDLGLAVQRACESQSEPLKFLYPLDSSIKEKIESIARFYGASGVEYSEQAEKQIEMYTKQGFSSLPICMAKTQYSFSHVPSMKGTPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTATGKVMGLS* >Brasy5G110200.1.p pacid=40077559 transcript=Brasy5G110200.1 locus=Brasy5G110200 ID=Brasy5G110200.1.v1.1 annot-version=v1.1 MDRSAAGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIESNSSVLNDEFIAHRLGLIPLTSSGAMGMRFSRDCDACDGDGSCEHCSVEFHLAARATDANKTLEVTSNDLRSTDPKVCPVDQAMAYQQAIGTADPYDTSTASDHRGILIVKLRRGQELRLRAIARKGIAKDHAKWSPAATVTFMYEPDIRINEELMETLTLEEKTSWVESSPTKVFDIDPNTQQVVVVDREAYTYDDEVIKKAEAMGKPGLIEISAKEDSFVFTVETTGAITAYELIINAITVLRQKLDAVRLQEEDSDFTGLDAHLGVA* >Brasy5G473700.1.p pacid=40077560 transcript=Brasy5G473700.1 locus=Brasy5G473700 ID=Brasy5G473700.1.v1.1 annot-version=v1.1 MKPPLVRSAQEVREPDPVAAEGWIEERRRGASQLTNRLLPADGGAAGVLQPIAGQGLHPRRRRWVVAVAARRSCRRVSPSSPLEAPDGPVLLAKSPRRPSDSSFSVSSVLAAFGFIKA* >Brasy5G189600.1.p pacid=40077561 transcript=Brasy5G189600.1 locus=Brasy5G189600 ID=Brasy5G189600.1.v1.1 annot-version=v1.1 MGATQASADLRLPLTATQASRSTTPSDDCGEVIVWVVLFLLAAFPFGCLFGILFYSSLTEPPWHAPEYSVAITGVAGLDNLRRDPPMPPLSSQLVFNLTVNVKSTDKFDNHLACIGSHWTKLTVSYGRAILGEGPSLLPRVCVDHMQERKVDSVAWGVDVAVPEFLRQRLAEEMERGDAVLDVTVSHDPSFGSNWVLACKAKIGGGLCPCKIEL* >Brasy5G453700.1.p pacid=40077562 transcript=Brasy5G453700.1 locus=Brasy5G453700 ID=Brasy5G453700.1.v1.1 annot-version=v1.1 MGIFGSPAKVYRPAAEVDLGPGSAEHYISPNVKAPRVAGLLVKIFGWVLELPFVGWFLLYILKKDNLINKLVSEAEIPEPPLFTATHSWEDTPEQNVCLTKPDLTPAERVREAVGCLPARLESTLGAGPVLKRWTIMDFHRAYSSGETTPVQVAKRFLAAVKESSGPTLNMAFFISCNPEDVLKQAEESTLRYQRGAALSALDGVLVAVKDEIDCMPYPTTGGTRWLGNARPCAADAACVAQLRACGAVLAGKANMHELGAGTSGINPHHGSARNPYDAGKVAGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSSAGVLPLNWTVGMAGILAGTVEDALVAYSAIVDQSQPSYMRPELNLPMLTSTPSISKVKLAKYAKWFNDSSEDIRSCCDKALQTLRTHYGWETVDVTVPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALAVYGSFSSRAYLNSQRLRNRQMFFHKEIFKTADVIVSPMTGVTAYTLQDDALQSGELDYINGAALIRYSIAGNFLGLPAITVMVGQDKGGLPIGLQFIGRPWSEATLLHIAFAMQEACSKNHRKPAVFYDLLKKD* >Brasy5G005300.1.p pacid=40077563 transcript=Brasy5G005300.1 locus=Brasy5G005300 ID=Brasy5G005300.1.v1.1 annot-version=v1.1 MKGLTKIRHHLLLGESLLLQISLPFPCLSSTQKRKGENLASALTLVSLDIPLKKVNFFPLLCFQFSNLSAFRCRVVDFFFSWCDACR* >Brasy5G281200.1.p pacid=40077564 transcript=Brasy5G281200.1 locus=Brasy5G281200 ID=Brasy5G281200.1.v1.1 annot-version=v1.1 MDLSGTTRGELPIPMNASYGPAAAGSVVVSGAGGHAHAAAHAAAAAHNGQQQMMTPLIPAAAAEVENNAAAAIGIGKKRSAAGAGNGGGAPLAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKDVDDDFALHRRLLLLGPHHSMPRGGPIVPSSGADHYAGYTRSALPPPPPPPHQIVMPLNMIHSSESDEMDTGAGLGVGHGGALLSRSLGHGGGHGGGGSSSSQQKRFRTKFTQEQKARMLAFAEGVGWRLQRADDAAVQRFCQEIGVKRRVLKVWMHNNKHNLATNKLPASPQPEAQAQDQPPMAPAPMNSLPPPPQELAGPSSSSPPTQDDHQLNRLE* >Brasy5G281200.2.p pacid=40077565 transcript=Brasy5G281200.2 locus=Brasy5G281200 ID=Brasy5G281200.2.v1.1 annot-version=v1.1 MDLSGTTRGELPIPMNASYGPAAAGSVVVSGAGGHAHAAAHAAAAAHNGQQQMMTPLIPAAAAEVENNAAAAIGIGKKRSAAGAGNGGGAPLAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKDVDDDFALHRRLLLLGPHHSMPRGGPIVPSSGADHYAGYTRSALPPPPPPPHQIVMPLNMIHSSESDEMDTGAGLGVGHGGALLSRSLGHGGGHGGGGSSSSQQKRFRTKFTQEQKARMLAFAEGVGWRLQRADDAAVQRFCQEIGVKRRVLKVWMHNNKHNLATNKLPASPQPEAQAQDQPPMAPAPMNSLPPPPQELAGPSSSSPPTQDDHQLNRLE* >Brasy5G165300.1.p pacid=40077566 transcript=Brasy5G165300.1 locus=Brasy5G165300 ID=Brasy5G165300.1.v1.1 annot-version=v1.1 MDGEQEAQWAAAQGIGVGKELVPAAMRQLGFLAAVDRRRWLYEGPLLHRAIRRYKACWLPLLAKHLEAAVVDGPLVVPLDCEWIWHCHRLNPVQYINDCKRLYGIILDNRNVESSIQVKSKDQAEKVWAGFYPREPFELEYTSPSDDTVYASDGVAGNISYNLVSAVKRQSSFFYQVGTPSMHDPRFLEEALARYKGFLYLIKVNQEKGMNLFRVPTYDVDLMWHTHQLHPVTYCNDMLNLLGRVLEHDDTDDDRAVGKKLDTGFSGTTEQFENTFGVRYWKVGAMYRGSLPSPVTSLPQIFSCEDANGFGVTKAEKHLTILETTVLELYLQIVDIKKLPSAIPEKSVYVWFTKSQPDAFISDGGRLDISTNTGKSIGAGFQCEPTGELILTVMVDQAYFGAAASKKPKPLGKVSISLQELTWLDSKLSFEKWFELEPHGGHAGSPPISLRVAVSCTVPSRAPQVLSMISVKPFSLKTCLLPPSYKDQKTSWWTRFVYDCGTELVRLQIRDHKTKKDKALVQELVGVTKSSKNTFRLAELKENKWYFNNSNLSINNDLRPSQDGCILELKGDNKLIKLYRGRRLAYERKCCSQHAEDTATVTAVKFCTEHPYGKAVALLDTESEFITVDEDWFLLPWIIISSLFLNATGKDGEKLIRGTMVQNSVTSDPDANMVSETGAG* >Brasy5G493700.1.p pacid=40077567 transcript=Brasy5G493700.1 locus=Brasy5G493700 ID=Brasy5G493700.1.v1.1 annot-version=v1.1 MQELVISTTHDYSSSFSCSKRSLTGLWDLKARSDLSMLEATAAMTRSIKGITSFVRHFIP* >Brasy5G100000.1.p pacid=40077568 transcript=Brasy5G100000.1 locus=Brasy5G100000 ID=Brasy5G100000.1.v1.1 annot-version=v1.1 MLPHIFSLSLVLVLHIISTSISVSMVLGASTSETVITLGSSISTSSTQSWSSSSGRFAFGFYPVGEAFAIGVWFIAGASRIIVWTAHRDDPPISGGSIILGYGGALQLSRTPSTPGQNMRPGWQLFSSASQNNHAKGKYYLVNQQDGNLVMYPVGTVDSESSYWSTGTSNRGLLLTLSLDPNGTLWMFDRNASYSKTLFQTNQSLGASPDVENYYRLTLDADGVLRLYLHVLFGMGRKPTTKVQWQVPGSDRCLVKGVCGPNSFCQLTMTGETSCSCLPGFELLSTNQSTLGCWRTLPISGCARNSNSSDEETRMMATMVEVKNTTWTPNPFAVLPATTSIEDCKMTPVTYGRMSATNTTLFVKVYTYQGIPVSQKTKTAAGAGAMLISGAVLVVLSLVVLSGSVLLISKHRRSVQYTRAPQLYECKIDGGSFGLRHYSFQDLDVATNDFTHELGRGAYGTVFKGVLVANINKDIAVKRLEKMAEDGEREFYREVRAIARTHHRNLLRLLGFCNENMHRLLVYEYMPNGSLANLLFNSDVSPSWSKRFAIALDVARGLQYLHEDIESPIIHCDIKPENILIDNLGIAKIADFGLAKLLIGNQTNTFTGIRGTRGYLAPEWSKNTAITVKVDVYSYGVMLLELISCKRSMELKRGGNEYNNISEWAYECVMFGGAKEVAGDVCIEEAELVRMLKVGIWCTQNMPVMRPAMKSVVMMMEGSKEVRQPPPPASFSQS* >Brasy5G006800.1.p pacid=40077569 transcript=Brasy5G006800.1 locus=Brasy5G006800 ID=Brasy5G006800.1.v1.1 annot-version=v1.1 MGAMPVGDVAWWLGLLFGAAPLLCLAAYHAADAWYRAAFFLAHGGRGKRLPPGHMGLPFLGETLSLLWYFKLARRPDAFISAKKLAYSDEIGMYRTHLFGSPSVIACSPAANKFVFQSPESFGIRWPVAELVGVSSVVNVEGGAHARLRGFIIDAINRPSSLWTIAAVVQPRVVAALESWAAKGSIVAGAEVKKVTFANICKMFVSMEPSPLTAQIDQWFGGLVAGLRAFPLDFPGTAFHRARKCRRNLNAVFRAELESRKKAAAAAMANTIKKTEEEEEKELESCDVMGGLMRMKDEQGNKLSDDEVVDNIVSLVVAGYESTASAITWAAYHLAKSPAVLARLREENLAMAAELGSGKFITHEDIPRLKYTAKVVEETIRMANIAPMVHRVARKDVEYRGCTIPAGWSVLVWVRSLHTDPDNYLDPLVFNPDRWDEPAKPGTYQVFGGGYRICAGNMLARLQLTIMLHHLSTGYEWELLNPDAEIDYLPHPRPADGAAMLFRKLAKKAD* >Brasy5G042000.1.p pacid=40077570 transcript=Brasy5G042000.1 locus=Brasy5G042000 ID=Brasy5G042000.1.v1.1 annot-version=v1.1 MEQADEASSVNKESPEQLTVDQDGGATWLSLTLGVNGSPEEEANCSEPDPEPKPSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMVMGLPLEAHAAFVQSLRINQSSVIQRSSQQAQIRTAPRFHEGGMTWATIACEEVPNSTWPGSFRSRTQPSDQPSEQSKMDLNLRL* >Brasy5G183800.1.p pacid=40077571 transcript=Brasy5G183800.1 locus=Brasy5G183800 ID=Brasy5G183800.1.v1.1 annot-version=v1.1 MSSQPPVPALPPPPPVVGPPPQTSDLERGLLDCNRCRRICTSLPMRDREYLVGYLQKVSDTLVSGVLSVNVFCATYYLWWQKIPTKDHPANNELHLCFEKSLAWKAFFIGLPFLLYPFTLWVSGLAKAAESGYRGLKSVSFCVFLEIFWCLAVGSFMTNCLSGASFRWIAGVAAGVFVLLVVMWTFTFHCQKFLRCCCCCNCCIGADPIEARNDDLIAEWVSAQVSRARDTFLTKIKSEKEAEIALKAKTEADVKFAAAKKKVEDAEKTVSNSQEEVSAKTIALQAAVDTKQELEVAVAVAAAREIAAKMAAGQGSSSSPVGYLDAAGAVFVAKEELQKANQDCELCSRQLHEANTICESSQKALEDANTECAEAEARAKNAELEADTKAQEVTEARNRAKDAFDAAKAAREEAAVA* >Brasy5G109700.1.p pacid=40077572 transcript=Brasy5G109700.1 locus=Brasy5G109700 ID=Brasy5G109700.1.v1.1 annot-version=v1.1 MGQCPSASRHHHHHRKLPPPSPAAQATSPRFSSDGAAAAAEDAAAAATADYTSDLPEELLAVVFGFLGSGDRKRCSLVCRRWLAAEAASRLRLALDARAPLLAAAPGILARFSAVSKLALKCDRRAESVGDPALALVAHRLGPGLRRLKLRSVRAVTDHGVATLAAAAGNLRKLSVGSCAFGAKGIEAVLRSCPQLEELSVKRLRGLANSEPVAVSGPRLQSLSLKELYNGQCFSCLITQSPNLKTLKVSDHGVSALCGLEVLYLAKAPEVTDVGLAALATKSPRLRKLHVDGWKANRIGDRGLATVARKCAALQELVLIGVNLTSVSLELIAANCPNLERLALCGSDTFGDAEISCVATKCASLRKLCVKACPVSDAGMDKLAEGCPRLVKVKDARSVDESGVLENAGSDTVPDDLDDQIGIPDLSCGSSGRPSGWKARLGAFMSREFVCFRVPEASASELV* >Brasy5G109700.2.p pacid=40077573 transcript=Brasy5G109700.2 locus=Brasy5G109700 ID=Brasy5G109700.2.v1.1 annot-version=v1.1 MGQCPSASRHHHHHRKLPPPSPAAQATSPRFSSDGAAAAAEDAAAAATADYTSDLPEELLAVVFGFLGSGDRKRCSLVCRRWLAAEAASRLRLALDARAPLLAAAPGILARFSAVSKLALKCDRRAESVGDPALALVAHRLGPGLRRLKLRSVRAVTDHGVATLAAAAGNLRKLSVGSCAFGAKGIEAVLRSCPQLEELSVKRLRGLANSEPVAVSGPRLQSLSLKELYNGQCFSCLITQSPNLKTLKVSDHGVSALCGLEVLYLAKAPEVTDVGLAALATKSPRLRKLHVDGWKANRIGDRGLATVARKCAALQELVLIGVNLTSVSLELIAANCPNLERLALCGSDTFGDAEISCVATKCASLRKLCVKACPVSDAGMDKLAEGCPRLVKDARSVDESGVLENAGSDTVPDDLDDQIGIPDLSCGSSGRPSGWKARLGAFMSREFVCFRVPEASASELV* >Brasy5G072500.1.p pacid=40077574 transcript=Brasy5G072500.1 locus=Brasy5G072500 ID=Brasy5G072500.1.v1.1 annot-version=v1.1 MENMQYAEELVKEFLVFRGFTNTLQAYESELSTEIGRNFEVDKILDLVFSVYIPKYQLDRLLSLFNFFKQCLTSPTETVFYATLVKLEQSVLRYYVVNALKSGKQEKVVEFFGASSNYLMQKREDWLAWFAIPFIKNPSLDPQFRMYFSKEWSDTLILSFRNFLSGMFSGTRIPALLKISTEKNTIKCLKSDIKQLNNKLSELQALLEVKEAELTLLRRNGNNIDFGNKNLTKTSTAGSSLAGQDMSGVYEESSTLNTAVQGLESQSSSSAKSSSRDGKLRESSQLSHSDNDQILVTEEDFPEVKVDFQETFLGHNSAISSCRFSASGSNIASSSTDGTVRIWTYDSSTPSSKNATIYCGAEVSTLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVDPVFVSAAASRRHGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPVSFNMRMHEVALDSDGKRLLVTSGLVRAPIYQVQGHERGLRTLPHSASITSVDWHPTLPIYVTGSADHSVRVTSII* >Brasy5G072500.2.p pacid=40077575 transcript=Brasy5G072500.2 locus=Brasy5G072500 ID=Brasy5G072500.2.v1.1 annot-version=v1.1 MENMQYAEELVKEFLVFRGFTNTLQAYESELSTEIGRNFEVDKILDLVFSVYIPKYQLDRLLSLFNFFKQCLTSPTETVFYATLVKLEQSVLRYYVVNALKSGKQEKVVEFFGASSNYLMQKREDWLAWFAIPFIKNPSLDPQFRMYFSKEWSDTLILSFRNFLSGMFSGTRIPALLKISTEKNTIKCLKSDIKQLNNKLSELQALLEVKEAELTLLRRNGNNIDFGNKNLTKTSTAGSSLAGQDMSGVYEESSTLNTAVQGLESQSSSSAKSSSRDGKLRESSQLSHSDNDQILVTEEDFPEVKVDFQETFLGHNSAISSCRFSASGSNIASSSTDGTVRIWTYDSSTPSSKNATIYCGAEVSTLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVDPVFVSAAASRRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPVSFNMRMHEVALDSDGKRLLVTSGLVRAPIYQVQGHERGLRTLPHSASITSVDWHPTLPIYVTGSADHSVRVTSII* >Brasy5G072500.3.p pacid=40077576 transcript=Brasy5G072500.3 locus=Brasy5G072500 ID=Brasy5G072500.3.v1.1 annot-version=v1.1 MENMQYAEELVKEFLVFRGFTNTLQAYESELSTEIGRNFEVDKILDLVFSVYIPKYQLDRLLSLFNFFKQCLTSPTETVFYATLVKLEQSVLRYYVVNALKSGKQEKVVEFFGASSNYLMQKREDWLAWFAIPFIKNPSLDPQFRMYFSKEWSDTLILSFRNFLSGMFSGTRIPALLKISTEKNTIKCLKSDIKQLNNKLSELQALLEVKEAELTLLRRNGNNIDFGNKNLTKTSTAGSSLAGQDMSGVYEESSTLNTAVQGLESQSSSSAKSSSRDGKLRESSQLSHSDNDQILVTEEDFPEVKVDFQETFLGHNSAISSCRFSASGSNIASSSTDGTVRIWTYDSSTPSSKNATIYCGAEVSTLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVDPVFVSAAASRRHGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRVNKHQYSSEALPVWDL* >Brasy5G396700.1.p pacid=40077577 transcript=Brasy5G396700.1 locus=Brasy5G396700 ID=Brasy5G396700.1.v1.1 annot-version=v1.1 MAQEVIGTIATAATAVVADRAVLKLLENINRQMTADAKLQRLELLLKIHSTIEVSEKHDIKSTTLVQWREKFKEAASRGDEVLLSFMHHATDVVARQNSNGQQGNGSTALSFTRKALSSMAQHIQTAATSLFSGDKDMKKLDSAVEALEKASEDIGEFIGLLRLEASPNLKRRRRTIPTITSGQFGNSPYVLTSAKELPLKVGISLGETADDQELQELTKRTELQELTKEKDLQELIKLAQRVSLG* >Brasy5G522000.1.p pacid=40077578 transcript=Brasy5G522000.1 locus=Brasy5G522000 ID=Brasy5G522000.1.v1.1 annot-version=v1.1 MQSQEKITAVKPVASRPFSSFSSFSKLLKDFTTTGSMQITSPGETVIVSRPKASRFAPPTSDPSTGIAATMLQDGGSDTTHEQMVVDTEQQAVSCNDHQRVFQNINKPIHGAKNRLSYDGYNWRKYGQKQVKGSEFPRSYYKCSYPTCPVKRKVETTLDGQIAEIVYNGEHNHTKPHLPKKLALSSTSTEVVIATDVYGSNDAGAESQLGGCNGLSFRSTCDCFDEHGHNSLVGDCKSRYV* >Brasy5G117000.1.p pacid=40077579 transcript=Brasy5G117000.1 locus=Brasy5G117000 ID=Brasy5G117000.1.v1.1 annot-version=v1.1 MDLNSRYRSTRHESRWKDGTQKHITCYSCGHQGHFSHECPQRNASLTGPLGNSDRTQKENLVSEEKSLAFSSYEQNVESRYVQDRINGIITCLVCGKEGHYTCDCPMKDQEDKVICTLCSKKGHCRLWCCQQNKSNNRACARCGEIGHSASTHGLDCSSCDKYHPHGECRMSKVTCLMCEARDHYLAQCPLNSVLNTVLQDQRENFRSALLLALSKQGSTSATPSETSKETELCEKLEAEKATSSVKCFSCGEEGHRSQDCPSKLKVPTPNEFNPLVTTHNTPARVFRRICLNCREEGHFAKHCPLKFRDALESSLSEESNTRAKEVTPSAKCFSCGEEGHWPQDCPSKLKVSTPNESNPLVTTDNTPARVFRRICLNCREEGHFAKHCPLKFRDALESSLSEESNTRAKKATSSVKCFSCNEEGHWPQDCPSKLKVPTPNKSNPLVTTDSTPARVFRRICLNCREEGHFAKHCPLKFRDASESSLSAESNTRVISADRSKELVEHGPSTAKHSSKINPTSSCECFNCGVEGHRVKNCPLKQQLLTPNKSGPLVKADNTRARVCYSCREEGHYANQCPQKRQKCQDYRTKSSR* >Brasy5G240300.1.p pacid=40077580 transcript=Brasy5G240300.1 locus=Brasy5G240300 ID=Brasy5G240300.1.v1.1 annot-version=v1.1 MPFFRHSQIVADKPPNSLLSSLPSCRRPLPRRRRPLPLLPPLPRSANRELHCSAPPPPRVFAKATFYFSSHLIKRTSTHSPPPPYAPKPPQILAPPASPARSGLPEPPAEARSTAATADPAVPRANRRPISLLSLIDPRGLGCGVGLGFGRLLCLSSGEACVRVICGFWCCSSSYAGD* >Brasy5G255900.1.p pacid=40077581 transcript=Brasy5G255900.1 locus=Brasy5G255900 ID=Brasy5G255900.1.v1.1 annot-version=v1.1 MDCYYGGDQSSSSLSLPPGFRFHPTDEELVNYYLCRKCGGLPLAAPVIAEVDLYKFEPWRLPEKSAAAMAGAGGADDAKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKNNHNALRLDDWVLCRIYNKKGVIERYDTADSSVSDSGDVKPAAPAAGAKKNPRAVPPAMKVELSDYGFYGGGNDHPSPPGTEMLCFERGAAADRDSNSNHSMPRLHTDSSSSDRALSSPSQLSLSPEFPSDMDYAESQHINAAAGGGDGGWLGEDWAGAIDDDGFAMEAGSLVFDPPLSPGAFARDAAAFGDMLAYLQKPF* >Brasy5G255900.2.p pacid=40077582 transcript=Brasy5G255900.2 locus=Brasy5G255900 ID=Brasy5G255900.2.v1.1 annot-version=v1.1 MDCYYGGDQSSSSLSLPPGFRFHPTDEELVNYYLCRKCGGLPLAAPVIAEVDLYKFEPWRLPEKSAAAMAGAGGADDAKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKNNHNALRLDDWVLCRIYNKKGVIERYDTADSSVSDSGDVKPAAPAAGAKKNPRAVPPAMKVELSDYGFYGGGNDHPSPPGTEMLCFERGAAADRDSNSNHSMPRLHTDSSSSDRALSSPSQLSLSPEFPSDMDYAESQHINAAAGGGDGGWLGEDWAGAIDDDGFAMEAGSLVFDPPLSPGAFARDAAAFGDMLAYLQKPF* >Brasy5G255900.3.p pacid=40077583 transcript=Brasy5G255900.3 locus=Brasy5G255900 ID=Brasy5G255900.3.v1.1 annot-version=v1.1 MDCYYGGDQSSSSLSLPPGFRFHPTDEELVNYYLCRKCGGLPLAAPVIAEVDLYKFEPWRLPEKSAAAMAGAGGADDAKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKNNHNALRLDDWVLCRIYNKKGVIERYDTADSSVSDSGDVKPAAPAAGAKKNPRAVPPAMKVELSDYGFYGGGNDHPSPPGTEMLCFERGAAADRDSNSNHSMPRLHTDSSSSDRALSSPSQLSLSPEFPSDMDYAESQHINAAAGGGDGGWLGEDWAGAIDDDGFAMEAGSLVFDPPLSPGAFARDAAAFGDMLAYLQKPF* >Brasy5G446500.1.p pacid=40077584 transcript=Brasy5G446500.1 locus=Brasy5G446500 ID=Brasy5G446500.1.v1.1 annot-version=v1.1 MMERLWIPSHQILGGSFTFSGRMLPFLRHYCVFGSHRPLTWSGLQITERKHNQNGGWIAQCAASDNGPSVSDINGAQKDFALPDSLLRNARILYCASPAMGHNKESHPESNKRVPAIVDALEKLELTPKHRGSQVLEIQNFYPASLDDVARVHSRKYITGLEMAMSRASDEGLIFIEGTGPTYATQTTFQESLLAAGAGITLVDSVVAASKLGPSPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKMNLIGQGSGEGTTLNLPLPGGSGDYSMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTYYTLASSIKQLAGDLCGGRCVFFLEGGYNLQSLSSSVADTFRAFLDEPSLAAQFDNPAMLYEEPTRRVKEAIEKVRHLHSL* >Brasy5G446500.2.p pacid=40077585 transcript=Brasy5G446500.2 locus=Brasy5G446500 ID=Brasy5G446500.2.v1.1 annot-version=v1.1 MMERLWIPSHQILGGRMLPFLRHYCVFGSHRPLTWSGLQITERKHNQNGGWIAQCAASDNGPSVSDINGAQKDFALPDSLLRNARILYCASPAMGHNKESHPESNKRVPAIVDALEKLELTPKHRGSQVLEIQNFYPASLDDVARVHSRKYITGLEMAMSRASDEGLIFIEGTGPTYATQTTFQESLLAAGAGITLVDSVVAASKLGPSPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKMNLIGQGSGEGTTLNLPLPGGSGDYSMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTYYTLASSIKQLAGDLCGGRCVFFLEGGYNLQSLSSSVADTFRAFLDEPSLAAQFDNPAMLYEEPTRRVKEAIEKVRHLHSL* >Brasy5G033000.1.p pacid=40077586 transcript=Brasy5G033000.1 locus=Brasy5G033000 ID=Brasy5G033000.1.v1.1 annot-version=v1.1 MEATVVSVGKTVLEGALGYARSKAAEEVALQLGVEGDVAFITDDLEMMQSFLMTADEERAQHKVLATWVKQVHDVSYNVEDSLMDFALYSDKKISWWCSLNTMGERRHIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSVAEEQASIASAAMFGINEARLADLEKEKSKVDLHQLSTTEEEDLRVIAVWGTSGDLGKTSAIQEVYDDPKVLKKFGFRAWIRLMHPFNPQEFLRSLVRQFYENSLDDLENGEEGTSIGANVLMKMEKMQQNQLVHVFNAQVSSHSYLIVIDDLSTIVEWHCIKKYFPDNKKHSRIIISTQQVEIASLCTEKPYQVSELKQLSSDQTLYLFHKNNIRAVSADQIREEDQEPKDAGGNVSTLTNGKKFHRCRTIALADELVTGRVTEQSEVIELIGRTEDEEVCKVITVWGMGGLGKTTLVKSIYRSEQLGGWKRAWATASRPFNLEVLIRSLVLQLLKDKVAMMNLQALKEELIRLLSAQKCLIVLDDISSTAEWDLVKNCLENARRVIVITRAKNIAKHCSNEYKNMYSLEGLKDDDALGLFKRKVFKDNSENIDLVPDMMKQAKFVLKKCDGLPLAILTVGGFLASRPKTVVEWRKMNNRISSELEINPELRRIKTVLMKSYDGLPYHLKSAFLYLCIFPQDCKIRWDRLVKRWAAEGYSRDMHGMTAEEVGRKYFEELLDRSMILPGEEINHYNRKINYCQLHDIIREICISKAREENLVFTLDEGCSNWERDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMGFLRVLDLEDTLGLRDHHLDKIGQLRHLMYLSLRECTNIHHLPNSLGNLRHLQTLDVRGTHIVELPSTIVMLRKLQHLHATAYRNRRTVPRGIGKLKALHTLAYFHVAWGNGKATLKELKELTQLRKLGLTVEMNDWWYELDDCLGEGLSPPGCLESLRLYGKLVRVTDWIHQLQNLSKFTLSYTCLEQDDAIQALGVLPNLAVLRLKRRSFLGKQFHFHGLSFPSLVVLEIDELEDPESVLFEEHTMPRLGPFSRCMRLKEISGLSVLTSLKEIRFGGYVRADLKEEAQRQSWLNYGISNLEVVHILAPVQ* >Brasy5G516100.1.p pacid=40077587 transcript=Brasy5G516100.1 locus=Brasy5G516100 ID=Brasy5G516100.1.v1.1 annot-version=v1.1 MVQGNPCPNSRYRGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCEYGVGVHEIDGVDGDTAVQPNCKTLMLVQGTSAAVAGGAAALVTMPLDTIKTRMQVMDGDGEPVTVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGQDGGVT* >Brasy5G272400.1.p pacid=40077588 transcript=Brasy5G272400.1 locus=Brasy5G272400 ID=Brasy5G272400.1.v1.1 annot-version=v1.1 MAVSWRAAALAAAMVVWVFSSSCVVGFPEEDLVRRLPGQPMVGFRQFAGYVDVDEKAGRSLFYYFTEAQDGAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDTRTADDMYRFLLGWYKKFPEYRSRSLFLSGESYAGHYIPQLADVLLTHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATFEYFWSHGMISDEIFLAINKGCDFEDYTFTNPHNESKSCNDAIAEANGIVGNYVNNYDVILDVCYPSIVMQELRLRKYVTKISVGVDVCMTYERFFYFNLPEVQHALHANRTHLPYGWSMCSDVLDYSGKDGNINILPLLQRIVKQKIPVWVFSGDQDSVVPLLGSRTLVRELAHTMGFHVTVPYSTWFHKGQVGGWVTEYGNMLTFATVRGASHMVPFAQPDRALGLFRSFVLGQRLPNTTHPPID* >Brasy5G466100.1.p pacid=40077589 transcript=Brasy5G466100.1 locus=Brasy5G466100 ID=Brasy5G466100.1.v1.1 annot-version=v1.1 MGSQVAAVVRIQRSCSPGRTGGGIRPRCRRGARGALVAVGSGGSCSGEGHCRGGSLLRGMGRWRDASEISQAAAVVGRRDRANRLSRRRDLAKRLRRRWKIGARGDEEFVRRDEGQTMGCSFSCPGVPEPVSD* >Brasy5G035600.1.p pacid=40077590 transcript=Brasy5G035600.1 locus=Brasy5G035600 ID=Brasy5G035600.1.v1.1 annot-version=v1.1 MDTWIERDRLNFYTSSSTPRRRSNPRRPLDLTTTSIRREEKSFATTMARGGGKKMPAAHRACPPPEPRHRLGRARRRASRRSLARGASRPAPPLPLLPRGGGGGGGR* >Brasy5G418900.1.p pacid=40077591 transcript=Brasy5G418900.1 locus=Brasy5G418900 ID=Brasy5G418900.1.v1.1 annot-version=v1.1 MLDWPTRFRIIKGVAKGLLYLHQDSRLKIIHRDLKASNVLLDGEMRPKIADFGMARMFGDNQQKADTKRVVGTYGYMAPEYAMRGIFSDKSDVYSFGVLTLEVVSGMKISSTDHTMEFENLIVYAWNLWNEGKAKDLVDSTIVESYIPDEALLCVQLGLLCVQDKPNDRPPMSSVVFVLENGSTALPVPNQPVYFAHTNNEAEHVSMSQEILKTQITT* >Brasy5G210800.1.p pacid=40077592 transcript=Brasy5G210800.1 locus=Brasy5G210800 ID=Brasy5G210800.1.v1.1 annot-version=v1.1 MTSSMAAERGDHQEEGVLRRGPWTVEEDLTLMNHVAEHGEGRWNAAARVAGLRRTGKSCRLRWLNYLRPDVKRGDFSAHEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHAKQLNCDAGSKAFNDAMRYLWMPRLAERAAAADIAANNSPSAATNSGSPSVVSVSGSGAGVAMSCPDHRQNTTGGASPGAGSAVTTSCCRSTSSTGSSSELGQEQQQLLHGGGGESCWMMQEVDDQEFWAAHMQIQPEQFIDVDQEISGWVQGFSDIVDGAGCGGVSAAAGEENGLWSLEDIWKMQ* >Brasy5G418400.1.p pacid=40077593 transcript=Brasy5G418400.1 locus=Brasy5G418400 ID=Brasy5G418400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRYFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNKVRY* >Brasy5G363500.1.p pacid=40077594 transcript=Brasy5G363500.1 locus=Brasy5G363500 ID=Brasy5G363500.1.v1.1 annot-version=v1.1 MKASIKFRDDDRPLLRAKVPIGVLGLPFLSGVSAGSDAKDLRFDLSTAFPSGPALRLSYHPNDPLQPFALSVRTGLGALGSPIRAPFALSAEFNLLSSNPPAFSLLFKPRLGDFSLANSVRSPPLSDAPALPPPHKLADLSNGDDRDHEDHKAFSLNGNGNGNGFASDVVAAGRSAGGVGTLLSGMRLTTRSVLPLWNKASLRFQWGLRVPPELKAALADDGYGRKAGNLAVSKLPLLVMNKITIEHTPRAHLSAEGDKKGKKASAAEGEEFSLVKRQLESLNGESVMLRHAVEGLRAEVSASRAISIPCKGEARRMPTVSPPSQHPFPVKQELHGNGKELADGGPSDATEELKKAIEARRK* >Brasy5G193300.1.p pacid=40077595 transcript=Brasy5G193300.1 locus=Brasy5G193300 ID=Brasy5G193300.1.v1.1 annot-version=v1.1 MTVEDFIDLVSDEDSIEGNDHNGGQCEDAAETTASSSISAPGVIATASLSMAEPGEGARTTSLSATKKSATSSSPNIEHQSDEPVALLRPPPIPSALPFPKEFWKAGDYKVSAQAANNSMFLALPGSALYLPCHQWRSPVLLGLECLLWQMVQTVCGSIPSFFIQMLLHIDGHLVVRHDDITIAELLDNAVDEVNNGASFVKIDKMKYSPHGEYSLVIQDDGGGMSPEDLRRCMSFGFSHKSTDSSIGQYGNGFKSSTMRLGADVIVFSCRQGNRLTQSIGLLSYTFLTRTGCSDILVPAVDYEFDASSCNLKRIIDHGEKHFSSNLSTLLRWSPFSTENDLLNQFRDIGTHGTKIVVFNLWFSDAGETELDFTTDDKDIIISGAPKIRQEYKEVEVLNHMHIANRFRYSLRVYASILYLHLPEHFKVILCGRVIEPHHIASDLMYRECIKYRPQVGESTEIDVVTTIGFLKGAPKLDVHGFNVYHKNRLILPFWPAGSERSNGRGIAGVLEANFIRTTHDKQDFEKTGLFQRLETRLKDMTREYRKNNGHLIYTRRDTTKTCAGSSSAKASVMLDPCSNGGNSRDPLHVGASRDQMGYGACSSTPIIIPQQTEPCERRNTCSVIDWRAKKRQHTNGYVNQPAGDVNTIEMGVWNQILKAECSELEATSQQLQCKADSLRNDLHVWQWVLKGLEDDLHSSSYEVLGTLQHQPGGGRSYVGQRH* >Brasy5G413500.1.p pacid=40077596 transcript=Brasy5G413500.1 locus=Brasy5G413500 ID=Brasy5G413500.1.v1.1 annot-version=v1.1 MRRRSSGSNSTDMASQLGPPQSPPPAKYPPPAATTITAIGDDLLLEVFLRLPCLPSLVRAAFACRTFLHAVRSSRTFRRRFRALHPPQLLGFFINPCRSGSAIPPFVPLRSRSDPDLAAVVRGSDFFLTRLPVDSEDSSPGWYFEGCNDGYLVLANQSTKQIAVYNPLAQALNLLPQAPDEIFQNYADFHIVFPNEDRSSFRMICVQQQLQAQVCATVFSPDTRVRQCFPWVGPPTPLPGYDGDNGLNFYPGTVVNGFVYWKHTSQAYVLVLNTVTLQFSQMDLPPHLKEIDYTLFSLGQTKDGELCMVCADDSDAKQGALIVWFWRADDDGVEKWILAEVYPLDTFIDGSMCSAEAHVTVQLVAIMDGFVYLSTQYSHTKCLLSFCLETTEWKKLVDDIYASHIHPYIMAWPPSLVSNKEDSQSKVTGDSLANDGPVGTQGTPSVIFTNQTGTT* >Brasy5G148200.1.p pacid=40077597 transcript=Brasy5G148200.1 locus=Brasy5G148200 ID=Brasy5G148200.1.v1.1 annot-version=v1.1 MTAATGGVHDGDHTSRPRICSERQVREETRESLGWGTNLHNRWRRCRGGQIWSHRARPDEAGRRGGDSGRRRPEEAAGRGARGGRRPRAGGGARPRRRGAVELGAGWPDPAVRRRGMAGSGGEEAWDGRIRRWQAGGRPDPALSMAQGGGRPAREEEEGGWLGRRKARPAREEEGSAGSGGGRLGRLERRWAQGGGWRPGQGREHEGGKGRRSCGVGWFYTNPLKP* >Brasy5G278400.1.p pacid=40077598 transcript=Brasy5G278400.1 locus=Brasy5G278400 ID=Brasy5G278400.1.v1.1 annot-version=v1.1 MERGGGTRQRRNPVLVRPNAAKRHLHQHQANVADKKVPAPNYFGVEAFLVLACLTVSLLILPLVLPPLPPPPSLLLLLPVCLLILLMVLAFMPTDVRSMATSYL* >Brasy5G510400.1.p pacid=40077599 transcript=Brasy5G510400.1 locus=Brasy5G510400 ID=Brasy5G510400.1.v1.1 annot-version=v1.1 MEDGGRRRSNGNNRDGEWRRWAALVATVWVQALTGTNLDFSAYSSALKSSMAVSQQSLSYLATASDLGKAFGWSSGLALLHLPLPLVLLLSAAMGLASYALQYCLLLPSSASPIPADGVPYPAVFLVCLLAGCSICWFNTVCFVICIRNFSASNRPLALSLSISFNGLSAAFYTLFANAISPDSPAVYLLLNAILPLVVSIVALPAILLCHPHDQGNVHGTPSHDRRVFLGFYIIAFITGIYLVIFGSVTTTSSAARVVLTGAMALLALPLIIPAASTCTTHVGTHGPDPALPFSHDDPQKPLLLKNDHQRETNGSTAHKSVEWQLEGCCCGTILEKGRVLVLGEEHSAKKLIRCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHRESQLTMLLAVYSSCSFFGRLLSALPDFLQRTVSFARTGWIAAALVPMPVAFFLMWRFHDQNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGLRSTALDNRTGTVESMIVCMGAKCYSNTFFVWGCITLLGLASSIALFLRTIRAYASASGQPSCKHHNQVLS* >Brasy5G510400.2.p pacid=40077600 transcript=Brasy5G510400.2 locus=Brasy5G510400 ID=Brasy5G510400.2.v1.1 annot-version=v1.1 MASANATHALPCCCCLHLFLQLTSIPLPGFYLDQPKLPTYWLARWILDSTHTHPPCNDWQVRDTCHSPASILNLRLIGGGACQWPSWSGHPGEAGWVFLVCLLAGCSICWFNTVCFVICIRNFSASNRPLALSLSISFNGLSAAFYTLFANAISPDSPAVYLLLNAILPLVVSIVALPAILLCHPHDQGNVHGTPSHDRRVFLGFYIIAFITGIYLVIFGSVTTTSSAARVVLTGAMALLALPLIIPAASTCTTHVGTHGPDPALPFSHDDPQKPLLLKNDHQRETNGSTAHKSVEWQLEGCCCGTILEKGRVLVLGEEHSAKKLIRCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHRESQLTMLLAVYSSCSFFGRLLSALPDFLQRTVSFARTGWIAAALVPMPVAFFLMWRFHDQNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGLRSTALDNRTGTVESMIVCMGAKCYSNTFFVWGCITLLGLASSIALFLRTIRAYASASGQPSCKHHNQVLS* >Brasy5G086400.1.p pacid=40077601 transcript=Brasy5G086400.1 locus=Brasy5G086400 ID=Brasy5G086400.1.v1.1 annot-version=v1.1 MLDSHHHHQPPSSSQHDDEGGVDGPARRVVWVPGPVIVGAGPSGLATAACLKARGVPSLVLERGGCVAPSWRHRTYERMHLHLPRCFCELPMAPFPPGTPPYPSRDQFVAYLDAYARGFALEPLFRARVRSAAYDAAIGFWRLQVDVGAGAGDGEEEDGGGAATKTTTMEFVSRWLVVATGENAEPVWPEGMMAGDGVYRGPVMHTSAYKRGDGFAGKKVLVVGCGNSGMEVSLDLCDNGAKASMVVRDKLHVLPRDILGISTFGLSVFLVKWFPIKWVDALLLFFSRLILGDTEKYGLQRPKIGPLQIKRSTGKTPVLDIGALRKIRDGEIKVVPAINRFTEGGVEFADGRREEFDAVILATGYKSNVPSWLKEDEFFSETDGFPRKEFPHSWRGKNGLYATGFTRRGLMGSSYDASRIAADIANQWTEALAASSVAAAAAADTD* >Brasy5G086400.2.p pacid=40077602 transcript=Brasy5G086400.2 locus=Brasy5G086400 ID=Brasy5G086400.2.v1.1 annot-version=v1.1 MLDSHHHHQPPSSSQHDDEGGVDGPARRVVWVPGPVIVGAGPSGLATAACLKARGVPSLVLERGGCVAPSWRHRTYERMHLHLPRCFCELPMAPFPPGTPPYPSRDQFVAYLDAYARGFALEPLFRARVRSAAYDAAIGFWRLQVDVGAGAGDGEEEDGGGAATKTTTMEFVSRWLVVATGENAEPVWPEGMMAGDGVYRGPVMHTSAYKRGDGFAGKKVLVVGCGNSGMEVSLDLCDNGAKASMVVRDKLHVLPRDILGISTFGLSVFLVKWFPIKWVDALLLFFSRLILGDTEKYGLQRPKIGPLQIKRSTGKTPVLDIGALRKIRDGEIKEDEFFSETDGFPRKEFPHSWRGKNGLYATGFTRRGLMGSSYDASRIAADIANQWTEALAASSVAAAAAADTD* >Brasy5G170000.1.p pacid=40077603 transcript=Brasy5G170000.1 locus=Brasy5G170000 ID=Brasy5G170000.1.v1.1 annot-version=v1.1 MAEYEERYEGNGDPADAAGAVAGGSPPPPPTKPTGFSDHPDDDGRSQEVQPHEGRSSKSRERDRGREKDKDRERDRDHGRDRERGRDKDRERDRGDRERDRHHREHRERSEKREHRERSEKREHRGRSDDHDRHQSRDRDVERRDRDRDGHRRHRSRSRSKGRDRRSRSRSRSKSKRVSGFDQGPSQGVPLVTPGATPGQLPAVAPLIPGMLPNMFNFTAPTQFNPLAMQPQAMTQQATRHARRVYVGGLPPTANEQTVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQETILMQAHQQVQMQRLVLQVGGALPTKVVCLTQVVSADELRDDDEYEDILEDMREEGRKYGNLVKAVIPRPDPSGGPVPGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQVVAVFYPENKFADGDYDA* >Brasy5G170000.2.p pacid=40077604 transcript=Brasy5G170000.2 locus=Brasy5G170000 ID=Brasy5G170000.2.v1.1 annot-version=v1.1 MAEYEERYEGNGDPADAAGAVAGGSPPPPPTKPTGFSDHPDDDGRSQEVQPHEGRSSKSRERDRGREKDKDRERDRDHGRDRERGRDKDRERDRGDRERDRHHREHRERSEKREHRERSEKREHRGRSDDHDRHQSRDRDVERRDRDRDGHRRHRSRSRSKGRDRRSRSRSRSKSKRVSGFDQGPSQGVPLVTPGATPGQLPAVAPLIPGMLPNMFNFTAPTQFNPLAMQPQAMTQQATRHARRVYVGGLPPTANEQTVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQETILMQAHQQVQMQRLVLQVGGALPTKVVCLTQVVSADELRDDDEYEDILEDMREEGRKYVHINAIAESFIVRPHAILAIGLNLQKTHYSISNGFD* >Brasy5G124700.1.p pacid=40077605 transcript=Brasy5G124700.1 locus=Brasy5G124700 ID=Brasy5G124700.1.v1.1 annot-version=v1.1 MRAVRHLLQRAQRTLGELVKSMEEVAQDLEDAYMDLGDEWRGENRGKFLEMMIADGCFLLEVMREEDSRKDYVNSDPIFSKKGVRHVQSFVQRDMLMLENQLPLKVLQRIVAVETGKPPNDVDVVINKMVLKFLCTEKWDTCVASNGLGLHPLDLYRRSLLMWPGSVHRGVAGILGRKRPPPDVPRSAQKLADAGIRFRTSRRKIQLDDIEFKTTRTVMMPRLYLDDTIPYKYHNMMAFEAMHVRTSNDVTAFVLFMKDIIDSAEDVAHLRRKRIVVHDLADDDAAVVKMFNTLTKDVSKYGDSRLCGVRDKMEKYYKKSVCRWLWFLPWAFLKNKYFRSPWTFIALVAAICLVPTDIMQTVYSVMSYEVAKQSLQAQLKDAKH* >Brasy5G330900.1.p pacid=40077606 transcript=Brasy5G330900.1 locus=Brasy5G330900 ID=Brasy5G330900.1.v1.1 annot-version=v1.1 MEGGTEERWAHGTAAWGWELPWAGGGGDPGPREGGRGAWDLGIRGKKRGGEGTNGESG* >Brasy5G391900.1.p pacid=40077607 transcript=Brasy5G391900.1 locus=Brasy5G391900 ID=Brasy5G391900.1.v1.1 annot-version=v1.1 MALKIFNWLNRKMHSNVEYCTIDENKAMEKEDSVRESLAEQDTEALLLRDVLLNGILAIGTLGHNVNTLCPEACHEQDEFVIMDREKVEEEKQSEEEKQGEEKGRAIEDTLATTPSESAPAVQPAKMHSSSMKECNFMCSVREEILICNVEVEDVTKIQEQPLLMVEKVEKVRTTLADLFAAEAFSSSDTGEKNYPKVIIVDGASTSRPTSCVENIHPMKPTKPTPKQLNATRKLSRYVMRKMLGKKIHPEQLNGHSSEEGPLIA* >Brasy5G272900.1.p pacid=40077608 transcript=Brasy5G272900.1 locus=Brasy5G272900 ID=Brasy5G272900.1.v1.1 annot-version=v1.1 MEAALLSGSIRVMLPKLFSLAEKTWNLHKDIKNDIKFLDKELGMIVRAIDAELDTPREEDHGAVLLLLSIEDLRELAHGIEDCIDILMFRATRKHQASLFRRSLQSPKALLKDFQFAQKLQKMKKMVAEAHDRRQRYPVPSQASSSAAPMEWSPSPASDPRLMDADLVGVDEPRAKLLEQLAESAEEQLKVVSIVGGWGLGKTALAADVYRTEAGSERFDKHAWVCAALKSPGAVLVDLLREVSSDVPSCLGSAPSSSGVGRLCVQVREQLVKKRYFVVIDDIQTQDQWKIIKSAFPDDTSGTSRVVVTTTIQSVANACSSANGYVHKMIRLDEICSKQLFSLKACPKKYSYYEQPDPTAILKICDGQPLALVTIGEFLQSNGWPRGSSCEDLCNDIRFHLNTEETFKEMRRVLMRNYASLPGHALKACLLYFGLFPSDHPVRMKSLLRRWSAEGMLEMQDSCGALNLAAKNFNKLMDRNLIEPIDVSNNDNVKTCQTYGMMREFILQLSISENFVTLFCDDKKEGKYIRRLSLHHKTDKNGDSFRNIDLSFVRSLTIFGKACKTVLDFSKYELLRVLDLEKCDDLKDDHLKGICNLLLLKYLSLGGSVTELPEDIAKLEHLEALDVRRTKVNILPLEVFLLPYLMHILGKFRLSGKVYTKSVFLKKKTASELQKFLLEGKSNIETLAGFVTDGSEGFLHLMGHMSKLKKVKIWCKPSASSTDWTNLTRAIKQFIQDKKDENNGTTRSLSLHFDECSEKFLDSIEGPCYLSSLKLHGDLTVLPQFFVSLRGLRELCLRTTKLTTGVLEALSNLRDLQYLKLIAHDIEAFSIEVLALPRLLRLSLELQRLTFPTIKQGALRSLVTLQLLCKDLNGLSNINIECFKHLEEVTLHPGVSKETQMNWVEAAKKHPNGPKVSILKSGGATEGSNEQLNSAFNDMVLSEVPPDLNELSSSIVVP* >Brasy5G272900.2.p pacid=40077609 transcript=Brasy5G272900.2 locus=Brasy5G272900 ID=Brasy5G272900.2.v1.1 annot-version=v1.1 MEAALLSGSIRVMLPKLFSLAEKTWNLHKDIKNDIKFLDKELGMIVRAIDAELDTPREEDHGAVLLLLSIEDLRELAHGIEDCIDILMFRATRKHQASLFRRSLQSPKALLKDFQFAQKLQKMKKMVAEAHDRRQRYPVPSQASSSAAPMEWSPSPASDPRLMDADLVGVDEPRAKLLEQLAESAEEQLKVVSIVGGWGLGKTALAADVYRTEAGSERFDKHAWVCAALKSPGAVLVDLLREVSSDVPSCLGSAPSSSGVGRLCVQVREQLVKKRYFVVIDDIQTQDQWKIIKSAFPDDTSGTSRVVVTTTIQSVANACSSANGYVHKMIRLDEICSKQLFSLKACPKKYSYYEQPDPTAILKICDGQPLALVTIGEFLQSNGWPRGSSCEDLCNDIRFHLNTEETFKEMRRVLMRNYASLPGHALKACLLYFGLFPSDHPVRMKSLLRRWSAEGMLEMQDSCGALNLAAKNFNKLMDRNLIEPIDVSNNDNVKTCQTYGMMREFILQLSISENFVTLFCDDKKEGKYIRRLSLHHKTDKNGDSFRNIDLSFVRSLTIFGKACKTVLDFSKYELLRVLDLEKCDDLKDDHLKGICNLLLLKYLSLGGSVTELPEDIAKLEHLEALDVRRTKVNILPLEVFLLPYLMHILGKFRLSGKVYTKSVFLKKKTASELQKFLLEGKSNIETLAGFVTDGSEGFLHLMGHMSKLKKVKIWCKPSASSTDWTNLTRAIKQFIQDKKDENNGTTRSLSLHFDECSEKFLDSIEGPCYLSSLKLHGDLTVLPQFFVSLRGLRELCLRTTKLTTGVLEALSNLRDLQYLKLIAHDIEAFSIEVLALPRLLRLSLELQRLTFPTIKQGALRSLVTLQLLCKDLNGLSNINIECFKHLEEVTLHPGVSKETQMNWVEAAKKHPNGPKVSILKSGGATEGSNEQLNSAFNDMVLSEVPPDLNELSSSIVVP* >Brasy5G037400.1.p pacid=40077610 transcript=Brasy5G037400.1 locus=Brasy5G037400 ID=Brasy5G037400.1.v1.1 annot-version=v1.1 SLPYASAYSRSPTDQLLCKLRRIHLPIAPHAPPLPRCRRPPHPVTLLLSLRPMPSANPYQARPSAPSSQRRCHLLPMLRAALRGCPLDGSVLCSSRLSAAALCCPCPAPPPPFPPLHRASAALSPPPAPDRRRRVEDRKKLALAGGGREDSS* >Brasy5G037400.2.p pacid=40077611 transcript=Brasy5G037400.2 locus=Brasy5G037400 ID=Brasy5G037400.2.v1.1 annot-version=v1.1 SLPYASAYSRSPTDQLLCKLRRIHLPIAPHAPPLPRCRRPPHPVTLLLSLRPMPSANPYQARPSAPSSQRRCHLLPMLRAALRGCPLDGSVLCSSRLSAAALCCPCPAPPPPFPPLHRASAALSPPPAPDRRRRVEDRKKLALAGGGREDSS* >Brasy5G037400.3.p pacid=40077612 transcript=Brasy5G037400.3 locus=Brasy5G037400 ID=Brasy5G037400.3.v1.1 annot-version=v1.1 SLPYASAYSRSPTDQLLCKLRRIHLPIAPHAPPLPRCRRPPHPVTLLLSLRPMPSANPYQARPSAPSSQRRCHLLPMLRAALRGCPLDGSVLCSSRLSAAALCCPCPAPPPPFPPLHRASAALSPPPAPDRRRRVEDRKKLALAGGGREDSS* >Brasy5G336500.1.p pacid=40077613 transcript=Brasy5G336500.1 locus=Brasy5G336500 ID=Brasy5G336500.1.v1.1 annot-version=v1.1 MEKAPRAILFLLLIVHFGVAQNTTSKGGEFHVGVILDLGSLVGKVARTSVALAVQDFYSVHPNYSTKLVLHIRDSMGSDVQAASAAIELLENHKVQAIVGPQKSSETVFISNLGNITQVPTVSFTATSPSLTSDSMPYFVRATLNDSAQVNSIALLVKAYGWREVVPVYDDTDYGRGILPSLVDALQEIDARVPYRSVIPSSATSEIITQELYKLKAMQTRVFIVHMSPTMTSLLFTKAKEVGMMNKGFVWITTDGISNIIDSLDPSVIDAMNGVLGVRYHFPKSNELDNFSIRWNRMYQQDNPDESPFNKLSIVGLWGYDTIRALAQAAEKAGISSATNKQPQSIKNSTCLESMVISTNGPDILTAIVQNKFRGISGDFDLTNRQLKVSVFQIINVVGRGWREIGFWTVNGGLSRQFNQTGMKITGPASVIDLNPVIWPGESTEIPRGWEIPTIGKRLRVGVRTSIYQELIKTFKDPVTDATKASGLTVDIFEEAVKRLPFALTYDYQAFDSADPPSTGSYDDFVNQVYLQKYDIAVADTTITYNRSLYVDFTVPYTESGVGMIVPVKESMIKNMWIFLKPLSAGMWFGGIIFFMYTGVVVWFLEYLNGNEHIHGPFSLKQLGITMFFSICEEKEKLERVLSRIVIRVWMFVLLVLTSSYTASFASMLTVHQLSPTVTDVHELQKKGEYVGFHNGSYVGGLLLDIGFDRSKIRAYDTPDDLYGAISKGSKNGGIAALVLEAPYIKHFLAKYNKGYTMVGPIHKSAGFAFALPKNSPLRAEISRAILSITGGDTIIQIEKKWVDHNSHQNDDTIDGSDAITFQSFGGLFLLTGIVTACSLFVAVLMNHYKKYQKNAASRGDNKNECGHEKQGKNGDSQGEQRDQNINDIEKQETLPVSHSLNTNGDQLPDSENNDICCRCTQNNKATSLQTHIGSEIIHRGDKSTRSLSGSKIVPS* >Brasy5G232400.1.p pacid=40077614 transcript=Brasy5G232400.1 locus=Brasy5G232400 ID=Brasy5G232400.1.v1.1 annot-version=v1.1 MLIKAWCYYESRILGAHHGLISTYALEILVLCIFHLFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVLLSSLPELVSDAPVTHDGDFLKREEFLKECAQTFTVPPRNSEKNTRLFSRKFLNIVDPLKQNNNLGRSVSKGNFFRIRSAFDLGARKLGKILKEASSSAVPEVNEFFRNTLKRNRTMVRPDVQDIALDFSVERDNEDHTPLYSNSYGDLSDQFNNINISDLNNHGSLKEKERTPMAKHEEIKSVSNPVTNSSSVWDGSDFCEVAPSNSEILPSGKGQYEPHLFYEPGNGKDGVNYDMNPKGYTGTKYVNENSHLVDNWLPTATVDNRLAPAKESNGSGAQAREVGGDGSTSSDILSDLTGDHGTNLNNLFYAQGCHLEYPMNPDYPVNPVYYPMHAPPPVQYQNNRSPNGHSRKHAYGYAGTSGIAPGSYPSPGYYVVRPFFQTDDHMRARGTGTYFPDPALCKDRPPAGRGERGRHNSHPNPYHRGNRYGRMDMHPDMVPSDEWRQGPPLQIYVPGANDHGIPPPLNIPSLSPSPRAPRDIAHGNGFNHPQDSKLEFGTLGALPLEVKSTSQDQASRPSSAANSQASAPVSPVSPAPNPGKGSSRVRNAGPYHLKDNGDFPPLSS* >Brasy5G121700.1.p pacid=40077615 transcript=Brasy5G121700.1 locus=Brasy5G121700 ID=Brasy5G121700.1.v1.1 annot-version=v1.1 MSDAGASRRITVRSVSCRGVKSFVPFQKPPLYAAVSQGGRREKTPADPDGGENPDWDGAAAFAFDLGGRDDDGLLRFEVKAQVPLLGTKLVGTACVPVSDLAGAGAPRRVSYQLLAPDGKPNGSLSFACSVTDAAAYQQQPQIYAPRPEQDLNNSCCAPPIPPSSAPYPAPGMPSVAPQGSGYPPSAPPASAPLYPPLQDFLPPSSYPPPPPPPTTDPLFPVPNFGSHSSYPPPPTVYPPPPASCTACPAPPAQYISSSYPPPPPSYYPPPPPPSGYPPAVRMNPEYPASSFPPPASSYPPLAPQPEFCSGCPSSPAPRSVDRALHYMAPPSEGSAITYPELAVPHLPSGGSYYPPPGTRYREEEARPPYYYPPPGTRYS* >Brasy5G121700.2.p pacid=40077616 transcript=Brasy5G121700.2 locus=Brasy5G121700 ID=Brasy5G121700.2.v1.1 annot-version=v1.1 MSDAGASRRITVRSVSCRGVKSFVPFQKPPLYAAVSQGGRREKTPADPDGGENPDWDGAAAFAFDLGGRDDDGLLRFEVKAQVPLLGTKLVGTACVPVSDLAGAGAPRRVSYQLLAPDGKPNGSLSFACSVTDAAAYQQQPQIYAPRPEQDLNNSCCAPPIPPSSAPYPAPGMPSVAPQGSGYPPSAPPASAPLYPPLQDFLPPSSYPPPPPPPTTDPLFPVPNFGSHSSYPPPPTVYPPPPASCTACPAPPAQYISSSYPPPPPSYYPPPPPPSGYPPAVRMNPEYPASSFPPPASSYPPLAPQPEFCSGCPSSPAPRSVDRALHYMAPPSEGSAITYPELAVPHLPSGGSYYPPPGTRYREEEARPPYYYPPPGTRYS* >Brasy5G121700.3.p pacid=40077617 transcript=Brasy5G121700.3 locus=Brasy5G121700 ID=Brasy5G121700.3.v1.1 annot-version=v1.1 MSDAGASRRITVRSVSCRGVKSFVPFQKPPLYAAVSQGGRREKTPADPDGGENPDWDGAAAFAFDLGGRDDDGLLRFEVKAQVPLLGTKLVGTACVPVSDLAGAGAPRRVSYQLLAPDGKPNGSLSFACSVTDAAAYQQQPQIYAPRPEQDLNNSCCAPPIPPSSAPYPAPGMPSVAPQGSGYPPSAPPASAPLYPPLQDFLPPSSYPPPPPPPTTDPLFPVPNFGSHSSYPPPPTVYPPPPASCTACPAPPAQYISSSYPPPPPSYYPPPPPPSGYPPAVRMNPEYPASSFPPPASSYPPLAPQPEFCSGCPSSPAPRSVDRALHYMAPPSEGSAITYPELAVPHLPSGGSYYPPPGTRYREEEARPPYYYPPPGTRYS* >Brasy5G121700.4.p pacid=40077618 transcript=Brasy5G121700.4 locus=Brasy5G121700 ID=Brasy5G121700.4.v1.1 annot-version=v1.1 MSDAGASRRITVRSVSCRGVKSFVPFQKPPLYAAVSQGGRREKTPADPDGGENPDWDGAAAFAFDLGGRDDDGLLRFEVKAQVPLLGTKLVGTACVPVSDLAGAGAPRRVSYQLLAPDGKPNGSLSFACSVTDAAAYQQQPQIYAPRPEQDLNNSCCAPPIPPSSAPYPAPGMPSVAPQGSGYPPSAPPASAPLYPPLQDFLPPSSYPPPPPPPTTDPLFPVPNFGSHSSYPPPPTVYPPPPASCTACPAPPAQYISSSYPPPPPSYYPPPPPPSGYPPAVRMNPEYPASSFPPPASSYPPLAPQPEFCSGCPSSPAPRSVDRALHYMAPPSEGSAITYPELAVPHLPSGGSYYPPPGTRYREEEARPPYYYPPPGTRYS* >Brasy5G195200.1.p pacid=40077619 transcript=Brasy5G195200.1 locus=Brasy5G195200 ID=Brasy5G195200.1.v1.1 annot-version=v1.1 MAGEMDQTMIKVCAAAGSLGVLSAIMGFSAEGTKLTVSSDIKWTGRGLDVCRYPQNPALPLGVCAAVFLAMAQVTVTAAGGCCGSCSGKLSRPKTKRTEGVLCAVFSWIAAVLAFGTLVFGAAWNTSQPRHLLASFPVPACDVLLDGVFAGAAALTLAATVLGLASYVLLRGKPATAAPDTGEQQAASAPPPNYPYAPPYHQFLPQGYGAHEPNAHFPQGYGAHAPNQHVPPQGYGAHVPNPQFPPQGYGAHVPNTHFPPQGYGAHMPNQRFPPQGYGTHAPNQQFPPQGYGTHMPNPQFPPQGHWAQQFPPQGFGVHVPNQHFHPQGYGAHVPNQLQFPSSAAQGYEPHPQVPSPPNGQQQV* >Brasy5G258700.1.p pacid=40077620 transcript=Brasy5G258700.1 locus=Brasy5G258700 ID=Brasy5G258700.1.v1.1 annot-version=v1.1 MASPRSPSAEIDEENDGISDNEESPKVLVAVPPTSVRHAAAIGGANRHKRARYNGKGSFEELEKGGGAKQQEPLQVSLDEYEGSDQESDDKGPKIANGTGPNVQKSDKRLKEKARKQRELDISALEERTLQKDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLAEVEKARSVAERALRTINMREEEEKLNVWVAYFNLENEFGCPREYAVKKIFQRAVQYCDPKKAHLALLGMYERTEQHELADELFDRMTKRFKTSCKIWLRRIRFSLKQGRGVEYIKSIINRALLSLPQSKRIKFLSQIAILEFKCGVPEEGRSRFELLLREYPKRTDLWSVYIDQEVRLGDTDVIRALFDRVTCLTLPPKKMKFLLKKYLAYEKSQGDRGRMELVMQKATEYAKISQA* >Brasy5G258700.2.p pacid=40077621 transcript=Brasy5G258700.2 locus=Brasy5G258700 ID=Brasy5G258700.2.v1.1 annot-version=v1.1 MASPRSPSAEIDEENDGISDNEESPKVLVAVPPTSVRHAAAIGGANRHKRARYNGKGSFEELEKGGGAKQQEPLQVSLDEYEGSDQESDDKGPKIANGTGPNVQKSDKRLKEKARKQRELDISALEERTLQKDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLAEVEKARSVAERALRTINMREEEEKLNVWVAYFNLENEFGCPREYAVKKIFQRAVQYCDPKKAHLALLGMYERTEQHELADELFDRMTKRFKTSCKIWLRRIRFSLKQGRGVEYIKSIINRALLSLPQSKRIKFLSQIAILEFKCGVPEEGRSRFELLLREYPKRTDLWSVYIDQEVRLGDTDVIRALFDRVTCLTLPPKKMKFLLKKYLAYEKSQGDRGRMELVMQKATEYAKISQA* >Brasy5G168400.1.p pacid=40077622 transcript=Brasy5G168400.1 locus=Brasy5G168400 ID=Brasy5G168400.1.v1.1 annot-version=v1.1 MSRKERNYVNFVGAALLHPRHKQHITKTKISPAHSPSRRPASLRCPLLAALPFPSAPARCPRRAALPVYARRATLPICVRPSLAAPFPFPKSPPPPSTPTMPSPPAFGHRRTGPPPLPLRVARPHQATATQPRLAARPGRTAPPPPPAGDAPISSLREPSPQPPQVALADRVRALVAPAGQRRK* >Brasy5G151700.1.p pacid=40077623 transcript=Brasy5G151700.1 locus=Brasy5G151700 ID=Brasy5G151700.1.v1.1 annot-version=v1.1 MYLPLIHARRGRQQPGAGDEPPAYVDTLIDLRVPDDNYNNNGNRRNNNAITQKRVRQRKLTDGEMVGMCSEFLGAGTETVAAALQWTMANLVKRPQFQDSVRREIDAAVDADAEEVGEEVLPKLEYLNAVVMEVLRLYPTATLVLRQVSKEDNIVHNGRRVPAGTNVLFPLESLAKDETAWDEPREFRPERFLLAREGGGESVSLEAAAGCGGETMKMMPFGAGRRVCPGMGMAVLHMGYFVANLVREFEWKEAEGEMAVDLRPHFGFFTVMKRPLRARLVPCHVRGGSSPKEGVN* >Brasy5G087700.1.p pacid=40077624 transcript=Brasy5G087700.1 locus=Brasy5G087700 ID=Brasy5G087700.1.v1.1 annot-version=v1.1 MGQTQSPFMRLPEAEQLLGPVGATSSGSRNRKEQFGKSTKARNKYYKNSTKDDLVLRVTLESITRIG* >Brasy5G124500.1.p pacid=40077625 transcript=Brasy5G124500.1 locus=Brasy5G124500 ID=Brasy5G124500.1.v1.1 annot-version=v1.1 MAGGSKRTWVVEMEKTVKDADKSVEVSRWSSHCIYRVPSCIKDIKSKAYQPQVVSLGPFHHGDPNLLPMEEHKRRALRHLLRRSGRALDDFVAAVEASAAQLESAYMDLGGEWRDSAEGVGDGGRELFLEMMIVDGCFVLEVMRTAAGKHDADDYAPNDPIFSHHGMLYMVPYIRRDMLMLENQLPLLLLQRLVAVESGKPPNDEVIHKMVLRFLATSSRPPQSGTGLGLHPLDVFRRSMLFGPYQTVRGSDADTLDTDIIRSAVELYEAGVRFKKSKSSSLHDIRFRHGVLSMPTVPVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSNGIIQNAVGSDKAVAKLFNSISRDVVLEPDSALDAVQRQVNGYFRKPWNMWRANLIHTYFRSPWAFLSLAAAVFLLVMTITQTVYTVLPFYRDQDNNSSPSAPSPM* >Brasy5G076800.1.p pacid=40077626 transcript=Brasy5G076800.1 locus=Brasy5G076800 ID=Brasy5G076800.1.v1.1 annot-version=v1.1 MAGGRRPKPSLADAESPGADAEARAPRRRPQQRGRPASELYAELASLLPGVSSRAGQVEVLEAALAQVKVLEDTAAVLEAYRALRSSDPAPRPRADVAAREAVCFAVRLPAPAPAGGGGLRRVLEAFERRGVEVLAATVTGGQRVITVTADAAPPEVVEGIKADIAGIEWLP* >Brasy5G309900.1.p pacid=40077627 transcript=Brasy5G309900.1 locus=Brasy5G309900 ID=Brasy5G309900.1.v1.1 annot-version=v1.1 MAPTDGDSMFEPVDDHQTQASSHRTSRLPPHNHVDYDPDHLPKSVVLDNMVYFDDRTNPSTAEGFTSKGHAIKLTFWAAHPPLPSYFTLHCPSLPESSPLQDHPRVLRTDGDLVLFRFLTGPEARSMYPQYNDYIIYEAGVNKLMVLPRHPTHLFSDLTVGLLRCRHQDDDMFILASLSWASRGKYSLEQFDSRSWTWTTRSVHVESPKDCYFTTPTKVINLDGSLGWVDLWNGILICDLLRGDDILHFIRLPILLAPNKLPPRPMAFTRDVSFSNGSLKFSEVWARPVLVSDSGGTHISEDWGAAIMKWGPGKKWHVDSILKASEIIMETNLSQLLPNHPPRHEATEKHALSRLQVGHPALSLHDDDAVYIMSKVDHMDHKGWMLAVDMRNKTIKGVAEINTDRSLGFKFMYFPILPKGSRAATYTMLLKGIQMGLREQASKKP* >Brasy5G097900.1.p pacid=40077628 transcript=Brasy5G097900.1 locus=Brasy5G097900 ID=Brasy5G097900.1.v1.1 annot-version=v1.1 MAEGFRGVGAHMSSAFLCGFNVWFANQREFMTNRRKYETGSRSNNRDLGSMVVSTNLETWKTRRQQFCRAFKTTFN* >Brasy5G447900.1.p pacid=40077629 transcript=Brasy5G447900.1 locus=Brasy5G447900 ID=Brasy5G447900.1.v1.1 annot-version=v1.1 MKHLMSPRTPTTRRAALQLGVPAKSGWGQQGRTLVVAVRRDAAGRELLAWALAEAAATGDRVVALHVASDGLPDETAAAAESLASVIGAYDGFCNHKQIKLELRVCHGSSVKKSLVNEAISHGAAQLILGVTRHSRNLGVSATAVAKHCAKRVPRSCSVLAVSDGAVVYHGNAMQEDDDMDITHCCTMSSPRKDYSLVAETPRRIYRKILDAAAARLGDKAQDDSAIGQCRSSLRRSTSMSVSASAPVSPKVNAAPPTPATCRRRELPVPEVAAGWPLLKKDIMAASPECSEMSVVEWAMRLPSRCSPLSPASSSGRSSDLPGRSNSAEPSPAMEEPEAEVPEELALIREKYKSVYTLFSYSDLAKITSDFSPDRLVGKGGASRVYSGRCEDGKELAVKVLNSSSPEVVKEFAAEMDVVSAVDHGNAMALAGFCVDHGKLMLVYDYMRRGSLEDILHGKAGGSELGWPERFKVAAGVARALEYLHGGCGGGDGGGNRRRVIHRDVKSSNILVSEDCEPKLCDFGLALWAENAAAQVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGPKGQESLVMWANSVVQGGKLTELVDPSLPTDGSGEVERMALAAALCIRREPQRRPSVANVLKLFDGDSDAIKWARSQLGVSDTGNEKDYGTADIDDDEEDYSVVTLPEKTDIQSYINLALLDITGDDDDDAMSISSDFIAANMSLEEYMKGRWSRSSSLTEDGDTIHAGRSRIFA* >Brasy5G105500.1.p pacid=40077630 transcript=Brasy5G105500.1 locus=Brasy5G105500 ID=Brasy5G105500.1.v1.1 annot-version=v1.1 MLLEIIDVVSLGVLLCRRTGVRPLAEVVARCLVGLAHLHLRAWLRDLEAPETKRDIDAQPEEARGGKLVIVLTRTIRPLLLLPSGLPRARVFCPAPPQDHLPAALGRLRRARVSLPRRRRIISMAPPAAPAAGPVLPPIKSPRPSTALAGLLMEVQVRAVAALASMRTGGLS* >Brasy5G202700.1.p pacid=40077631 transcript=Brasy5G202700.1 locus=Brasy5G202700 ID=Brasy5G202700.1.v1.1 annot-version=v1.1 MVVFHDYDGRSDKASLPMFHTAVWAHIHGIPDPYRNPLVVDQLARRIGKVQSVEMNPMKYYEGDYVRVRASIDVREPPIRFTPLKLRDERLLLDVKYEKIGFLCEVCGHFGHNREECGDGVHDPSKLQYGKWMLAKRRVSATSLSFGTRAPFSGRLGGGRGFGGRGRDAVSLKRSSQEAELATEEELADTASSPAKKVDPSDGKSKAPPLAKKKLDLEDGELQAENQDAMMTEGQTKDSGTNLETVPPPPPKYIKPKDHKRAKPGVSPSATQSVTEISAASQAEDRREQ* >Brasy5G225700.1.p pacid=40077632 transcript=Brasy5G225700.1 locus=Brasy5G225700 ID=Brasy5G225700.1.v1.1 annot-version=v1.1 MASTSHNMATYEPEDLKEITGDYTHVLGRDGALYKEEEALDTEAALDQELCAFRGSSQGTKSIYQDAAEARQEAGCHGH* >Brasy5G289200.1.p pacid=40077633 transcript=Brasy5G289200.1 locus=Brasy5G289200 ID=Brasy5G289200.1.v1.1 annot-version=v1.1 MTAVTDAAEPWTAGSNIHGGLGVGGSGGRQLVAACGSGGGLGARGERGWEEESGGRERAEGGCRRREGESEPRVARERVRERRRKPRAWRGEPTCSAGGGGWDGALDPVSAGLWARWRALGRGSGVGVRGRGRTGPAAVVSRAPDPAAAGSRAPDPAAALSMETGSGGL* >Brasy5G208400.1.p pacid=40077634 transcript=Brasy5G208400.1 locus=Brasy5G208400 ID=Brasy5G208400.1.v1.1 annot-version=v1.1 MADNNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPTF* >Brasy5G078900.1.p pacid=40077635 transcript=Brasy5G078900.1 locus=Brasy5G078900 ID=Brasy5G078900.1.v1.1 annot-version=v1.1 MASTGGDPSGFAAAALHASSDVSTPEEIRHADDSNTVSENAQEPLNFLPGQESNDASVSTENKQSTVLKCKSVEEIPRVATVKRCKNIDSRKVSSNNNNVSSLTGIQGLTKPPRKGAHSLQLNKSEARGPPSTWICNNSACRAVLTPDNTFCKRCSCCICHLFDDDKDPSLWLVCSSETGDRDCCESSSHIECALRCRKAGCVDLGQSMHLDGSYCCAACGKVIGILGCWKRQLVVAKDARRVDILCYRINLSHRLLDGTTRFKELHQIVVDAKAKLETEVGPLDGMSSKMGRSIVGRLPVGADVQKLCNLAIEKADDWLRSNSQEETRQIDTLPTACRFRFEDITASSLVVVLKETASSLYHTIKGYKLWYWNSREPPSTGEPAIFPKDQRRILISNLQPCTEYAFRIISFTEDGELGHSESKCFTRSVEIIHKNIEHGTEGCSSTAKRDVKSQTGTLSGFKVRQLGRVLQKACAEEDGCLNEFCKDEIEDSCDQSDSMMPDKDQVEHAVSCKLDLNETSVPDLNAEVIMPTECLQNDNGCSSGKNGMRKSNGCSDFVTCAEGHVGEAPAMESRSQSRKQTSDLEQETCAGDVNLVIGTQRHFSHRLGQLDGNYEYCVKMIRRLECSGHIEKGFRMKFLTWFSLRSTEQERRVVFTFIHTLLDDPSSLAGQLLDSFEEVVASKKPTGFCTKLWH* >Brasy5G078900.2.p pacid=40077636 transcript=Brasy5G078900.2 locus=Brasy5G078900 ID=Brasy5G078900.2.v1.1 annot-version=v1.1 MHLDGSYCCAACGKVIGILGCWKRQLVVAKDARRVDILCYRINLSHRLLDGTTRFKELHQIVVDAKAKLETEVGPLDGMSSKMGRSIVGRLPVGADVQKLCNLAIEKADDWLRSNSQEETRQIDTLPTACRFRFEDITASSLVVVLKETASSLYHTIKGYKLWYWNSREPPSTGEPAIFPKDQRRILISNLQPCTEYAFRIISFTEDGELGHSESKCFTRSVEIIHKNIEHGTEGCSSTAKRDVKSQTGTLSGFKVRQLGRVLQKACAEEDGCLNEFCKDEIEDSCDQSDSMMPDKDQVEHAVSCKLDLNETSVPDLNAEVIMPTECLQNDNGCSSGKNGMRKSNGCSDFVTCAEGHVGEAPAMESRSQSRKQTSDLEQETCAGDVNLVIGTQRHFSHRLGQLDGNYEYCVKMIRRLECSGHIEKGFRMKFLTWFSLRSTEQERRVVFTFIHTLLDDPSSLAGQLLDSFEEVVASKKPTGFCTKLWH* >Brasy5G084300.1.p pacid=40077637 transcript=Brasy5G084300.1 locus=Brasy5G084300 ID=Brasy5G084300.1.v1.1 annot-version=v1.1 MASSAPAASPLTCHHLGLRLRPHLPSFSLRRRSTLSSKPISLSHSLPKPLSLPPSATARRHLLPPVSAAAPAYPPAPVSPPAKPALKGAAIKPLLASIATGLLIWFIPAPAGVARNAWQLLAVFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRVAYAFVSAFGGSTLGLGYSLVFAEAFLAPAIPSVSARAGGIFLPLVKSLCEACGSRTDDGTERKLGSWLMLTCFQTSVISSAMFLTAMAANPLAANLTASTIGQGIGWTLWAKAAIVPGLLSLIIVPLVLYVIYPPEVKSSPDAPRLAKEKLATMGPMSKEEIIMAGTLLLTVGLWVFGGMLSVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLIAWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLFAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKMIGLW* >Brasy5G218000.1.p pacid=40077638 transcript=Brasy5G218000.1 locus=Brasy5G218000 ID=Brasy5G218000.1.v1.1 annot-version=v1.1 MGAGVKDDNGSDPQRPSAGGGGGYGGLGPSGYTAGVAVLVSLLLIVALVRLLRYALRRSAKPPVQVQQHPVAAADPSVTREVAVEMAAAPATAAGACVATYRRSDGWREASCPVCLCDFADGEALRVLPACMHYFHAACVGEWLHRNDTCPLCRAAPPPPEPPQMPSPAVAPTA* >Brasy5G275400.1.p pacid=40077639 transcript=Brasy5G275400.1 locus=Brasy5G275400 ID=Brasy5G275400.1.v1.1 annot-version=v1.1 MGRRSTMALLLLVLALGAMVSVSQGQLQVGFYSSSCPGAESTVASAVRSASASDSTILPALLRLQFHDCFVRGCDASVLIKGGNNNAEVDNGKHQGLRGLDVIDSAKAQLESQCPGVVSCADIVVLAARDAVAFTGGPSFDVPTGRRDSKVSNLRDADVLPDVKDSAQVLRSKFAAAGLDHKDLVLLSAAHTVGTTACFFIQDRLYNTPLPGGGRGSDPSIPDAFLSELKSRCAPGDFNTRLALDRGSERVFDTSILRNIRNGYAVIASDAALYNDTATVDVVDSYSGLLSAVFGPYFRPDFADAMVKMGSIGVLTGKQGEVRKLCSKFN* >Brasy5G151900.1.p pacid=40077640 transcript=Brasy5G151900.1 locus=Brasy5G151900 ID=Brasy5G151900.1.v1.1 annot-version=v1.1 MAEPAAGYGAGDESDEEELALDDEEEPTEHLFDWGLLNEEELAVDDELAARHLHVAAYNYRAAAKRARAAGDEETSILLANVLFLASKDDEAKNEVLRAIGIPNPIVSSGDPFVRKAEDQRAESCTQRARICSVLQGYWNSMTSEKRGSFLSVSLDELHKYYDEVYRDDHWTASTISDALSFVKQTGSWRFWICPYCIGEKLPDASNDASVDDDSLDEITVSQDSEDHYFFHFNKIEIDRMFQNLGFVPARISITEAKSFVKTRDEKCEEGTKRLQEMNQKWKNLYTDNSSPEFPKACFKFPDLWYDFLTNSTLDYRVVILPLAKSFLWAELIKSMIEDNAEPDTEMVSPNVHAPGSIAIGEHILKLSNGNKAHKGGYDQQADNLKPSGSDETLKLLEKCEESEVCFGDKNSGTVVNQNLSGPPIYHDKNGKWRAIQELLAKFVKEMGSTSSSRLIGTVFRQSNDDEDLSILHPIMQALCNLRHFREKFLAGQLVRNPSNDNPPCIAGIFYGILYALETKKLHLTVDVLTSVETILQELVDDNSIHGKFQVGRNFSSKTVTTMLNRLHMSENSSSSGFNREISRQLANHTCGDCICPTHNIFGIKFDVQMSCHCGKSSHKGLYTTLFHKLDAGSPQTRKENCCEHCGSLDNIIDLFLSNTPHFFTIVLNWEGGSESQDSLYDVLVHI >Brasy5G125800.1.p pacid=40077641 transcript=Brasy5G125800.1 locus=Brasy5G125800 ID=Brasy5G125800.1.v1.1 annot-version=v1.1 MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRSYNITVQSGAKTLNSFHLKQCVKRYNSFDFLTEIVNKVPDLGGADSCGDERGLPRRRKLSNGSDPENEEPRSSKMPIRSLNTSPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQSEPLPGDDAIPETKCGNENISQSANPPADAPSTGVPAAISKVEEASTDHQPDWPMPDAIGGIGVGPSSFGHLTVQVDEDEDYDNED* >Brasy5G402100.1.p pacid=40077642 transcript=Brasy5G402100.1 locus=Brasy5G402100 ID=Brasy5G402100.1.v1.1 annot-version=v1.1 MQTPRPLSTAPPATCTVVRALSAREKISTPAYFDQAFVHSSKLQQQPSNACNNYQQARVA* >Brasy5G387500.1.p pacid=40077643 transcript=Brasy5G387500.1 locus=Brasy5G387500 ID=Brasy5G387500.1.v1.1 annot-version=v1.1 MGTAADDIVNNLFPPSPAPSPSDDTSAEDVVEIECFVIVGVVALSLLHVLGSLRRRSSLKLLHAALSFAHTESLALASYTLGRILSSKADDALPGVAEFPVWAACLLLLLAGTDGLTASGLGEVEGWRAAYTGFLVQGTWVVRIVVLFGPGTGYLTPLCLIVFVAGVKAYARVASLRMVGDKVRLYESVKPIADYMEREHRLPLNSGQRPDPVSLQGYRYVVAGEPRKKYDKTPELRNLAFGRTIGGTSRSSRSLFSSCLAIQRYRHLLQSSFNFFRNRRKEEEANIVTVEQIWRCTGSVLRSERGSQLKDVCLSMALSKMLNRRFTDFPLAESGLEKTHDFVFQGLLAGDRPHERAFRVIEVELAFVHDLYYTRYPYLYHMGYCFALFLPVAMIILCSWLACLLYRNFQKHSPDSIYAGTTMALMVAIAFLEGFQMYLYMASGWFKVALIRSYVTRPVLQREGCCFFQVIIRLLLSFKAVRPWEDKLGQYSLLHALHSSGRISNCFRCLTLFLLDKVDKGRKRGKSVKQSAQVKQAVIDSLVESDGHLTRGVRSLRNNGVHGQLSWACDEGTVGVTRTILVWHVATDLCKHQLDVQAKEKKRKRPSKEEEEDGTAAVDAAASVASDLSRYCAYLVAFEPSLLPDHRTASASMLDGAIDEARKLLRGARKMNRKCEKLIGIVDGADGVGGDHGEVPIVVLGARLARQLNEDVRDPAMRWKVLADFWAEMMLYVAPSDDARAHLAALAGGGEFVTHLWALLTHAGVLKRGPAGSMAAV* >Brasy5G492000.1.p pacid=40077644 transcript=Brasy5G492000.1 locus=Brasy5G492000 ID=Brasy5G492000.1.v1.1 annot-version=v1.1 MGWFRSEPVGPRPRPRPRVAAAGEAAAEARPRVGAEEAGRRGRGSAARAAGGGGASGCSTASRNRAWLRPEKQQRRRGRGAAPEKQGGEGAGRRPEQQGAAARRAVLQQPTARPRRGAGEAGRGGRGVAARAATDGVAASRRRRSREARGTSWCG* >Brasy5G375800.1.p pacid=40077645 transcript=Brasy5G375800.1 locus=Brasy5G375800 ID=Brasy5G375800.1.v1.1 annot-version=v1.1 MGASVLPSLLVASVLLLLAGAATAMPVEDSELDNIQPLSTLKLDAAQVAMDSASAIHASPDVLGKDGEDSAWVTVNFTTPSPSSGHWIGLFSPADFSSSIGSGAKVAGAEDAPGAGLPTAPIKYKFGNYEPNFLRTGSGNTSFLVINQRYDYAFGLFSGGKDNPKLIAVSNKISFTNPKAPVFPRLSQGKQWNEMAVTWTSGYSIDEAYPFVEWRMKGEESSKRTPAGTLTFTRGHLCGSPARAQGYRDPGFIHTAFLKDLWPNREYSYQIGHELPDGTVAWGKSSTFRASPFPGQASLQRVVIFGDMGLGSKDGSSELQGFQPGAQVTTDRLVKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVASGNHERTYKDTGGFYNGNDSRGECGVPAETYFYVPATNRGKFWYAADYGMFRFCVGDTEHDWRPGSEQHAFLDACFASADRKHQPWLVFLAHRPLGYSSNDFYAQEGAFAEPMGRESLQPLWQRHRVDLAVYGHVHNYERTCPVYENTCTVKGPQQGAYTGPLGGTIHVVAGTAGAKLRSYAGGAWPQWSVARNQSFGYVKLTASDHSTMRFEFVHSDDGAVHDGFTITRDYKDIMACVVDSCPPHTLAN* >Brasy5G335500.1.p pacid=40077646 transcript=Brasy5G335500.1 locus=Brasy5G335500 ID=Brasy5G335500.1.v1.1 annot-version=v1.1 MAGGRGGVVAAAALLLAAFGCLLSGGLVESRRVARMGLGIDLGGGQGAGLGLGLGLGLGVGTGGVSASGSGSGSGSVAGAGSTSASRSGSISVGGASSSAGSSAGSNAGSNGSGAGSSAGSGGEQGYGGGGGDGSGSGSGSGYGEGGGYGQGSGKGLGLGYGQGHGLGSGLAGNP* >Brasy5G102600.1.p pacid=40077647 transcript=Brasy5G102600.1 locus=Brasy5G102600 ID=Brasy5G102600.1.v1.1 annot-version=v1.1 MARHLPSTIKIGLGAQLQNSELIDKYNAKYKLQSTSKSQANKSTTTAKPSSLSLVNCCPAGCCRSVLLCYMPAAWLHLLFSAYCLLPFSFISILKLDVKY* >Brasy5G333300.1.p pacid=40077648 transcript=Brasy5G333300.1 locus=Brasy5G333300 ID=Brasy5G333300.1.v1.1 annot-version=v1.1 MHGTERRSAAAPVTRHQLHQNCSKHVRAWKHHRLTARSAGSAGSGVRAAGSAGSGAPGRGEAAAHRRRGGQQRRRGERSSGEAEKRRASGSARGAARSVQEESRPSARRCGGPEAQRSSKARAGREQAGGEALWRTRVTTEQREATATIPDHESSGRPAGADGRARAAGGRREIRPGVGSGRPAGAPTVRGQRDGDGPVNISV* >Brasy5G259500.1.p pacid=40077649 transcript=Brasy5G259500.1 locus=Brasy5G259500 ID=Brasy5G259500.1.v1.1 annot-version=v1.1 MDFRIWLQSLGTFLSKKQYDTSVKNTRLESYGKLCVLGFTVMCLGRTGDVLKVIHESNKNHGYYCVIYFTFSLLIMLMGLVAVAFSESTPLHMFVAGLGAWQAMVLVSLVASLRLGLVEYLHSPENAIYLMMATTVPFTLYWGLSASDPSILYKIG* >Brasy5G259500.2.p pacid=40077650 transcript=Brasy5G259500.2 locus=Brasy5G259500 ID=Brasy5G259500.2.v1.1 annot-version=v1.1 MDFRIWLQSLGTFLSKKQYDTSVKNTRLESYGKLCVLGFTVMCLGRTGDVLKVIHESNKNHGYYCVIYFTFSLLIMLMGLVAVAFSESTPLHMFVAGLGAWQAMVLVSLVASLRLGLVEYLHSPENAIYLMMATTVPFTLYWGLSASDPSILYKIG* >Brasy5G478000.1.p pacid=40077651 transcript=Brasy5G478000.1 locus=Brasy5G478000 ID=Brasy5G478000.1.v1.1 annot-version=v1.1 MGSAPAPSSWAPRRQRGTPGWGAVAAAWEAGVGSAADDGLGRGGARRGEAGVERSSGGGERLGWARQRRRGRTGWGARGGPRQRRRKGWGRDGGGVRFREGRRRGEETREEWVEVCVDKV* >Brasy5G411600.1.p pacid=40077652 transcript=Brasy5G411600.1 locus=Brasy5G411600 ID=Brasy5G411600.1.v1.1 annot-version=v1.1 MEVKVLSSKLVKPAACNNGGATETEYIPLSIFDRVTFEMQMAIIYAFAPPAPTTAAIEKGLATVLGQYRAFAGQLGESPDGSPAVILNDRGARLVEASVDADLVDMAPAKPTPELLKLHPDLEGEQPLEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGPPPVHHYHGLFKPRSSPRVEHDHRRREYYLPSPSDVVGHHGDAADNIVIHKAHFTKDFIAGLRADASVGRGRPFSRFEAILAHLWRAMTRARGLGPEESSAIRLSVDGRHRLGKPAEYFGNMVLWAFPRSTVGDLLSRPLKHAAQVIHDEVARVDGAYFQSFVDFACSGAVHKEKLAPSAVLKDAHCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLAPSYIGDGSVDAFVPVFQHNLQAFKECCYSVE* >Brasy5G122500.1.p pacid=40077653 transcript=Brasy5G122500.1 locus=Brasy5G122500 ID=Brasy5G122500.1.v1.1 annot-version=v1.1 MAEALLLACHILFALALLSTSLSHLLLAAVTHLSPAHANHHRCLRYLREHPSLRLLPVLAATPFPFLPVAPVSRLLPFLLLPPLLLLLPLPLLPSCSHLPLLRPLLLSLPLSLLARAGALLAAAFPSSDLQAHALSVAALVLLAAAAASLLSALSPPRTAHHLFAETALAFAGAVGGLWSLQTGLSLYVDSCVPAGCHRLMDAALAPATRCDVDEVRLRAVAVMDLMLSVHCVIAAAAVAGLYLGVARWCGVDAGGGGASVGTGTGRRHNGVGGSYEALPTVASSGAVAEMEHLPMKGVGGKSVAQE* >Brasy5G003900.1.p pacid=40077654 transcript=Brasy5G003900.1 locus=Brasy5G003900 ID=Brasy5G003900.1.v1.1 annot-version=v1.1 MAAQGADEGGRLIYAMVARGTVVVAEQTAYTGNFRDIAAQCLQKLPAGDNRFTYTCDGHTFTFLIHHGYAYCVVASESAGREIPLAFLDNIKDEFVRRYAGGKADTAAANSLTRDFGPKLKEQMQYCMDHPEELSRLSKVKAQVSEVKGIMLDNIDKAIDRGEHIDVLVSRTEQLHDQAADFRTQGTRVRRRMWYQNMKARLIVGGIVVAVVLIVVLTACHDRCH* >Brasy5G381100.1.p pacid=40077655 transcript=Brasy5G381100.1 locus=Brasy5G381100 ID=Brasy5G381100.1.v1.1 annot-version=v1.1 MRGGVGGSAAGVFYADKYHPIQAGSIDGTDVAPHDNAVLRALLCSGAGLYDPFGDRKATGEPYHTVFVGHLSRFTGDETLRKAMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDKEMRRAYEVSADAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRYEVPPPPRRKGSNIDREESPSPRRRSKDRPDSTYTRQRSPTEDEGSPHRRKSSHDHREESQQRTRSSTYREFSIYSRQRSPTEDDNDRRKQRRSHEPGDISSYGRHRSPTEDDRSRRKRRRSREPGDTSRHSRHRGQTEEEDHKGRHSQEPGERYPGRDDGSHKHGKSSTDARHSPRRSHRERRHARDGSSSRRSHGARSRRSESRDYSH* >Brasy5G267400.1.p pacid=40077656 transcript=Brasy5G267400.1 locus=Brasy5G267400 ID=Brasy5G267400.1.v1.1 annot-version=v1.1 MIGDWERHQDASSWRDLAFPRLEESSSCTSCLRPLQAFHGGLLPCWLPCRGDQVHIVLRKGPKGWVLFVATESLMGMLPVAVSRVVLKWLARWLGNAPWPWSPTRLQSTDPVPVALQVIASVFFSWSILGLLLAAISIHHLLIRRFRSWERCTMSFFWSIICNFTSNLALSSWW* >Brasy5G363600.1.p pacid=40077657 transcript=Brasy5G363600.1 locus=Brasy5G363600 ID=Brasy5G363600.1.v1.1 annot-version=v1.1 MGVTSYQRLSLSGSGAGSRWRRGGAWAALRRGLLARLCAARRWGASARRRRGRVAAGCGYDSEGYARNFDDGVWKAEEGVRWGAAGPSLAARRLSRAVSAVQ* >Brasy5G228700.1.p pacid=40077658 transcript=Brasy5G228700.1 locus=Brasy5G228700 ID=Brasy5G228700.1.v1.1 annot-version=v1.1 MGRPPCCDKVGVKKGPWTPEEDLVLVSYVQEHGAGNWRSVPASTGLTRCSKSCRLRWTNYLRPGIRRGSFTPHEDAIIAHLQSLLGNRWAAIATYLPKRTDNDIKNYWNTHLKKRLQKQQAVGAIFAPPPPESAACSSPSSLSYASSVDNISKLLDGFMKASPPPPASSAPTTQPSQSASDKPGHAAFHSVEAKDECPFLPTFNDCCISDTGAAELINFAGDVHQQQQQEVPLTSIEEWLFDELADQLTPSSDDDCYYVPMLL* >Brasy5G331900.1.p pacid=40077659 transcript=Brasy5G331900.1 locus=Brasy5G331900 ID=Brasy5G331900.1.v1.1 annot-version=v1.1 MPASAATHDRFLPVYISSGDEDDDEAVIGASSSAEEVQIQQAILLSIDSFAAPITISSFSGTAAAWSSRGSIPLRKGKRKLPLELPLFPVTPRGSLLKATKTHQVIDVDDDRLNQVIYLDGDGKLNQPIGLHQAIDLDAGGSSIPIKEADDGTRGSRHDVPRELGGCSCILDGNDQREERGEEIIGGEFDCTICTETVPGNERFPIARCAHAFCVGCVRQYITAKVEENLLSIGCPDPGFKDGVLLPEECRHVIPPPLFQRWGAALCDMALGDLKFYCPFKDCSALLADDDPEDGDAAAAGAAAVSRNRITVHWIILSLTV* >Brasy5G321000.1.p pacid=40077660 transcript=Brasy5G321000.1 locus=Brasy5G321000 ID=Brasy5G321000.1.v1.1 annot-version=v1.1 MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSDAARSRHPSHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKTEQGDWSHEAYLLFPVYLDGTLFDNSKAMWSRKEFYSVVHILQIFRQICEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSSRPARNKIRSRSEALRLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIMNVQLKWPLVPSPYPDSLHKFLTWMLHPQPAMRPRINDILLHVDKLVDRYSP* >Brasy5G321000.2.p pacid=40077661 transcript=Brasy5G321000.2 locus=Brasy5G321000 ID=Brasy5G321000.2.v1.1 annot-version=v1.1 MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSDAARSRHPSHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKGDWSHEAYLLFPVYLDGTLFDNSKAMWSRKEFYSVVHILQIFRQICEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSSRPARNKIRSRSEALRLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIMNVQLKWPLVPSPYPDSLHKFLTWMLHPQPAMRPRINDILLHVDKLVDRYSP* >Brasy5G321000.3.p pacid=40077662 transcript=Brasy5G321000.3 locus=Brasy5G321000 ID=Brasy5G321000.3.v1.1 annot-version=v1.1 MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSDAARSRHPSHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKICEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSSRPARNKIRSRSEALRLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIMNVQLKWPLVPSPYPDSLHKFLTWMLHPQPAMRPRINDILLHVDKLVDRYSP* >Brasy5G321000.4.p pacid=40077663 transcript=Brasy5G321000.4 locus=Brasy5G321000 ID=Brasy5G321000.4.v1.1 annot-version=v1.1 MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSDAARSRHPSHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKTEQGDWSHEAYLLFPVYLDGTLFDNSKAMWSRKEFYSVVHILQIFRQICEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSSRPARNKIRSRSEALRLQKTAGEAPTVINQ* >Brasy5G321000.5.p pacid=40077664 transcript=Brasy5G321000.5 locus=Brasy5G321000 ID=Brasy5G321000.5.v1.1 annot-version=v1.1 MGCSMSGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSDAARSRHPSHVSEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKGDWSHEAYLLFPVYLDGTLFDNSKAMWSRKEFYSVVHILQIFRQICEGLKHMHSFDPPYAHNDVKPGNVLITHRKGQAPLATLMDFGSSRPARNKIRSRSEALRLQKTAGEAPTVINQ* >Brasy5G198300.1.p pacid=40077665 transcript=Brasy5G198300.1 locus=Brasy5G198300 ID=Brasy5G198300.1.v1.1 annot-version=v1.1 MANRAAVGRSPSLSSSFSSRSPSPFSSSSSSSVVSHTLPSPPQVSPFAGRQNPSPSSARLLPRCRPISPHHGRHLPMERSHHRPSQPSGEEPPLGADPCCSPRCRPISRHHGRLPTLLDGEEPPARPHEAWWRGAAAAAQRSLVERNRRSVPTPVVPAWRRTPAAPAPSALRLGRWPRRHTCSAGPTPHLEPGPPRRSLVKRNKKRDKKS* >Brasy5G403900.1.p pacid=40077666 transcript=Brasy5G403900.1 locus=Brasy5G403900 ID=Brasy5G403900.1.v1.1 annot-version=v1.1 MGSRTRGCCGWLIVALVLALVATAAVVAIMKRKPGAGGRGREPKPLPVPGPPGAIDSKYGDALGIALKFFQVQKAGKLENNEIPWRGDSALDDGKEAKLDLSKGLYDAGDHMKFSFPMAFTATVLAWSVLEYGDQMNAAKQLDPALDALRWITDFLIAAHPSDNVFYIQVGDPDLDHNCWERPETMTEKRPLTQINPKSPGSDIAAEAAAAMAAASMVFKTSDTTYSDSLLQHAQKLFTFADTYRGISSETYPKLQTYYNSTGYVDELLWAASWLYHATGDQTYLSYVTVENGKAYADWGRPTWFSWDDKLPGTQVLLSRVNFFGSKKISNAENGGLKMYRDTAEAVICGLLPDSPSATGSRTSGGLVWISGWNSIQHGTNSGFLASVYSDYMLTSQTAAVQCSGKYFSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFEYLHSSSPNPNVAMGALVGGPFQNDSFVDSRDNAVQTESSTYNSGTLVGLLAGLVTTSSVASSFT* >Brasy5G104800.1.p pacid=40077667 transcript=Brasy5G104800.1 locus=Brasy5G104800 ID=Brasy5G104800.1.v1.1 annot-version=v1.1 MSFEDKAVASRIASPSPKAAVSESDLRMINATSNMEQPQANSQANAVVGPVAIFWDIENCPVPSDVRPDDVAGNIRMALRLHPIVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGVGSVAPRSLGHRAAEPSGYLNSVTLGKYPDTEEEAIVYMGTSRNEYGGRTTGNQMYCYNSSQITGEPCKAFYTVEDGNCGTGTSSRSHNLSCGLNEVPEIDQGFTGEHSWWVRPGDLQGLKGQLIRLFELSGGSVPLVRVPSEYLKLFGRHLYVAEYGAVKLVHLFEKLAESFVVMGQGQRKIICLRNSGDRNVKKYSSTPIILKNEKRLSATLEESAVGTCQQLSSSSDDFSEDERNISPDVDGAYVFDEHLARCRTEIQDLLVCYYKCRLPLCDFESLYEQRYKKALDYESFGVNGLEELAEKLKDVVELQLDEISNMKLIKAKSAK* >Brasy5G148300.1.p pacid=40077668 transcript=Brasy5G148300.1 locus=Brasy5G148300 ID=Brasy5G148300.1.v1.1 annot-version=v1.1 MPLPALVLAALLAAIVVFSGSGAGVAAQKASGVAATYNLYNPEKINWDLRAASVYCATWDADMALAWRQRYGWTAFCGPAGAHGEAACGKCLQVTNSATGARTTARVVDQCDNGGLDLDIAVFRQIDTDGHGLGSGHLVVDYQFVGCQD* >Brasy5G182000.1.p pacid=40077669 transcript=Brasy5G182000.1 locus=Brasy5G182000 ID=Brasy5G182000.1.v1.1 annot-version=v1.1 MVVVRRHPIPPLTRGSTIRSSSAARSRLCTTTKKTEGEWESCGSVLLLSSPNSSIPFVLWLDPPLALSPHSASSLSHQLRGTSVPAFCPSSFSFSSPRTPPGTEAHLWRTMPARTPHLASRLPLSHPCLFPAPRVHPRIVDDRTTRGAVEERPPSTEMTPSAIITESCKEMAISRAHTRLFLPSFFFVLLALGLVISGGKFLALSVYS* >Brasy5G023000.1.p pacid=40077670 transcript=Brasy5G023000.1 locus=Brasy5G023000 ID=Brasy5G023000.1.v1.1 annot-version=v1.1 MPRQIPTREARRLPPWLQRLLRLQVPGAELLLSCDLRIISSNPALELGPSLLRMYMCIHKLPTCSNRSMILKIVRDFCSWYGRYFRFSISAWNRIGLPF* >Brasy5G479400.1.p pacid=40077671 transcript=Brasy5G479400.1 locus=Brasy5G479400 ID=Brasy5G479400.1.v1.1 annot-version=v1.1 MEEGGNCSTYVMEAFAGQQNLQMIRVNGLIVVNAILAGILVGIGAYGPRYRRRAFIRFIFQGANTLFLPILSYVVSNGSTAALTIPTTNAQPIIYDFFIPNENRHETLQPYCRTLPHFICVLLWALLVQIVGMNTCAVVAADDREGRNVRPSLVFLVQATWTSYLVAYKFTSLYFEGSPYFDASMSMGIVTILLLYLLFTKMLLKHYAFQMARRSFALGRSPSLIVGHMETSQETCQHAGAGPTVQHLHPPALIVTGEDKQSVETRPHGYCIRNLADNLVTIDKVWQLDDVTVRSIPQIKDLCFSFALFKLLRCRFADYTVAEAGFMKTHNFFWHVLFEDRDAEEVFRVIQDELTFLQDYYYSSLPISYSKSWLPIVNILISLLTIIFCLLMGLLAAAAVTMMLVFYRTTGQITCGLLCNEFINPKEPTEEDIGSVQIGNKKFDMLPLLLLSVLVVLVEAREIASYVCSNWTKVSLICRYAVNRETWQQSPWTQKWVSRVVRCSCKLMKHWDDRMNQCSVLELHPRKAPIALVMRLLRLPDRKKNVMLPRVVKAAIVEALKSSNGMIQCNFTDVLRRVIQPEDGTERFLWACDGEGNKVADTILVWHVATSILEMRRPVPQSSDDKIVATHLSQYCAYLVAYVPGLLQDEKPWCKKLYKAAKKDSMRVLASGGVSASTATADELVNLLAERSEQEVLKKGANLGKELVDLAAEEGEETAWRVLAGFWSAMILHVAPSGRLDEHAEAVARGGELVTLLWALLMHAGPRPASGTADTV* >Brasy5G479400.2.p pacid=40077672 transcript=Brasy5G479400.2 locus=Brasy5G479400 ID=Brasy5G479400.2.v1.1 annot-version=v1.1 MEEGGNCSTYVMEAFAGQQNLQMIRVNGLIVVNAILAGILVGIGAYGPRYRRRAFIRFIFQGANTLFLPILSYVVSNGSTAALTIPTTNAQPIIYDFFIPNENRHETLQPYCRTLPHFICVLLWALLVQIVGMNTCAVVAADDREGRNVRPSLVFLVQATWTSYLVAYKFTSLYFEGSPYFDASMSMGIVTILLLYLLFTKMLLKHYAFQMARRSFALGRSPSLIVGHMETSQETCQHAGAGPTVQHLHPPALIVTGEDKQSVETRPHGYCIRNLADNLVTIDKVWQLDDVTVRSIPQIKDLCFSFALFKLLRCRFADYTVAEAGFMKTHNFFWHVLFEDRDAEEVFRVIQDELTFLQDYYYSSLPISYSKSWLPIVNILISLLTIIFCLLMGLLAAAAVTMMLVFYRTTGQITCGLLCNEFINPKEPTEEDIGSVQIGNKKFDMLPLLLLSVLVVLVEAREIASYVCSNWTKVSLICRYAVNRETWQQSPWTQKWVSRVVRCSCKLMKHWDDRMNQCSVLELHPRKAPIALVMRLLRLPDRKKNVMLPRVVKAAIVEALKSSNGMIQCNFTDVLRRVIQPEDGTERFLWACDGEGNKVADTILVWHVATSILEMRRPVPQSSDDKIVATHLSQYCAYLVAYVPGLLQDEKPWCKKLYKAAKKDSMRVLASGGVSASTATADELVNLLAERSEQEVLKKGANLGKELVDLAAEEGEETAWRVLAGFWSAMILHVAPSGRLDEHAEAVARGGELVTLLWALLMHAGPRPASGTADTV* >Brasy5G479400.3.p pacid=40077673 transcript=Brasy5G479400.3 locus=Brasy5G479400 ID=Brasy5G479400.3.v1.1 annot-version=v1.1 MEEGGNCSTYVMEAFAGQQNLQMIRVNGLIVVNAILAGILVGIGAYGPRYRRRAFIRFIFQGANTLFLPILSYVVSNGSTAALTIPTTNAQPIIYDFFIPNENRHETLQPYCRTLPHFICVLLWALLVQIVGMNTCAVVAADDREGRNVRPSLVFLVQATWTSYLVAYKFTSLYFEGSPYFDASMSMGIVTILLLYLLFTKMLLKHYAFQMARRSFALGRSPSLIVGHMETSQETCQHAGAGPTVQHLHPPALIVTGEDKQSVETRPHGYCIRNLADNLVTIDKVWQLDDVTVRSIPQIKDLCFSFALFKLLRCRFADYTVAEAGFMKTHNFFWHVLFEDRDAEEVFRVIQDELTFLQDYYYSSLPISYSKSWLPIVNILISLLTIIFCLLMGLLAAAAVTMMLVFYRTTGQITCGLLCNEFINPKEPTEEDIGSVQIGNKKFDMLPLLLLSVLVVLVEAREIASYVCSNWTKVSLICRYAVNRETWQQSPWTQKWVSRVVRCSCKLMKHWDDRMNQCSVLELHPRKAPIALVMRLLRLPDRKKNVMLPRVVKAAIVEALKSSNGMIQCNFTDVLRRVIQPEDGTERFLWACDGEGNKVADTILVWHVATSILEMRRPVPQSSDDKIVATHLSQYCAYLVAYVPGLLQDEKPWCKKLYKAAKKDSMRVLASGGVSASTATADELVNLLAERSEQEVLKKGANLGKELVDLAAEEGEETAWRVLAGFWSAMILHVAPSGRLDEHAEAVARGGELVTLLWALLMHAGPRPASGTADTV* >Brasy5G333600.1.p pacid=40077674 transcript=Brasy5G333600.1 locus=Brasy5G333600 ID=Brasy5G333600.1.v1.1 annot-version=v1.1 MRAPMAVKRAAILHLLLHLLLFHVAAFAGKRPIAMVRRRQGQHLLQEKATLLELKRSLTLLSSPSAPLLADWNESNPDVCGFTRVACDWRRQHVTKLALNDMNISGTIPPVIGNLTRLRSLDMSSNFLTGQIPAELSNLRWLGVLNLGRNQLSGGIPPSLSALSSLFYLRLRENRLSGPIPAAIFKNCTNLGLVDFANNNLSGEIPRDTDTSGDFCAYSLFVLNLFSNRLTGKLPRWLANCTYLYLLDVENNRLADELPTDIISGKQQLVYLHLSNNDQFWSHDGNTNLEPFFAAVSNCSQILEIEAGALGIGGLLPSLLGSMLPPNMSHLNLELNKIEGPIPADIGDVINITLMNLSSNQLNGTVPASICALPKLERLSLSNNNLTGEIPACIGNATRLGELDLSGNALSGSIPSGIGTQLENLYLQSNRLSGVIPATRFAECIRLLHLDLSDNHLTGEIPDKVSGTGIVSLNLSRNRISGELPRGLGDMQLVQVIDLSWNNFTGPISPQLAVGCPELEVLDLSHNSLTGDLPSSLDLLKDLQNLDVSDNSLTGEIPVNLTKCTSLKHVNLSYNNFIGDVPTTGIFASFTYLSYIGNPGLCGSVVRRNCQRRRQWYQSRKYLVVMSVCAAVLAFVLTILCAVSFWKIRDRLAAMREDMFRGRRSGGSSPVVKYKYPRVTYQELVEATEEFSTDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTRSFNRECQVLKRIRHRNLMRIITACSLADFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVMEMVTKKKPTDDMFDAGLSLHKWVKSHYHGQAHAVVDQVLAGMVLDQTPEVRRMWDVAIGELLELGILCTQESASTRPTMIDAADDLDRLKRYLGGDTTATFASSLGFSSTIVEDIDD* >Brasy5G333600.2.p pacid=40077675 transcript=Brasy5G333600.2 locus=Brasy5G333600 ID=Brasy5G333600.2.v1.1 annot-version=v1.1 MRAPMAVKRAAILHLLLHLLLFHVAAFAGKRPIAMVRRRQGQHLLQEKATLLELKRSLTLLSSPSAPLLADWNESNPDVCGFTRVACDWRRQHVTKLALNDMNISGTIPPVIGNLTRLRSLDMSSNFLTGQIPAELSNLRWLGVLNLGRNQLSGGIPPSLSALSSLFYLRLRENRLSGPIPAAIFKNCTNLGLVDFANNNLSGEIPRDTDTSGDFCAYSLFVLNLFSNRLTGKLPRWLANCTYLYLLDVENNRLADELPTDIISGKQQLVYLHLSNNDQFWSHDGNTNLEPFFAAVSNCSQILEIEAGALGIGGLLPSLLGSMLPPNMSHLNLELNKIEGPIPADIGDVINITLMNLSSNQLNGTVPASICALPKLERLSLSNNNLTGEIPACIGNATRLGELDLSGNALSGSIPSGIGTQLENLYLQSNRLSGVIPATRFAECIRLLHLDLSDNHLTGEIPDKVSGTGIVSLNLSRNRISGELPRGLGDMQLVQVIDLSWNNFTGPISPQLAVGCPELEVLDLSHNSLTGDLPSSLDLLKDLQNLDVSDNSLTGEIPVNLTKCTSLKHVNLSYNNFIGDVPTTGIFASFTYLSYIGNPGLCGSVVRRNCQRRRQWYQSRKYLVVMSVCAAVLAFVLTILCAVSFWKIRDRLAAMREDMFRGRRSGGSSPVVKYKYPRVTYQELVEATEEFSTDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTRSFNRECQVLKRIRHRNLMRIITACSLADFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVMEMVTKKKPTDDMFDAGLSLHKWVKSHYHGQAHAVVDQVLAGMVLDQTPEVRRMWDVAIGELLELGILCTQESASTRPTMIDAADDLDRLKRYLGGDTTATFASSLGFSSTIVEDIDD* >Brasy5G320800.1.p pacid=40077676 transcript=Brasy5G320800.1 locus=Brasy5G320800 ID=Brasy5G320800.1.v1.1 annot-version=v1.1 MEPTAPQRGTKRPLLATTAGDDDDDRSALEDRRVRFPKGKKAKYRDPAAAEGGAASEDIDSLMNPELAAVRRARRRHRRDGDDTQGTSDVKGFEMRYKDDANFIDDGIQIEPFNLEQEREEGYFDENGNFVEFARGNDMKDAWLDNVEVDTKYAEKVQKKKDKEEEFQDLSSDDIGVIKRRIANMLEPAETIIQALKRLKNTSTDQRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFVREAEGYERLARARLGTPEVEEDMFADTTEDDPTTSSVLEMDHGPSAANTSATTVPSNDDDSNFDMFGDNDNPDVNCHSDAKTSDAEKAGNGSADSDYVYDPSSGYYYSSSTGYYYDSASGCYCSASTGTWYSYDEQSGTYNEMQGEQTGTHKEIQGDSVKE* >Brasy5G320800.2.p pacid=40077677 transcript=Brasy5G320800.2 locus=Brasy5G320800 ID=Brasy5G320800.2.v1.1 annot-version=v1.1 MEPTAPQRGTKRPLLATTAGDDDDDRSALEDRRVRFPKGKKAKYRDPAAAEGGAASEDIDSLMNPELAAVRRARRRHRRDGDDTQGTSDVKGFEMRYKDDANFIDDGIQIEPFNLEQEREEGYFDENGNFVEFARGNDMKDAWLDNVEVDTKYAEKVQKKKDKEEEFQDLSSDDIGVIKRRIANMLEPAETIIQALKRLKNTSTDQRGKMTEGTKRIFDELTEAAMKLMENGEYKGYERLARARLGTPEVEEDMFADTTEDDPTTSSVLEMDHGPSAANTSATTVPSNDDDSNFDMFGDNDNPDVNCHSDAKTSDAEKAGNGSADSDYVYDPSSGYYYSSSTGYYYDSASGCYCSASTGTWYSYDEQSGTYNEMQGEQTGTHKEIQGDSVKE* >Brasy5G320800.3.p pacid=40077678 transcript=Brasy5G320800.3 locus=Brasy5G320800 ID=Brasy5G320800.3.v1.1 annot-version=v1.1 MEPTAPQRGTKRPLLATTAGDDDDDRSALEDRRVRFPKGKKAKYRDPAAAEGGAASEDIDSLMNPELAAVRRARRRHRRDGDDTQGTSDVKGFEMRYKDDANFIDDGIQIEPFNLEQEREEGYFDENGNFVEFARGNDMKDAWLDNVEVDTKYAEKVQKKKDKEEEFQDLSSDDIGVIKRRIANMLEPAETIIQALKRLKNTSTDQRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFVREAEGYERLARARLGTPEVEEDMFADTTEDDPTTSSVLEMDHGPSAANTSATTVPSNDDDSNFDMFGDNDNPDVNCHSDAKTSDAEKAGNGSADSDYVYDPSSGYSYDEQSGTYNEMQGEQTGTHKEIQGDSVKE* >Brasy5G320800.4.p pacid=40077679 transcript=Brasy5G320800.4 locus=Brasy5G320800 ID=Brasy5G320800.4.v1.1 annot-version=v1.1 MEPTAPQRGTKRPLLATTAGDDDDDRSALEDRRVRFPKGKKAKYRDPAAAEGGAASEDIDSLMNPELAAVRRARRRHRRDGDDTQGTSDVKGFEMRYKDDANFIDDGIQIEPFNLEQEREEGYFDENGNFVEFARGNDMKDAWLDNVEVDTKYAEKVQKKKDKEEEFQDLSSDDIGVIKRRIANMLEPAETIIQALKRLKNTSTDQRGKMTEGTKRIFDELTEAAMKLMENGEYKGYERLARARLGTPEVEEDMFADTTEDDPTTSSVLEMDHGPSAANTSATTVPSNDDDSNFDMFGDNDNPDVNCHSDAKTSDAEKAGNGSADSDYVYDPSSGYSYDEQSGTYNEMQGEQTGTHKEIQGDSVKE* >Brasy5G320800.5.p pacid=40077680 transcript=Brasy5G320800.5 locus=Brasy5G320800 ID=Brasy5G320800.5.v1.1 annot-version=v1.1 MKDAWLDNVEVDTKYAEKVQKKKDKEEEFQDLSSDDIGVIKRRIANMLEPAETIIQALKRLKNTSTDQRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFVREAEGYERLARARLGTPEVEEDMFADTTEDDPTTSSVLEMDHGPSAANTSATTVPSNDDDSNFDMFGDNDNPDVNCHSDAKTSDAEKAGNGSADSDYVYDPSSGYYYSSSTGYYYDSASGCYCSASTGTWYSYDEQSGTYNEMQGEQTGTHKEIQGDSVKE* >Brasy5G012000.1.p pacid=40077681 transcript=Brasy5G012000.1 locus=Brasy5G012000 ID=Brasy5G012000.1.v1.1 annot-version=v1.1 MFFGGERFLEGISGEANITPQRTEQNNPLGLEVQLHITEAICPALSEPGLRAFLQFMIGVSVCLNRGDLDPKSQQHADAAGSSLVSFIVDHIFHCRSLILTSLTFTLAQVEGAAQFKVWVKDVNFFLEIPL* >Brasy5G441800.1.p pacid=40077682 transcript=Brasy5G441800.1 locus=Brasy5G441800 ID=Brasy5G441800.1.v1.1 annot-version=v1.1 MGAGGRMTEKEREKQELLSRASGGAAVQRSPTDKPPFTLGQIKKAIPPHCFERSVLKSFSYVVHDLVIVAALLYVALAWIPALPSALRLGAWPLYWVVQGCVMTGVWVIAHECGHHAFSDYSLLDDVVGLVLHSWLLVPYFSWKYSHRRHHSNTGSMERDEVFVPKQKEALAWYAPYIYNNPAGRLVHIVVQLTLGWPLYLAMNASGRPYPRFACHFDPYGPIYNDRERAQIFISDVGMAAVSLALLKLASAFGFWWVARVYGVPLLVVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGVLNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPADRKGVFWYSNKF* >Brasy5G223500.1.p pacid=40077683 transcript=Brasy5G223500.1 locus=Brasy5G223500 ID=Brasy5G223500.1.v1.1 annot-version=v1.1 MISAQVPKDSSILNRTMVKIFKGIPSIFYFLLHHNFPSDIYCHIIIRSNAKLDATCDNVNKRHKQRCKSMCGAVDE* >Brasy5G325000.1.p pacid=40077684 transcript=Brasy5G325000.1 locus=Brasy5G325000 ID=Brasy5G325000.1.v1.1 annot-version=v1.1 MKVSVKTLKGSSFQIEVNPADKVSDVKKLIESSQGQNVYPADQQMLIHQGTVLKDDTTLEESKVLENNFLVIMLRQNKGSSSAAPAKSKEPSNQAPPTQTVPANPPSQAPVVPAPQAATAPAPIVPISAPAPTATAFPASAVAVSTEAETYGQAASNLVAGGNLEATIQSILEMGGGTWDRDTVLRALQAAFNNPERAVEYLYSGIPEPMEIPAPPPSAQPADPVQALQATQPAVASSGPNASPLDLFPQALPNASANAAGEGNLDVLRNNAQFRSLLSLVQANPQILQPLLQELGKQNPQILQLIQDNQAEFLRLINEPAEGDEDENLLDQFAEGVPQTIAVTPEENEAILRLEGMGFDRALVLEVYFACNKDETLAANYLLDHMNEFDDGAPQ* >Brasy5G325000.2.p pacid=40077685 transcript=Brasy5G325000.2 locus=Brasy5G325000 ID=Brasy5G325000.2.v1.1 annot-version=v1.1 MKVSVKTLKGSSFQIEVNPADKVSDVKKLIESSQGQNVYPADQQMLIHQGTVLKDDTTLEESKVLENNFLVIMLRQNKGSSSAAPAKSKEPSNQAPPTQTVPANPPSQAPVVPAPQAATAPAPITEAETYGQAASNLVAGGNLEATIQSILEMGGGTWDRDTVLRALQAAFNNPERAVEYLYSGIPEPMEIPAPPPSAQPADPVQALQATQPAVASSGPNASPLDLFPQALPNASANAAGEGNLDVLRNNAQFRSLLSLVQANPQILQPLLQELGKQNPQILQLIQDNQAEFLRLINEPAEGDEDENLLDQFAEGVPQTIAVTPEENEAILRLEGMGFDRALVLEVYFACNKDETLAANYLLDHMNEFDDGAPQ* >Brasy5G040000.1.p pacid=40077686 transcript=Brasy5G040000.1 locus=Brasy5G040000 ID=Brasy5G040000.1.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKIVECTDQGFTLPCQSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQIISLEEMITCALYRTIELCIVDVHVTLLMLHVTVHNKL* >Brasy5G040000.10.p pacid=40077687 transcript=Brasy5G040000.10 locus=Brasy5G040000 ID=Brasy5G040000.10.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQIISLEEMITCALYRTIELCIVDVHVTLLMLHVTVHNKL* >Brasy5G040000.4.p pacid=40077688 transcript=Brasy5G040000.4 locus=Brasy5G040000 ID=Brasy5G040000.4.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKIVECTDQGFTLPCQSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQERRHVRDS* >Brasy5G040000.5.p pacid=40077689 transcript=Brasy5G040000.5 locus=Brasy5G040000 ID=Brasy5G040000.5.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRDTTEYHSDQDQRVVKKRKIVECTDQGFTLPCQSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQERRHVRDS* >Brasy5G040000.6.p pacid=40077690 transcript=Brasy5G040000.6 locus=Brasy5G040000 ID=Brasy5G040000.6.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKIVECTDQGFTLPCQSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTRTQACAR* >Brasy5G040000.7.p pacid=40077691 transcript=Brasy5G040000.7 locus=Brasy5G040000 ID=Brasy5G040000.7.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRDTTEYHSDQDQRVVKKRKIVECTDQGFTLPCQSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTRTQACAR* >Brasy5G040000.8.p pacid=40077692 transcript=Brasy5G040000.8 locus=Brasy5G040000 ID=Brasy5G040000.8.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQERRHVRDS* >Brasy5G040000.2.p pacid=40077693 transcript=Brasy5G040000.2 locus=Brasy5G040000 ID=Brasy5G040000.2.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRDTTEYHSDQDQRVVKKRKSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTSMLQERRHVRDS* >Brasy5G040000.9.p pacid=40077694 transcript=Brasy5G040000.9 locus=Brasy5G040000 ID=Brasy5G040000.9.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRVADTTEYHSDQDQRVVKKRKSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTRTQACAR* >Brasy5G040000.3.p pacid=40077695 transcript=Brasy5G040000.3 locus=Brasy5G040000 ID=Brasy5G040000.3.v1.1 annot-version=v1.1 MMTNAGMKKSPLQIQMLERFYSEIQYPKPDDMIEYASSVGLAYNQVRIWFKERRRKERRQMEAAGALMETQVSARSNGPRSMSLVGEKHALRPQVLYPKDYILAKVFRKDGPSLGSEFDPLPKSAHGHVRDTTEYHSDQDQRVVKKRKSNGPVRKHGMGKGLMTVWHAMYSKNAEVQDVSNFIDETGCLRSLRPFDDSDGKLIQKKNSPRKKVDKKSRPPPSKRKVPRSRVTVLKEHPAMECHLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLARFPPPSVKMKQPFSTKPWDSSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKESSLLGKVHVSLLKLLMLNTKRDSGDVFVPRSSKDSRFISFLNFVREQGFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGIGGLKVSELAKSPQIVDLNLSGTSEVEHLIFSTLSSDITLFEKIASSAYRLRVDPRIKGKENPKSDTEDSGTVDDDEDASSSGDEYDGPEELSFSEHESRIVRRKQKIGHVTMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGAGSVPRLEEPQSVLSNIQRAQSHTSGGKIKKLTRTIYQSSDEYLNRPGSSHSFDTSMQGQSGNRRSQDYISESAANESSTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSLLDIRGTREAHLLASMKKRQACLFEGMKKHLEDGNAVGISASSDSSRSETSSGSRASPKLSSGDGASPLSDIDNASVPTYLADNLISASSAIVIEAGRRGDEKILKWERLQALDKWIWTSFYSSLIAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCREPEDLYDVPNHKVLSSQLQALKAAIHAIEACMPEAAFAGLWMKSSHNLWVRRLRRTSSLPELLQVLVDFVGAMDEDWLYQSSSTVSFCSYLDNITVYFQTMPQTTSAVALWVVKLDALIAPYLVQTDPDRALAEGSTKTRTQACAR* >Brasy5G265100.1.p pacid=40077696 transcript=Brasy5G265100.1 locus=Brasy5G265100 ID=Brasy5G265100.1.v1.1 annot-version=v1.1 MYCICNGTHRIFNDLEDHQFANFFQVYIVFYRNVRACGVGGVLGGTMKIVILSALLLLGFIYVCGSVICLVLSSWRIVQRDYGSNQGDESKANLVPALDLFYSLVLCQSVLFSVWLWFSRAEKHLVIKLCRECKFPEKWGRESARAYLSDTRARCWRNPVSIRGRRLINYVVDLLESGPQKDYLSGARMLDTFIEMEVDVRSLILPSKPRIQRLIDTLGWKSSNKEIRELAARIVAYLLGHIHLTQFPGAIHDGEDWNELILQGLTILERLVSDQHNCRDICSTPDLLPKIMAPIYSDTLMQDIHIKEWANIVNRSFRAVHQLIRAPGWTGRRLRCEISSNKQAVGNLKGILDNGDKVGPELLMRAMDVMTELALDLSTNLTSETKENLIKKQLQIFLIDDQRERKATTIELKVTAGKTMASLSKTGSICAFITRECNDIVDHLTGMLDSKNTIAHRTIAAEILENLCSIMSVVLSEILPSTRKPKESANDEEIGGFCSEEEDEENQLPKHKSQIELSDQKHEDQTAMMELQGAYLSLTLVICDKLISADDEAQMILPEEGEFVAKLKAIIKENSQATPQCLRIVKLCIQIAISVMRRSLYMAQFKAQKFKPLLSKASKKMSKLESCILFAGTDCRTKKTVRPLLKDLVEEALKLVYSPQP* >Brasy5G384700.1.p pacid=40077697 transcript=Brasy5G384700.1 locus=Brasy5G384700 ID=Brasy5G384700.1.v1.1 annot-version=v1.1 MWRTATKVPIGITWLQSDIAARKPWASNFPPHLRPTPHLTSVILFFFPHGRSRLGINPPRRRLMAVRSSTATSLLSRTLLRAATSSSPRANLLESSARRVHRVESRAAASSASGGGDPGQEPPPPMDSPIKVVAHPGVGGGEAAIDAGGSARKPLSLWPGMYHSPVTNALWEARSSIFERMMDAGAAAAAGPQTELLTKTPAQSRTTIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMVLMKPLRVDTDIKIAGAVTYVGRSSIDIQIEVTQVDQDSVDSDMQSDPITLTANFTFVARDSVTGKSASVNHLSPETEREKQLFAEGEAQDKLRKRKREEQKGVLENGMHKFRAEAERLNALLAEGRVFSDLPALADRDSILMRDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLENKWQPLVNVEVVAHVTRPELRKSEISNTFHFTFTVGSDALENGLKIRNVVPSTEEEARRIFERMDAEGLFD* >Brasy5G175500.1.p pacid=40077698 transcript=Brasy5G175500.1 locus=Brasy5G175500 ID=Brasy5G175500.1.v1.1 annot-version=v1.1 MAAASALKMAAICGAVLLILLSNAGQQPALAGTEYTMPAPPALRCPSGLSPCREGCIARCDKFSRQTCNFLCGLPLDLGGVDGFFGGVGNACVSNFYTPCSTACKNLCELKVTEP* >Brasy5G123600.1.p pacid=40077699 transcript=Brasy5G123600.1 locus=Brasy5G123600 ID=Brasy5G123600.1.v1.1 annot-version=v1.1 MAGRDRDPLVVGRVVGDVLDPFVRTTNLRVSFGNRNVSNGCELKPSMVTHQPRVEVGGNEMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGASFGQEVMCYESPRPSMGIHRFAFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYP* >Brasy5G378000.1.p pacid=40077700 transcript=Brasy5G378000.1 locus=Brasy5G378000 ID=Brasy5G378000.1.v1.1 annot-version=v1.1 MLGAARRQLGSGPMLGQVLRRLRPPSAEAARGYAAAGKEITVREALNTALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFSLPIGKAKIECEGKDVTITAFSKMVGYALQAADILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAARRACYRAVPLAATA* >Brasy5G399300.1.p pacid=40077701 transcript=Brasy5G399300.1 locus=Brasy5G399300 ID=Brasy5G399300.1.v1.1 annot-version=v1.1 MAPLLFKDMRSLSCSSPASTAICPSLERQPMVRPHKAAASPLCQAPAEPRTHRQDGKKGQQQHRTITVSAASTGELASPAGSTRYLLSGRSAGAGAEEIQEVESAAAAAAAPGGDARKEEPGAAAADLKNTNTQEQVVVLKVSLHCKACAGKVKKHLSKMEGVRTFSIDFAAKKVTVVGDVTPLGVLSSVSKVKNAQIWAPPQPAIAA* >Brasy5G399300.2.p pacid=40077702 transcript=Brasy5G399300.2 locus=Brasy5G399300 ID=Brasy5G399300.2.v1.1 annot-version=v1.1 MAPLLFKDMRSLSCSSPASTAICPSLERQPMVRPHKAAASPLCQAPAEPRTHRQDGKKGQQQHRTITVSAASTGELASPAGSTRYLLSGRSAGAGAEEIQEVESAAAAAAAPGGDARKEEPGAAAADLKNTNTQEQVVVLKVSLHCKACAGKVKKHLSKMEGVRTFSIDFAAKKVTVVGDVTPLGVLSSVSKVKNAQIWAPPQPAIAA* >Brasy5G461400.1.p pacid=40077703 transcript=Brasy5G461400.1 locus=Brasy5G461400 ID=Brasy5G461400.1.v1.1 annot-version=v1.1 MENEVEEDVEDSNNVEAKKQTLFNRASPMKIIKVCKLLDRKQRKKIMKAGFGSFLDIKCSKLFPELCKYLMDHFNPDTSALEFPEEERGSLPITIDTVYEVLGIPKGALPVIYQYDSVSVKYVLELLQVEDGRQPKISDVETRLTEMGTDEDPFLLLWMLYVVCFLAPTTGVRVSPKCYPSLVNVSQIKRLDWCRFTINILIQTAKAKNKNCFKACMPLLM* >Brasy5G275800.1.p pacid=40077704 transcript=Brasy5G275800.1 locus=Brasy5G275800 ID=Brasy5G275800.1.v1.1 annot-version=v1.1 MASSSTTNTSDGGGVKPSSCPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRVHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERCRLLAKASAHSSSASSASAFPPYYNYSSSQIDFSSFKPTGFRSSGSGLFFGSSHSLAVGPAAASASAAAPLLFSASAAAGGGGKSLLYSSSRYRSYEQQEPAAGALSIAFSAPASREALAMDAAAGHGSDHSRPRYRKQEYYGRVEGSGGEPVKASGVGDKPPAFIDFLGVGVSS* >Brasy5G059800.1.p pacid=40077705 transcript=Brasy5G059800.1 locus=Brasy5G059800 ID=Brasy5G059800.1.v1.1 annot-version=v1.1 MASPPPPPPPEEEETRAPTKYVLITGGVVSGLGKGVTASSVGVVLKSCGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRENNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKHWIQSVSAVPVDGQTCPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNIWHVPLILRNQKAHEAIIKQLNLARSAGPPELLDWTQMAESYDNLSNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWVAASDLEDATAATAPDAHSKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNTDTPDRVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLSSKLYGSPSHVDERHRHRYEVNPAFVPELENAGLQFVGCDESGNRMEIVELQGHPFYIGVQFHPEFKSRPRRPSPPFTGLILAATERMRMHTNIPNGGAGASE* >Brasy5G059800.2.p pacid=40077706 transcript=Brasy5G059800.2 locus=Brasy5G059800 ID=Brasy5G059800.2.v1.1 annot-version=v1.1 MASPPPPPPPEEEETRAPTKYVLITGGVVSGLGKGVTASSVGVVLKSCGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRENNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKHWIQSVSAVPVDGQTCPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNIWHVPLILRNQKAHEAIIKQLNLARSAGPPELLDWTQMAESYDNLSNSVKIALVGKYTNLTDSYLSVVKAPDAHSKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNTDTPDRVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLSSKLYGSPSHVDERHRHRYEVNPAFVPELENAGLQFVGCDESGNRMEIVELQGHPFYIGVQFHPEFKSRPRRPSPPFTGLILAATERMRMHTNIPNGGAGASE* >Brasy5G073700.1.p pacid=40077707 transcript=Brasy5G073700.1 locus=Brasy5G073700 ID=Brasy5G073700.1.v1.1 annot-version=v1.1 MKCSYADNLTPIEYLGGWARSTSINRVDTGFSSLPQSQLLARLSATSPFLLANLAMATNPGILSEWPWKRLGSFKYLVLAPWVVHGCHLAATKGWRELDLGYVAILPSMLLRALHDQAWTTVSRLHNARGKRQIVRRGIEFDQVDRERNWDDQIILSAILLLLGAVYLPGGQHLPLWRTDGAVLIALLHAGPVEFLYYWLHRALHHHFLYNRYHSHHHASIVTEPITSVIHPFAELLAYQLLFSIPMITCALTGTASIITFEIYVIYIDFMNNMGHCNFELVPNWLFEWIPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYQDSLKDKNEEKEVDVVHLTHLTSLQSIYHIRPGFAQYASRPYTSMWHLRIMWPVSWLSMVLTWSYGSWFTVERNVMGKLRMQSWAIPRYRFHYGLKQEKEAINDLIEKAISEADKKGAKVVSLGLLNQAHNLNRSGELYLQKYPKLGVRLVDGTSLAAAVVIHSIPQGTNQVVLAGNISKVARIVASELCNKNVKVIVTNKQDYHSLKSKIPENSANNLLLSRADSAKVWVIGEGLDSAEQLKAQKGTQFIPYSQFPPRMVRKDCCTYFTTPAMSVPKTMQNVHSCENWLPRRVMSAWRIAGIVHALEGWNEDECGDTVLDMEKVWSAAIMHGFRPAAQA* >Brasy5G073700.2.p pacid=40077708 transcript=Brasy5G073700.2 locus=Brasy5G073700 ID=Brasy5G073700.2.v1.1 annot-version=v1.1 MICPPYMQYLVLAPWVVHGCHLAATKGWRELDLGYVAILPSMLLRALHDQAWTTVSRLHNARGKRQIVRRGIEFDQVDRERNWDDQIILSAILLLLGAVYLPGGQHLPLWRTDGAVLIALLHAGPVEFLYYWLHRALHHHFLYNRYHSHHHASIVTEPITSVIHPFAELLAYQLLFSIPMITCALTGTASIITFEIYVIYIDFMNNMGHCNFELVPNWLFEWIPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYQDSLKDKNEEKEVDVVHLTHLTSLQSIYHIRPGFAQYASRPYTSMWHLRIMWPVSWLSMVLTWSYGSWFTVERNVMGKLRMQSWAIPRYRFHYGLKQEKEAINDLIEKAISEADKKGAKVVSLGLLNQAHNLNRSGELYLQKYPKLGVRLVDGTSLAAAVVIHSIPQGTNQVVLAGNISKVARIVASELCNKNVKVIVTNKQDYHSLKSKIPENSANNLLLSRADSAKVWVIGEGLDSAEQLKAQKGTQFIPYSQFPPRMVRKDCCTYFTTPAMSVPKTMQNVHSCENWLPRRVMSAWRIAGIVHALEGWNEDECGDTVLDMEKVWSAAIMHGFRPAAQA* >Brasy5G077800.1.p pacid=40077709 transcript=Brasy5G077800.1 locus=Brasy5G077800 ID=Brasy5G077800.1.v1.1 annot-version=v1.1 MAEFVIGPLISLVKGTASSYLLNQYKVMKGMEEQRGKLERQLQAILGIIEDAEMGSSRHDVSVWLEALKKVSREAIDVFDEFKYEALRRDAKKGQYTILGFDTVKLFPSHNPIVFRHRMGKKLQRIVKTVGELVAEMNAFGRSRDDEKKKIVRILIDQASGRDLMVLPVVGMGGLGKTTFAQLIYDDPEIKEYFQFRRWCCVSDDFDIASIASNLCQTKEENREKALQDLQKNIAGKRYLIVLDDVWNRDADKWERLKTCLKQGGKGRVVITTTREAEVARVMAAGETVHYLEKLEHKYIKEMIQSRAFSLHKPNTDELGDIVNMVVDRCHGSPLASKAFGSMLSTKTSMQEWKDVLTKSNICNEKTGILPILKLSYDDLPSHMKQCFAFCALFPKDYEIDVEDLIRLWMANDFISPQDEDHLEREFGEREQLRHRTTCKIHDLMHDIALSVMGEECVTIVAGYNHKMLFSGSSRHMFAASHNIGSVFNAFLKKQSPTLQTLLYVLYYSRPMPCLSKEIEELPEEISILYNLQTLNLSHCKNLRRLPKGMKYMASLRHLYTNGCQSLKCMPPDLGQIASLQTITYFVVGANPGCSTVKELQNLNLHGELELSGLQHVAEEDAEAATLGTKEKLTHLSLEWSGDHHEEPVPDCHKVLDALKPHDGLLMLRIVSYKGTGLPSWGTNLTVLKNLVELHLVCCTMCEEFPLFCHLRALQVLHLRRLDKLQYLCMDTASATFPELRELQLHDLERLERWVLAEGTEEEELTCPLLQHLEIKNCPKLTTLPEAPKLKVLKVAEVKPHLSLLIVKSGYMFSLSELEMSVSDTEAVPASQLDQDVEATISKMSLSGCDFFLPSSPPQPPIGIWKCFGKLIYLVLMSCDTLIYWPDEVFRRLVSLERLCVASCSKLVGPTPLKQDLNQLRYQLLPHLRRLQIYTCGRLRELFILPPSLTSIYITGCNNLEFILAKEDAELEHLDRFTSSEHCNDLASTSMPKQFPLPRLEYLGLGPFHKLEALLYLPPSLRRLQIHSCHNLRTVSGQLDGLMFLYVTKCNKLESLDSAGDSPLMEELSFSRCKRLASLPSGLCRYLQLGYFQVEYCPAMNMKPIHERQQQLGSLEHRWDMSRAHSSDPTEGPKWRDPKSWKYAIPGRHEQRH* >Brasy5G313000.1.p pacid=40077710 transcript=Brasy5G313000.1 locus=Brasy5G313000 ID=Brasy5G313000.1.v1.1 annot-version=v1.1 MVFGRSKSSSSTPAAASSAAAAACSELRAAYHECFNRWYAEKFAKGQWHKDDCVGEWHKYRACLEEHLEDKHLRQILLESETSYCVQLDPDSSSGQGAASAK* >Brasy5G068700.1.p pacid=40077711 transcript=Brasy5G068700.1 locus=Brasy5G068700 ID=Brasy5G068700.1.v1.1 annot-version=v1.1 MLPFPSPETPPELVPLAGARPPRRRRHRSSSPSSSHLGGRPRRRRPSSHGVTGNTRAAARGGRGDGGRRLGTSGGNQCRGRASTIGPHLLLPSAGGGANLVRAGQGGGDGREQRSCELVAGQVPRHGRRERRRIRAQGSVAHLLREASGRCGLRTGRCGPRRRAAGGGEEETRVAVWGRAGARKGLRGIAGSQGGGSGDGEQLARVEKERGTEGEEGRARAGGNGEGEEVDREEREQRMDIWGKSRVAGRGGRGRGPGGDDGHVAT* >Brasy5G129900.1.p pacid=40077712 transcript=Brasy5G129900.1 locus=Brasy5G129900 ID=Brasy5G129900.1.v1.1 annot-version=v1.1 MEPPGFNLQANPAENQENWTPAVSAGRGNRPLTLGDGAHITGSQNLAAGSGAQQDAGPSVRASCSLPAGCTKVPISILVFHRRRTGRGSRPGVPGDSEAASSIQLPPNDFLSLGRASNDVASEMAMNPSEQAALVSCNSEDPFIHSQPNGLDAEAPNTEIQGDDSQLQSSSSAAAAAAAVICDVHSKLESAIPASTDEIQGQHTRQLIELLGEGVTDHNMHNYQRTPKRPRTQLKQNDPAVVAAPATFKERTPTLIEIQIPSTEKVQTLCSEDTPVQKAKPQRKKHRAKVIREDKPGKKQNSAVTTPEEKSLNQKAKRSYVRKKRNLSSLEKCPGPVTDQPISRGTENAARSRTESVRRRLQFELGEQGVQGDQSSTGNSCQHNEKLAHAKSSLCSMTRSAAHIGHELQADMENLPGGLVFGMTRKLNELLDEYIHLPEITPKPTQEISPATSGCLSRENVGSTHDPDTTRMGVTIMEGNNKDLGVKYSNIDGFDMHCSATSIPETESTESQVTKVSKLDEKEHGQHRQSDSSLTGSRDSIILRTAGEMLAFCQAGGVKKKRSVRARRNSFFPIMDVEKNNPQALTRLPQSCMEALYESSCIKFTTKKRSWKERLYSTSSIQPNMDKKNMFWSGSIFSGGSNGSKGSDGTFQQTLPQAPDNRRIKLDIYYEVPERSSEHTSTVSYMDYLQEVAARLEQLDLNTDHVHRTEIHPSLTMPSVVSFRATGGLSNSLVPYSGLPYERPLHLMKKQRPRAKVNLDYETTRVWNLLMGKATEPVDGTDVDKERFWQKERDVFQGRANSFIARMRLVQGDRHFSPWKGSVVDSVVGVFLTQNVSDHLSSSAYMALAASYPPGYVDSNCKDDIISQDNEEIISTSAVGDISMFDFFGSATRSDLEANEGFCIHQETEIDHRAHQFPDFSSIELTASARNPPEKQSQKDMSSSESVTVSKTVLQTQLSLSLEKNCAPRYFVGGVDGAAFQQLRSNFDGNSLAGNDAATNELECRRIETGAINDNGVGEHVIPSTSVMYPFISVDNRQPDVANKPYVSSTSHKSSSDSASAHPKTGVVEEKFSLFMPFDNHMAQMNYSKTVAPTLTTPETSTDLPVELQYDKRTGFEASDLQQHESLSVTGGDGMIADTASRAGESTSRSGFVSHNGVPDKAAQASKPKKTRTTNKKNMENFDWDKFRRQVYDDGHRNERSFERRDSVNWEAVRCADVQRISHAIRERGMNNVLAERIQNFLKRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLRHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSSNQFSFENGGLPTRNSTVLPQLEGSTFGRDFPANNSEPIVEEPASPREEECPKTLVNDIEDYDVDTGEIPTIKLNMETFARNLENCIKESNNDLQFGDIAKALVAISTQAASIPAPKLKNAHRLRTEHYVYELPDSHSLVQQLELDQRQPDDPSPYLLTIWMEDDIKEMSKASKSCCDSEMEAGFCNNECHYCVPERENQSRYVRGTILVPCRTAMKGSFPLNGTYFQVNEVFADHKSSHDPIYVAREQLWNLQRRMVYFGTSVSSIFKGLTTEKVQQCFWRGFVCVRGFDMETRAPRPLCPHLHLAASKLPRSRKTAETGQNSSSAKASVS* >Brasy5G129900.2.p pacid=40077713 transcript=Brasy5G129900.2 locus=Brasy5G129900 ID=Brasy5G129900.2.v1.1 annot-version=v1.1 MEPPGFNLQANPAENQENWTPAVSAGRGNRPLTLGDGAHITGSQNLAAGSGAQQDAGPSVRASCSLPAGCTKVPISILVFHRRRTGRGSRPGVPGDSEAASSIQLPPNDFLSLGRASNDVASEMAMNPSEQAALVSCNSEDPFIHSQPNGLDAEAPNTEIQGDDSQLQSSSSAAAAAAAVICDVHSKLESAIPASTDEIQGQHTRQLIELLGEGVTDHNMHNYQRTPKRPRTQLKQNDPAVVAAPATFKERTPTLIEIQIPSTEKVQTLCSEDTPVQKAKPQRKKHRAKVIREDKPGKKQNSAVTTPEEKSLNQKAKRSYVRKKRNLSSLEKCPGPVTDQPISRGTENAARSRTESVRRRLQFELGEQGVQGDQSSTGNSCQHNEKLAHAKSSLCSMTRSAAHIGHELQADMENLPGGLVFGMTRKLNELLDEYIHLPEITPKPTQEISPATSGCLSRENVGSTHDPDTTRMGVTIMEGNNKDLGVKYSNIDGFDMHCSATSIPETESTESQVTKVSKLDEKEHGQHRQSDSSLTGSRDSIILRTAGEMLAFCQAGGVKKKRSVRARRNSFFPIMDVEKNNPQALTRLPQSCMEALYESSCIKFTTKKRSWKERLYSTSSIQPNMDKKNMFWSGSIFSGGSNGSKGSDGTFQQTLPQAPDNRRIKLDIYYEVPERSSEHTSTVSYMDYLQEVAARLEQLDLNTDHVHRTEIHPSLTMPSVVSFRATGGLSNSLVPYSGLPYERPLHLMKKQRPRAKVNLDYETTRVWNLLMGKATEPVDGTDVDKERFWQKERDVFQGRANSFIARMRLVQGDRHFSPWKGSVVDSVVGVFLTQNVSDHLSSSAYMALAASYPPGYVDSNCKDDIISQDNEEIISTSAVGDISMFDFFGSATRSDLEANEGFCIHQETEIDHRAHQFPDFSSIELTASARNPPEKQSQKDMSSSESVTVSKTVLQTQLSLSLEKNCAPRYFVGGVDGAAFQQLRSNFDGNSLAGNDAATNELECRRIETGAINDNGVGEHVIPSTSVMYPFISVDNRQPDVANKPYVSSTSHKSSSDSASAHPKTGVVEEKFSLFMPFDNHMAQMNYSKTVAPTLTTPETSTDLPVELQYDKRTGFEASDLQQHESLSVTGGDGMIADTASRAGESTSRSGFVSHNGVPDKAAQASKPKKTRTTNKKNMENFDWDKFRRQVYDDGHRNERSFERRDSVNWEAVRCADVQRISHAIRERGMNNVLAERIQNFLKRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLRHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQEKSLVKSSNQFSFENGGLPTRNSTVLPQLEGSTFGRDFPANNSEPIVEEPASPREEECPKTLVNDIEDYDVDTGEIPTIKLNMETFARNLENCIKESNNDLQFGDIAKALVAISTQAASIPAPKLKNAHRLRTEHYVYELPDSHSLVQQLELDQRQPDDPSPYLLTIWMEDDIKEMSKASKSCCDSEMEAGFCNNECHYCVPERENQSRYVRGTILVPCRTAMKGSFPLNGTYFQVNEVFADHKSSHDPIYVAREQLWNLQRRMVYFGTSVSSIFKGLTTEKVQQCFWRGFVCVRGFDMETRAPRPLCPHLHLAASKLPRSRKTAETGQNSSSAKASVS* >Brasy5G151200.1.p pacid=40077714 transcript=Brasy5G151200.1 locus=Brasy5G151200 ID=Brasy5G151200.1.v1.1 annot-version=v1.1 MQIRSWRLRFLCFCSRCLGLVVVLVQNQQRCSRAGHREKAQDGTGRGRYSARRHGGQMCTLASSTSSPCSIKTSEKLTTSAATILFMHFCV* >Brasy5G208900.1.p pacid=40077715 transcript=Brasy5G208900.1 locus=Brasy5G208900 ID=Brasy5G208900.1.v1.1 annot-version=v1.1 MAGGGAVPPPPRQLEVRRYAAARAGELRSLHAAVSARLGGESFQQPRSARRRTTGHLPSKRRRGTTSRDAAAEANEEGGRSAPRVSRRVRRRRELAGNAAEGFSVAGDGARRLRTHLWHAKRFSMARRWGFVLPVGPQGSGRGSRAVLKWIQNGTVVHDASYFIPIQLEGPEDSLLSILRMVLCPPPADETPDRKLLQDKVMQGVCYENAMLWRTGSPHSQIVGPVTYMWRPLSGESSKLEAKADLSNSQTRFDEGTGNPLQRQLWIWIHPAALDEGLDAIKFACEKQMQDFGVVVNCFSLEGKLARLEVMGSKAMQSLKSALHPVSNMIDRVISTRNTSKPSDPSLDSSTGCHLLKASAIDHAEILQPGAILSMIVGDPREVPFEGTDSPLQTVPEQNKHLEEGGLVPNVDEAPSEVDEAPSEERDIMSSMHPGRHDLLLSDSRGMWDSCYKINPPVAEEILCMEKHHRRIKFFCLDSGNDQGTATQEKDCFSQSCPAILLKQAKERWSLILPLSWVKPFWLFLVSHGAHAIGLRDRRWIASKLKMPCFPHDYPDSKAYSSFMAEEAAALEKAAEYRPSAKRPPRVPVPPLWHCIKASLNKDGIVKGLEVDDLKHFATGLPECLPVNSNCGDSGVASFKQVVPRTIQTLRQYVKEFDMKSLSSSYEMEIDTDQPNLVSGGTIKLSCSVNGLCLVRVLLRAFKEGSFEDGAVVCAPFPSDLSAWKIRAEDEEECMENWEVQLPQSHVSSHFSWLDPGTSHLELPKDEAALKAFRWPIGFVTTGFVHGSTGKDAVAVAFCEARLLAVLRRQQWDHESMQGREICVLVRNARSTAYRRALATVVLEQQEEDLEFL* >Brasy5G382200.1.p pacid=40077716 transcript=Brasy5G382200.1 locus=Brasy5G382200 ID=Brasy5G382200.1.v1.1 annot-version=v1.1 MVGMGGKGDASVAPVRTSDRLRQRPKYYGRGYLYYSPNMRKKIKSKKRTAASQIAKKLLHKPAAPADSIAANLRRSTRKRRMSVTLEDYGTDTSSSEDDDLMRPRYRSSKSKVNDEVSPRPKRKMSNSNSIPRREGLRPRRSLRGQRHHPYQDSGDDQESSEEQHAQDQRENGNDVEEDGANEDEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGVPWFLGGLDMHSSAAWGLNAGASGWGHQGDSTVSTSSLMPGAQTAGPSSKGGADIQPLQIDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPAIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKNHFLEAMSTITPAAHRGSIVHARPLSPVVAPFLKRHLEKIMEWISDVFPFISSLDVSKFSSLSYGSSIPLVYRPRLLICGVEGVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELASNLPVLLLGTSSVAFDDLEEECASIFSSRNVYQVDRPSDDDRLRYFSILFESLFSLQMEDSRCKSKDKKASIDLPKAPKEVDGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNAFHFPVSEEEVPDYRVIIHNPMDMASVLQRVDSGQYFTRATFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAAQGGPLQAMDDEDSSILQAAPVVQLVSVTRTSARLRNVQPEVDLSRSYEVLKRHKKSTENEQGMTIKESTARDERSPGDIVLPKPTSPEETLKEPDSNGPLKDTDNVPAEAPASSGSPPEPMVTDNADSAMHTSDDTLEQLEAMKQRFMELTVGYGVPQLERLYSRIMKGAIELGGKESKEDRRRLVVRHLLVFVENSDNF* >Brasy5G382200.2.p pacid=40077717 transcript=Brasy5G382200.2 locus=Brasy5G382200 ID=Brasy5G382200.2.v1.1 annot-version=v1.1 MSVTLEDYGTDTSSSEDDDLMRPRYRSSKSKVNDEVSPRPKRKMSNSNSIPRREGLRPRRSLRGQRHHPYQDSGDDQESSEEQHAQDQRENGNDVEEDGANEDEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGVPWFLGGLDMHSSAAWGLNAGASGWGHQGDSTVSTSSLMPGAQTAGPSSKGGADIQPLQIDESVSFNDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPAIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKNHFLEAMSTITPAAHRGSIVHARPLSPVVAPFLKRHLEKIMEWISDVFPFISSLDVSKFSSLSYGSSIPLVYRPRLLICGVEGVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELASNLPVLLLGTSSVAFDDLEEECASIFSSRNVYQVDRPSDDDRLRYFSILFESLFSLQMEDSRCKSKDKKASIDLPKAPKEVDGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNAFHFPVSEEEVPDYRVIIHNPMDMASVLQRVDSGQYFTRATFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAAQGGPLQAMDDEDSSILQAAPVVQLVSVTRTSARLRNVQPEVDLSRSYEVLKRHKKSTENEQGMTIKESTARDERSPGDIVLPKPTSPEETLKEPDSNGPLKDTDNVPAEAPASSGSPPEPMVTDNADSAMHTSDDTLEQLEAMKQRFMELTVGYGVPQLERLYSRIMKGAIELGGKESKEDRRRLVVRHLLVFVENSDNF* >Brasy5G435800.1.p pacid=40077718 transcript=Brasy5G435800.1 locus=Brasy5G435800 ID=Brasy5G435800.1.v1.1 annot-version=v1.1 MSGDGLPASAPPALIGGTWHGLSLIGGTILNRENSSMVEALRRENKNYGPAAALFKDCLHLMADFARINVEHCARCAAVPPRPSRPNSSSRSPVPILCYSTPAALASGAVVSLFPPATRLPSSSPAAQQIWHELALPTLFPRRAARSSLSLPTPAGQSSSPRSVPRPQSLSSQPSPASCAPAR* >Brasy5G224000.1.p pacid=40077719 transcript=Brasy5G224000.1 locus=Brasy5G224000 ID=Brasy5G224000.1.v1.1 annot-version=v1.1 MDVAVHRAFPLGHAFFASVVILDSDLYMYAYIPAGGGHGADYDSEAYRGGGFGAVPAAEEEIARLEEAAAAAGETIAEAACAVCLESFEEGGGGSKIRKMPCSHGFHEGCIFQWLRISRLCPCCRFALPAAADDDELSDSEPSDQV* >Brasy5G348700.1.p pacid=40077720 transcript=Brasy5G348700.1 locus=Brasy5G348700 ID=Brasy5G348700.1.v1.1 annot-version=v1.1 MAPSAFSAGNALARLPHRLAPNFLPKQGSGRAAGTFSLAVRAAKDSDNFRSLVSEKLAEPAPAKREGWDGFPREVSNGEEEAQMPGEPAPWSVLNQIGVELDSDSSYTALVYGTSALVAVWISSIVVSALDSVPVVPQVMEVVGLGFTVWFTSRYLIFKENRDELIMRIGSIKKQVLGSRDE* >Brasy5G209900.1.p pacid=40077721 transcript=Brasy5G209900.1 locus=Brasy5G209900 ID=Brasy5G209900.1.v1.1 annot-version=v1.1 MATAWDVAGFGSSITVLGWLLAPIISLMLPKILSYLGCDASQKLRELEIHVIPDLRRTLQEVDEQRMLREAQKETSNMITLGKLAGMLSHALYEAEDILDLVEYHWIERKVVGDGSWRHPLCSTVATCNMSWLGFVRIIGSGSAQLLQWARDSSLCWLLRRSDNVLPVSASAVLSGGPVPIATSAAPSDEPVPVARAVPTEFFGRWLSSLCSSFSFFNNCVRSIFYWLDDMIEVANTYRNWSYDVVGITSYQENAIAVDSVLSAISRRKLKKRIEKVESTVNEAKQSHLLGVASKSASNDIVNMNRRKIRSSSSKREVFGRELLLDDIMAKMLHETPHGDAPSSGRRTCYSVIGIYGVAGSGKTTLARYILDHIKEYKDAHFDTLMCVHISETFSVDDILHDILEDISKDRHSIISSGCEELKRELKQKLRGKRFFLILDDLWVKDKNYQGLKELLSPLDVGMKGSKILVTARREDAVRAMGADEPIAISDLDEEQYFRMFMHYALDGTCIHDEEFIPVGRKIAKKLHRSPIAAVTVAGQLRRNPNISFWKITAELDVLNGTMGALWWSYMQLDVDIRRCFEYCNIIPRRFKLKRDELVRLWVAQGFVKTSCATETMEDVAEIYVQELMSCSFLQPAGTSADTADYFTIHDLLHDLVDKVTGNDCYRIENAGSWKGDVPQDVRHLFVHYYDGELITEKILKLENLHTLIIYVVGKDTPVEKYITESIFNRLRKLRVLAIALSQDHDALFHDPCMFSVPESIRQLKHLRYLALRTISSCVVILPNALTKLYHLQVLDFGLCQKMEFFSADLLSLRHVLSDLKVCNIGRLISLQTIPDFTVRNKQGYEVKQLRDLNKLRGTLRINCLENVKSKQEALEANLAAKEQLTFLLLFWTVGDTRCRPEVEAEVLEGLCPPMWLEKLAICYYNGSRYPNWMVSRQNGGPKDLQVLTFWGLKQLGPAPELDAFIHLHSLNLLDCSWDALPVNIEHLSSLKELKILVCLNIRLLPTLPQSLEEFYLAGCNDEFMKSCQTVGHPNWQKIKHVRKKDIICMSKA* >Brasy5G489900.1.p pacid=40077722 transcript=Brasy5G489900.1 locus=Brasy5G489900 ID=Brasy5G489900.1.v1.1 annot-version=v1.1 MPSHGNVSSPPRRASRGICIRDGRATPVEGPVDDIQAPYASQFATDLQLQLALLEQHELDQSLQLQLAPPSDPADRKGKTPIFVRPSGEGSAAMGAAMGPNLAMKALHLSQPHIPSLTPRKPATQDDTEFSRAPRGMAPQAADEIDDAPNPVGVAILDLISQNKEIMQNLAPTSGKKKLLQRYLSDLMERLSNEPDEESERPISPIRKCNLSVPSEESAQRFSKNNSASEPRRLDNISITYSRKKKLASPALSLDEASSRPSDFESLGHNSRARSRPSPRVTSEVRRSPRLQEKARGFKRNYAAMAKGQGLLDAPPFCIDASGLRMDELPEDLSRHLQQNPVPDHLPIQLAASIAAEFCGLAPEEVKTLDQPSPKVQDDDADKEI* >Brasy5G511700.1.p pacid=40077723 transcript=Brasy5G511700.1 locus=Brasy5G511700 ID=Brasy5G511700.1.v1.1 annot-version=v1.1 MWAQPGDGDDNALAGWPVEGEQVPVPGIQLPESPPSPLDHRAPRRPLGLKIIRGPAASDNMNSHTRTTDSPTELEFDPSDPFGKKVLPVLPGNFSRTAFLVDEPVRYWGVPAPPGFQAVPLAATPVPPVHIPGLTPKNTAASSVPVHGQLSEAASMAPRSVPLAAPPVPPVHIPGLTPKNTAASSVPIHGHLSEAATMAPLADSILNMINKCQEETLQHMAPSSAKKRWLIRFLGNLADQQEAQEASESCKEPGSQFSPIRKLELPHDNARPRATRSFDSVYCRRNKAGGFSAGGDNASSRHSDTQTLGRGVPGLSVPAHPSNRLISEENVRRSPRLSAISKGFKRDFAAMHRGSPSRRMRGSKSSSRSASSDSSRRSINQALPVDLQQHLQTSDAAPVPAPIPIQILQSTGVSFCGLASTELEADKLNNIQDVANEDTTDA* >Brasy5G342100.1.p pacid=40077724 transcript=Brasy5G342100.1 locus=Brasy5G342100 ID=Brasy5G342100.1.v1.1 annot-version=v1.1 LGHDATEEIRCEKGRRWRAHGVGTTRCYKHGKGAFGLVVKAQHRGTGQIVALKTIRARDGARRPDAGELLREACFMAACRGHPHLVGLHGVVRNPDTKEYCLVMNYVGPTLRETLDTRMERHGQAFPEADVRRAMRQLLTGARAMHERGIIHRDIKPKNILIGDDGVKICDHGVAMFTAKAERPFAQAGTPSYMAPEVLLEKPEYDERVDLWSLGCVMAELLSGQVLFERDDKTDRLRMIFDTLGVPGKRTWQGFKSALLAQEVQQWRAQQQEAPQHDRLRELFPEEVLSEEGFDVLKGLLRCNPRKRLTAATALRSAWFEDDAINTSEADFKIGGTVLVRETGVSFLWMLINA* >Brasy5G348000.1.p pacid=40077725 transcript=Brasy5G348000.1 locus=Brasy5G348000 ID=Brasy5G348000.1.v1.1 annot-version=v1.1 MSFGKGNMSKESMYHDPNKDMPAIRGFAAPPPPPPPSQPMHHHHHGGHGEQPHHHPQQQQLECFSDEVDSRGTPEPKKEPAGGGSGRGAHLASGGGGGDGSSIEVSRKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDIAEALSRFATRRGLGICVLAGTGSVANVSLRHPCSPATAALGPPGLAAPAAVVVVQGRYEILSISATFLPAAMAAAMDMAPQAAAAMAAAGISISLAGPHGQIVGGAVAGPLYAASTVVVVAAAFTNPTFHRLPIAADEVASVSVSDAAAEEHRAHPHTHQQHQPEPPEQRHLRHQPPHLAASASGAQPVEPCGVSIYSCHAQPPQPQEVIWPPAARTPHQTPRPPPPY* >Brasy5G458600.1.p pacid=40077726 transcript=Brasy5G458600.1 locus=Brasy5G458600 ID=Brasy5G458600.1.v1.1 annot-version=v1.1 MLIIGEGGKQDQRKQKVSFAGLIRMTPRNPSFHLPPSTRLILLMVECFMSMANRQSSTLSMLL* >Brasy5G336400.1.p pacid=40077727 transcript=Brasy5G336400.1 locus=Brasy5G336400 ID=Brasy5G336400.1.v1.1 annot-version=v1.1 MEIAHRVIQLLLLLTINFAVAQNASSGGAQELHVGVILDLESMVGKIAQTSISLAMEDFYAIHQNYSTKLVLHIRDSMKDDVRAASQAVDLLENYNVEAIIGPQKSSQAIFISKLGNKSHVPVISFTATSPSLSSKSLPYFVRATMNDSAQVNSIASIVKTYGWRKVVPIYEDTDYGRGLIPYLVDVLQEVDASVPYQSVIPLSATTEQITLELYKLMTMQTTVFVVHMSITLASPFFIKAKEVGMMNKGYAWIVTDGVTNLIDSLNPSVLASLNGALGVEFYVPKSRELDDFTMRWNMRFQIDNPTAPPLKLSIFGLWSYDTIWVVAQAAEKAGLVNATFKKPGVTRKLTSLETLETSSNGAELLQAIMLNKFRGLSGNFDLSDRQLQISTFRIINVVGKGWREIGFWTAQNGISRQLNKTRSATTHLGSVSNLNPVIWPGESIEIPRGFEIPASGKKLQVGVCTSGYPEFMKAERDHITGATKAIGFSVDVFEEAVKRLPYALPYEYVLFSTKNDGSSEDYNDFVYQVYLEMYDIVIGDITIRYNRTFYVDFTLPYTESGIAMVVPVRDSINKNTWIFLKPLAPGMWFGSIVFFLYTGVVVLVLEFLGNNKNVRGPIPRQLGIVMFFSIFEEKELVQRFLSRIVLMVWLFFLMVLTSSYTASLTSMLTVQQLQPTVTDVHELLKTGECVGYHRGSYVKGLLEELGFDRSKIKAYDSPEDFHNALSRGSNNGGIAALVHEVPYIKLFLANHCKGYTMVGPIYKAAGFGYALSKGNPLLGDISKAILNVTGGDTMIEIGKKWIGDQDNCQNVGPVTGSSRLTFANFRGLFIFTGVASTSSLLIALITYFYKKKQKSTKITLDNNNQPEESGTDEEHTELQEGNQGSRVEQNAQQPGRQENVLEEQNSLELVSDTS* >Brasy5G353900.1.p pacid=40077728 transcript=Brasy5G353900.1 locus=Brasy5G353900 ID=Brasy5G353900.1.v1.1 annot-version=v1.1 MAEKPTGLASRSRIRGGLAASAPSSRRMVSMSYTAAPNQTKKVPEPKVAKPTRTTPVKRRQQLDQAQKQREELAALQEQLSGLQGKLLEKDEALRSAESLIGRISAANAAVDELRSQLSDKESLVESTGSELHGAKIMLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKVFRKITETNRASYPTARSDDSSLECELVQLDDMVGDIDTEKMEQEMSAYVTALAAAKDNPTEEFLKAVTEARLRLQAFVL* >Brasy5G344700.1.p pacid=40077729 transcript=Brasy5G344700.1 locus=Brasy5G344700 ID=Brasy5G344700.1.v1.1 annot-version=v1.1 MGTPRPQSPPLSLEPLIIPLPPPPPPLLSPSPPHDFSFSFSLSPPLPSSPPPFHVHLPLPVGGDMSRTPPPPLGRVGSDLSHNNYAKANHHHQTSTTHGSSSSEDKERNKNKASPFFSGIGGLGGAWRSSGERSSRETAGNGKAEDNKPRKGNVGQRVRTYMACLVEQLLASFSRHGERQRREQRHRRRRPHSFSVPGNGTGGACCTRDQRERWRRQRRGGQLSSAPASLRASPVNSGHLSVGGGSVKVSTLSEESTMEELQSAIQAAIAHCKNSHRIAGQVADARGPPGLPCTTQASVL* >Brasy5G418700.1.p pacid=40077730 transcript=Brasy5G418700.1 locus=Brasy5G418700 ID=Brasy5G418700.1.v1.1 annot-version=v1.1 MARAAVRVFVAIAALAVVCFFLSPRPCAAAGDELVAGELLSPGKPLVSDGGAFALGFFSPSNSTPDRQYLGIWYNSIPVNTVVWVANRETPITNNTSSSSSAPRLALANDSSNLVLSDADGRAVWTTGMASGSPSAALAVLTNAGNLVLRSANGTALWQSFDHPADTFLPGMKVWLNHRTHEGGRLVSWSSPEDPSPGRFSYGMDPDTSLQLLIWNGTRTHWRSPVWNGYTVQASYVRGSGTVVYTAIVDTEDEISNTFTVSPGASPTRFFLTSSGQLQLLGWNGSASAWATVWSWPSRGCSRYGYCGPYGYCDVPAAACRCLDGFEPAWTAGAGGEHFSAGCRRKEPLPPCGHGSGFLAMAGVNVPDKFVLDGGNRSSEECAARCAGNCSCVAYAYAKLQSSSAKGDVRRCLLWAGDLVDTQMIGPHWASVADTLYLRVPLPPASSTGTMASKNALKIALPVLAGVLLLTCIFFVWFCRFREKGRKTESQKKLVPGSANTSTEIGEGEHTEDLEFPSIRFVDIVAATGNFSKAFMIGRGGFGKVYKGTLESGREVAVKRLSKDSDQGAEEFKNEAILIAKLQHRNLVRLLGCCTEGAEKLLIYEYLPNKGLDAILFDSERKSVLDWPTRLEIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAELRPKIADFGMAKIFCDNQQNANTKRVVGTFGYIAPEYSTEGVFSVKSDVYSFGVLLLEIVSGVRISSPDDIMEFPSLIVYAWNLWREGKAGGLVDPSIAGSCSQEEALLCIHVGLLCVEGDPSRRPLMSAVVSILENGSGSSSSTLSLPKPNQPAYLALMEAKRDDLENTRNSMTMTVLQGR* >Brasy5G051300.1.p pacid=40077731 transcript=Brasy5G051300.1 locus=Brasy5G051300 ID=Brasy5G051300.1.v1.1 annot-version=v1.1 MAAKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSTVQSDIKLWPFKVVAGPGDKPMINVQYKGEEKQFAAEEISSMVLIKMREIAEAFLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYSYNMRNTIKDEKIASKLPADDKKKIEDAIDAAIQWLDTNQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMEGAGMDEDTPAAPGGPGPKIEEVD* >Brasy5G121000.1.p pacid=40077732 transcript=Brasy5G121000.1 locus=Brasy5G121000 ID=Brasy5G121000.1.v1.1 annot-version=v1.1 MHHNRAGGGAGDTGDIVEAGGGSGAHERVVPHSGPLGAKRSAMRKSARFAESVSAPLTAPHGAPRPRNSNNGESDDNDDNDYVEITLDVRDDSVAVHSVKPAAGGGSAEDSDVTLLARTLEKRSASYGHGVLRNASSRIKQVSHELRRLASGNNRRGQARFDKSKSAAAHALKGLKFISKTDGSAGWTAVEKRFDELAENGLLPRSKFGKCIGMKELAFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANNLTKVTEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSMRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWYRRAAYFLEDNWRRCWVLLLWMSVCAALFAWKFEQYRRRAVFEVMGYCVCVAKGGAEMTKFNMALILLPVCRNTITWLRNRTAAGRFVPFDDNINFHKVIAVGISVGAGLHIISHLTCDFPRLLHATAEEYEPMKRFFGEVQPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPKPLARLTGFNAFWYSHHLFIIVYALLIVHGHFLYLTKKWQKKSTWMYLAVPIVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPKDDYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDGAMSNPSFPRVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISILKDIINNMKRLDGGDLESGGDASVSSANFRTTRAYFYWVTREQGSFEWFRGVMDEVAETDRKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHREQRVGQYHQTHSLHCPPIITYSSRFPFFATHILKPILEDHGEKSIAVYACTLASLLWTGISR* >Brasy5G121000.2.p pacid=40077733 transcript=Brasy5G121000.2 locus=Brasy5G121000 ID=Brasy5G121000.2.v1.1 annot-version=v1.1 MHHNRAGGGAGDTGDIVEAGGGSGAHERVVPHSGPLGAKRSAMRKSARFAESVSAPLTAPHGAPRPRNSNNGESDDNDDNDYVEITLDVRDDSVAVHSVKPAAGGGSAEDSDVTLLARTLEKRSASYGHGVLRNASSRIKQVSHELRRLASGNNRRGQARFDKSKSAAAHALKGLKFISKTDGSAGWTAVEKRFDELAENGLLPRSKFGKCIGMKELAFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANNLTKVTEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSMRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWYRRAAYFLEDNWRRCWVLLLWMSVCAALFAWKFEQYRRRAVFEVMGYCVCVAKGGAEMTKFNMALILLPVCRNTITWLRNRTAAGRFVPFDDNINFHKVIAVGISVGAGLHIISHLTCDFPRLLHATAEEYEPMKRFFGEVQPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPKPLARLTGFNAFWYSHHLFIIVYALLIVHGHFLYLTKKWQKKSTWMYLAVPIVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPKDDYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDGAMSNPSFPRVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISILKDIINNMKRLDGGDLESGGDASVSSANFRTTRAYFYWVTREQGSFEWFRGVMDEVAETDRKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHREQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFEFHKENF* >Brasy5G041200.1.p pacid=40077734 transcript=Brasy5G041200.1 locus=Brasy5G041200 ID=Brasy5G041200.1.v1.1 annot-version=v1.1 MGEMNQPPPPPVGVPPPMGMQPMMNPPVGAGAMHPPHEQFNHLAYCVHSNPSWVQVAALSFLHYLVMLGSTVMLASIIVPAMGGSPGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIAREVSQQDFPKNQQRFTHTIRTAQGALIVASVLNMILGYSTVWGAYAKKFSPVIMTPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVVVQQYVPHYFRHFHERTTFMFERYSLLLCISIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLMSSAPWIKIPLPFQWGTPIFTPGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPAHVLSRSIGLQGIGMFLEGIFAAPCGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGISYGQFLNKNSVRNIYIIGLSLFLGISIPQYFSEYTASAGSGPARTNAGWFNDIINTVFASGPTVALMVASLLDNTLEVRGHESDRGLSWFTPFLRRRKGYSDPRNEEFYSYPIRVHSLIPSRFH* >Brasy5G041200.4.p pacid=40077735 transcript=Brasy5G041200.4 locus=Brasy5G041200 ID=Brasy5G041200.4.v1.1 annot-version=v1.1 MGEMNQPPPPPVGVPPPMGMQPMMNPPVGAGAMHPPHEQFNHLAYCVHSNPSWVQVAALSFLHYLVMLGSTVMLASIIVPAMGGSPGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIAREVSQQDFPKNQQRFTHTIRTAQGALIVASVLNMILGYSTVWGAYAKKFSPVIMTPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVVVQQYVPHYFRHFHERTTFMFERYSLLLCISIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLMSSAPWIKIPLPFQWGTPIFTPGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPAHVLSRSIGLQGIGMFLEGIFAAPCGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGISYGQFLNKNSVRNIYIIGLSLFLGISIPQYFSEYTASAGSGPARTNAGWFNDIINTVFASGPTVALMVASLLDNTLEVRGHESDRGLSWFTPFLRRRKGYSDPRNEEFYSYPIRVHSLIPSRFH* >Brasy5G041200.2.p pacid=40077736 transcript=Brasy5G041200.2 locus=Brasy5G041200 ID=Brasy5G041200.2.v1.1 annot-version=v1.1 MGEMNQPPPPPVGVPPPMGMQPMMNPPVGAGAMHPPHEQFNHLAYCVHSNPSWVQVAALSFLHYLVMLGSTVMLASIIVPAMGGSPGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIARERFTHTIRTAQGALIVASVLNMILGYSTVWGAYAKKFSPVIMTPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVVVQQYVPHYFRHFHERTTFMFERYSLLLCISIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLMSSAPWIKIPLPFQWGTPIFTPGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPAHVLSRSIGLQGIGMFLEGIFAAPCGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGISYGQFLNKNSVRNIYIIGLSLFLGISIPQYFSEYTASAGSGPARTNAGWFNDIINTVFASGPTVALMVASLLDNTLEVRGHESDRGLSWFTPFLRRRKGYSDPRNEEFYSYPIRVHSLIPSRFH* >Brasy5G041200.3.p pacid=40077737 transcript=Brasy5G041200.3 locus=Brasy5G041200 ID=Brasy5G041200.3.v1.1 annot-version=v1.1 MGEMNQPPPPPVGVPPPMGMQPMMNPPVGAGAMHPPHEQFNHLAYCVHSNPSWVQVAALSFLHYLVMLGSTVMLASIIVPAMGGSPGDKARVIQSFLFMSGINTLLQTLIGTRLPTVMNASFAFVVPVLSIARERFTHTIRTAQGALIVASVLNMILGYSTVWGAYAKKFSPVIMTPVVCVVGLGLFQLGFPQVGKCVEIGLPMLILAVVVQQYVPHYFRHFHERTTFMFERYSLLLCISIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLMSSAPWIKIPLPFQWGTPIFTPGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPAHVLSRSIGLQGIGMFLEGIFAAPCGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGISYGQFLNKNSVRNIYIIGLSLFLGISIPQYFSEYTASAGSGPARTNAGWFNDIINTVFASGPTVALMVASLLDNTLEVRGHESDRGLSWFTPFLRRRKGYSDPRNEEFYSYPIRVHSLIPSRFH* >Brasy5G317000.1.p pacid=40077738 transcript=Brasy5G317000.1 locus=Brasy5G317000 ID=Brasy5G317000.1.v1.1 annot-version=v1.1 MTSSNSNSKQPPPPTRHLHITTPNPTSSATTSSHSSRSPRVSTTTAGGASSPSSGSGHHQNPNQQQNQACAACKYQRRKCNPDCPLARYFPADQQQRFLNAHRLFGVSNIQRTLRETPPELRTDAMRALIFQADVRAYDPVGGCCRMAIDLQRQLDLAQAELTALRQQLELCRRQQHHAFGDDDQMLLVAGAANGQDVVADDVVVDALYANGGGGQENVVLGQANLGGEHYLLEEQGQPEPDPHQLYDYFYYDGTAGDGTSGHAWTNDVHAQQQQYQNNGGSPVELVEQLEQQHCQIETQFVGVFDVKPEIAATSTSAIESHINADNNGNAIQFEGLREDEEKAMPVSTAVVKSELDHQAAGGGGAADGGVVGDGVALPAGARVLFF* >Brasy5G499300.1.p pacid=40077739 transcript=Brasy5G499300.1 locus=Brasy5G499300 ID=Brasy5G499300.1.v1.1 annot-version=v1.1 MPVPEVGETREQDCAVCLEDFVAGAKLRTMPCSHSSHQSCIWDWLLVNRLCRFAMPPKSADDDLLPVVAN* >Brasy5G057500.1.p pacid=40077740 transcript=Brasy5G057500.1 locus=Brasy5G057500 ID=Brasy5G057500.1.v1.1 annot-version=v1.1 MGMVTAAALIASSPQASLCRGSLSGVPRLLRLRPASPCVSALRLNKFVRRCYVANVEVDVSNVNEEEAFDDHSSSLPPGCSIPVVHILGDVLDSSPFPLHDSTPHHAVFEELPVLSEGEQHTLAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFSKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISVAMVIYALKNVAHGSTSALLLRPWFIALVAAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAMLNRLDLICETVGASVFGLLLTKYDPVTCLKISCGLMICSFPVLVMLGQLINSVSCHALDSSRTASDKSICADLLDVRKIVQNGLSSIKHGWDEYKRQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISASLVKRVGILKAGAAGLIFQASLLSIALTVYWAGPISQRTHLLIFLASIALSRLGHMSYDVVGTQIIQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAWAAWMFCRWLGNPTDEQRELFMFDPHFQVQAT* >Brasy5G440000.1.p pacid=40077741 transcript=Brasy5G440000.1 locus=Brasy5G440000 ID=Brasy5G440000.1.v1.1 annot-version=v1.1 MSRDPLVVGNIVGDIVDYFDASGRLRVLYGNREITNGSELRPSQVASQPTVQITGLSRSFYTLVMVDPDAPSPSNPSEREYLHWLVTDIPDGGDISRGNEVVAYESPRPTAGIHRLVFVAFRQTVRQAIYAPGWRANFNTRDFAACYSLGAPGAAAYFNCQREGSCGGRRYR* >Brasy5G275200.1.p pacid=40077742 transcript=Brasy5G275200.1 locus=Brasy5G275200 ID=Brasy5G275200.1.v1.1 annot-version=v1.1 MAVARLIWGKMRAATISVSRAEERCEDGGAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRAAAPRAGRGRPTLGRGCRRGLGVGWRRRALRQGSGAGRRRRELGEIGAAGWCRAVIGRPAALCGLRLGRRCFGEERRRCSIFFY* >Brasy5G359600.1.p pacid=40077743 transcript=Brasy5G359600.1 locus=Brasy5G359600 ID=Brasy5G359600.1.v1.1 annot-version=v1.1 MAMATQTGFAASKVLILVGAGLTGSIVLRNGRLSDVLAELQELMKGVNLGEGSSPYDIALIQAQIRNLAQEVRDLTLSRPITILSGKSDSGGSFSSYILPAAAVGALGYGYMWIKGWSFSDVMFVTKRNMASAVESMSKQLEQVSSALAATKKHLTQRLQNLDGKMDEQVEISKNIRNEVNDVKTDLSQIGFDVEAIQQMVAGLEEKIYLLDNKQDATNAGIWYLCKMAGGIKEGINAKFFQEADEKLKLLDLAQTEKKSVKGLELFLESNEELKAIDSKPNTVTQNDSKKPMATFDVPVKSAAVHRSNRFSFRKEGLAL* >Brasy5G214100.1.p pacid=40077744 transcript=Brasy5G214100.1 locus=Brasy5G214100 ID=Brasy5G214100.1.v1.1 annot-version=v1.1 MASPARGDSDQVLLEANGYTRTLILNRPRQLNALSSAMVTGLLRCVNSYEEKNAVKLLIAKGKGRAFCAGGDVAEVARSVNNDTWKYGADFFRTEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSIHGRFLVATENTVFACQKQHWDSFQI* >Brasy5G120300.1.p pacid=40077745 transcript=Brasy5G120300.1 locus=Brasy5G120300 ID=Brasy5G120300.1.v1.1 annot-version=v1.1 DPSRPPLSPPPPPGSSPPPLPSIYHPPPGSSETWPRPGGGRSCGCVSEAQSTGARAAGMASPGNSSTQAAQSSTMAGNVTGTPSASQSQGTGDTVHTQAPQGTATGPHEVD* >Brasy5G152700.1.p pacid=40077746 transcript=Brasy5G152700.1 locus=Brasy5G152700 ID=Brasy5G152700.1.v1.1 annot-version=v1.1 MDQKSPATAAPHLGPVFQEPFGILIFFTILTWSFTRLQRLLPSCCKCCTCPIPKTTSTSVLADRKTCKTLDENEDDDIDVVRSDAEIAMKNMGLDFNIENSVVRKTISSEYISMLFDHDEPSLQEVKMAFSVFDENNDGYIDASDLRRVLHNIGLREQAGVSECEQMIARYDMNKDMRIDMMEFTKVLEASFC* >Brasy5G236000.1.p pacid=40077747 transcript=Brasy5G236000.1 locus=Brasy5G236000 ID=Brasy5G236000.1.v1.1 annot-version=v1.1 MWSSSTSTRPSPPPAPTHHNPAAPGRSMLSSYGYTCTSGRAQFAAAPPVAVPARPSRFAEVSLEEPPEPAASACEKQEERGAAEVGLIIFPARARLSRQLIRKETPSMVGVFNRSPF* >Brasy5G311800.1.p pacid=40077748 transcript=Brasy5G311800.1 locus=Brasy5G311800 ID=Brasy5G311800.1.v1.1 annot-version=v1.1 MTGKKRKAEAARLEDTDRAMYGAFRGAANSLSQLYTLAMGGQRLSFQAGERHAMEKLYEWIVRHHENGLRLTVADIASHIQHGIQHGGDNPSASPRLQYASQNMQANVHMPNTSNQQPSPSLFALGNPGLTQSKNSAVFSNALSSPIRRSLQPYHLEQGGDAGYAGYFANGLNRESNPTASNDSSMDMHSDSPAHDSS* >Brasy5G037200.1.p pacid=40077749 transcript=Brasy5G037200.1 locus=Brasy5G037200 ID=Brasy5G037200.1.v1.1 annot-version=v1.1 MQECLDYRDAVDSVEDKLQKLVCKWESVARMWRLMEDQGAKKVQECSWLCIKNEIHVFTSEQIMHHGGEATYAVLDLLFWDMMDHRSSPGCVNTIHRQEDPNKTEGFACPEVFLHCTL* >Brasy5G064300.1.p pacid=40077750 transcript=Brasy5G064300.1 locus=Brasy5G064300 ID=Brasy5G064300.1.v1.1 annot-version=v1.1 MAASSCFARCSFSVTVAALLVLALTTGSAHGHGSKGGLSSTFYDKSCPGAQDIVRRVIQDARVADARIPASLTRLHFHDCFVQGCDGSILLDEDLQTMIQSEKGVPANDNSARGFPVVDDIKRALEQACPGVVSCADILAIASEVSVQLAGGPYWRVLLGRRDGMSTNIESANDLPSPFDSLETLQEKFRNFGLDNTDLVALQGAHTFGRVQCQFTLQNCTAGQADEALENLDQDTPDVFDNKYYGNLLRGRAQIASDQVMLTDPAAATTTAPIVLWFSHSEKDFFKNFAASMIKMGNISPLTGKDGEIRNNCRRVNRKHY* >Brasy5G300600.1.p pacid=40077751 transcript=Brasy5G300600.1 locus=Brasy5G300600 ID=Brasy5G300600.1.v1.1 annot-version=v1.1 MADIQLGCYTIKSHGAKVARLHMYDWIILVLLAVIDGLLNIIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDMYDNITTGVLCHGEKSVIKEGHKSFPSGHTSWSFAGLGFLAWYLTGKIAVFDRKGHIAKLCIMVLPLLTAALVAVSRVDDYWHHWQDVFAGAIIGLTVASFCYLQFFPYPYDTDALWPHAYTLQLAEARSSGIANSYSVRPAEIETVNIPEGHGHGGITLRDTSPILDNMESGRRS* >Brasy5G469400.1.p pacid=40077752 transcript=Brasy5G469400.1 locus=Brasy5G469400 ID=Brasy5G469400.1.v1.1 annot-version=v1.1 MGSMLGSGDATKVGPENTGCVRGVISVVSSLCCSLSSLVRLPASESASRGFLCVSQRSAEDARLGGRLYLSLLPWIDIGEEDDELLLAFFLILFMSQRSTESARPGLELLPNDDAALLGFVVLPSFLCASHLSAESARPGLGNLGLEAAGRMMMHGDGLNRVIMEGGAGSRPGRSAAAALAMARSTENAIDLDLLDSCLIAGERRDVDFDGARRPSRTRRIATDVTWNPK* >Brasy5G227700.1.p pacid=40077753 transcript=Brasy5G227700.1 locus=Brasy5G227700 ID=Brasy5G227700.1.v1.1 annot-version=v1.1 MAFDTNNLVVLRVRRLLRCSIRLGYRSACDHPGMLLSGVLLLFLYELCPSSVFAFLVSSSPVFALTALLLGVLLSYGEASVPYWDEGEMLEDHGILCLKSNMSVAECSFSGEVENVAFGARLEERIEDGKYNGSINVRERSTDDDRACDAPCEEKMVTHVGADDAVLHGEESYVEEERTPNGKLHGTKCGEKYVSFVADDTVLGAESCSKYPKSNAAVDCKKRAEEISEKVELQKLDRSYIGSGNNGVHSQYQMGELMRACWQPVMRQDPPCYDSESDLSDGSSSPDASMTDIIPMLEELHPLIDLGIGHPSFASRDKLNSSSDDDEDDLEEESVSSETSGKEEGEEWQKDDGNDWKDVTDLNSLDMEENSKLESMMELQRAKNILKFDLDKKLMDLQAATANRMLKDASSFHVQVPSISTSRQNTFDPSKDSEETIELPQIPGSAPSLLPRRNLFDLQFDRTVDRRSRFEETWTPRSRFGSAAENRKRRNSYGQQHSAYLQHHTSVTMENGQISGKDSCDDGHSDDDAEQEGNNSKLFGSLEAHLGEEMKILSAAISDVGVLGEVNHGTDEGSKNASVRDNTSSLAGANDSSEPRVMEAGSMSEVNSLFKCRMEEVLARSVSEPSVGQPLEVKPEGGLSVSLSSDSWMHANEASSVEVLNFQFARFGEEAATCAVSASNNHPDESIRGRSSEALNGHRPGLHTESNGCKELLTEGDQKTADMSEEPNELLNAGLPVQEAIPVEEMNSPFKQLKEYGHSEMNMPQDCEFEVDPVQWSLTPDCGLLMPILMDDASEQWFQSN* >Brasy5G299500.1.p pacid=40077754 transcript=Brasy5G299500.1 locus=Brasy5G299500 ID=Brasy5G299500.1.v1.1 annot-version=v1.1 MAREMENTRKATSPKKSSVTSPGPKSPGRNGGSPPHKKNITEPRGRKNEQESIRKGGHQDMTTHDEIKRRSPTSQTSPKRSTKHEQPLSYCRLHTEERAIRRAGYNYQVASKINTMDIIRRFEEKLSQVMEEREIKMMRKEMVPKAQLMPAFDKPFHPQRSRRPLTVPKEPSFLKLKCCIGGEFHRHFCYNGSGAKAITN* >Brasy5G299500.2.p pacid=40077755 transcript=Brasy5G299500.2 locus=Brasy5G299500 ID=Brasy5G299500.2.v1.1 annot-version=v1.1 MAREMENTRKATSPKSSVTSPGPKSPGRNGGSPPHKKNITEPRGRKNEQESIRKGGHQDMTTHDEIKRRSPTSQTSPKRSTKHEQPLSYCRLHTEERAIRRAGYNYQVASKINTMDIIRRFEEKLSQVMEEREIKMMRKEMVPKAQLMPAFDKPFHPQRSRRPLTVPKEPSFLKLKCCIGGEFHRHFCYNGSGAKAITN* >Brasy5G215700.1.p pacid=40077756 transcript=Brasy5G215700.1 locus=Brasy5G215700 ID=Brasy5G215700.1.v1.1 annot-version=v1.1 MALSSKRNSTTSVACLTALIMVVMAATVLQSCDAKGDYCVGINDGCNQTKCLNLCANSGYDKSKAFCLSETDKCCCPMGGSKVDPRS* >Brasy5G346300.1.p pacid=40077757 transcript=Brasy5G346300.1 locus=Brasy5G346300 ID=Brasy5G346300.1.v1.1 annot-version=v1.1 MAGSGASNGEVVLEIKHCIRIFKSGRVERYFGSDPVPPSTDASTGVASKDRTISPDVAVRLYLPPVAATESGDGGKKLPLLVYFHGGGFVLHTAFNAVFHAYLASLAARARAIVVSVDYRLAPEHPLPAAYEDSWRALVWAASHASGGGGGGTSEEAEEEPWLTEHADFSRLFLGGESAGANIAQHMAMRAGTEGLPAGASISGIVLVHPYFLGHGKVPSEDSDPVMAENVVKMWRVVRPATTGVDDPWINPLAAGAPPMRGLACGRVLMCLAETDVCRDRGRAYCEGLRASGWAGEVEVLEVAGQGHCFHLGNFTCDDAVRQDDAIASVPWVHQCWTRGSQREFPARQGSRRGVFPGSLTRQGLVLPATRGSSAAFA* >Brasy5G197000.1.p pacid=40077758 transcript=Brasy5G197000.1 locus=Brasy5G197000 ID=Brasy5G197000.1.v1.1 annot-version=v1.1 MPTVKARPAKCRESSGGARWRSRRRSRWARGSAAPGRRGSATRNRSRRPTSPLPTPQLGMWGDRRRREEVEEDGGRIGGGGANPNPHGQCAGPQRHAARERREEPTADNRAQPSEWATDRERKRNRTLNNS* >Brasy5G449000.1.p pacid=40077759 transcript=Brasy5G449000.1 locus=Brasy5G449000 ID=Brasy5G449000.1.v1.1 annot-version=v1.1 MGSSRSSKKRKPVAPPPEPDSEPEETVHDTAAAAEESNEEEEQQQTEAGEEGEEETGKKQKKMKEKKEKEGSGILTSMLFSELPISELTAKAIREMNYTHLAQIQARSIPHLLEGRDVMGAAKTGSGKTLAFLIPAIELLYNLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNGRRTEADQLAKGVNLLVATPGRLLDHLQNTKGFIYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPLNRQTVLFSATQTREVEEFAKLSFEKNEERKEKPVYIAVDDGKSNATVEGLQQGYCVIPSNKRFLVLYAFLKKKQSKKVMVFFSSCSSVKFHAELLNFLQIECEDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGSALLFLLPEELKFLIYLKAAKISLTEYEFNDKNVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYNSHAMKDIFNVHDLDLKSVAASFCFKNPPKVNLDLESSASKRRKTKKVDGGGRRHGINASNPYGRKGGDDSRQFARF* >Brasy5G480500.1.p pacid=40077760 transcript=Brasy5G480500.1 locus=Brasy5G480500 ID=Brasy5G480500.1.v1.1 annot-version=v1.1 MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRVKASAGGGALSGTGVGRPAGRGIATGPLLQAQPGLSGPVRGVGGPAPGMMQPQISRPPMPNLSAPPMSYPQVGRPPMPMPMRPGGPPPMQMQFQRPPGPPPAPYPGGPPQQFMRGPPPMGPPPGRPGMPGMPPPPGMRPMPPPQFGQPPRHGMPPPSPGPQQPGQNPQQ* >Brasy5G350100.1.p pacid=40077761 transcript=Brasy5G350100.1 locus=Brasy5G350100 ID=Brasy5G350100.1.v1.1 annot-version=v1.1 MTSFGGEEVGKGEELTTDGLAGSGGAAALGELRRWRRAATGEVDGAGQIGARELRAMAKACSSNGAVVVEAEDCDHHRVGAGNGRLLQQQGKAGPPSASLAAQSAGSGPLMAGSGGEEGSWIPLTDDLGRRAARPGRVAVASVERGKKGDGREEEEKVEGRRQGGEGGRREHGGAAAGHGGAAAGHGGEAQIWRGGTVTARGGRRLRFVFLDGRRDLLLSTVAFFT* >Brasy5G289500.1.p pacid=40077762 transcript=Brasy5G289500.1 locus=Brasy5G289500 ID=Brasy5G289500.1.v1.1 annot-version=v1.1 MTSIIDAPVPGSAEDVVAEGKAAAAEQEAASRRDVFLLAGIRKLIKISFRSLSHIFEIYKDDGEEDEDDIQIGFPTDVQHVAHIGLDGSSSSNVAGLIRGREEAGASCRELLSLSTNLSLEQFEFAMASLAAHRDRSAVLEDRAAARN* >Brasy5G028900.1.p pacid=40077763 transcript=Brasy5G028900.1 locus=Brasy5G028900 ID=Brasy5G028900.1.v1.1 annot-version=v1.1 MRANPLLRAAMATAARAFSSSSAATTTPVGGGVSMVQGASRGIGLEFVRQLLRRSDHGRVVATCRAPESAAELQELRREHARSLTVLPLDVTDETTIQAAAASIGETHGSLDLLINAAGILSIPDVIHPETSLSKVEKSSLLLAYEVNAVGPILVIKHMRPFLKIGASSETGKGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTASVELGKKDNIACILLHPGTVDTDLSRPFQRNVAKDKLFTREFSVQKLLSIMDNAKKSDNGKFFAWDGQEIPW* >Brasy5G029000.1.p pacid=40077764 transcript=Brasy5G029000.1 locus=Brasy5G029000 ID=Brasy5G029000.1.v1.1 annot-version=v1.1 MDSAATIVLPADSWAACARGFLGCLCPEGRLRNPDCGAGHMKKTRKIYIIASTSSILVLCLLLFSCLLGCKRYRSRKKSKETPRIESFLQKHAAIHSKRYTYAQVKRMTRNFAEKLGQGGFGVVYRGDLSGGRQIAVKMLKDSKADVEEFINEVASISRTSHVNVVTLLGFCLEGSRRALIYDYMPNGSLERYAFKCHSKGESTLSWEKLFDIAVGIARGLEYLHRGCNIRIVHFDIKPHNILLDQDFCPKISDFGLAKLCPNKESAISIGDARGTIGYIAPEVYSKQFGAVSSKSDVYSYGMMVLEMVGARDNNIDANSECSSQYFPQWIYKNLDEYCISASEIDGNMI* >Brasy5G107800.1.p pacid=40077765 transcript=Brasy5G107800.1 locus=Brasy5G107800 ID=Brasy5G107800.1.v1.1 annot-version=v1.1 MEKPSFASASSGELAAASVSGLGRPVRVIPLRHPLEADVRGPSSSTSPLWWWAAMESARGMGPREWMDAALPCLAWMRRYRWREDFQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAFLGSSRQLAVGPVALVSLLVSNVLGGIVNSSGELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIAGIDQFSWPPFVMGSVFLVILLIMKKLGKNYKKLRFLRASGPLTAVVLGTLFVKVFHPPAISVVGEIPQGLPMFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDSNKELFGLGIANICGSFFSAYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGSALLFMTPLFTDIPQCALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAMTFVTTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNMLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELKLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYRARDIQIAIANPNRQVHLLLSAAGIIDMIGAGLCFVRVHDAVQVCLQHVQSASSNSIKLAPQGSGNLAESPKPQQRYGFPRNIWKAQHGNGKSGDEAQSLLRQNLV* >Brasy5G001400.1.p pacid=40077766 transcript=Brasy5G001400.1 locus=Brasy5G001400 ID=Brasy5G001400.1.v1.1 annot-version=v1.1 MALLPPLRLLLPLLVVFFLGTGGVSARTVPMEFLYPPFNLTYMHYIDTNGVFLLSGPNGTFSAAVYNAGSGGGSSFDSQSRFFFSVLHDKSRTPVWTATAGSTILQSITLSLTSKGMALSYPADPDPAWSTPPLAAPVASLRLRDTGEFALLDAANATLWSSFDRPTDTLLQGQRLPVGVPLTATASDQDLSPGPYRLLLTPNDALLQWAPTTASSSFVTYWALSSDAGAVQDSNLKVESMAVNASGIYLLAGNGRDTVFRLLFTPPPSSSSTKVLLKVGSSGRLRVHSMAISPTAARATLPAVWEAPGNDCDLPLRCGSLGLCTAGTGSNSSCMCPGAFSTHTTGGCSPADDSTLPTDTCANGNASSSSSYTGLGDGVGYFASKFAVPATAGGALPACRELCSANCSCLGFHYRSSSKSCFLMLSQIGSVFKTDTSSGGLLFIKTVPPASRGHGRGSSRLSSITIVFGVVLPTVAAVFIAFLLYVMAKHWLKKRDGHGNGKKKKKKKTKHNSSDGWRRSWFMLHMMSSSASRASSNVPSEKDTEEDSEEEDDPDAVLIPGLPTRFTFDELEAATNGFKRQIGSGGFGSVYRGSLPDGTTVAVKRMNNLGTQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGPQRQLLVYEFMSRGSLDQSLFVSSNSGLAWPERVGVCVGAARGLAYLHSGCHRKILHCDVKPENILLDGRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKLLDDTGTSSGASDDGGKEERSSGYFPAMALAVHEEEASPGYSELADPRLEGKVDAGEVSRVVRVALCCLHEEASLRPGMTVVAAMLDGSMEVCAPRTDQLAYLRMYGRAGTTASGGAASSSTWSPPSCVSAQQLSAPR* >Brasy5G089400.1.p pacid=40077767 transcript=Brasy5G089400.1 locus=Brasy5G089400 ID=Brasy5G089400.1.v1.1 annot-version=v1.1 MNNNVSLEGCPIGIRLRVFIAPQCHKQIRFPLVPRQKKRGEA* >Brasy5G264400.1.p pacid=40077768 transcript=Brasy5G264400.1 locus=Brasy5G264400 ID=Brasy5G264400.1.v1.1 annot-version=v1.1 MLMLVRHQKSFFPSEVTKPPRSTTVDHVSLFTAAMMLLTFSLDNSINFPTTAFATIDSTAAIFGASL* >Brasy5G258800.1.p pacid=40077769 transcript=Brasy5G258800.1 locus=Brasy5G258800 ID=Brasy5G258800.1.v1.1 annot-version=v1.1 MDHPRPSNKRRRMITRTPPAACTTLPDDLVISEILPRLPAKCLLRFKSVCRAWRAAIADPGFARDHHRHRRSSRGAAPPDVLVIPRIADGDHELSEEITFHRLRLAQLLQPPAADEDDDAAIEPELMLKKAFAADPTHEEGEEEGMTNAIFPTHCDGLVAVATAGDQVFVCNPATNELVALPPGTPDAREFRTEPASVAALGFNPYTERYVVARYFYWRDDEDTCVDIGHELFTLGGGGGDDSWELTEDPPLPIVPSRPVCIGGAFYWVSTNNKLLKFTLSDNKFAVIPLPPATAYHYTLASLTDLDGDLCYVHTASPTVYDVWQLAGDMTWSRRCRIDTFDEGLGFQAFLPVWAGAGGRMLVAVDYEKLYWCREKSGRLEEAVDLEMDVDLGRRDGELYRHHVVPYVESLISIRSCS* >Brasy5G265400.1.p pacid=40077770 transcript=Brasy5G265400.1 locus=Brasy5G265400 ID=Brasy5G265400.1.v1.1 annot-version=v1.1 MAPPQEQGQQEQCGRPASSFCVWLVTALLLASVLGGGACLVAYVVLPPGEAPGWIAAAGLALVALPWAFWIATCLYRCVTTRSADRAAAAAVAPGSGSMVSRAQGS* >Brasy5G255300.1.p pacid=40077771 transcript=Brasy5G255300.1 locus=Brasy5G255300 ID=Brasy5G255300.1.v1.1 annot-version=v1.1 QTVKLGRPCCFLSPTRRPRPRSNTALLSPDPTPPPPPPRPLVPGSDDTPASTPSPAPNVRRGARRRALPPPLQWPQVAAAAPSKATARSFDSRWWLRSAVSSGARGDCGSPSLATVSGGSGSRPRRRPYLRRGTTAAARTRCRHCSVITATVRRNTLRMKKWYDEV* >Brasy5G255300.2.p pacid=40077772 transcript=Brasy5G255300.2 locus=Brasy5G255300 ID=Brasy5G255300.2.v1.1 annot-version=v1.1 QTVKLGRPCCFLSPTRRPRPRSNTALLSPDPTPPPPPPRPLVPGSDDTPASTPSPAPNVRRGARRRALPPPLQWPQVAAAAPSKATARSFDSRWWLRSAVSSGARGDCGSPSLATVSGGSGSRPRRRPYLRRGTTAAARTRCRHCSVITATVSGVTEYFR* >Brasy5G255300.3.p pacid=40077773 transcript=Brasy5G255300.3 locus=Brasy5G255300 ID=Brasy5G255300.3.v1.1 annot-version=v1.1 QTVKLGRPCCFLSPTRRPRPRSNTALLSPDPTPPPPPPRPLVPGSDDTPASTPSPAPNVRRGARRRALPPPLQWPQVAAAAPSKATARSFDSRWWLRSAVSSGARGDCGSPSLATVSGGSGSRPRRRPYLRRGTTAAARTRCRHCSVITATVSGVTEYFR* >Brasy5G255300.4.p pacid=40077774 transcript=Brasy5G255300.4 locus=Brasy5G255300 ID=Brasy5G255300.4.v1.1 annot-version=v1.1 QTVKLGRPCCFLSPTRRPRPRSNTALLSPDPTPPPPPPRPLVPGSDDTPASTPSPAPNVRRGARRRALPPPLQWPQVAAAAPSKATARSFDSRWWLRSAVSSGARGDCGSPSLATVSGGSGSRPRRRPYLRRGTTAAARTRCRHCSVITATVSGVTEYFR* >Brasy5G383600.1.p pacid=40077775 transcript=Brasy5G383600.1 locus=Brasy5G383600 ID=Brasy5G383600.1.v1.1 annot-version=v1.1 MEREGGSTRSFVFCSIDVSEEKIVPLKFGIISCGYVITCKFMVEYLDQTGSTGEPAVRPEKPEPGASPVRSPVRFSKLGPTLQSLMLGLICVQVLSYLLVCVREVYSSSKNKSVGCVGAQSLCTTKCNRVTMSLYMCMELI* >Brasy5G109300.1.p pacid=40077776 transcript=Brasy5G109300.1 locus=Brasy5G109300 ID=Brasy5G109300.1.v1.1 annot-version=v1.1 MDAAAATTLLLSADAPLAAGRRCILPSRAARGAAQQTARGNRRSSSVCAYAAAAAPAPAAGGLVGRHNGVYTVGDFMTKKDNLYVVKPSTSVDEALEMLVQHRISGFPVIDDNWKLVGVVSDYDLLALDSMAGCGLADKNTSMFPEVDSTWKTFREIQRLLSKTNGKVISDVMTPTPLVVRETTNLDAAARLLLETKYHRLPVVNSTGKLVGMITRGNVVRAALKIKKKAEEGA* >Brasy5G262100.1.p pacid=40077777 transcript=Brasy5G262100.1 locus=Brasy5G262100 ID=Brasy5G262100.1.v1.1 annot-version=v1.1 MPTINDGIIRRDKYRETARGLQAPLKRRTVRMLHSPIQRIPFLSITLFLSLSHEATISRRHWDRCAHLLFSSLPPPSGTSRRLQSAAAMSPSPAPPFHDGCPTRRAMPPSRSRGRGEDHSSSSAAATAKPRT* >Brasy5G129000.1.p pacid=40077778 transcript=Brasy5G129000.1 locus=Brasy5G129000 ID=Brasy5G129000.1.v1.1 annot-version=v1.1 MGLLRMRKETLQIGQIQQESLTKHMGIELQFDKPRTAVIQWVQFELKTVRFEFRKGADTRRLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPALLSLAASDAASLGESSSSTAALADALSSPLAADDLTAAASAAHEFLAIDTRFPTDDDLRRDLLDIKRRVEGLARRRLAAAVEAQDHPAVLRLVRPFPLLALADEGLQVYVAYLRKVVALRARADFEHLAELISATQPTAEQPDFVGCLTRLFKDTVLAAEENDTVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLSSDINSYTKNLLSVVELYLEEILALTQLGEDYTEFMVNKIRRLRDVKPELGPQAMKAFFNGSFNKMEKDLTGFYVILEESFMVENIRKAIRIDEPMLDGLTTSMVDDVFFLLQSCCRRAASTASINSVLSEAVQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCAEIFHAPADREKIKCGLSELGEISASFKKILYSGLEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQTPVMTSNNYDSFIHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQKPVRDKFSRISQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRN* >Brasy5G026200.1.p pacid=40077779 transcript=Brasy5G026200.1 locus=Brasy5G026200 ID=Brasy5G026200.1.v1.1 annot-version=v1.1 MASPPSPAVDVDLGKLSYEIFSFLESKFLFGGGGPCSLPGTPARSGNGGGGGPRVRVLAIDGCGPGPSDALLAAAAMARLEAALRAKVGDPDARVADFFDAAAGAGAGGVLAAMLFLKGDDGRPRYSAADVLAFVAGSLGKGGWAGGGGGGGGGWFRGRLAALFRRVERDRDRDRSSSSCTATGRSSSSTAASLRRVFGDATLRDTVAPLLVPCYDLSTGAPFLFSRADAMETDSFDFRLRDVCAATCAPGGGAAPAAVKSIDGRTAIAAASGGVAAMGNPAAAAITHVLHNKREFPLAAAVDDLLLLSIGSGSSSGAVAGSSTPGWRTPIPPRSPSPAQMVRLTAEGVADMVDQAVAMAFSGSSAHNYVRIQAAKAAVAGSARKEAKKAVAMADAMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVREHHRRARTSPPPPPGIKQAVTPPRLSLSSAATTASSSSGATAGTMPSPASQEDFSRH* >Brasy5G174200.1.p pacid=40077780 transcript=Brasy5G174200.1 locus=Brasy5G174200 ID=Brasy5G174200.1.v1.1 annot-version=v1.1 MVVAAAVFGSCHALPLCRSPPSWCGATPGMALTALRVAAGDAPARSSWLQVPPLRRRHCRVAVLRLFLHTNQIVQR* >Brasy5G141600.1.p pacid=40077781 transcript=Brasy5G141600.1 locus=Brasy5G141600 ID=Brasy5G141600.1.v1.1 annot-version=v1.1 MEPRWWGAPGAGALSAPPSAWSCSATVPRRSGPSTFVPPPGPSSSSTPAYASSKVTFPSRPNWHGLLQYNCTSQM* >Brasy5G039200.1.p pacid=40077782 transcript=Brasy5G039200.1 locus=Brasy5G039200 ID=Brasy5G039200.1.v1.1 annot-version=v1.1 MAFQIKPLRPVEQNELFFRLYLKREGCGSNDKTIVNSKEHTDFGLVLASDLPIYDGFGPEAKLVARLQGLQSQAGKLTDGWQASVNIVFEDERFNGSTLHVMGTGLHKREWAIVGGTGEFTLAQGIMYNLFMQGEFQEIELRALYTPMKKVPWTLGVPGATESQT* >Brasy5G232200.1.p pacid=40077783 transcript=Brasy5G232200.1 locus=Brasy5G232200 ID=Brasy5G232200.1.v1.1 annot-version=v1.1 MLTKGRKVAGRGEDMSAHYAFGPREDDAIIKHRLLTRITTTRGEPPLKKLQKKFMSFAAEIEKDADNTSDCERLYKAFLQEINTYELPLLKSKAVVDANLREKESFNELQVEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLISLQPPRSETEKLIAELEKEIASLEAESAACIRTLELRKKQFALLLHVVEELQISIEDEQMSIADELRATAEEPKMSIEDSNGGASDAMAVD* >Brasy5G066900.1.p pacid=40077784 transcript=Brasy5G066900.1 locus=Brasy5G066900 ID=Brasy5G066900.1.v1.1 annot-version=v1.1 MKLVSWNCRGMASRLAKQALLDVQKQWSPDVFFLSEAHLNEAKARKLMKKFGFERLAIHESNGASGGLLLLWKKPVNILVQDSSPNFLDVFVQSPDNADWRLTCFYGEPSWENRHRTWEYIGDLHNRYDMPWVVIGDFNEILYSSEKDGGNPRPNHMMESFRNCLTECGIEDMGFHGDPFTWRRGRIRERLDRAVCNAAWAEIFLGATVTNSEHIKSDHRPIVLNTEEGEGPIMTRVQGAKSFEARWLQEESVEEIVRSAWERASLAPSFAARTDAVHVDLHAWDRAVLKAPQKRIKKLKRELENIRRGPVSEETIFRQKEIQEEIYWFQRGRTDWLKHGDRNTNFFHNTASACKEKAKSH* >Brasy5G084800.1.p pacid=40077785 transcript=Brasy5G084800.1 locus=Brasy5G084800 ID=Brasy5G084800.1.v1.1 annot-version=v1.1 MTRIRRHSAAICHSFWGGPSMSRLHATGRSASSPAARARKGLLLPACRCPAAYGVLLLAARGPMEERMQVRHTKIGDRRRRLQRKFIEFVNNQWFRLQG* >Brasy5G148000.1.p pacid=40077786 transcript=Brasy5G148000.1 locus=Brasy5G148000 ID=Brasy5G148000.1.v1.1 annot-version=v1.1 MALNKEYTYADLEKEPYWPFEKLRISITGAGGFIASHIARRLKGEGHYIIASDWKKNEHMEEDMFCHEFHLADLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGIKRFFYASSACIYPEFKQLETVVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPYGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKERAEGGDVSAYGSSKVCTTQAPVQLGSLRAADGKE* >Brasy5G138800.1.p pacid=40077787 transcript=Brasy5G138800.1 locus=Brasy5G138800 ID=Brasy5G138800.1.v1.1 annot-version=v1.1 MERRSSSSSWKPAKQWRKAANVIRTCHRLSSGIQPYVAIDIGNESDPDSSGNGTSSPFTALAADESFKGLVKDKREGSFRRHGGGAGIAAALASDAERGIIPGDVRRRQAAFGVNACPKTAASSATSGTFLVVLLVCAAVSLGFGVRQHGFRDGWYVDGASIFLVVFVVASASAASRHGQARPSLQRHRGDRRARQEISVSDSVVGDVALLKTGDVVPADGVFLEGRTSSATGEPHPVEIDAEKNPFLASGVKVVDGYGRMLVTAVGTDTAWGEMMSSITTKEAENTEPTPLQQRLQGLTSTMGKIGIGVAVLVFTVLAADSQGKPLFVVAITEGIPLAVTLALAFTVKRVAKEHALVRRLSACETMGSVTAICTDMTGTLTLNRMVVSEFWVGTDQPKAAAALAGSVLNLLRQGAGLNTTGHVYNKPEDNVSRPQISGSPTEKKPLLSWAVDYLGTDTDALKKSWEVVHMEAGDNRSGVMIRDNAGAVIAHWKGAARMVLPGCSMYVDTRGAAHEFGIEQRAKLEKAIDDMAVAGLHCIAFAYKQVNRHGKQSAMDDDKGLTLLGLVGLKDPCRSDAKSAIDTCAKAGVEVKMVTNASTALARAVAVECGLISINSPSRITIEGPEFRAITQEQQLAIVGDIRVMARSLPMNKLLLVQRLKQKGHVADVGLSMGIRGTEIAKESSDIVILNDSFSTVATAVRWGRCVHDSIQKFIQFHVTVNVAALVINYLSAITTGRMPLTTVQLLWINVIMDTTGVLALATGTPTEALMRRPPASRAAPLISNAMWRNLVAQAAFQVGILLSLQHKGRRVSGADETVNRTMIFNTFVLCQVFNLFNAREIEKKNVFAALCKNRMFLTIIAATVVLQAVMVEVLTRFAGTKRLGLGQWGVCFAIAALSWPIDWAIKFIPVPDRPVIKMKASR* >Brasy5G196400.1.p pacid=40077788 transcript=Brasy5G196400.1 locus=Brasy5G196400 ID=Brasy5G196400.1.v1.1 annot-version=v1.1 MARLTVAMLLFFVLALALQAPRNIDAVVVESLQPGFYNQSCPEVEQVVRDVVDSEVGMDHTLAPGLIRIFFHDCFITGCDASILLDESPAGDIPEKQSSANGFTLHGLRTIDIAKATIEAMCPRTVSCSDIVAFAARDAAVAAGLPTYEVAAGRRDGVHSRMDDLPGNFPVPGHTVPRLTELFQKRGLSQEDLVVLSGAHSIGGAHCFMFSNRIYGFSKTADIDPSLDPAFAKLLREMCPRPKPDDNPEEAPKIDFDQRTAQKLDNSYYNELLARRSLLTSDSVLVQDPATRPVVERFARDDALWQKKFGEAMQKVGKLDVLTRPEEGQIRRNCRMVNRPKSEMRTHMPRISWPKPRHPMLQMMNWFIRGFQQ* >Brasy5G460800.1.p pacid=40077789 transcript=Brasy5G460800.1 locus=Brasy5G460800 ID=Brasy5G460800.1.v1.1 annot-version=v1.1 MVTSPSRGRPTGRGSCFGSVHEAMASSSIGRRGRSAGSVPRGGSGRGCSMPVPPDKIWTPKLFGSQKPMILHEMFAKFDPFKLLLLKDITFDGLAEMPKSQCNRQFSLFCLNQTDEDGEPMEFHYPDGSRAPMYPSHVHDIIGLQCEGKHISVHNDDVPEEVIQEVCRAVGVKDLTISSVCEVVERRIDQHSRKAEQEAFQIGVVLLSFAFMLDCRERDPKILIYLLPYLSNVQKLKEVNYARCVLDILSIAARKVHEAKRGSYSTCTVGGCYIVPQHQQM* >Brasy5G021700.1.p pacid=40077790 transcript=Brasy5G021700.1 locus=Brasy5G021700 ID=Brasy5G021700.1.v1.1 annot-version=v1.1 MKRARSSEIFLGGRGRARRRLAPLLAAAALAYLVFVSVKLAGLGGWDDAPAAAASVSRPATAEAGGEALKREKLEPPRRAAVTSGYGRITGEILRRRQAAGGAGRRRWVLRGNFSELERMAAEAWAAGAKAWEEASAFSGDVDSIVSGDGGGGGAGASKCPSSLSLGVGVGEGETSAFLPCGLAVGSAVTVVATARPAVAEYVEALERSGDGNGTVLVAQFAVELRGVRASDGEDPPRILHLNPRLRGDWSRRPVLEMNTCFRMQWGKAQRCDGNPSSSKDDNLVDGFPKCEKWERRDTVESKETKTNSWFNRFIGRAKKPEMSWPYPFVERRMFVLTIQAGVEGYHINVGGRHVASFPHRMGFTLEDATGLAVTGGIDVHSVYATSLPKIHPSFSLQQVLDMSDKWKARPVPAEPIQLFIGILSATNHFSERMAIRKTWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDVVILPFIDRYELVVLKTVAICQYGVQNVTAEYIMKCDDDTFLRLDVVSRHISTFNRTLPLYLGNLNLLHRPLRSGKWAVTYEEWPERVYPPYANGPGYVISVDIARDIASRHSNQSLRLFKMEDVSMGMWVEDYNATAAASGPVQYIHSWRFCQFGCVDNYFTAHYQSPRQMLCLWDKLSLGRAQCCNYR* >Brasy5G351100.1.p pacid=40077791 transcript=Brasy5G351100.1 locus=Brasy5G351100 ID=Brasy5G351100.1.v1.1 annot-version=v1.1 MDPHLESERVNSRLNNLETSNRSSFWYERDRGYALALLQDRVGQVGAFADSCRQALELVYTSLFPLRQPPAGLEALMKKFRGGAVMTDFARALRINGAQTALAYVAKNHPEVDMEHVDELWDPTGEGIDLRPQLAVALKPARRIVYLRDQAQQRHLDAKIGQSIQQIKEET* >Brasy5G402600.1.p pacid=40077792 transcript=Brasy5G402600.1 locus=Brasy5G402600 ID=Brasy5G402600.1.v1.1 annot-version=v1.1 MGCLGWFKRRKSKSRRRGASGLATTTTSAVSTSRSDDSGAVRPVSKSTTTTTGSSTVSQRSITELYEERGHGQLRAFEFEELRAATNDFSRAQKLGEGGFGSVYKGYVRPLDAKGDRIAVAVKRLNLRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCATDGERGAQRLLVYEYMPNKSLEDHLFSRIYSPLSWNRRLQIILGAAEGLAYLHEGLELQVIYRDFKASNVLLDKDFQAKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLDRNRPQGEQKLLEWVGQFGPDSRNFRMIMDPKLRGEYSSKAAREIAKLAQSCLLKNPKERPAMSEVVEVLRRAVQVELASPRPSPGDKANSAGKGKRVDVAPPSRR* >Brasy5G468100.1.p pacid=40077793 transcript=Brasy5G468100.1 locus=Brasy5G468100 ID=Brasy5G468100.1.v1.1 annot-version=v1.1 MRSKSFLPLLLLCLAMAAAAPRSSAAAPSGVAAVGGGGLMHIPTAAELAHCPTRCGDVNIVYPFGIGPGCFRQGFELTCHGNRKLFLGNRTSTQIQHLDYNFVEASVDLSVDMRLGVDTSYNVSWETPVKGFTLSRDNSVYVVGCGVDAYLFDHDTNHFIGFCMTVCLDNMETMEKDDALANQGWSDCNGRGCCSIGLSQDRRALALRIDRLRDGTGQADHQLLPKVKAFLSEEYLFQTGDLYSSRIHGIQEGTILHIAITDQSSCKSAQMEKSRYACGRGSICEDNPQGGGYSCWCQNQRNPYTADGCTPDYDPNPKGKSCKRSCGNTQIPFPFGLEQGCYALEKFRLNCTGNVTILDRGVQYLVTNVSVNKGYLSIRKTKLENNSRSMEKDDRPLTDLLDLTEEEEDMKMWWSVANLTCLTVTSTDLRDIYACRSTNSSCINVTRVNGTMHLGYRCKCFEGYEGNPYTQNGCNDIDECLLPNICNGTCQNYPGGHICVISAKRHKLILGIAIGIGCGLVATILASGATALLKKWRRVVKKRVRRTHFKKNQGLLLEQLILDESATDKTKIFTLEELEKATNNFDCTRVLGCGGHGTVYKGILSDQHVVAIKKSKMVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHSDLSVKCFLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSIDETHVVTIVQGTFGYLDPEYYHTGQLTAKSDVYSFGVILVELLTRKKPIFINNVGTKQSLSHYFVEGLAQGGLMEIMDLQVVEEANQEEIDDIASVAEACLRTKGGDRPTMKEVEMRMQILRSTRLRRNQLASRKGGEIEPFLCPDTNSSDARTNSAGTVDVPSSCMSGMYSLEQEFASLPR* >Brasy5G153700.1.p pacid=40077794 transcript=Brasy5G153700.1 locus=Brasy5G153700 ID=Brasy5G153700.1.v1.1 annot-version=v1.1 MPTCDSFCAWEATRLVLTWVIICASFGAGYMYHHPAPCHCGAAANGSPAPVVPRPIMAQPPSMARPTAAPTPAPFPARPGPPAATAPPPATAAMVLLPPPPAPKAPSPKAS* >Brasy5G016600.1.p pacid=40077795 transcript=Brasy5G016600.1 locus=Brasy5G016600 ID=Brasy5G016600.1.v1.1 annot-version=v1.1 MGFEEAAGGGGGGIRALMRRKQVDSDRTRAGGGQQLAKELSIMQLITIGVGSTVGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQGTLPWILARHELPWLDVVVDPCAAALVLLVTALLCVGIKESTFVQGIVTVLNCCVMLFVIIVGSYIGFQTGWVGYKVSGGFLPYGVNGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCTLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMQWAMYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPAFFSDVSEKTQVPVKSTIVTGICAASLSFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQASFRFSQECDEEKVVGPLGDEDHEQGTSEIKDVVVVESVNDPLIEKQIYANKLDEIKRRKRAALSIASVCVGVLVLTASASVTFLPFLVSCFVCVFGGLLLLAGLGVLCWIDQDDRRHSFGHSGGFICPFVPLLPVMCILINTYLLINLGAGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQANEIYGSQSSLGFVA* >Brasy5G225600.1.p pacid=40077796 transcript=Brasy5G225600.1 locus=Brasy5G225600 ID=Brasy5G225600.1.v1.1 annot-version=v1.1 MDCKFSTLVDSETTLLTVKQFDNSAALETSQSIRQGMSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRMHEVMASKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGNLKVSDFGLSALSQQLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWMSFPAKRLLTRILDPNPMTRITIQEMLEDEWFKKGYKRAEFDEKYDTTLDDVDAVFNDLEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEMEFKRETRFTSKCSPKEIVRKIEEAAKPLGFDVRKKNYKLRLENVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNKPS* >Brasy5G225600.2.p pacid=40077797 transcript=Brasy5G225600.2 locus=Brasy5G225600 ID=Brasy5G225600.2.v1.1 annot-version=v1.1 MDCKFSTLVDSETTLLTVKQFDNSAALETSQSIRQGMSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRMHEVMASKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWMSFPAKRLLTRILDPNPMTRITIQEMLEDEWFKKGYKRAEFDEKYDTTLDDVDAVFNDLEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEMEFKRETRFTSKCSPKEIVRKIEEAAKPLGFDVRKKNYKLRLENVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNKPS* >Brasy5G225600.3.p pacid=40077798 transcript=Brasy5G225600.3 locus=Brasy5G225600 ID=Brasy5G225600.3.v1.1 annot-version=v1.1 MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRMHEVMASKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGNLKVSDFGLSALSQQLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWMSFPAKRLLTRILDPNPMTRITIQEMLEDEWFKKGYKRAEFDEKYDTTLDDVDAVFNDLEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEMEFKRETRFTSKCSPKEIVRKIEEAAKPLGFDVRKKNYKLRLENVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNKPS* >Brasy5G225600.4.p pacid=40077799 transcript=Brasy5G225600.4 locus=Brasy5G225600 ID=Brasy5G225600.4.v1.1 annot-version=v1.1 MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRMHEVMASKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWMSFPAKRLLTRILDPNPMTRITIQEMLEDEWFKKGYKRAEFDEKYDTTLDDVDAVFNDLEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEMEFKRETRFTSKCSPKEIVRKIEEAAKPLGFDVRKKNYKLRLENVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQNKPS* >Brasy5G133300.1.p pacid=40077800 transcript=Brasy5G133300.1 locus=Brasy5G133300 ID=Brasy5G133300.1.v1.1 annot-version=v1.1 MADAARSVSAGPALMVVLLLAFAARAAVGASACDGKKCGMGTCKEVPAVPPPLPMPFDFECDCFLGWARVGILLPTLPCYIPSCISPIVCYTPAIKQPPLGGNVTANPCLVMDCGPEGTCVMDDSPNFHCNPNLHCKCNPGATNVLNRPSYPCIKNCVIGEDGCPVPAPRPPPSRPLPPSPPPPVPLLPGPPPPSLLPPSPLPPPPPPSSSSTAVPPGNHDSSAMNCICLLASLAALHLV* >Brasy5G378800.1.p pacid=40077801 transcript=Brasy5G378800.1 locus=Brasy5G378800 ID=Brasy5G378800.1.v1.1 annot-version=v1.1 MYFSRLDDSPMFRKQMQSLEEGAELLRERCLKYHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDMDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRSDIATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMTDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKQNSRPSSGYPNQRSSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIANLLSSQSPERRLLLSPKGSGHHRTTSESSSFSSSTELDHSISEDCMMEKNSGSGYFEHSSRVTQHHRTSMKPDKPIELLRKVVGNDICADCGAAEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLPLSSSVDHGDTPRADGIENTSPNLAVSKPKHSDPIAVKEKFIHAKYADKDFVRKRKMDETQLAQQMWDNVSSNNKMGVYSLIVGSHADVNLTYGQTSFNSALTLGKALLLQEQPASPSNGSSRCFDRGSLEKISPTDSLSPASTSARIDELDVGVEGLSLLHLACRVADVGMVELLLQYGANVNSTDSRGRTPLHHSILKGRRVYAKLLLSRGADSRATDRDGRTALQYAIDSGTINDEEILVLLEDPSR* >Brasy5G086800.1.p pacid=40077802 transcript=Brasy5G086800.1 locus=Brasy5G086800 ID=Brasy5G086800.1.v1.1 annot-version=v1.1 MAEAAAAAALAKGAAVFVGKTGAGAAITYLVKTALGRLSAEDEDLRERLTAKLPAIEAVFCAADQPRVREDTSLGPWLWQFRGAMQEAEDALDELEFLDLEKEARNLGAKEAKDWSVSASSRLHSISAGLRRSLNTARGGGTTKGRLKDALKRLDSVLDDVEKFVTTMGLRLHPSSSDDQGHVQDLASRRETTRELTTVAFGRKSEKDAIVEWLGVQAMQARDYELKVCAIVGVGGMGKTTLAQLVCQDREVQDHFGDMIIWVHVSKRFDPKVLVRRILESINRDKASAEALDPLQSDLTKELVTKRFLLVLDDAWEDTPHDRWEQFLGPLRNSAPMGGRILLTTRKGSVADAVKRQMPAGYKCMELRGLDQQDTLKLFNHHAFGSSTQNDNSEIQLIGEQIATRLKGCPFLAKVIGQQLRENTDCRKWKNILNQDIHQFDEIAPRIIEMLRLSYQDLTYEVQLCFRYCSIFPPHYKFKMEAVIEMWVSSGLILRRENGLKNREDIAREQFNILTRKSFFSLLPRELNADPSEDYYVMHDLIYELACSVSTDECSRFQTINNNTNILPEVRHLYIEGVNSQSINIISQSKYLRTLIISSEESSIQKELLHDLRNAIKGRTSLRLLKLCGNAFTGMNNAIAELKHLRYISMSVTEESNLCNLFKLCHLEVLQILKIEKEEKESPIDISSLRYLQKLHLPKNSLSRIPYIGRLTTLRELNGFSVRRIDGHRITELRDLRMIQKIIVLDVQNVSDNTEASLAELDKKTDMKFLSLGWSDVARTDDQILNKLIPNSNLKHLIISGYSGIKPPMWMENPYLSNLVHLKLDGCLEWDNLPSLGRLSTLRHVFLENLPKLKYIVRSFYGSYSYRGKWMKGSGPEGLPPHLITFVVKDCPELLELPDLPFSLRHLGIDAVGISNLPNMCHHKGSKGVSTVDPQLSILRIESCDLLISLDGCFLQEEHYKALSVLKLFRCHELRSLPAAADFQRIYKLESVEIVQCNSLSSLGGLGALSVLKSLKIEKCGNLVTSSSSRPLPASVESTNLKLDMLAIDDHLLLLLIPLRNLCLTKSLIISGRSTMAELPEEWLLQNSDRLEHIEISNAELLKSLPLKMNDLHALRSFSVHNTHILQSFPSMPPNLWVLTIHGCCLELKENCQVGGSEWSKISRIPNCHISPRGNERQ* >Brasy5G456300.1.p pacid=40077803 transcript=Brasy5G456300.1 locus=Brasy5G456300 ID=Brasy5G456300.1.v1.1 annot-version=v1.1 MDAEGRLRRALAAFGGGGGAVWELVDAALASAPPAELRARRDGIVERLYAAGARCRNCDAAPPRARQPAEAAAAALSPEEDVDGLGEDEEADDDEAAGAESKILAIRDFLEDPDQSEDELVSLLQNLADMDITYKALQDTDIGRHVNSLRKHPSGEVRQLVKLLVRKWKEIVDGWVRLHNSGGDGGSSILTADGDSPEKTQGKSYKNAQVSDFKYSPSPQRHNGLSSERSSNNNGFETTMERRRASPAPSYNQTKQNSSNNYSTTSSAPARTMREQKDNNLDLEKLDSARKRLHENYQEAQNAKKQRTMQVLDIHDIPKPKNRNTFIRKGGGGGLPGRHR* >Brasy5G149300.1.p pacid=40077804 transcript=Brasy5G149300.1 locus=Brasy5G149300 ID=Brasy5G149300.1.v1.1 annot-version=v1.1 MLRRRHYVIASGWACLLCPVPPEEDLDHLFFACTFSQQCWSELGIQWRVNMPLPERLLNASLDWRKGLFWEVFILAAWGLWKVRNAKLFENIAPSVAAWKIGLKSELQLLAYRSTKENFTTKLAHLLRRQPVVGGVQTEAAGKPCGAETCPGRPCTSDPSRPSSYACSLDTNGGRAKMAVEEAEDGGSLKRPPSSLSFGGSPSDQALGRTPTVRQCAR* >Brasy5G091900.1.p pacid=40077805 transcript=Brasy5G091900.1 locus=Brasy5G091900 ID=Brasy5G091900.1.v1.1 annot-version=v1.1 MELDQYGPPTDSHFWKEVTRVKDLLLQFGEFQVENGESIRFWKDRWFRDLPLCDKYPRLFHIIRNKHVMVASVLGVSQPHVEFRQTLLGHHLEA* >Brasy5G099900.1.p pacid=40077806 transcript=Brasy5G099900.1 locus=Brasy5G099900 ID=Brasy5G099900.1.v1.1 annot-version=v1.1 MDRAVEEARTAACEICGSSKNQEVMVNCTQCNAYRHCYCLEVVAFEIKGKWCCYECQKNANGDPEPIQGEKTEFQRPLHGCDLRKESKTPILDNNRRNKTPQRYENSKVKYIPCEEAALLGKERQTAHNRPKFVARRTTLVRPASPNMKCMSPSRQVLPALKNVKPSSSMKSILPSRQVQPASPRSMKQSRSMVCVTPSRSETQVFASQRCTVASQNTIKVDVNMQQRIQSGTPISKVLPHSTKGEVKENVHQLQDAPREVNVASADKGASNSWADDHHSGESAFSSSVPTDADIGCESGSKSLHHHIDMPVIINSSVEYARRPPPQPICWTGCFLASAGENINLGEFEAYFPSGVSSKVRNIIKKMPNNLQLMIQPRMNYWPKAFEKTRPVYDDIALLFFPTKLDCNGMKRPRPLEIQCGFAMKTYVDDMMLLIFNSEVLPPDSQWIDGESYLWGVFVKPRPMSDSARFGSVAT* >Brasy5G099900.2.p pacid=40077807 transcript=Brasy5G099900.2 locus=Brasy5G099900 ID=Brasy5G099900.2.v1.1 annot-version=v1.1 MDRAVEEARTAACEICGSSKNQEVMVNCTQCNAYRHCYCLEVVAFEIKGKWCCYECQKNANGDPEPIQGEKTEFQRPLHGCDLRKESKTPILDNNRRNKTPQRYENSKVKYIPCEEAALLGKERQTAHNRPKFVARRTTLVRPASPNMKCMSPSRQVLPALKNVKPSSSMKSILPSRQVQPASPRSMKQSRSMVCVTPSRSETQVFASQRCTVASQNTIKVDVNMQQRIQSGTPISKVLPHSTKGEVKENVHQLQDAPREVNVASADKGASNSWADDHHSGESAFSSSDADIGCESGSKSLHHHIDMPVIINSSVEYARRPPPQPICWTGCFLASAGENINLGEFEAYFPSGVSSKVRNIIKKMPNNLQLMIQPRMNYWPKAFEKTRPVYDDIALLFFPTKLDCNGMKRPRPLEIQCGFAMKTYVDDMMLLIFNSEVLPPDSQWIDGESYLWGVFVKPRPMSDSARFGSVAT* >Brasy5G300900.1.p pacid=40077808 transcript=Brasy5G300900.1 locus=Brasy5G300900 ID=Brasy5G300900.1.v1.1 annot-version=v1.1 MPLKPIFSKPRKTAAAVTTSMEKRSPLLALNALPTVLLLLLFVSVADAQVFCRSQFNLANEACSLRTFPGANPAVPPRRPLNESSAGYELQADHGHGGEHGGVRGSSHHGHGHHVGGADPYDTACCRRLMGIDNACICQAMSFLPVFMSRVKHAIKLTPVPGCDIAFECAAVY* >Brasy5G115100.1.p pacid=40077809 transcript=Brasy5G115100.1 locus=Brasy5G115100 ID=Brasy5G115100.1.v1.1 annot-version=v1.1 MLKRCHYNISTGWNCLLCPSPPEESLNHLFFECPFSQHCWGALGIYWDLQLPISDRLLAARATWARGLFGEVFTLAAWAIWSERNAKVFDDLNPSFVSWRAKLKIELERLCHRSLQDRFRAKLSSILVALF* >Brasy5G343400.1.p pacid=40077810 transcript=Brasy5G343400.1 locus=Brasy5G343400 ID=Brasy5G343400.1.v1.1 annot-version=v1.1 MAETSVSSPLAKTHSSGTSATPCTRRSLDAVQAPRWIEFWSDASSDRRRRDSSSSEASTASSCSSSSSAGCPSPPRRSTPRWVDSYLDKLGSVLKEGGWRDREVDEMVEVTASGMFDGEESAPASDAEAVLDALLLKTDRCSDSLRRAGWSSEDVSDALGLDFRRGKKPLRSAVQIPPEIAAKVQRLAQAVARS* >Brasy5G031800.1.p pacid=40077811 transcript=Brasy5G031800.1 locus=Brasy5G031800 ID=Brasy5G031800.1.v1.1 annot-version=v1.1 MRRLVAALLLAFASALLLPHGHETFSAEAPANIPEPCDRRCGGATAVPYPFGFSAGCPIPLSCDGNASTRTLPTIRGPNGTTSYRVVAFNATTVLVAVPPSCERTVEEARAALSGPNYGVSARTGLFLRGGGCRAPPPIASASCSVPAVVMSRLLRTAQCIGNETNAVGCVASATQNATAETFLSWDKAEKTKCDDVLTSAVYMDAEDQGTAGSLENGVAELGWWLNGTCAGGGGGKGAGSCAANAMCTDVRTPGDTAGHRCACAEGLEGDGFFAGDGCYPTRARRAWWSPLLVAGLSVIVFLVSISIVACFLLCSRKMHNGRKTVRRLSREATVYHDELGDNELDQGTTGPRRFSYHELAAATNKFCDDGVLGRGGFGSVYRGFHCGMNREVAVKRVSETSRQGWKEFVSEVRIISRLRHRNLVQLLGWCHGGEELLLVYDLMHNGSLDAHLYAIDSVLAWPVRYEIVLGVGSALLYLHEDTEQRVVHRDIKPSNIMLDASFTAKLGDFGLARLINDGRRSHTTGIAGTMGYIDMECMLANRTSFESDVYSFGVVLLEITSGRRPAVVIEDEEVVHLVQWVWDFYSGGAILDAADKRLSGGDIEGREMERVLVVGLWCAHPDRGLRPSIRQAMNVLRFEAPLPSLPARMPVATYGPPTNSLGSGTMAMSSVGGR* >Brasy5G133600.1.p pacid=40077812 transcript=Brasy5G133600.1 locus=Brasy5G133600 ID=Brasy5G133600.1.v1.1 annot-version=v1.1 MAEGVEDDRISGEGDESGGKRRAAPIGRERIAGLGDDPGAQEAGGVGEGRGRRLRGGRESAGIDLQSSGGSLSEQQPERWAAEAEVEAGRDTGGGADLHHDARAPHAEEATGNPSGGGGGGGMRAEDVAGVGLVAMPVLPERARQLIMSFLPLRMAIRMSTVSKPWLRTWMENRQYLKYLFTSSKQIEPIRQELDRRGIRRLHSFSVSVRCSQLNQEDFNSLLRYAAQCFVQNLGVDLFLKRKAYYVQLPEMRPGLVRLSLRRVGITRLQQVQAFSIPTLEHLEIMGTALDDQTLHRLILGCPHLRFVDLQRCTLMRSIDFNGARNDLRSIIVAEFPSLRIINAPTANCLISFTYSGDFSELAFSPSAELSNLYICFGGPPFARTLKPSHKWISLMSKLSLLKVLTICSVALENIYSWTENIKNISLSCLQELQLLMFTIKSKDISNICRFLRLSHCSVVERLFMQMPVDEKEDFQDALPGIREDQEEENFKKLAFVKIMNFKFHVLHMEVVELLCRKSPSLKKLLLITGGGFPTQTECWAVKTDAVIMVVDSDDRAVMPFHSQIFTHN* >Brasy5G502500.1.p pacid=40077813 transcript=Brasy5G502500.1 locus=Brasy5G502500 ID=Brasy5G502500.1.v1.1 annot-version=v1.1 MAAALLLPSTNRRLFFFLLLLPLLLLAAFVPRGSCSRPLHRRQPAAAPAPAGALSSNNGAAAVVVETIALPTTATAAQGAAGVAWLLERKPRGRPPPSGPSKRTNDIKA* >Brasy5G292600.1.p pacid=40077814 transcript=Brasy5G292600.1 locus=Brasy5G292600 ID=Brasy5G292600.1.v1.1 annot-version=v1.1 MQIQVRCGCGEAACPEWAVVEVQGMLQPQPCFSGRIQGLHIGRLCTSSPSSSSSSKQGSYTFTVGYHELTGTKVTLKKPLLVLRKKKKNDGEAGTEMELEVIGVIRHKILFKDRPKALISKPPPKEKKALPAATIATPSSTS* >Brasy5G292600.2.p pacid=40077815 transcript=Brasy5G292600.2 locus=Brasy5G292600 ID=Brasy5G292600.2.v1.1 annot-version=v1.1 MQIQVRCGCGEAACPEWAVVEVQGMLQPQPCFSGRIQGLHIGRLCTSSPSSSSSSKGSYTFTVGYHELTGTKVTLKKPLLVLRKKKKNDGEAGTEMELEVIGVIRHKILFKDRPKALISKPPPKEKKALPAATIATPSSTS* >Brasy5G209300.1.p pacid=40077816 transcript=Brasy5G209300.1 locus=Brasy5G209300 ID=Brasy5G209300.1.v1.1 annot-version=v1.1 MGGSASAAVVPRRWRARYLSVFRRGRAPDPGELLLFSDGRLVLLDVDGSPVDARFRHEGDVFSEGAVLVFPCYGVKVGSPLEGRLGPVVADRERVTDPLVSEAQQGGGGEGRSKRVRPIQAGVPRIAAPRSPGWSPRRPIHELQFDEEVAVAFEDLEIADEMDGVEVMDCSNGQPGPFQGHGVSYFLESSSP* >Brasy5G014500.1.p pacid=40077817 transcript=Brasy5G014500.1 locus=Brasy5G014500 ID=Brasy5G014500.1.v1.1 annot-version=v1.1 MPPPPPALLDELVEEILLRIPPDEPASLLRASLVCKRWRRRLSDPRFLRRYRELHGAPPMLGIICTMNELRQRGFIYLVARFVSNTGFRPSPVEIEGFSVLDCRHGRVLLHYQSLFVNEKPDLVVWDPLTGSQRRLRQPDIECETCNAAVHCAAAAEGGSCDHGTCSGGPFRIIFVCTDTDKPGVALAFAYSSVTGEWSAPASLQLGADNVELEEWPGSPGVLVGDALYFLLAARAGILKYDLGRHCLSVIQLPMPTATDIFGYAGPYLVAANDGVLGLAFNNMFTLELWSMEACRDGVATTWAHHRVIHLNTLLPTEDPAISLEIAAFVEGTHMVIVITDLDYYMIDLKSLRLMKMKLPESERKSMRPTGRRKCFGIYPYMRFYNPPGPLVYHDTDAEEPEDAGLGVGVARN* >Brasy5G005500.1.p pacid=40077818 transcript=Brasy5G005500.1 locus=Brasy5G005500 ID=Brasy5G005500.1.v1.1 annot-version=v1.1 MAAMMVEKWAGFGSAVASVVFLWSMVQNHIPPTLRLYVTAWAAKLAACLNPYLTITVSEYTGERFKRGDLFLAVESYLGDACARRARRLKAELAAKDGKNLQVTVDDHEGVTDDFAGATVWWYATKKDSKANVISLYPGQEDQRFYRLVFHRRHRDLVVDEYLPFVLAEGRAVTVRNRQRRLFTNNASGSWSPYRKKSVWSHVPFEHPATFDTLAMDPVEKDAVIDDLMAFRESKEYYTKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTDLRKLFIETTGKSIIVIEDIDCSVDLTGKRRKDKKGSKESEDDSDKPKLPTDPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVDDHELFAEIRRMLEETDMSPADVAENLMPMSKKKKRDPDMCLAGLIEALKEAKEEAAAAAVAKAKEEEEAKEAEAKKAKEKEESEAKKAKEKDEGKDKTSQEANGDIKESDKGRE* >Brasy5G354100.1.p pacid=40077819 transcript=Brasy5G354100.1 locus=Brasy5G354100 ID=Brasy5G354100.1.v1.1 annot-version=v1.1 MKSSSLLVLLVAMASAIAAAAQAPAPDTVADTSNAIRDLVDYAFCVAAPRSAGSGADRHAHLLLAASRAAASAMARSEGSPGIGDARDGLEACAFLYGASSVPALLLLRGRAIMRCGADAKHRAESIRTAVRCKARPRGINLAGVARAARCTHRPCNFFPQ* >Brasy5G075600.1.p pacid=40077820 transcript=Brasy5G075600.1 locus=Brasy5G075600 ID=Brasy5G075600.1.v1.1 annot-version=v1.1 MVSPAKMSGGSGPWRAPAPVRQLYWRVRKAVLPRRPRRGEARFGYDLQSYSRNFDDGQLVSS* >Brasy5G128500.1.p pacid=40077821 transcript=Brasy5G128500.1 locus=Brasy5G128500 ID=Brasy5G128500.1.v1.1 annot-version=v1.1 MCTRHRFIQIYGAHLHGVLKLEFQMVISQWSSIRDGDASTRSLSRRLTLQGSWLTTHQCKFHSSCMPDK* >Brasy5G027500.1.p pacid=40077822 transcript=Brasy5G027500.1 locus=Brasy5G027500 ID=Brasy5G027500.1.v1.1 annot-version=v1.1 MANGGVWVFRNDGVMELEQEPAAGGGIYYQVSCTNNMGVLKTIAGIKLPLTSPNLVRRDVCGFDCTNGLVAVPTEDYCIQFYNLFENTEVSEVQVCERNFQPVDDITMYISLVSLSISGNFMCTVEVKLPEEELGGLVTLKFWNHGSRAGHFHLSTVIYEPHSDAAISAVAFRPGKNMSVSSSVGGNFKVWVQSSSLQSSDEKSQSGWRCLSVGSYKKKPMTAAAFSGDGSVLAVAAGSVITLWDPDNNALVGVIAEALSPITKLSFGGTSAYLMSLSQSSKPQVAVWNVSNLSMQWSYTLFAEAACCSSSKSEFAVLSLLSYPEGETPAEQDGVILLFDAENSNPISSWSVKKAKGGSLAFVKDDQSLDGSLLVYVNGSHEYVIFDPRKNEDSQISKSAQKNIQADEPASIGYAAIYGQLPKLELKKEVSDIPFIPSERPWETIFTGSSHVLPPLTKLCSVFLASLLEKRPVANE* >Brasy5G027500.2.p pacid=40077823 transcript=Brasy5G027500.2 locus=Brasy5G027500 ID=Brasy5G027500.2.v1.1 annot-version=v1.1 MGVLKTIAGIKLPLTSPNLVRRDVCGFDCTNGLVAVPTEDYCIQFYNLFENTEVSEVQVCERNFQPVDDITMYISLVSLSISGNFMCTVEVKLPEEELGGLVTLKFWNHGSRAGHFHLSTVIYEPHSDAAISAVAFRPGKNMSVSSSVGGNFKVWVQSSSLQSSDEKSQSGWRCLSVGSYKKKPMTAAAFSGDGSVLAVAAGSVITLWDPDNNALVGVIAEALSPITKLSFGGTSAYLMSLSQSSKPQVAVWNVSNLSMQWSYTLFAEAACCSSSKSEFAVLSLLSYPEGETPAEQDGVILLFDAENSNPISSWSVKKAKGGSLAFVKDDQSLDGSLLVYVNGSHEYVIFDPRKNEDSQISKSAQKNIQADEPASIGYAAIYGQLPKLELKKEVSDIPFIPSERPWETIFTGSSHVLPPLTKLCSVFLASLLEKRPVANE* >Brasy5G012400.1.p pacid=40077824 transcript=Brasy5G012400.1 locus=Brasy5G012400 ID=Brasy5G012400.1.v1.1 annot-version=v1.1 MATIKAKVQDAASSAKASVGKAKATAGAKMEKATTRDPAKKREAEEKKEDRKLKADSDESDQKGDHAAEKSGRRTIITGEGG* >Brasy5G012400.2.p pacid=40077825 transcript=Brasy5G012400.2 locus=Brasy5G012400 ID=Brasy5G012400.2.v1.1 annot-version=v1.1 MATIKAKVQDAASSAKASVGKAKATAGAKMEKATTRDPAKKREAEEKKEDRKLKADSDESDQKGDHAAEKSGRRTIITGEGG* >Brasy5G461700.1.p pacid=40077826 transcript=Brasy5G461700.1 locus=Brasy5G461700 ID=Brasy5G461700.1.v1.1 annot-version=v1.1 MFSMSLCSGPNRGTDRAASYPFHSHFRPSDPRTHAPSSPNLLRRPSPPPPRQCFAHRCPPANTHAPRAQRRRPLSPTLAACRKATTPAGASLPRVTPTLRLTPPISGGPSPTAGVTTP* >Brasy5G171800.1.p pacid=40077827 transcript=Brasy5G171800.1 locus=Brasy5G171800 ID=Brasy5G171800.1.v1.1 annot-version=v1.1 RVCNAYGSARYCYTSPSSTTSSPRLHRPRPAPEEKRKAAAATVAFLATPRHAATDNGLGHDTMTRSNNRPRQCRDGGEVAMTALVGM* >Brasy5G238600.1.p pacid=40077828 transcript=Brasy5G238600.1 locus=Brasy5G238600 ID=Brasy5G238600.1.v1.1 annot-version=v1.1 MKFKDDISSKNVDKSRQKARSDSESTLAPPSQSTPTAAPASVVMGPQMSDVEDLRGHNFVSDSVITYYFEQLSSVDKVILQPPSISELLVNTTDPSEIFEHLCLDNRLMLFPVNNNPEIHRADAGTHWSLLVYENLDPNRGARFVHHDSTMGQVNSSAADRLVGVLRSIVPNAATAPLMRGYTPVQENVAAAICRWSRRDGAEGDWSEESPKSERQHVYGSLQPSKNKAWSRWTANGAQKARSKKFKRFIREGV* >Brasy5G181300.1.p pacid=40077829 transcript=Brasy5G181300.1 locus=Brasy5G181300 ID=Brasy5G181300.1.v1.1 annot-version=v1.1 MPMALPRASAVARACGGSRRVERRRQFTRVTASAVARPCGGGGGDDGSGTRGLAEPGRGRGQSGGRVQRRRRKGERSGGRARGRPERRRGARTGAEAMTAAACAGPMPPAEELHEYVRWLEAQPPACLVLLCFGLMGRPTRGRYGGRRHSGGARGVLRDDDGFGPSERDALASGQGKAIGIDPIHAGKHRLPCWH* >Brasy5G138700.1.p pacid=40077830 transcript=Brasy5G138700.1 locus=Brasy5G138700 ID=Brasy5G138700.1.v1.1 annot-version=v1.1 MEPGGSRFTCLASGVVDEAVSSQLPEPGGSVAVVRSVLAAGYDAEAASTISEAELDGRRKVLTDAELHDAFWSFVGFPTRESRFWERSDSEADADTGKPAACAHARRPAAHPSTASAGALECWPRVAPSMAGAASAPICFAGGGGRGKAVLSGDGAASSSGGRVPLPSGGGRAALAAGGGRALPAVGGQFQQPRGRMMSGAVAAASRGAPPGQGAAPRPSAPVVSSFSELPPMVFRQGSASGGAGFSFGAGPRSSPEDASGVASGMAAQRGRLPPQTPAPPPPALRQLPVEDRQEHVTKDCPEDVYCVVCDKYDHNELRCPILKMPRPTARLCSYGGDKMGFFQIPEEVMAGNGVASSISPTALVSVSGGAISGEVLEAELRRLIPASSSWTWEAIPHGNNAFVVAFPSQEELQRVVNLEIRLKSHQVSLEFSEWISDEVPPAFFLHTVWVHVRGVPPSLKHFLGMWAVGSVIGVTEEVDMHCFRKRGIVRIKVTVLDPTLFPVDVDVVVAKIGWILVSLPLLWSVMMSPRTTPENIQPPSSHMQSSRFQVGGVEVDFSGWAVTPRAPRPRFEAACPSSAATERADQLPSGVIPADEDCLAKAMRRAATRNLDSPSEL* >Brasy5G364600.1.p pacid=40077831 transcript=Brasy5G364600.1 locus=Brasy5G364600 ID=Brasy5G364600.1.v1.1 annot-version=v1.1 MLWHMVDVRDVADALLLVYEKPESSGRYICAPNYMRAKAVLELLKKTYPGYNYVKCKAGADHDTRITPMSSEKLRSLGWKPRKLEETLLDSVEYYRETGILQDVEGQTAYCLPDVFRLFHAAEE* >Brasy5G088000.1.p pacid=40077832 transcript=Brasy5G088000.1 locus=Brasy5G088000 ID=Brasy5G088000.1.v1.1 annot-version=v1.1 MKPGQSGHEPSRARPEASASPSCRLERSGRSGEPGDGFISWGRRCSCVRRRVQLIGNLGGDGRQAGNCSVPGGGVDGESGQRRRRWGVGNQAGWTRAGPSPRAPAAAPPPWSWQRRAKPQVRGAYIHISSELKSCVPQTWEKVSPWLAVAHGN* >Brasy5G054900.1.p pacid=40077833 transcript=Brasy5G054900.1 locus=Brasy5G054900 ID=Brasy5G054900.1.v1.1 annot-version=v1.1 ASLRKTDLHCASLSFASGLTLALRRSIPGDSLPPFRQRSPVAAPCSSAPNPNSSAPNPTPAGPRPDLLFTSSTIKGNEKRLEEASSSSCKFHKRIFSEEGS* >Brasy5G171500.1.p pacid=40077834 transcript=Brasy5G171500.1 locus=Brasy5G171500 ID=Brasy5G171500.1.v1.1 annot-version=v1.1 MGRWQVPIEDVPCGNTVSLLGLDKCITKNATLTNENSSACPIRVMKFSVSPVVRVAVNCRVASDLPSLVQGLKYLAKSDPMVLCTTEESGEHILSGAGELHVEICLKDLQEFMDGTEIMVSASVVSFRETVLEKSSRTVISKSQNRLNSLSMEAYPLSNPLVEAIDRGLIGPRGDPKVRSQILSEFGWNKDLAKKIWCFGPETTGPNMVVDMCKGVQYLDEIKDSVVATFDLASRKGVLAEENMHGISFGICGGVLHAAANHRSGGQVVPMATKAIYASQLTAKPRLKEPVYLVEIQAPEEALTGTCLLNQKGARVFEEIQRPGTTLYTIKAYLPVIRTFGFPKELSAATSGQAFSQCVFDHWEIIHSDPLEAGSQAAELVSTVRKLKGLKDTLALLSDVDSML* >Brasy5G313100.1.p pacid=40077835 transcript=Brasy5G313100.1 locus=Brasy5G313100 ID=Brasy5G313100.1.v1.1 annot-version=v1.1 MVLRRAAVESPKKVAALVDLVNLPTALREFAGGRSQMSHLSFFLGVWSHIKNNNLQDPTNRNIVNCDEKLKTVLVGRSKVELSELPMLVKLHFPKVFK* >Brasy5G044800.1.p pacid=40077836 transcript=Brasy5G044800.1 locus=Brasy5G044800 ID=Brasy5G044800.1.v1.1 annot-version=v1.1 MEGQPDWTLPLFQLEEHPVGLEIDPSTATDAHIIDYLGAKQQRRESENAALGITTSEVMNGHLGSLHPSELPRCFVAAGLQWNTTHGLWKQKKQGGYTAVRRGSGAGTDSGRGPYIGVKRTLEFYQPDGAKTGWAVIQYNKLDHAEDSNSLVIDGKMTLYKVFELRDDGQLHYQAVVHLATNLEPLPEYTGPFFEDVDNMFDDFNPEHHPIYEDLQLSPLLEDQLDVGSLSEYFNNHEVLMALAPEPEDRRGRKRKRMQSRSAIWDHFAKVHEKDSQDVYAVCLCCDGLLRAHSKKQGTSPLWNHYKMHLRAAV* >Brasy5G147000.1.p pacid=40077837 transcript=Brasy5G147000.1 locus=Brasy5G147000 ID=Brasy5G147000.1.v1.1 annot-version=v1.1 MSSRLCVKNLPKGADEKRLREVFSRKGEVTDAKVIRTKDGKSRHFAFIGFRTNEEAVEALKYFNNTYIDTSKITCEVARKIGDPDAPRPWSRHSLKKPEYNSEDKANTSDVNALPKSSKGQGTSIDVGGSKDSVVNDPKLKEFLEVMQPRSKAKMWANDTTGTLDAAVTESKKPQKSAAAVSEGDSSSEEDFDEKMTTDSPLEDASEKLQTESKRDKNMNMTDAEFFKSKIRKNWSDSESDDDDSGDQLGTSTDDEDSSDESLDANERNQLVDQKSDLNQKNHVEKKATMEEVVDPDNQDSEHIECQQRDENHADQEKEDVESASITDEKQLALETGRLYICNLPYATTEDDLVELCSQYGDVEQAHIVVDKTTKLSTGRGYVLFSLPDSAVRALDELDNLSFQGRLLRVKAAKPLNNNKFESSHVIDEKMNLKQQKLEQKKASEIGGDTRAWNSFYMRQDTVVENIARKNGISKSELLHREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEENTSKKNEKLKRSNHVILVKNLPFNSSEEDLAAMFQKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPDDILSPTSIHVEEEETHVIGERIVAKAIVDQTVEGVGAEEIDPDRVESRSLFVKNLNFKTTDESLKQHFSTKLKIGSLKSATVKKHIKKGKNVSMGFGFIEFDSIETATSVCKDLQGTVLDGHALILQLCHGKKDSKTAKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQLKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRMSKKRKQTSLVDEGSVKFSRIVE* >Brasy5G132800.1.p pacid=40077838 transcript=Brasy5G132800.1 locus=Brasy5G132800 ID=Brasy5G132800.1.v1.1 annot-version=v1.1 MFVVTVAKAIFFTMKRLFQLDNATNLASVELWVVMTTLLLVVRFLIDFSGPWYSNRFTFATVQIIELLNYNMVHYTLGLMQLSSARVNDYFQVWAVLMVTLQYSVKIGRPYGRSKRIPLLDLMSSFWAANLLRVQTVLLLQIPLWFVWSANAARIIAYFLFSDMSVTRNDENVRLVCDYMRYEHTHSDTANTDSMSGYKYLVFGEDRQEMKLDLETFTLKFDMEHPKLITVEKIWGLHDSGLLGRTADPDNQLKDVCLSFALYKLLHRRFYDLPIHEAQQDKTKKLVFEGILKDKDDDYERVFRVTGVELSFLQDLYHSKHAQLSVRGFPFPSLFLSLVLVAVTGYIAYPVHQIHHRIDPAVLNRNRITHGAFITYIIVGLIIAKEIAEIIMYVFSQWTKVHMICMHIKYPKFGQFWLVVKAMRCMFWLIRKGKWKHQIRQYNLLISSRKHYMAILFPRSIKLESQVKNAIFNSFKGLKFLDPRPETINGLDQNPERSEAYFSYAFGSKGDLMQHLEWAIDLEADTHRILVWHIATCLCEINLSDNAYGLKTFWMKPRPFVNRSRAPEGVWEHYITASSLSNYCGYLLVKELVPDTGLVVQKVFHEVRMETNHATSKLGRFMSLFDIYDSLMVKAEKNEKPKEEESLQVPQTMGDDSLITEQVAGQDDEGEDYPADALVNEEDPENGVDGDGEDEEDTIIMMGTRLGKQLMDRYEADSIGLWADLAKFWTGFLLHLAANTGAMKHKMHLAGNGELITHLWALLSHAGILGGSHGEQLLDPVNLANIKTANRDMTDPTRYPTFVN* >Brasy5G234500.1.p pacid=40077839 transcript=Brasy5G234500.1 locus=Brasy5G234500 ID=Brasy5G234500.1.v1.1 annot-version=v1.1 MAAYLSMGEAHRRINDYLSRLSDAISDSDGAALASLLAVSSAPASTPLSDALAAFPDFARLASDRFPHLSDFLPPLFRAIHSHSTRRFSDAYSSFEKASSAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELAMSGKNPDKLQAAGSFLMKVFGALAVKGPKRVGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNPQSESNLRKILKFLIPVKLSIGVLPSRALLEKYNLIEYADIVTSLTRGDLRLLKQALDTHEDQLLKSGVYLVLEKLELQVYRRLVKKIHIIQRQKEPAKAHQIKLDVLVKTLRWLGIQMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPI* >Brasy5G465100.1.p pacid=40077840 transcript=Brasy5G465100.1 locus=Brasy5G465100 ID=Brasy5G465100.1.v1.1 annot-version=v1.1 MDRLLLSRPPMPTPVLHHAAAAAPASPAADGDLLELDVLWPASSAPGLLAALPDDEAKKKKKRAGAGAGGPAAVRSAGRAIPEKAAALIVPSAAARSAPVRIPSEAARRGRWAHAVGGGGSDDGDAMVPPHEIVTRRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD* >Brasy5G298200.1.p pacid=40077841 transcript=Brasy5G298200.1 locus=Brasy5G298200 ID=Brasy5G298200.1.v1.1 annot-version=v1.1 MLLLVLWEHFLSGFMVGSAITRLVQSFSVVFLYWFYVFSEANLIKSS* >Brasy5G482000.1.p pacid=40077842 transcript=Brasy5G482000.1 locus=Brasy5G482000 ID=Brasy5G482000.1.v1.1 annot-version=v1.1 MVLLTSRCLAFAEGVVTMVCPVLLALALKKDKRKPALVPTEMLTVAGATLVTGICPLLACCITERFPTACKRWPSVTRGLAATFSSACLLVLACWISLLVVSESFVIVVGFLSGICLVIRATSYWTRSAEQRGQRRSTTASDVNPEVITNGDATSSSATGAKEEKAKEEEEEEEEEEEGGTRELCTMVDKSHEFLSGVTGILFLGLEGLALEGLLSTRDGRTTGDALRPHMTVSLFTCALGVTLMFVQMVPPRAAAMTNGVVYFTDVAMAIGTATLLVTVMVKLMGVIGLLFLASPLLILVQLLYTVKIKAWRATRLRRVAVQQAKLPTKTSTPPPSPCCGPSVSADGDGSEGEEQADKPPAPMGLTKVTFTGFLAVSVRAISGGGSPGVSVWTICFLLFAAAAIASGVSWRLLTHTQNKSRVGGKAAAEEAANVASFCTHFCIAVATVLFAVMAWEAEAAAAAGEHASACAHVSEVTCVHLNKILDVQLMRNMCTCIEPCN* >Brasy5G482000.2.p pacid=40077843 transcript=Brasy5G482000.2 locus=Brasy5G482000 ID=Brasy5G482000.2.v1.1 annot-version=v1.1 MVLLTSRCLAFAEGVVTMVCPVLLALALKKDKRKPALVPTEMLTVAGATLVTGICPLLACCITERFPTACKRWPSVTRGLAATFSSACLLVLACWISLLVVSESFVIVVGFLSGICLVIRATSYWTRSAEQRGQRRSTTASDVNPEVITNGDATSSSATGAKEEKAKEEEEEEEEEEEGGTRELCTMVDKSHEFLSGVTGILFLGLEGLALEGLLSTRDGRTTGDALRPHMTVSLFTCALGVTLMFVQMVPPRAAAMTNGVVYFTDVAMAIGTATLLVTVMVKLMGVIGLLFLASPLLILVQLLYTVKIKAWRATRLRRVAVQQAKLPTKTSTPPPSPCCGPSVSADGDGSEGEEQADKPPAPMGLTKVTFTGFLAVSVRAISGGGSPGVSVWTICFLLFAAAAIASGVSWRLLTHTQNKSRVGGKAAAEEAANVASFCTHFCIAVATVLFAVMAWEAEAAAAAGEHASACAHVSEVTCVHLNKILDVQLMRNMCTCIEPCN* >Brasy5G482000.3.p pacid=40077844 transcript=Brasy5G482000.3 locus=Brasy5G482000 ID=Brasy5G482000.3.v1.1 annot-version=v1.1 MVLLTSRCLAFAEGVVTMVCPVLLALALKKDKRKPALVPTEMLTVAGATLVTGICPLLACCITERFPTACKRWPSVTRGLAATFSSACLLVLACWISLLVVSESFVIVVGFLSGICLVIRATSYWTRSAEQRGQRRSTTASDVNPEVITNGDATSSSATGAKEEKAKEEEEEEEEEEEGGTRELCTMVDKSHEFLSGVTGILFLGLEGLALEGLLSTRDGRTTGDALRPHMTVSLFTCALGVTLMFVQMVPPRAAAMTNGVVYFTDVAMAIGTATLLVTVMVKLMGVIGLLFLASPLLILVQLLYTVKIKAWRATRLRRVAVQQAKLPTKTSTPPPSPCCGPSVSADGDGSEGEEQADKPPAPMGLTKVTFTGFLAVSVRAISGGGSPGVSVWTICFLLFAAAAIASGVSWRLLTHTQNKSRVGGKAAAEEAANVASFCTHFCIAVATVLFAVMAWEAEAAAAAGEHASACAHVSEVTCVHLNKILDVQLMRNMCTCIEPCN* >Brasy5G223400.1.p pacid=40077845 transcript=Brasy5G223400.1 locus=Brasy5G223400 ID=Brasy5G223400.1.v1.1 annot-version=v1.1 MSQSTAARQQSSLTMESPESSVASTQASARAPAPAPAVIPKAAVRPRYNNDDHDDDGVPRVARCVASMIDRGDPESHRLFLARRTALEMLRDRRYAVPEADLARTLPEFRAWWAERPEIDRLSFSTTLASDPSNKVQLVFCPPEPFKIAAIREVYGRVKDENLSCLILVLQSKITSRAKEAIKEIFKYKVDVFQIAELLVNVTKHVLKPEHEVLTPEEKAHLLKKYNIVDSQLPRMLETDAIARYYGLGKGTVLRVTYDGELTGNHVTYRCIF* >Brasy5G242700.1.p pacid=40077846 transcript=Brasy5G242700.1 locus=Brasy5G242700 ID=Brasy5G242700.1.v1.1 annot-version=v1.1 MDSNWCMLRWIDRCRQTAHSDTNLHVSEELGNVHVHACLD* >Brasy5G270200.1.p pacid=40077847 transcript=Brasy5G270200.1 locus=Brasy5G270200 ID=Brasy5G270200.1.v1.1 annot-version=v1.1 MIMVPIVSFVKMLPSKRGADDLTRFSADNKRTCSGEEATDLLVSDIWSELSEEVASKLSRSVVSIALSNGQSVLYASSGIVIERGCNFTKFVTSASLVRALHDSETNGHDKLEIKVRHEGNVDIGFLEEYDLDLEIAVVKVMSVLDVYCMPINHQVQFDPHGRKVVAVGCDISGSLLATSGTCTDSRGSQYSRYVMFSTCKLSEAMQGGALFDFYGNFFGMNLFWDMERPIFLPRSIILERLGHFHTSLKKSIFLNLVKPVRDKRRRRRIGVKLFSHPGGSIKIFGDIYPNGVWDELKEGVARHICGNLVALASFNGESKLFACTGFFIDYDDKCPAILTSASLVRNHDGTIIEGLTIKVLLPNNERCEGKLEHYSLHYNVALVSVKNYNVDCPANLKHQTVDYTKVVAVGRYFEPDLVMAAGGECTRWSGNLDCKDLRYAACTITKAGIGGPLVDVNGNFMGMNYYDRNMGTPYLRFDLLCGILNYFKTGRTNYEKIEPRSLLPHPVAHIVKDGEIQPPNSWMGAGQSEDMDDTLMDQDEDASRRERLAIAKPNRYWFRNGAFSVYK* >Brasy5G347100.1.p pacid=40077848 transcript=Brasy5G347100.1 locus=Brasy5G347100 ID=Brasy5G347100.1.v1.1 annot-version=v1.1 MPQLEMETGRDGAPRKEAEGHWLNAEEPAPASVVTPWVEKLSQRRQWGWAANAVLVALIISVPPMVILFGVGARNSASAVWIGATNALRLGPATAHDDRRLGGLLADGFDEGACHSRFQSAAYRRNARKKPSPYLVTKLRQQEALQRRCGPGTAAYSNALERLRSGKKQSHTGGECRYLVSISYQGLGNRILAATSAFLYAMLTDRVLLVDPSNQMGDLFCEPFPDTTWLLPRASFPLTNYSSFNIDTAESYANMLKNKVIGANGNESTPAFAYIHLNHDASDQDKLFFCDEDQRNLRGFRWLVMRTDNYIVPGLFLITAFQEELDLLFPEPDTVFHHLGRYLFHPNNNVWGLITRYYDAYLAPAHQRVGIQVRVFGKDKESPKLLEQITTCTQKEDLLPELGEPADPVNRPAARKSTKAVLVTSLKAWYYEKLKSMYWEHSTVTGEAVSLHQPSHEEYQQFAAKSHDAKAWAEIYLLSLSDALVTTGLSTFGYVAQGLGGLTPWVMYKPANGSVVPNPPCGQDVSMEPCFLQPAFYDCRTKQAADVSNIVPHVQRCNDTRWGLKLVPRSV* >Brasy5G473800.1.p pacid=40077849 transcript=Brasy5G473800.1 locus=Brasy5G473800 ID=Brasy5G473800.1.v1.1 annot-version=v1.1 MGRRRDHGQCPAEYLLHLRRLDGFLKHHGLLRTAQTLEMESRVLFEATHLQMLVRQGKWSEADRYLRYFSSLWKDGDGDTAAQYTTLLSSLNFNAVLAWIACRGEEGGRAAASFKPPHDTFRKADPEAAKRKDIYCSMTSKEARESVNWEDIKLGTIEEMQELLRLPPNLELVPSNPLPQHMPMPQDVIPLGLRGSRRYRRKKVGHKPAHDLAYFLINKRLPPSKKIKQSVFTVSVAPVALGVHQCWTRGSQQEFPARQGSREGVFPGSLTRQEVALSDSRAVPVTSKGYPAGPYSDKSEGH* >Brasy5G473800.2.p pacid=40077850 transcript=Brasy5G473800.2 locus=Brasy5G473800 ID=Brasy5G473800.2.v1.1 annot-version=v1.1 MGRRRDHGQCPAEYLLHLRRLDGFLKHHGLLRTAQTLEMESRVLFEATHLQMLVRQGKWSEADRYLRYFSSLWKDGDGDTAAQYTTLLSSLNFNAVLAWIACRGEEGGRAAASFKPPHDTFRKADPEAAKRKDIYCSMTSKEARESVNWEDIKLGTIEEMQELLRLPPNLELVPSNPLPQHMPMPQDVIPLGLRGSRRYRRKKVGHKPAHDLAYFLINKRLPPSKKIKQSGKSATCSSLIHATSVHVNLMPDDCQQFFGVETNLIPGILFVSI* >Brasy5G392600.1.p pacid=40077851 transcript=Brasy5G392600.1 locus=Brasy5G392600 ID=Brasy5G392600.1.v1.1 annot-version=v1.1 MAMRMMAAAFVRRLAPARPPALLAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI* >Brasy5G444100.1.p pacid=40077852 transcript=Brasy5G444100.1 locus=Brasy5G444100 ID=Brasy5G444100.1.v1.1 annot-version=v1.1 MPSLPEASAPSVTPAADSTADAMSAATLLHLIGATEAASSDSKISWLRSQLIGKDAEFDTPFGRRLLTYADQTASGRSLHYIEDYILNEVLPFYGNTHTEDSHVGGKTTRLAGKAARYVKRCTGAGPGDALIFSGAGTTAAIKRLQELVGVAPPCAGAEMRERVKAAMREEERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDGDGLVDVGALRRALGSPEYANRPMLGSFSACSNVTGILTDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYEDIEEREDAGTPPIIQKIRASLAFWVKEYIGYDTMGLRERVYSEMAMKRLLQNPNVKVLGNTSVHRLPIFSFLIYPSVTDKLSGQPVSDTLLENARRKQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHILLNVDNELSLRIRSAILKGYSGLKPGWTRLSFSYYLSKEEFRFILSAIEFIAAYGHRFLPLYRFDWISGNWTFRKQAVKYHIMMEELSLGAELSKRQNDFQAKVADKLDMKTEAGHSKFERYLESAKKIALSLPDVSNQIVSIPKGVDPDLVLFHV* >Brasy5G444100.2.p pacid=40077853 transcript=Brasy5G444100.2 locus=Brasy5G444100 ID=Brasy5G444100.2.v1.1 annot-version=v1.1 MSAATLLHLIGATEAASSDSKISWLRSQLIGKDAEFDTPFGRRLLTYADQTASGRSLHYIEDYILNEVLPFYGNTHTEDSHVGGKTTRLAGKAARYVKRCTGAGPGDALIFSGAGTTAAIKRLQELVGVAPPCAGAEMRERVKAAMREEERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDGDGLVDVGALRRALGSPEYANRPMLGSFSACSNVTGILTDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNAQPPSTCGGGTVAYVNGFNEEDTLYYEDIEEREDAGTPPIIQKIRASLAFWVKEYIGYDTMGLRERVYSEMAMKRLLQNPNVKVLGNTSVHRLPIFSFLIYPSVTDKLSGQPVSDTLLENARRKQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHILLNVDNELSLRIRSAILKGYSGLKPGWTRLSFSYYLSKEEFRFILSAIEFIAAYGHRFLPLYRFDWISGNWTFRKQAVKYHIMMEELSLGAELSKRQNDFQAKVADKLDMKTEAGHSKFERYLESAKKIALSLPDVSNQIVSIPKGVDPDLVLFHV* >Brasy5G159200.1.p pacid=40077854 transcript=Brasy5G159200.1 locus=Brasy5G159200 ID=Brasy5G159200.1.v1.1 annot-version=v1.1 MPPSSAVVDTILPGQALAVNDKIISKNGRYVLGFFEISTKSTKNTANSWYLGIWFNTVPKFTSAWVANRDSPIHNTTSLELTISNDGNLVIFNRSTKSIVWNSNTNSTIIAVLMSSGNLILRDYSNSSKVFWQSFDHPTDTLLPGAKIGWDKVTGLKRRLVSWKSSMNPATGVYCFELDPSGVNQIVVAPLDDSSAPYWSSGVWNGKYFSSPENPGYTGPISSNLSYVTLVNNDQEKYFAIINIVDEHVVVRLVIEASGQAKEFIWFEGSQDWSMAYAQPKAQCDVYAVCGPFAICNDDVLPHCSCLEGFTITSPEDWELDDQTGGCLRNNHLGCISNKSTTPMTDTFYSLPCVQLPQNAQQVEAATSVSNCAHVCLNNCSCTAYSFGNRGCAIWHNGLLNLRQLQCGSTTNSNGETIYIRVSGKDVHSLKNNRRQIVIGVVIEKLGAGSFGSVFKGFLKGSISIAVKRLDHAFQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSRRLLVYEHMSNSSLDIHLFRNNSMVLNWDVRYQIALGVARGLAYLHESCRHNIIHCDIKPENILLDGLFVPKIADFGMAKILGRDFSRVLTTMRGTFGYLAPEWITGIAITPKVDVYSYGMVLLEIISGRRNSQTPCSSGEDRDIYFPVHVAHKLVEGDVGSLADQMLHGDVNLDEVERACKIACWCIQDDEFDRPTMGEVVQILEGLVEITNPPMPRLLQAMTEA* >Brasy5G384800.1.p pacid=40077855 transcript=Brasy5G384800.1 locus=Brasy5G384800 ID=Brasy5G384800.1.v1.1 annot-version=v1.1 MVRADESIHVLLVPYPAQGHLNPILQFGKRLAGHGGAVRCTVAVTRFVLGSTKPTPIGSVHLGVFSDGCDALGPAELGGHQGPYFERLEAAGSETLDELLRSEAAQGRPVRVVVYDPFMPWVQDLARRHGAACAAFLTQTCAVDIVYTHARAGRMPVPVRHDGAAGLLELPGLSARLSAADVPTFLTDTDAHHPSMRDLLMNQFVGLGTVDHVLVNSFYDLEPQEAEYLASTLGARTIGPTVPSAYLDNRLPADVSYGFHLHTPMTSECKAWLDAHPPRSVVYASFGSIVAPGAEQMGEVAEGLQSTGAPFLWVVRATETSKLPKGFASRAKASGHLIVPWCPQLEVLAHEAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQPANAKYIQDVWRVGVRVRPDGEGVVRKEEVERCVKEVMDGEGYRKRAAAWRAKANKAMSEGGSSDRNIAELLSKYRAGK* >Brasy5G145500.1.p pacid=40077856 transcript=Brasy5G145500.1 locus=Brasy5G145500 ID=Brasy5G145500.1.v1.1 annot-version=v1.1 MAPKNALPVAAAAAGAEGGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHYRGPKAKTNFPVAAGFAHVVQAPPPQPPKVLAVSPSSSTVESSSRDTPAAAPAPTAAPVAPPPALDLSLAMPAMVAAQPFLFLDPRVAVTVAVAAPALAPVPRRSAAAAVVNGKKKEASREEEQSDTGSSSSVVDASPAVGIGFDLNLPPPADLA* >Brasy5G055600.1.p pacid=40077857 transcript=Brasy5G055600.1 locus=Brasy5G055600 ID=Brasy5G055600.1.v1.1 annot-version=v1.1 MGKKGATPTPTPKQEEPARVLTLIEVDPAKEDPAVLDAIRKKNSAALTSMLDPRCELSEKDSSNAADTVWYLVTNGNHATGNLNLLMDLVPVCDRWVRPLMGGGLPMQVCARGSVNCNGIKVNDVWYVPGVTANMVSIAHLTDQELTVSIGDGICSIKRPDGTELGKGRRKGHLYELDFINTISATPWYIVSNAAEHMTGNLHLLTNFTPTQPGRPIRTHTGAMLQVRGKGSLTSAQFAVPGVNYVPGLAENIISVMQLIDSGFSVAFGPHGCAITRNCGGAKVGAKAGYAFHAGGQLYQLDYLRIAPR* >Brasy5G451300.1.p pacid=40077858 transcript=Brasy5G451300.1 locus=Brasy5G451300 ID=Brasy5G451300.1.v1.1 annot-version=v1.1 MAGGGGTIRLPALRTCAAAAVAACAVPVAVSLALLWLPLLCFAVAVVRFRRVMRTRRAAAEQRCGGGGRSGAAVDAGDRLRLLQKYLEDQMELVGAEAGEVFFRDLGSSSRVES* >Brasy5G048200.1.p pacid=40077859 transcript=Brasy5G048200.1 locus=Brasy5G048200 ID=Brasy5G048200.1.v1.1 annot-version=v1.1 MYIAMQGNRPAGMLLLVLATLLLVVVADAAAGAAHTGGHVAIGHATAGMKMTMSASAAAHDLDAPALVATRRRLEIDEVPPAELMSSLLVSAGDVADGVFNPNKAVCVRLCAAKGPGDSYTGGRGCNAYNQCVPS* >Brasy5G515600.1.p pacid=40077860 transcript=Brasy5G515600.1 locus=Brasy5G515600 ID=Brasy5G515600.1.v1.1 annot-version=v1.1 MAKLPAVLHTPRPSSLRPAPAAAGRTSSDPPTLLAPTSIPRADLSSCSSKAAAVACTNCSSVGSSSSSITRDLASIPKEASKLLVYDDGFAFPAAAATAPPPELAPLLDLPDPDDAASSSTVVSASLAASMAASADFTVTKAADSEQAPPFPLTEMELVLAELRGTRGLTPRRKRLVSALVEAAAAELDPNPTAAALRLRRAAFWRKLRVGVLAAAVFSLAAMDLALAAALFAGRRGNSGHYQYDGLVPT* >Brasy5G515600.2.p pacid=40077861 transcript=Brasy5G515600.2 locus=Brasy5G515600 ID=Brasy5G515600.2.v1.1 annot-version=v1.1 MAKLPAVLHTPRPSSLRPAPAAAGRTSSDPPTLLAPTSIPRADLSSCSSKAAAVACTNCSSVGSSSSSITRDLASIPKEASKLLVYDDGFAFPAAAATAPPPELAPLLDLPDPDDAASSSTVVSASLAASMAASADFTVTKVGLPDQFESVLLLEFPSMLKFWSSRSGFGCCRPALFSSEFERCL* >Brasy5G378700.1.p pacid=40077862 transcript=Brasy5G378700.1 locus=Brasy5G378700 ID=Brasy5G378700.1.v1.1 annot-version=v1.1 MAGFSHLPQQMEYGVTNAGSFLFCHGHVVAASANPEDAASLETSSGVLDTPPMATSSVEKKRRPCREEHTVSLNSAHSPKEPKENTRKKGGKKQSKEVDEDEEEPKGYIHVRARRGQATDSHSLSERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDRDVTFSDQLQKIEGIYHEAAAMPSDPAMNRSSTSPAPSQAIMDTDTSSSSPHYSLQGTNGGMSLSQDNGSSIGYMMQTVGEPREELFNQVVFSNYMCSFQ* >Brasy5G378700.2.p pacid=40077863 transcript=Brasy5G378700.2 locus=Brasy5G378700 ID=Brasy5G378700.2.v1.1 annot-version=v1.1 MAGFSHLPQQMEYGVTNAGSFLFCHGHVVAASANPEDAASLETSSGVLDTPPMATSSVEKKRRPCREEHTVSLNSAHSPKEPKENTRKKGGKKQSKEVDEDEEEPKGYIHVRARRGQATDSHSLSERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDRDVTFSDQLQKIEGIYHEAAAMPSDPAMNRSSTSPAPSQAIMDTDTSSSSPHYSLQGTNGGMSLSQDNGSSIGYMMQTVGEPREELFNQVVFSNYMCSFQ* >Brasy5G378700.3.p pacid=40077864 transcript=Brasy5G378700.3 locus=Brasy5G378700 ID=Brasy5G378700.3.v1.1 annot-version=v1.1 MAGFSHLPQQMEYGVTNAGSFLFCHGHVVAASANPEDAASLETSSGVLDTPPMATSSVEKKRRPCREEHTVSLNSAHSPKEPKENTRKKGGKKQSKEVDEDEEEPKGYIHVRARRGQATDSHSLSERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDRDVTFSDQLQIEGIYHEAAAMPSDPAMNRSSTSPAPSQAIMDTDTSSSSPHYSLQGTNGGMSLSQDNGSSIGYMMQTVGEPREELFNQVVFSNYMCSFQ* >Brasy5G378700.4.p pacid=40077865 transcript=Brasy5G378700.4 locus=Brasy5G378700 ID=Brasy5G378700.4.v1.1 annot-version=v1.1 MAGFSHLPQQMEYGVTNAGSFLFCHGHVVAASANPEDAASLETSSGVLDTPPMATSSVEKKRRPCREEHTVSLNSAHSPKEPKENTRKKGGKKQSKEVDEDEEEPKGYIHVRARRGQATDSHSLSERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDRDVTFSDQLQIEGIYHEAAAMPSDPAMNRSSTSPAPSQAIMDTDTSSSSPHYSLQGTNGGMSLSQDNGSSIGYMMQTVGEPREELFNQVVFSNYMCSFQ* >Brasy5G322100.1.p pacid=40077866 transcript=Brasy5G322100.1 locus=Brasy5G322100 ID=Brasy5G322100.1.v1.1 annot-version=v1.1 MQSKQTSRGHAFAKPQAPQQQQAPAISNEKQRAGSGMERFAAMVTGRRAAPAPKPASAAAESEGDKEYLRIQLEEIVIVKDDAYDALAAATAAARAHAHPYAHPQAHTNGQFSSAAASTSMENCAKAAVAAPHGGPPMATAPPGAWATVGRIVGD* >Brasy5G153200.1.p pacid=40077867 transcript=Brasy5G153200.1 locus=Brasy5G153200 ID=Brasy5G153200.1.v1.1 annot-version=v1.1 MVADTESSDSPSGSSNSAAEKCVDGFLDRRSQEKAPKKNHKAEREKLKRDQLNDLFVELSSMLDLDRQNSGKATVLGDAARVLRDLLTQVESLRKEQSALLTERQYVGSEKNELQDENTTLKAQIIELQNELRARMGSNSLNLSSLGMSHPVGSSSTNLATHPRPHHTWSNASNLSTLPMAHLMNRPSLLQNQHQHSVGSGQVASRPQELQLFPGTSASLDREHSRHRSNPATSSSLADSLPGQLRLSLPRASQGESSSSGAPGNRKERKNY* >Brasy5G153200.2.p pacid=40077868 transcript=Brasy5G153200.2 locus=Brasy5G153200 ID=Brasy5G153200.2.v1.1 annot-version=v1.1 MVADTESSDSPSGSSNSAAEKCVDGFLDRRSQEKAPKKNHKAEREKLKRDQLNDLFVELSSMLDLDRQNSGKATVLGDAARVLRDLLTQVESLRKEQSALLTERQYVGSEKNELQDENTTLKAQIIELQNELRARMGSNSLNLSSLGMSHPVGSSSTNLATHPRPHHTWSNASNLSTLPMAHLMNRPSLLQNQHQHSVGSGQVASRPQELQLFPGTSASLDREHSRHRSNPATSSSLADSLPGQLRLSLPRASQGESSSSGAPGNRKERKNY* >Brasy5G289100.1.p pacid=40077869 transcript=Brasy5G289100.1 locus=Brasy5G289100 ID=Brasy5G289100.1.v1.1 annot-version=v1.1 MENYPNLFSTQPSSSTSNSYHFMSTPAAASSYDRHHGQPCNSGGSLSHGLFSNSKQQDGGGEGARSSPHGGGESSAGPDGAGGEADVVVGKKKGEKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNNFPRSYYRCTHQGCNVKKQVQRLSRDEGVVVTTYEGTHTHPIEKSNDNFEHILTQMQVYSGLNNVPAAFSNHMFQ* >Brasy5G509400.1.p pacid=40077870 transcript=Brasy5G509400.1 locus=Brasy5G509400 ID=Brasy5G509400.1.v1.1 annot-version=v1.1 MQEPTSPPSLTRALNPEMPLHPSIQIQTWNRSYLASELRPACGGGNGDLLQQQGRRKGGAGGGGGVVPMEARRLPPSGAAAARRGLYAHQAGLEGRQPGCHGRLPDDQDFAGHKGQIFCGVFDGHGPLGRDVARYVRDALPAKLSSSLALAPKTEEDAPSSDADLDSFDKSDSTSFSDTSDENRLLSSWRSAIVKAFEDVDEELSQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCSRDSKDRPIPVQLTTDLKPDLPGEAERIMSCKGRVFAMEDEPDVPRLWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVHHRKLTEKDDFLVLATDGIWDVLSNKEVVKIVSSAADRSKAAKQLVDKAVRAWRRKFPTSMVDDCAAVCLFLKPTISSDDDSNTIIKPPNASTLSFTGSFRKALGGGEADEGPAVWRALQGVARVNSVVRLPRIGAVLSWRRRSVSLDQEDDVGQESSSKIV* >Brasy5G509400.2.p pacid=40077871 transcript=Brasy5G509400.2 locus=Brasy5G509400 ID=Brasy5G509400.2.v1.1 annot-version=v1.1 MGICCSSRAGGREELEAAEGWFPWKHDDFLLQEPQQLAGVSMHTKQGWKGVNQDAMAACPDFAGHKGQIFCGVFDGHGPLGRDVARYVRDALPAKLSSSLALAPKTEEDAPSSDADLDSFDKSDSTSFSDTSDENRLLSSWRSAIVKAFEDVDEELSQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCSRDSKDRPIPVQLTTDLKPDLPGEAERIMSCKGRVFAMEDEPDVPRLWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVHHRKLTEKDDFLVLATDGIWDVLSNKEVVKIVSSAADRSKAAKQLVDKAVRAWRRKFPTSMVDDCAAVCLFLKPTISSDDDSNTIIKPPNASTLSFTGSFRKALGGGEADEGPAVWRALQGVARVNSVVRLPRIGAVLSWRRRSVSLDQEDDVGQESSSKIV* >Brasy5G360600.1.p pacid=40077872 transcript=Brasy5G360600.1 locus=Brasy5G360600 ID=Brasy5G360600.1.v1.1 annot-version=v1.1 MEKPRQVVRKFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAAPGTSRGLQLWVNLSSQNKMIEPRYQEMQSKDITSTSSDGVTVRVVAGQSMGARSPVCTRTPTMYLDFTVRPHAAARQPVPASWNAFAYVLEGEGVFGGGAADNKAGPHHLLLLGLQGDGVEVWNKSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDAAVNDFEYCINGFEKAKHWKSQAMAALDVE* >Brasy5G020600.1.p pacid=40077873 transcript=Brasy5G020600.1 locus=Brasy5G020600 ID=Brasy5G020600.1.v1.1 annot-version=v1.1 MDPKYKTIVCFNCGEPGHYVGNCVKPKLCFMCNNANHHMDNCPAWGKEIPMAQFVGSASGGLGFLHVNVEENASTKWLNMKNCGILAVTHGSITPQDLVLRLAATWDENWSWQVRQLEEKSFLVRFPPHKNVGDLVDLPSINLREGAEQDRVTIKILTWDGDLPDLGELTEVWIQIRGIPPRWISWRVIAQISKSFGLLLDVDWAEIFKSLYETVRVKLAVRNPAKIPPERMMVMRKKFYPLQFHVEWDGVDIDKIMGLDDKDYDGDEEYDDDNIMEDEIKDLEENRKSEQNNPEPKKHDSASEPAPSNAQNKPADDAHCFQTLVGAGLLDSDEELLYLMQLQLWISLHQMKFRRETLKETNGALLYLPDKAPESLKMESLSWIGHRILWLKEIWCSLQTKVKLTNILLLLPAIPI* >Brasy5G231200.1.p pacid=40077874 transcript=Brasy5G231200.1 locus=Brasy5G231200 ID=Brasy5G231200.1.v1.1 annot-version=v1.1 MASSPSSSSKPTTPSAATLLQSRRHRRRRCILITLAAALSLTLLLALLLAALCLRPPTTRLLSATLAGVSPRFSLLPSPSLALNLTLLLAVAVTNPNPAAAFAYPSGGDARVSYRGREVGEARIEPGEVPAAGDAVVRAALTLRADRILLAGGEGAKEVAEDVESGAMAVEAVARVPGTVALLGGVIRRAAVARSECAIVFDVHEARVVSHQCRDRAEL* >Brasy5G393700.1.p pacid=40077875 transcript=Brasy5G393700.1 locus=Brasy5G393700 ID=Brasy5G393700.1.v1.1 annot-version=v1.1 MVILRMCATQGVKVGQRRREGGLACVSQEISPSRRGERQTQTQMGEASPESVGVAAGGPTSIPAAPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPGFVVEEPREEATAQGHDLRQFKYACAGYSMFVDDRDNKSGDNEAKALLPYCQGLELLVDRRMVERKSPNVEHASARTPKEAATNPQEQGQRPPHMARQEFMGRFRRSAGLVASGVAKNLNKTAVYIKQNIEDIFSPDRRPPK* >Brasy5G393700.2.p pacid=40077876 transcript=Brasy5G393700.2 locus=Brasy5G393700 ID=Brasy5G393700.2.v1.1 annot-version=v1.1 MVILRMCATQGVKVGQRRREGGLACVSQEISPSRRGERQTQTQMGEASPESVGVAAGGPTSIPAAPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPGFVVEEPREEATAQGHDLRQFKYACAGYSMFVDDRDNKSGDNEAKALLPYCQGLELLVDRRMVERKSPNVEHASARTPKEGSGGAPGWSLQVLPRI* >Brasy5G468900.1.p pacid=40077877 transcript=Brasy5G468900.1 locus=Brasy5G468900 ID=Brasy5G468900.1.v1.1 annot-version=v1.1 MRSSIPDLPEEILFLIHCLMPMRDAARAACASRAFLHSWRFHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYDFPCSLLSDGVRNSLQYLQLHNVALHPTVELGSLRSLMSLHLNDVRITWDELECLLCNSLALEQLVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARHHGCLRSVKICGFSSAKCLVELASYILNNAVSLECLTLDTMFGYRCGEGKQKNCHILEAALFKEAHRALLAVRTYIENKVPSTDKLTVREPCRQCHASAMFMS* >Brasy5G481300.1.p pacid=40077878 transcript=Brasy5G481300.1 locus=Brasy5G481300 ID=Brasy5G481300.1.v1.1 annot-version=v1.1 MAAAAASCDAPVPPAMPDLVRDRLYFGDINDAIGALTATSLPDGTDITHVLSVVSSASISFIADCRPGLSIPAEEVRRVVAGEDGAPPSAVAPGRLMRVVERAGQGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGIFGVLVHCFAGVSRSAAIITAYLMRTEQKSLEEALESLKEINESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSHVLEDDPGVPRQPNPSQELSNKETNKTAYRCKKCRRIVAAQDNVISHTPGEGNSSFEWHDKRKGGHTYNKEQDCSSLYVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTI* >Brasy5G452300.1.p pacid=40077879 transcript=Brasy5G452300.1 locus=Brasy5G452300 ID=Brasy5G452300.1.v1.1 annot-version=v1.1 MSLCSGLLSSSRRLVPLGAAGAGRRGREGGPRRGASKRRRGAVAGGAEGAGSAGRRSSAVGWMRKPAARGIRAGGADEAGLPVDGGQRPFMLRPAKLLRRFNPVRQGRDPFLPCPVRVLEAVG* >Brasy5G423600.1.p pacid=40077880 transcript=Brasy5G423600.1 locus=Brasy5G423600 ID=Brasy5G423600.1.v1.1 annot-version=v1.1 MAAAENDSSQEPQPLASGGGGPNPCCAKLWKKCKKNETARAALRDGIKVLNSEIARLENEKSALSEVCKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKFFIGDVGYETKSVIELGNKLSVLIGTNFTRSRSILVQFSAVSALLSLLPLTFDKIIARQAVALFGPWSEISEWFAQLSTENQSLARSFFSRVICAICLDHYVESLFLAWPFHGLGRLKECPFGCSPQVNS* >Brasy5G423600.4.p pacid=40077881 transcript=Brasy5G423600.4 locus=Brasy5G423600 ID=Brasy5G423600.4.v1.1 annot-version=v1.1 MAAAENDSSQEPQPLASGGGGPNPCCAKLWKKCKKNETARAALRDGIKVLNSEIARLENEKSALSEVCKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKCDSFSSSRDMVSFVNHGIQQADVVNERENIKLLKEKLKRKKEQLKHVKKVLELDKALMRRALQLLKQDWMQPLSQFNMLDDYLVVKYTFMHFLCIVLLQFVLIDLLLMCTFCWSCAW* >Brasy5G423600.2.p pacid=40077882 transcript=Brasy5G423600.2 locus=Brasy5G423600 ID=Brasy5G423600.2.v1.1 annot-version=v1.1 MRNPLSAKHDQEFNRRCLSHRRWELQICKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKFFIGDVGYETKSVIELGNKLSVLIGTNFTRSRSILVQFSAVSALLSLLPLTFDKIIARQAVALFGPWSEISEWFAQLSTENQSLARSFFSRVICAICLDHYVESLFLAWPFHGLGRLKECPFGCSPQVNS* >Brasy5G423600.3.p pacid=40077883 transcript=Brasy5G423600.3 locus=Brasy5G423600 ID=Brasy5G423600.3.v1.1 annot-version=v1.1 MRNPLSAKEFNRRCLSHRRWELQICKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKFFIGDVGYETKSVIELGNKLSVLIGTNFTRSRSILVQFSAVSALLSLLPLTFDKIIARQAVALFGPWSEISEWFAQLSTENQSLARSFFSRVICAICLDHYVESLFLAWPFHGLGRLKECPFGCSPQVNS* >Brasy5G423600.5.p pacid=40077884 transcript=Brasy5G423600.5 locus=Brasy5G423600 ID=Brasy5G423600.5.v1.1 annot-version=v1.1 MRNPLSAKHDQEFNRRCLSHRRWELQICKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKCDSFSSSRDMVSFVNHGIQQADVVNERENIKLLKEKLKRKKEQLKHVKKVLELDKALMRRALQLLKQDWMQPLSQFNMLDDYLVVKYTFMHFLCIVLLQFVLIDLLLMCTFCWSCAW* >Brasy5G423600.6.p pacid=40077885 transcript=Brasy5G423600.6 locus=Brasy5G423600 ID=Brasy5G423600.6.v1.1 annot-version=v1.1 MRNPLSAKEFNRRCLSHRRWELQICKEERLRADSAEAARETESDARDALEKEIIELKAQNSALQQNQNISKNDNELLRISELEEENRQLKQFLGEERWKIDSVKKKVDEEKSKALEMQKVVKSEAHKYEEYKRLADTERKVAHDLKASLEKLRIEANGTRAQLATQIQKTGEAHKMAEAERQKASREKKCADSEKMLAEKNKKQIEVERKKVMEEKSRADNLFAKLEDQKKLNENLQVSIEAEKKNLMCEKNRADHLLQKFEEERKRSEYLQMKCDSFSSSRDMVSFVNHGIQQADVVNERENIKLLKEKLKRKKEQLKHVKKVLELDKALMRRALQLLKQDWMQPLSQFNMLDDYLVVKYTFMHFLCIVLLQFVLIDLLLMCTFCWSCAW* >Brasy5G234800.1.p pacid=40077886 transcript=Brasy5G234800.1 locus=Brasy5G234800 ID=Brasy5G234800.1.v1.1 annot-version=v1.1 MPEADWSSLPVDLLILILDRLRWSSHQSFALACRQWRSAVSPFYPAWITPLLLNTTDKNFETDSRLDQVPDARICCAAGDHLTLRLDSRIFDVQLLTGNVDELPPVTWACLDFAIYDGRCKMYGVDMITSETVRSIRNSDGVWEDWESSEPGLDPEIEEPTLQASPVSNPVFHGGSIYLLTKDGGLLLFESDQDELMAVLIGHRGTPVNVVRLNERLMEWEEVESLQGRTLFTGTITTVMKNTGVKWMRNKVFLPRLYDWRDTVCVDCVRRENELAFVPKTRCSESTGVNHSNFGTSVMWPINQQILKAEA* >Brasy5G197700.1.p pacid=40077887 transcript=Brasy5G197700.1 locus=Brasy5G197700 ID=Brasy5G197700.1.v1.1 annot-version=v1.1 MASCPLFLLVPQPPPPLVAIPRRHSPLPHFLTSCRTPLLSRRQSCRCSSSSSSSSSPGPRERSPRPQQQQGRTQQRPGRGSAVDPVGFLAKTGVSDRAFAQFLRDRHKAFKDRKWELHSRFIDLKEASSGFDLLGLHRHRQHRVDFMDWAPGARYCSLVGDFNQWSATENCAREGHLGHDDFGYWFVILEDKLREGQEADEYFFQEYNYVDEYDKGDNGVIAEDLIRRMNEEYWEPGEVKSRKSRLETVAKLYEQMFGPNGPQTEEELGEIPDAETRYKNWKATQKDDLSSSSPSYDIIDTGQDFDIFNVVTDRASFEKFQAKTTPLAYWVEMRKGRKAWIEKYVPAISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGMQSYAVHWEPPPEEIYKWRFRRPKIKGSLRIYECHVGISGSELKISSFQDFTSSVLPHIKEAGYNAIQLIGVPEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLLVLLDIVHSYASADELVGLSLYDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYQIDGFQFHSLSSMLYTHNGFSTFTGAIEEYCNQYVDKDALIYLILANEMLHELHPDIITIAEDATYYPGLCEPTTQGGLGFDYWTNLSIPDMWLWHLENVPEWEWSMSKIMKVLTSSNHNMLSYVENHNQSISGRKSFAEIVLNTGMSSSGSVDDELIFRASSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFHLAYRQWELLDKGVHKNVYNFDKDIMTLDENERIISRGSLNIHHCDDTNMVISFTRGPFLFVFNFNPDVPYQLYRIGVDEAGEYQLILNTDETKYGGCGELNSSQYMKRTNDKRVDGCRNSLELTLASRSAQVYKLVRILRI* >Brasy5G197700.2.p pacid=40077888 transcript=Brasy5G197700.2 locus=Brasy5G197700 ID=Brasy5G197700.2.v1.1 annot-version=v1.1 MASCPLFLLVPQPPPPLVAIPRRHSPLPHFLTSCRTPLLSRRQSCRCSSSSSSSSSPGPRERSPRPQQQQGRTQQRPGRGSAVDPVGFLAKTGVSDRAFAQFLRDRHKAFKDRKWELHSRFIDLKEASSGFDLLGLHRHRQHRVDFMDWAPGARYCSLVGDFNQWSATENCAREGHLGHDDFGYWFVILEDKLREGQEADEYFFQEYNYVDEYDKGDNGVIAEDLIRRMNEEYWEPGEVKSRKSRLETVAKLYEQMFGPNGPQTEEELGEIPDAETRYKNWKATQKDDLSSSSPSYDIIDTGQDFDIFNVVTDRASFEKFQAKTTPLAYWVEMRKGRKAWIEKYVPAISHKDKYRVYFNTPDGALERVPAWATYVLPEGMQSYAVHWEPPPEEIYKWRFRRPKIKGSLRIYECHVGISGSELKISSFQDFTSSVLPHIKEAGYNAIQLIGVPEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLLVLLDIVHSYASADELVGLSLYDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYQIDGFQFHSLSSMLYTHNGFSTFTGAIEEYCNQYVDKDALIYLILANEMLHELHPDIITIAEDATYYPGLCEPTTQGGLGFDYWTNLSIPDMWLWHLENVPEWEWSMSKIMKVLTSSNHNMLSYVENHNQSISGRKSFAEIVLNTGMSSSGSVDDELIFRASSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFHLAYRQWELLDKGVHKNVYNFDKDIMTLDENERIISRGSLNIHHCDDTNMVISFTRGPFLFVFNFNPDVPYQLYRIGVDEAGEYQLILNTDETKYGGCGELNSSQYMKRTNDKRVDGCRNSLELTLASRSAQVYKLVRILRI* >Brasy5G517800.1.p pacid=40077889 transcript=Brasy5G517800.1 locus=Brasy5G517800 ID=Brasy5G517800.1.v1.1 annot-version=v1.1 MKPRGGIQERKKEQREAHKPHTKSQEKVLFH* >Brasy5G099000.1.p pacid=40077890 transcript=Brasy5G099000.1 locus=Brasy5G099000 ID=Brasy5G099000.1.v1.1 annot-version=v1.1 MMRLQIPRGGACPVDLKKQMLHGHTEVVFEAGGCCCRGGGVSDPRFSPPPMRPRHPCAEDQWPRRHTPAVYRWLGSRGSRLPRRPC* >Brasy5G507200.1.p pacid=40077891 transcript=Brasy5G507200.1 locus=Brasy5G507200 ID=Brasy5G507200.1.v1.1 annot-version=v1.1 MMSTTKWVSFAVALVAIIGAATPTGADLHKDQAATPGILAWALSDMRPAVVVGKPEDGGDVTTINEAADNVVLIGDGIDKTVMTGNLSAGTGHATNESATLTAVGTGFMARDITIRNSAGGQNDKQAVAFYSMSDRSVLYRCSIQGYQDTLYADAGRQFYRDCSISGRVDFIFGKNAAAVFQDCQILARRPDLPGLQVVITAQGREEDNSTGGFVFQGCSMAGYLGSKASV* >Brasy5G057600.1.p pacid=40077892 transcript=Brasy5G057600.1 locus=Brasy5G057600 ID=Brasy5G057600.1.v1.1 annot-version=v1.1 MRPRDLAAFLLLLAAAALAPPPSAAVCVPRGKAVPLPSPATKPKPNPATPAPGGDIVKSLCAKADDPAQCVSSVSKQPAPAQGKKLDGAAVLRMAMGAVRAKAAEAKARALALAADPKTPKLAVGVLRDCAESYDDVPYSLDNADKAMAAGDKDTTGTMLDTVRTDVDTCDQGFEDREELPKLMAKQDAELAKLASNCLAIVEAAGLR* >Brasy5G413700.1.p pacid=40077893 transcript=Brasy5G413700.1 locus=Brasy5G413700 ID=Brasy5G413700.1.v1.1 annot-version=v1.1 MIHPKKLAQLARKWQMVKTTAAGDDEACCASSHVADKGHFVVYTAEGRRFEVPLVYLGTTIFLELLRMSQEEFGYTRDGKITLPFDAMMMEYIMCLLRRNASEEVERAFLSSVVMPCQYSSCTVPSALLNQQHAACSS* >Brasy5G449600.1.p pacid=40077894 transcript=Brasy5G449600.1 locus=Brasy5G449600 ID=Brasy5G449600.1.v1.1 annot-version=v1.1 MRAAAIAFHCCSSAASAAPPPLPIPTLPIPHTFPQASSWLFQCCDARGGAPSSTPSKAAAMAARSTAISSNLTTSPPFCRRRSNVLSTALLQRALPPPLFPHAPSLLRGAPPPSRFRHAPPPSPRVDALPCSAAAAIPPRSAAVAPSRCAAVGPHRFSAISPHRRFAALHRRRPSSLLHRYDSQTRERACERGERRAEKSPPLGVRAPPIPVRTGWFLKI* >Brasy5G003300.1.p pacid=40077895 transcript=Brasy5G003300.1 locus=Brasy5G003300 ID=Brasy5G003300.1.v1.1 annot-version=v1.1 MASSSTQAMMLAMAVLAALVSVAHGIPKVPPGPNITATYNGKWLDAKSTWYGRPEGAGPKDNGGACGYKDVDKPPFNGMTSCGNTPIFRDGRGCGSCFEVKCEKPAEFCSGQPVLVHITDDNEEPIAAYHFDLSGKAFGSMAKKGQEQKLRGCGEVEIQFRRVKCYYPLGTKVTYHVEKGSNPNYLAILVKFVGGDGDVVAVEIQQKGAYDWIPLKESWGAVWRIDTPKPLKGPFSVRYTTDGGTKAVSSNVIPENWKPDTMYVAKY* >Brasy5G250000.1.p pacid=40077896 transcript=Brasy5G250000.1 locus=Brasy5G250000 ID=Brasy5G250000.1.v1.1 annot-version=v1.1 MEGEGRSLAETPTWSVATVTTLMVAACFLIERALSRLAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSKLFTSKFYMCTEDDFDDLAEQRGGTANQTHISRSLFGGQSTHGCEEGHEPFVSYEGLEQLHRFLFILGFTHVLYSFLTVVLSMFKIYSWRKWETQVSILPSEHLQPKRKVMQRQSTFVFHHASHPWSKSKILIWMLCFLRQFKGSIKKSDYMALRLGFITYHKLPQSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFINVHGLNIYFWLSFAPVILVLLVGTELQHVVAQLALEVVEATSRYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFLWFLWELSANSCFMKNQYMVVIRLVSGLLVQVWCSYSTLPLNVIISQMGSKFKKSLVSESVRDSLHSWCKRVKDKRHNPLFLRNGTLTSRSVCSLDTTIYETDHETNTVCTLSRTVSASSMDEELTVVTVDDEDIARIEQDARDP* >Brasy5G443500.1.p pacid=40077897 transcript=Brasy5G443500.1 locus=Brasy5G443500 ID=Brasy5G443500.1.v1.1 annot-version=v1.1 MPRPPLLLDQAALLRSCGVPGDQLGSLQSAPSTLPSKVAIINPCCPFVCLFRLDTIVRISINDGRKLVVSDSCILWMLIISDTFPHLRNRCKVMSALPASKTSTRRSLAPVVRLCASPGPTLFFYRMIVWPCCFACMCMCQYLCVHVCCCARLPKAKETSWLDS* >Brasy5G387200.1.p pacid=40077898 transcript=Brasy5G387200.1 locus=Brasy5G387200 ID=Brasy5G387200.1.v1.1 annot-version=v1.1 MVAMDMAHRDHLHAALDGILALAALALCVLAEALVFALRQHAALYLVPVSSMLLLCRYSRRRRAAGGTIGLVDFSCLKPPRRLRLPVAGLLEHFNLIGCFDSGSVEFMTKRHGQRDLLPAGAALHPPAATHAHAIQEAHMLFFPTLDDLFARTGVPPSAVGALVLNCSGFCPAPSLTSIIVNRYRMRGDVRTYNLSGMGCSSGSIGVDVASGVLRAHHGAVEYAVVVSAEIITIGWYNGKDHGKLLLNCYFRTGCSAAMVTSKRAGVEAVKYRLVNVTRTNQTADDRSYRAGYRDEDEEGITGFTLGQGVGRMVSELLRAHLVTLSVSILPWREKLRYLAALLMSMRRRRGDKLAGNSGGRVPMLPDFRAAADHFCLPSSGRPMIWRLGQGLGLGEREMEAALMAFHRFGNQSAASLWYQLAYLEAKGRVRKGDTVWQLGIGSGLKANSLVWERVADADDVAGGLDLTGPWMECIHQYPVWET* >Brasy5G008800.1.p pacid=40077899 transcript=Brasy5G008800.1 locus=Brasy5G008800 ID=Brasy5G008800.1.v1.1 annot-version=v1.1 DTMCSLSSDRHLSTITDAASTYIRATVAARLALQACGGACRQGSSAVARRPMPFAICSYQGFNRRHSFRRSQVSSQVGVLDG* >Brasy5G028200.1.p pacid=40077900 transcript=Brasy5G028200.1 locus=Brasy5G028200 ID=Brasy5G028200.1.v1.1 annot-version=v1.1 MAQRLHSAIIATFVLLAVGQCSNAVLTEAEVFWRTVLPDSPLPDPILKLLHPETSVANKPKDDTVAEAYSLTWLMWGLRSPSGPRPTRHSSPRPAKDDTVAEAYSLTWLMWGLRSLSGPTKHSSPGPSHDRDHSADEYLAQGLFFHEEAVQAGKTITLYFPVAASAPLGLLPRHVADSIPFSASSLPSALARLGVAGNSVQAASMEETLYMCDLPPKAGEAKFCAASLEALVEGTMAALGTRNVRPMASDLPRSGAPKQPYTVRAVHPVDGSSFVSCHDHDYPYTVYMCHNTPSTRAYMVELEGAQTGLAVTVAAICHTDTSRWDAEHFSFKVLGAKPGDGPICHYLPYGHNVWVKKEANGSSS* >Brasy5G170300.1.p pacid=40077901 transcript=Brasy5G170300.1 locus=Brasy5G170300 ID=Brasy5G170300.1.v1.1 annot-version=v1.1 MGTSSGANFHQQLPQGMPPPRHNGGAPSQQTYLSLASLEQVGSPDMQDPASNSGSPGHDSATESASSRETWPVEPSKSSGPAAAATARIVDKDKEVATKDVAERQVICRIPSTGRVTLREVARDRVDLVAEKMKVMPEELLDDIKSELRSILEGSGGPQHIEEFLYLQKLVQGRVDLTPTMLLMAHHVQLEILVSIKTGIQAFLHPSVNIPLGHLAEVFLYRRCRNIACQSALPAEECRCNICGNRNGFCNLCMCVICNKFDFEVNTCRWIGCDSCSHWTHTDCAIRDGQIGTGQKIKNGIGHAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALLRELEYVRKIFRLSEDSKGRNLFRRCADLIERLRSGTAQSMSPRALLQALQELEMDFPKISENEELGRLISPHEACNRIAEVVQEAVRKMELVAEEKMRMVKKARHAVESCDRELEEKAREAREIQAERVRKQQQVVELESIVRLKTAEAEMFQLKSNEARQEAEQLLSIAQAKSEKAEQDYASMYLKRRLEEAEAEKQYIFEKIKLQENQKAVPPPPQASSSQAASGAAPGEQSQMMMLSKIQDLLKNVRSMPSGKSDGQLSK* >Brasy5G458300.1.p pacid=40077902 transcript=Brasy5G458300.1 locus=Brasy5G458300 ID=Brasy5G458300.1.v1.1 annot-version=v1.1 MADDEPVDQKNYLEERCKPQCVKPLYEYERCVKRVENDGSGQKHCTGQFFDYWSCVDKCVAPKLFEQLK* >Brasy5G038700.1.p pacid=40077903 transcript=Brasy5G038700.1 locus=Brasy5G038700 ID=Brasy5G038700.1.v1.1 annot-version=v1.1 MDFGSGRGWVWELEVDGEEEVSVGVIPEARAAEDGGDAGAHVVQPRVGAEPVDAGGAEEVRRLDPPAPTAGEVPAHAPAEHFTWLFLVFCGGHG* >Brasy5G295100.1.p pacid=40077904 transcript=Brasy5G295100.1 locus=Brasy5G295100 ID=Brasy5G295100.1.v1.1 annot-version=v1.1 MAFWGVEVRPGKPYTHRHSPSHGRLRICQHAGKSERRKRLKKKNQVDSTDDSTVRRKACSIFDSGSEDEDYMPNSPSLAKKDNVKVSVEIKTESVQPNGETIRKSKDAMKRKSDAISQNPAPLKDVVVEAEVKKKSKKHKKTSLETEDGKQSDNRRTLENGLIIEDLSAGNVDALIAFNASKVYINYIAMLHDGKIVESNVGEKPYKFKLGAGKGKPGWDDGIGGMRVGDKRRLTVPPSMLNGHKAAEKIPKNQSAIYEVELVKVR* >Brasy5G295100.4.p pacid=40077905 transcript=Brasy5G295100.4 locus=Brasy5G295100 ID=Brasy5G295100.4.v1.1 annot-version=v1.1 MRFFFQYSVRVPFISLAFEKHAGKSERRKRLKKKNQVDSTDDSTVRRKACSIFDSGSEDEDYMPNSPSLAKKDNVKVSVEIKTESVQPNGETIRKSKDAMKRKSDAISQNPAPLNRDVVVEAEVKKKSKKHKKTSLETEDGKQSDNRRTLENGLIIEDLSAGNVDALIAFNASKVYINYIAMLHDGKIVESNVGEKPYKFKLGAGKGKPGWDDGIGGMRVGDKRRLTVPPSMLNGHKAAEKIPKNQSAIYEVELVKVR* >Brasy5G295100.5.p pacid=40077906 transcript=Brasy5G295100.5 locus=Brasy5G295100 ID=Brasy5G295100.5.v1.1 annot-version=v1.1 MRFFFQYSVRVPFISLAFEKHAGKSERRKRLKKKNQVDSTDDSTVRRKACSIFDSGSEDEDYMPNSPSLAKKDNVKVSVEIKTESVQPNGETIRKSKDAMKRKSDAISQNPAPLKDVVVEAEVKKKSKKHKKTSLETEDGKQSDNRRTLENGLIIEDLSAGNVDALIAFNASKVYINYIAMLHDGKIVESNVGEKPYKFKLGAGKGKPGWDDGIGGMRVGDKRRLTVPPSMLNGHKAAEKIPKNQSAIYEVELVKVR* >Brasy5G295100.2.p pacid=40077907 transcript=Brasy5G295100.2 locus=Brasy5G295100 ID=Brasy5G295100.2.v1.1 annot-version=v1.1 MPNSPSLAKKDNVKVSVEIKTESVQPNGETIRKSKDAMKRKSDAISQNPAPLNRDVVVEAEVKKKSKKHKKTSLETEDGKQSDNRRTLENGLIIEDLSAGNVDALIAFNASKVYINYIAMLHDGKIVESNVGEKPYKFKLGAGKGKPGWDDGIGGMRVGDKRRLTVPPSMLNGHKAAEKIPKNQSAIYEVELVKVR* >Brasy5G295100.3.p pacid=40077908 transcript=Brasy5G295100.3 locus=Brasy5G295100 ID=Brasy5G295100.3.v1.1 annot-version=v1.1 MPNSPSLAKKDNVKVSVEIKTESVQPNGETIRKSKDAMKRKSDAISQNPAPLKDVVVEAEVKKKSKKHKKTSLETEDGKQSDNRRTLENGLIIEDLSAGNVDALIAFNASKVYINYIAMLHDGKIVESNVGEKPYKFKLGAGKGKPGWDDGIGGMRVGDKRRLTVPPSMLNGHKAAEKIPKNQSAIYEVELVKVR* >Brasy5G212500.1.p pacid=40077909 transcript=Brasy5G212500.1 locus=Brasy5G212500 ID=Brasy5G212500.1.v1.1 annot-version=v1.1 MAAAAGGGGGAKAAVAEQIGQAVQSTSNLLHLMEQSSPAQVHLAKLPKNLLAKASVTKNTEQVLQQLPNVISSLDAFMDSSLQSASQIKTVTQLLSNMENTQLRSILPASRLQKDEKSTEPGELTVE* >Brasy5G078700.1.p pacid=40077910 transcript=Brasy5G078700.1 locus=Brasy5G078700 ID=Brasy5G078700.1.v1.1 annot-version=v1.1 MRPLIRTACLRARVRAMATLPTPAAFSSTRTPSSPCASQHGRRLLLAVRFLHSGPPPAGARALRTSAAAAAAAPVEVGGVKIAREDVVRDDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNSIYDYFDKSFPGQFVKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDAETVLRCHTSAHQAELLREGHTHFLVIGDVYRRDSIDSTHYPVFHQMEGFRVFSPADWSDSGMDGTAYAATDLKKTLEGLATHLFGAVEMRWVDTYFPFTNPSFELEIYFQGDWLEVLGCGVTEQEILKSNGRTDHVAWAFGLGLERLAMVLFNIPDIRLFWSNDQRFTSQFSKGKLGIKFKPFSKFPPCYKDVSFWINDEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVKNKLKVELR* >Brasy5G505500.1.p pacid=40077911 transcript=Brasy5G505500.1 locus=Brasy5G505500 ID=Brasy5G505500.1.v1.1 annot-version=v1.1 MAERAKKSALSSAARVDGGVQELSENEKRVEAEARALLAAVARYRKQADQWLAATKDINSVLKEIGDFENWMKVYGV* >Brasy5G281000.1.p pacid=40077912 transcript=Brasy5G281000.1 locus=Brasy5G281000 ID=Brasy5G281000.1.v1.1 annot-version=v1.1 MSQPSSSFAGFAGVDPRSVNNLQASPAGNNHEEVNVQESSGSSPGEEEEQVTKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVAEEYNSNTPQDRKRSSKQLRNHWSKANQLVTLFNGCYATQKSVYASGINDKDLMYQAKAVFKSKNKQKPFNLEYWWEAVRQHQKWRSIYMEKDCSSKRAKISEAGTYTSSSKETKETVEPRPEAAKGKSSSSNLQPDPTMPLYHDAMALKSEAKKEKASAMKDYVAATMEKARAKKLDTYMKMLQTDTSSFNEAKLLRHENMVDQLGLELFSIKD* >Brasy5G163900.1.p pacid=40077913 transcript=Brasy5G163900.1 locus=Brasy5G163900 ID=Brasy5G163900.1.v1.1 annot-version=v1.1 MPLRRRLLGISARGLHRFHSTAAATLASDSSRGQYWSWYMLAKSTMAVRSAAPGPSVAFANPPCVSRLQMPEHFLAKASRSLPPDPEPEGDLLRFHIGEPCCSSQDGLLLLTCEDKRFAASVFGKQEGNRERRLAGITTNHIPDRTHFIFNPCTREISPPLPEAPGPRPRYTPRLGLLTRADGGCGPPDRYAVAMMEGRSMLRFLSGTGKWETVGCSPSQLQPVRGIPYTRGLVPNQETVAWKDMLWWVDVTWGAFFVDPFSDRPEARFVELPSNSVLPADAFEKVIHQWSQLPDAKEDVWWLPLPVMYRRVGVSGGWLRYVEVSQEEPFVLSSFAIAEDCSSWKLEHRVALSRIWADRGHPWLPLRVEKTPQIGVLDPFDSNVVHLIVGEHVVVVDMEKGEVIGHCLCKSNTIVPCVLSPWLPTTRIPSAGKKDVTKRITLADVLVRSDRRMDK* >Brasy5G163900.3.p pacid=40077914 transcript=Brasy5G163900.3 locus=Brasy5G163900 ID=Brasy5G163900.3.v1.1 annot-version=v1.1 MPLRRRLLGISARGLHRFHSTAAATLASDSSRGQYWSWYMLAKSTMAVRSAAPGPSVAFANPPCVSRLQMPEHFLAKASRSLPPDPEPEGDLLRFHIGEPCCSSQDGLLLLTCEDKRFAASVFGKQEGNRERRLAGITTNHIPDRTHFIFNPCTREISPPLPEAPGPRPRYTPRLGLLTRADGGCGPPDRYAVAMMEGRSMLRFLSGTGKWETVGCSPSQLQPVRGIPYTRGLVPNQETVAWKDMLWWVDVTWGAFFVDPFSDRPEARFVELPSNSVLPADAFEKVIHQWSQLPDAKEDVWWLPLPVMYRRVGVSGGWLRYVEVSQEEPFVLSSFAIAEDCSSWKLEHRVALSRIWADRGHPWLPLRVEKTPQIGVLDPFDSNVVHLIVGEHVVVVDMEKGEVIGHCLCKSNTIVPCVLSPWLPTTRIPSAGKKDVTKRITLADVLVRSDRRMDK* >Brasy5G163900.2.p pacid=40077915 transcript=Brasy5G163900.2 locus=Brasy5G163900 ID=Brasy5G163900.2.v1.1 annot-version=v1.1 MPLRRRLLGISARGLHRFHSTAAATLASDSSRGQYWSWYMLAKSTMAVRSAAPGPSVAFANPPCVSRLQMPEHFLAKASRSLPPDPEPEGDLLRFHIGEPCCSSQDGLLLLTCEDKRFAASVFGKQEGNRERRLAGITTNHIPDRTHFIFNPCTREISPPLPEAPGPRPRYTPRLGLLTRADGGCGPPDRYAVAMMEGRSMLRFLSGTGKWETVGCSPSQLQPVRGIPYTRGLVPNQETVAWKDMLWWVDVTWGAFFVDPFSDRPEARFVELPSNSVLPADAFEKVIHQWSQLPDAKEDVWWLPLPVMYRRVGVSGGWLRYVEVSQEEPFVLSSFAIAEDCSSWKLEHRVALSRIWADRGHPWLPLRVEKTPQIGVLDPFDSNVVHLIVGEHVVVVDMEKGEVIGHCLCKSNTIVPCVLSPWLPTTRIPSAGKKDVTKRITLADVLVRSDRRMDK* >Brasy5G163900.4.p pacid=40077916 transcript=Brasy5G163900.4 locus=Brasy5G163900 ID=Brasy5G163900.4.v1.1 annot-version=v1.1 MPLRRRLLGISARGLHRFHSTAAATLASDSSRGQYWSWYMLAKSTMAVRSAAPGPSVAFANPPCVSRLQMPEHFLAKASRSLPPDPEPEGDLLRFHIGEPCCSSQDGLLLLTCEDKRFAASVFGKQEGNRERRLAGITTNHIPDRTHFIFNPCTREISPPLPEAPGPRPRYTPRLGLLTRADGGCGPPDRYAVAMMEGRSMLRFLSGTGKWETVGCSPSQLQPVRGIPYTRGLVPNQETVAWKDMLWWVDVTWGAFFVDPFSDRPEARFVELPSNSVLPADAFEKVIHQWSQLPDAKEDVWWLPLPVMYRRVGVSGGWLRYVEVSQEEPFVLSSFAIAEDCSSWKLEHRVALSRIWADRGHPWLPLRVEKTPQIGVLDPFDSNVVHLIVGEHVVVVDMEKGEVIGHCLCKSNTIVPCVLSPWLPTTRIPSAGKKDVTKRITLADVLVRSDRRMDK* >Brasy5G112500.1.p pacid=40077917 transcript=Brasy5G112500.1 locus=Brasy5G112500 ID=Brasy5G112500.1.v1.1 annot-version=v1.1 MPSPTPNMHYLIALHDHWDVLRRPLQLRGCCGRRATSAVSAAATEDETGKEPNAVPSWTRSRLSWWKSAQSVLLPRTSHDRRRSGGSAAPGANGLAKRGRMADMYLRRSQFFIFDGGERWTEGKRYTQHRVSFAKS* >Brasy5G155400.1.p pacid=40077918 transcript=Brasy5G155400.1 locus=Brasy5G155400 ID=Brasy5G155400.1.v1.1 annot-version=v1.1 MDKRVKRARVGEAAAVVDSSGGLSAFALRLSKELAEREGNNNNKNVAFSPLSIYAALCLAAAGARGATLDELLALLGAASRDELAELMRAMADHALPPAADDSPVVTFACGVWCHEDLELKPAFRQAAAESYKAEARAVDFVSKAEDAREEINGWVAEATRKLIPSVLPPGSVHADTRLVLASAVYFNGKWREQDAFPRSRTKDRRFHRLDGTAVRVPFMAADPREEDFVACHDGFKVLKLPYESAAARYSMCVFLPDARDGLRGLVDSMAAGGGAGAGYLFGRLPRWRQEVRKLRLPRFKLAFSCRMKDALTSLGLQEVFGSGADFGDMVEEKKAKAAKEEDSGGVGLWVEEVFHKAVVEVDEEGTVAAASTAATMILQCGRDPGPPVDFVADHPFAFFIVEEGSGAVLFAGHVLDPSNNSEE* >Brasy5G235300.1.p pacid=40077919 transcript=Brasy5G235300.1 locus=Brasy5G235300 ID=Brasy5G235300.1.v1.1 annot-version=v1.1 MATTTRVWAAALNPTLLPLSSSPRPTQSVSSTLPSGRLRSRRPRLAKVVCRRAKNAAFDDYKFPDPIPEFAEQETSKFREHMTLRLEQKKEEYFGEHVEEIVDVCTEVLGTFLQHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGED* >Brasy5G434600.1.p pacid=40077920 transcript=Brasy5G434600.1 locus=Brasy5G434600 ID=Brasy5G434600.1.v1.1 annot-version=v1.1 MARTTCRTVVLQWPRLHRRHRALAAVAVVLAMAMAAPAPALCAQQGKEKKATVTAAIVFGDSIMDPGNNNGLHTLIKANHPPYGKDFAGHQSTGRFSNGLIPSDFIAQGLNLKQLLPPYLGVEHTPEDLLTGVSFASGATGFDPLTPAIVSVITMEQQLEYFDEYRRKLASIAGEERTKQIISGALFIVCAGTDDLANTYFTTPFRSLQYDIPSYVTLLLTSAESFLRNVSARGAQRIGFVGLPPVGCVPSQRTLGGGPARSCVPERNRAARLYNAKAQEMVARLGKEPGFPTLVYIDIYDIIQDLVDNGPAKYGFTETTHGCCGTGTVEVTALCDDRFVKVCDDVSERVFFDSYHPTQRAYKIIVDYIFEHYIQFLHL* >Brasy5G274900.1.p pacid=40077921 transcript=Brasy5G274900.1 locus=Brasy5G274900 ID=Brasy5G274900.1.v1.1 annot-version=v1.1 MVRLLGLRGLSFGPEESPREITAAGGDAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWILAAELELEETEDLDGIDKVQEQCQAAATVQGEWPPLVRPAPPSPVASPRGNPMLSRIRSSKQRLMSLASSPSLGLSPTGGGTNVAATEDAGVGRGKQPVTPSSEDNKLLRRLSIGPKVVRDALFSKRSMEKDEEQDKDGFFKRLLRDSKDKEEEDGDKEGFFKRLLKDSKEKENEEEEGDKDGFFRRLLRDSKDEDMELTPSSDGLLKRLFRDKEDRQGDDEEKEGLFRRIFKDKNEERRESMHGKHGDEEKLGKSLEDDDREGFFRKIFKDKNEERKDGGSQKQHDGREKVGASTEEDKKDGFFRQLFKEKIDEKKEGTTPSKKEDDDKGNKSIDEDNFFRRIFKDKNEEKKGAAHYRNEDDKFEEGDKENFFRKLFKDKHEGRRIEGFDKNDDDGKSTSGIEEEENSEFLSFRRLFRVHPEDAKSGHIEGSHPSGISEGSPGSESFFKRLFRDRDRSLEDSELLTSKLLKEKYPDSTGNSEKQSGKPPLPNNVIAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLTEINLHIASSEKNGGVCFPMGKGICRVVHIPEDESVLLNSREKAPYLICVEVLKAETASHSKGSSDVSKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLNSTSQVIDQAMAQLWEAKVKFINVSFSIEKIGRSRSIAMSESGRRRQATTDPRDPWEDSQAIVDQPIEWVKVTLSAVPGVSMEDVDDNEPTRKKDHRRVPSTIAMEEVKAAALKGEAPPGLPLKGVGQSTQNLDSKATDGGDPKPTDALAGELWTVKKERIRRSSVHGRLPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYAALIETIPDTASIHSIKSRFPNISSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILSYLLQIKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSGFPCFKGGTRTILNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL* >Brasy5G343200.1.p pacid=40077922 transcript=Brasy5G343200.1 locus=Brasy5G343200 ID=Brasy5G343200.1.v1.1 annot-version=v1.1 MATSGWSWTPRHAEAQDVDVAAATPPAARKKHSGAICSRFHRLEVIGAGAFGVVYRARDSRTGEIVAMKCLRRANANANANDDDVDGSGHHVSAFSSEVSALEACSGHPSIVQPRASGRLGGGERFLAMEFVGPTLRHVMKRDRFGRRHTELEVRLIMRQLLAGARRMDRLGLMHRDLKPENVLVDGRGSLKICDLGLSCSVADGPPYANPVGTPGYRAPELLLGSTDYDGRVDSWALGVMMAELLAGKHPFLGRSDTEHLSEILDLLGTKDIKEWSGYDGRRLPAGGSQPDSFLRYKFPCPTEERKIRGPPALSEAGFEVLSGLLRCNPDKRLTAGGALQHRWFKETNPTRSS* >Brasy5G055900.1.p pacid=40077923 transcript=Brasy5G055900.1 locus=Brasy5G055900 ID=Brasy5G055900.1.v1.1 annot-version=v1.1 MDSSTVASPDWSSLPPEMVRCVAEKLIATNDIDAYMDMRAVCLDWRSAIARPTPPGGAHGDDLRFRPRQWIMLDDEASKADGDDARLFLNVSTGRFLRRRLHLLRDDDTHVLVGACASDGLLILSDTKGRRHAAVFLNPFTGDMLPLAAPIPLYGKIVLLMGSDDPRLIYLWRGINIDDPGIVGLADQSVKLFKLLLFPGGPLATYSCVAHGGHVYLVVGGLLYKSDGEFWNSYRTLTAADVGRPDQDWPEPDGRFLVESAGDLLLVHRLKRLFRVFKVDGDRNVLEPVRSLGGRALFLGLRCLSVDATELPAVHGDCIYHCTHGPGNDGTTVYKYDLSDNMEEIVSEPHSSESVRVRPLCLVQLLLAYCSVLPDVQA* >Brasy5G125400.1.p pacid=40077924 transcript=Brasy5G125400.1 locus=Brasy5G125400 ID=Brasy5G125400.1.v1.1 annot-version=v1.1 MAGFEVEHEKALAADMVGSGVFVLGRCSTAMEAEKKPLASSAAAVEESKTRPGPELTPEMCLEETAEKEKPVRKMPQEQIDRILSWVIPDEPISHEPNKELKEKNDATLRRMGWSEEDIQGRHRASLAVTESWEFVRSKKRELQQFVKTRLATVGYVDLEEDEDDDEYDEDEDEYECEDEEEEEEEEEEEGLEGQKQDERH* >Brasy5G278800.1.p pacid=40077925 transcript=Brasy5G278800.1 locus=Brasy5G278800 ID=Brasy5G278800.1.v1.1 annot-version=v1.1 MKFISWNCRGVGGRLDSSKLQYLARLMASTKAQVTFLSETKSSKFSSTQLNNRFDISNSFVVPSTGRSGGLWILWTEEVLVDIKHASNHLILALVFSKTERLTFALICMYGDSNHRLSSSIWEVVESFVYDNQHCPVLCMGDFNDIMNPMDKSNLSFNRSRMCAFNLFIKRCGFIDLGFNGPAYTWTNKRFTSHPIYQRLDRCLANSDWCAQFPNTNVYNLPIILSDHAPIFTTTDSTFKRPKLKFKFENWWLMENDFQDTAKNCWIRSANKDFVSRTTNLAGTLRKWCKKKKPLHDQLAGIEEQIAQIQLLPPHSESLQGS* >Brasy5G479200.1.p pacid=40077926 transcript=Brasy5G479200.1 locus=Brasy5G479200 ID=Brasy5G479200.1.v1.1 annot-version=v1.1 MSKHRYTRRVFACAAVSLARERQSWRNAESRTPHSIGKEAKMRSSRRKEEEEGEEDMPPTKRRRRIKERSGYGAWLPDEMIMEVLQWLPVKSVLRFKSVCRSWAALLSSEEFGSLHMAARRAPPKLLYIAPTARYDSTAVYSCPFSPPSSSSGNLLFNIDGARGNCVEIVSPAPCRGLILLYDATTTAYYICNAATRAATRLPPSTDRASRSTTGLGFVARTKEYKVVRLIIGFCHDKVGVRCEVYTPGGRYGDGWRPVAGGVPSSLHRFVGTAVMNASVNKLPPVFANGFLHWLLDPTASGVAVISFSITEETFACIPSPPFWVPAACSASSRWSSGEHLVEMDGRLCMVRDLHDRVRHGSSSLEIWQLLDYSSGDWSLNHRINLSGQLERELCQPQIVRVIGSLVNCRPAKKKIIIATSEHKMHGKYDKKVHIYDPRSEALETILSIMETNSCPQYEPSSSRFSLFEDTIAPVHKTDEAIASSSTQAKATREILLRLPAKSAIHSKLVCKQWLKLIESQNFIESYFKHKNIDRRLKVMLVGNSTGQSGFSFAPLNICLRGTPSHSTLLDRKVVCSKPCHGLNLVSTETKDYLCNPCTGFHRFCSYQGPNLDRTWRMPSAEEHAFTVGNKNVGLTFDPLTREHVVVEIFYHWKDFKSRQYYLTCALRWCGSRNPAVQNSAPPLPVNDMPPAYLDGMLYWMSEPRLGQNCEWAIISFDIITKMFDVIPCPSWFARWYSRYHCRAFVVELAGLLCAVLADPVADSLDVWKLEHGQILLSTGRKIGLYDPVGQTIQSLYSLDLVSVVTSKPHLNSIDTPSVSSGNSLTCSKDLSGEVINTMDTSIIPFVPMLYEESLACYSRVAKLKFLW* >Brasy5G441700.1.p pacid=40077927 transcript=Brasy5G441700.1 locus=Brasy5G441700 ID=Brasy5G441700.1.v1.1 annot-version=v1.1 MDHNVETTTFEEFGNRPLKKKCCESGVLDDLFPSPSISASSLNSECSISSKSDDQINDYALTELDLCAHLVIEDSSEKEILVKIDQVYVKQCDLMCLLDSAKWLNDDVSTFTIKKNVISAYIYCIKEVHEQNKNDHKVYFENTFVAGLLKRDGKIGIHEATFMTKIVENYLKHDMIHLPINIKHSHWYLACVNVEKSEIQVLDSLCWEYKRVDLTNTLQGLQYHLDILKTQENLCNHNWKDLDVTKWTITEQLRNPIQKDSSSCGLFMLKFMEYWTGHTLSHHITQEIIIDFRYKLAAILICWKTNTAQASTTIEESDYSEGDPNDVVMFECIDEDQSKTSNSLSIEKKYQSLITVLSNMSVHDLEGGLCNYIKSINSAETLEKVWVQSSGPYPISLTLKRLQGMLNEELPMEHDCFNLVVRKIMFDDIQTAEKTKGLISKHYLDMRFWMITDFGRHPNFRKKLDVEQLAYSVHSRTVYILDPAPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSKACPGSAWTENIFLWHQQIINNIPIHNRALSGYLITLFMSTWDDEKLNLPFLKDGYELRKQILGKLLTFKENECEDNMPAGVLDFINCIRKVQHTVNVKT* >Brasy5G243700.1.p pacid=40077928 transcript=Brasy5G243700.1 locus=Brasy5G243700 ID=Brasy5G243700.1.v1.1 annot-version=v1.1 MDNNASSRSQSLARPFPMDAETQAYYRDRGVDPWILELNLITAFTCLHYILPNPPSFVSNNGATALPDLPPPQVDRISRLPDVLLGHIVSCLPVKEGACTALLSRRWRGVWRAAPLVLADVDLLPTRPRDMLEVMPGESQAVASAVSRILAGHQGPIRCARLVSCYMKEIPGLLVRWLHLLAINGVRELFLFNRPWQLNMQLPVGFFGIATLTRLYLGVFTFPDTAALPPAIQFPHLCELGLFCMTMENRDMDFVLARTPVLKTLCIQINILLTCLRIISRSLRCVHIIGGTDLDVVMEDAPQLERLIMWSSCVHNGLPRKVKIGFAPALTVLGYLEPALHTLEIGNTVIKNGTRASWSTMVPSVKILALRVCFGVHSNAKMMSSFLRCFPNVTRLHMESDHETVGPTCKLCIKFWQEGGAIECVQSHMEVMIFCGFRGAPNEISFLQYILESARMLKKLVIVFSKGSFTSKTKGNKKLKPLFTGKWANKDCSLVPVESAVKDDRFLLNIESAFDFSTKDPFVRHPSLGLENRRLRDLEGI* >Brasy5G376000.1.p pacid=40077929 transcript=Brasy5G376000.1 locus=Brasy5G376000 ID=Brasy5G376000.1.v1.1 annot-version=v1.1 MVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNSNSEEVAMNLSRTGNKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLIYYDDATPEDYEPPFFKGCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCEANNVNSEDDNMSLGNESDHDDDFSDTEVRPSEVDRYVVAPNDGNCKGQSGTISEDDTQDTAHEEELTAQVREWICSRDIGTVNASDVLSNFPDISMEMVEDIMERLLRDGLLSRTNKDGYAVNKITDPKTPHIKKEVTMQHVSPTEGTKNNNGDLMYMKALYHALPMNYVTIAKLQGKLDGEANQNTVRKLIDKMVQDGYIKNSSNRRLGKAVIHSEATNRKLLEIKKILEVNIGDEQMAIDTNTGAAEFDRKDHLTDHEMKDGSTVGCFHSVGSDLTRTRELPEQQQNVSMQSGQGPSATDKGTPTSMREPATSLESGVLGQRIRKSLTGGDDSQFTQEKRSRKTSMVKEPILQYVKRQRSQVQVQ* >Brasy5G114300.1.p pacid=40077930 transcript=Brasy5G114300.1 locus=Brasy5G114300 ID=Brasy5G114300.1.v1.1 annot-version=v1.1 MAEIRADGNGSKKQEGNFYLKEQHKLLWAGWRYKTWGLAKIVRPGKLKTRV* >Brasy5G074700.1.p pacid=40077931 transcript=Brasy5G074700.1 locus=Brasy5G074700 ID=Brasy5G074700.1.v1.1 annot-version=v1.1 MSLHRYLTLPRTTTAIEPRRVVARVLAMDTGGEPKAVAKACRACGDDVGQPFVACAECAFPVCRPCYEYERSDGTQRCPQCNTRYKRLRGSPRVEGDEEDADMDDFEEEFQAKSPKKAAHEPVPFDVYSETGEQPPQKWRPGGPAMSSFGGSVAGKELDAEREMEGSMEWKDRIDKWKTKQEKRGKLNRDDSDEDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLRFRIMTPANDAIPLWLVSVICELWFALSWILDQLPKWAPVTRETYLDRLALRYDREGEPSRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRSSCYVSDDGASMLCFDALSETAEFARRWVPFCKKFAIEPRAPEFYFSHKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAEKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGHDHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDAHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGGKHGKSKKDKKGGGEEEPRRGLLGFYKKRGKKDKLGGGGVPKKGGAYRKQQRGFELEEIEEGIEGYDELERSSLMSQKNFEKRFGQSPVFIASTLVEDGGLPQGAAADPAGLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPTLPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFMSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGFLKVLGGVDTNFTVTSKAAGDEADAFGDLYLFKWTTLLIPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFIAKPKGPILKPCGVQC* >Brasy5G205300.1.p pacid=40077932 transcript=Brasy5G205300.1 locus=Brasy5G205300 ID=Brasy5G205300.1.v1.1 annot-version=v1.1 MALVGQAAMVAQFAGVDAYGLIKMIAEAAQTVRRNRAICLQLARRAKMIGDLLHRLHAAQLMQQPETRNPVEQLEETLRRALLLVRSCQGRGYLYRCFMGAWHAEELREVQSEISFYLQLFPLVSYVDTTLTWVRLLNKASPDTSCKEAPMVRPYFVPFLFLAPDGWCLVVNYPGSIPWYSIHMVYIYLLF* >Brasy5G002900.1.p pacid=40077933 transcript=Brasy5G002900.1 locus=Brasy5G002900 ID=Brasy5G002900.1.v1.1 annot-version=v1.1 MGEAAAADVQKRGVVVAPAPRPRKGVASWAADLLERVAVRLAHDRTRPLYWLSGNFAPVTDETPPAHDLPVRGHLPECLNGEFVRVGSNPKFVPVAGMIHAMRIKDGKATYISRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVLMQELRKQLKVLDATYGTGTANTALVYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKSRFGILQRYEKDEKNIRWFELPNCFIFHNANAWEEGEEVILITCRIENPDLDKANGHQSIKLENSRNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCTMLDSIAKVTGIIKFDLHAEAESGKKQLEIGGNVSGIYDLGPGRYGSEAVFVPKEPGVSGEEDDGYLILFVHDENTGKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVNEEQLGRQAEE* >Brasy5G170900.1.p pacid=40077934 transcript=Brasy5G170900.1 locus=Brasy5G170900 ID=Brasy5G170900.1.v1.1 annot-version=v1.1 MAASHYAREARRKAARRKERLSQEAVDALLARSTDPRRRPVPRLSREAVDRIFWNRTPEEREEFLAVNTIGVLNLQAYFDRDDELVRMYHEKGYVEVEAAAADDTD* >Brasy5G289400.1.p pacid=40077935 transcript=Brasy5G289400.1 locus=Brasy5G289400 ID=Brasy5G289400.1.v1.1 annot-version=v1.1 MNLFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYAIVFAARYLDLFVHFMSLYNTIMKLVFLASAFSIVWYMRQHKIVRRTYDKEHDTFRHQFILLPCLVLALLINEKFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGHYVFFLGAYRVLYIFNWIYRYFTEPHFVHWISWIAGIVQTLLYADFFYYYITSWKNNVKLELPA* >Brasy5G500700.1.p pacid=40077936 transcript=Brasy5G500700.1 locus=Brasy5G500700 ID=Brasy5G500700.1.v1.1 annot-version=v1.1 MQAASSASPSIRYTAGALAKLLLLCCPRGLASLFSTTAGSTRFPSPALFLENPSHKA* >Brasy5G221100.1.p pacid=40077937 transcript=Brasy5G221100.1 locus=Brasy5G221100 ID=Brasy5G221100.1.v1.1 annot-version=v1.1 MAAAAAPILPTTVVPSAIAPATTTPIPTAISSASSPDPAATRAFLLRLYDTAKASLSTARPWPELLDRTALSRPDTVSVATARLRRNLPYFRVNYLAVISLALAVSLLAHPFALLALLALLAAWCLLYLLREPSSAPIAAFGRTFSDRETLAGLVAASAFVVFLTSVGGIIFSALALGAAVVCVHGAFRVPEDLFLDDVPEQDPASLGLLGFINSAAAAAGGAARV* >Brasy5G443200.1.p pacid=40077938 transcript=Brasy5G443200.1 locus=Brasy5G443200 ID=Brasy5G443200.1.v1.1 annot-version=v1.1 MIDRASSSGVILCFCTDKLVANSWLVWLEKSLACQQAISCSHCVVKCLHNRIPSSCCC* >Brasy5G244000.1.p pacid=40077939 transcript=Brasy5G244000.1 locus=Brasy5G244000 ID=Brasy5G244000.1.v1.1 annot-version=v1.1 MAGQRVLVGPRLAEAAVPPAPAPAHGARPFPLDAETQAYYRDRGVDPLRLELSIISAFSCLYYVLPKPPFFSSPGAAANLPFPPPPPQSDLISTLPDSLLRKIVSRLPVKDGARTAALSRRWRGVWLAAPLVLADAALLPASAAAIARHKRLEVTQAESQALADAVTRILEAHQGPIRCAHIVSCYIKQTPQGLLARWLHLLAIKGVRELFLVNRPWPLDMPLPAGFFGMATLTWLYLGAFTFPDTAALPGAIEFPNLQELGLCCVYMENRDMEFVLARCPMLETLCVQMNVVLTRLRVVSRSLRCVHIIGGTDLDVVVEDAPKLERIIMWSTVVRNGLPRKVSIGCAPALTVLGYLEPAVHTLEVGNIVIESDHKTVEPTCKLDVKFWQEGGALECVQAHMEVMIFCGFEGAPNELSFLKYILESAWMLRKLVIVFNKGSFTSKTKANKKLKPLFAGKWANKDCLLAPVESAFKEGEDWFLPTIEIGSDFSIKDPFVRHPALGLDIRRLLNLEAI* >Brasy5G400200.1.p pacid=40077940 transcript=Brasy5G400200.1 locus=Brasy5G400200 ID=Brasy5G400200.1.v1.1 annot-version=v1.1 METARGPAAASRPRTVEEIFKDFSNRRLGLVRALTSDVEQFYGLCDPDKENLCLYGNPDGSWSVTLPAEEVPSELPEPALGINFARNGMHRRDWLSLVAVHSDSWVLAVAFFYGARLNANERKRLFSMINDLPNVYESMVDRKQSRAKSGVDGNGKSRHSSQQTKDGRAKNSRVLAQEHAEEDDEEEEHSETFCGTCGGLYDESEFWIGCDICGRWFHGKCVRITPAKAEHIKQYKCPDCSGSKKIR* >Brasy5G254000.1.p pacid=40077941 transcript=Brasy5G254000.1 locus=Brasy5G254000 ID=Brasy5G254000.1.v1.1 annot-version=v1.1 MDRRRRPRQHSLELARPAAAGSSHDDGSTMSLELYLAVCGGKKEEAMALLQRQQHGIRIDQLVSAGRNTVLHLAAVHGHDELIQELCATYGSNNSLSSQNWTLDTPLHCAARAGRGKTLALLVQLARDTVEQSSMSILGRRNEAGDTALHLAARLGHGAAVEAMVSAAPELASEVNNAGASPLYLAARSSLAVRV* >Brasy5G125500.1.p pacid=40077942 transcript=Brasy5G125500.1 locus=Brasy5G125500 ID=Brasy5G125500.1.v1.1 annot-version=v1.1 MPANPAAAGSAPDQEPPPPMARPPRPLSLVSALPFWFYLTAAVSLLALLLPHFLSPHAAPPLPPLLRRHLADGRILKLHPYPDLFAVTSRPAAAAQQQPHPVLILPGLAAGSFSFRGLLSSLSSRGLVAAAIDLPGQGLSPPPAAPPRTNPLREIIDRGIFHAFEHLVETGEVPFQEAAPEPSRSFYAASEAAAAVARAVDALGLAPVHLVLHDSALPAGAAFVSANPDAVLSVTLVDATATLPAFPAAVFDVPVLWRLALRVPALFKGLMRLSCARGMDAEEAEAHRVTMRGEGRREAVYETWKSLNQSFDLREWRSSSEKVRRLPMMVLWSGSWSDKWINEGKKVTAALPDTRFVYHYGGRWPQVDAYEEISKVIAEFVTSLPKSVENENEEHRSHSIDHSFDEATDEQSDWLAA* >Brasy5G243500.1.p pacid=40077943 transcript=Brasy5G243500.1 locus=Brasy5G243500 ID=Brasy5G243500.1.v1.1 annot-version=v1.1 MLRHWLQLLAVKGVRELILVSRPWPLEMDLPPTFFGMATLTRLCLGFFRFPDTAGLPHAVQFPHLRELGLFSVPMERQDMDFVFARCPVLESLCLLATFVIKRLRLVSNSLRCLQIIESRDLNIIVKDTPRLERLIIWSSSVCDGVPRRVKIGHCPTLSLVGYLQPALHVLEVGNTIIKAGTSASPSTMVPSVKILGIKVCFGVRNDAKMLPGFLRCFPNVERLHLESYETDEPTGKLNNKFWQEAGAIECMQSHIKLLIFYGFRGERSELSFLKFFLESASMLTKLVIVVSKGSFTSMAEANSRVKPLLAAKRASPDSLLLLFESAFESGEDRWLLNFKRGSDFSTVDPFSCTAALQGCNF* >Brasy5G341700.1.p pacid=40077944 transcript=Brasy5G341700.1 locus=Brasy5G341700 ID=Brasy5G341700.1.v1.1 annot-version=v1.1 MDRLSLRSPFHLLLSLHLLLSSFNPLAVADLASEKQALLAFASEVYRGNKLNWDQNTSVCSWHGVTCSGDQSRIFELRVPGAGLIGAIPANTLGKLDSLNLPSDVALLPSLRYIYLQHNELTGDLPSSFNPNLSKILSGSIPDLKLPSLRLLNLSNNELKGPIPRSLQRFPNGSFLGNPELCGPPLDDCSFSLSPTPSPELPSSPPHPVSPHHEKKPGTGFIIAVAIGGLAVLMLIVVVLIVCLSKRKSKKESGVNHKGKGTGVRSEKPKQEFSGGIQTAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGNHANLVPLRAFYYSKDEKLVIYDYVTTGSFSAMLHGIRGVSEKTPLDWNTRVKVILGTAYGIAHIHAEGGGKLTHGNIKSTNVLIDQDHNPYSRKITQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTSGPPERRPEMEEVIRMIEGLRHSGPESRDSADEKLKDSNPPPV* >Brasy5G525300.1.p pacid=40077945 transcript=Brasy5G525300.1 locus=Brasy5G525300 ID=Brasy5G525300.1.v1.1 annot-version=v1.1 MIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVPLAALGNTEVVSLMATAVEHLVTGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVVDQGFDNPANVELALDYLQKSRGIERKSLHKNMLTLRSRP* >Brasy5G436600.1.p pacid=40077946 transcript=Brasy5G436600.1 locus=Brasy5G436600 ID=Brasy5G436600.1.v1.1 annot-version=v1.1 MLSAMRSRAPGQWRTPPHLSPRLLSSASAPPARPAELLELSEVEKVLGDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHVRNIAQAIIYKIKQKQKGSDRILMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEVSPNISVQELETSLVKTRRRDHSQKPMKSI* >Brasy5G428500.1.p pacid=40077947 transcript=Brasy5G428500.1 locus=Brasy5G428500 ID=Brasy5G428500.1.v1.1 annot-version=v1.1 MATAGIPSPHAGDSPEPSFSGGGSDGGEREKVYLAVGREGAGSKAMVLWALHKFPKDAAAFVLIHVYSRPKFLPIMGAKIPASQVGEQELIAYKKIELQRISDILDQYLLLCAQEKVQAEKMVVESDDVAERLVQLISENRVTALVMGAAADKNFTKKMKALKSKKAQIVEQQADPFCRIWYICKGTLVYRRKTTPLSHEAMQEGRLKSGAQKFSVDRSTSLSETWCVSNTWLHKPNFEPHIERTSPNRSCDNEKEDVEEYDEPDNKIQHILGELESARQQAYEEKCSREKAERELFEAFQKAQASENMYFGEVKQKNEIEEKLTTTMEEVERLTETTDELCAKLQEERKKRLALEKKIGHSDRIIKDLMLQRDKAVREVEALHAKKGESSATAEGTTHITQLSCSEIKEATNNFEHSLKVGESVYGSVYKGILRHTNVAIKKLNPEITQSRSQFNQEVEILSRVRHPNLVTLIGACKDTQALVYEYMPNGSLDDRLACKDNSKPLGWQLRARIVSDVCSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMSTDEFRDTTTLYRHTHPKGSFVYIDPEYVMTGDLTPLSDVYSFGIVLLRLLTGRPGFGLLKDVQRAVEKGCLEAILDSSAGDWPVMQAEQLARVGLRCCEIRRKNRPDLKTEVWTVLEQMLQSACIRLCSLSFKSVSEDLGGVPSYFICPILQDVMREPLIAADGFTYEAEAIREWIDSGHHTSPMTNLELLHRDLLPNHALRSAIQEWLQTNAN* >Brasy5G074300.1.p pacid=40077948 transcript=Brasy5G074300.1 locus=Brasy5G074300 ID=Brasy5G074300.1.v1.1 annot-version=v1.1 MADHAQASRGPLLCCLLLLLLSSPQRATSMYLDDDDDDGSFSGGGGGPRQRSLSGVMLNSNSSNGTGAGAAFCRLLSLQILDLSNNRLSGELPDCWWNLQALQFMDLSNNSFSGEIPAAKPSHNCSLESVHLAGNGFTGAFPPVLEGCDSLATLDIGNNRFFGAIPPWIGTGVPSLRILSLRSNNFTGQIPHELSRLSQLQLLDMANNSLTGSIPVAFGKLASMRDPKIVSSPGSLDGSNYQDRIDIIWKGQELIFQRTIRLLTGIDLSGNSLSQCIPEELTNLEGLRFLNLSRNRLSCGIPRDIGSLKNLDFLDLSRNELSGAIPPSISILSYLSIFNVSNNHLSGKIPTGSQMQTLTEPSSYYNNSGLCGFPVAPCANTSHASEETKGEEYQDQWLYYCVIAGIVFGFWLWFGVLFTMETWRSAVLLFVDGMQCNIMKKVSHIDQLLSEGNRDQYL* >Brasy5G257500.1.p pacid=40077949 transcript=Brasy5G257500.1 locus=Brasy5G257500 ID=Brasy5G257500.1.v1.1 annot-version=v1.1 MEKEESNKPITPIETVELPELPQDILMDIFARLEIPDLVRASSVCPSWRSVYTSLLNLGKYKRSQTPCLFYTSESAGENVACLYSIAEKRVYKVTLPEPPICSRLLIGSSQCLLVTVDERSEMHIVNPITSEQVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G257500.2.p pacid=40077950 transcript=Brasy5G257500.2 locus=Brasy5G257500 ID=Brasy5G257500.2.v1.1 annot-version=v1.1 MEKEESNKPITPIETVELPELPQDILMDIFARLEIPDLVRASSVCPSWRSVYTSLLNLGKYKRSQTPCLFYTSESAGENVACLYSIAEKRVYKVTLPEPPICSRLLIGSSQCLLVTVDERSEMHIVNPITSEQVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G257500.3.p pacid=40077951 transcript=Brasy5G257500.3 locus=Brasy5G257500 ID=Brasy5G257500.3.v1.1 annot-version=v1.1 MEKEESNKPITPIETVELPELPQDILMDIFARLEIPDLVRASSVCPSWRSVYTSLLNLGKYKRSQTPCLFYTSESAGENVACLYSIAEKRVYKVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G257500.4.p pacid=40077952 transcript=Brasy5G257500.4 locus=Brasy5G257500 ID=Brasy5G257500.4.v1.1 annot-version=v1.1 MEKEESNKPITPIETVELPELPQDILMDIFARLEIPDLVRASSVCPSWRSVYTSLLNLGKYKRSQTPCLFYTSESAGENVACLYSIAEKRVYKVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G257500.5.p pacid=40077953 transcript=Brasy5G257500.5 locus=Brasy5G257500 ID=Brasy5G257500.5.v1.1 annot-version=v1.1 MHIVNPITSEQVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G257500.6.p pacid=40077954 transcript=Brasy5G257500.6 locus=Brasy5G257500 ID=Brasy5G257500.6.v1.1 annot-version=v1.1 MHIVNPITSEQVNLPSVITIEQVKPVYDDSGAVRKYRYSRHTAREVYSPPMVVELDELREMLHYKAFVFPNTTTGQYIVVLFHNPHRQLSFTRVGDDSWTWLPPRFHYEDCLYKDALLYAVNYDGEIDVYDLSGPTVTMKIVLGMTDAITYSCMYIVQAPWGDLLQVWRSYTDYELHPEPGAFVFWNTGKIEIYEIDIERGDRKKVKCLHDHALFLGHNQSLCISAVEYPALKRNHAYFTDDSYFWTRGFENNSRDIAILNLDNNIREELLSPQLWSDFPAPMWITLDVRAMHSALNK* >Brasy5G510300.1.p pacid=40077955 transcript=Brasy5G510300.1 locus=Brasy5G510300 ID=Brasy5G510300.1.v1.1 annot-version=v1.1 MRFAGWYLKIAAGGAAIGASMELFMIHTGFYEKVTVLESEKRAWESSPEAQATREALNPWHKRDEQEKR* >Brasy5G456800.1.p pacid=40077956 transcript=Brasy5G456800.1 locus=Brasy5G456800 ID=Brasy5G456800.1.v1.1 annot-version=v1.1 MDGYPYGVVVPPLPPIWSVHPPSQQTIWAIKICRLCCAAAIPPLTYPKLPGRRPPAAVKPLLYPAVRRALEPPPRRSSHRVPAVPTNLPRPAPFSSFLPTNHGGAPARLVPAPAVARLSCRPLPPPNPSHARPLRRSLPVAAAHEPVAGRPPPRLARHLPTQLALSSLVLGRHSAPWFPVKPTFNTNAALLGRIPAAPSAGPMRSW* >Brasy5G322000.1.p pacid=40077957 transcript=Brasy5G322000.1 locus=Brasy5G322000 ID=Brasy5G322000.1.v1.1 annot-version=v1.1 MAQGTAPSAGGGGGVFSTPAAVATTPPGTPRGPQPPPPPAAPSGHYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPDPLRLAPCLRALASRLDPLPLALSSLASPPSSLDAGVLFLSPTPSAALLGLHAQLCELLRKDAGLEVPDGFRPDNWVPRCAVAVDVPRGRMAEAFCVLRDLKLLPVSGYGMDIALVEVAPVVREVVSYPLGGSGGAGAD* >Brasy5G322000.2.p pacid=40077958 transcript=Brasy5G322000.2 locus=Brasy5G322000 ID=Brasy5G322000.2.v1.1 annot-version=v1.1 MAQGTAPSAGGGGGVFSTPAAVATTPPGTPRGPQPPPPPAAPSGHYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPDPLRLAPCLRALASRLDPLPLALSSLASPPSSLDAGVLFLSPTPSAALLGLHAQLCELLRKDAGLEVPDGFRPDNWVPRCAVAVDVPRGRMAEAFCVLRDLKLLPVSGYGMDIALVEVAPVVREVVSYPLGGSGGAGAD* >Brasy5G322000.3.p pacid=40077959 transcript=Brasy5G322000.3 locus=Brasy5G322000 ID=Brasy5G322000.3.v1.1 annot-version=v1.1 MAQGTAPSAGGGGGVFSTPAAVATTPPGTPRGPQPPPPPAAPSGHYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPDPLRLAPCLRALASRLDPLPLALSSLASPPSSLDAGVLFLSPTPSAALLGLHAQLCELLRKDAGLEVPDGFRPDNWVPRCAVAVDVPRGRMAEAFCVLRDLKLLPVSGYGMDIALVEVAPVVREVVSYPLGGSGGAGAD* >Brasy5G367600.1.p pacid=40077960 transcript=Brasy5G367600.1 locus=Brasy5G367600 ID=Brasy5G367600.1.v1.1 annot-version=v1.1 MDLMVIMCAAAPSAIDGPFTRPAAPFITARSPHPHRARPPPHPSSLLRAPVVRRPLRQSRPPSGTVPPPRKAAAAPILPPPAPPRTMEEEDLEPAGVDRAECRPPPAPPREETAAAPILTTEETHGSRRPPPPPSSKEEDAVPCVVQHVGERKR* >Brasy5G414700.1.p pacid=40077961 transcript=Brasy5G414700.1 locus=Brasy5G414700 ID=Brasy5G414700.1.v1.1 annot-version=v1.1 MVSAKRLAQMAKKWQKMAALGRKRLTRTTTTDECCGTPPSPSVAVKGHRVMYTADGARFEVPLPYLGTAVVGELLRMSHDEFGFSSDGRITLPCDAAVMEYVLCLLGRDAPEEVERAFLSSVAKALPLR* >Brasy5G166500.1.p pacid=40077962 transcript=Brasy5G166500.1 locus=Brasy5G166500 ID=Brasy5G166500.1.v1.1 annot-version=v1.1 MCTNLARQLLDVLPLGALARVSPASISACSAFSWFRLALLGCRFDSVRWRTNSRGRRRRGRTSRWSWLGLSSVSSWRMSTWRFAAQQLKVPEPLPLLALPNGTFYSLPCTKNFHFTGCGFGCFKSVCGSWLVFPRDDGCFLVNPLSRATVTLPALSSVPVDPPVFTWLHIKDKTLDLGKLMMCSPNLVAAFVNHHVQAYDMCKGFVDMALYQGKLYTLSHHEHLCVLNISQDETTRDPQVSRSEQVIKGDTLSILMEEKRTGVTKKLYLVESRGVLLMVRREFEVFEADFKRSRWVNVMTLGDDQVLFLGRRCSKAVPVSQYEMTGDRIFFLDDDEHFDRYTYEAENASICVYDMKDQEVSILSTVSWKRDGMRLATWLFPQD* >Brasy5G159700.1.p pacid=40077963 transcript=Brasy5G159700.1 locus=Brasy5G159700 ID=Brasy5G159700.1.v1.1 annot-version=v1.1 MSGTTLDLNQPGVLLGKSLLYFVSECASAIEYDLARHSLDLFDSPLDIEVVFDYDRLDYDRLVFMLSENGGLGAAAARDSRLILWLREPEDGGDARWVQSSVIDLAKLLPIGDPVTESPETSPKVMGFANGANTVFVGTVAGLFTIELQSQRVRKVHEDREFSSLIPIVGFYSPCCTLGTPGSKDHGLPLWNASEGIGKEDKTLWQARELVDKGSKAIKEGKFVDAVDCLSHALKIRTAHYGQLALECASTYYKCGCALLHKARKQRAEDASFPLSNVPVSTRIEEAMKNRTSKDDTGTRRPLVGTMKIAPTSGKGLNSNVKDQEYEIGDGKDDDYVGDSDLDLAWKQLNIARVIVEKSPNDNAMEKFKILFALAEVSMERGDFDNLLGYYFKALAILEHVVEPDHPVIAKLNFRICLCFAFESVVPKLADAIPYCAKAISLSESRKQRLENAMKALLADEGDNASAAEGRSRKYAIEYEMEFLTGILAEFEETRELLDAMSTQSSATEERVA* >Brasy5G272700.1.p pacid=40077964 transcript=Brasy5G272700.1 locus=Brasy5G272700 ID=Brasy5G272700.1.v1.1 annot-version=v1.1 MTTGTEGTATYHGLNRTIYDCAWLHNSVDPIDGNGKKGDFYWKEVMKEYNENSPVDRRRKPINCKDHWRKMNRKIVAFNGIWCRLKDTYASGQSDDQLMYKAYDMYKSEIKQTFTLVNLWREVRNQPKWNRMYVDNTASLNVDPINVDHEEGETLPEGSKAAKARKNGKGKGTHDTSLGSISHDDIQLYYETQTLRASTSERTSEVQLQLSSEKLATAQARERTALVTSEKAIMEKYMDLVMANTNEMSDFQRVEHEAALRFFRSKIMGAENNHDYL* >Brasy5G476700.1.p pacid=40077965 transcript=Brasy5G476700.1 locus=Brasy5G476700 ID=Brasy5G476700.1.v1.1 annot-version=v1.1 MAAQDTPMTSEDDYETQQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMRTCLKEEPQQAAASPDSSGEPSSSGTMKEDRNDVP* >Brasy5G373300.1.p pacid=40077966 transcript=Brasy5G373300.1 locus=Brasy5G373300 ID=Brasy5G373300.1.v1.1 annot-version=v1.1 MRPAPNRSIPHQIEPSTTPPLQIEPSGTNPTLTTPKSPPNRNSIPLEAKPAGGGARCEMAGQSKRTSAPAPLEFPWPTAQPVQPPSAQSAPPSTAQPIPSPAVPSMFVAGAWSRPP* >Brasy5G163400.1.p pacid=40077967 transcript=Brasy5G163400.1 locus=Brasy5G163400 ID=Brasy5G163400.1.v1.1 annot-version=v1.1 MLLRRLRRPLSTAAAAAAATDSPGEGWSWYMLGKLSPAVGSARGPSVTFAKPPRVSQLYVPEHLAEASGGLPIPDPDGDVLRLPSRHVCAASQDGLLLLGCKDIRIVAPVVGKHGGKRVRQVAGAVDNPDSLPHTSYRVFNPLTSEISPRFPEIKGPRREILSAFNPGILTQADGRRSGPPDRYAVAGLELKEHIMLRFLSETGEWDVVQCSPCQLPAARRMSPRQETVAWNGMLWWVDETWGAIFADPFSNRPEPRFVELPSGSVLPQDACEKAMKQGDMMPDGEGGTSWWMPMPVMFRRVGVSGGTLRYVEVSQEEPFLLSSFAIDADGNGWTLEHRVALSRLWGVKRTPLIGALHPFKANIVHLTVGNHVVTVDMNKGEVTGHCPRRGINPILPCVLPPWLSTTRISSLGKKDVTKENTLADVLVRSDRRQEK* >Brasy5G163400.2.p pacid=40077968 transcript=Brasy5G163400.2 locus=Brasy5G163400 ID=Brasy5G163400.2.v1.1 annot-version=v1.1 MLLRRLRRPLSTAAAAAAATDSPGEGWSWYMLGKLSPAVGSARGPSVTFAKPPRVSQLYVPEHLAEASGGLPIPDPDGDVLRLPSRHVCAASQDGLLLLGCKDIRIVAPVVGKHGGKRVRQVAGAVDNPDSLPHTSYRVFNPLTSEISPRFPEIKGPRREILSAFNPGILTQADGRRSGPPDRYAVAGLELKEHIMLRFLSETGEWDVVQCSPCQLPAARRMSPRQETVAWNGMLWWVDETWGAIFADPFSNRPEPRFVELPSGSVLPQDACEKAMKQGDMMPDGEGGTSWWMPMPVMFRRVGVSGGTLRYVEVSQEEPFLLSSFAIDADGNGWTLEHRVALSRLWGVEATRGYQLCR* >Brasy5G034100.1.p pacid=40077969 transcript=Brasy5G034100.1 locus=Brasy5G034100 ID=Brasy5G034100.1.v1.1 annot-version=v1.1 MGTGKGGEEILLAGARRLPSSSGELPLHFLLSPVTPLLLPPFGPRGACVVVAAPPRRRRRGGRGVLVRPSKRLRENLESAPARSPPGARLLRPAGSRVDHIGFVRRGGENEVPAVEGAGGGAAVRADAGLVHHRGRDVSRGAKLGLCLPSSTGTREGWQAGAAWVVVKEA* >Brasy5G422000.1.p pacid=40077970 transcript=Brasy5G422000.1 locus=Brasy5G422000 ID=Brasy5G422000.1.v1.1 annot-version=v1.1 MAATAMEHDGGVEVVTPGELLGTSSSFAAGHGAYADGRSVRASVTGHRRIVPPSPDSPDKRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARVASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVRALVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPTSWTEMQCELTGQIEQRKVAKVE* >Brasy5G226700.1.p pacid=40077971 transcript=Brasy5G226700.1 locus=Brasy5G226700 ID=Brasy5G226700.1.v1.1 annot-version=v1.1 MAGEHSSGARRRWLVDVARWRPSAAQFQGAAALLSPHHRAAIHRFVKEEDRKRALVSQLLQYSLVHHVLCIPFHQIDICRTIEGKPFLKNKTPSFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETALEFINNFSSYLTDHEWNCVVCDNTPDEMLTEFYRYWCLKEAFVKAIGAGVGFGLQRLEFHHVHWANISIYIDGVESSNWRFWLFKLDEVHLASIARGHPEDAVNSYKRTLSNSVVEEQEFYAALEIPEGAFTLQTVEQLTQLVQD* >Brasy5G226700.2.p pacid=40077972 transcript=Brasy5G226700.2 locus=Brasy5G226700 ID=Brasy5G226700.2.v1.1 annot-version=v1.1 MAGEHSSGARRRWLVDVARWRPSAAQFQGAAALLSPHHRAAIHRFVKEEDRKRALVSQLLQYSLVHHVLCIPFHQIDICRTIEGKPFLKNKTPSFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETALEFINNFSSYLTDHEWNCVVCDNTPDEMLTEFYRYWCLKEAFVKAIGAGVGFGLQRLEFHHVHWANISIYIDGVESSNWRHP* >Brasy5G226700.3.p pacid=40077973 transcript=Brasy5G226700.3 locus=Brasy5G226700 ID=Brasy5G226700.3.v1.1 annot-version=v1.1 MAGEHSSGARRRWLVDVARWRPSAAQFQGAAALLSPHHRAAIHRFVKEEDRKRALVSQLLQYSLVHHVLCIPFHQIDICRTIEGKPFLKNKTPSFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETALEFINNFSSYLTDHEWNCVVCDNTPDEMLTEFYRHP* >Brasy5G226700.4.p pacid=40077974 transcript=Brasy5G226700.4 locus=Brasy5G226700 ID=Brasy5G226700.4.v1.1 annot-version=v1.1 MAGEHSSGARRRWLVDVARWRPSAAQFQGAAALLSPHHRAAIHRFVKEEDRKRALVSQLLQYSLVHHVLCIPFHQIDICRTIEGKPFLKNKTPSFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETALEFINNFSSYLTDHEWNCVVCDNTPDEMLTEFYRV* >Brasy5G226700.5.p pacid=40077975 transcript=Brasy5G226700.5 locus=Brasy5G226700 ID=Brasy5G226700.5.v1.1 annot-version=v1.1 MAGEHSSGARRRWLVDVARWRPSAAQFQGAAALLSPHHRAAIHRFVKEEDRKRALVSQLLQYSLVHHVLCIPFHQIDICRTIEGKPFLKNKTPSFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETALEFINNFSSYLTDHEWNCVVCDNTPDEMLTEFYRV* >Brasy5G149800.1.p pacid=40077976 transcript=Brasy5G149800.1 locus=Brasy5G149800 ID=Brasy5G149800.1.v1.1 annot-version=v1.1 MGAAEKPAPFICFKWPWGPSLNASPSPSLSPSPCGDLELPWLFKSIRTVAQGLLIAGDLPSPSDGDGSSWRKRNPGQVAVAVAADRGDAEQRALAAALVSGRPATVLEFYSTRCRLCASLQGLVRELEDGAGGCASFVLADAEDDRWLPELLHYDVRYVPCFVLLDKNGRAVAKTGVPTSRQHVIAGLHHLLDMKQPSRQEGKKT* >Brasy5G467200.1.p pacid=40077977 transcript=Brasy5G467200.1 locus=Brasy5G467200 ID=Brasy5G467200.1.v1.1 annot-version=v1.1 MGNCLVIQDRKEIKVMSIVDEEILKALPPPISCPSKGAVFSPVHGFSGSDDAAEKKAPSAAAAADVPGAVVRVKLVISKQELRRMLGKDDQASLSLDDMMALMRRRSEQEEQESSCCRGWRPALHSIPEGSGDLFY* >Brasy5G340800.1.p pacid=40077978 transcript=Brasy5G340800.1 locus=Brasy5G340800 ID=Brasy5G340800.1.v1.1 annot-version=v1.1 MGSEGRSWNGVAGAGGGGGEEKPRTVVVPGPAEVPTSSAAVDISLPLPEMTPHIIGLCKELVKGWSSVDSSCFSVETVSGGITNLLLKVSVKEGTCSESSVTVRLYGPNTDLVIDRERELLAIPYLSAAGFGALLLGIFENGVIQSFINARTLSPSDMKEPRIAAEIAKQLQKFHQVDIPGSKEPQLWNDIFKFLKKASTLKFEDNEKHKRYDTISFREIQDEVKELKDLSDLLHAPVVFSHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNLYPDKDVQYHFFRNYLADRPSEVQVQDLEALYIETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKEREFCFSLAQGFLSAPRNG* >Brasy5G340800.2.p pacid=40077979 transcript=Brasy5G340800.2 locus=Brasy5G340800 ID=Brasy5G340800.2.v1.1 annot-version=v1.1 MGSEGRSWNGVAGAGGGGGEEKPRTVVVPGPAEVPTSSAAVDISLPLPEMTPHIIGLCKELVKGWSSVDSSCFSVETVSGGITNLLLKVSVKEGTCSESSVTVRLYGPNTDLVIDRERELLAIPYLSAAGFGALLLGIFENGVIQSFINARTLSPSDMKEPRIAAEIAKQLQKFHQVDIPGSKEPQLWNDIFKFLKKASTLKFEDNEKHKRYDTISFREIQDEVKELKDLSDLLHAPVVFSHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNLYPDKDVQYHFFRNYLADRPSEDLEALYIETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKEREFCFSLAQGFLSAPRNG* >Brasy5G258900.1.p pacid=40077980 transcript=Brasy5G258900.1 locus=Brasy5G258900 ID=Brasy5G258900.1.v1.1 annot-version=v1.1 MPTATQLDFQAAHGVPETHEWPDLHDHPVVDGGSGAGEDEVPVVDLRDLDDDPSKVPAAMARAAEQWGAFLLLGHGVPADLVARVEERIAAMFALPASEKTRAVRGRGESCGYGSPPISGFFDKSMWSEGYTFSPANVRLDLLKLWPSAGPHYLLFCEVMEKFHKEMFALAHKLLGLFLPALGLTVADSAAAAKIADTMAASMHLNWYPKCPDPKRALGLIAHTDSGYFTFVLQSMVPGLQLFRRDPDRWVAVPAMPGAFVVNVGDLFNIVTNGRFHNVFHRAVVSRESHRVSLGYFLGPPAQALVAPLDEALTLDRPKPAYRPVVWRDYMGLREKALFVGESALKLIAVAEDDDATADVEIETN* >Brasy5G227900.1.p pacid=40077981 transcript=Brasy5G227900.1 locus=Brasy5G227900 ID=Brasy5G227900.1.v1.1 annot-version=v1.1 MAGAKADAKAETGGGVFSEKGLAEKLSKLNSSAASIQTLSHWCVFHRKRARRVVDTWEKQFNSATKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGSLKDVYDNGGAHGKKVVTRLIGIWDERKVFGTRIEGLKVEILGENPPTLDNNDNPSPNPSSVSKAVGKDSSTTVKKLAVGGMPEKIVIAYESVVDQHFDEDTALNKCTTVVNVLEKMDKDVDSACTHGIPQASSLISDLQEREAILKKCIDQLESVDTARINLINQLKVALNEQETKSELLRTQLQVARTEVEHVMQLRQRLGAAPIMNGAGSSSSQPIITFLSEQTTTMIQNSAGMPISPQFQPVHPATSLPTMASATTGDEPKTTAAAMADKLASLSSREQVLSSIFSSLAAEQAAAINCGSPSGEPSAGPPGFERPKRPRLEQQAGDMGAAGFFAPQPQVQQQIGAAPTSVGGTQIPTQANQAPGSFPPPPPPLPPMMPPLMQQFGQNTGGPAGMFGMIPFGMMSGSMPPPLPMLPAGFAIPSGPPPPPPLPPVQNQQQQQQSPQAPQQSPTSTGFFPTSSAGFFPPVQMQQSPSVQRQ* >Brasy5G064900.1.p pacid=40077982 transcript=Brasy5G064900.1 locus=Brasy5G064900 ID=Brasy5G064900.1.v1.1 annot-version=v1.1 MAAPTERSAWPALPRHLLGSVLSRLPSLADRVRLRAVCRPWRIKLLALPAPRQLPWLALPLAGTAYDVANNKTYRLQIPNQDAASDNMVFLHDNDGPCYSAGDNMFFFLHQDDDDAGRCSCSLVDGLSGAATPLPELAALLQPHMVNTNKMKIEKATISSSSSAAASSRPGRLLAVLAWDGHKSRVFISTCRPAAGGEITNTCVEMREFPRIVDIAFFQGKLFAHLAMYYELVAVDLSDGCLDDDEPPQTRTYTSWIWPPNLPEMYLEDLFFAGHNPKGNLEHYLVECNNKLLMVRGCICPNPLDCRFEVFEADLGHGPRLGRWIKKARGLDGWALFVGKPCSTAVRASGVKRGARGDCIYFVGDYGNPFQHSGVYSMADEVARPLLKKSVSRKFKRTRDNCQRWRFPAWFFPVEV* >Brasy5G469000.1.p pacid=40077983 transcript=Brasy5G469000.1 locus=Brasy5G469000 ID=Brasy5G469000.1.v1.1 annot-version=v1.1 MEQGRISDGQGTGEEWREGADQRCAEGDEAEGKNHSIHVRSTNQPKFTKADLEGSPHLREDSFSIRCDVTVVKISSKRSRGGKFVDVPQSNLHLHLGGLLKSRDGAYARSPVFKAELSSSDTKETTVIGGSHSVVEVRDMEPNVFKSLLHFIYSDMVLVLPEMARRKKKGEPRGNVVMAGHLLAEADSLALAEQHGCQGLKEACFEFPTSPPNLEAMVATKNKISLPQEHKNFISLDLPP* >Brasy5G401500.1.p pacid=40077984 transcript=Brasy5G401500.1 locus=Brasy5G401500 ID=Brasy5G401500.1.v1.1 annot-version=v1.1 MQAAEDDDTKTAAATTACQLPRDVLGSILLRLRPRDLRRSRRVCKEWRDVASDPGFVDAHKLHGPQAPVPTHTIVFFDGRSRSYLNKQLCDGGGFLFDEQWKLAARFSTDKSLNLLGTCNDLLFFRDFPHGAINVVDPFTGASIGVVPLPPNDDDSYTYRDNSYGLGFDPTARQYKLVYPGKEQAGLLVFTVGADTEWRTLRFSCDLHGVLRTSEPACGDGAVYWESTTPDGIDKYARLDLATEEITSVDRCRRLADGRSEDRTPWKPITCTYPSGWDLCRISVSFFGEWEDGCWPHNVTALPHKVDATWLRRRQDWHGYLPPPHALQRGRLLLQDWTGRLEAHRITSATEHNELCIGGRDELMRSNEPEVMPVEKYRFVPKAQEPAASDTVARIPGDWYVYTFAYTPTRDVSSSL* >Brasy5G514000.1.p pacid=40077985 transcript=Brasy5G514000.1 locus=Brasy5G514000 ID=Brasy5G514000.1.v1.1 annot-version=v1.1 MDQLPQCASLLAVLLATALFLKTILLRRRGSQKRTYNLPPGPKPWPIIGNLNLIGALPHHSIHALAKQYGPLMQLRFGSFPVVVGSSVDMARFFLKTHDAVFIDRPRTAAGKHTGYNYSDITWSPYGAYWRQARKMCLTELFSARRLESYEHVRNEEVLALLRGLHEASGAGRVVKLKDYLLTLSLNVITRMVIGKRYRQDGEVGDDQGAGSVTTLEELKSMLDELFLLEGVLVIGDSIPWLRWLDLQGYIKRMKRLSKVFDRFLEHVVDEHNERRSLEGKSFKATDMVDVLLEVASDPNLEVKLNRYGVKAITQDLMAGTETSATTVEWAVSEILKKPEVLGKATEELDRVVGRGRWVTEKDIPSLPYVEAIVKETLRMHPGSPLLAPRLSREDASVAGYDIPAGTRVLLNAWTIARDPALWDAPEEFMPERFLGSKIDVKGQDLELLPFGSGWRMCPGYNLGLKVIQVSLANLLHGFAWRLPDGVNREELSMEEIFGLSTPRKFPLEVVAEPKLPGHLYARME* >Brasy5G287500.1.p pacid=40077986 transcript=Brasy5G287500.1 locus=Brasy5G287500 ID=Brasy5G287500.1.v1.1 annot-version=v1.1 MASRMATTTGTAIPKKPTTTVAIHTTATRTTPTHTTATRTTATPVAATTRTATTKGTSAALVRRISHMPPQPIDRSSFTVALP >Brasy5G221800.1.p pacid=40077987 transcript=Brasy5G221800.1 locus=Brasy5G221800 ID=Brasy5G221800.1.v1.1 annot-version=v1.1 MGISKVTGTAAAALLLASLALHHTRLRLLAIPTLATALVACAVTAASHTAVNVPWILGKSAATGRFPVWSFALFGPFLILARSYAMAKRFLRKKENVFDEIAQGLYLGGWPFLPKHLPPGAPSVVDCTCELPRSAFVDAGEYLLVATWDTRAPAISQIELAARWSCEKRAQGKPVYVHCAFGHGRSACVMCAILVAAGIAEDWKRAEEIIRGKRKIKMNAQHRKTLEDWSKSRVVQKKDN* >Brasy5G368100.1.p pacid=40077988 transcript=Brasy5G368100.1 locus=Brasy5G368100 ID=Brasy5G368100.1.v1.1 annot-version=v1.1 MTAARRGLLLDRWRGIQEDEEAYDGGEPSAVKHRRLNQAKEEWFSHCFDFLESVPKEEHIWCGYADIMGPFLEMFHGYFDEQENSPVRKIWSRVSQEQGICTQCVCEHHQAQESFDTECRSGSVDPLLKVLWHLDEDRVTKHLEQINAKIQLKEYDPSCHGAEVVCIMFEVLMYPILLDDQSLANQFQMFIETIDESYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLEPLQPLLQKYIDFLEAEVLPSTLESSRPRVQLKRADVWLGFKSFLGFLEAPAFEDGILEKYPIFLNIVLNHVSDDGSDLSCSVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGQCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMSPPCPASECAHMWGPSLICSLQDASLHSSLRQPAFDLINILIVSDASALISFKLKYEYATKDDISNSVMFADDEDELPFTNDTAEKECSCWNDFSVLSKLTFRGCKDWTCVPLLWYLVMVQLEPSKLPIAFSKAVFWALSHISVLEPGLATESSVPVNDWLLSHAGEVSSTFSWQVPNGADDGGGGKDCINTVKVSKFGTLLLRIFKRFAIHVIMQIEQCGLQKQWTWESMMAESLILALVDHNDNVRQAGRAVMEHVSQARGLTSGLQFLCSSASSLSAVFVGLRYVIQLVESQSVLADFHSLHHLFFVVCKLVKEDIAQQPSVAQPAKPSEGGFLRQPFSNVLITPPEHAVDIITWEKFSTLLSVTLWPFISTCLRKGEELINTKQCQISCVRVLELLPLVYERVNSYCTQPFSVTTIVPDSNDITWLFHLINWGKSSLLVISRHWKQCMLSLFKLLKGSHSGAIQRHIEDLGDTFSNDVIDLDELKGRISNLNLAVFKKPPAETERRVVAGLPTPAKSIASIPSLIGYTVRERHTGGDNLETVKPSHGSDSEHIILLSDSEENLPTGDATGEEVLSSVKENDSLTASDKLKAVNPSKQRMPTENRHVSLKQQICKPVSDISASSKPVSMDSRGTIAASKGLGGRKMPSIPVNTNNTSLLPNKVKSSVSAIPQPSRPNSSSDVGKFKSIFRDISDDEDDPLEHALDNYRRPQLRVTKSAILVPKRQVVQLQLPAEKRQASGRPDANSRRFVPPKLDSWFKSILEMDYFAVVGLSSSEIIKKPALKEIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPPEDMTCGSISILSVERVDEFLVVRGRAENSVCVKSKGCTENDLILFTKDPLKSSGQQVHVLGKVDRRETDKNKALIFVIRFFLSNENARLNKVKRLLVERSKWFFSRVLSMTPQLREFSALSSLNDIPVLPVILNPVSRTATNHESGKVYLDKLARPMRKVLKSSYNDSQLQAVSIAIGPTSSKAKCDLSLIQGPPGTGKTKTIVAIVSALLSLHADNSYNLPRYGPLASAEFTKPRTRISQTAAVARAWQDAALAKQQIKDSQRENPRTERLSKGRALVCAQSNAAVDELVSRLGDGLYDADGKLYRPYIVRVGNAKTVHPNSMPFFIDTLVEQRLSDELKTNNESKVSSDAKSSGSLRASLEKVVDRIRFYESRRKLMDRDRTENDSSAPDEDDIDEVSDEAIGAKLNILYTQKRAVSAELATAYAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEHGLFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPNISRFPSLHFYENKLLDGVQMAEKSAPFHEHNRLGPYMFFDIADGRERSGTNAATQSLCNQYEADAALEILSFLKNRYPAEFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSGDRHHAGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLVQNAKERNMLISVERPYGLIFGKVHGATHSNYNSHLKQQREDEKASMTNSRTVDAQLRKEHVRHAGRATEKEGISLHDDQTKRASRWDRKSPKAQDSTMRTIEENKPAAQNGDMRDSKGSVEQHFDQDSVMREQGAENNLSMHNVNHLELAKRMATRDPPDGSYVRRQRENKLVKENVGMGTDKPLFKEDAPENSKVRVYNGESIANQDNDMGTIKGSSKRDSILKSVSKKADDCPPTHCDMQKLIQKAKGVRNFSEKPRSSNSNQEDPSLKHDALTESANKNSGTGPPTIPDMKKMTSKVKGARKFTEQPRSGNPSVPSRFDEASSHTREVMKSQGTNPTVTSQNHQIAARKRQREDVESLLSSALISSKKPSSKHPKKKQNKNM* >Brasy5G368100.3.p pacid=40077989 transcript=Brasy5G368100.3 locus=Brasy5G368100 ID=Brasy5G368100.3.v1.1 annot-version=v1.1 MTAARRGLLLDRWRGIQEDEEAYDGGEPSAVKHRRLNQAKEEWFSHCFDFLESVPKEEHIWCGYADIMGPFLEMFHGYFDEQENSPVRKIWSRVSQEQGICTQCVCEHHQAQESFDTECRSGSVDPLLKVLWHLDEDRVTKHLEQINAKIQLKEYDPSCHGAEVVCIMFEVLMYPILLDDQSLANQFQMFIETIDESYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLEPLQPLLQKYIDFLEAEVLPSTLESSRPRVQLKRADVWLGFKSFLGFLEAPAFEDGILEKYPIFLNIVLNHVSDDGSDLSCSVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGQCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMSPPCPASECAHMWGPSLICSLQDASLHSSLRQPAFDLINILIVSDASALISFKLKYEYATKDDISNSVMFADDEDELPFTNDTAEKECSCWNDFSVLSKLTFRGCKDWTCVPLLWYLVMVQLEPSKLPIAFSKAVFWALSHISVLEPGLATESSVPVNDWLLSHAGEVSSTFSWQVPNGADDGGGGKDCINTVKVSKFGTLLLRIFKRFAIHVIMQIEQCGLQKQWTWESMMAESLILALVDHNDNVRQAGRAVMEHVSQARGLTSGLQFLCSSASSLSAVFVGLRYVIQLVESQSVLADFHSLHHLFFVVCKLVKEDIAQQPSVAQPAKPSEGGFLRQPFSNVLITPPEHAVDIITWEKFSTLLSVTLWPFISTCLRKGEELINTKQCQISCVRVLELLPLVYERVNSYCTQPFSVTTIVPDSNDITWLFHLINWGKSSLLVISRHWKQCMLSLFKLLKGSHSGAIQRHIEDLGDTFSNDVIDLDELKGRISNLNLAVFKKPPAETERRVVAGLPTPAKSIASIPSLIGYTVRERHTGGDNLETVKPSHGSDSEHIILLSDSEENLPTGDATGEEVLSSVKENDSLTASDKLKAVNPSKQRMPTENRHVSLKQQICKPVSDISASSKPVSMDSRGTIAASKGLGGRKMPSIPVNTNNTSLLPNKVKSSVSAIPQPSRPNSSSDVGKFKSIFRDISDDEDDPLEHALDNYRRPQLRVTKSAILVPKRQVVQLQLPAEKRQASGRPDANSRRFVPPKLDSWFKSILEMDYFAVVGLSSSEIIKKPALKEIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPPEDMTCGSISILSVERVDEFLVVRGRAENSVCVKSKGCTENDLILFTKDPLKSSGQQVHVLGKVDRRETDKNKALIFVIRFFLSNENARLNKVKRLLVERSKWFFSRVLSMTPQLREFSALSSLNDIPVLPVILNPVSRTATNHESGKVYLDKLARPMRKVLKSSYNDSQLQAVSIAIGPTSSKAKCDLSLIQGPPGTGKTKTIVAIVSALLSLHADNSYNLPRYGPLASAEFTKPRTRISQTAAVARAWQDAALAKQQIKDSQRENPRTERLSKGRALVCAQSNAAVDELVSRLGDGLYDADGKLYRPYIVRVGNAKTVHPNSMPFFIDTLVEQRLSDELKTNNESKVSSDAKSSGSLRASLEKVVDRIRFYESRRKLMDRDRTENDSSAPDEDDIDEVSDEAIGAKLNILYTQKRAVSAELATAYAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEHGLFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPNISRFPSLHFYENKLLDGVQMAEKSAPFHEHNRLGPYMFFDIADGRERSGTNAATQSLCNQYEADAALEILSFLKNRYPAEFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSGDRHHAGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLVQNAKERNMLISVERPYGLIFGKVHGATHSNYNSHLKQQREDEKASMTNSRTVDAQLRKEHVRHAGRATEKEGISLHDDQTKRASRWDRKSPKAQDSTMRTIEENKPAAQNGDMRDSKGSVEQHFDQDSVMREQGAENNLSMHNVNHLELAKRMATRDPPDGSYVRRQRENKLVKENVGMGTDKPLFKEDAPENSKVRVYNGESIANQDNDMGTIKGSSKRDSILKSVSKKADDCPPTHCDMQKLIQKAKGVRNFSEKPRSSNSNQEDPSLKHDALTESANKNSGTGPPTIPDMKKMTSKVKGARKFTEQPRSGNPSVPSRFDEASSHTREVMKSQGTNPTVTSQNHQIAARKRQREDVESLLSSALISSKKPSSKHPKKKQNKNM* >Brasy5G368100.4.p pacid=40077990 transcript=Brasy5G368100.4 locus=Brasy5G368100 ID=Brasy5G368100.4.v1.1 annot-version=v1.1 MTAARRGLLLDRWRGIQEDEEAYDGGEPSAVKHRRLNQAKEEWFSHCFDFLESVPKEEHIWCGYADIMGPFLEMFHGYFDEQENSPVRKIWSRVSQEQGICTQCVCEHHQAQESFDTECRSGSVDPLLKVLWHLDEDRVTKHLEQINAKIQLKEYDPSCHGAEVVCIMFEVLMYPILLDDQSLANQFQMFIETIDESYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLEPLQPLLQKYIDFLEAEVLPSTLESSRPRVQLKRADVWLGFKSFLGFLEAPAFEDGILEKYPIFLNIVLNHVSDDGSDLSCSVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGQCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMSPPCPASECAHMWGPSLICSLQDASLHSSLRQPAFDLINILIVSDASALISFKLKYEYATKDDISNSVMFADDEDELPFTNDTAEKECSCWNDFSVLSKLTFRGCKDWTCVPLLWYLVMVQLEPSKLPIAFSKAVFWALSHISVLEPGLATESSVPVNDWLLSHAGEVSSTFSWQVPNGADDGGGGKDCINTVKVSKFGTLLLRIFKRFAIHVIMQIEQCGLQKQWTWESMMAESLILALVDHNDNVRQAGRAVMEHVSQARGLTSGLQFLCSSASSLSAVFVGLRYVIQLVESQSVLADFHSLHHLFFVVCKLVKEDIAQQPSVAQPAKPSEGGFLRQPFSNVLITPPEHAVDIITWEKFSTLLSVTLWPFISTCLRKGEELINTKQCQISCVRVLELLPLVYERVNSYCTQPFSVTTIVPDSNDITWLFHLINWGKSSLLVISRHWKQCMLSLFKLLKGSHSGAIQRHIEDLGDTFSNVFKKPPAETERRVVAGLPTPAKSIASIPSLIGYTVRERHTGGDNLETVKPSHGSDSEHIILLSDSEENLPTGDATGEEVLSSVKENDSLTASDKLKAVNPSKQRMPTENRHVSLKQQICKPVSDISASSKPVSMDSRGTIAASKGLGGRKMPSIPVNTNNTSLLPNKVKSSVSAIPQPSRPNSSSDVGKFKSIFRDISDDEDDPLEHALDNYRRPQLRVTKSAILVPKRQVVQLQLPAEKRQASGRPDANSRRFVPPKLDSWFKSILEMDYFAVVGLSSSEIIKKPALKEIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPPEDMTCGSISILSVERVDEFLVVRGRAENSVCVKSKGCTENDLILFTKDPLKSSGQQVHVLGKVDRRETDKNKALIFVIRFFLSNENARLNKVKRLLVERSKWFFSRVLSMTPQLREFSALSSLNDIPVLPVILNPVSRTATNHESGKVYLDKLARPMRKVLKSSYNDSQLQAVSIAIGPTSSKAKCDLSLIQGPPGTGKTKTIVAIVSALLSLHADNSYNLPRYGPLASAEFTKPRTRISQTAAVARAWQDAALAKQQIKDSQRENPRTERLSKGRALVCAQSNAAVDELVSRLGDGLYDADGKLYRPYIVRVGNAKTVHPNSMPFFIDTLVEQRLSDELKTNNESKVSSDAKSSGSLRASLEKVVDRIRFYESRRKLMDRDRTENDSSAPDEDDIDEVSDEAIGAKLNILYTQKRAVSAELATAYAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEHGLFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPNISRFPSLHFYENKLLDGVQMAEKSAPFHEHNRLGPYMFFDIADGRERSGTNAATQSLCNQYEADAALEILSFLKNRYPAEFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSGDRHHAGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLVQNAKERNMLISVERPYGLIFGKVHGATHSNYNSHLKQQREDEKASMTNSRTVDAQLRKEHVRHAGRATEKEGISLHDDQTKRASRWDRKSPKAQDSTMRTIEENKPAAQNGDMRDSKGSVEQHFDQDSVMREQGAENNLSMHNVNHLELAKRMATRDPPDGSYVRRQRENKLVKENVGMGTDKPLFKEDAPENSKVRVYNGESIANQDNDMGTIKGSSKRDSILKSVSKKADDCPPTHCDMQKLIQKAKGVRNFSEKPRSSNSNQEDPSLKHDALTESANKNSGTGPPTIPDMKKMTSKVKGARKFTEQPRSGNPSVPSRFDEASSHTREVMKSQGTNPTVTSQNHQIAARKRQREDVESLLSSALISSKKPSSKHPKKKQNKNM* >Brasy5G368100.2.p pacid=40077991 transcript=Brasy5G368100.2 locus=Brasy5G368100 ID=Brasy5G368100.2.v1.1 annot-version=v1.1 MTAARRGLLLDRWRGIQEDEEAYDGGEPSAVKHRRLNQAKEEWFSHCFDFLESVPKEEHIWCGYADIMGPFLEMFHGYFDEQENSPVRKIWSRVSQEQGICTQCVCEHHQAQESFDTECRSGSVDPLLKVLWHLDEDRVTKHLEQINAKIQLKEYDPSCHGAEVVCIMFEVLMYPILLDDQSLANQFQMFIETIDESYEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLEPLQPLLQKYIDFLEAEVLPSTLESSRPRVQLKRADVWLGFKSFLGFLEAPAFEDGILEKYPIFLNIVLNHVSDDGSDLSCSVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGQCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMSPPCPASECAHMWGPSLICSLQDASLHSSLRQPAFDLINILIVSDASALISFKLKYEYATKDDISNSVMFADDEDELPFTNDTAEKECSCWNDFSVLSKLTFRGCKDWTCVPLLWYLVMVQLEPSKLPIAFSKAVFWALSHISVLEPGLATESSVPVNDWLLSHAGEVSSTFSWQVPNGADDGGGGKDCINTVKVSKFGTLLLRIFKRFAIHVIMQIEQCGLQKQWTWESMMAESLILALVDHNDNVRQAGRAVMEHVSQARGLTSGLQFLCSSASSLSAVFVGLRYVIQLVESQSVLADFHSLHHLFFVVCKLVKEDIAQQPSVAQPAKPSEGGFLRQPFSNVLITPPEHAVDIITWEKFSTLLSVTLWPFISTCLRKGEELINTKQCQISCVRVLELLPLVYERVNSYCTQPFSVTTIVPDSNDITWLFHLINWGKSSLLVISRHWKQCMLSLFKLLKGSHSGAIQRHIEDLGDTFSNVFKKPPAETERRVVAGLPTPAKSIASIPSLIGYTVRERHTGGDNLETVKPSHGSDSEHIILLSDSEENLPTGDATGEEVLSSVKENDSLTASDKLKAVNPSKQRMPTENRHVSLKQQICKPVSDISASSKPVSMDSRGTIAASKGLGGRKMPSIPVNTNNTSLLPNKVKSSVSAIPQPSRPNSSSDVGKFKSIFRDISDDEDDPLEHALDNYRRPQLRVTKSAILVPKRQVVQLQLPAEKRQASGRPDANSRRFVPPKLDSWFKSILEMDYFAVVGLSSSEIIKKPALKEIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPPEDMTCGSISILSVERVDEFLVVRGRAENSVCVKSKGCTENDLILFTKDPLKSSGQQVHVLGKVDRRETDKNKALIFVIRFFLSNENARLNKVKRLLVERSKWFFSRVLSMTPQLREFSALSSLNDIPVLPVILNPVSRTATNHESGKVYLDKLARPMRKVLKSSYNDSQLQAVSIAIGPTSSKAKCDLSLIQGPPGTGKTKTIVAIVSALLSLHADNSYNLPRYGPLASAEFTKPRTRISQTAAVARAWQDAALAKQQIKDSQRENPRTERLSKGRALVCAQSNAAVDELVSRLGDGLYDADGKLYRPYIVRVGNAKTVHPNSMPFFIDTLVEQRLSDELKTNNESKVSSDAKSSGSLRASLEKVVDRIRFYESRRKLMDRDRTENDSSAPDEDDIDEVSDEAIGAKLNILYTQKRAVSAELATAYAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEHGLFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPNISRFPSLHFYENKLLDGVQMAEKSAPFHEHNRLGPYMFFDIADGRERSGTNAATQSLCNQYEADAALEILSFLKNRYPAEFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSGDRHHAGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLVQNAKERNMLISVERPYGLIFGKVHGATHSNYNSHLKQQREDEKASMTNSRTVDAQLRKEHVRHAGRATEKEGISLHDDQTKRASRWDRKSPKAQDSTMRTIEENKPAAQNGDMRDSKGSVEQHFDQDSVMREQGAENNLSMHNVNHLELAKRMATRDPPDGSYVRRQRENKLVKENVGMGTDKPLFKEDAPENSKVRVYNGESIANQDNDMGTIKGSSKRDSILKSVSKKADDCPPTHCDMQKLIQKAKGVRNFSEKPRSSNSNQEDPSLKHDALTESANKNSGTGPPTIPDMKKMTSKVKGARKFTEQPRSGNPSVPSRFDEASSHTREVMKSQGTNPTVTSQNHQIAARKRQREDVESLLSSALISSKKPSSKHPKKKQNKNM* >Brasy5G155800.1.p pacid=40077992 transcript=Brasy5G155800.1 locus=Brasy5G155800 ID=Brasy5G155800.1.v1.1 annot-version=v1.1 MGKKRSKKPRENDHHTPNSQPSSTPTRKRKRRKPRDPAPAPPDPCSGSDCSPPSSPSSAARRLLEPYPKPRLAALLASAASADPALLARVRAAADASLSHRRVFVHGLPPRAADGPALEAAFSTFGPLADCHVVADRASGRCKGYDFLTFKSRAAARRAVRAPWVSVAGCPVSVQFASAGPDRSGTAAGKRVYVANVAPDASVERLRAFFAGFGELEGGPFGLDTETGRPRSRGYALFVYREAEGARKAAEEPYRVFEGRTLRCQLAADVDTTGRKNSSSSSAPPMSASETVCSAAALRPVLDAVVAAGAGDLAMYARSPAQAAALLGQNPILAAAALSSALASAGTVPTPATATAGTQSPAAVAGLSSVSSLAVALPLVKPCAGPSGAAGLLGPYKPPTAS* >Brasy5G204600.1.p pacid=40077993 transcript=Brasy5G204600.1 locus=Brasy5G204600 ID=Brasy5G204600.1.v1.1 annot-version=v1.1 MQEGQPLGYATSPRATVHSLVFCAQPEEARGGKLVIVLTRTIRPLLLLPSGLPRARVFCPAPPQDHLPAALGRLRRARVSLLRRRRIISMAPPAAPAAGPVLPPIKSPRPSTALAGLLMEVQVRAVAALAYMRTGGLS* >Brasy5G365300.1.p pacid=40077994 transcript=Brasy5G365300.1 locus=Brasy5G365300 ID=Brasy5G365300.1.v1.1 annot-version=v1.1 MTADGTASNGAGEAPKAELSPGKGAVTAAPPAEKPAEEREGVGGPFVIVNGDSDGHSDRGSDTGAGPEADSASDEEDLPQTNAGPDADTGRDHGPAGAELDATVDFSSTNGHGPSAVESEDGLGGGKAGESKISEAGLGEQETAGGELGGQDAPAKLEIEHADPVVDSAASSVDSVAKSKENEMGKSAATDVAELLVHEAASEEQHDGTNAAAESNGPDNAPKYANSGSTVLDSEVCGEESKGEVTATESMELGTDESEFSVVNGQHDADISSDSSITATHSLIHAEEGKHQQAYPNVTESVEQEATYGEQDVTDAFQGNGHDPDVSADSCASASEFKVPANKSKGQQVEALTAKAEVSDAMLDASSSDCGICTSKEPIEEEVVANGHGHAEDSADTSGKLEPGVDEEEGEATRDVISEDIITKDSKENLHDGLTSTVGSTDEEAKLPWKEEMHEDAPAKLEMCAIAGNKSELVAQDDELVKDDVSVGILHSVKPEPDPVVEPNWDQRIQVEVAADDENTAVPDVKAVKVEMNTADNVEAHNLGSAFEDRSIELHVNSSGEVDEEVKKQACPEEVHLAQNDSSSAQTGKHEQLELPGAGVADKGDHVVVEAEPRKEAELEVVDSVPLCAPAASTFHDEPRSIALDDNDRVKHSSPGTELESCDDVQPEECGSQEISSSIVDEDTSGASMEHGTAVTNGVELTNESGDASLEISCETAADQGEPVALSEDKAIEVDNVKPPPATLDESAVFGEASDISPTDEPSHFVADCQSHNDQPEICHTSTACKQLVSSIEDPRPSDVTLESGVKSPSTDKETPPSDEACKDICYGTINSSNTSSQVVDAKSLEALGPLSVDTIVLEEHKNDDEHANNDEGKTVKDSTDAPVDLNKSDKGDIHTIRPPKCFMIKVPKFAGDDVWERIQDAQVHLDRLTQERDAINVHKKKQKAICDEYRGKLEAARQQESEARAALGDKRNNIDNLRSVLAKMNKATTVEDIDERIARKENVMVHETISLKDEKRYIKEINELKTQRKQLCSNMGSKAEITEAFDQQDHIHEQHKTLKKDSDVLFKNLKSLEENRRKIQKSYEEERVVLGKLNAELQAANERRQMAYDDWVELRAEPGKKNKYFFMYKRDRAAVNNFMQMNDVDGLQSYCNNQVEGFLEIWNKDDDFRKLYVEANQVSTVRRLGTHDGRSLNFGEEPPVVRSRNFNRRSTNPSPLTVSSPNVPIITSEAVPEKPVPAVVLKEEDTFPVLPPPQIHKQAKSKAAGSSSQKEKITAPASEVEDVKHIENEKARLMEELELARKAEELARREEELRVQRAAAEKERLRLEQKAKAKEAEERKKKKAEKAQERAEFKARKEAEMKEKKKAKKDKKIGTTPEDLVSGLGEGNSAAITTADTESNGSESARDTEVPPQAAPRRIVRPAAAMRQLNRLQPMPLPLRNRSRRRTRQYIIIGAVVALVAAALILAARYLNLPGLSMLRF* >Brasy5G407100.1.p pacid=40077995 transcript=Brasy5G407100.1 locus=Brasy5G407100 ID=Brasy5G407100.1.v1.1 annot-version=v1.1 MGCCQSRLERQEAVSRCKARRRYTKHLVQARRDMAAAHALYLRSLRATGAALLQFATAEADSYPRPLHPPAAAQPSPPPPPPPPPPPPPLPPLSPTPTTTSWTTTTSSSISASQILPPPPPPPMPSSWDFWDPFAPSSSRSPADDVDWDDAASTIDAPTATAPPVVTAAAATAPAPAPSVVTTTSTQSELTVVAVPRGATGKKDLAEIATELDEYFLKAADAGARVAALLEAPICELPETNHSLPGRVLSYGKNLKTVGWSWSGGGYGKGSNGFSRFGRGDEGMGNEGGSGILSHSSTVERLYAWEKKLFLEVKSYEGFKQEHDKKVGLLRKQEVRGVDYLKMEKNRMEMESLESKMLVATQSIDTTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLTSSLSTNPTSNAHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQCHHNPLIKNIQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAIVVQQAEEQKQKKRSEAAFKEFEKKTEELRSLEAKYGPYSAEGHGEMTRKSPVSEKRAKVEALRNRADEEKSKYQKSVGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKRSSDRVLDMKRLLT* >Brasy5G239200.1.p pacid=40077996 transcript=Brasy5G239200.1 locus=Brasy5G239200 ID=Brasy5G239200.1.v1.1 annot-version=v1.1 MYINKVYIPTTNSYPTIQAAATAQSPQIQHEDEHEEKRREIAPAKARSTETMAMAEVLLPSSQFEAEEELMMVLDVGVGDGDNSPACGGGGEEEAEETALEDLPGDVLALVLRRLDGASLAALGCASSAFRALAADPLAWRDLCLAAFPSSAAAPFSSNSCGTGGGSQHRALFADAFPFPSSSPSPAQATAMAAIPRRLISAVDLWHGGKLIMSCVVETDATSSWFLGSPFRIDALQQEGFTAPNPISPADLSLTWVILDPATGRAVNASSRRPVAVDRSWLTGETIARFTLVFGLGEEGGSMCAVEAAVACDDRFGHVREVSLSAEDGEGGGVSGRDALELLAAAMAAPRSRFGGGVEEEAKRRYGEFVKGKSARKEWKARREGLVDLCCSGVGAAAFLGFILMLTLR* >Brasy5G427900.1.p pacid=40077997 transcript=Brasy5G427900.1 locus=Brasy5G427900 ID=Brasy5G427900.1.v1.1 annot-version=v1.1 MDGAAAAADSGLAEPAANGEKPQEQQFDPSRMIGIIKRKALIKELAAAYHAECVTCCKELLQLQRKWEEEQYVKAKMPEEPKRPVMKPSKRRRR* >Brasy5G427900.2.p pacid=40077998 transcript=Brasy5G427900.2 locus=Brasy5G427900 ID=Brasy5G427900.2.v1.1 annot-version=v1.1 MDGAAAAADSGLAEPAANGEKPQEQQFDPSRMIGIIKRKALIKELAAAYHAECVTCCKELLQLQRKWEEEQYVKAKMPEEPKRPVMKPSKRRRR* >Brasy5G097000.1.p pacid=40077999 transcript=Brasy5G097000.1 locus=Brasy5G097000 ID=Brasy5G097000.1.v1.1 annot-version=v1.1 MDGKDHTAPPAAAAAAPPASDSPPLTRPDMEGKDAAAAPAPAPQPGAPQPAHPESARWGTRQMGPPAAPGAHPENQQAAQWTASRGDQEPPPYVIMGDHAPAAAAAARRPERERESPMEHILDFFNTWSRKAEELSSNIWLNLKTAPSMSDAAMGKLSLGAKALTGGFDKLYKQTFSSSPDDEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKVVIPLARIAAVEPVTAKENPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLGEAVSAQHGAARAPAAAMPQHGAPAPPPAAGFE* >Brasy5G209100.1.p pacid=40078000 transcript=Brasy5G209100.1 locus=Brasy5G209100 ID=Brasy5G209100.1.v1.1 annot-version=v1.1 MLQDDLHQARRRRYILDSLAAAHATGSADLLTAKASPPGPSDATFRRRDGKDLRATAVGTLSTPRFGLQGVLLVPDLGPESVIVSVRQLARRGLAVTFGGEVCSVKERGTGVVVGEGRIQEEDGLYHLDFLRVPQIS* >Brasy5G062700.1.p pacid=40078001 transcript=Brasy5G062700.1 locus=Brasy5G062700 ID=Brasy5G062700.1.v1.1 annot-version=v1.1 MGSPGMAAAAGTAVLVYLVLSGRLCGDGAGDDAAGGKGAMEDEMIASAVSLAAAAARARRKEEEEEARRKARRRARGRRRWAPERAPEGWGKAVAEVSRAVRFAYGETLGKWPLGELAFGINFYMRQQGNLQHEYAGSDSVPLGGPGAREELISLLRYMRLCMYFSKKPYKVFLEFGGCDESDVLIKKSKARFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.6.p pacid=40078002 transcript=Brasy5G062700.6 locus=Brasy5G062700 ID=Brasy5G062700.6.v1.1 annot-version=v1.1 MGSPGMAAAAGTAVLVYLVLSGRLCGDGAGDDAAGGKGAMEDEMIASAVSLAAAAARARRKEEEEEARRKARRRARGRRRWAPERAPEGWGKAVAEVSRAVRFAYGETLGKWPLGELAFGINFYMRQQGNLQHEYAGSDSVPLGGPGAREELISLLRYMRLCMYFSKKPYKVFLEFGGCDESDVLIKKSKARFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.2.p pacid=40078003 transcript=Brasy5G062700.2 locus=Brasy5G062700 ID=Brasy5G062700.2.v1.1 annot-version=v1.1 MKVMFLSRNLRPGLYIRTAWLRLCPIVQFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.8.p pacid=40078004 transcript=Brasy5G062700.8 locus=Brasy5G062700 ID=Brasy5G062700.8.v1.1 annot-version=v1.1 MKVMFLSRNLRPGCFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.7.p pacid=40078005 transcript=Brasy5G062700.7 locus=Brasy5G062700 ID=Brasy5G062700.7.v1.1 annot-version=v1.1 MKVMFLSRNLRPGCFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.3.p pacid=40078006 transcript=Brasy5G062700.3 locus=Brasy5G062700 ID=Brasy5G062700.3.v1.1 annot-version=v1.1 MKVMFLSRNLRPGCFLKPAFTVVRDRSTKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGLVSNVVLGYAHCGMGAAARWIANQAIPCLAKAVDQLPDYKIVITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.4.p pacid=40078007 transcript=Brasy5G062700.4 locus=Brasy5G062700 ID=Brasy5G062700.4.v1.1 annot-version=v1.1 MRIVEWVQRLAGLQTRPFLASLKQWTNSQTTRLCIFFLLFQITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G062700.5.p pacid=40078008 transcript=Brasy5G062700.5 locus=Brasy5G062700 ID=Brasy5G062700.5.v1.1 annot-version=v1.1 MRIVEWVQRLAGLQTRPFLASLKQWTNSQTTRLCIFFLLFQITGHSMGAGIAALLTYILRENDKLSSATCIAFGPAACMTWDLAESGKNFITTVVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFMRSHVPFISDPRSKVVDVDMLQPPTSKVETESSEDVHCVVKKRSALICWSCVSAQNQTIDPAKHTQDMTNQTDVDVKTEKTDTELAAAELVSISFGELNIEDTDEEESESCPKGIDEGQVMELVESLTDKYKESSSSSPSEDSLQLYPPGRILHMVALPAPEPNTSEQGGQQEVVALYETPRHLYSKIRLGRSMVGEHYMPKYINTMELLIGKLAEEGINGGQLDLL* >Brasy5G454200.1.p pacid=40078009 transcript=Brasy5G454200.1 locus=Brasy5G454200 ID=Brasy5G454200.1.v1.1 annot-version=v1.1 MMEERMRNQIPVDSEASQQLIDANRMALLKSATNQTGSSVQSPPSAARAPSHRRVSPAPPTMARAAASRLAAAATSSSKSKLEVFSRHLAAASSAWGVPSRMPDPARERRSPSWWCPSRSFHATMRVNTRDYYDVLGVSSDASASDIKKAYYGLAKKFHPDTNKDDDGAEKKFQEVNRAYEVLKDDDKRETYDQLGAEAYERQASGGGTDDFSGDHPFGDIFTDIFGNVFTSRGGQDVKIPIEISFMEAVQGCRKTVTYEADVLCHTCNGSGVPPGTVPQTCKACRGAGVTFVQRGILSLESTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIFAGIDDNVTLSLRGQGGADVERNKPGDLHVTIKVREDPIFRRDGNHVHVGAALSMAQAVLGGTVTIPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSSSYGNHYVHFNIRIPTELTPRQRELMEEFDKEESNDGARVAAASG* >Brasy5G320300.1.p pacid=40078010 transcript=Brasy5G320300.1 locus=Brasy5G320300 ID=Brasy5G320300.1.v1.1 annot-version=v1.1 MASGAAAWQERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAGCYPLAAYAASRHNRAHVIAVGAFLWAAATFLVAISETFLQVAISRGLNGIGLALVIPAVQSLVADSTDDEHRGTAFGWLQLTSSIGAIIGGFAALLLAPTTIFGVAGWRFAFHLVAAISVAVGVLVWFFAVDPNFSTADEAGGSRHALGEKRSAWEEAKELLREARSVIQIPTFQIFVAQGVSGSFPWSALSFMSMWLELVGFSHGETAVLGVVFAVAISLGGLLGGKMGDALARRYPNAGRIVLSQISAGSAVPLAGILLLGLPDDPSTGVAHGVVLFVMGLIISWNSAATNSPIFAEIVPVKSRTSIYALDRSFESILASFAPPAVGFLSQHVYGFRLADAGKKSKSGTAERDRENAASLAKALYTAIAIPMTICALIYGFLYRTYPRDRDRARMQSLIQSELQDMELEEEDGGGDERFELFESGADDDGDVGTEKLLANRES* >Brasy5G078400.1.p pacid=40078011 transcript=Brasy5G078400.1 locus=Brasy5G078400 ID=Brasy5G078400.1.v1.1 annot-version=v1.1 MSGLHILRRRSSSSTTPTSGLRRSSSTTPMCCLQLLPLSSSTKVSSSTSPPSRCWDPQVAFSTATARVRAGTFSPDDAHHLFDELLRKNTPVQGRPLNGFLAALARAPDPGPALAIAFFNRICRAEAGPRLAPLTVHTYGLLMDCCCRARRPDLGPAFFARLLRAGLQAEGTVVANTFLKCLCYAKRTDEALSILLHRMSDLGCVPNAISYGTVIKSLCGDSRSQQALDLLLRMAKQGGDCSPDVVVYSTVICGFCKEGEVSKACNLFDEMMQNGVVPDVVTYSSIIDGLCKARAMDKVELILRQMVDNGIQPNEKTYNTIIHGYSRLGRWKEAGKMFRKMTSEGVIPDIVTFNSLMDSLCKHGKSKEAAEIFHSMITKGHKPDVISYSVLLHGYATEGRFVDMTNLFNSMASNGIVADCHCFNTLIDAHAKCGMMDEALLIFTEMRGQGVSPDVFTYATVIAALCRMGRLADAMEKFSQMISIGVEPNTVVYNSLIQGFCTQGDLVKVKELVSEMMNKGISRPNIMFFNSIIHKLCSEGRVMDAQDIFNLVIHIGERPDIFTFTSLIDGYCLVGKMEKAFGVLDVMVSAGIEPDVVTYSTLVNGYCKNGRIDDGLILFREMLPKRVKPTTVTYNLVLDGLFHAGRSAAAKKMFNEMIESGTTVSIATYRILLQGLCRNDCVNEAITLFQNLGAMNLTFDIAILNTMINALFKVKRREEAKDLFAAISTSGLVPNASTYGVMIRNLLEEGSMEEADTIFSSMEKSGCAPSSRLLNVIIRRLLQKGEIVKAGYYLSKVDGTIISLEASTTSLLLSLFSSKGKHREQIKLLPAKYQFFDGVS* >Brasy5G261700.1.p pacid=40078012 transcript=Brasy5G261700.1 locus=Brasy5G261700 ID=Brasy5G261700.1.v1.1 annot-version=v1.1 MMAGVVLSTLLPKLDALLTGEYNLQKNLRGEVMFLRAELESMQAALERVSSSSSSSPAVRIWASEVRELSYEIEDSVDKFMVRVDVDNLHAGFGGFIGRSLNLLTTARVRHRIATDIRDIRGRVKEVSERRDRYKVDEPAVRRSAIDPRLNGIYELSTRLVAIGGPRLEIEMMMEEEGRVTEHHHGLIKVISIVGIGGLGKTTLANAVYQQSKGRFDCGAFVTVSTNPDLKRVLGSLLRQVSKQSCYSDIETWDAVEIVDKIRQVLRDKR* >Brasy5G493800.1.p pacid=40078013 transcript=Brasy5G493800.1 locus=Brasy5G493800 ID=Brasy5G493800.1.v1.1 annot-version=v1.1 MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFSSHEEAIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKQQAMGAIFAPVPMPPTAATSSSAVAEPAAAAYGGLLHHHQHDAIIGGAGTSFSSTVVDVKSAAWRSDTSYRRRSPFAEATPCGGGDSSSSAASYASSMDNISRLLNGFMKSSPPPPAAAHDVKPAIIAATATEEEDFPFLSFDQHLPGTTDLAFPGAPPPPLPALLTGGVHVSYGEESTRQHQQQQQAPLCSIEKWLLDEAVEQVADLMDDLSDGCCSLPLLY* >Brasy5G114600.1.p pacid=40078014 transcript=Brasy5G114600.1 locus=Brasy5G114600 ID=Brasy5G114600.1.v1.1 annot-version=v1.1 MEAKLVKILAMLLAFCLCNTSCDALCSLSDLQVSQRTPRTKVGRYQEFSVEVRNSCICSQTNVKLLCPGFKSAIPVDPAVIRPDPDGQLCTLNDGRAMRSGDVIKFLYAWTTTFSFAPVNSTLACS* >Brasy5G245600.1.p pacid=40078015 transcript=Brasy5G245600.1 locus=Brasy5G245600 ID=Brasy5G245600.1.v1.1 annot-version=v1.1 MRKAGRLLILMYDVLLMQLIAILLCLLDNQVPTTLDLANQPGATKVEMEVYTSSEIKVTVSLISINLSFPINYGTIRIVKELPYLNEWRERYQIIKGICEGLHYLHEDLIVHLDLKPANILLDDNMVTTCFEDEQNQASYYSKTVWIYASWL* >Brasy5G085600.1.p pacid=40078016 transcript=Brasy5G085600.1 locus=Brasy5G085600 ID=Brasy5G085600.1.v1.1 annot-version=v1.1 MEPSPVTDSEETEGVRCGSGSSVRRPSRRPQLAKGARVLTENARWVAGAKRRRARLTVLMLVRSPILRMMPLRARSASATFRTSPSATALRAPVAISTIQRFVYLPNLQITQLAQHHFSLEDIPEAMLTEIIKRITLTSDLNSFSLVSKRLYTSSAEKLSARHPIEKNR* >Brasy5G032100.1.p pacid=40078017 transcript=Brasy5G032100.1 locus=Brasy5G032100 ID=Brasy5G032100.1.v1.1 annot-version=v1.1 MAASRAEDIVLEAVRSDLTPEACATQSLRRPLVRLLPRAPTSQANWRALPRPAVCALFQHLPVLDLFRLGHLFTPRWLEVWRGDPFEIHDAQFARLPIPRSRVADAIGTVLDMYLAAAEDEDVEGGTYGAVGHGRVKSFRVESTEWRAEHAARWCAALQRGGAFEVVLFNRGFSGDPPVLAPVPPGLRECVSLRVLHLGFFTVEAGELDALARAIQLGLHGCACRPGVVEGVVAACRQLEKLWVHDGSLEHVAVRSSPQLWRLSMLRTASRSLTVEDAPLLQVIFPGSATTLRISRVPMLRRLLCLDLANTSLEIKGDQVDSRPPRIGCWQVQDYRQQDVQPQMRSVVMLRLIVDYAELGAMTPLAVEEMLRRFPRVSTLFIQRKKDVTEAEGLASITDPHYLDLFHGVECVGRTLQCITLLNFQGGKIEMALTKAILATAKAPGTIVLTHDERPGIADALLEADQALDQSPRNSNNPLVPLRIVHQTMSGFEYNVRLG* >Brasy5G356100.1.p pacid=40078018 transcript=Brasy5G356100.1 locus=Brasy5G356100 ID=Brasy5G356100.1.v1.1 annot-version=v1.1 MQSIAAAGNDEAAGAKWLKHYSSAQSILVVGDGDFSFSLSLATAFGSGDNLVATRPNSQVDDVVEYFIYFFLLNFWTADLGIKYGNAVSNVSELERMGATVLHGVDVTQMYPPRDLLLRWFDRIVFNLPHAGFNGREANKVMISLHQELVRGFFRWARGMLWPDGEIHVTHKTKHPYWIWDIEQLASDSSLALIDKVPFDKKDYPGYNHKRGDGWRCDQDFPIDDCCTFKFGVQQKSATIDFSSFFGTGL* >Brasy5G310300.1.p pacid=40078019 transcript=Brasy5G310300.1 locus=Brasy5G310300 ID=Brasy5G310300.1.v1.1 annot-version=v1.1 MVGLDLRVPHEARDSANRSWPPRCGRLPAAAEDGDSAEGAAGGLVEGSEEEAAGNAGVSPVSCSGGLPHTPPQPQPETLVEVREEGGDGDVCSSDGQFVRDSGDSRGRMGDGELEKMEENGGREKRWLTSAVNPPPKRRMVSAIRRFPRGSPRSAVDTSIGCWRNAVINTNIGGEEGYLFEATPISFATGGVSAEDVSHMVTTSSILRRDVSNEEMQGKREEVGGATESEAHNHKIQESSVGNSFVLDDFARDQDDSDHPQNDVTKGSPGHGFGEKMDGTRTLNERKPISVIAADGDMGSKWNGSLRKGNPTTGATSLVNAKTKGKRLRNPKMNVASLDDAGVLEKCMVRNETSSTWRGVAHSNMNVKQHIVTRMLKRDGVGKEPLNTSPKESKCVNVATGQIEENNDGGLTNVKIIVQALMAPDKCPWRQGKKSLAGLSQSLARGDDLNIEDAARRNELPSKVTLSTSTRHEIREDKYSSLEDNENHMEVVAHKRLCATLPLCVTSVDESVDAQSKVTKMDMSTPLHERKHVPRFAGDGDVRSKCEGSLLEGTLEFHASDLVNVKTKGKRPESVKMSVTLLDDFEDGMRRYKTPSTQRSMTRSNINVKQRVVAHDLNFDSIGKDPLNRFAKESKSGNHRVVRQTEKDDDAGLVTKKIIVQALMAPDRCPWTQGKKSIAVVSRSLTRRNKSPTVREGLCLPDISQGKESIPICVFNTIDDMQPVPFKYITKVIFPPLYVKAPPKGCDCTNGCSDSSRCACAVKNGGELPFNFDSEIVYTEPVIYECGPSCRCPPTCHNRVSQHGPKVPLEIFKTGKTGWGVRSPSFISSGSFICEYVGELLLENDAEKTENDEYLFDIGRDSDDEEGLQSSTSETIDDDVGYTIDAAKCGNVGRFINHSCSPNLHAQDVLWDHDDKRMPHVMLFAEKNIPPLQELTYDYNYNIGLVRKNGKEKVKKCFCGSSKCRLRLY* >Brasy5G444400.1.p pacid=40078020 transcript=Brasy5G444400.1 locus=Brasy5G444400 ID=Brasy5G444400.1.v1.1 annot-version=v1.1 MVSNRRIEMDKVPDYELVRRDRMARNKQEMA* >Brasy5G025100.1.p pacid=40078021 transcript=Brasy5G025100.1 locus=Brasy5G025100 ID=Brasy5G025100.1.v1.1 annot-version=v1.1 MNPAACLRLRASAATPPSPSRCRPPRSVSRLPPRARASTAAVSCAVASGKEHATTTSADQKKGGLLGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIEMEGLEKKAKASGASQLVVKDLTEEFVGQYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVSPENAPSKPEYLEIGIVAGVPTSINGKDLSPASLLTKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMSAAVRELEALTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSMDAFMETIMATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI* >Brasy5G063400.1.p pacid=40078022 transcript=Brasy5G063400.1 locus=Brasy5G063400 ID=Brasy5G063400.1.v1.1 annot-version=v1.1 MDLAISAVTGDLASRFVSFLEKVQRLQQLLLRVCMVVEEADGRYITDSCMLIQLKTLAAAMYQGHHLLDNIMYMKQREVSKELVSDSSSSASTPLKRSRAIIGCAATNKVIIYPEFHGALQNLEAVVANMAEFVVLLGGCQRISCKPYDSYLDVDNFMFGRHVEKQQITSFLLQHSCTPGCPPAVLPIIGSRGVGKKTLVAHVCGDERVYSHFPVILQLNGDDLLQITDREKLPGRTLVIVEFVSEVDKDDWALFYSSVTRMERGTKVIIIGRNENLEDFGTTKPISLNCMAFEEYRYLFKILAFGSANPIDHPGLVPMVEEFAKLLGGSLISANVLANALRKNLNTQFWLYRLNRVRDTIKKNISCFGVHPNVLFDQGQPVHLLGHYNLSPAAPSCRAPSASGMTNVTKKNFPRMIFGDLITAEGHVVVPKGDFRLTSWESRLPPYASFVHLVQFAPCCTDDKPETPLSGKKRRGLFA* >Brasy5G217200.1.p pacid=40078023 transcript=Brasy5G217200.1 locus=Brasy5G217200 ID=Brasy5G217200.1.v1.1 annot-version=v1.1 MAPKRKSPAAVVAEPAMSPRKTRSMASGKRGAVAPAKEEEAEPVPAGQKGRKKGKKEEAAAAAVVEKEDADAVPVPPAPAAKQKGRKKAKKEVAAAAKEEEEGDGAAVEDGKRVVVEACTQCQHFKKRALKVKEDLESAVPGVSVIINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIQDIVKKIS* >Brasy5G416800.1.p pacid=40078024 transcript=Brasy5G416800.1 locus=Brasy5G416800 ID=Brasy5G416800.1.v1.1 annot-version=v1.1 MEFTAARHRPAAPMLAVRRVSGGIGWARRATAAARPGLSLLMPPVAKRAVSGHFLPRHVVRSSGGGREPRGEVEDDAWRDRSFPTGADPLDDAAAAACLARDDPGGIRKELVNLAGPAIIGQAIDPLGQLLETAYIGRLGPVPLASAAVGVSVFNIISKLFNVPLLSITTSFVAEDVARNDSSQLNPEGNITSEAGERKRLPSISSALLLAAAIGVIEALALILGSGILLNVMGVSHASSMHDPARLFLSVRALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAAILLPFFVYSLNFGLTGAALATIASQYFSMFLLLWSLSKKVILLPPKVEDLDFVSYIKSGGMLLGRTLSVLITMTLATAMAARQGTLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSLAKLDYKKVKEVTNDVLKTGVFIGVALGLLLFASFGRLAELFSSDPMVLQIVASGVLPINALAFIFDGLHFGVSDFSYSASSMMVVGAISSLFLMFAPRILGLPGVWAGLALFMSLRMAAGFFRLGWTGGPW* >Brasy5G203100.1.p pacid=40078025 transcript=Brasy5G203100.1 locus=Brasy5G203100 ID=Brasy5G203100.1.v1.1 annot-version=v1.1 MNFAVGGSGVFEPFLPTLPHKLKLPTLAAQIGQFEKLIHDRVVSSWHLDDSIALVAISGNDYTRVANSNKADMVAFVGNVTTELAANVKRLQDLGINKILVNNLHPLGCTPWQARPSNYTECTDFPNMGAIIHNSQLLKKVGDMDNVKIVDLNTAFDNIIGPHSPGSGSELSKRFKYKLKPCCESSDPDGFCGEWGEDQHDRLYTLCKDPSEHFYWDDVHPTQAGWQAVMDDLKVEIQEFLDVF* >Brasy5G460300.1.p pacid=40078026 transcript=Brasy5G460300.1 locus=Brasy5G460300 ID=Brasy5G460300.1.v1.1 annot-version=v1.1 MQVDLTMSEDTCGVDITKDNITARCKTFDKHYEVISKILAQSGFGWDWENNKLSIDSEDVWSKYVEANKAAGSYKTKVVMNWDQISTIYSKDHATGEGAKTTAECVQEEDTQVLEESPDIPQNQKRQRTGDAILCMMGDMKAEFQEVLKTTDPLILPKVTPSAEILAALQIIPDLAECDMLKAYEKLSLSERLFESLMELPMTLRKAWLLSLA* >Brasy5G398400.1.p pacid=40078027 transcript=Brasy5G398400.1 locus=Brasy5G398400 ID=Brasy5G398400.1.v1.1 annot-version=v1.1 MKHTPRDQSEVAPAVPLSLSLSLMAAERKIKKLSHRASAAGGESSFVCKTCSRAFASFQALGGHRTSHLRGRHGLALSLAGSPPPPRKTELKKPPQQQHECHVCGAGFELGQALGGHMRRHREDQAAAAQPPPVLLQLFV* >Brasy5G067600.1.p pacid=40078028 transcript=Brasy5G067600.1 locus=Brasy5G067600 ID=Brasy5G067600.1.v1.1 annot-version=v1.1 MMEQKFSVRNATDAATAWAATPVGILVRVEVRSRCTLLATLVFFGSGRRTSRSAAFRFLVWLVLMLCYPAVSYTIGLIQSGSFRNDLVVVWACFLLGCADGIFACSVDDSDQQSRAVLNQATQVIYVLLLLLSYIGSLPLQLKVLLLLLWVLNLAKLGMRLWSLLTVGRDRVLTADNWLISNYMAHDYVRSVSDFDPVTMRGYSYVITGHKDVEEGCAEYKLELTDDLVTVDRLWQHDGYAGSLLSQKNKPSSSSSKLKDLCLSFALFKLLRRRLSGNNSPMIHERDDIRTLVFARNGLAGGDDHERMFRVIETELGFLFDFFYARYPSPKQSLIPETAIFVASMALSLSTLLCPAMLRYHNPKPGSSSGGMSFVTTGIDIWLARFVIALFLILELYQYLSLVLSDWHKVKMLCRYVRKPSWQGHPLMERLLWLMCRATLTTRYWSHSVGQYSLLHACLKSNRSCILARMPLHKWIKGVLTGMKTVSRRSLPVTVKRAIHRLLRSEWLSNLKYGDRTLQRNNMLQNFDWSTSRYPYGAVGSILVWHIATAICGAKQLEAAADHRPSTDSSSTDSHEVATTLSNYCTYLLYQAPELVTDKIYDARLLMEALQNKIQRFLKHKGCRSKDDMFDQLSRFQSGELDGGYEKTILADGIKLSYQIFDEMPDEVMRWNVLSEMWVELLLSVAPSDNVTAHIKKLATGGELVTQLWALLTHGGLIDKPKKPNYSS* >Brasy5G279100.1.p pacid=40078029 transcript=Brasy5G279100.1 locus=Brasy5G279100 ID=Brasy5G279100.1.v1.1 annot-version=v1.1 MHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279100.2.p pacid=40078030 transcript=Brasy5G279100.2 locus=Brasy5G279100 ID=Brasy5G279100.2.v1.1 annot-version=v1.1 MHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G279100.3.p pacid=40078031 transcript=Brasy5G279100.3 locus=Brasy5G279100 ID=Brasy5G279100.3.v1.1 annot-version=v1.1 MHQSHGWVLGKSIESGTIIDPDGTILTCAHVVADFQSTRAVHKGKVSVSLQDGREFEGVVLNADRHSDIAVVKIKSKTPLPAAKLGSSCKLRPGDWVVALGCPHSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVEHFKKNGRVIRPWLGVKMIDLNPVVIEQLKERSSSFPDVRKGVLVPMVTPGSPAERAGFAPGDVVIEFDGKPIQSIKEIIDIMGDKVGVPIKVHVKRANNVPVTLTVIPEEADASR* >Brasy5G224700.1.p pacid=40078032 transcript=Brasy5G224700.1 locus=Brasy5G224700 ID=Brasy5G224700.1.v1.1 annot-version=v1.1 MASSVPAAASATILPLLLFFFLAAPAGGNIILPACKSVSGRINPMFCEAVLGSVGAGIDAKTDQELAADILVASANSTKAKIDGLLAGGGGGALQALRPCRALYGGIMDGGRGSAAAIKGGRYREATAGLEKAAAAAKRCEDGFAESGVPSPVTKEGEYASKVADLAAALLAFYI* >Brasy5G477000.1.p pacid=40078033 transcript=Brasy5G477000.1 locus=Brasy5G477000 ID=Brasy5G477000.1.v1.1 annot-version=v1.1 MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVKRFLAAPGADPAVSVDLGSLGNLAYSHANQSLLLPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGGNEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGCVAFSDDIDLLKGSCGKSLAPFPQGCFYWNALGGLKSYENPKNKVTAVPANEEEICGATFMVEGSSTVLTAPLQ* >Brasy5G178100.1.p pacid=40078034 transcript=Brasy5G178100.1 locus=Brasy5G178100 ID=Brasy5G178100.1.v1.1 annot-version=v1.1 MEVDPSPSASPRRQLPTTPGYLDLESSRLREEYRRYRKRLSSSNDSPLLGTSVSRFSEARVLRDGNSIHRQPNAGLLLEEIKQEAADYSDIDGLDRSKLFGSAKRRTSVDGGSAPDTGFSSGRKAARSALNPVKLEGDVPQEGETTFTMFASLIDSAIQGLIPFPDVILQFERTCRNASESIRSATTGKLRMVDDKLMQQKAQLLLDEAASWSLLWYLYGKGNEEFPEELFVSPTTSHQESCRFVATDLTAQLCLRIVLWLEGLASEGLDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDDSTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEACELCRSAGQAWRAATLCPFGGIDIFPSLEAMLKNGKARTLQAIELESGVGRQWRLWKWASYCASEKIAEHDGGRYEMAVYALQCSNLKRTLPICTDWESACWAIAKSWLDVQVDLELSQYQRSRPEEKQFDDDMNGTQPMVSSAGPENWPYHVLDQQPRDIAALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNMAHLLDLLWSWVSPSEEDQNILSPLRSRDDPEMIRFGAHIVLVLRYLLGEEMEDEFEEKLVTVGDLIINMYVRYLFSEQQEELVGVYASQLERDVCIDLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGHAPEACFEEIIERVLSRSREMQPSKYDEDFSDVAERHHLQALQKAIVIQWLCFTPPSSIPGFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPIGPHKLLAILAEPLKQKENLFSLEDQEVSDNLREFEDWHEYYSLDATYRGWLRYEMENSSVPPEMLSAEEKDQAVAAARQTLELAFLLLLREERPWLNAAERSPFEWTDTVSLELHATAILCLPSGECMLPDATSCTALTSALYSTVSEQDVLHRQLKVDVKVSSKDPCCIEVALRCLAKEGDGIALHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRLDAWYSDGHGSVECTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDHCDKLIELVASSDSGLMRLFSQQQLQEFLIFERECFISKMELEEEQIPTDG* >Brasy5G178100.2.p pacid=40078035 transcript=Brasy5G178100.2 locus=Brasy5G178100 ID=Brasy5G178100.2.v1.1 annot-version=v1.1 MEVDPSPSASPRRQLPTTPGYLDLESSRLREEYRRYRKRLSSSNDSPLLGTSVSRFSEARVLRDGNSIHRQPNAGLLLEEIKQEAADYSDIDGLDRSKLFGSAKRRTSVDGGSAPDTGFSSGRKAARSALNPVKLEGDVPQEGETTFTMFASLIDSAIQGLIPFPDVILQFERTCRNASESIRSATTGKLRMVDDKLMQQKAQLLLDEAASWSLLWYLYGKGNEEFPEELFVSPTTSHQESCRFVATDLTAQLCLRIVLWLEGLASEGLDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDDSTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEACELCRSAGQAWRAATLCPFGGIDIFPSLEAMLKNGKARTLQAIELESGVGRQWRLWKWASYCASEKIAEHDGGRYEMAVYALQCSNLKRTLPICTDWESACWAIAKSWLDVQVDLELSQYQRSRPEEKQFDDDMNGTQPMVSSAGPENWPYHVLDQQPRDIAALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNMAHLLDLLWSWVSPSEEDQNILRSRDDPEMIRFGAHIVLVLRYLLGEEMEDEFEEKLVTVGDLIINMYVRYLFSEQQEELVGVYASQLERDVCIDLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGHAPEACFEEIIERVLSRSREMQPSKYDEDFSDVAERHHLQALQKAIVIQWLCFTPPSSIPGFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPIGPHKLLAILAEPLKQKENLFSLEDQEVSDNLREFEDWHEYYSLDATYRGWLRYEMENSSVPPEMLSAEEKDQAVAAARQTLELAFLLLLREERPWLNAAERSPFEWTDTVSLELHATAILCLPSGECMLPDATSCTALTSALYSTVSEQDVLHRQLKVDVKVSSKDPCCIEVALRCLAKEGDGIALHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRLDAWYSDGHGSVECTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDHCDKLIELVASSDSGLMRLFSQQQLQEFLIFERECFISKMELEEEQIPTDG* >Brasy5G421600.1.p pacid=40078036 transcript=Brasy5G421600.1 locus=Brasy5G421600 ID=Brasy5G421600.1.v1.1 annot-version=v1.1 MLAPAAVVASRHVLELLRRCRSIQHLDQIHAHLVVHGFSDVSSVASQLIASYCTISADDRDGGLCHARHLFDRIPEPDRFMYNTLVRAYSNSDCPQEALRLHRGVLRRGILPNEFTLPFVLKACTTVRAVEHALAAHGVVVKLGFVQQIFVANALLHFHASAGSLRDSRRFFGEMADRNVVSWNTMIGGYAQAGEVCEACALFGEMRHQGLLADVFTLVSLLFACSSEGNLEVGRLVHCHMLVSGSRVDRILGNALVDMYGKCGDLWMAHRCFDMMPIKNVVTWTSMLCAQAKHGSVDAVRDWFEKMPERNIVSWNAMISCYVQGGRLRETFGLYNRMRSLGVTPDEFTLAGVLSACGQNGDLASGKMIHCYVRDNFNDPGVTLLNSLLDMYARCGQVDTAIGLFTEMPNKNVISWNVIIGALAMHGRAQETVMFFRTMVSDAFSPDEITFVGLLSACSHGGLLEAGQYYFEAMARVYNVQPEVEHYACMVDLLGRLGHLAKAVDLIKDMSIKPDVVVWGALLGACRIHGNVEIGKLVIKQLLELEGISGGLFALICNLFYETNQWEDMKKLRKLMKEQGTKKDMGVSSIEVKNIIHEFGAEDLRHESSNEIYAAVDQLSYHLVSLHVLAVQPEELIGEE* >Brasy5G450400.1.p pacid=40078037 transcript=Brasy5G450400.1 locus=Brasy5G450400 ID=Brasy5G450400.1.v1.1 annot-version=v1.1 MGRSPCCEKAHTNKGAWTKEEDERLIAHIKDHGEGCWRSLPKAAGLQRCGKSCRLRWINYLRPDLKRGHFTEEEDNVIINLHQAIGNKWSLIAGKLPGRTDNEIKNYWNTHVKRKLIARGIDPLTHLPLNAAAANRGPPSAAARRRHALPAAPVAGGSMASCSRSRDAAGTSEDDDSGSGSLGNLDLDLNLSISPPCVHYPAYASSSSPSPPPPARTVAAAMDYDPSYLGYYASSGVCVCLDRLGFQGSGEPCSFCNGNGNGNGGASSSSSMRPAVASSSGVFRFANASLQGS* >Brasy5G419200.1.p pacid=40078038 transcript=Brasy5G419200.1 locus=Brasy5G419200 ID=Brasy5G419200.1.v1.1 annot-version=v1.1 MKARARSSGGDARLSVRKTKAEKDPNMPKRPQSAFFVFMEGFRKDYKEKHPDVKQVSVIGKAGGAEWKSLSDAEKAPFVAKAEKLKAEYNKKMVAYNNPQAGGSEGSGESDKSKSEVHDEGSEADE* >Brasy5G419200.2.p pacid=40078039 transcript=Brasy5G419200.2 locus=Brasy5G419200 ID=Brasy5G419200.2.v1.1 annot-version=v1.1 MKARARSSGGDARLSVRKTKAEKDPNMPKRPQSAFFVFMEGFRKDYKEKHPDVKQVSVIGKAGGAEWKSLSDAEKAPFVAKAEKLKAEYNKKMVAYNNPQAGGSEGSGESDKSKSEVHDEGSEADE* >Brasy5G419200.3.p pacid=40078040 transcript=Brasy5G419200.3 locus=Brasy5G419200 ID=Brasy5G419200.3.v1.1 annot-version=v1.1 MPKRPQSAFFVFMEGFRKDYKEKHPDVKQVSVIGKAGGAEWKSLSDAEKAPFVAKAEKLKAEYNKKMVAYNNPQAGGSEGSGESDKSKSEVHDEGSEADE* >Brasy5G101700.1.p pacid=40078041 transcript=Brasy5G101700.1 locus=Brasy5G101700 ID=Brasy5G101700.1.v1.1 annot-version=v1.1 MGSTINNNKGGGGGTGGQNEGGHMEPPVEPNFSPLMEPPPAAAVEGEEGEGSGGGDVLILYECRRCVWFCMVTKKEFPSCIYYNQPSLFCPPYANIETKKKRDCEQSPSK* >Brasy5G420000.1.p pacid=40078042 transcript=Brasy5G420000.1 locus=Brasy5G420000 ID=Brasy5G420000.1.v1.1 annot-version=v1.1 MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRSINYQKRSIGMKKTLVFYQGRAPQGIRSNWVMHEYRIEESECNNTMGIQDSYALCRVFKKNVPVGEFEKQGECSTSRAKDNQEEVTDFEDAGQSSGAAENDKDNSWMQFIVDDLWCTNKTK* >Brasy5G417400.1.p pacid=40078043 transcript=Brasy5G417400.1 locus=Brasy5G417400 ID=Brasy5G417400.1.v1.1 annot-version=v1.1 MSRRRRQGSDSDGEDDSFLYRYPLPSAAAGASSASASGQGGGNKPGRGGSGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGKVARVTVLKDRETRRSRGVAFVLFVRREDAVAAAAEMHGKVLNGRTLAASIADDNGRAAQFIRRREYRDKSHCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGGGGSGRGVREIAGASYQSDEDDAVTTAFEDDRWASVVDTRGEEEKAAEKEGRAKAARKEKRKGYFSDESDEDED* >Brasy5G413200.1.p pacid=40078044 transcript=Brasy5G413200.1 locus=Brasy5G413200 ID=Brasy5G413200.1.v1.1 annot-version=v1.1 MASVSEDDAASERCSGSYSPSADVSGSETSSDCSAPTTTTRRFPFSSSSASASRGLASSSTSTSQLPTPSAAFYLSKPASDLSVIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMEWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDEFKETEFWYVDRGIVVEDSGGPFPSSSSSSSCGRPSSVRQEEKWWLPCPRVPPKGLPEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEAYLETLPKSGRSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSSPQAKSKKSWGGKVKGLVGDTKSNVLSQRADGLLQSLRLRHPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNTIARIDDVIYVDDATKKSAATESVSIFNSGVGIPVQKKISPSPFSIQHTPYASPFATPTFCSSTPVTGSPRRAQPPLNKINLQGKQEIKVEKLFSGDLEKVWTYAGNLSARKEAGDAPERD* >Brasy5G302300.1.p pacid=40078045 transcript=Brasy5G302300.1 locus=Brasy5G302300 ID=Brasy5G302300.1.v1.1 annot-version=v1.1 MPSKLVFCAEVLCWTITGERQATCIRSLYLKSVLRQDISFFDVEMTTGKIVSRMSGDTVLVQEAIGEKVGKFIQLVATFIGGFVVSFVKGWLLSLVLLACIPPVVLAGATMSKIPSKISSKGQASYSDAGNVVEQTIGSIKTVVSFNGEKQAMAAYNKLIHKAYKTSVEEGLANGFGMGFLFFILFSSYGLAVWYGGKLVLDKGYSGGQVINILLAIMTGAMSLGNATPCITAFAEGQSAAHRLFTTIKRKPEIDLDDKTSKQLEDIRGDVELKDVHFSYPARPEQLIFDGFSLHVCSGTMMLHTTMAIVGESGSGKSTVISLVERFYDPQAGEKIGLVSQEPMLFMNSIKDNITYGKEDATIEEIKRASELANAAIFNDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRHADSISVVQHGKIVEQGSHDELIRNDDGAYSQLIRLQESREEEEQKVDRQLSDPRSKSTSLSLKRSVSRDSPRYSSQHSFNLSFGLPGAIELGGGNETYGEKNKGRDGEVQNKAPMGRLALLNKPEVPVLLLGSLAAGVHGVLFPMVGVILSNAIKTFFASPDEVRKDSRFWGLMCVVLGIISIVSIPVEYFLFGIAGGKLVERIRALSFRSIVHQEVAWFDDATNSSGALGSRLSTDALNVRRLVGDNLALNVQIISTLITGVVIAMIADWKLSLIVLCVIPLVGLQFYAQVMFLKGFSQDAKMMHEDASQMATEAISSIRTVASFCSEKRITRIYDHKCEVSMNQGVRTGLVGGIGFGFSFLMMYLTYGLCFYVGAQFVRHGKSDFGDVFLVFFALILATMGVSQTSAMASDSTKAKDSAISIFALLDRKSEIDSSSDEGLTLDEVKGNIEFRHVSFKYPTRPDIQIFNDFTLHIPFGKTVALVGESGSGKSTVITLLERFYNPDSGTITLDGVEIKSLNINWLRGQMGLVGQEPALFNNTIRANIAYGKDGELTEEELIAAAKASNAHEFISSLPEGYDTAIGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESEHIVQDALDSLMVGRTTIVVAHRLSTIKGANIIAVLKDGAIVEKGRHEVLMNIKDGVYASLVELRSVSSE* >Brasy5G279400.1.p pacid=40078046 transcript=Brasy5G279400.1 locus=Brasy5G279400 ID=Brasy5G279400.1.v1.1 annot-version=v1.1 MRNAKSRVDETEAAAEQHTDLIPGMPDDVAVDCLARVPHGAYRSMRRVCRGWRSAAAAPAFALARAEAGANEDLVFLLQFSNPSAAAAVADAAPGSNAQAAYGVAVYNVTTGEWHRDLAAPPVPMFAQCAAVGSHVAVLGGWDPQSFEPVADVHVLDAATGVWRRGAPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDKFLAVSGYRTGRQGGFERDAEWFDPETREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEWRGERRGWREVGPYPPGLKPGTARAVAVGGGEQVVVTGAIESEGGGRHALWVFDVKSKSWTVVRPPPEFAGFVFSLGSVRV* >Brasy5G368200.1.p pacid=40078047 transcript=Brasy5G368200.1 locus=Brasy5G368200 ID=Brasy5G368200.1.v1.1 annot-version=v1.1 MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVSKLMRSPPSSSPSPDPKTVKEGQVVIRARSLRTSSQFIPAKAFKARKLYYTNGESGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGMGFNPAMSLGAEKDSLDIIQHDWALPKMEHHAEEVLRKLLKK* >Brasy5G070200.1.p pacid=40078048 transcript=Brasy5G070200.1 locus=Brasy5G070200 ID=Brasy5G070200.1.v1.1 annot-version=v1.1 MACRLVAPLLLLLLQLLAPSPAYASPRTAASAAARDSGRCPRPEQGGLPPFAARLRRTCRASTEGYPAEEVNGEKLVRELGSKEEYTAILFYASWCPFSQRMRLVFDDLSSMYPQIKHLAVEQSNVMPAVLSRYGVRSFPSILIPRGSFAFWPIGAKDLNSLVNFYFAVTGQEPVAYLGPRKWSPTGQSTQYVKFWNGSINETVKSEPYLAFSILFVCLRVFLFFFPKFFTCIKGLWTQYFRHANLGVLAKLTQLLECVPHAVDVRKMWSKWRLMVGAMNTRVWASSLASVSIGGQSSPRAAVLD* >Brasy5G070200.2.p pacid=40078049 transcript=Brasy5G070200.2 locus=Brasy5G070200 ID=Brasy5G070200.2.v1.1 annot-version=v1.1 MQVRQSALVNGEKLVRELGSKEEYTAILFYASWCPFSQRMRLVFDDLSSMYPQIKHLAVEQSNVMPAVLSRYGVRSFPSILIPRGSFAFWPIGAKDLNSLVNFYFAVTGQEPVAYLGPRKWSPTGQSTQYVKFWNGSINETVKSEPYLAFSILFVCLRVFLFFFPKFFTCIKGLWTQYFRHANLGVLAKLTQLLECVPHAVDVRKMWSKWRLMVGAMNTRVWASSLASVSIGGQSSPRAAVLD* >Brasy5G285500.1.p pacid=40078050 transcript=Brasy5G285500.1 locus=Brasy5G285500 ID=Brasy5G285500.1.v1.1 annot-version=v1.1 MAAPRRALLLLPPLLLLSLSTAFQSDELLLHDDDEFEGVRASSTPSQPLSPPPVASTRRRSADATQASGASESNTVQFTLEHDLGSGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTEDEKDAFKQLLNEDGFYTIRLPSNVLDPTRKDYVYSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKVPSKWTFNSYTVLKTAEQAPRTPSFVEQLIEADSGLGEVMKAPEKTFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAGGQGQPAAQRAPIAAPRRR* >Brasy5G440900.1.p pacid=40078051 transcript=Brasy5G440900.1 locus=Brasy5G440900 ID=Brasy5G440900.1.v1.1 annot-version=v1.1 MGNCCVARPSFRRRGGGGGGSPRHRGGRLGGAGNLRCLSTISSVTDTPRAAAAPITVLNSKSLAPQPSTQSSELTPEELLRRYVLGEELGRGEFGLTRRCTDSATSQTLACKSISKRKLRSSVDVEDVRREVSIMRALPPHPNVVALREAFEDSDAVHLVMEVCEGGELFDRIVARGHYTERAAAGVMRTIMEVVGHCHRNGVMHRDLKPENFLYANASEASPLKVIDFGLSVCFKPGERFSEIVGSPYYMAPEVLKRNYGQEIDVWSAGVILYILLCGVPPFWAESDEGIAQAIIRARLDFEREPWPKVSDNAKDLVRKMLDPNPYTRLTAQQVLEHPWIQKASVAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEELEAITELFHMLDTNNDGHLTIEELRKGLQMIGNNVHDTDVDMLMEAADIDGNGTLDCKEFVTVSIHLKKIRGEEHLPKVFNYFDKNMSGFIEMEELKEALSPRGDQKAIEDIIFDIDIDKDGKISYEEFELMMKAGVDWRNASRQYSRAVFNTLSRKMFKDASLKILDPITPRGAAAGAVGKEQQDMI* >Brasy5G200100.1.p pacid=40078052 transcript=Brasy5G200100.1 locus=Brasy5G200100 ID=Brasy5G200100.1.v1.1 annot-version=v1.1 MSRCVIQPSQQYFDGCRLYDMMLELICSLSSEENFVSILDHIGDSTSPQSNARRLSLQNKREDHQTTPSFSMRVTQVRSVTVFPPAMDLMPPLLSYGVLRVLDLSGCGLGESGHLRLKYIGNLLHLRYLGLADTNICELPSSIGKLQCLQVLDVRDNSNLQQFPLSIIKLRRLICLQAGIHGKANFPDGFGNLTSMEVLNGIPASLNIVKELCYLARLRVLTIGFYAGQSLEVEEAFVESVCKLPNIQSLSIYGSFQSTDLIGGRWVPSQHLRIFKCFTDTVFSTLPAWIRRYSSHLSNLSELCIKVRNLQEEDLRVLGRLPSLRVLYLTSTHQTGRLLVIGADAFHCLTVFAAYLTPPAQIMFGEGVLPRNYNIYDDEEQRPDQAG* >Brasy5G200100.2.p pacid=40078053 transcript=Brasy5G200100.2 locus=Brasy5G200100 ID=Brasy5G200100.2.v1.1 annot-version=v1.1 MSRCVIQPSQQYFDGCRLYDMMLELICSLSSEENFVSILDHIGDSTSPQSNARRLSLQNKREDHQTTPSFSMRVTQVRSVTVFPPAMDLMPPLLSYGVLRVLDLSGCGLGESGHLRLKYIGNLLHLRYLGLADTNICELPSSIGKLQCLQVLDVRDNSNLQQFPLSIIKLRRLICLQAGIHGKANFPDGFGNLTSMEVLNGIPASLNIVKELCYLARLRVLTIGFYAGQSLEVEEAFVESVCKLPNIQSLSIYGSFQSTDLIGGRWVPSQHLRIFKCFTDTVFSTLPAWIRRYSSHLSNLSELCIKVRNLQEEDLRVLGRLPSLRVLYLTSTHQTGRLLVIGADAFHCLTVFAAYLTPPAQIMFGEGVLPRNYNIYDDEEQRPDQAG* >Brasy5G174300.1.p pacid=40078054 transcript=Brasy5G174300.1 locus=Brasy5G174300 ID=Brasy5G174300.1.v1.1 annot-version=v1.1 MAAAALRMVAAVCVVAMLLVQFSISMAAPAGTGGAGGGQLVGCTNPQRNCVEGCRAKCGELALSMCQIVCVVSSSSGLGPDETCLRRAQGFCQTLCRTACETFPTS* >Brasy5G300000.1.p pacid=40078055 transcript=Brasy5G300000.1 locus=Brasy5G300000 ID=Brasy5G300000.1.v1.1 annot-version=v1.1 MLQESNVDAVRNVSTRIDTRFFTPLAFLADICWGRYKTIVIFLSVYTLGMLVLKVLASRPSMLQSSNHGEIHRVVICLGLYLAALGNVGINYQALHFILIADLSIA* >Brasy5G014700.1.p pacid=40078056 transcript=Brasy5G014700.1 locus=Brasy5G014700 ID=Brasy5G014700.1.v1.1 annot-version=v1.1 MNKCVYLFRHGRDDPQLIDSPEDYLILTGPRRAFVVPDTMYFEIDLKILGDRPGVAEGDFSKGVTAHDTVAAGDRAMARRLTSWRSTVELVCVPVPYPVEATLEVTISRGPPGPFVGMVIAWTEGYQKHPMVLYCNLDGDYNKEVRRYGGDDNVGGVVLARHVVAVPIDFRDEEIVLNVCFVEDVNNFTVVDVEYPCEEVVCRHGEYELQVKVAWKAMLHRPLSKEIQHRSFNLSLPYITYRSWLWELLFQGSRGWSVGLH* >Brasy5G089800.1.p pacid=40078057 transcript=Brasy5G089800.1 locus=Brasy5G089800 ID=Brasy5G089800.1.v1.1 annot-version=v1.1 MTPARRSKRLRAAAASTSLGARDLEAAVQQRSTIVSVPGGSAAGMTRDWTKLFPELVAVVANVLLLTDVKDYISLRAVCKAWRGATANPRLLDPRFFPRNWVLMQRKIGLGSKTGRFVNVLTGCSLRIQLPVEHGSVLANAEGYLVLADKSTSRLLLFDPMTTATAGLPYLNVRRKSERPAVDLTPEVTAAGIIYGGDGGGGGVTVVLCCLAQQSDPAIFCAKPGDTAWVKVDASCVEHEQRGPVPAFRGGLSMGGNFYVPTRAGDVLKVELSPAPRLVYVARQDEHCFGSFDYGVGYISCLVPSLGIDDTNDGMLLLVNSLYDNDVTICGFCVFGVKLGEGRLARLHDIGNRTILLRFAATLRTDKFPSLAKNRRILSENARIYLGYN* >Brasy5G381600.1.p pacid=40078058 transcript=Brasy5G381600.1 locus=Brasy5G381600 ID=Brasy5G381600.1.v1.1 annot-version=v1.1 MSNDKAAAHCINVVPERDQSHLPSPAVEIVPSKIVHPYKYAGDNIEMHGMNIFKGKFSVVDIVGLSRSDIATPKGEGHLKCCESSLELVNVLKNEIRDGLLTFRSKRVLELGCGYGLPGIFSCVKRASTVHFQDPGAEIIRYRTIPNVLANLEQARDKQIHQQGSPLTPSWQQSPQDIHFYAGEWEELHTVIEKISGSRAWERGNEASIGDGGGYDKLLLNEIPYSANSLQSLYSLIKKCLRPPYGVMYLAARKNYIGSSSAVRQLRALVDEEGAFGAHLAAEPPEREIWKFFSKYFIASGAEAE* >Brasy5G026700.1.p pacid=40078059 transcript=Brasy5G026700.1 locus=Brasy5G026700 ID=Brasy5G026700.1.v1.1 annot-version=v1.1 MEEDAEGMDRLDMEGDFVGGRYGRDGEFYYQSRRERAPQTRDDALYGVFAEGDSDYDSEEDEASRRRRRRKRRRDESEPDLTRPVQFVSTGNFVSSEKKPVEEEEEEQRPGLGNAAASSSAAAAVAVEEEQDEYIDLPTGFGQRIAEGARARRVEKEREREAAARRRNAASVGVGSGSGRPPPAPGSLESNTKVAKMMAMMGYKTGLGLGKNAQGITAPVETTLRPKNAGLGSVEGFKEPKPFTSKENLPPPPPPPPSAKKEKQRWSKKASARKDQVLTKNELLAMRAEQEQDEQPTLVQKVIDMRGPQARVLTDLKGLSDEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGRLRREQEKVASLVREKEKVAKQEALQKCQLQVMETIAGVLEQVRVDDTAGLLTLGGLLKTFQELKVQYDEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEVMSSWKDLLQGDQPYDFSDATDSMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLHSILEHVIMPKLTAAVESWDPRREKVPIHVWVHPWLPTLGQRIETLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKGVFDPASWEDLIVRYIIPKLKTALQEFQINPASQRFDQFNWVMIWASAVPVHHMVHMLEVDFFSKWQQVLYHWLCSQNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQSYHPMPGAAMADMSFKESIQAYAMEQGLLFMPRVGKFYNGMPVYEFGTVSVCIDSVKRLLYAQPQEGIERWSAVSLTQLLEMNRMARSR* >Brasy5G431300.1.p pacid=40078060 transcript=Brasy5G431300.1 locus=Brasy5G431300 ID=Brasy5G431300.1.v1.1 annot-version=v1.1 MANTRNTTLSILPLLLVVLVALLLAPPCSTPAAAATTTGVAGTGDADGRKSRAAATVADILAAHNAARRAVGVAPLAWSDGIAAYAKAYARSRRSDCAPRRSPLFYFGENTAVGKGRRQWSGAALVNQWVEEGRLRYDYGSNSCGSAGSDAAGLAGCASYRQVVWRNTTQLGCGKIVCGSGDTLLVCDYFPPGNYGDGRPY* >Brasy5G110400.1.p pacid=40078061 transcript=Brasy5G110400.1 locus=Brasy5G110400 ID=Brasy5G110400.1.v1.1 annot-version=v1.1 MGDFDCRPTAFVYNAVFKVLMDSGVILLALALYNQMVSSGCLPNRTTYVVLMDGLCKRGMEVDALKMFDEMLERGIVPNVEIYTVLLSSLCNVGRIEDAGRLLCSMKENGCPPDEVTYTAFLSGLCKAGRVDEAFHRFQLLQDGGFTLGLIGYSCLIDGLFQAGRFDEGLSYYTEMLEKSITPDITLYTILIRRFAEAGRTKDAFLLLNEMKDKGFVPDTFCYNTLLKALFDVGNIDRAQLLMSEMLQNNVVLDSTTHNITICGLCKKGLIDKAMQVFDEMGEHGCHPTVMTYNALIDGLYRAGMLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDTESLRKLVDGMCQSGQVLKAYKLLRGIIESGVVPDVVTYNTLINGLCKAGNLDGALRLFKELQLKGISPDEITYGTLIDGLWRAHRENDATMLFQNILRSGGSPSLPIYNTMMRSLCRMKKLSQAINLWLDYLPKKYNLSPEDEVIANARKCFEEGFLDETVKELIKIDQVYGSLNPNPYTIWVIGLCQVRKIDDALRIFHILEEFGIVVTPACCALLINYLCWDRNLNAAVDIMMYTLSKRFIVSQPVGNRLLRSLCIRYRRHDAQALSWRMHLVGYDMDVYLREATKDLLYSQ* >Brasy5G102500.1.p pacid=40078062 transcript=Brasy5G102500.1 locus=Brasy5G102500 ID=Brasy5G102500.1.v1.1 annot-version=v1.1 MTWAEITAATGNWATVVGGGRGSSSTVYRGRLPPPDGREVAVKRLGKHGLRAMDDAFVAEFEALYSVRHGHVVRLVGWCADADERRAFVYDHAANGTLRDHLRLLMSPSSPVSATWRARVEALLGAARAVDHLHRRGVIHRDVSSSSILLDARWAPRLAGFGAAVFRATAAGHAHERQAVSEVVGAHGYLDPEYLRTRLVGPASDVYSYGVVMLEALTGRPPVEAPGGGSGCWDSDPVTLVESALDEHGKLRADVLDARPASEKKMTEALELVAYTAERCLWPTAEVRPAMSAVVANLEKALGMMIRA* >Brasy5G109200.1.p pacid=40078063 transcript=Brasy5G109200.1 locus=Brasy5G109200 ID=Brasy5G109200.1.v1.1 annot-version=v1.1 MARKAKQREREREREREEGWRLLPEKNWADWATGTSDSPLPYRTARTSDRVLPLCAAVDSSLRPAAVAGASAAAPAVVRGRGKPASAVSKRANGDDAIAHGEERRRVGLPLARDDEGYPLLGRLKTDIPTHQRVRVRV* >Brasy5G423300.1.p pacid=40078064 transcript=Brasy5G423300.1 locus=Brasy5G423300 ID=Brasy5G423300.1.v1.1 annot-version=v1.1 MDDYEPLPPPAYGYPDDEDDVLPRPGFVLIEQYAYLADRNNATAAACRLNGPDLTGSIKVTFCAVRPPLVSYLCVHATDYDHTDFTHPPTIVATDGPLAVLRAVFRAKSALFDGPAQFFVYHAGADGTTGEKERKARLPSLHHIPSPHRNYTIGESTVTLLRRCTNHRFDRRHGGSGQEEDEDHDCEACGYVLAAAWSSFTRSEPHQICLYHSDKETWTFKTALAPGDGPYRGYCAHKSIGVGGDDGVVAWVDLKRGIVLCDALAESPRVRLVGLPPLMPPFAGVSSGGNARYGRGVAMVDGSLRYTQLACRIVPGSYEGDGSIVFDGWRAATWSWKIAGDGSLPSRATWRMDCQLDSTECCGSLPEVLVAGQSIPFERLHIGLPVPSMQEDGVVYYLGKIDHRDSEQTACVVAVDMRNKTVRETAEFGAKMTVGLGYGYVSSTISRYLRAAPPSPCM* >Brasy5G355300.1.p pacid=40078065 transcript=Brasy5G355300.1 locus=Brasy5G355300 ID=Brasy5G355300.1.v1.1 annot-version=v1.1 MPATGREGSWTGLANMVECNRNEGPIRLRNYVQLKCLRCANKKEEEEEVFALRLNLTTNGLLTFAATQRNFQMARCCVGLYTTPFHYSLLLQRVSPEMRMSGNENSVHMRTVVLPLIKIGVRFVVGASAPPDDDDRESVRDSGRILRRSNRTRHMHLQVRDARLAPGRPHNQRTRVAM* >Brasy5G398600.1.p pacid=40078066 transcript=Brasy5G398600.1 locus=Brasy5G398600 ID=Brasy5G398600.1.v1.1 annot-version=v1.1 MKHAPRELQSEAAAAVPLSLSLSLGAMAAERKIKKLRHRAAGGESPFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALSLAGSLPPPPRKTTDQITKPPQQHECHVCGAGFEMGQALGGHMRRHREEAAAQAQAPPVLLQLFV* >Brasy5G115700.1.p pacid=40078067 transcript=Brasy5G115700.1 locus=Brasy5G115700 ID=Brasy5G115700.1.v1.1 annot-version=v1.1 MDWRRAGSPTHSRRRSPAGMYSAPSSPAHPLGGGPPASSPSPVHPLAARSKARAAAALAHVMARPAARVAAAEEDEEYENGYGDDAGDQGGANGGRSSPLHGYGGARSGGGVKDKYFGFALPKVCIYC* >Brasy5G385100.1.p pacid=40078068 transcript=Brasy5G385100.1 locus=Brasy5G385100 ID=Brasy5G385100.1.v1.1 annot-version=v1.1 MSNLSNVCMHHHGSRMAPKAPYICGVAGKRFISKPHITWLESRGKHTYTVLPSCSNMCSGSSNSWKLSPSPLVIRPQQKKKPHVSTTLRRKRLRLVRRRRRERRRAAGAEMEMLNLKLQLENRRILAENERLREKASALRGENLALRADLRNAATAVVLPPPAEAAHGC* >Brasy5G316200.1.p pacid=40078069 transcript=Brasy5G316200.1 locus=Brasy5G316200 ID=Brasy5G316200.1.v1.1 annot-version=v1.1 MDDVAVLSSSSSTRHSCKVCGKGFPCGRSLGGHMRSHALAEVAAAAAAAGEETDSDEEEEEQRRWMPISGARASNANANANANASANGAGYGLRENPKKTRRLSRSAGAEDDDADGAMEEDQDDMLIPLPLPLPSEAAVMAAPRRRRRSMRVPAPAPAFDKEPEDVALCLIMLSRDTAGLCNLPSSEPAEKGASRKKKLLAYDGGSDDDILYTEMMSKKRNHNNNNKAVTVSSSENPPPKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCCTTKVFLDQPEPSVDTNASSLSTPSSPPPSPQAAMAPPVVKPKNNVKFKCPICSKVFGSGQALGGHKRSHSIAGELYERTHADAIILDADQSLLAAGFLDLNLPAPGVED* >Brasy5G002500.1.p pacid=40078070 transcript=Brasy5G002500.1 locus=Brasy5G002500 ID=Brasy5G002500.1.v1.1 annot-version=v1.1 MQSSCRSSMAAAGHGLLQLLPPPRPSIFSKRRHCYSPSRSTCSARQTPIVRSSSAESSSSTSTSTATATGRSISSSSVKLTYLEFNGWIWELQNGFRILVDPILVGNLDFGIPWLFDAAKKTLTPADGGSSGAILRDPKVDLLLITQSLDDHCHLRTLKELSAVAPGLPVVTTPNAQPIVSKLPFQQVTYLEPGQSTAVGGGGVTVLATAGPVLGPPWQRPENGYIVTASGPGNNNSSSIYYEPHCVYDAAFLRDRGLRADVLITPVVKQLLPANFTLVSGQEDAVDLARLLRPTYVVPMSNGEFDAKGLLTAVITTQGTPLAFKALLAQALPQAQVMEPTPGVPLHLQFDNTSSSVLEAD* >Brasy5G080000.1.p pacid=40078071 transcript=Brasy5G080000.1 locus=Brasy5G080000 ID=Brasy5G080000.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSAFCLPHPAHLFFFVCLISLASPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSRPGLLSPFFFPTAGAWPRRGTRAAVGRGAAARRGLRWREALRLGEGRRGEALRRLWTAASGRGAAAAGRGARGSRWRARRRALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARRRRAAGGQLRQLPVRAAAAPASSGSARRGEEHGAVAAAQSRRRGSSGSVGQGGEAATASGGVKQQPDFFCFF* >Brasy5G431500.1.p pacid=40078072 transcript=Brasy5G431500.1 locus=Brasy5G431500 ID=Brasy5G431500.1.v1.1 annot-version=v1.1 MAYDQAAFSVRGASAVLNYPVDRVQESLRTLALGADAVGGSPVLALKRRHSIRKRSPNKKKAITASKMMPQTTQQQPEIKTTMAASAAAAEAGVVELEDLGADYLEELLRVSDDPYSSSSMGNCYYQCHFDGGDDQSIITTSSGTATAAPATLFPHCYASYSQ* >Brasy5G505600.1.p pacid=40078073 transcript=Brasy5G505600.1 locus=Brasy5G505600 ID=Brasy5G505600.1.v1.1 annot-version=v1.1 MHGSHGRHVNRYLIVIDDIWDKSSWNIMKCAWIDSNCGSRIITTTRILKVAEEAGDVYKHKPLSPGKSKELFYKRLSIDKSKTTYDEPVEISEKILQKCGGVPLAIITITSLLASKPWEDWSEVYDSIGFGDGANIHVDNTRKILLYSYYDLPCYLRACLLHLSIYPEDEEIQKDTLIWKWVAEGFVHEKPGMRLFELGERYFNELINRSLIQPVEKPYKSIIHACRVHDLVLDMICYLSKEENFVTIHGSSNTEPQPSQSNVRRLALQNIAMDEEPNSDNTVIRQVRSFNVIMCSVNRRSFLSIFQGLRILSMERCTFINDGSYHLENLGRLLQLRYLGFLETPITELSEEVGNLRFLQILDLRETEIKELPESVGQLRRLKCLRLPYGFTGAMGWIGNLVFLEELLLPYVSLEISIAKELGKLTELREYGGACFKESDDVIVDSILFNNMMKSLEQLEKLQAIQVSCYISWPRVDLAYCEAYVPSRHLRRLELRVAFEKLPAWINSSSLPNLSHLTVGPNAVETQDVEVLGRFPELVCLHLQTGRDVIIPDIMGGGAFPKLRYYSTAASLRFLQGAMPSLECVAYYIRGECDGAKFERDIASIGNLPCLDRVEVYFYCEHSSREKVEAALRQAVEVHPNNITVKLN* >Brasy5G113700.1.p pacid=40078074 transcript=Brasy5G113700.1 locus=Brasy5G113700 ID=Brasy5G113700.1.v1.1 annot-version=v1.1 MVFHTILPKIAVHWLFKLYRAARKLRSNAFHYYYSRNSATTKPSSAANSKGTGNIIPSGADRTVVCDFHGGLLASTALFPYFMLVACEGGGLLRALLLLCAYPLVRLLGERSDAGVRVMAFVAFSGLRPRDADLVARAILPKFYMERLNAQVYGRLWLPARRKVAVTSAPRVVVEWFLKEYMAADVVVGSELQMVRVGRAWYFTGLLCGPGPAGPGLRQKALGEAFGADGAMADVAVVGSSNPLDHLYIPCCKELYVVSREGARTSRLPREMYPKPLIFHDGRLAFLPTAPATLAFFLFLPLGFILSVIRISIGIVLPYEINFVAGALFGIRFRTSGRGAAANNNSKPENRGVLFVCTHRTLVDPIMLTTALQKPVPAVTYSLSRLSELIAPIRTVRLTRDRRRDADTMARLLAKGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQSTWIYGTTASGHKWLDPVAFFANPVPAYRVEFLGAVPREHTRAGGRTSAEVANWVQRRLGDALGFECTGLSRRDKYMMLAGNDGVVAK* >Brasy5G458900.1.p pacid=40078075 transcript=Brasy5G458900.1 locus=Brasy5G458900 ID=Brasy5G458900.1.v1.1 annot-version=v1.1 MERRQWRRHGRARGRRGEGVGEGREVAGGGEGGGGRWPAGREGGGGEEGRRGGSGGGGEGGWRRGGGEEAGAGGEGGGRRGGGEEAGAAGREGGGGGRRGGRGEAGAGVEGGGRGEEGRKRGRRGGRGERGGGEEAGAAGRVGGGGGRRGGREEAGAGGEGRGRRGGWGGAGGGGGGGRRGGRRRGPAGRAGGGGEGGGEPGAAGGRGRRGGWGGAGGGGEWEGWARARERGRE* >Brasy5G445700.1.p pacid=40078076 transcript=Brasy5G445700.1 locus=Brasy5G445700 ID=Brasy5G445700.1.v1.1 annot-version=v1.1 MGMVVQQVGVAAAGRMPSMEAEPKTLTLEQLKYAREAALYVVSTKTTEEAIRIFTEGLKPVRGVRKTIISSSSTDSSSDDDVDLYSSLDSTPTGNRRGGTGCRRHGHSVKKDIATAPF* >Brasy5G427500.1.p pacid=40078077 transcript=Brasy5G427500.1 locus=Brasy5G427500 ID=Brasy5G427500.1.v1.1 annot-version=v1.1 MRFQVQKRHALFFSSVQQQYIEPIWAELLRTAHPSSLSLASSINSGPQLQSNPPEAPDSTHRRRRRRRRRNQMGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDHCDPSLLQPLVRVAPTIDAVLLSHPDIMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDYFLSRWQVADFDLFTLDDIDAAFQNVVRLKYSQNHLLNADKGEGIVIAPHVSGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNQVYKRQQDQDFIDSMVKVLASGGSVLLPVDTAGRVLELLLIMEQYWAQRHLVYPIYFLTNVSTSTVDYVKSFLEWMSDSISKSFEHTRDNAFLLRYVSLIINKEELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMGKRIPLVGDELKAYEEEQERIKKEEALKASLSKEEELKASHGSNAKASDPMVVDASSSRKSSNAGSHVGGNVDILIDGFVPSTTSVAPMFPFFENTADWDDFGEVINPDDYMMKQDEMDNSMMLGAGDGLDGKLDEGSARLLLDSAPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKVDEKLNLLPPSSTPFAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITVRKIGDSNQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFFLL* >Brasy5G427500.2.p pacid=40078078 transcript=Brasy5G427500.2 locus=Brasy5G427500 ID=Brasy5G427500.2.v1.1 annot-version=v1.1 MRFQVQKRHALFFSSVQQQYIEPIWAELLRTAHPSSLSLASSINSGPQLQSNPPEAPDSTHRRRRRRRRRNQMGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDHCDPSLLQPLVRVAPTIDAVLLSHPDIMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDYFLSRWQVADFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVSGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNQVYKRQQDQDFIDSMVKVLASGGSVLLPVDTAGRVLELLLIMEQYWAQRHLVYPIYFLTNVSTSTVDYVKSFLEWMSDSISKSFEHTRDNAFLLRYVSLIINKEELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMGKRIPLVGDELKAYEEEQERIKKEEALKASLSKEEELKASHGSNAKASDPMVVDASSSRKSSNAGSHVGGNVDILIDGFVPSTTSVAPMFPFFENTADWDDFGEVINPDDYMMKQDEMDNSMMLGAGDGLDGKLDEGSARLLLDSAPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKVDEKLNLLPPSSTPFAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITVRKIGDSNQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFFLL* >Brasy5G034600.1.p pacid=40078079 transcript=Brasy5G034600.1 locus=Brasy5G034600 ID=Brasy5G034600.1.v1.1 annot-version=v1.1 MAGQDHEQDDWSGRNISSRSCQRCEHCYAHYYWCHLDDTGKYFFKCMVGDFQEKMIIPKKFVENFKGQISEVIKLEAPDGNIYNVHAIKDLNKIVLGSGWAAFANLYELKEGYLLVFRYIRDSHFKVLIFDYGSCCEQEVFRVIMNCGGPDAQEEGTHLDQSPPRRSQDGGPSNGESHRRCEWCDAHYYWHHLDDRQKHFLRFMVGNFRQEMSIPEKFVNNFRGHCCHISEVIKVEAPDGNGYTIQVTKDPNKIVLGSGWAAFADAHELKEHDVLVFRYIGDSHFKTIIFDQGGCEKELFRVFMNRAPNVRDTGIFHDQPVPKETRCRYSGSHDNSNRTIKKKTPLHSGTRLHRDQDIQDTMNSCGLQETVEPLYVLATGCDLTTEQKAEVGVLAKKNKSGVPFYITALNKTSLSGSLKHLLHEDQPITLCHPQSRKTWDASFKVIIYGTSILPCITSTGWLGFVQDRKLQEGDICVFEILKSDGRVTMVIHSLEGGYHPPSKEPESQNNNI* >Brasy5G349400.1.p pacid=40078080 transcript=Brasy5G349400.1 locus=Brasy5G349400 ID=Brasy5G349400.1.v1.1 annot-version=v1.1 MSPSAPPTVSRLANPPRTLSPTLSSPSTLSPHLSMSISSRPRTAPLAVAGGSGAPPSLLAADPAHRDAVILSARSAMANCLGETHLDLVVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTSHITPNAVVSCPDKNVTIAKRCLVFPVEFVVRGFVTGSTDTSLWTVYNNGNQKLAANILTPTTKAVDHDVPVTPDEIIKSGLMSKEDFDEARSKALRLFEYGQQVGLENGVILVDTKYEFGKTADGTIMLIDEVHTPESSRYWIANSYEERFKSGLEPENVDKEFLRLWFKNNCNPYEDPVLPEAPEELVCELAWRYIFLFETITNTKFEIPETQEPIHERISRNVAQALRNL* >Brasy5G099600.1.p pacid=40078081 transcript=Brasy5G099600.1 locus=Brasy5G099600 ID=Brasy5G099600.1.v1.1 annot-version=v1.1 MDQYYRGRLRAFSRATLLGLVLFSVVTWVPHAFSCLRTFLFVSIPCAASVIATPKCLFIFSNIIVIFLTTESKLSRLCARSLTSSSTVTSSSTEEDGIDAVVRELTVFAQPMIKEKHRTEEIVRDQFGKEEDASSISLQVEDERVEEVMKEEEGEEEEEEEEEETGLPTEELNRRVEDFIARFNMERQLEEARMIVCCC* >Brasy5G220000.1.p pacid=40078082 transcript=Brasy5G220000.1 locus=Brasy5G220000 ID=Brasy5G220000.1.v1.1 annot-version=v1.1 MPEPSSASTSASASADDSVDRVEDSQFFSPVPAINQAASNLANLASYFTQCLPVPGGYTGIPEEGQELAILPPVSTTGRPALQASSSSSEFDASSSLSHSEIDLSVSSSQENSGQMAPFRVFQNGASMFQGLVERARKTVRGSADDIGWLQRVQSLPPTEDGTARFLEILDSVRNNEHKLPDSLVYLLIPGLFSNHGPLYFVKTKAYFSKMGLVCHIAKIHSESSVSKNAREIKDYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVMLRKLMEILVSKVLKGDLQALEDLTYERRKEFLSQYPLPPEVPIVSFHTEASITPSVLTALSHVAHVELPIAGDGNPARIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSLAVRPERKLDHAWMVYSSMKEEAGDEADTSQVCEALLSLLVEVAQKRRHEMAMKDE* >Brasy5G220000.2.p pacid=40078083 transcript=Brasy5G220000.2 locus=Brasy5G220000 ID=Brasy5G220000.2.v1.1 annot-version=v1.1 MAPFRVFQNGASMFQGLVERARKTVRGSADDIGWLQRVQSLPPTEDGTARFLEILDSVRNNEHKLPDSLVYLLIPGLFSNHGPLYFVKTKAYFSKMGLVCHIAKIHSESSVSKNAREIKDYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVMLRKLMEILVSKVLKGDLQALEDLTYERRKEFLSQYPLPPEVPIVSFHTEASITPSVLTALSHVAHVELPIAGDGNPARIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSLAVRPERKLDHAWMVYSSMKEEAGDEADTSQVCEALLSLLVEVAQKRRHEMAMKDE* >Brasy5G220000.3.p pacid=40078084 transcript=Brasy5G220000.3 locus=Brasy5G220000 ID=Brasy5G220000.3.v1.1 annot-version=v1.1 MAPFRVFQNGASMFQGLVERARKTVRGSADDIGWLQRVQSLPPTEDGTARFLEILDSVRNNEHKLPDSLVYLLIPGLFSNHGPLYFVKTKAYFSKMGLVCHIAKIHSESSVSKNAREIKDYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVMLRKLMEILVSKVLKGDLQALEDLTYERRKEFLSQYPLPPEVPIVSFHTEASITPSVLTALSHVAHVELPIAGDGNPARIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSLAVRPERKLDHAWMVYSSMKEEAGDEADTSQVCEALLSLLVEVAQKRRHEMAMKDE* >Brasy5G238500.1.p pacid=40078085 transcript=Brasy5G238500.1 locus=Brasy5G238500 ID=Brasy5G238500.1.v1.1 annot-version=v1.1 MASVVGGGGSCTRTIDGRFGGGTRGTESAGDETRGTESAGDETRGTQSAGDDGDSSDQMDAGQPLAPWGGRDRNFVNEIELLDDSKHRDGSIYRGSSFLHKLFRLNYTEETYLEPMMLSMPTDCWPDPVDCNEHYFCHMMQIYSLKLAYTSAAADTSGNPILLYGFMAVRDCLNPRRNYVFRRTRDDPFVVVQDGNGSSFIRMSGPKRGIEMQSLVLVEFDMRIKIGENEEDDLQLIDGAICFNSLVLPPDMIINRRIVGDCGAVDMSLAFLHCAAEATIQVGISNVPDGGLSLFLQAHANNIKNGIWLFDNVVTEPCDLNRFVVAACRGSKLLLIVKSRPVNGTALPISKFFIRDVRRHGSDSMTFKVHNAKIDVKVNWSTLDLPSSALREDNHYPFAFDD* >Brasy5G495500.1.p pacid=40078086 transcript=Brasy5G495500.1 locus=Brasy5G495500 ID=Brasy5G495500.1.v1.1 annot-version=v1.1 MSNDWLSSFPNVQRKLLVCGAAAITWTIWKARNDACFRHKFPNDPSVFIFSLCSNLNSWALLQKGSNRRNLEAGSSLIKQVLMDVFSRRQGWAPLGRRLVG* >Brasy5G332100.1.p pacid=40078087 transcript=Brasy5G332100.1 locus=Brasy5G332100 ID=Brasy5G332100.1.v1.1 annot-version=v1.1 MSAAGPRVAAGATRRPSVKQAAAAVGGQVRQPVQRSVDEDRAKRKLLPSSATQRALPSMLLRRSELLRRSGVGANGNTHSLSVSSCASEASDDSFCSRATTGRIGGRRPPAAGTPHGGSSSAGGRRRVPGTSSASVGPPSARPAAPRKAVAAAVAIMDSLDLNGGAAAATGPPRCPWVTRNTDPCYAAFHDQEWGVPVHDDKKLFEMLVLSGALAEMTWPDIISKRDTFREVFMDFDPLLVSKLNEKKLLGPCSPARSLLSEHRLRAIVENAHELLKIIEEFGSLDEYCWGFLNHKPMVGRHRSPREVPLRTPKAEAISQDLMRRGLRGVGPTVIYAFMQAAGMANDHLVTCYRFEECTQAPDDGNGSNSNTMVVQVQDQEMSKMCGMVECAGLETSRAATVISIS* >Brasy5G202600.1.p pacid=40078088 transcript=Brasy5G202600.1 locus=Brasy5G202600 ID=Brasy5G202600.1.v1.1 annot-version=v1.1 MPERITECALSPQQIKPCVPCPLITPEEYTPTFERVREEKPSGSVKVSGSAQPRANAAYMQSPIEHLYVPMPTCGGPPILLPQISRVARKIKAAAKTVRQNKEDCVEISRRASKVSDILSRLEDTETKEDPTVMVALRRILKTFRRARAAVVACQRRSIVVFVCHSPFQPGKLSDELRRVLDEMLLDLDALIAILPSVHVARDRAI* >Brasy5G521100.1.p pacid=40078089 transcript=Brasy5G521100.1 locus=Brasy5G521100 ID=Brasy5G521100.1.v1.1 annot-version=v1.1 MQEKKKEMSRKIAEAHMKFNSTRLGTNLSASGWVVHLEDGGRSPTPWPLSRPRRRRQRAPGGPRGIRRAYRLQEIESEREKREIGRVRERERGLTERSGARARGPPDPAVEAGGRPDPAVEEGGRPDPAVEAGGRPDPAGAGFGGAREGGGGVAAGSGGGGGRPAGSGGCRLRWREGGRRWCGGRIRRLRRAGGRIRRRPASVARGREEAGPGSPEAAWWRRADGRGGAGVAGGGVAVAWWRPASAAGGREERSVSGWAGRRERRGGEKGKGSLYNHKNAVCLWRAGHHARH* >Brasy5G080100.1.p pacid=40078090 transcript=Brasy5G080100.1 locus=Brasy5G080100 ID=Brasy5G080100.1.v1.1 annot-version=v1.1 MRSVRAPPPTSLLVLSVVLAVGALACGGRAALLKAHFYRHSCPEAEAVVRDIVLARVAADPNALPARLLRLFFHDCFVRGCDASLLLDSTAGNTAEKDAAPNRSLGGFDVVDTAKAVLEAVCPGVVSCADIVALAARDAISFQFGRELWEVQLGRRDGVESRAAEALADIPSPSANFSALEAGFARKGLDVKDLVILSGAHTIGVGHCNLFSSRLFSSSSTTGAAARATTDPTLNAAYAAQLRAACGSPSNNATAVAMDPGSPARFDAHYYVNLKLGRGLFSSDAALLADPRAAAMIHALTREDYFLREFKDAVRKMGRVGVLTGAQGQIRRNCRVVNS* >Brasy5G306500.1.p pacid=40078091 transcript=Brasy5G306500.1 locus=Brasy5G306500 ID=Brasy5G306500.1.v1.1 annot-version=v1.1 MAALVANRALLEAKVASNNALMLANNQATSQPGGDSFVVGECEGQKTVDGEQMPLVLTPGGTGGGSSYEALVAALEADREWLEAKIVANSAVLLRGFGVRDAVEFNAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEQSVYFHHEMVLIKEFPEKVILFCEVPPPEGGETPFVPSFRVTERMLEEFPEMVEELDAKGLRYTFVAPSNNNTESMRGRGWEDAFATSDKAEAEKRAKALGMDVEWLPDGGAKTILGPRTLTRVFPGRKGRRMWFNTLVGMHGKELSSAAVADGAEIPASFVRRCEEAIEEESIQFRWRKGDILILDNLATLHGRRRSLPPRRVLVATCK* >Brasy5G136900.1.p pacid=40078092 transcript=Brasy5G136900.1 locus=Brasy5G136900 ID=Brasy5G136900.1.v1.1 annot-version=v1.1 MKPAVPIVRCNTSAADDPLASAVLPFLPWGERICCEREKLLGGGGETPWPDPGPAPPAAAGSRAGRAGSMEAVPTASGSAAGVRSAGPGTGNSGGGAPPWRIGSRKSWEEAPSLHGRSAARNNRRIRPSMAVARRRSGERAASGRSQRGEAEAGVGAVAARRRPAAAARGRPPSGGPPPRGGRQRRRGEAGEGRGCGDLGEREIEKERARRERQRGWRRGEGENEGVRVSVRFRTLLYAMVGSGAGWPN* >Brasy5G239600.1.p pacid=40078093 transcript=Brasy5G239600.1 locus=Brasy5G239600 ID=Brasy5G239600.1.v1.1 annot-version=v1.1 MADDHGDPAAQAAQLQAQQQAAQLQAQATAAAQSQAQTLPAAQEVAKAAAAAGVNIDAAGLVTDLNKRTQEKSTALPCTTSTPISLQRFLSFNTTMANFFYDISTLHLLLQF* >Brasy5G072700.1.p pacid=40078094 transcript=Brasy5G072700.1 locus=Brasy5G072700 ID=Brasy5G072700.1.v1.1 annot-version=v1.1 MAKRRGSRFAFPGCGCFGGAKAVEADDEHQVKLHVYDLSQRMAPQLSTTTLDKPLQAVWHTGVVVYGKEYYFGEGIQQDRPGRTPYGIPVRVEDFRVTHVAEKDFEHFLQEIRPRYTQTTYNLLSNNCNSFSNEALKFLVGSTVPNYIFDMPKQAMNSPIGMLMLPMIQGLETTLRSGSSPQPPQFAPAPAVAKQAQPSTDNAQMKLRSTIADETGADKMVDNGGQIIPPTAAVQKQPSTNDIQIQSRSITTDKTSADKTVDDDREIIPPAAVVQSSFTNGIQIQPVSNVADRTGADKMVHSDSEIIPPATTAQTQPSTNDIQIQSKPIAADRMGADKMADDDSKNILPAVQPALTAAPQMQPSSNNLQIKTTDDGSGIINPPTVQPATPAAEVAQVSATLATSPDPLREARNRAQEEIKREFAAIMATGTAQASEAAALATWRVMKRYGLRHAAAKRGG* >Brasy5G378900.1.p pacid=40078095 transcript=Brasy5G378900.1 locus=Brasy5G378900 ID=Brasy5G378900.1.v1.1 annot-version=v1.1 MKIAKAPELLKKAAALCKSKTGVITAKLLVLASPRRRMATSGAISHRIHALMVSGGEKETVRSDKRTHQHLVLRKVDQRKTPAIHGSEIIVDFSHELALFDQEGDCPDWTLHPIFNDDGGSSCSYTEEDYEVEDEPSVIDVIRSNREVEGVEFNMEDEIDQAADMFIRRFRERMNQSF* >Brasy5G311100.1.p pacid=40078096 transcript=Brasy5G311100.1 locus=Brasy5G311100 ID=Brasy5G311100.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESGDSIAHVKAKIEDKEGVPADQQRLIFAGKQLEDGSTLADYNIHKESTLHLVLRLRGGRGGKGGTYAPIEPNLLQLALKYIQHKFICRKCYARLPLKSTNCRKKKCGHSGELRKKKKLAPRDAARS* >Brasy5G210200.1.p pacid=40078097 transcript=Brasy5G210200.1 locus=Brasy5G210200 ID=Brasy5G210200.1.v1.1 annot-version=v1.1 MESPPDDSDAARPAAATAVEGECSPRNSNHLWRRRLRSPEGGCRFIILKMGESKDRALEGLLAFRCSSKNSEFKVSRLNDW* >Brasy5G301900.1.p pacid=40078098 transcript=Brasy5G301900.1 locus=Brasy5G301900 ID=Brasy5G301900.1.v1.1 annot-version=v1.1 MKSGRNESSSKKLVSGGAAAWLLLPLLVLVVLKTDSLPQISLYSVDGVAPSPASDGEKNGDVADSIKDSNREQQFLAVNGDVDVSPVNSDSNHEKHFLAMNGEKDASLLNSDVAAPTLRSNLSCNFSSVRMNICGMEGDVRIQGKASTVYVVSVSDYRPENSTVIIRPYPRKWEIPTMELVREITVRSTAPPRGPGGAAAEAMDRDDTAPPPPRCTVTHDVPAVVFSTGGYSTNFFHAMTDVVVPLYNTARRYDGRVQLLATNYDRKWIAKFRHVLGALSAYPVIDLDADAAVRCFPSAHVGIESHMELSIDPALSFNGNTMMDFRDFLRSAYSLKRAWTAPVSRNNTSSSSSSRPRLVILLRRHSRAMTNEGEAMAAAAEAGFEVVPAGPEVFSDMAKFAEVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQIIPWGGMKWPCFHALGRPVPDMGLRYVEYEAGAEETTLKDVYPRDHAVFTDPLSIHRQGYGMMWATFLDGQNVTLDIGRFRGVMEQLYQSVTFA* >Brasy5G159000.1.p pacid=40078099 transcript=Brasy5G159000.1 locus=Brasy5G159000 ID=Brasy5G159000.1.v1.1 annot-version=v1.1 MSSSPPAPATEEEAAALEALPVYRPGLSLLAEMRRLARIQAAASQTASSGSGTEPVISDGEHDEGSADAPPAYPAGTSAWAERRSLRLARMQAEESQTASSGSGSGSGTESETSDVEHDEGSGSDYSDEESEHSDEESEYSDEESEYSDTDEEGVRVPATTEMAAAAAVGEEGGSSSVPPEGTTCSVCMEPWTCYGAHRICCIPCGHVYGRSCLERWLRCSGNKRAKCPQCGKRFQHKLIINLYAPENMLDGCCHIQDGQVPQGPTQVPPAYMASVMQGINGMASIMEGIKDIKVKLDSISDRFDDQNARLEEIKANQLLISDRLTSIESGVTHLEAAAVPSTHPPPPPPC* >Brasy5G011700.1.p pacid=40078100 transcript=Brasy5G011700.1 locus=Brasy5G011700 ID=Brasy5G011700.1.v1.1 annot-version=v1.1 MDEYRCFVGGLAWATDDHNLQTAFSNFGEILDAKIIQDRETGRSRGFGFVTFATEESMQAAIEGMNGKELDGRSITVNQAQSRRGGGGGGGGGGYGGGGGGQRREGGYGGGGGYGGSGGGGYGQGQRGGGGYGGGGGGYGGGGGGYGGGYGQQRGGGSDGQWRN* >Brasy5G099500.1.p pacid=40078101 transcript=Brasy5G099500.1 locus=Brasy5G099500 ID=Brasy5G099500.1.v1.1 annot-version=v1.1 MDIQSCLKASLLALGLLSVATSLPHLYSCLRTFLMVSLPSVASAVTAPECLFLFSNIIVVFLVGECKLSHGGESPGIGDSNIAAAGDLPDQRQKEEEEFNQEELVMEATVGALVVTGESEQEEEGNVAVVEEEGHVVQMDQDDGSMEVRVGLMLEEEDESSGLPPADELNRRVEDFIARFNMERQLEARRIDARLLLLS* >Brasy5G317900.1.p pacid=40078102 transcript=Brasy5G317900.1 locus=Brasy5G317900 ID=Brasy5G317900.1.v1.1 annot-version=v1.1 MELPGPNPRLLICCLFLLCFFFSSLAMSQAKTVHEKWDISYQFKSPDCVRKLAVTVNGGTPGPTIRAAQGDTVAVAVKNSLMTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGETFEYRFVVDRPGTYMYHAHYGMQRSAGLNGMIVVSPSPAEPEPFAYDGGEHDVFLNDWWHKSTYEQAAGLASVPIEWVGEPRSLLINGRGRFNCSAALAASGAVCNATSPECAMQVFAVVPGRTYRFRIGSVTSLSALNFEIEGHPMTVVEADGHYVKPFVVQNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTPTGTAVLSYYGGRSSPRALPPTAPPAGPAWNDTPYRIAQSQATVSHPAHVHPPPPRPDRTILLLNSQNKIDGRVKWALNNVSFLLPHVPYLVSLKHGLRGTFDERPPPETYSNSTGYDVYGVQPNPNATTSDGLYRLRFGSVVDVVLQNANMLAPNNSETHPWHLHGHDFWVLGYGVGRFDPAAHPAAYNLKDPIMKNTVAVHPYGWTALRFRADNPGVWAFHCHIEAHFFMGMGVAFEEGIERVGELPEEVMRCVSKKGGRH* >Brasy5G132400.1.p pacid=40078103 transcript=Brasy5G132400.1 locus=Brasy5G132400 ID=Brasy5G132400.1.v1.1 annot-version=v1.1 MPVFVWVWLARRSFARPKSEILATIFSSRRIFCGFMSQWIICMLHSSCK* >Brasy5G459500.1.p pacid=40078104 transcript=Brasy5G459500.1 locus=Brasy5G459500 ID=Brasy5G459500.1.v1.1 annot-version=v1.1 MFRYGQNDSDGKCLWVTKVFSLQSIQQEIVHYNSSAYLKVQLTPSTSVSNPTNKKVILGATLAAITTLILLVIVVVLCVRRMRKYEELDEELDFDQLPGMTMRFSFEKMRECTEDFSKKLGEGGFGTVFEGKLGEVRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSQRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIILDIAKGLCYLHEECRRIIAHLDIKPQNILLDENFHAKVADFGLSKLIDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVVMEVICGRKNIDISLPEESVQLINLLQEKAQSNQLIDMVDKNSEDMVLHQEEAVQVMKLAMWCLQNDSNKRPSMSSVVKVLEGSMDIETSIDCRFLNANTVMPAQHNQSTNSVPPQASVLSGPR* >Brasy5G057300.1.p pacid=40078105 transcript=Brasy5G057300.1 locus=Brasy5G057300 ID=Brasy5G057300.1.v1.1 annot-version=v1.1 MEAVACVSCGALGSLLRKLGALLSDEFKLLTSVKGGIMFLQAELESMHAFLKKMSEVEDPDEQARCSLKELRELSYDIEDVIDSFMLSLGGESSHNPRGFMRFVGSCMDLLENATTHHRIAKKIKVLKRRAIEASSRRARYKVDDVVSRSSRPNIDTRLPALYTEMTRLVGIDGPRDKLIKLLTKRDGALAQQLKVVSIVGFGGLGKTTLANQVYQNLEGQFEYQVFVSVSQKPDMKKIFRNILSQIFRQECVSNEAWDEQQLVKTIRQFLKDKRYLIVIDDIWRKSAWRVIKCAFPENSCSSGILITTRIIAVAKYCSSQHHDHVYQIKPLSATHSKSLFFKRAFGSEDGCPLQLRDVSDGILKKCGGLPLAIITLASLLANKASTREEWLRIHNSIGSALEKDSDMEEMKNILFLSYNDLPYHLKTCLLYLSVFPEDYEIKRDQLVRRWIAEGFITAEGGLDLEEAGECYFNDLINRNMIQPVGIQYDGRADACRVHDMILDLIISKSLEDNFVTLFCDPNQKLLQHDKVRRLSLNYHAREHTVVSPNMIVSHVRSLTIFGRAENMPPLSKFQSLRVLDLENREVLEHNYLKHIIRLSQLRYLRLNVRRITSLPEQLGGLQNLQTLDLRWTRVKELPASIVQLQKLACLLVNSAELPEGIQSMQALQELSEIEINQNTSLSSLQELGSLTKLRILGLNLNWHISDTDYGMNAYEYNLVMSLCKLGMLNLRSIHIQSYHSCSLDFLLDSWVPPRLLQRFEMSIHYYFPIIPKWLGSLDYLSYLDINVNPVDVEALKILGDLPSLLFLWISSRTARPKERLVISRNGFRCLREFYFTCWESGVGLMFEAGAVPELEKLRVPFNAHDVCSLHGVLDFGIQNLYSLKHLHVEIVCYGAKIREVEALEDAVRNAVVSLSDELALEVSRWNEEEIVKDGEHKLTEEEVDSNN* >Brasy5G057300.4.p pacid=40078106 transcript=Brasy5G057300.4 locus=Brasy5G057300 ID=Brasy5G057300.4.v1.1 annot-version=v1.1 MEAVACVSCGALGSLLRKLGALLSDEFKLLTSVKGGIMFLQAELESMHAFLKKMSEVEDPDEQARCSLKELRELSYDIEDVIDSFMLSLGGESSHNPRGFMRFVGSCMDLLENATTHHRIAKKIKVLKRRAIEASSRRARYKVDDVVSRSSRPNIDTRLPALYTEMTRLVGIDGPRDKLIKLLTKRDGALAQQLKVVSIVGFGGLGKTTLANQVYQNLEGQFEYQVFVSVSQKPDMKKIFRNILSQIFRQECVSNEAWDEQQLVKTIRQFLKDKRYLIVIDDIWRKSAWRVIKCAFPENSCSSGILITTRIIAVAKYCSSQHHDHVYQIKPLSATHSKSLFFKRAFGSEDGCPLQLRDVSDGILKKCGGLPLAIITLASLLANKASTREEWLRIHNSIGSALEKDSDMEEMKNILFLSYNDLPYHLKTCLLYLSVFPEDYEIKRDQLVRRWIAEGFITAEGGLDLEEAGECYFNDLINRNMIQPVGIQYDGRADACRVHDMILDLIISKSLEDNFVTLFCDPNQKLLQHDKVRRLSLNYHAREHTVVSPNMIVSHVRSLTIFGRAENMPPLSKFQSLRVLDLENREVLEHNYLKHIIRLSQLRYLRLNVRRITSLPEQLGGLQNLQTLDLRWTRVKELPASIVQLQKLACLLVNSAELPEGIQSMQALQELSEIEINQNTSLSSLQELGSLTKLRILGLNLNWHISDTDYGMNAYEYNLVMSLCKLGMLNLRSIHIQSYHSCSLDFLLDSWVPPRLLQRFEMSIHYYFPIIPKWLGSLDYLSYLDINVNPVDVEALKILGDLPSLLFLWISSRTARPKERLVISRNGFRCLREFYFTCWESGVGLMFEAGAVPELEKLRVPFNAHDVCSLHGVLDFGIQNLYSLKHLHVEIVCYGAKIREVEALEDAVRNAVVSLSDELALEVSRWNEEEIVKDGEHKLTEEEVDSNN* >Brasy5G057300.3.p pacid=40078107 transcript=Brasy5G057300.3 locus=Brasy5G057300 ID=Brasy5G057300.3.v1.1 annot-version=v1.1 MEAVACVSCGALGSLLRKLGALLSDEFKLLTSVKGGIMFLQAELESMHAFLKKMSEVEDPDEQARCSLKELRELSYDIEDVIDSFMLSLGGESSHNPRGFMRFVGSCMDLLENATTHHRIAKKIKVLKRRAIEASSRRARYKVDDVVSRSSRPNIDTRLPALYTEMTRLVGIDGPRDKLIKLLTKRDGALAQQLKVVSIVGFGGLGKTTLANQVYQNLEGQFEYQVFVSVSQKPDMKKIFRNILSQIFRQECVSNEAWDEQQLVKTIRQFLKDKRYLIVIDDIWRKSAWRVIKCAFPENSCSSGILITTRIIAVAKYCSSQHHDHVYQIKPLSATHSKSLFFKRAFGSEDGCPLQLRDVSDGILKKCGGLPLAIITLASLLANKASTREEWLRIHNSIGSALEKDSDMEEMKNILFLSYNDLPYHLKTCLLYLSVFPEDYEIKRDQLVRRWIAEGFITAEGGLDLEEAGECYFNDLINRNMIQPVGIQYDGRADACRVHDMILDLIISKSLEDNFVTLFCDPNQKLLQHDKVRRLSLNYHAREHTVVSPNMIVSHVRSLTIFGRAENMPPLSKFQSLRVLDLENREVLEHNYLKHIIRLSQLRYLRLNVRRITSLPEQLGGLQNLQTLDLRWTRVKELPASIVQLQKLACLLVNSAELPEGIQSMQALQELSEIEINQNTSLSSLQELGSLTKLRILGLNLNWHISDTDYGMNAYEYNLVMSLCKLGMLNLRSIHIQSYHSCSLDFLLDSWVPPRLLQRFEMSIHYYFPIIPKWLGSLDYLSYLDINVNPVDVEALKILGDLPSLLFLWISSRTARPKERLVISRNGFRCLREFYFTCWESGVGLMFEAGAVPELEKLRVPFNAHDVCSLHGVLDFGIQNLYSLKHLHVEIVCYGAKIREVEALEDAVRNAVVSLSDELALEVSRWNEEEIVKDGEHKLTEEEVDSNN* >Brasy5G057300.2.p pacid=40078108 transcript=Brasy5G057300.2 locus=Brasy5G057300 ID=Brasy5G057300.2.v1.1 annot-version=v1.1 MEAVACVSCGALGSLLRKLGALLSDEFKLLTSVKGGIMFLQAELESMHAFLKKMSEVEDPDEQARCSLKELRELSYDIEDVIDSFMLSLGGESSHNPRGFMRFVGSCMDLLENATTHHRIAKKIKVLKRRAIEASSRRARYKVDDVVSRSSRPNIDTRLPALYTEMTRLVGIDGPRDKLIKLLTKRDGALAQQLKVVSIVGFGGLGKTTLANQVYQNLEGQFEYQVFVSVSQKPDMKKIFRNILSQIFRQECVSNEAWDEQQLVKTIRQFLKDKSCSSGILITTRIIAVAKYCSSQHHDHVYQIKPLSATHSKSLFFKRAFGSEDGCPLQLRDVSDGILKKCGGLPLAIITLASLLANKASTREEWLRIHNSIGSALEKDSDMEEMKNILFLSYNDLPYHLKTCLLYLSVFPEDYEIKRDQLVRRWIAEGFITAEGGLDLEEAGECYFNDLINRNMIQPVGIQYDGRADACRVHDMILDLIISKSLEDNFVTLFCDPNQKLLQHDKVRRLSLNYHAREHTVVSPNMIVSHVRSLTIFGRAENMPPLSKFQSLRVLDLENREVLEHNYLKHIIRLSQLRYLRLNVRRITSLPEQLGGLQNLQTLDLRWTRVKELPASIVQLQKLACLLVNSAELPEGIQSMQALQELSEIEINQNTSLSSLQELGSLTKLRILGLNLNWHISDTDYGMNAYEYNLVMSLCKLGMLNLRSIHIQSYHSCSLDFLLDSWVPPRLLQRFEMSIHYYFPIIPKWLGSLDYLSYLDINVNPVDVEALKILGDLPSLLFLWISSRTARPKERLVISRNGFRCLREFYFTCWESGVGLMFEAGAVPELEKLRVPFNAHDVCSLHGVLDFGIQNLYSLKHLHVEIVCYGAKIREVEALEDAVRNAVVSLSDELALEVSRWNEEEIVKDGEHKLTEEEVDSNN* >Brasy5G019400.1.p pacid=40078109 transcript=Brasy5G019400.1 locus=Brasy5G019400 ID=Brasy5G019400.1.v1.1 annot-version=v1.1 MAAAVASLQATAAAVSKPRSVPPAARVVVRVAAAAASRRRSGSSRGGPLVARAAVAPASSSAKEDGAAGSSSGGHEVLMFEALREAMIEEMTLDPTVCMIGEDVGDYGGSYKVSKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLLKAAIRSENPVVVFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGSQVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGKSIKKTHRVLVVEECMRTGGIGASLRSAIIDNFWDDLDAPPTCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ* >Brasy5G102000.1.p pacid=40078110 transcript=Brasy5G102000.1 locus=Brasy5G102000 ID=Brasy5G102000.1.v1.1 annot-version=v1.1 MITGKWLRTLGSSHTCCARVVFPTPVSPTIGSTPRFPLFDRVSSCSKKLMILSFSTCRPNICPSTKIFVVKGRWTGWQFCRSIMNSLRSPDTISKISTTRSIFLSTSTSCRDRRSLFTAQAATRTRLEGLNKDREKGFAASSSSSSSSSSSSSSSG* >Brasy5G206800.1.p pacid=40078111 transcript=Brasy5G206800.1 locus=Brasy5G206800 ID=Brasy5G206800.1.v1.1 annot-version=v1.1 MANAKKQTFTQKPAYTRYRAQHQPWHFPFVGSSTWTTQNGPHGCLGTAEKEAVVACISFCVTLFYPSTHESLHQLVADAVATDGKEGTTHVWIGAGDCRRRPAATRQQLTSIRKRSGRRYALLTASEVVQGSRHLSCWMALWQSHSQKIQQEICTPKILINLAPLEFLICHGYH* >Brasy5G073300.1.p pacid=40078112 transcript=Brasy5G073300.1 locus=Brasy5G073300 ID=Brasy5G073300.1.v1.1 annot-version=v1.1 MLLRSPVCRGSFLSRRSFPFAATTTTRTLRALTTTVATTPVMSYRGGRGGGGTNSQRGRGRGRGGGGRGDRGGGGGGRGEQRWWDPQWRAERLRQIASEVEKVDENEWWNKIGQLREGSQQELVVKKNFGRDGQNILADMAQRHGLYFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQNEIKMSNETERRVETLLSRAQSSNSGSASTSTVLRRSFPSTSSSVPESTTDIDKQKLSSQLRDLQSSKKMMPSARSMQSFREKLPAFKMREEFLKAVASNQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVGSERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRKLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVAELFLEDILEKTRYKIKSERDNFQGNSRRKRFASVKNDPMSDVFEDVEINKEYGNYSITTRQSLEAWSPTELDLSLVEGTIEYICRHDGEGAILVFLTGWDEISKLVDKIKGNNLLGNSNKFLVLPLHGSMPTVNQREIFDKAPTYMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKSLQPPDPLSVKNAIELLKTIGALDELEELTYLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLNAFEAWKDAKHSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVTKTRGVKAYNHYGKDLEMVSAVLCAGLYPNVIQCKKRGKRTAFYTKDVGKVDIHPSSVNAGVQQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEDIEMLGGYLHFSAPKRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAIELLHSQNVYH* >Brasy5G073300.3.p pacid=40078113 transcript=Brasy5G073300.3 locus=Brasy5G073300 ID=Brasy5G073300.3.v1.1 annot-version=v1.1 MVEKVDENEWWNKIGQLREGSQQELVVKKNFGRDGQNILADMAQRHGLYFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQNEIKMSNETERRVETLLSRAQSSNSGSASTSTVLRRSFPSTSSSVPESTTDIDKQKLSSQLRDLQSSKKMMPSARSMQSFREKLPAFKMREEFLKAVASNQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVGSERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRKLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVAELFLEDILEKTRYKIKSERDNFQGNSRRKRFASVKNDPMSDVFEDVEINKEYGNYSITTRQSLEAWSPTELDLSLVEGTIEYICRHDGEGAILVFLTGWDEISKLVDKIKGNNLLGNSNKFLVLPLHGSMPTVNQREIFDKAPTYMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKSLQPPDPLSVKNAIELLKTIGALDELEELTYLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLNAFEAWKDAKHSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVTKTRGVKAYNHYGKDLEMVSAVLCAGLYPNVIQCKKRGKRTAFYTKDVGKVDIHPSSVNAGVQQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEDIEMLGGYLHFSAPKRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAIELLHSQNVYH* >Brasy5G073300.2.p pacid=40078114 transcript=Brasy5G073300.2 locus=Brasy5G073300 ID=Brasy5G073300.2.v1.1 annot-version=v1.1 MDRIYLQIWLRDMGFTYSNAYNKGKTLVFSKVPLPDYRADLDERHGSTQNEIKMSNETERRVETLLSRAQSSNSGSASTSTVLRRSFPSTSSSVPESTTDIDKQKLSSQLRDLQSSKKMMPSARSMQSFREKLPAFKMREEFLKAVASNQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVGSERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRKLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVAELFLEDILEKTRYKIKSERDNFQGNSRRKRFASVKNDPMSDVFEDVEINKEYGNYSITTRQSLEAWSPTELDLSLVEGTIEYICRHDGEGAILVFLTGWDEISKLVDKIKGNNLLGNSNKFLVLPLHGSMPTVNQREIFDKAPTYMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKSLQPPDPLSVKNAIELLKTIGALDELEELTYLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLNAFEAWKDAKHSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVTKTRGVKAYNHYGKDLEMVSAVLCAGLYPNVIQCKKRGKRTAFYTKDVGKVDIHPSSVNAGVQQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEDIEMLGGYLHFSAPKRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAIELLHSQNVYH* >Brasy5G209700.1.p pacid=40078115 transcript=Brasy5G209700.1 locus=Brasy5G209700 ID=Brasy5G209700.1.v1.1 annot-version=v1.1 MADPMTALGIGMKAVGWVASPIISELFKKSSAYLSFNASQKLLQLAPKVLLLERAMEVFDKIPDRPRLEQLFKDLKSAFYEAEDILDDVEYQYIKKKIQDDKFKSDGVEPPHKTGWVNKLLPKAPLLKNKETGMPKKELKDSLEKIEDIINNAYKFVEHLNLSTVSTFNGSHAGLANSGGAVTTAAPPPVVIGRDKDCDKIIEMLHEKEGEGQPDTNNGVCYSVVGIHGIGGSGKSTLAQLVCACEKKDKQEKRDGHFDLIMWVHVSQAFSVSAIFKEIFEAATGSPCPQLTSLNVLQDKLEEELHGRRFLLVLDDVWYDIQDERQQGNLQQILSPLKAGQAGSKILVTSRTEEALLVMGAAKPRCIPISDLDDNVFLNLLMHYALEGAVIDDHARRRLEAIGVDIAKKLKWSPLAARIVGGRLGRRLSAEFWTTVKNGNVDGTMGALWWSYLQLDQQARRCFAYCSIFPRRHHLIRDDLVKLWVAEGFVRGTNEGEEMEDVCRGYFDELVSTSFLQPGGKDFYNDMEYYLVHDMLHDLADTVAGSDCFRIENGSIWSKVGGGKGQRREGWRGDVPRDVRHLFVWNYDGELITEKILQLENLRTLIIYTVGGGTPIEEKVIASILKRLRKLRVLAVALSREDDAVIKEPDVFLVPESISKLKHLRYFAFRTSMSCRVILPGTVTKLYHLQLIDFGQCKKLVFPSADLINLRHIFCSIDLDFPNIGKLTSLQTVPNFTVWNVEGYKINQLRDLNKLRGSLEICRLENVESKVEALEANLAAKERLTHLSLGWGVAMRSSRPEVEAGPFGSLCPPTWFETLYMYKFNYQGLRYLSKLRGSLEILRLDNVESKVEALEANQAAKERLTHLSLSQSGATRSSSPEVEAEVFESLCPPIWLETLYIYNYRGMRYPNWMVGKQNGGPKDLRGLKLHGWSQLGPAPRLEAFVHLRSLTVWDCSWDALPDNMENLTLLKDLMICECLNISSLPTLPQALEEFTLKWCSDELMKSCQTIGHPNWRKIENVPKKEFICPEGYELDLLRMRNKRASLGTDIRRR* >Brasy5G305600.1.p pacid=40078116 transcript=Brasy5G305600.1 locus=Brasy5G305600 ID=Brasy5G305600.1.v1.1 annot-version=v1.1 MGSSNQEPGVSLRTDGWLPKHVDSLMQIPDKIQSSLKLHFGRFLKMGGVGGIKAQTASEKVRGSCSTAAAAGICLERQLQAWRDDPSWTDQPPEIKVTVPQGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVVSRKVLLDEGLRQVVEVEQAAIWKFLWWSGILSVHVFVDQNRKDHTVKFKQGRSGFMKKFEGCWKIEPLFVDKEACLPLDPLTLEEYDTCTAGRGRIGSAITLDQLIEPALLPPQPIAWYVRGITARTTEMLVNDLIAETARLRGLANNADEKQHIEENSNVNKDLLTEGCDDVKERWRQRRKIGRHGSSLRPTRQ* >Brasy5G305600.2.p pacid=40078117 transcript=Brasy5G305600.2 locus=Brasy5G305600 ID=Brasy5G305600.2.v1.1 annot-version=v1.1 MGSSNQEPGVSLRTDGWLPKHVDSLMQIPDKIQSSLKLHFGRFLKMGGVGGIKAQTASEKVRGSCSTAAAAGICLERQLQAWRDDPSWTDQPPEIKVTVPQGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVVSRKVLLDEGLRQVVEVEQAAIWKFLWWSGILSVHVFVDQNRKDHTVKFKQGRSGFMKKFEGCWKIEPLFVDKEACLPLDPLTLEEYDTCTAGRGRIGSAITLDQLIEPALLPPQPIAWYVRGITARTTEMLVNDLIAETARLRGLANNADEKQHIEENSNVNKDLLTEGCDDVKERWRQRRKIGRHGSSLRPTRQ* >Brasy5G305600.3.p pacid=40078118 transcript=Brasy5G305600.3 locus=Brasy5G305600 ID=Brasy5G305600.3.v1.1 annot-version=v1.1 MGSSNQEPGVSLRTDGWLPKHVDSLMQIPDKIQSSLKMGGVGGIKAQTASEKVRGSCSTAAAAGICLERQLQAWRDDPSWTDQPPEIKVTVPQGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKEVVSRKVLLDEGLRQVVEVEQAAIWKFLWWSGILSVHVFVDQNRKDHTVKFKQGRSGFMKKFEGCWKIEPLFVDKEACLPLDPLTLEEYDTCTAGRGRIGSAITLDQLIEPALLPPQPIAWYVRGITARTTEMLVNDLIAETARLRGLANNADEKQHIEENSNVNKDLLTEGCDDVKERWRQRRKIGRHGSSLRPTRQ* >Brasy5G277400.1.p pacid=40078119 transcript=Brasy5G277400.1 locus=Brasy5G277400 ID=Brasy5G277400.1.v1.1 annot-version=v1.1 MIATGCFYATAVPILLCFMLCRPCYSTLSDIHCLKRVKASVDPMNKLHWTFGNNTEGIICNFNGVECWHPNENRILSLRLGSMDLKGQFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLTYITNLDLSYNSFSGEIPESLANCTYLNSVNLQNNKLTGTIPPQLGGLSRLTQFNVAGNKLSGQIPSSLSKFAASSFANQDLCGKPLSDDCTATSSSRTGVIAGSAVAGAVITLIIVGVILFIFLRKMPAKRKEKDIEENKWAKTIKGSKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGHSGTMYKATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSATQRNLVPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQSSERKYLEWTLRLKIAIGTGRGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVDWITYLSNNSILQDAIDKSLIGKDYDAELLQVMKVACSCVLSAPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPNNADAERLDELIVAK* >Brasy5G267200.1.p pacid=40078120 transcript=Brasy5G267200.1 locus=Brasy5G267200 ID=Brasy5G267200.1.v1.1 annot-version=v1.1 MDALAAPTRRSRRLLLAAAAAAASGYGLYRLYLHHRRRVAAVLSLAEALSQVGSDLADFLRSDSDQVPRSLLQLSKLAASDHVSSAASTISESVASGILRALSSSHGASRDPSSPPLHDRILDRLFSPAGTGFASAVLGSFARNLVLSSCHDPSSSSTQPRERHEPEWLAALCSDRGKEAAAELVRVFVATAVAAYLDRTVTVRSSDQVLAGITNPKHEAKLKDLLVSMCNGAVESYVRTSRQVAKEASISRNEDSVLRKVRNSDPSCVMERVSSTLAMPSNRRFVLDVTGRVTAETVRSFLEFSAQRMSDGARKSIVIARDEVTERGLVAVKYLSAKSMAIFTICLAMCMHISVGMRFLLPA* >Brasy5G207900.1.p pacid=40078121 transcript=Brasy5G207900.1 locus=Brasy5G207900 ID=Brasy5G207900.1.v1.1 annot-version=v1.1 MLTATFSVFLFQRVLRISLLFLAFLFHQCVICCFWMCDAGQRSLHRIRTCVQFCSA* >Brasy5G223300.1.p pacid=40078122 transcript=Brasy5G223300.1 locus=Brasy5G223300 ID=Brasy5G223300.1.v1.1 annot-version=v1.1 MAEGAAPLEAACEESGDGRSSSRFLVVAYGIQGHLNPARSLARRLAGIRGVTAVLSVPLFAHRHMFPSSSDDDDEAIVGDGVISYAPFSDGLDDGSWPTGSEEDKARRRRASVQSLSAVVRRLADAGTPVTCVVCTLNMPAVVEVARAHALPLAVYWIQPATVLVAYYHFFHGHADAILAIAAIDPAAHEPALTLPGLSRALRARDMPSFLFTGDDSGDDLSRMILQGFRELFELMDEDKETPCMMLVNTLEALEETALRAIRPYLGDDVFAVGAPVLAGAGEEEPAGAGGETIHLFAQEEGKRYMTWLDAQPARSVVYVSSGSLLTYSARQAEELLLGLERLRRPYLWVVRRDGRSPELERLLHVAAEEGAGMVVEWCEQKAVLAHPSVACFVTHCGWSSTLEIMALGVPAVAAPSWSDQPVNAHLLAEEWGVGVRAERDADGVLTGDELARCVEEVLGDGKAAANASAWKEKARQAMAADGTSERSLRSFVSRVQELSQMNL* >Brasy5G081500.1.p pacid=40078123 transcript=Brasy5G081500.1 locus=Brasy5G081500 ID=Brasy5G081500.1.v1.1 annot-version=v1.1 MERLVEMLSWKGGAEAGARASAALVVSKLAGKKRNALRVAGIPGAIESVSSLLYAAGDEECNLLGLLIIKKLARDHDNCCKIGNARGLLDKIIDFSAIEPSSSSSSCSAVVTITPSRAKAVKRSLQVIRMLADTTGGTGKQLRREVAEIVFTVSNIRAVLQHGHGHLELQRLGAEVLTRLAMDADAREKIGGTGSVVSLLLAMFFRPGITDEGDAARVEAGEALAMLALDSPRNCGRILNNAGPGPSVVGRLVEALDDEVVGVGAARILTNLSAYSGERFMQEELRGVVATVVLRNVMAKKTKPRLLEVSLGLAAQAVKLMAGPGPEEDELGQHLARAGVSAEDLVSRLVAVLARYGSPSIKVPRIRRFAVELAIAMLSSSSSCCCWAETMAAAGVARQLRRVAETTSELECFHVFSGSAGVSRHGVSLCALVDTALGLMGAAGQ* >Brasy5G290900.1.p pacid=40078124 transcript=Brasy5G290900.1 locus=Brasy5G290900 ID=Brasy5G290900.1.v1.1 annot-version=v1.1 MRRGSVEAALRRRRAPARLWVGVTALIVCTIWLWSSSAGLALASYKAQDVDVNKLWRTADSNGWRASSAPRSYWPPPPTESETNGYLRVRCNGGLNQQRTAICNAVVAARIMNATLVLPELDTNSFWRDESGFLGIYDVPHFIKTLKYDVRIVMSVPEITTNGKTKKLKAHQIRPPRDAPVAWYTTVALEKMKNYGAIYLTPFSHRLAEDINDAELQRLRCRVNYHALRFKPHIMKASSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTAQEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRAMGFDNTTRIYLASGELFGGKRFMKPFKSMFPHLENHSSVGPAKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTVTPNRKALAPIFMDREDGRTAGFEERLRQVMFNSHFGGPHKRTHPESFYTNSWPECFCQTNPRKHADKCPPDNIYEVLENQFQSQQSEEGTEEVKATNRTDSTGQTEELMI* >Brasy5G111500.1.p pacid=40078125 transcript=Brasy5G111500.1 locus=Brasy5G111500 ID=Brasy5G111500.1.v1.1 annot-version=v1.1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNSKQQAPSSSVSANAAAAAANAAAEAAAPSAASDPASDNAYTSFQGLLALARVTGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLEMDDDVHASVQAAALAKFHEIKKKASGGQGAQEEGSDEEDEDEDDSDSSDSDIDPKLEKIIAERERAKSGGGRRSKLEDKKTSRHRNSSRGRSKHRRSRKSESEDECKEKRSKDNKKSRRKKDESSDQDSESDSDRKSHRKSRKDRKKRRTRSRKDDSPDKDESGGEDGKRRRTHKRRHHRRDACDSDSAGIESPHDRKRSSKQKRHRRSESCGFDKDEGLGQQGAKRSGEKSREHK* >Brasy5G070500.1.p pacid=40078126 transcript=Brasy5G070500.1 locus=Brasy5G070500 ID=Brasy5G070500.1.v1.1 annot-version=v1.1 MPRLGDAASRPAEDHVIISATPEMQQEAALLSTNAAVAWLNGAREDVSTAAVVEAFATTFGARPADISVVRHYPEQFFVRFIHQHHCTDAIARRDFPFRDFHVQVRPWRLEANADNVDMLHHVQLCLEGIPLHAWNDHVVSKVIGKACSLDYIENRSRNKTDTRTLGLWAWTENPSAIPKVKWITLPARGQRARGRRGLRHRVLIHLAIVEDYSGSGDGPPPPPHEFQWEYGVIDGQGRRRDYREPPRRGDDRRDRHDDHRRDRRDDGNGGRRGRDDTRGQGGWRERLQRSLSRAPRDRSRDQVDGRRHQAAPPTLLLGLGSMGPASASSRGRSPLRSRSPGTSRRRSAAARTPPVSPVAPSSPTSVLPMTSPEPKGRSETSMMLPRAGNLFQVQLSGAADCSVGLQRVIRILTPPRLIPLLPSSGGTPKYPPGFENECIDSTPAATTESTPSAATPPLVPTEPTTPTNRVSLFVPAPAALLESPAAGSSRPLPPANRRKTFAGGFTVRRSSIRIKSKHRETPIAKMAEKNLCRRLGIIGKEEQVTDQAIESFVKLFQQQLPSTAIAALRALFRLDCVHAEAVEEALIRHGGQGAMDQEVHLEASSA* >Brasy5G052300.1.p pacid=40078127 transcript=Brasy5G052300.1 locus=Brasy5G052300 ID=Brasy5G052300.1.v1.1 annot-version=v1.1 MSNMEAGDAAVRILSRRMVRPWINGDMPPPPSEDIHLTPWDLRLLTLGYMQKGILLPKPPVSNGESLVDTLASSLSRALGRYYHFAGRLAVEAHGDGSINVPLRCTGEGAELVHAAAPGVAVADIAGSLYAPSSVLSEFFPLKGVLNVDAAMDPSLPVLSAQVTELQDGVFIAMSMNHSVGDGTVFWELFNSWSEINRGKGDENNEPVLQHGRWFVDTSPVPIPLPLSSLRRHVVEPPPPVQRLKECFFDFSAASVKKLKAQANAEMPTMGTGTAATTISSLQALLAHLWRAVCRARRLQPAQETSYVLAMGCRGRVSAIPVAGYVGNAVAPWKASCAAGDVLDRGLGWAAWQLNRGVASFDEAGMGEFLGRWAGEPAFASLGTVSGAGAGLGTGGSPRFDVFGNDFGWGKPLAVRGGAGDWTDGKATVYEGSEGGGSMSLDVCLKPDAMERLVADHEFMDAVTLPAGRVNCSAS* >Brasy5G145300.1.p pacid=40078128 transcript=Brasy5G145300.1 locus=Brasy5G145300 ID=Brasy5G145300.1.v1.1 annot-version=v1.1 MAPPPGFLEVRCAGCGETLEVEHGLTEFGCPDCGMAQALPPELMPPRPRRALPLPGRGAPYAAVTAPARIACGGCGSVLSVPHGSGRFACPLCGTELASTPLAAVPVVVTPAAVPISSTWPAKPSEVVDGLSSQSAHAGTVQKPIHSEQVLDRPSSQSARAGTVQKPIHSEQVLDGPSGQSVRAGTVQKPIHSQQTYEQRPKHYFGDESFNSFRADTRTQIASVRRLQNEPPNPSIHREESHIEPLRPGKKKYRFVVGPKYGQAGNVQEDYHPIQVVHASEAQGKPSKSSVHMNQIEGGFLDDAITVHDKQKTKHVVVPSAIEHEQSGQSENEDHHTNHVAEAQGKPSKSSVHTNQVEGGFLNDAITVHDKQKTKHAAVPSAIEYEQISSLHRVVDEKQGGEIPSDVVHLEHDKVDFSCESSEKNKKSAKNTKGNQKRRNKSLMNDPKEWCHLRRSKRLSKGSPDRIDTEPIQKRVASPNQNQSEARQIESTVADPDPSSPTRYQCPQAGSSELDNVDTTTPPTLNHGMLQTELSPRFYSQMYSPETGWALPNPSSNSWHEHESPQKSFNGIDLLDRSDEGVCSNPSENQNQYMDGQVAGAACSGKNHSEQVQFKSHSKNFAENGRQINLAASCSRLAALLPVPGAATLPTIASLSSVEELPCSSPTTPHHQPQPAIYSQDAQCGDMLSGSLTESSKKRRGRRPAVLMEPRKEADRPVLTPNGTDNWSVQPPCPKVSNTLSILIKQNYPGTYVSVDTDGKPCELVVHYWHQYPSAVKATVLDEFLKRYKWPPAREEECQKILDRRAVRQLVNLFCYEKQRVREELAAKNSKTTSVGRASGEMALATGGGREDSRERQGDESVVLIEPDDPQNWKPFVPEWMQPTWWEMLCDHWAKDEFMKVSYQKRKNRNAGNHPCNTAGSRSIVMHQNLMDTTYHTEKSRDTMRDLHPVQVQVGSSKRGMYYDTPVVSKKAQIDSSKSSPDCFSKQVQQPKFTQEQVQQMIHQALEGLNKTWEKKFLSLEQNIRRTSSSSSSHMVPVSAKGSVVAVAWDKQCQLARQDTLDSLEAEKDPSVRDEDEDQDDHWS* >Brasy5G145300.3.p pacid=40078129 transcript=Brasy5G145300.3 locus=Brasy5G145300 ID=Brasy5G145300.3.v1.1 annot-version=v1.1 MAPPPGFLEVRCAGCGETLEVEHGLTEFGCPDCGMAQALPPELMPPRPRRALPLPGRGAPYAAVTAPARIACGGCGSVLSVPHGSGRFACPLCGTELASTPLAAVPVVVTPAAVPISSTWPAKPSEVVDGLSSQSAHAGTVQKPIHSEQVLDRPSSQSARAGTVQKPIHSEQVLDGPSGQSVRAGTVQKPIHSQQTYEQRPKHYFGDESFNSFRADTRTQIASVRRLQNEPPNPSIHREESHIEPLRPGKKKYRFVVGPKYGQAGNVQEDYHPIQVVHASEAQGKPSKSSVHMNQIEGGFLDDAITVHDKQKTKHVVVPSAIEHEQSGQSENEDHHTNHVAEAQGKPSKSSVHTNQVEGGFLNDAITVHDKQKTKHAAVPSAIEYEQISSLHRVVDEKQGGEIPSDVVHLEHDKVDFSCESSEKNKKSAKNTKGNQKRRNKSLMNDPKEWCHLRRSKRLSKGSPDRIDTEPIQKRVASPNQNQSEARQIESTVADPDPSSPTRYQCPQAGSSELDNVDTTTPPTLNHGMLQTELSPRFYSQMYSPETGWALPNPSSNSWHEHESPQKSFNGIDLLDRSDEGVCSNPSENQNQYMDGQVAGAACSGKNHSEQVQFKSHSKNFAENGRQINLAASCSRLAALLPVPGAATLPTIASLSSVEELPCSSPTTPHHQPQPAIYSQDAQCGDMLSGSLTESSKKRRGRRPAVLMEPRKEADRPVLTPNGTDNWSVQPPCPKVSNTLSILIKQNYPGTYVSVDTDGKPCELVVHYWHQYPSAVKATVLDEFLKRYKWPPAREEECQKILDRRAVRQLVNLFCYEKQRVREELAAKNSKTTSVGRASGEMALATGGGREDSRERQGDESVVLIEPDDPQNWKPFVPEWMQPTWWEMLCDHWAKDEFMKVSYQKRKNRNAGNHPCNTAGSRSIVMHQNLMDTTYHTEKSRDTMRDLHPVQVQVGSSKRGMYYDTPVVSKKAQIDSSKSSPDCFSKQVQQPKFTQEQVQQMIHQALEGLNKTWEKKFLSLEQNIRRTSSSSSSHMVPVSAKGSVVAVAWDKQCQLARQDTLDSLEAEKDPSVRDEDEDQDDHWS* >Brasy5G145300.4.p pacid=40078130 transcript=Brasy5G145300.4 locus=Brasy5G145300 ID=Brasy5G145300.4.v1.1 annot-version=v1.1 MAPPPGFLEVRCAGCGETLEVEHGLTEFGCPDCGMAQALPPELMPPRPRRALPLPGRGAPYAAVTAPARIACGGCGSVLSVPHGSGRFACPLCGTELASTPLAAVPVVVTPAAVPISSTWPAKPSEVVDGLSSQSAHAGTVQKPIHSEQVLDRPSSQSARAGTVQKPIHSEQVLDGPSGQSVRAGTVQKPIHSQQTYEQRPKHYFGDESFNSFRADTRTQIASVRRLQNEPPNPSIHREESHIEPLRPGKKKYRFVVGPKYGQAGNVQEDYHPIQVVHASEAQGKPSKSSVHMNQIEGGFLDDAITVHDKQKTKHVVVPSAIEHEQSGQSENEDHHTNHVAEAQGKPSKSSVHTNQVEGGFLNDAITVHDKQKTKHAAVPSAIEYEQISSLHRVVDEKQGGEIPSDVVHLEHDKVDFSCESSEKNKKSAKNTKGNQKRRNKSLMNDPKEWCHLRRSKRLSKGSPDRIDTEPIQKRVASPNQNQSEARQIESTVADPDPSSPTRYQCPQAGSSELDNVDTTTPPTLNHGMLQTELSPRFYSQMYSPETGWALPNPSSNSWHEHESPQKSFNGIDLLDRSDEGVCSNPSENQNQYMDGQVAGAACSGKNHSEQVQFKSHSKNFAENGRQINLAASCSRLAALLPVPGAATLPTIASLSSVEELPCSSPTTPHHQPQPAIYSQCGDMLSGSLTESSKKRRGRRPAVLMEPRKEADRPVLTPNGTDNWSVQPPCPKVSNTLSILIKQNYPGTYVSVDTDGKPCELVVHYWHQYPSAVKATVLDEFLKRYKWPPAREEECQKILDRRAVRQLVNLFCYEKQRVREELAAKNSKTTSVGRASGEMALATGGGREDSRERQGDESVVLIEPDDPQNWKPFVPEWMQPTWWEMLCDHWAKDEFMKVSYQKRKNRNAGNHPCNTAGSRSIVMHQNLMDTTYHTEKSRDTMRDLHPVQVQVGSSKRGMYYDTPVVSKKAQIDSSKSSPDCFSKQVQQPKFTQEQVQQMIHQALEGLNKTWEKKFLSLEQNIRRTSSSSSSHMVPVSAKGSVVAVAWDKQCQLARQDTLDSLEAEKDPSVRDEDEDQDDHWS* >Brasy5G145300.2.p pacid=40078131 transcript=Brasy5G145300.2 locus=Brasy5G145300 ID=Brasy5G145300.2.v1.1 annot-version=v1.1 MAPPPGFLEVRCAGCGETLEVEHGLTEFGCPDCGMAQALPPELMPPRPRRALPLPGRGAPYAAVTAPARIACGGCGSVLSVPHGSGRFACPLCGTELASTPLAAVPVVVTPAAVPISSTWPAKPSEVVDGLSSQSAHAGTVQKPIHSEQVLDRPSSQSARAGTVQKPIHSEQVLDGPSGQSVRAGTVQKPIHSQQTYEQRPKHYFGDESFNSFRADTRTQIASVRRLQNEPPNPSIHREESHIEPLRPGKKKYRFVVGPKYGQAGNVQEDYHPIQVVHASEAQGKPSKSSVHMNQIEGGFLDDAITVHDKQKTKHVVVPSAIEHEQSGQSENEDHHTNHVAEAQGKPSKSSVHTNQVEGGFLNDAITVHDKQKTKHAAVPSAIEYEQISSLHRVVDEKQGGEIPSDVVHLEHDKVDFSCESSEKNKKSAKNTKGNQKRRNKSLMNDPKEWCHLRRSKRLSKGSPDRIDTEPIQKRVASPNQNQSEARQIESTVADPDPSSPTRYQCPQAGSSELDNVDTTTPPTLNHGMLQTELSPRFYSQMYSPETGSDEGVCSNPSENQNQYMDGQVAGAACSGKNHSEQVQFKSHSKNFAENGRQINLAASCSRLAALLPVPGAATLPTIASLSSVEELPCSSPTTPHHQPQPAIYSQDAQCGDMLSGSLTESSKKRRGRRPAVLMEPRKEADRPVLTPNGTDNWSVQPPCPKVSNTLSILIKQNYPGTYVSVDTDGKPCELVVHYWHQYPSAVKATVLDEFLKRYKWPPAREEECQKILDRRAVRQLVNLFCYEKQRVREELAAKNSKTTSVGRASGEMALATGGGREDSRERQGDESVVLIEPDDPQNWKPFVPEWMQPTWWEMLCDHWAKDEFMKVSYQKRKNRNAGNHPCNTAGSRSIVMHQNLMDTTYHTEKSRDTMRDLHPVQVQVGSSKRGMYYDTPVVSKKAQIDSSKSSPDCFSKQVQQPKFTQEQVQQMIHQALEGLNKTWEKKFLSLEQNIRRTSSSSSSHMVPVSAKGSVVAVAWDKQCQLARQDTLDSLEAEKDPSVRDEDEDQDDHWS* >Brasy5G430800.1.p pacid=40078132 transcript=Brasy5G430800.1 locus=Brasy5G430800 ID=Brasy5G430800.1.v1.1 annot-version=v1.1 MADLEPPNGAARNVPEEGVVGAGTARGGGHGGGQRMLRHALPAPPRAFSSGLYVSHPQNPRPSHLWPSPPRPVVPSSGRSSRRRFLLWSRPRQPRRPPRPPPAAGAAAAPAPSTGRRLLCSPHALLLCSPRSLLGPPAPLQLPPPVLQPPLHLLRRRDGSGEAVVGEVEALEAGHGRKLQRDLPLELPPEARAAGSRDSSRGRRIGSSIHESRQIDSLNRSVYS* >Brasy5G010500.1.p pacid=40078133 transcript=Brasy5G010500.1 locus=Brasy5G010500 ID=Brasy5G010500.1.v1.1 annot-version=v1.1 MLSRKDFFFEGVKGKEFLENRSRKKGVGLPGPPPSASASLFLPAPFPSDVPPPAIGIDAHQIDGAPPRLRLSDGASLAW* >Brasy5G022500.1.p pacid=40078134 transcript=Brasy5G022500.1 locus=Brasy5G022500 ID=Brasy5G022500.1.v1.1 annot-version=v1.1 MGSVKTGSNSKPDAFTRRGQAWFCTTGLPSDIIVEVGDMSFHLHKFPLLSKSDILGRLIEESPDQDECIIKLSDIPGGAKSFELVARFCYGVKVELSPANVVHLRCAAEYLQMTEETAEDNLINQTETFFNQVVLRSWKDSLEALKACDALLPHAENLQIAKRCVESLAAKASIDPDLFGWPVSEHGVMHIPGGSILWNGISTGAKLGNCNSDWWYDDASSLSFPTYKRLISTMESRGTKEEIIAGSLTYYARKCLPGLNRRQSTGLVPLTASASLSEEEQRRLLEDIDGMLPLQRGLVSTNVLLWLLRTAMILKVNRACISNLEKRVGMQLDKATLEDLLLPNFSYTMDTLYNVECVRRILDHFLAMEQTMGSGSPCLDDVMDSPSLAPIAAVAKLIDGYLAEIAPDINLRPPKFQSLAAALPEYARPLDDGLYRAIDVYLKAHSSLPESEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLMVSDNLEGSSRPLRCSGATATSGEAGAGWATGAAVKENQALRVGMDSMRQRLVELERECSGMRQDIRKLGGAAAVKDGWAARVQRMFSLKMKLQMCSTEEGKMSERHRSASAKLEKLQAKVAKHKEHLSIDA* >Brasy5G409600.1.p pacid=40078135 transcript=Brasy5G409600.1 locus=Brasy5G409600 ID=Brasy5G409600.1.v1.1 annot-version=v1.1 MEANVVNTVTAIFTVFLISRAIWHLVWKPYTVTRWFERQGIRGPPYRFVVGSLLEIKRMVVAGRAKAPLDTGCHDYTPLANPFFHKWSPTTAHRRLATPLVILLLVPVDILPVSVSRTSRPKMYTSASCDSRGGGA* >Brasy5G502700.1.p pacid=40078136 transcript=Brasy5G502700.1 locus=Brasy5G502700 ID=Brasy5G502700.1.v1.1 annot-version=v1.1 MGRGVYVIAAYVVFLVVMISWLSYRFIRYEVLPDERLRRQRKAAAEAKADVALDVLAHVSFQRSTPRRQGGGDNGSAAAEEEEQCAVCLGKMEDGEMCCVLPACGHEFHRDCMAEWVQKAKKNTCPMCRVQVKKKPCVVERPELEQVLSDSTVIDIDRIAS* >Brasy5G110800.1.p pacid=40078137 transcript=Brasy5G110800.1 locus=Brasy5G110800 ID=Brasy5G110800.1.v1.1 annot-version=v1.1 MSSAEDQKPADPPSAAALDDQDEDQLVDEDAAIVELVTELKEEGTTLFRRRDYDGAAFMFDEAIRLSPRCAGAAPSSARPQSRNQLDEEIASLHSNVAACYMHMGTDDDEDRHYRQAIERCNMALEASPRYAKALLKRARCYEALDRLDLACADVRAVLGLEPNNVVALELRDSLREEMEEKKLLLEQEARSLDDLIGLISAASQDGDRSGKTNEQPNGGANYGLLQDTQEIIHDDEHTGYDASGGEEAHSSLSEEEHAGEIDQLDIKEEEGAGTDGDALEKENAGQIAVGCEARKESTDSGHNVGVDYEATKESTDSGQNVVVDYEATKESADSSQNVVVDCEATKESSDSGTQVDGTQDNHEAVVPSPDLSIPEHDRVDQ* >Brasy5G069000.1.p pacid=40078138 transcript=Brasy5G069000.1 locus=Brasy5G069000 ID=Brasy5G069000.1.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G069000.5.p pacid=40078139 transcript=Brasy5G069000.5 locus=Brasy5G069000 ID=Brasy5G069000.5.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G069000.6.p pacid=40078140 transcript=Brasy5G069000.6 locus=Brasy5G069000 ID=Brasy5G069000.6.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G069000.3.p pacid=40078141 transcript=Brasy5G069000.3 locus=Brasy5G069000 ID=Brasy5G069000.3.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G069000.2.p pacid=40078142 transcript=Brasy5G069000.2 locus=Brasy5G069000 ID=Brasy5G069000.2.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G069000.4.p pacid=40078143 transcript=Brasy5G069000.4 locus=Brasy5G069000 ID=Brasy5G069000.4.v1.1 annot-version=v1.1 MTGAGRPAETSGIGHEDEGKGGVKREQAQIQGSTMPDQMLGYEASGKRQRTELESSLTSGDEEDKQHTTNRVLGGEEEKDEISSTYSSPLCEPYIPDEIYERCTDEIFDAYSPIMNKYREKKVRQMKLPTLEHFRESRLLCDPHLLPIREVGVKAVLSAAKFVLGISSFVDDEPLHRCSGFWIDWNEEKKTGIVLTTAHLIRVGHPSLDRWLGEDKYAYNARVMVLLLDDSVVEGVLLYHQKHYDLALFSVAVGKPVQLPSFSEELSSGQDIFQLGRDENLNIRITHGRAEYSNPIMCERFHYIYVYRPDEDSKLDDGGAVIDINGKVVGIINNSRRGSFIPSSILLQCLHLWRKFKCIPRLHIELKFESIKLLGPVHAEKVSRKLGIKDGLIVQEVSNGSNAEKLGIRRGDVIESVNGECISTTIELENLLLNLCKGYFECGYGLNSKVELSIQVFHVRQCLQKTRSLIANVSDEGEVVKRGSRPFIIDEDATPLLPLKLNLERGAYHLDEEDEFLFEFEDEDEDGVNLI* >Brasy5G000900.1.p pacid=40078144 transcript=Brasy5G000900.1 locus=Brasy5G000900 ID=Brasy5G000900.1.v1.1 annot-version=v1.1 MGEIYTTPYAGGSMRSVLAVPKPPAQNTSIEGTSALAVLKPLGLRGAEKKSGARGAENKKEKGGAHRPHQP* >Brasy5G112200.1.p pacid=40078145 transcript=Brasy5G112200.1 locus=Brasy5G112200 ID=Brasy5G112200.1.v1.1 annot-version=v1.1 MKQEASEMGGGKAGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSDAGKTRPLRELPGAKERLSIWRADLSEEGSFDEAITGCTGVFHVATPMDFESKDPEKEVIKPTVEGMLSIMRACKEAGTVRRVVFTSSAGTVNVEDRQRPAYDHDNWTDLDFCRRVKMTGWMYFVSKSLAEKAAMEYAREKGLDLISVVPTLVVGPFISAGMPPSMVTALALITGNEAHYSILKQVQLVHLDDLCDAMIYLFEHPDARGRYICSSHEDTIHGLARMLRQRFPEYRIPEKFAGIDDDIEPVHFSSKKLLDLGFRFRYSPEDMFDAAVRTCREKGMIPVRDRPAHAAGR* >Brasy5G481800.1.p pacid=40078146 transcript=Brasy5G481800.1 locus=Brasy5G481800 ID=Brasy5G481800.1.v1.1 annot-version=v1.1 MSHRTTGRLPNPLFSERRRRCSLRFAPPTPPPPPRRHSSRPAQSTPTPRAYLHPPLGCAASLLRPNPRRRIRAPKALRGWKWPPRPR* >Brasy5G179500.1.p pacid=40078147 transcript=Brasy5G179500.1 locus=Brasy5G179500 ID=Brasy5G179500.1.v1.1 annot-version=v1.1 MKLLFILFSQVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERIEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKSKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >Brasy5G482600.1.p pacid=40078148 transcript=Brasy5G482600.1 locus=Brasy5G482600 ID=Brasy5G482600.1.v1.1 annot-version=v1.1 MSSLEEPLGLGDLPKLSINRLGGFCQSGCRSARADDRSNGNYTHSNGSDNQTLFHSTSHSWHLQGNYTDSSCNGIDMEFRALPRKVSWDLPRFVKIVEVGPRDGLQNEKNIVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLEGVQYAPDVRLPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNINCTIEESLVRYRDVTSAAKKHGIRVRGYVSCVVGCPVEGAVHPLKVAYVAKELYDMGCAEISLGDTIGVGTPGRVVPMLEAVMSVVPVDKLAVHFHDTYGQALANILVSLQMGVSIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNINLGKLMDAGDYICKHLNRTTGSKTAAALCKLTA* >Brasy5G259200.1.p pacid=40078149 transcript=Brasy5G259200.1 locus=Brasy5G259200 ID=Brasy5G259200.1.v1.1 annot-version=v1.1 MPSCSKTTTTTTEPVHVEGSSVRTYVRSASSHPSPPADPSLTRTHHPRSHPQAAAGPIMPAAPALLRRRAAPAPALLRPRLPSALHIRLSPREVATGRRGPCTLLPELSSAALSTSSLPRATLLPASDLRYFHLEPSY* >Brasy5G015700.1.p pacid=40078150 transcript=Brasy5G015700.1 locus=Brasy5G015700 ID=Brasy5G015700.1.v1.1 annot-version=v1.1 MAKRRRCDDCGGKRSDSEPPAKLQQRRKHLYLVLDDWDKGFSLHKLDVLGFFFSSDSDEDDDDEQKQQLRRLPDPPALRLTETRHSPMLFAALGSSVFIASMEPCSAQATGALVYDTATAAMAIGPRLPEDPCGLFVACAGGGGGEKKKRKKKKLYALAYVEHEGTQRVSVQALSWARSAAAALEEEPWLPSHGWSWETLPAAVAPFDADEESVASYAAHPDGRTIFFSTRRRRCDRSRRVGTYSLDTESGEWRSHGEGWVLPFQDQGYFERELGAWVGLHKDGYICACQAADTGSGTAPEWRKTECKLFRRHEDDDDPKRRRHLSATLTYMGNNRFCLVESAVRDDVDLRHTYYGGGHGCALHVTLFGLKYSREGELQATVRRTTTSYKVSKYIPGFYHQAFWM* >Brasy5G280100.1.p pacid=40078151 transcript=Brasy5G280100.1 locus=Brasy5G280100 ID=Brasy5G280100.1.v1.1 annot-version=v1.1 MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVERFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFSMINNLSTIYEVVTGTAKKQVKEKNPKSSSKSNKSGTKSFGSKSLPQPSRQPEPNSRGPKMPPPKDEDDSGGEEEEGEEHENALCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA* >Brasy5G280100.2.p pacid=40078152 transcript=Brasy5G280100.2 locus=Brasy5G280100 ID=Brasy5G280100.2.v1.1 annot-version=v1.1 MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVERFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFSMINNLSTIYEVVTGTAKKQVKEKNPKSSSKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEGEEHENALCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA* >Brasy5G280100.3.p pacid=40078153 transcript=Brasy5G280100.3 locus=Brasy5G280100 ID=Brasy5G280100.3.v1.1 annot-version=v1.1 MGHLCCARRHVQQQKRLFSMINNLSTIYEVVTGTAKKQVKEKNPKSSSKSNKSGTKSFGSKSLPQPSRQPEPNSRGPKMPPPKDEDDSGGEEEEGEEHENALCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA* >Brasy5G280100.4.p pacid=40078154 transcript=Brasy5G280100.4 locus=Brasy5G280100 ID=Brasy5G280100.4.v1.1 annot-version=v1.1 MGHLCCARRHVQQQKRLFSMINNLSTIYEVVTGTAKKQVKEKNPKSSSKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEGEEHENALCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA* >Brasy5G322300.1.p pacid=40078155 transcript=Brasy5G322300.1 locus=Brasy5G322300 ID=Brasy5G322300.1.v1.1 annot-version=v1.1 MEKGSAAGGSARPPPMPSLEDFPFEGKKPVKNPFVPIGALVTAGVLTAGLVSFRYGNSRLGQKLMRARVVAQGVTVALMVGSAYYYGDQIKLFKKGSSP* >Brasy5G206600.1.p pacid=40078156 transcript=Brasy5G206600.1 locus=Brasy5G206600 ID=Brasy5G206600.1.v1.1 annot-version=v1.1 MAGIERERLLELAAGYGFDRGLAADCIATLLNLYGADGQGFITVENCGDDFLGALADATQDTDDWDDLNAIETEACGNLNEMMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRNGDNFKSETFKVAMDQAKKMQAYCELKTECRRQTLLAHFGEQYDRQRCKDGPSPCDNCLKTAS* >Brasy5G206600.3.p pacid=40078157 transcript=Brasy5G206600.3 locus=Brasy5G206600 ID=Brasy5G206600.3.v1.1 annot-version=v1.1 MMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRNGDNFKSETFKVAMDQAKKMQAYCELKTECRRQTLLAHFGEQYDRQRCKDGPSPCDNCLKTAS* >Brasy5G206600.6.p pacid=40078158 transcript=Brasy5G206600.6 locus=Brasy5G206600 ID=Brasy5G206600.6.v1.1 annot-version=v1.1 MMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRNGDNFKSETFKVAMDQAKKMQAYCELKTECRRQTLLAHFGEQYDRQRCKDGPSPCDNCLKTAS* >Brasy5G206600.4.p pacid=40078159 transcript=Brasy5G206600.4 locus=Brasy5G206600 ID=Brasy5G206600.4.v1.1 annot-version=v1.1 MMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRNGDNFKSETFKVAMDQAKKMQAYCELKTECRRQTLLAHFGEQYDRQRCKDGPSPCDNCLKTAS* >Brasy5G206600.5.p pacid=40078160 transcript=Brasy5G206600.5 locus=Brasy5G206600 ID=Brasy5G206600.5.v1.1 annot-version=v1.1 MAGIERERLLELAAGYGFDRGLAADCIATLLNLYGADGQGFITVENCGDDFLGALADATQDTDDWDDLNAIETEACGNLNEMMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVVLIFSVLLSTTPCRNR* >Brasy5G206600.2.p pacid=40078161 transcript=Brasy5G206600.2 locus=Brasy5G206600 ID=Brasy5G206600.2.v1.1 annot-version=v1.1 MMGKGVPHEKGGVAANTPLSERANTSSQHTPNNPKTLSFSSDDDSDFEMTTHGDSSFGTQKKAQPRNSKVQTRSSAKSTVTKGSNRYESPTPTSSKERRPNTFHQKRETLSYEQLSCLDDLNLANAVIFGNKSFRPLQYEACSAALNNRDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQASFLNSQQTSSQASVVMQELRNGTPSFKLLYVTPERMAGNFSFMEILRGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRALGCLKQNFPRVPIMALTATATEAVRKDVLSTLRVPNALVLKRSFDRLNLNYMVIGKTKTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHRGEVKVICATIAFGMGIDKPDVVLIFSVLLSTTPCRNR* >Brasy5G302900.1.p pacid=40078162 transcript=Brasy5G302900.1 locus=Brasy5G302900 ID=Brasy5G302900.1.v1.1 annot-version=v1.1 MCYKLRSNSSHDCRKIRRVSIRMLTTSSPRTCRPAFSLSARTSSSSITANSKVKGPSLLSLSYPVSVGGETGHFLKPLFQELQRQLSLRIDDASQVMKNAPHRLLDAIVDSTFKFTAQALLPSESNFAPVDEIGGSIEILQIEGEIPGDFPEGVYIRNGSNPLFGALHSTMSVFGESNEIWVEGEGMLHALYFTKNCSASWSVSYANRYVQSETLKIEKARQKPCFLPAIEGDSAAIIAAYILNYLRFGKVNKNISNTNVFEHAGRVYAVAESHQPQEICIQNLETGNTWDIHGEWDRPFTAHPKVAPGTGELVIFGSDAKKPFLVVGVVSDNGTKLKHKVDLKLDRSTLCHDIGVTLKYNIILDLPLTIDIGRLTTGGQLIEYEKESYARIGIMPRYGDTESVIWFDVEPFCMFHLTNCFEDGEEVIVQGLRSADSIIPGPRLRLSKHDMPPERSELTRYGKTMKQGINEKLFSRLYEWRLNLKTKTISGEYLTGTEFSLEFPMINNHYTGVHHSYAYAQIVDSLTRPDGSHEKVLPKYGGFAKICLADRHNVNTETSEEDLIRMEKHWLGEDQFCSGASFVPRVGGSHEDDGWIISFVHNERTNTSQVHFIDTQRFEGAPVAKITLPQRVPYGFHGTFVHTNL* >Brasy5G521300.1.p pacid=40078163 transcript=Brasy5G521300.1 locus=Brasy5G521300 ID=Brasy5G521300.1.v1.1 annot-version=v1.1 MMTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCERTVTDKNTEKKHKTQKVKSKALTVETKKHLASVRVIQRNLVYIIGLPANLCNESVLERREYFGQYGKVLKVSVSRPTGAPSQQTSTNNGISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASTVSQRRSGTVLPSPADDFSYSAVVSAKHTIKNGTSNTTGQSRLSPPNSSSGRSTLPPATSWGHRELNTRTPATEVTSSQSLIKSKSETHSNSFPSSSMIPSARLPSSWNDDTSTVPKMTEGRHLSERDSLSKTLKPYRPGIAKETQAVTSLESSLDIDFSTIPSAWNDDEVVASDEPLKGSEKKQVVSGQLVPSASLKPTESSQPASKLLTSPKNDTTLNISKQSVLDCVSRSAISNSDVKSGDGDYQVTNMGAKTLRAMNSQPNQTASESRPVDTEVEKLSVGVSSVTLDSKDKVQIMAEKQQLDAILNTSVVVPSSQNSNKEPSHSKLAGFLSSENKDSALSCQSTADKHLDWSSELQSRGVTSRLDDIWNSSVATDKPHARMLDTADQALSSSYVHTANTPHISLWNDKEINRTSTSDGTSSTMTQTGLSSSTDNTSALLNLRQEGLGTMYAPGMVSEHSGLRSHQHGALDAVRTDNIGSFGKAVGGNKDEGSIISDILSLEFDPWDESYSTANNFAKMLNESEKNDVLFNGPSWKSKGSSNESRFSFARQDNQRNFPDSSFRNCGGEQNFSLLSQNSHGNVYQNGIAFQSPEEDFPKSNPLTMSDMATAGTSRSKISAPPGFSAPARVPPPGFSSQDGLNPPPGFSSGFSSQDMLNPHGFSSGFSSQAGSNPPLGFSSQAGSNPPRGFNSGFSSQDGSNIPPGFSSAFSAGFSSQNGSNQAYGSTYSETRLLDNLFGSHTNQYQPQISRHTSDIEFIDPAILAVGKGRMPGVSDSGLDMKNAPFPAQLQTSNNDPRLQLLMQQSMPSHQNLRYTDHVQDAFNPMNDNYLASRLLQQNHGSLSPYAQMSLQQPRNSQLANGHWDGWSDLRQGNNVPMSDMSRMLYPSEASNFHMLGSNDMYNRTYGL* >Brasy5G147700.1.p pacid=40078164 transcript=Brasy5G147700.1 locus=Brasy5G147700 ID=Brasy5G147700.1.v1.1 annot-version=v1.1 MVAMGAEEDERPVHQGCMAGFLHLFDRPQILSGKRLYHQPRRLLSSSSGSATPSEMSMPLGRGSERATPLPSSPDMTPPAAPRPSLQLPPLDLKDRGGGGGAAAASWRLPRLSLDSRAVVDARGKLRPRDIRTSSPAGAAPPSPGMGGDERRSPSVVARLMGLDALPHGAGDEEDEGVTQNEHVAPRGGGLRRSASERVSSGTRDPARFRFVDPSFFEKPAPPVHQPPPQSQRPTTTEVALQRRSSPEMPVSHHRAAALQRRSSHFDAREVFPEPAKAAASGGAGQGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHHHAATNPPPSISARSHLPPPIVVMRPSSRPPQPPLARTSSPTRRLRVDVENARRPRSPDRAASPARSPASPARRGPQSPQRRVSPAQSPKQQLLKKPSNTELHGVRSRIARRAAHNAATLSPPEDDGSTTFSDGGSSSSLSASASSRWDFEQRARLDVDPGADRGLLERCGKLLSSIQAFTSAGDAAADQQPSPVSVLDAAAFLADEDSPSSSGSKRGIHFRAAPSPRPVAACEPEEEDEEWVPEAAWLSGPEGSASDDPDYAYVAQVVRLLLSCRSKGQDDVYATVEKRCQRGDDTWHHRRLLCGAAAEALDRWRAAHPSDPVAWLRGAELVRHVWNEVQRAMEPPAPAEDLNDLTRGAILRDLSAADRRWTPAAEAADAVLQIERLLFKDLVADTIRELADVDRLRLPRRKLVF* >Brasy5G352200.1.p pacid=40078165 transcript=Brasy5G352200.1 locus=Brasy5G352200 ID=Brasy5G352200.1.v1.1 annot-version=v1.1 MEKELGRARGDLSRRRRGGAPSLGAGRRRRQPRGVSTRGAGVSVLLDQWSARTLKSAQPFDLEAHSPCHLHPRRNTRIRIHALLRFLGTKPQITPPDQKKKKKKPKSPHLEKKPKSPVRSKPPSAMGTEVAPVVDLGALSQSELVALAAASPYAIDRRRGRRDADLLPPPKIDRAVFNESAGSRKQTFSRHRVATNISHNLTPSTASSSTAAPTEADSENRLILFHLQRLFARDDPSYPSPPSIPLQQQTLTAPTIALPAPPDPSPPILDPDRELMNPKGLAVDLGRLAELVDPYGEEIRKRTEGLSLESELLGFMNGLEGQWGSRRRRRKFVDAAVFGDHLPCGWKLILGIKRKERAAWINCRRYVSPKGLQFATCKEVSNYFMSLLGYLGTKPTTVQSNVGVHELSADNSVGLNQQTDSTEEKRSVVPATSVTLSIHSGDSQGHRQKSYKDETRIEAAKKECKKCNLTFQDQSGYVQHQLSFHQRKAKRRRINKPVEMGVGKDGKLETQECQRALEDKHGYFGRDVTDVRSHGSPAELFDGASLENLDAQPSSCAGSRGLPEMTGLPEQDEEPAAGEPFSGHHKDLPQDITGLPQQEKGTSGGDIFFRQHKEPVDNSGDHKIHDGACLVAEESPTFVVASKLSTCKSAEVHEHASSEDLDFPSSDHSESFSRRDETCLIPQQVPPTTDAPGESKHADDPMDYTVTTQLKQVAEHCDLLDRKVSSFPGETNFNDQVENNALSANLDEPDLNSIAMEVDDGKIACNFGGVVHPTISEYDKPVEDQIDCGVKNDEINSDVRIRDVNLNSCLDTIPSPVSSAKCETSDALDDGNRSPIIVQCFNATSGDNVCQDEHFVNQNNVCKPENFVSQNNDMVYQSNLTMNPISPQIGVDYFTSSCSLTSEIKNNGNRCDANAKEQFVNPRNLTSNESGFDAEAYNNDIFNGAITESSLAQLNNAMNMKADFSSCYSISDLNTLTGGTATDAIDFHGMRSSFVSGSTSHNEPNEHCTLDFDIKGSMLEALERSDSDLDNQYNGGDPACDSLPAAGTSGSVDDFLSMHNNFGSLTSLVRAVEGGPMSRMIQDQCDLQLGFGGQKQQMYPSFEEHLRMASAGAPQFGIMGRPNPVPVPEPTLMLGYEPTLMLGYAPQFGGCPPQLGWGDLGVLQSVCVWCNTRFQHFCTAEQQADSVGFICPACKDKTSGHLSILNNGSSL* >Brasy5G394300.1.p pacid=40078166 transcript=Brasy5G394300.1 locus=Brasy5G394300 ID=Brasy5G394300.1.v1.1 annot-version=v1.1 MVVYYCTGLAGKFIFDDQKILMAYQHMRGANSDYRPSLVQYVVHLHPDKRNSSLTTGRLQQLEVVPNSSKAPVGVVSTVPSATAPLSEPDPMFILHWSPKAQLL* >Brasy5G418100.1.p pacid=40078167 transcript=Brasy5G418100.1 locus=Brasy5G418100 ID=Brasy5G418100.1.v1.1 annot-version=v1.1 MGKQQQAPPAAVMSAPPPGRRKRKKKGRPSLLDLQKRSLRLQKLQEEPPAPPPPQQRRPSTRRNPAAGDDSGDDDRREKKVRLVVGLHGGSAKGEKTRTATDGREEPSDSGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYHDIVKDPMDFQTVRKKLDKGAYTNLEQFEDDVFLITSNAMCYNSPDTIYYRQARAIQEVAKKDFENLRQDSDASEPEPEPKPKPKPKPELEPLPEQEPKPQRRRGRPPKNSAKPNIGRPPAERAPPEFPGAALATGGNSGHHAHSGFDLQRRIADVLKASFANRNNEHNWSSERKMESIEDYSGSGSKWSGKTAKKPLLVEESRRSTYYHHQPSSSTYELPVATSYNGTRKILVPVGVQLQQSYSRSLARFAAQLGSAGWEVASKRIEQVIPPGITFGRGWVGDFETSNTFRPPVPTSSSTAMMPPSSTAASSEQQTVDDPASRSHSAGPPHTASCASTDTAQRIDSQALPSQQCGSLPQVSIDRGEHAVETMSSHNVHERAAMHQTVNGFNAVPGSIMFAPTAQLVTSHMQTHTAD* >Brasy5G356900.1.p pacid=40078168 transcript=Brasy5G356900.1 locus=Brasy5G356900 ID=Brasy5G356900.1.v1.1 annot-version=v1.1 MAAAARGRRCRGAVLLLLLPSVLAPLVLYGYSPTSPLPDSTLAKVAFDREDGSNLVWPHMAASEVSLAKDLTIERLGEHKNRVLSATDDWQAVQAARSRSSENSDASVQLKVPVTRDADEMIAEETGSAQSGQEGRIKEVVSSQRNADGFGDPGNAKQAEEQDGLATEVEHTDGSGASTENNVAGIHTTVRSFLKESTADSLSNGTIHATPRESYTRATGINADLPTTTSSAGHSTTSPDVKIRIIKDQLIRAKAYLGFVASRGNHGFAKELRARMRDIQRALGDATNDGLLPQNVHSKIKAMEQTLGKVKRSHDSCSGAVNRLRTALPSLEERLQSHKNEANYLAQIAAKSLPKGLHCLPLRLTNEYYYTNSNNKDFPNTEKLEDPKLHHYAVFSDNVLAAAVVVNSTLVHAKKPANHVFHIVTDRLNYAAMKMWFLANPLGKAAVQVQNIQEFTWLNSSYSPVLKQLGSRSTIDYYFRSGTARPDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQQDLSALWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPIVANNFHPQACGWAYGMNMFDLSEWRKQNITDVYHTWQKLNEDRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYNPNVNERDIRRASVIHYNGNLKPWLEIGLSKYRKYWSRYVDFDQIFLRECNINP* >Brasy5G426100.1.p pacid=40078169 transcript=Brasy5G426100.1 locus=Brasy5G426100 ID=Brasy5G426100.1.v1.1 annot-version=v1.1 MAGPCLNNPLVLLCIAMAIALVADASDPDILTDFVVPAGTNSSLLNGTFFTYGGLVTGNPADPAKFSVSKATVAEFPALLGQSVSYASLFFGPGTVNPPHIHPRASELLLVVKGPLQVGLMDETALKVYEQTLQTGDMFVFPKGMVHFQFNAGAEPARALSAFGGASPGTIPLPAELFESGIDDAVLEKSLHADHATVEALKQDLAPAAPMPAMPPMEPPPAKNGAATACRSAALALLLCFGGALLL* >Brasy5G087300.1.p pacid=40078170 transcript=Brasy5G087300.1 locus=Brasy5G087300 ID=Brasy5G087300.1.v1.1 annot-version=v1.1 MHLHPSMVGGGGCGGGRALRRVDSFPARPSLSRSDAMKKKKNRISKRSRLSAALRELKVAAKARDTNALLQLVLTGHRAGSGSRSGTSSSSSSSCNGGRKTSEAEHSIIADPLGRGGASDGDDIVESGGRPTATATGAGGGARCCGSWTVAALALVVALACVVVLGKAPAICCCTCAAWWCGGGKAAEGRGASDDDSACRRQKDGGGCHGSVTTSVVRRPQAQSRAVEVAGLWVNR* >Brasy5G257100.1.p pacid=40078171 transcript=Brasy5G257100.1 locus=Brasy5G257100 ID=Brasy5G257100.1.v1.1 annot-version=v1.1 MASNAGGDGKEPINEQVVANIYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPTRHCYRMIGGVLVERTIKEVLPAVHRNKEGLEEVVARMKEALEKKKKEITEFELKYKIRIRKGDGNAEEEGSKKEASAQGVLVGPAGQ* >Brasy5G129400.1.p pacid=40078172 transcript=Brasy5G129400.1 locus=Brasy5G129400 ID=Brasy5G129400.1.v1.1 annot-version=v1.1 MQGGEEEQICTAPRRRTEKHMKPITKKVHTPLPLQLRPAAPAEERGRGAAEPNGTRPSTKFLEFLESAGGGVGWEPSGAHVARMPSVVC* >Brasy5G318200.1.p pacid=40078173 transcript=Brasy5G318200.1 locus=Brasy5G318200 ID=Brasy5G318200.1.v1.1 annot-version=v1.1 MACKNSMRRTTSLTEFAPPSVLAVVLEDEDEVKPVTVDGDVEEGRQDWLGGGGHGTAGTAAPGRDWLATYRARAATARAGLRRNSADYSAVETAAFLRHCGLCRRLLGPGRDTFMYKGEAAFCSLECRQQHITHEERKDKCTVKPPINQAAAAASGRGRSGSKTGTGGTVAAA* >Brasy5G237800.1.p pacid=40078174 transcript=Brasy5G237800.1 locus=Brasy5G237800 ID=Brasy5G237800.1.v1.1 annot-version=v1.1 MEFNGRCVIAEVPKRLLCFEFVPNGSLHRYLKGKPCGDEWQVCYKIIKGICQGLQYLHKIRINHLDLKPGNILLDVGMEPKIADFGLSRCFDEEQSRVFTKHIYGTRGFIAPEIINSGEISFKSDIFALGIIMIKLLTGHNDSDIENWHKSLNADCPQVRKCIEIAQACVDDDQHKRPAIGEIIHKLDELETIVDSPAIN* >Brasy5G176200.1.p pacid=40078175 transcript=Brasy5G176200.1 locus=Brasy5G176200 ID=Brasy5G176200.1.v1.1 annot-version=v1.1 MESQGRLLGVGALLDQLHATVTDFYSNRVTRPQGKQWDAGLRRSIARLRDVLEAVLRWQARAEAWYQVPALRYRNYFFRRATASELAKLLQELTPGEFRNNLKGDLIAYGPVDSLELTRRRGVTSSRATFDGRAHFYGPMVGRAEVVEEMLKILLTDEEGGPLILPIMGGPGIGKTRVAEALYEDARVMEKFNLRQWVEVSRQFELFSALATVFGTTNLDETNLKGVIQRFLGGRIRCGLSLTELGNVGGNIARRCADVPLLLEYTAFLLHYKQQESLFLWEQALEGFDGLDKESFSSKEDLGEVLERAYPSYQHLPSHLRNCLLSCSIFPLDYNLSAEELADLFAAQGFIPSTVPTSQRVQFFQQLLDECFYPVPEYEHGNGGSTKRIYRMHKILHIFARYVDRNYSLLMRAHQSSGVPADVSSVRNVLLLMHPSTNSFPDNLVQFNKLKTLNLHLQGEDCSSDEQCGIKEIPQALCQTLRYVEVLSLEATKIRKLPNKFELLSQLRYLNLSWLQQLHGNTCKLACLQKLDLEGCHCLAELPPIMSKMKNLEYLNVIGCASLIQMPPRMGHLTDLRTLLGYISSNNVASVMSELQPLEHLHMLSLESLEKVTDLDEARDAMLQDKEELASLALRWNMDAEHTNITACELLEILKPHQNLKELEIVAYESDKLPSWMTSTEPYLRSLIEIRLANLTSCKTLPPLGLLPCLKIAEISGAETITGIDDSFYGHNGTFPSLEKLTFSYMHNLEGLQRVSSIRRLTIDNCSKLQSLPDWLDTLPSLQVIRLSGCPVLHSIPNGLQEHDNVEIYVQDCPSYQQKHLPF* >Brasy5G359400.1.p pacid=40078176 transcript=Brasy5G359400.1 locus=Brasy5G359400 ID=Brasy5G359400.1.v1.1 annot-version=v1.1 MATTSLFSLPPLRLTRGLLSPSSGPASRFQTLASKKAGAPTVSGPGAGGGGGRGLLSVLDRALTDEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCITVPSLNVAFDIGRGPLFAVRQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIKDDVEELLQIHRRMSRIELEVELVALDLGETYELRNDLVARPFQTHHTVPSQGYVIYSVRRKLKKQYAHLKGTQIVKLKQSGSEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQVDVDHAREHGHMHLSEIMEHSQWFRNEAIILTHFSNRYSLEDIRQAVSRIQPKLLSKVVALTEGFKSEYS* >Brasy5G234100.1.p pacid=40078177 transcript=Brasy5G234100.1 locus=Brasy5G234100 ID=Brasy5G234100.1.v1.1 annot-version=v1.1 MVERTTPQQRLKEGDGALGRHRCRLRSATRGMAFARRSLPTRHQLAHLHLHPLQHLQPEQIWPNLAGSGRRPPPPRRGALQPHHPTTRPREPPELTPPTPPSRTTPPRRRAMPPAPGRRAPLEAARTAPPGKTPSGGKQPPPAAGSRSSATTRAKPATSPARTLPVRALPATKPARTSPEKTHREPPNCTPPHGQIEGPRTGSGPGLHGSVPSACRSEPPAATCRRAEPCRCRAVGGEKQRERSAAAEEGPAAAVPARALPGGDHWRRRGGRGRLGEVVRRRGWGAAARVALCRATRGLPK* >Brasy5G120700.1.p pacid=40078178 transcript=Brasy5G120700.1 locus=Brasy5G120700 ID=Brasy5G120700.1.v1.1 annot-version=v1.1 MDLAMPTATSTSPAEPEPEMAMSLPPSMIPACDPQDGPACMKLIEDLTTHAGGVQRRVLKEILARNSRTDYLRGFLGVDADADADADAEHGAAFFRERVPVVEYEDVKPYIERIANGAPSSLICAAPITELLTSSGTSGGQPKLMPATEEELDRKTFMFSLLVPLMNSHVPGLDQGRGMYLLFVKPEITTPSGLVARPVLTSYYKSRHFRDRPDSPYTRYTSPDEAILCPDSQQSMYAQLLCGLSRRGEVLRAGAVFASAFLRAIKFLEAHWRTLCADIRSGRVLQSASRVHDPACLDAVSKVVAMPDPALADAIEAECSGSSWRGIVRRLWPRCKYIDVVVTGSMAQYVPMLEFYGGGLPLVSTMYASSECFFGINLRPLDRPEDVAYTLLPNMCYYEFIEVEKDGEEVREGEMVGLVGVRLGCYYELVVTTFAGLYRYRVGDILQVSGFHNAAPQFRFVQRRNVVLSVDTDKTTEDDLLRAVTAAKPLLAPLRRLLSEYTAYADASSIPGHYVLFWELTPPPPLDAAAADDEEEEEEEEEASRFARVMAACCASVEAGLDAVYRRCRSRDRSVGPLEIRVVSPGAFDALMDLCVSAGSSVNQYKTPRCIKHPDAIAVLQACVVGRFFSDAVPHWEPFRIVEPTAATSPDQAATADTD* >Brasy5G210100.1.p pacid=40078179 transcript=Brasy5G210100.1 locus=Brasy5G210100 ID=Brasy5G210100.1.v1.1 annot-version=v1.1 EKEAPLGAEQGGEKRSRRGEGAVPALWCWTKARTWKQRRRRGTRGEKKRQQPLDSDEIHQIRDKSSRCTSKVLDV* >Brasy5G023400.1.p pacid=40078180 transcript=Brasy5G023400.1 locus=Brasy5G023400 ID=Brasy5G023400.1.v1.1 annot-version=v1.1 MTALVGGVGLAGRPRRGATAWGTRGGGHEEPRQHRRRGEAAKSSAGGGGAATAWGARGGAREGPRQHRRRGEAAKSSAGVGRTGCRPRRAAASAAWGAWRRPRRAAAAWARGAAAMSSGGDGREGRAAQLARIASDPRVPSCCRSPGRALNGATSRPHAHSGFSLASGVTYAGVCVRNRACNADGSER* >Brasy5G437400.1.p pacid=40078181 transcript=Brasy5G437400.1 locus=Brasy5G437400 ID=Brasy5G437400.1.v1.1 annot-version=v1.1 MIGKFWANPDALRHVSAAVGVPRDSCTRERQSRPCACRTRTPPSAHRCRHQHTRPPYAPPRSRQVCRAPPACRSLSPPPPSTSPRRFRPVPGPRLSARRRRPTPSPRRRPRPARARCRRPAPGPRLSVCLRRPRPSLRRRPRPCMLPKPAIQHLASGEPAELAALSRGDQMRPWELRGAACGGRRCRSGGVRRRQGRTAGQGQRSQGRPSELAPLSRGGWRRRSPAAAAAGGGDEDGCEGGGARGERGSQGRLSGQGRRSQGSRRSLLPSPAAGGGGGLRRQLLRTGETKEQAGVSGGFLDTRYRRRAPIYTTPVHAVPVAVQ* >Brasy5G221400.1.p pacid=40078182 transcript=Brasy5G221400.1 locus=Brasy5G221400 ID=Brasy5G221400.1.v1.1 annot-version=v1.1 MLSWLRCFPHDGTAAMDSDDDHHPHIRQGPPPPAARPATRTITSSFSFRKKPAAVDTDSAAERRKRFTRTSTTLPDRRRHSSVSASDGGASDDYNHSVVSARSFSFAELAAATGGFSDDNLLGSGGFGRVYRGRLAGAGAGGEGTAVAVKRLDRTGHQGDREFLVEVLFLSSLLLRHPNLVGLLGYCADGSHRLLVYRLMPLGSLHDHLFVPSSDVLPWRVRMRIARGAARGLEFLHEKASPAVIYRDLKPSNILLDSGFRARLSDFGLAKLAGNNGDGDDGDRRMGTHGYCAPEYVRSGRLTVKSDVYSFGVVLLELITGRRAVDEEGSLVAWAAPLLAGERHDELLDPRLRHGEAVAGRELKQAVAVAAMCLQEEDALRPNMSDVVMALSFLTGAGEDDDDEQQVASRR* >Brasy5G007200.1.p pacid=40078183 transcript=Brasy5G007200.1 locus=Brasy5G007200 ID=Brasy5G007200.1.v1.1 annot-version=v1.1 MARYVEMPDMAAADEPAVDHAWTGDLAAVAPPEAALGDDAAAVAPPPGDPASQQDADNAEYLDKMRGWLMTVATLFVVPGCDATLFVAGNLVTFPTGMLLVVVLLIKKAPSTHDMSAITYMLSMLALGVVMMFTFAVSSEWAVAGYVLSALFLYGIVMPVFGIYIERLITYLRKRWHACSAPLRDRVN* >Brasy5G316300.1.p pacid=40078184 transcript=Brasy5G316300.1 locus=Brasy5G316300 ID=Brasy5G316300.1.v1.1 annot-version=v1.1 MAGPAPSQPTKDAEQGRTGACRRRAKAAATGAGTTGTRGRESGAHRERDGGAGRGSGGRRRRVDGALTGLGGGGGGSGASGRGRELQGSLLVRAGTGRRMAAGLRRIRRRRKLATARRRRLGTSSTRQRFETRGSDAREAGASRGRRGARGIEVEAGEAWGRRVRRRSA* >Brasy5G092100.1.p pacid=40078185 transcript=Brasy5G092100.1 locus=Brasy5G092100 ID=Brasy5G092100.1.v1.1 annot-version=v1.1 MQQHPPTASEADALDVQTPRDHLARLSHRVNMLERRAVAAPQPSITTPIIRVAPGSAFQQRNPRAFSDADSLDLCDGEFFPDDVDCGASDRVYTVDAIHGRGGVPMAVPEGSYCGGTPVGSVSDCCGGGGAPWGEDEEMRRLSARLRALEADRENMRQAILSMGAEKAQVVLLKEIAQQLCKDGPPPSSLPTVTVGQHYYKGAAPAPAMTVTVPRPQRPMVMHRMELKSQPKTSLFATVVKWVASIVWWRRKSARIKHPIGQCGNNVGLLLLLDKSFKAAGNGRQKPPKRV* >Brasy5G511500.1.p pacid=40078186 transcript=Brasy5G511500.1 locus=Brasy5G511500 ID=Brasy5G511500.1.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.3.p pacid=40078187 transcript=Brasy5G511500.3 locus=Brasy5G511500 ID=Brasy5G511500.3.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.5.p pacid=40078188 transcript=Brasy5G511500.5 locus=Brasy5G511500 ID=Brasy5G511500.5.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.4.p pacid=40078189 transcript=Brasy5G511500.4 locus=Brasy5G511500 ID=Brasy5G511500.4.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.7.p pacid=40078190 transcript=Brasy5G511500.7 locus=Brasy5G511500 ID=Brasy5G511500.7.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.6.p pacid=40078191 transcript=Brasy5G511500.6 locus=Brasy5G511500 ID=Brasy5G511500.6.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G511500.2.p pacid=40078192 transcript=Brasy5G511500.2 locus=Brasy5G511500 ID=Brasy5G511500.2.v1.1 annot-version=v1.1 MASESNYPSRYEDEDEDDEEEEDEEDDEFDEDCPSRPPFAGSEEDRLEAVLRRLAADEVRIRVHGVAIWGCARTRRAASEAAVGPDLARAATVPELLRAAAAAGDRLRRLGAFESVSITLDAAPPGGPADGVLVVVDVAEARGGADDGGLGVFANAETRSCAVDGSLRFKNLFGYCEIWDASGALGLDQTLELSAGVAVPRLGVIPTPLTARVSFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLSWRTMTDPARKSSNSIREQ* >Brasy5G330700.1.p pacid=40078193 transcript=Brasy5G330700.1 locus=Brasy5G330700 ID=Brasy5G330700.1.v1.1 annot-version=v1.1 MPNAIPAFFRAAPGGASASGAGPSLATSVYETRLGLAALSWSRAAFGLSLRAVLRVGALASSASVSDYGCYDDDGLEFEEEDTIAVRVRPWLFWRRRGSKRFHVHGRRVDLAWDLTHARFACPGSPEPSSGYFVAVVVDGEMAVVAGDMAEEAYRKTKAQRPAQGPSHVLVSRREHVSMREGGHGGRGHKTCVTVRGKEREISLDLVARGHGKDREMDKEKEKDKAEVGMSVSVDGERVLHIRRLRWKFRGSEKVDLGGGDRVQVSWDLHHWLFSAREPAPVDAASVLAAAPPPAHAVFVFRFELGDTAGQERDSAEAKEKELLDKARRGGALSGYLGRLGRGDWSESSSNGENRRKRGHARRLAKESSSSSASIASSSASWASSSTVMDWASPEEAEMHRGDGFSLLVYAWKS* >Brasy5G166000.1.p pacid=40078194 transcript=Brasy5G166000.1 locus=Brasy5G166000 ID=Brasy5G166000.1.v1.1 annot-version=v1.1 MGWDHDAIAKWKLSGAPINYNQMSKWVGFDTEGVDTTKKNVWKKTKIKTVIYEVTPEILDMLDRKVEPVPQSMFEKDPEAAVFHSKIKEQILMMQDMIRREYEIKGYGTYQVEVTDDEEEVEDAAAQGQTEDAAPIAPHHREEYEIVTSLVPLARGRRRYRPGVVKQATGCKKLA* >Brasy5G166000.5.p pacid=40078195 transcript=Brasy5G166000.5 locus=Brasy5G166000 ID=Brasy5G166000.5.v1.1 annot-version=v1.1 MGWDHDAIAKWKLSGAPINYNQMSKWVGFDTEGVDTTKKNVWKKTKIKTVIYEVTPEILDMLDRKVEPVPQSMFEKDPEAAVFHSKIKEQILMMQDMIRREYEIKGYGTYQVEVTDDEEEVEDAAAQGQTEDAAPIAPHHREEYEIVTSLVPLARGRRRYRPGVVKQATGCKKLA* >Brasy5G166000.4.p pacid=40078196 transcript=Brasy5G166000.4 locus=Brasy5G166000 ID=Brasy5G166000.4.v1.1 annot-version=v1.1 MGWDHDAIAKWKLSGAPINYNQMSKWVGFDTEGVDTTKKNVWKKTKIKTVIYEVTPEILDMLDRKVEPVPQSMFEKDPEAAVFHSKIKEQILMMQDMIRREYEIKGYGTYQVEVTDDEEEVEDAAAQGQTEDAAPIAPHHREEYEIVTSLVPLARGRRRYRPGVVKQATGCKKLA* >Brasy5G166000.2.p pacid=40078197 transcript=Brasy5G166000.2 locus=Brasy5G166000 ID=Brasy5G166000.2.v1.1 annot-version=v1.1 MGWDHDAIAKWKLSGAPINYNQMSKWVGFDTEGVDTTKKNVWKKTKIKTVIYEVTPEILDMLDRKVEPVPQSMFEKDPEAAVFHSKIKEQILMMQDMIRREYEIKGYGTYQVEVTDDEEEVEDAAAQGQTEDAAPIAPHHREEYEIVTSLVPLARGRRRYRPGVVKQATGCKKLA* >Brasy5G166000.3.p pacid=40078198 transcript=Brasy5G166000.3 locus=Brasy5G166000 ID=Brasy5G166000.3.v1.1 annot-version=v1.1 MGWDHDAIAKWKLSGAPINYNQMSKWVGFDTEGVDTTKKNVWKKTKIKTVIYEVTPEILDMLDRKVEPVPQSMFEKDPEAAVFHSKIKEQILMMQDMIRREYEIKGYGTYQVEVTDDEEEVEDAAAQGQTEDAAPIAPHHREEYEIVTSLVPLARGRRRYRPGVVKQATGCKKLA* >Brasy5G254800.1.p pacid=40078199 transcript=Brasy5G254800.1 locus=Brasy5G254800 ID=Brasy5G254800.1.v1.1 annot-version=v1.1 MSLGGRNPDNMVSMDQDGRGVLYRIALRGFHILPTLHGHGPIYEPISLAVGGRFYVMNSSHAPLEESHFDALIHGRCPDTFDLPEVWYWRSLPTPPFFSTRPSEDRRRNGRWSYDQVYYEGLADEDARPRVTAYRPSTTQRSGRRSAGRPTRLSFDTVQGEWSKFGDWAMLFEGRAEYSAEHEAWFGLSPSNARLLCASDLLESRRRGGTSSPPRLRDVWEELAMPEERYLCSSCLLPLGSGRFCVAKVFETGRGLSHEYDERFLLLTGVEVERRCGAKGGRGGRTEELRLVKHRTCRYNLTAVTYIRVF* >Brasy5G446300.1.p pacid=40078200 transcript=Brasy5G446300.1 locus=Brasy5G446300 ID=Brasy5G446300.1.v1.1 annot-version=v1.1 MSSEELRASLSDLAVAPTASAIGSSSSSGDPSVEGGAQVSCFTEDLHDVTLHFQIIRLSKQIYAWIGCNTAKFGHLYAAATTRPGNGVSVTSVLGGTSDNTGSSMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLRSLGYIRPKTGDASTSTTH* >Brasy5G264000.1.p pacid=40078201 transcript=Brasy5G264000.1 locus=Brasy5G264000 ID=Brasy5G264000.1.v1.1 annot-version=v1.1 MAAPASAAGLGGMSMDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHSILQEKLHTFGILGCILCVVGSITIALHAPQERDIDSVREVWDLATEPAFLTYAAIVVIAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQLNYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQNPTQIATEMCGFVTILSGTFLLHKTKDMNDSTGPTLSTRRPKRASQGGFAIEVLPLKYQDSVDDETLPLSLPKADNHYLMEEFPLRYKDLNMA* >Brasy5G293600.1.p pacid=40078202 transcript=Brasy5G293600.1 locus=Brasy5G293600 ID=Brasy5G293600.1.v1.1 annot-version=v1.1 MAGGGAVAATGSKQEYPGRLTLFVLMACLVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSPASQVLTMFTSSLYLAALVSSVCAASVTRAAGRKWSMFVGGVTFLAGCALNGAAQNVAMLILGRVLLGVGVGFANQSVPVYLSEMAPARLRGMLNNGFQLMITLGILAANLINYGTDKIAGGWVWRLSLALAAVPAGIITVGSFFLPDTPNSLLERGKADDAREMLRRVRGTDDVEEEYRDLSAASEASRAVKSPWRDILRRQYRPQLAMAVFIPLLQQLTGINVIMFYAPVLFKTLGFGGGASLMSAVITGVVNLAATLVSVFTVDRAGRRALFLQGGVQMFVSLVTVGALIGAKLGWSGVAEIPAGYAAAVVAVMYVYVAGFAWSWLHHRFTVAVNMLMTFAVAQAFLPMLCRLKYVLFFFFAAWVVVMTLFVALFVPETKGVPIEDMGNVWRAHWYWSRFVTDDGAQHGGTSRWATAAAAVLRTSIA* >Brasy5G301000.1.p pacid=40078203 transcript=Brasy5G301000.1 locus=Brasy5G301000 ID=Brasy5G301000.1.v1.1 annot-version=v1.1 MLQNEMTPSSRRVRISCSDPDATDSSDDDVQNAKKEKRMILEVLIPMNISGNLKSRKTLVSGGTKKSKGMEKEPTSRYPGVRQRAWGKWAAEIRDPVRKIRKWIGTFSSEEAAAEAYKAKRNQYRAEMLAIKSQSSAPDHADSSSSATISNEMLGIKSRSSASYHAELSSSATLSCVSSSVSFDQQSQEEVRKVVSVEIAPGTVDESLLDFSTTPSDKEILVDAFLGQINELPISDYACPTDELSLDDFSRLADVFAVNDFVVATDEPLDDDYIGLADISHLQMPFTEPKFELDAELDWNCFDFASLEHELEEL* >Brasy5G136400.1.p pacid=40078204 transcript=Brasy5G136400.1 locus=Brasy5G136400 ID=Brasy5G136400.1.v1.1 annot-version=v1.1 MAVAASTESSVVSRPPPPKPTTLASRPSSESLDTASTLVSWAPSAAAGDALDLNASPATTPSLPTKVPRPFPATSFDSGRNLFDDMSVDPADPNYYNEPSQFMDDLISQESLVFEEEVGENGATKHKRGSALTPSRCTPTPAPVSIPARTRPEIGQDPICGAEQKGVAYWKRIYDYFHEHRLVPPYSFISDRGEVSLKNIWGLIQSECNKFAGAQDHVKARPLSGIGVGDMAYQTLEYFKMMYKKPFALIHCWRILKEAPKWQDFYLANKKSPGDGKKRDSSVIDLEASGHTEAASRAVRPRGRTNSKLDAKREASNLAFEETLRKMWSEKDAVKEKMIQLKEEQMKEFIDVQKRKLAIEETNAVATRTAAAAAMLAEETRIMAADLSLMDEPTRAWFLAKRKMIQERDAPAPSEE* >Brasy5G211800.1.p pacid=40078205 transcript=Brasy5G211800.1 locus=Brasy5G211800 ID=Brasy5G211800.1.v1.1 annot-version=v1.1 MVLDLIRYKSMEDNFITTVNYTESTLGLPDKVRRLSVQFGGAKCAKVPESISVPHVRSLLFCGFSKCVPSIPDYGLLQVLILYIWADQDETNFDLPRIGELLRLRYMKVECNTTVNLPDKIQGLQYLETLQLDARPSAVPSDIGHLEKLRHLRLPSPADVRDLGRLTNLQDLHLTFCTVHPADNLEDNMKYLGLIFEKLSNLKSVILASAGSCPVDTSSTSISCDGLSNVSATPAHLERLELLPQICIFPSLPRWFKTLGKLRVLKIAVRELSNSDIDILKGLSALTALSLYIKTAPADRIVFGKAGFSALKYFKLRCSKPLLKFEADAMPNLQTLKLVFSAREVHQHGATPICIEHLPGLKEIFAKIRGAGAAGPEPALWISVSNDPRNPKINEQLLNWNFFADEDTSMSTQEQGEMIIEEQGEVLEENTDGVTQCEYREEDGNSRPDSGVSTLLESSPVTSRRPLRRQYGTRIFSKWVRATLKARPRLTWKATSEGRNGLLWWHDLSPCNAGQLSVATVQANNLLEDQFRIESSLPLGTFIGVFDGHGGPEAARFTADHLFSNLQEASGGQGMTTKTIEKAFEDTEESFITLVSRLWMTKPTLAAVGSSCLVSVVHQRTLFVANLGDSRAVLGKVLKGKIFHEQLSSEHIACHESIRQELKDQHPNDPQIVVLKHGVWRVKGIIQVSRSIGDAYLKHQQFNKGPLPSRFRLRESFSQPILSASPSIVSRSLQPSDRFIIFASDGLWEHLTNQEAIEIVHSYARAGIARRLVKAALRKAARKREMSYRDLKKIDRGVRRHFHDDITVVVLFIDYDLLAEDRAQERSLSIGSTLENPSLKK* >Brasy5G453200.1.p pacid=40078206 transcript=Brasy5G453200.1 locus=Brasy5G453200 ID=Brasy5G453200.1.v1.1 annot-version=v1.1 MVSHTLSWMIVVCLLAFLLASSGPVPATAQTNGFSEVGLNEGNFMLQKPWNLPANARYRFDGTVRQMWIVPSDKPYNQGGSTKPRTEIQITGHDYNSGVWQFEGSVYVPRGSSGMSIMQIFGGNPTATTLMLHVYDGNLMYYNQQFVEGNISNRWLRVNVIHDVDASKLTVFINGQLKLTVNGKGGDNHFFKFGVYEQRDPSARMEARWMNVRILKKN* >Brasy5G366300.1.p pacid=40078207 transcript=Brasy5G366300.1 locus=Brasy5G366300 ID=Brasy5G366300.1.v1.1 annot-version=v1.1 MSHHPGRADGTEAAGRLFHSRELSIPVAALADRRAARHCKAIPSPGLQSLGSRGRENIPLTESLRV* >Brasy5G150300.1.p pacid=40078208 transcript=Brasy5G150300.1 locus=Brasy5G150300 ID=Brasy5G150300.1.v1.1 annot-version=v1.1 MPYGELSYARVGDERWTWISRDDHQEMGRSSTGFMDSMYKDDEGLFYVLRHRRSVFTLNLNGSSPVVKQIMQGETDASGFCLVPSNMYILQAPWGDILQILRWRDHIDSSIPVEVPEDPEGLYEDDMDRFIELRTTEIEIYKVDLDKQKLVKMTSLADHALFLGYNGTMCLSTKDFPMLKSNCVYITDDSCEYVNMYKYNWREIGVWDMKSASFQSFDDGNGLPHPWLNWPSPVWITPSLF* >Brasy5G292500.1.p pacid=40078209 transcript=Brasy5G292500.1 locus=Brasy5G292500 ID=Brasy5G292500.1.v1.1 annot-version=v1.1 MDTEPLLPTSAPHLLHPLPEHSPVSNFDAPPNSPCPSSPASSYKDRLIFGPHHPPPPPPPPPPPPPPYRSISFGQHDPFRDFDLPSCSSSPSPPSDDESHAQPPPTPSLFAFLGGRTNLHRSRTAPAMAPLSAAVLAASAEADHQNPPPPPRRPAIVLHAFLFLLAYLALGVTFYAAAPANFTSSAGPTHPVVDALYFCIVTLCTIGYGDITPASPAAKLFAISFVLIGFGFVDILLSGMVSYVLDLQEHLLITAIKNPRSARKHRHNYIFDIKKGRMRVRMKVALALGVVAICVGVGATVLRKVENMGWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVIYKLKEMGRISEKDIKMICEQFQRLDSGNCGKITLSDLLQSHHLVADPRDKKKGKKS* >Brasy5G351600.1.p pacid=40078210 transcript=Brasy5G351600.1 locus=Brasy5G351600 ID=Brasy5G351600.1.v1.1 annot-version=v1.1 MARDIAPSSLRQLGTGEHTATPTHSSAIMKLACSRLRLCGYFFLCLSAFWMLQAAADQPNGQRPGCPEKCGDVDIPFPFGIGEQCALHAGFHLSCPTINSTTKPLAGNLEVTKISVPDGKAWIKSRISWQCYDSTTRRVSYFDAWLNMTDSPFWLSEADNKITVIGCNTLAYMTSSTYVIGCSSTCENVTLENGSCSGAGCCQADVPKDIRYYSGYFNPNYNTTKIWQYSHCSYMALMEKKAFTFNTSYVKSTVFYDVYKGVAPLVLNWEIRPWTCEVAKKNMSSYVCVSSHSECVDSTNRPGYRCKCSDGYRGNPYITGGCQDIDECLEMANPCGLGICKNMPGNFSCSCHPGNKMMNGICMPIQKPPPFPVIPVVGASIGLVAFVIVVACACMIRERRKLRNIKRNYFRQHGGLILFDEMQSKQGNAFKIFLEEELREATDGFSEEQVLGHGGHGTVYKGLLKGNVEVAVKRSWAEDKTKSRWGELSKNRKKNLMVTLKYSITLMFSMIENMIT* >Brasy5G391600.1.p pacid=40078211 transcript=Brasy5G391600.1 locus=Brasy5G391600 ID=Brasy5G391600.1.v1.1 annot-version=v1.1 MRRRPGIAGLQNAAATRDKFRLVGENVAKVRTDVMQEQLATFRSQLEEFARKHKSDIRKNSVFRQQFHEMCSKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLELRKLLCQKRKTDLGSLSSDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAKGYVTVEEVVKEFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTLTSDATGAELKS* >Brasy5G391600.2.p pacid=40078212 transcript=Brasy5G391600.2 locus=Brasy5G391600 ID=Brasy5G391600.2.v1.1 annot-version=v1.1 MCSKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLELRKLLCQKRKTDLGSLSSDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAKGYVTVEEVVKEFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTLTSDATGAELKS* >Brasy5G132900.1.p pacid=40078213 transcript=Brasy5G132900.1 locus=Brasy5G132900 ID=Brasy5G132900.1.v1.1 annot-version=v1.1 MVNATSLVKSIFTMPTENTYILFRIELLVVVSTVLFLGMSILDIFRGGFHSSIVKAILSIVDSVSDSIVLYVMGAMQIAPFKNQLFPVWAIVLVGFRHSSDFISGYGIPDKDGRRFIEWKNVVKLLGVAFLNKTRGSMFVYPLWSLWCLQILRSKYRMVARQMAFKSVWHGKSSQLVSEYMRTDTQCENFKLEDCKADTMEGYRYLVYGETRQHIVLKKPRYVLQMDTNPKETRIQAKAKAKAARARAKARHKTQAAKVNGTSAQPHRENGTRPAKPLIKPSPYSLITLDKIWQCDGALLQTGSTQGDKFKDLSLAFSLSRLLRCRLEDMSLHKDSVPITKNLVMLRIIREEAKRAFRVMELEMSFLNDYFNTRYPMVFWTGFLSLHLSFILSAATFAVACWLFVDILRVYKTPKDKTSKDEVSHVINGVNVDMIITLIFMLFTVFKEVWEMVVYYLSDWARLLLASTYVRWNRSYVRNYFTENFIGSFFTSKIADSRWHGVLDQYAFLQSYDDSPSAWNFMRKFCTGAIPKKDEGAKLSEPIGIPECVKPAILVTLCSMDLTRAHLLDVIPSLLAESRDRYRWACFELPTSSHIILVWHIATSLCEIKFAQDRDIDLRNPGFPLNTLSYLASFCRCCCCSPGPYLVNENMLDGDLKTRYTVANSLSRYCAYLLISKPDLLPDSFLVPKMIFQETVKDARRILKNSDSLEKRYKTLVDEGDTLPQHTTNSKENKNIVQQGAKLAKELMREDEESRWEILAGVWADLLVHIAPSWNATAHKNCLESGGELITHIWALLWHCGIEKSMLWPVEGVPRNNAPEAHQNNNAENNSGKPADQWQAAGAIDRDDNHAKVTAATVDGVSFVNGDNHLIRGMQNLGNTCYFNAVLQGLLALGELRIKMLEQNPPEGSLLWEFKKLLEETTGGANGAGGTLMIENLFSVMSSTYPDFKVGVTEDSNHLLGSFLDGLNMEEPMMVKSLFRGEVAKYVYSKECVHTSVTPEVLDLSLAIPSKEHVSVEDCLDLYASGEIDDWYCTECSAAAGNAASNQKDTTVDEDHTQQSDSAEHRNEQSSHPDQQQTNTPNQDRGKLPMLNGDVHQMEQSHDKHKEEEKKYRAAKVNIRIMKAPTLLTIQLKRFDYVRHGTPEKLDEHVSIQEMLNITKYMDPRY* >Brasy5G367700.1.p pacid=40078214 transcript=Brasy5G367700.1 locus=Brasy5G367700 ID=Brasy5G367700.1.v1.1 annot-version=v1.1 RLRFKKRAPPADDDSGGGGAKETAAAPCASADNKDPHARLWPWGALPDDDELKRESFSEVRSRFSRESKEAAAALKDLAAAAFRPLLDNFRQLRSLKTAFDTEDHHIGLPFGALVACIGCYHLWKMDPSTFLDAALGYAFYRLSVVSSQLRKQGFSNDLITRVQFVITVVMAANDFKNKLCPFDAIRGAVYLLYAFTFGYEVTGVKKQIKFAMAALYIMLKHPEGRRELRKMLPEVELEFVSESGFVIL* >Brasy5G367700.2.p pacid=40078215 transcript=Brasy5G367700.2 locus=Brasy5G367700 ID=Brasy5G367700.2.v1.1 annot-version=v1.1 RLRFKKRAPPADDDSGGGGAKETAAAPCASADNKDPHARLWPWGALPDDDELKRESFSEVRSRFSRESKEAAAALKDLAAAAFRPLLDNFRQLRSLKTAFDTEDHHIGLPFGALVACIGCYHLWKMDPSTFLDAALGYAFYRLSVVSSQLRKQGFSNDLITRVQFVITVVMAANDFKNKLCPFDAIRGAVYLLYAFTFGYEVTGVKKQIKFAMAALYIMLKHPEGRRELRKMLPEKKKKN* >Brasy5G072800.1.p pacid=40078216 transcript=Brasy5G072800.1 locus=Brasy5G072800 ID=Brasy5G072800.1.v1.1 annot-version=v1.1 MGSFVILDNYDWWKDITLLDFLKEVGKFARVGTMIAKESVKKRLNSEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTDLIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFSVPDVDVIRFMKILTFLSLDEILELEKSMKRPGYVPNTVQRILAEEVTRFVHGQEGLEEALKATEALRPGARTQLDSQTIEGIADDVPSCSLPYDQVLKSPLVDLAASTGLLASKSAVRRLIKQGGLYLNNIKINSEDKLVEECDIVDGKVLLLSAGKKNKMVVRIS* >Brasy5G081200.1.p pacid=40078217 transcript=Brasy5G081200.1 locus=Brasy5G081200 ID=Brasy5G081200.1.v1.1 annot-version=v1.1 MATLSPSFFLPSAIMALVLLLSLPTPSVSGDPDLLQDICVADLTSPGGLKLNGFPCKAVVSADDFYFKGLAVPGNTNNTFGSAVTGANVEKLPGLNTLGVSMARIDYAPGGGLNPPHTHPRATEVVFVLQGALDVGFVTAAGNKLFYKTVVAGDVFVFPRGLVHFQKNNGDAPASVISAFNSQFPGTQSLAMALFGANPEVPSDVLTKAFQVGTKEVEKIKSRLAPKKTA* >Brasy5G072200.1.p pacid=40078218 transcript=Brasy5G072200.1 locus=Brasy5G072200 ID=Brasy5G072200.1.v1.1 annot-version=v1.1 MLLLPRRGSLLVAMAELLFAVDLHPCIVAAAYAYLSSPLNPSSHFIPFSPSSRPKPPPWTTSLSSSSSSETSESSSSSSRPAKLSSARFVVSDPSPGLVISVDCAAAARRRCGPALFRSSELH* >Brasy5G369000.1.p pacid=40078219 transcript=Brasy5G369000.1 locus=Brasy5G369000 ID=Brasy5G369000.1.v1.1 annot-version=v1.1 MAARASGSSRHRYDVIVVGAGIMGSCAAHAAASRGARVLLLDRFDLLHHRGSSHGESRATRVTYAESYYPPMVRLSHRLWDEAQRDAGYRVLTPTPHLDLGPRHEPAFLAAVANGAATEIPGADASGTGERPAWADAFRVPDGWTAAKSELAGVIKATKAVAMFQALAVRMGAVVRDGVEVVDIAKNQGEEAIVVRTSSGEEFHGGKCIITVGAWTRKLAKSVTGGSMDLPVQPLHTLICYWKVKPGHEHELAAEAGFPTFAGYGDTHIYSTPSMEYPGLIKICMHGGPHCDPDQRDWAAATGEGEEGGLVGPVARWIDEVMPECVDTAGGPVLRQPCMYSMTPDDDFVIDFLGGEEFGKDVVVGAGFSGHGFKMGPAIGRILAEMALDGEARTAAEAGVELQHFRISRFEGNPMGNAKTF* >Brasy5G218500.1.p pacid=40078220 transcript=Brasy5G218500.1 locus=Brasy5G218500 ID=Brasy5G218500.1.v1.1 annot-version=v1.1 MASAAATSTPLRLLSSSRLSKPLLSKPHLLTLSSPVSFQRLTARSSASPTPSTSPSTSGSVDPAQLPPALRDIIALFQSVPDERTRYKQLLAYAARLPPMDPTLKTDANRVRGCVSQVWVHAAPEVDAPGCVRFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIEMIGIRQSLSPSRNSGLLNMINLMKLKALEIAAGGDAAGKEGTGGQEIHQVVTELRAVEKEEPQFSAFGAQEHDSSQTERPEEEQFAEEPSAVVHGNGSLGMGRKERIRESLESGLSPVELEIEDISHLHKGHAGVAGSSGETHFNVRVVSKEFEGKSMLKRHRAVYDLLQDELKSGLHALSIHAKTPSEV* >Brasy5G242800.1.p pacid=40078221 transcript=Brasy5G242800.1 locus=Brasy5G242800 ID=Brasy5G242800.1.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G242800.2.p pacid=40078222 transcript=Brasy5G242800.2 locus=Brasy5G242800 ID=Brasy5G242800.2.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G242800.6.p pacid=40078223 transcript=Brasy5G242800.6 locus=Brasy5G242800 ID=Brasy5G242800.6.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G242800.3.p pacid=40078224 transcript=Brasy5G242800.3 locus=Brasy5G242800 ID=Brasy5G242800.3.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G242800.4.p pacid=40078225 transcript=Brasy5G242800.4 locus=Brasy5G242800 ID=Brasy5G242800.4.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G242800.5.p pacid=40078226 transcript=Brasy5G242800.5 locus=Brasy5G242800 ID=Brasy5G242800.5.v1.1 annot-version=v1.1 MAAYYHAGGTDIQAGGSSDGGGGLQTLYLMNPSYGGGAAAGYSDAGASGAGAGASMILLNSAAAMTPSSSFSHHQHSQQQQHFAGIPLQQPPPAGSSYHSLWTTPAADMSSSSPPLTTAAAQGQGMQRQQQHVSAVLSLSSSRAREAPVTVAAVAGDEDDGRYSHLAGAMPMASQGQGQVVMSSKYLRVAQELLDEVVSVSKQGGGVDDVDGKQEAAAKSVKKKEEEEGGEDGGAKSVPEMLTAERQELQMKKGKLVNMLDEVEQRYRQYHGQMRSVSSSFESLAGAGAARTYTALALRTISRQFRCLRDAIAGQIRAASRALGEDLGDLTAGGRGSGVGSRLRYIDHQLRQQRALQQLGMMQGSSAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEQQKQQQQQGGVNGDEAAAVGGICRSGGAAGKGSINGGGESAATPRAQTGGGGGFYDEVGDEEDEDAGEGEEEEDGFRRGMFKKARAAAGDEPPAVQTFDVAALHHAQAAAAAAARQQQQHEEQVSHRELLMKFMESGGNGAAAAARDHHHHDGGVSGGGYSLFAPGPYVGQFAGSDNPFAFAGGGHGGGGVSLTLGLPHGAGEQAAAPFLMGGGGGGGNGATDSGGGAGYEMQMQSTKSFAAQLMRDFVA* >Brasy5G281300.1.p pacid=40078227 transcript=Brasy5G281300.1 locus=Brasy5G281300 ID=Brasy5G281300.1.v1.1 annot-version=v1.1 MYNSKINPLPLSPSLSVPLSGHSFTVADPDHLHLQSRRRPTHPQRRCPSPPAHPSPPLTTAPNPSAAACVHLHRRRPSPPPPTSATRLKPTSSAVAPNPSDEASPPQRLRKPKSPPTLASISDAHQPEGKGRERWVPWREELRFRWRCDGGSRSGGRVWGAPSRISGVARFRGCDG* >Brasy5G150000.1.p pacid=40078228 transcript=Brasy5G150000.1 locus=Brasy5G150000 ID=Brasy5G150000.1.v1.1 annot-version=v1.1 MAAATEDPDWSSLPEDLLVLAMQPLEIPDLFSAGSVCSSWRSACSAVRRVRIPITDASPCLLYSCAADDPATATVYSPSSDVAFKLRLPDPPFRSRHVVGSAHGWVVAADEMSNLQALNPLTGAKVDLPPVTGLYHIESFSDEQGAPMYNHYYDELNHRSPFAYRPQKLRLFLYHRVFLSCSPSAGSRCIVLLMHRPDGQLSFARVGDDRWTRIQDIKFPEVNDAIRNATYNKNDGLFYVVHSDGSIFTLDLNVSSPVTRKVSPKATPWDDPTKYVWRYTYFRSVAVSVVPPELAHEVFNPYSEVYTNEMELYKVDFDKQELALMKKNHLDNFALFIGFNSSLLISTKDYPMLKPNCVYFTDDSYEDICISKYNKRDIGVWNFETKTFDSIGDLQSGHPWLNFPSPIWITPSLC* >Brasy5G078200.1.p pacid=40078229 transcript=Brasy5G078200.1 locus=Brasy5G078200 ID=Brasy5G078200.1.v1.1 annot-version=v1.1 MASSTSSSSPLLLHGLGSSSPAKAQAFFAFPVTPSPFSASTSICASSPRLSLPAAARAAAGRGEQDSHVQELRVPDSWLTPAGAAQESEWLRVTLHKWLDDEYCPEPANVDISDTAARSYNESLTMKQSDLGEILLKMVGDLQELSYQESFHGAFSAANAAVRLITQRMESSSGE* >Brasy5G148700.1.p pacid=40078230 transcript=Brasy5G148700.1 locus=Brasy5G148700 ID=Brasy5G148700.1.v1.1 annot-version=v1.1 MATAAMAFSPALHHPPRLRHLLLSSIPLSSPSSSPYPWLSAWSRPRRGLRPPAPALDLRPEPSPTSDSDDEDSVGTSRHAGRSTMSLILRRLQRAGYSPEPQAAHAGTASRHPQRGSVEDVFRADDGVLPNARGGFDDDAESSLVDARFPWERPMPPPEAAARAAKSPVWMAELTLPEAELRRLRHATMRIKSRIQVGGAGVTREIVAKIKEKWKSDEVVRVKVSGTPALNMRLLHEILERKTGGLIIWRSGTSVSLYRGVAYDVPETTKGTNRNWQALGMKSSINVPHMPSSLPNEKVNGMQDRGGASVLITEKEETAETVTEIKYEEEIDRLLDELGPRYSDWPGSNPLPVDADLLPATVPGYKPPFRVLPYGVRRSLSRKDTTNLRRLGRALPPHFALGRSRQLQGLAAAMVKLWERSSIAKIALKRGVQLTTSERMAEDLKKLTGGVMLSRNNDFVVFYRGKDFLSSELAEALLERERSMKSLQDEEQARLNRTPSFASSTEAFIEPSVAGTLEETLEANSKYGNKLDENHMDKMTKTVEAAKHADLVRKLEWKLSLAEKRIAKAERVLGKVETALKPSEDTKPHETITEEERFMFRKLALRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKVKSFTEVKRIALSLEVESGGILVSVDKVSKGYAIVVFRGKDYRRPSMLRPRNLLSKRKALARSIEIQRMEALSRHIGKLNRRVNQLRSELAQIEGAKDQGDTELYAKLDSAYSSEDEDVEDDDDEAYLRSFDSAVGSDTTEDGNETTLRDSDSSYEDDCDYAEEDEDDEYEEDAEGSDYEDDGNEDEDGEPYVISGGYMDSDYSARDCRSRLEGGNEPDGGSSDGDDSRNSSPYRHRD* >Brasy5G298600.1.p pacid=40078231 transcript=Brasy5G298600.1 locus=Brasy5G298600 ID=Brasy5G298600.1.v1.1 annot-version=v1.1 MHSAAPLSTVLSRVHPSIHDHIANHGAEEAERVAAEGPDGAESQALHHPPLRRHASLLE* >Brasy5G082300.1.p pacid=40078232 transcript=Brasy5G082300.1 locus=Brasy5G082300 ID=Brasy5G082300.1.v1.1 annot-version=v1.1 MPPEYNRKRLTTVSRNRTEAPPPLANIKELTRQLVYGPSGDDQERRKGEDYSSGWEYESSDEEEANYDEVSGVAKEQDLINLRMSNAKGNQASTMPQGRKQAQSKPSVPTTTRTTRSSRSRPNQTEPTLPNDSYASPHQTSNYGNSPQANTETQPSQDTIDTSGHIDNTVTLHGQDALVGSAGPTNSESRRGKRSATIGHGLQEYVKRNGGKKMKIDFLEGRARPADSNQASKLTSECGIHTRNKMHVATHWKDYKEPVLAHIIPNAIKSVSKKFEMDTKDEVAKDVCTKIFQSAVRQQRYRLKRDCFKGRTVEEALSKRPRHVTQENWEDLVNKWSDERNQEISAKNKQNREAVKRQPKTGSRCYIAHFHQLKKDKYNNEDPSPIDFFKDTHIDKKTGRMSEEAQIAYTAMENKRKEAQSEGGHLVSDAQIVAEVLKEHTASSTFLSSMGLQSRPGSSKPSASALRIQELEERVQQQDMEAREANEMYQQELNKKVEAQEHALQEMQRKQQEELEAVKKSQQEREEAWAKKQAETDALLSFLLRKHGA* >Brasy5G054200.1.p pacid=40078233 transcript=Brasy5G054200.1 locus=Brasy5G054200 ID=Brasy5G054200.1.v1.1 annot-version=v1.1 MVSWSDDSSSSIRSIPMDFSDMCPDWNYDSDFCGEHDDKTIRCSGHGMPVQRRVACNGPNTGRRYLGCSQLDDCAFVHWVDPEWPHPLRKRLVDLTNYYALGENCKKEMEKSEKFYADKMLAEQDRYQAEEEKKVAIAEKKKAELAAAIADGDRMRMEELKMKLELEMADYVSEATMKGEEMKARIKRLKNTVFQKEKMLVFAWALVAALVAFCLGFIGAVLSKM* >Brasy5G260900.1.p pacid=40078234 transcript=Brasy5G260900.1 locus=Brasy5G260900 ID=Brasy5G260900.1.v1.1 annot-version=v1.1 MPHGHTPSSTERFCHTASDPCPELRTRTLCAPSPPARASARHCAAAVVAPRRLPPTSGGSRRIVRFFLARMDWAAAPEAASWVIAAEAVSDPGPTMLSFASPSFSSSAAVAAHLQDRSRPASVTARRGRAPGSCAGTVACSVDGCRSDLSRCREYHRRHKVCEAHSKTPVVVVAGQEKRFCQQCSRFHMLAEFDEGKRSCRKRLDGHNRRRRKPQHDAMNPRSFFPYHQVNKFSVYPRTFPIADPNAGASMHPLEHHRPFSISFSGTIKARKHFPFLQDGSSIYSTASPTLPQPFSSREDGNNTSSSTCNGLSSALDPECALSLLSSSLHLSPISIPSAAQFASSLARTAVDSQAATIAFASGGDCGGGGGHVLVPDAMLEDPSQGLPFCWQV* >Brasy5G375100.1.p pacid=40078235 transcript=Brasy5G375100.1 locus=Brasy5G375100 ID=Brasy5G375100.1.v1.1 annot-version=v1.1 MKLAPVLTVLSAAAIGFFIGISFPVEITPKLRPGVFPCIGGSTANSSFSDYSILGRLWAPSTNNSLSSIGSNVATEILEVQENLKGAERRLPPGIVVSESDLHLRRLWGAPSEDEPVRKYLLALGVGLAQKANVDLTVRKFLARDNFDVAMFHYDGHTTEWDEFDWSKRAVHVSARKQTKWWFAKRFLHPSVVAAYEYVFIWDEDLGVETFDPEEYIRIAKKHGLEISQPGLDPDPTRGTRTYDVNVRRNGSEMHKKDVRCREANWGPPCSGFVEVMAPVFSREAWRCVWHLIQNDLVHAWGLDFNFRRCVDDPKEQMGIVDAQYIAHHAVKTLLGQGNEEKQANSDMVSARQRDEMRIFHDRLASADEKERANSNSLQKSPDDHHP* >Brasy5G050700.1.p pacid=40078236 transcript=Brasy5G050700.1 locus=Brasy5G050700 ID=Brasy5G050700.1.v1.1 annot-version=v1.1 MACCWLAGSGPLRPDLCGGGRELVSAGVVLFGEGSYGAGLWVWCRWPLAQHAPAGSGVDGGSPPMMATSGYAVRAVLAAVWMWCSQCFGRKLCTASVCADGGDAFERRFLPWWRRREASAFLHPMEKSPRAKAQIAAALVCSTTASFGVVYLPGGVEFGVLSFCGPYDCVIVVVVLLFVFGRGWTG* >Brasy5G304300.1.p pacid=40078237 transcript=Brasy5G304300.1 locus=Brasy5G304300 ID=Brasy5G304300.1.v1.1 annot-version=v1.1 MSRAAAPNEEGRGHGGPLSPTSPRSIDCNLHPPGSLAAVDGHQRRLLLAPPRPPSSASSAASSSLHRSSWAAPPPLSGPALRCLLRRLSWAAPSCSGFGGRALLQSGGEPERESYRGREEIGRPCSSR* >Brasy5G351300.1.p pacid=40078238 transcript=Brasy5G351300.1 locus=Brasy5G351300 ID=Brasy5G351300.1.v1.1 annot-version=v1.1 MKQSAVVAALALVVVVAAAVLPVARVQAIETRPSKYTYQEDVNSDFVKGLGRFAVTVYKLAHGLTMSYASTSQCWSVPSDGGVEYWMVLTVQDGTGAYGRYVCVVWGIPGSESKTWKLLSCNTAS* >Brasy5G152300.1.p pacid=40078239 transcript=Brasy5G152300.1 locus=Brasy5G152300 ID=Brasy5G152300.1.v1.1 annot-version=v1.1 MTTAQPQMNVDGNRTGVFLKKEIERESVLQERSEERATAGCENPRNPPPARGKAGSVDWRPRGGVGIRRLETVRRRRREAARSLGRRRRGDARLPAPPPGARFQRRREPAPETTLPLGLSATESPSPLLSSGGKQEDSKFRKYEGKSFPLFEALDLLYEGNIAQGKLCFTTSQPQSSSSRKHQRNESHSTRNLPPRVDRMTSQRWESSIVDDETHNSRYDVDKMDAAGRDLGYEMPRYFDEEVVAEDGDEVQEIQRSDDHANSRSGVQSTKGKKQKGNSSFSRIEQTMSEYVNLKKEQVIMKEQASKQGQQYSIPRCLEVLNAMDDVSDDIKVLASDVFKDAANCELFLCYDSKLRGLWLKKEVSKSQANCHSIFVACV* >Brasy5G295700.1.p pacid=40078240 transcript=Brasy5G295700.1 locus=Brasy5G295700 ID=Brasy5G295700.1.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G295700.2.p pacid=40078241 transcript=Brasy5G295700.2 locus=Brasy5G295700 ID=Brasy5G295700.2.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G295700.4.p pacid=40078242 transcript=Brasy5G295700.4 locus=Brasy5G295700 ID=Brasy5G295700.4.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G295700.5.p pacid=40078243 transcript=Brasy5G295700.5 locus=Brasy5G295700 ID=Brasy5G295700.5.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G295700.3.p pacid=40078244 transcript=Brasy5G295700.3 locus=Brasy5G295700 ID=Brasy5G295700.3.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G295700.6.p pacid=40078245 transcript=Brasy5G295700.6 locus=Brasy5G295700 ID=Brasy5G295700.6.v1.1 annot-version=v1.1 MDKGLFANDGSFMERFKQMQQEMQDKEKAATPGASSAPPKPVNPKTPLVVAANKRPLEVKKAGSVLSGGKLAFSLKKNKIPVAPVKFGAEEEEDDVEREDHAKRHKSTNAPSAAAPPGVVAPAPPNDMTVRQVADKLASFVAKNGRQFEDVTRQRNPGDTPFKFLFEKNCPDYKYYETRLAEEEKILAQTKEHQASKNVNSSVESYRAHTGPQRSSFEHKSNYQTPASALYGTYEGSSSQGNSSSNSDPNTSAPSDPVALMEFYMKKAAQEEQKRAPRQSKDEMPPPPCLLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY* >Brasy5G137300.1.p pacid=40078246 transcript=Brasy5G137300.1 locus=Brasy5G137300 ID=Brasy5G137300.1.v1.1 annot-version=v1.1 MARKRKGTDSGGEDPQTTKLHNRLIDHLATCYDPSDRCLVIPDKGRIPMTEESVYNALGAPRGHLPVPYRVDKDIEARLAPELFPGMDLSKAPLHTQVNTMLKDMTDYGDRFKRLALMYIMSTIIAPTTSTRISNRCYPAVDNIENAHNYNFCKFVIDQLHEALSKKQLNKGCRLYLMLLYVDSLDISELGLAVPTAPVGVTAWTNQLIDEVIRADMKEDGSFGNLQTRKKVETLVGQFASGMTCLLGNLVQGWTGLTPPESEEMSRRLHAVTGGVPSRLRSARGRFEMESQPSGSEDDDDDDYVSEDDPVGSPKDDDGSDSDKDDDDPHHGPQDGGNHDDAMDKDDGHGGDGSGNKGTNNNECADHNANVEAAHNDDSGTGGEPIMFPFIQTWGVGKDKTGHWYTISINIKQRMFEILDSLRGPDDDGLQSHSREMITHIKHAWQEHYGVTAYSYPLLFTWAVFMRKWDGRIVPALEPDDIVELRKVLTYKLITTQPFNNKKNAKEFIEENTK* >Brasy5G142500.1.p pacid=40078247 transcript=Brasy5G142500.1 locus=Brasy5G142500 ID=Brasy5G142500.1.v1.1 annot-version=v1.1 MEPAVLSIQIIKNITNGFTDIIGEGSFGVVYKGKYNGKQVAVKKLRESSQLSETDFEKEVQILKLVDHQNIVKLIGYCCQREDPTEQRPSFGSRDVVEKFLCFEYVPNGSLDKYIYGEPSTLGWDKRFKIIKGICKGLEALHGQGRPIIHSDLNPANILLDGNMEPKIADFGLSRIFGENQTHTRITNSKSAMEYMAPEYLQRGQLSIESDIYSLGLLIIEITTGEKNCSDDELCTTDFTEDVRQNWTKMPYIKSKYPALSSDGYCQVEGCIKIGLNCLSKKRKDRPNSANIVNKVDQIGAGSSSVFHRPHLFGRK* >Brasy5G517400.1.p pacid=40078248 transcript=Brasy5G517400.1 locus=Brasy5G517400 ID=Brasy5G517400.1.v1.1 annot-version=v1.1 MPPAASSADAPLPSSAAPAPSTTKPRPRYPLGLDALATPARSLLAAGRRSPVTTLVCFIALLALIMYGEDARTVAELSIDDYLYPDADVYNVSGLPPIALPPPTCDLARGRWLFDNVSTPLYREHECTFLTKQVTCLENGRPDDTWQYWKWQPNDCSLPTFDARRFMERMRGKRMMFVGDSLNRNQWESMVCMVQGVLSKGRKKVVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPRIHSIDHRIIRPERIEGHAKYWRGVDYLIFNTYIWWMNTADMKVRRPDSRYWSEHDEVPRIDAYGRVLKTWSDWLEENINPARTSVFFMTISPLHISPDKWGNPSAIKCYNETLPVLNYTEPFDLNHDMRMYDLVASTSRSMKKVPVTLIDITRMSDYRKDAHTSVYTIRRDKLLTPKQRADPEKFADCIHWCLPGVPDVWNTVLFTRIFSKSSPPPLPSLPPQ* >Brasy5G353500.1.p pacid=40078249 transcript=Brasy5G353500.1 locus=Brasy5G353500 ID=Brasy5G353500.1.v1.1 annot-version=v1.1 MGAGGKAPKARRAARVKLWVARASTTVLLWTCVVLLAASFGEHLAPSVLGGVWSGCLTQTLVIVQRPLLPADGERVAAAATAAVALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTVARYLNVTLIVPELDKTSFWADPSEFQDIFDVDYFIASLREEVRILKELPPRLKRRVELGYLRSMPPISWSDISYYHNQILPMIKKYKVLHLNRTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEELGRRAVQILRQNGPFVVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRKDGLCPLTPEEIAMVLKALNIDPSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETILPSGIRFFQNHSSQMAALDYMVSLESDVFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTLSWTDFSSSVKASHTSRMGTPSRRQVIPDKPKEEDYFYANPHECLHQPDELSFL* >Brasy5G191700.1.p pacid=40078250 transcript=Brasy5G191700.1 locus=Brasy5G191700 ID=Brasy5G191700.1.v1.1 annot-version=v1.1 MVLFWVCAAIGFSDGGKEEKKKEEKRNGENKKCTSGVRCYFVRTESGWKMDEPYILSGKTVDQARKLFMRIHTAPTLAKYMSRLALILSKTITLEVDLSVVHVIHLDDKPCSNENGPIFYRDGEPLIHTDGTGLISQDLAMKCPMSVSKRNSLKSKDVATCDETLTPPPCAKRHRSIATEPPLLMQFRLFYKGSVVKGTALVDKRLPPRTILIRPSMIKIKSDPILCGLPSVNSLELIKMRTGLELYGVQSVNSFEIVTTSNQPKKTLTSKYLIALLHYGGVKAEYFMELLHNAIEVVENARYDLDAALNIAFVYADMDDSISVRMILSGIPLEDAYLQHRLAIFAQQERIGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDKGQYSGNVLVYKPPGIHFGDIHVLTARYIKDIEEVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINAQLLEQFKPSNPWSWGLNKPNKPQQKGPLDLDESELERNLFHEFLKARFARSSALSTAADCWLVYMDRLLTDEIDEDEKKVLKKKMAKLVDLYYLALDASKTGIKINVPAELTAKKYPHFMDREECYQYRSTSILGKIYDEAGKVQSENVGPIEIPMDQRFMERAVSLELEQFWTRCYQEYLTDSGALSGIQDMEEKDLMFRELYHKYKHVLYDAAEFEQSPKNLNDVFDGACAIYQIVYKMASARKQAGKCAFVWKVAGCALCQFYALGSEGDKVLVPLTVARNLLKKGRR* >Brasy5G475600.1.p pacid=40078251 transcript=Brasy5G475600.1 locus=Brasy5G475600 ID=Brasy5G475600.1.v1.1 annot-version=v1.1 MQTPPPLPGHLMVRVVLGLQHQMQLLHHHNELCYQAIDLEGVYFIQEIWELYFSNINGYVLPFQQKMISRRCIAPSDGFEATKLLCRYCFAPHHLTDRETPSTVLNLKNLQPLPSLPPPRSPPSCGDRSSSSSEESSHIPASRAAVVRARWTWPTDRVEPEPRDTKGRHVTTGAPETDPQPAPLLFPSIPSVHHPTQRTPRAPHPPPTPRRVGRVARRAEPNPGKRRGPVRDASEPEPDREPSLLSLVCSSSSTLWLFLFLSQSPLTIPHLLLSGSPPSPSLPSPSTAAAAAATRRAARASRSPIDPRRPTDSPAFRPRNTTTTDAAAAAFARFPALARPSARLQIRIWHALRLLSVRSSLSFPGIPSESRLGCGGQGLAICGADARVG* >Brasy5G495100.1.p pacid=40078252 transcript=Brasy5G495100.1 locus=Brasy5G495100 ID=Brasy5G495100.1.v1.1 annot-version=v1.1 MGCFRLFPFLLGTAVGVYAAQNYKVPDLRRLACHGADTARRYEEEHRRKPGDVGTRKAKKAQMEIADDDDHE* >Brasy5G004400.1.p pacid=40078253 transcript=Brasy5G004400.1 locus=Brasy5G004400 ID=Brasy5G004400.1.v1.1 annot-version=v1.1 MLMFIEKWAGLGSILLLWSVVKNHIPETFRLYLTACATKLTTYFSPYITITIPEYCAERFKRGEFFLAIESYLAHACARRAHKLKAELAKDSKNLQVSVDDHEEVIDEFKGVTLWWYASKQPSKASLISFYPGQEDKRFYQVVFHRQHRDLIVDEYLPFVLAEGRAVTVRNRQRRLFTNNASGSWNSYRQKSVWSHVKFEHPATFDTLAMDTDQKESIISDLMAFQESKEYYTKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTSVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRLKDKKGTKESDDDEKPKLPTDPVKDETSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVAEHELFREIRQLLEETDMSPADVAENMMPMSQKKKRDPNVCLAGLVEALKKAKEDAMVAKAKEEEEAEAKKAKEKHEEEEAEAKKANEKHEEVQAKKSKKKQEEAVKDAKEKDRGNAKTVTI* >Brasy5G270100.1.p pacid=40078254 transcript=Brasy5G270100.1 locus=Brasy5G270100 ID=Brasy5G270100.1.v1.1 annot-version=v1.1 MVRADSGDAETGDGARRRRRGRPGRRNSTSASLPTRDLREPPSGTSQADDATPKSVGPPGSGRIHRDRTSPPLPGSDPTASAWIRRDRLTAASARVCRDWTSPLLPRSSMPFGPRRSEVSHVSRDLAAPEVVVAVAAAPSAEAFAEQMQGAVCAAA* >Brasy5G350900.1.p pacid=40078255 transcript=Brasy5G350900.1 locus=Brasy5G350900 ID=Brasy5G350900.1.v1.1 annot-version=v1.1 MGNCMDTAQQVDINTTYPSKGGSRTNLSSNPSTSTTKSGSARTTFTLPSSTNLSELPTPRTEGQILSSPNLKAFLFGDLKNATKNFRPDSLIGEGGFGCVYKGWIDEQTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSDGDNRLLVYELMPKGSLENHLFRRGADPLPWGIRLKVAIGAARGLSFLHDDENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYIATGRLSIKADVYSFGVVLLELLTGRRALDRSKPATEQNLVDWTKPYLGDKRRLYRVMDMKLGGQYPKKGAHAVASLALQCIRSEAKLRPQMSEVLEKLEELQDPKYNVMVPQVDTRRTSSGVSVPRSPMRAQPSPRRASASASPLPASRTAQVH* >Brasy5G275700.1.p pacid=40078256 transcript=Brasy5G275700.1 locus=Brasy5G275700 ID=Brasy5G275700.1.v1.1 annot-version=v1.1 MEVKLTIKRVPTVLSNYQEEGGAGGGAGCGRNCLGDCCLPASKLPLYAFKANQKKSAQEDGLPTDFFLNSLLLGQWDDRMARGLFRYDVTACETKVIPGELGFVAQLNEGRHLKKRPTEFRVDHVLQPFDSAKFNFTKVGQEEVLFRFENGGGDSSYFLANAPNTESSHPPSVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALHMTAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTKKIPLAKCVLKSGVKVSKLMNFPVRGLVFERGNTLKDLGDVITNACIWLQDNNVPFNVLISDSGRKIFIFPQCYAEKQALGEVSQDLLDTQVNPAVWEISGHIVLKRRADFEEASEASAWRLLAEVSLSEERFEEVKACIFQATGLTESDEEDETNKELPYASSSSARVASSHIPEGCLVLQ* >Brasy5G275700.2.p pacid=40078257 transcript=Brasy5G275700.2 locus=Brasy5G275700 ID=Brasy5G275700.2.v1.1 annot-version=v1.1 MEVKLTIKRVPTVLSNYQEEGGAGGGAGCGRNCLGDCCLPASKLPLYAFKANQKKSAQEDGLPTDFFLNSLLLGQWDDRMARGLFRYDVTACETKPFDSAKFNFTKVGQEEVLFRFENGGGDSSYFLANAPNTESSHPPSVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALHMTAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTKKIPLAKCVLKSGVKVSKLMNFPVRGLVFERGNTLKDLGDVITNACIWLQDNNVPFNVLISDSGRKIFIFPQCYAEKQALGEVSQDLLDTQVNPAVWEISGHIVLKRRADFEEASEASAWRLLAEVSLSEERFEEVKACIFQATGLTESDEEDETNKELPYASSSSARVASSHIPEGCLVLQ* >Brasy5G205000.1.p pacid=40078258 transcript=Brasy5G205000.1 locus=Brasy5G205000 ID=Brasy5G205000.1.v1.1 annot-version=v1.1 MHIYVKNPTGRKICLRVHESDTLYTVKAKIQEQHRLVFDGVQLDQDNLTLAEYNIEHGSTLDLQEKIQIYVMKTRARRTITLEVDSLDTIDEVKSKIQAKEGFPKGQQCLIFDNKQLDDGKFTLADHNIWRESTILLVLQPSPPRGTTMCICVRTMDGKEIPLDVESSDTVDDVKMKIYEKDGTRPIQQRLIHEGIQLLDDYSLADYEIENGDILYLIPCLCGC* >Brasy5G203200.1.p pacid=40078259 transcript=Brasy5G203200.1 locus=Brasy5G203200 ID=Brasy5G203200.1.v1.1 annot-version=v1.1 MKLPATSLLLLAALLLIHGVQSVKQTVKAPAPAPAPSGKVPGKKPPVGLKSGHSHLYKMFVFGDSFADSGNLPRWCSSPITRQWHYPYGASSQAAGSLRPTGRFSDHLVQPDILATMLNMGRLEGPLARKLTLKNYCDGFGMNFAVGGSGVFEPFLPPLPHRLKLPTLAAQIDQFEKLLHDRVISSWHLDDSIALVAISGNDYTRVANASNNDIFAFVKNVTTEIAANVKRLQDLGINKILVNNLHPLGCTPWQARPSNYTKCTGLPNVGSSVHNTDLSNKLGGMENVKIVDLNTAFSKIVGEQPPGSGSELANRFKYKLKPCCESSDPDGFCGEWGEDEHDRLYTLCKDPSKHFYWDDVHPTQAGWQAVMDQLKVEIQEFLDVF* >Brasy5G174800.1.p pacid=40078260 transcript=Brasy5G174800.1 locus=Brasy5G174800 ID=Brasy5G174800.1.v1.1 annot-version=v1.1 MRWTPTVMSATPGTYSFLQRQIAASRSRRPSMRGQQSCLHDSLILSLKKSSTVAHLVKSSPTASLPPYSLLHRERLPPPPRAPPSPALPDKPARIRIPAPPAAAPSPRPRATAATAPCPPPRAHDGFYLHPDSFAADGGHRHGANPPPHVVAGLYPAALGPSAARSRRPAAQHSTSADAMASDQQHCSGDLDGCKAITAVQIQSDGTDGAGTSADQCGDQ* >Brasy5G428600.1.p pacid=40078261 transcript=Brasy5G428600.1 locus=Brasy5G428600 ID=Brasy5G428600.1.v1.1 annot-version=v1.1 MDEEEIHIAVGKNFRKEKANILWAAANFPRATITLVNVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETESMIRMLSQYKGICDTREVRAHYLTHDDILDGLVNLVKKLKIKRIVIGSRNLSKQAVIRKCCQVLVVLNGKYVSTSNDHLKHTGSSGCGRSSELLASIHELSDESDGYTTPLSDFYVSFQEDETMDEDEVIQMDSAAQLAPEAEHSIEESNAYEEVENKSEEGADQSDEIQSSRNITGEAAKLMEEMEKLQRKLKDLQDESHNHEENILSPRKMIDLLKERTLSKKTYPDLQIPDHIAQFSMAHIGKATNNFYSQNLIGEGGYGPVYKGKLGGKAVAIKLLRPHGKPHGRQGFPEFQQEVVVLGRIEHPHIVRLIGMCQESCALVYEHLPNGTLMDGIAKGLPWRDRVRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDAGNVSRLGDFGTARIVQMKPLEEDTICRRTNPMGTMGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLLDLNIVEQVQEAIKMDAVHGLLDASAGNWPEVQAKQLLRIGLKCCSLERKQRPTITADADWRPLDILRTMRTASKSRKWSNGS* >Brasy5G428600.2.p pacid=40078262 transcript=Brasy5G428600.2 locus=Brasy5G428600 ID=Brasy5G428600.2.v1.1 annot-version=v1.1 MDEEEIHIAVGKNFRKEKANILWAAANFPRATITLVNVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETESMIRMLSQYKGICDTREVRAHYLTHDDILDGLVNLVKKLKIKRIVIGSRNLSKQAVIRKCCQVLVVLNGKYVSTSNDHLKHTGSSGCGRSSELLASIHELSDESDGYTTPLSDFYVSFQEDETMDEDEVIQMDSAAQLAPEAEHSIEESNAYEEVENKSEEGADQSDEIQSSRNITGEAAKLMEEMEKLQRKLKDLQDESHNHEENILSPRKMIDLLKERTLSKKTYPDLQIPDHIAQFSMAHIGKATNNFYSQNLIGEGGYGPVYKGKLGGKAVAIKLLRPHGKPHGRQGFPEFQQEVVVLGRIEHPHIVRLIGMCQESCALVYEHLPNGTLMDGIAKGLPWRDRVRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDAGNVSRLGDFGTARIVQMKPLEEDTICRRTNPMGTMGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLLDLNIVEQVQEAIKMDAVHGLLDASAGNWPEVQAKQLLRIGLKCCSLERKQRPTITADADWRPLDILRTMRTASKSRKWSNGS* >Brasy5G428600.3.p pacid=40078263 transcript=Brasy5G428600.3 locus=Brasy5G428600 ID=Brasy5G428600.3.v1.1 annot-version=v1.1 MDEEEIHIAVGKNFRKEKANILWAAANFPRATITLVNVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETESMIRMLSQYKGICDTREVRAHYLTHDDILDGLVNLVKKLKIKRIVIGSRNLSKQAVIRKCCQVLVVLNGKYVSTSNDHLKHTGSSGCGRSSELLASIHELSDESDGYTTPLSDFEDETMDEDEVIQMDSAAQLAPEAEHSIEESNAYEEVENKSEEGADQSDEIQSSRNITGEAAKLMEEMEKLQRKLKDLQDESHNHEENILSPRKMIDLLKERTLSKKTYPDLQIPDHIAQFSMAHIGKATNNFYSQNLIGEGGYGPVYKGKLGGKAVAIKLLRPHGKPHGRQGFPEFQQEVVVLGRIEHPHIVRLIGMCQESCALVYEHLPNGTLMDGIAKGLPWRDRVRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDAGNVSRLGDFGTARIVQMKPLEEDTICRRTNPMGTMGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLLDLNIVEQVQEAIKMDAVHGLLDASAGNWPEVQAKQLLRIGLKCCSLERKQRPTITADADWRPLDILRTMRTASKSRKWSNGS* >Brasy5G428600.4.p pacid=40078264 transcript=Brasy5G428600.4 locus=Brasy5G428600 ID=Brasy5G428600.4.v1.1 annot-version=v1.1 MDEEEIHIAVGKNFRKEKANILWAAANFPRATITLVNVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETESMIRMLSQYKGICDTREVRAHYLTHDDILDGLVNLVKKLKIKRIVIGSRNLSKQAVIRKCCQVLVVLNGKYVSTSNDHLKHTGSSGCGRSSELLASIHELSDESDGYTTPLSDFEDETMDEDEVIQMDSAAQLAPEAEHSIEESNAYEEVENKSEEGADQSDEIQSSRNITGEAAKLMEEMEKLQRKLKDLQDESHNHEENILSPRKMIDLLKERTLSKKTYPDLQIPDHIAQFSMAHIGKATNNFYSQNLIGEGGYGPVYKGKLGGKAVAIKLLRPHGKPHGRQGFPEFQQEVVVLGRIEHPHIVRLIGMCQESCALVYEHLPNGTLMDGIAKGLPWRDRVRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDAGNVSRLGDFGTARIVQMKPLEEDTICRRTNPMGTMGYMDPIFFMTGELTTESDVYAFGVVILQVLTGLLDLNIVEQVQEAIKMDAVHGLLDASAGNWPEVQAKQLLRIGLKCCSLERKQRPTITADADWRPLDILRTMRTASKSRKWSNGS* >Brasy5G336600.1.p pacid=40078265 transcript=Brasy5G336600.1 locus=Brasy5G336600 ID=Brasy5G336600.1.v1.1 annot-version=v1.1 MLDKNMLVGSVPRTIGNINSLVHLDISTNYLQGDLSFLSVFSNLPNLQYLSIESNNFTGSLPGYVGNLSSQLQIFLASGIGLVGELPTAISNLTSLFVLDLSRNQLYSTNHFVGRFPDSIGQLQMLTYLNLSQNSFSDSIPNSFNKLINLETLDLSHNDLFGTIPNYLANFTILTSLDLSFNNLKGQIPNGGIFSNISLQSLMGNSGLCGASRLGISACPSNSQKTKGGMLKFLLPTIIIVIGVVASCSYVMIRKNQQGMTVSASMVDLTSHPLVPYHELARATNNFSESNQLGSGSFGKVFKGQLYNGLVVAIKVLNMQLEQGMRSFDAECQVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGTLDALLHHSQSTRHLGLLERLGVVLDVAMAMEYMHHEHYEVVLHCDLKPSNVLFDENMTAHVADFGIARLLLGDETSLISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTRRRSTDAIFVGNLTLRQWVFEVFPAELVHVVDDDLLQGPSSSCSLEVFLVPIFELGLLCSSDSPDQRMTMADVVVKLKKIKVEYTKWSASATQ* >Brasy5G510200.1.p pacid=40078266 transcript=Brasy5G510200.1 locus=Brasy5G510200 ID=Brasy5G510200.1.v1.1 annot-version=v1.1 MAATSPAPTRAAALTLSPSPRLHHVSTVPSRRLRAAATRRWRTPRCRGKPAVEGVVDDGEDNYRESEPERKEEEAAVSGRGELGWLRLDGVGMDILSIAAPAVLALAADPIAALVDTAFVGHLGSTELAAVGVSISVFNLVSKLLNVPLLNVTTSFVAEQQAVDDGYRGTGENEFRRPSDKLSGQRKFLPAVTTSLALAAGVGLMETAALVFGSGALMDIIGVPMDSPVRIPAEQFLTFRAYGAPPIVVALAAQGAFRGLMDTKTPLYAVGVGSLVNAILDAIFVFPLGLGVRGAALATVTSEYMIACILLWKLNGKVVIFSGNINGAGVFRYLKSGGLLIGRTIAVLLTMTLSTSFVAREGPIPMAGHQLCLQVWLTISLLNDALALAGQALLATEYTKRNYKQARTVLYRVLQIGGVTGMALAVTLFFGFGSFSSLLTDDQAVLDIAKSGVWFVAISQPINAVAFVVDGLYYGVSDFAYAAYSMFFAGAVSSAFLLVAAPEFGLGGVWAGLVLFMSLRAVAGLWRLGSKGGPWNLILSETELRDRR* >Brasy5G161200.1.p pacid=40078267 transcript=Brasy5G161200.1 locus=Brasy5G161200 ID=Brasy5G161200.1.v1.1 annot-version=v1.1 MEGGGKCDHAEHATAPCPPPSQGRLITVLSIDGGGIRGLIPSTIIACLESKLQELDGPDARIADYFDVIAGTSTGALVTSMLAAPGPNKRPLFAASELKDFYLENGPKIFPQKKLGFLNPAANLFGAVMGPKYDGKFLHDKIKKLTHNTTIAETVTNIIVPTFDVKFLQPIIFSTYEAKVDPLKNAHLSDICISTSAAPTYFPAHFFSTHDPDPKAEVKEREYHLVDGGVAANNPTMAAMSMITKEVLRKNPDFTHGNPAEYNNYLIISIGTGSAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFSHASADMVDIHAAVLFKALRVKENYLRIQDDSLEGDTSSVDIATKKNMEALIEIGNGLLKKKVARVNIDTGMYETVEKEGTNEEALMRFAEKLSNERKLRQATLNSH* >Brasy5G435200.1.p pacid=40078268 transcript=Brasy5G435200.1 locus=Brasy5G435200 ID=Brasy5G435200.1.v1.1 annot-version=v1.1 MAGDGHVHATEHVVCVAELLPAGKGGGRPLLPISLLSLRLGMRRHRDDSDGALEDRDGVGVPAEPAVGHRRRGGSWRARARRPPRVVCSLNLSARGSPSSPIPWRPAPASAGTEIGLLQ* >Brasy5G001500.1.p pacid=40078269 transcript=Brasy5G001500.1 locus=Brasy5G001500 ID=Brasy5G001500.1.v1.1 annot-version=v1.1 MEGCDCIEPFWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTMHTKTVAIVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKKKADELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILNTTLVELGRTLRLEECALWMPSRSGSSLQLSHTLRHQIPVGSSVQINLPVVNQVFSSNRAIIVPHTSPLARIRPVQGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIRAFNLHAIFKEVMSFIKPIAAIKKLSVSAMLSPDLPLSAIGDEKRLMQTILNVCCNAVKFTKEGHISLLASVVKSDSLREFRTSDFHPVASDGHFYVKVQVKDTGCGICPQDLSHVFTKFAQTQSGGNQGYNGSGLGLAICKRFVSLMGGHIWLESDGAGKGCTATFVVKLGVCDAAAYQQPAIPLVWPSHGRSDPSGPSALKKEDRVLPCLKPRYQRSI* >Brasy5G421500.1.p pacid=40078270 transcript=Brasy5G421500.1 locus=Brasy5G421500 ID=Brasy5G421500.1.v1.1 annot-version=v1.1 MSRPEEALRRSGSNSLSALLRAEEEDDHQPGGDDADTKRRGGGRGRRNRRTRSCLRLSLSGAGGCRVCDCDEVDPAPRRRAPGDGEVEMVGDEERVPAALQCFSWKKGAAVHHGRAPEVEDAAAAVLVLTEEASLSVLPDDLLEMVLSRLPLASLLAARCVCRRWRDLTLAPQFLRLRREGPHTTPWLFLFGVEGDGWGAAATTPVYALDVAAHRWRRVAAGALKGRFLFSVAGVGDELYVVGGRSGGSSAGSVKAKTRKGVMVYSPLTGAWRKAAPMRAARSRPVLGVFEMSASRSILHSRAEKHVRRTNAGGKFRPGGTSAVYEDPHRLSLRRLRLRDVLNEDTDSTGLAHGHHKVAKAKQLEQQAQPRLALIAVGGRGLWDEPLVSGEIYDPVTNKWVEIAGFPTDVGLACSGAVCGNMFYVYCESDTLVTYHLDNGTWAVVQTSRSPPRLRDYTPALVCCASRLFMLCVSWCDPASRRDKVVRKVFELDLLSSSSPLLRWVEASVHPDAPMDPNAAFAAGQDRIYTVEMFRIFGKVLDFATACRVSDAEQRWSRIAGKNVAAEADVMSCRLKSMAVLHL* >Brasy5G147300.1.p pacid=40078271 transcript=Brasy5G147300.1 locus=Brasy5G147300 ID=Brasy5G147300.1.v1.1 annot-version=v1.1 MISAIPVDVLAKSSQANGAMNAELIQINQGNQDAPMPMASRIFLIKEKKSFLSSPASLDEPLSLDLNAPENHIFTVVTIHAVFWAASSSWDSWANVAHRARLFWASPKHETKARILTRGTW* >Brasy5G340600.1.p pacid=40078272 transcript=Brasy5G340600.1 locus=Brasy5G340600 ID=Brasy5G340600.1.v1.1 annot-version=v1.1 MELLDMVPAEAIALRIYSLPAAAHTVVSLCAWLVAALAAAAVGLWRVRAAGSSHKPGVAGVRSTLVDDKKIPSESIDEPRLARSEPESPISEPSSPSKVRFTAYYGDDDGVVEGVKKCAERDEDEFYGESETAAVLRRTASMRMRSTIKAPGWEEKEMAVRRRGDLGWYRHLDMAVLDGSVVRLWDGGEVTAAVQASPRARRRAGLELHLSV* >Brasy5G297900.1.p pacid=40078273 transcript=Brasy5G297900.1 locus=Brasy5G297900 ID=Brasy5G297900.1.v1.1 annot-version=v1.1 MTPADVTVAGSDQRPEARLSPGTASPPIPEPNWPTIIAARCKLPHPKGRNRSGPDLPEASLLPPNREAQLPHHLTMRACEPLELAARRRRAAEPCPHDAVPHRPKPKATSLRPTASPELCRQGGEAPPRGSRQHGDPHRQQPAPRSPSPRRSPSRGGRRREKQPRRRRPLPGGFARQDSGMARRGRGWA* >Brasy5G257600.1.p pacid=40078274 transcript=Brasy5G257600.1 locus=Brasy5G257600 ID=Brasy5G257600.1.v1.1 annot-version=v1.1 MHRSAAELVLLLLTTGVACIFFLVADAVHPQPAAGARCLQRERAALLEFKQGITSDRKSSAAQWSHGSRWSMPSVRREEIGHVPAFLGSLKNLRHLDLYDTQFSGRVPTQLANLSKLEYLDLSSTYLSGPVPPQLGNLSNLRHLGLSFMQDMHTSDISWLSNLELLEYVDMSQINLSTIDVFPVWLTQLNLTKLEELDLSFNYFGHPIETSWFWNLTNLKFLGLDSTYLYGPFPNALGGMTSLQHLGFKDNEIVKNLPRCSFKKLQLLSLSDNNITGMLPDMMGHLSSLHDLILSNNSITGAIPADLNQLTGQIPTLPRNLAEVDISMNCLSGSLPADIGAPYLELLLLSSNYITGHVNSNICQLQNLTFLDLSNNHFVGEFPMCPSTRNMYFLRLNDNNFSGNFPPSLKNSSNLSFLDLAMNNFYGTLPVWIGDLVSLRFLQLSHNMFSGDIPVNITNLIILQYLCLASNNISGSIPRSMSKLTAMTLEHEPSYLWVSEDEDPAFLHILSIVMKQQELNYGVTLVTEMVGIDFSLNHLTGGIPDEITSLDGLRNLNLSWNHLSGKIPVKIGAMKSLESLDLSWNSLSGEIPTSLSELTYLSSLEFSYNNLTGRIPLGRQLDTLYIENPSMYDGNTGLCGPPLERNCSGDKAPMHGNEKTSAKVYEPVMFFWFGLGSGIVAGLWIVFCALLFKKGWRVSYFRLFDKLYDNVYVFVAVTWGRISVKATRN* >Brasy5G374600.1.p pacid=40078275 transcript=Brasy5G374600.1 locus=Brasy5G374600 ID=Brasy5G374600.1.v1.1 annot-version=v1.1 MAVVVALALMFGSMAARRCEASIGYPYPFLPEWLSPPPPPPAPVLRHDYYRDTECSDVEDTVRRVVAEAEKRQPGIGAGLIRLFFHDCFVEGCDASVLLRPTKANPQPEMLGVPNVNSLRGFDVIDDAKKALGEKCEEVVSCADILAFAARDATVLLGKVKHFEMPSGRYDGRVSNASYTLYNLPPPFADLRLLKDMFKLKGFNTDEMVTLSGAHSIGVSRCSSFCDRSDNASLNMNPWLASKLRGQCTSLNTTVNQDDVTPKALDNQYYWNVINKKVLFESDAELRSSWETAAKVLVNALSPKRWEEKFAAAMVKMGSIDVKSKDDGDIRKTCGFVNYTPDREGDARVADQ* >Brasy5G066200.1.p pacid=40078276 transcript=Brasy5G066200.1 locus=Brasy5G066200 ID=Brasy5G066200.1.v1.1 annot-version=v1.1 MDDFQGLLARDFGLRPQGKAAPMSAARSTAPSSGSAWTNPRSAAAAAPSAPSYDDLFGAQPSAPPPKSTPSPSIDSIFDSFKEPSAASAAPSQPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARYDNVFAGDHAPPPAFDDLLGGFGRKAEGREAPEEYRKPAPAAASTGFDDLFAGFGGSNPVQRRENAVGNEKKVSMSTSKPASDPFVVLETTSTSAHPPSGVFTDTLDEFDGHPKSQVKNRGNTAVESGIFEDSSTFNQVPKSEPLFTSNVNHDPKGSNGSTKARDSSPVQSFPKRNSAQQPSVENFENIFSKSQSAQYSDVHVDVSAPVSEKHSGNGVDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPSLAVKQNPHGSKAKRRDDDYLQQSSQNYNHNRSSSRQAGSSSIDELEDFAMGKPQKSYDKGNPFNEEEFERSSSAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREHQEQDDEARSYAQDQEERERLEKIEQEREIRQQEEKEREQRRLEEERELEQQRERGRGRQAVERATKEARERAATEARAKAEREACQRAERAAVQRAQQEARERAAVGARDRAERAAAEAKERAATQTRERATAERAAVERAQQDARRRAERAAVERAAAEVRERQAAEARERQAAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPVVDPLFDSQTQSRGTATSSSASMRKASSTTNITDDLSAIFGGAPMSSDEFQGVEGESEERRRARLERHQRTRERAAKALAEKNERDMNVQREQAERDRISDTLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKCRTQVVRDPSTQSHFVLKKEAWNKFNSEELF* >Brasy5G066200.2.p pacid=40078277 transcript=Brasy5G066200.2 locus=Brasy5G066200 ID=Brasy5G066200.2.v1.1 annot-version=v1.1 MDDFQGLLARDFGLRPQGKAAPMSAARSTAPSSGSAWTNPRSAAAAAPSAPSYDDLFGAQPSAPPPKSTPSPSIDSIFDSFKEPSAASAAPSQPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARYDNVFAGDHAPPPAFDDLLGGFGRKAEGREAPEEYRKPAPAAASTGFDDLFAGFGGSNPVQRRENAVGNEKKVSMSTSKPASDPFVVLETTSTSAHPPSGVFTDTLDEFDGHPKSQVKNRGNTAVESGIFEDSSTFNQVPKSEPLFTSNVNHDPKGSNGSTKARDSSPVQSFPKRNSAQQPSVENFENIFSKSQSAQYSDVHVDVSAPVSEKHSGNGVDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPSLAVKQNPHGSKAKRRDDDYLQQSSQNYNHNRSSSRQAGSSSIDELEDFAMGKPQKSYDKGNPFNEEEFERSSSAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREHQEQDDEARSYAQDQEERERLEKIEQEREIRQQEEKEREQRRLEEERELEQQRERGRGRQAVERATKEARERAATEARAKAEREACQRAERAAVQRAQQEARERAAVGARDRAERAAAEAKERAATQTRERATAERAAVERAQQDARRRAERAAVERAAAEVRERQAAEARERQAAAAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPVVDPLFDSQTQSRGTATSSSASMRKASSTTNITDDLSAIFGGAPMSSDEFQGVEGESEERRRARLERHQRTRERAAKALAEKNERDMNVQREQAERDRISDTLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF* >Brasy5G450500.1.p pacid=40078278 transcript=Brasy5G450500.1 locus=Brasy5G450500 ID=Brasy5G450500.1.v1.1 annot-version=v1.1 MGTMRQGKTNRFNVARDATNMGKNRYIDVLPYDDNRVRLKLSTSSQISGNDYINASFIKATEDNRSTRFISTQGPLVKTFEDFWEMVCENQCRVIVMLTQFDSIKCDEYLPLLNGQDVYGKYNVEITNKRYCHQLWLRDVKVQRNESDKIHSVLHIEYPDWPDHGVPTSTDAVRQIRKRLHNVREEHPIVVHCSAGIGRTGAYITIHSTIERILLGDTNSYDLVETVKKFRSQRNGMVQTEDQYMFCYRAIVDELKDLLKSNL* >Brasy5G450500.2.p pacid=40078279 transcript=Brasy5G450500.2 locus=Brasy5G450500 ID=Brasy5G450500.2.v1.1 annot-version=v1.1 MGTMRQGKTNRFNVARDATNMGKNRYIDVLPYDDNRVRLKLSTSSQISGNDYINASFIKATEDNRSTRFISTQGPLVKTFEDFWEMVCENQCRVIVMLTQFDSIKCDEYLPLLNGQDVYGKYNVEITNKRYCHQLWLRDVKVQRNESDKIHSVLHIEYPDWPDHGVPTSTDAVRQIRKRLHNVREEHPIVVHCSAGIGRTGAYITIHSTIERILLGDTNSYDLVETVKKFRSQRNGMVQTEDQYMFCYRAIVDELKDLLKSNL* >Brasy5G450500.3.p pacid=40078280 transcript=Brasy5G450500.3 locus=Brasy5G450500 ID=Brasy5G450500.3.v1.1 annot-version=v1.1 MGTMRQGKTNRFNVARDATNMGKNRYIDVLPYDDNRVRLKLSTSSQISGNDYINASFIKATEDNRSTRFISTQGPLVKTFEDFWEMVCENQCRVIVMLTQFDSIKCDEYLPLLNGQDVYGKYNVEITNKRYCHQLWLRDVKVQRNESDKIHSVLHIEYPDWPDHGVPTSTDAVRQIRKRLHNVREEHPIVVHCRHWKNWCLHHHPQYN* >Brasy5G517000.1.p pacid=40078281 transcript=Brasy5G517000.1 locus=Brasy5G517000 ID=Brasy5G517000.1.v1.1 annot-version=v1.1 MWTESPLLRDAGAAVLTGAAAMAVLRVWEEVGNRALLDQKLCRKLVHISAGLVYFLMWPLFSADDVYAPFLAAIVIALNIVKVILIGLGVVKDEGVVNSMTRHGDHRELLKGPLYYACAIALTTVIFWRTSPISIAVICNLCAGDGVADIVGRRLGHAKLPHNRDKSYAGSIAMFCAGFVASILFMCYFHLFGFVDELSWAVVGAFGATSLAAAVVESLPVSTRLDDNLTVPVASALVGGLAFYFVGGAGNPCCGGNGGVSVFDEMAVLAGSS* >Brasy5G335900.1.p pacid=40078282 transcript=Brasy5G335900.1 locus=Brasy5G335900 ID=Brasy5G335900.1.v1.1 annot-version=v1.1 MEEHKPLVAMVVVQCIYAAMALWAKAMFSAGMSPMVFVVYRQAIATLVLVPIAVLANRKRLKEMVCIGTTGFALVFLASLVGYMLVYPMRHACLDFHTVYVRALADYFLCTINI* >Brasy5G187300.1.p pacid=40078283 transcript=Brasy5G187300.1 locus=Brasy5G187300 ID=Brasy5G187300.1.v1.1 annot-version=v1.1 MASGSATLVALKQVAIVAAILSMLVIPSLGRCPQGSLQGPAPPPPPTPASPAPTMVPGPKVSCAYCSQCGDSCSSDCAAISCLRE* >Brasy5G283600.1.p pacid=40078284 transcript=Brasy5G283600.1 locus=Brasy5G283600 ID=Brasy5G283600.1.v1.1 annot-version=v1.1 MARRDWSELPLDVLCLLFGDKLGAVDVLMGAGLVCRSWLEAAKAPDVWRFVKMEDHCSSVFTGKQFVTNELLLYIAERSPALKALRLTECVYITDQAFIQAMKKAPLVKLQCLEIDESGTITNAELTAIIDSCPSLQTLNVNNCFQIDVLDGDLRAKCILEAKEKRWNLGLPAMSIVMYY* >Brasy5G084100.1.p pacid=40078285 transcript=Brasy5G084100.1 locus=Brasy5G084100 ID=Brasy5G084100.1.v1.1 annot-version=v1.1 MGEEAPEFRVDSVTLESKDCEQNAIDLGNKTVEAITCIMLLTKLLKMAVYVIGRSDDDSKSSFGIKILDKQTQTWVVPTVLGAQPPTKSHSAILVNDEKILVLEKGVSLNDSIWFLEIDTPFVKQQRKIKGGEVVSWSKGVLGVAQKPVVISGPSGVGKGTLIAKLMKEYPSEFGFSVSHTTRSPRVKEIDGVHYHFTGRSKMEQDISEGKFLEFAHVHGNLYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYENLKKLLSLDDDHEDLDDCCMKDGKTTACYSILSKTDSEILLQSETNEVEKGAASLLALDLTSLTGGAPGRTRGLKIQSVNSIDNGLKGIR* >Brasy5G057200.1.p pacid=40078286 transcript=Brasy5G057200.1 locus=Brasy5G057200 ID=Brasy5G057200.1.v1.1 annot-version=v1.1 MVKSRMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHVRSKRLEDVRMLGYDRMILFQFGLGSNAHFIILELYAQGNIILTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRTFERTDFTKLKDTLKLSNTVDGEDSSQVTPNSADSHEPSESVNDGVPVTDKLEEPSNRTEKKSAAKIKQPGSNAKASNGSQSNKATLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESVTRFEDWLVDIISGQRIPEGYILMQNKMSAKKNITPSEVSSTNQKIYDEYCPILLKQFKSREYDEFETFDAALDEFYSKIESQRVNQQQKAKEDSAVHRLNKIKLDQENRVHTLRKEADHCIKMAELIEYNLEDVDAAIVAVRVSLANGMSWEALARMIKEERRAGNPVAGLIDKLSFENNCITLLLSNNLDDMDEDEKTAPVEKVEVDLSLSAHANARRWYEMKKKQETKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIVSGRDAQQNELVVKRYMSKGDLYVHAELHGASSTIIKNHTPDSPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESCLASHLNERRIRGEDEALPEIEVEPWKRHNISELDDKLANDNETSTGIHENESSRDYTSVQQNYDASPSNQPSNMGTAGSSEQLPEAQTVENNGVASTFKEETRDDSVSSQLEDLLDKNLGLGPAKVPGKSSLLISSHSSLPEDTDDLDVKKTIQREKPYVSKAERRKLKKGQNSCESTSDPQNGEAVKKPGNSQQEKGKDNTKTANPKTSRGQKGKLKKIKEKYAEQDDEEREIRMALLASSGKASQKDKPSQDGEDTNAKQPKPSTGEVDSVKICYKCKKSGHLSRDCPESTSVVDPTDVNVGRSRVVTDKSASAPVGGSIDIDEDDIHELGDEEKEKLIDLDYLTGTPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTALSLFMHIPDATNREKELMKACTDPELVAAIIGNAKITAPGLTQLKQKQKQKGKKAAKNN* >Brasy5G436500.1.p pacid=40078287 transcript=Brasy5G436500.1 locus=Brasy5G436500 ID=Brasy5G436500.1.v1.1 annot-version=v1.1 MVEKHVLVICVAVGALALAAAALGILGEATKSKSFVRYDGSNCVYRRTPAFGCGIAAAAALLTGQLVLTAATGCWDRCRRSNSDHRRTSAVFSSILSWFLAILGASAFVVGAVRNESGERRPRGDVAAYYQCTVLVAGVFAGGSFLSLLAAAVGIASYVGIERAADAAGSAPSSPPWSDKGDSAAAMGQPQLSLQVHHGCLTDRSTSSGSVGARTVLELFEDESRAGCMLHE* >Brasy5G043000.1.p pacid=40078288 transcript=Brasy5G043000.1 locus=Brasy5G043000 ID=Brasy5G043000.1.v1.1 annot-version=v1.1 MGSLAGAMADDYTVIQRIGVPAASDQELLDLLRQGTALYEAEKLARGVDRLPVNTHLAELDPSELPSCFLMSGELRKTMEIHQRNESWGYKTGSFSAIRGPAPPTPRPQYIGVKKRMEFRERNGAKSDWVMIQYHLLEPKNEGRDLFLQDELMLCVVSRLKNKAEVLSPDQAICPQISNHLDYVFTTFRPDEFDRDGPLSSVLEEQLDRLIGLLSECFNSENQRHGVGLQPNTKRPRSTGTDGKSDVWRDFTRIHVKDEHDKFKLAYAACHICDKLLKAPSRNGTKTLWRHNETCSCKQVKQQSHL* >Brasy5G031500.1.p pacid=40078289 transcript=Brasy5G031500.1 locus=Brasy5G031500 ID=Brasy5G031500.1.v1.1 annot-version=v1.1 MCYKVECNKCGKFTWNGCGKHVASVYDGIEKGKHCNCKEWPGVDTKAEGSTSTTKQGEAKD* >Brasy5G087400.1.p pacid=40078290 transcript=Brasy5G087400.1 locus=Brasy5G087400 ID=Brasy5G087400.1.v1.1 annot-version=v1.1 MGQCGCFGSAQAAKKEQRAEADRLEAQDARARAAEAAQKRQQEYEKSAAGRAARAQIKAMKESKTSNQGQGEPVLKWQMG* >Brasy5G183500.1.p pacid=40078291 transcript=Brasy5G183500.1 locus=Brasy5G183500 ID=Brasy5G183500.1.v1.1 annot-version=v1.1 MPEQAVDPADPNYYTEPSQFIDDLISQGGPVFEEEVDEQWDDETQEGVSIDTEPLYTGVGTDPGSDASGCQKKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAFISDRGEVSLQKRWGLIQSECNKFAGAQDHVKARPVSGVGVGDMEAPKWHDLYLATKKSHGEGKKRDCSVIDLEASGHTEAASRAVRPRGRTNSKLDAKRKASNLAFEETLKKMWSEKEAAKEKMLQLKEEQMKEFIDVQKRKLAIEEANAATTSTAAAAALLAEEIRVMTADLSLMDEATRAWFLAKRKMIQDAMHPRPWRNDTWPNSVAFCV* >Brasy5G478900.1.p pacid=40078292 transcript=Brasy5G478900.1 locus=Brasy5G478900 ID=Brasy5G478900.1.v1.1 annot-version=v1.1 MGFALTSLSRAQSGPSQPARVLAEKQSFVQHPNPPTNPLMASSLLRLPSLLAPAPALLCRGGRRLYAAPSAAVARLSAAATSTGASAAAPAQAESARGGDREGQVTPRSADFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFSYEEAAIPVIPGRKSKVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFETQFMDENGQLEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVVIVPIWKKGDEKGVVMEAVASVQNTLKEASIRVKVDDSELRTPGWKFNHYEMKGVPIRIEIGPRDVKNRSVVISRRDVPGKQGKEFGVSMEPSIMVDHIKGRLEEIQASLLQKAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGTKKCFMTGNPADEVAIFAKSY* >Brasy5G465500.1.p pacid=40078293 transcript=Brasy5G465500.1 locus=Brasy5G465500 ID=Brasy5G465500.1.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDRYSNADNRADERSHLGNSQSWGSACRRGWRW* >Brasy5G465500.2.p pacid=40078294 transcript=Brasy5G465500.2 locus=Brasy5G465500 ID=Brasy5G465500.2.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRYSNADNRADERSHLGNSQSWGSACRRGWRW* >Brasy5G465500.11.p pacid=40078295 transcript=Brasy5G465500.11 locus=Brasy5G465500 ID=Brasy5G465500.11.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDRCKI* >Brasy5G465500.7.p pacid=40078296 transcript=Brasy5G465500.7 locus=Brasy5G465500 ID=Brasy5G465500.7.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDR* >Brasy5G465500.6.p pacid=40078297 transcript=Brasy5G465500.6 locus=Brasy5G465500 ID=Brasy5G465500.6.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDRYSNADNRADERSHLGNSQSWGSACRRGWRW* >Brasy5G465500.4.p pacid=40078298 transcript=Brasy5G465500.4 locus=Brasy5G465500 ID=Brasy5G465500.4.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRCKI* >Brasy5G465500.8.p pacid=40078299 transcript=Brasy5G465500.8 locus=Brasy5G465500 ID=Brasy5G465500.8.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDR* >Brasy5G465500.17.p pacid=40078300 transcript=Brasy5G465500.17 locus=Brasy5G465500 ID=Brasy5G465500.17.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRYSNADNRADERSHLGNSQSWGSACRRGWRW* >Brasy5G465500.3.p pacid=40078301 transcript=Brasy5G465500.3 locus=Brasy5G465500 ID=Brasy5G465500.3.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRYSNADNRADERSHLGNSQSWGSACRRGWRW* >Brasy5G465500.12.p pacid=40078302 transcript=Brasy5G465500.12 locus=Brasy5G465500 ID=Brasy5G465500.12.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDRCKI* >Brasy5G465500.9.p pacid=40078303 transcript=Brasy5G465500.9 locus=Brasy5G465500 ID=Brasy5G465500.9.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRLGGSRFQDFYMQVGRDCELLEQERNMDYSLLVGIHKDR* >Brasy5G465500.19.p pacid=40078304 transcript=Brasy5G465500.19 locus=Brasy5G465500 ID=Brasy5G465500.19.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRCKI* >Brasy5G465500.5.p pacid=40078305 transcript=Brasy5G465500.5 locus=Brasy5G465500 ID=Brasy5G465500.5.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDRCKI* >Brasy5G465500.18.p pacid=40078306 transcript=Brasy5G465500.18 locus=Brasy5G465500 ID=Brasy5G465500.18.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDR* >Brasy5G465500.10.p pacid=40078307 transcript=Brasy5G465500.10 locus=Brasy5G465500 ID=Brasy5G465500.10.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVRFVIMGNLICSHYAIHRRFGLKGSSQDRMTDKPIDQIDEHTTLKDLDLNLIFRQVGRDCELLEQERNMDYSLLVGIHKDR* >Brasy5G465500.13.p pacid=40078308 transcript=Brasy5G465500.13 locus=Brasy5G465500 ID=Brasy5G465500.13.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVLSLFRSGSVCHNGEPDLLPLCDSSEIRLERIFAGPHDRQTYRSNR* >Brasy5G465500.14.p pacid=40078309 transcript=Brasy5G465500.14 locus=Brasy5G465500 ID=Brasy5G465500.14.v1.1 annot-version=v1.1 MPAAVRRCKANAREHRRHQSVGEDSARGGGAGAARGGTSGGGQIGTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVLSLFRSGSVCHNGEPDLLPLCDSSEIRLERIFAGPHDRQTYRSNR* >Brasy5G465500.15.p pacid=40078310 transcript=Brasy5G465500.15 locus=Brasy5G465500 ID=Brasy5G465500.15.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVLSLFRSGSVCHNGEPDLLPLCDSSEIRLERIFAGPHDRQTYRSNR* >Brasy5G465500.16.p pacid=40078311 transcript=Brasy5G465500.16 locus=Brasy5G465500 ID=Brasy5G465500.16.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVLSLFRSGSVCHNGEPDLLPLCDSSEIRLERIFAGPHDRQTYRSNR* >Brasy5G465500.20.p pacid=40078312 transcript=Brasy5G465500.20 locus=Brasy5G465500 ID=Brasy5G465500.20.v1.1 annot-version=v1.1 MYLAVIFTPPRTLRKLFDVDPADYMISICGGDALRELSSPGKSGSFFYLTNDGNHMIKTMKKSEVKVLLRMLPAYYKHARSFDNTLVTKFFGLHSVKITRAIQKVLSLFRSGSVCHNGEPDLLPLCDSSEIRLERIFAGPHDRQTYRSNR* >Brasy5G332500.1.p pacid=40078313 transcript=Brasy5G332500.1 locus=Brasy5G332500 ID=Brasy5G332500.1.v1.1 annot-version=v1.1 MKFMKLGSKPDAFQSSAADARHVASDLPTDVIVHVNEVRFYLHKFPLLSKSSKLQRLILKATEEGTDEVHIDDFPGGAKAFEICSKFCYGMIVTLSPHNVVAARCAAEYLGMTEDMDKGNLIFKIEVFINSSILRSWKDSIIVLQSAKALLPWSEELKVIGRCIDAIASKTSVDPANVSWSYSYNKKGVACAEIVESAGKTSVAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALIADDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVSILVGAGGHVKEELMRRISFQLHKASVKDLLLPAASPSDGTHDVRLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLALGELVDGYLSEVASDPDLELSSFVELATSVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSADACIHATQNDRLPLRVVVQVLFFQQLRAGSSSALALADSGEHACTKPMQEQSEQFERRIPRHPNKLNKHITSLSAKGEGEHRNSELRGGRHSFKDQLGGLLLQSRSRRIFDKLWSSKGHGEHGKGSETSGSSQSPPLTAKPTEVKPSPLPPLRNRRYSVS* >Brasy5G332500.2.p pacid=40078314 transcript=Brasy5G332500.2 locus=Brasy5G332500 ID=Brasy5G332500.2.v1.1 annot-version=v1.1 MIVTLSPHNVVAARCAAEYLGMTEDMDKGNLIFKIEVFINSSILRSWKDSIIVLQSAKALLPWSEELKVIGRCIDAIASKTSVDPANVSWSYSYNKKGVACAEIVESAGKTSVAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALIADDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVSILVGAGGHVKEELMRRISFQLHKASVKDLLLPAASPSDGTHDVRLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLALGELVDGYLSEVASDPDLELSSFVELATSVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSADACIHATQNDRLPLRVVVQVLFFQQLRAGSSSALALADSGEHACTKPMQEQSEQFERRIPRHPNKLNKHITSLSAKGEGEHRNSELRGGRHSFKDQLGGLLLQSRSRRIFDKLWSSKGHGEHGKGSETSGSSQSPPLTAKPTEVKPSPLPPLRNRRYSVS* >Brasy5G332500.3.p pacid=40078315 transcript=Brasy5G332500.3 locus=Brasy5G332500 ID=Brasy5G332500.3.v1.1 annot-version=v1.1 MIVTLSPHNVVAARCAAEYLGMTEDMDKGNLIFKIEVFINSSILRSWKDSIIVLQSAKALLPWSEELKVIGRCIDAIASKTSVDPANVSWSYSYNKKGVACAEIVESAGKTSVAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALIADDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVSILVGAGGHVKEELMRRISFQLHKASVKDLLLPAASPSDGTHDVRLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLALGELVDGYLSEVASDPDLELSSFVELATSVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSADACIHATQNDRLPLRVVVQVLFFQQLRAGSSSALALADSGEHACTKPMQEQSEQFERRIPRHPNKLNKHITSLSAKGEGEHRNSELRGGRHSFKDQLGGLLLQSRSRRIFDKLWSSKGHGEHGKGSETSGSSQSPPLTAKPTEVKPSPLPPLRNRRYSVS* >Brasy5G002000.1.p pacid=40078316 transcript=Brasy5G002000.1 locus=Brasy5G002000 ID=Brasy5G002000.1.v1.1 annot-version=v1.1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYMMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF* >Brasy5G254600.1.p pacid=40078317 transcript=Brasy5G254600.1 locus=Brasy5G254600 ID=Brasy5G254600.1.v1.1 annot-version=v1.1 MYAVTGRSKGYGSLRFSSENEAVVALEKMGNEVLDGRN* >Brasy5G254600.3.p pacid=40078318 transcript=Brasy5G254600.3 locus=Brasy5G254600 ID=Brasy5G254600.3.v1.1 annot-version=v1.1 MYAVTGRSKGYGSLRFSSENEAVVALEKMGNEVLDGRN* >Brasy5G254600.2.p pacid=40078319 transcript=Brasy5G254600.2 locus=Brasy5G254600 ID=Brasy5G254600.2.v1.1 annot-version=v1.1 MYAVTGRSKGYGSLRFSSENEAVVALEKMGNEVLDGRN* >Brasy5G108000.1.p pacid=40078320 transcript=Brasy5G108000.1 locus=Brasy5G108000 ID=Brasy5G108000.1.v1.1 annot-version=v1.1 MAPTVMASSATSVAPFQGLKSTAGLPVVSRRSSNSLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSVESLLKQIEYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLKEVEEVKKEYPDAYVRIIGFDNTRQVQCISFIAFKPPGCEESGKA* >Brasy5G029300.1.p pacid=40078321 transcript=Brasy5G029300.1 locus=Brasy5G029300 ID=Brasy5G029300.1.v1.1 annot-version=v1.1 MRERLPAVGGGGTVARSGVGSAGSGAGAAGSRWTRSPAPPPVLQAPRADAPPAAGSRWTGAPGAAGGCSRRAGWRTEGRRWRRSGEMEEIGEGRRRRRSGEMDETGGEEIGGDG* >Brasy5G093700.1.p pacid=40078322 transcript=Brasy5G093700.1 locus=Brasy5G093700 ID=Brasy5G093700.1.v1.1 annot-version=v1.1 MGNVCCVGPRRSLAKTRFFSAIVSGAPIWRRSSAPAGSSSPSRPTVTTRSVPVVQPTASTPPPRAAAAAAAVPEVVIKPPPEPTHTAPPAPIVISEPPSRPQPPEPHPVVQKEPPLQQQQHEQQQQEQQQQAQSRQQSRPKKPSHIKRVSSAGLQVASVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKASGQELACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPSIISIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAALKTIDFGLSMFFRPGEMFTDVVGSPYYVAPEVLKKNYGQEADVWSAGVIVYILLCGVPPFWAETEQGIFEQALHGTLDFDSDPWPSVSEGAKDLLRKVLVRDPKKRLTAHQVLCHPWLQMTGEAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEISQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTAGFGKKGHQYNLSFGLRDALNTKANS* >Brasy5G412900.1.p pacid=40078323 transcript=Brasy5G412900.1 locus=Brasy5G412900 ID=Brasy5G412900.1.v1.1 annot-version=v1.1 MAGLSTGAHNIVKLYHEKSMILPDVSRVLACLYEKDIEFKTHTASYKSLLRLQASNQAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDSLERASIEQWLHHEEHAFDPPSRSLFCHLAFPPDEEDDDIDLQKRKLEEVLEVYDQRLGDSEFLAGNKFTLADLVHLPNSYHITKSDKFVYLYDSRKNVRRWWNKISTRDSWQNVVEDIKKVEQQGKQEELEKQRQQQLLREHPSTRHRIRLDPSKRISTKSRTILVPPPVGIISTSPMAPQAQKPRPTKISTGETQVSSSLSTPIIHQSSAARRKHPSNFTTHQETPPASVQSTPSVPERSPTPVQKQSNSFTRATSPTTSDKKPSRNDADNSSIRDVLHPSKTTEIDLPTKSKPSSFKEVPNNLHVLHLYPAHSHTDETEPYTEPTPQRTQEILDKISETGGPSDATNHAKTSPRPAKDSEQLSPSGFYKTDSTPSNADSQDKESVTYTERTLQKSAESIYGRSTDQRASYTSSGKPHSTEAHEKLLVEQWYAAKAGLSNLKGETDHLMPTQQVEPGADVQQNSSPNSEQLNTRPLAQEPVIMDGQLVQGRLEKTTPTTYTGQRRDVSSVLWQRTADVRGIAEEDEASSDPRGAVLPPYTREHTEEANTVSADRRKYASVPKHVIDEAPQGIPFQTSYDDRHETTQEARETASAQRRTRAKDAHGTSQGIGTYHSASSRSQPSDAPGDDRPPPKQAAFEGPRRATAPQSGPQDLIIQARESPALRPTVPHDAQGSTEEETADASSSKSQLLDSHRADARPPKQFSDEAIAPLQTGYTDGPYNRKRDRETAAPKRMMAEDAHDAPQQKQPPAEDPQTTTAPFKKRYSAAEGTTKQSKHAAPRPRKMEAQEVQDNFEESKAGDFWSPREQPSDTWRAPSPLPSHDVNDARSMTAPSQKRYPDTGGTTKEVEDVSSMPRQMGEDATKEINFSESASFLAEPLDYQRTEAPSRKQEEIEDPRGATSPFEIRYAGVQDTTKHPRDTIFKASKMVVQDAHGTSEEAEARKSASFREQPPDAWQSAITPSKQPTDEDTLSLSRPSPTRYPRAEETRKQPRAAASIPTHIAAQDARDVLRKSNVAGSTSSEEQPSDAQAAISFPKQEVSDARGTTVPVQKRYSDTKGTTKKAKVTFEEAKTHDSASSQVQPWDSQRADATEDPRRATSPLKKRHYVEGTTKQSRDNAIKPRQNVDRDAQATDGEPKAGDSAFTGEQPSDTWQAANTPTEQVPTQDDARLTLPSEVISDEHTIKQPRRTASTPRQISPRDVADTFQDSKPADSMSSREQPLSAWQPAVTPPTQEIKDSHSTTSAFRKTSPYVEDRTRPAKPTYTSRTALPVSKQAKAEEDAQDKGIENRETISAPNTMVYRDAQDTYGERKTTGFTSRDYQGPIKVERPPSVYQDPLVAQDGREQAQTLPAMRADGSTQKRRQAPVVPSTSEDKRTPQAPPRAKVHDGHSTEESFKRDTVHDHKEAPLLFGQEPTSQAQDATELLQEAPDGDMSSKSSTIDQWRRTSVPLQDVTSNSGDDVLGASTIDQEPTPMSQQPIPSARGAHEMIERPVEQRMVPPAPARAQTTDVQKRRQDPVVTSSSEEKPTPQAEPGAKVHDGHSTEEPFKRDSVPDHKEAPLLFGQEPTSQVQHATELLQETPDRDMSSKSSTIDKWRRTSVPLQDVTSNSGDDVLAVSTVGQKPTPKSQEPAPARAQKTDVRRAPPSFPGADRAEGAGKPLQMQAPAVDGRATSVPTRKATREIGDQEFVDTSDGQRSKAPEAKYDSAIHEYVPDASLSTHGATIGDKTTFNASEAQGSETRPGSTETPVNDRPTSDDELVGRFLRDQGSQPPESTQTQTPVEAPRDSVSIQDVPSNALDTTKISKPTLTESMTPATTLEAQLAEQKFAPSDKKSARAAEPMSFDESRNGDISVSAVDQNKTPQTIFRHQVRPPAPITREVPSSDTQHNARKIQQVTLDNPPTDDSPMPFVPSHEQVSHAQQTIPSEKHTTPAPGARDSPASDSQPTSAKVQEVIPDNYRTDGLTVPFASIEKQGPLPDAHDILDDGDKIKSPSGQSPVLEYKPDSTPTDSYVHPTSGDVPRILLDQGAESPTTTESTNPKDQPIPASTHHIPANALFNLKKPGPLSPEQEATSSTPDQASHLVAESDSAMSEVLPAAKKFAPSDQDSVHAAQQPSPAEAKKEQTVAAVEQTLPAIVGQKHTQDMRKAPTSDSRNGRPDEVTLAEQRFTPKDQDTQSTSSAEPQNEDSKDPARSAKPLFPAAPDQTKDSQTTSSQQDIAPSLATSKSTSSGTQHASGKFKKVAPEDHIVESIRNQGEASRVKPALEPSKAPSRDARSMIYEDRMRDPSSQAQSSDPKTNSTQNLEPSARLLPNQVAQPHTESEATPPFMGIYDSKSTQDVIDDTLGNGKSLEPSSMDQEATTSALTPYTDLGSASGEIAPARQRSLLKDQDSVLSAQKSSSSEPMGEQSTVAAHNQHKVSQTIVGQQDIAPTPTKMKDPSSTPDPHGAIVEESMAHTTSPALSTHGQHDQDSDHQAQPRASDGSREQERHVDQTIVQQRIFGHQVIEPSPGERESSAEVLEVGPGDRRPSELIKPLIPSRKQDSYTGYPDAHGAVADEKTPPPLVSPAHGLDAGLNSAPASDDVIPTSSDEPVTGPVHGHENKLPAAFQASQTPEAPRDSIYPKNVTADALGDIEPLEATSEVTPADQNMDPSEPLSVIESRKEESTVAEAKESEVSQPVIGQQDIRLPSISEPVIDDDHNKEPVSREEQVSHSQRAPEPHEGSPPDVHGTFVNKMKTPRSSLAKSSDSIPGALRTSLDVDPTSGEQPTTRFLRDQGAQLPATTKSPPYPESNQVVSKSSQPPSADQEVLSPTVVPSSAPDSQLGAASDEIPPDEQKISLSAQDLPRTTQPCLSVEPTKEKTVIAASNQTNEARIIIGQQGKTPSTDKERTPFDFHASELAKPLDEEQVPHDGSAYEQRKGPTPDVYGVVDEKMPLPSRNSDTRPDSAPISGDAHHTSGGGAATSLIHDSQAQSPAGPIEALGGPKIVNNVHDDFLEKVESPRPLSTDQELMTHMTSPAPALDAPEDQDSVHSTKLSPSVVSRKDFANADQENVSQAGLREPTSSDTTRPSEKIQELSPDAYLTKSENPLLPMKGIDPSHRQDSSTPQTIPDQEGMTHASGTRDSPPSDTQLASAKVQEVVPDNYTDELTLPFVSSEKQDPYAGFSTEPHEGPSPDAHGAVADKDITKSPSREATVLEARPGSTPTDSYVRPTSNDEPGIMPDQRKESPTAVQATYGEDPSYSASTLDIPGNALDNLKKLGPISTKQEAISSTPGQASEFNAQPDSAMSEVDPASKKFALSEPLSVVESRKEESRTAEAVESKVSQPVVAQKDIRHVPGISEPVLDDDHNKEPVSREEQVSHGHRDSEPREGSPPDVHGTFVNKEKTPPSSQAKSSDETPDSLDPSSSEQATTRILHDQGAQLPARIKSPLYSGSNQVVPKSLEAPDTDQEVLSPTVVPASTPDSPFGTASDEIAPAKQNFSLSAQDLARSAQPTFSVETTKEDTIIAASDQTNEARTVVGRQGIIPAADKEKTQFDLHASGSAKSLSGSQEQVPHAGNAYEQREGPPPDVPGAVDEKISLPSSQAQNSENRPESAPVSADAHRTRGDGPATSSSRDSQEQPPAGTQALPVEASGGTEIIQQSVPAGFLEKIESPRPLSDHEEFMAPMDNPVPVPDAPQAATPYQDSTHSAQLSPSVVSREHVADADQTNVSQASSSLDAVLSAAGLSGPTSSDTTRPSGKDPVQYPDKASFDLTRDEKTTMIKGDQAKTISNVSLSASQAVGQSESGAVKGASEELVSSSSQKNLEGTSNGEKSMEQQQTDQSSTRSSKDDGIQTSGTARPNILTESGDAQPSLLKESMDATEESSKNQEVDQAVFQSIQDSKRQLEETEAQDTGTNDPEETNFHQNMNPKNNGSSQRNALEQPGEPLPGVQLRSNNTNNGSKPTKDVPSSIQAGDKSERNLRTSEESKQQIQMEDKTKGGETKAVASKTEQPEERDRPSSNKSITSQSQEETSKNLEEQASSSIQNRDTNSSKLDGSTDDMKSGNMEDNSSS* >Brasy5G214800.1.p pacid=40078324 transcript=Brasy5G214800.1 locus=Brasy5G214800 ID=Brasy5G214800.1.v1.1 annot-version=v1.1 MMKSLHSPRGNFHSVQELMMEYLHSPRKSSSMEGLTESLSYYYFVLPNNLRTCLLYLAASAKNQRIQRDNLLRKWIAEGFIPEDEECSREEVASRYFDELIDRNVIQPVKYGNCLGKETYEITYMMLYTLRKISQQENFATFLCKLEPHVNKMPIRLFIHCSDSEHLIGPEWMNLTNARSVTMIDPAKSVSFNFKNAKYVRVLDLYGCEDLDNSAMDDICQMILLKYLSLKGTQVTEIPPQISNLQYLETLDVRQTQVKELPKEVLQLPKLTCLYFGQSGSLGGVKLPSGSGQLKSVQVMSSADSRECSESAMKEISELTEVRELEIVLYDRPADKERNDKLLSCVGKCGNLRSLIIHGDSNPSDKLPAASPNFPLLEKLIVAGRFIKIPGWIAQLGALKKLDIRVCKLKKNGLRIIGGLPCLNILALAVVCIPTEQVAITNAGFASLEVFCFDCRMTWIIFHEKAMPNLKHLQLKLYAGPAGKFPSGIMFLEGLKMVTLLYASQYAGSVSVTETVALVKKEADNHANLIKLFINGNQKNFPSNRSARKGVTGTEIVKSANRVKTESEIKEVAASK* >Brasy5G046600.1.p pacid=40078325 transcript=Brasy5G046600.1 locus=Brasy5G046600 ID=Brasy5G046600.1.v1.1 annot-version=v1.1 MGCAASKGAAVGSPGYEVASSSAAAVPGSAASGASIWRRPVRLESFDLGREGEEQEEDEAGEQKNGARRRLRDLQLQVHGRHVDAEHAAAGWPAWLSSAAAEAVHGWVPLKADRFEKLEKIGQGTYSSVFRAWDLDGGRAVALKKVRFDASEPGSVRFMAREIVVLRRLHGHPNVVSLHGLIVPRSSPNHLYLVLEFLPHDLAGLLSSPNLAPFSPPQIKSYMRQLMAGLEHCHGRGVMHRDIKSANLLVSAEGGLKVADFGLANLFFSPGSSRSPPMTSRVVTLWYRPPELLLGATAYGPAVDLWSAGCVFAELHAGRPVLQGRTEVEQIHKIFKLCGSPAHDDDGCSWAGPGLAAFRPQTPYESRLRETFGPPAGVVPDEPAFALLETLLSVDPRRRGTAAAALASAYFAAARGCEAPSALPLPQPQPQRAAAVHAEESLLLPRAPPEKKQEVVAAGPVQLAASTGFAWAKKPRAPPDPHRLSSSSSKPKPMPSKASAYEAEKQEMMKQWEQITEAFCTASSPGDEEEEEDGQAYSSSRSIRDAAKQLNKSSSSSSKSKGMARVDYSGPLLSQPGRVDELLRSHEQQIRRRAAARRSWFHKGSKREQQPCQ* >Brasy5G071400.1.p pacid=40078326 transcript=Brasy5G071400.1 locus=Brasy5G071400 ID=Brasy5G071400.1.v1.1 annot-version=v1.1 MERVTKLSSEKAVVIFTASEYPMCHTVTSLFSDLGVAAAEHELDKDPRGREMERDLARRLGGRSPPVPAVFIGGKLVGSTDRVMSLHLGGKLVPMLKAAGAIWL* >Brasy5G420600.1.p pacid=40078327 transcript=Brasy5G420600.1 locus=Brasy5G420600 ID=Brasy5G420600.1.v1.1 annot-version=v1.1 MAKEAWGVDGYGSMKGLIRLRSPAAADAGGEGDGAESGSGESDVEEHVEVERRLDHDLSRFEMVQLPAAAASDDEDDEDADEEARAARLEEENLALRARLFLMERDMADIRCRLLAVEALCRDRHRDGCVVDAAVPSESTAAVSGDEAGAADAMIP* >Brasy5G351700.1.p pacid=40078328 transcript=Brasy5G351700.1 locus=Brasy5G351700 ID=Brasy5G351700.1.v1.1 annot-version=v1.1 MLSELVQGLTGLVPSALKRHATHGKRRINSGDVKGDSSDEDGDESDSSDENDADKFKLARRPASKGKETSVPASSPGVVLSQINLPSDSEGQGNELSPAQDDPPEHDDRTDEEDGETIRETASALANVLSHTRLSRPAVRRSAAELRTHNEVKKMVAIELGLSKDTCALPPEMRKKTIVSVFGRRSPRKSVPWRKTSDPSASNQGAELATDPTAQATGVSLNPASEPMVVSSEPATEVVDVARQPASEDVDVASKPDADETSDAMFLTPEASAATPARKTPKRKSSGPEQRHVKRLATRRSPRFTPVSQPRPDVDGTVERDGTSATTAIVLSPAARPSIGAGHDVAAASNAGEPSSSARTSEASTSAHGDDAVGGSLCRAIVLSPSVHLDPGPSTGSAAKPILLSSPSIRTEPLVSKLPWNMPGTSVVVPPEVKDLVAGLSDAIKRSGGLPLDGSKKMAETSRASLRSSSRIGRHPLDFTPPSFDLFLSPTPPALEADPIPVSVVPKDVSPIAFAIPRLSQAGEQQAIVEHFVWHASEKAKYFLFNPAADLDDETSLEIDQLDEALKGLVSKVQFAAEGEKSKTPKEKPHKVRVKRPSRFVCSPFDEAIQVTAEQEVVYDKLMTFTTKSKNSNIKTLKIIDYKTIFAEIQELADAVHPRGELSNNVAEVAVHFLQETNKVEDKLPGQGK* >Brasy5G008200.1.p pacid=40078329 transcript=Brasy5G008200.1 locus=Brasy5G008200 ID=Brasy5G008200.1.v1.1 annot-version=v1.1 MQEGDASAASRLRQYQRRNKMDAAMEDRSRKRRTMESIRREHEDEQKERNLAMANSDEDEEVVDSSPPSSPLHKMPLRDRASFATIDQYVAWVKMLKEEISDRSRVRPQLPTVYFTPQTKFNTPQLFPLRESGTKAVRSASKFVVGLSSSLEGEPLKRCSGFWIDWDEESGIGTLLTTAHLIRTKEPDNSVWLGGQEYAPHANVIVHLLDGKTADGQLLYHQPHYDLAFFGVAVHQRVPVPCFNEEVKFAQDVLQLGRDKSLDLRITHGRAAYSNPSQDERYHYMYLHSEDDEQIGNEYDNGGPVIDLDGKVVGLFNTSSRGSFIPSSILLKCCDSWKKYGAIFRPHLGLTFEAIKLLEPGQVDKIWRMYDIEDGLIVQEVSEGSRAQKLGIRQGDIIECFNGKCISTTVELENMLLSICTRPFGDPNAKVHISVGVFHVRKQLRRNVVLTAYVSEHGEIVG* >Brasy5G098700.1.p pacid=40078330 transcript=Brasy5G098700.1 locus=Brasy5G098700 ID=Brasy5G098700.1.v1.1 annot-version=v1.1 MAMGRDSRLLLVTLLLLTPAKGNSCKTTCTASDLEIQQHVVLQAHEEPNSTTTSPPPPPPAAASSKLNQTTLIFEVWVLNHCECTVDNVVIWAPNGFPTVFNSTLDPRAFSKISEHLYLLNEAGPLEPAGKNNSVSAFFSYPWYSQIELKPAFLVPHC* >Brasy5G245300.1.p pacid=40078331 transcript=Brasy5G245300.1 locus=Brasy5G245300 ID=Brasy5G245300.1.v1.1 annot-version=v1.1 MPQDFTRDFRVASDLVGRFISFLSQKCGRQHAATEAEDLERLRSVLLRIHTVVEEAEARQITNQGMVLQLRGLMESMYLGYYALDTFKFQPLEEDGAPDPEDNQVSHKRLRLCSSTSTRKNTSLLAFGTESTTMVKRVLGSLETKISDVREFIMLLPCCPRLPRQLYSTYLFMDKIMFGRNVEKEKVISFLLHDDQNLAVLPIIGPRMIGKKTLVHHACQDERALEAFQSSLGKSATSGSKVVIIGRTDDIARWGTAPPIRLKSLSQEEYWYYFKALVFGTMNPDEHPKLASLGMQLAKQLRGSFLGANMVGNVLQANPDVEIWYEMLMSLREMAQMRFLLFGEQCPDDILAKNDPVDTAMMASLRYRGCLAYNLREAGRAQIRELPRLTPEGPRMEDGKNIPCDETFDVVVWRSRIPPFRNYIATFVKPKPRRIRRRKSHLPTRDSKIRILFEKHTFPLLENPL* >Brasy5G334300.1.p pacid=40078332 transcript=Brasy5G334300.1 locus=Brasy5G334300 ID=Brasy5G334300.1.v1.1 annot-version=v1.1 MSTVNSPAAAAAAAAEGLRAEAREILQLEADGGPIRDVLTRVQLFANNNSRSALACNLKGRMYKKLGDRIFPSSALSAKMYYETAEDAFDRAAQLAPACVTTAVAHANALAACSRYADAEKEFRRAVSVRRSVDPSFHNLISSDVPALTSSEDRKGEALLKARQDRLAFLGEVNKMIHQSAKELIGREFSHKAREEADALASKFPFSLRAQMLRIFLEREFARNLPQNINQEKFLERVFQKTTKLIDDFERSCILALFRAELLVDMKKYKEAREECQRGLMIAQPSNPCDEVLPPRNAEICVSDVRMQLSNLVDAISEQAAETEVSSLDSDRSKTGEPAHQQIISGRETWNTKTLHESNTREADNSSS* >Brasy5G334300.2.p pacid=40078333 transcript=Brasy5G334300.2 locus=Brasy5G334300 ID=Brasy5G334300.2.v1.1 annot-version=v1.1 MSTVNSPAAAAAAAAEGLRAEAREILQLEADGGPIRDVLTRVQLFANNNSRSALACNLKGRMYKKLGDRIFPSSALSAKMYYETAEDAFDRAAQLAPACVTTAVAHANALAACSRYADAEKEFRRAVSVRRSVDPSFHNLISSDVPALTSSEDRKGEALLKARQDRLAFLGEVNKMIHQSAKELIGREFSHKAREEADALASKFPFSLRAQMLRIFLEREFARNLPQNINQEKFLERVFQKTTKLIDDFERSCILALFRAELLVDMKKYKEAREECQRGLMIAQPSNPCDEVLPPRNAEICVSDVRMQLSNLVDAISEQAAETEVSSLDSDRSKTGEPAHQQIISGRETWNTKTLHESNTREADNSSS* >Brasy5G334300.3.p pacid=40078334 transcript=Brasy5G334300.3 locus=Brasy5G334300 ID=Brasy5G334300.3.v1.1 annot-version=v1.1 MSTVNSPAAAAAAAAEGLRAEAREILQLEADGGPIRDVLTRVQLFANNNSRSALACNLKGRMYKKLGDRIFPSSALSAKMYYETAEDAFDRAAQLAPACVTTAVAHANALAACSRYADAEKEFRRAVSVRRSVDPSFHNLISSDVPALTSSEDRKGEALLKARQDRLAFLGEVNKMIHQSAKELIGREFSHKAREEADALASKFPFSLRAQMLRIFLEREFARNLPQNINQEKFLERVFQKTTKLIDDFERSCILALFRAELLVDMKKYKEAREECQRGLMIAQPSNPCDEVLPPRNAEICVSDVRMQLSNLVDAISEQAAETEVSSLDSDRSKTGEPAHQQIISGRETWNTKTLHESNTREADNSSS* >Brasy5G079900.1.p pacid=40078335 transcript=Brasy5G079900.1 locus=Brasy5G079900 ID=Brasy5G079900.1.v1.1 annot-version=v1.1 MEPSEATTAPQENQVVESLPKEMLMEILARLPVKSVGRFRCVSAEWSAMLSSEHFVDLHARRANRPDRPKLLLAPVGSSYDDCIYSWQPGGQVEKLMSDDFAETGFLAPVTKPCHGLVLIWCTDYRGYFVCNPSTAYGLGYCSVTKQHKVVRLFWCDDVSSCEALVLGAPAYWRPTAQKAPLCYVTKEKPAVFLRGHLHFLCDGVEIVTFNISSETFGSLLPPTGFEDASPLLTELDDCLCFCYGEPDSDDPYHMFLLRDYTEGRWEKLCCIDRIAWPESERMLLRSLCIAPLVMYPSDDGQRWIMFGTGACKVFAVSLDTNIPEILFTPDETIIGSCEDGYILPLCLYEEYLGSAGHTIEEMAFSSPTTKAWSDILKWMPAHSVSELSLSPPVMVVVDPDLLDEAAPALRPELVCTPQPCHGLNAGSSGPLDFICNPVMGYCEHIESMDDDEPFFAGRIGLGYHSEINKHVVVHITYKEKNLDTRDYELQCKLRYVKEKQWRSIDPPPRPIADTPPTYVNGKIYWIIEPNLGPIFLRCEIMSFDVDTEEFEVLQGPPCSHDNGRISILQLQGALCVACSDRSENVLDWMMMDTDTWLMRYHIELKEFLPHYLSENTTPC* >Brasy5G105700.1.p pacid=40078336 transcript=Brasy5G105700.1 locus=Brasy5G105700 ID=Brasy5G105700.1.v1.1 annot-version=v1.1 MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAALRECDMDPNETVQKLLFQDTFHEVKRKREKKKESNKESADPRWRHGTQGRGGKAGRGNYSSRNSSDLTGRNALAGKENEPNPSVEKCSSSSPVNPSTDTKISTSILSLSGGLSNGPSQPLAAMAKNSVAIFHLPSSDSKGPADLKGTPEEVVMDLVSDASQLSTQPPPSIPGVCTIVSDPVLTPSLEAYSHGEIVASKHAFRSQRAAGEYKVVSDDVSVVPKDTSQPSGSSSIVLPSGSRPSSSYSSRSQQPSGSQKAVPNKEWKPKPTNKPTQAENVTRDDVPVTVEVQSVPVLTSAHKEDILSEMSKRLGDVHLFDKQHVIIPDHLQVTESEKYGLSFGSFGTSFDQTVGFPNDHECDKSSIVPEYESSHEVDEIVEEPAPSHEGATSTVEAANQPGLQHLTAEIADTISHQEVDNSSNAPKILQSDENSAASHTPPDLAQSMYSTFEVPPQSQGNQTPLLETSEYQVQQPNDFSANYYAQLYRPIAGVDGHLSPFTLPGAPIKSGNVSVLPTQTGQAQEELDSMAQSSSGPTQLATPAPGVVPNSIAIPQQPLPVFRQPVGLHVPHYQPSFFPYNQYISPFYVPPHALHHFVGNAAFPQAPSPGNMYPPVSSAVAPPVKYSAATYKPGANTGSQTYTVTPGAYGTYGSSPSVYTNNNVVPSGTSADNGDVSGSQFKENNIYIAGQQSEGSTVWIPAPGRELSTLQSSSFYGLPPQGQHLAFAPGHGAYAGMYHPAQTLAGGAVHPLLQPSQTIAGAVEMVGPPSNGYQQQQHAQMNWGSY* >Brasy5G511400.1.p pacid=40078337 transcript=Brasy5G511400.1 locus=Brasy5G511400 ID=Brasy5G511400.1.v1.1 annot-version=v1.1 MEPLSCKLLAVLAILIMAAAAAPVSAEVVPPLLGLGEQAGALLAWKATLSDQSQVSLISWGNMSAPCSWGGIRCGLHRHRPVITGVSLQGMLLAGGTLESLNFSAMRTLTSLDLSQNELVGSIPSSIEILVELHALLLQGNQIRGSIPPSLANLTKFHLLVLSDNQVSGEIPRQIGEMSNLVNLNLSDNQLTGPIPREISDLKHLSILDLSNNNLSGSLPRNMDADMLPSVLPSVN* >Brasy5G472700.1.p pacid=40078338 transcript=Brasy5G472700.1 locus=Brasy5G472700 ID=Brasy5G472700.1.v1.1 annot-version=v1.1 MYIYLRKSNNNSIQAWRKKNTSSFPNWEPTCNPSPDIPLDLRAFSGSILVASLFIKIGNNLSDCSLVG* >Brasy5G509100.1.p pacid=40078339 transcript=Brasy5G509100.1 locus=Brasy5G509100 ID=Brasy5G509100.1.v1.1 annot-version=v1.1 MEINGRTETGSPASLLPRRRSPPPARSPARHRQPLPASPTPLAELEFEPNSMAAAAFMGSLLLAAGARGERSAPLPCSHLRALPRLTAASRRCAWTPRAPLRPRPSPRLPESPPRRRSLPPPQAPRCPASSVNSSPSSGGYEGRAAARSGGGGVAATAAASHIHVSCGGGSHRPPPSPSRPRSTA* >Brasy5G316700.1.p pacid=40078340 transcript=Brasy5G316700.1 locus=Brasy5G316700 ID=Brasy5G316700.1.v1.1 annot-version=v1.1 MASSRRVISTSFIRVIVCLCFFRLSQGKGTAKQPFTLKNLPSLEKASSFPTTRHATYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNISYMENFHIGLSNMAPDSASQAFVSTDGVINARARVLGGGTCINAGFYSRASSSFVQDVGWDADLVNESYPWVEDKVVQWPKIAPWQAALRDGLVQAGVSPFNGYTYDHVSGTKVGGTIFDENGHRHTAADLLAAGDPNNLRVLLHASVHKIVFNSQQGRLKPRAIGVQFADEDGRLHQALLNNNRYSEIIVSSGAIGSPQLLLLSGIGPKNDLKNHNIPVILHNKYVGKRMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSSDSIHCHHGIMSAEIGQLSTVPPKQRSLELAKKYAQDKLNLPKEVFQGGFILEKIDGPQSTGHLVLIDTDVRNNPAVTFNYFSHPEDLRRCVYGIKTIEKILKTNRFAKLTPDAAGYEMERMLNMSVRANVNLIPKHTNTTESLEQFCKDTVITIWHYHGGCNVGKVVDQQHRVLGVSGLRVIDGSTFSRSPGTNPQATVMMMGRYFGVKILRGRLGQAAGV* >Brasy5G498800.1.p pacid=40078341 transcript=Brasy5G498800.1 locus=Brasy5G498800 ID=Brasy5G498800.1.v1.1 annot-version=v1.1 MSRIHPSNHRGAGDHGRTVSSSSMAPAPELQVYTVWKRSSMGFQGTDGFSVYDAGGRLAFRVDNYGRRCKAFAGEILLMDGRGSPLLSLRPQILSLHDRWNCYRVAQVESEVSCLAGDGSSSGSPAPEKKLFSMRRCSALHSSDEAEVYMSPSSSPSPPPPTESSSSGRRGCRAQPPPLPSFRIEGSFSRRSCKITGSDGQEVARISKKKAGPTSPATSRPVSLGDDVFSLVVRPGVDAATVMAMVVVLDRICRRPYTPMACSSQQ* >Brasy5G288500.1.p pacid=40078342 transcript=Brasy5G288500.1 locus=Brasy5G288500 ID=Brasy5G288500.1.v1.1 annot-version=v1.1 MTSSSLLSSMEVMLDALMQRGILKPDDKPKEEPPPALPTRPTVRGRPPSLNRPGAGPSPWMSSHRPPLAPIPLPPEEEEKGKSSVHLELELEICAKKNSELETRALNAEEEVKQRDDDVRQKEEEIAALRQQVEHYESRVSEYEAKLKSVEEELQKQIVALQVAQSAGITHRRQLSNNVIRAKTQGLATRAEEASASVSASVSMRRQQRGCGGDPGLDEKQSNHADDNNLANEFTRESQKFDHAAKAVAEAPPSVMSVDELKVLKRQFGAWRKEYEARLRKTKAELKKRVVHSEKSHDQGGGGHVSSSSSRLRRCSCSCWWRIKAPKFKPPKCCTCNLKFPKLPSPPSCSFSCCFRRRR* >Brasy5G396400.1.p pacid=40078343 transcript=Brasy5G396400.1 locus=Brasy5G396400 ID=Brasy5G396400.1.v1.1 annot-version=v1.1 MGNCLGSRITHGSTHPAASGFFSRGTGKDGSRLSACSSRASSSSMPPSAKTEWEILQSANVKIFSYNDLRLATRSFRPTNVLGEGGFGPVYKGWIDENTLSACKPGSGIPVAVKRLNQEGLQGHREWLAEVNFLGQFCHPNLVKLIGYCLEDENRLLVYEYMPRGSLENHLFRRGSHIQPLPWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNVLLDTDYTAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGQHNLVEWARPYLTHSRKVFRVLDTKLEGQYSHRGAQTIAALAVECLSYDAKMRPSMDAVVSILEGIQGSSEADRKPATEKHQEPKGAGKNDPGAGACKPHRNNLGGIRSEPSGRNPKPNLGDIRKEPGGRSPKPNSVDVQKEPSGLSPEPNSGDVRKEPGGRSPKPNLEDIQKEPVVGSPKPQ* >Brasy5G472000.1.p pacid=40078344 transcript=Brasy5G472000.1 locus=Brasy5G472000 ID=Brasy5G472000.1.v1.1 annot-version=v1.1 MAVSGGLGRPAGRTPAARGGSSSKQMQKTIGNVKITLICGFITILVLRGTVGINLLSFSGSGISDSDAARVAEDIERLLREIRSDAADEDDDNDLGHHPDNSTTSINGAAAESTTTIKNYTLGPKITRWNAKRRQWQARHPGFPARDARGKPRILLVTGSSPGPCDDPAGDHFLLKSTKNKIDYCRIHGIELVHSMAHLDRELSGYWSKLPLIRRLMLSHPETEWVWWMDSDALFTDMAFELPLPRYDGSNLVIHGYHDLLHKQRSWVALNTGSFLLRNCQWSLELLDAWAPMGPKGRVREAAGKVLTASLTGRPAFEADDQSALIHLLLADKQRWMDKVYVEDKFYLHGFWAGLVDRYEEMMEKHHPGLGDERWPFVTHFVGCKPCGSYGDYPKDVCLAAMERAFNFADNQVLRIYGFRHRSLASPKVKPVPVNRTATPFDALDMGPKAKTWL* >Brasy5G190800.1.p pacid=40078345 transcript=Brasy5G190800.1 locus=Brasy5G190800 ID=Brasy5G190800.1.v1.1 annot-version=v1.1 MAPRPSRRSQKMAIRRLDRELAMLSSAEVAVNAVINRLLAAFAADANNAAAGGNSGSGREGAAGAEEGVRGAEGEDGEEMKKAKSSSPIAAWLDAGLADLSEEELLSFEAALVNVQRVVRALADDIFLEAPAAASSAQGPTEDEEEE* >Brasy5G266500.1.p pacid=40078346 transcript=Brasy5G266500.1 locus=Brasy5G266500 ID=Brasy5G266500.1.v1.1 annot-version=v1.1 MRLLVPDGARQQQQQHPALSLAPAVTAAGPRQRRPAEEAGASGRTVAVGIRRDAASRELLTWALVKVANAGDRVVALHVAAGCGGGGAAGSEEKGEAADSLATVLAVYDGFCNLKQINLELKVCRGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCARRVPTSCSVLAVNNGKIIYQRDAPQQEPICSTTTTAETPRRSYRKLLTSLIGEKPQDEHVKDNRTISRAVTMPMRTPTRSKEVSLALVPVKGCRRDSSEVATGWPFLRKKFLPDRKAELSDKPKMSVVQWAMRLPSRYSAVSPAHLEHRTMRPDSTPISGDRVVVPSRSNSGSSSVVIEELDNEIPEELISLRDKFLSIYSSYSYSELSKITSDFSPECIVGQGGTSQVYKGCLTNGKELAVKILKYSDEVLKEFVSEIEIVNSLSHKNIISIIGFCFKNNDLLLVYEYLQRGSLEELLHGEKECKNVFGWTERFNVASGVAYALDYLHGNGSSRPVIHRDVKSSNILISEEFEPKLSDFGLALWATEATPQITCNDVAGTFGYLAPEYFMHGKVNDKIDVFAYGVVLLELISGRKPLCTGCPKGQESLVMWANSMVQGGKLAELVDPSFPTEGHDDEVERMTLAASLCIRPAPQHRPHIAVVVKLLDGDNDILKWARSQVGSSYESDTDEDAVTLTPPENSTNIQSYINLAFDVDDDSASVSSNDFITANTSLEEYLQGRWSRTSSFD* >Brasy5G184700.1.p pacid=40078347 transcript=Brasy5G184700.1 locus=Brasy5G184700 ID=Brasy5G184700.1.v1.1 annot-version=v1.1 MAATGASREERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEECRRRWEEKGFSVTVSICDINPEVQEQEYSRIPMRRNGEPVEIAAAVSFFCMSAASYITGQVITVDGGRTISA* >Brasy5G266700.1.p pacid=40078348 transcript=Brasy5G266700.1 locus=Brasy5G266700 ID=Brasy5G266700.1.v1.1 annot-version=v1.1 MPAPQPWGPPPQCSHPPNIPPAGTGYGGNPHFMPPRPQDNNYPPPEVHPMEKQPRHGISSYGHDAPPGGAPPASGNQHLTPGSSQY* >Brasy5G376800.1.p pacid=40078349 transcript=Brasy5G376800.1 locus=Brasy5G376800 ID=Brasy5G376800.1.v1.1 annot-version=v1.1 MIVCLPTPRKRKPNQHEASDDARQNHFPSPVPPPAPPPPPIRGPVSRRGGGGGMRTAAVPAPEAHQSRLLYELCALLLTILRPPGEHGPRPWPRQVTAAGAASMLLGASVALMLCGSVTFMLGFFLMPWVVGLACVFLFVGFVTNLSGIGRAILCWPAAYSPKEASTWHIFPKPPFMQM* >Brasy5G252500.1.p pacid=40078350 transcript=Brasy5G252500.1 locus=Brasy5G252500 ID=Brasy5G252500.1.v1.1 annot-version=v1.1 MGMFALNRLMSLQRDRQRRRLQIRARCGLNTSRGKRKGSPCQQGGDGKSQAAKIMRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVELASYILNNAVSLECLTLDTMFGYRCGEGKQKNCHILEAALFKEAHRALLAVRTYIENKVPSTDKLTVREPCRQCHASAMFMS* >Brasy5G252500.2.p pacid=40078351 transcript=Brasy5G252500.2 locus=Brasy5G252500 ID=Brasy5G252500.2.v1.1 annot-version=v1.1 MRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVELASYILNNAVSLECLTLDTMFGYRCGEGKQKNCHILEAALFKEAHRALLAVRTYIENKVPSTDKLTVREPCRQCHASAMFMS* >Brasy5G252500.3.p pacid=40078352 transcript=Brasy5G252500.3 locus=Brasy5G252500 ID=Brasy5G252500.3.v1.1 annot-version=v1.1 MRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVELASYILNNAVSLECLTLDTMFGYRCGEGKQKNCHILEAALFKEAHRALLAVRTYIENKVPSTDKLTVREPCRQCHASAMFMS* >Brasy5G252500.4.p pacid=40078353 transcript=Brasy5G252500.4 locus=Brasy5G252500 ID=Brasy5G252500.4.v1.1 annot-version=v1.1 MPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVELASYILNNAVSLECLTLDTMFGYRCGEGKQKNCHILEAALFKEAHRALLAVRTYIENKVPSTDKLTVREPCRQCHASAMFMS* >Brasy5G252500.5.p pacid=40078354 transcript=Brasy5G252500.5 locus=Brasy5G252500 ID=Brasy5G252500.5.v1.1 annot-version=v1.1 MGMFALNRLMSLQRDRQRRRLQIRARCGLNTSRGKRKGSPCQQGGDGKSQAAKIMRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVW* >Brasy5G252500.6.p pacid=40078355 transcript=Brasy5G252500.6 locus=Brasy5G252500 ID=Brasy5G252500.6.v1.1 annot-version=v1.1 MRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVW* >Brasy5G252500.7.p pacid=40078356 transcript=Brasy5G252500.7 locus=Brasy5G252500 ID=Brasy5G252500.7.v1.1 annot-version=v1.1 MRSSIPDLPEEILFLIHCLMPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVW* >Brasy5G252500.8.p pacid=40078357 transcript=Brasy5G252500.8 locus=Brasy5G252500 ID=Brasy5G252500.8.v1.1 annot-version=v1.1 MPMRDAARTACASRAFLHSWRCHPNLIFNKDTIGLKINGRGENFHHKVGRILRKHSGIGLKTFNLDYSYMCGFDGSRYFDSWLQIALKPGIEKLTLWLPTTKKIYNFPCSLLSDGVRNSLQYLQLQNVALHPTVELGSLRSLTSLHLNDVRITWDELECLLCNSLALEELVLECCAEIICLKIPCSLQRFSSLRVIGCYRLRVIESKAPNLSSLNLTGHRLNFSHVETLQVKKLAMDCSNFIGDARGKLPSSMPKLETLVIISQSEVVDAPMLPTKFLYLKHLTITLLLTHVSRPYDCYSLVSFLDASPSLETLVLAVSQRHMLYQSVFEDSQLRHIPARPHGCLRSVKICGFSSAKCLVW* >Brasy5G390100.1.p pacid=40078358 transcript=Brasy5G390100.1 locus=Brasy5G390100 ID=Brasy5G390100.1.v1.1 annot-version=v1.1 MHVVGCTYLCTCLRFDGSSPWSRFPSRPALSRPDLLRGDDGGLWLSHPHGCIGARVRRGDGITGKLAVVTAGAGDDDEEDDPPKGLRWRLLRG* >Brasy5G009900.1.p pacid=40078359 transcript=Brasy5G009900.1 locus=Brasy5G009900 ID=Brasy5G009900.1.v1.1 annot-version=v1.1 MAALEMPVLPFFPGPDDIDALLAGFGFDDHHEAPTPPPALCTSPEEGTSAGSAGTADVTAAGAGDGDDGGERERLRRLRRRISNRESARRSRRRKQRHLEEQRAAEAALRAGNRDLAARLRHARARAAAAHVANARLRSEAHALGRRLAAARRALALRQHLFNVSGAGGLFDMQRTTPALQQDAFFSFSC* >Brasy5G121100.1.p pacid=40078360 transcript=Brasy5G121100.1 locus=Brasy5G121100 ID=Brasy5G121100.1.v1.1 annot-version=v1.1 MSLVSGWSSCPTISFHLCTMRWDLSSFLVHSLGRRRGFHALRNLAWLELFPYSAGAIGSLCRLSRCVGSGDLDLHLQVFRHAMEAYLIYLPELGVFDRCSTVKSPFSCFANRRLLNSPIPDATSQKLNCQINRFLLFCKAHSDDSPHVKEKDIFDVCVSFIEENQHVFPEQSRKDVVTVVKIIVSNIMDCAKQNEMHRFDSKVSEEIIYIAAVLKLIGSSFLEILHCSRQMRVPDDKNHENHIVVCISETVRLLGQYEADGLTIGDLFGLIVKPVDRERASVAMLLHFASLLVFCLRMGFGFLWRGCIIMMMLAMNLVIDEERSLGLFRFLIGSKDSAISSIGQNGNLKGSTQRKSSTAIALQFNNLHKRLSRDKVGHDFSEDSRRKSADGRAFFETIPGHKQNSSKWDDLLDFVECEQDMDYSSWWVQHNKFKQYVDGKWKRSKRPSWEVSKRRHYSAMKTKRTKTSRR* >Brasy5G081900.1.p pacid=40078361 transcript=Brasy5G081900.1 locus=Brasy5G081900 ID=Brasy5G081900.1.v1.1 annot-version=v1.1 MEDLPEALLAEIVKRVTCTSDLNSLSLVSKRLYQIEADQRGAIRVGCGLCPATEALVSLCTRFLNLWKVEIEYYGWTTGHGNQLDNQGLFMFSSHCPSLTNLSLSFSIDDSGLGYLAYFNKLTSLKLNSTPKITSSGLLAVAKISGKDWLEYLGCDGSLDELVVKKCKGISQYDLVKFGPGWMKLQKFVFELKNRIFVNLCHKGYEGYDTSYDAHSPNIYDFCCESLKNLRLAFIETETQEGLRFILGKCKALESLCLHYVWGLNDKDMIALSQSCINLKSISLRMDPQFYNRNFRTAFTDVSLTALALSCPMLQTVELTFAGCEPMYPSEIAFTQKGFVELIQSCPIRVLMLNGANFFDVEGMKAVSSAPFLETLELVDCWGVTDDGTRFIARSPCLINLTLRSCERVTDGGVTDLVHAKKLESVIIEGCSRVSPEAAKGVARSVQYSVHCASPGHLKGMF* >Brasy5G448500.1.p pacid=40078362 transcript=Brasy5G448500.1 locus=Brasy5G448500 ID=Brasy5G448500.1.v1.1 annot-version=v1.1 MVACQCNKLAVFKCTHFCVFNTEFDYTTYMCNVIQIVAGFGNALRRVSKGFCTHFCNVQTSVFYGLVHRRKNSSPLRCSFRSIQARNNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSTKDVDLLYQFIDKSNKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNTAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPIELHGSVYEVICLECGTSISRESFQEQVKELNPKWALAIDSLEEGQPGSSKSFGMQQRPDGDVEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPQERADGAKEAARNCDALLVVGSAVMTMSAFRLARLAHESRAPIAAINIGDTRADSILSFKINARCGEILPRILQMGSLAVPNVS* >Brasy5G448500.2.p pacid=40078363 transcript=Brasy5G448500.2 locus=Brasy5G448500 ID=Brasy5G448500.2.v1.1 annot-version=v1.1 MAAHASRASASIVAGFGNALRRVSKGFCTHFCNVQTSVFYGLVHRRKNSSPLRCSFRSIQARNNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSTKDVDLLYQFIDKSNKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNTAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPIELHGSVYEVICLECGTSISRESFQEQVKELNPKWALAIDSLEEGQPGSSKSFGMQQRPDGDVEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPQERADGAKEAARNCDALLVVGSAVMTMSAFRLARLAHESRAPIAAINIGDTRADSILSFKINARCGEILPRILQMGSLAVPNVS* >Brasy5G448500.3.p pacid=40078364 transcript=Brasy5G448500.3 locus=Brasy5G448500 ID=Brasy5G448500.3.v1.1 annot-version=v1.1 MVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNTAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPIELHGSVYEVICLECGTSISRESFQEQVKELNPKWALAIDSLEEGQPGSSKSFGMQQRPDGDVEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPQERADGAKEAARNCDALLVVGSAVMTMSAFRLARLAHESRAPIAAINIGDTRADSILSFKINARCGEILPRILQMGSLAVPNVS* >Brasy5G179100.1.p pacid=40078365 transcript=Brasy5G179100.1 locus=Brasy5G179100 ID=Brasy5G179100.1.v1.1 annot-version=v1.1 MQELFDLPFDNGESVEEIDEVDRRADAVLENYGRKKVADMMYQLRIDVVKMHFEKKGDMLDDSLARGEELTYDEYLESRIPWFPEHAWDAMCRYWCSKEFEAKRKRGKLKVANENLEKKQELHDQKHEMYERLILELFKDMKKDPPAWLGTNLLPTPQNGNSSCCNEDVASC* >Brasy5G522500.1.p pacid=40078366 transcript=Brasy5G522500.1 locus=Brasy5G522500 ID=Brasy5G522500.1.v1.1 annot-version=v1.1 MVYCNHCDDYCPSVKDPDKGYICCGTCGKVLDQEIYDTEPTFVKDGLGQSQKVGSVISSIESGSSLSHERTLMKGRDEIWQIVTSLHVGGGDTIIDMAHKFYTLAVDHNFTRGRRTTQVAAACLYIACRQSKKAYLLIDFSDYLKISVYVLGAVFLQLCQVLLLAEHPIVQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKSDIVAVVHICEATLTKRLIEFENTDSGSLTIEDFLAKADEEQPVSKPSRKSGEVLCKHKDKNIEHFAHGLCEKCYNKFIKMSGGLEGGVDPPAFQRAERQRLEAAKKSEEAAAFKEAALGESNCEIQNSDVEDNIISPKKGPIGDKSSTIPSEQNANDNIASKDPEVGALGENSKPDADPESFSDIDDVEVDGYLHNEEETRYKKIIWEEMNKEYIEEQAAKEALAAELAARGVVVGGGQQKKRRRNEDIKNLSPAETPAEATYNMLKRKGLGSKINVEAVGGLYNTKDEDGEANEKGDMGFDGDYTQDIGDGETFEGGDNCADYNNGGEGGAEAYTDYDEFGF* >Brasy5G522500.2.p pacid=40078367 transcript=Brasy5G522500.2 locus=Brasy5G522500 ID=Brasy5G522500.2.v1.1 annot-version=v1.1 MIRCCGTCGKVLDQEIYDTEPTFVKDGLGQSQKVGSVISSIESGSSLSHERTLMKGRDEIWQIVTSLHVGGGDTIIDMAHKFYTLAVDHNFTRGRRTTQVAAACLYIACRQSKKAYLLIDFSDYLKISVYVLGAVFLQLCQVLLLAEHPIVQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKSDIVAVVHICEATLTKRLIEFENTDSGSLTIEDFLAKADEEQPVSKPSRKSGEVLCKHKDKNIEHFAHGLCEKCYNKFIKMSGGLEGGVDPPAFQRAERQRLEAAKKSEEAAAFKEAALGESNCEIQNSDVEDNIISPKKGPIGDKSSTIPSEQNANDNIASKDPEVGALGENSKPDADPESFSDIDDVEVDGYLHNEEETRYKKIIWEEMNKEYIEEQAAKEALAAELAARGVVVGGGQQKKRRRNEDIKNLSPAETPAEATYNMLKRKGLGSKINVEAVGGLYNTKDEDGEANEKGDMGFDGDYTQDIGDGETFEGGDNCADYNNGGEGGAEAYTDYDEFGF* >Brasy5G267000.1.p pacid=40078368 transcript=Brasy5G267000.1 locus=Brasy5G267000 ID=Brasy5G267000.1.v1.1 annot-version=v1.1 MTPSHPSPGAGPASSSSAAGRRTATFAGASVFLSRKLVAPEVFDAVHDALRFNGAEVFLSSDPGRAGPLDYHVISSSSHEKFADLKAKGCNLLGPQCILSCAKERRSLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVEAMGGLLQAKVSMDINFVIAKDVSAAKYKWALNILKKPIVSMNWLEQCWIEHRVVPHEPYRILPFTGLTICFTKLDADKRMELKEVILQNGGQYSATLTKKCTHLVATEPGSDKYVVAKKWGHILIVDRRWIDQSVARRACLDENAYLISESSTTFKGIRGSANEQRNPEISGASFQPVPAASFDDSVSTSQYVQVSGDASKICSSDVVGAPSVQETNEMQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVTMIRDGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKVTWLEDCNKAKKEVKVSATHLASELLLKEFPFLSMDKSADTRETKAAKSLCGMFHVPAVNDSHDKLQVKYLSSERKLARGRHENMNNTQTTTRSAKSSQQSGELSSERKPARGKHENMNNTRKTTRSAKSSQQSGETSIGKVTSSAVNLRSSSSNIFNGRTFCFSKSFSHDRRAEVVDWLRKGGGMLVDDAQTVVDFIIECHGQNNMQCDFSHSTFVSTQWIASCLEVGYLQDVGSHPIFSPLLCRIPFPGFEDFRFCVSQYEEKDRILLKNLCLALGAKFTDKATNKVTHLICKFASGPKYEAFHKRGVPTITVEWLFECVRQDTMIPFDKFLPKPPTSQDRDAGLCTVSQFRTQAANTTCKFDCPEPLSEFQVPRGSSKHSSGSSVSGEKNSSSINKRRRSETSSANETSGTVRTEKHADTSSVPAVADSIEDLEDLLVQSSKNCAPADSVLHQDEEHRPLPACTYIPYSDKIESHSNNRPQKQGSPTGKNFLGANSIRSPAPSLSPYLTPFSETQSESQIVGYEEDFTGIQKIIDRVSSQKKGNTIDRVRSQDINH* >Brasy5G267000.2.p pacid=40078369 transcript=Brasy5G267000.2 locus=Brasy5G267000 ID=Brasy5G267000.2.v1.1 annot-version=v1.1 MTPSHPSPGAGPASSSSAAGRRTATFAGASVFLSRKLVAPEVFDAVHDALRFNGAEVFLSSDPGRAGPLDYHVISSSSHEKFADLKAKGCNLLGPQCILSCAKERRSLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVEAMGGLLQAKVSMDINFVIAKDVSAAKYKWALNILKKPIVSMNWLEQCWIEHRVVPHEPYRILPFTGLTICFTKLDADKRMELKEVILQNGGQYSATLTKKCTHLVATEPGSDKYVVAKKWGHILIVDRRWIDQSVARRACLDENAYLISESSTTFKGIRGSANEQRNPEISGASFQPVPAASFDDSVSTSQISLVGFEEKELSRLVTMIRDGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKVTWLEDCNKAKKEVKVSATHLASELLLKEFPFLSMDKSADTRETKAAKSLCGMFHVPAVNDSHDKLQVKYLSSERKLARGRHENMNNTQTTTRSAKSSQQSGELSSERKPARGKHENMNNTRKTTRSAKSSQQSGETSIGKVTSSAVNLRSSSSNIFNGRTFCFSKSFSHDRRAEVVDWLRKGGGMLVDDAQTVVDFIIECHGQNNMQCDFSHSTFVSTQWIASCLEVGYLQDVGSHPIFSPLLCRIPFPGFEDFRFCVSQYEEKDRILLKNLCLALGAKFTDKATNKVTHLICKFASGPKYEAFHKRGVPTITVEWLFECVRQDTMIPFDKFLPKPPTSQDRDAGLCTVSQFRTQAANTTCKFDCPEPLSEFQVPRGSSKHSSGSSVSGEKNSSSINKRRRSETSSANETSGTVRTEKHADTSSVPAVADSIEDLEDLLVQSSKNCAPADSVLHQDEEHRPLPACTYIPYSDKIESHSNNRPQKQGSPTGKNFLGANSIRSPAPSLSPYLTPFSETQSESQIVGYEEDFTGIQKIIDRVSSQKKGNTIDRVRSQDINH* >Brasy5G267000.3.p pacid=40078370 transcript=Brasy5G267000.3 locus=Brasy5G267000 ID=Brasy5G267000.3.v1.1 annot-version=v1.1 MTPSHPSPGAGPASSSSAAGRRTATFAGASVFLSRKLVAPEVFDAVHDALRFNGAEVFLSSDPGRAGPLDYHVISSSSHEKFADLKAKGCNLLGPQCILSCAKERRSLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVEAMGGLLQAKVSMDINFVIAKDVSAAKYKWALNILKKPIVSMNWLEQCWIEHRVVPHEPYRILPFTGLTICFTKLDADKRMELKEVILQNGGQYSATLTKKCTHLVATEPGSDKYVVAKKWGHILIVDRRWIDQSVARRACLDENAYLISESSTTFKGIRGSANEQRNPEISGASFQPVPAASFDDSVSTSQISLVGFEEKELSREKKEVRRLAAWGVINVVKVTWLEDCNKAKKEVKVSATHLASELLLKEFPFLSMDKSADTRETKAAKSLCGMFHVPAVNDSHDKLQVKYLSSERKLARGRHENMNNTQTTTRSAKSSQQSGELSSERKPARGKHENMNNTRKTTRSAKSSQQSGETSIGKVTSSAVNLRSSSSNIFNGRTFCFSKSFSHDRRAEVVDWLRKGGGMLVDDAQTVVDFIIECHGQNNMQCDFSHSTFVSTQWIASCLEVGYLQDVGSHPIFSPLLCRIPFPGFEDFRFCVSQYEEKDRILLKNLCLALGAKFTDKATNKVTHLICKFASGPKYEAFHKRGVPTITVEWLFECVRQDTMIPFDKFLPKPPTSQDRDAGLCTVSQFRTQAANTTCKFDCPEPLSEFQVPRGSSKHSSGSSVSGEKNSSSINKRRRSETSSANETSGTVRTEKHADTSSVPAVADSIEDLEDLLVQSSKNCAPADSVLHQDEEHRPLPACTYIPYSDKIESHSNNRPQKQGSPTGKNFLGANSIRSPAPSLSPYLTPFSETQSESQIVGYEEDFTGIQKIIDRVSSQKKGNTIDRVRSQDINH* >Brasy5G258400.1.p pacid=40078371 transcript=Brasy5G258400.1 locus=Brasy5G258400 ID=Brasy5G258400.1.v1.1 annot-version=v1.1 MGKAHAGPGETQRTYELLDSAAALEPGTARRLCSAVRDMRRSSSSDADGMKYARQLLGGAMSGIVDYAAVYRAWIAMMEADIGSGIGIGVGAARELVMDWGCVCADEGTADDYAAFWIGYLAFELRHGGGAGVRAVVADAARACPGDAAVRAMCAVAERRLAAGSTPAPTPRRRRWWTRLARAPSAAVMAVAACRCSPAAAWVR* >Brasy5G460000.1.p pacid=40078372 transcript=Brasy5G460000.1 locus=Brasy5G460000 ID=Brasy5G460000.1.v1.1 annot-version=v1.1 MDGRRREGGSAAGGREARPGGALATAVQEQAAAGGPAPDGDGNERRVVFLLWKARPFFLLAKPWPTSIDRAAARRRTPAPLAARRRPPVALLAPAPPLALARARVQYSRTPWSRTAARARAARPGPRAPARACLWPRPGLVPPPPAPASIWPPAPWPVSPPPGRARASGRTLSTQHVVITTKTTWERNALSPGLAPPPMPGMVARYKKPDEMCTAGASLLLLPFHPALPPVSALHAQEA* >Brasy5G054100.1.p pacid=40078373 transcript=Brasy5G054100.1 locus=Brasy5G054100 ID=Brasy5G054100.1.v1.1 annot-version=v1.1 MTSTATSRGSVKLVYNVLSSFEEQKCDIVRSMDFGGLLYFPSLTKINLRFSKWLLSKVDSNRQAIVVDVDRIYRFTEKDIQDVFGIPGAGREVRDKKIERSEHWMNTIRGRLGLDGRDMRSLKAAQNVLTKDYGEIMTDDDVDAFKTAFVIFIMGHLGAPMTKHNYTSTEYWPALIRPSEIQTFNWAKYVLEELCEASNKLRMDLRRNVFYLDSIDLGTLSMPRLMLPRIRYFGMERMKSMIYADMLLKPGVRPETEWGASQPRRAQHSRPKHGRGLPDQKSQDSDWQRALKICKILYLSDAV* >Brasy5G194500.1.p pacid=40078374 transcript=Brasy5G194500.1 locus=Brasy5G194500 ID=Brasy5G194500.1.v1.1 annot-version=v1.1 MADTRRAAFLCVLLPLLVFSLANRPSLVEASYKYRNPMMPFCHGWMCKTECWLEAKLFLASVKEHYCVKGGIKGYCYCLFCGKNLKEDDVQEEKKPQELIH* >Brasy5G139800.1.p pacid=40078375 transcript=Brasy5G139800.1 locus=Brasy5G139800 ID=Brasy5G139800.1.v1.1 annot-version=v1.1 KEKPQTHGAFDRAVARRRGVSAPAVSAVSEPPPAVSAVGEPLPAVSSLAELREPVAVAALVSVPEPLSRVHAIDVQVILYCMRLSLADPRRQRALCGCRRTRPSLSPAPCCSRAPWLPQHIS* >Brasy5G408700.1.p pacid=40078376 transcript=Brasy5G408700.1 locus=Brasy5G408700 ID=Brasy5G408700.1.v1.1 annot-version=v1.1 MSPAAGGAAPTQPAASAAALPPTAIAVPEADRAAPPPAQENLRDPAAQVEGADPGNAAARKTAWNVPPPPPPAATGGIIGGDESWPALADSAARAWPKSASSDSLKSLSDGDGSAPSVPEELIVPVVPSQPVSNPISTNPNAASTSPPPNATAMASPRQSSRGNQPNLVRHSGGNGGNNGAGRGGNGSSPGLRTGSSTSDLNNGSGGDGIWNDGGLGGRSNLNSSVCSGGINGSGIDSSRRMVGNNNWNGNGRGGGGGGSSNNNGTGIGDGGNRHNGSSSWNSNARNGGGISNGFGGRGGRNRRDHERGGSFPHRNYSRALPVPVPPQQQQPGYQPGPFHRPPPPPSAAHFMVPQPFMPYVPPFAYPADMQGYPFYITPVEQQFQNMHLVRQPMQPLGVHQDQLSLQHDIRQQIEHYFSTNNLCHDTYLRRHMDDQGWVPIDLIAGFPMLTRFTVLGIDTNYILDSIRGSEMLEVQGNNVRRRNDWADWLLHLPPANQLQ* >Brasy5G408700.2.p pacid=40078377 transcript=Brasy5G408700.2 locus=Brasy5G408700 ID=Brasy5G408700.2.v1.1 annot-version=v1.1 MSPAAGGAAPTQPAASAAALPPTAIAVPEADRAAPPPAQENLRDPAAQVEGADPGNAAARKTAWNVPPPPPPAATGGIIGGDESWPALADSAARAWPKSASSDSLKSLSDGDGSAPSVPEELIVPVVPSQPVSNPISTNPNAASTSPPPNATAMASPRQSSRGNQPNLVRHSGGNGGNNGAGRGGNGSSPGLRTGSSTSDLNNGSGGDGIWNDGGLGGRSNLNSSVCSGGINGSGIDSSRRMVGNNNWNGNGRGGGGGGSSNNNGTGIGDGGNRHNGSSSWNSNARNGGGISNGFGGRGGRNRRDHERGGSFPHRNYSRALPVPVPPQQQQPGYQPGPFHRPPPPPSAAHFMVPQPFMPYVPPFAYPDMQGYPFYITPVEQQFQNMHLVRQPMQPLGVHQDQLSLQHDIRQQIEHYFSTNNLCHDTYLRRHMDDQGWVPIDLIAGFPMLTRFTVLGIDTNYILDSIRGSEMLEVQGNNVRRRNDWADWLLHLPPANQLQ* >Brasy5G259000.1.p pacid=40078378 transcript=Brasy5G259000.1 locus=Brasy5G259000 ID=Brasy5G259000.1.v1.1 annot-version=v1.1 MSHKDDDGCGEVPAVLVESHGSNGNGVPAAAAASDEESFFHCHDRSCVAPRADDDPEFPSDDGGDDIDARASFATAFGDHEEQQQLDEDDDFEELEEDDEDVSRYDYGLWMADEPALPIKERRRRLLQGMGLGLASSRDLLRSRNARAAAARGAPRGAAVSRRLPLPPLAADATAVKRCRSDSRLAARGGGRARKPPSFRRVYSVPHSLIHGVSPALHPSAAARCPSENGAGEEERRKAVNGDDGSYSTIRNHELCADGGSSKAANDAAAAASQSTGGMDDLDRFVGYTPLAKQLMRRSQSSAAGKEGGSNKTPGAKKKTRWLRNIKLVVSAAGLIHDKDKDKVRSPSSSSSAAAAVTMSKSKSVPSATSTPSSSSSTSSGSGTERPLKVHQAGGKSSKELTGLYLRQEVRGAHEGSIWSIKFSADGGLLATGGEDRAVRVWQVVVVDAGTATDLLLAGAAQLPPLPPSDDGSLTAAPPGLAAQLSRKVTRGRGGGKSSSGKHALPEHVVVPDTVFSLAENPLCVFLGHDDDVLDLSWSKSQRRLLSSSMDKTVRLWDVESKACLKVFAHSDYVTSIQFNPADDGYFISGSLDCRVRIWSVAERLVVDWSDLNDMVTAACYTPDGQAAIVGSHKGFCRFYKTRDCKLNQEAQIDMSISKKRKSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTGDGRYVVCASEDSHVYVWRRAAFAGAGGGVGGVGVKAKTWLTSRCCEYFLCRDVSAAVPWPGAGGSPPTSSRRDGDRSGSSSSCHGGKVGGGEVPPLPLRPKSGPMAYPGGGEALRRGASSRCTTDAWGMVVVAASLGGEIRVYQNFGMPLGIRGQSNLFH* >Brasy5G336000.1.p pacid=40078379 transcript=Brasy5G336000.1 locus=Brasy5G336000 ID=Brasy5G336000.1.v1.1 annot-version=v1.1 MGAAFLSSWPWDNLGLFKYVLYGPLVGKAVASRAWERGSPDQWLLLLLVLFTLRAFTYQLWSSYSNMLFLTRRRRIVRDGVDFAQIDKEWDWDNFLILQILMAATAFYAFPSLRDLPLWDARGLAVAALLHVVATEPLFYVAHRAFHSGHLFSCYHALHHSIKVPTPFTAGFATPLEHMVLGALMALPIAGACAAGHGSVGLAFAYVLGFDFLRAMGHCNVELFPAGIFQALPLLRYLIYTPTYHTVHHTEKEANFCLFMPLFDRLGGTLDANTWELQRKTRAGVDEVPDFVFLAHVVDVMQSMHVPFVMRTFSSTPFAVRAFLLPLWPIAFVFMLMVWAWSKTFVISYYHLRGKLHQIWAVPRYGFHYFLPFAKDGINYQIELAILRAERMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNETPKGTTEVFMTGATSKLGRAIALYLCRKKIRVMMMTLSTERFQKIQKEAPAEHQQYLVQVTKYQSAEQCRTWIVGKWLSPREQRWAPPGTHFHQFVVPPILGFRRDCTYGKLAAMRLPKDARGLGSCEFSLERGVVHACHAGGVVHFLEGYAHHEVGAIDVDRIDVVWEAALKHGLRPA* >Brasy5G412600.1.p pacid=40078380 transcript=Brasy5G412600.1 locus=Brasy5G412600 ID=Brasy5G412600.1.v1.1 annot-version=v1.1 MAANRGEGRWGWQRRWEAEERGGRGEGRRRRGAVGPAEEKGGGRDGKGAGSAEERGGGAGAGLPEERGRTMAGTGAAGGARAGGGGATAGMGAAGGARRVGGGSFVGELCRERERESA* >Brasy5G436300.1.p pacid=40078381 transcript=Brasy5G436300.1 locus=Brasy5G436300 ID=Brasy5G436300.1.v1.1 annot-version=v1.1 MASTVTCSAALIAPQMPRRHSCRFQQRQRPGTLVSSSARRDGQVRAMAGASMDGRAVQQLEAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSGTEAEEVCREIEESGGTAITFSADVSIQADVESMMREAIATWGALDVLVNNAGITRDALLMRMKRAQWQQVVDVNLTGVYLCAQAAAALMTKRKKGRIINIASVAGIIGNIGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLSDDFERKALDTIPLGRYGKPEEIAGLVEFLAVHPAASYITGQVLPVDGGLSI* >Brasy5G218100.1.p pacid=40078382 transcript=Brasy5G218100.1 locus=Brasy5G218100 ID=Brasy5G218100.1.v1.1 annot-version=v1.1 MDDVVRRRLLQLVLALSSSPAPASKLIGKKSKRAHLDGADGAYECRSCGRRFRTFQALGGHRTSHNRRPPTAGRMLGKAQTSLEHRCGTCGMVFGTGQALGGHMRRHFTRPPAVMAWSTEAMASAGRGEDDNDDDDAWAPRLIHFI* >Brasy5G462400.1.p pacid=40078383 transcript=Brasy5G462400.1 locus=Brasy5G462400 ID=Brasy5G462400.1.v1.1 annot-version=v1.1 MGKDCGNHGDDHLRHGCRRLLAFLLALAFIVAVITLIVYLVLRPTHPRFFLQDASLRQLDLSANTSSTTPSTSLLSTALQVTVASRNPNDRIGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVGVWSPVLSGPNVPFAPYLAAALQDDCKAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTGGGNGAPGAGGFKFQTTTYCHVEV* >Brasy5G245900.1.p pacid=40078384 transcript=Brasy5G245900.1 locus=Brasy5G245900 ID=Brasy5G245900.1.v1.1 annot-version=v1.1 MAEKPAGHAVGGEATAKERKGLWSPEEDELLYERITRHGVSTWSSVAQLAGLRRNGKSCRLRLMNYLRPDLKTVRISKREEETIISLQKSLGNRWSVIAASMPGRTDNEIKNYWNSRIRKRQRITSAAAETHELAVATAGEKEQLSAAPPAVPPPVPPLTSTMATTQSTTTTGTHEHNGAGSESEVSVGDGRGKELDMDMIGYLLSFDDLELEYPAVAGGDLLMDEWESQLYGANSGSSVD* >Brasy5G501600.1.p pacid=40078385 transcript=Brasy5G501600.1 locus=Brasy5G501600 ID=Brasy5G501600.1.v1.1 annot-version=v1.1 MGSEHEKADVNAATVEVEGIPFPQEMAGTKTLSLVGHGVTDIEIHFLQIKFNAIGVYLDESGIMEHLQSWKGKDQLQVEDDAFFSALVSAPVEKVLRVVVIKEIKGSQYGVQLESSVRDRLVAADRYDDDEEEALEKVAEFFQSKYFRPGSVVTFHFPATATAAEISFATEGKDEAKMAVENAAVVEMIQRWYLGGASAVSQTTVRSLADHFTALLSSSP* >Brasy5G417200.1.p pacid=40078386 transcript=Brasy5G417200.1 locus=Brasy5G417200 ID=Brasy5G417200.1.v1.1 annot-version=v1.1 MPAGDNPHSISEKKAALRESPKQSKSAVIQQDITSSFPKDKAAESVGIKRPQFNGPLTPANHHMAGNPGANGHLVYVRRRHETDQSKGGTSVTAETFTSSSSKKPVIGGLQEQSSKHQNSVPCTQSAPVSVSPAAAAPVPALPSGSLPGQLSFGKQSQEKATVHPSIVVTASPPHRNVVSTAMPQNVRAANIAPTNVAATSTASTTAARNPPDLQRSSNEDRKERFIRLQAFLRNNEQSGQDEYIRMLRSLSSVDRRKHAIDLEKRAVNLLIEEGKELQKMKSLNVLGKLPSTDHLPLPTQPTFAMRLPFEPFPARR* >Brasy5G417200.2.p pacid=40078387 transcript=Brasy5G417200.2 locus=Brasy5G417200 ID=Brasy5G417200.2.v1.1 annot-version=v1.1 MPAGDNPHSISEKKAALRESPKQSKSAVIQQDITSSFPKDKAAESVGIKRPQFNGPLTPANHHMAGNPGANGHLVYVRRRHETDQSKGGTSVTAETFTSSSSKKPVIGGLQEQSSKHQNSVPCTQSAPVSVSPAAAAPVPALPSGSLPGQLSFGKQSQEKATVHPSIVVTASPPHRNVVSTAMPQNVRAANIAPTNVAATSTASTTAARNPPDLQRSSNEDRKERFIRLQAFLRNNEQSGQDEYIRMLRSLSSVDRRKHAIDLEKRAVNLLIEEGKELQKMKSLNVLGKLPSTDHLPLPTQPTFAMRLPFEPFPARR* >Brasy5G064400.1.p pacid=40078388 transcript=Brasy5G064400.1 locus=Brasy5G064400 ID=Brasy5G064400.1.v1.1 annot-version=v1.1 MATKALLLVAMAAAVLGTAFGATHTVGAPGGSWDLQTNHGQWASTVNFRAGDQLVFKYSRAAHNVLEVSKADYDACSNSSPLASFHTGNDVVPLPAAGNRYFICGVPGHCDGGMKVRVNVEAAASSTDAPLPAGRRAHSPASAPMPSAMTPAAGGQAVPPSSSAVAVSVGSVGLSLGSILAAAALMVLY* >Brasy5G034300.1.p pacid=40078389 transcript=Brasy5G034300.1 locus=Brasy5G034300 ID=Brasy5G034300.1.v1.1 annot-version=v1.1 MYPEYASTSDVDRLRLDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLSELNANLSNHALYGGAEPGTAENDIKERILEYLNVPASEYALVFTVSRGSAFKLLAECYPFESNRRLLTMFDHESQSVNWMAQSARAKGAKTRTALFRWPTLKLCSTELRKEIVGKRKGRRRDAAAGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGILQGRNGCNASGMVKIVPVFPQYLSDSIDEFDALDADGLEDDSGAPKDENPVSDVRNGSQLPAFSGVYTSAQVRETFDCDPGRDSSSDRDGASTIFEETESLSVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFTGRKNNKRMSPNLASRISRSPLYDGNVISFDAAVLSVSQDVDCLREDPDEEILENGRRNHFRQVSEIQEEPEVEEVACRHAMNGGVDHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEEIDGGISMGRRVSFNTEANMIADRLHRASDAAEASGYAFRDDDGCISDGYDDDAQDWSRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKDADGVPLVHIYGPKIKYERGAAVAFNLKQSDGTFINAEVVQKIAEKNGMSLGIGFLSHIKIDPNQKQSNGALDIPEATLYKNGRRDSKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESERLAMAADHSEGQI* >Brasy5G322700.1.p pacid=40078390 transcript=Brasy5G322700.1 locus=Brasy5G322700 ID=Brasy5G322700.1.v1.1 annot-version=v1.1 MEVMRRTRRSPCSGRRRRSTSTTTAGAVGRRVRELRRLVPGAAAMPAGRLLVRTADYIAQLRARVELLRALSELCVPREGHGADGRSSP* >Brasy5G431400.1.p pacid=40078391 transcript=Brasy5G431400.1 locus=Brasy5G431400 ID=Brasy5G431400.1.v1.1 annot-version=v1.1 MCKKSSSADALPSAASRRSTTNNLTSSSSFSGTGPRNTAAANISSSSTTTATSSSSRSSGRSSLAAARASLPDPPVLYSFQELAAATNSFLAKRASDPDSTYPYWRCVLRARHAALFQLRSAPGLTKAAASAGLARVARYHHTGLAPLLGACLAGPHVYLAYELPDPSAATLAACLRSSNPAAGFTILRTWLSRVQIAADVAQGLDYVHNHAAQVHGRVSPSAVLVSDPSLRARLTHFGASQFAAAESDSSADAPAPPSREADVYAFGVLLLGLLSGEAAAARHRFDRATGEFVRVTVVETAAEAARSGRVRGWVDRRLGDSFPVAAAERLVEVGVRCAADAEADRPDMSWAAGKVSKAYVDSIAWEHSLRPPTNSDFSVSLAPR* >Brasy5G162200.1.p pacid=40078392 transcript=Brasy5G162200.1 locus=Brasy5G162200 ID=Brasy5G162200.1.v1.1 annot-version=v1.1 MVSSSGSHVFKIEGYSRTKDALGVGGSISSPVFTVGGHAWQIRYYPNGWNSPCPGWVFVSLVLVDTAAARVPVNASFRLLKHSGGSWLDSSYEENLKAGTAVSFQNYLCEQRRAGNAGFSMTKDAVLVGNGLDQLGLGEPEIRAQLRLDQWACLKDDCLRVSRHFGDLLSAGKGVDVTFEVSGETFNAHRCVLAARSSVFMAELFGPMKENGATRVRIDDMDPRVFKAMLHFIYTDSLLEINDDDGEATMEMTDQHLLVAADRYNLGRLKLLCEENLCNRVSTSTAATMLALSREHGCHGLKAACFKFLSSVANFQATILTDEFEHLQKCVPNILKELIANLAP* >Brasy5G318900.1.p pacid=40078393 transcript=Brasy5G318900.1 locus=Brasy5G318900 ID=Brasy5G318900.1.v1.1 annot-version=v1.1 MAQTSVAVVPEVGMAAAATACPCPGPGTLYPYPPPRAGMAVRRTCLRAAQAELGAGLLNGLVESMRASSPTHAKAAAALAARVDDEHAAWMARHPSALGKFEEIVAASKGKQIVMFLDYDGTLSPIVDDPEAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKSSGGHAKSKAKRVLFQPASEFLPMIEEVHQRLIEETSCVPGAKVENNKFCVSVHFRCVDEKSWGALAETVKGVLRGYPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHAKDTSAAYSLQEPAEVMEFLLRLVEWKRLLSRRARLAPPPAGVPGRRLN* >Brasy5G318900.2.p pacid=40078394 transcript=Brasy5G318900.2 locus=Brasy5G318900 ID=Brasy5G318900.2.v1.1 annot-version=v1.1 MAQTSVAVVPEVGMAAAATACPCPGPGTLYPYPPPRAGMAVRRTCLRAAQAELGAGLLNGLVESMRASSPTHAKAAAALAARVDDEHAAWMARHPSALGKFEEIVAASKGKQIVMFLDYDGTLSPIVDDPEAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKSSGGHAKSKAKRVLFQPASEFLPMIEEVHQRLIEETSCVPGAKVENNKFCVSVHFRCVDEKVYILCHQTERNGNGCRFLQIFPH* >Brasy5G315300.1.p pacid=40078395 transcript=Brasy5G315300.1 locus=Brasy5G315300 ID=Brasy5G315300.1.v1.1 annot-version=v1.1 MMAGSAPRPRRAFEAGESWTPPRRLLEVRTARPGKQVPLSESEILQLCTASRESSSASPPPRARDAPPPLDLRLPGRPRQRRQEGRYHPTPLCLFRDLHHRPENMDESHGVDKIHLHLPCVQGPILPKRDTTSTAPPRLINWWTRTVSKRRRLKLFGIVHCIARSPCLTSPLSSPTRLQECPHLAQGHLQELMDTEDITIKTVVSVCLCCQHRSPYNASKRPDLTLSTEKLRVCLL* >Brasy5G178200.1.p pacid=40078396 transcript=Brasy5G178200.1 locus=Brasy5G178200 ID=Brasy5G178200.1.v1.1 annot-version=v1.1 MVMESGDNGGAAAAARERLLGRQGGEAGSGSAAKGTLAMVFASTGVAVLGSFAYGVAIGYSAPTQAEIRQDLQLTLSEYSVFGSVITIGAMIGAVASGQIADVAGRKGAMRASALVCIVGWLAIFFAQSAVSLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGGLTTLNQLLVCTGLSVTYIVGTAVSWRMLVIAGLVPCMILIVGLFFIPESPRWLAKVGRQKEFEIALQRLRGEDADVSLEAAEIKEFIETIENLPKAGIQDLFSRSYIRPVIIGVGLMVFQQFVGINGILFYASETFVSAGFTSGNLGTILMGCIQAPITALGALLMDRSGRRPLLLISTSGLLIGSLMSGISFYLKIHGIFTEQVPVIALTGILVYIASFSLGMGSVPWVIMSEIFPINMKGIGGSFVTLVNWFGSLAVSFAFNFFMSWSSSGTFFFFAFVCVVAILFIVKIVPETKGKTLEEIQASINHGI* >Brasy5G000300.1.p pacid=40078397 transcript=Brasy5G000300.1 locus=Brasy5G000300 ID=Brasy5G000300.1.v1.1 annot-version=v1.1 MNQNEYVSLEDSEEYFYVTNQTFRSEDEFFEFYNQYAFKKGFSVRKSSLRYKPDTKDRVWGRYVCSKERYRLPKYFERTDQIRDPRALTRCGCQAFLEIELIETSGIWYVKDFSNAHDNPLAKL* >Brasy5G175300.1.p pacid=40078398 transcript=Brasy5G175300.1 locus=Brasy5G175300 ID=Brasy5G175300.1.v1.1 annot-version=v1.1 MAASALKTASICAVLVIVLLSTAGQPATADIAPDFISIFSNLECAYNQEPCKTGCQSLCAQFFQAVMCTKVICEPTCNCPGKIDGGCDTLCTNLCSRPAL* >Brasy5G063800.1.p pacid=40078399 transcript=Brasy5G063800.1 locus=Brasy5G063800 ID=Brasy5G063800.1.v1.1 annot-version=v1.1 MSEEAQRYGGGGGGSGGGIGALSLDLLCQVLDRVLERRDRKACRLVSRAFARAEAAHRRALRVLRREPLPRLLRAFPALELLDLSACASLDDASLAAAVAGADLGAVRQVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAAAGLRELNLEKCLGVTDMGLAKVAVGCPKLEKLSFKWCREISDIGVDLLVKKCRELRNLDISYLEVTNESLRSISTLEKLEELSMVCCSCIDDKGLELLSRGSNSLQSVDVSRCDHVTSEGLASLIDGHKFLQKLNAADSLHEIGQNFLSKLATLKDTLTMLRLDGFEVSSSLLLAIAEGCDNLVEVGLSKCNGVTDEGISSLVARCGYLRKIDLTCCNLLTDNALVSIADNCKMLECLLLESCSSISEKGLERIATCCPNLSEIDLTDCGVNDAALQHLAKCSELLILKLGLCSSISDKGLGFISSKCVKLTEVDLYRCNSITDDGLAALAKGCKKIKLLNLCYCNKITDGGLSHLGSLEELTNLELRCLVRITGIGISSVAIGCKSLIEIDLKRCYSVDDSGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQLLQACGCRIRWVNKPLVYKDGI* >Brasy5G063800.2.p pacid=40078400 transcript=Brasy5G063800.2 locus=Brasy5G063800 ID=Brasy5G063800.2.v1.1 annot-version=v1.1 MSEEAQRYGGGGGGSGGGIGALSLDLLCQVLDRVLERRDRKACRLVSRAFARAEAAHRRALRVLRREPLPRLLRAFPALELLDLSACASLDDASLAAAVAGADLGAVRQVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAAAGLRELNLEKCLGVTDMGLAKVAVGCPKLEKLSFKWCREISDIGVDLLVKKCRELRNLDISYLEVTNESLRSISTLEKLEELSMVCCSCIDDKGLELLSRGSNSLQEIGQNFLSKLATLKDTLTMLRLDGFEVSSSLLLAIAEGCDNLVEVGLSKCNGVTDEGISSLVARCGYLRKIDLTCCNLLTDNALVSIADNCKMLECLLLESCSSISEKGLERIATCCPNLSEIDLTDCGVNDAALQHLAKCSELLILKLGLCSSISDKGLGFISSKCVKLTEVDLYRCNSITDDGLAALAKGCKKIKLLNLCYCNKITDGGLSHLGSLEELTNLELRCLVRITGIGISSVAIGCKSLIEIDLKRCYSVDDSGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQLLQACGCRIRWVNKPLVYKDGI* >Brasy5G453500.1.p pacid=40078401 transcript=Brasy5G453500.1 locus=Brasy5G453500 ID=Brasy5G453500.1.v1.1 annot-version=v1.1 MSSAAAAAAPPARRTRSRLQSSLSRKSDDPSSIAANGNGKTSPKLASPKHLPGERTVKKLRLSKALTIPEGTTVYDASRRMATRRVDSVLLTDAQGLLSGIVTDKDISTRVIAEGLRVEQTIMSKIMTRNPTYVTSDSLAIEALQKMVQGKFRHLPVVENGEVMAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFTAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQQMREFRVNSVVVTTGNTVQGIFTSKDVLMRVVSQNLSPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVEGGNGAANDVANSVMQRFWDSALALEPPDDEFDSRSEASLLMASEAGDGKSSIYPPIIGNSFAFKLQDRKGRVHRFTCGLESLDELMSSVRQRLSIVDEKDSIQLLYEDDEGDRVLLTTDTDLSGAVLHAKSSGLKVLKLHIDDESTSNIQTVKPLQEVAPPRRGGFSPVKVGLMAGAVALSGAAVMVYLKRSRV* >Brasy5G408900.1.p pacid=40078402 transcript=Brasy5G408900.1 locus=Brasy5G408900 ID=Brasy5G408900.1.v1.1 annot-version=v1.1 MPNTEEEVAAAKRAKLAALNAPYWDPQAPLLDRSELSRWALYRAVIAEFMATLIFLYVSIATVIGYKSQAAAEACTGVGYLGVAWSFGATIFVLVHCTGGLSGGHINPAVTFGMLVGRKLSLVRASMYIAAQCLGAICGAAIVKAITRHAHSYEAFGGGANTVADGFTVGGALGAEIVGTFVLVYTVFSSTDPKRTARDSFVPVLQPLPIGFAVFVVHLATIPVTGTGINPARSLGAAVMYNQHIAWKHHWIFWVGPFVGATVAALYHQCVLRGKAAKAIDSFRSTGTATAPN* >Brasy5G086600.1.p pacid=40078403 transcript=Brasy5G086600.1 locus=Brasy5G086600 ID=Brasy5G086600.1.v1.1 annot-version=v1.1 MYGRRASQLLKELDSCEPGQLVVFNSDVFDQVVRECNEHNSQFQSLIRKMVDQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAETIQSFRWKLGPVLPREIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDAEQFISQMQIMIEDMITHYIFFVAADTISTLQILTDCKKERRYLVLDVKAQGKMRDARASM* >Brasy5G086600.2.p pacid=40078404 transcript=Brasy5G086600.2 locus=Brasy5G086600 ID=Brasy5G086600.2.v1.1 annot-version=v1.1 MYGRRASQLLKELDSCEPGQLVVFNSDVFDQVVRECNEHNSQFQSLIRKMVDQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAETIQSFRWKLGPVLPREIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDAEQFISQGLMEEFLE* >Brasy5G086600.3.p pacid=40078405 transcript=Brasy5G086600.3 locus=Brasy5G086600 ID=Brasy5G086600.3.v1.1 annot-version=v1.1 MYGRRASQLLKELDSCEPGQLVVFNSDVFDQVVRECNEHNSQFQSLIRKMVDQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAETIQSFRWKLGPVLPREIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDAEQFISQGLMEEFLE* >Brasy5G109800.1.p pacid=40078406 transcript=Brasy5G109800.1 locus=Brasy5G109800 ID=Brasy5G109800.1.v1.1 annot-version=v1.1 PKPTNSSAPVERNKKPNDPSQKPFLSSTLAAALARAATRLFRGGLPPSSISPALPSFSRPFCGLTAADDEPSAPGVVEDPWKAAEAEILRDVKPVVDLVRDILHSGRYGDGEVLSPDDQKFVVEKLLAHHPSSEDKIGCGLDAIMVNKHPDFSQSRCLFVVRTNGGSEDFSYRKCVQAYIKRAYPTLPHADRFLQKLLFQKRS* >Brasy5G354800.1.p pacid=40078407 transcript=Brasy5G354800.1 locus=Brasy5G354800 ID=Brasy5G354800.1.v1.1 annot-version=v1.1 MERLFATEKLGGRALYKLHAVTVAVGICLVLYYRATRVPEQGQGRAAWLGMLAAELCYAAYWVVTQSVRWCPVRRIPFRDRLAARYGEKLPCVDIFVCTADPHSEPPSLVISTVLSLMAYNYPTEKISVYLSDDGGSILTFYALWEASLFAKHWLPFCKRYNIEPRSPAAYFSESDGHQDLCTTKEWSLIKDMYEEMTERIDTAVESGKIAEEIKEKHKGFGEWSPEITSKNHQPIVQILINGKDQIAVDNDGNVLPTLVYMAREKRSQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNSSDTIRDALCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLQVINKVELNGLDSVGGPLYIGTGCFHRREILCGKKFTEDYREDWNRGIKDHAHTDEIEEKAKSLATCTYEHDTQWGDEIGLKYGCPVEDVITGLAIHCRGWGSVCNNPTRPAFVGLGPTTLAQTLLQHKRWSEGNFSIFLSKYCPFLFGHGKITLRHQMGYCIYGLWAPNSLPTLYYLIIPPLALFKGTPLFPEITSPWIIPFIYVFCVKNMYSLCEALLCGDTLKGWWNGQRMWMVKRITSYLYGVIDTVRKLIGLSKMSFAVSSKVSDEDESKRYEQEIMEFGSSDPEYVIIATIALLNLVCLVGGLSQMMTAERSIHFNVFCPQFILCGMLVITSVPFYEAMFLRKDKGRIPFSVTLASIGFAMLTFLVPIV* >Brasy5G045400.1.p pacid=40078408 transcript=Brasy5G045400.1 locus=Brasy5G045400 ID=Brasy5G045400.1.v1.1 annot-version=v1.1 MKIQRTWQLLILHLFTLILFFLTSSSQPTNNGTANSGDLSVLLSFKSFTRDPMQALSSWSWDHAGNSSSTEVPSFCKWRGVACSDRRHPGRVTAIHLQGFGLAGTIFPQLGNLTHLRVLDLSKNNLEGEIPGSLSSCATLRGLDLGLNYLSGSMPSSLGLLSKLTFLDITHNNLTGDIPMSFSNLTVLTSLSMPSNHFHGEIPSWLGNLTSLTHLDLAKNGFSGHISPDLGKMANLNLFDVMDNKLGGPFPPSLFNISSITYFSISFNQLSGSLPHDIGFRLPKLNVFGTNVNQFEGPIPASLSNASALTHLFLRGNRHHGPIPRDIGIHGRLRVFCLGDNLFQTTEPRDWDFLTSLINCSNLGMLDFEQNNLEGVMPVSITNLSTEIYWISVGRNKIAGTIPDGLGKFQKLTRLILSDSLFTGTLPLDIGQIPSLQQLDLSHNRFHGQIPQSLGNITQLSNLYLSNNFLEGAIPASLGNLTNLGSLDLSGNALSGEIPQEILRIPSLTVLLNLSNNALTGSIPTQIGSLKSLVTIDLSMNRLYGEIPASLGSCVVLNSLYLRANLLQGKIPKTFSSLSGLGKLDLSSNNLVGPVPEFLESFELLTYLNLSFNNLSGPVPITGIFRNATILSLTGNNMLCGGPLFLQLSSCPSIGSHQASQHQRRVILFCTVGTLILVMCSLTACYFMKTRTKTNTVCQETGFHGEKHERISYAEIDAATNSFSPANLIGSGSFGNVYIGTLNLDESLYSVAIKVLNLGKQGANRSFLRECEALRKIRHRKLVKVITVCSSLDHNGNEFKALVLEFICNGNLDEWLHPNTTTNSMTFRRLSLMERLCIALDVAEALEYLHHQIEPSIVHCDIKPCNILIDDDIAAHVADFGLAKIMHTDASKQSGTGAASSSFVIKGTIGYVAPEYGSGSETSTAGDTYSYGVLLLEIFTGRRPTDSFINGTSSLVDYVKMAYPDKLLEILDATATYSGNTQHIMEILLHPIFKIGLACCEDSPRHRMKMNGVVKELNCIKKAWAARLPVHEFRASA* >Brasy5G424000.1.p pacid=40078409 transcript=Brasy5G424000.1 locus=Brasy5G424000 ID=Brasy5G424000.1.v1.1 annot-version=v1.1 MDAAAAVDALALLVPSWSAAVVLLSYLGYLAAAGALLPGKLVAGAILPDSSRLHYRCNGLLSLLLLLGFSALGIYMGWMTPTVVADHGIELLSATFIFSVIVSVMLYCVGLRSRHQSSSLKPHANGNFIQDWWFGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANMSMFLYQFFCAWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELPLLAAVANCFIFLIGYLVFRGANKQKHVFKKNPKALIWGKPPKAVAGKLLVSGYWGIARHCNYLGDLLLALSFSLPCGASSVVPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCNLVPWRILPYVY* >Brasy5G089900.1.p pacid=40078410 transcript=Brasy5G089900.1 locus=Brasy5G089900 ID=Brasy5G089900.1.v1.1 annot-version=v1.1 MSSRKPAGSRAGGAAAGGAGRKKVASGAGITSLRSLREGLARQKEEEEERKAREEEMEVQLEKARREEEEKKRKPTEEEEKQREEERQRRKREERKREERKREESRRSEAARRRLGITVVAGAGDGDRKRPVYDSRRHKSHTKHHDYNAQSEVDWGGILQDLKPQLEEEQSNASLEEDGAVSDALRSREGSSTGSSEEDSKINYDDDDAWENKSLDGFDVPSFGDSPFAEEEEREEKHVISTAPVVNSEKMAKEIEEDQIFTSQDVASSSGSGDEKELRAPICCILGHVDAGKTKLLDCIRRTKVQQGEAGGITQQIGTTYLPVENIRERTSLKAEVTVKVPGLLVIDTPGHESFSKMRSRGSTLCDIAVVVVDITRGLEKQTIESLDLLRRHNVRFIVALNKVDRLYGWKPCHNAPIAKALKNQSVDVQMECRWRLTEVVTQFKENGFNTALYYENKKMKHVFNIVPTSAKSGEGIPDLLLLLVRWVPEIMIEKLVYNDTVECTVLEVNEVKDLGATIDVVLINGALHQGDQVIVCTEQGPVTTIIKDLLTPHPMKELKAKGIYKHHKELRPAQGVKIVARGLQHAMAGTSLIVVKAGDDLQQCEAVAMQEIDRVISMMDENVEGKAIQEVSRIRTCKEGVYVQTSSIGTLEAIIAHLKSNNVNIAVSCWNLGPVNKEDVIKATAMLKRKEEYAAILAFDVKVMPEAACLAAESGVKILMADTVYKLVDSYTEHINEYKEAKKMQCAAEAIFPCTLKILPNRVYHSKDPIVCDVEVQEGVAKVGTAICVCIPSKDRTEHIVHSLGRISSIKTSNGNQIFSAKQGVVSIKIIGDNPQEKS* >Brasy5G101100.1.p pacid=40078411 transcript=Brasy5G101100.1 locus=Brasy5G101100 ID=Brasy5G101100.1.v1.1 annot-version=v1.1 MSTNKGGGGGGGGGKKKKEVKKETKLGMAFKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTVFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGERSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPFKDADTTAIKGACESTVYTLNQAGIRADLDARENYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRIVRRDNGAKVDIPSTDVVEQVGALLEGIQANLLETAKQKRDACIKTISTWDEFIGALNDKKLILAPWCDEEEVEKDVKTRTKGELGAAKTLCTPFDQPDLPAGTVCFASGKPAQKWSFWGRSY* >Brasy5G504500.1.p pacid=40078412 transcript=Brasy5G504500.1 locus=Brasy5G504500 ID=Brasy5G504500.1.v1.1 annot-version=v1.1 MNSGLGAPFFLLLLLLAVGSGAVLQDRRLLRDRALDLDTYEVLDSRPIYDEQIAAAKIPAPVERGCPLCSTCEMFTNKSVSYLSQKETQDDILEILHGACSQTFSLAEKCVEFVDSYASLLFAKVAEIKPDEFCKQYGLCRDAAILSAAKSKSTCEFCHHLVDEVLSKMKDPDAQFEIIQLLIKECNKVQGHVQQCKRMVLQYVPLILLNGEKFLEKKDVCTLTQACDTASQKRTVGSFFDSGLRSDA* >Brasy5G504500.2.p pacid=40078413 transcript=Brasy5G504500.2 locus=Brasy5G504500 ID=Brasy5G504500.2.v1.1 annot-version=v1.1 MNSGLGAPFFLLLLLLAVGSGAVLQDRRLLRDRDSRPIYDEQIAAAKIPAPVERGCPLCSTCEMFTNKSVSYLSQKETQDDILEILHGACSQTFSLAEKCVEFVDSYASLLFAKVAEIKPDEFCKQYGLCRDAAILSAAKSKSTCEFCHHLVDEVLSKMKDPDAQFEIIQLLIKECNKVQGHVQQCKRMVLQYVPLILLNGEKFLEKKDVCTLTQACDTASQKRTVGSFFDSGLRSDA* >Brasy5G142800.1.p pacid=40078414 transcript=Brasy5G142800.1 locus=Brasy5G142800 ID=Brasy5G142800.1.v1.1 annot-version=v1.1 MLSNPGNHYVAFGKVTGWWQNLQLCSEDRALFAARTRRTHEPSEKRGFGRSVALSQGKGVLQR* >Brasy5G396300.1.p pacid=40078415 transcript=Brasy5G396300.1 locus=Brasy5G396300 ID=Brasy5G396300.1.v1.1 annot-version=v1.1 MATAAATMPSSPLLFFVLLASALVLPPAAYARIPGVYTGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQRCGACFEIKCVDQPDWKWCHPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVACRKKGGVRFTMHGFRYFNLVLITNVAGAGDLVRASVKGSSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTSSDRRTSTSWNAAPQGWYFGQTFEGKNFRV* >Brasy5G495600.1.p pacid=40078416 transcript=Brasy5G495600.1 locus=Brasy5G495600 ID=Brasy5G495600.1.v1.1 annot-version=v1.1 MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVVIEYAETMEKGKAKPGGLSDPRLGTIDRKVKCDTCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDAKFKQALKIRNPKNKLRRIYDACKSKKICAGGDDLEVEDQQDTDEPVKKKRGGCGAQQPNITVDGMKMVAEFKQTKKKNDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKLINLIRFSAWHAESESGFITPGDTMVRIEKGELLSGTLCKKTLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADASTMEKINETISKAKSEVTELIKQAQDKLLEPEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKAEFDNVYRYELDDENWRPTYMLPEHVDDLKTIREFRNVFEAEVQKLEADRLQLGTEITTTGDNSWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKESFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLKPEVSKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDMDFVKSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGDIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKYGKINKFDENDGFKPDNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCGLYLNDQMLQQAIELQLPSYVEGLDFITSPAYSPTSGTPYRDGGMMSPMLSPNFRASPISDAQFSPYVGGMAFSPVPSSYSPSSGGGYSPSSPVFSPGPGQGYSPTSPSYSPASPSYSPTSPSYTPGSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRMMSPYSQTSPNYSPTSPTYSPTSPSYAQPSPSYSPTSPHTTSGGPSPDYSPTSPNYSPSASYSPTAPGYSPSSTGPQTTTDKDDDENAP* >Brasy5G213100.1.p pacid=40078417 transcript=Brasy5G213100.1 locus=Brasy5G213100 ID=Brasy5G213100.1.v1.1 annot-version=v1.1 MGCFQSKPAGKPLPPDADAALPADDPADPEAANGVDGPAGVAEGDEKDGGAAAAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAGGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAHYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKTTSVLPTILSPLGKACAGMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDISFRDKDFKSAIDYYTKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG* >Brasy5G490200.1.p pacid=40078418 transcript=Brasy5G490200.1 locus=Brasy5G490200 ID=Brasy5G490200.1.v1.1 annot-version=v1.1 MENKRISPLVMSPLTSLSTVSSIPLSSFSSPFPFHLKALGTVLPQRPLYISPHFSQTLTHFTDLPPFLGQTIHLPPPANPPKNSGGSSLHANANPSNAILAHERRFLVRGATRAGQQQEEQLMVRLMDL* >Brasy5G490200.2.p pacid=40078419 transcript=Brasy5G490200.2 locus=Brasy5G490200 ID=Brasy5G490200.2.v1.1 annot-version=v1.1 MENKRISPLVMSPLTSLSTVSSIPLSSFSSPFPFHLKALGTVLPQRPLYISPHFSQTLTHFTDLPPFLGQTIHLPPPANPPKNSGGSSLHANANPSNAILAHERRFLVRGATRAGQQQEEQLMVRLMDL* >Brasy5G114000.1.p pacid=40078420 transcript=Brasy5G114000.1 locus=Brasy5G114000 ID=Brasy5G114000.1.v1.1 annot-version=v1.1 MWAYQGVQHPTQVGTEEFEPTEVRRRIRCLGHKHTGGFSVLCRHADECLPPLDMSQQPPTQELTAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYIFTGTIVGMGDSDPAGWAESKWRNLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVPRTKRPRPNVIASTPDSSAQAKEVAPKVVAETQQHALQRAFQTQENATPKTGFSDGSELDTAQKSILQPSGFEREKNNIPTQRKQGSDGWMQMNRPESYSEMLSGFQPPKDAQNQQGVRSFPEQIAAGHSNFWHTVNAHYQDQQGNHSTFPGSWSLMPQNTGFGLNKQNYPMMQEVAGLPQRAANTKFGNGVYAALPGRGIDQYSTGWFGHMMPSSHMDDTQPRKTKGASCKLFGIHLDSPAKSEPLKSPPSVAYDGMPHTPGNAEWCRMDATEPEKSSDPSKTLKPLDAPYPDSQASRNTSGKSQGGSARSCKKVHKQGIALGRSIDLTKFNGYTELISELDDMFDFNGDLKSSNKEWMVVYTDHEGDMMLVGDDPWSEFCNIVHKIFIYTREEVQRMAPGALNSRSEESPANSMERGSAAREVRGCLSASSLNSENC* >Brasy5G137200.1.p pacid=40078421 transcript=Brasy5G137200.1 locus=Brasy5G137200 ID=Brasy5G137200.1.v1.1 annot-version=v1.1 MRRRPRRAAIAKSANHHTRQDAQRPNVPLTISHRRGCSWPSSPTPHHAMFTRLRRRTSAEPSPARNPYCPQAKPKPNRRRRTKSRAADPASGSVEGAGSRGDGVEEARVRRQGRGSPGPATGRVVGDGSIGVRDVPTTVGAGAAGSGPGMAGSIDFHARSIGFGSGGRCGLVRAAAGERASGSSLQQRGRRGGTVAVVTVGGAGSWGLPSRGEVGRGSPAPDGGERGHQEGRRCGRKIGRGLGREEIGREGISGRKDESRDREGREEMCSGGRVEIWRERERSERIGGR* >Brasy5G225400.1.p pacid=40078422 transcript=Brasy5G225400.1 locus=Brasy5G225400 ID=Brasy5G225400.1.v1.1 annot-version=v1.1 MCGIFAYLNYNVPRERREVLEVLLNGLRRLEYRGYDSSGLALDADLPASAAVAALVYAGPPPLVFRQEGKIENLVRSVYSEVDEKDVDLDATFTVHAGIAHTRWATHGVPSPKNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEKGDVTFSQVVMESPHYPNELIACKRGSTLILGVNELSGQTSGKSFHNVKPMTANGKPKELFFSSDLCAIVEHTKNYLAIEDNEIVHIKDGSVSILKFDPEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKEHLKTIRRCRRVIFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALEKGALCGGVTNTVGSTLSRRTHCGIHINAGCEIGAYTSQIVVMAMIALAIGSDQISTRSRRESIISGLSSLPSNASEVLKLDTEMKELASSLLDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDTCFSKQKSVIQQLLSRKGRLIVMCSEGDVSAVCPSGSCRVIQVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ* >Brasy5G163700.1.p pacid=40078423 transcript=Brasy5G163700.1 locus=Brasy5G163700 ID=Brasy5G163700.1.v1.1 annot-version=v1.1 MPLRRLLGFSARGLRRFHSTAAAAMDSDSSWSWYMLEKMTAAVRSAAPGPSVAFANPPGVSRLRVPAHFLAKASRRSRSLPCPEPEGDVVLRLHTAQASCSSQDGLLLLSCEDVRLGAPAFGKPGPGNRERRLAGITNPDGFIPDMTHFVFNPLTREVSPSLPDIQGPRNSFQLGLLTEADGGCGPPDRFAVAKLEDRSILRFLSETGKWEDARCSPCQLPSARRIVPNQETLAWNGMLWWVDVTWGAISADPFRDRPEPRFVELPSGSVLPADAFDEEFRRWNQLPDAEEDILWMPLPVMFRRVGVSGGWLRYVEVSQEEPFVLSSFAIDEDGSSWKLEHRVALSRIWGKMTPQISVLHPFDSNVVHLIVGEHIVVVDMEKREVIGHGPCQSSDIYFVPCVLSPWLPTTRIPSAGKKYATKKNTLADVLVRSDRPQKK* >Brasy5G163700.2.p pacid=40078424 transcript=Brasy5G163700.2 locus=Brasy5G163700 ID=Brasy5G163700.2.v1.1 annot-version=v1.1 MPLRRLLGFSARGLRRFHSTAAAAMDSDSSWSWYMLEKMTAAVRSAAPGPSVAFANPPGVSRLRVPAHFLAKASRRSRSLPCPEPEGDVVLRLHTAQASCSSQDGLLLLSCEDVRLGAPAFGKPGPGNRERRLAGITNPDGFIPDMTHFVFNPLTREVSPSLPDIQGPRNSFQLGLLTEADGGCGPPDRFAVAKLEDRSILRFLSETGKWEDARCSPCQLPSARRIVPNQETLAWNGMLWWVDVTWGAISADPFRDRPEPRFVELPSGSVLPADAFDEEFRRWNQLPDAEEDILWMPLPVMFRRVGVSGGWLRYVEVSQEEPFVLSSFAIDEDGSSWKLEHRVALSRIWVAANGAHPWLPLRGR* >Brasy5G345100.1.p pacid=40078425 transcript=Brasy5G345100.1 locus=Brasy5G345100 ID=Brasy5G345100.1.v1.1 annot-version=v1.1 MELKPGMSAFVTGGASGIGKALCLAFAQKGLFVTVVDFSEENGKEVASLVQQVNKKFHGDLGVPSAIFIKCDVSNTDDLAAAFGKHVDSYGGLDICINCAGIANKSLVYDDRSDGLRTWRHAINVNLVAVIDGTRIASQIMRSLKKPGVIINIGSAAGLYPMYADPIYSGTKGGVVMFTRSLAPLKHHGVRVNVLCPEFVQTNMAEQINRKIVDATGGFMKMEEIINGAFELIKDESKAGACLWITKRRGMEYWPTSEEQRKYLLNYTKSKRTITNNVFPSIQIPEFFEKIVVHTLSHNFRNATRLDRVRLRLPIEPQNVLVKIIYAGVNASDVNYSSGRYFSGSAKETAARLPFDAGFEAVGIVASVGDAVSHIKVGSPVALMTFGSYAEFTLVPAKHLLPVPRPDPEVVAMLTSGLTASISLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAALLASLGVDRVINYQHEKIKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEEGWKPKNYTGLCEKILAKSQTVAGFFLVQHAHMWQDHLDKLFELYASGKLKVSLDPKKFLGVASAVDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL* >Brasy5G139400.1.p pacid=40078426 transcript=Brasy5G139400.1 locus=Brasy5G139400 ID=Brasy5G139400.1.v1.1 annot-version=v1.1 MASLKAAGTAALLLLLLTTAANAAPEKAPTNKPYWHSHSMPLAPPAAAEDVASKDTAYWDSMPRGAPEKMPAAEDDAASTTCVGSLLALSPCLPFFRNAGTEAAPEGCCEGLRGIVAAAGQEVCLCHVVNHTLERAIGVDIPADRAFALVGGLCGVTLPEDFLVTCASRNNVPPLYACPAPSA* >Brasy5G158800.1.p pacid=40078427 transcript=Brasy5G158800.1 locus=Brasy5G158800 ID=Brasy5G158800.1.v1.1 annot-version=v1.1 MGKKKRNRRTYAEHAQGGGGEPHKKRNKPIEEAGGTDRSEGARASTQGSGLSAPAAASCTRREAEEHGGALDGATGRTRLCTHNTYINLSLVSPGYHRPREKPYMGRQDGHAQTDGADKLRRRRRGMFQLRPRRLNSQGGGGDGKPPVSTATTRMAPDTRPTISSYQANIFDGEEPHKAKERGGALREEPARQAAASAQGGAGFAGHGDPADHGSRTGGHLYKHAQDQPAINTNIHGTAEVTQTCIPTPTCTSGMALTTELARGQEVAINRHQHPWASPEPAVDSLPDAKELAT* >Brasy5G470200.1.p pacid=40078428 transcript=Brasy5G470200.1 locus=Brasy5G470200 ID=Brasy5G470200.1.v1.1 annot-version=v1.1 MQKLAAMGASTYLSPRFLPLHRIHTAATRSPSLLSGHPNNRPRRQHMSGPLALKSGPSVGDVDEQPLPSRRHAVALFFAAANAACLRCIDDGRRSVTGTACGDFVLGAMAQSWRVLLQGITSLVFLCAHADEYVRPSPSPLVLVPHDRPAAHPQQVHISIVGANHMRISWVTDDRSAPSVVHYGTSPGNYTSSATGSSTTYRYFLYKSGAIHHATIGPLSPSTTYYYRCGDAGDEFALKTPPPSLPIELVVIGDLGQTEWTASTLSHIAAAGDHDMLLLPGDLSYADTWQPLWDSFGRLVQPAASARPWMVTEGNHEVETLPVVEFAPFVAYNARWRMPYEESGSASNLYYSFDVAGGEVHVAMLGSYAGFEEGSGQYAWLEKDLAGVDRRRTPWVVVLLHAPWYNTNQAHQGEGEKMRVAMERLLFEARVDVVFSGHVHAYERFARIYDNEEDSRGPVYITIGDGGNREGLASKFIKDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEHATVRDEVWLESLASPKPAMVTAGRRGDEL* >Brasy5G470200.2.p pacid=40078429 transcript=Brasy5G470200.2 locus=Brasy5G470200 ID=Brasy5G470200.2.v1.1 annot-version=v1.1 MSTSSPCLRDGTRKKQNNNKKKRRLVIAFLLRALLLMCVAFRLAASLTRVSCRVAVGSVALFFAAANAACLRCIDDGRRSVTGTACGDFVLGAMAQSWRVLLQGITSLVFLCAHADEYVRPSPSPLVLVPHDRPAAHPQQVHISIVGANHMRISWVTDDRSAPSVVHYGTSPGNYTSSATGSSTTYRYFLYKSGAIHHATIGPLSPSTTYYYRCGDAGDEFALKTPPPSLPIELVVIGDLGQTEWTASTLSHIAAAGDHDMLLLPGDLSYADTWQPLWDSFGRLVQPAASARPWMVTEGNHEVETLPVVEFAPFVAYNARWRMPYEESGSASNLYYSFDVAGGEVHVAMLGSYAGFEEGSGQYAWLEKDLAGVDRRRTPWVVVLLHAPWYNTNQAHQGEGEKMRVAMERLLFEARVDVVFSGHVHAYERFARIYDNEEDSRGPVYITIGDGGNREGLASKFIKDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEHATVRDEVWLESLASPKPAMVTAGRRGDEL* >Brasy5G442100.1.p pacid=40078430 transcript=Brasy5G442100.1 locus=Brasy5G442100 ID=Brasy5G442100.1.v1.1 annot-version=v1.1 MFVVLHFCLLSRGTLAQWIAGELGGGGLDRSDKLWTTLLLREGRRAGGEGTRGGGTTAGGFSGRRRPRLGDRGGRRARQQGARRRPRASATAGRRAEAASAGDDGAHGGGGQRAGGGVQRENPTLDGGGRASRRS* >Brasy5G401600.1.p pacid=40078431 transcript=Brasy5G401600.1 locus=Brasy5G401600 ID=Brasy5G401600.1.v1.1 annot-version=v1.1 MELIGNKGYKSTVGLQIHKRCAQVERKGSRGHPFVFSDREHAQLLLRRALNAREKEVAVVNDLRSETAVDDLESPRRGVESEAVRAGPGRAGGRQRHGDRVAGEGLDDPDDALGGIEEAEQAVARADHGVRLAGREPGRESPLLVEEEACAVGRLLGSTALKEDDGVGRGPPRPVGPHVIGDEGVVGDDLVPFLADATEAAEVARREVEKDAGEDVSREVRGDGGGIARGLLLLRNMMSRHRAST* >Brasy5G289700.1.p pacid=40078432 transcript=Brasy5G289700.1 locus=Brasy5G289700 ID=Brasy5G289700.1.v1.1 annot-version=v1.1 MAPPARRFLLLSLLILLAAAASSAVGVGEEEFTEELLLRPLPDRKVLAHFHFLSSAPPAAAAGRHHHLFPKAISQLVQNFRISELELSFTQGRWNYEQWGGYDPMSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTAAKRGLIPLLLAKLRGQKIVKSKSGSSPASPSGSKLLLKVVFVAIVAVVFHYLSNS* >Brasy5G289700.2.p pacid=40078433 transcript=Brasy5G289700.2 locus=Brasy5G289700 ID=Brasy5G289700.2.v1.1 annot-version=v1.1 MAPPARRFLLLSLLILLAAAASSAVGVGEEEFTEELLLRPLPDRKVLAHFHFLSSAPPAAAAGRHHHLFPKAISQLVQNFRISELELSFTQGRWNYEQWGGYDPMSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTGSAPQEAAVPGRQTPLGTLHSACCC* >Brasy5G289700.3.p pacid=40078434 transcript=Brasy5G289700.3 locus=Brasy5G289700 ID=Brasy5G289700.3.v1.1 annot-version=v1.1 MAPPARRFLLLSLLILLAAAASSAVGVGEEEFTEELLLRPLPDRKVLAHFHFLSSAPPAAAAGRHHHLFPKAISQLVQNFRISELELSFTQGRWNYEQWGGYDPMSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTGIL* >Brasy5G289700.4.p pacid=40078435 transcript=Brasy5G289700.4 locus=Brasy5G289700 ID=Brasy5G289700.4.v1.1 annot-version=v1.1 MSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTAAKRGLIPLLLAKLRGQKIVKSKSGSSPASPSGSKLLLKVVFVAIVAVVFHYLSNS* >Brasy5G289700.5.p pacid=40078436 transcript=Brasy5G289700.5 locus=Brasy5G289700 ID=Brasy5G289700.5.v1.1 annot-version=v1.1 MSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTGSAPQEAAVPGRQTPLGTLHSACCC* >Brasy5G289700.6.p pacid=40078437 transcript=Brasy5G289700.6 locus=Brasy5G289700 ID=Brasy5G289700.6.v1.1 annot-version=v1.1 MSTNSAKPPGVELWAIFDIPFTEINATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNPGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSRSGGIILDQTLTVVLQPEPFSGKQLHSTDGQLQPSWSMEHLFNKRLSGKCVVSKSSRIFVEIEKGIVDKSGSDIPWDNEVFVLSTTPDRVLKEIDHMEVQSSSLYEYDVSNYNDEKPLDVGMTWKRPRIWSCTPPPYHASRFLMGSGNERGSIALSFLSTNLQKNLFGGSNDCLRKAVVFQVVPWYVKVYYHSLEIFIDGSRKDISEVLDKIHVTPSEDKLSPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALISFPEFNSSRTYHGTDPFFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESELKKTGIL* >Brasy5G226000.1.p pacid=40078438 transcript=Brasy5G226000.1 locus=Brasy5G226000 ID=Brasy5G226000.1.v1.1 annot-version=v1.1 MDELSQEQLDEFRAAFSLFDRDGDGTITLEELGTVMRSLGQRPTEAELRDMIAEVDADGNGAVDFGEFLALVDRKLLDAEDDLRDAFRVFDADGNGFISLDELRRVMLDLGERLSDDELAQMLLEADADGDGQINYSEFAKLMMSKLELAGSKPMVPPLFKNGIRVCRYLLAFRILQVGRNVVRRRRYRSGPMDWMSGPILKVMSGPFEKVLTRPTEKERSGPLEEDKEKSGPLEDKSGGDHRRRCGTSCTIL* >Brasy5G211000.1.p pacid=40078439 transcript=Brasy5G211000.1 locus=Brasy5G211000 ID=Brasy5G211000.1.v1.1 annot-version=v1.1 MESRNNMLVSRGSDIAYIKDALGTKSYFIVLDGIQSTRDWTVINCALPDTNHGSRVLVTTCITDVANSCSNLPGDYVYRMEPLSKYNSRILFQSRMPVAVAGGTYTSSILKERITAGQAKSAVEEEILKMCGGIPLAINIAAGLLPRKSEELAELEILGKSIVSSLKKYSASEGTIKILHMSFADLPAPVKSCFLYLSVFPECYTIKKDRLIRLWGAEGFIPRIDEESLWETGEKYFNELVSRRLIQPVFDKQNGEAVGCTVDVVILDFISSLSREEDFVTAGKELRSELFPFDTIRVTSLILLLVRFPFMNLCLCHVQNVI* >Brasy5G339300.1.p pacid=40078440 transcript=Brasy5G339300.1 locus=Brasy5G339300 ID=Brasy5G339300.1.v1.1 annot-version=v1.1 MSATAAGEAAVGERLEALRRKLGRKQHFEEAVADLAATLRDRYAGASPALRQSMYSTVCRVATVLQTRYTAPGFWRAGLNLFIGTEKLVTNPSEKERLKSCISRAQEHLDEKENEESMPRNREADSRFLFEGHLTVGPEPPPPAWLVAQNLARELSILSEPSGDRPSGDQDGNNGTHLRDEEMAPAIMNFLNTISGDRDLETALEESLQGITAHPKVPPASKEVVANLPVVTVTEEVIARLGSETQCAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHAYESRKEREREEEEDRKGAANAVRGGEFMYI* >Brasy5G114100.1.p pacid=40078441 transcript=Brasy5G114100.1 locus=Brasy5G114100 ID=Brasy5G114100.1.v1.1 annot-version=v1.1 TGFGKEVLRGDWPDLHGVGSRIQGKNLLQGTKTKIRDYDRAKRMLTAACNPNQSPSHNPRTSPHSHARVVEHELGAIHRGITNSNPHNHAQVVEHKLGANPRGITRIRVARTLSNLRMSL* >Brasy5G462600.1.p pacid=40078442 transcript=Brasy5G462600.1 locus=Brasy5G462600 ID=Brasy5G462600.1.v1.1 annot-version=v1.1 MDIILHLKVSFCEEIRPGSPFSCPVIVAMFPTDAAPERLKVFIHVLHVTFGFFICSSPLCPHYSMLCYYRIHDI* >Brasy5G429400.1.p pacid=40078443 transcript=Brasy5G429400.1 locus=Brasy5G429400 ID=Brasy5G429400.1.v1.1 annot-version=v1.1 MMQQVPPWLEPLLTTRFFSTCGAHAGRPRSECNKFCLDCRAPAPPAFCYYCRQQRHAAHRVIQIRRSSYHDVVRVADVEEALDVGGVQTYVINGARVLFLNERPQPPPRGSGTLANARGASTTYSCHVCARALLDTFRFCSLGCKLASIKRSGGAGAEEGAGSDDAVDSGSGGVRQQQQQQLAPTTMAPVMRKHRRRHRRKGIPRRAPLGSFSS* >Brasy5G391300.1.p pacid=40078444 transcript=Brasy5G391300.1 locus=Brasy5G391300 ID=Brasy5G391300.1.v1.1 annot-version=v1.1 MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEVESSDSSNSISSLISEASSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAIFLAKLRGGTCTIAQSDPSEVVVRRAMHLLQNGFGNYDMFEKNCEDFALYCKTGLLPMDEPGIGASGQASSAIGVPLAALLSTPFKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVTKVEVENLSSHLGFRRIEDAESVNKSSDEVKNLLPMKRKRERKCSQKVFRSKLHWILFYTMEAEAQCTLSVRNPHF* >Brasy5G391300.2.p pacid=40078445 transcript=Brasy5G391300.2 locus=Brasy5G391300 ID=Brasy5G391300.2.v1.1 annot-version=v1.1 MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEVESSDSSNSISSLISEASSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAIFLAKLRGGTCTIAQSDPSEVVVRRAMHLLQNGFGNYDMFEKNCEDFALYCKTGLLPMDEPGIGASGQASSAIGVPLAALLSTPFKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVTKVEVENLSSHLGFRRIEDAESVNKSSDEVKNLLPMKRKRER* >Brasy5G461300.1.p pacid=40078446 transcript=Brasy5G461300.1 locus=Brasy5G461300 ID=Brasy5G461300.1.v1.1 annot-version=v1.1 MSFEDLMESIGNNLRKLQAIQVNSDSSLCIEWDNCEDYVPPRRLSDLALMVRLSRLPAWIESSRLPLLSHLELELEAVEAGDVEVLGRFPELVTLRLSTRGVGFPDVVGGGVFPKLRYFCTSAKPRFLPGAMPSLEHVGYSFWLRAEDAAARSLERDFASMGNLPCLEKVEVYIEHARAKGLEEAEAALRRAIKVHPNNPTLVLVSPYNRQRIQLRGDRDTRREGVLAVL* >Brasy5G215100.1.p pacid=40078447 transcript=Brasy5G215100.1 locus=Brasy5G215100 ID=Brasy5G215100.1.v1.1 annot-version=v1.1 MQHRTPNHQISPAPAPNHGRMLRSVHPPSSSPAAAPPPTTKSRRRPPQPLDPRPQKGKKEGSKKPACRHGRRRPDSGGGEAGGEGRHGPSTRTGGAPPSPARRDRGSIGEAMRRSFGRQLCSRGRDRQHERERREGAHEGERETTGEGEKGKGPVGQGGQGNKEGHRTPQTCPKFGRAAPNRPHTCPRHRLVVGRLPRHRPTPPRSIRPPPSLSTKLPCVGAPRRYPKTTDALGLLSRVPESPRLEAHPQSTSPHLDSLFQRRIRFELVAIRLPVPARTRRSPWSTASTAGTATPPSSSSSPTTVAAAVLPRIFVQHIKKDCTRARALSLSSIIFSALPPLSLLSRPPEAAASRPATALPSKPLRPSPPAPPSRPSSAATPAALYPTCAPKNLATAAGSDLDRGGASLPSNTGGHSLPLPPLPCGAPVVIPFTAGGGGGDNEGSGASSTGDEALMHPRQRHLSWLGWAAHARCFLHSRG* >Brasy5G477900.1.p pacid=40078448 transcript=Brasy5G477900.1 locus=Brasy5G477900 ID=Brasy5G477900.1.v1.1 annot-version=v1.1 MASLATARLAGGGVLLRAIGDAAAGGNSSGKLCLPSPRRRLPSVRCCAACNPGGGGSGSGGVDAGTEFVGFFREAWPYIRGHSGSTFVVVISSEVVAGPHLDRILQDISLLHGLGINFVLVPGTHVHIDKLLTQRGGKAKYVGQYRVTDSDSLDAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGDIGHRHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIKERLDSHSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICVVDGQIFDEHGRVIHFMSLEEADMLIRKRAKQSDIAASYVKVVDEEDYEPSLNGRGHLNGYAASFKNGLGFNNGNGIYSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATEEDLPGIRKLIYPLEESGVLVRRTDKELLEALYSFYVVERDGSIVACAALFPFPENESGEVAAIAVSEECRGKGLGDKLLDYIEKEALSLGLGKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLLQKHVVSLAANNFVAS* >Brasy5G426600.1.p pacid=40078449 transcript=Brasy5G426600.1 locus=Brasy5G426600 ID=Brasy5G426600.1.v1.1 annot-version=v1.1 MASIKLVFVVLAVLVSAAPMAVVAGDPDILTDFVVPTNIPGIPAKNITGDFFTYTGFAFPLPPLTTFSVLKASMAQFPALEGQSVSYAKLRFPPGTVNPTHTHPRASELLFVLEGALSVGFVDTAGKLYAKDLVAGDMFVFPKGLVHYQYNPGNIVAVAFSAFGSAFAGTVNVPAAIFGTDIDDAVLAKSFKTDVPTVLKLKSALTPPPTPKN* >Brasy5G422100.1.p pacid=40078450 transcript=Brasy5G422100.1 locus=Brasy5G422100 ID=Brasy5G422100.1.v1.1 annot-version=v1.1 MLLPMRRPPAAPSRPIFRPQFSSLGLRWQHGQAMANRQGPEFEHRSRSVGRVRGSTMARASPVTMDSVSVGFGRRAIVGMVLAMSLSAPTYYRAPPSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRESALRGEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPLALSGSPSGLSVMSAAPGGPAEKAGILSGDVILAIDNRSAEDMDIYDAADRLQGPEGSSVDLTIRSGADTRHVVLKRERYTLNPVRSRMCEISGSTDSSKIGYIKLTTFNQNAAGSVKEAIKKLRDNNVKAFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSRGVRDIYEADGASTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLKDSSSPCNLNAARLFARS* >Brasy5G380100.1.p pacid=40078451 transcript=Brasy5G380100.1 locus=Brasy5G380100 ID=Brasy5G380100.1.v1.1 annot-version=v1.1 MAENPQLYGNGMPVPFHGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPDSQNRALYSTHTFKILFKEGGCGTFVPLFLNLITSVRRYNQFEAQSAAAPRVDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYNAPADNAY* >Brasy5G334700.1.p pacid=40078452 transcript=Brasy5G334700.1 locus=Brasy5G334700 ID=Brasy5G334700.1.v1.1 annot-version=v1.1 MAKPRKNSAAANNNNNANNAVAEAAAADVRAKPKKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDEEEAAARAYDLAALKYWGPDTILNFQLSVYDDELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGAGGVDSAAAAAARNPHPMLAGLAAQDQELPAIDRLLDGMAFPQHDLHSSSPAAAAQYPLPPALGHAPTTSALSLLLQSPKFKEMIERTSAAETTTTNTTTSSSSSPRPAASPQCSFPEDIQTFFGCDDGVGVGVGAVGYTDVDGLFFGDLSAYASSTAFHFELDL* >Brasy5G289300.1.p pacid=40078453 transcript=Brasy5G289300.1 locus=Brasy5G289300 ID=Brasy5G289300.1.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.7.p pacid=40078454 transcript=Brasy5G289300.7 locus=Brasy5G289300 ID=Brasy5G289300.7.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.6.p pacid=40078455 transcript=Brasy5G289300.6 locus=Brasy5G289300 ID=Brasy5G289300.6.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.3.p pacid=40078456 transcript=Brasy5G289300.3 locus=Brasy5G289300 ID=Brasy5G289300.3.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.4.p pacid=40078457 transcript=Brasy5G289300.4 locus=Brasy5G289300 ID=Brasy5G289300.4.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.5.p pacid=40078458 transcript=Brasy5G289300.5 locus=Brasy5G289300 ID=Brasy5G289300.5.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G289300.2.p pacid=40078459 transcript=Brasy5G289300.2 locus=Brasy5G289300 ID=Brasy5G289300.2.v1.1 annot-version=v1.1 MVPSLLRLHPAPSPPSGTLLLLQLQPPPPTLPLLPRRRQLLPSLSVTPMAATTGDFPPGPTQPLDRIDLVQGRRGGEHRAGGVREFVDELASFLHRGVWKAHQVCQARRTC* >Brasy5G381500.1.p pacid=40078460 transcript=Brasy5G381500.1 locus=Brasy5G381500 ID=Brasy5G381500.1.v1.1 annot-version=v1.1 MGGCYSVIAASRMLNRRRAAILPLGSGDEQMAGGCSPDNDNSKKKQRIRGLRWRRSTAILGALDDGGGDSQAGPGCQCFSKRYRLGAELGRGEFGVTRRCVDAATGEALACKTIRRKRLRRGADAEDVRREVEILRRLSAVAGGTEEGGVVVRLREACEDGKGVHLVMELCEGGELFDRIFARGHYTERAAAKIGRTIAEVVQLCHENGVMHRDLKPENFLFANKSEDSRLKAIDFGLSVFFKPGDRFTEVVGSGFYMAPEVLMRSYGPEADVWSAGVIIYILLCGVPPFWGDTDERIAESIIQGGINFQKEPWPKVSLTAKDLVKKMLDPNPSTRLTAKEVLEHPWIKNADKAPNVSLGELVRSRLKQFSSMNKFKKKALGVVAKNLPVEEINNYTQMFHTMDKDKNGSLTLEELKEGLRINGHPVPETEIHMLLEAGDIDGNGTLDCEEFVIVLLHIKKMSNEEYLPKAFKYFDKDGDGFIEMEELVEALADDELGPNEQVVKDIIRDVDTDKDGRISYHEFEVMMISGSDWRNASRRFSRANFSSLSYKLCQ* >Brasy5G512200.1.p pacid=40078461 transcript=Brasy5G512200.1 locus=Brasy5G512200 ID=Brasy5G512200.1.v1.1 annot-version=v1.1 MEPLSCKLLAFLAILMMAAEASPVSAEVPPLLGEQARALLAWKATLSNKSQVSLKSWGNMSAPCGWRGIRCGAHTMHHQRKHQPAVIAGISLQGMRLAGGTLESLNFSALRTLTSLDLSQNELAGIIPSSIEVLVELHALLLQGNQIRGSIPPSLANLTKLHFLELSGNQVSGEIPNQIGKLSLVNLNLSDNHLNGPIPREISDLKHLSILDLSNNNLSGSIPRNIRDLNKLTTLYLDQNKLSGHIPPELGYLVNLENLELYENTLTGFIPRSLGNLTKLTTLHLHGNQLSGHIPQELGYLLNLENLGLGNNSITGSIPKGLCNLTKLATLYIWGNKLSGHIPQELGYLVNLVDLELSNNTLTGSIPNSLGNLTKLTTLYLRHNQLSGHIPSKIGMLMNLGGFTISYNNISGALPSSLCVGGQLRYFIANSNNLVGPLPASLLNCKSLVRLRLENNRLEGDISGMGVHPNLVYCDISSNKLFGQLSQNWRCYNLTMLRASNNSITGLIPRSIGKLSQLRVLDVSSNKLEGQIPPEIGNLSALFNLSLGNNLLQGSIPQEIGNLYSLEYLDLSSNNLSGTIQGSTQHCSKLRFLKLSNNNFSGSIPT* >Brasy5G455400.1.p pacid=40078462 transcript=Brasy5G455400.1 locus=Brasy5G455400 ID=Brasy5G455400.1.v1.1 annot-version=v1.1 MDYRQLRLVGFYSTLAAPCTITSIRNLSFCCLKRGVTHYTIIYNEIAILQICRRTYQMIVPRTKLYAQQLNKSRDVLSPSAVLHKNHVTIFRNAITLRRKGGYPFRTLYPKHEL* >Brasy5G455400.2.p pacid=40078463 transcript=Brasy5G455400.2 locus=Brasy5G455400 ID=Brasy5G455400.2.v1.1 annot-version=v1.1 MDYRQLRLVGFYSTLAAPCTITSIRNLSFCCLKRGVTHYTIIYNEIAILQICRRTYQMIVPRTKLYAQQLNKSRDVLSPSAVLHKNHVTIFRNAITLRRKGGYPFRTLYPKHEL* >Brasy5G272800.1.p pacid=40078464 transcript=Brasy5G272800.1 locus=Brasy5G272800 ID=Brasy5G272800.1.v1.1 annot-version=v1.1 MATETTTPRAAVAFSGAAAAAAAREQQARRGAAAAAQQQARRGAAAKRAAAAGAEEPGGGGASRSSSRRGASGRATRLACAQLQLKKGIWLWEQRRGKRAVL* >Brasy5G301600.1.p pacid=40078465 transcript=Brasy5G301600.1 locus=Brasy5G301600 ID=Brasy5G301600.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQVAQLRQQQIEQQAIAAVQAQAQTLSAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTQGTLPYTTAGAALPQSSVRPGGATAASGLSLDGPGGCSCRHELLSERDRLRRLRHTARSLAAARPWPWGSIADGRSTEGSGFGTS* >Brasy5G363800.1.p pacid=40078466 transcript=Brasy5G363800.1 locus=Brasy5G363800 ID=Brasy5G363800.1.v1.1 annot-version=v1.1 MMEVRASSEQQGVMAGREPFGLPKTPPPSSNPMQQNMHLAYTAEGRPYYAQAPAPAQNQSGAGDGAAGPDAAAAEGNGSPEHQGNMEEMAKRKSGQPSNEDSDGSMSAALVPVPNPAEVTPGASGTLSPAARNTAGTVPSAAPVGMKKRGRPKGSTNKVKKQKPAPDTTGFVGAHFTPHAICVNAGEDVAAKIMSFSQHGARGVCVLSANGAISNVTIRQAATSGGTVTYEGRFEILSLSGSFLESENGGHRSRTGGLSVSLANSEGRVLGGGVAGLLIAATPIQIIVGSFDTATEKKAPKKQRAPSDPSSSSAPPQMAPVIASAPMAALAVTTPVAEPIAPVPLSVAVAAGPSGESSSAAGNQLNHGATANDHTKRQGLSSMSWK* >Brasy5G195800.1.p pacid=40078467 transcript=Brasy5G195800.1 locus=Brasy5G195800 ID=Brasy5G195800.1.v1.1 annot-version=v1.1 MPIETIIALGTACVRALEFAARLERTSREVKAKCEELAERVNILLEILPRYYSAAANDSGTAAPLGRLEEALRAADSCDRHVQSGFVGWSLAVVSDFNRQDLARLVAAEEKIKTCMSDLGFAVGAPAAAVVARAEPQQRTATTTNRCNNTANIFLGDVYFMGRQPPGAAASSSSSSSSSSRRTDPQMQLQQLGSRSPGPVVEQRRPTAPTRLRRQEPPTPLLLLLLLWLRLPVAL* >Brasy5G290500.1.p pacid=40078468 transcript=Brasy5G290500.1 locus=Brasy5G290500 ID=Brasy5G290500.1.v1.1 annot-version=v1.1 MQFIIWNVRGLNDPSKVKKVSELLKVHHPAIIALSETKKKDFSPSFLETLVNYGNFSCHCLSAVGTAGGILVGVDLDTFEIDCWNTGSFFVSCNIKSKIDNFCWKFVAVYGPAYEDLKQDFLEELKSICASCSTPILVGGDFNLIRYASEKSSRNMNQMASPFCSIRHFGKP* >Brasy5G241300.1.p pacid=40078469 transcript=Brasy5G241300.1 locus=Brasy5G241300 ID=Brasy5G241300.1.v1.1 annot-version=v1.1 MIWHLGGGARGPLCRLIAAGFLGFIAVLFADCYYYSTCAAITPLVVGLVVLSLIQTSLPARYYVAIDSVSGLDPATDLAGGRGGGVNLKPEFNITLGVASRSLWESRCTRAGTRVRVGYRGVLLATSEPAARQGAPARRAVEQHVFAWGTGGRVRLPGALRESLATEIRRGVPEFDVAVGEDGSWKWCGKAGECHAAALTWCHVDS* >Brasy5G411300.1.p pacid=40078470 transcript=Brasy5G411300.1 locus=Brasy5G411300 ID=Brasy5G411300.1.v1.1 annot-version=v1.1 MASPADGESPAKPILLHGDLDLWIIEARLLPNMDMFSEHIRRCFATCGTASSCAPKQPPRSRGGEGANRRHHRKIITSDPYVTLSVAGAVVARTAVIPNSEEPRWGEQFFVPLAHRAAVLEFQVKDNDTFGAQLIGTASIPAEQVANACEEEVDGWFAIVGPGGRPYKPRTALHLRFRFRPLDTNPMYQRGIPGDPEQQGVQDSYFPLRHGGRVTLYQDAHVNEGDLPGIELERGKQFEHNNCWEDICHAILEAHHMIYIVGWSVYDKVKLVREPSRSRPLPEGGDLTLGDLLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMGTHDEETRKFFKHSSVICVLSPRYASSKLSIFKQQVVGTLFTHHQKCVLVDTQASGNKRKVTAFVGGLDLCDGRYDTPQHRLFKDLDTVFENDYHNPTFSAGSKGPRQPWHDLHCKIDGPAAYDVLKNFEQRWRKASKFRERFRKISHWKDDALIKLERISWILSPSPNIPNDHASLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASRQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYGWPSYVNSGADNLIPMELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILYFQAQTMEMMYRVVAQELKAMNIENAHPQDYLNFYCLGNREESSTNGSPESDKSTDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHSWSKKGAHPHGQVYGYRSSLWAEHLGMVDDRFKDPSSLDCVRFVNQIAEENWNRFTAEEMKTLQGHLLRYPVRVEPDGNIGPLPDQECFPDVGGKICGAPTSLPDSLTM* >Brasy5G189500.1.p pacid=40078471 transcript=Brasy5G189500.1 locus=Brasy5G189500 ID=Brasy5G189500.1.v1.1 annot-version=v1.1 MASLASGAPEVRSLLENDDSKKLLALRETTAFVAFLKSVSGAAVKGLTVLNIFSAICGITWSGITVKFVVKAMLIGL* >Brasy5G216900.1.p pacid=40078472 transcript=Brasy5G216900.1 locus=Brasy5G216900 ID=Brasy5G216900.1.v1.1 annot-version=v1.1 MAMVLDAFASYIADMLKQAVEDEVGMLLGLAGDIDKMGAKLGDLKNFLADADRRNNTDQSVKGWVTELKRAMYDATDILDDCQLKAMDRQRASSSSCMAWCNPLLFCLRNPLHAHGIGTRIKELNQKLDAIKERSAAFGFINLGSYEDPSRKVQPSRLSTSRETSGELDQSGLVGEKIEEDTRALVETLLTEKQGYKKIMVVTIVGVGGIGKTTLAKKVFNDEVINTMFDKVLWLSVNENFDKVELLKTAITLAGETIMNDVFETTLVYAAAQGSRVLVTTRNEAVARGMKAVLSHHHIDKLDDEDAWSLLRKQVVSSEKDGHEIETLKDIGLQIIAKCVMGGLLCQKDRERHDWEIVLNDSLWSVSGMPEELNYAVYLSYEDLPPCVKQCFLYYSLLPKTGFFYKDDIIGMWISEGFLHGTSDDLEELGNKYHKELILRNLIEPDTSYINQIVCTMHDVVGSFAQFVARDEALAAHCGQTGIISKLSAQRFLRLSIESEVSESNELGWSSLHAQKTLRTLISVGHISTKPADSLVNFRCLRILHIDSTNVAILVKSCNELKHLRYLSIQKSDISSLPDNIGTMKFLQYISVAGSQEFVKLPPSIVKLGQLRYLNFAGTGINCIPRGFRVLTNLRKLQGFPAQVDGDWCSLEELGPLSQLRVLGLQALEKASIISSTTKARLSAKVHLTHLSLSCSSRLGDDGLIKEEEGISEEEKSQIEKLPCLQFIQIGRAPSIKRVGPEFLQAYHHHSPHPSQAVVVFPRLHKMELLGMVEWEEWEWAEQVEAFPVLEKLTLVNCKLRCVPPGLAFQAKALKQLALESVRQLSYLENLTSVVDLQVYESPDLERITNLPTLQKLLIVMCPKLKVLEGVHALQRLELEDSDMETLPEYMRGINPRHLLLYCSLALLSAIAAGQSGPEWDKFSHVENVKAYADDADNIRKWYVLYTRDPYKLETNVNRSFMSG >Brasy5G518900.1.p pacid=40078473 transcript=Brasy5G518900.1 locus=Brasy5G518900 ID=Brasy5G518900.1.v1.1 annot-version=v1.1 MDYAAQAPPATDPNYPPHAYGHHPYTYAAAGYHYPDPAAAAVDPSASYGYSNPNAAPVATYDPYAQYNYYGAPAYYYGAGAGASQPPPVSAEAAPSSSAAASAAAGREAVKHFGFDPQRYAQVAAAKAPNKMAPAAAAATSMHHAQWNAHFGHPLPKNVTRKFMKKPPKVVQPANCEVCKIQCDTLEVLMIHKTGKKHKKNLEKLQDSITPKPAKPLNNAVEANTAPAAASDGVMPVVQTKKNKSSAATPEDLEVKKRRVLEAGAAQGEVKICTACNVVVNSQKVYEFHILGHKHKANVQKQQQAPQQHHL* >Brasy5G263100.1.p pacid=40078474 transcript=Brasy5G263100.1 locus=Brasy5G263100 ID=Brasy5G263100.1.v1.1 annot-version=v1.1 MHRTHMTTAMRRLLLRPRTTSSPRRQQQCPPAAAFCSSLAAGLAHLDSFSPDDDGGSGGGSPPPPPSVLPVRWCADAMRLVKRMQRDIKARFDGEGDGKEWLPDDLENYMEETAALLDLCNALKSAAARLRRRCMAADFAAAAAGGVDEEERAEVRELREAAEMLGSVVDQVFDELIRGRNEMLVVLRDKALSLSDSLP* >Brasy5G354400.1.p pacid=40078475 transcript=Brasy5G354400.1 locus=Brasy5G354400 ID=Brasy5G354400.1.v1.1 annot-version=v1.1 MARVAFALAVLLLVAVAVAPAKGKKKDAEEKAADAPAAEAPAADGPAADAPAEGPGGPAGAPGPSEGINGLSDDNDDDNN* >Brasy5G371500.1.p pacid=40078476 transcript=Brasy5G371500.1 locus=Brasy5G371500 ID=Brasy5G371500.1.v1.1 annot-version=v1.1 MPADAAAKGVKLERYASGGALLLRRVASGKLVSASSHLLFRATVLATIALVFLFTLHYPSLLSRSFSLSSPSTSTPLQHASHRSLLMSSSPASAASVYGSEKWQKEIKKSAKPRKADGGMSVLVTGAAGFVGTHCALALRARGDGVLGLDNFNSYYDPSLKRARQGVLAARGVVVLDADINDAPLLAALFEAVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVFEAAAKRADPQPAVVWASSSSVYGLNTESPFSEEHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARAIVAGEPITLYADARRDFTYIDDVVRGCLGALDTAGMSTGSSRSSKKTGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRVVAMPSNGDVPFTHANVSHAAHDFGYRPTTSLDAGLRHFVGWFVEYYKLDTKVAKAARAGTDKKSTKKKAAAMSASS* >Brasy5G372300.1.p pacid=40078477 transcript=Brasy5G372300.1 locus=Brasy5G372300 ID=Brasy5G372300.1.v1.1 annot-version=v1.1 MIGWGDVYKVVAAMAPLYFALALGYCSVRRWKLFTPDQCDAVNRLVAYFAVPFFAFDFSARVDPYALNYRVLAADALSKLAVALALAAWAAAVKFRRGGGDKVLASRSGWCITGFSLATLNNTLVVGVPLLDAMYGPWARDLVVQLSVVQIIVYFPMLLLAFEARRACAAGAGAGNKPAASDDDVEGAGAAGRIEPVDDQSAWALVRAVGLKVARNPNVYAGVLGVAWSCVTNRWHIETPSIIEGSVLIMSRTGVGLAMFSMGLFMALQERIVACGAGPTALGMVLRFVAGPAATAAGAVALGLRGDVLRLAIIQAALPQSITTFVFAREYGLHADVLSTAVIFGTLVSLPVLIVYYIALALVG* >Brasy5G029700.1.p pacid=40078478 transcript=Brasy5G029700.1 locus=Brasy5G029700 ID=Brasy5G029700.1.v1.1 annot-version=v1.1 MTTQLVDKIMFIEYYYRNFFEVNPVIACCRLPVYHFVDVLPLSPSLCSEIQEMTQGNLLPAVGLGSRMTLLLGEEDVIQKTCLHLLCCCNECIFWCNGAIFLV* >Brasy5G206200.1.p pacid=40078479 transcript=Brasy5G206200.1 locus=Brasy5G206200 ID=Brasy5G206200.1.v1.1 annot-version=v1.1 MRGEANGYRRKLKNAPASPPPLRPSPHLERHRPPRDPTHRRTPQARTRRHRGGRVLPRHEPVRGSHQRGRGVVGSFATTDHAPGAGIIAMARSNEGGVAPARSPAGGNGERLLVLQSRLGCASRGPSDASAGLPEDPHGASIFLLLEFLFLWIDSCSIYGVVKMEHASTNGHDILY* >Brasy5G247000.1.p pacid=40078480 transcript=Brasy5G247000.1 locus=Brasy5G247000 ID=Brasy5G247000.1.v1.1 annot-version=v1.1 METAHEVAIYIDRFHNLDLFHQGWYRMKISAAWEQDESRAPVSPARVAQYEATDIGAKRAFGFWKIDDVDNSFYTQPFRIKYARQDIYLSVMVSFYIPNSEDEGPATSSVILKFELLYVPTLGNRIETEDSDDTYVAPVHEFRIPYRALLGLHTYCPVHFDAFHPVLVDLTIHIVYLKAGVTKSSLKALEQGSCSKVYDIIKALLISRELLLGEVKKISNALGKTLEDLEGTDLSLGKYESIHPTNLSLSSYTNGLHATPKCIGQLTGILQDLLETSDDAAQRLYTLSKEELLELLETVSNQLSLVWNGFLKFHRTNKIKILDYLHDIWDIDRKSEWSIWIVHSKIEIPHRYMQSVADSTSPRHSLRRVSSSKKFHHDPVQNASSRAELHRKSIAQMKINARSVQDMHIYANPSHVPVVLIEQHVMVVPQHGCSRDFLANARPDPKNNIVPPKLQGETLVGNPSGCENSGHILRAVIFVHGFQGHHLDLCLIRNQWLLRDPGAECLLSETNEDRTYGDFKEMGIRLASEVVSFLKSKLEKYSRHGGCKEMKLSFVGHSIGNIIIRSALSEPKLQPYLRNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGLQCMHQLTFTDEQDPQNTFFYKLCELKTLENFKNIILVSSPQDGYVPYHSARIDLCPASSSDSSKKGQVFTEMLNNCLDQIRAPSSEERVFMRCDVSFDQSAQRRDLNTIVGRAAHVEFLENDMYARFIMWSFPEMFR* >Brasy5G247000.2.p pacid=40078481 transcript=Brasy5G247000.2 locus=Brasy5G247000 ID=Brasy5G247000.2.v1.1 annot-version=v1.1 METAHEVAIYIDRFHNLDLFHQGWYRMKISAAWEQDESRAPVSPARVAQYEATDIGAKRAFGFWKIDDVDNSFYTQPFRIKYARQDIYLSVMVSFYIPNSEDEGPATSSVILKFELLYVPTLGNRIETEDSDDTYVAPVHEFRIPYRALLGLHTYCPVHFDAFHPVLVDLTIHIVYLKAGVTKSSLKALEQGSCSKVYDIIKALLISRELLLGEVKKISNALGKTLEDLEGTDLSLGKYESIHPTNLSLSSYTNGLHATPKCIGQLTGILQDLLETSDDAAQRLYTLSKEELLELLETVSNQLSLVWNGFLKFHRTNKIKILDYLHDIWDIDRKSEWSIWIVHSKIEIPHRYMQSVADSTSPRHSLRRVSSSKKFHHDPVQNASSRAELHRKSIAQMKINARSVQDMHIYANPSHVPVVLIEQHVMVVPQHGCSRDFLANARPDPKNNIVPPKLQGETLVGNPSGCENSGHILRAVIFVHGFQGHHLDLCLIRNQWLLRDPGAECLLSETNEDRTYGDFKEMGIRLASEVVSFLKSKLEKYSRHGGCKEMKLSFVGHSIGNIIIRSALSEPKLQPYLRNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGLQCMHQLTFTDEQDPQNTFFYKLCELKTLENFKNIILVSSPQDGYVPYHSARIDLCPASSSDSSKKGQVFTEMLNNCLDQIRAPSSEERVFMRCDVSFDQSAQRRDLNTIVGRAAHVEFLENDMYARFIMWSFPEMFR* >Brasy5G059300.1.p pacid=40078482 transcript=Brasy5G059300.1 locus=Brasy5G059300 ID=Brasy5G059300.1.v1.1 annot-version=v1.1 MLCALIASWGRLGLAQYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCQPDCFTYNTLVHGVCRRGIIDEALRLVRQMEGAGIKPNVFTYTMLVDGFCNAGRPEDAVHVFDTMKEKGVSPNEASYRTLVHGAFRCLGRHKAYVMLSEWLGREPPPNHSAWQCMLYCLSKNEMTKEVIEVTKKMNSRGYLLDNAIFGIVVSCAVKCLELSDLCGLLDDFIKKGGNPGFDVYIMVIKSLLHCKSSSKANHYLERMVLDGLLSSVTSYNMVIDCFVKAGAVDKAAEIIKEMQEKGVLPNLVTFNTLISGYSKLGNVHNAKAVLKMVMEHGLIPDIITFTSLIDGLCHTHQLDDAFDCFNEMAEWGVRPNAHTYNVLLHALCSAGHVNKAIDLLNKMKQDGITPDAYSFNAPILSFCRMKKVDKAQNIFNAMLRLGVVPDNYTYNSLIKALCDERRVDEAKEILLLMESSGCSATNQHTHLPIVSALTKTGQFSKAGQLMNKYHRRNVQLSCGSNRTIESEIDVRVVNV* >Brasy5G293800.1.p pacid=40078483 transcript=Brasy5G293800.1 locus=Brasy5G293800 ID=Brasy5G293800.1.v1.1 annot-version=v1.1 MSRALALAVLLLAAAVAVAPVAEAMSMPGADAGGATLGAAESTAEKAVNPSAFLPGASGKKTTELRD* >Brasy5G317200.1.p pacid=40078484 transcript=Brasy5G317200.1 locus=Brasy5G317200 ID=Brasy5G317200.1.v1.1 annot-version=v1.1 MAAAEGWWCWPLPSWLGSGAAWFVFLNVVVGAIFALSSRAQPPSTRGGITRRASSAVLQRIRSFSLFSFPSSPFNTAAATALRETDQEARGSPSTPRAPPASAEPPPEKEEDEGVEDANSMSMEEAYALVLAGRQQPPPTEDEAARARSDVDAKAEEFIRGFKEDLRKQRLHSIANYTQMLRQRAAGTSRPPGAAPDTNNN* >Brasy5G268700.1.p pacid=40078485 transcript=Brasy5G268700.1 locus=Brasy5G268700 ID=Brasy5G268700.1.v1.1 annot-version=v1.1 MMNLISFETPPLGRRQESTVKAKELASSSSTGTTCFHGSHDLDLSLGISLSPGGGGGGESRNGCATAACHGATMPSYSGGGRGGSGDVGCMGNGMITSAALSAGRHGGNCHGIVSSSSSSWTAASFMPSPTGYMHPWSLAARQQKAAEQDRSLAPAPALAATYVTSDARVMSMPAACVGWPPVHTSRRHLVAGIQATTPRPDADMKLDELRPDAKMDGNAVTGDKDEKLDAAVPKSCRTVAAELRRRPAANMFAKVHMEGCLVGRKINLRAHRSYDSLSRALTKMTRNFFCPADYPTSNSGEEDCANSDDFIFLYEDFEGDRMLVGDVPWELFLASAKKLYIAPNPASGDAKGEEEAAKIDAS* >Brasy5G268700.2.p pacid=40078486 transcript=Brasy5G268700.2 locus=Brasy5G268700 ID=Brasy5G268700.2.v1.1 annot-version=v1.1 MMNLISFETPPLGRRQESTVKAKELASSSSTGTTCFHGSHDLDLSLGISLSPGGGGGGESRNGCATAACHGATMPSYSGGGRGGSGDVGCMGNGMITSAALSAGRHGGNCHGIVSSSSSSWTAASFMPSPTGYMHPWSLAARQQKAAEQDRSLAPAPALAATYVTSDARVMSMPAACVGWPPVHTSRRHLVAGIQATTPRPDADMKLDELRPDAKMDGNAVTGDKDEKLDAAVPKSCRTVAAELRRRPAANMFAKVHMEGCLVGRKINLRAHRSYDSLSRALTKMTRNFFCRCFLRRLKNCTLPQIQHQETPKVRRRQQK* >Brasy5G030500.1.p pacid=40078487 transcript=Brasy5G030500.1 locus=Brasy5G030500 ID=Brasy5G030500.1.v1.1 annot-version=v1.1 MGWLNKIFKGSVNRVSRGNYDGNWHDGNSSENTRGAYDESDNEDIDRAIALSLAEEDPNKGKAIIDPDYSLEEDEQLARALHESLNTGSPPHQNDPVVNVPSERVPTREPPPPVFLSSGFRACAGCNNPIGNGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHENQPYHKSCYKDFFHPKCDVCKNFIPTNKDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDIKYIRLDDGRKLCLECLTSATMDSPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEALEAEKSGHHLPETRGLCLSEEQIVRTILRRPTIGPGNRIIDMITGPYKLVRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGYRSLSPQVEEGICQVLSHMWLESEIIAGASGNAASTSVPSSSSAPTSSKKGAKTEFEKRLGAFIKNQIETDSSVEYGDGFRAGNRAVERYGLRSTLDHMKITGSFPY* >Brasy5G068200.1.p pacid=40078488 transcript=Brasy5G068200.1 locus=Brasy5G068200 ID=Brasy5G068200.1.v1.1 annot-version=v1.1 MASGGGGVSAVYTSVIDDVVAKVRTEFLNHGLGDGVLNQLRALWETKMVRCGALSGTRAPSPGPGGGGGATTPAHDPSAPPSYDDEYYYATPTAEMLFPPTPLQTPIRTPLRSPISGMRNDGAVKEEEGAEPKFGRRSPYYVPSSPFPWLNQSSPLGADVDVAYQVSLECPETAPRTRDFLTMYPGKRKRGDDEFPGQRSSGSALPQQDGSADQTVEFVLPDSSVGNAAQELWKSIMDKQGSTSSVKETTTRTAPVIPQLDGVQGDGYYDDRYFFPGGVDTEDYDDLGESSEYPTPTHAVATPGNDAGYDDDDDDEPPLNEDDDDDDEDELKDSEPNIQHLVLAQFDKVTRTKNRWRCSLKDGIMHLNGRDILFNKASGEFDF* >Brasy5G516300.1.p pacid=40078489 transcript=Brasy5G516300.1 locus=Brasy5G516300 ID=Brasy5G516300.1.v1.1 annot-version=v1.1 MLHDNQLTGEIPKQISELDNLVRLNLSCNHLVGHIPSEVGHLSHLVTLDFSNNDLSGSIPSSIGALTKLMTLHLYHNKISGRIPRELGYLVNLEDLKLSNNSITGSIPASLCNLTKLTALYLDSCQLSGHIPQEQSYLVHLENLMLRNNTLTGPIPKSLGNLTKLTILRLHRNQLSHHIPQELGDLVNLECLELQKNTLTGSIPNRFANLTKLTTLYLHGNQISRHIPRELGYLVSLENLDLGNNELTGHIPNSFGNLTKLATLDLYINQLSGSIPHEISKLRNLGDVRFSSNNFSGALPPGLCAGGRLQIFTVAHNNFIGPLPDDLLNCKSLVRIRLDGNQIEGDISKIEVHPNLEYIDISSNKLSGQLPHHWDECYKLTMLNASNNYITGIIPPSIGKLSQLSILDISSNKLGGQIPSEIGNITTLFSLRLSNNLLQETIPREIGLLNNLEHLDLSSNNLNGPLQGSIEHCSKLRFLKLNCNHLSGSIPIELVKLVNLQELLDISDNCFSGAIPSQLSGLNMLEALNLSHNTLNGSIPPTFQSITSLLSIDLSYNKLEGPVPQNRIFREARVEWFVHNKNLCGVVKGLPPCELTQGGGREMKLKEILLAIIPVVLFFVFIAILLIFLGKRKKPKAQNANELLQTKLFTIWNFDGGDVYKQIVDATENFSDTHCIGTGGNGSVYRAQMPTCEIFAVKKIHKMEDGELFNREIAALMHIRHRSIAKLFGYCSATQGRFLIYEYMDRGSLAACLKIRETAVELVWMRRLSIVRDVAHALSYIHHDCFAAIVHRDITSSNILLDLKFRACISDFGIAKILDADASSNCTKLAGTKGYLAPELAYTTRVTEKCDIYSFGVLVLELFMGHHPGNFLSSLSYMGNKSASLRDMLDTRLPLPEAATGSEIFKVITVAARCIEPNPSHRPTMQQVAKVFSTAEGPDHHLDYLGTEIVIPAG* >Brasy5G333200.1.p pacid=40078490 transcript=Brasy5G333200.1 locus=Brasy5G333200 ID=Brasy5G333200.1.v1.1 annot-version=v1.1 MKVEVVESTLVAPSEATPRHALWLSNLDLAVPKTHTPLVYYYPAPAAPDAPAPDAAVDAEGFFAPERLREALARALVPFYPLAGRMAVGPGGRLEIDCNGEGALFVVARADFTGEEMFRDFEPSPEARRLLVPFAASGDPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAIQFIRTWTSLARGLDAASVSPSPPCHERTLLRARSPLSVTSEHPVYSPSNLNGMPRPFVTRVYAVPPKLLADIKSGCAPGVSTYCAVTAHLWRAMCVARGLEPNAESRLRVPANIRQRLRPPLPAHYFGNAIVRDLVTVRVGDVLSQPLGFVAERIKRAVARVDDAFVRSVIDYLELESEKGSQAARGQFMPETDLWVVSWLGMPIHDADFGWGRPEFVAPAQMFGSGTAYVTQAPDKDDGVSVLFALEPEYLQCFEKAFCGE* >Brasy5G451200.1.p pacid=40078491 transcript=Brasy5G451200.1 locus=Brasy5G451200 ID=Brasy5G451200.1.v1.1 annot-version=v1.1 MLSRRWRDLWKSVPRVHADIRDFDDKGYSDEQCEVLLKRFMNHFLEARDPVPLQEFRLWYSVCKDVQETESADASGDDEEAQFAEDDDEDAQFAEDDDEEAPFAEDDDEDAQFADAPEGDEDAQFADAPEGDEEAQFADAPEGDEEAQFAEDDDEEAQSADVSEEDEQDKSAAANKWIRYALEHKASVIVVVNRLYALNLAHDGFTSNYLNSLNISSAILMQGFFEQLQSGCPKLKHLSLYDCVIGDTEVSSQTLEVLTLPDEVHFPPDHQATISAPRLISLAINNSEGPRLPLLKNMASLEEAWIQISENIKAIDAPDITQFLDGLSRVRRLAFNYDNRRLTMENNYQWCPTFSNLTDLTLDEWCVHEDFYALIVFLQKSPKLKDLTLMLNKPHQRFGGEPAIIGALEARSFTCDQLESVEIICPSECSLLPKVQKFLLDGGITPDKIRIKQKQHR* >Brasy5G011800.1.p pacid=40078492 transcript=Brasy5G011800.1 locus=Brasy5G011800 ID=Brasy5G011800.1.v1.1 annot-version=v1.1 MPMNRVLLGGVRRLSTSASPSSPSSQLPGGKRWDAVVVGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESGLVPGFRFSRCSYLLSLLRPSLIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNRSEIGKFSKKDAEAYPRYEEQLEKYCKLMDFIIDSPPPELRQLYHASMVDRMKDKVDKSVFWSKLVGIVMQQGQKDMVNFFDLLLSPASKVLNNWFEGEVLKATLATDAVIGTMAGVNTPGSGYVLLHHIMGETGGQRGVWAYVQGGMGSVSSAISKAAIEAGVQIVTNAEVSQIMVDETTGKVQGVGLADGTEFHSSVVLSNATPYKTFVDLVPANILPEEFLCAIKTADYSSATTKINVAVDRLPQFNCCKDINPEGGPEHMGTIHIGSESMEEIDLAYKEATGGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSTVRKSFAERCFSLIDEYAPGFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLDDLKTR* >Brasy5G011800.2.p pacid=40078493 transcript=Brasy5G011800.2 locus=Brasy5G011800 ID=Brasy5G011800.2.v1.1 annot-version=v1.1 MPMNRVLLGGVRRLSTSASPSSPSSQLPGGKRWDAVVVGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESGLVPGFRFSRCSYLLSLLRPSLIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNRSEIGKFSKKDAEAYPRYEEQLEKYCKLMDFIIDSPPPELRQLYHASMVDRMKDKVDKSVFWSKLVGIVMQQGQKDMVNFFDLLLSPASKVLNNWFEGEVLKATLATDAVIGTMAGVNTPGSGYVLLHHIMGETGGQRGVWAYVQGGMGSVSSAISKAAIEAGVQIVTNAEVSQIMVDETTGKVQGVGLADGTEFHSSVVLSNATPYKTFVDLVPANILPEEFLCAIKTADYSSATTKINVAVDRLPQFNCCKDINPEGGPEHMGTIHIGSESMEEIDLAYKEATGGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSTVRKSFAERCFSLIDEYAPGFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLDDLKTR* >Brasy5G434700.1.p pacid=40078494 transcript=Brasy5G434700.1 locus=Brasy5G434700 ID=Brasy5G434700.1.v1.1 annot-version=v1.1 MPVQSSDQTAVLLRTSLRYPASPVRRYRSRWIRTERRIRHSPRLLRQAGPAPARPRPVGFGWLRLPTRNAETSSSSSSSREVSPVRASPALALRFPGPPPPPSPADPPCLLPLWICFSSPPPWLGSSIPPAVV* >Brasy5G395900.1.p pacid=40078495 transcript=Brasy5G395900.1 locus=Brasy5G395900 ID=Brasy5G395900.1.v1.1 annot-version=v1.1 MEVLISQAQLSTNMAPTMLSALTTATDAWTIKVKVLRLWEATNPSTNEHISTDMILSDKKGNAIHASVGNKLVKKFIPKIKEDSIYIFKTFKVWEYEKYRPLKNNLKISFLFNTTQCSDVIGLLTQMKPIEQVLIKKGGGRERTSNIREIEILLLDGEKIKITLWGDIFTNMVDEDLLNRQTIVIVTSNMVRNFYGLSLRTTSASKVYLDIEIPETKKIIERHCKRKVFPTMMEVDESTKGTIEEQMFYNRKTLREITELRYGDVYKKEYVCTTIATIDDIILDKGWWYMACKTCCSETRKENNNYICNSCDEISENANIRYLLKLKISDDTARTTCVLFNTAAQRLLENTCASTMIEHLESSKTVPDAIQSLCGTTLIFRLKLTSRNLEECMENYRVNYTFTPNDELEMEHSNTRDKEGIILENKGAQDFEDSVTDGSDNEDTNYWISGKEGSKKRLPTQKIKKDRSGKRSNKDIKNVGSRGKTKLVKEKKDPDDDEEESGERHKKRCKKDVRKVSSRNRKLVTEDELLGDQVLSIK* >Brasy5G035300.1.p pacid=40078496 transcript=Brasy5G035300.1 locus=Brasy5G035300 ID=Brasy5G035300.1.v1.1 annot-version=v1.1 MAAAASSSSCGGAGCGTNCSSSSAADDAPEERLGRLSIANGAGSSCGKCGGGGAVVAVAGGVGLCGECLRAHLFGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHEMRSKAIESWDASNSQALPVFGVGAAFVDESILSSKPKHEVELAIEDMKSVMSSLLPGDKAMHIASLEDVFSTESVDGEGRLRELVGMVNDDTGREDFLRCLRMLALQKVALENGYTKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVIPLRDCLAQELTLLCELDRLKTSQHLDKPCSSINSLVASFVARLREENPSREHTIVRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNVDSDESTFSEVLCIICRSPFSESELQKLESAKHKAQKKTDLYTAYCCQSCHFQILPGGVNLYDHFFSLLPELWIERVDTASARHSSLRDQIEDYLLEDNDDGN* >Brasy5G015300.1.p pacid=40078497 transcript=Brasy5G015300.1 locus=Brasy5G015300 ID=Brasy5G015300.1.v1.1 annot-version=v1.1 MATNAVQIQRTPFPFSSSSPCRANARSTSTGQQRNRPCLRAGPPSASRSTVDRALLAGRSTPHQPRLYKASPASLPFPQSVPGAATSSSSTAGRIRFAVRSLVEGGRMGEATTPAIAKDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIAFSMITDAENSGFIVPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLIMPASMSMERRIILKAFGAELILTDPLLGMKGAIQKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWRSTGGKIDGLISGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAVLNGKKPGPHKIQGIGAGFIPGVLDVDLIDETVEVSSDESIEMAKALALKEGLLVGISSGAAAAAAIKIAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVE* >Brasy5G015300.2.p pacid=40078498 transcript=Brasy5G015300.2 locus=Brasy5G015300 ID=Brasy5G015300.2.v1.1 annot-version=v1.1 MGEATTPAIAKDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIAFSMITDAENSGFIVPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLIMPASMSMERRIILKAFGAELILTDPLLGMKGAIQKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWRSTGGKIDGLISGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAVLNGKKPGPHKIQGIGAGFIPGVLDVDLIDETVEVSSDESIEMAKALALKEGLLVGISSGAAAAAAIKIAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVE* >Brasy5G523700.1.p pacid=40078499 transcript=Brasy5G523700.1 locus=Brasy5G523700 ID=Brasy5G523700.1.v1.1 annot-version=v1.1 MINEYRTRGGGHEEEEPQRPKQQLPRDSRGSLEVFNPSSACRPAAAPKSSPFGTRDKEKEEDVGKATKRAAEWGLVLQTDEQTGRPQGVSARASGSARSSSDDGKPAGGAIPRVSEELRAALSAFQQTFVVSDASKPGHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPAEIAKIRQALVEGSNYCGRVLNYKKDGTPFWNLLTIAPIKDEDGRVLKFIGMQVEVSKYTEGSKDAVVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESSNSTFKRKSQESVGALTGDGTGKRSSESGSRRTSRSGARSSLQKISEVPEGGNKARKSGLFSLMGLLGMGQGNVEKNMLKPRDEDPLLDSDDERPESFDDELRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYCREEILGRNCRFLQGPETDRATVRKIRDAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVKDAAEREGVMLIKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDSASWRAIQKVLEGGESIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKNVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYPGGELFLLLDRQPLKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVILPEEGDKKRRRKSRSSPLFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPARQLMYRLLHRDPANRMGSYEGSNEIKEHAFFRGINWALVRGRAPPKLDAPLFSDDMEKLQGRAAGGDDDNHTDMF* >Brasy5G523700.2.p pacid=40078500 transcript=Brasy5G523700.2 locus=Brasy5G523700 ID=Brasy5G523700.2.v1.1 annot-version=v1.1 MASKGGGGHEEEEPQRPKQQLPRDSRGSLEVFNPSSACRPAAAPKSSPFGTRDKEKEEDVGKATKRAAEWGLVLQTDEQTGRPQGVSARASGSARSSSDDGKPAGGAIPRVSEELRAALSAFQQTFVVSDASKPGHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPAEIAKIRQALVEGSNYCGRVLNYKKDGTPFWNLLTIAPIKDEDGRVLKFIGMQVEVSKYTEGSKDAVVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESSNSTFKRKSQESVGALTGDGTGKRSSESGSRRTSRSGARSSLQKISEVPEGGNKARKSGLFSLMGLLGMGQGNVEKNMLKPRDEDPLLDSDDERPESFDDELRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYCREEILGRNCRFLQGPETDRATVRKIRDAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVKDAAEREGVMLIKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDSASWRAIQKVLEGGESIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKNVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYPGGELFLLLDRQPLKVLREDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVILPEEGDKKRRRKSRSSPLFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPARQLMYRLLHRDPANRMGSYEGSNEIKEHAFFRGINWALVRGRAPPKLDAPLFSDDMEKLQGRAAGGDDDNHTDMF* >Brasy5G524600.1.p pacid=40078501 transcript=Brasy5G524600.1 locus=Brasy5G524600 ID=Brasy5G524600.1.v1.1 annot-version=v1.1 MADSLDMSLDDLISKNRSSSSQSQSRRGRRNQASPSATGGPAPTGRRFHARAATRAAAAPYHQLAFHHNQVPPAYAYAAAQAQAMPMLAPPPPPPSGVETGTKLYISNLDYNVSNEDIKELFSEVGDLKRYSINYDKSGRSKGTAEVVFARRSDALAALKRYNNVQLDGKPMQIEVIGTNIEAPAPAIFTINTPAIGIGNFDFPSKSVLGRGGGRGWPRGGGEGGRGWPRGGIEGGRGWPRGGGGFGGRGRGGRGAVGRGRGRGGRGSQPVSADDLDADLDKYHSEAMQIS* >Brasy5G524600.2.p pacid=40078502 transcript=Brasy5G524600.2 locus=Brasy5G524600 ID=Brasy5G524600.2.v1.1 annot-version=v1.1 MADSLDMSLDDLISKNRSSSSQSQSRRGRRNQASPSATGGPAPTGRRFHARAATRAAAAPYHQLAFHHNQVPPAYAYAAAQAQAMPMLAPPPPPPSGVETGTKLYISNLDYNVSNEDIKELFSEVGDLKRYSINYDKSGRSKGTAEVVFARRSDALAALKRYNNVQLDGKPMQIEVIGTNIEAPAPAIFTINTPAIGIGNFDFPSKSSW* >Brasy5G524600.3.p pacid=40078503 transcript=Brasy5G524600.3 locus=Brasy5G524600 ID=Brasy5G524600.3.v1.1 annot-version=v1.1 MADSLDMSLDDLISKNRSSSSQSQSRRGRRNQASPSATGGPAPTGRRFHARAATRAAAAPYHQLAFHHNQVPPAYAYAAAQAQAMPMLAPPPPPPSGVETGTKLYISNLDYNVSNEDIKELFSEVGDLKRYSINYDKSGRSKGTAEVVFARRSDALAALKRYNNVQLDGKPMQIEVIGTNIEAPAPAIFTINTPAIGIGNFDFPSKRLN* >Brasy5G404900.1.p pacid=40078504 transcript=Brasy5G404900.1 locus=Brasy5G404900 ID=Brasy5G404900.1.v1.1 annot-version=v1.1 MSDFQTSTHRERWIFQPHDLMDKWATANQRSAETLAQYGTTRLKVDPVDGSISYPEPAPDHVVGSSSVKPLSCEEEQLMRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRPIEGFIDDMDGFCKAGNGAHQRLKELHQTANSHVDKMMLTDAPLLYSPGQLALAALSKSNDMHQVLNFERYLGSVFSRQKSNCPVEQFVQTINAINYLVDQLQIPTLKDMRHADRKLKHCLDPSSHDEHKKKEKKSKHKSKRAASDAQL* >Brasy5G404900.2.p pacid=40078505 transcript=Brasy5G404900.2 locus=Brasy5G404900 ID=Brasy5G404900.2.v1.1 annot-version=v1.1 MSDFQTSTHRERWIFQPHDLMDKWATANQRSAETLAQYGTTRLKVDPVDGSISYPEPAPDHVVGSSSVKPLSCEEEQLMRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRPIEGFIDDMDGFCKAGNGAHQRLKELHQTANSHVDKMMLTDAPLLYSPGQLALAALSKSNDMHQVLNFERYLGSVFSRQKSNCPVEQFVQTINAINYLVDQLQIPTLKDMRHADRKLKHCLDPSSHDEHKKKEKKSKHKSKRAASDAQL* >Brasy5G201900.1.p pacid=40078506 transcript=Brasy5G201900.1 locus=Brasy5G201900 ID=Brasy5G201900.1.v1.1 annot-version=v1.1 MFMEFAERAATVAQLVDSAGGVVSKIRHVARTARQNKRECVYLANRISTIAMVLPLLHQQDHEVAQSLVGLSYALKEAHDLIVACCLERQRKTAPLRQLFRAGGHAERFREINARIDSYLILIPLLTHISLIVPFSNHSGAPTTPMVTPGSGSGSSSLQLESLMVPYVNNGTLGNHLRCPRVSWRMRIEALLGASRAIYYLHHVAVPQIIHRNISSSSILLDTSWMPRLSDFSVAVWEAERATSPGETQLVEVVGVPGYIDPEYRRTGRVGPASDVYSFGVVMLEALTGRPPVAPSSWNINGEENHPMTLVGFALPVIENGNLEDVLDRRPEPEPTPRQIEALQLVADTALRCLSPQGRNRPAMSDVVSILDTALGTIRRGELQRIEWQ* >Brasy5G428900.1.p pacid=40078507 transcript=Brasy5G428900.1 locus=Brasy5G428900 ID=Brasy5G428900.1.v1.1 annot-version=v1.1 MAMGAVLSSRAFTSPLSSGKQHEMKHCASPQNRCSCSLLPGERNGRHITRSTLFLAHRTETARLEQLSGQIQQPILSSSRDYLTARFCNASLKKRVLSRVECFLSSDPVNSGWQKPRRWENFTRLESACVQPEYRIPIRTRADCKAEQFEITGSPLSPSDVPAETVLVGDANEVSPWWQQFPKRWAIVLLCFFSFLLCNMDRVNMSIAILPMSAEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPIAAKVGLPCLLIMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPFLISKFGWPSVFYAFGSLGSIWFALWQRKARSSPSEDPEISEAEKRHILGGSTIKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVAKGISITNVRKIMQSIGFLGPAFFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYLVGTVVWNVFSTGERILE* >Brasy5G025200.1.p pacid=40078508 transcript=Brasy5G025200.1 locus=Brasy5G025200 ID=Brasy5G025200.1.v1.1 annot-version=v1.1 MAEVPLEDELERELSGIMIQSYITGLRREFETKLWENHNRISTLTKNCNENVSEIATLRDELAGILSAVAASESSVLPPHTSLEKVDETSSLKMKDDGGEPPVARQTNEAMLDIPDFSLLKHMSGEEITGFLKSEWLKLRRQHEIELHAKTEELFRLKRDFAKDGAMLPFRKERELELIKSKLSQTISKLDEIVSRKEGSSFNHKEDDGLCRLKDRIGSLLDENEHLRGLLADKRKETKQLASQVVDAQSDITQHSLSKSKLLNQVDKLSGQLEDLMIESHLKDLLDLSVLREVFGNYENQIDDSNQEEWFLRELLMEKEEQIRVISGEKHKLKYENDQLVSIAGSTLVQHHEEFDLVNDELSMFREKVCEQELLILEFKGESNSMKSCLYEALQQIHVCKQEIYGLTETLTSMSVALEEAKEQNASLDATIREMKKTPVPCIDSHREHAGHLEFDLVSMEKLSKEYSDFESRLAQSMKQNEIRLTSIICQFNPLVQQVAVLKKREFWYKQILEIKCSNLLKAEAEVDILGDEVDTLLSVLGKIYITLDRYSPVLKHYPGVTEILNLTQKVLKGENV* >Brasy5G366000.1.p pacid=40078509 transcript=Brasy5G366000.1 locus=Brasy5G366000 ID=Brasy5G366000.1.v1.1 annot-version=v1.1 MATSPAISFLVTKAFAYLDKYRESEGMEEVKKRLRLAMSQIQSVLDVVYLERVRQQSSELDKWLWQLRDAVEEAEDSIDELEYYELKAKAEDRKVSERGSSFAKMKRTFVRSIKHASILENTLKKFTHRGTLKRLTKALDGLAKVAEGLDNIFNVIDNLRGTELEGQLVNNDKETVFTSTATAFIGRENEKKQIIGWLTKTPDESSETRTHISRIPIVSLVGHGGMGKTALAQRICESDEVLKYFTVIWVNVSTSFDATLITSKMLECVTGVKPSANRLDPLQQYLKEKLSSINFLLVLDDVWEDTKLEKWERLFAPLRQVNKGSKILLTTRMQSVSDMAASAMGVKRDQCLTLQGLEEDKNIELFNHLVFSGLNSQDSQDYLHMKLIGEQIARKLGGCPLVTKVAGGHLQGNMTIEYWSRFLHEGLESFKSGEDGIISVLRLSYYHLPTDLQMCFRYCSLFPVDHEFRKEELVQMWMGSGLISQATSKSVSPEDLAEKFLAHLTEKSFFDLKSRKRRGIVKNEYYLMSGIMHELARTMSSGECARITDPARFGDEKETIRHLCVSQLDNFSVEQINKISDFKNLRTIIIDSNCEVAKDIACALEKNIESSKSLRLIHSKLRNTFHFADKFVNLKHLRYMYLDRISPEGMYEVANLRHLTRFHCHGGLQRET* >Brasy5G065200.1.p pacid=40078510 transcript=Brasy5G065200.1 locus=Brasy5G065200 ID=Brasy5G065200.1.v1.1 annot-version=v1.1 MAAEAESLMTKEPAASTPTAEPSGGGKPAMVLGIDESEHSYYALDWTIHHFFPPGTHPHPQPQQYRLVVVSAKPPAASVIGIAGIGTAELLPTVELDLKRASARVIDRAKEHCSHVADVTYEVKEGDARNVLCEAVDRHHADMLVMGSHGYGAFKRAVLGSVSDYCSHHADCTVMIVKRPKHHKKPEHWRPFHKKEA* >Brasy5G151300.1.p pacid=40078511 transcript=Brasy5G151300.1 locus=Brasy5G151300 ID=Brasy5G151300.1.v1.1 annot-version=v1.1 MGTKFSTGHDNVAFSPLSVYAALGLATAGTRGATLDELLALLGAASRDEAAELMRAMAEHALAADPSGPLVVTFACGVCCHESLKLKPAYRQAAVESFKAEARAVDFVGKPEDAREEINGWIAEATKNLITSVLPPGSVHCDTRLVLANAIYFNGKWKDAGFSKDRTKDRKFHRLDGTVVRVPFMAAGAGRRKSTLFSGKREALGPEAEYLVTCHDGFKVLKLPYKAKNKNGGSGGGARYSMCVFLPDARDGLPGLVDRMASGGPGFLSGHLPGGRREKVRKLRLPNMKGALETLGLRAAFTEQADFSDMVVEKELAKDSSEDDDVSLRVEEVFHKAVVEVDEEVRFVLCSSRFPTPTPVDFVADHPFSFFIVEEVSGAVVFAGHVLDPSETSE* >Brasy5G418300.1.p pacid=40078512 transcript=Brasy5G418300.1 locus=Brasy5G418300 ID=Brasy5G418300.1.v1.1 annot-version=v1.1 MVAEDFLLRHLASLQKRSHPAWAYEGPEDRTRILPEEGPDSAAGPKRPSEEAPLAPLNSGKNETSPTGPRARTSPDPGFASPGDVPPEPPSGGTPPAGARASPSSPPRVQACASSPMERVTETVVVCTDSEGEAERSAPTRASGQPMEVVFRAPSGQVAQPSGSGAGGVLRQAHNYLLVIERLDRENRRRAKELSHEEAELSRAWEDLRRVVAVERDRRLADLAANDARLVALRDECDAKAHEASTAVQTLVAGFRARQAVASDRDALAAARARIRELEDGEAVLCAECEREEARTAALQKEAEELRDDIAKISRARDKVQRRADRNSRELSDLRKEYRAIYQELEDKLADLVGAVGEVSTVMKALELEPIDVELRRFVNQITSISSFLSNATEQFAALAHGQEASIDAELLISALSRLRQRVPSLPVEAIFQRVPADLRVATEGAVHSAVNRLVEIRLRRS* >Brasy5G103600.1.p pacid=40078513 transcript=Brasy5G103600.1 locus=Brasy5G103600 ID=Brasy5G103600.1.v1.1 annot-version=v1.1 MILEQFDEAGVAAAAMVAPPANFGMVDKGVYRSGFPDAANFGFLRGLGLRSIVYLCPEPYPEANSEFLEVEGIHLFQFGIEGNKDPYVSIPVDAIMGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKARLSDLRFIESFNVACMSDCMLMLIYLYHGCLQKSKRLAYKER* >Brasy5G290600.1.p pacid=40078514 transcript=Brasy5G290600.1 locus=Brasy5G290600 ID=Brasy5G290600.1.v1.1 annot-version=v1.1 MGDEAEGIDVEVGSPPPAIVGDGEETKRGRGRPRGRGRRRGRRGDRAFASPAFKPSDEARGVAAGNRVLREASAAVLPRLDNQVLEGLKKPMKSAAVKKMESRKRKADQEGSKAQFSKGKIHSGNKGTSNNGVLEPAKKRERRDAEEAPNTKKLKPKEVDKLVPSSKNKSSGERYMNGKKILTGENARMCHQCQRNDKERVVWCKACNNKRFCVPCITQWYPDWTEDEFAVKCPYCRKNCNCKACLRMRGIEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKLEPVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLICCRELRNGETPGGQEVESMPYEDKGKDYVFAKKFLPNAENRRTSLRRKMDSPNSPLLPWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEEVLVELESRAEKAVRSELFAKETARRSDQCSCFDHFGKVRTDIKTLRVAANRKDSRDNHLYCPVATGEPVIVSDVLRLTSGLSWEPLVMWRALRERAQGRAEDEQLAVKAIDCLDWCEVEINIHMFFMGYKTGRAHPRTFWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPSGVLKPDLGPKTYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYSLPQIEKIKKNMRDQDLQELYGDLKSHSHSEIILKPSIIEPHYKSDDEAPKLLCGVENDDTHKDRCNGLQIDALPPCKSLMQSGIRQGSDHIPEVNKSGETHNGPHCNSNNRGHPDWRVHQNKSKRKTAGTLWDIFRREDSEKLQDYMRKHASEFRHVHCNPVKQVIHPIHDQTFYLTAEHKIKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSNHRAKEDKLEIKKMAIHALTGALEFLDPQLKGSKNWGTAEKKPKKRPGRPKVGSRAGR* >Brasy5G063900.1.p pacid=40078515 transcript=Brasy5G063900.1 locus=Brasy5G063900 ID=Brasy5G063900.1.v1.1 annot-version=v1.1 MLGPASTATVRCSQSEMMTARYCICCSSRSSGGGESCGVLRQKSQKYATQLGPGRRAEAERAYDSSELCAGKSSFEEWPSSSLSWQPQRAAVRIVNQEAGGPAYCALLARRGGAWLVVRNARRRRRGMASAVGRW* >Brasy5G287000.1.p pacid=40078516 transcript=Brasy5G287000.1 locus=Brasy5G287000 ID=Brasy5G287000.1.v1.1 annot-version=v1.1 MQVAQDVFEEMPLQCCLVRGQGRRRGRGSTGVEGRGRAPKQQRRRQRARSMAGGGARAASERLFFFQSNAPRQQSNRPRPKSKRPRPRRGRTGPGRREVRRKPSSFYLLLKKIRLRLRSLAPKSSASDEQPARRKNQRRTKQRTPSLLPSARCRATATEDRVFCLKKTNNRRDRSPLDCSSSSSVENVVNGHGRVMVQTLVASDFTANRPVDTFCTICRDSFASEYCQDHLQAHHADHEQGQLIRLHRQEGWILIPRQNGLPDHLVQDVQEIEIEGQAMIPLLKRDIPIEGDAGSICLSCFQVTRHDANYCCVDCKREDVW* >Brasy5G241700.1.p pacid=40078517 transcript=Brasy5G241700.1 locus=Brasy5G241700 ID=Brasy5G241700.1.v1.1 annot-version=v1.1 MGIPSFFGWLLGKYPNILVPACNDDGEEDDGKEEDHDSEDEQDDDDEENIKEEGTDEEDHDVEDEESIDEEEDDSEAVGCSEEGILIFDNLYLDMNDIIHTCSGPKNRMFFEYMFDDMDRLFRMVRPRRLLYLAVDGVAPLAKMNKLRQGYFKTTKDDTDSEVEAKLLTEIFKAQGKEVLPREEYTFSEPTVIMPGTEFMEKISGTLEYYIRSRFNTDPGWKDLKVILSDANVPGEGEHKIMSFIRAQRSMESYDPNTRHCLYGHDADLIMLALASHEVHISILRKIPHSTVASPEGKLSNRQHQFLNIWVLREYLELEMTTPDYKHDTERLIDDFIFICFLMGNDFIPQIPSLEIHEFGVDLLIEMYKTTFNEISKINTLPIWKPQGWKNSSMHCPCAKRKYSSKDMNCDRSYCPRYSVKLPKTNGMKQTLPVWKKIQESCCLTLYQVLRNNTKELWRNVNAILTNKDDLFQNAAYRHDRIRLGSPGWKSRFYREKFGAETSNEVGRLQAEMVQKYLEGLCWVLQCYFSDVPSWTWYYPFHYAPFASDLKRLSKFNIYFTMDKPLKPFDQLMAVLPPQMHVLSCALPKCYSKLIGSEESTIQLFYPTELEIDAHGKRFLSDGVAKLPFIDEKLLLSATKTVEKELTVHEMSRNTVRQERIFFRKSSNLANDAAFVAICDHPEEKHPISTSEIRGWLSKDIDASTDGIFHSPTYIMEYIVDHQMISAVFFNPEAAKPISRLLDNVVVPEKTVTESEIRKRRLWHTYPGARPPPATRKPATLWKASSPAMPRDEIKPAGTGWLGRGRGSAAGPSSTAAAATETRQIGRSSYGGGSAAPAWRPARGRGHGGRGHPRGW* >Brasy5G406800.1.p pacid=40078518 transcript=Brasy5G406800.1 locus=Brasy5G406800 ID=Brasy5G406800.1.v1.1 annot-version=v1.1 MTWGRGRPTSLTFFSPTQPLEDLPQSSQVPIKSSPSSTHPVQSQPPTTTTSTATHHREQRKALAKGGSARKGKRHRRQLIKSVMGRSPCCEKAHTNKGAWTKEEDDRLTAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVSHRPTNEHVSNVTISFEAAREEKGAMFRLDEPKPAIGHDPVDWGQGKPLKCPDLNLDLCISPPFQEDPMKPVKREAGVGVCFSCSLGLPRSSECKCSNFLGLRTAMLDFRSLEMK* >Brasy5G231700.1.p pacid=40078519 transcript=Brasy5G231700.1 locus=Brasy5G231700 ID=Brasy5G231700.1.v1.1 annot-version=v1.1 MFMRGGINQPSYHLDQSQSVCINLRISKNRFFNFDWSSAGKITS* >Brasy5G280800.1.p pacid=40078520 transcript=Brasy5G280800.1 locus=Brasy5G280800 ID=Brasy5G280800.1.v1.1 annot-version=v1.1 MWTGSPYSCARLEHLLQTHFCSPRRLLQVHALLLTSGAFSPSHAAAAAPFPYNCLIHAHLRLSATSSPPGAPLRLFSAMLAAGTRPNGHTFPSLLRSASDSGAATTALHAQCLRRGLAADRFIACSLVRSYGRAGGLQRDAGKVFDEMGSPDLASSNAMLDVLCLVGDLGTASDFFERMAVRDVVSWTTLISGLSRNGCHWDAVEAFRGLLVHNKGWLGEATLVSVLSACANLDGAEGLVVGMAVHAYVVRHEVDLTAFLGTALVDIYGKYGKMEWCKRAFQIVLEKEVCTWNALLSALANHGKETEALVKFNMMIVGGFLPNQITFLAILTGCARAGLVEIGLYWFEAMVTEYKVTPVMSHYGCVVDLFGRAGRFIEAIEIIERMPFPPDASVWGALLGACKLHGNVELFAEIGRKLVALGPQQSDRYVAIRNIYIEDGNWYAAIRMGQMMHEAGIKKTAGQSSVVFHSTAIP* >Brasy5G280800.2.p pacid=40078521 transcript=Brasy5G280800.2 locus=Brasy5G280800 ID=Brasy5G280800.2.v1.1 annot-version=v1.1 MWTGSPYSCARLEHLLQTHFCSPRRLLQVHALLLTSGAFSPSHAAAAAPFPYNCLIHAHLRLSATSSPPGAPLRLFSAMLAAGTRPNGHTFPSLLRSASDSGAATTALHAQCLRRGLAADRFIACSLVRSYGRAGGLQRDAGKVFDEMGSPDLASSNAMLDVLCLVGDLGTASDFFERMAVRDVVSWTTLISGLSRNGCHWDAVEAFRGLLVHNKGWLGEATLVSVLSACANLDGAEGLVVGMAVHAYVVRHEVDLTAFLGTALVDIYGKYGKMEWCKRAFQIVLEKEVVLEQDWWRLGYTGLRRWSLNTR* >Brasy5G318500.1.p pacid=40078522 transcript=Brasy5G318500.1 locus=Brasy5G318500 ID=Brasy5G318500.1.v1.1 annot-version=v1.1 MNTTGIVSSGVVFGRRSRCKVKASASACSSRTRDYYKVLSLERSAGVGGEEVKRAYRRLALQYHPDVCPPARRAESTELFVELRRAYETLSDPATRVRYDAELRTTGQRAADEFARDVWEAQLCVLRARSERRQKARRCSSGHRF* >Brasy5G286600.1.p pacid=40078523 transcript=Brasy5G286600.1 locus=Brasy5G286600 ID=Brasy5G286600.1.v1.1 annot-version=v1.1 MAAPPPEPAGAVRLESLEGLILDTVISKAGARTAAALACTSTHLRTAVDEDAVWRRFCAQDLGLDAPLDPEDRPLPSFKDAYKAWLESFGMYPLPLVKRVKLFWTSLKSWLSENFPEALRTLNKGVSEAQIRSAEDDLGFKFPVPTKLLYRFCNGQLPFNGDHFEDVRMAPLGIIGGYVFYNHCVNVHLSSLEHIVEATKEFYLELNEQGVFNGLKLALVATSWYHPKVFLLNCSNGELYVGTANLPEGEMMSCVPKSLIKPTNNDMPQDGLLLWLEEHLTRLQTGMIKTRPLKTSRYICLYPEGPPSCTSATTNGVKVRASAVFAPEHPDSQRHGRRHIYSYSIRLSVPEACMLGGVYYSSCQLQSRHWIIRCRDRVVSDVHGEGVIGKYPSLLPGQEEFVYESCTPLNGSPGSVEGSFTFVPGRLTRPEGKPFDVTVAPFPLETPEYIF* >Brasy5G176400.1.p pacid=40078524 transcript=Brasy5G176400.1 locus=Brasy5G176400 ID=Brasy5G176400.1.v1.1 annot-version=v1.1 MESQGRLLGVGALLDQLHATVTDFYSNRVTRPQGKQWDAGLRRSIARLRDVLEAVLRWQARAEAWYQVPALRYRNYFFRRATASELAKLLQELTPGEFRNNLKGDLIAYGPVDSLELTRRRGVTSSRATFDGRAHFYGPMVGRAEVVEEMLKILLTDEEGGPLILPIMGGPGIGKTRVAEALYEDARVMEKFNLRQWVEVSRQFELFSALATVFGTTNLDETNLKGVIQRFLGGRIRCGLSLTELGNVGGNIARRCADVPLLLEYTAFLLHYKQQESLFLWEQALEGFDGLDKESFSSKEDLGEVLERAYPSYQHLPSHLRNCLLSCSIFPLDYNLSAEELADLFAAQGFIPSTVPTSQRVQFFQQLLDECFYPVPEYEHGNGGSTKRIYRMHKILHIFARYVDRNYSLLMRAHQSSGVPADVSSVRNVLLLMHPSTNSFPDNLVQFNKLKTLNLHLQGEDCSSDEQCGIKEIPQALCQTLRYVEVLSLEATKIRKLPNKFELLSQLRYLNLSWLQQLHGNTCKLACLQKLDLEGCHCLAELPPIMSKMKNLEYLNVIGCASLIQMPPRMGHLTDLRTLLGYISSNNVASVMSELQPLEHLHMLSLESLEKVTDLDDARDAMLQDKEELASLALRWNMDAEHTNITACELLEILKPHQNLKELEIVAYESDKLPSWMTSTEPYLRSLIEIRLANLTSCKTLPPLGLLPCLKIAEISGAETITGIDDSFYGHNGTFPSLEKLTFSYMHNLEGFQHLSSIRRLTIDNCSKLEALPDWLENLPSLQLIRLSGCPVLHSIPNGLQKRDKVEIY* >Brasy5G037300.1.p pacid=40078525 transcript=Brasy5G037300.1 locus=Brasy5G037300 ID=Brasy5G037300.1.v1.1 annot-version=v1.1 MEGKMASPTTTLCFLVVGVIALLASPALAEFDCEPKGPSFCVAKSQVGDDRLQAALDYACGHGADCSAIQPGAPCFNPNTKTAHASYAFNDYYQRNGRNPSACDFAGAGAIVHSGPEPDICEHIRFKSLICMRWSCKLECVDNNPTKIIEGAYCTHKKGLRYCNCKVCVKAPPAHM* >Brasy5G252400.1.p pacid=40078526 transcript=Brasy5G252400.1 locus=Brasy5G252400 ID=Brasy5G252400.1.v1.1 annot-version=v1.1 MDTVTVLHGNKWEQYVADHDLAAGDTIMFTFPKKDESIFIIQSTSYKGHLKPRLDQTVSYEVFNSNVDYKLQYVIYTKGISLTGKFSEPITSALRTDKKGQMLVYVSEPECESQFDFRVDTDGSMATAGSTLWKAFAVKANLKKNELISFIIFK* >Brasy5G028600.1.p pacid=40078527 transcript=Brasy5G028600.1 locus=Brasy5G028600 ID=Brasy5G028600.1.v1.1 annot-version=v1.1 MDSARSWLQKRQKDKAKPGASPAMDEADAVPSSATKQKSEAAKQYIENHYKEQMKSLQERRERRWMLERKLADADVSEEEQKNILKDLEKKETEYMRLRRHKMGVDDFELLTLIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLVMEYLPGGDMMTLLMRKDTLTEDVSTFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNFTELDNVVGNNTNPSADGDKQLSNTAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCKKIVNWRSHLKFPEEARLSPEAKDLISKLLCNVDQRLGMKGAHEIKAHPWFRSVQWDKLYQMEAAFIPEVTNELDTQNFEKFDEIAPAMQTSSKAGPWRKMLSSKDMNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFERAESDDQPEDGFLGPLAPQLELPESLEPSPHSSISSNDSQVRHS* >Brasy5G028600.2.p pacid=40078528 transcript=Brasy5G028600.2 locus=Brasy5G028600 ID=Brasy5G028600.2.v1.1 annot-version=v1.1 MLERKLADADVSEEEQKNILKDLEKKETEYMRLRRHKMGVDDFELLTLIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLVMEYLPGGDMMTLLMRKDTLTEDVSTFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNFTELDNVVGNNTNPSADGDKQLSNTAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCKKIVNWRSHLKFPEEARLSPEAKDLISKLLCNVDQRLGMKGAHEIKAHPWFRSVQWDKLYQMEAAFIPEVTNELDTQNFEKFDEIAPAMQTSSKAGPWRKMLSSKDMNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFERAESDDQPEDGFLGPLAPQLELPESLEPSPHSSISSNDSQVRHS* >Brasy5G046800.1.p pacid=40078529 transcript=Brasy5G046800.1 locus=Brasy5G046800 ID=Brasy5G046800.1.v1.1 annot-version=v1.1 MVRRAGLLLMGGQGHGGAANNKGRRPTSARKVMRAAVAAFLDGYHCFSPVAAALALPFSAAVLASHAAALSVSSSSSSSSPAAVIHAAVASAFRLAGLAPTPTQPLLSLLAAKLSQTLFVSAATLPFALTFLLLARACAAAILLRRSRRRRCPPPPLMPAVARAYGALLPTQLATLLLTLAAHAAALSLLSAAVSFAGLFHNSAASTAVTAAGAIAYCVLVAMATVVCNLALAVAAMEAAAGSAAVARAGRVRAALALALPARFAMAAAEALFQLRVVRRGGAGAGVVGEALSIAYIHALCVVLDIIVGCMLYTSCCKRTDEDDDDKPRELEPEDKP* >Brasy5G443400.1.p pacid=40078530 transcript=Brasy5G443400.1 locus=Brasy5G443400 ID=Brasy5G443400.1.v1.1 annot-version=v1.1 MVCLLAEMSWNVLISPDQLSSKGLLLRKSILVRLLEDIANRKASKEHGYYIAVNGLKEISEGKVRELTGDVLFPVTFTCITLKPMKGEILVGSVEKILKHGVFLKSGPIENVFLSEKTMNDYKYIGGENPMFMKDHSKLEKDTVVQFKVMGFRWMEADRQFQLLATLAGDFLRPL* >Brasy5G248900.1.p pacid=40078531 transcript=Brasy5G248900.1 locus=Brasy5G248900 ID=Brasy5G248900.1.v1.1 annot-version=v1.1 MHLTWPPPTFSLPISLILSRNPHLHSPSLLLPSSFHGIRRLNLGDPPRMEPPPASLASPAWTPPRPCLRVAGSAVRVSPRRRIRRALARVLPDPLPTSCGATGDAAPSPPDVGSAASTSSPAGSTAP* >Brasy5G162400.1.p pacid=40078532 transcript=Brasy5G162400.1 locus=Brasy5G162400 ID=Brasy5G162400.1.v1.1 annot-version=v1.1 MGSLLCFLVIVQELNNGCCESDIQMTDARPVRRETEVS* >Brasy5G122400.1.p pacid=40078533 transcript=Brasy5G122400.1 locus=Brasy5G122400 ID=Brasy5G122400.1.v1.1 annot-version=v1.1 MFIAAIWNGCIPLCQSCCSTELNVQASIYLKGAKQAASTMAMVLDAFASYVSDLLTQVVDEEVRMVLAVTGDINKMSDKLEKLKKFLADADRRNITDESVQGWVTELKRAMYEAADILDLCQLKAMERGASTANVGCCNPLLFCMRNPFHAHEIGTRIKALNQRLDNFEKQSASFGFILGSYEDRGRRVQAENSSHTRESTGQLDRSVVVGEKIEEDTKALVAKILQSRNEVNNNIMVVAIVGVGGIGKTTLAQKVFNDDSIEGEFDKKIWLSINQNFDKTELLRTAITLAGGEHHGEKVLAVLQPILTTALTGKKFLLVMDDLWSHGAWEGVLKIPLVNAAALGSRVLITTRHEGVARDMTATWPHHHIDTLSPDDAWSLLKKQVISSERDEDHINTLKDIGLKIIEKCGCLPLAVKVMGGLLRQREMLRRDWEQVLDDSKWSVSKMPQELNYALYLSYEDMPPYVKQCFLHYSLLPKSNRFDVLHVIGMWISEGFIHGNSSDLEESGRSYYKELISRNLIELDKSDFDQKYCSMHDVVRSFGQYMARDEALVADDWEIDILAKLKSQKFLRLSIETNQLQSGELDWKSLQEQQSVRTLMTTIQIKMKPSDSLLTLSSLRTLSVVSEDVALFESLHQLKHLRYFKLVNASISALPGNIGNMKLLQYLDIRGCINLVYLPDSIVKLGQLRYLNLPNLCMIPREFRGLTNMRILGGFPALMGGDWCSLDELGPLSQLRFLRLAQLENVSSAANARLGEKKHLIHLLLYCTRRREYDGLDEQRRIAKVFNELCPSPKCRKS* >Brasy5G122400.2.p pacid=40078534 transcript=Brasy5G122400.2 locus=Brasy5G122400 ID=Brasy5G122400.2.v1.1 annot-version=v1.1 MAMVLDAFASYVSDLLTQVVDEEVRMVLAVTGDINKMSDKLEKLKKFLADADRRNITDESVQGWVTELKRAMYEAADILDLCQLKAMERGASTANVGCCNPLLFCMRNPFHAHEIGTRIKALNQRLDNFEKQSASFGFILGSYEDRGRRVQAENSSHTRESTGQLDRSVVVGEKIEEDTKALVAKILQSRNEVNNNIMVVAIVGVGGIGKTTLAQKVFNDDSIEGEFDKKIWLSINQNFDKTELLRTAITLAGGEHHGEKVLAVLQPILTTALTGKKFLLVMDDLWSHGAWEGVLKIPLVNAAALGSRVLITTRHEGVARDMTATWPHHHIDTLSPDDAWSLLKKQVISSERDEDHINTLKDIGLKIIEKCGCLPLAVKVMGGLLRQREMLRRDWEQVLDDSKWSVSKMPQELNYALYLSYEDMPPYVKQCFLHYSLLPKSNRFDVLHVIGMWISEGFIHGNSSDLEESGRSYYKELISRNLIELDKSDFDQKYCSMHDVVRSFGQYMARDEALVADDWEIDILAKLKSQKFLRLSIETNQLQSGELDWKSLQEQQSVRTLMTTIQIKMKPSDSLLTLSSLRTLSVVSEDVALFESLHQLKHLRYFKLVNASISALPGNIGNMKLLQYLDIRGCINLVYLPDSIVKLGQLRYLNLPNLCMIPREFRGLTNMRILGGFPALMGGDWCSLDELGPLSQLRFLRLAQLENVSSAANARLGEKKHLIHLLLYCTRRREYDGLDEQRRIAKVFNELCPSPKCRKS* >Brasy5G388400.1.p pacid=40078535 transcript=Brasy5G388400.1 locus=Brasy5G388400 ID=Brasy5G388400.1.v1.1 annot-version=v1.1 MDAASSPSSSSSHGAACPPPAAARPKRPAGRTKFKETRHPVYRGVRRRGPAGRWVCEVRVPGKRGQRLWLGTHLTADSAARAHDAAMLALRGPCSSSAAACLLNFPDSAWLLAVPCALPNDLAAVRRAALAAVADFQRREAVARGDATVPVVDEIASSASAPAAYMDDASSWASSFQPAEIGNFDVPVVMSSGMFELDMAGEMDLGLYYADLAEGLLMEPPQTTPDTEACWGYYDDGGADAALWNY* >Brasy5G040900.1.p pacid=40078536 transcript=Brasy5G040900.1 locus=Brasy5G040900 ID=Brasy5G040900.1.v1.1 annot-version=v1.1 MSDPTWSLYHSFYARLAASIPVAGIISITLPLAPEHPPPAAIAAGYAAINWLKSISRPEFPTEPVPEPTSDPVSKWKAVADLSRVFLIGDSMGANLVRHVAAGFNSVEPGYWCPVRLAGAILLNPGFTRSTPSRSESTDQADNNPCEDSKLVDRFLALVLPKGATRDHPYIWPLDVVAGVEYCNAMRRAGKAVEVALSPGMGHMFYLYNNQGAADGGDTAARVGEHVEAIGGFVGRRHGCVARM* >Brasy5G180600.1.p pacid=40078537 transcript=Brasy5G180600.1 locus=Brasy5G180600 ID=Brasy5G180600.1.v1.1 annot-version=v1.1 MTRTGSLLGHRRRTSPLAGARRRPPPSQRPRRRLRRPQAVPTPPSASPGRAAPSALFPDARGSPERPAPPPPEAAPPSSPSPAKTLAAGFCFREPTSKPQRPCSARIRNSLLTEREGNELSGRKPSSSRRHGEGRERAIRRPPLLTIHVALALPLRGAILAADATLAGLPRGRGHTKAELFSHGVELPSISRGGDGRRATARESPSNFVDAQGRRRLVHL* >Brasy5G386500.1.p pacid=40078538 transcript=Brasy5G386500.1 locus=Brasy5G386500 ID=Brasy5G386500.1.v1.1 annot-version=v1.1 MCPVDSCTRPKSSATCEFCERQAKLSCPAMASLRLVAALAPSPPLPRREPRKPPPSAAHMVRGVSLAAAAATVAMAAASPPALAALAEPANALSLPTWAVHVSSVAEWVTAMALVWQYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNMTMCIAAFRIFKASQEGTNSNSP* >Brasy5G500900.1.p pacid=40078539 transcript=Brasy5G500900.1 locus=Brasy5G500900 ID=Brasy5G500900.1.v1.1 annot-version=v1.1 MLYKPVGTHTPRLQIRHQAAGRSPIPCSSSISPQQRDQDSFAGPEMEKVSASVQSWVGQHKLATAGNWRF* >Brasy5G448900.1.p pacid=40078540 transcript=Brasy5G448900.1 locus=Brasy5G448900 ID=Brasy5G448900.1.v1.1 annot-version=v1.1 MLKCGAPPDRCTYNTLVNARCRLAHLADARAELDRMRGAGFVPDTFTFNSLLLGLCRGGVLAAACGAFVQMPRRWGVHHDAFSHTILIHGLCAGGRVDDARRVFDKMSRSWCRPGVHTYTALVDGLCKDGRVEDAEALFGEMVAKGVLPNVVTYNALVGGFCREGRFGDVFRLLDKMATEGLAPDWWTYTHLIDGLYEHGEVERAAKVFHEVGKLSAPGDSDYNALSDRNCMFNDVNAALDGNCE* >Brasy5G034900.1.p pacid=40078541 transcript=Brasy5G034900.1 locus=Brasy5G034900 ID=Brasy5G034900.1.v1.1 annot-version=v1.1 MRNHNTHSCYFAVALVILLGASSLTHATVLKQQDALKSFLKSRAQIARSNGPVDDTWADPGSSFSYLPTSCKSPPAPGAREADKIAGLPSQPPRVNFDQYSGYVTVNEQHGRGLFYYFVEAPYQASSKPLVLWLNGGPGCSSLGAGAMAELGPFRVNPDGKTLSRNRHAWKNVANVIFLESPAGVGFSYSNTTSENRASGDKRTAVDAYIFLLNWLERFPEYKGRAFFIAGESYSGHYVPQLAAVIVALRKLGAAGMNLKGIFVSRPTPN* >Brasy5G442400.1.p pacid=40078542 transcript=Brasy5G442400.1 locus=Brasy5G442400 ID=Brasy5G442400.1.v1.1 annot-version=v1.1 MAAARRRGERIAAVLALLLHLAAVIEGKSFYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGGGEVAAVVG* >Brasy5G235400.1.p pacid=40078543 transcript=Brasy5G235400.1 locus=Brasy5G235400 ID=Brasy5G235400.1.v1.1 annot-version=v1.1 MPDDASYLLCAEDAAGAAFFLDAGASTCTTAENDGYWCSGAAGDEKESAAADSFIAELIGGEADYSPRSDYPDQLRSRSVDPAARADSVAWILKVQVSYGFLPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQAESTRYIFEPQTILRMELLILTALNWRLRSVTPFTFIDFFACKVDPRGKHTRYLIARATQIILAALHDIKFLDHCPSTMAAAAVLCATGETPTLAFVNPSLAVNWCIGLAEEGVSSCYKLMQPLLSGKRANTAEAVSLCSDQTLSSNSSSCTTPPPPKRRKRSPPVT* >Brasy5G324900.1.p pacid=40078544 transcript=Brasy5G324900.1 locus=Brasy5G324900 ID=Brasy5G324900.1.v1.1 annot-version=v1.1 MGFAEAMAMAAASAALALLLGAAWVTLSCYLLTPMRIRRAMAAQGVHGPPPRPLVGNLREVSALVAEATAGDMPSLSHDIVGRLMPHYVLWSRTYGKLFVYWYGSEPRLCLTDTGMIKEFLSSKYAHATGKSWLQRQGTKHFIGRGLLMANGARWSHQRHVVAPAFMPDKLKGRVGHMLECTKQTILSLRDAAARGRGEVEIGAHMTRLTGDIISRTEFDTSYETGKRIFHLLEDLQRLTARSSRYLWIPGSQYFPSKYRREIGRLNGELEGVVMESIRRSGEIADEGRTTSTYGRGLLAMLLAEMEKKKDKAGSTADDGRFSYDTRLVIDECKTFFFAGHETSALLLTWTLMLLATHPEWQDKARAEVATVCGDQPPSADHLPKLTVLQMIIHETLRLYPPATLLPRMAFEDMTLGEGRLRLPRGLSVWIPVLAIHHDESIWGADAHEFRPERFAPGARRGSSSGAARFLPFAAGPRNCVGQVYALFEAKVVLAMLLAEFRFAISDDYRHAPVNLLTLRPKHGVPVHLRPLRP* >Brasy5G074900.1.p pacid=40078545 transcript=Brasy5G074900.1 locus=Brasy5G074900 ID=Brasy5G074900.1.v1.1 annot-version=v1.1 MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPFLHNILDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVTVNRSSPGTESSDKAYDSALRRRQKTLSVVFLVILPYFKSKVQSIYNKEREARLQASLWDQGEVRFDEAGALDQQEETSQAQAESTTREVSHLARLKTNFAALIGVSYPWIHATNEGLSFAYQLLYLLDATKFYGPGLHVLGLHVCRATGQELMDSSSRIARIRNRELERLRGPPWLKTVQRVVLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEHIRRLFHDL* >Brasy5G435300.1.p pacid=40078546 transcript=Brasy5G435300.1 locus=Brasy5G435300 ID=Brasy5G435300.1.v1.1 annot-version=v1.1 MATPKPKALLLAVILGCIWLCSTVLSARELGDAAMGERHEQWMAQYGRVYKDGAEKARRFEAFRNNVVFIESFNAAGNRKFWLGFRATKTNKGFIKRNAAAVNKASPTVFRYSNVSSDALPAAVDWRAKGAVTPIKNQGQCGCCWAFSAVAATEGIVQLSTGKLVSLSEQELVDCDVHGADHGCEGGEMDDAFEFIIKNGGLTSEANYPYTVQDGQCNRAKSTANGVAATIKGYEDVPANDEASLMKAVAAQPVSVAVDGGDMVFQHYAGGVLSGSCGTSLDHGIAAVGYGTADDGTKFWLMKNSWGTTWGEDGSIRMEKDRCC* >Brasy5G225500.1.p pacid=40078547 transcript=Brasy5G225500.1 locus=Brasy5G225500 ID=Brasy5G225500.1.v1.1 annot-version=v1.1 MSTAALFLTTAMLLLLGAVVGEASWLPRWPVTPPAPAPGAAGGVTLRVERHQVVVDNGLVQVTASNPQGQITAVRYLGDRSNLLNFVGGGENTGGYWDVVWNYPDSGHPRGMIDMLDSTEFSVVTWTPDKVELSFRSAYDPTRRDSVRLAVDKRLVLLRGVPGFYPYAVFSHSGALPPLNITEARLAFKLSTSRFTYMAVSDKLQRPMPSAADRDPPRGQPLSYKEAVLLVNPAEPEFKGEVDDKYMYSLDNKDNKVHGWIGESSTSSSPAPAVGFWVVTPSNEFKSGGPMKRELTSHVGPTALTMFMGTHYVGGAIVANIADGENWTKVLGPVFIYLNSVPEKKKQQKKKSFWGGRGAPVVMDPKAALWEDAKARAAAEAAKWPYSFPESPEFHKAGERATVAGRLLVRDRYLAAAGAGEDGMIPARDAYVGLAAPGAAGSWATESKGYQFWTRSSAASGGFAITGVRAGLYSLYAWVPGVHGEFVSPSPVNVSICGGGVLKLGDLVFSPPRAGPTVWEMGIPDRSAAEFFVPDPDPKLVNRLFLGPAMAAEKFRQYGLWDRYAELYPNGADPVFTVGASHDSKDWFFAHVTRKLPVVVSGSGGQQYAPTTRQIRFSLAGVASDGNYTLRVALAAAQMARLRIEVNPPPPESGKTRRPAAMFVTPEFGEGNAIARHGDHATWWSFEFGIEGRMLVEGENTISITQVRAFGAFLGVMYDYIRLEAPPASRRDPTAAAAAMNGWNSSSIDG* >Brasy5G087000.1.p pacid=40078548 transcript=Brasy5G087000.1 locus=Brasy5G087000 ID=Brasy5G087000.1.v1.1 annot-version=v1.1 MGERMSSEEEPMASPTTFRATNKKKEKKKKCELIGYEALPEWLKDNEFIHGYYRCEWPMKETILSVFSVHNETLNVWSHLMGFLLFLCLTVLTAAVIPRDNSSSSRDRLDDASSMRSYWGDLMAVANLCGDEEKIPTSCPTNASSSLPHRRAQAMIQDAGAPQRAAAAVSVVAEPITRWPLFAYLCGAMACLLTSSVCHLFLCHSERAAYLTLRLDYAGIAALIVTSFYPAVYYSFLCHPALRTLYTLSITALGAAAAAASLAPAFQAPGLRPLRAALFASMGASGLVPVAHKLVLYGGHGGREEAVVTAGYEALMGALYGVGAVVYAARVPERWFPGRFDLVGHSHQLFHLFVVAGAYAHYRGGVEYLRWRDADRC* >Brasy5G420200.1.p pacid=40078549 transcript=Brasy5G420200.1 locus=Brasy5G420200 ID=Brasy5G420200.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy5G249700.1.p pacid=40078550 transcript=Brasy5G249700.1 locus=Brasy5G249700 ID=Brasy5G249700.1.v1.1 annot-version=v1.1 MNPGPRALLSSSSGGSGFAGALGCAGEEERPLSGAPPRSYLRSKPVPWCLQGRRASSGVPRTEAAAAAAA* >Brasy5G382000.1.p pacid=40078551 transcript=Brasy5G382000.1 locus=Brasy5G382000 ID=Brasy5G382000.1.v1.1 annot-version=v1.1 MGLEESQKCLMGLTYLGVQSLCEKHNLPTDKSHSELALDLAMLLEKKKMNSGSESEYVIGSTHASPATCSVVPSNIKEASSCMYSKIFMLNVVCGTAGGQENHKRDSYGDKGGNDRPHVIHEKGPQTQMDETLQSDIGTRMNLPRVYLNKIKRKCSRQGIAQNAKSQSAYDIATNLTPPGHAIEINHDSASRVKDKFSLVTSQPGPDGVVAEPRSCTKVGASVDKTGGSSNEIEAKAKAKEKEKENPNPNSKASFQFFVKSDEEGLDLVVDLNFNPAAWVESLNEGVMESLKEGMSTSPSTHHTETGSKNNQNTISSLDNITVDTENRAADSIAPRTNSSLGPHSTDGNNSHSEPHPADTTHVNSRSSASTLHGTPVEVSGSQEGVPVVHSSCLLSEVPVVPLDMLDGALGNNVIPQESVGVSVWSEGNHAPAADDSIQPSSPGYMVISNSNENSYPPSGEKEPLDGTSGVQPGHDDTNETPMENVLTEAMPMEEDNCNGDKLSSQLARQTVAELPATDAGSAGRPVSGNVDLRGPTPSSAALDNAITPLAVKHGAKSSHSHDSVDKKSPCDTELQGVPTRNI* >Brasy5G448600.1.p pacid=40078552 transcript=Brasy5G448600.1 locus=Brasy5G448600 ID=Brasy5G448600.1.v1.1 annot-version=v1.1 MAHISDIKLIRTDTTLDLSQKAEKAPSSDCLFSPGEVVLIEQLLAAFLALRFLVT* >Brasy5G096100.1.p pacid=40078553 transcript=Brasy5G096100.1 locus=Brasy5G096100 ID=Brasy5G096100.1.v1.1 annot-version=v1.1 MAAWQHAATAAAGSEEGAAARVEGSEAGAAVLGAGVGGAQACSDKGGRRRGRRRPTEKSSRRGRRWRARRLPTRASAAGSEEGGRRRGRRRPTERSSRRLAASEPGAGAAAPDAGVGGGQRGGRGGGGWRRGSRRCSARIYILF* >Brasy5G445200.1.p pacid=40078554 transcript=Brasy5G445200.1 locus=Brasy5G445200 ID=Brasy5G445200.1.v1.1 annot-version=v1.1 MALETCFRAWALHAPQAGVRDRLSGSGISSSYAPSRPRTPAAAAVVSPSPSALQQLAPRRPPSRFVCHCKNAVDEVVVADEKNWESMVIASEAPVLVEFWAPWCGPCRMIAPVIDELAKDYVGKIKCCKVNTDDCPNIASTYGIRSIPTVLMFKDGEKKESVIGAVPKTTLSGIIDKYVAA* >Brasy5G481500.1.p pacid=40078555 transcript=Brasy5G481500.1 locus=Brasy5G481500 ID=Brasy5G481500.1.v1.1 annot-version=v1.1 MRGSGGGVASRFVGDGSRGSRHGIRVVGGRFRERERRESNFSRARKVERDNSFFFEKSVRSESHCIFCCVEPFCSVSILSILSTKRWKTGTKHSLARNHPLSSSNLPPMPSRTAAAHLPTPPRHRASSTPPSPDPMKNSSCPRAPRRRRPNGLTRRRYGSGRPKSRRGRNWPPPCARCRSGA* >Brasy5G119200.1.p pacid=40078556 transcript=Brasy5G119200.1 locus=Brasy5G119200 ID=Brasy5G119200.1.v1.1 annot-version=v1.1 MPPLLSVLENVEEGGLAGAVEAEEEDLGGDGAEESGGLVLADVVEGAGQRRGSTAAATGELVAALPRHLVSFHLHPAGVEQGGEELAACGGGQRWETRRGLGPPASVTRPTAARGGRFGGGGAGGRRRPGAG* >Brasy5G058000.1.p pacid=40078557 transcript=Brasy5G058000.1 locus=Brasy5G058000 ID=Brasy5G058000.1.v1.1 annot-version=v1.1 MAAGTKTVDYAGERALAKDFLTNFAGPHGEPKYQNMLQDIANRKTRAVQIELDDLFHYKDLDEEFLQRVTENTKRYIGIFAEAVDELMPEPTEAFTVDEDRDILMTQRVDEGADGGSDGTDPLQRMPPEIKRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELSEHVPKGHIPRSLTAHLRGELTRKVAPGDVVEMSGVFLPMPYFGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLSKSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMENDLEMARHVVHVHQNLESPALGFTPLEPSVLRAYISAARRVIPSVPRDLEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSIVRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHQSTFDIHFIDT* >Brasy5G258100.1.p pacid=40078558 transcript=Brasy5G258100.1 locus=Brasy5G258100 ID=Brasy5G258100.1.v1.1 annot-version=v1.1 MAEGVAEEVKTVAQELRGCFRTGRTRPAEWRAAQLKALVRMIEDKEADISDALHADLAKPRMESYLHEISLAKSACIFALKGMKNWMKPEKVPAAITTFPSTATIVPEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSSLFAKLLPEYVDSSCIKVVEGGVDETTALLSQKWDKIFYTGNGHVGRIVMAAAAKHLTPVALELGGKCPVIVDSNVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKTFVTELVDSLKRVLERFYGEDPLQSADLSRIVNSSHFHRIAKLIEDKKVAEKIVLGGQTDEKQLKIAPTVLVDVPHDTALMTGEIFGPLLPIVTVDKIEESIEHINAGTKPLAAYLFSKNKKLQQEFIANVSSGGMLVNDVALHLANPHLPFGGVGDSGTGSYHGKFSFDCFSHRKAVLVRGFGGEANARYPPYTPEKQRILRGLIKGSFFALILALLGFPREKR* >Brasy5G354000.1.p pacid=40078559 transcript=Brasy5G354000.1 locus=Brasy5G354000 ID=Brasy5G354000.1.v1.1 annot-version=v1.1 MDPDGDGSFHRKEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLHASQPQPPAMPPKQHQPPPQQAPPQQQQVMPSPSLPLPPPPPPMGQPEKVHIPGVAAVPTPVPDRPNPSHLPPPPQPPLAAAPPSLPHHQIQAGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGATTLFVGDLHWWTTDADLEAELVKYGHVKEVRFFDEKASGKSKGYCQVDFFDPGSAAACKEGMNGHLFNGRPCVVAFASPNTVRRMGEAQMKNNQSMGQQNSGMQKGGRGGGPPGGPVGPQVGGNYGGGGRGGGGAGGSGGGGGGGAGGPGGNMRNRMGPVGGRGIMGNGGMVAPPPPMMHPGGMMGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGMGAAGVGMWPDPSMGGWGGEEQSSYGDDAASDQHYGEGGSHGKERPPEREWSGAPERRREREKELPPPPDLPERRHRDERDMGRERERERDRDRERERDRDRERDRDRDRHRDDRDRHGDYHRHRERETDRNEDWDRGRSSGRRSRSREVDHSKRRRMSHE* >Brasy5G283200.1.p pacid=40078560 transcript=Brasy5G283200.1 locus=Brasy5G283200 ID=Brasy5G283200.1.v1.1 annot-version=v1.1 MAAITTTTASSSCFLHRRVFSSSQATPTIPRGHLPFHARSRCHPSRLACRATDVSGAEPSAAPPETGSSWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPNNPVLRALTPVLRKLFVYRAKIDEENIYISISGAGSSGGSAEILFSGKAQPGITASDVNIEEVRMVVDEGVGGFGFTPDNEQINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAVSRAFSS* >Brasy5G090900.1.p pacid=40078561 transcript=Brasy5G090900.1 locus=Brasy5G090900 ID=Brasy5G090900.1.v1.1 annot-version=v1.1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHKKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGKRRVDVEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPALAEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGTSFGLTPKGTPFRDELRINEEVEMQDSAQLELRRQAELRRGLRSGFASIPQPKNEYQLVMPSITEEKEEVEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQSLIKGGESRSTFVPPTSLEQANELISEELLRLLEHDNAKYPLDEQTQKEKKKGNKRQANGAAFVPEIEGFDEHELKEASSMVEDEIQYLRVAMGHENESFEDFVKSHDACQEDLMFFPSNNSYGLASVAGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAAKLGSQVQDTFKQMDTAATELECFQELQKQEQMAGAYRVRNLAEEVNNQKALERTLQSRYGDLLSGYQKIQEQLEEQKRQLKLQEEAIEAENRAREEEAAAQNRVAEEEKERKNHSVEEESGQMTSATDEEATESKEVNGDQMDMDKADGELVGPIPPAQDAQVDNDEASVQQSTSNAESDGNAATNDGAGDQIDSSNLEGQEDNTTGGMDIDAGSQEEGKNAGATAVTSKDVETAAVSSDQAVSNEENDTVP* >Brasy5G361900.1.p pacid=40078562 transcript=Brasy5G361900.1 locus=Brasy5G361900 ID=Brasy5G361900.1.v1.1 annot-version=v1.1 MASSSLLLLLAAVSVLLLLPPPAAQSAAPTRVISVADYGAAGDGSRYDTAAIQAAVNACAAAGGGRVLLPAPGDYLTATVLLRSGVVLDVPPGARLLGGTRQGDYPPESRRWYVVLAENTTGAGVAGGGEINGQGGAFVVRPNDKKNIMVSWNTTGDCEGDECRPRLVGFIDSKDVRIHDITLNQPAYWCLHLVRCDNTVIRNVSIYGDFDTPNNDGIDIVDSNNTVITDCHIDTGDDAICPKSSTGPVYNLTATNCWIRTKSCAIKFGSASFFNFERLLFDNITIVDAHRGLGMQIRDGGNVSDVMFSNIKMRTRYYHPSWWGRAEPIYITTCPRHPGYKEGTISDVRFINISSVSENGVFLAGSRHGLLRDLKFENVDLTYKRWTNYTGGLYDYRPGCQEMVKHRTGGMMLEHISGLEIDNVKMRWSKGSLKGWDVNPLLFRPSTIDGLSFHDWQSLDVQ* >Brasy5G277900.1.p pacid=40078563 transcript=Brasy5G277900.1 locus=Brasy5G277900 ID=Brasy5G277900.1.v1.1 annot-version=v1.1 MYLWDASSGSTSELVTVDEDNGPITSVSWAPDGWHLAIGLNSSDIQLWDTSSSRLLRTLKGVHESRVGSLAWNNNILTTGGMDGRIVNNDVRIRDHAVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGHNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEVAKPAAKASHTGMFNSFNHIR* >Brasy5G399800.1.p pacid=40078564 transcript=Brasy5G399800.1 locus=Brasy5G399800 ID=Brasy5G399800.1.v1.1 annot-version=v1.1 MTVSKSTVVNYFKTGKDDGTCIECSQQRRWNMHRMLSTINRTSHEQDLPLHLSYYLVGPRDQNSETRRGTFQFPSKSTKKLLVDSTKITKPQTRLQRRRNPATGRNPTDRTLPSPSPRPSPLLPSSAAATRPAMAPVDPHSYTDGAHPVTSHLALAFYLDFSASAIHASALVTLSAPHSGDILLDTRSLAVHSATTTSDPPSPIPFSLAADADPVLGSALTLTLPPNTSSFLLTFSTSPAASALQWLSPPQTASSLPFVFSQCQSIHARSVFPCHDTPAARITYSLLLNVPAQLSAVAAARHVARRDPASADHRGACDDALWCAPDRVVEEFEMAQCVPPYLFAFAAGGIRSRDLGPRTRVYAEGGDTLLDEAAREFAGVEDMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKANVPGIENQIDLQLWIEGTGIPPDAMEPDSATYKKICALAAEFKSGKFPSEEEVADWGGQEWELYLENLPTDVEASQVTALDERYRLSESRDYEVKVAFLQLAIRTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKILAQRIFSEAHEFYHPIARSVAESILLKHG* >Brasy5G076400.1.p pacid=40078565 transcript=Brasy5G076400.1 locus=Brasy5G076400 ID=Brasy5G076400.1.v1.1 annot-version=v1.1 MKRPPPRDDAAAAGSSGGGGGFKKGKGRWGGKKRNEQRLGGSGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKLISKYKRSRKQQNQSNYPPQVPTCEEGDVDAEGEPKAHVKRKKRTSQSLKVEYEKKRLEDEKAKKERDAIIQAKKAEREKSEAKRKELREKMFKRTRSGQPVMKYRIEHLLETALESSNKPWCFVRCGRCSSSCLWRASLEPWRPSWWGILPISPWSSWISSLRWEVQEAPWRTLRWEVQAWQVLRRRRLHSWKTQEVEMSFQ* >Brasy5G076400.2.p pacid=40078566 transcript=Brasy5G076400.2 locus=Brasy5G076400 ID=Brasy5G076400.2.v1.1 annot-version=v1.1 MKRPPPRDDAAAAGSSGGGGGFKKGKGRWGGKKRNEQRLGGSGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKLISKYKRSRKQQNQSNYPPQVPTCEEGDVDAEGEPKAHVKRKKRTSQSLKVEYEKKRLEDEKAKKERDAIIQAKKAEREKSEAKRKELREKMFKRTRSGQPVMKYRIEHLLETALESSNK* >Brasy5G333700.1.p pacid=40078567 transcript=Brasy5G333700.1 locus=Brasy5G333700 ID=Brasy5G333700.1.v1.1 annot-version=v1.1 MAGLDLFGFSSRVLPGYAADRGHAGGGAATCHAFFADTRRSGRRCYLRGTLKDAVVCSLEDGGGAESTTLCMSGPSENASRSGEVAANSGRDVADGIAGTSQSSFAGRKKGGKLWRRPTSGNKAMRHGAPRHAPGRKDTHGRRIVVNDDDVNAIWSGVSRESSIEECNSVLIRLEKHSDEKALGFFVWMKANGKLEKNVDAYHLALQAVAWKEDWRRAELLLNEMVAVSGCTLDARAFNGLIYVCAKRRLVDWGTKWFNMMLEREVQPNVSTVGMLMGLYQKTGSLSEAEFTFAKMRECSVKCVNAYSAMITLYTRSGLFDKSEEVIILMNDDKVIANMENWLVQLNAYSQQGKMEEAKLILQSMVDEGVSPNVVAFNTLITGYGKVSDMQKAKEVFNSLERAGLAPDETTYRSMIEGFGRADKYDEAILYYRKLKESGFQPNASNFYTMINLIARHDENESAAEILKDMMAAGCQCSSIVTILVRAYALGGGMHKVLPILKSCFYKKILFDATSCSILVTSFVQNSLLEEALCVLRERKWKDSDFEDNLYHILICSCKEAGSYDAAVTIYNEMPKSRLHPNLRISCSMIDVFSTMERFTDAETLYLELKTSACVLDMIAYSVIVRMYNKAGRPEDACSVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLTDTYYWILKSQVECDEAMNNCIINCCGPAIPVDELTRIFDEMIQLGHMASTVTLNVLLDIYGKAGLFNRAQKVFNMARKQGQADIISYNTIIAAYAHSGDFRSMTYFVQKMQDAGFAVSLEAYNCMLNAYGKAGQLEEFAAVLQKMRRAKCDFDHYTYNIMLNIYGRKGWIEGVAYVLSELKSRGVEPDLYSYNTLIKAYGRAGMPEDAVKLMQEMRLKGINADRITYTNLIAALQRNENFLEAVKWSLWMKQTGVGGRGARA* >Brasy5G319900.1.p pacid=40078568 transcript=Brasy5G319900.1 locus=Brasy5G319900 ID=Brasy5G319900.1.v1.1 annot-version=v1.1 MYQTPRKHEKGLSKHTGDAEETSIETTLWGLLQEPQAGEEEPRGRRRNLARGGRAGVMAAAEAGRTLLLVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLYRSFVQAACYPLAAYAAVRYNRAHVIAVGAFLWAAATFLVAVSGTFTQVAAARGLNGVGLALVTPAIQSLVADYTDDNNRGSAFGWLQLTGNIGSVIGGLFSIMLAPNTIMGIAGWRIAFHVVALISVVVGTLVRLFAVDPHFCNAEDGEQHLRKSAWAEMKDLVTEAKAVMKIPSFQIIVGQGVTGSFPWSALSFAPMWLELMGFSHTKTGLLTTIFALASSLGGLFGGKMGDYLSVRFPDSGRIVLSQISSASAVPLAALLLLGLPDDSSTGFLHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRASIYALDRSFESVLASFAPPVVGFLAEHAYGYKPISYGAGVNSVGRDRSNASALAKALYTAISIPMLLCCFIYYLLYRTYPRDRERARMDTLIASELQQIELERCHGVADYCAGGKDATVIEYCEEELDADDDEKASMLHHQVEQRGSIT* >Brasy5G523500.1.p pacid=40078569 transcript=Brasy5G523500.1 locus=Brasy5G523500 ID=Brasy5G523500.1.v1.1 annot-version=v1.1 MAGPLSNAVEGGSRGAGSFREALMEGLSPDAHPARQVDGGAHPRPRLMSVISAPFCSSVIQPRPAPSGEEGFDGEGWQMVGGRRSNNSRATANGNGRSFNSQYKELLLRKAWGRCFNCLSSTHRIADCRNAATCLLCGGFGHKARWCRGGSSRLPPAPSSSRSSAPRAARAEGFAAQVPPPSVNRAVTSAVSAPAPFCPAPAGRTSAPVVAAPAGRTVAPVVAAPLPTRASPTPSMEAPGSDASLRRELVLAAAPRSAAVATADRLYSSRGVVAVVVGFQPAMELSDIARSFANHFQVDADSLQVSMLAPSEFLVLFSEVRSRNEALAVSGALVLGNVSFMLSPWTRFRRASAAKLLYRVRVCLDGVPKHACDIPSVSQLFDSSMLIDGIDDNCNSPEETACMKLWVWMEDVNMLAKKGKLKLEEPVERSSPGMQLPQIGIFEDASPRTAPLNLLSFDVLLHLDCVHDYSGSPNSSVHSDWPKKWHYRWHLHYEDGIFPPPPPRVPVHSRLRFPGRRDPDGGGGGGGSSDDHSRRSSGWDQGPGRHSGNGDGGRAEFRQFFGGGHRNRQAGTLASLTEEELSGMHVRQEIGEGTLQAEKEGSTLQEFENGSGLTAHKEVPRASFHPAGGSEFLFLDNQADPEELEMVPDPMIEEMQLMGQGSKGGAEDLCIEGAGSVSVIEEGDNNMTTRKKDNEKLGRGLTSPVRPAVLPPPSPEIEKEYRTSQRGRSGSEVRQSGRLVAKSTSGLSSLEKARLVLLKKGGIVTNDETPGAAELAKFRQLFSKPLPLSFIDAVTELVGSGHPAGASVVSRTTDPALAAAELLAAA* >Brasy5G174100.1.p pacid=40078570 transcript=Brasy5G174100.1 locus=Brasy5G174100 ID=Brasy5G174100.1.v1.1 annot-version=v1.1 MLLQIGCVGVNSQTAVSKLMFFFFRKGGHSYGLCIHTAMVVRQGVLDGNLIGRIGCGGLNNYLVI* >Brasy5G330300.1.p pacid=40078571 transcript=Brasy5G330300.1 locus=Brasy5G330300 ID=Brasy5G330300.1.v1.1 annot-version=v1.1 MSTAAVASTPSTALPAAPPPSYPATSLTSASAATAEDDDDLYGKLKSLQRHMEFVEIQEEYVKDELKNLKREVLRAQEEVKRIQSVPLVIGQFLEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVLYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK* >Brasy5G312000.1.p pacid=40078572 transcript=Brasy5G312000.1 locus=Brasy5G312000 ID=Brasy5G312000.1.v1.1 annot-version=v1.1 MDSPRSPASFLTQANALLRKNLCLQKRNLKTNIGITVFPILICVLLVVLQNVINNELDKPKYHCGCACVETNLDGSCKKRECGIQYSTLEQVWSCAIPSPPRWPALIQVPQPEFRAVKTLSQPFDDLPDPSCRNSKSCPATVLITGKDRAFAESFSGGLFPAFSPTLNVTDYLDALSKIVAGSDTAPWYTQLVEPAFSSSDTLYLVQPQCLPFLSQNISYNARGIPLQLNIQCVEGVLLWRDSTSVINDELSQGYIQKGGQPNEFIAGYDFMNTTEYGLGINVWYNSTYNEKTAYSFIAALRVPRLVNAVSNTYLKFIRGTGVEILLKYVKEMPKVGTSFRFDLSSLLSALFFTWIIELLFPVMLTYLVYEKQQKLKLMMKMHGLKDGPYWMISYAYFFVLSVVYMTFFGIFGSLIGLNFFRVNSYGIQLVFFLVYINLQIAFALSVACFFSSVKMATVIGYIYVFGSGLLGAFLFRFFIEDKTFPRGWILVMEIMPGFSLYRGLYELGQYAFSGSAMGATGMMWGNLKDPMNGMRDILIILTIEWALLLALAFYLDQVSSVGGGVRNPLLFFRSSQKKSAPSLQKSSFAQQDSKVIVDMEKPDVAQERRAVEQLLMDCNTNQAIICYNLRKVYPGRDGNPDKLAIRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLTKPTSGTAYAYGMDINMDMDNIYTNMGVCPQHDLLWETLTGKEHLFFYARLKNLKGAALVKAVDDSLKSVNLFHGGVGDKQVGMYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDLASRNNLWNIVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFIDGEFQCLGNPKELKARYGGAYIFTVTTSPEQEQEVERLVHHLSPSANKIYNLSGTQKFELSKQEVRIADVFHAIEIAKGRFSIHAWGLVDTTLEDVFIKVAKGSQVFNDVA* >Brasy5G355600.1.p pacid=40078573 transcript=Brasy5G355600.1 locus=Brasy5G355600 ID=Brasy5G355600.1.v1.1 annot-version=v1.1 MPPRPRAVALAPPRRLALLVSSAFAALLLIVSPCHCVNEQGQALLEWKRSLRPAGGALDSWKATDAAPCRWFGVSCDARGDVVSLSVTGVDLRGPLPASLPATLATLVLSGTNLTGPIPPELGAYSELTTVDLSKNQLTGAIPPELCRLSKLETLALNTNSLRGAIPDDLGDLASLTHLTLYDNELSGTIPGSIGKLKQLQVIRAGGNVALKGPLPSEIGGCTNLTMLGLAETGMSGSLPETIGRLEKLQTLAIYTTLLSGRIPESIGNCTELANIYLYQNSLSGPIPPQLGRLRKLQTLLLWQNQLVGAIPPEIGQCEELTLMDLSLNSLSGSIPASFGRLKNLQQLQLSTNRLTGAIPPELSNCTSLTDIEVDNNALSGDIRLDFPKLPSLTLFYAWKNGLTGGVPASLAECASLQSVDLSYNNLTGPIPRELFALQNLTKLLLLENELSGFVPPDIGNCTSLYRLRLNGNLLSGTIPAEIGNLKSLNFLDMSTNRLVGPVPAAISGCASLEFLDLHSNALSGALPDAMPRTLQLVDVSDNQLAGPLRPSSIVSMQELTKLYLGKNRLTGGIPPELGSCEKLQLLDLGENAFSGGIPAELGELPSLEISLNLSCNRLSGDIPPQFAGLDKLGSLDLSHNQLSGSLGPLAALQNLVALNVSFNGFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSGDSSRRGTITTLKVAMSVLAVVSAALLVAAAYILARARRRGGTGGSTAVHGHGTWEVTLYQKLDISMDDVLRGLTTANVIGTGSSGVVYRVETPNGYTLAVKKMWSPSPDETAAAAAAFRSEIAALGSIRHRNIVRLLGWAAANNGSTATRLLFYSYLPNGNLSGLLHGSGGASVAKQRAQPGSDWAARYDVALGVAHAVAYLHHDCVPAILHGDIKSMNVLLGPAYEPYLADFGLARVLSAAQSKLDDDSSKPRPIAGSYGYMAPEYASMQRISEKSDVYSFGVVLLEILTGRHPLDPTLPGGAHLVQWVTQARRRAGDGDDALLDARLRERSAGEADAQHEMRQVLAVAALCVSQRADDRPAMKDIVALLEEIRRPGTAAADDAKPAPAPAASLPAAVAAAPVLSPARGGAHSRGVSSSCSFAGVSDYSA* >Brasy5G247800.1.p pacid=40078574 transcript=Brasy5G247800.1 locus=Brasy5G247800 ID=Brasy5G247800.1.v1.1 annot-version=v1.1 MTPAQDPFYIVKDEIQDSIDKVQDTFHQWKQTPENTGEYVHLTKELLTSCESVQWQVDELEKAISVAERDPAYYGLNEVEIGKRRNWTSSARNQVVSIRRNVEAGKHKTAFGRSVNPSEQGRSKQHITQDNDDFIASESDQQMLLMKRQDDELDALSASVQRIGGVGLTIHEELIGQEKLLGELSLDMETTSNRLDFVQKRVAMVLKKASLKGQIMMIAFLVILFVVLFVLVFLT* >Brasy5G374200.1.p pacid=40078575 transcript=Brasy5G374200.1 locus=Brasy5G374200 ID=Brasy5G374200.1.v1.1 annot-version=v1.1 MAKLLAALAVLLVALVGCVARPCQAGYGYPNPMPPTPARPNLPPPAQRPNAPCHPPPAPRAPTPPAHGTPSNPPSPAPISTPGAPPPAPISTPSPPPAPISPSSPPPPAPISTPSSPPPTPSPPPPAPISTPSPPPPTSSPPPPAPISTPSPPPPTSSPPPPAPISTPSPPPPTPSPLPPAPISTPSPPPPTPSPLPPPASTPSPPPLTPSPPPPTPSPPPPPSSTPSPPPPTPSPPPPTPSPPPPPSSTPSPPPPTPSPPPPQGLTVGHYNNICLQAEAIVRNAVSVASAGTMAGLIRLFFHDCFIRGCDASVLLDQTDPNNPPEKLGIPNQTLRGFEVIDAANAKILEVCGNVVSCADILAFAGRDATFFLSDKRVDFGMPAGRFDGNVSLASETLPNLPPPFATVDMLKANFASKGLTADEMVTLSGAHTIGRSHCSSFSSRLTSNTSDMDAGLKSSLQSQCSSSTGSDNTAVQDLRTPDQLDNQYYKNVLSREVLFESDAALLTATDTSSAVRANAGDTGQWEEKFKAAMVRMGAIEVKSRANGEIRRSCRVVNTK* >Brasy5G317100.1.p pacid=40078576 transcript=Brasy5G317100.1 locus=Brasy5G317100 ID=Brasy5G317100.1.v1.1 annot-version=v1.1 MAAWWCWPLPAWLGSSAAWFVLLNVIVGAIFALSSRPQPPSSASPRRRGVTRRASSAALLQRIRSFSIFSFPSYNSQETEEITKPRTPRPRRRPSTPRAAVAVASAAPPAAAAEGDDIDEDEGDANAMSMDEVYALVLAAGRPQAPTEEETARYEVDAKAEEFKEDLRQQRLRSIFNYTQMLKQRAAAARRPPPVTAAPTDTN* >Brasy5G477600.1.p pacid=40078577 transcript=Brasy5G477600.1 locus=Brasy5G477600 ID=Brasy5G477600.1.v1.1 annot-version=v1.1 MAREEEQQRQREEEQQRQREEERSQAMDAAQRRLRAVSAHLEPPLAEEANGGGLAVNPTAGEYAHVQGYSVVLPEKLQTGKWNVHRSAQSPLRLISRFPSTPDIGTLHDNFVYAVETFTDCRYLGTRLSANGAVGEYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWIIIDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSSLLSFITQMPCVRLIVVVGGDDTNTPSATASTGVEIITYSRLHSQGKMSSQTFRPPKPEDVATICYTSGTTGTPKGAVLSHENLIANVAGSSLVVKFYPSDVYISYLPLAHIYERANQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYSALITYLLYRITNAVKESGGLKERLFRTAYNAKRQALMSGRNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCVITTMDIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDQPHPRGEICVRGPAIFRGYYKDEVQTRDVIDGDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSFLVAIVAVEPDVLKAWAGSEGIQSEDLRQLCADPRAKAAVLADMDSIGKEAQLRGFEFAKAVSLVAEPFTVENGLLTPTFKIKRPQAKAYFAKEIADMYAQLSKAESARQRL* >Brasy5G276700.1.p pacid=40078578 transcript=Brasy5G276700.1 locus=Brasy5G276700 ID=Brasy5G276700.1.v1.1 annot-version=v1.1 MAEVLRIQTCVLKVSIDCDGCQKKVKKTLQKIEGVYQIIIDREQGNVTLKMSGLLDPAILIKKLKKAGKPAEIWGSSNANAFIGHKQPKMQMMTPQQQQMQQQRQLQQQWQMKGQQGNSGGAAAIALPDYYRPALEKIRADAAAGNPAALQQIRQIQQELQTKGMTLQQLMGETSGSSKMPPPPSSSSFVPMGNNNNLPIDDDNEDDEDDDESWDDGCDFEDLQIKGTANNGGVQKAPAIKPNMGGAMEVGGPQQQQQVVMARPAPPNMGALAGGPRPMGPMNGQQGGAASVGGPRPIAPPAPVPAASSQAQVGLQNLQAAAAAGDPLAQHRIRQMQEDLLMKKAKNKMPPQAQPQGQPTLEQQIQQQMQQMKLADGNNNMNKMPQPTVQQPQQIKMPVVAKDPKSVKFNLPEDNNGGSKTKCIPLPTIKGKQLINQAAGGSKNPVGVIGQPVGKNKGVGVIGRPARGDGSGSMGGNGLQQQQQQAPPMMMPPKPNNMMGFFPDQEIPSGMDPIKEQTGVITPPPGVIAPPSQQEAAAAYQGGAENLMAAAAAGNPGALQQYMAMMQLQHQQHQQQQAMQQQQLMQQQQQQLAMQQQQEIMQQQMMMMQGHPHGLYHGSGSMAGYNNPPPPPAMGYGYVGDGRLPMDPYHGQQMMPFQMAPLPPQPRPEAPFTYFNDENPEGCSIM* >Brasy5G336800.1.p pacid=40078579 transcript=Brasy5G336800.1 locus=Brasy5G336800 ID=Brasy5G336800.1.v1.1 annot-version=v1.1 MAGESQARSSPLFLLLLTFAAFLTATSRAQQPSPPAEVKVGLIIDAASPVGKIARTTIPMALEDFYRAFPNSSTRVKILQHDSGGDVVAAASAALQLMTSQGARAILGPQSSMESAFVAGLATRAEVPVVSFSATSPSVSPAAARFFARAATSDAAQAGAIAALAAHFGWRRVVPIYQDDDYGAAFVPFLVDALTTGDARAEVPYRCALPAAATHDAIAAALYRMESQQTRAFVLHTRPELARRVLASAVETGMMAEGHAWVITDGLTGLLGSVEPPQGVIGLAPYVLVTPRLRDVRKRWAHRYMRDHRDDEPAQAEMGCYAVWAYDAAWAVAHAAERLSASDLSSPPGLVGGKGGPTDIAGLGKSMTGDKFLRAINDTRFDGLGGRFELIDGELAVPAFRVINIMDNGKERGVGFWTPQHGLSRHSDRRSNESNGELAPVIWPGESTVQPRGWVQPTNARKLQVAVPGSVADGYKAIVSLGVDPVTNQTTASGFVIEVFEAAVRLLPYALPFEYVKAESMPYDELAQAVGNGTFDAAVADITITANRSEHVDFTLPYAATAIAMVVPVRDERGSKRTWVFLKPLRYDLWLVSAAFFLFTGFAVWAIEHRVNDDFRGPPSYQAGTLLYFGFSTLVFAHRENLRSNLSRFAVVVWVFVVLILQSSYTASLTSMLTVPQLGPAVADYGALLRAADQKVGIMNNSFMRGAMGKAGFTPDRLAPYRNPQEFQEALLNGTIAAVVNETPYLKIFLKAYRGNFTMVAGQRNKTGGFGFAFPKGSPYVTDLSRAILELTESDEMNMIERKWFGEEDDDGAAEGGGFTSKSLSFGSFWGLFLITGATSLLCCAVHLATFVAANRREITPHLSWKDRVRKLAKLFDDKDPSAHTFRIKDYGGAVSVARRNGAAGSPPVTLGEAGSPVSMPYTSEWSVEMASPETCEIELAASAGDQEREEVLATNADGSGENGRVAVTDRSTAIL* >Brasy5G139000.1.p pacid=40078580 transcript=Brasy5G139000.1 locus=Brasy5G139000 ID=Brasy5G139000.1.v1.1 annot-version=v1.1 MRPPRGGGGFRGRGGDRGGRGGRFGGGGRGGRFGGGGGGFRDEGPPSEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMFEGVIATSYNEGDKFFIDPMKLLPLSRFLPQPKGSTQGGPRGGGRGGRGGARGGRGGFRGGRGAPRGRGGPPRGGGFRGRGRY* >Brasy5G504300.1.p pacid=40078581 transcript=Brasy5G504300.1 locus=Brasy5G504300 ID=Brasy5G504300.1.v1.1 annot-version=v1.1 MSSFSMDRLPVAGLLAFLLLLILSIEIADATTGAPAEQYWKSALPNTPMPASLARLINSKSETKAQLRMWCGIYNRYDRSATEEQVQTHRAEGVALFFLESDIQPGKKLALHFMPAGAGEKFLPRGEADAVPFSSDKIPEILSRLSLNPGSAEAAEVAETLRDCETPAAKGERKACATSLESMVDFAVSGLGTSHVRATSTAIVAGGKKGSPKQEYTVTGVKRASAATGARRLVVCHAEPYAYAVFSCHLTRATRAYTVSVVGEDGTAAEAAAVCHTDTAGWNPKHLALQMLDVKPGTVPVCHFLPQNDVVWARSG* >Brasy5G096200.1.p pacid=40078582 transcript=Brasy5G096200.1 locus=Brasy5G096200 ID=Brasy5G096200.1.v1.1 annot-version=v1.1 MQSPVMWFCRVGDDEGNRRRWTRHGYDIGCYGLPEEYCPVPKKKNFFDIAAVNGRFFFFDTNGSLGTLDFACNDDGDGELEARLGTIAVPGIEFSHGITCTHVLESGGELFLASIAFPGFCVDGRPGELSVYRMDFSEPPAWRRTRCIGDRAFLLGYSNFAAACSASGRGLEANCVYWVNGFGEESSELRVSRLEDGSSEVLQRFENGQGGLKPFWIVPVVA* >Brasy5G448400.1.p pacid=40078583 transcript=Brasy5G448400.1 locus=Brasy5G448400 ID=Brasy5G448400.1.v1.1 annot-version=v1.1 MASWFSSVLAAALACLLLLHSCSCWSDAGATWYGPANGAGTDGGACGYQGDVELPPFSAMVTAGGPSLYQNGKGCGACYQVKCTAHPACSGSPVTVVVTDQCPGGPCVAESVHFDLSGKAFGALAKPGQAGSLRNAGNIKVQFNRVACNWHGVNVAFRVDAGSNPNYLAVLVEDEAGDGDLSAVELQQRGGAGGWAPMQRSWGALWKYNGALQAPLSIQLTSSSGKKLVAANVIPVGWQPGRTYRSLVNY* >Brasy5G449400.1.p pacid=40078584 transcript=Brasy5G449400.1 locus=Brasy5G449400 ID=Brasy5G449400.1.v1.1 annot-version=v1.1 MAIKNKNRKKRASAASSVVPPPAMAVAIPPPPAANPMQPPPLPPGVYFSPTRDESVGILNRWIAGEPLHDTQGFVFVEDIYGASPEELRRRYPPACVRGRKHSWWFLSKTKFQSSKQPPSGGRRRADRRVATGGYWRLEQSRKEIPVAGGVKNCFGFYLGPPGGGKRNKTPWLVEEFTSALDDGAGKGGAPALYRLYVTPRASNDDVRGIFGEDGIEWAGGVKRPMRVVVPAHLFDAVAGLLPPGRVRYRAPAHQHPPPPPPPPALLDYEQQGHFFSGTGGVLPGQYDYEQQFWGVAPPPPEGVLLGQYDYEQQFWGLPPPPPPPPTSPGPFHEIPAGDLEQHDDQLFSGTAPPPPPPPPPPVSPGPFLEISEDNMSITMDELLQLIDEKPAEAEKPAEDAEKDQEWDSLEIIVDDFERFPQND* >Brasy5G165200.1.p pacid=40078585 transcript=Brasy5G165200.1 locus=Brasy5G165200 ID=Brasy5G165200.1.v1.1 annot-version=v1.1 MLVEKKMDGEQEARWAAAQGIGVGVGEELVPAALRQLRFLAAVDRRRWLYEGPLLHRAIRRYKACWLPLLAKHTEAAVVDGPLVVPLDCEWIWHCHRLNPAQYIKDCKRLYGRILDNKDVESPIQAKSMDQAEKVWAGFYPREPFELEYTSPSEDTVYSSGGAAGGISYNLVSAVKRQSSFFYQVGTPSMHDLRFLQEALARYKGFLYLIKVNQEKGINLFRVPTYDVDLMWHTHQLHPVIYCNDMLNLLGRVLEHDDTDDDRAEGKKLDTGFSGTTEQFENTFGLRYWKVGAMYRGKLPSSVISIPQVFGSEDDNGSGVSKVEKHLPILQTTVVELYLQIVDIKNLPSAIPEKSVYVWFTKTQPDVFISDGGRLDISTKTGKSVEAGFQCEPTGELILTVMVDQASAGASSSKKSEPLGKVSISLQELTRPDSKLSFERWFELKPHCGHGGSPYISIRVAASCTVPSRAPQVLSMINVKPFSLKTCLLPPSIKDQKMSCWTRFMYDCGTELVRVQIREHKAKNGMVLVQELVGVTMSSKNTFQLAEFKKNKWYLNNSSLSITSDPRPSQDGCILELKGVNNKLIKLYMGRRLAYELKCCSQHAEDTAAVTAVKFSAEHPYGKAVALLDIESEFIMVDEDWFLLPWIAISFLFLNVITKDGEKLIRGTMVKNFAMSDPDTAMVSETVTVGAVGATAAPARCGPACGGDMIMAIDKADHASCESAVTASGKVADSKRGGCGPGCGGSMVNASSKNGHASCGPCGTVIASDQNGLAESAGCGSGCGGSCSGTVIIESVKEGNNKSGGCGSGCGAGGGCGSMVIEGSGAGIAKSGAGCGSGCGGGCSSMIIEGSQTGHANPSGCGSGCGSGCGGGCGGGMIMVGSKTGHTKSGGCGGGCGGGCGGGMIMEDSKTNNAKSGACGSGCGGGCGGGMIMEGSKAGHAKFSGCGSGCGSGCGGGCGGGIIMEGSKTGHTRSGGCGGGCGSGCGGGMIMEGSKAGHAKSSGCGSGCGGGCGGGMIIEGLKTSHAKFGGCGSGCGSGCGGGCNSIMFNASTKASEANSGGCGSGCGGGCGGGDMLNRSS* >Brasy5G366800.1.p pacid=40078586 transcript=Brasy5G366800.1 locus=Brasy5G366800 ID=Brasy5G366800.1.v1.1 annot-version=v1.1 MRAAAIQSHILGRAPPVAVPLHTRPRRAGGGGGALLRRQGSQLRSDMQLLPLPTAVPCRARSPSSSSSSSADSGTGEGADELLEDLLQKHGEVVYSAAGGGGALANEADEDAECLSLAVSLAKVASEVKAADIRVLFVKPIVYWTEFFIILTAFSNAQIEAISSKMRDIGEQQFSRVASGDTKPNSWTLLDFGDVVVHIFLPPQREFYNLEEFYGNATPIELPFDTQLR* >Brasy5G370800.1.p pacid=40078587 transcript=Brasy5G370800.1 locus=Brasy5G370800 ID=Brasy5G370800.1.v1.1 annot-version=v1.1 MAKPQLLLCLLVAAAAAVLLVASAKKSADVSELQIGVKHKPKSCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGDGGSPPTIPGGATLIFDTELVSVNSEPATKSDDEDEL* >Brasy5G143400.1.p pacid=40078588 transcript=Brasy5G143400.1 locus=Brasy5G143400 ID=Brasy5G143400.1.v1.1 annot-version=v1.1 MVAPGGGGGSPSYRWPSEEELDIVRKKVVEISGRDTTEVRVVACPYRICPLGGHIDHQGGIVTAMTINYGVLLGFVPSDNAEVVLQSGQFKGVIRFRVDDLQKPIENPENIDWESYARGAVYALQNSGYDLTKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLALSPVDNIQLDKCIENKYLGLENGILDPSAILLSRHGYLTFMDCKTALPSYVYFSELSKSQQSQGQLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLCALGCEDASSILRNVEPGVYESQKCILEENLARRAEHYFSEMKRVVKGREAWARGNLQEFGQLVSASGRSSIVNYECGSKEMIQLHDILLKAPGVLGARFSGAGFRGCCLAIVDRDQAEEAAAYVRAEYEKAQPELVSKIPADRRVLVCEPGDSARVILPDQA* >Brasy5G143400.2.p pacid=40078589 transcript=Brasy5G143400.2 locus=Brasy5G143400 ID=Brasy5G143400.2.v1.1 annot-version=v1.1 MECFLVLCPLIMLSALYLLLVRLLFFQVVLQSGQFKGVIRFRVDDLQKPIENPENIDWESYARGAVYALQNSGYDLTKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLALSPVDNIQLDKCIENKYLGLENGILDPSAILLSRHGYLTFMDCKTALPSYVYFSELSKSQQSQGQLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLCALGCEDASSILRNVEPGVYESQKCILEENLARRAEHYFSEMKRVVKGREAWARGNLQEFGQLVSASGRSSIVNYECGSKEMIQLHDILLKAPGVLGARFSGAGFRGCCLAIVDRDQAEEAAAYVRAEYEKAQPELVSKIPADRRVLVCEPGDSARVILPDQA* >Brasy5G102800.1.p pacid=40078590 transcript=Brasy5G102800.1 locus=Brasy5G102800 ID=Brasy5G102800.1.v1.1 annot-version=v1.1 MTRGNHRLAVIDVRYELTYPLALAALPIRELLIFFLGCRGLEGMRRGAIRRPSWGRTTSPAVSSRRKGGLAGSGSLQAVGGGGRREEAGGRARGRGRRSAGHAEEGEVGGAAMGGGSGVGSGRGGSRVGMRRPPEEEGEVRGGLASREEEWRAVGRAKLQKNPDFGLQVGLRVELTKT* >Brasy5G379700.1.p pacid=40078591 transcript=Brasy5G379700.1 locus=Brasy5G379700 ID=Brasy5G379700.1.v1.1 annot-version=v1.1 MPRPRVGGQDIWSWPYEKTGLYSVKSEYRMLVNHISAVDDNEGTSMSDKRFSFKMPRLHPRSWIGDVLVGSKLKKKEKALAVTIMWSIWHSRNKFVHGEQIYQPLQSMQLVEEQLANLEMPLHGRKQKSEPHKWRPPLSGWMRWALSSLLGVFCRRVCAIPLSVDCWHAGKAWKKLRNAALPE* >Brasy5G358600.1.p pacid=40078592 transcript=Brasy5G358600.1 locus=Brasy5G358600 ID=Brasy5G358600.1.v1.1 annot-version=v1.1 MAGTSDRGSIMEDWMAMPPTPSPRTLMSSFLNEDFSSGPFSNLFSENGSNKPHDHSEKRGEFVDLRDQVPAQSAEATLQKDISLEPNLFNANQKPNPHGGLAERMASRAGFSIPKIDTSRVGSSTVIRSPIAIPPGLSPTTLLESPVFLYNSMAQLSPTTGKLPFPATNANSTIPPAARMNEDHTFSNDVFSFQPHLGSKAPSFSTVEKGYNACPSNQSLSNIHQRESSLQSSFTAVKDTADETIVKPKTSDSMFGDDHSYSEEQEDDETDQNGEYSSVTISTPDEDGYNWKKYGPKQVKSTEYPRSYFKCTHPNCPVKKKVERSQVGQITEIIHKGTHNHPLPPLNPHSGVPLSHISDPQVNARKNPGLQAGLNSASLWENGKSGCIQDVQSEGVDARPATRLPVSAYGDTSIVESQDAVDVSSTLSNEEIDRATHGTVSLDSDGGEDETESKRRKLDALATATITAAAATTSTTSTIDMVTAASRSVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHASSGSGSAPASVPQVNLSHRRQEQAQGSFGQFGGSTPFGSFGLPPRGHLGAAGNFRFGMVPPGMSIPMPAAHQQSMMQGYPGLMMPEGQPKTEPGPQSGFPEANAASSAYQQMMSRPPFGSQM* >Brasy5G358600.3.p pacid=40078593 transcript=Brasy5G358600.3 locus=Brasy5G358600 ID=Brasy5G358600.3.v1.1 annot-version=v1.1 MAGTSDRGSIMEDWMAMPPTPSPRTLMSSFLNEDFSSGPFSNLFSENGSNKPHDHSEKRGEFVDLRDQVPAQSAEATLQKDISLEPNLFNANQKPNPHGGLAERMASRAGFSIPKIDTSRVGSSTVIRSPIAIPPGLSPTTLLESPVFLYNSMAQLSPTTGKLPFPATNANSTIPPAARMNEDHTFSNDVFSFQPHLGSKAPSFSTVEKGYNACPSNQSLSNIHQRESSLQSSFTAVKDTADETIVKPKTSDSMFGDDHSYSEEQEDDETDQNGEYSSVTISTPDEDGYNWKKYGPKQVKSTEYPRSYFKCTHPNCPVKKKVERSQVGQITEIIHKGTHNHPLPPLNPHSGVPLSHISDPQVNARKNPGLQAGLNSASLWENGKSGCIQDVQSEGVDARPATRLPVSAYGDTSIVESQDAVDVSSTLSNEEIDRATHGTVSLDSDGGEDETESKRRKLDALATATITAAAATTSTTSTIDMVTAASRSVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHASSGSGSAPASVPQVNLSHRRQEQAQGSFGQFGGSTPFGSFGLPPRGHLGAAGNFRFGMVPPGMSIPMPAAHQQSMMQGYPGLMMPEGQPKTEPGPQSGFPEANAASSAYQQMMSRPPFGSQM* >Brasy5G358600.2.p pacid=40078594 transcript=Brasy5G358600.2 locus=Brasy5G358600 ID=Brasy5G358600.2.v1.1 annot-version=v1.1 MAGTSDRGSIMEDWMAMPPTPSPRTLMSSFLNEDFSSGPFSNLFSENGSNKPHDHSEKRGEFVDLRDQVPAQSAEATLQKDISLEPNLFNANQKPNPHGGLAERMASRAGFSIPKIDTSRVGSSTVIRSPIAIPPGLSPTTLLESPVFLYNSMAQLSPTTGKLPFPATNANSTIPPAARMNEDHTFSNDVFSFQPHLGSKAPSFSTVEKGYNACPSNQSLSNIHQRESSLQSSFTAVKDTADETIVKPKTSDSMFGDDHSYSEEQEDDETDQNGEYSSVTISTPDEDGYNWKKYGPKQVKSTEYPRSYFKCTHPNCPVKKKVERSQVGQITEIIHKGTHNHPLPPLNPHSGVPLSHISDPQVNARKNPGLQAGLNSASLWENGKSGCIQDVQSEGVDARPATRLPVSAYGDTSIVESQDAVDVSSTLSNEEIDRATHGTVSLDSDGGEDETESKRRKLDALATATITAAAATTSTTSTIDMVTAASRSVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHASSGSGSAPASVPQVNLSHRRQEQAQGSFGQFGGSTPFGSFGLPPRGHLGAAGNFRFGMVPPGMSIPMPAAHQQSMMQGYPGLMMPEGQPKTEPGPQSGFPEANAASSAYQQMMSRPPFGSQM* >Brasy5G358600.4.p pacid=40078595 transcript=Brasy5G358600.4 locus=Brasy5G358600 ID=Brasy5G358600.4.v1.1 annot-version=v1.1 MAGTSDRGSIMEDWMAMPPTPSPRTLMSSFLNEDFSSGPFSNLFSENGSNKPHDHSEKRGEFVDLRDQVPAQSAEATLQKDISLEPNLFNANQKPNPHGGLAERMASRAGFSIPKIDTSRVGSSTVIRSPIAIPPGLSPTTLLESPVFLYNSMAQLSPTTGKLPFPATNANSTIPPAARMNEDHTFSNDVFSFQPHLGSKAPSFSTVEKGYNACPSNQSLSNIHQRESSLQSSFTAVKDTADETIVKPKTSDSMFGDDHSYSEEQEDDETDQNGEYSSVTISTPDEDGYNWKKYGPKQVKSTEYPRSYFKCTHPNCPVKKKVERSQVGQITEIIHKGTHNHPLPPLNPHSGVPLSHISDPQVNARKNPGLQAGLNSASLWENGKSGCIQDVQSEGVDARPATRLPVSAYGDTSIVESQDAVDVSSTLSNEEIDRATHGTVSLDSDGGEDETESKRRKLDALATATITAAAATTSTTSTIDMVTAASRSVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHASSGSGSAPASVPQVNLSHRRQEQAQGSFGQFGGSTPFGSFGLPPRGHLGAAGNFRFGMVPPGMSIPMPAAHQQSMMQGYPGLMMPEGQPKTEPGPQSGFPEANAASSAYQQMMSRPPFGSQM* >Brasy5G026900.1.p pacid=40078596 transcript=Brasy5G026900.1 locus=Brasy5G026900 ID=Brasy5G026900.1.v1.1 annot-version=v1.1 MDLEHGKKPSAHPAPCTVQQVKDKLVSLRPVVLRASALLATTVAAAVMGLNRQSYTAVVAIVGTKPLSQTFTAQFKDTPAFVYFVIANAIASLYNLVVLAMRRLVQGRVQRLVLHMSDMVIMVLLATGAATAASMAELGRNGNLHAHWNPMCVKFGSFCNRGGLSIVSSFIGVALMVALNLLSAAANTPHGSVAGQ* >Brasy5G185900.1.p pacid=40078597 transcript=Brasy5G185900.1 locus=Brasy5G185900 ID=Brasy5G185900.1.v1.1 annot-version=v1.1 MTRYSFGLERRLLTISGMLRDMHARLQHNRCRMEILEERLLEAAAEEAINYTNNQAQAAIEANNTMAEVSVQAAQEQAQNAIEAHAAAATERERDRVIARCRRNYYLEHGRRSTIRSAPVPRRRRLTCRAIVSPPLALPAPPTPLAVQPPPVEDSQEDEIEPEEVIPATPEPRFELHFVSAVDYPYP* >Brasy5G026300.1.p pacid=40078598 transcript=Brasy5G026300.1 locus=Brasy5G026300 ID=Brasy5G026300.1.v1.1 annot-version=v1.1 MYAMLRRAEPLSRRAASAIAAALIHRPAAAASARSPLPLAPAATWFHSSPAWLGFRETGAARAAARAEFAADDGWGYEEEKRPAGAGGGGGVKEEGLEVAKLGISDEIVTRLAARGITRLFPIQRAVLEPAMQGQDMIGRAKTGTGKTLAFGIPILDAIIKHNKKHQPGRFPLAICLAPTRELAKQVDKEFVDSSPLKTLCVYGGTPIQHQIRELQYGVDIVVGTPGRVIDLLKRGALNLSMVQFVVLDEADQMLSVGFDEAVEEILQSVPVKRQTLMFSATMPTWIRKLTQKYLKNPATVDLVGEDDQKLAEGISLLSIATENHAKPAVLAQLIQDHAKGGKCIVFTQTKRDADRLAFTMGRSVQCQPLHGDISQAQRERTLAGFRDGRFNTLIATDVAARGLDIPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGNAILMHSYQQSRSVRGIEQDVGGKFKELPKINVEGSDLTMASGFDSYGSGGGGFGRNGGGSRGFGGRSGGFGNSSSRGGGFGDSGFGRSGGGYGRSGGGFSDSGSGNSGGGGGFGRSGGGGGFGRSGGGGGFSESGFGRSSGSGGGFGDTGSGRSGGFGGSGSGGFGGGFGSFGSKS* >Brasy5G052500.1.p pacid=40078599 transcript=Brasy5G052500.1 locus=Brasy5G052500 ID=Brasy5G052500.1.v1.1 annot-version=v1.1 MRSMSSMAAGAAVRILSRRMVRPWTNGDLPLPPSEDIHLTPWASTRYIHKGLLLPKPKAGDDGERVVDTLASSLSRALGRYYHLAGRLAVEAHGDGTVTVPLRCTGEGAELVHAAAPGSRYTHELAVSEFFPLNGVLNVDAAMEPSLPVLSAQVTELADGVFVAMSMNHSVGDGTVFWELFNAWSEINRGKGDENNEPVVQHGRWFVDTSPVPIPLPLSELQRRRSIVEPPAQLQLKECFFDFSAASVKKLKAQANAEMPTMGTGTAATTISSLQALLAHLWRAVCRARRLQPAQDTTYVLAMGCRGRVSAIPGGAGYVGNAVAPWKACCAAGDVMGRGLGWTLNRAVASFDEAGMAEFLGRWAREPTFASLGALSGAGAGLATGGSPRFDAFGNDFGWGSEINQQGEAVGRAGRGWELDGREGGGSMSLDVCLKPDAMERLVADHEFMDAVSPRRGD* >Brasy5G390600.1.p pacid=40078600 transcript=Brasy5G390600.1 locus=Brasy5G390600 ID=Brasy5G390600.1.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTEPVCCPCGSQHRVPSSCGSFFDKMVKGKANTAHCVRFPGDWFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.2.p pacid=40078601 transcript=Brasy5G390600.2 locus=Brasy5G390600 ID=Brasy5G390600.2.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.3.p pacid=40078602 transcript=Brasy5G390600.3 locus=Brasy5G390600 ID=Brasy5G390600.3.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTEPVCCPCGSQHRVPSSCGSFFDKMVKGKANTAHCVRFPGDWFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.4.p pacid=40078603 transcript=Brasy5G390600.4 locus=Brasy5G390600 ID=Brasy5G390600.4.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.5.p pacid=40078604 transcript=Brasy5G390600.5 locus=Brasy5G390600 ID=Brasy5G390600.5.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTEPVCCPCGSQHRVPSSCGSFFDKMVKGKANTAHCVRFPGDWFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.6.p pacid=40078605 transcript=Brasy5G390600.6 locus=Brasy5G390600 ID=Brasy5G390600.6.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVYVFQEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.7.p pacid=40078606 transcript=Brasy5G390600.7 locus=Brasy5G390600 ID=Brasy5G390600.7.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTEPVCCPCGSQHRVPSSCGSFFDKMVKGKANTAHCEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.8.p pacid=40078607 transcript=Brasy5G390600.8 locus=Brasy5G390600 ID=Brasy5G390600.8.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.9.p pacid=40078608 transcript=Brasy5G390600.9 locus=Brasy5G390600 ID=Brasy5G390600.9.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTEPVCCPCGSQHRVPSSCGSFFDKMVKGKANTAHCVRFPGDWFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.10.p pacid=40078609 transcript=Brasy5G390600.10 locus=Brasy5G390600 ID=Brasy5G390600.10.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVYVFQEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.11.p pacid=40078610 transcript=Brasy5G390600.11 locus=Brasy5G390600 ID=Brasy5G390600.11.v1.1 annot-version=v1.1 MAPPSAARPAPPAALLLLLLLLAAAATALFAPAGGVEILSKSRVERCARDSGAGGRLACDRKIVLNVAVPSGSTGGEAAMVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.12.p pacid=40078611 transcript=Brasy5G390600.12 locus=Brasy5G390600 ID=Brasy5G390600.12.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.13.p pacid=40078612 transcript=Brasy5G390600.13 locus=Brasy5G390600 ID=Brasy5G390600.13.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWESDNNRINRKQQPQYVVQGRFERINQHPHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.14.p pacid=40078613 transcript=Brasy5G390600.14 locus=Brasy5G390600 ID=Brasy5G390600.14.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.15.p pacid=40078614 transcript=Brasy5G390600.15 locus=Brasy5G390600 ID=Brasy5G390600.15.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVFHVFGIGKWSPGYSIRIQVKKGSSVTEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.16.p pacid=40078615 transcript=Brasy5G390600.16 locus=Brasy5G390600 ID=Brasy5G390600.16.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVYVFQEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTFDCLSGITYVEEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G390600.17.p pacid=40078616 transcript=Brasy5G390600.17 locus=Brasy5G390600 ID=Brasy5G390600.17.v1.1 annot-version=v1.1 MVAQVVEVEENDTQAMQTIRDPPVITINKSATYAVYELNYIRDVAYKPEEQFVKTRKCESDAGAEVVRECERLRDQNGHIIEHTESAVHVGPNTVFLLLVDHFLIKWLKAKLIRLTVYVFQEIIVGPENKTVVSKDNFLRVNLIGDFGYTSVPTFDDFYLVTPRKGDDGGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRHQPNFCSNPFWSCLYNQLWNFWEHAGVHTFSVGITESVNTNLLIELSADDIDYVYQRSPGKIISINVPTFEALSQVGTAQVTVKNIGKLEASYSLTEQYFILKPDEAVIRSFYLRPSTDQASKYRCAAILKASDFSELDRAECQFSTTATVLDNGTQIGPTNQHAKGGIRGFFEAIKALWRNTWDTVIDFFTGRSCSTRCSSFFDLSCHIQYICIGWLVMFGLLLAMLPAVAVLVWLLHQKGLFDPLYDCWEDVFGPETTRGGPHAKHTKRGQGGNHAAHHHHDHGRYQHAHKNKGRSGEGLGGHHHHHVLHRHGDPAGEGDERHHRRRAAALGVQHRDGHKHHHRHGKAVQREKDGGGAEHKEHRGHHEGHGGRDRHHSRAV* >Brasy5G228000.1.p pacid=40078617 transcript=Brasy5G228000.1 locus=Brasy5G228000 ID=Brasy5G228000.1.v1.1 annot-version=v1.1 MEIKLQLALAVLLLLFVGSNCAAGAASSSSSTAPAAVPLSVEALRRRPTAATARRMLLQPGKETNEFHVGGSTGARRPAMAAKGGEGFDASMRPIPNSNSNRKHN* >Brasy5G368300.1.p pacid=40078618 transcript=Brasy5G368300.1 locus=Brasy5G368300 ID=Brasy5G368300.1.v1.1 annot-version=v1.1 MARPPLLLLLLVSACAAAAAVADDLAMRIRFEQWMGKHGRAYANGGEKQRRFEVYKKNVELIEEFNSGGHGYTLTDNKFADLTNDEFRAKMLGLGADPDRRRRRARHAPNALELPVTMPEDQDNDNSTDLPKDVDWRKKGAVVEVKNQGSCGSCWAFSAVAAMEGLNQIKNGKLVSLSEQELVDCDAEAVGCAGGFMSWAFEFVMANHGLTTEASYPYKGVNGACQTAKLNESSVSIKGYVNVTVNSEAELLKAAAVQPVSVAVDAGGFVFQLYAGGVFSGPCTAQINHGVTVVGYGETDKAEKYWIVKNSWGPEWGEAGYMLMQRDAGVPTGLCGIAMLASYPVM* >Brasy5G176600.1.p pacid=40078619 transcript=Brasy5G176600.1 locus=Brasy5G176600 ID=Brasy5G176600.1.v1.1 annot-version=v1.1 MRRRGVDLINVALPEELLEEVLLRVAGAKRDLDACALVCRRWRRLERGTRRSAKLPASGAGANELAGLVAETFPALVDVRVDERLSAGPGLVADPAPGSRRSRVSGSTSARRRRMSRSRWSFPSDQTANGDGIEGNHFTDVGLKNLAEGCRGLEKLSLKWCTNITSTGLVRISENCKNLTSLDIEACYIGDPGLVAIGEGCKRLNNLNLNYVEGATDKGLIGLIKNCGPSLISLGVTICAWMTDASLRVVGSHCPNLEILSLEAEHVKNEGVISVAKGCRLLKTLKLQCVGAGDEALEAIGSYCSFLESFSLNNFERFTDRSLSSIAKGCKNLTDLVLSDCQLLTDKSLEFVAHSCKKIARIKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLELGRGCSLLRSLHLVDCSRISDDAICHIAQGCKNLTELSIRRGYEIGDKSLISVAKNCKSLKVLTLQFCERVSDTGLSAIAEGCSLQKLNLCGCQLITDDGLTAIARGCPDLIFLDIGVLRIVGDMALAEIGEGCPQLKEIVLSHCPEVTDVGLGHLVRGCLQLQECHMVYCKRITSTGVATVVSSCPRLKKLFVEEAKVSERTRRRAGPVLTFRCTGL* >Brasy5G176600.2.p pacid=40078620 transcript=Brasy5G176600.2 locus=Brasy5G176600 ID=Brasy5G176600.2.v1.1 annot-version=v1.1 MRRRGVDLINVALPEELLEEVLLRVAGAKRDLDACALVCRRWRRLERGTRRSAKLPASGAGANELAGLVAETFPALVDVRVDERLSAGPGLVADPAPGSRRSRVSGSTSARRRRMSRSRWSFPSDQTANGDGIEGNHFTDVGLKNLAEGCRGLEKLSLKWCTNITSTGLVRISENCKNLTSLDIEACYIGDPGLVAIGEGCKRLNNLNLNYVEGATDKGLIGLIKNCGPSLISLGVTICAWMTDASLRVVGSHCPNLEILSLEAEHVKNEGVISVAKGCRLLKTLKLQCVGAGDEALEAIGSYCSFLESFSLNNFERFTDRSLSSIAKGCKNLTDLVLSDCQLLTDKSLEFVAHSCKKIARIKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLELGRGCSLLRSLHLVDCSRISDDAICHIAQGCKNLTELSIRRGYEIGDKSLISVAKNCKSLKVLTLQFCERVSDTGLSAIAEGCSLQKLNLCGCQLITDDGLTAIARGCPDLIFLDIGVLRVCTIYLPKFENAKWPLLQLLSKLGWET* >Brasy5G467000.1.p pacid=40078621 transcript=Brasy5G467000.1 locus=Brasy5G467000 ID=Brasy5G467000.1.v1.1 annot-version=v1.1 MAMSAAALLPGLLPTPPRSTMLTPCIVILPPAASNPKHPKPGRADSDERWDAHKNSKPRSPASSSCGSTSPGRADSCLRWDINKKKKVIPDSSSASSSSMSISGDSRASSADRWDAHKKPARDAVISDAESRTGDQAQAQQMSEDKEEEEETMLMEMEETAAAPCFVDRRVLFSGPSFFVTSPEPSMLPMPTFVLSLLEFGGPDQPKPKF* >Brasy5G319300.1.p pacid=40078622 transcript=Brasy5G319300.1 locus=Brasy5G319300 ID=Brasy5G319300.1.v1.1 annot-version=v1.1 MLPARFMKLSLLRRLGAVRAAEVPPPWQTRLFPVRGYQPRGYSTGGSSKYDRPMRQPVNESSPRPLIYYIVPSAVLAFAGLATYVHYNDEKRMVPLGAQQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSSPDAGPAEVQKIADVVKLLESKYDIKIKPLFITIDPQRDSPAQLKEYLSEFDPRIIGLTGSISAVRQIAQEYRIFFKKVDEVGQDYVVESSHNMYLLDPCLETVRCFGAEYEASDLAEAITMEVQKASSSSTN* >Brasy5G319300.2.p pacid=40078623 transcript=Brasy5G319300.2 locus=Brasy5G319300 ID=Brasy5G319300.2.v1.1 annot-version=v1.1 MLPARFMKLSLLRRLGAVRAAEVPPPWQTRLFPVRGYQPRGYSTGGSSKYDRPMRQPVNESSPRPLIYYIVPSAVLAFAGLATYVHYNDEKRMVPLGAQQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSSPDAGPAEVQKIADVVKLLESKYDIKIKPLFITIDPQRDSPAQLKEYLSEFDPRIIGLTGSISAVRQIAQEYRIFFKKVDEVGQDYVVESSHNMYLLDPCLETVRCFGAEYEASDLAEAITMEVQKASSSSTN* >Brasy5G319300.3.p pacid=40078624 transcript=Brasy5G319300.3 locus=Brasy5G319300 ID=Brasy5G319300.3.v1.1 annot-version=v1.1 MLPARFMKLSLLRRLGAVRAAEVPPPWQTRLFPVRGYQPRGYSTGGSSKYDRPMRQPVNESSPRPLIYYIVPSAVLAFAGLATYVHYNDEKRMVPLGAQQTSVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSSPDAGPAEVQKIADVVKLLESKYDIKIKPLFITIDPQRDSPAQLKEYLSEFDPRIIGLTGSISAVRQIAQEYRIFFKKVDEVGQDYVVESSHNMYLLDPCLETVRCFGAEYEASDLAEAITMEVQKASSSSTN* >Brasy5G329400.1.p pacid=40078625 transcript=Brasy5G329400.1 locus=Brasy5G329400 ID=Brasy5G329400.1.v1.1 annot-version=v1.1 MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQQAAAGEGGAATSSAGGAAGAAAAAAVPKGQWERRLQTDIHTARQALRDALSVEPSPAPAPAKEEPGKKTMPMPMPMPMPMPMPMLMPTPAPTTTTYASSAENIARLLEGWMRPAASKGPEASGSASSTTHPPQLQCSSWEGATSASQSAGAAHTPEGSTETSHKTGGGGGAAPAFSMLESWLLDDGMGHGDDGEAGLMDDVVPLGDPSEFF* >Brasy5G061800.1.p pacid=40078626 transcript=Brasy5G061800.1 locus=Brasy5G061800 ID=Brasy5G061800.1.v1.1 annot-version=v1.1 MVSGCVMTEDCPLSVSAERVWKVAFSGAGSHSALRKACAGFIDAVDVNGDGGPGSVTTMTLSPAVAAGFGGAATMKSRVVSRDAAAMAIRTEVLEGGRVSGLLKSQVAEVRLVEAGDAGCVAKLTVEYERTDGGGALSAEDQASLAAGYLGLLKKVEAYLVANPAE* >Brasy5G519600.1.p pacid=40078627 transcript=Brasy5G519600.1 locus=Brasy5G519600 ID=Brasy5G519600.1.v1.1 annot-version=v1.1 MAMATGPAANVHQLKDDLTWSSKEDAMELVPMPKPIPAIINPVLLSSACLGSSEELTCLFKQEDEGRPPSMIPSREFIDSIVKEGSSTTTTTNKDTVEEGIDQPVLPAAAAPPLLKGVTIAGDTALHVVASHGDDEEFLKCADIIYNRAKHLLFAKNSKGDTPLHCAVRAGKSRMVSHLIALATSEDDGQETDHRKHKLLREVNGLQETALHDAVRIGDENMVEKLMKLDPELANYPKDQGVSPLYLAILLRMYTIAETLHRQSNGNLSYSGPNGQNALHIAILRNTVVTKQVLECNKSLTIQRDGDGSTPLHFASSLYVPRCWRWSLHLQRTTPWFHFLWHPTPSMWVLMEVFKANPAALCQADNKGFSPVHVAACVGATAIIKYFLAKCPNSAGLCDAKGRTFLHVAVENEKSNVVSFVCRTRFLGWILNMQDNDGNTALHLAVQDGKFIIFCTLLANPEVQLNLPNNCGETPYDLSRSKLPRGMGYTMNTENQIWKALQYFRANCSALRGDKSNEKYSRREKPEDIVRESDKVKGATETLSIGSVLIATVTLGVNFALPGGLRADDHTNGGTPTLAGTFAFDAFMMANTLAFICSSIATIGFMFSGTPIVNLTTRKFNLVIAILFMSSSVTSMSAAFALGVYMVLAPVAHKTAVAICVIIPFGGLYTHVDGLSKRILLARPLCVRNGLFPGMVRTSFHIFDLAFTALWPFIIIFGWAAFARSHH* >Brasy5G268000.1.p pacid=40078628 transcript=Brasy5G268000.1 locus=Brasy5G268000 ID=Brasy5G268000.1.v1.1 annot-version=v1.1 MAHVRPLLLLAIMFAAAANAMATTPMSDGTTLPPVPDTLVLPPLPAPADMPACLQDLAVCASVYQDSSKLAPCCSSVKKVFRSDKACICSALSEAQKALEQLKQQPGMNATALDGLEMFRQCKMPTDSCDPAKPAGYQNVGNAAPGARSIGRFDIMLLLPLFFVL* >Brasy5G303400.1.p pacid=40078629 transcript=Brasy5G303400.1 locus=Brasy5G303400 ID=Brasy5G303400.1.v1.1 annot-version=v1.1 MPLSVYGVVAARDVGDHNRNLLFSCDRSMSQKLNQDDSSLCLVGPSRAIVFRKPVDFEVQLRVKGRTMSRDRPLISRLCQYTEWQTGVGVSTLCLENCFCKIEMCMERVERTVQSTILSVRVKDESWPFEYGGRVVCLLSRTAGHKVSFFTNPSSTEIVLLDSRGKAIPNCSYGYLCLSRKVVSVQLGGTLKFVIQTNSPSGDVAAQGEVCFVGKTFNVSQSTCFLGDTKVEVEITVAWSFLVSDKESIASQGWEFEATEQLDHIMSWKLQ* >Brasy5G249000.1.p pacid=40078630 transcript=Brasy5G249000.1 locus=Brasy5G249000 ID=Brasy5G249000.1.v1.1 annot-version=v1.1 MGIFFSTPKAYKRAGEVDLGPGSDELYITPNVKAPRVAGLPVKLFAWVLEAPVVGAAVLHVLKRDNLINKLVSDAEIPEPPLFAPAHTCQDIIPEQNVITTEPGLSPAERVQEAVGCLPESPVADPSVPGFRRWTIMDFARAYGSGQITPVTVARRFLEAAEECSSSGPGPDMAMFISYSPEDIIRQAEESTIRHQQGAALSAMDGVLVAVKDEIDCMPYQTTGGTRWLGKLRSCRLDASCVARLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHDPRRVSGGSSGGSAAAVCAGLCPVALGADGGGSIRMPAALCGVVGLKPTAGRVSTYGLLPLNWTVGTAGILAATVEDALLAYAAIVDQPPGQRQAQLHFPRLSLPLLTSPTGSSTMPNIRLARYAEWFDDCSDDIRRCCGEALQTLHAQYGWKTVDVTVPEVETMRLAHYVTMGSECSASVTKYLDKLDKSEIGWDVRIALSAYGSFSSRAYINSQRIRNRQMYFHKIIFETADAIVTPMTGVTAYELRHDALSTGELDYINAAALARYSIAGNFLGLPAITITVGHDRGGLPIGIQFIGRPWSEATLLHLAYATQEACSKNCKKPMVYYDLLRKK* >Brasy5G166900.1.p pacid=40078631 transcript=Brasy5G166900.1 locus=Brasy5G166900 ID=Brasy5G166900.1.v1.1 annot-version=v1.1 MNSITGVIPNTISSCSLLEVIDLGYNSITGLIPNTISSCSRLMVIRLLKNSIEGEIPPSVAHCSSLQEIILSNNNLNGSIPPGIGLLPRLAFLFLPSNKLEGSIPKSLGSSASLLRVVLGNNSLTGGIPPLLANCSSLYDLDLMKNKLNGEIPSELFNNPSLVTLDLSYNKFTGPIPSSSPIASKLQYISLADNNLTGEIPHTLGRVSSLSLLILAQNKLHGRIPESLTKIPILEVLDLAYNNLSGSVPPALYTIASLTYLGLGVNQLTGRIPSDIAYTLPNIQTLVMEGNHFDGPLPESLVNASNLQVLEIRQNAFTGSIPSLWCLPYLTQLDLGANLFEEVDWSSLSSIPGSAQLQAIYLDNNKLHGIIPSSIGNLSNSLQMLFLSENRFTGTVPSEIGKLTNLTVFQIAGNLLSGGIPDALGNLSNLFILGLSRNELSGEIPHSIGNMEKLGELYMEENNLSGSIPSSLVGCKNLIMLNLSCNSFNGSIPPGLLSIFSLSEGLDLSYNKLNGSIPYEIGSLVNLDSLNISNNQLSGEIPHAVGECLHLESLRLEVNFLHGSIPESLKSLRGITEMDLSQNNLSGEIPHYFETFSSLQLLNLSFNSLEGIVPTGGAFSNSSKVFVQGNMELCTRTPMLQLPLCTATPRTRKKALYITSIVVPLAAALVVIMSVVTAILLKKTNQPKDHIDQSLKGLKKFSYAELARATNHFSSANLVGSGSFGVVYKGTFKFQPHPVAIKVFKLDQIGAPKNFLTECEVLRNTRHRNLMRVISVCSSFDETGNEFKALVLEYMANGNLESWLHLEVYKERQKRPLSLGSRITIAMDIAAALDYLHNWCTPPLVHCDLKPSNVLIDDAMGAHVSDYGLAKFLCSHPSASLNDIASIHGPRGSVGYIAPEYGMGCEISTAGDVYSYGVILLEILTGKHPTDDIFKDGLNLHGLVVSAFPEDIGDILETGLIPYYKLEEANHDSDNENRLATGMQNCIIQMIKLGLKCSMDSPKDRPPMQDAYAEMIMIKETFSALQG* >Brasy5G502300.1.p pacid=40078632 transcript=Brasy5G502300.1 locus=Brasy5G502300 ID=Brasy5G502300.1.v1.1 annot-version=v1.1 MASSSEGKNKAPGEADSSSPKAKGEEQGSKAAVQSKPEPKKKKKKKLRFTQQQIDCWIAAGEPTWAEKLSRPGGTRCRRSCTRSARIDVLDQYYSKGYADEIDEEDEDDEDEVAPARDAGAAGRGRRRFRPGIVKRRTGRSTKKLN* >Brasy5G491800.1.p pacid=40078633 transcript=Brasy5G491800.1 locus=Brasy5G491800 ID=Brasy5G491800.1.v1.1 annot-version=v1.1 MATRPGPLTEWPWQRLGNFKYLVMAPVVVHGAHRVATKGWGDIDLAYALILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQIIFNGLLFYVGYLAMPSVRRFPLWRTDGAVMTALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFGEHVVYFTLFAIPMLSTIYMGNGSALVFVLYIVYIDFMNNMGHCNFELVPKWAFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDELYESSLKGTEETPDLVHLTHMTTLQSAYHLRIGFASIASKPSENSEWYMWTLWPLAWLSMVVAWMYGSSAFVVERIKLKKLKMQTWVIPRYNFQYALTWERESINDLIEKAILDADVRGVKVLSLGLLNQTKQLNGAGELFRQKYPKLGVQLVDGSGLATAVVLKSIPLDAKQVFLQTGTSKIARAIAITLCGRGIQVIMNRKKEYDILKPQIPENRASYLKCSSDDMPMIWLVDCIDAKEQLVAPKGTIFIPISQFPTKKVRKDCTYLSTPAMKIPEAMQNIHSCENWLPRRVMSAWHIAGVLHVLEGWSMHECGDSMMDIEKTWLAAIRHGFVPLTKA* >Brasy5G491800.2.p pacid=40078634 transcript=Brasy5G491800.2 locus=Brasy5G491800 ID=Brasy5G491800.2.v1.1 annot-version=v1.1 MATRPGPLTEWPWQRLGNFKYLVMAPVVVHGAHRVATKGWGDIDLAYALILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQIIFNGLLFYVGYLAMPSVRRFPLWRTDGAVMTALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFGEHVVYFTLFAIPMLSTIYMGNGSALVFVLYIVYIDFMNNMGHCNFELVPKWAFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDELYESSLKGTEETPDLVHLTHMTTLQSAYHLRIGFASIASKPSENSEWYMWTLWPLAWLSMVVAWMYGSSAFVVERIKLKKLKMQTWVIPRYNFQYALTWERESINDLIEKAILDADVRGVKVLSLGLLNQTKQLNGAGELFRQKYPKLGVQLVDGSGLATAVVLKSIPLDAKQVFLQTGTSKIARAIAITLCGRGIQIWLVDCIDAKEQLVAPKGTIFIPISQFPTKKVRKDCTYLSTPAMKIPEAMQNIHSCENWLPRRVMSAWHIAGVLHVLEGWSMHECGDSMMDIEKTWLAAIRHGFVPLTKA* >Brasy5G491800.3.p pacid=40078635 transcript=Brasy5G491800.3 locus=Brasy5G491800 ID=Brasy5G491800.3.v1.1 annot-version=v1.1 MAMAEAGQLQAVIHPFGEHVVYFTLFAIPMLSTIYMGNGSALVFVLYIVYIDFMNNMGHCNFELVPKWAFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDELYESSLKGTEETPDLVHLTHMTTLQSAYHLRIGFASIASKPSENSEWYMWTLWPLAWLSMVVAWMYGSSAFVVERIKLKKLKMQTWVIPRYNFQYALTWERESINDLIEKAILDADVRGVKVLSLGLLNQTKQLNGAGELFRQKYPKLGVQLVDGSGLATAVVLKSIPLDAKQVFLQTGTSKIARAIAITLCGRGIQVIMNRKKEYDILKPQIPENRASYLKCSSDDMPMIWLVDCIDAKEQLVAPKGTIFIPISQFPTKKVRKDCTYLSTPAMKIPEAMQNIHSCENWLPRRVMSAWHIAGVLHVLEGWSMHECGDSMMDIEKTWLAAIRHGFVPLTKA* >Brasy5G491800.4.p pacid=40078636 transcript=Brasy5G491800.4 locus=Brasy5G491800 ID=Brasy5G491800.4.v1.1 annot-version=v1.1 MAMAEAGQLQAVIHPFGEHVVYFTLFAIPMLSTIYMGNGSALVFVLYIVYIDFMNNMGHCNFELVPKWAFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDELYESSLKGTEETPDLVHLTHMTTLQSAYHLRIGFASIASKPSENSEWYMWTLWPLAWLSMVVAWMYGSSAFVVERIKLKKLKMQTWVIPRYNFQYALTWERESINDLIEKAILDADVRGVKVLSLGLLNQTKQLNGAGELFRQKYPKLGVQLVDGSGLATAVVLKSIPLDAKQVFLQTGTSKIARAIAITLCGRGIQIWLVDCIDAKEQLVAPKGTIFIPISQFPTKKVRKDCTYLSTPAMKIPEAMQNIHSCENWLPRRVMSAWHIAGVLHVLEGWSMHECGDSMMDIEKTWLAAIRHGFVPLTKA* >Brasy5G483100.1.p pacid=40078637 transcript=Brasy5G483100.1 locus=Brasy5G483100 ID=Brasy5G483100.1.v1.1 annot-version=v1.1 MSRLLPRITPLPRHHLRRRTQNPPISPALAASLAQVLATRSTDPAWPRALAALLPSPLPDARLADAVASLADPDHALALLSWSRSRSRSRHDDALPAATPLAHSALLRLLARAGRFDAVDATLRDMSLAGAAVPTRACLGTLVAAYADAGMGTEAAEMCQLVREHYGTLPAAAHTNRLLRLLVERCRWDDARKLYDEMLAEESGADDYSTCVMVRGLCLEGLVEKGVKVIEARWGAGCVPNTVFYNVLIDGYCRRGDVGRGILLLGEMEAKGLLPTVVTYGTLMSWLGRKGDLEKITSLLSEMRERRLSPNVQIYNGVIDALCKCRSASQALVVLKQMFAGGCDPDSITFSTLISGLCQEGRVQEAERLLRETTR* >Brasy5G322200.1.p pacid=40078638 transcript=Brasy5G322200.1 locus=Brasy5G322200 ID=Brasy5G322200.1.v1.1 annot-version=v1.1 MFNIVSSWNKRRRSKSLDQLNPWVYKTAELWQVKEQGPLPLMPPKKRSCSMVFTLKEMEEATGKFSDKNLVGKGGFGRVYRGVLKNGQIVAIKKMDLPASKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPRGNLQDVLNGIGEVRMEWGQRLRIALGAARGLAYLHSSTAVGVPVVHRDFKSSNILLTQHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQDQNLIVKIHQMVGDRKKLRKVVDRDMGKGSYTVESVSMFAGLAARCVCFDSAGRPSMQDCVKELQLIMYANMKI* >Brasy5G322200.2.p pacid=40078639 transcript=Brasy5G322200.2 locus=Brasy5G322200 ID=Brasy5G322200.2.v1.1 annot-version=v1.1 MFNIVSSWNKRRRSKSLDQLNPWVYKTAELWQVKEQGPLPLMPPKKRSCSMVFTLKEMEEATGKFSDKNLVGKGGFGRVYRGVLKNGQIVAIKKMDLPASKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPRGNLQDVLNGIGEVRMEWGQRLRIALGAARGLAYLHSSTAVGVPVVHRDFKSSNILLTQHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQDQNLIVKIHQMVGDRKKLRKVVDRDMGKGSYTVESVSMFAGLAARCVCFDSAGRPSMQDCVKELQLIMYANMKI* >Brasy5G192100.1.p pacid=40078640 transcript=Brasy5G192100.1 locus=Brasy5G192100 ID=Brasy5G192100.1.v1.1 annot-version=v1.1 MIVKPQLGRRHPLPCRRPSVRSAIAPPIDPACVITC* >Brasy5G397800.1.p pacid=40078641 transcript=Brasy5G397800.1 locus=Brasy5G397800 ID=Brasy5G397800.1.v1.1 annot-version=v1.1 MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDAPVQSDIKMWPYKVISGPADKPMIVVQYKGEDKQFSAEEISSMVLIKMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRSLRRLRTSCERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLITRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQDAEKYKSEDEEHKKKVEAKNTLENYAYNMRNTISDEKIASKLPADDKKKIEDAVDQAIQWLDNNQLAEVDEFEDKMKELEGLCNPIIAKMYQGAGADMPGGMDEDAPAASGGAGPKIEEVD* >Brasy5G079800.1.p pacid=40078642 transcript=Brasy5G079800.1 locus=Brasy5G079800 ID=Brasy5G079800.1.v1.1 annot-version=v1.1 MVYMQNKPLEEGEVALTEQQICEVVLGIAYGYIRGRGHGPKPNRRASSSTSSDAAHHMEEELSNTKELVAAQQTQLETQQNQLETQQTQIVSQQARIDWLQSVVTKLAGVSPPMDDLVAPRTSSTTASTYVESVAGPVPAPACGRDSASGWNLFRNNMNLGETVRWI* >Brasy5G085900.1.p pacid=40078643 transcript=Brasy5G085900.1 locus=Brasy5G085900 ID=Brasy5G085900.1.v1.1 annot-version=v1.1 MGTSSGANFNQQPPPQGMLPPRHGARPPSMQSFLSLASSEQVGSPEMQDPASNSDQGHDSATESASSRETWPVEPEHSNAAAASGGGGGARTVDREKDVGNGIPKLQVIRGTSRIDRISLREVARERVDLVAEKMKVMPEEHLEEIKNELRSILEGTGGPHHIEEFLYLQKFVQGREDLTPTMLSLAHHVQLQILAAIKTGIQAFLHPSVNIPQSHLVEVFLYKRCRNIACRSALPAEECRCSVCANRNGFCNLCMCVICNKFDFEVNTCRWVGCDFCSHWTHTDCAIRDGQIGSGQSVKSSSGKAEMLFRCQACHKASELFGWVKDVFQQCATGWDRDALLRELDFVCKIFRVSEDIKGRILFRKCANLIDRLRNSPPDSFSPRIILHALQEFEIDLQKSSESEEPGHLITPQEACNRIAEVVQEAVRKMELVAEEKMQLYKRARLAVEACDRELDEKARQVQEIKAERLRGKQQVEELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKLQDGHRPPQASSSVAADPSQAPSQALMLSKIQDLLKNVRSMPAKSEGQSK* >Brasy5G140700.1.p pacid=40078644 transcript=Brasy5G140700.1 locus=Brasy5G140700 ID=Brasy5G140700.1.v1.1 annot-version=v1.1 MGTCKRSSVVRGLLPLVGLLLVLTGPVLAAGVAEALQRELSCDWTDCEKFEGMQWRICMMHCDPWLARKAEVGRGRKEESGTVGKKKKNVRATECHSHCRSKQGDEWKQCMITCKWKLWTVEGEVKSGGRVMACSDCDEYEGR* >Brasy5G056200.1.p pacid=40078645 transcript=Brasy5G056200.1 locus=Brasy5G056200 ID=Brasy5G056200.1.v1.1 annot-version=v1.1 MDPSWLAIHFAPRPPPKTPARTSPAPLRLSSPRPLLMEATAASSRPLFLLAPSPPLASTVRLALGVGRRRLVAVGARKRKGQDGDERVDTHSFAPKDGEVTGPFPEAVLLRKKKVKEDGEASPEFADAEEEKLYEFLNIELESGLNLQRMRHYEVVYLIHEDRVEEVEDVMSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYVLMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRTQQYMDDEYVYEEVEKVEDGYDEDGVESSGNVDNDFEAGDEPEIILVDEVDDDNTEDLRRRNRKAKLEKYTMEKVLR* >Brasy5G056200.4.p pacid=40078646 transcript=Brasy5G056200.4 locus=Brasy5G056200 ID=Brasy5G056200.4.v1.1 annot-version=v1.1 MDPSWLAIHFAPRPPPKTPARTSPAPLRLSSPRPLLMEATAASSRPLFLLAPSPPLASTVRLALGVGRRRLVAVGARKRKGQDGDERVDTHSFAPKDGEVTGPFPEAVLLRKKKVKEDGEASPEFADAEEEKLYEFLNIELESGLNLQRMRHYEVVYLIHEDRVEEVEDVMSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYVLMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRTQQYMDDEYVYEEVEKVEDGYDEDGVESSGNVDNDFEAGDEPEIILVDEVDDDNTEDLRRRNRKAKLEKYTMEKVLR* >Brasy5G056200.3.p pacid=40078647 transcript=Brasy5G056200.3 locus=Brasy5G056200 ID=Brasy5G056200.3.v1.1 annot-version=v1.1 MDPSWLAIHFAPRPPPKTPARTSPAPLRLSSPRPLLMEATAASSRPLFLLAPSPPLASTVRLALGVGRRRLVAVGARKRKGQDGDERVDTHSFAPKDGEVTGPFPEAVLLRKKKVKEDGEASPEFADAEEEKLYEFLNIELESGLNLQRMRHYEVVYLIHEDRVEEVEDVMSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYVLMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRTQQYMDDEYVYEEVEKVEDGYDEDGVESSGNVDNDFEAGDEPEIILVDEVDDDNTEDLRRRNRKAKLEKYTMEKVLR* >Brasy5G056200.2.p pacid=40078648 transcript=Brasy5G056200.2 locus=Brasy5G056200 ID=Brasy5G056200.2.v1.1 annot-version=v1.1 MDPSWLAIHFAPRPPPKTPARTSPAPLRLSSPRPLLMEATAASSRPLFLLAPSPPLASTVRLALGVGRRRLVAVGARKRKGQDGDERVDTHSFAPKDGEVTGPFPEAVLLRKKKVKEDGEASPEFADAEEEKLYEFLNIELESGLNLQRMRHYEVVYLIHEDRVEEVEDVMSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYVLMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRTQQYMDDEYVYEEVEKVEDGYDEDGVESSGNVDNDFEAGDEPEIILVDEVDDDNTEDLRRRNRKAKLEKYTMEKVLR* >Brasy5G358300.1.p pacid=40078649 transcript=Brasy5G358300.1 locus=Brasy5G358300 ID=Brasy5G358300.1.v1.1 annot-version=v1.1 MADPAWPPRAAQPGRRLPPVKPRAARRRGSVWAWHGCPRPWLPPHLSLGRRPHPPAGGTEPPPCSPDVRRPCCPHLRPLGLHSDVVPGSTAAAVVLDMLVFFLLR* >Brasy5G461100.1.p pacid=40078650 transcript=Brasy5G461100.1 locus=Brasy5G461100 ID=Brasy5G461100.1.v1.1 annot-version=v1.1 ETEDGTEYDSTEDTQASSGTKSATSRTSAKTLYWIIKKFNEVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNYAIVIDAIRALPFEPIDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGIPGNRISLKEAEKIVLMELTPESTRLQQDSFKMAYVIILIGHMLSPSTKYDHVNPDFLGALRCTEEIGQYNWCAYVLKGIIDAARRLQDDIGQKKVVSAIAGCHIFLQIHYLDNLVLGPLQPPKNIFPRCKAFPTDVLNKLILADTKPGGGYGSKQFNARGTSRQPMTSIPHPEKTPAATTSATTPPALPPVPANSSASVPISAATLPRFLRDKYPALSNSAVAVAFKKYNANMTRAMHERHAAEKTSTLEHNVWLADQVFGLIHSTNQENQPSLSAARTESDSKDCGVESTPKRCSTETNDPAMKKAKSNTSDATRSFFNHMDFDPPSFELGIDAIQTLTERNVTPLAASNDVSIRTPPATTLTPCSPAATKMYNETVMADLIFRTDYLDCPESRVLFGHCSVSPPDKRRTRVGQFAPSPWSDGYIHPKPDIDLMISLMDWCTDAGAQYMNITWLSVEFPRFITVKGSHVRDQLVRSDMLDFEMCDLLVRRLTQLDTYMAPNSCKMRWRHLLESDFSVYVLAAGDVTSALSIQQQFIGNSVQYNMSCIRMFAVPSFVNEYWSAYMFDMKEEIIHVLDPFLEQDSTGKIKDLHVHTSGLIHEKLFDCLNSLFENWNPRKNVWPLHFPVLTADTFEKYGHPSFQL* >Brasy5G312600.1.p pacid=40078651 transcript=Brasy5G312600.1 locus=Brasy5G312600 ID=Brasy5G312600.1.v1.1 annot-version=v1.1 MAPPPHKEGEVATPAALRAPAHVIARVFSQLDCVDLLTCSLVCRQWYRDSAELREEWRKEYLETWNLQGLSFQRQTQPPCPTCSIRSLRTWCP* >Brasy5G422800.1.p pacid=40078652 transcript=Brasy5G422800.1 locus=Brasy5G422800 ID=Brasy5G422800.1.v1.1 annot-version=v1.1 MAAMASSSITATLRSLTAAAPASLLKPAPAPFLVLLSPTLPRQLHLRTTRARFPLAPLAASDSFESSTAVDFAEPSADAEDTEEFAVEDEAVEAVAEEVVTEEVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGVVEVSEVIYNRETDQSRGFGFVTMSTIEEAEKAVEMFHRYDVGGRLLTVNKAAPRGARVERPARDFGGSSFRIYVGNLPWQVDDSRLVQLFSEHGKVADARVVYDRETGRSRGFGFVTMASQEELDDAIAALDGQSLEGRALRVNVAEERPPRRF* >Brasy5G498900.1.p pacid=40078653 transcript=Brasy5G498900.1 locus=Brasy5G498900 ID=Brasy5G498900.1.v1.1 annot-version=v1.1 MLLGLRSVSVSVLRSRALTPPPPPPRRLPPPRAMSSSAPEMEAYKFGPYKIDAREVFHATPLSYAMVNLRPLLPGNVLVCPKREVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRTMEEMGHEASEYRALFS* >Brasy5G072900.1.p pacid=40078654 transcript=Brasy5G072900.1 locus=Brasy5G072900 ID=Brasy5G072900.1.v1.1 annot-version=v1.1 MGKYMRKAKASGEVAVMEVTAAPLGVRTRSRALAMQRLPQEVGKEQGNYLELRGRKLEKLPPPPREPAARRCGGGRRAAAAELDEAERAQAEADEVSFGENVLELEAMERNARETTPCSLIRDPETISTPGSTTRPSHSNSHRRVQAPVRHIIPSSAEMNEFFAAAEQPQQQAFIDRYNFDLANDCPLPGRYEWVKLE* >Brasy5G440400.1.p pacid=40078655 transcript=Brasy5G440400.1 locus=Brasy5G440400 ID=Brasy5G440400.1.v1.1 annot-version=v1.1 MFKRPPLRFEISYCCRLLKEVWIRSPCFGQHNCPACVERLKQQPFTHHPTNIHLENFRTIWNI* >Brasy5G172400.1.p pacid=40078656 transcript=Brasy5G172400.1 locus=Brasy5G172400 ID=Brasy5G172400.1.v1.1 annot-version=v1.1 MHWHSVKGLRIVDGVFQADRDQNGHQPPLLELLTELDGCGSAAPMAQMITP* >Brasy5G077100.1.p pacid=40078657 transcript=Brasy5G077100.1 locus=Brasy5G077100 ID=Brasy5G077100.1.v1.1 annot-version=v1.1 MSNIDVDCDDDGLSSDSNSEFSDFVPANWYGDANAGGPAAADALKSCFHKKRKRTDADCQYRKRQKSYQADQLLDHKKATNRGNLSWLSHIISSIPPDKKKIICDYGFPFVFHINSSGAPHSFAQWIADHIQPESCDIILDSSVIHLGADTFSEVIGLENTGLDVKVDFDCAKEQFLSPMGFSELPTIKQFGKMLLTNDIADDKYFICFMVVFLSTFLCPNSSTYPSIKYLGSLLVPSDVRNYNWASFGHKWFIESVRKYQKDKVKSKALSSRSNLTLGGCTYVPAVKYLDFADFGELKVDNCFPRTLVWKHDLIKDFARLDQKSVYEYGLRNVRDLSRTCYASVAKSNSECSYLPVFKSSLESLFRDSLHDKVVQDICNLFKNSETSFGPDIMNAAGQFSIAVLECIRDASYKLQWSTSSGKELSPIGNENLAVFSGCVEKRNENSEAFAGDAVVDSQATERVSDPDDIEVVHDVPNEASDAETVVVNSDGEELSNKGIVSLNLLFVFLS* >Brasy5G385500.1.p pacid=40078658 transcript=Brasy5G385500.1 locus=Brasy5G385500 ID=Brasy5G385500.1.v1.1 annot-version=v1.1 MASSGVKDFYRQKKKGGITKTSSSSKKKTQQYTGGASVGASNPAQTSALISHGSLDLKDDFSEQEEQLRQFDMDMKFGPCIGVNRLQRWERASAMGLQPPPHLRDLLTHASSMNNRNNGSPSPECLWEGKI* >Brasy5G507800.1.p pacid=40078659 transcript=Brasy5G507800.1 locus=Brasy5G507800 ID=Brasy5G507800.1.v1.1 annot-version=v1.1 MIPAVSVVENCYVFKSRLQEYAQKAGLPTPEYQTLKEGPSHEPVFKSAVLVDGVTYDSLPGFFSRKAAEQSAAEVALMEIAKSLALPTSATIPAVQETGLCKNLLQEYAQKMNYAIPSYISHRQASGVAPFISTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSDGSANGASKYIVVPGKRKETEKKPIETPKPLKVKKGGFKKQRNKRKFNKKNGKAANVEKDENRVPGHACDSDVPMQPAIAIQDPSSDTVMLQPAEEARNVEHEPPSDAAMLQPDKDAGRGGHEPPSDTAMAQHNEESGVKQEPLSDTVMPQPDEEVRGVESPTDTSMVQPNEEARRVKQEPPIDTAVPLPNKEVRTVKHASLSDSATVQPNAEATNVKEEPLRNPAMMEHNEGARTVNQEPPSDASLLQPKKEPRVEGCESAYEHKDVTPEDALLEAN* >Brasy5G327800.1.p pacid=40078660 transcript=Brasy5G327800.1 locus=Brasy5G327800 ID=Brasy5G327800.1.v1.1 annot-version=v1.1 MRRPSPSPPPARHPALAAAAAVVLLPAIFPGLFSPLGRAFPSLFSEWNAPKPMHEFLLDEALRWTIPDDQKKNLWTSLPFQGWKPCLKPSTAHGLPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLDVNPVWKDTSSFEEIFDVDHFINILKDEVSIIKIPPKEYLWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFTHRLAFDDLPADIQRLRCRVNFQALVFLPHIMSLGETLVKRLRSPVHGHSSEFAQQVVEENTYQAGKYAVLHLRFDKDMAAHSACEFGGGRAEKLALAKYRQVIWQGRVLNSQLSDEELRNTGRCPLTPEETGLLMAALGFDNSTRLYLASHKVYGGEARISSLRKLFPLMVDKRSLASEEELANVQGKASVLAALDYYISIHSDIFISASPGNMHNAVMAQRAYNNMKTIKPNMALLGHIFVNKSNEWSEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG* >Brasy5G327800.3.p pacid=40078661 transcript=Brasy5G327800.3 locus=Brasy5G327800 ID=Brasy5G327800.3.v1.1 annot-version=v1.1 MHEFLLDEALRWTIPDDQKKNLWTSLPFQGWKPCLKPSTAHGLPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLDVNPVWKDTSSFEEIFDVDHFINILKDEVSIIKIPPKEYLWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFTHRLAFDDLPADIQRLRCRVNFQALVFLPHIMSLGETLVKRLRSPVHGHSSEFAQQVVEENTYQAGKYAVLHLRFDKDMAAHSACEFGGGRAEKLALAKYRQVIWQGRVLNSQLSDEELRNTGRCPLTPEETGLLMAALGFDNSTRLYLASHKVYGGEARISSLRKLFPLMVDKRSLASEEELANVQGKASVLAALDYYISIHSDIFISASPGNMHNAVMAQRAYNNMKTIKPNMALLGHIFVNKSNEWSEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG* >Brasy5G327800.4.p pacid=40078662 transcript=Brasy5G327800.4 locus=Brasy5G327800 ID=Brasy5G327800.4.v1.1 annot-version=v1.1 MDNYDQKKNLWTSLPFQGWKPCLKPSTAHGLPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLDVNPVWKDTSSFEEIFDVDHFINILKDEVSIIKIPPKEYLWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFTHRLAFDDLPADIQRLRCRVNFQALVFLPHIMSLGETLVKRLRSPVHGHSSEFAQQVVEENTYQAGKYAVLHLRFDKDMAAHSACEFGGGRAEKLALAKYRQVIWQGRVLNSQLSDEELRNTGRCPLTPEETGLLMAALGFDNSTRLYLASHKVYGGEARISSLRKLFPLMVDKRSLASEEELANVQGKASVLAALDYYISIHSDIFISASPGNMHNAVMAQRAYNNMKTIKPNMALLGHIFVNKSNEWSEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG* >Brasy5G327800.2.p pacid=40078663 transcript=Brasy5G327800.2 locus=Brasy5G327800 ID=Brasy5G327800.2.v1.1 annot-version=v1.1 MDNYDQKKNLWTSLPFQGWKPCLKPSTAHGLPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLDVNPVWKDTSSFEEIFDVDHFINILKDEVSIIKIPPKEYLWSTREYYGTGIRATRIKTAPLHASASWYLENVSPILQSYGIAAIAPFTHRLAFDDLPADIQRLRCRVNFQALVFLPHIMSLGETLVKRLRSPVHGHSSEFAQQVVEENTYQAGKYAVLHLRFDKDMAAHSACEFGGGRAEKLALAKYRQVIWQGRVLNSQLSDEELRNTGRCPLTPEETGLLMAALGFDNSTRLYLASHKVYGGEARISSLRKLFPLMVDKRSLASEEELANVQGKASVLAALDYYISIHSDIFISASPGNMHNAVMAQRAYNNMKTIKPNMALLGHIFVNKSNEWSEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG* >Brasy5G472400.1.p pacid=40078664 transcript=Brasy5G472400.1 locus=Brasy5G472400 ID=Brasy5G472400.1.v1.1 annot-version=v1.1 MTLEKVRVSIAMSPPILLIDYARALTLNGAAVVRIEAPSSLKNHAPIDLVTLININQSMSWPAASQTEMSSRLDLLKNAMKFIIRQLGDDDRLAIVAFNDQVIKEYTTGLLEISDSGRMAIEKKVDGLVAKGDTAIKPSLEHAVKLLDDRADKKRAGFIVLISDGLDSQFKWGDESIGPTDPIRGLLQKYPVHTFGLGKAHDSKALHYIANISYGIYSSITDNLENKIMEAFAVCLAGFKTVVAVDACVDIRSSSLRITKIDSGGYILRGSTGGILVGTLYAGEVKDFIVYFSYHTGSWSAGYHTTLNGIAASVTYKEALGRQSTATDSCSVSLPVHVTDTGSRPANPCPPYPLVLRQMVRFKVLDFLISVLKEFLVLKEEAAGAVHGKEGDDPVLQAIAASLLERKWKEFKQSDESWKEAPRNFLDLEGIDKDINAMVGILKQGLGVGCIYSWLSGYQMQRATTTGLPGAHMVATGQFRTPAMNAMVQEAHRQLAKEASAQDAGTSIVCKHAVELLDGVNKRFDLWCKLDHDLPRTNQPSSHQEEGHESRDITAVLRGDINRARQHDIYLAADHAIKQWQSFLTSVEKTHGHGLDK* >Brasy5G071600.1.p pacid=40078665 transcript=Brasy5G071600.1 locus=Brasy5G071600 ID=Brasy5G071600.1.v1.1 annot-version=v1.1 MDSVMKMASERAVVIFTLSSCCMCHTVTRLFRDLGVNAFVHELDHDPKGKEIERALLKLLGKGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLRNAGALWL* >Brasy5G382800.1.p pacid=40078666 transcript=Brasy5G382800.1 locus=Brasy5G382800 ID=Brasy5G382800.1.v1.1 annot-version=v1.1 MSSSGASSSPSSPLPVSVGPGRRRYAFTPSPSPSPPFSPTSREDAAASSPLLLARAAHSEPMLLQNYSAFSTDAAFARERPCPPPRRHFDLGACCFEWVLKLLCCFCCSSKLED* >Brasy5G188100.1.p pacid=40078667 transcript=Brasy5G188100.1 locus=Brasy5G188100 ID=Brasy5G188100.1.v1.1 annot-version=v1.1 MASASSLALALKAAAIAAIVSMLILPSLGRCSNSPAPAPPPPPSPPPPPPSPPPSPPPPSPPPPAPPASCKECHSECYSTCTAFALKTICNECLSIHIRCGACKTPLIKKCEGETGCTPAGGCHDCEGAGNANCTDACSAMSCSFCMRAQQKQCISTCDTQCSPNCARYPVAPPPPIPSPPPPPLPSPSPPPPTLAPAPPPPSPTPLPPAPLPPTAAPAPPPTTLPPAPAPAPAISCDDCFGSC* >Brasy5G341200.1.p pacid=40078668 transcript=Brasy5G341200.1 locus=Brasy5G341200 ID=Brasy5G341200.1.v1.1 annot-version=v1.1 MASQDALWAKLWELELQLAAYKLLHAPPARWEEQEEEGAPAAGGVVCCRGRQYDAYMRRRDARRASVAAAEGAKRQPKLSPLTVKCASRDTQTLARRAPAAAASIPATPRKEPRPLLPRSRTLSSSGAAVAPPTPAARSSQPHHHQRRNSVGGEVLGDTPRPFLRRGSGTGGAAAMRSPSDAPSGSPSPRRPPRRDHFVEQVAGAAPAGRHLRSVSELPFHYATRAATELPRPVVETPPPAPAQARARKRWGDVESPPSAAMFSAAATNPHMDLAKGLRKLLSYVRKSKSSAESRSGGGADGKPVMKGWAATACSVLDGPLPLDRAGLEGHRFPMTRAVGTSG* >Brasy5G360200.1.p pacid=40078669 transcript=Brasy5G360200.1 locus=Brasy5G360200 ID=Brasy5G360200.1.v1.1 annot-version=v1.1 MERAVRELWAESRDLLGLHSPESVPRADLPPTPLAFLRDHVSPGRPLLVSAAATRHWPAASLWPTDSYLTDALRSTDVSVHLTPDGRADALAPHPCLPGARCFASAHVRRVDFPTAVRLIRGSDPAAAGGLVAYAQQQDDCLRGEYAAVVGDVDAHVPWASEALGCLPEAVNLWIGNSCSVTSFHKDHYDNIYAVLSGEKHFLLLPPTEHHRLYVRDYPTARYVTENEGEEELTGLKLEMEEPERIVPWSSVDPNPSSPEEMAAQVSSFPLYFEGPRPIRCTVRAGEVLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDVKYAYFNFLRSLEISNSPLDNKDAALEGDLEEKNY* >Brasy5G410400.1.p pacid=40078670 transcript=Brasy5G410400.1 locus=Brasy5G410400 ID=Brasy5G410400.1.v1.1 annot-version=v1.1 MGSPYDYYTPPPPTPPPPPPAASAVGGWDFFNPFYGAEEVAAAVAITDEEMRAVREREGIPELEEAEEEDEEEDKVVEGNTNTPKDEASLGVAKQEESKEVGDVTGNSGGGLEVAVAQPGRELLAALKEVEELFARAAEAGKEVSGMLEAAARVPELKENSSKIIHAIAWHRSPSSVSSSSYRSELGASSNSLSWTDKSETNKSDIFDDYSGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRGADKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLHGFTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFVSYVSAQKAYIEALDGWLSKFIITDTIRYSRGISSIAPSRAGAPPLVVICHDWHTTLSKFPYKRVSFTMRNFNRSVRVLWLKQGEEQQQKRKVDSLAKELDKKITAYKRAENKVIETKLLEHRPEQDAKQRMEQLSEKKEALNALRRRVEAEKAKHHHCMRDTHDVTLNGFKIGLASIFESLAEFSKDSVKLYEDLLALAGPKDPEKHTEQQRRRRPCVEAGPHSYPHPAVDAT* >Brasy5G068800.1.p pacid=40078671 transcript=Brasy5G068800.1 locus=Brasy5G068800 ID=Brasy5G068800.1.v1.1 annot-version=v1.1 MDSPPVPPLAGDHSYIHHWVPVVKHCCGSVFYITFDPKLDEMESIKERVKEVRTVPVSDQIKRAECSYVCNGYVARAQDDSLLILTCAHILQHVFSGSNPITTQQVNSLFTVTALCFHHEIYFQKYGPIGERAPARARVLAINCTSDLLLLRVRKADIRAATGGGRCQGIHRPLIVRPDTTSAGEICCFLSWLHLLPSIFAAGHVGVIRRITEMSTNRYGYDVNLLEANIESDEGASGAPLLDAQGYVIGLLHGGAGGKHSYFVSRSSISTFLSGHI* >Brasy5G208200.1.p pacid=40078672 transcript=Brasy5G208200.1 locus=Brasy5G208200 ID=Brasy5G208200.1.v1.1 annot-version=v1.1 MADNNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPTF* >Brasy5G415000.1.p pacid=40078673 transcript=Brasy5G415000.1 locus=Brasy5G415000 ID=Brasy5G415000.1.v1.1 annot-version=v1.1 MQCCSMINLDKIVMAPNVWCVLEPIVATSRHWFAFLSSVAAILNALRTRGVPRTCLSAIARRQRWHPDSGTQQFR* >Brasy5G070100.1.p pacid=40078674 transcript=Brasy5G070100.1 locus=Brasy5G070100 ID=Brasy5G070100.1.v1.1 annot-version=v1.1 MAGVTKSLVVALFLLVLAVSASASSSMGAGGDLQLGLFSSGRETCTVGECGGEDGELGSASAEAHRRILAGRGYISYGALRRGTVPCNRRGASYYNCRPGAQANPYHRGCSRITRCRG* >Brasy5G108300.1.p pacid=40078675 transcript=Brasy5G108300.1 locus=Brasy5G108300 ID=Brasy5G108300.1.v1.1 annot-version=v1.1 MARLSCILLLSIHVALLLLTPSSGQVGGSCSSARDCGTGLYCGDCAAAGRKRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSRTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFGGDVWLCHSLQGQCYNFTAFEPAIDTLQEVESFLSENPTEIITIFIEDYVHSPMGLSKLFTAANLMKYWYPILEMPTNGKDWPSVTDMVAKNHRLLVFTSDASKEASEGIAYQWSYLLENESGDPGTVPGSCPNRKESQPLNARSASLLLQNYFPSIPVQNEACKENSVGLPQMVQTCYAAAGNRIPNYIAVNFYMRSDGGGVFDVQDRINGLTLCGCNTISACQAGAPTSACKNTGAPNRTSSSVDGNTYSGTVEFKFPASRASSTSIWSNIVVSLSLLLIVKSY* >Brasy5G108300.2.p pacid=40078676 transcript=Brasy5G108300.2 locus=Brasy5G108300 ID=Brasy5G108300.2.v1.1 annot-version=v1.1 MARLSCILLLSIHVALLLLTPSSGQVGGSCSSARDCGTGLYCGDCAAAGRKRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSRTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFGGDVWLCHSLQGQCYNFTAFEPAIDTLQEVESFLSENPTEIITIFIEDYVHSPMGLSKLFTAANLMKYWYPILEMPTNGKDWPSVTDMVAKNHRLLVFTSDASKEASEGIAYQWSYLLENESGDPGTVPGSCPNRKESQPLNARSASLLLQNYFPSIPVQNEACKENSVGLPQMVQTCYAAAGNRIPNYIAVNFYMRSDGGGVFDVQDRINGLTLCGCNTISACQF* >Brasy5G108300.3.p pacid=40078677 transcript=Brasy5G108300.3 locus=Brasy5G108300 ID=Brasy5G108300.3.v1.1 annot-version=v1.1 MARLSCILLLSIHVALLLLTPSSGQVGGSCSSARDCGTGLYCGDCAAAGRKRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSRTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFGGDVWLCHSLQGQCYNFTAFEPAIDTLQEVESFLSENPTEIITIFIEDYVHSPMGLSKLFTAANLMKYWYPILEMPTNGKDWPSVTDMVAKNHRLLVFTSDASKEASEGIAYQWSYLLENE* >Brasy5G396900.1.p pacid=40078678 transcript=Brasy5G396900.1 locus=Brasy5G396900 ID=Brasy5G396900.1.v1.1 annot-version=v1.1 MVCLSDTLIVLLILTRIRSSCCSFSSAAICRLMFSRNLDTALSMTTADTVAAMVTPLIVPLLVQASDPKDDQH* >Brasy5G365500.1.p pacid=40078679 transcript=Brasy5G365500.1 locus=Brasy5G365500 ID=Brasy5G365500.1.v1.1 annot-version=v1.1 MEAKAAAGVSLSAPLHRCCINGSNNLVAPAAARSAQRLRKCWRQGASPLGSFAARSSSRATRVYAAASADAPAKDQDLVFVAGATGKVGSRTVRELIRLGFRVRAAVRSAARASPLVKSVEQLELGGGGDASARLEVVECDLEKQGEAGIAAAIGGASLVVCAIGASEKEILDVTGPYRIDYVATADLVRAAAAAGSVDHFVLVTSLGTSKIGFPATLLNLFWGVLCWKRRAEEALIASGIPYTIVRPGGMERPTDAYKETHNLVLAPQDTYSGGLVSNLQVAELIACIAKNRAAAYCKVVEVIAETTAPPLPTEDLLARVPSDPGRAPPPPAAAPVTSEAKESPPAAAPEEPAAPPAAKAEQRPLSPYTAYEGLKPPSSPTPSSSSKTKDDAAPATAAAPPPSPVSNRPLSPYTAFVDLKPPASPSPSPPRSAASSDATTGAPGDAAAPSTPSLDSNVDNGTLTTDPVRPLSPYARYEELKPPTSPTPSAPKV* >Brasy5G104700.1.p pacid=40078680 transcript=Brasy5G104700.1 locus=Brasy5G104700 ID=Brasy5G104700.1.v1.1 annot-version=v1.1 MAMTAQPPMGRAALYSHQTTAAALPPKHHPSPLRPPLLRAAAWPVRLYAAVATDAASAATAAAAMDAVADWGLTSLEEADPEVYDLIEREKRRQRTGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALEAFHLDPASWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDSGYVDYDRLEEKAMDFRPKLIICGGSAYPREWDYARLRAIADKCGAMLLTDMAHISGLVAAQEAKNPFMYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKAYIQQVKANAVAIANHLMSKGYKMVTDGTENHLVLWDLRPLGLSGNKVEKVCDLSSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLNVQKQRGKRFNDFTVDLENNKDIAELRADVQKFAISFEMPGFRVSDMKYKD* >Brasy5G250600.1.p pacid=40078681 transcript=Brasy5G250600.1 locus=Brasy5G250600 ID=Brasy5G250600.1.v1.1 annot-version=v1.1 MVAGGEETRTHVIKIHGYSRFKELLQTGNHTTSIPFSVGGHNWTVDYYPNGWRERAGYLSVFLVLDSADAKNVKAKCSFSLLEKDGVPSPTYSYTTEHTFTSKGSYLGFTKFIKHEVLEGSGHLIGDSIRIRCDVSILMKSRSEETKGDQYVEVPPSNLHQHLGGLLKSMDGTDVTFQVGGQKFPAHRYALAARSSVFKAELFGAMKEKTGSSIKIDDMESDVFASLLHFIYTDSLPVVETVMAGHLLVAADRYNIERLKLMCEETLCNHIDANMVATTLALAEQHSCPSPSNLKAVKASDGYEHLKNSCPSVLKELIARLLPVELKAAKDIIMSI* >Brasy5G265200.1.p pacid=40078682 transcript=Brasy5G265200.1 locus=Brasy5G265200 ID=Brasy5G265200.1.v1.1 annot-version=v1.1 MAAAQPPQINEAQANTMRNLSSMVSTMAPWANWNTTDSNPCMWSGVTCSMPSNRFGSSAAVLHLSMSGFGLSNSTVIDSICSLETLQSLDLSRNRFTNLPSQLSSCPLGARLLALNISYAQLESSLGDFSGFSKLEVLDFSFSFLSGNVNSQLSSLPKLRSLNLSANDLHGDLPTNMVPSLRELVLSDNSFSGQVPMGLFQCENLTLLDLSHNNLAGSLSSVTPLSHFIASQNNFHGSMPSGITKNVKVLDLSYNMISGEIPSDLFLALGLHTVDLTGNMLEGRIPPGFSRSLYRVGLGGNLLNGSIPGSIGDASSLSYLELDNNYLVGGIRIPWQVSKCNKLVLLNLASNELNGPVPTEIGELDSLVVLKLQNNNLDGSIPDTLFDLVNVNALDFSQNSIAGEIPHAIFQLPQLSNLNLQGNKITGDIPTSISSLSSLIELHLGNNELTGTISKMPPSLMVLNLSHNHLSGSIPTEIGSLIDLKILDLSYNNLSGQIPSSLTFLEILTQVVLSYNKLSGTLPIFQPNVAVNSTGNPDIGKALDMMTSTPDNMSAIWVAAVSFAVGFVISFYVDWIRNG* >Brasy5G201800.1.p pacid=40078683 transcript=Brasy5G201800.1 locus=Brasy5G201800 ID=Brasy5G201800.1.v1.1 annot-version=v1.1 MALWTGLGQAATVAQLVGTDIGGLISMIMQAALTARQNRRECEQLARRVLMIAELLPHVQLQDPEALRPLAGLGDTLRDAHELVVSCQGRSVAYQLVMSGRQADRFREVQSRIDSYLILFPMVSYIGITRQLNRIYNVLVPDDATSGEPSPLFQSTLVQQSAEVAREVLPHGTEEFRLPEIVAATNNFALDNKIGVGGFGTVYKGRLHDGREVAIKRYWLQDSPQMKKEFNTELAILSRLRHKHIVHLLGWCLEKEKDRRLLSFRRKKQGQEHLIVLEYMANGTLHDHLHREPCSSPVTVSWKMRIDVLLGVSRAIEHLHCHAMPPVIHRDIKSRNVLLDSSWVPRVSDFGIYVTWQTTNQEEDFPVAGTYGYLAPEYLHTGRLNLAIDVYGLGVVMLEVLTGKEAIIDRVGERDLWLDLVSFSLPIIEAGNIGELLDRRPLPEPTPQQLMALEHVAQTAACCVQMRGEDRPAISDVVASLEMALDHITHSNSLADAVYECSLPVHFHGSRPCPRLCPEPAESWFATQEESEGVLSD* >Brasy5G201800.2.p pacid=40078684 transcript=Brasy5G201800.2 locus=Brasy5G201800 ID=Brasy5G201800.2.v1.1 annot-version=v1.1 MALWTGLGQAATVAQLVGTDIGGLISMIMQAALTARQNRRECEQLARRVLMIAELLPHVQLQDPEALRPLAGLGDTLRDAHELVVSCQGRSVAYQLVMSGRQADRFREVQSRIDSYLILFPMVSYIGITRQLNRIYNVLVPDDATSGEPSPLFQSTLVQSAEVAREVLPHGTEEFRLPEIVAATNNFALDNKIGVGGFGTVYKGRLHDGREVAIKRYWLQDSPQMKKEFNTELAILSRLRHKHIVHLLGWCLEKEKDRRLLSFRRKKQGQEHLIVLEYMANGTLHDHLHREPCSSPVTVSWKMRIDVLLGVSRAIEHLHCHAMPPVIHRDIKSRNVLLDSSWVPRVSDFGIYVTWQTTNQEEDFPVAGTYGYLAPEYLHTGRLNLAIDVYGLGVVMLEVLTGKEAIIDRVGERDLWLDLVSFSLPIIEAGNIGELLDRRPLPEPTPQQLMALEHVAQTAACCVQMRGEDRPAISDVVASLEMALDHITHSNSLADAVYECSLPVHFHGSRPCPRLCPEPAESWFATQEESEGVLSD* >Brasy5G045500.1.p pacid=40078685 transcript=Brasy5G045500.1 locus=Brasy5G045500 ID=Brasy5G045500.1.v1.1 annot-version=v1.1 MNIQRTFQLLMLHLLTHTLFFLTSSSQPTSNENSGDLSILLSFKSFTRDPTQALSSWSWDRAGNSTSTEVPGFCKWRGVACSDRGHPGRVTAIRLQGFGLAGTICPQLGNLTHLRVLNLSMNNLEGDIPGSLSGCAALRGLDLGMNYLSGSMPSSLGLLSKLIFLNITRNNLTGDIPMSFSNLTLLTKLSMQSNNFLGQIPSWLGNLTSLTRLELAKNGFSGHIPPDLGKMANLVKFDVMDNKLEGPFPPSIFNISSIEVLNIGFNHLSGSLPQDIGFKLPKLRLLATHENQQFQGSIPASFSNASALEYLLLRGNRYHGIIPADIGIHGRLRVFSLGYNLLRATKPRDLDFLRSLTNCSHLGILDLEQNNLEGVMPVNIANLPTELYWIKLGRNKIAGNIPAGLGKFQKLTKLILSDSLFTGTLPLDIGQIPSLQYLDLSHNRFRGQIPQSLGNITQLSNLSLSNNFLEGTIPASLGNFTKLTSLDLSGNSLRGEIPQEILSIPSLTVLLNLSNNVLTGSIPTQIGSLNSLGTIDLSMNGLSGEIPDALGRCVQLNSLYLQGNLLRGQIPKGLSSLRGLEKLDLSNNNFAGPIPEFLESFELLTYLNISFNNLSGPVPNIGILSNATILSLTGNSRLCGGPPFLQLPSCPFIGSHQASQHRLRVILFCTFGILVFFMCSLAAWYFMKTRNKPNSVDQETRFHNENHERISYAEIDAATESFSPANLIGSGSFGNVYTGTLNLDEGLCTVAIKVFNLGKRGANRGFLRECEALRKIRHRKLIKVITVCSSLDRNGDEFKALVLEFIRNGNLDEWLHPNRTTNNITFRRLSLMERLCIVLDVAEAVEYLHHQIEPSIVHCDIKPCNILLDDDIVAHVADFGLAKIMHTEEHKKSGGGVESSSFVIKGTIGYVAPEYGSGSEASTEGDVYSYGVLLLEVFTGRRPTDSFIDGVTSLVDHVKMRYPDKLLEILDASATYSGNTQDIIDIFLYPMFKLGLACCEASPRHRMKMNDVVKELNAIKKAFAAHMHVHGLRATA* >Brasy5G498100.1.p pacid=40078686 transcript=Brasy5G498100.1 locus=Brasy5G498100 ID=Brasy5G498100.1.v1.1 annot-version=v1.1 MLKKLLSKTKSKKKNEAASSSLLTLDRLHETLEMLEKKERFLQKKSSAEVEKAKDYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLENAKATTDTVDALRSGSSAVKAIQQSLSIDDIENAIEEANERTEDMKQIQEALATPFGASAEFDEDELEAELEDLEEEELDLPEPPRGTPVVEPSASATTSSRPPAVASDFAELTRLQAEMAL* >Brasy5G321600.1.p pacid=40078687 transcript=Brasy5G321600.1 locus=Brasy5G321600 ID=Brasy5G321600.1.v1.1 annot-version=v1.1 MAHHLLLPSKPLVPATAATPRRGGGGRPVVSVRAALSASTATAAKAAGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGSLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLSAVRNINELIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSQLGANAILGVSLSVCRAGAGAKGIPLYKHIQELSGTKEVVMPVPAFNVINGGSHAGNNLAMQEFMLLPIGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLMKDGSYDLNFKNQPNDGAHVLSAPRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIVEAIDKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLVRIEEELGDVRYAGEAFRSP* >Brasy5G321600.2.p pacid=40078688 transcript=Brasy5G321600.2 locus=Brasy5G321600 ID=Brasy5G321600.2.v1.1 annot-version=v1.1 MAHHLLLPSKPLVPATAATPRRGGGGRPVVSVRAALSASTATAAKAAGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGSLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLSAVRNINELIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSQLGANAILGVSLSVCRAGAGAKGIPLYKHIQELSGTKEVVMPVPAFNVINGGSHAGNNLAMQEFMLLPIGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLMKDGSYDLNFKNQPNDGAHVLSAPRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIVEAIDKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLVRIEEELGDVRYAGEAFRSP* >Brasy5G506600.1.p pacid=40078689 transcript=Brasy5G506600.1 locus=Brasy5G506600 ID=Brasy5G506600.1.v1.1 annot-version=v1.1 MPPSKTKSKALLVQRFAGLGSALAGLMVVWSMVRPFLPRSVFKHYLGRFLKRYLRRALGFLDPCLTINIGEYDGGDRMRRGEVYDQTRAYLSDRCSGRARSLWADLASRGSHAFVLTMGDREEVGDEFRGATVWWQHFMYGGRRGGPGGEGDSGQFYELVFHERHRDLIVQSYLPHVCSEGQAIMARNRRRRLYTNSSTGDRHKSSWSCVLFEHPSTFETLAMDPAKKRSIMDDLDAFRDGKEYYTRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRRLFIQTSGKSIIVLEDIDCSADLTGKRKKKSTTPRTPAAGADGVPADKKVTLSGLLNAVDGLWSACGGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGLDEHPLFDDVEQLLQAAKITTADVAEQLMIKCADDDADSCLANLLKALALKGEENKLAETKIIKGKKVSEDE* >Brasy5G260200.1.p pacid=40078690 transcript=Brasy5G260200.1 locus=Brasy5G260200 ID=Brasy5G260200.1.v1.1 annot-version=v1.1 MLACRIQKELQESAFHISFQCAFVLDVWKRIANWTNNHVWDPGTWSAASSVKQRWCLIIDNASGGGKTHCKATSSVTLLVLWELWKGRNRRVFQHKLLPAAGVLAVIKGEAALWEKAGAGIGVLCSGPDDVP* >Brasy5G403200.1.p pacid=40078691 transcript=Brasy5G403200.1 locus=Brasy5G403200 ID=Brasy5G403200.1.v1.1 annot-version=v1.1 MAANNSSEPPILEEEEGGGGVVKHEEAEGKGKAPASASTTMLHRSGSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHADQPTEDKHKISTKRRLEIFTGARRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVSGANFLLKAIRHEAFTHMDGQKEYVQIDQNDLFPYLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTECKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLSAHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQITAPVPMGAPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPSLDVFPLLEDPKTYEPNTIDLDHNEFKYWFTVLSDHLPDLVEKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFFDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSKACVDLYHQGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLKKDKPYKRALISVDNSGADVVLGMLPLAREFLRHGIEVVLVANSLPALNDITANELPGIVAEAAKHCGILRKAAEAGGLIVDAMAGIQDDAKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPVP* >Brasy5G520200.1.p pacid=40078692 transcript=Brasy5G520200.1 locus=Brasy5G520200 ID=Brasy5G520200.1.v1.1 annot-version=v1.1 MRPAQTTFQGRRPDIHHSHRSRNAARDRLSVAPTTQGNRSQTSAAAPNPGPPPRHPRQPIPNGIALTGPTISLPQQHEIHTLVVANRSPTP* >Brasy5G343100.1.p pacid=40078693 transcript=Brasy5G343100.1 locus=Brasy5G343100 ID=Brasy5G343100.1.v1.1 annot-version=v1.1 MLTEDRWGGTEGIMEFKTGGSSSVHRPSAEGSTPLARQGSVYSLTFEEFQSTLGGGGLGKDFSSMNMDELLRSIWTTEERQAMASASASASAAGAGAGAPPTSLQRQGSLALPRTLSAKTVDEVWRNLVRDDPLPVGADGGEPQPHRQATLGEMTLEEFLVKAGVVREIPTAPPHPVPVAPKNTAFYRNFPGTNDAGAAMLGFPPVGMGDLAVCNGLMPRAVGVGGNAGAVQIAAVNQLDSDSKGSEDLSSPSEPMPYSFEGIVRGRRPGGGVEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVQKLKELNQKLERKQEEIMEMQKNEDVSEMKDQFGRKKRRCLRRTLTGPW* >Brasy5G232900.1.p pacid=40078694 transcript=Brasy5G232900.1 locus=Brasy5G232900 ID=Brasy5G232900.1.v1.1 annot-version=v1.1 MMLRWKISPRRRVRLRFGFGGEQPAEEDSASVKETAAGRSKGAGGRKRRIGEDSGVRCRGTRLRSGGGGVLGPEGGRRWRAWPGSGWASSRWSNRGRRPEARSTEAGGRVVAGDAARSSKLGGGRARPVLEMAGTSAGGSPAWWSGAGDGERRPGGRQPWESREEGERTGKKAAGVEREGGALEGGGSRGGARGERGRGRRPWKKKGGAWRGSRREKELGRQREAQYVRRPRQSPPTPI* >Brasy5G131900.1.p pacid=40078695 transcript=Brasy5G131900.1 locus=Brasy5G131900 ID=Brasy5G131900.1.v1.1 annot-version=v1.1 MLVKESHGRPVEDGVSQTGPAEGAGSGTRKGKRSRQARRRSREHAAPGSSGQGGRRRSRTGGRSCWRVSGWRKSSAGGVCWSRAGSQRWSRAGGRILRSCRRR* >Brasy5G027200.1.p pacid=40078696 transcript=Brasy5G027200.1 locus=Brasy5G027200 ID=Brasy5G027200.1.v1.1 annot-version=v1.1 MAAASPASGAHSCETAAQTREWMEAIASFLVRHRPLLEAHVVNFFKDRLWEMVDAEWMECLRGEPVESLLKLPSGCVQDHWPSSLQEFILTARSLALPRDQKSPQSFLPNLRVASIGTVLAQGMNTKKKHEIETLAAIVDAIARSCGAKTVVDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKKHYAAKCVEKQQFRVPRTVTCHVLSSATLAAVTLDACQDVHGEHVTETNCTGSSRQIENPNHTIPQLVLAGLHACGDLSVNMLRVFVSCEQVQALVSVGCCYNLLTEDCHEDGDTCPGFPISNAAKLSKLVLGKSIRDLACQSAERWRNLTKEMALQNFDVHAFRAAFQMVLKKYFPEVSRLSPSIGRQGKALRRQRLRKVLESHMAMGKNDDLSYSNSKEQIMTMDSSLPTEPTDLKGAVGCCSHQLCTGDTYSTSEVVDSSISRVNVGPTGIYLDECDKFTLFKDFTVSGLARLGCGFVEDVNLLGIWKDVQRFAEFIGPFWCLRAALGPLVETYILLDRLLFLQEQGSAVEAWLFPLFDPTMSPRNMAVVAWKLMGDSLEA* >Brasy5G041000.1.p pacid=40078697 transcript=Brasy5G041000.1 locus=Brasy5G041000 ID=Brasy5G041000.1.v1.1 annot-version=v1.1 MKYMKLGSKPDVFQTEGNIRFVATELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNDEGNDEVDISDIPGGPSGFEICAKFCYGMTVTLNAYNVLAARCAAEFLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIASKASIDPSEVEWSYTYNRKKLPSESGSDSHWNGVRKQPTVPRDWWVEDICDLEMGLYKKVILAIKAKGRIAGEVVGEALRAYAYRRLFSSLDNAANNGLDCTRHCAALETITSLLPAERGSVSCGFLLKLLRAACLLGSDETLHGDLVRRIGSQLDKASVSDLLIPASSGENAMYNVDLVSAILEEFMAQRHGHGGGDAEIQDDDEEEEATMDGENHHHLVSSVSGHSDLALAKLIDGYLAEIAKDPSLPLPKFIAIAETAPLAARPTHDALYRAIDMYLKEHPGLSKSEKKRLCALMDCKKLSGEASAHAVQNERLPLRVVVQVLFFEQLRQSSAAAAAELPSSALRSLLPRESGNSYGSSRSAATTATTAEDDQWGAGGGGDAGSFRSASGGAMATNKSGSGGGDGGSSKNGGKAGKGMMPRKMLSKLWSGKASSGGEHSGGSDTSESPGSAAHLDAGGGGGDAKSTHSRSTRHSVS* >Brasy5G518300.1.p pacid=40078698 transcript=Brasy5G518300.1 locus=Brasy5G518300 ID=Brasy5G518300.1.v1.1 annot-version=v1.1 MKPEPADVSSALAACKGKLKHFRIKELKDVLNQLGLSRQGKKQELVEKIAALLCDQQDQVLQMSDLAKKIMAEKEAVVKIIEDTFRKMHEPANSVAASKDQIDPVRSVKPKKKSNDSAQVGVKVRCPCGNSTVKGSMVKCVDPQCNVSQHVGCVIISEKPADSVPPDLPSNFYCEMCRISRADPFWVTINHLLLPASIAPSKIAADGSYTVQYLEKSFPLSRANWEMLQKAEYDIQVWCILLNDGVPFRIHWPLHSDLQVNGNHVRVINRQATQQLGANSRDDGPVLTDYCKEGPNKIVLSRSDSRTFCLGVRIAKRRSLEEVLSLVPKEQDGEKFDNALARVRRCVGGGAEADNAESDSDIEVVADTVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAYVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSLIQSCGDDVSEIDVKPDGSWRVKGGTELKALTQWHLPNGTLAKSTNIGSKPNTGIVKHEIKEEALSEDLGCRIKLGLRKNDNGKWEITKRGDVNLMPSSDNDQPEHFASGNCISPTSSNDHKNTEDLGPGQYDNPASNVHDLDPEPRDQDIIVLSDSDDDDDDDAMLLAPNTLNCGSAHDTGVPCPPNPPETSGTCEEQPGGGPDVTSFLVLSEDFDALGLSFWEYPSNPADDPVTQLTDSPDEVQNYTTNHQLLHEPVTGGNLVVAPATNSLEDEHDGALQASLGLTSTDESLISAKNTSRKRMNPGDEIAAFDASVLDDDLLGERPGGTSSSPRQQRSVRPRLIVTIDSDSE* >Brasy5G518300.2.p pacid=40078699 transcript=Brasy5G518300.2 locus=Brasy5G518300 ID=Brasy5G518300.2.v1.1 annot-version=v1.1 MKPEPADVSSALAACKGKLKHFRIKELKDVLNQLGLSRQGKKQELVEKIAALLCDQQDQVLQMSDLAKKIMAEKEAVVKIIEDTFRKMHEPANSVAASKDQIDPVRSVKPKKKSNDSAQVGVKVRCPCGNSTVKGSMVKCVDPQCNVSQHVGCVIISEKPADSVPPDLPSNFYCEMCRISRADPFWVTINHLLLPASIAPSKIAADGISRNPFHYQELIGKCYRKLNMTFRFGVSFSMMEFLLGFTGLYTLICKLMLTDYCKEGPNKIVLSRSDSRTFCLGVRIAKRRSLEEVLSLVPKEQDGEKFDNALARVRRCVGGGAEADNAESDSDIEVVADTVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAYVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSLIQSCGDDVSEIDVKPDGSWRVKGGTELKALTQWHLPNGTLAKSTNIGSKPNTGIVKHEIKEEALSEDLGCRIKLGLRKNDNGKWEITKRGDVNLMPSSDNDQPEHFASGNCISPTSSNDHKNTEDLGPGQYDNPASNVHDLDPEPRDQDIIVLSDSDDDDDDDAMLLAPNTLNCGSAHDTGVPCPPNPPETSGTCEEQPGGGPDVTSFLVLSEDFDALGLSFWEYPSNPADDPVTQLTDSPDEVQNYTTNHQLLHEPVTGGNLVVAPATNSLEDEHDGALQASLGLTSTDESLISAKNTSRKRMNPGDEIAAFDASVLDDDLLGERPGGTSSSPRQQRSVRPRLIVTIDSDSE* >Brasy5G119900.1.p pacid=40078700 transcript=Brasy5G119900.1 locus=Brasy5G119900 ID=Brasy5G119900.1.v1.1 annot-version=v1.1 MVPVRLALLLLAASMGLLGPAGGGAEAAYIRYNTSAGVVKGKLNVHMVAHTHDDVGWLKTFDQYYVGSNNTIQAACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQSDAIKKTVKGLVSSGRLEFVNGGMCMHDEATVHYIDMIDQTTLGHRFIKQEFGQTPRIGWQIDPFGHSVVQAYLLGTQVGFDAFYFSRIDYQDRDRRKGTKELEVVWRGSKTFGSSVDIFSGIFPKDYEPPPGEFYFEVDDHSPVIQDDPLVSDYNVEQRVKDFVAAAIAQANITRTNHIMFTMGTDFKYQYAETWFRQMDKLIHYVNKDGRVNVLYSTPSIYTDAKFAANEAWPLKTNDFFPYADKPNAYWTGYFTSRPALKQYVRTMSGYYLAARQLEFFNGRNNLGLTTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYKKAEELVSSSLACLSEMGSKSGCKSPTTNFGQCPLLNITYCPPSEINFSQVKILVVLVYNSLGWKREDILRIPVISDSIVVHDSEGKEIESQLLPITNASLQIRDKHIKAYLGTSAAVKPKFWLAFSVSVPPLGFNTYFVSSGKRSASLSSTSTIYSQGSKNRNLQLGQGNFKLNYDAAGGLFMYSDNKTQVQANFEQKYKYYKGQDSGAYVFCPNGNVPIQVDVQVPLTILRGPILDEMHQQINSWIYQITRVYKGKDYVETEFIIGPIPVDDGNGKEISTEIITNMATNRTFYTDSNGRDFIKRIRDYRSDWKIEVLQPIAGNYYPVNLGIYVEDGSKEFSILVDRTLLYDDGLGVEEALTETICFNKQCEGLNIEGKYYLKIDPLGEGARWRRTFGQEIYSPLLLAFSEQDGGNWVNSHVPKFSAMDPTYSFPENVAMITLQELEDGNVLLRLAHLYEAGEHKDLSTLATVDLKRVFRDKKIGKITETNLSANQERAAMENKRLKWKVQGPPAEEKVVRGKSVDPSNLLVELGPMEIRTFVIRFNHR* >Brasy5G146100.1.p pacid=40078701 transcript=Brasy5G146100.1 locus=Brasy5G146100 ID=Brasy5G146100.1.v1.1 annot-version=v1.1 MASSLLTTPSQTLALTPATARARGASPAAAQVSFSSPRLPSRRALRVRASVAIEKEVPENEAPPTFLREDGSGAGSGSVRERFEGMIRRVQGEICAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGAAKNGAADGYKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDLTPSYLIEEDVKHFHSVQKQTCDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQDMLLNFSTACASSVIPAYIPIIERRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDACINPKEWL* >Brasy5G104200.1.p pacid=40078702 transcript=Brasy5G104200.1 locus=Brasy5G104200 ID=Brasy5G104200.1.v1.1 annot-version=v1.1 MASHLRLHLAAAPPPLHHHQRLHRLRLPRPPNPPFLNYPPTSSPSSPSPLLPRLLLSSSCPARARGSSSIGGVDEEEEEGETEGEASGGVGGLVGEDSAVFRLGDQRVVSWAYFGGILAVVLYGLNVLWIDPATGFGTSFVDAVAAVSDSHEVVLLLLTIIFAVVHSGMASLRETGEKIIGERAYRVLFAGISLPLAVSTIVYFINHRYDGIQLWQVQGITGIHELVWLSSFISFLFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQFVGQVIWCLAHTLWMGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVMPFAAIVDGRQKLPEDYYKEFIRLPYITITALTLGAYFAHPLMQASSYQLPW* >Brasy5G107200.1.p pacid=40078703 transcript=Brasy5G107200.1 locus=Brasy5G107200 ID=Brasy5G107200.1.v1.1 annot-version=v1.1 MGCSFSGLNALYDTVGGGGGDVWVNDYRFRVLRRLGDAGPAGSSVFLVKEIVAAASAANDASAGGGAGPGPAGLAKKKGVDPSHISADGTYALKKVLIQSDQHLQLVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDATKSMLEKKEYFPTITILQIFRQLCAGLKHMHSFEPPYAHNGVKPDNVLITLRKEQPHLAILMDFESARPARRAIRSQAEALQLQEWASEHCSAHYRAPELWECPSHADIDERTDVWSLGCTLYAMMYGKSPFDYELDESAGESLVKVIKSAQVKWSTETGSSYPDALRQFVTWMLQPQPTVRPHIDDIIFHVDKLIAKYST* >Brasy5G357600.1.p pacid=40078704 transcript=Brasy5G357600.1 locus=Brasy5G357600 ID=Brasy5G357600.1.v1.1 annot-version=v1.1 MELQRKSSSSIKSYGGSNRSSRSASFDFDQDQDRERREIVVKIDDDVQGGGGSGSGSFSLHAASAQNSSSTYSAIPGSGSGGSSAPASPNGADGGRFAASFSFKNRPLSSLATSGAEEGECSDDVDPPSRLIGNFLRKQAAAGGELALDPDLEMEAEMRRLLHVPPGHGGGGGGGISPSRDLRVSFQQQDPRKSFCPSSTTAGSSSSSSDAGNGNNSRTNKASKSNAGVDTAEVIRCTSASTGAAAGLLPRSKTRSRLMDPPPPPPNGTDGDQRNERKSFVVQGPPKSGQLRSGLIGRSGFLGKTGGGFEGGEEDDDPFVDEGLTADFKRETVDCLIILEWVGLVVIVVSLVCSVTIPTLARKKLSGLHLWKWEVLVCVLICGRLVSGWIIRMAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGVALVAWHLLFDKDDAKEEERERERHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTFFDRIQDALFNQYVIETLSGPPLVDESRMLAEVERLQSAGAAIPTELQAAAMPSKPAAPVPKSARLTAAASRRGVGKPQQLQRQKTERHNFDDGGINIDQLHRLSQKNVSAWSMKRLMKIVRYGALTTMDEQIKHATCQEDELATQIHSEYEAKVAAKRIFHNVAKPGSKHIYLSDLMRFMRQEEATKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVFALWLLILGIATTRFFVFLSSQLLVAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSKLATLPIMNYYRSPDMGDAVDFSVHVATPVEKLALMKERLMHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFERRELILHEMMKILRDLDIEYRMLPLDINVRNAPPIHSARMPSTWTSHF* >Brasy5G357600.2.p pacid=40078705 transcript=Brasy5G357600.2 locus=Brasy5G357600 ID=Brasy5G357600.2.v1.1 annot-version=v1.1 MELQRKSSSSIKSYGGSNRSSRSASFDFDQDQDRERREIVVKIDDDVQGGGGSGSGSFSLHAASAQNSSSTYSAIPGSGSGGSSAPASPNGADGGRFAASFSFKNRPLSSLATSGAEEGECSDDVDPPSRLIGNFLRKQAAAGGELALDPDLEMEAEMRRLLHVPPGHGGGGGGGISPSRDLRVSFQQQDPRKSFCPSSTTAGSSSSSSDAGNGNNSRTNKASKSNAGVDTAEVIRCTSASTGAAAGLLPRSKTRSRLMDPPPPPPNGTDGDQRNERKSFVVQGPPKSGQLRSGLIGRSGFLGKTGGGFEGGEEDDDPFVDEGLTADFKRETVDCLIILEWVGLVVIVVSLVCSVTIPTLARKKLSGLHLWKWEVLVCVLICGRLVSGWIIRMAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGVALVAWHLLFDKDDAKEEERERERHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTFFDRIQDALFNQYVIETLSGPPLVDESRMLAEVERLQSAGAAIPTELQAAAMPSKPAAPVPKSARLTAAASRRGVGKPQQLQRQKTERHNFDDGGINIDQLHRLSQKNVSAWSMKRLMKIVRYGALTTMDEQIKHATCQEDELATQIHSEYEAKVAAKRIFHNVAKPGSKHIYLSDLMRFMRQEEATKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVFALWLLILGIATTRFFVFLSSQLLVAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQIVGNFRWSLRR* >Brasy5G389200.1.p pacid=40078706 transcript=Brasy5G389200.1 locus=Brasy5G389200 ID=Brasy5G389200.1.v1.1 annot-version=v1.1 MCQIKKEMIGESGSPCSGDSASSSGQQQQQTVWTLPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRGCRLWLGTFDTAEAAARAHDAAMLALAGAGAGAACLNFADSAELLAVPASYRTLDDVRLAVVEAVEDFLRRCEARAEEEEEDALSGASSSLTDNDTGDETTSSRAEKDSPFELDVLSDMGWDLYYASLAQGMLVEPPSAAAAALGDYGEVCLADVPLWSYQS* >Brasy5G312500.1.p pacid=40078707 transcript=Brasy5G312500.1 locus=Brasy5G312500 ID=Brasy5G312500.1.v1.1 annot-version=v1.1 MAGILGLVQVDQSTVAIKETFGKFSEVLEPGCHFLPWCIGQRIVGYLSLRVKQLDVRCETKTKDNVFVTVVASIQYRALVDKASDAFYKLSNTKQQIQSYVFDVIRATVPKLGLDDAFEQKDDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDVHVKRAMNEINAAARMRSATNEKAEAEKIIQIKRAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVASQIRDGLLQSNIV* >Brasy5G312500.2.p pacid=40078708 transcript=Brasy5G312500.2 locus=Brasy5G312500 ID=Brasy5G312500.2.v1.1 annot-version=v1.1 MAGILGLVQVDQSTVAIKETFGKFSEVLEPGCHFLPWCIGQRIVGYLSLRVKQLDVRCETKTKDNVFVTVVASIQYRALVDKASDAFYKLSNTKQQIQSYVFDVIRATVPKLGLDDAFEQKDDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDVHVKRAMNEINAAARMRSATNEKAEAEKIIQIKRAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVASQIRDGLLQSNIV* >Brasy5G484200.1.p pacid=40078709 transcript=Brasy5G484200.1 locus=Brasy5G484200 ID=Brasy5G484200.1.v1.1 annot-version=v1.1 MSSVEEALLLKPPPSAVVCHRAAAAAAAACHHDGCPGCAMDRRKESLGGRIPIRELSFVSATTLAASLPITCLFPFMYFMVRDFNVAKKEEDIGSYAGFLAASYMIGRAITSIFWGIAADRLGRKPVIAFSMLTVVVLQTLFGLSTKYWMAVAARLLLGSLNGILGPIKAYAIEVCQTEHQALGLSVVNTAWGVGLVIGPGLGGYLAQPAEKYQRAFSKESIFGRFPYLLPCLVVSFFAAIVLLSCIWLPETIHKHKITEKNIKIVKALPSQQAHWDLPRKKSLLQNWPWMSTMASYCLFGLHETAYSEILSLWAVSDRKYGGLSFSSGDIGEVLAVAGASLLVYQLIIYHWVNKFLGPLISSRIASALSILVLATFPFMTYLSGSKLSFALYAATMTRSILGITISTAMCLLQNNAVRQDQRGTANGISTTAMSFFKAVAPLGAGVLFSWAQKRQNATFFPGDQVVFLMLILVQLAGLTSTFEPFLVMPAAEECR* >Brasy5G414600.1.p pacid=40078710 transcript=Brasy5G414600.1 locus=Brasy5G414600 ID=Brasy5G414600.1.v1.1 annot-version=v1.1 MTAASQGSVILPSTNPNSSRDIVRSSLKSAVLRYGSGTSNLPPSAVYTMQWPFTATDDVWQHSLAALVVVLVSLFVLRTAILCQLFAI* >Brasy5G188900.1.p pacid=40078711 transcript=Brasy5G188900.1 locus=Brasy5G188900 ID=Brasy5G188900.1.v1.1 annot-version=v1.1 MEGAVHIFTECCFARQVWLLVAAWSGFPIFSPTSWNPTCSMVEWWTARIEIARRTMTRFAARGATSIFLLSLWSIWKERNCRIFNAKSTPPAGIMVLIKNESELWRLLDGKGIGALLSGPDDVP* >Brasy5G250900.1.p pacid=40078712 transcript=Brasy5G250900.1 locus=Brasy5G250900 ID=Brasy5G250900.1.v1.1 annot-version=v1.1 MAKRCKISPSIVAESEQRSYVLKVDRYTMAKKRFETGVLAAEALQVRGRTEQRNNNYGNRDKSKDGKARSKSRGKDKFCSAGGYNWVVECYPNGMNTKYTNFISVYLVLASAHAKDVKVNFTFSVLDKAGEPVPKYSETASGLTFSSKDSIWGYHDLIKAADLEGSDYLRDDSFTSGDQFQVVPPSDLHQHLDDLLKSMDGADVIFNVSGERFPAHRAVLAARSSVFKAELFGAMKEKDGNPIEIDDMEADVFKSLLHFIYTDSLPEATREGDACGDVVMFGHLLVAADRYNVERLKLICEDKLCKHVDSKMVATSLALAEQHSCHGLKEVCLQFLDSPSNVEAMMASDGYEHLKTSCPYVLMELVARVVPAELKVVLMSI* >Brasy5G243100.1.p pacid=40078713 transcript=Brasy5G243100.1 locus=Brasy5G243100 ID=Brasy5G243100.1.v1.1 annot-version=v1.1 MIRHLSWCSNEDPAGAAARAAMLRSAGDRVVIIGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAAVERTVIPHADYLTHAKVVTAAATGVDDSVVLTSVGRAVAYDFLVIATGRTCTRPQRRADRLEMFQQDKERIAAAHSVLIVGGGPIGVELAAEIVMEYGAESKRITLVHGGDRLLKVMGSRASAKALEWLRSKNVTVLLDQTVDIGGVVDADRREFTTSGGETIVADCHFVCTGRPVASGWLRDTFLGEHVDVDGRLAVDEHLRVGRMRNVFAIGDITDVPEAKQGYLAQRHAMVVARNLRLLLKDGEGEQKRLHRYKPSKAAIHVTLGRRDAVSELPFMTLIGHIPGVIKPRDLFISRTRRMMGLRWN* >Brasy5G142700.1.p pacid=40078714 transcript=Brasy5G142700.1 locus=Brasy5G142700 ID=Brasy5G142700.1.v1.1 annot-version=v1.1 MWIQFNKIRHAKGTDKDGEDIAVKVLPERTGGNHDEEFNKEFYSLLGLRHQNIILLVGYCYETEKRINNLTKKLDDIVKAALCFEYAPNGNLRSYISDEGRRLDWHTCYKIIRGTCEGLHYLHHHPTGPIYHLDLKPENILLSGEMVPKIADFGMSRLFCDRTTKVSTSPMGTWKYLPLEYKKGGTISKQFDIYSLGVIIIEMVVGPKTYDNFDDFSVEEIIDLADKKWRPELNKTETYQSVEAYCQQVKKCLEIGLTCVEENRRKRPTIAHIISQLNEVDVKALNQNSVFQESRKGSGKSTQQARSMPSTWAAGSSREQHRATTQIAQKKVNSVHTRPEESLVYSPPAAINNAGQSQHQMFQFQFQPGTHSYVVQYQAWTPGAPVQVQVEDAFRRQNQAALQTVLHAPAGFSTGMTGGVESGCALCNLASFPQQQSHLCYGTMLPPRPPGLYILDDMGAHAENFIKLQNEGKLPAGLQFPSGMKDVLVLYVTSRGKEFTLDECNRVRSALRSLNMAFIEKDLFGNENNRMELEQLPNSGRPPTLVINSKSVANDTTLRKLLREQGSLASLFT* >Brasy5G155100.1.p pacid=40078715 transcript=Brasy5G155100.1 locus=Brasy5G155100 ID=Brasy5G155100.1.v1.1 annot-version=v1.1 MSSRGIKPDVVTFNTVINTLCRARQARTAVLMLEEMSSHGVAPDETTFTMLMEGFVEEGSIEAALRLKARMSEMGCSPTSVTVNVLINGYCKLGRVGDALGYIQQEIADGFEPDRVTFSTFVNGLCQNGHVDHALKVLGLMLQEGCDPDVFTYSTVINCLCNNGELEEAKGIVNQMVDSGCLPDTTTFNTLIVALCTENQLEEALDLARDLTVKGLSPNVYTFNILINALCKVGDPHLAVRLFEEMKSSGCTPDEVTYNILIDNLCSSGKLAKALDLLKEMEVSGCPQSTVTYNTIIDGLCKRRRIEEAEEVFDQMDVTGIGRNAITFNTLIDGLCNTERIDDAAELVDQMISEGLQPNNITYNSILTHYCKQGNISKAADILQTMTANGFEVDVVTYATLINGLCKARRTQAALKLLRGMRMKGMKPTPKAYNPVIQSLFRGNNGRDALSLFREMTEVGGPPDAFTYKIVFRGLCRGGGPIKEAFDFLVEMADNGFIPEFSSFRMLAEGLLNLGMDDYLISAIELIVEKAHFRESDVSAIRGYLRIRKFYDAIATFGRLLEVNNPRWTYR* >Brasy5G227300.1.p pacid=40078716 transcript=Brasy5G227300.1 locus=Brasy5G227300 ID=Brasy5G227300.1.v1.1 annot-version=v1.1 MAALAALRFGVRFRPTAQEAIGLYLRRWIAGDPVPDADGIINATDVYKHPPADLAAAFTRLPRTHDRYFYATCHRVPIRGGAGYRVSRAVAGVSWGTNNNKPVVDAVTGEHIGFVETLKYGKTDWLMEEFHRLPEDAAGDGVAERVLCRLYVKEHPKPGSLAVAQSVAGDRLLQVQEPAAAAGDLLLQREQAAMAAPQQRMPPSSPRNRRVMALPVLPAPAPVTIQKASKRAAPVADPPRPKKRTRAAPAAARPVMASPPAAAPPVPKVVPLRQLPRPSWCPPPQPGLLGLEPRRPAPTVTAPPEPSPAAAAAQEDADTAELMALLESVIEDKDSAVQEEEAPAAAQEEEEEDEWACLDAELFKEDTIQEQPSTAAAAQEEEAPAAADDDEQDLLQGLAADERGDDDIALDMHKRRARLGRR* >Brasy5G519200.1.p pacid=40078717 transcript=Brasy5G519200.1 locus=Brasy5G519200 ID=Brasy5G519200.1.v1.1 annot-version=v1.1 MARSSSQSQSSVGGAGAGASRPATVGPRGTPAAAAGMRRRPGRASASGVGGFSGGGGGSNMLRFYTDEAPGLRLSPTMVLVMSVCFIGFVTALHVFGKLYRSRAAAASA* >Brasy5G319600.1.p pacid=40078718 transcript=Brasy5G319600.1 locus=Brasy5G319600 ID=Brasy5G319600.1.v1.1 annot-version=v1.1 MDELFRWKWTSSAKFSVQSAYLAFFEGRTEMVGRDLIWDSKASDRLARRDLDHPARCALCDQDQDDASILEWWPSIPLAGKERKDLATSSTLVFWSIWKHKNSIVFDHARVDLVQLLRSIGTEADAWTRAGLFKGRSFVVLPREWR* >Brasy5G291600.1.p pacid=40078719 transcript=Brasy5G291600.1 locus=Brasy5G291600 ID=Brasy5G291600.1.v1.1 annot-version=v1.1 MKTNLKCAMTSSPHTHFPIRTRFSPFNRVQRTLHAYGSSTVYNDPLPPLESANFF* >Brasy5G451000.1.p pacid=40078720 transcript=Brasy5G451000.1 locus=Brasy5G451000 ID=Brasy5G451000.1.v1.1 annot-version=v1.1 MAWEILIRLPAKTLARFKLVSKAWHATISDPAFIAAHLERSKQRMLQDPESSFSFITLQIIHHPPQEQDPPQAAEAAVAAASSVRFYQLSSAPQQQQDDTMGRNSTATLLDERHFRAGEFTQAAPMAHCDGLVLLPTDTNAYVFNPATRDSISLPESSRNMMRQQDPRTCLPTGLGYDASTGRYKVARAFHRSGDYSHTAMGMEVFTINGGGEEEEDQEDGSNRGWRETHEDSPCLIWCPHTPLHHKGHLLYFTDTKNQQPSSLPLGLLRFSLESETFGFTPLLDDMFPPRAEDGGSIVIHELHGELCATLFCKQAGRLFVWVARDILDPRWGLRYTISVSEPCCYPAASLGNGGFLMRGRGRNCLFRYYSQDQAMKEDDVFDLGGLRFVGGDEDTALCSECGDSFFSLMFYTESLVPLVTKASLQAL* >Brasy5G280600.1.p pacid=40078721 transcript=Brasy5G280600.1 locus=Brasy5G280600 ID=Brasy5G280600.1.v1.1 annot-version=v1.1 MERFKVCEKESKLKAFSKEGLDQQPRTDYREKAKAETRDWLNSVVSDLENQIDNFEAELEGFSEQRKQKHPRLVHLKKSITRHKTHIKILESILRLLDNDELRHDQVDDVKDFIEDYVERNQEDFDEFSDVEDLYCTIPIQKFEALKDLISVASSSLIKEDFGEFSDVEDRYSTIHTQKVVALEDLTIVASSRLIKENDGATCANSNPESDIKEQDKACITKIGPWGGRNGNLKDIEVAPLCLNSITIRSGEVVFSLAFSYSHEYGMEHHAGPWGACEGFSYGSFDTIQLGPSEFLTEVSGTVGFSTQYSTIVVTSVMFITNARCYGPFGGGGGIPFNSPVLSNGSIVGFFARAESVIDAIGLYVNPERQPMKEQDSITKIGPWGGHSGRPNDVDVLPRRLISVIVHSGKVINSLTFTYSDCDGQQHTAGPWGGTGESLDGSFHTILLDRSDFLMEVSGTIGRSPNYSDVLTSLLFVTNSGSYGPFGKGGGTRFRSPLQSNGSIVGFFANAGDVIDAIGVYYNPKRETIKEEVEGGTGNADDMANTTVDASIDRVSLKSVTHTVLDDILDGSTTPTNIPLGLLQDITENFSDKRKIGSGGFGVVYKGVLQNRSIAIKKLLNSHTIEDGPFYSEANFLMNVKHKNIVRFLGFCAHTEHTSFKMEGSGRYEKYIYPEMRDRLLCFEYISEGSLDSHLTDELRGLEWHTRYQIIKGICDGMQYLHKEMGIVHMDLKPANILLDDLMVPKITDFGISRHLDGISRAVTKQCLLSLQEDVPLQNTYGVVRYHSSQTYIVWVL* >Brasy5G390400.1.p pacid=40078722 transcript=Brasy5G390400.1 locus=Brasy5G390400 ID=Brasy5G390400.1.v1.1 annot-version=v1.1 MSTAQMRPPRALAALSALLVLLLLLAGAPMGGLLVVAQPSPNPSCGAQLSQLGPCARYSVPPMPGQALPAPGPECCSALGSVSRDCACGAIDIINSLPAKCGLPRVSCRKVIEMTRRASVEGGGGLFMQREELIEMMNKMFDGLSSSNHHRVVSVSCVLCLSVL* >Brasy5G178400.1.p pacid=40078723 transcript=Brasy5G178400.1 locus=Brasy5G178400 ID=Brasy5G178400.1.v1.1 annot-version=v1.1 MIRRPRSPEAPLRGFRTGRGSSNNKRIIRPEKKKKTRSISPNPPDPSPPDYLVSRDAGGPSRRRRAAVAVQGRRGSAQGRGGAQVGGGVGHSRGESEGTRGRRPQPGSEVEASRSGVGHSFCSQFSGSFLPNSR* >Brasy5G341900.1.p pacid=40078724 transcript=Brasy5G341900.1 locus=Brasy5G341900 ID=Brasy5G341900.1.v1.1 annot-version=v1.1 MRKIEKNNQTPPEYRSTNNQQNSSVAGPPSRGVYAPPWRWIRADSSKGGRRRTAARERERLREQEKKQREDRRERRWGGAAYLVVGRIHVGRAKGGRIRWRLAPGRPDPGRQGRAGGGRPSAAGSGGGGPQGGRIQVGRAGQAGAGRRRPDPVAAGPRAAGSRSAGQGRRGPAVGDEEAGGGGRGAGRRRPEARGAGKRRPEAPERW* >Brasy5G474500.1.p pacid=40078725 transcript=Brasy5G474500.1 locus=Brasy5G474500 ID=Brasy5G474500.1.v1.1 annot-version=v1.1 MIRAQRSCGCSASAGERVAASARHQRLARSAWGGGRSKGHRAGEGPAGRLQQRARRRRGGCSEGGGSAERAHGRRRGGCSESAGAVACSCSGKGRGADAAGSDAAAPAKGARGGCSEGRGAAAMPLLRRKERGAAAPDLAQVMKGARDGGWEGSEYVIDGGERPRGPARPGSPCDPPAR* >Brasy5G134700.1.p pacid=40078726 transcript=Brasy5G134700.1 locus=Brasy5G134700 ID=Brasy5G134700.1.v1.1 annot-version=v1.1 MAAAAPVASKVPRELAEHGDVRVDGYYWLRDDSRSDPGVLAHIRAENEYTAALMSDVKQLEDEIFSEIRGRIKEDDTDAPLRRGQYYYYERTLTGKEYVQHCRRLVPTDGPITVDDVMPSGPDAPDEHILFDENVKAGGHDYYSIGAFKVSPSSKLVAYAEDTKGDEIYTVYVIDAETGKYVGQQLEGITSDIEWAGDDYLVYITIDSIH* >Brasy5G202100.1.p pacid=40078727 transcript=Brasy5G202100.1 locus=Brasy5G202100 ID=Brasy5G202100.1.v1.1 annot-version=v1.1 MSPAILKKKKECRLPFLFSFSRSSITAARRLAPRHRRRLGVGSRPSYSSNDAGHCSPLDRGLPAIEKRRCRQWTGGGVPLPSRGERREGARRNAASREESRFQAEASAAKVLGEMPRRGRLRLLFLPIRVD* >Brasy5G394900.1.p pacid=40078728 transcript=Brasy5G394900.1 locus=Brasy5G394900 ID=Brasy5G394900.1.v1.1 annot-version=v1.1 MAETSPRGGTCPALLFPSSSSPSCARVEALVIFTICDSYVRRPDQADRVIGTLLGSVLPDGTVHVRNAYVVPHSESADQVALDIEYHHNMYVSHQKVNPKEVIVGWFSTGFGVSGGSTLIHEFYSREVQSPIHLTVDTGFTMGEASIKAYVSSNLSLGDRHLAAQFQEIPLDRTVLLSETVEFEMLKSVVVEKLPNDLEGMESSMQKLYALIDEIYKYVDDAVEGRVALDNKIGRFIADTVSSVPKLSPSAFERVFNDRIQDNLALVYLSSITRTQIAVAEKLNTAAQVL* >Brasy5G311300.1.p pacid=40078729 transcript=Brasy5G311300.1 locus=Brasy5G311300 ID=Brasy5G311300.1.v1.1 annot-version=v1.1 MSMIDVLIRVDAICQKYDRYDTEKHRNDAADPFSRLYADMDAAIDEAMEKSERAAREKNRAAAVTLNAGVRRAKARLMEDLVKLHKLAVKKVKGLSRDEMALRPDLVAALHHRIQSIPDGGSGGAEDQNGAGNARPGIKFDSSAETFDEGYFQTSEESEQFRREYEMRRIKQDEGLDFISEGLDTLKNLAEDMSEELDRQVPLMDEIDRKVDKANSELRKTNVRLKETVNEFRSTRNFTVDIILICIILGIGAYLYNVLNQ* >Brasy5G151100.1.p pacid=40078730 transcript=Brasy5G151100.1 locus=Brasy5G151100 ID=Brasy5G151100.1.v1.1 annot-version=v1.1 MESTLPEWAHLPQDLLATIFGELEIPDLIRSGAVCTSWHSAYSDFRRLRLPSPKQSPCLLYSSDACGPDAAALYCPSTGATFRIPMPEPTLRLRSLSPVGSGHGWLVVADEISNLHLLNPLTGGHVELPPITTFPSVEGSLDDKGNLTYTISEKGESTFSIPAVEAREIMYHRVVLSCSPSAGSACIVLLMHMPFGKISFARVGDERWTAIPADSSTGLQWANFHSDAVYNTADGLFYVVRADDSIQTLDLNGPSPVAAKFMPAVQEVFDEPFRYLLQTPWGDLLQAWRFREYFDPHSYGEPGGESGNDVEGPDAFDYVDPRVELNTTEVRLYKVDVCGERLVKVDSVGDHALFLGYNGSMCLPIKCFPGLKPNCAYITCDFFEYINCCKFNKREIGVWSIQNQTLHSLGGTSPPNDPWLNWPAPVWITPSLL* >Brasy5G360300.1.p pacid=40078731 transcript=Brasy5G360300.1 locus=Brasy5G360300 ID=Brasy5G360300.1.v1.1 annot-version=v1.1 MATAEVQTPTAALTEEAPAVETPPPAVAAEEVAKEEVPAPVETEVEAAPAPDAEEPAKEEAEPAAAVAEEAKEPEEPADAAAAEAPKEAEAPAVAEPEPEVEAVPEPAVVEEAKEEAAAAAPAEPEAAAPAVVEAPAATEEAPAAVEASA* >Brasy5G099200.1.p pacid=40078732 transcript=Brasy5G099200.1 locus=Brasy5G099200 ID=Brasy5G099200.1.v1.1 annot-version=v1.1 MAPHPVGISSQLVFLLLLLVAASSYPGATIAADSVLGRKAAGVDVDVEDYPGLAAAAAGRAGGKYAVIFDAGSTGTRVNVFRFDKKMELVGIGDDIEVFAKVNPGLSAYAGRPQEAATSMLPLLDKAKNTVPRWLARRTPVKLGATAGLRLIGDEDAEQILDAVRDVIHTKSKFQYNPSWINVLQGSQEGTYLWVALNYLLDKLGGDYAKTVGVIDLGGGSVQMAYAISADAAATAPIAQDPYVTKEYLKGRDYNVYAHSYLHYGTMASRVEILKARNEVFSSCMLRGFTGKYTYNGEQFDATAPPQGAAYGKCRDEVVRALKLDAACKAKNCTFNGAWNGGGGPGMDDLYVRSSFYYMALEVGFIDSGAPSGKTTPAAFGATAEKICAMGFEETKAAYPLVRPADAPYICMDIVYEYSLLVDGFGLEPTKEITVVQKVKHGEYFLEAAWPLGEAIEAVAPKKMLQDQDA* >Brasy5G414000.1.p pacid=40078733 transcript=Brasy5G414000.1 locus=Brasy5G414000 ID=Brasy5G414000.1.v1.1 annot-version=v1.1 MLANAVRDVCESVRDAAHPQGAGDALQERLQAVLSRAASLAPATNTVFRLKEILDTFRDGFLDQTRDANVLSARLHAMRSRRRRPGDDVVAPVEVSGDGRLPAALAGLSGDDLFRALLALRLPAAPATAPDVHLEVALAARRLNQHYHLEIFVYVDVVGQMICEFARRDCESVYQGRTGAFFHRRDTLDSFAEAHINLADSAAAAAAPPAGPGN* >Brasy5G219800.1.p pacid=40078734 transcript=Brasy5G219800.1 locus=Brasy5G219800 ID=Brasy5G219800.1.v1.1 annot-version=v1.1 MAMVASAVKAAAADGVVTFLWVLCVSTLGASTAAVTRYLSLHEEGAAYALLVTVSLLSLLLFAFNLLCDALGGASFNPTALAAFYAAGLTSPSLFSVALRLPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAEGVLTFVITLAVLWIIVRGPRNAVVKTAMLSVSTVSLVLAGAAYTGPSMNPANAFGWAYVNDRHNTWEQLYVYWICPFVGATLAAWTFRAVFPPPAPKPKAKKT* >Brasy5G143700.1.p pacid=40078735 transcript=Brasy5G143700.1 locus=Brasy5G143700 ID=Brasy5G143700.1.v1.1 annot-version=v1.1 MMFTLGPSYRPYICEPLLVPHHISRSVSHRRLLEANSRQTQPEPSQSAKPSHSPPLRGGGDRHSAEVAMAAVATVSSASGILAMLQEPAEELKLHALASLNSLVHLFYPEISTSIPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYAHALLAKALDEYASFKIRASKATEGEESVDPRLETIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVQCDNIHGALSYCINLSHQYVSHREYRCEVLRRLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDTLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLVSQTPGQSNPDNASALPDDQTGNDGTTSTEAAGDVQMGDDTTTASGNAHTVDPKEVEHADRLAKIKAILSGEKSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLASLGTADEEVYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMSLGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVIGLAVFSQFWYWYPLLYFISLAFSPTAIIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKAMDTESKANQEKTTEDTSGSTSGKAAKTQEKDADAMQVDATTEKKAPEPEPTFQILANPARVVPAQEKFIKFIEGSRYVPVKPAPSGFILLRDTQPTEAEELVLTDAPATVAASTGNTAAATGQQGSGSSAMAVDDEPQPPQPFEYTS* >Brasy5G124900.1.p pacid=40078736 transcript=Brasy5G124900.1 locus=Brasy5G124900 ID=Brasy5G124900.1.v1.1 annot-version=v1.1 MHVLVGTVVVIYIMELIINLLFSKLLNFPTSLSLEYLWPLPTRVLATKKALLRAAKLFFLGLLLQGGFFHGIHDLTYGVDIGSIRMMGVLQRIAVAYLAVALCEIWLRGNAGGIVGSGYMLIRRYRHQFFVGLVLVITYTVILYGLHVPDWEYDVMSPDAVVKNFQVQCGMRGDTGPGCNAGCSIDSPRNGPLPSYAPPWCQAPFEPEGLLSSLMAVVTCLIGLQFGHVIVHFKEHEERIVKWSIPSLILLALGLSLGFFGLRMNKSLYTLSYTCVTAGSAGLFFSGIYLLVDVYGYKRPVFPMEWMGKHALVIFVLVACNIGPILVQGFYWRESNKSLVGVLVCVYVYFIDEED* >Brasy5G205700.1.p pacid=40078737 transcript=Brasy5G205700.1 locus=Brasy5G205700 ID=Brasy5G205700.1.v1.1 annot-version=v1.1 MAESGGGGGGGGARDGARPVLVVSLPTHRNPRSDPATATELKGADVLPEEIVVWEILVLLPAAAVFRCRAVCRSWRRLTSRADFLLAHHRRQPSVPLVVLQGGTATDPRRGPEDSESKPGRRLLGFDEYNSGASRSFELHGSCDGLLLLSLSDGRFSICNQATRQHAPLPALTNAGSIVAEALYLHNPSGEYRPRCIGVPAAFPGMKLDGIASVGRISVNNSRPPVLFRGCLHWDPGRSPYAGIVVFDTVAESFRWMRCPAAAAATGYGTRLHDMGGSIALTCFEDCRRVAKIWVLADYEGEVWSFKYKFPHLVLSHKCDMLVEADSGFCMFHCDSNGKLLQEFHLGKWGLRITRHRYKESLVKYDFFPRRSRRGGARVGQPHFFRWL* >Brasy5G516000.1.p pacid=40078738 transcript=Brasy5G516000.1 locus=Brasy5G516000 ID=Brasy5G516000.1.v1.1 annot-version=v1.1 MLTCIACSRQPGGGGLPLHEPPEDEDAVDGRGVSDAATPSTRLAIKALTAQIKDMALKASGAYRHCKPCAGSSAGASGRHHPYHHRGGSGFQDSETASGSERFHYAYRRAALSSGDATPSMSARTDFPAGDEEEEDDEMLSGGGKEDDAKEWVAQVEPGVLITFVSLPLGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQSVPLPTTPKSEDESSKEDSPVTPPLDKERVPRSLHRATSGGGAMGYSSSDSLEHHSNHYCNGLHQHHHHGHQCYDSVGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSDELSVSISNASDQEREWVEEDHPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL* >Brasy5G211900.1.p pacid=40078739 transcript=Brasy5G211900.1 locus=Brasy5G211900 ID=Brasy5G211900.1.v1.1 annot-version=v1.1 MEGKRTTTLTMVIVCMLILSLTVNSATAAQCGCCWAARAKACCFACITAGGSDRVCKNTCCFPCFLGDSVAAKMEEMEMVAKMEEGEA* >Brasy5G189400.1.p pacid=40078740 transcript=Brasy5G189400.1 locus=Brasy5G189400 ID=Brasy5G189400.1.v1.1 annot-version=v1.1 MDCPMTPPEDAPIRKGMIRIPQAKIDWILSRRPPPAPGSMERKFRELDADEELMEIVRDMARSREQAWATMSRLQQRVRCEYAAKGFVEVAEDQVQLAASRRSSGLL* >Brasy5G405400.1.p pacid=40078741 transcript=Brasy5G405400.1 locus=Brasy5G405400 ID=Brasy5G405400.1.v1.1 annot-version=v1.1 MPVWSSPPPPSSLPRLPVAPAWNGAFPAHFQPNGNILGALPPPSTTGSISLSLERPTGRWEPLPLERSTVRLETQQPWSEAPEYGSSGMVRSASARRAADQRGLGPDGSTDGPVSEGLELLADTAVAQPRAEPTRVALGTGTRTGQRVAGGGRGRAARNRRTEAARNVARTRRLERLARARLNQARARADILQVTRGNPGGRNDQEPSGPGF* >Brasy5G404000.1.p pacid=40078742 transcript=Brasy5G404000.1 locus=Brasy5G404000 ID=Brasy5G404000.1.v1.1 annot-version=v1.1 MPLADASSDRLSGSPPMDDADEPAAASSSSSPTSDDAFFEANDSVPPPPPPPPPPPSHPPPPPPPPVPPLPSPPHTPYSSTLRRRPRRAKSLKEPDHVVSPTASAVSTVTAVDEPVKAEPESSDVTSARRPPSLAEEEPDEKDAEAEAEVVARDYRPAAPPSILESLAVVIIKAVVFQVNALVSCLTFPVRLLQWWFLFVTDPVGSVRQAREWVLGAAGQATGAVTTWLGGGDGVGRVVARLVWGSLWAVYVCVVLCSLLVMAFLGGGLLVGKMVEEPVQMTENLNFDYTKPSPMAFVPVWRFVPPNQRMELEVSLTLPESDYNRRLGVFQVRAELLSADGKVVSASSQPCMLKFKSVHMHFIETFFQSLSLLSGYSSESQVITLKMRGIKEAFEPITGVRIILKQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFGLLLTLVCCRPCIFPRSG* >Brasy5G395000.1.p pacid=40078743 transcript=Brasy5G395000.1 locus=Brasy5G395000 ID=Brasy5G395000.1.v1.1 annot-version=v1.1 MQCMFPLEAVISVFSSLRRASLPSMAADGGVARQAELRRIEGNACFYKARFGAAIDCYTEAIALCPDVAVYWMNRGLCHFRRKDWAKVEEDSRKALALDDTLVKGHYMLGCALLRKEECALAIKELEKALDLLKSSNSRDKMVEDIWEVLAKAKYLDWEKHSTQRVWKIQNLKEACENALQEHHFLSGTLTEDSAGPTNEYSEQRKLLSEVFTNAILADTPGDVPDYLCCQITFEIFRDPVITPSGVTYERAILLEHLRKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRSN* >Brasy5G395000.2.p pacid=40078744 transcript=Brasy5G395000.2 locus=Brasy5G395000 ID=Brasy5G395000.2.v1.1 annot-version=v1.1 MAADGGVARQAELRRIEGNACFYKARFGAAIDCYTEAIALCPDVAVYWMNRGLCHFRRKDWAKVEEDSRKALALDDTLVKGHYMLGCALLRKEECALAIKELEKALDLLKSSNSRDKMVEDIWEVLAKAKYLDWEKHSTQRVWKIQNLKEACENALQEHHFLSGTLTEDSAGPTNEYSEQRKLLSEVFTNAILADTPGDVPDYLCCQITFEIFRDPVITPSGVTYERAILLEHLRKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRSN* >Brasy5G395000.3.p pacid=40078745 transcript=Brasy5G395000.3 locus=Brasy5G395000 ID=Brasy5G395000.3.v1.1 annot-version=v1.1 MNRGLCHFRRKDWAKVEEDSRKALALDDTLVKGHYMLGCALLRKEECALAIKELEKALDLLKSSNSRDKMVEDIWEVLAKAKYLDWEKHSTQRVWKIQNLKEACENALQEHHFLSGTLTEDSAGPTNEYSEQRKLLSEVFTNAILADTPGDVPDYLCCQITFEIFRDPVITPSGVTYERAILLEHLRKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRSN* >Brasy5G427400.1.p pacid=40078746 transcript=Brasy5G427400.1 locus=Brasy5G427400 ID=Brasy5G427400.1.v1.1 annot-version=v1.1 MSLACGLPLLECVYCLGCARWAWKRCLHTGDRDSATWGLASAAEFSPVPRMCRLVMANYEPDLANPVFSPPGGYGIDPANIIRRRSYADTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRKFDGGYVHNGLLRAAGWVLDAECDLLRDLLDRYPDYKLTFTGHSLGAGIAAMLTMVVVLNLDKLGDVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLKDTCIPEDVMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHVVLSCNATMDHAVIWIEREAQKALDLMLEKESMMLVPSEQRMERDETVHVEEHKAALRRAATLSVTGVRSPPSTYGTFGGERPERSESFPSSASRQPRVSWNDLIESVFEKDEDGQIVLRSSPPS* >Brasy5G427400.2.p pacid=40078747 transcript=Brasy5G427400.2 locus=Brasy5G427400 ID=Brasy5G427400.2.v1.1 annot-version=v1.1 MSLACGLPLLECVYCLGCARWAWKRCLHTGDRDSATWGLASAAEFSPVPRMCRLVMANYEPDLANPVFSPPGGYGIDPANIIRRRSYADTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRKFDGGYVHNGLLRAAGWVLDAECDLLRDLLDRYPDYKLTFTGHSLGAGIAAMLTMVVVLNLDKLGDVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLKDTCIPEDVMLKDPRRLYAPGRIYHIVERKMFSEMNADVEDIHRWSKQPCQWMVDLSM* >Brasy5G427400.3.p pacid=40078748 transcript=Brasy5G427400.3 locus=Brasy5G427400 ID=Brasy5G427400.3.v1.1 annot-version=v1.1 MSLACGLPLLECVYCLGCARWAWKRCLHTGDRDSATWGLASAAEFSPVPRMCRLVMANYEPDLANPVFSPPGGYGIDPANIIRRRSYADTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRKFDGGYVHNGLLRAAGWVLDAECDLLRDLLDRYPDYKLTFTGHSLGAGIAAMLTMVVVLNLDKLGDVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCCVGTSSFKIGPSSLLGQSAMPPVFEMFEGHLHT* >Brasy5G427400.4.p pacid=40078749 transcript=Brasy5G427400.4 locus=Brasy5G427400 ID=Brasy5G427400.4.v1.1 annot-version=v1.1 MSLACGLPLLECVYCLGCARWAWKRCLHTGDRDSATWGLASAAEFSPVPRMCRLVMANYEPDLANPVFSPPGGYGIDPANIIRRRSYADTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRKFDGGYVHNGLLRAAGWVLDAECDLLRDLLDRYPDYKLTFTGHSLGAGIAAMLTMVVVLNLDKLGDVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCCVGTSSFKIGPSSLLGQSAMPPVFEMFEGHLHT* >Brasy5G427400.5.p pacid=40078750 transcript=Brasy5G427400.5 locus=Brasy5G427400 ID=Brasy5G427400.5.v1.1 annot-version=v1.1 MSLACGLPLLECVYCLGCARWAWKRCLHTGDRDSATWGLASAAEFSPVPRMCRLVMANYEPDLANPVFSPPGGYGIDPANIIRRRSYADTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRKFDGGYVHNGLLRAAGWVLDAECDLLRDLLDRYPDYKLTFTGHSLGAGIAAMLTMVVVLNLDKLGDVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCCTR* >Brasy5G145100.1.p pacid=40078751 transcript=Brasy5G145100.1 locus=Brasy5G145100 ID=Brasy5G145100.1.v1.1 annot-version=v1.1 MAPTRPAAAAPAGSPEVLEVRCVGCGETLEVDPGLTEFICPDCATPQSLPPELLPKPPRRKALPLPRAAADVRGARLPCGSCGALLSVPVGLARCACPICGAELAVDIARLRHYLLSSAEGAIPVVPVGASVPPILQAREVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIEGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKLKFDIAPIGELWIMKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G145100.2.p pacid=40078752 transcript=Brasy5G145100.2 locus=Brasy5G145100 ID=Brasy5G145100.2.v1.1 annot-version=v1.1 MAPTRPAAAAPAGSPEVLEVRCVGCGETLEVDPGLTEFICPDCATPQSLPPELLPKPPRRKALPLPRAAADVRGARLPCGSCGALLSVPVGLARCACPICGAELAVDIARLRHYLLSSAEGAIPVVPVGASVPPILQAREVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKLKFDIAPIGELWIMKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G145100.3.p pacid=40078753 transcript=Brasy5G145100.3 locus=Brasy5G145100 ID=Brasy5G145100.3.v1.1 annot-version=v1.1 MAPTRPAAAAPAGSPEVLEVRCVGCGETLEVDPGLTEFICPDCATPQSLPPELLPKPPRRKALPLPRAAADVRGARLPCGSCGALLSVPVGLARCACPICGAELAVDIARLRHYLLSSAEGAIPVVPVGASVPPILQAREVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G145100.4.p pacid=40078754 transcript=Brasy5G145100.4 locus=Brasy5G145100 ID=Brasy5G145100.4.v1.1 annot-version=v1.1 MLKQNSIVILMQYSYMFVSNITLFGTSTAMQVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIEGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKLKFDIAPIGELWIMKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G145100.5.p pacid=40078755 transcript=Brasy5G145100.5 locus=Brasy5G145100 ID=Brasy5G145100.5.v1.1 annot-version=v1.1 MLKQNSIVILMQYSYMFVSNITLFGTSTAMQVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKLKFDIAPIGELWIMKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G145100.6.p pacid=40078756 transcript=Brasy5G145100.6 locus=Brasy5G145100 ID=Brasy5G145100.6.v1.1 annot-version=v1.1 MLKQNSIVILMQYSYMFVSNITLFGTSTAMQVRQEHPNFGIRAAFLRTEPDNQLNCKEQVQTKRPNQLIPEQADFCNPDYMVDGEDVDDVNGTVTRPSKQKDKHSLGPGLVSAEKRYEEPLNHVRHRAQVQCSTRHVNWTTGCSTALEAVNIQKRQPQIPNQIIQQSQKQSSCYPICTESAQAEDADGVFHVQERQQQHVNQANHTEELCTQVVNEIIPGESNRRRVRCAARSGVTGAEKRKVQEANEAIKQVQRQQSDSVIHMESENPVIHVEKEPANSFSCRALKRKKKGSTAASNSGLELRRSKRLAKDSLPSMDQEPDQNEFLELPASPGGQASDAVIDTEPIHWGSLESQKGTLTSYIPASITYSEPTESDPDEQPAGSPDQSLSDSPDIDRIIKNICPSPSPWQDMPETSSNKLDSLHLTTPPSYSDLDMCDPEQFACNYVPPVVRKALAKLRSNSLLEHTISRASSSEACLHDLTDPEGDDPWIPTSQNIGTKRNPRGLTLRLEVWTLPKGVRIPVSLNTSGQPVGKEAVTLTNFLCAIVRDGILVPLIYQDWRCVPEKNKDIIWRIVKSLGKRWRNWKSLLKIRHYDTHETEEERLADRNPRVLKEQWRFLVAYWSTEKAKAASARNQANAANMVTPHTAGTKSFARIIEEERQKRPNKDGPTAADLFELTHTHKNGKPTKKATADIIARLREESQKQTEGLGSDSTGCKFGLKSSSKAPRGKTALKLKACFQEAVEAKTRAEDEAAALKEKMMAMEESQKKMQEALANMKSTVGAIRKTVPSGDFPDQHMPVPPGFTKIT* >Brasy5G077200.1.p pacid=40078757 transcript=Brasy5G077200.1 locus=Brasy5G077200 ID=Brasy5G077200.1.v1.1 annot-version=v1.1 MYNNPTLVRNNVKQYDNVLQDANSDHSKHPNIANYKQQPQCSGKENAIVYPHTSFMYPKHTPTPDQPSDIIDLVNLFLVCSPLLF* >Brasy5G054700.1.p pacid=40078758 transcript=Brasy5G054700.1 locus=Brasy5G054700 ID=Brasy5G054700.1.v1.1 annot-version=v1.1 MAPTTHFTPSQAHAAAASHHPAAAAAAATATATARLHASASASAPAAAAALCPPFLVAGSHSSAACPPVQNPIFSGSAAPWVVQPQRAALCPEFRRARSTKNISKRNNRGVGSQDRGGRTSSAAAGRCVDKLLRVAPEDRRALGASLSSFGGELVAPDDYCHILRELGDRDKSAIRALEVFHAALLLVGNGSVDKGKLLTAAIGALGKMSRPDLARRAFDAGIAGGYGNTVFANSALISAYARSGLANEAMGVLESMKGSGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDRLCPDRKTFNSLLCACSRAGHLEDARALFDEMIHLGIGRDIYTYNTFIDAICKCGNIELAMQVLLDMEAKNVKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGIQLDRVCYNTVLAIYVKAGKYGEIAIVCDEMEDSGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAFGKSKVLSEEDTEAGEMGIVGVYNGQIIRAANPVTRGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCSNFEDAALLLEQLRLFDNFVYGVAYGLLMGYQEIWSQTQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQLVVLEGVNRRVWDNTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGASTLRHVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTMNMLLLNDERAQHASPSSMVPRLQALQL* >Brasy5G054700.2.p pacid=40078759 transcript=Brasy5G054700.2 locus=Brasy5G054700 ID=Brasy5G054700.2.v1.1 annot-version=v1.1 MAPTTHFTPSQAHAAAASHHPAAAAAAATATATARLHASASASAPAAAAALCPPFLVAGSHSSAACPPVQNPIFSGSAAPWVVQPQRAALCPEFRRARSTKNISKRNNRGVGSQDRGGRTSSAAAGRCVDKLLRVAPEDRRALGASLSSFGGELVAPDDYCHILRELGDRDKSAIRALEVFHAALLLVGNGSVDKGKLLTAAIGALGKMSRPDLARRAFDAGIAGGYGNTVFANSALISAYARSGLANEAMGVLESMKGSGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDRLCPDRKTFNSLLCACSRAGHLEDARALFDEMIHLGIGRDIYTYNTFIDAICKCGNIELAMQVLLDMEAKNVKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGIQLDRVCYNTVLAIYVKAGKYGEIAIVCDEMEDSGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAFGKSKVLSEEDTEAGEMGIVGVYNGQIIRAANPVTRGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCSNFEDAALLLEQLRLFDNFVYGVAYGLLMGYQEIWSQTQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQLVVLEGVNRRVWDNTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGASTLRHVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTMNMLLLNDERAQHASPSSMVPRLQALQL* >Brasy5G054700.3.p pacid=40078760 transcript=Brasy5G054700.3 locus=Brasy5G054700 ID=Brasy5G054700.3.v1.1 annot-version=v1.1 MAPTTHFTPSQAHAAAASHHPAAAAAAATATATARLHASASASAPAAAAALCPPFLVAGSHSSAACPPVQNPIFSGSAAPWVVQPQRAALCPEFRRARSTKNISKRNNRGVGSQDRGGRTSSAAAGRCVDKLLRVAPEDRRALGASLSSFGGELVAPDDYCHILRELGDRDKSAIRALEVFHAALLLVGNGSVDKGKLLTAAIGALGKMSRPDLARRAFDAGIAGGYGNTVFANSALISAYARSGLANEAMGVLESMKGSGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDRLCPDRKTFNSLLCACSRAGHLEDARALFDEMIHLGIGRDIYTYNTFIDAICKCGNIELAMQVLLDMEAKNVKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGIQLDRVCYNTVLAIYVKAGKYGEIAIVCDEMEDSGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAFGKSKVLSEEDTEAGEMGIVGVYNGQIIRAANPVTRGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCSNFEDAALLLEQLRLFDNFVYGVAYGLLMGYQEIWSQTQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQLVVLEGVNRRVWDNTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGASTLRHVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTMNMLLLNDERAQHASPSSMVPRLQALQL* >Brasy5G221900.1.p pacid=40078761 transcript=Brasy5G221900.1 locus=Brasy5G221900 ID=Brasy5G221900.1.v1.1 annot-version=v1.1 MYAFYRSSYTAPPPVSDHLHCCSNMLCFSAIQGVHVRSCCLTPPPNDFLKINIAGAIFESTGCGGWGFCIRLPRYDTIHGVGLGQIQNLADAYTQNPWP* >Brasy5G055700.1.p pacid=40078762 transcript=Brasy5G055700.1 locus=Brasy5G055700 ID=Brasy5G055700.1.v1.1 annot-version=v1.1 MEKMNSPLHKVIDARRWDGELLLGRLFILFHAAFLPAADPASSGFLPNKQVGSTASTLSLRYLVPQILAFDGLCRRVLAEICGGGGGIALPSGTSFMSLPEEMKASILARLDDGADLARASACCGELRRLVAERDGELWEPLYRAAQRMGVWTGMTWKELYVMSKCPEEKWPAGLADKRFREFSELYTSLQGVQIADDDLQRPPLPTYDDSDVPELFRLLADAHLAGLAEEETAAEQSRGETALPSSSSGQVVAS* >Brasy5G482700.1.p pacid=40078763 transcript=Brasy5G482700.1 locus=Brasy5G482700 ID=Brasy5G482700.1.v1.1 annot-version=v1.1 MAEPSSSSAAAPPAPTDAEREDALDRMLTRLALAEDARLAPLLTRVLPYAITSLASTAPSVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASASIVRNFCIVYIEMAFERLPSEEKGNTAPDLLINTSNVPAQHQGIILRLVAKAIGECNTRKVDDSIASKYRSISGSSDGLVFADFGFHTILYQTPSQGIGCPAGLSVAQADRVTGKLPLKGDTLTSRKLGILNVIEAMQLASEIVYPIYLAGASDSQESVAKRGDELLKRKASTANLEDPNLIKRLFTLFNGTTGQENIAAELKVAPAHSPLRVRLMSVFSRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTEADSIGRDVKIFAYQAIGLLASRMPNLFSNKTDMAIRLFTALRNEDQSLRLTIQEAATSLATSYKGASVEVLRDLEALLLENSQVEQIEVRFSAVRWATTLYDMHHCPSRYICMLGASDVKLDIREMALTGLNLLNDERQSSVTAVDFNYPDVVEMLNYIYSQQPQLLHSNDQRHGKLLFPSKTFLAMIKFLMKCFQASDSPDLSQEDLSHSPVTKMCVILEHAMSYEGSSELHALALKSLVDISFRQRKLVSSRYANRLHWLRALLSHVDSDAREAAARLLGIASSALSNSAALNLLSELTSTFDQNHPSKFEVYHGLLCAIGYITACCLKESYIPEGLVKKVVDILVKVVESEGSTLASIAMESLGHIGLRCALPSISRNSSTDAVVTVLHERLAKLLSENDTKAVQKILVSLGHISWNELSFAHLKIALDLIFSLARSKVEDVLFASGEAISFIWGEVPVTADVILETNFVSLSQATNFLTGDAPLLNSSNTGKRSSCDEAHTMAREEIINKLFDTLIYSSRKEERCAGTVCLVSLTMYCGRHPKILELLPQIQEAFSHLIGDANELTQDLASQGMSIVYELGDAAMKGQLVHALVNTLTGSAKKKKAIKLMEDSEVFQEGTIGSNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTTFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTAATDAKETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGTALRPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLDSLRVAVAKDSPMWETLDICIKVVDTDSLDLLIPRLAQMVRSAVGLNTRVGVASFITLLVQKVMINIKPYTAMLLKLLYSAVLEERSTAAKRAFASSCAAVLKYASQSQAQKLIEDTASLHLGEKNAQLSGAILIKSYLSNAADVISGYNAVVIPVIFSSRFDDDKETSALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKAIKKLCDALGEPLSAHHNNILKSLLKELPGRFWEGKDSILDALASLCSCCHTAITAEDSTMPSVILSAVCAACSRKSKVYRETAFSCLQQVVTAFGDPVFFNSVFPMLCEVSNQSVISKTTASSSLTTSSAAAEQDESASVSVSLDKVLNCAASCISVALPQDIVHQKKNVLEVILNSLSPEEGWHVKLASFLCIKELCYKFLNSDGNNAWPQDTDDLVQELFHSVAPKIVDCIRLVKIAQVHIAASECLHELIKLYRDFPLTERREAKFEGVLIQLCESEKSEQAKALLKQCLAVLKDLTGVTMAID* >Brasy5G482700.2.p pacid=40078764 transcript=Brasy5G482700.2 locus=Brasy5G482700 ID=Brasy5G482700.2.v1.1 annot-version=v1.1 MAEPSSSSAAAPPAPTDAEREDALDRMLTRLALAEDARLAPLLTRVLPYAITSLASTAPSVRKLVMEILSHINKRVKHRPEISLPMLDLWKIYTESASASIVRNFCIVYIEMAFERLPSEEKGNTAPDLLINTSNVPAQHQGIILRLVAKAIGECNTRKVDDSIASKYRSISGSSDGLVFADFGFHTILYQTPSQGIGCPAGLSVAQADRVTGKLPLKGDTLTSRKLGILNVIEAMQLASEIVYPIYLAGASDSQESVAKRGDELLKRKASTANLEDPNLIKRLFTLFNGTTGQENIAAELKVAPAHSPLRVRLMSVFSRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTEADSIGRDVKIFAYQAIGLLASRMPNLFSNKTDMAIRLFTALRNEDQSLRLTIQEAATSLATSYKGASVEVLRDLEALLLENSQVEQIEVRFSAVRWATTLYDMHHCPSRYICMLGASDVKLDIREMALTGLNLLNDERQSSVTAVDFNYPDVVEMLNYIYSQQPQLLHSNDQRHGKLLFPSKTFLAMIKFLMKCFQASDSPDLSQEDLSHSPVTKMCVILEHAMSYEGSSELHALALKSLVDISFRQRKLVSSRYANRLHWLRALLSHVDSDAREAAARLLGIASSALSNSAALNLLSELTSTFDQNHPSKFEVYHGLLCAIGYITACCLKESYIPEGLVKKVVDILVKVVESEGSTLASIAMESLGHIGLRCALPSISRNSSTDAVVTVLHERLAKLLSENDTKAVQKILVSLGHISWNELSFAHLKIALDLIFSLARSKVEDVLFASGEAISFIWGEVPVTADVILETNFVSLSQATNFLTGDAPLLNSSNTGKRSSCDEAHTMAREEIINKLFDTLIYSSRKEERCAGTVCLVSLTMYCGRHPKILELLPQIQEAFSHLIGDANELTQDLASQGMSIVYELGDAAMKGQLVHALVNTLTGSAKKKKAIKLMEDSEVFQEGTIGSNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTTFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTAATDAKETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGTALRPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLDSLRVAVAKDSPMWETLDICIKVVDTDSLDLLIPRLAQMVRSAVGLNTRVGVASFITLLVQKVMINIKPYTAMLLKLLYSAVLEERSTAAKRAFASSCAAVLKYASQSQAQKLIEDTASLHLGEKNAQLSGAILIKSYLSNAADVISGYNAVVIPVIFSSRFDDDKETSALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKAIKKLCDALGEPLSAHHNNILKSLLKELPGRFWEGKDSILDALASLCSCCHTAITAEDSTMPSVILSAVCAACSRKSKVYRETAFSCLQQVVTAFGDPVFFNSVFPMLCEVSNQSVISKTTASSSLTTSSAAEQDESASVSVSLDKVLNCAASCISVALPQDIVHQKKNVLEVILNSLSPEEGWHVKLASFLCIKELCYKFLNSDGNNAWPQDTDDLVQELFHSVAPKIVDCIRLVKIAQVHIAASECLHELIKLYRDFPLTERREAKFEGVLIQLCESEKSEQAKALLKQCLAVLKDLTGVTMAID* >Brasy5G029400.1.p pacid=40078765 transcript=Brasy5G029400.1 locus=Brasy5G029400 ID=Brasy5G029400.1.v1.1 annot-version=v1.1 MEEEEVQPAVSGLPLPPPVPPSEEEMAAWLYPIVRGGEEYLVADHRPAVNDVPAAGRMVVGGDHSDQQVPSKRLTEKLQATENKYAERRRCKVNARLKTLQQLVPGCQKSNQASTLDQTIQYMKSLQQQLQYSMASMVPAGCSMMRPACPTSDAFGSRCLVLLGRLYRRLLGQRRRPCYLRWFTSP* >Brasy5G276100.1.p pacid=40078766 transcript=Brasy5G276100.1 locus=Brasy5G276100 ID=Brasy5G276100.1.v1.1 annot-version=v1.1 MKGSPGSRNINAMQESTAHREGEEAPSGGEAGRGDRLTKLPDELLLDILERVDTLDALRTCVLSKRMLKLPTMLSWFDINIGSLLRHHDTESLGYTDAHVVRYNKVVAGVTEKILSVKNPEIPIHKLRARFYMRHDECLSISKAFARAMATQGVDNAEFVLIPEKCIFECTRDDIVCFAKQFNSCLGGCPAAFAGLTLLWLFGMRFGEQDIHNILSTCRRLEYLYLTYCDAGDRSVLQVEHAQLVELHIDQGQFEALCAKTPTSDLCWLVLPRPPDFWLCPAAVEANEKIWVVPECPKLLAPVLAKVQTVNLDNLPEGCDITWTMFILEAAPSLSELCITVWDHWCQMVTDQDRRKKYGYCEKANVEWQPSAFDFKHKNLVKLTIYGFQPHENFVRYVRRFMEVAVNVREISLHDRKVCECRGDYLDPKIKDRPSAYPTTSKEKDMLRVEITKELGMASPAVIHFSLAPPSSHLYPSLFGPDPPLRPPFSRVSPLPPLSFSPQPHSCRLHRPSLHLPLLSPCRSPPPSPLFSSALPSPSSHTKKLARTKRRPRMSESRRIRWLRGRIWSPSSQSPKGRRRSSGHCRRSPRRSRSPDLVAATTDPVAVARREKGTRRSGGGGTRSRGAAPFLSCSYFNSEDIFVK* >Brasy5G276100.2.p pacid=40078767 transcript=Brasy5G276100.2 locus=Brasy5G276100 ID=Brasy5G276100.2.v1.1 annot-version=v1.1 MKGSPGSRNESTAHREGEEAPSGGEAGRGDRLTKLPDELLLDILERVDTLDALRTCVLSKRMLKLPTMLSWFDINIGSLLRHHDTESLGYTDAHVVRYNKVVAGVTEKILSVKNPEIPIHKLRARFYMRHDECLSISKAFARAMATQGVDNAEFVLIPEKCIFECTRDDIVCFAKQFNSCLGGCPAAFAGLTLLWLFGMRFGEQDIHNILSTCRRLEYLYLTYCDAGDRSVLQVEHAQLVELHIDQGQFEALCAKTPTSDLCWLVLPRPPDFWLCPAAVEANEKIWVVPECPKLLAPVLAKVQTVNLDNLPEGCDITWTMFILEAAPSLSELCITVWDHWCQMVTDQDRRKKYGYCEKANVEWQPSAFDFKHKNLVKLTIYGFQPHENFVRYVRRFMEVAVNVREISLHDRKVCECRGDYLDPKIKDRPSAYPTTSKEKDMLRVEITKELGMASPAVIHFSLAPPSSHLYPSLFGPDPPLRPPFSRVSPLPPLSFSPQPHSCRLHRPSLHLPLLSPCRSPPPSPLFSSALPSPSSHTKKLARTKRRPRMSESRRIRWLRGRIWSPSSQSPKGRRRSSGHCRRSPRRSRSPDLVAATTDPVAVARREKGTRRSGGGGTRSRGAAPFLSCSYFNSEDIFVK* >Brasy5G231100.1.p pacid=40078768 transcript=Brasy5G231100.1 locus=Brasy5G231100 ID=Brasy5G231100.1.v1.1 annot-version=v1.1 MEKYEKLEKVGEGTYGKVYKAQDRATGQVVALKKTRLEMDDEGIPPTALREISLLRLLSSSVYVVRLLAVEQATKGEGGKAVLYLVFEFLDTDLKKFVDGFRRGPCPKPLPTDVVKSFLYQLCKGIAHCHGHGVLHRDLKPQNLLVDKDKMILKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDIWSIGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEDWPGVTSLRDWHEYPQWKAQKLTRAVPSLEPEGVDLLSKMLQFDPANRITAKAALEHPYFDSLDKSQF* >Brasy5G393300.1.p pacid=40078769 transcript=Brasy5G393300.1 locus=Brasy5G393300 ID=Brasy5G393300.1.v1.1 annot-version=v1.1 MIYQIHSTKSLILGESMTEGTTLLDAKTVELQFKRLLENVQSDNLSLARRLHVHAVGAHVTPSTSQQWQNSSLKRSWNGSGSNGDTSSDCTSSRKKRSFGRIVQIWPSGNCDVLEQPTEATSTLNIENTAGPVGVVTKAAAEIASSFKTPDILKPLVTFSPTTMARLTSELGLFAWLLEAEDRLNLNARALESEFLDSPIEKIKYSFVSMAKNPWNGGVEKKPRTIGVSNKLFDYLLTETSNLDRKWIVHASPRCIEISGIDLKNTFVKHGTFGYDMFDIAIRRFTQSDKEMYGPGQRKSWRHIFESDFLMYVLANEDPLSECSIKDQFASACKEYEISECRMLVFPGLVVETWCSYFFDMKLKLVHILDPSYEAGAKAELKKLHDYNVLKIKGSLSKCIDLLFEGWLIDWGVWNNNYVMPLLPGCTTVDSGFIILIGIRDYTGSRFVTATSQASLQGSRKELLYEMMSIEGNTGMIPSAYIHDIE* >Brasy5G448200.1.p pacid=40078770 transcript=Brasy5G448200.1 locus=Brasy5G448200 ID=Brasy5G448200.1.v1.1 annot-version=v1.1 MNRRRVGPRSEQSDADSNSCLSRILGAPIRRSHRWQQIRRPRQPGPRRPGVPHNRPNHAGACARAPHPSFLRPLPGLASQFTHTQPASLLPLFAISLSPCCRLVAAGGAEAAVGEGGSAAAREGTRTDPQPTGAPRVRRRLNPCSARLGQKDGVGGSPEIRRAKGRAERSSFLPPSSLLHG* >Brasy5G227200.1.p pacid=40078771 transcript=Brasy5G227200.1 locus=Brasy5G227200 ID=Brasy5G227200.1.v1.1 annot-version=v1.1 MASSSSSSSARVIVAAAVLLTFVPLLLGVASGNDLIYVACKMAGAPDTEFCKAALGSVGAGEHAKSYKELAAVGAELLVANATSTKAKIDGLLAAGGGGGAAVALRSCQALYEGIVDGGRGSAAAIRGGRLGEAAAGLEKAAAAARRCEGGFGKSGAPSPVTKEGRDAERLAELAVRLVGIA* >Brasy5G094400.1.p pacid=40078772 transcript=Brasy5G094400.1 locus=Brasy5G094400 ID=Brasy5G094400.1.v1.1 annot-version=v1.1 MAIPIHLCLSGNQTHPKPPPPSPSWPPPIRICLLCSRRMMPPPLPNPAQAVVARVANLLSQERTTSSPQKKKQGLPQEPLHGIWEQASTPPVPTGAPRRRSVRRDSPAPDAPAGRLACARR* >Brasy5G487100.1.p pacid=40078773 transcript=Brasy5G487100.1 locus=Brasy5G487100 ID=Brasy5G487100.1.v1.1 annot-version=v1.1 MQSWRSPDSAPGSSQAISQRSHSTVPVLEIPPEFDPDSAEFASDMSDYATEFRRDFVEIPLEFDPDASELVSDKSYNVPKLQLEQTEIFHEFDPDSAELAPDITEYTSKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPSLSEAHKRRPKRKSSIERLRVSRHLADEAMSYFEECVSISTLDSTDFSSLEDPQPTSVGTVPQKSNTRFLLKGGSSFLEPHFLSDRHSYHEESDNQTQCSMSITGSDVSDSVIFSHAKPLGLGTRIDSSDDLDSVDTPRSRSSCFSFSHGPANTVDNSDVQQYLRSFSRGISKERSSYSADDYAIQKISEDILADTVAFKNRIENGGLLLCNIRTF* >Brasy5G487100.2.p pacid=40078774 transcript=Brasy5G487100.2 locus=Brasy5G487100 ID=Brasy5G487100.2.v1.1 annot-version=v1.1 MQSWRSPDSAPGSSQAISQRSHSTVPVLEIPPEFDPDSAEFASDMSDYATEFRRDFVEIPLEFDPDASELVSDKSYNVPKLQLEQTEIFHEFDPDSAELAPDITEYTSKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPSLSEAHKRRPKRKSSIERLRVSRHLADEAMSYFEECVSISTLDSTDFSSLEDPQPTSVGTVPQKSNTRFLLKGGSSFLEPHFLSDRHSYHEESDNQTQCSMSITGSDVSDSVIFSHAKPLGLGTRIDSSDDLDSVDTPRSRSSCFSFSHGPANTVDNSDVQQYLRSFSRGISKERSSYSADDYAIQKISEDILADTVAFKNRIENGGLLLCNIRTF* >Brasy5G487100.4.p pacid=40078775 transcript=Brasy5G487100.4 locus=Brasy5G487100 ID=Brasy5G487100.4.v1.1 annot-version=v1.1 MQSWRSPDSAPGSSQAISQRSHSTVPVLEIPPEFDPDSAEFASDMSDYATEFRRDFVEIPLEFDPDASELVSDKSYNVPKLQLEQTEIFHEFDPDSAELAPDITEYTSKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPSLSEAHKRRPKRKSSIERLRVSRHLADEAMSYFEECVSISTLDSTDFSSLEDPQPTSVGTVPQKSNTRFLLKGGSSFLEPHFLSDRHSYHEESDNQTQCSMSITGSDVSDSVIFSHAKPLGLGTRIDSSDDLDSVDTPRSRSSCFSFSHGPANTVDNSDVQQYLRSFSRGISKERSSYSADDYAIQKISEDILADTVAFKNRIENGGLLLCNIRTF* >Brasy5G487100.3.p pacid=40078776 transcript=Brasy5G487100.3 locus=Brasy5G487100 ID=Brasy5G487100.3.v1.1 annot-version=v1.1 MQSWRSPDSAPGSSQAISQRSHSTVPVLEIPPEFDPDSAEFASDMSDYATEFRRDFVEIPLEFDPDASELVSDKSYNVPKLQLEQTEIFHEFDPDSAELAPDITEYTSKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPSLSEAHKRRPKRKESDNQTQCSMSITGSDVSDSVIFSHAKPLGLGTRIDSSDDLDSVDTPRSRSSCFSFSHGPANTVDNSDVQQYLRSFSRGISKERSSYSADDYAIQKISEDILADTVAFKNRIENGGLLLCNIRTF* >Brasy5G471100.1.p pacid=40078777 transcript=Brasy5G471100.1 locus=Brasy5G471100 ID=Brasy5G471100.1.v1.1 annot-version=v1.1 MDTLLPEDVLAEVLCRLSPRSLAALRCVCKGLRDIIDDHPLLRADLLPHSLGGIFINFQSLRVSEFLYPLVGPSISGNLTSHVNDHCNGLVLLDDGCVVNPATQQWARLPLLPTLRTNQMRAFHKAGVKYLAFDPSVAPHYEVFLILHVPHKTELGPVSEKSQWPPSTFVLYVYSSRTGHWEERPFDREGGDAAGTDPLAGDPHALHFSPPHFPHSLRLSLSLSPRRFCFGGVECLGGVGRRIREARPGGRGAWSKEAGDRRAHRQFLAAASRVHPRASKVVAGGRLGLVVCMSHDAVVASARSYSGY* >Brasy5G116300.1.p pacid=40078778 transcript=Brasy5G116300.1 locus=Brasy5G116300 ID=Brasy5G116300.1.v1.1 annot-version=v1.1 MAAPSSAISAKFGCAALPAPSWKGSGISGRNLISMGTATRRGLVSQRSPRFRVFCAAKPEMVSKVMGIVKQQLALSDDAALTPESKFADLGADSLDTVEIVMALEEEFKITVEEDNAQNITSIQEAADLIDKLVGEEGKAA* >Brasy5G307900.1.p pacid=40078779 transcript=Brasy5G307900.1 locus=Brasy5G307900 ID=Brasy5G307900.1.v1.1 annot-version=v1.1 MVQAGQIAAMAPDADAMPAADTKDSGDVRMISTKELRAHTAADDLWISISGDVYDVTPWLRHHPGGDVPLLTLAGQDATDAFVAYHPPSARPLLRRFFVGRLSDYTVSPASADYRRLLAQLSSAGLFERVGPTPKLLLVVMSVLFCIALYCVLACSSPSAHLFAGGLIGFIWIQSGWIGHDSGHHQLTTHPALNRLLQIISGNCLTGLGIAWWKFNHNTHHISCNSLDHDPDLQHLPLFAVSTKLFNNLWSVCYERTLAFDAISKFFVSYQHWTFYPVMGFARINLLVQSAVFLLSQRKVRQRWLEIAGVAAFWVWYPLLVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSAVYVGPPKGNDWFERQTAGTLDIKCSPWMDWFHGGLQFQVEHHLFPRLPRCHYRMVAPFVRDLCKKHGLPYGAATFWEANVLTWKTLRAAALQARVATTGAAPKNLVWEALNTHG* >Brasy5G455800.1.p pacid=40078780 transcript=Brasy5G455800.1 locus=Brasy5G455800 ID=Brasy5G455800.1.v1.1 annot-version=v1.1 MASADYSLDRKLSALLNEARPSAASLRAAGEAADAVAALVKSVPLQQANPTAASGFVADLGLLAEAHKLAFDFRAPEVVRLAGSHAAGGAVARPDVAADLLVRLPKECFHEKDFLNHRYHVKRCLYLCVIEKSLRSSPLIRKVSWSTFQDEARKPILHVYPATEIAELPGFYVRIIPTASSLFDVSKLNLSTRNNVRAYTKDGINQPTPKYNSSILEDMFLEENAESISSTFANWKNLQEALVLLKVWARQRNSIYTHDCLNGYLISALLVFLTMDSGGSIINRSMTTRQIFRVAINFFATSKMWPKGLVMQPMKKRTITKENIAHLLKTFDVVICDVSGHVNLAFRMKKTAFLELQDEAASALNCLDKCRDGGLEELFMTKVDFGAKFDSCVRINLKGNSKVTALSFCLDDESWRVLENNVQSLLKQGLTDRTKMIRVLWRSTPSEWNIKDGFSKFGSSPVIVGIMLSSLEKSYRLVDIGPNPENRDEAVKFRKFWGEKAELRRFKDGVIAESTVWETEPWKRHTIVKRIADYVLTKHLLLQKEDLVHVVDQLDFCLLVAGQDPVSSSGDLLIAFDTLSKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKSSQRLPNFAATCVRSLEVMIQLEGSGNWPLDPLAMEKTKSAFLLRIGESLEGRGMFVTASVDEVNVLTSGYSFLLKIFHERGLVQKQAGDVNTQSAPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWMSAHLFSSFISEEAVELVVAYLFLKPFPFHAPSSRVVGFLRFLRLLSSFDWTFTPMVVDINNDFNLKDEKDINENFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKSVLKRMAAYAKSSAELLTNLIIHGQSGQYTWECLFRTPLSNYDAVVLLHQEKLCRPHHVLFPAETPNGKLVIRGNPSNSFHPYMSLNSTVMRSLHDARDKLLVNFDPTAYFLRDLKCAFPVTFKLWYDSNGGDAVGLTWENSKKRGRDEADEAMPDPTSILKEVGDVGKGLVRGVYLLKAPKLQ* >Brasy5G455800.2.p pacid=40078781 transcript=Brasy5G455800.2 locus=Brasy5G455800 ID=Brasy5G455800.2.v1.1 annot-version=v1.1 MASADYSLDRKLSALLNEARPSAASLRAAGEAADAVAALVKSVPLQQANPTAASGFVADLGLLAEAHKLAFDFRAPEVVRLAGSHAAGGAVARPDVAADLLVRLPKECFHEKDFLNHRYHVKRCLYLCVIEKSLRSSPLIRKVSWSTFQDEARKPILHVYPEIAELPGFYVRIIPTASSLFDVSKLNLSTRNNVRAYTKDGINQPTPKYNSSILEDMFLEENAESISSTFANWKNLQEALVLLKVWARQRNSIYTHDCLNGYLISALLVFLTMDSGGSIINRSMTTRQIFRVAINFFATSKMWPKGLVMQPMKKRTITKENIAHLLKTFDVVICDVSGHVNLAFRMKKTAFLELQDEAASALNCLDKCRDGGLEELFMTKVDFGAKFDSCVRINLKGNSKVTALSFCLDDESWRVLENNVQSLLKQGLTDRTKMIRVLWRSTPSEWNIKDGFSKFGSSPVIVGIMLSSLEKSYRLVDIGPNPENRDEAVKFRKFWGEKAELRRFKDGVIAESTVWETEPWKRHTIVKRIADYVLTKHLLLQKEDLVHVVDQLDFCLLVAGQDPVSSSGDLLIAFDTLSKQLRLLDDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKSSQRLPNFAATCVRSLEVMIQLEGSGNWPLDPLAMEKTKSAFLLRIGESLEGRGMFVTASVDEVNVLTSGYSFLLKIFHERGLVQKQAGDVNTQSAPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWMSAHLFSSFISEEAVELVVAYLFLKPFPFHAPSSRVVGFLRFLRLLSSFDWTFTPMVVDINNDFNLKDEKDINENFMLSRKSYEQNPHDIEPAMFLATSYDKASEAWTKHSPSKSVLKRMAAYAKSSAELLTNLIIHGQSGQYTWECLFRTPLSNYDAVVLLHQEKLCRPHHVLFPAETPNGKLVIRGNPSNSFHPYMSLNSTVMRSLHDARDKLLVNFDPTAYFLRDLKCAFPVTFKLWYDSNGGDAVGLTWENSKKRGRDEADEAMPDPTSILKEVGDVGKGLVRGVYLLKAPKLQ* >Brasy5G143600.1.p pacid=40078782 transcript=Brasy5G143600.1 locus=Brasy5G143600 ID=Brasy5G143600.1.v1.1 annot-version=v1.1 MTRITAPQPPSSPPDSPPPLRRSPAPATPPSRGRRHSPSPSLALTPSSSASASTSTSATSSRPKPRPSPKRIYSPAQWVPIRSHPALSLRGGGGVAWDAAASRLYVWDPSARAAHRICVRIRDADAGKDGEMEEVAVEAAVPSEMLMPEADLGYEVTHISLNTDGSSLLLAGSHNINVLYVHERVSEDGDTIICRAAPVASKILPSNNNGIKVLQASWHPFSSSHFGILTSDAVFRLFDLSFDLEKPEQEFYLQPILPGRCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYSKRNIEELYEDVNTFGLKSSNTNVVTNSHLAIAWLEATFPELLRQSAETSTLMSRARPYAPVDDSLTLQGPLCRVCEENSELEGKSSSCEGKAVGFLYSSAGKDSVLVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGHLKSVAMICDSNPQDPWALKSHPLSSTGSNVKSNTEAVWMGHSPPLLRVAIVDLALPKTSNGSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMVSTPPSVHPVLTTGNSDTNSPFLSGFAAIADAYGHVQLVGITYAGECFVVEMKGWKEPTPLQLDIYSKNIKDVESSTTGMISKELIAGPDPPMLPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTEIEDKQKRLQAVKQSLLSIEAKDQDISKRIDRASKVYDLLEKRIESFKMLPAANKKPLSQAELEFKSQLDRFADVELDALRSSIAAQSARMKRFAHPSLGGAPGTGMLPWQPPKGGRSNVSDSQMSLMKSSLERLSLLNDESNQKLRLIEHELKNQEQ* >Brasy5G143600.2.p pacid=40078783 transcript=Brasy5G143600.2 locus=Brasy5G143600 ID=Brasy5G143600.2.v1.1 annot-version=v1.1 MLMPEADLGYEVTHISLNTDGSSLLLAGSHNINVLYVHERVSEDGDTIICRAAPVASKILPSNNNGIKVLQASWHPFSSSHFGILTSDAVFRLFDLSFDLEKPEQEFYLQPILPGRCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYSKRNIEELYEDVNTFGLKSSNTNVVTNSHLAIAWLEATFPELLRQSAETSTLMSRARPYAPVDDSLTLQGPLCRVCEENSELEGKSSSCEGKAVGFLYSSAGKDSVLVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGHLKSVAMICDSNPQDPWALKSHPLSSTGSNVKSNTEAVWMGHSPPLLRVAIVDLALPKTSNGSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMVSTPPSVHPVLTTGNSDTNSPFLSGFAAIADAYGHVQLVGITYAGECFVVEMKGWKEPTPLQLDIYSKNIKDVESSTTGMISKELIAGPDPPMLPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTEIEDKQKRLQAVKQSLLSIEAKDQDISKRIDRASKVYDLLEKRIESFKMLPAANKKPLSQAELEFKSQLDRFADVELDALRSSIAAQSARMKRFAHPSLGGAPGTGMLPWQPPKGGRSNVSDSQMSLMKSSLERLSLLNDESNQKLRLIEHELKNQEQ* >Brasy5G098200.1.p pacid=40078784 transcript=Brasy5G098200.1 locus=Brasy5G098200 ID=Brasy5G098200.1.v1.1 annot-version=v1.1 MAAASADVVLHNAFVVTMDSADAVLRDGAVAVVGDRIAAVGPSADVLAAFPRAAQTLDLAGRILIPGLVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSTMDCGDGLPPNWSSCSTDDCIQSQKDLYAKHHNTADGRIRIWFGLRQIMNATDHLLLETRDVAQKLNTGIHMHIAEIPYENQLVMRTKGIDLGTVTYLEKIDFLRSNLLAAHSVWLNEPEINHFSKAGVKVSHCPASAMRLLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPSETVLKMATINGAKAVLWDDEIGSLEVGKKADLVVVNPFKWSMLPLHDTIANIVYCMRTENIESVMCNGQWIMRDQKIMNFSEDEIISSAVERATDLLVRAGINLPKRMNQL* >Brasy5G098200.2.p pacid=40078785 transcript=Brasy5G098200.2 locus=Brasy5G098200 ID=Brasy5G098200.2.v1.1 annot-version=v1.1 MVFPLGAFGLDVIRIRLLPVDDSRAFLIPDVAGLPDNVTCFAEAGGQYVSEMARAVELLGLRACLTKSTMDCGDGLPPNWSSCSTDDCIQSQKDLYAKHHNTADGRIRIWFGLRQIMNATDHLLLETRDVAQKLNTGIHMHIAEIPYENQLVMRTKGIDLGTVTYLEKIDFLRSNLLAAHSVWLNEPEINHFSKAGVKVSHCPASAMRLLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPSETVLKMATINGAKAVLWDDEIGSLEVGKKADLVVVNPFKWSMLPLHDTIANIVYCMRTENIESVMCNGQWIMRDQKIMNFSEDEIISSAVERATDLLVRAGINLPKRMNQL* >Brasy5G018500.1.p pacid=40078786 transcript=Brasy5G018500.1 locus=Brasy5G018500 ID=Brasy5G018500.1.v1.1 annot-version=v1.1 MDVDARMATESDSDSDAAAAAAQAGGGSGSETPSVSPPPEAAAPAAVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYIGQRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDTNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGEASGELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAAAANMQAGGTPGRFAYNNEQTGQTAAETTPDGAVVLGTPVAPPVNGDVYTDISPENAVIQGQRRSNKGVDYLVEASAAEAEAISATLAALKARQVNGEMEHSPDREQSPGAIPSGKQNLSLIKPDAALLNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPDNANAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVIRLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTYGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDHTEDTWMQELNANRPPTPTRGRPQAANNDRGALAWI* >Brasy5G372700.1.p pacid=40078787 transcript=Brasy5G372700.1 locus=Brasy5G372700 ID=Brasy5G372700.1.v1.1 annot-version=v1.1 MRSSEAMELLGFPPYSRPSPSEVKSAYRRMVMESHPDRVPTHQKPQAESKFKQISEAYSCLKDGRRPGSRMEVHVMRSGVPTGYGRSNRTLVKAPFLLIIVAAVSFGSYSASRAYKRQKEVCSSQNPFLP* >Brasy5G271200.1.p pacid=40078788 transcript=Brasy5G271200.1 locus=Brasy5G271200 ID=Brasy5G271200.1.v1.1 annot-version=v1.1 VTASPPLYPVATAVLTVKGVTPLPNDQINRFGDTYVIDDALTEGPEADSRLVGAQGYYMFASRTDPSLLLSANMVFAAAAGKQYNGSAVAVLARDAILDVVRELPVVGGTGAFRGARGYGLLRTHSFNVSSNNAVLQIDMYVQA* >Brasy5G215300.1.p pacid=40078789 transcript=Brasy5G215300.1 locus=Brasy5G215300 ID=Brasy5G215300.1.v1.1 annot-version=v1.1 MAAEPEDGEAGPAAEAPAEAKEEEPPPAAAEPAGAGDGGAKEAEVAAAGSIEGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAITRFQPSLSDKGAAKKPAKSDSSSDPPNAASLVLEAIYLKSLSLQKLGKSTEAAQQCKSVLDSVESMFQNGPPDIEQKLQETVNKSVELLPEAWKKAGSLQDALAAYRRALLSPWNLDEECTTRIQKRFAAFLLYGCVEASPPSSGSQAEGTFVPKSNVEEAILLLTILLKKWYQGKTHWDPSVMEHLTFALSICDQPALIAKHLEEVLPGIYPRTERWNTLAFCYYGVGQNEVALNFLRKSLNKHENPKDTMGLLLAAKICSEECHLASEGVEYARRAIANTESLDVHLKSAGLHFLGSCLGKKAKIVSSDHQRSLLQTETMKSLTESMALDRYNSNLIFDMGIEYAEQRNMNAALRCAKEFIDATGGSVSKGWRLLALVLSAQQRFTEAEVATNAALDETAKWDQGPLLRIKAKLKFAQSSPMEAVEAYRVLLALVQAQKNSPKKLQGEDDGVTEFEIWQGLANLYSSLSYWRDAEICLQKARALKSYSAMTLNAEGYMHEKRNQSEDALAAYVNASSTQLDHVPSKVAIGALLSKQGPKYLPAARSFLSDALRIEPTNRMAWLNLGKVHKLDGRISDAADCFQAAVMLEESDPVESFRGLS* >Brasy5G269500.1.p pacid=40078790 transcript=Brasy5G269500.1 locus=Brasy5G269500 ID=Brasy5G269500.1.v1.1 annot-version=v1.1 MGESTSALQSILYDRGSLRLLDQRKLPLEEVYIDVKDSTDGWNAIRDMVVRGAPAIAIAAALSLAVEVFNQDFIGTSEEAASFVSKKLEYLVSSRPTAVNLSDAATKLQNLVLRTAETAKDAKSIFQVFIEAAETMLVDDVADNKAIGLHGAEFLQRQVGRSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAAAALMNNGQIQAVIVGADRIAANGDTANKIGTYNLSISAKHHGVEFYVAAPVTSIDLSLPSGKQIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDPNGTFDIKGFIKSAK* >Brasy5G015500.1.p pacid=40078791 transcript=Brasy5G015500.1 locus=Brasy5G015500 ID=Brasy5G015500.1.v1.1 annot-version=v1.1 MAEAAAALEESQPVDLSQHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMRQPKIVLLIFVSGKIVLTGAKVRKETYTAFENIYPVLTEFRKVQQ* >Brasy5G015500.2.p pacid=40078792 transcript=Brasy5G015500.2 locus=Brasy5G015500 ID=Brasy5G015500.2.v1.1 annot-version=v1.1 MAEAAAALEESQPVDLSQHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMRQPKIVLLIFVSGKIVLTGAKVRKETYTAFENIYPVLTEFRKVQQ* >Brasy5G144000.1.p pacid=40078793 transcript=Brasy5G144000.1 locus=Brasy5G144000 ID=Brasy5G144000.1.v1.1 annot-version=v1.1 MVHYDATKCHVDAEVIITTTVASTWTVAPALPQQEHRLPLSNLDLILPPIDVGVFFCYASGNGNGNGDDDDVPSASALKAALAKVLVAYYPLAGEVVANAAGEPELLCSGRGVDFTEANAAGAELRQVRLGLPDESADKLVPAKKSGVMSVQFTKFKCGGAVVGCAFDHRVCDAYSFNMFLVAWADAARGSGSVSPGPSFDRSFLAPRNNNSIVDTLADQLFVPVSRVPPPSTSAPAATAAAFNRIYHVSAADVAALQAAAGPGRTKLEAFTAHLWQLYAMAADTSDRPSCRVSMGVVVDGRSRLRPDGAMGSYFGNVLTIPFGILGSDDLRGMALADVAADVHGWVSEAASGERFRGLVDWVEAHRPEPTVARAYLGEGDAMACVVSSGMRLPVGEVDFGTGRPAFASYHFPWPGGAGYVMPMPSARAGSGDWVVYVHAAPELVRAMEQEPTVFRAPESRRIFG* >Brasy5G326300.1.p pacid=40078794 transcript=Brasy5G326300.1 locus=Brasy5G326300 ID=Brasy5G326300.1.v1.1 annot-version=v1.1 MAPYKIKAPILLPIIWDGDAYSPGLWFLQVVNWEVLRWVHIWGEETSHQLDTIRHQGEQLREFPSLRCDVFERDILDRKNPFWAQWIQKDDLGGQSLFLGLNHSLSGHLPLSEVDSASALPLGRVNCLYILPPRISSRLNANTDWIRVSVEEQPSQPAIGGGFLYRLEWARPQSAMWFVPRLHGSASSDNDGDG* >Brasy5G439500.1.p pacid=40078795 transcript=Brasy5G439500.1 locus=Brasy5G439500 ID=Brasy5G439500.1.v1.1 annot-version=v1.1 MKPSSSPPSPASSLLSPSLQMRPDPWLRPPAWTSPDPWLPPAGTSPDPWPTSSSTRATGSSSPRSTGSASPSAAGSHRPQQPATGEEGREGHQIQRGNSNASVGARKLPTEFASRRRSSLPVHSCCLSSQHPKPVLELHRSFSRSD* >Brasy5G338100.1.p pacid=40078796 transcript=Brasy5G338100.1 locus=Brasy5G338100 ID=Brasy5G338100.1.v1.1 annot-version=v1.1 MELDRALHRAAGKGSEAGEGEALHVPQRSREFPTPPVGTPTRPRSPVGARVQEKHAGARSLRLRLVEARARDLRRSPARPTTTARATRWCSRSSQVAADREDDGEMLVVVGGGGNGARDRGGRRSAAGGCLLPLPPRSSSAHAPCSARCRAGPAPRPSFLPDAPDHPPSSSRLRPAKPPQSSPPAAPSIRAAAALIHSVDVSIPSIAASIRFSSTPASSQTIPDPNPTEIEAGYWPHGPGSRRVMTVCTVAGAHVLLSARRRAEALAGGRLSAQGGGCGLV* >Brasy5G101200.1.p pacid=40078797 transcript=Brasy5G101200.1 locus=Brasy5G101200 ID=Brasy5G101200.1.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLYGNKSLKANSFSDKNMFESEGGISKDFAGVENEIRTNQNDDRHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.6.p pacid=40078798 transcript=Brasy5G101200.6 locus=Brasy5G101200 ID=Brasy5G101200.6.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLYGNKSLKANSFSDKNMFESEGGISKDFAGVENEIRTNQNDDRHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.9.p pacid=40078799 transcript=Brasy5G101200.9 locus=Brasy5G101200 ID=Brasy5G101200.9.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLYGNKSLKANSFSDKNMFESEGGISKDFAGVENEIRTNQNDDRHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.7.p pacid=40078800 transcript=Brasy5G101200.7 locus=Brasy5G101200 ID=Brasy5G101200.7.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLYGNKSLKANSFSDKNMFESEGGISKDFAGVENEIRTNQNDDRHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.8.p pacid=40078801 transcript=Brasy5G101200.8 locus=Brasy5G101200 ID=Brasy5G101200.8.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLYGNKSLKANSFSDKNMFESEGGISKDFAGVENEIRTNQNDDRHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.2.p pacid=40078802 transcript=Brasy5G101200.2 locus=Brasy5G101200 ID=Brasy5G101200.2.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.3.p pacid=40078803 transcript=Brasy5G101200.3 locus=Brasy5G101200 ID=Brasy5G101200.3.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.4.p pacid=40078804 transcript=Brasy5G101200.4 locus=Brasy5G101200 ID=Brasy5G101200.4.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G101200.5.p pacid=40078805 transcript=Brasy5G101200.5 locus=Brasy5G101200 ID=Brasy5G101200.5.v1.1 annot-version=v1.1 MPKSPSRATETHKMEEHTRADFSGAASPTNWRKEVDERLQRLHSLLFGADAALERGDAAVAQVLALRLLGFLDSQTLSTDAGPEATFIAPIRAAASSRLAAASRARASDSDRVAFELAKKDVGCVFAKQGDVSIEKIKCSKYFQALHQKSKGNAAVQPSATCQEFTVQGVQQLEESQAGIENEKLSIRASKLMTQTKITSLHPIYLGVEEDEKHCGQFQSAKRKHTGFRSPICEHANSPSSNDEADAPASANGFVTAKIKLAMDAVKKHGHNSHQGASVSPQCDNNLGTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNTITSRVTGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWVDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSDARAWIIRNLLEKDGLFKLSEEQTSVVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITELSKEDMRPVMLKDFEAALQEVRPSVSANELGTYEEWNRQFGSLSI* >Brasy5G042100.1.p pacid=40078806 transcript=Brasy5G042100.1 locus=Brasy5G042100 ID=Brasy5G042100.1.v1.1 annot-version=v1.1 MSDKENVLPAAAAGPRVTRAASKRAASSGASSSGAAAKRKRVALGELPALCNAAAVPRQPSRPVKPAAKAEEDAPTTPPAAASEEASGGDPQLCGTYASDIYTYLRSMEVEPARRPAADYIEKVQTDVTANMRSILVDWLVEVVEEYKLVADTLYLTVSYVDRFLSVNPLGRNKLQLLGVAAMLIAAKYEEITPPHVEDFCYITDNTYTRQELVKMESDILKLLDFEMGNPTIKTFLRRFMKSDPEDKKRSSLLLEFLGSYLAELSLVDYNCLQFLPSVVAASAVFLARLTIAPDCNPWSKEMQKLTGYKASELKDCVRTIHDLQLNRKGLSLTAIRDKYKQHRFKCVSTLLPPVEIPASYFQDSE* >Brasy5G177900.1.p pacid=40078807 transcript=Brasy5G177900.1 locus=Brasy5G177900 ID=Brasy5G177900.1.v1.1 annot-version=v1.1 MLRRAMSPASGHYYAGHRQWGPCTESLGTESGESGGKEDEVVQRHLSAAGAGAEEEDQDEGVEVEDEKEWQGKRRRTAARPRPLFPPVLRSVAEASLRAERRGGRLILTEVPRPLGMPRGVSRECGADGRLRIRFFYAAPAPTVAEEEEEDEDEAADEDQKQQQQEKDEKEQKEEEVAAPPDQRIQSNNGGGPSAAGGGCGGADATGAAEKKRVQIGAVMGM* >Brasy5G420900.1.p pacid=40078808 transcript=Brasy5G420900.1 locus=Brasy5G420900 ID=Brasy5G420900.1.v1.1 annot-version=v1.1 MANDGKSGEQILQELDALSHTMYQAHGNRRHASLALPRSAEDAIQPEAPRPRSRRLSMTSPFRSRSKLSKKEEDDDEDDDLRAAPSKSQSFAAVAPPGAMAGEGKKKGIWGWKPMRALSHIGMTRLSVLFSVEVAAAQGLPSSMDGLRLAVAVRKKESRDGAVQTMPSRVHQGAADFEETLFLRCHVYCSSAGAGKPKSASGARFEPRPFLLSVVAVDAPELDLGRSAVDLSLLVKESTEKSQQGERVRQWDMAFPLAGKAKGGELVVKLAFQVMEDGGVGLYSQPADTKTGSSSSSSLFARKQSKTSFSITSPKVTRSEPSLTPAKGSSQSPDLRGMDDFKLDDSIPIVPDVIAKKEQQREPEPEPEEKADDSEFPEFDFDVVDKGIEGEEEKDEAKEEIGSKEERTKEAAAEEGESDPPAAGDEVVKEVVHDSASMWRINELEAITNQIKALESMMLGDDVPEDADKAEEEETAAGLDADEEEVTREFLQLLEQGGDDKAKSSSAAPQVSSLKSGAKPGAAAEESCYVSDLGKGLGPVVQTRDGGYLAATNPFDIPVARKELPKLAMLLSKPFILRPGQKLPGGGAEVFQRLCAGGMDALCAKLGALAAAAAADEVVIGKTAEQIAFEGMASAIISARSNKEHGATASASSSAAQSVSLLRTMSSAMSEGRRERIATGIWNAREAPMAVDEILAFSLQKIEAMAIEALKVQAGMADEHAPFEVSPGDDDARGHPLDTAVPPEEWASACAGADAVTMLVVAQLRDPLRRYEAVGAPAVVVIQAARAGAGADDDDEPRFKVSNVHVGGLRLKSADRRNVWDGERQRLTATHWLVAYGLGKAGKKGRSTAAKAAHDVLWSMSSRVVADMWLKPMRNPDVKIAAK* >Brasy5G301300.1.p pacid=40078809 transcript=Brasy5G301300.1 locus=Brasy5G301300 ID=Brasy5G301300.1.v1.1 annot-version=v1.1 QHYATSSLVIGYALCSSLLAIINKYAVTKFNYPSLLTTLQYLTSAAGVWVLGKFGFLCHDPFNLETAKKFAPAAVVFYFAIFTNTSLLVHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVITDSAFSLTTYSWAFAYLVTITAEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMSPIFWFLTGEHKSVFSAVESRGESWFQLDAFVAVALSCIFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVTVWDKHASTFGLVSLLFTLVGGVLYQQSVTAKGNIAAAQHKPASDQPKDGSQRAEFDEEKLSLVPSPEEPSA* >Brasy5G033200.1.p pacid=40078810 transcript=Brasy5G033200.1 locus=Brasy5G033200 ID=Brasy5G033200.1.v1.1 annot-version=v1.1 MGNRKKLLQFLRPDPAVAAAKSPSSSSSCSDDEDNNDALSPLPSPMATPSSTQTSTTASPYAASPWINLPGLRAGGDHGTLGTPDLRTTTGLLGSLVKPDGHVYSLAASGDLLYTGTDSKNVRVWRGHRESSAAGFRCGSGLVKAIVVSPSDGRIFTGHQDGKIRVWLSSPNSSSSDNIIQHKRVGSLPRFGDYLRSSVMPSRYVETGRRRKSCVWLRHFDAVSCLCLDAAAGVLYSGSWDRSFKAWRVSNSRCLESMVHAHDDAVNAVAVAGFDALVFTGSADGSVKVWRREHLEEVARKKKGGKTTRHVMERVLRKGDSAVNGIAVCVEARVVYVGSSDGAVTHWQWRRGGAGGNGAPPRNGGVLRGHGNMAVLCVAVAGGRVVVSGGADKAVCVWRRDEGAQHSKIAVLKGHAGPVKCVAMDQEQEEEEDGHRRWVVYSGSLDGSVKVWRVSDAPDADTTMPTPTPARAWNGSPSPGLDAVFAASGVNARARARGTRVAVRGSLS* >Brasy5G367500.1.p pacid=40078811 transcript=Brasy5G367500.1 locus=Brasy5G367500 ID=Brasy5G367500.1.v1.1 annot-version=v1.1 MEWRDSFLDLILIPLSLLLPALYHLWLWRAVRRSPLSTAFGVYAAARRLWAAGMVKDSEKKGVLVVQSLRNVIMGSTLMATTAVLFCTGIAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVALLLIFLFAFLCHSLTICFLNQASFLINTGAVGGEHGESDRLGLPPALAGDYVGEILERGFTLNFVGNRLFYAGVPLLLWIFGPLLAFLSSVVMIPILYNLDMVSIKGDGEHSSGFVNAKKGETNGNGCLQV* >Brasy5G388500.1.p pacid=40078812 transcript=Brasy5G388500.1 locus=Brasy5G388500 ID=Brasy5G388500.1.v1.1 annot-version=v1.1 MATDGSDQWISSPSSSSHDQYGVVWSPPPKRPAGRTKFKETRHPVYHGVRHRGSAGRWVCEVRVPGMRNKRLWLGTHLTAESAGRAHDAAMLALRGPSAAAAAPCLLNFPDSAWLLAVTPSAFADFDDVQRASLAAVADFQRREAASATLPVPVAVDGITSIPSMVPLDNAGSWPASQPCVAGMFEVPVAMGCDMFELNMPGEMDLGMYYADLAEGLLMEPPPPPAPDTGACWESGDYGDGGADTALWSY* >Brasy5G232800.1.p pacid=40078813 transcript=Brasy5G232800.1 locus=Brasy5G232800 ID=Brasy5G232800.1.v1.1 annot-version=v1.1 MNSFAPFYKAPHKAPSRFPTRQIDIAATEVSPYRRCRTRSIAAFSPELSLDQAAKAPHKNMDHNVKTATFEDFDNRPLKKTKCSGSGVLDDLLPSPSISTSSLVSECSETKSTLSPVSDLINEEKTSEDDDKQTISADDDKQPDVPQHTNDGTYDYLPQDYALTELDLCAHLVVEDSSEEEILVKIDQVYVKQCDLMCLLDSAKWLNDDVISAYIYCIKEVHEQNKNDHKVYFENTFLAGLLKRDGEIGIHEATFMTKIVGDYLKHDMIHLPINIKHTHWYLACVNVEKSEIQVLDSLCWEHNRVDLTNTLQGLQYHLDILKTQENLSNHNWKDLDVTKWMITEQLHNPIQKDSSSCGLFMLKFMEYWTGHTLTHPITQENIVYFRYKLAAILLCWKTNTAQSTAMIEESDYSEGDPYDVMMLEGLDDENHPNPLNSLSIEKRYQSLISVVSNMSIHELEGGLCNYIKSISVAETLEKVWVQSSDPYPISLTLKRLQGMLNEKLPMERDCFNLVVRKIMFDDIHTVKKRKGLISKHCLDTRFWMITDFGRHPNYRKKLDVEQLAYSVRSWPGIKYNVSSCKTIHIPIQSNSGFILFVLAKDTKTVYILDPTPIDPIYQRNPYAKYVPRLLWIAEHLPKAMSQACPGSTWNENIFLWHQQIINNIPIHNRELSGFLITLFMSVGARKLPAPEVSVPPFVVRQWALRIARVANGVTKMRIGTIRLLPRFGPP* >Brasy5G415500.1.p pacid=40078814 transcript=Brasy5G415500.1 locus=Brasy5G415500 ID=Brasy5G415500.1.v1.1 annot-version=v1.1 MIHPKKLAKLMRKWQRVKTTAAEDDETCCTTSSVADKGHFAMYTVDGRRFEIPLRLQHQPRAVCSS* >Brasy5G429700.1.p pacid=40078815 transcript=Brasy5G429700.1 locus=Brasy5G429700 ID=Brasy5G429700.1.v1.1 annot-version=v1.1 MGIPSDEVVQIRHPDVAGDPTVVTISCPDKTGLGCDLCRVVLLFGLNVLKGDMSTDGRWCYIVLWVVARRGRTMAWDLLKERLVELCPVSSLCGLDSSYLAAAGLQEDLEPAAPRVFLLKFSCYDRMGLLHDVTHVLSEMELTIRRVKVSTTPDGRVMDLFFITDARDLLHTKSRREEAYEKLQSVLGDSVTSCEIDCATEDMSACLQASALLPPLVVEQMFNTDLIEEQLSCSRSNSKLSVTMDNSLSPVHSLIQIQCGDHKGLLYDIMRTLKDCDIQISYSRFYAGRKDRCEVDLFAVQSDGKKILDQQKQRALCSRLRMELLHPLRVALVNRGPDMELLVANPVEVSGKGRPLVLYDITLALKNLQKRIFLAEIGRHVVDDREWEVYRVHLGEDDHELSCSVRRKIVDGVTSMLMGWE* >Brasy5G429700.2.p pacid=40078816 transcript=Brasy5G429700.2 locus=Brasy5G429700 ID=Brasy5G429700.2.v1.1 annot-version=v1.1 MGIPSDEVVQIRHPDVAGDPTVVTISCPDKTGLGCDLCRVVLLFGLNVLKGDMSTDGRWCYIVLWVVARRGRTMAWDLLKERLVELCPVSSLCGLDSSYLAAAGLQEDLEPAAPRVFLLKFSCYDRMGLLHDVTHVLSEMELTIRRVKVSTTPDGRVMDLFFITDARDLLHTKSRREEAYEKLQSVLGDSVTSCEIDCATEDMSACLQASALLPPLVVEQMFNTDLIEEQLSCSRSNSKLSVTMDNSLSPVHSLIQIQCGDHKGLLYDIMRTLKDCDIQISYSRFYAGRKDRCEVDLFAVQSDGKKILDQQKQRALCSRLRMELLHPLRVALVNRGPDMELLVANPVEVSGKGRPLVLYDITLALKNLQKRIFLAEIGRHVVDDREWEVYRVHLGEDDHELSCSVRRKIVDGVTSMLMGWE* >Brasy5G437200.1.p pacid=40078817 transcript=Brasy5G437200.1 locus=Brasy5G437200 ID=Brasy5G437200.1.v1.1 annot-version=v1.1 MPPKRYAAPRSAQAGDSPSASFQQFPAGCSQPAPNPFGGMSQGDTIMADMINDGSQHAHYTYTQEEEPYAAEDAEEREEWADGTEEPVVAEPRGKKKAATEKKKAGGGGRGPKWTSKEDECLAEAWKIVSMDLFIGANQSGVTYWRRVKTAYDERRRIDRQFASVTHDRNECGLSHRWQIIQQACNKWHDIQEEVRRRPQSGSSAHDQDNDGVEFKFIHVFARIETCDKWTETRNALSKSGTYDPNAAPPAVAEGRPIGHKKAKAMRDATPATERLYTCIEKCMSDAAALAAKREELAAKREELAASRWATVIKKQDDKVQILKANGAAKKRREDLLILTCDTTGMDAEVKAWYDDQRRLILAEARTPASAPATAAPATSTPSAPSLPETATPATSTPPAGSEVPSTPADDEGAE* >Brasy5G273700.1.p pacid=40078818 transcript=Brasy5G273700.1 locus=Brasy5G273700 ID=Brasy5G273700.1.v1.1 annot-version=v1.1 MSGEMLPDLNFSPTIEMLDINIRPEDIEEEIHEEETNLQPPDNMESTAHYTSEEPKHMHYHEIEAITEYFNKELGRGGFGAVYKGMCKENEIAVKVFLERSQGNQYNEFKEEFLNLVKLRHANIIRLVGYCCDTYQRYVHGTGKWEPVTRTALCLEYAANGNLRKYTLDEHRPDWHKVYNIIKGTCEGLYYLHHHSSGHMWHLDLKPENILLDHNMNPKIADFGVSKLFISPTTKVIQIGGTTDYVPLEYKLRGHVTRKFDIFSLGVIIIEIMAGIETYRMLDDFDSDEEVIKLVLEKWNGILSGYCEQVKACIEIALKCVSIERNYRPEIRHIIDKLNEVEKIVPPTTLPQESSKYEGSFTGEIRWKKEQEEKEKDEAHLYTVIKIAQDEDLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFSTFKEEIAKEYGIPVQFQRFWLWARRQNMTYRPDRPLTPNEETQTVGQLREISNKAQNAELKLFLEVEFGLDLQPLPPPEKSKEDILLFFKLYNPEKEVLCFAGRLFVKASGKPSDILRKLNEMAGFSPDEDIELYEEINSEPNVMCEHIDKKLTFLSNKLQDGDIVCFQKLPKADSDTQVRYPDVPSFLEYVHNRQDMDYDVAAVDNIFEFEDFDVAQNFLYWVDLDALDMWI* >Brasy5G022900.1.p pacid=40078819 transcript=Brasy5G022900.1 locus=Brasy5G022900 ID=Brasy5G022900.1.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNAKSDDNEEHSDSSSKDKQNGVAPHNSGKPSTSPSSKGPSPANQTGNRE* >Brasy5G022900.5.p pacid=40078820 transcript=Brasy5G022900.5 locus=Brasy5G022900 ID=Brasy5G022900.5.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNAKSDDNEEHSDSSSKDKQNGVAPHNSGKPSTSPSSKGPSPANQTGNRE* >Brasy5G022900.2.p pacid=40078821 transcript=Brasy5G022900.2 locus=Brasy5G022900 ID=Brasy5G022900.2.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNAKSDDNEEHSDSSSKDKQNGVAPHNSGKPSTSPSSKGPSPANQTGNRE* >Brasy5G022900.3.p pacid=40078822 transcript=Brasy5G022900.3 locus=Brasy5G022900 ID=Brasy5G022900.3.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKLRVGQKHKCTTDRANNQVYSPFYILYLQLKIDLLRIELS* >Brasy5G022900.6.p pacid=40078823 transcript=Brasy5G022900.6 locus=Brasy5G022900 ID=Brasy5G022900.6.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKLRVGQKHKCTTDRANNQVYSPFYILYLQLKIDLLRIELS* >Brasy5G022900.4.p pacid=40078824 transcript=Brasy5G022900.4 locus=Brasy5G022900 ID=Brasy5G022900.4.v1.1 annot-version=v1.1 MTSVADGISGDHRADEQQQTQDGHEDQPKAPASSIGSQTMVVAPSTDYVMPYAHQEVCHAMGQIAYPSIDPYYYGAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKLRVGQKHKCTTDRANNQVYSPFYILYLQLKIDLLRIELS* >Brasy5G071800.1.p pacid=40078825 transcript=Brasy5G071800.1 locus=Brasy5G071800 ID=Brasy5G071800.1.v1.1 annot-version=v1.1 MQRVHHQLKKDLARRLVTTPRSGYKATRWLKTTKIYLASSSNPLTKASENDKPPLRSLHSPSAPPPLECSGAPVGLKEKPH* >Brasy5G461600.1.p pacid=40078826 transcript=Brasy5G461600.1 locus=Brasy5G461600 ID=Brasy5G461600.1.v1.1 annot-version=v1.1 MEGASNAIEVDADVICLDNVDDNEQEAQENGEVQIICDAENEGQVAFDSQEQGQDEHFVRDEEDQENNSVTPNHEEFTEELRTKVVYSEEHAYMLYCDYGHRMGFSVRKGKQYYFTGTKIIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDNEGQWRVIQIISEHNHELVTPGDIHLLRSVRTRSIPKPRALNAMVNAEMHHELVTPGDIHLLRSVRTRSIRKPRALNAMVNAEMQAMHDSLHVNDDGTECHSQLSIQSYTLFESEDAQALVGYFKRKTIEQGMFYWDVQVDQEGQMTNFFWRDGRSRVDYDCFGDVVVFDTVFRTNKYNMVCAPFVGINHHMQNVMFGCALMLDESLTSYEWLFKSFLNSMGGNPPKTILTDQNDNISNAVEVIFSQTRHCFCPWHIEKNLQSHLDTLDASGTFYSMFMKCMKDCESEAEFEETWAMMLHENNLQGDQWLTDQFEQRHKWCTALLMDTFDGGIKSLDRSLSSHTVLSSIADESISPTNFVLEFDKLVGSWRTNESLEDIQCNQSPPEYTVKHNSVLQHAAEVYTHKVYKSLETEFLDGYSDTSYSYQEMRCSETLYRFEFISQSGPKVWIVSLDTSTMELNCSCKKFETMGIQCRHAVNALSIKNLDKIPERYVLKRWTKYVRKGTYLFPSDEFPEQDCAAAELAYRNRAMLFVYDLLMKSKGHQDTRKLIVDVLENGERSLESVCELKRMHMHPSGKDKDGSKADKRKKKLTKQDKSSRNVKQAVLPQPDHSVFIDQPNQNEYFATEDIATNSSIGRPFFYQEYPATSSVSTSQIQGHATMHSVPQCAPAPQPQHTAQSIILLRHSVVEEISEECSTTDL* >Brasy5G461600.2.p pacid=40078827 transcript=Brasy5G461600.2 locus=Brasy5G461600 ID=Brasy5G461600.2.v1.1 annot-version=v1.1 MEGASNAIEVDADVICLDNVDDNEQEAQENGEVQIICDAENEGQVAFDSQEQGQDEHFVRDEEDQENNSVTPNHEEFTEELRTKVVYSEEHAYMLYCDYGHRMGFSVRKGKQYYFTGTKIIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDNEGQWRVIQIISEHNHELVTPGDIHLLRSVRTRSIPKPRALNAMVNAEMHHELVTPGDIHLLRSVRTRSIRKPRALNAMVNAEMQAMHDSLHVNDDGTECHSQLSIQSYTLFESEDAQALVGYFKRKTIEQGMFYWDVQVDQEGQMTNFFWRDGRSRVDYDCFGDVVVFDTVFRTNKYNMVCAPFVGINHHMQNVMFGCALMLDESLTSYEWLFKSFLNSMGGNPPKTILTDQNDNISNAVEVIFSQTRHCFCPWHIEKNLQSHLDTLDASGTFYSMFMKCMKDCESEAEFEETWAMMLHENNLQGDQWLTDQFEQRHKWCTALLMDTFDGGIKSLDRSLSSHTVLSSIADESISPTNFVLEFDKLVGSWRTNESLEDIQCNQSPPEYTVKHNSVLQHAAEVYTHKVYKSLETEFLDGYSDTSYSYQEMRCSETLYRFEFISQSGPKVWIVSLDTSTMELNCSCKKFETMGIQCRHAVNALSIKNLDKIPERYVLKRWTKYVRKGTYLFPSDEFPEQDCAAAELAYRNRAMLFVYDLLMKSKGHQDTRKLIVDVLENGERSLESVCELKRMHMHPSGKDKDGSKADKRKKKLTKQDKSSRNVKQAVLPQPDHSVFIDQPNQNEYFATEDIATNSSIGRPFFYQEYPATSSVSTSQIQGHATMHSVPQCAPAPQPQEFPAYSAVNHPPSTFGGGRDF* >Brasy5G461600.3.p pacid=40078828 transcript=Brasy5G461600.3 locus=Brasy5G461600 ID=Brasy5G461600.3.v1.1 annot-version=v1.1 MEGASNAIEVDADVICLDNVDDNEQEAQENGEVQIICDAENEGQVAFDSQEQGQDEHFVRDEEDQENNSVTPNHEEFTEELRTKVVYSEEHAYMLYCDYGHRMGFSVRKGKQYYFTGTKIIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDNEGQWRVIQIISEHNHELVTPGDIHLLRSVRTRSIPKPRALNAMVNAEMHHELVTPGDIHLLRSVRTRSIRKPRALNAMVNAEMQAMHDSLHVNDDGTECHSQLSIQSYTLFESEDAQALVGYFKRKTIEQGMFYWDVQVDQEGQMTNFFWRDGRSRVDYDCFGDVVVFDTVFRTNKYNMTRHCFCPWHIEKNLQSHLDTLDASGTFYSMFMKCMKDCESEAEFEETWAMMLHENNLQGDQWLTDQFEQRHKWCTALLMDTFDGGIKSLDRSLSSHTVLSSIADESISPTNFVLEFDKLVGSWRTNESLEDIQCNQSPPEYTVKHNSVLQHAAEVYTHKVYKSLETEFLDGYSDTSYSYQEMRCSETLYRFEFISQSGPKVWIVSLDTSTMELNCSCKKFETMGIQCRHAVNALSIKNLDKIPERYVLKRWTKYVRKGTYLFPSDEFPEQDCAAAELAYRNRAMLFVYDLLMKSKGHQDTRKLIVDVLENGERSLESVCELKRMHMHPSGKDKDGSKADKRKKKLTKQDKSSRNVKQAVLPQPDHSVFIDQPNQNEYFATEDIATNSSIGRPFFYQEYPATSSVSTSQIQGHATMHSVPQCAPAPQPQHTAQSIILLRHSVVEEISEECSTTDL* >Brasy5G461600.4.p pacid=40078829 transcript=Brasy5G461600.4 locus=Brasy5G461600 ID=Brasy5G461600.4.v1.1 annot-version=v1.1 MEGASNAIEVDADVICLDNVDDNEQEAQENGEVQIICDAENEGQVAFDSQEQGQDEHFVRDEEDQENNSVTPNHEEFTEELRTKVVYSEEHAYMLYCDYGHRMGFSVRKGKQYYFTGTKIIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDNEGQWRVIQIISEHNHELVTPGDIHLLRSVRTRSIPKPRALNAMVNAEMHHELVTPGDIHLLRSVRTRSIRKPRALNAMVNAEMQAMHDSLHVNDDGTECHSQLSIQSYTLFESEDAQALVGYFKRKTIEQGMFYWDVQVDQEGQMTNFFWRDGRSRVDYDCFGDVVVFDTVFRTNKYNMTRHCFCPWHIEKNLQSHLDTLDASGTFYSMFMKCMKDCESEAEFEETWAMMLHENNLQGDQWLTDQFEQRHKWCTALLMDTFDGGIKSLDRSLSSHTVLSSIADESISPTNFVLEFDKLVGSWRTNESLEDIQCNQSPPEYTVKHNSVLQHAAEVYTHKVYKSLETEFLDGYSDTSYSYQEMRCSETLYRFEFISQSGPKVWIVSLDTSTMELNCSCKKFETMGIQCRHAVNALSIKNLDKIPERYVLKRWTKYVRKGTYLFPSDEFPEQDCAAAELAYRNRAMLFVYDLLMKSKGHQDTRKLIVDVLENGERSLESVCELKRMHMHPSGKDKDGSKADKRKKKLTKQDKSSRNVKQAVLPQPDHSVFIDQPNQNEYFATEDIATNSSIGRPFFYQEYPATSSVSTSQIQGHATMHSVPQCAPAPQPQEFPAYSAVNHPPSTFGGGRDF* >Brasy5G291300.1.p pacid=40078830 transcript=Brasy5G291300.1 locus=Brasy5G291300 ID=Brasy5G291300.1.v1.1 annot-version=v1.1 MMSPKQLLTTILIVFSTLSFIKLLLLTHSSAASSSRARPLRSPWDDGNGTAIHGGLAAKEFALLSSVVAVRAPCRLLVFGLSPQLLALAAANSGHGAATAFVTDSDEDADSARRVLGAAGPGAAAAAAVIHRARYPDAAGEAWALLRRARASPVCRRPTGTVRKSGCRLALTSLPREVLDARWDVVVVDGPSGAAAHEPGRMGPIYTAAALARAGAAAGGDGVDVAVHDVDRTVEQWYAREYLCEDNLVAAKGRLWHFRVAAAGGPSDAFCSTGPGQIL* >Brasy5G404100.1.p pacid=40078831 transcript=Brasy5G404100.1 locus=Brasy5G404100 ID=Brasy5G404100.1.v1.1 annot-version=v1.1 MARLGWCCFFLAVGVVTGQPPPSPSAWTPPPQSEVPSYANGQPYRANYGKPNRIFTCDDDFGKRCVAQCPDLCPKSCFMSCSYCETTCRCVYFPGTSCGDPSFTGGDGNTFYFHGRKDRDFCIVSDADLHINAHFIGNHNPVNKRNFTWIQALGVTFGSHRLYVGARGAVVWEDEEDHIEINFAASLSPSTPPTMPNGCPTSCPVYL* >Brasy5G152100.1.p pacid=40078832 transcript=Brasy5G152100.1 locus=Brasy5G152100 ID=Brasy5G152100.1.v1.1 annot-version=v1.1 MLTPWTFFRPPLPLPGRFLFFGEGRPLAIPRCSRLLRLAVFLAGRLCSRWSLPPNCQCGLQVIFGGGCPSGVDVWSPPCVRALPAVVRAKQAVGG* >Brasy5G035200.1.p pacid=40078833 transcript=Brasy5G035200.1 locus=Brasy5G035200 ID=Brasy5G035200.1.v1.1 annot-version=v1.1 METGCSSSKRTRLVSPREHEDGEGIDRISDLPDAVLGEIISLLPTKEGFRTQILASRWRHLWRSAPLNLNYHGLPFDGDELAGIVSDILTSHLGPGRCFCIPSYYLSDRAATLDVWLCSPALDNLQELDLSFTMNKWHLADFLLLVRRTSLMQQQLEPLPASVFRFSNTLRVATIERWNIPDGTIEGLHFPKLEKLTLKMVGISESSLHHMIAGCPALECLLIIYCFGFCCVRINSHSLRSIGVHARCPWRTYLVQFGELIIENAPCLERLLHLDQWSDLQVSVICAPKLKTIRYLLGQAYSTKIVFGSTFIQGLHVDGLATVVRTVQILAVDMTILSLDTVIYFMRCFPCLEKLYIQSSGPGASNLWRRKHRNLITSLDIGLKTIVFERYRGTRSQVSFLTFFVFNARVLELMTLQIGVGNHNEKFLAEQYRKLQLENRASRGAQFQFRTDRCIRSSRDIKDACDLDLTDPFVC* >Brasy5G007700.1.p pacid=40078834 transcript=Brasy5G007700.1 locus=Brasy5G007700 ID=Brasy5G007700.1.v1.1 annot-version=v1.1 MAKPLLLPAPAAAGAAARLPVRLLLHTTPPLRLLPFLSPPPLALPQKRNLSRLLAAAVSTTKRARPPTNPGQVISEGRDADARRAVCPGCGVFMQDDDPNLPGFFTSPSSPRRSPQDEMGEEEEADSSVGFLEDDEEELLEGGDLPSESDLAAEFDGLDTGFGDFVEEEEDEEGSDGESGSPPAMAGIDWDSDWDEEMEDEEDKWSRELDGFTPPGVGYGNITEETLERLKKEKLSKSERKQRAREAKRAEAEEGSAVVCARCHSLRNYGHVKNDKADNLIPDFDFDRFISSRLMKRSAGIPVIVMVVDCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWEQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRMTGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRTFRVKAGQSVHIGGLTRLDVLEASVQTIYVTVWVSSNISLHLGKTENAEELRSKHIGIRLQPPVSPERVAELGQWTERRIDVSGISWDVNSMDIAVSGLGWYSLGLKGNATVAVFTFDGIDVTRRDAMILHRAKFLERPGFWLPIAIANAIGEETRKKNERVKAEQSDDDLLEESID* >Brasy5G000700.1.p pacid=40078835 transcript=Brasy5G000700.1 locus=Brasy5G000700 ID=Brasy5G000700.1.v1.1 annot-version=v1.1 MAHIILALAVAGFLLFRRCGLSGGRLTAQTGRDASRHGAGSQSRCYMVTVRTTCALAAVEVVLAAYLCYLDGAAGWSRDVVADLAARAVAWPLLAAYLKLESGLRREERFPTLLMLWWLLFLVLSVLAVAVHVPVPAGRTLALDGVSVIAAVVLLTAGLLGGRKVGSDSAASEEEPPRNSAQQASMFTGAGFLSKLSFSWIGPLLAVGRRKTLDLDDVPGLDPGDSVDGLLPVFKDKLTEAPSAGDDTGRKVITAFGLTMALLRTVWWHVAVTALYALVYNVATYVGPYLIDSLVQHLRGDKNKGGAHYIVLAFIAAKVVECLSQRHWFFRLQQAGIRAHAVLLAVVYNKGLALSSRSRQSRTSGEMINLISVDTDRVRHFAWDMHDLWLVPLQVSMALFILHSTLGAAGALAALGATVAIMLGNIPPGRMQKKFQHKLMDCKDVRMKATSEILRSMRILRLQAWEMKFLSKITELRKTETDWLKNYLYTSAMSSFIFWGAPTFVAVVTFGACMCLGIPLESGKVLSALATFRVLQEPIYKLPSKIAVLIQTKVSLDRIACFLCLEESPTDAVERLPSGTSDVAIGISNGCFSWEASPQVLTLRDLNFQVRQGMRVAVCGMVGSSKSSLLSCILGEIPKVSGEVKTCGTMAYVSQSAWIQSSTIQKNILFGKEMNQDKYERVLESCSLKKDLGMLPLGDRTVIGERGINLSGGQKQRIQVARALYQDADIYLLDDPFSALDAHTGSIPPFQVQHISEQATYQECLLGALASKTVVYVTHQIGFLPKAELILVMKDGRIAQAGKYHELRLDSGGQFMELIGAHKDALTALDVTDAANGGKEAASSGGTEKLPWSPTSAEKKGEDDNGNAQSGQLVQEEEREKGRVGFWVYWKYLTLAYKGAFVPLVLLAQILFEVLQIASNYWMARTAPISKDVEPPLSMSTMIGVYVSLALGSSVFILIRSLFLVTAAYKTATLLFNKMHWSIFRAPMSFYDSTPSGRILNRVSTDQSQVDTSIANQMGLVAFTVIQLVGIVAVMSQVAWKVFIVFIPVLAASFWYQRYYIDTARELQRLVGVCKAPIIQHFAESIAGSTTITSFSKQNQFVLANNQLIDAYSRPRFYNAAAMEWLCFRLDVLSSLTFAFCVIFLISLPPGLIDPGVAGLAVTYGLNLNMLQAWVVWNMCNLENKIISVERILQYISIPEEPPLSTSDDKLAHIWPSEGEIQLHDLHVRYAPQLPCVLKGLTVTFPGGLKTGIVGRTGSGKSTLIQALFRIMDPTVGQIMIDGIDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGDYTDSQIWEALDCCQLGDEVRNKERKLDSPVIENGENWSVGQRQLVCLGRVILKRRKILVLDEATASVDTATDNLIQKTLEQQFSEVTVITIAHRITSVLNGDMVLLLDNGVAVEHDAPGKLLKDNSSLFSKLVAEFRLNHL* >Brasy5G388200.1.p pacid=40078836 transcript=Brasy5G388200.1 locus=Brasy5G388200 ID=Brasy5G388200.1.v1.1 annot-version=v1.1 MSSVAVVQAGDAPDPTVRNLLDQDSLKWVFVGGKGGVGKTTCSSIVSILLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDFSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMSLKNRFGGMLNQASRMFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARIRMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYKSALRRGSVEELEERVSTLKSALQEAETELDRVRKGKQVA* >Brasy5G388200.5.p pacid=40078837 transcript=Brasy5G388200.5 locus=Brasy5G388200 ID=Brasy5G388200.5.v1.1 annot-version=v1.1 MSSVAVVQAGDAPDPTVRNLLDQDSLKWVFVGGKGGVGKTTCSSIVSILLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDFSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMSLKNRFGGMLNQASRMFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEGMWCSGSTKLLQALPCTIQICAEAGQCGGARRESIYTEISAARS* >Brasy5G388200.2.p pacid=40078838 transcript=Brasy5G388200.2 locus=Brasy5G388200 ID=Brasy5G388200.2.v1.1 annot-version=v1.1 MEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMSLKNRFGGMLNQASRMFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARIRMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYKSALRRGSVEELEERVSTLKSALQEAETELDRVRKGKQVA* >Brasy5G388200.3.p pacid=40078839 transcript=Brasy5G388200.3 locus=Brasy5G388200 ID=Brasy5G388200.3.v1.1 annot-version=v1.1 MEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMSLKNRFGGMLNQASRMFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARIRMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYKSALRRGSVEELEERVSTLKSALQEAETELDRVRKGKQVA* >Brasy5G388200.4.p pacid=40078840 transcript=Brasy5G388200.4 locus=Brasy5G388200 ID=Brasy5G388200.4.v1.1 annot-version=v1.1 MEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMSLKNRFGGMLNQASRMFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARIRMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYKSALRRGSVEELEERVSTLKSALQEAETELDRVRKGKQVA* >Brasy5G485800.1.p pacid=40078841 transcript=Brasy5G485800.1 locus=Brasy5G485800 ID=Brasy5G485800.1.v1.1 annot-version=v1.1 MSYMRGDLLTRTRKLVKGLAKPAPTWLKAMEQAPPVRFPRTDGKIQKIELPEDVYVKKFFRKHPDSLYHDAVKISGFAPPPARVFAWRVLELKEQGVDEDDAMAVADMEYSAEKKAKKKAYKELKQIARSEGKRPPPNPYPSAIKEIQAEEKKYVKDRFFNPKILEIVNKMKEDRMTFLQDRAAASGYYYQGNKATSSAYRWKYSYVVFD* >Brasy5G485800.2.p pacid=40078842 transcript=Brasy5G485800.2 locus=Brasy5G485800 ID=Brasy5G485800.2.v1.1 annot-version=v1.1 MSYMRGDLLTRTRKLVKGLAKPAPTWLKAMEQAPPVRFPRTDGKIQKIELPEDVYVKKFFRKHPDSLYHDAVKISGFAPPPARVFAWRVLELKEQGVDEDDAMAVADMEYSAEKKAKKKAYKELKQIARSEGKRPPPNPYPSAIKEIQAEEKKYVKDRFFNPKILEIVNKMKEDRMTFLQDRAAASGEAQ* >Brasy5G256300.1.p pacid=40078843 transcript=Brasy5G256300.1 locus=Brasy5G256300 ID=Brasy5G256300.1.v1.1 annot-version=v1.1 MFQQSEKLRVLKLCYCTFSFSLPPFYQCRGLRFLAIHSCKDRRQAETKKERPALELFENLWVLDICKTDWELDLSSKITEQMAKTIREVHIKGGRIWHSHLTWRRLQNLRRLRVIEPTCSWESGNKDEFAHMLMLELLDLSGNYTIQVLTSLSEATCLKTLILDGCIGLEHVGPEGLPPSLESFSLDAGSSQKDKAKVSKISLVSCVHLGSFTLGGSLPSLEELDLSGTSIRKLNLNDAVVKVPKLERIFLVGCEKLLAIQWWDANRMVKMLHINRQAKDTIRPYDSPSVLTESRKYDGQVCVRDARFIQSLMLTKYMITKSLYLNLDGSLGSKTEGRSRNNVGTDTNREKVVWPVRTGSYCYSDVFVFLEGVADVYEVPHHLPLDYHVQIGDGINLTDVDSRSANLAIRYLSLGCAKSLDVHDNFYILAVTPKAYHSFEFEEQFQTSSLKWCRVERCPKLQAVFASHRITCYYSFPELETIWVVDLLTAGCIWSQGVIELYSSEKPFGKLRSIHLHGCPRLKFILPISHFTLPKTLHIVHCGDLKHVFPWNEYYKAGIEYTVKEFPKLKHIHLHDLPSLQQICEAKMFAPELKMIKLRGCWNLRRIPAISSNRRDGRPVVDCEKDLWEKLEWDGVECHNPSLFEPRHSLYCRKLMPRTSVLR* >Brasy5G519100.1.p pacid=40078844 transcript=Brasy5G519100.1 locus=Brasy5G519100 ID=Brasy5G519100.1.v1.1 annot-version=v1.1 MEMESEAAGRKRERRTGRTEQAGRKKGPPPPGKAAEIPSVLDLLKRERMETRQQMEALRLKRAVERRNQENPGADPIVAEALTPEQRAALVQEEKDRKKAAARELSLQRLAELERAPPRPRPPPDPNQDELNYNGYRRIWNTKWSELYGSFEDITRIPAMCFTDNPMPCITCHPSTLQVSSVKVAGITGGLQWPIDVFGMMAMRDDLDHNRNIIFSRSRDNCQTLTQQDSRLILTGPARAVVHEYGSVYFEALLKVKGNTESEDKDLSLLIKRSKEIELPKSSATSKTFTSKLSTLELACGLVVSSTEATIAVHVIEGSWPDGLRCQFTACNTSVPEMKVLLLDSGEEKVASLDGTVELSRRVVSVESFGRLKVSAVVFRGGDQVVEVEMCFAPLEAGRSHGVFDVGFCKLQVTVAWSPFLICYPVTGFSLAKAGGSSGEVGSSRS* >Brasy5G017800.1.p pacid=40078845 transcript=Brasy5G017800.1 locus=Brasy5G017800 ID=Brasy5G017800.1.v1.1 annot-version=v1.1 MSDDVVLIGAAMAALVAISLATFIWSKSDRRSRRTSSSHGVDDVELGRRRPSCAVGVDEAVLAACPTSVYHKPPPYSRGRKKDGEAGAGGGEEDDDDEGKGAGGEEDGEEGGCAVCLGEYEDGDELRRLPWCRHAFHRGCVDQWLRRRPTCPVCRSKEPSTVDKPRSADSDTGSRASMHYDG* >Brasy5G204400.1.p pacid=40078846 transcript=Brasy5G204400.1 locus=Brasy5G204400 ID=Brasy5G204400.1.v1.1 annot-version=v1.1 MHIYVNPTGRTICLKVQPSDTLYTVKAKIQEQHRFVFDGVQLDQDNLTLADYKIEHGSTLDLQEKMQIYVMETLAGRTITLEVDSLDTIDEVKSKIQVKEGFSKGQQYLIFGNKQLVDGKLTLADHNIWKESTLQLVLQPSRSRGTTMTTMYIYMKTLAAKTITLDVESSDTVNDVKVKIYEKDGSRPTQQRLIFCGKQMEGNHTLAYYGIEKYSTLHKSI* >Brasy5G504800.1.p pacid=40078847 transcript=Brasy5G504800.1 locus=Brasy5G504800 ID=Brasy5G504800.1.v1.1 annot-version=v1.1 MAGASARSSGGGPCLALLLAAITALLAGGSSAQQLSTGFYAYTCPGAMDAVKSVMQAAIAMEPRIGASILRLFFHDCFVQGCDGSLLLDDAPGFQGEKTATPNNGSVRGFEVVDAAKAAVEALCPGIVSCADVLALAARDSVVILGGPSWEVKVGRRDSTTASFAGANNNIPPPTSGLANLTALFAQQGLSQKDMVALSGSHTIGQARCTNFRAHIYNDTNIDSGFAGGRRSGCPSTSGSGDNNLAPLDLQTPTTFENNYYKNLVGKKGLLHSDQELFNGGTTDPQVQSYVSSQSAFFADFVTGMIKMGDISPLTGNNGQIRKNCRRTN* >Brasy5G419400.1.p pacid=40078848 transcript=Brasy5G419400.1 locus=Brasy5G419400 ID=Brasy5G419400.1.v1.1 annot-version=v1.1 MQTIKRLPKSVHSSLRSSIVLSDLPRVVEELIYNSIDANAKKIDISLNVRACYVKVEDDGCGITRDELVLLGEKYATSKFHDSMGDGESASRSFGLNGEALASLSDISVVEVRTKSRGRPNSYCKIIKGSKCSHLGIDDQREAVGTTVVVRELFYNQPVRRKQMQSSHKRELHNVKKCVLRVALIHPQISVRLLDIDSEDELLCTVPSSSSLPLISNSFGNVVSSCLHEISTSDQNWALSGHISGPTDVFSNKDFQYLYVNSRFVSRSPIHNILNNLAATFQSSMIRTNGENDVQSRKRQKTDFYPAYLLNFFCPRSSYDLYYEPSKTVVEFKDWQSILFIFEDTIINYWKKHVPQPSKGKAVDTSVPLKCDGKSNGSLLRHQNVQNKEDDIDLHKRSLQKSVVRESNVCMDAATGPKESHSFSFDMKPSSWHVCYPDQISGASKHCDNVGSNDQILGHTQAHVPESISHQWLKGGSSQLEDCDLSGANPTVWKKRRVEGIFHGHEYSCNFVKSKDVQTIGLSSDDQESEIIGPEIDLQDPCFGVLSTPNRITCDFMQNESNIKANMSVFDGFYAEFGKLNEDCLLNEVTKTIADVSCPEMSHSSDGFYHEDCSTSSVLKRCSARKKLGNAVGYVEDLEVNTVAQINFPEIQARWGSDDIDRSSIEDTSFHFSHPFSLADTPHSHSHARTDLELLEESNKSFAYWSSENIDSDFRFSLERYNSDSRRTSEGPKHFNNFDEETQSLNYFNHDNCFGQFVSEDGQVPWKSKLDATLPYNISPEKSTSGCQLNVSSPQMAKDSALIEDQPGQQHFGFGWRSRLSKGSRSRSHSAPPFYRGKRKFPGLNEHLTKLTAEHNKDIPINDKEDAASAPEDISQMSATQPIPETSSSEFSALNLSLKGNVKMCEETYSDGLENSTAQITKWRDDSDQHTALKLPHVPSECFNDILSISSGPLNLSCSSLVPEYIDKKCFEEARVLLQLDKKFIPVISGEKLLLVDQHAADERIRLEELRGKVLSDENRGITYLDSEEDMALPETGFQLFQKYSEQIQKWGWIINGSSISSQSFKKNMNILRRQARLVALTAVPCILGVDLTGKDLMDFIQQLDESDGSSTIPPAVLRILNFKACRGAIMFGDPLLPSECCLIIEELKATSLCFQCAHGRPTTVPIVNVASLRDELARLGTLNGRSQTEPWHGLSHHGPSLERAQTRLKQLRRLRRGGL* >Brasy5G419400.2.p pacid=40078849 transcript=Brasy5G419400.2 locus=Brasy5G419400 ID=Brasy5G419400.2.v1.1 annot-version=v1.1 MQTIKRLPKSVHSSLRSSIVLSDLPRVVEELIYNSIDANAKKIDISLNVRACYVKVEDDGCGITRDELVLLGEKYATSKFHDSMGDGESASRSFGLNGEALASLSDISVVEVRTKSRGRPNSYCKIIKGSKCSHLGIDDQREAVGTTVVVRELFYNQPVRRKQMQSSHKRELHNVKKCVLRVALIHPQISVRLLDIDSEDELLCTVPSSSSLPLISNSFGNVVSSCLHEISTSDQNWALSGHISGPTDVFSNKDFQYLYVNSRFVSRSPIHNILNNLAATFQSSMIRTNGENDVQSRKRQKTDFYPAYLLNFFCPRSSYDLYYEPSKTVVEFKDWQSILFIFEDTIINYWKKHVPQPSKGKAVDTSVPLKCDGKSNGSLLRHQNVQNKEDDIDLHKRSLQKSVVRESNVCMDAATGPKESHSFSFDMKPSSWHVCYPDQISGASKHCDNVGSNDQILGHTQAHVPESISHQWLKGGSSQLEDCDLSGANPTVWKKRRVEGIFHGHEYSCNFVKSKDVQTIGLSSDDQESEIIGPEIDLQDPCFGVLSTPNRITCDFMQNESNIKANMSVFDGFYAEFGKLNEDCLLNEVTKTIADVSCPEMSHSSDGFYHEDCSTSSVLKRCSARKKLGNAVGYVEDLEVNTVAQINFPEIQARWGSDDIDRSSIEDTSFHFSHPFSLADTPHSHSHARTDLELLEESNKSFAYWSSENIDSDFRFSLERYNSDSRRTSEGPKHFNNFDEETQSLNYFNHDNCFGQFVSEDGQVPWKSKLDATLPYNISPEKSTSGCQLNVSSPQMAKDSALIEDQPGQQHFGFGWRSRLSKGSRSRSHSAPPFYRGKRKFPGLNEHLTKLTAEHNKDIPINDKEDAASAPEDISQMSATQPIPETSSSEFSALNLSLKGNVKMCEETYSDGLENSTAQITKWRDDSDQHTALKLPHVPSECFNDILSISSGPLNLSCSSLVPEYIDKKCFEEARVLLQLDKKFIPVISGEKLLLVDQHAADERIRLEELRGKVLSDENRGITYLDSEEDMALPETGFQLFQKYSEQIQKWGWIINGSSISSQSFKKNMNILRRQARLVALTAVCSLFCHQYLFNMFVVLLTVYLLLQSIHWMRWPIGLYYFWLPIISLVNGYAMKPKVGLIFDVHKIMYGLIIVKMERKAKLRFLGSFKRITDKFHT* >Brasy5G134000.1.p pacid=40078850 transcript=Brasy5G134000.1 locus=Brasy5G134000 ID=Brasy5G134000.1.v1.1 annot-version=v1.1 MQQQQPPICAGAGADDDEDPLALDFEFDVLLPDPEDDLFVVTPRFDQAFTEDQAAAAAAPMMQQQQQEMAEAEAVDAPVGEEQLVVGYDGRMFVFDSVQPHKVETILSLLDGQELVPPAQSTKPQLTYLVQPVVVPRDFDRPAALSRYRAKRQRKGLEPVVKADYSCRRDVALRMRRRGGRFVGLSAKSTGDSPHGLTLCTNCGERSDATPKMRRGPDGSRSFCNACGLMWDKTGRLRETVGNRSCGTGRWCGRSESM* >Brasy5G470100.1.p pacid=40078851 transcript=Brasy5G470100.1 locus=Brasy5G470100 ID=Brasy5G470100.1.v1.1 annot-version=v1.1 MADPDWSDLPADVLATVLGELEFQDLSRSAAACTTWRAAARALLRLGIYNRPQTPCLFYTTAAAAGTRAAELFSLADKNTYKAERLPDPPIGERKIVGSSHGWLVTADARSELLLLNPATGDQIHLPPVSTVEHVTPVFNHAGELRYHLYSYDATLPRSWGTDEPQAYAVDELRDALYLKVVLSCDPSRGDCIAMMIHNPYHRQLSFARVGGEMWHWATTSFHDSQYSDCIFHEGAFYAMNSLGGIHRYTIEGSCATRDVILKDVSPFAARNYISRTSSGEVLQIRRMTNCYWETVGIDMYKVDFDKQKIVDMRTLGDDALFIGHNYTCCISTKDHPMLLPNHVYFTDDDDYSWPYDRKDNRRSVGTYSLEDKHANDVVSPQHWLNQPIPVWITPCFTKIHR* >Brasy5G489200.1.p pacid=40078852 transcript=Brasy5G489200.1 locus=Brasy5G489200 ID=Brasy5G489200.1.v1.1 annot-version=v1.1 MASYSGIFPNEVIVRPDEIAYVYNVNAMSTAGTPLSRIVLGEAGVLQRLVWDPDIRVWNLFAQSPRDVCDDYGKCGTFGLCDVNMASTLFCGCVEGFSPVSPARWAMRETSVGCRRKTPLECRNREGGGTSTTDGFAVVRGVKLPDTDNTTVDTTATLDECRVKCLADCSCVAFAAKDIRGGDILSGCVMWMGNIVDVRYVDKGQDLYVRLAKSELVNKKSRRMLKILVPVMVCLLVLTCIFLVWICKFTGMSRNKDIQEKTMIGGTSNDLGDENIELPFVSFRDIVTATNDFSNDNLLGQGGFGKVYKGILDDDKEVAIKRLSKSSGQGVQEFRNEVLLIAKLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDIFIFDAASKYVLDWPTRSQIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVGMKPKISDFGMARIFGRDQQEANTNRMSPEYAMDGTFSVKSDTYSFGVILLEIISGKPMDMVDSSIADNCSPTEVLRCIHIGLLCVQDNPNNRPLMSSVVFMLENETTALATPKQPVYFAQRDSEAKEIGENNSSSMNSMSLTVLEGR* >Brasy5G073200.1.p pacid=40078853 transcript=Brasy5G073200.1 locus=Brasy5G073200 ID=Brasy5G073200.1.v1.1 annot-version=v1.1 MEGRKGRGRLRNFVRRMAMECLCSGEQLKNADETFRSSDSTITKDFSASGYSSRNGEVEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHAEAALRVFDGIDISSLVPKMKISIARKAVRRKTRSQWDSPPMPLHAVSLLMEAIYLKARALHDLEKFKDAAQECRTILDIVEAAIPEGLPAGFGKGCKLNEIICKAVEFLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIAKIQKEFAAFLLYSGCEARPPNLHAQLDGSFVPRNNIEEATLLLMILLRKFNLGRVERDPTVMHHLTFALSMAGQLKPLAVQFEELLPGLLDKREWSYNVALCYLAEEDDFTALNLLKRILKSGQDSDNLKELLLASKVCVEKNAHAEGASYAQRAIANIHGGCEQLAGTAGVLLGVTLSNQARYAISDAERASWQCEALEVLGSAEKNMHVKDSMVMYNLSLENAEQRKLDAAVFYAKKLVKLEAGSELRSWLLLARILSAQKLFADAETIVDAALDQTGKWNQGDLLQTKARIQAAQGQFGAAVGTYTQLLALIQLRTKSFSPRTSLTKGAEDDKNLETETWYDLALLYLGMSQFRDAEVCVSKIRVVNRYSALALHATGKLYEVRGLPKEALGAYFRALDLDSKHVPSLVSAAINLRQLGDGPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQAAALLEETAPVEPFR* >Brasy5G124100.1.p pacid=40078854 transcript=Brasy5G124100.1 locus=Brasy5G124100 ID=Brasy5G124100.1.v1.1 annot-version=v1.1 MAVQAQYGGGMAGVPAPCFPRVHAAYDAAAQEYGTFLSAAAANHGVYNYAAAVTSGAQSDLTCNNGAGGGALASRKRGREAAEQYVPSSSALLPIPGMAKPAAGRLVDSAMTSTSGRPAASSFALDTLVLSELYQQQQNAEIEATVRAELERMRAGLEQARKRQCVSLVRAASASAARRLRQKEAELDAARGRAAELGERLRQAAAESQAWRGLARSNEAVAAGLRATLDHLLLRAAPAPAPAEGFGESDFTAPVAAEAGDDAQSCCFAAPKEEEKASKWACKSCGEGEASVLLLPCRHLCLCKACEPRLDACPVCLAAKNASVHIADVS* >Brasy5G062500.1.p pacid=40078855 transcript=Brasy5G062500.1 locus=Brasy5G062500 ID=Brasy5G062500.1.v1.1 annot-version=v1.1 MWHLRKCNVGIQIIVRELSLDQSLQAKSVTSDTLISIDVLKRSCTPLSRSTMSDSNTCNCSSWHLYTNFFFWNALKTPQ* >Brasy5G255600.1.p pacid=40078856 transcript=Brasy5G255600.1 locus=Brasy5G255600 ID=Brasy5G255600.1.v1.1 annot-version=v1.1 MRRRWRPGADARGVRGARVFARGKAASSTALMSPPGSTHTPSASTTSPTSPPTSSKPCLADGNSDKPQVKTCMSAMRKL* >Brasy5G385900.1.p pacid=40078857 transcript=Brasy5G385900.1 locus=Brasy5G385900 ID=Brasy5G385900.1.v1.1 annot-version=v1.1 MSKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKAPNITSECVGLRETYFNCKRGQVDMRARIRGNKGY* >Brasy5G338500.1.p pacid=40078858 transcript=Brasy5G338500.1 locus=Brasy5G338500 ID=Brasy5G338500.1.v1.1 annot-version=v1.1 MRLSLRKLLCIYSSTYKCYNLPRANCVRIPENFRATHRHTMKQHHKKLPSPTSSSISKFMLLLLPLVLLATLLVIVYPDKFALQTTSLAGAGCRDGISSLVEVAHAAPDFRLLIGVLTLPGRYERRHLLRTVYALQQPNLTGTARVDVRFFFCRLASHEQRVLVSLEALLYGDVVELDCPENMDNGKTHSYFSSVPSLFGDGAYDYVMKTDDDTFLRLPQLAESLGRAPREDLYYGCMVPCDYIRDRDVYMSGMGYAVSWDLVEWIVAAEGQIGNRTDGPEDRTLSYWFDAAGKAKNRVDVKPAMYDFPQQHGAPCAHELVPDTIAVHRLKDSWRWSTTLKYFNFTAGLPPSKFYRID* >Brasy5G140800.1.p pacid=40078859 transcript=Brasy5G140800.1 locus=Brasy5G140800 ID=Brasy5G140800.1.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKGWLELEAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLSRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.2.p pacid=40078860 transcript=Brasy5G140800.2 locus=Brasy5G140800 ID=Brasy5G140800.2.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKGWLELEAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.3.p pacid=40078861 transcript=Brasy5G140800.3 locus=Brasy5G140800 ID=Brasy5G140800.3.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKGWLELEAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLSRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.4.p pacid=40078862 transcript=Brasy5G140800.4 locus=Brasy5G140800 ID=Brasy5G140800.4.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKGWLELEAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.5.p pacid=40078863 transcript=Brasy5G140800.5 locus=Brasy5G140800 ID=Brasy5G140800.5.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLSRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.6.p pacid=40078864 transcript=Brasy5G140800.6 locus=Brasy5G140800 ID=Brasy5G140800.6.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLSRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.7.p pacid=40078865 transcript=Brasy5G140800.7 locus=Brasy5G140800 ID=Brasy5G140800.7.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G140800.8.p pacid=40078866 transcript=Brasy5G140800.8 locus=Brasy5G140800 ID=Brasy5G140800.8.v1.1 annot-version=v1.1 MDALKGRNQTTKGIWVAKAVGVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALKEDIQKIWDSVRKPEIFKEAALSEFFNVEVTALSSYEEKEEQFKEQVGRLRHRFINSIDPGGLAADRRGVIPASGFCISALQIWKVIRENKDLNLPAHKVMVATVRCEEIADEKLGHFISDKAAVTSGPVPGFGSKLSAIVDFHLSEYDMEAMYFDECVRTAKRQQLESEILNHAYPAFETVIEHLHCTTLSKFKSDLAQSLRRGERFAASVRHCAQSSVVEFEAGWRDAVVKHVDWDVTNVRNKLQQNVEAHTESVRRAKLAELKETYEKLSDALSGPVQSILETGKRDSWASIRRVYRRETENTILAFSNRLSEYELDHTTSDEMVIELRGHARSTVEKKAREEAGNVLMRMKERFYTVLSRDKDSMPRTWTANEDIHAITREARSAALRLMSVMAAVRLEDKPDKIDHALMTSLLDGRALWQKRSIEFTSDLLASSTWEEVSPKDTLITPLQCKSIWRQFKAETEYAVAQAMSMQEAHRRSNNWLPPAWTVLLLAVLGFNEFIFLLRNPLYILGLFLGFVLSYGVWLQYDITSYFHHGMLSALLTASASLLPTIMEIITAIVNMSHNKKQSSHPSRRPPPLHAQSFRNNTRQDAQVHYHASPDSPSVDSNSCDESSSN* >Brasy5G426900.1.p pacid=40078867 transcript=Brasy5G426900.1 locus=Brasy5G426900 ID=Brasy5G426900.1.v1.1 annot-version=v1.1 MVPKRYAAPRCTLVGDAAAKKPKEKERPPGMSNADWAADCTRRNVENASRRERERRAKKRNTDLARQMERAAASAQMAAQRVAASAQMAAQRVAASAQMAGIPMPRPPSAQHWSSGSQGSSSLSPSPAGISPVSPHMPHDHQGNATPSLSRFSLDYPDTDPLGGFNPNTFATDPLGGFNPNAFASPPLRRGPLSYGGSSPSSSFQQFPAGCSQPAPNPFGGMSQGDSIMADMINDGSQHAHYTQEEEPYAAEDTEEREEWADGTEEPVVAAPKGKKKGAAEKKKAGGGGRGPKWTAKEDECLAETWKVVSMDPFTGANQSGDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHQWQMIQQACNKWHGIQEEVRRRPVSGSNAHDQMVAMFTAFGDDNDDVDFKFIHVFARIETCDKWTETRNGLTKSGTYDPKAAPPAAAEGRPIGHKKAKAMRDAVPATERLYTCIKKCMSDAAAQAAKRDELAAKREAVAASRWATVIKKQDDKLEILKANVAEKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARAPASAPQTAATATSTPSAPSPPDTATPATSTPPAGTEEPLAPAEDEGAE* >Brasy5G067300.1.p pacid=40078868 transcript=Brasy5G067300.1 locus=Brasy5G067300 ID=Brasy5G067300.1.v1.1 annot-version=v1.1 MEQPCFSANRNGTCAAATAPVAETLLTASLALLAALVILCSGRRTSAGAACRFLASLALMLFYPAVSYTLGGRLANDNVVWACFLLACADTIFAHAAVDLATQAVLQAQATQAVCVLLLALLPNATSLQPRLQQILLLLPLCALSLAKLGARLRSVLSPSRDRALAADNWLVSSYMAHEYVRSVWDFDAGTMKGYRYVVTGEKDGDGGFAGYRLEVTDELVTVDRVWQWQQHDDDDGGAMDDDSSSRLKDLCLSFALFKLLRRRLTGDPLHERDDARTLALVRKGLAGGDDHERMFRVIDAELGFLSDLLYARYPSPQQSLAPETAMFLASTALGLSALFLFSSSSPAVLHNPHPQAANILLTRLVIALFLILELFHYSSALSLVYFSDWHKVKMFCRYVRKPSWILQRLLRLALMCRPWTRQHWSSNEVGQYSLLHACLRRTTVAARRSLPLPATVKRAIHRLVRSEWLSDLKYGDRTLQRHDMLHDFDWSTSRYRQYGAVGSILVWHIATTICAGAAGGGGSREVATTLSNYCAYLLQQAPELVTDHPYEARRLMEALQLRIRRFLAHNGCRSEGDMFDELPGFQPREAGDGGYEEDTIVADGIKLGCQISDEMPDEAARWDVLSEMWVELLLSAAPSGNVTGHVQRLATGCELVTQLWALLTHGGIVDRPKKPYYESTACADDGR* >Brasy5G345900.1.p pacid=40078869 transcript=Brasy5G345900.1 locus=Brasy5G345900 ID=Brasy5G345900.1.v1.1 annot-version=v1.1 MAYTRILLPALLLFLLCNRWHCGHAARAGKRAGESNSQVKFDFSPFLIEYKSGVVKRLMGTDVVAAAADALTGVTSRDVTIDPASDVRARIYLPSFRASTKVPVVVYFHGGAFVVESAFNPIYHAYLNTLAAKSGVVAVSVNYRLAPEHPLPAAYDDSWAALKWVLAHGNGNGTDADQWLSQYGDMSRLFLAGDSAGGNIAHNLALRAGEEGLGDGAGAKIKGVALLDPYFQGRSAVGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQHLGCSRVLVTVSGQDRLSPWQRAYYSTLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRD* >Brasy5G464100.1.p pacid=40078870 transcript=Brasy5G464100.1 locus=Brasy5G464100 ID=Brasy5G464100.1.v1.1 annot-version=v1.1 MPPPQPHPSGVKVTPNLATDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYGFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNATQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSSAINGPLEPPPSSTAVVPVTPDGSFPNYFSGASSVGNVPSAQMTGRAHPSTESVPSSHVLPMIAPSAATVYQMTASSAPPLPLHTNAHTDRSTNLVTPAFFIPPSSSSMSLVQPVSSLMPTAPPLHPTSTSTQRPPYGTPLLQPFPPPTPPASLTPSHNDVPIISRDKVKGALQRLVQSDEFIDLVYRELQNAHI* >Brasy5G359800.1.p pacid=40078871 transcript=Brasy5G359800.1 locus=Brasy5G359800 ID=Brasy5G359800.1.v1.1 annot-version=v1.1 MNAWLSRVCRAPPPPVDGPTDFKLSPSSSSCCCTPPFLAPTTPGWARRPTRGHHHHQTGRSCSRPETASEQRCHPERGASSSRMLMSRAVRRRGNDKPDWGWEWTRHGDQMTKKEKESGGGEKGSFFICSLGIFSRGL* >Brasy5G083300.1.p pacid=40078872 transcript=Brasy5G083300.1 locus=Brasy5G083300 ID=Brasy5G083300.1.v1.1 annot-version=v1.1 MEDLPEELFTEIIARITLTSDLNSLSLVSKRLYTIEACQRKALHVGCGLCPAREALASLCSRFPNLWKVKIDYSGWASGNGNQLDNKGLLVISSRCPLLTDLTLSFCKCITDIGLGYVADCKKLVSIRLNSAPEITSSGLLAVATGCSNLSILHLENCEKIESLEWLEYLGWNGSLEELVVMNCKGINEHDLLKFGPGWMKLQKFGFDTKKRVVNIPGGYDIHDDLYDAHNPSRYDFCCETLKDLRLARFKTGTEVGLRVLLGKCKALERLCLEYVFGLNDNDITALSQTCRNLKSISLWLKPLHYDDAYRTGFTDNSLKALSLGCPMLQAIELTFVGCQPGWPSDISFTQEGLLALIQSCPIRVLVLNDANFFDDDGMKALSSAPFLERLELTDSEKITDAGICFIACTPCLTSLTLRRCDNVTDVGLAELARAQKLESLTIECCRSISLQAAQGSARSVRYSKSSQVGIVERMYF* >Brasy5G083300.2.p pacid=40078873 transcript=Brasy5G083300.2 locus=Brasy5G083300 ID=Brasy5G083300.2.v1.1 annot-version=v1.1 MEDLPEELFTEIIARITLTSDLNSLSLVSKRLYTIEACQRKALHVGCGLCPAREALASLCSRFPNLWKVKIDYSGWASGNGNQLDNKGLLVISSRCPLLTDLTLSFCKCITDIGLGYVADCKKLVSIRLNSAPEITSSGLLAVATGCSNLSILHLENCEKIESLEWLEYLGWNGSLEELVVMNCKGINEHDLLKFGPGWMKLQKFGFDTKKRVVNIPGGYDIHDDLYDAHNPSRYDFCCETLKDLRLARFKTGTEVGLRVLLGKCKALERLCLEYVFGLNDNDITALSQTCRNLKSISLWLKPLHYDDAYRTGFTDNSLKALSLGCPMLQAIELTFVGCQPGWPSDISFTQEGLLALIQSCPIRVLVLNDANFFDDDGMKALSSAPFLERLELTDSEKITDAGICFIACTPCLTSLTLRRCDNVTDVGLAELARAQKLESLTIECCRSISLQAAQGSARSVRYSKSSQVGIVERMYF* >Brasy5G513100.1.p pacid=40078874 transcript=Brasy5G513100.1 locus=Brasy5G513100 ID=Brasy5G513100.1.v1.1 annot-version=v1.1 MFRIQKTSPRGTNIIKIDMIFVTAGQSPKENHVHEEVIPTGAISTQLVLLYCN* >Brasy5G058700.1.p pacid=40078875 transcript=Brasy5G058700.1 locus=Brasy5G058700 ID=Brasy5G058700.1.v1.1 annot-version=v1.1 MGDFNDIMNPLDKSNPGINRPRIRAFNLFIKRCGLLDLGFSGPAYTWTNKRFTSHPVYQRLDRCLANSDWCTHFPNTNVYNLPIILSDHAPIFTTTESAFKRPKLKFKFEN >Brasy5G457400.1.p pacid=40078876 transcript=Brasy5G457400.1 locus=Brasy5G457400 ID=Brasy5G457400.1.v1.1 annot-version=v1.1 MPVTDYQGSSSSPSPFSFGSLLSLRRDHTAMPSGEEADLELFQRHLAANLVELLPAEGEGGGGGGGGGGGGGEEILSVAWIRRLLEAFILCQEEFRVVVAQARRRSGALPAAGERLVAEFHERAVKALDVCNAARDGVDQVRRFERLADIAASVLLAPGEIHEGQLRRARKAISDLSVLLVDETGSSASGGVASFLASHRNRSFGRARASPSRAGGSAVGSSSASASHFRSLSWSVSRTWSASRQLQAIGAGLTAPRAHEGGLAAPVYAMGCILHFTAWALVAAVPCPDRSSALLAHHLPAAPARAAFPWAPPLLTLQERLTEEGKRKERRTACGLLKEIQVLEKSTQKLADAIDAAPIPLFGDRETDLREAAAELAAVCAAMRDGLEPLEKQVREVFHRIVRSRVEGLDSSMHNAD* >Brasy5G203500.1.p pacid=40078877 transcript=Brasy5G203500.1 locus=Brasy5G203500 ID=Brasy5G203500.1.v1.1 annot-version=v1.1 MSIHPALLDRGVALVVDCAMYPISEELFHDIFDPYGVEQMVVYPPLKSKGVTSVAAQILFHSRQAADQARKEWNGQCIYEGCCSLRIWRALWDVEPSATSATAAIAAFPVATPASSEPSPATSATVPVVLVDSDGDGDLTSAVMLTGISTPAMTSATSTSGNDLIVMSPTICSTIYSGGTASSPTTSPTLVLVAVADSAAMVTTPPSATPMAREDNVHFAASNSLVRAPPFNATPMAADLTVAVMHEVDEDDPSSVVPSTVLDAGHKIGTTNFNPITPAHCLDVFVVHKGDSPVAGSYIRASDKDDLVSQLILFSPTTTTNYIVTMAAPVVDLSISGVLSMCAAPDSEINDGLQLKTPWPSLACFFGNVSSPQFLLVLTLEIERLQFGDVILGRGNPIPMVGRALATHAGVGACASLPSA* >Brasy5G394700.1.p pacid=40078878 transcript=Brasy5G394700.1 locus=Brasy5G394700 ID=Brasy5G394700.1.v1.1 annot-version=v1.1 MPASCRPWSSVALLLLLLVILQLIVVVLAVRRLGEGTRPRSCNYLGPRNC* >Brasy5G389700.1.p pacid=40078879 transcript=Brasy5G389700.1 locus=Brasy5G389700 ID=Brasy5G389700.1.v1.1 annot-version=v1.1 MEAAQGPGQGQEEETAAQRMRGQVGGGDGVGSAAAGAGAMAMVPMHQGFMVPKPEPVEFFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTQSSSSSADPAVVDGAGEESSAKRRRKLQPTRAAPGVTLPTAAAPSAYYSVVADPLLQGPAAGGGAAISVASGLAPMSGHHQGLVPVFAVPSSGSPAAAVGGHMIPQATAVWMVPQPVAGGGGAVGNQPTQFWAFQSAPQLINLAGAQGAVFPAAALNVADFHQQQQAASTVVQNSNSSFHQHLAGAESHEQQQRRAHHPEEEDDDDDEEPVSDSSPEEE* >Brasy5G414800.1.p pacid=40078880 transcript=Brasy5G414800.1 locus=Brasy5G414800 ID=Brasy5G414800.1.v1.1 annot-version=v1.1 MRPTCRFPTEVVNMATSALLICKQSTGSRPPKALDPAPGPIENLVRKCARSMHYQKTKPGRLYDSKAVKAQCKKAQIQYMHWKRNASKTYASAWKCDKPHPEEIKVFLQSFLF* >Brasy5G512000.1.p pacid=40078881 transcript=Brasy5G512000.1 locus=Brasy5G512000 ID=Brasy5G512000.1.v1.1 annot-version=v1.1 MTKLTILYLHDNQLSGDIPQELGYLINLEKLGLNKNTLAGAIPKDLCNLTKLTALYIWGNKISGHIPQELGYLVNLEGLDLDENMLTGSIPNSLGNLTKLTTLYLFENKFSGDIPQELGYLVNLKYLALTYNTLTGAIPSSLGILTKLTTLHLFGNQLSGQIPQELGYLLNLELLGLGNNSLTGSIPKSLCNLTKLTALHIWSNKLSGEIPQELGYLVNLEGLALSHNTLTGAIPSSLGNLTKLTKLHLDNNQISGHIPREIGNLVNLIDLSLCCTSYPWI* >Brasy5G523400.1.p pacid=40078882 transcript=Brasy5G523400.1 locus=Brasy5G523400 ID=Brasy5G523400.1.v1.1 annot-version=v1.1 MWSPGNSLKSPDIKHSCYLLLAQADPLAAAHVVSKDRGLKRFLSLSDGGALKRALRCAASAARHPKAAQLADTWLSLSSPHPHTFHSLLQETTTGPPPRPRMPWILPADRDNVTQVPYQHSDSLRRVLLDTIHGFYLQALAIMPTDDLQSLYHRSLLKAGHCYGPFDPVSNIILNTIWYEAAFPPPVDEDHKLDAVGTMSLLRIGVRSFYGLVSHLCTTHQNLTLHQALRCLLDTDLNIQLSHEAAAAMTVNQQQESIAREEAFRAAAIAAAHPKPEEQVEFLCSCEAMLARAGVPSLLDGRRQLCSGDVQHLAGLLCPKPPARMPLQQPPVSTPLLNDIMAKGNRQRRALRRISQKQHSRYYQLHVICGVNEFASGPQDRESAYSWLKMKKYNGFRVPHDVYRHTHANFLVTQYIGSIASRPILFFAELNNYDDDQDSQLLCCPVELPPPCAEPIRCLFCEHQGIRIVHPASEKFHGREVEFDKLFRGEDLYDDDFDPELEEQFYANYRILASSGLNSEWVGDLEEDCMYIRSDDLEEGQFILLFTHAS* >Brasy5G447200.1.p pacid=40078883 transcript=Brasy5G447200.1 locus=Brasy5G447200 ID=Brasy5G447200.1.v1.1 annot-version=v1.1 MGRPDEPPAGISALCVWAVAALLLAAALAGGGCLALSLALPPADAPPWLPAAGLALVALPWAFWIATCLYRCCSSWSPSSLAPAVDRGPSRSAAAVPLPSSANLKSALSRKNNHERPPDEAPRRVRFGDSVVLGEETKKKDAGGGEKEEDDDETPLAASMEPS* >Brasy5G293500.1.p pacid=40078884 transcript=Brasy5G293500.1 locus=Brasy5G293500 ID=Brasy5G293500.1.v1.1 annot-version=v1.1 MQSAAAIGLLRPCAARPLLKNPSPGGARLPASRSALRLSAAAPRAGISAAAGLSRIGLLPLSPEQEERSGRCSRDVAAAAASGKAAAGEEAGEEGGAALAKTLQLGVFFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVISLFLWITGILKRPKISSAQLVAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAMFLGELPTPWVVLSLLPIVGGVALASISEASFNWAGFLSAMASNVTFQSRNVLSKKLMLKKEASLDNINLFSIITVMSFFLLAPVTLLTEGVKVTPTFLQSAGLNLQQVYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFKTPVSPINSIGTAIALAGVFLYSQLKRLQPKPKAA* >Brasy5G204300.1.p pacid=40078885 transcript=Brasy5G204300.1 locus=Brasy5G204300 ID=Brasy5G204300.1.v1.1 annot-version=v1.1 MHIYVKNQAGRTICLTVHELDTLQTVKAKIQEQHHLAFNGVQLDQDNFTLADYNIEHGSTLDLQEKMQIYVMETLAGNSIALEVDSLDTIDKVKSRIEDREGFPKGQQCLIFGSKQLKDDELTLADHNICKDSTILLVLRPSPPRGTTMQIFVRGLKGEIITFDVESSDTVDDIKMKIYEKDGIRPKQQRLIFCGKQLERNRTLADYNIQNQSTLHLAICLCPAAELLRPRRSDSPENATRSHVAGRGSSSTSSLCVLAPHQRHATEGLRRSARMPLQGTAAGWWCGDGAGADPRHEPGLTPSPSWSSKRSPLTVKTAAAAADEAAARSCAGRGRVATDSHVGRGRRQELRSRRTSHYRKTLRCRRQQSLPAAFYRANGKEPDMPWATR* >Brasy5G429200.1.p pacid=40078886 transcript=Brasy5G429200.1 locus=Brasy5G429200 ID=Brasy5G429200.1.v1.1 annot-version=v1.1 MSSPPPAVASPPSELTKSKKKKSKSKDASADPAAGDNNPSLAEAEAKTDGYMIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLQEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCIARFHAAVPDTARVARALESLTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDSMWALDNHKDESYIRRVVMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPTEKTPAEWLRNVTLPTGGDAMIASIAAAPEPEKVKVEQADVKDEEEDEADGGRKRKIVVSESTPSKKIKSEVTEAVEGEKSEKKKKKKDKGEPGSAVSEAVKEKGDLTDQEKGGSEKKKKKKKNKEGTDLVKPESAPDGAVAEGEKSDKKKEKKKKKNQDTDEA* >Brasy5G085000.1.p pacid=40078887 transcript=Brasy5G085000.1 locus=Brasy5G085000 ID=Brasy5G085000.1.v1.1 annot-version=v1.1 MASGNQRQPDDNYSSDGGDTTDAESSDETSHPQRRGPGANPILTRLSVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVSCSLRQLRGKDDVINAGVAGCCTGLALSFPGAPQTLIQSCLAFGTFSYIIEKLNKQQPALALPSNTGSKGLNAGQSVLPPFTLPMPNDAAEGFPSFQNFLSKFQGK* >Brasy5G497500.1.p pacid=40078888 transcript=Brasy5G497500.1 locus=Brasy5G497500 ID=Brasy5G497500.1.v1.1 annot-version=v1.1 MKGHHLAPAAAKRRCSGMAAAVPALVLCSVLLPLAFLLGLHRTGNWYGSEERAAVVISTELGLGKHKHLDGGGLTKHKLLKDVSKNEISGKKPSRSKSRNLSAKSKSKLKGELQNDTTKSRGPHTQRRYQLKDLSLRSMDTTVGVEENQGQEVTNEENPKSCELEYGSYCLWSVEHKEVMKDAIVKRLKDQLFMARAHYPSIAKLKHQERFTRELKQNIQEHERMLSDTISDADLPRFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTLEFFKSTSIQKDQLSKQKLEDPAFHHYVMFTRNVLAASTTINSTVMNSKDSGSIVFHLFTDVQNFYAMKHWFDRNSYLEAIVHVTNIEDHQKLSKGVESIEMQQLWPTEEFRVTFRNHSQPFQRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDVIVQKDLSSLWKLNMGDKVIGAVQFCGVRLGQLKAYTEEHNFDTDSCVWFSGLNVIELEKWRDLGVASLHDQFLQKLQKDSLVSHRLKALPRGLLAFQDLIYPLKDSWFQSGLGYDYGITRSDIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTNGERFMTECNIH* >Brasy5G497500.2.p pacid=40078889 transcript=Brasy5G497500.2 locus=Brasy5G497500 ID=Brasy5G497500.2.v1.1 annot-version=v1.1 MKGHHLAPAAAKRRCSGMAAAVPALVLCSVLLPLAFLLGLHRTGYGSEERAAVVISTELGLGKHKHLDGGGLTKHKLLKDVSKNEISGKKPSRSKSRNLSAKSKSKLKGELQNDTTKSRGPHTQRRYQLKDLSLRSMDTTVGVEENQGQEVTNEENPKSCELEYGSYCLWSVEHKEVMKDAIVKRLKDQLFMARAHYPSIAKLKHQERFTRELKQNIQEHERMLSDTISDADLPRFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTLEFFKSTSIQKDQLSKQKLEDPAFHHYVMFTRNVLAASTTINSTVMNSKDSGSIVFHLFTDVQNFYAMKHWFDRNSYLEAIVHVTNIEDHQKLSKGVESIEMQQLWPTEEFRVTFRNHSQPFQRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDVIVQKDLSSLWKLNMGDKVIGAVQFCGVRLGQLKAYTEEHNFDTDSCVWFSGLNVIELEKWRDLGVASLHDQFLQKLQKDSLVSHRLKALPRGLLAFQDLIYPLKDSWFQSGLGYDYGITRSDIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTNGERFMTECNIH* >Brasy5G497500.3.p pacid=40078890 transcript=Brasy5G497500.3 locus=Brasy5G497500 ID=Brasy5G497500.3.v1.1 annot-version=v1.1 MFAGYGSEERAAVVISTELGLGKHKHLDGGGLTKHKLLKDVSKNEISGKKPSRSKSRNLSAKSKSKLKGELQNDTTKSRGPHTQRRYQLKDLSLRSMDTTVGVEENQGQEVTNEENPKSCELEYGSYCLWSVEHKEVMKDAIVKRLKDQLFMARAHYPSIAKLKHQERFTRELKQNIQEHERMLSDTISDADLPRFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTLEFFKSTSIQKDQLSKQKLEDPAFHHYVMFTRNVLAASTTINSTVMNSKDSGSIVFHLFTDVQNFYAMKHWFDRNSYLEAIVHVTNIEDHQKLSKGVESIEMQQLWPTEEFRVTFRNHSQPFQRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDVIVQKDLSSLWKLNMGDKVIGAVQFCGVRLGQLKAYTEEHNFDTDSCVWFSGLNVIELEKWRDLGVASLHDQFLQKLQKDSLVSHRLKALPRGLLAFQDLIYPLKDSWFQSGLGYDYGITRSDIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTNGERFMTECNIH* >Brasy5G497500.5.p pacid=40078891 transcript=Brasy5G497500.5 locus=Brasy5G497500 ID=Brasy5G497500.5.v1.1 annot-version=v1.1 MSLTNGLKLTLLGLTVLNFVVYIDVSKNEISGKKPSRSKSRNLSAKSKSKLKGELQNDTTKSRGPHTQRRYQLKDLSLRSMDTTVGVEENQGQEVTNEENPKSCELEYGSYCLWSVEHKEVMKDAIVKRLKDQLFMARAHYPSIAKLKHQERFTRELKQNIQEHERMLSDTISDADLPRFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTLEFFKSTSIQKDQLSKQKLEDPAFHHYVMFTRNVLAASTTINSTVMNSKDSGSIVFHLFTDVQNFYAMKHWFDRNSYLEAIVHVTNIEDHQKLSKGVESIEMQQLWPTEEFRVTFRNHSQPFQRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDVIVQKDLSSLWKLNMGDKVIGAVQFCGVRLGQLKAYTEEHNFDTDSCVWFSGLNVIELEKWRDLGVASLHDQFLQKLQKDSLVSHRLKALPRGLLAFQDLIYPLKDSWFQSGLGYDYGITRSDIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTNGERFMTECNIH* >Brasy5G497500.4.p pacid=40078892 transcript=Brasy5G497500.4 locus=Brasy5G497500 ID=Brasy5G497500.4.v1.1 annot-version=v1.1 MDTTVGVEENQGQEVTNEENPKSCELEYGSYCLWSVEHKEVMKDAIVKRLKDQLFMARAHYPSIAKLKHQERFTRELKQNIQEHERMLSDTISDADLPRFFAKKLEKMEHTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTLEFFKSTSIQKDQLSKQKLEDPAFHHYVMFTRNVLAASTTINSTVMNSKDSGSIVFHLFTDVQNFYAMKHWFDRNSYLEAIVHVTNIEDHQKLSKGVESIEMQQLWPTEEFRVTFRNHSQPFQRQMKTEYISVFGHSHFLLPDLLPSLNRVVVLDDDVIVQKDLSSLWKLNMGDKVIGAVQFCGVRLGQLKAYTEEHNFDTDSCVWFSGLNVIELEKWRDLGVASLHDQFLQKLQKDSLVSHRLKALPRGLLAFQDLIYPLKDSWFQSGLGYDYGITRSDIEKAATLHYNGVMKPWLDLGIHEYKSYWRKYMTNGERFMTECNIH* >Brasy5G105900.1.p pacid=40078893 transcript=Brasy5G105900.1 locus=Brasy5G105900 ID=Brasy5G105900.1.v1.1 annot-version=v1.1 MGGDLRVMGVSCVAEFGRSCGGRLFLPLGASAMHDGDGPRPAAGDGPESRCWTKKYGEYRLGCRYTSAWGPIDWNPNAPSSDLIPSLQ* >Brasy5G048600.1.p pacid=40078894 transcript=Brasy5G048600.1 locus=Brasy5G048600 ID=Brasy5G048600.1.v1.1 annot-version=v1.1 MYIAMQGNRRPAGMLLAALLLVVVADAARGAAHTGGGHVVIGHATAGMTMTMAASLLVSAAGVADSVFDPNKPVCVRSCAAKGPGDSYTGGRGCNAYNQCPS* >Brasy5G340200.1.p pacid=40078895 transcript=Brasy5G340200.1 locus=Brasy5G340200 ID=Brasy5G340200.1.v1.1 annot-version=v1.1 MLSRISSIGIHALNRARPGQNSVTSRNQEQAIGSCMNHVGNYFLCSATDAKASDRSKDLQAKISVTFVDKDGDETLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPEDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGHLAKPH* >Brasy5G377300.1.p pacid=40078896 transcript=Brasy5G377300.1 locus=Brasy5G377300 ID=Brasy5G377300.1.v1.1 annot-version=v1.1 MANLPFSTPIHGTTMARKVNLRRSCTKPATVETGEIMDVDSDGQRRGVMAIMH* >Brasy5G410500.1.p pacid=40078897 transcript=Brasy5G410500.1 locus=Brasy5G410500 ID=Brasy5G410500.1.v1.1 annot-version=v1.1 MLSTASRAAGGLARSLLAAVCGAAGTVVGAVVGLLCGFVNEEGLLQGTFVGAIIGAIVSVEVADSLVRIWCCDEYCSMDARIKRTRLVLRNIGLGRVLRGSAFPSMSSALDGQMDALQLHHHHYGGADRGDLFELEPSSAVMAGRRAAVESLPSTTLTKETAAQHAACPICLHEFQAGESARKLPACGHVFHLACIDGWLLGKPQCPMCRQGVY* >Brasy5G164800.1.p pacid=40078898 transcript=Brasy5G164800.1 locus=Brasy5G164800 ID=Brasy5G164800.1.v1.1 annot-version=v1.1 MSANATAAAAAAEANKKGERGMARLSQEKIDGLVNGMNTRPRPALSTFFPNGLFDGLPNDEMRQGVMTLLEDMVELWEYKKGLLDQYHARGYVEVLVHDDDDSDEMLFVSKT* >Brasy5G198600.1.p pacid=40078899 transcript=Brasy5G198600.1 locus=Brasy5G198600 ID=Brasy5G198600.1.v1.1 annot-version=v1.1 MVPIAGFGGLGKTAIAKAVYDSLKVEFQCTAFVSVSQNPEITRIFKKVLHMLDEDKYANINEGSWDETQLIDELRKFLQNKRYLIVIDDIWKIQSWKTIKYALVENSCGSRIITTTRDFDIADQVGGSYKLKPLSLESSKVLFFGRIFGSEEKCPKQLIEVSLKILKKCGGVPLAVITMASLLTVASKIPNPREWDQVCDSIGSGLGNNPDVKDMRTILSLSYYNLPSHLRTCLLYLGIYPEDYEVPRDDLIWKWIAEGFIPPNKKDGRSLFEVGESYFNELMSRCMIHPIEDFEGCRLHDMMLELICSLSSEENFVSILDNTEDSMSPQSSTRRISLQNKSEDHQTALPFHMNITQVRSVTVFPPAIDLMPPLLSYGVLRVLDLRGCNLGESGHIRLKYIGNLYHLRYLGLRDTQICELPSIIGKLQFLQVLDIRSNSRLQELPPSIIKLRRLICLQFDSYDMTIIPDGFGNLTSLEVLNEIPASLNILIELCNLTRLRVLTIGFYDDLSLDVEVAFVESVCKLPNIKSLTLWGSFRSTDLFGGRWVPYQHLRIFNWFDVGVFSTLTAWIRRYSSHLSNLSELRIRVKNLQEEDLRVLGRLPSLRVLYLRSTHQTERLLVIGADAFRCLTTFAVYLTPPAQIMFGGGVLPRAEEVHFRFGVQLAKNEGNDDFDSGLGNLLQSLVVE* >Brasy5G305400.1.p pacid=40078900 transcript=Brasy5G305400.1 locus=Brasy5G305400 ID=Brasy5G305400.1.v1.1 annot-version=v1.1 MPRQGLCSVVQTHILAERSTQEKIRDNRRAKTGQNTTEEASASPRGQTEMAASCTLAVSPAFGFAASCSPSTSARRSRRFGVVAMASVGQKVYAPGVAVSEGNGGLPKISLESPHGSEAEIYLFGACVTSWKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNMNWSVTDSVNEGDPTVTLELKDDSYSRSMWDFSFQASYKVALHSTSLSTTLKITNTDDKPFSFNSALHTYFSASIAGVSVKGLKGSKTLNKDPDPKNPLEGKEEREEVTFPGFVDCVYLGAPSKLILDNGLGDKIAISNENWSDAVLWNPHLQMEACYKDFVCVENAKIETVLLGPTQSWVAEQKIELI* >Brasy5G246900.1.p pacid=40078901 transcript=Brasy5G246900.1 locus=Brasy5G246900 ID=Brasy5G246900.1.v1.1 annot-version=v1.1 MSFVFRGSRADIEAGGFPGFAPESDVARIHPVDRTVKINSLG* >Brasy5G405100.1.p pacid=40078902 transcript=Brasy5G405100.1 locus=Brasy5G405100 ID=Brasy5G405100.1.v1.1 annot-version=v1.1 MCFLLPCSSNETIMGADSSETQATVPEIPPGYSMAPSAASGDDGPVLPAVPNMKKNSGAKKPKPRT* >Brasy5G373900.1.p pacid=40078903 transcript=Brasy5G373900.1 locus=Brasy5G373900 ID=Brasy5G373900.1.v1.1 annot-version=v1.1 MPGLTIGDTVPNLELDSTCGKIRIHDYVADSYAILFSHPGDFTPVCTTELSAMAHYASEFQKRGVKLLGISCDDVASHREWTKDIEAYKPGSKVSYPIMADPNRDAIKQLNMVDPDEKDAQGRLPSRTLHIVGPDKTVKLSFLYPSCTGRNMDEVLRAVDSLLTAAQHKGKVATPANWKPGEKVVIGPSVSDEEAKKLFPQGFETADLPSKKGYLRFTKV* >Brasy5G077000.1.p pacid=40078904 transcript=Brasy5G077000.1 locus=Brasy5G077000 ID=Brasy5G077000.1.v1.1 annot-version=v1.1 MDEIRALFVNRAEHTYRGVPVTTNEEGYHIISLDHAGFPSVLLLINQKDGYLVGFRDGGNQWYRFSDQDAFPAHAEVIRHMTSSYLSLVDKWAFARLIVMLCEGVRLRTVRTLVSRLMGLFMNEFIDLITQKKITSWSLMSVFAAHCWRREHDGINGYEQHELDKLARCEVHSPEEVAGDPNGELMLILYQVDHFGGIV* >Brasy5G257200.1.p pacid=40078905 transcript=Brasy5G257200.1 locus=Brasy5G257200 ID=Brasy5G257200.1.v1.1 annot-version=v1.1 MVSTRTTATAELPKRRGRPPKAPLTSEAKAAAEEEAPPRSPSPVSPLAAAAESYEREREARIRENMERMQQLGLLDLATRFNQSATPSGRRRWQRKPETPGSDGAAPRMKPANPTPARRSLRLKDVEPVSYVEIRTKMEKDLEGGSSFSIEEGCKEEVYTEEHQKLLGTCETPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTRCCKCQIVQGQFCGDCLYMRYGENVLEANSNPNWTCPVCRGICNCSICRTKKGWFPTGNAYRKVVRLGYKSVAHYLIETQRSSATSGDSSSADSSNESPSSKSETSSVSEHAPVVKEGLKDADLSSKVMNDVGEIDQNKGNKKPAAEDEAKALGKITDVCKDADLSSEVMNEVGEIDHNKGNEKSAVKDEAKALGKITDMVRFDSLECQASQDIGCVTPSKPERKKRKLVERSPDCVASRLRSRSAKS* >Brasy5G257200.2.p pacid=40078906 transcript=Brasy5G257200.2 locus=Brasy5G257200 ID=Brasy5G257200.2.v1.1 annot-version=v1.1 MEKDLEGGSSFSIEEGCKEEVYTEEHQKLLGTCETPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTRCCKCQIVQGQFCGDCLYMRYGENVLEANSNPNWTCPVCRGICNCSICRTKKGWFPTGNAYRKVVRLGYKSVAHYLIETQRSSATSGDSSSADSSNESPSSKSETSSVSEHAPVVKEGLKDADLSSKVMNDVGEIDQNKGNKKPAAEDEAKALGKITDVCKDADLSSEVMNEVGEIDHNKGNEKSAVKDEAKALGKITDMVRFDSLECQASQDIGCVTPSKPERKKRKLVERSPDCVASRLRSRSAKS* >Brasy5G176000.1.p pacid=40078907 transcript=Brasy5G176000.1 locus=Brasy5G176000 ID=Brasy5G176000.1.v1.1 annot-version=v1.1 MAMAPRATLLLAVSFLAMAAVASAHGASETCTSHFLSGFKFSKCLNVLDILKVSINEPYDKKDCCPLIAGLVDLEAALCLCKKLYLPVAVPVLGVDQITLAVRVVLDNCDKYCPTDFKCPPPTPY* >Brasy5G399100.1.p pacid=40078908 transcript=Brasy5G399100.1 locus=Brasy5G399100 ID=Brasy5G399100.1.v1.1 annot-version=v1.1 MMGGTSTSGGGRRRRWTQRSCRTGGGGGGAVVRRTVEAPAPAHEERGAITKGLTHVDKQQRVCGLLSYSRSSSGCTTKEFLYSDLCEFKHKRSSSNTSKMPIASQRSSSSLPIEHEVPRKKVLLHASSNVSDYGLPYRPKFHRGKELNSAKQAPTVQKSGGMKSFSYQLARSAGKSDSMKIGPLDAKCSLSEKMSLLGRTQHGHSHLNQNFMVASNQRHKIAQHVEAINMLRKDIVQKQSDASTGRHWQTLLDNALVRRSLQPPNPNEESSEQWSCTNSESDKAVCFSSSDSIDGLQVSFSSDTSETSDNSKLSSDQWRMTFNKVHCPLAARINSVSLPTCKEIEHPSPVSVLEPPAEDFSDAENVKQDTADPRDPQLRPELANFPSVETVAEVGAMCGIDDYLCCEMEAGENAETIQLVEEIHEEFEDEEERDFSYLLDILISSGIHGIEEDQMYKVCQSLDCPTGYDVFDKLESKYIKVAQWSRSDRKLTFDMVNSILSEILAPCLDMHPWVNTARNMAPVWGSEGLLEKVLQILAQRREELALCVREPKAEKKVFDHKWPDLADYIDRAGRDVEKMIKDDLLEELVLDLLSS* >Brasy5G108200.1.p pacid=40078909 transcript=Brasy5G108200.1 locus=Brasy5G108200 ID=Brasy5G108200.1.v1.1 annot-version=v1.1 MPFLSPLAASGDDADDYYYHYDAGYRRASTTGGGKSAKKDKGIFSCLPCFNPCSPGAVDPMAHRRLLSSDSSDSDNVAAADITADLARLRLRYSRLAAGPPVRPRDVPGLVARPDDTPLAVAALSWLGGDLRPSCILRTILPALFPSLPEDARRALVAAARQLQPREAALDGEVAEYQSTYAMKLACEKTKDGVAETAAEEACKMARAARRADKLRWRAVQAAVEVLEPAQAKEFLKAVDDVAAKVGRHGARWHMRAGPLSVPVDAFERMRTNARAATDDAW* >Brasy5G213900.1.p pacid=40078910 transcript=Brasy5G213900.1 locus=Brasy5G213900 ID=Brasy5G213900.1.v1.1 annot-version=v1.1 METHREIRAPWNTAASPGALRDGGREAAGVEETGRQERGGDVHAAGAVEENRGGQGGGRDVRRLTREVAHAREKEGRWLGEGGEREIECGGWGRRDGDGGDSGGEGRALLRRKREGRGQIEEGGGRQIGEEIEEEGGRSGWRKERIGGREEMCGRGRRDVREEGSWGFYTAPSKP* >Brasy5G006200.1.p pacid=40078911 transcript=Brasy5G006200.1 locus=Brasy5G006200 ID=Brasy5G006200.1.v1.1 annot-version=v1.1 MLRAKQLGTLSQCARSFYLSGSRCGSTDGASCTCPEDDTCASKRQTASGIQQKFQSTGRSSVKAFPSSAQHVGSIGSATGYPPQAVHVVPSTLTPGNEPASSNRSNPPSNHQVLGNDYVQPSKQTARRASQSGIAGAGVYSELVNLRSSSNNGRTEQAPQASATYSNKPLSSAQSSNNKAHNHHSFPEAKVPYTPSMQNDFGKGVSRPGYTKSKQNFSGPSAVVSSSPAQARNQRHPGQGHANYHSNNLNSDGRWAGVQTRNFSAPTVYSGPSDKSKGPLGTIKAHGGGPQPNLRSLKSLRAVEQYYHTLQQMKWGPMTEHVLDSLHCKIDAFQANQVLKLLHDHTMALGFFHWLKRQPGFKHDGHTYTTMIGILGQARQFGTMRNLLDEMNRDRCKPTVVTYNRIIHAYGRANYLREAVKVFEEMEEAGYEPDRVTYCTLIDIHAKAGYLEVAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIIIALQAKARNYDNVVKLYRDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTDANAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGENVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSVREKSSAYWLINLHLMSEGTAVTALSRTLAWFHRQILVMGSCPERIDIVTGWGRRSRVTGSSLVRQSVEKLLHLFQFPLFTARGNTGCFVGCGQPLNQWLHNPYVERMHLL* >Brasy5G282700.1.p pacid=40078912 transcript=Brasy5G282700.1 locus=Brasy5G282700 ID=Brasy5G282700.1.v1.1 annot-version=v1.1 MIVSWRRAAAELVHHGEGVNLLEDSAYRRALILQSCCTTTCCNNFVHNLFELLPTTTSTPSDVWAPEAFDDLSRALIYNDKGSRLVITTREGDVAALASQGHVLALEALPEDKTWTLFCKKAFPRDSNHECPTVLKPLSEEIARKCKGLPLAIVSVGSLLRVREKTVEEWRRINDQLSWELIHNSRLDHIRNVLHLSFIYLPTRLKSCFLYCSLFPEDYLFHRKKFVRLWTAEGFIEEKGASTLEEVAEGYLKELVDRNMLQLVERNSFGRMKKFKMHDILRELAVDLCKKNCFGVTYEEEKCGGSLETDGCRLVVHKLKKDVQESFSIVHQLRTIITLDSSMASINVPLLCRQSRYMAVLELSRLPIEQIPDAIGDLFNLRYLGLRGSKVKLLPKSIEKLSNLLTLDLYDSSIQELPGWIAKLKKLRHLFAEKVNDPLGRDFQYNSGVRIPNGLGSLTNLQTLQALEAQDESIGQLGELRQLRSLRIWNVKGLYCERLCESLVQMRFLSYLSVNASDEHEVLLLSVLPPNLQKLCLRGRLAGALDEFPLFQAVAEQNLYSLGLYWSQLREDPLPSLSLLSNLTELDFTRAYNGEQLAFLTGWFSKLKILSLRDLPNLLTLEIQQGAMATLETLTLINLSSMTEVPVGLEFLMPLQSLVFLEITSEFLTSLRQCSRHNGMRFGYSLRD* >Brasy5G328500.1.p pacid=40078913 transcript=Brasy5G328500.1 locus=Brasy5G328500 ID=Brasy5G328500.1.v1.1 annot-version=v1.1 MAQPNPTTTAVELLRLHGMALAALIAGAATVHHIRQLHAHLLTSGRLPTLGPILLRRLISLPSPDLHLAQRLLLSLPSPPLALFNLLLPPLASSPEPSAAARLFLHLRRSGLLPDAHTVPHVLKALARLAPASLPLVASTHAETIKDGLESAVVYVRNALMAAYSSCGHLAGAIQVFDEMPRRTVVSWNTALTACVDNDYHGECVGLFSAMVEAGFQPDQTTFVCMMSAAAELGNLPLGKWAHGQVVARRLDMTLQLGTATVNMYAKCGMVSYARRLFERMPAWNVWTWSAMIMGFAQNGLAWEALKLFERMKGASIAPNYVTFLGLLCACSHAGLVDEGRRFFREMRHVYRIEPMMRHYSAMVDVLGRKGRLQEAYDFVMDMPVEAEPVVWRTLLGACQLHSSKDCIDIVSKVQERLLELEPRRSGNYVMVANIYSDIGSWDEAAKARRVMREGGMKKMAGESCIEVGGWVHRFISGDDSCPEYHGAFSIVHGLDLNMRKCEPIDEVSPIDVD* >Brasy5G184200.1.p pacid=40078914 transcript=Brasy5G184200.1 locus=Brasy5G184200 ID=Brasy5G184200.1.v1.1 annot-version=v1.1 MAAEVEGAKAASLAFRIAALALSVAAAVVMGTASNILVVPGSGAGRVVSYSHYGALTYFVAASAVSAVFAALALYWFVHGGGGSRRVWALDAAAQALLFSASGAALAARGCFVVDGAGGAFGSRTGVAAAVGASAAAAVALAALTGGAPPRGDGGDSDGGGGHGCKHGC* >Brasy5G454400.1.p pacid=40078915 transcript=Brasy5G454400.1 locus=Brasy5G454400 ID=Brasy5G454400.1.v1.1 annot-version=v1.1 MCCGAAEVADPGDDQHRRRRLAGAGFGEDERGQPTPPGAALTSSSTAMMWRRRQAHEMSAMVSALSSVVAGGETAAAASSSSSSMKRPAAEQFVDDAEEAWWSPVPSFLADYTAAEATAPAQQQQHSSSTSAAAAAAGGEELPSPSSGDSGGGPRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDDAEAAARAYDAAALGFRGSRAKLNFPESASLLLPHPQPPQQNQRNQQPSPPAMPPPQRPEALLESHAMWGSGGGQEYSQYARFLQGSAGGGDQSASGTAAPPPPPLLYSFGAGASYALQPEMSGPGYPAAASPSTAAAWAAAYGLHDDDLRRRPPAPPRRDDDDPPSG* >Brasy5G059900.1.p pacid=40078916 transcript=Brasy5G059900.1 locus=Brasy5G059900 ID=Brasy5G059900.1.v1.1 annot-version=v1.1 MAPKRELVLAACAGREAAKRLRVGVHGAPSAGGSQPGPPLPGTRLLRQTVLVVLFLLRMSERITVTESNSQIGRMVQKLHKVQNLIMSLQDQMEGISHEVKKLSCSRSNLHADQDQRQEPTPDCTIASGWNANIHLRFLNVLKTPIYTEKNIKAEGAEGDQAIRIGMFEGDNMITAGPLSKAKVEILVLRGDFADNGRVRWTEKEFNSYIAQGRDGQGFVLGGDCHVWLNNGEAFFNNIRFKEGSCRTRSRKFVVAARICSSEKANVRVHEAIMKPVPVLDRRNAANEKRHPPKLDDEVYRLEEIANNGNYHRRLKNNHIFTVQDFLKALNKDANYLRKKVLLINKLHSSWEKMVKHARECCLGDRHELKAYHNGGNVVLFFNCVYDLVGAEFAGDYVAHNNFDSDSKALANELKERACDKLDSLPFNYKMKGDLPVPVPCCTNSSAVAPILSPDAALQASENQCHANFNSRSFIHDDQSTVSTHYCQGEGIRSVSQQQPSTSRAPYWQQDLRLIDSSHRTNMWDYGTVGCLFQGSGQVNNHGQMQAPFGGIALEASTSAQHNLLPQQFPPYLHGNMPELRYSKDLPNPVAEPSSWSENGSPEPSFRDTNLTDDSPFEGSGQVNNHGLMQAPFGGIALEASTSAQHNLRPQQFPPYFQGNMPGLHYPEDLPNPVAQPLSWSDNGIPEPEPSTRAFQGPGYGNF* >Brasy5G059900.2.p pacid=40078917 transcript=Brasy5G059900.2 locus=Brasy5G059900 ID=Brasy5G059900.2.v1.1 annot-version=v1.1 MAPKRELVLAACAGREAAKRLRVGVHGAPSAGGSQPGPPLPGTRLLRQTVLVVLFLLRMSERITVTESNSQIGRMVQKLHKVQNLIMSLQDQMEGISHEVKKLSCSRSNLHADQDQRQEPTPDCTIASGWNANIHLRFLNVLKTPIYTEKNIKAEGAEGDQAIRIGMFEGDNMITAGPLSKAKVEILVLRGDFADNGRVRWTEKEFNSYIAQGRDGQGFVLGGDCHVWLNNGEAFFNNIRFKEGSCRTRSRKFVVAARICSSEKANVRVHEAIMKPVPVLDRRNAANEKRHPPKLDDEVYRLEEIANNGNYHRRLKNNHIFTVQDFLKALNKDANYLRKKVLLINKLHSSWEKMVKHARECCLGDRHELKAYHNGGNVVLFFNCVYDLVGAEFAGDYVAHNNFDSDSKALANELKERACDKLDSLPFNYKMKGDLPVPVPCCTNSSAVAPILSPDAALQASENQCHANFNSRSFIHDDQSTVSTHYCQGEGIRSVSQQQPSTSRAPYWQQDLRLIDSSHRTNMWDYGTVGCLFQGQVNNHGQMQAPFGGIALEASTSAQHNLLPQQFPPYLHGNMPELRYSKDLPNPVAEPSSWSENGSPEPSFRDTNLTDDSPFEGSGQVNNHGLMQAPFGGIALEASTSAQHNLRPQQFPPYFQGNMPGLHYPEDLPNPVAQPLSWSDNGIPEPEPSTRAFQGPGYGNF* >Brasy5G059900.3.p pacid=40078918 transcript=Brasy5G059900.3 locus=Brasy5G059900 ID=Brasy5G059900.3.v1.1 annot-version=v1.1 MVQKLHKVQNLIMSKLDSLQDQMEGISHEVKKLSCSRSNLHADQDQRQEPTPDCTIASGWNANIHLRFLNVLKTPIYTEKNIKAEGAEGDQAIRIGMFEGDNMITAGPLSKAKVEILVLRGDFADNGRVRWTEKEFNSYIAQGRDGQGFVLGGDCHVWLNNGEAFFNNIRFKEGSCRTRSRKFVVAARICSSEKANVRVHEAIMKPVPVLDRRNAANEKRHPPKLDDEVYRLEEIANNGNYHRRLKNNHIFTVQDFLKALNKDANYLRKKVLLINKLHSSWEKMVKHARECCLGDRHELKAYHNGGNVVLFFNCVYDLVGAEFAGDYVAHNNFDSDSKALANELKERACDKLDSLPFNYKMKGDLPVPVPCCTNSSAVAPILSPDAALQASENQCHANFNSRSFIHDDQSTVSTHYCQGEGIRSVSQQQPSTSRAPYWQQDLRLIDSSHRTNMWDYGTVGCLFQGQVNNHGQMQAPFGGIALEASTSAQHNLLPQQFPPYLHGNMPELRYSKDLPNPVAEPSSWSENGSPEPSFRDTNLTDDSPFEGSGQVNNHGLMQAPFGGIALEASTSAQHNLRPQQFPPYFQGNMPGLHYPEDLPNPVAQPLSWSDNGIPEPEPSTRAFQGPGYGNF* >Brasy5G198800.1.p pacid=40078919 transcript=Brasy5G198800.1 locus=Brasy5G198800 ID=Brasy5G198800.1.v1.1 annot-version=v1.1 MDITTGAMASLLPKLFELLKEEYKLHKDVKQDVESLSKELASMHAALRKVGKLPRDQLDEQVKIWVDDVRELSYNMEDVVDTFLVRVKGSEPAADLDGFRSLIKKMGNLFNKGKARHQIADMIKNIKDQVHDVAARRDRYRVDGVVANSDATAGTLDPRLSAMYSSTNIHTWLSMRQPVRECFQESSDAWSKGACPDYCHGIG* >Brasy5G155700.1.p pacid=40078920 transcript=Brasy5G155700.1 locus=Brasy5G155700 ID=Brasy5G155700.1.v1.1 annot-version=v1.1 MAGAPPPCSPLSWSDLPLDLAGMVLDLLPSHVDRVRFGAVCVQWCRAAQQLPVQPPLPLLLRPDGTLHSLPRSESFHVSGCAGYGSACGKWLVFSRDDSGCFLMDPFSKATVTLPALASIRRPFGESYFVYQMGITQMMIKESKQILFSPHLVAAIFSVHWSSHIALCRPGSSSWSILTEKHFGWDFSQMSFHKGNLYTLTCDEDLLAVNITKDDITGNPWVSHLGQVIKGPNGISSTFTASRNGRLSIKMLYLVELRDTLLMVRRTLFCRCRRPSALALKDKGIVVERNDFKVFEPDLRKLRWAEVKTIGDDQSLFLGRRCSRAMSVSQHDLPGNHIFFLELDDDDRSLYGEKSLSSCSAYNIRDGTFSSPLPTGSWKRGMGFATWLFPQN* >Brasy5G227400.1.p pacid=40078921 transcript=Brasy5G227400.1 locus=Brasy5G227400 ID=Brasy5G227400.1.v1.1 annot-version=v1.1 MKRMGSKEVLRRAALCLPLLLICLGCQCLASSELQATQTATLKVDASSQLARKIPDTLFGMFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNIVALRMEVLCDNCPAGGVGIYNPGFWGMNIEEGKTYNLIMYVKPAEAAELTVSLASSDGLQKLASVTVPVAGTSWTKVEQKLIAKGTNRTSRLQITTNKKGVVWFDQVSLMPSDTFKGHGFRKELISMLLDLKPSFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVNTAAIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYVGNYLKFYNAIRVAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMKGTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQQYGTPSYWMQKFFRESSGAMIHPVTISSSYSSSLAASAITWQDSGNSFLRVKIVNFGSDSVSLTISSTGLQASINALGSNATVLTSSNVMDENTFSNPKKVVPVVSPLRNAAEQMQVTLAPHSFSAFDLALAQSGLVAEM* >Brasy5G250200.1.p pacid=40078922 transcript=Brasy5G250200.1 locus=Brasy5G250200 ID=Brasy5G250200.1.v1.1 annot-version=v1.1 MQTAAAWAPSPSPSTSSSSSATPFKVIRVGISSPCGTAAHTSSAPRLVATPARRWRRRRQVVQAIANPDPAVELPLTAENVELVLDEVRPYLMADGGNVVLHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLDEIRPYLSGTGGGELEFVSIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS* >Brasy5G250200.2.p pacid=40078923 transcript=Brasy5G250200.2 locus=Brasy5G250200 ID=Brasy5G250200.2.v1.1 annot-version=v1.1 MQTAAAWAPSPSPSTSSSSSATPFKVGISSPCGTAAHTSSAPRLVATPARRWRRRRQVVQAIANPDPAVELPLTAENVELVLDEVRPYLMADGGNVVLHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLDEIRPYLSGTGGGELEFVSIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS* >Brasy5G014600.1.p pacid=40078924 transcript=Brasy5G014600.1 locus=Brasy5G014600 ID=Brasy5G014600.1.v1.1 annot-version=v1.1 MPPPPPALLDELVEEILLRIPPDEPASLLRASLVCKRWRRRLSDPRFLRRYRELHGAPPMLGIIYTLPEVRRPRPLTIPLVARSAPTTGLRPSPLEMEGFCALDCRHGRVLLQHEDLLTVGRADLVVWDPLTGSQRRLRDPDIKYGAVGAAVLCAEGGSCDHGACSGGPFRILFVCRDLHSPGVTLAFVYSSVTDEWSAPASLHVGANNNVGFAGLPGTSGVLVGDALYFLITRRAAILKYDLGRHCLSVIQLPMPMAANKFDSASPYLVAANDGVLGIAFNNMSRLELWSMEAGRHGVATTWAHHRVIHLNTLLPTDDPGNSLEIITFVEGTNIVIVATHLGCYTIDLKSLRSMKMKLPESERKSMRPTRRRKCFGMFPYMRFYNPPGPLVHDDTDAEEPEDARLGLGVAQN* >Brasy5G231900.1.p pacid=40078925 transcript=Brasy5G231900.1 locus=Brasy5G231900 ID=Brasy5G231900.1.v1.1 annot-version=v1.1 MMAYRRKQQGPIADDRRSTPQPQAPAASSYNYTSMDSMREPKLGLWGALARKAKGILDEDGVAHKFEDYGKGQSPRKSDSFGGAQAPQSRWSFENYEKTERSEPRKRSEALAASVNQLGGRIRNALEEGLTIVDNKTSNIIEETKKIQIRRKPNGSSVYMQNPAADTFFPPNFSQNKAEASTQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKMLRETKQKGVKTEEDDDLIRMQLETLLAEKSRLAQENSMYARENRFLREIVDFHQYTAHDVVSFGDDDTKDRKPEEHSNLSYSENMFPVVEAYLAQEEVSPVPSRSESPILRPASPGSCNSVGNAPNSPRNASKPNESVPDKD* >Brasy5G374800.1.p pacid=40078926 transcript=Brasy5G374800.1 locus=Brasy5G374800 ID=Brasy5G374800.1.v1.1 annot-version=v1.1 MERERERVRAFEGRTEGSRAAPSLRAALLRHHNEIRHAQIKNSGREEGRRGLQGWNEERSAEEGESGAVGGRRAGDKSMEDLEEKEERCSHHPLSVRDPHEPQPLSPPPSSNSAAAASTPLQARRHLPRRLPPSIRADKPPYLPPRDQAGSAPTNGPSSVRSGASGPPPPSARSSAAARILHRPGDLRPDPSGGMRSQPLFDNADGSAGSASPCSTTSSRDPFFLILIRWRATKIGRIVANSKHMVQRVAYLVVSDLVQKNIDFHVCWIEILFVHH* >Brasy5G464800.1.p pacid=40078927 transcript=Brasy5G464800.1 locus=Brasy5G464800 ID=Brasy5G464800.1.v1.1 annot-version=v1.1 MLVALPVILISRSYKGGTICFAIPQKDDVLLSAGMGIWSMYHAVNPHSTGSLSVLCSGIYLAARSFCNKLNHSSSLKDRRVARIDRNLIDVSAISQCLQLCAKRKSLLVGKSCHGLAIHFGLVTDTLTCNILINLYTKCGQNDCARLVFDTMHARSIVSWNTMIAGYTHTGEDVQALKLFSRMHREGTHMSEFTLSSTICACAAKYAINECKQLHTIALKLALDSNSFVGTAILDVYAKCNMIKDACWVFEKMPERTLVAWSSLFAGYVQNGLHEEALHLFRCAQREGVELSEFTLSAILSACASLALKIEGTQLHAVILKCGFHGNFFVAASLVDVYARCGQIEKAYALFAYMEHKNVVIWNAMIASFSRHAHSWEAMILFEKMQQFGIFPNEVTYLSVLSVCSHAGLVEKGRHYFSLLMSDRTVEPNVLHYSCMVDVLGRSGKTDEAWELLNKMPFEPTASMWGSLLGSCRNYNNIRLARIAAEQLFQLEPDNGGNHVLLSNVYAASGNWENVLMARKYLKDSGAKKEMGRSWIEAKGKVHVFVVGEGKHPRITDIYNKLEEIYHEMRKFARRTSIECDLHDVQAEQKEELLKHHSEKLALSFGLISLPPNIPIIIHKNLRICGDCHSFMKIAAHITERLVIVRDTNRFHHFKDGSCSCGDFW* >Brasy5G447300.1.p pacid=40078928 transcript=Brasy5G447300.1 locus=Brasy5G447300 ID=Brasy5G447300.1.v1.1 annot-version=v1.1 MAAGKKLVSPMEVSVEAGNAEQASWLDDDGRPRRSGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAAVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGGRVVFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHANGHGVPCKSSSNPYMILFGLVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIAQTISNGGIKGSLTGISIGVGGITGMQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKNATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFFEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERWAAATWPDSALFASARAEFRVGPFALSVFRLVWRSAFVCLTTVLAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRPVPRGGTQWLCLKMLSVGCLMVSIAAAAGSIADVIEALKVYRPFSG* >Brasy5G039400.1.p pacid=40078929 transcript=Brasy5G039400.1 locus=Brasy5G039400 ID=Brasy5G039400.1.v1.1 annot-version=v1.1 MASPWSPSLEIDGDEDDDGSSGHEESARAIVAPPAPPPGPTPARHRVAAAAAKEPRRKRARSKGRVRMHEAGPSGQHPPSAAAAPVGGAEGDTIPEPKVNPPAPKPSKSQSKCWADFTKISKDGDDEIVLAISAAVAFDATKYILSHAVCVL* >Brasy5G406400.1.p pacid=40078930 transcript=Brasy5G406400.1 locus=Brasy5G406400 ID=Brasy5G406400.1.v1.1 annot-version=v1.1 MVSSALDAMAGTAWGRWLGLVAAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLVAGLASDRVPTWLLLAIGSLEGFLGYGAQWLVVSRAVAPPPYWQMCVWLCLGGNSTTWMNTAVLVTCIRNFRGSRGPVSGVLKGYVGLSTAIFTDVCSALFADDPATFLVMLAVVPAAVCAVAMVFLREGPQAAAGRQEEDDARGFTAISMLAVAIALYLLAADLTSTGGGGGVVSTVFVAVLLVLLAAPVAVPAHVAWRSWMKSRKAANADAEAAAAAAAESTTAPLLLAAAPAKGGERNGTEAEARGPGERPRLGEEHTIAQALASMDFWLLFTSFLMGVGTGLAVMNNLGQMGSAMGYSDVSIFVSMTSIWGFFGRLASGTISEHFIKTRATPRPAWNAASQVLMAAGYVVMALGMPGSLFVGSVVVGICYGVRVAVTVPTASELFGLKHYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATAVPGGGNTCAGAHCYRLVFVVMAVACIAGFALDVLLSLRTRKVYAKIHQAKSRSAAVQRVS* >Brasy5G042400.1.p pacid=40078931 transcript=Brasy5G042400.1 locus=Brasy5G042400 ID=Brasy5G042400.1.v1.1 annot-version=v1.1 MSAAAGTDAGAAALPSDAAGADEEARALLPASAPSSDAVGGGKEEEQEEDLEERAFEASEKVIVSLSDGTDDDDDLEGQLSTSSASPPFSWRKLWLFTGPGFLMSIAFLDPGNFEGDLQAGAAAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAVLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKVDPSKEYRVREALRYYSIESTIALAISFMINLFVTTVFAKGFYGTKEAGSIGLENAGQYLQEKFGGGFLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWIRSLITRSFAIVPTIIVALFFDSSDALDVLNEWLNVLQSIQIPFALIPLITLVSKEELMGVFKIGRKMQAVTWTVAALLITINGYLLLDFFSSEVRGLLYGSLLCVAVLAYASFVLYLILRGTEMSNHIIVAIRKRLS* >Brasy5G323800.1.p pacid=40078932 transcript=Brasy5G323800.1 locus=Brasy5G323800 ID=Brasy5G323800.1.v1.1 annot-version=v1.1 MMDKSDTTQRSRSTSKTMAASNNAKKQLVFVGSEPDAPYELESLLHASAEVLGKGWLGTTYRATLEGGVAVVTVKRLREMPTPEKDFRRTVAALGALRHENLVPLRAYFYSKEEKLLVYDFVPGARGLSSLLHGPNAGRDRLDFTSRARIALSSARGVASMHGAGASHGNIKSSNILVADDGADADVAPAYVTDHGLVQLVGASVPLKRVTGYRAPEVKDPRRASRESDAYSFGVLLLELLTGRAPVNSVPGIDGVDLTQWVRTVVEEEWTGEVFDASIANEAHVEEDMVRLLQLAIECTEQRPDRRPAMAEVAARIEQIVDSALRKADSDDFHSVSAGHSSA* >Brasy5G198000.1.p pacid=40078933 transcript=Brasy5G198000.1 locus=Brasy5G198000 ID=Brasy5G198000.1.v1.1 annot-version=v1.1 MFLSHSRPSPPHLHLELLRWALSRSYRGRRLPPFRIRRGRPAPPRIRRHPCPAAVGLLRGSAPEPAKPVCLAPGQPTHKNGSAAKPALAPTTASWW* >Brasy5G384000.1.p pacid=40078934 transcript=Brasy5G384000.1 locus=Brasy5G384000 ID=Brasy5G384000.1.v1.1 annot-version=v1.1 MRSPKSLLGSGSTSKQKPVTPIHIMLWRRNNQNMLQTSLTRTVNSFAKNSKAIKVTSSDGTGKDRSASPTMSPPLPSPPAVRPYASTSSTTNPLPHWTLPPPHPPLQPHPLMPQTKDTVSSLSLPLPLLSTCLLLLGSLGRCSRCQFC* >Brasy5G366900.1.p pacid=40078935 transcript=Brasy5G366900.1 locus=Brasy5G366900 ID=Brasy5G366900.1.v1.1 annot-version=v1.1 MENSPPRWPPGFRFSPTDEELVLYFLKRRIASGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFCSRLDRKYPNGSRASRTTAEGYWKATGKDRTICNGGRAVGNKKTLVYHHGRAPRGDRTDWVMHEYTILGDALPPPAQGREGYALYKLFQKSGVGPKNGEQYGAPFREEDWLDDDEGELQLPVEAPDPIPTTVASDRAATVDEQIGDLEALLLQTGNDQRNIGPPSDLSSPVPSQVLFQHGQSQGWPNDEDNTSGVADATTSSRAMLLAENTCTELPFGDLEGLLMEISDEQRSAEFSNPVPQLQLQHDDQDAWLNADMEEVSVADYTTSSGVVETAECTGSELPYGDLEGLLLQIENDQKNIEPLTDFSLPVSHHECHQVGVGDLQRCQGAMFSSVDPSSAVQGSTYFDPQLEPSNQIAQSALTCMPLSWEVNCTEETSAMQSVSGLASYDGQDAHEEFLEINDFFDSEDIGQSMNCTTTEHLISASSGMFDSLEYSDASMFLPGSFDTAGVVTENQYVDFGDSGYKSQGFQYTAELWAHNQVALNMQNHMKHNHVALSSHASGTANNIVNEEPLNRSPNTSQSWFNSALSTLLDAVPASPALAAESNVLNRTLQRISSFRSEQVSNESSAPVIHVRRGGALISISLLVLLAAILWTLATASGSAIKFCKGLWQSSST* >Brasy5G195500.1.p pacid=40078936 transcript=Brasy5G195500.1 locus=Brasy5G195500 ID=Brasy5G195500.1.v1.1 annot-version=v1.1 MSRSNYLIKGDQRCSSKSQPGHSIYRLKAQSQDLINCLPDDCICDIFRSLNKPKDLKSCATVCWRWADLVLSLASELFKTEKSSLVLKGQDLDDTLLVSNVIDISSRNSVRDLHITRSSTGTAAAAGPDGHLTDKGVCFAIKAFRNLTSVCLLGCQMITDEGIHHISTRCPMLTSLKIQDCSSITVQSLEAFMKQAESLRYLTLGHCPQIPSSAIVSFLILRPGLIDVRLQSMDDVLPQSTGSSEVATTAVSPPIAASKISHELRTLFLDDCAAGYLQELLAQITAHIQLPLLKCLVIRGSSGRSMNHHDLIRLLGPDTTLESLDLEAFRFSSYSDLMEVISLCQKTFAELRLVNCAFDSQPSFLTPP* >Brasy5G385300.1.p pacid=40078937 transcript=Brasy5G385300.1 locus=Brasy5G385300 ID=Brasy5G385300.1.v1.1 annot-version=v1.1 MYARCARADDAARAFREMPERNALSWCSLLHAYVASGQMKLAHELFDEMPIGSNVAWNTLLMGYSRSGNAKQCLLVFNKMRMSGLCCDDATLCILVDACAELPYPPTGFAIHKIVVQSGWNAIPEVNNSLISFYSKFSLLEYAVKIFESMESRTIVSWNSLIDAYMRLGHIEQAAVLFRSAPATNAISWTSMIGGFARNGSADEALALFVKMLIQDDIHPDSFTFGAVLHACATAASLASGRMIHGCAFRTGYASYLYVANSLMDMYAKCGDVEDATNVFHAVLKKDLVSWNTMLFGFAINGWAKEALEVYRRMLSHDVCPDEVTFAGLLTACSHSGLLEQGRAFFESMGCVHGLKPTPEHLSCVLDMYARSGIIAAAIEMLDRYSETVQTCSVMREALLSTYSPDHLDMRTRRKVGSSMVSSDPSRDAGYVMLSNLFCATGQWTEAERVRRAMAEHGVKKSPGCSWIQVKGAVKVFVSGEQEVGRSDIVCDVIHLLDDEMRNIMYCGA* >Brasy5G441300.1.p pacid=40078938 transcript=Brasy5G441300.1 locus=Brasy5G441300 ID=Brasy5G441300.1.v1.1 annot-version=v1.1 MQIERGHSESLSESMDESELRKVFQMFDKNGDGQITKKELGESFKNLGIYIPEDELDVTMEKIDANGDGCVDVEEFSSLYRSILAEGEGDAKGDEEDGLREAFDVFDRNGDGYITVEELRSVLSSLGLKQGRTPEECRQMISKVDADGDGRVDFKEFKQMMRGGGFSAIRG* >Brasy5G030800.1.p pacid=40078939 transcript=Brasy5G030800.1 locus=Brasy5G030800 ID=Brasy5G030800.1.v1.1 annot-version=v1.1 MGDEEREDQQPVARLPVDLLAEVLRRVPPRWLAASRCVCRAWRDAVDDRRLLRADLLPLSVAGLLFHLDNHLFPEFLSCPSNSSSSRAVSGRLDFLRSVNPNYDPNACPIGATVGTCFDDGGDWHDYRVLDHCNGLLLLQQWVVNPATG* >Brasy5G185700.1.p pacid=40078940 transcript=Brasy5G185700.1 locus=Brasy5G185700 ID=Brasy5G185700.1.v1.1 annot-version=v1.1 MEAFLAGFRAPPRAPAVSTARSPPPSPFFLGSKRRRKPSSSVVCMAEPHLISKLESTEKAWKEMSARLGDPDIVSDPSEYQKLAQSVSDLGEVVSAYRQFKECERQIEETKALQKENGDDPDMAEMIASELESLSNQLAELEEKLTVLLLPSDPLNSRNILLEVRAGAGGDEAGIWAGDLVRMYQKYCELNNWKFKPVSCSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRTQRLLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFVLSSFLIGDIESAVQSCAAMEQKELLEEMATSSAVKA* >Brasy5G415200.1.p pacid=40078941 transcript=Brasy5G415200.1 locus=Brasy5G415200 ID=Brasy5G415200.1.v1.1 annot-version=v1.1 MAGAKRLAQLAKKWQRMEALGRKRLTVTDKEDEECCTSVPAKGHCIMYTADERRFEVPLVYLSTTVFGELLRMSQEEFGFASDGKITLPCDAAVMEYAMCLLRKNASAEVEKALLSSMVTSCHYTGSAMPTAGASQQICCL* >Brasy5G148500.1.p pacid=40078942 transcript=Brasy5G148500.1 locus=Brasy5G148500 ID=Brasy5G148500.1.v1.1 annot-version=v1.1 MAGGGGARRLALLAALLLAVAVASTSAQSATNVRATYHYYRPAQNNWDLGSPAVSAYCATWDASKPLSWRSRHGWTAFCGPAGPRGRDSCGRCIRVTNTGTGASVVARIVDQCSNGGLDLDWDTVFTKIDTDGKGYQRGNLNVNYEFVDCGDN* >Brasy5G144300.1.p pacid=40078943 transcript=Brasy5G144300.1 locus=Brasy5G144300 ID=Brasy5G144300.1.v1.1 annot-version=v1.1 MVNETTDQVHGAKEPAATTTMTVAPALPLQEHRLALSNLDLLLPPLEVSVFLCYAHPAPTAAALKEALPKVLVPYYPLAGEVVANAHGEPELLCSGRGVDFTEATAHGEGSELRELRLGLVDEGVQRLVPAKKLAGVVSVQVTKFKCGGIVVGCTFDHRVCDAYSFNLFLVAWAAAARGSGGPTPPAPTFHRSLLAPRDPSPRTNSTDALIDRLFSPLSSVPPSAPSKAATAVNRIYRISASDISALQAAAGPGRTKLESFTAHLWQLCSKSAAQRQRLCCMGMVVDGRARMSSDSNGAMKSYFGNVLTIPYGVISADDLRRRMTLAEVARDVHRWVREAATADHFRGLVDWVEALRPKPAAARAYLGGTGGADATACIVSSGMGFPVGRLDFGTGRPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHAAPELVRVLEEEVPPVFRALENSYVFGQ* >Brasy5G107100.1.p pacid=40078944 transcript=Brasy5G107100.1 locus=Brasy5G107100 ID=Brasy5G107100.1.v1.1 annot-version=v1.1 MAAEISVVLDLLRPAAAGDRDPPAHRLCTRVARAGLEELAGALAAGPPPERAPAGAVLAAAHAVVSAVLSSSVEQLEPIVAEIAERSLEFCLLYLEKSSYGCDDFGLLNEVAFFMESVLLNGTPSKVYTLEPSIINDVIEQWASVPAESERLSPPEKYFCYLKGFNCSKSGDDLQRFCLTLSPECLQQSYAIPENNESLNAASPSAMVSIAQHFAVVHLRCVPRLITLVQKLCQSSALEGIEDINFSMRLSFTQRILKLAHGLSMQFPCDVSDTMMLFSVARCADSLPVLFGLKFKYSNHDRVFSGDGVGIVLLQLLEEFLQLIQIVFCNSDICCTVQVCVLASLLDIFSTKTWRCEKSGACLVPPLAYSPQVVQYVLKLLKSTQRWTSCVDRDKPGHVLDYSRNSEVDGLSCRARSVVVPLLKKYTCEEYLQFIFPSEEQWLDDLVHLISFLHEEGVKSVTALEKVQLSCTKQAVVSELESVTSHEEEALFGNLFAEARSTGVADSVEQPTSLASVSSSSQHGPIQLAADLICFMKTCIFSPEWCNATFMDACRKFHTDHLEQFLSILKFQVCLSDESSAESTSSYHKETNLLHVNTACFEFLQMFLVSDECPASLREDLVEKVLKVENGKYTYNHYTLALVARAIISGTNSAYNLGRKVFVQYFGYLLEKANDQSSSTLDFNDFCETLPCAFHVEILLVVFHSATLSEKSELTNIVLSSLEKLKHSPSVKSASGLTKWALVLSRLLVVLRHILLYPLTRPSWLFLRLRSRMRDIQVKEEQLRSMNDCLPSFATIVVEGILADTVKEYATTSRLLSQLIDLTPAHAEFYFDKSAVGTLGLNLADLGTTISEILGCWRDMKAEVADDLIVERYLFFICLSTLAGMGYHHNYTLLDDGLSNPDFADVRFFLAFSLSVNDDALSLVGDSLPAVIFGFLKLLHSEILLGSSVLETWDFSRKGAWLSLILSLISTGVLRHETSKKTEVESYGKDLVEDREQFVIGKSLVTYISENTGHCFSVLSSLLETYLHTFREAYLTIVDKGRPSRDHWYPSLLLKHSAFDKSKHHLLFEKVGSNMEMLERICDLPSRIDGVATKLGEGQKDCFLLKCLLHGFPSDYTSSNSALLSCILVIKEIIQTFDGYLKIMQPGDRDQVDVCIISKFLDMVMAVRSDRLFRTIHGQCDSIFMSLINYRDDLARYIDLFILKQLEGFLADINSDESVHNEAEEILVSTIVDLVEDLRSKTDVFKFFLGNAEGAPEGASRLFAPEHAEMSVFMNMLDKCQSEQVNLKILHLFTDILGDGFCPALKLKLQKKFVGMEVSCLSSWLAFRILGHPLKTESMNHTMVGSTTLRESAVDFLMRLICPSSETLAIELQHHLFGAMLLLLDRAFLSCDLQTAKAYFHFLVQLSTEESHFKQLFERMLMLMETVVDNEGLLHTLKFLFTVVESVFGDAGLNRTALKRLSSNNSGNNCGSRSFVPKQLKNSDSLVLCANQESNSVVDCDGSSGEEDEDDGTSDGELGSMDRDDEEDGNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVIYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTRTSVPPPAACSFQPMLPYHDDLEQVADSGSDFEDDISIDTDNSLKLSVPKGFTAGLPVFLRNLDIEVRMLEICKKLLPTILSQRELNLLRDMKVILGGDMLVSRASDVFQLKKVFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLTVGVRGKLAVGEGDKVAIFDAGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLLDHYLAVAGYGDCQVLTLNNRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNMFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVVLAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLIFVSHQDGTTFIGRLDAASSSVTEFSYVVEEDQDAKSKPAGLYRWRELIAGSGTLTCLSKFKSNAPLAVSLGPHECFAQNLRYGAGSNAPMVGVAAYKPLSKDKTHCLLLYDDGSLHIYSHTPSGGDSSPSLTAEQTKKLGSSILSSRAYASTKPEFPLDFFEKTTCITSDVKFSSDTTKSNDSESIKQRLTSDDGYLESLTSAAFKVSISNPNPDIVMVGCRIHVGNTSASNIPSEITMFQRVVKLDEGMRSWYDIPFTTAESLLADEEFTITVGRTFDGSSVPRIDFIEVYGRAKDEFGWKEKMDAALDMEAHVMGGTTAGGKSAKRPQAMQTTPIQEQVLADALRILSRIYLLCQPSYCSDIVDADLELKNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVVKSLPAITSRIGVGGSASSWVIKEFIAQIHTVSKVALHRKLNLVSFLENHGTELVDGLMQVFWDILDLDRPDTQMINSLVIPCVEFIYSYAECLALHANEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIASDDGPDSHAKTSASAMNPASGNAEVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCQTCYEILDADRLPAPHAKDHPMSSIPIELDTFGGEGSDIHFSIDELTNTSVLHPPPVDRSVQTSPSSVNLLDASGSMDFPASMTDQRTVSISASKRAINSLLLSCLIEELRGWMGTTAGTQAIPIMQLFYRLSSAVGGPFMDSSKPEHFNLQKFVMWLMDEINTSKPFPAKTRCSFGEVSILIFMFFTLMFRNWHQPGNDSSHSKSGGISDLTEKQVLVSSTTLLSSSGEQDKNEFASQLICACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSGSGCGSLLTVRRELPSGNFAPFFSDSYAKSHPTDLFMDYNKLLLENTFRLVYSMVRPEKEKSAEKDRSYNVPNTKDLKLDGYQDILCSYISNPRTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIVNKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHMDLLPFLMDNFYYFSEECIIQTLKLLNLAFFSGKDVNQSVHKTESGDLGGSTRTSSQSSDSKKKRKGDDGSEGASDKSCMDMEQAVEGFNDKEGDVLKRFVNTFLLEWNSASVRHEAKCVLFGLWYHAKNMFKETMLKVLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSSAKQNEAELLNKCLTSDVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSSETNALPVFSIPRSPSSCYGCSTMFVTQCLELLQVLSKHANCRKQLVSAGILPELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELDKLIQKKVMYCLDHHRSMDIAQSTREELQLLSETCALVDEFWEARLRVAFQLLFSSIKVGARHPAISEHIILPCLRIISQACTPPKSDAGDKEAGAGKSSLMLQSKNDDTISQPASNVSTFKIQSDVSGKSPDGSRRSQDMPLLSYSEWESGASYLDFVRRQYKVSQAAKGIQKARQDAQKSDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRFEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLTTLCSLITKEVYNVESEERSLSIDISQGFILHKLVELLNKFLDIPNIRARFMSDKLLSEVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESIANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCDLICPVKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSVEAGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTHHSLSNSSTLSAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDELRSNQEDLASVLNLLKYCCKIRENRCALLRLGALGMLLDTARRAFSVDAMEPAEGILLIVESLTLEANESDISIAQSVFTTSIEETGACEQAKKIVLMFLERLCHPLGTKKSNKQQRNEEMVARILPYLTYGEPEAMEVLVEHFEPYLREWSEFDQLQKQHEENRKDDNLSQRASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAALGHLRERFASAGMTGSRTSAEWIAGLKLPSIPFILSMLKGLAKGHLPTQKCVDEEGILPLLHALEGVPGENEIGARAENLLDTLANKEKNGDGFLGEKIQELRHATRDEMRRRALQKREMLLQGLGMRQEFGSDGGRRIVVSQPIIEGFDDVEEEEEGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVFTTVSHFNIIHYQCHQEAKRADAALKTPKKEWDGATLRNNETLCNCIFPLKGPSVSLGQYNRCVDQYWDQLNSQGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSQFLPFMIQMASHLVDGSANQQRHVMVKAISTYLSNSSSTPESPSRVSASVPGARASPGSSEETVQFMMVNSLLSESYESWMQHRPAFLQRGIYHAYMQHKHGRSTLKLSPEPSSSAVRSDEGSSADPNDSKRLFAIVQSMLVYTGLIEQLQQFFKKGKSGEKDQLSGNLGNWESKMKEKLSNMKEMVGLSKDLLSWLEDMTSSEDLQEAFDVMGALTDVFSSGYTNCEDFVRAAIHAGRI* >Brasy5G107100.2.p pacid=40078945 transcript=Brasy5G107100.2 locus=Brasy5G107100 ID=Brasy5G107100.2.v1.1 annot-version=v1.1 MAAEISVVLDLLRPAAAGDRDPPAHRLCTRVARAGLEELAGALAAGPPPERAPAGAVLAAAHAVVSAVLSSSEQLEPIVAEIAERSLEFCLLYLEKSSYGCDDFGLLNEVAFFMESVLLNGTPSKVYTLEPSIINDVIEQWASVPAESERLSPPEKYFCYLKGFNCSKSGDDLQRFCLTLSPECLQQSYAIPENNESLNAASPSAMVSIAQHFAVVHLRCVPRLITLVQKLCQSSALEGIEDINFSMRLSFTQRILKLAHGLSMQFPCDVSDTMMLFSVARCADSLPVLFGLKFKYSNHDRVFSGDGVGIVLLQLLEEFLQLIQIVFCNSDICCTVQVCVLASLLDIFSTKTWRCEKSGACLVPPLAYSPQVVQYVLKLLKSTQRWTSCVDRDKPGHVLDYSRNSEVDGLSCRARSVVVPLLKKYTCEEYLQFIFPSEEQWLDDLVHLISFLHEEGVKSVTALEKVQLSCTKQAVVSELESVTSHEEEALFGNLFAEARSTGVADSVEQPTSLASVSSSSQHGPIQLAADLICFMKTCIFSPEWCNATFMDACRKFHTDHLEQFLSILKFQVCLSDESSAESTSSYHKETNLLHVNTACFEFLQMFLVSDECPASLREDLVEKVLKVENGKYTYNHYTLALVARAIISGTNSAYNLGRKVFVQYFGYLLEKANDQSSSTLDFNDFCETLPCAFHVEILLVVFHSATLSEKSELTNIVLSSLEKLKHSPSVKSASGLTKWALVLSRLLVVLRHILLYPLTRPSWLFLRLRSRMRDIQVKEEQLRSMNDCLPSFATIVVEGILADTVKEYATTSRLLSQLIDLTPAHAEFYFDKSAVGTLGLNLADLGTTISEILGCWRDMKAEVADDLIVERYLFFICLSTLAGMGYHHNYTLLDDGLSNPDFADVRFFLAFSLSVNDDALSLVGDSLPAVIFGFLKLLHSEILLGSSVLETWDFSRKGAWLSLILSLISTGVLRHETSKKTEVESYGKDLVEDREQFVIGKSLVTYISENTGHCFSVLSSLLETYLHTFREAYLTIVDKGRPSRDHWYPSLLLKHSAFDKSKHHLLFEKVGSNMEMLERICDLPSRIDGVATKLGEGQKDCFLLKCLLHGFPSDYTSSNSALLSCILVIKEIIQTFDGYLKIMQPGDRDQVDVCIISKFLDMVMAVRSDRLFRTIHGQCDSIFMSLINYRDDLARYIDLFILKQLEGFLADINSDESVHNEAEEILVSTIVDLVEDLRSKTDVFKFFLGNAEGAPEGASRLFAPEHAEMSVFMNMLDKCQSEQVNLKILHLFTDILGDGFCPALKLKLQKKFVGMEVSCLSSWLAFRILGHPLKTESMNHTMVGSTTLRESAVDFLMRLICPSSETLAIELQHHLFGAMLLLLDRAFLSCDLQTAKAYFHFLVQLSTEESHFKQLFERMLMLMETVVDNEGLLHTLKFLFTVVESVFGDAGLNRTALKRLSSNNSGNNCGSRSFVPKQLKNSDSLVLCANQESNSVVDCDGSSGEEDEDDGTSDGELGSMDRDDEEDGNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVIYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTRTSVPPPAACSFQPMLPYHDDLEQVADSGSDFEDDISIDTDNSLKLSVPKGFTAGLPVFLRNLDIEVRMLEICKKLLPTILSQRELNLLRDMKVILGGDMLVSRASDVFQLKKVFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLTVGVRGKLAVGEGDKVAIFDAGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLLDHYLAVAGYGDCQVLTLNNRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNMFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVVLAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLIFVSHQDGTTFIGRLDAASSSVTEFSYVVEEDQDAKSKPAGLYRWRELIAGSGTLTCLSKFKSNAPLAVSLGPHECFAQNLRYGAGSNAPMVGVAAYKPLSKDKTHCLLLYDDGSLHIYSHTPSGGDSSPSLTAEQTKKLGSSILSSRAYASTKPEFPLDFFEKTTCITSDVKFSSDTTKSNDSESIKQRLTSDDGYLESLTSAAFKVSISNPNPDIVMVGCRIHVGNTSASNIPSEITMFQRVVKLDEGMRSWYDIPFTTAESLLADEEFTITVGRTFDGSSVPRIDFIEVYGRAKDEFGWKEKMDAALDMEAHVMGGTTAGGKSAKRPQAMQTTPIQEQVLADALRILSRIYLLCQPSYCSDIVDADLELKNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVVKSLPAITSRIGVGGSASSWVIKEFIAQIHTVSKVALHRKLNLVSFLENHGTELVDGLMQVFWDILDLDRPDTQMINSLVIPCVEFIYSYAECLALHANEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIASDDGPDSHAKTSASAMNPASGNAEVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCQTCYEILDADRLPAPHAKDHPMSSIPIELDTFGGEGSDIHFSIDELTNTSVLHPPPVDRSVQTSPSSVNLLDASGSMDFPASMTDQRTVSISASKRAINSLLLSCLIEELRGWMGTTAGTQAIPIMQLFYRLSSAVGGPFMDSSKPEHFNLQKFVMWLMDEINTSKPFPAKTRCSFGEVSILIFMFFTLMFRNWHQPGNDSSHSKSGGISDLTEKQVLVSSTTLLSSSGEQDKNEFASQLICACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSGSGCGSLLTVRRELPSGNFAPFFSDSYAKSHPTDLFMDYNKLLLENTFRLVYSMVRPEKEKSAEKDRSYNVPNTKDLKLDGYQDILCSYISNPRTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIVNKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHMDLLPFLMDNFYYFSEECIIQTLKLLNLAFFSGKDVNQSVHKTESGDLGGSTRTSSQSSDSKKKRKGDDGSEGASDKSCMDMEQAVEGFNDKEGDVLKRFVNTFLLEWNSASVRHEAKCVLFGLWYHAKNMFKETMLKVLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSSAKQNEAELLNKCLTSDVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSSETNALPVFSIPRSPSSCYGCSTMFVTQCLELLQVLSKHANCRKQLVSAGILPELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELDKLIQKKVMYCLDHHRSMDIAQSTREELQLLSETCALVDEFWEARLRVAFQLLFSSIKVGARHPAISEHIILPCLRIISQACTPPKSDAGDKEAGAGKSSLMLQSKNDDTISQPASNVSTFKIQSDVSGKSPDGSRRSQDMPLLSYSEWESGASYLDFVRRQYKVSQAAKGIQKARQDAQKSDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRFEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLTTLCSLITKEVYNVESEERSLSIDISQGFILHKLVELLNKFLDIPNIRARFMSDKLLSEVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESIANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCDLICPVKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSVEAGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTHHSLSNSSTLSAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDELRSNQEDLASVLNLLKYCCKIRENRCALLRLGALGMLLDTARRAFSVDAMEPAEGILLIVESLTLEANESDISIAQSVFTTSIEETGACEQAKKIVLMFLERLCHPLGTKKSNKQQRNEEMVARILPYLTYGEPEAMEVLVEHFEPYLREWSEFDQLQKQHEENRKDDNLSQRASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAALGHLRERFASAGMTGSRTSAEWIAGLKLPSIPFILSMLKGLAKGHLPTQKCVDEEGILPLLHALEGVPGENEIGARAENLLDTLANKEKNGDGFLGEKIQELRHATRDEMRRRALQKREMLLQGLGMRQEFGSDGGRRIVVSQPIIEGFDDVEEEEEGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVFTTVSHFNIIHYQCHQEAKRADAALKTPKKEWDGATLRNNETLCNCIFPLKGPSVSLGQYNRCVDQYWDQLNSQGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSQFLPFMIQMASHLVDGSANQQRHVMVKAISTYLSNSSSTPESPSRVSASVPGARASPGSSEETVQFMMVNSLLSESYESWMQHRPAFLQRGIYHAYMQHKHGRSTLKLSPEPSSSAVRSDEGSSADPNDSKRLFAIVQSMLVYTGLIEQLQQFFKKGKSGEKDQLSGNLGNWESKMKEKLSNMKEMVGLSKDLLSWLEDMTSSEDLQEAFDVMGALTDVFSSGYTNCEDFVRAAIHAGRI* >Brasy5G204900.1.p pacid=40078946 transcript=Brasy5G204900.1 locus=Brasy5G204900 ID=Brasy5G204900.1.v1.1 annot-version=v1.1 MARTTTRRVLVVSLPAPAAHQNPRSAAAHGDGGPSESVGRRTRSRRQDAAVAIAVLQPDVLIWEILARLPPAALLRCRAVCRAWRGITSDPGFLLAHRRRQPSLPLFVLRESSTDAADPERGGRPVLGLDRGDHGYIGSFTLHASCDGLLLISNRGRFSICNPVTRQHARVPGLAGCFTIDALYLHRPSGEYRVLYWYHKNETNKGHSVCYVLTVPRARKPRRVVVPADCRDTADYYPIPRPSVMLGGCLHWIPGCRPLAGTLVVFDTAAESFRSMRLPAALAAAASSCDTRLHDREGSLGLSCFDDRRGAVVNVWVLEDYEREVWSLEYKVDFSPETRADTCLDIDVFSQGGSN* >Brasy5G326900.1.p pacid=40078947 transcript=Brasy5G326900.1 locus=Brasy5G326900 ID=Brasy5G326900.1.v1.1 annot-version=v1.1 MWEGGVHGSHHEAARLLPPWFAEPAGGGGYGTCDVLGAGGQGGVFGFGFGAAAHQHHQQQQQRAAAGEAGRSSGGKAAAVSGLLGTLQAELGRMNSAGEMMEAKALAASRSHSEAERRRRQRINAHLARLRSLLPNTTKTDKASLLAEVLEHVKELKRQTSAMTTALPAPVGGDDEDDAGPAQTMLPTEADELGVDAAQDGDGRLVVRASLCCEDRPDLIPDIVRALAALRLRARRAEITTLGGRVRSVLLITADEGDQQEDDGDDDDHECAASHRRHECIASVQEALRGVMDRRAACSNDNTSSSGGGGGGSMIKRQRVITYGAREQCSV* >Brasy5G326900.2.p pacid=40078948 transcript=Brasy5G326900.2 locus=Brasy5G326900 ID=Brasy5G326900.2.v1.1 annot-version=v1.1 MHFLSKKKKTAPMHLVKIKFKKDMRMQRKAAPRDRNSCQLFSPRSHRLDHHANQLPTDKASLLAEVLEHVKELKRQTSAMTTALPAPVGGDDEDDAGPAQTMLPTEADELGVDAAQDGDGRLVVRASLCCEDRPDLIPDIVRALAALRLRARRAEITTLGGRVRSVLLITADEGDQQEDDGDDDDHECAASHRRHECIASVQEALRGVMDRRAACSNDNTSSSGGGGGGSMIKRQRVITYGAREQCSV* >Brasy5G326900.3.p pacid=40078949 transcript=Brasy5G326900.3 locus=Brasy5G326900 ID=Brasy5G326900.3.v1.1 annot-version=v1.1 MHFLSKKKKTAPMHLVKIKFKKDMRMQRKAAPRDRNSCQLFSPRSHRLDHHANQLPTDKASLLAEVLEHVKELKRQTSAMTTALPAPVGGDDEDDAGPAQTMLPTEADELGVDAAQDGDGRLVVRASLCCEDRPDLIPDIVRALAALRLRARRAEITTLGGRVRSVLLITADEGDQQEDDGDDDDHECAASHRRHECIASVQEALRGVMDRRAACSNDNTSSSGGGGGGSMIKRQRVITYGAREQCSV* >Brasy5G464900.1.p pacid=40078950 transcript=Brasy5G464900.1 locus=Brasy5G464900 ID=Brasy5G464900.1.v1.1 annot-version=v1.1 MAMHPLSQGHPQAWPWGVAMYTNLHYHQQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLNGGDSPGEKDLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKHLDAGDVVHFERVRGLGTGDRLFIGCRRRGESAPAAVAPPPPVRVLPPALNAGEQQPWSPMCYSTSGSYPTSPANSYAYRRSVEQDHSDMLHAGESQRDADAKSSSTASAPPSRRLRLFGVNLDCGPEPEAEAPTTPMYGYTNHSPYGAVATVPNYWGGS* >Brasy5G313700.1.p pacid=40078951 transcript=Brasy5G313700.1 locus=Brasy5G313700 ID=Brasy5G313700.1.v1.1 annot-version=v1.1 MCPVTLFSCVQTSTKENAIKESKSLLKQIEDLKSSNLTLFLMHVYMRFL* >Brasy5G422500.1.p pacid=40078952 transcript=Brasy5G422500.1 locus=Brasy5G422500 ID=Brasy5G422500.1.v1.1 annot-version=v1.1 MVGNNFSCVFCMKLPERPVTTPCGHNFCLKCFEKWVHGGKRTCGKCRATIPSKMAEQPRINAALVAAIRMARTAKNANSAGVANAYHYIRNDARPDKAFTTDRAKRAGKANASSGQIFVTIAPDYFGPIPAKNDPKRNVGVLVGETWEDRLECRQWGAHFPHVAGIAGQATHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSSDQKFEKMNAALRLSCLKGYPVRVVRSHKEKRSSYAPERGVRYDGVYRIEKCWRKVGVQGTYKVCRYLFVRCDNEPAPWTSDLHGDRPRPLPKIKELQGATDITDRKGSPSWDYDEKEGWTWVKPAPISKKPVQSGDPETDKEIRKIQRRAHLSVAERLLKEFGCSICRNVIKEPLTTPCAHNFCKTCLLGAFADQSTMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMGLIESLRSKADEDKKDMEESVEEDEDALEKEDDDSSLNEEENGAEDKNGGQDADVPVIKVVVEIKEEVKKKAQKLKGDDGEEGKDEKKTKTTAAAAAVDNAAEEDAEMEMGTEIAEDKEVGAQQVQKKRKGRGAAAAAGGGKRKKTVSAAAAEEKNTGGGSPRRTTRGGLKATGEADGSPAAAASSPRRMTRSSAKAGGGS* >Brasy5G422500.2.p pacid=40078953 transcript=Brasy5G422500.2 locus=Brasy5G422500 ID=Brasy5G422500.2.v1.1 annot-version=v1.1 MVGNNFSCVFCMKLPERPVTTPCGHNFCLKCFEKWVHGGKRTCGKCRATIPSKMAEQPRINAALVAAIRMARTAKNANSAGVANAYHYIRNDARPDKAFTTDRAKRAGKANASSGQIFVTIAPDYFGPIPAKNDPKRNVGVLVGETWEDRLECRQWGAHFPHVAGIAGQATHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSSDQKFEKMNAALRLSCLKGYPVRVVRSHKEKRSSYAPERGVRYDGVYRIEKCWRKGTYKVCRYLFVRCDNEPAPWTSDLHGDRPRPLPKIKELQGATDITDRKGSPSWDYDEKEGWTWVKPAPISKKPVQSGDPETDKEIRKIQRRAHLSVAERLLKEFGCSICRNVIKEPLTTPCAHNFCKTCLLGAFADQSTMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMGLIESLRSKADEDKKDMEESVEEDEDALEKEDDDSSLNEEENGAEDKNGGQDADVPVIKVVVEIKEEVKKKAQKLKGDDGEEGKDEKKTKTTAAAAAVDNAAEEDAEMEMGTEIAEDKEVGAQQVQKKRKGRGAAAAAGGGKRKKTVSAAAAEEKNTGGGSPRRTTRGGLKATGEADGSPAAAASSPRRMTRSSAKAGGGS* >Brasy5G377700.1.p pacid=40078954 transcript=Brasy5G377700.1 locus=Brasy5G377700 ID=Brasy5G377700.1.v1.1 annot-version=v1.1 MYAPQSRSGAGSSAEQPRVYQVWRGSNEFLLQGRFIFGPDVRSLFLTVFLILAPVLVFCIFVARHLINDFPDHRGISVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDANNDVGNGQTPQQLRLPRTKDVFVNGVVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRDAENLSIWKAMLKTPPSMVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNKGVVNNFLEIFCTAVPASKNKFRARVPAEQGLQQARAPARGFMSPNMGKPVGDLELGRKPISWDEPRSAADIRDLEVGLGGMMDEKEGRIAHASPDLSREALPVELMEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGALDGTWGSISSGHGTTSGTH* >Brasy5G179600.1.p pacid=40078955 transcript=Brasy5G179600.1 locus=Brasy5G179600 ID=Brasy5G179600.1.v1.1 annot-version=v1.1 MAKAILQIFPRSLHKLCRWHIMRKHKDSPGKLYKLFPDLKDQLAAVLNHPLMPTEFEAAWHELVNKYNLHDVNVMVNLWNERKTWVSAYWKDVFCARMTSTQRSERENSMISTFLRSKLTTAYSRGNSFYASYEAINTSREFAWSRHEFRVLADEAEGIFECECKLWEHTYKIPRRYILKRYTKNAVADPVFNRRDYKMTAKDGTSLEYRRTMLFNEAMKTVNRGMSSDHMFNAGMRAFKEVNSRIDEEEIETNAEEDHHTEDCHEEGPALSGDIPMTNLADDDTMKEGTNTYAHAQPPRVAKTKGSTSKKKDEPPTPAHAAAAARPEPELDTHGNPRGQRLCSNWNKIAGHNARTCKKRQMAEKLLEAHQKVYGASTATDKVKICIRNVLAKQVVGIADNEQLLDTDEDEDYEDQIDDDENEDDVEDYDENEDDQGGEGEEEEQCQTEVTNEQTLEATENSKPTPAVPEGQRTCSICKKKASHNSRTCPDKDEILKKQLEENKTRGIRIWCHKGRELVATNLQEVAAGRTTPRADGVGITEDCSREKPRRAGTTNACHKT* >Brasy5G353600.1.p pacid=40078956 transcript=Brasy5G353600.1 locus=Brasy5G353600 ID=Brasy5G353600.1.v1.1 annot-version=v1.1 MKILSWNCRGLQKPTAVRALLDLQEQVRADVIFLSETHLDNVKAESVRRKLGFDFVIVDESDGRAGGLLLLWKNDNKISLQYMTSNCIDVTFNPDGGGLWRFTGFYGEPSWDNRHWSWDCLRDLHARADLPWLVMGDFNEILFQHEKDGGALRPEQMMNAFGDCLLDCDLSDMGFLGDVFTWRRGEVRERLDRAVCNGNWSDMFQCAAVKNLEHHHSDHRPILVDTAYFDPTQLRARSGGKKFEARWLKEEAVGEIVRTAWLKAIVDGSAPRLAQRTHAVHIELHKWDRNVLKGPYERIKKAKRELEAARRGVNDAESRAKQKDLQLIIENLSEQEEITWIQRGRANWLLHGDRNTSFFHNSATARKKRNMIKKLLDDTGVWREGTAQVCNLASHYFSTLFTSEVAGPDFECSIKGFGPCLAMT* >Brasy5G489300.1.p pacid=40078957 transcript=Brasy5G489300.1 locus=Brasy5G489300 ID=Brasy5G489300.1.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRVGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDAARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTVRVVGTYGYMSPEYVIGGAFSTKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITYAWRLWDDIKATDLVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYKDGEARESTENSANPMSITTLEGR* >Brasy5G489300.2.p pacid=40078958 transcript=Brasy5G489300.2 locus=Brasy5G489300 ID=Brasy5G489300.2.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDAARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTVRVVGTYGYMSPEYVIGGAFSTKSDTYSFGVLLLEIVSGLKISSPQLIPNFSSLITYAWRLWDDIKATDLVDSSVVDSCKIHEVLRCIHVGLLCVQDRPDDRPLMSSVMFALENESAVLPAPKQPVYFSLCNYKDGEARESTENSANPMSITTLEGR* >Brasy5G489300.3.p pacid=40078959 transcript=Brasy5G489300.3 locus=Brasy5G489300 ID=Brasy5G489300.3.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRVGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDAARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTVRVVGT* >Brasy5G489300.4.p pacid=40078960 transcript=Brasy5G489300.4 locus=Brasy5G489300 ID=Brasy5G489300.4.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDAARKYELDWSTRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGANQNQANTVRVVGT* >Brasy5G489300.5.p pacid=40078961 transcript=Brasy5G489300.5 locus=Brasy5G489300 ID=Brasy5G489300.5.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRVGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFASFYIDHERCCTEI* >Brasy5G489300.6.p pacid=40078962 transcript=Brasy5G489300.6 locus=Brasy5G489300 ID=Brasy5G489300.6.v1.1 annot-version=v1.1 MAMHYILIFFLLLISSFCKSDDQLTRTKPLTDDDILISKGGDFALGFFPTSSNKSFYLGIWYHSIPGPRTVVWVANRDDPITTPSSAKLAITNGSQMILSNSEGRNVWATTSNIVTGGAEAYAVLLNTGNFVLRLPNTTDIWQSFDHPTDTILPTMKFSMNYKAQVIMRLVAWKGPDDPSSGDFSCSGDPSSPGLQWLIWHGTMPYARGTTLNGVSVTSTTYLSNASSVLYVTGVNLGDEFYFMLTVSDGLPLARVTLDYTGVLRFTSWDNHSSSWLPISKSPKAACDLYASCGPFSYCDLTGTASKCQCLDGFEPNDFNSSRGCRRTQELKCDKQSRFVTLPRMKVPDKFLHIRNRSFDECAAECTNNCSCIAYAYANLSNAGTTTDPSRCLVWTGELLDTGETVNYGDNLYLRLTVDKKSSSVKIVLPIVACLMLLTCIALVCICKYRGKRRKKEIQKKMMLEYFSTSNELEGENTDFPFISFQDILWATNRFAVSNLLGQGGFGKVYKGTLEGGNEVAVKRLSKGSGQGTLEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFASFYIDHERCCTEI* >Brasy5G007000.1.p pacid=40078963 transcript=Brasy5G007000.1 locus=Brasy5G007000 ID=Brasy5G007000.1.v1.1 annot-version=v1.1 MGRRSSGGGSFRSTPPRVRTPAPKPAAASKAPAPAGQSNNGGVSLLGSVGSALADGIGWGFGHGVISRALDSVWGPRTYRVIHGDESDQAANPSVDVCSVHNKAFSDLELFVCVRVRCINSNRSDISRCQVYLDMIYECHRGGGSTIAA* >Brasy5G364000.1.p pacid=40078964 transcript=Brasy5G364000.1 locus=Brasy5G364000 ID=Brasy5G364000.1.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLCNMYQRPDMITPGVDAQGNPIDPVKIQGDFEDFYEDIFEELSKHGVVESLHVCDNLADHLIGNVYVQFREEDQAARALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHSRSPSPYHRHPRDRDRRSSSRSRDNRGGDYYGGSLDRGDYGDYYDHSRRRSSERHRNYDSDDGSRRRRHRSRTRSPVREGSEERRAKIEQWNREREAAQA* >Brasy5G364000.3.p pacid=40078965 transcript=Brasy5G364000.3 locus=Brasy5G364000 ID=Brasy5G364000.3.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLCNMYQRPDMITPGVDAQGNPIDPVKIQGDFEDFYEDIFEELSKHGVVESLHVCDNLADHLIGNVYVQFREEDQAARALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHSRSPSPYHRHPRDRDRRSSSRSRDNRGGDYYGGSLDRGDYGDYYDHSRRRSSERHRNYDSDDGSRRRRHRSRTRSPVREGSEERRAKIEQWNREREAAQA* >Brasy5G364000.4.p pacid=40078966 transcript=Brasy5G364000.4 locus=Brasy5G364000 ID=Brasy5G364000.4.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLCNMYQRPDMITPGVDAQGNPIDPVKIQGDFEDFYEDIFEELSKHGVVESLHVCDNLADHLIGNVYVQFREEDQAARALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHSRSPSPYHRHPRDRDRRSSSRSRDNRGGDYYGGSLDRGDYGDYYDHSRRRSSERHRNYDSDDGSRRRRHRSRTRSPVREGSEERRAKIEQWNREREAAQA* >Brasy5G364000.2.p pacid=40078967 transcript=Brasy5G364000.2 locus=Brasy5G364000 ID=Brasy5G364000.2.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLCNMYQRPDMITPGVDAQGNPIDPVKIQGDFEDFYEDIFEELSKHGVVESLHVCDNLADHLIGNVYVQFREEDQAARALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHSRSPSPYHRHPRDRDRRSSSRSRDNRGGDYYGGSLDRGDYGDYYDHSRRRSSERHRNYDSDDGSRRRRHRSRTRSPVREGSEERRAKIEQWNREREAAQA* >Brasy5G037700.1.p pacid=40078968 transcript=Brasy5G037700.1 locus=Brasy5G037700 ID=Brasy5G037700.1.v1.1 annot-version=v1.1 MSDLESQSQSRSEASITPSYIYSWDELLKMKKENRLDELMSGSTCVDNSTGPSDIEVAASYALHVPSQTHRPVSPPVLAWDSSYSLQPPAELHKQVFATGLHPGTTPIDLPRAEGSDQHLRNLLPSKKKTLHRDMDLNCTPGPSDLNCPFGEDPVVQDGTNELINEKTSEGQCVQATTENEPVVVSEEFFERTFRRLEDAVPTKGDYRKTRPSIYKVPRELKRGYEEEDYEPVAVRIGPFKFTDGTVQQLENYKWCCVRQLLSSRAKSSGHSRCTTSTDSGQLKLLLKSMKRLEPVIRASYSEEIEPACSDGLALKMLLDGCFILHRLMKYARIAEREAQGGQQGRRLEKDDDDDWTQVFGRVWVWQLVASDLLLLENQIPFSVLLNIFEHLWSTTDKDGAQVLVKGSLQLFRSLCPQMPRRSRYHK* >Brasy5G359200.1.p pacid=40078969 transcript=Brasy5G359200.1 locus=Brasy5G359200 ID=Brasy5G359200.1.v1.1 annot-version=v1.1 MARFAAATLLFQAAVMAVTAHSSSTPPSMPGCPSSCGDVTIPYPFGVGAGCHLAGFGLTCDVATLLGPPKLFLGAGAVEVLAISLPDSTVTVRSVVQYNATTGEGAWGRGLGGSTYYLTEQRSRLVVTGCNVQAVLLDEISRRVVAACTAIYSARTTVAHDDDSCAGAGCCQASIDTALLVAIGGSCGIAFPSLYVIALTMAYLIKARRVKKLKELFFKQNRGLLLHQLVDKVIAERMVFTLEELETATNQFDQRRKLGSGGHGTVYKGFLPNRHVVAIKKSNITVQKEIDDFINEVVILSQINHRGVVRLFGCCLETRVPLLVYEFISNGTLSDHLHVEGPESLPWTDRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDDRLTGVTTAIEGTFGYLDPEYYQTSRLTDKSDVYSFGVVLVEMLTRKKPTVFTSTENASLIALFNLRMMQGKLYQILDPQVISEGMETAEEFAALASAPTMRQVEMRLERLLGPNLVIEVEQGCSTEVQCTLTQMSNGNSRQYSMEQEFLSSATFPR* >Brasy5G090300.1.p pacid=40078970 transcript=Brasy5G090300.1 locus=Brasy5G090300 ID=Brasy5G090300.1.v1.1 annot-version=v1.1 MSSSASAHQKAPAAAAAAPAEEEAGGPFPIEQLQASGIAAVDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSATQLHAQRLEIIQVTTGSRELDTILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRILQIADRFSLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVISNQVVAQVDGGAMFAGPQIKPIGGNIMAHASTTRLYLRKGRAEERICKVVSSPCLAEAEARFQISSEGVTDVKD* >Brasy5G090300.2.p pacid=40078971 transcript=Brasy5G090300.2 locus=Brasy5G090300 ID=Brasy5G090300.2.v1.1 annot-version=v1.1 MSSSASAHQKAPAAAAAAPAEEEAGGPFPIEQLQASGIAAVDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSATQLHAQRLEIIQVTTGSRELDTILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRILQIADSLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVISNQVVAQVDGGAMFAGPQIKPIGGNIMAHASTTRLYLRKGRAEERICKVVSSPCLAEAEARFQISSEGVTDVKD* >Brasy5G251500.1.p pacid=40078972 transcript=Brasy5G251500.1 locus=Brasy5G251500 ID=Brasy5G251500.1.v1.1 annot-version=v1.1 MTNVNYVVLLLVAAAPSPPLWRSVRAVAKGFNIGSGLKGGLVLFSVLVRLRSRRSLRSRSDRQDRGGNGLSIISISDIAVEDASVY* >Brasy5G160900.1.p pacid=40078973 transcript=Brasy5G160900.1 locus=Brasy5G160900 ID=Brasy5G160900.1.v1.1 annot-version=v1.1 MLQGNGGQEPPGGSGSGGDGKGAARDRISDLPDDLCHRILSFLKAWEVVRMSVLSRRWRHMWASAPRLDIRHPCACNARADQRRYEAFVNNLLLRRIPDVPLDTLRLSWTHSGAVDTWTANAVRHHARAIELSGKGHYPRPEPEYTSFLFGNFKILKLTHVKMYSELLAQLCSRCTSLEELEIKNSSIHGVTIRSGSLRRLTMVSCFTPNSLLVDAPGLVSLRCIRPYSVVPEIRNSGSLVTATIMLDDSCLLHRYDHEWPPTEDDGDDDDVYFAYADGSHAEDPDSESNDDDSAGSDDSESDDDGSAGSDVENPDDSESDDDGSAGSHAEDADDSESDDDGSAGSRAEDPNDSESDDDGSAGSHAITVFGGNGILRSLFNVRTMELLAHRGEVLLRSQLRNCPVFKNLKTLSLGEWCIGPDFDALSTILEHSPNLQKLYLHLDEDHNSRGEISPSGRPFACNHLKLLKITHSKDDMITRKLAEFICQNGNGGAGSEEMRKAQDEAAESSGKSVRESQSPE* >Brasy5G045800.1.p pacid=40078974 transcript=Brasy5G045800.1 locus=Brasy5G045800 ID=Brasy5G045800.1.v1.1 annot-version=v1.1 MQIRLSPSMRSITISSSNGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGDDGSMRLTRDLYRMLDEINSEDVPGDLKGAESFDEFILDMKNNDYNLKSFAFRLKATMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDVYSSNALARKQLPPPELVPRLSDNSYFHFVLASDNILAASVVVRSTVRSSLKPERIVFHVITDKKTYPAMHSWFALNPLYPAIVEVKGVHQFEWLTKENVPVLQAIETQHIVRSRYRGDHLAKTTVGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQRDLSSLWDIDLAGKVNGAVETCRGADSWVMSKRFRNYFNFSHPLIAKNFDPLECAWAYGMNIFDMAAWRKTSIKEKYHHWVKENLNSNFTLWRLGTLPPGLIAFKGHIHPIDPSWHLLGLGYQEKTDISSVRKAAVIHYNGQSKPWLDIGFKHLQPFWTKHVNYSNEFVRNCHIMEPQL* >Brasy5G042600.1.p pacid=40078975 transcript=Brasy5G042600.1 locus=Brasy5G042600 ID=Brasy5G042600.1.v1.1 annot-version=v1.1 MDSGGNRKQGFFSALRDEVARGLSPARARRRSAEAVAAAALRYAGGGGGEALAPLMEGPDPEESSGGGGGDGGFGRARRGDGWGRWVRGQLARAPSAGVLAVGTAGGGGAARRSDLRMLLGVMGAALAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNAYAMGKVRMVATECETAGRVVKNRMAARCAEPGRFVLWQMAPEMWYIELALGGSKVHAGCNGKLVWRHTPWLGAHSAKGPIRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTISSFIEDYRPVEGIMIAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPHGGKNKVGLLTCHGVKVAALEKADDNVAWSGALQRDCK* >Brasy5G042600.2.p pacid=40078976 transcript=Brasy5G042600.2 locus=Brasy5G042600 ID=Brasy5G042600.2.v1.1 annot-version=v1.1 MCNREILICSMQETSSAQYILQQYLAASGGQKLLSSVRNAYAMGKVRMVATECETAGRVVKNRMAARCAEPGRFVLWQMAPEMWYIELALGGSKVHAGCNGKLVWRHTPWLGAHSAKGPIRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTISSFIEDYRPVEGIMIAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPHGGKNKVGLLTCHGVKVAALEKADDNVAWSGALQRDCK* >Brasy5G045700.1.p pacid=40078977 transcript=Brasy5G045700.1 locus=Brasy5G045700 ID=Brasy5G045700.1.v1.1 annot-version=v1.1 MASDNVSMDSNNALGHEAEDEDRLTALTDHTLLSILERADLATVAKTSVLSKRWRTLPSLLPELNFDVKDFLPTPCPNPVEPQHMRRAMASLTKAVRSLLGNRRSERSIIKLSLKLYMTSRTYSRDIGLLISDAINNKIIVKELDLAIIDDNESEHWEDKYMRQQAWKVEGFFNTYPSVLRCLSTLLLEIYFNRLLRVEVLCLPKLERLFWYGWHYDEAPLLLGSVPALEELCLIHAFAIDQHDFSLSEVFHGTSNLHTLTLNFQGEWLWIQPEGKQLCSAFNKLRKLSINGIYVKFDLLWTINLLEAAPTVEIFDIFEHPCEEALEGASLYYAVPKVKPSWEIPRFKSSNKWQLKELQFVGFRPGLDHHFSFISAVMDRAPNLKTVLLTDDLLPCAGYDAMAVLPPPIGGTFPRDKDEQQEVVKQLRDKVCSSAQIIFTRRFTMDIP* >Brasy5G140400.1.p pacid=40078978 transcript=Brasy5G140400.1 locus=Brasy5G140400 ID=Brasy5G140400.1.v1.1 annot-version=v1.1 MRVRLLPRCLKCECVCCCTRCHKPECICYDYCFKPTGKCSCKFCDECGKNEHVCKCNDTTSEGDDNYEKTEKRKDKYAPDKESNSDDEDSYLKGRSDLDEQPTYNDNGGGDGDYVVYEEEEDEDDDE* >Brasy5G179800.1.p pacid=40078979 transcript=Brasy5G179800.1 locus=Brasy5G179800 ID=Brasy5G179800.1.v1.1 annot-version=v1.1 MTMPTFHLAPNLPPVSRLCFGTMTMGEQSGPPESLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEELLGRWMRARKVPRDGVVLATKVTGPSAQMTWIRGGPEALDSWNITEAIDSSLRRLCVDYIDLYQIHWPDRYVPMFGETEYDPSRQYASVPMEEQLEALRKGIDAGKIRYIGLSNETPYGVMKFLELSRNFELRPKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYHSSEDSGPPDARMNIFKGRYSEGESRYNLQNPKLESAVKEYRRIAIKYGVCQAILAIAFILRHPLVGSAVFGATKLRQLQEVLEATKIHLCEEIVAEINDVHARYPNPCP* >Brasy5G385800.1.p pacid=40078980 transcript=Brasy5G385800.1 locus=Brasy5G385800 ID=Brasy5G385800.1.v1.1 annot-version=v1.1 MKPPPSSSVVSAMLRPHLRSITAFLLVFSAGYSLGILSSSTRPTSSSSSVPKPSQTVIRPHAAHLTNDAVPASNATAAGAGAGGYPRSPPHDLFRFGDECGDPVPSDAVVQTLLDKLFDGESPYASFPPAYTAALLHPAAARPRGWGSTGAVFAELIESVRPDTIIELGAFLGASALHMSAVARNLSLPNTVILCVDDFRGWPGFRERFRRDVPAQRHGDSLLLPQFMANVAAAGADAASRVLPVPFSTASALQALCRWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTSADDRGVRRAVTLFAKVKGLTVRPHGQHWILSLKPAGDS* >Brasy5G189300.1.p pacid=40078981 transcript=Brasy5G189300.1 locus=Brasy5G189300 ID=Brasy5G189300.1.v1.1 annot-version=v1.1 MDTPAAAAAEAKPYHLRPARSSRRLLQLQQADGAGSSGILQLHGDGGEERPYDRKQQSLKVLCTKFVALYDDKGVEAVGLDDAARRLGVGRRRIYDIVNVLESVGMLVRRAKNEYTWIGFKGIPAALKEIKASDNDEEDKSDDSDWDAEREKPDRCRCQRRSDHRKEKSLGRLTQNFVKLFLTMEIETISLDEVASLLLGEAQAEGNIMRAKVRRLYDIANVLSSLELIEKKPQEDTRKPTIRWLGPSKQKERNDITVDLLPTRKTLPRKRALSTDIPRSGLKTYGNPQEQAKSSGFLFGASHPTGSKKQELGNYITEKERKSIKDWESLATTHRPRYENKAVNDLFDNYVDVWKSWHSDFMRGTPSDP* >Brasy5G060200.1.p pacid=40078982 transcript=Brasy5G060200.1 locus=Brasy5G060200 ID=Brasy5G060200.1.v1.1 annot-version=v1.1 MSFSSSPNPAAGPPLPAPSTYSIPSFVPSNPPAGSGWTLGREEEAGRCMLGLAARDPRPDAAGAAEVGGSGQPPQNAFRFTPKTSRAVAYMPTPGAFSVSTLHSPAAQIRRPFSFFPNSSNPSISPSDPMEHTVGTWGSAARRTASLRARGNPTAAAAEGMTTRRRVAVPTGLASAPQANGRNIATCDAMPITATRRIWRKKQRWRRGLGAARAAGARHVGRSPSPLVRPCAAAHFLQLPLRKPMSAG* >Brasy5G196100.1.p pacid=40078983 transcript=Brasy5G196100.1 locus=Brasy5G196100 ID=Brasy5G196100.1.v1.1 annot-version=v1.1 MMKTEVMVTLAPYSPQLATGRAARPSSPLISPRRRPTQCSHLGTQPRLFIATNPRFGSGKTPICAAAASDPLRRRAPLSVDPRNGRGSAPLPPSVSSPPPRSSSRARRPDEAACSPSLLSSIRRVPTRIQPTRTPPHPPPRRGLRPALCSSPITLCPLLHSSPGQLYPIRRIIWQPTVYVLSGNTLNHSGLPACL* >Brasy5G274500.1.p pacid=40078984 transcript=Brasy5G274500.1 locus=Brasy5G274500 ID=Brasy5G274500.1.v1.1 annot-version=v1.1 MATAGKVIKCKAAVAWEAAKPLKIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPMFPRIFGHEAGGIVESVGEGVTEVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSIDGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNASRFEEARKFGCTEFVNPKDHSKPVQEVLADMTNGGVDRSVECTGNVNAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMKFLNERTLKGTFFGNFKPRTDLPNVVEMYMKKELEVEKFLTHSVPFSEINKAFDLMAKGEGIRCIIRMEN* >Brasy5G274500.2.p pacid=40078985 transcript=Brasy5G274500.2 locus=Brasy5G274500 ID=Brasy5G274500.2.v1.1 annot-version=v1.1 MATAGKVIKCKAAVAWEAAKPLKIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKTPMFPRIFGHEAGGIVESVGEGVTEVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSIDGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNASRFEEARKFGCTEFVNPKDHSKPVQEVLADMTNGGVDRSVECTGNVNAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMKFLNERTLKGTFFGNFKPRTDLPNVVEMYMKKELEVEKFLTHSVPFSEINKAFDLMAKGEGIRCIIRMEN* >Brasy5G406100.1.p pacid=40078986 transcript=Brasy5G406100.1 locus=Brasy5G406100 ID=Brasy5G406100.1.v1.1 annot-version=v1.1 MDDLKQILARPIQLAEQVIKWSDEAYTFRQDCMELKAKVERLAALLRQAARADLYERPARRIFDDTEKALDKAIALVDKCRAHGLVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSATDDDDFDAHIGLPPIAQNEPILFLIWEQIAALATGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLKLVKEGRLEGQENAALAIGLLGRDPECVEQMVLAGACSAFAKVLKDAPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAITSKMSIHSVVMDKKNSNGAGTIPDLLDAGEHSTQRHPSQSNNEMHNLVQSTMSTKNNGGSSKGIGGNGGVIASKQHNASLSGATTRGREFEDPETKAYMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIAPLVKLLDEREADVSREAALSLTKFACTENYLHVDHSKAIIDAGGAKHLVQLVYFSEQVVQLAALTLVCYIAHNVPDSEELAQAEILTVLEWTSKQAYMAQDPVIENLLPEAKIRLELYQSRGAKGYY* >Brasy5G283400.1.p pacid=40078987 transcript=Brasy5G283400.1 locus=Brasy5G283400 ID=Brasy5G283400.1.v1.1 annot-version=v1.1 MKPNGMPEPPKGVSRNSSPSQMNGDSEKVDPGQLPELTWEHKLSHVRYDLPSFGLKWREAVKMAGLGFRLGQHIVEETSKGRTAIIDPMKKRTAKSGQGVPLGGIGAGSIGRSYKGEFQRWQLFPGACEDKPVLANQFSAFISRQDGRKYSTVLHPGKPDLPKGTNISGIGSWDWNLSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQVSPIIPHNYQQSSYPAAVFTFTVTNSGNTAVNVTLLFTWANSVGGKSELTGYHCNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDVHISECPYFVMSGSSDEFTAKDMWNSVKEHGSFDLLDPIKTSICSRPGTSIGAAIAASVKLAPQSTKDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLARDAILDHSSWEKQIEQWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMSNGETDDIDEMIPHNNTATDILHQMASILERIHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPEKLKLLHDGKLAPRKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGNKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEELFWNKYEKAKSVYGKLWNGSYFNYDDAGTKASTSIHADQLAGQWYAKSCGLSSIVDKDKSQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSTMQSREIWPGVTYALAASMIQEGMVEEGFKTAEGIYHAAWSPEGLGYAFQTPEAWTNDDGYRSLCYMRPLAIWSIQWALSTPKLHKEPQRDLAQDSFPKNQFSYARIAKLLQLPEDESSKSFLRVIYEIVRNRFS* >Brasy5G283400.4.p pacid=40078988 transcript=Brasy5G283400.4 locus=Brasy5G283400 ID=Brasy5G283400.4.v1.1 annot-version=v1.1 MKPNGMPEPPKGVSRNSSPSQMNGDSEKVDPGQLPELTWEHKLSHVRYDLPSFGLKWREAVKMAGLGFRLGQHIVEETSKGRTAIIDPMKKRTAKSGQGVPLGGIGAGSIGRSYKGEFQRWQLFPGACEDKPVLANQFSAFISRQDGRKYSTVLHPGKPDLPKGTNISGIGSWDWNLSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQVSPIIPHNYQQSSYPAAVFTFTVTNSGNTAVNVTLLFTWANSVGGKSELTGYHCNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDVHISECPYFVMSGSSDEFTAKDMWNSVKEHGSFDLLDPIKTSICSRPGTSIGAAIAASVKLAPQSTKDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLARDAILDHSSWEKQIEQWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMSNGETDDIDEMIPHNNTATDILHQMASILERIHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPEKLKLLHDGKLAPRKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGNKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEELFWNKYEKAKSVYGKLWNGSYFNYDDAGTKASTSIHADQLAGQWYAKSCGLSSIVDKDKSQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSTMQSREIWPGVTYALAASMIQEGMVEEGFKTAEGIYHAAWSPEGLGYAFQTPEAWTNDDGYRSLCYMRPLAIWSIQWALSTPKLHKEPQRDLAQDSFPKNQFSYARIAKLLQLPEDESSKSFLRVIYEIVRNRFS* >Brasy5G283400.2.p pacid=40078989 transcript=Brasy5G283400.2 locus=Brasy5G283400 ID=Brasy5G283400.2.v1.1 annot-version=v1.1 MEGGSEDGRSWFSSWSTHRRRNFERTMQEVSEEATKVSFNAGNCSQELVKISQYWQISFLPSFPAKMAENILQCCILGNQICQRIGSWDWNLSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQVSPIIPHNYQQSSYPAAVFTFTVTNSGNTAVNVTLLFTWANSVGGKSELTGYHCNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDVHISECPYFVMSGSSDEFTAKDMWNSVKEHGSFDLLDPIKTSICSRPGTSIGAAIAASVKLAPQSTKDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLARDAILDHSSWEKQIEQWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMSNGETDDIDEMIPHNNTATDILHQMASILERIHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPEKLKLLHDGKLAPRKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGNKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEELFWNKYEKAKSVYGKLWNGSYFNYDDAGTKASTSIHADQLAGQWYAKSCGLSSIVDKDKSQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSTMQSREIWPGVTYALAASMIQEGMVEEGFKTAEGIYHAAWSPEGLGYAFQTPEAWTNDDGYRSLCYMRPLAIWSIQWALSTPKLHKEPQRDLAQDSFPKNQFSYARIAKLLQLPEDESSKSFLRVIYEIVRNRFS* >Brasy5G283400.3.p pacid=40078990 transcript=Brasy5G283400.3 locus=Brasy5G283400 ID=Brasy5G283400.3.v1.1 annot-version=v1.1 MEGGSEDGRSWFSSWSTHRRRNFERTMQEVSEEATKVSFNAGNCSQELVKISQYWQISFLPSFPAKMAENILQCCILGNQICQRIGSWDWNLSGEKSTYHALYPRAWTVYDGEPDPDLKIVCRQVSPIIPHNYQQSSYPAAVFTFTVTNSGNTAVNVTLLFTWANSVGGKSELTGYHCNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDVHISECPYFVMSGSSDEFTAKDMWNSVKEHGSFDLLDPIKTSICSRPGTSIGAAIAASVKLAPQSTKDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLARDAILDHSSWEKQIEQWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMSNGETDDIDEMIPHNNTATDILHQMASILERIHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPEKLKLLHDGKLAPRKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGNKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEELFWNKYEKAKSVYGKLWNGSYFNYDDAGTKASTSIHADQLAGQWYAKSCGLSSIVDKDKSQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSTMQSREIWPGVTYALAASMIQEGMVEEGFKTAEGIYHAAWSPEGLGYAFQTPEAWTNDDGYRSLCYMRPLAIWSIQWALSTPKLHKEPQRDLAQDSFPKNQFSYARIAKLLQLPEDESSKSFLRVIYEIVRNRFS* >Brasy5G337500.1.p pacid=40078991 transcript=Brasy5G337500.1 locus=Brasy5G337500 ID=Brasy5G337500.1.v1.1 annot-version=v1.1 MMGLGGNNGGGGGGMAPGMGPPWRPAVATGGTRRCRTHRVPLLSHGLHPHDSRRAGGPLPRPQRLPLPRPTPASTPFFCGGLTRLVAVATQKLISDVASDSPQHCKARVSAPIKDNKSKQPKDRHLVLTMDDLSKALRETCLRLMIRKMAGAMISFKKLIRWVTCV* >Brasy5G156000.1.p pacid=40078992 transcript=Brasy5G156000.1 locus=Brasy5G156000 ID=Brasy5G156000.1.v1.1 annot-version=v1.1 MHAIRGAKYDLHNSVAFVEYDCELLQWKIAWSFNISLLQFAIQHATGQKYLALWNSGVCRTMNDAVMKFICPRIWVCLPLPECRVMYSAHHTLDFLMPKEYMLAYCEPYLFCKLLLHKDQCSCTHNWTWDPGGYVIAVRLIISADGTPLKIARSYYCQLVFESAREVQHDCCPIFIAWYHTCRVELDVLYKYALYLAKSAVCRMLNLSMKDVGNLSSVEQLFRILAGCIGYLLKRFGFFLHCAKEGWSLNWSCASRAAVQLASQVMCYTRTEILEAAIYMWMDWQYVPLIDSGISLGTFHGPSISFSYLSKALAAGLSFDLSKYGGVQGALVSKGHDLVDAKLVQLPWNPGIQKYFKKMLTMQNWTEKHKEEGSYSVNSTCRVEIQCYNCACRIYMLFPSIFFKSV* >Brasy5G297600.1.p pacid=40078993 transcript=Brasy5G297600.1 locus=Brasy5G297600 ID=Brasy5G297600.1.v1.1 annot-version=v1.1 MAFEERTRGGGIRVLMRRKQVDLRGGQQLAKELSITLLVAIDPALVGVAGGRADDTYGAGGGCHDLAGPGGRRPGANVHEEGEQQDQAFDNNEVLKALCNSSHSTTMRSLCNSSPSTTMR* >Brasy5G059600.1.p pacid=40078994 transcript=Brasy5G059600.1 locus=Brasy5G059600 ID=Brasy5G059600.1.v1.1 annot-version=v1.1 MAWATRFLAAVCFFAAGALYAPDALLGARSDSGSGAGAAVTAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKMFPAYFTLISACAAVSVAAFAYLHPWKVASSVERYQLGFLISALGFDLSNLLVFTPMTIEMMKKRHKIERELSIGDEVGWSKNVKTAKSNPALAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLQL* >Brasy5G372000.1.p pacid=40078995 transcript=Brasy5G372000.1 locus=Brasy5G372000 ID=Brasy5G372000.1.v1.1 annot-version=v1.1 MAALQSGHRPRPARSSRAQARQKVWPQGMKAAPFPRAIHTQHRPPTLLAPFLPSSPDSDAATSSTRVHAPPPAVAAVHCCSACSSSAISDTLLSPSPGAGGVGGAAAGDARRREEDEEEGGAAGGARPSSAPPRMTSRSVRRLPAAARRVPSSSPSSSSSRSLRRSRRLEDAASCCASVTPPPPPPRRSISWGPGGALHATPTRQLCGLTLMSDTVPWQEQEAPLKYTPDCLGPGSGSASDRAERAWIVFVEANVRCGWIGVTQHVLGTLGAVLVSAPHGDRLSGRPVSARSFCEVGSGAAATASAVFRRQGSGSFL* >Brasy5G484400.1.p pacid=40078996 transcript=Brasy5G484400.1 locus=Brasy5G484400 ID=Brasy5G484400.1.v1.1 annot-version=v1.1 MCGIFAYLNYNAPRERRYILGVLLNGLRRLEYRGYDSSGIAVDGDLPLPAPAPAPYAGAAPLVYRQEGKIENLVRSVYSEIDEKDVSLDSAFNVHAGIAHTRWATHGVPHPRNSHPQSSGPGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDQAHDGEGDVTFSQVVNEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSDQNNGKSFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLAIEDNEIVHIKDGSVSILKFDQDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGVLLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARTFLEELSGIPVTMEVASDLLDRQGPIYRDDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSSLSRKTHCGIHINAGCEIGVASTKAYTSQIVVMAMMALAIGSDQISSQARREAIISGLSSLPRYASEVLKLDSEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQKSVIQQLLSRKGRLIVMCSKGDASVVSPSGSCRLIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ* >Brasy5G202300.1.p pacid=40078997 transcript=Brasy5G202300.1 locus=Brasy5G202300 ID=Brasy5G202300.1.v1.1 annot-version=v1.1 MDCNHRLSGANNLVPIEITLKFANKIKVNERFSAHIVLPVLPEGKATGAATQRIHYSQVRLSISVSA* >Brasy5G494800.1.p pacid=40078998 transcript=Brasy5G494800.1 locus=Brasy5G494800 ID=Brasy5G494800.1.v1.1 annot-version=v1.1 MFSYYVRECENLLTANLVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGE >Brasy5G445000.1.p pacid=40078999 transcript=Brasy5G445000.1 locus=Brasy5G445000 ID=Brasy5G445000.1.v1.1 annot-version=v1.1 MALRGITMDDAIICDSLGHNTIINHLTKDQLCLVDGSGLVQRIDNQVIRHHIRHNPLSHDLMEQTACLALLVTGKEGERQVRAARAAAAVHEDAIGAGCGRRHPWADALGHAAEEGDREGGAVSAGSRRPRAGDGNKKVVQGALVHRSGLPQQFVEQVATWGLHEREGGDAVVVEKEEWRPRRRMGNVGVVEGRRGPRARGMVFVLRFVPVFFRRTARLLLATGVSGWE* >Brasy5G114700.1.p pacid=40079000 transcript=Brasy5G114700.1 locus=Brasy5G114700 ID=Brasy5G114700.1.v1.1 annot-version=v1.1 MRVQAGGRAQAGGRMGAGKGKQQEAGAETQGGRWRQRASFGGGDRDLGNPVKLADPVACMLFCIYNRGQAACRRAVRQTSQGGLYLVTLEKKCICTQENVKLACKAFDSSVPVQPAGTVADGDNAHCTFIGRGMVLAGRAVQFSYASNTKFSFAPVSSTVVCS* >Brasy5G214200.1.p pacid=40079001 transcript=Brasy5G214200.1 locus=Brasy5G214200 ID=Brasy5G214200.1.v1.1 annot-version=v1.1 MSAAISSPLVVVETASPGVDADGDDAFRDTARALYREELRRIFEDIESELMLLDDSDDDEPTAPLPAVDPTSATAVANLRRVKFEDGARSGSAEGCAICLQDYEAGDELTEMPCSGGHRFHRQCLLEWLARRRSCPLCRHVLPGEERGTPMLL* >Brasy5G138900.1.p pacid=40079002 transcript=Brasy5G138900.1 locus=Brasy5G138900 ID=Brasy5G138900.1.v1.1 annot-version=v1.1 MQPAAKPKPKPPSMAQPSAKPASSSSSSAADPNPKRTRPAATPVTDAAPSSPPHSNPNPNGAPNPSPLLPSPHLQPPPQPLPPSRPLLTVAAVEAAMLAIPPPPQYGLECLDRRTVALSDGTIRTYFALPLEPPPQLRLQPLPMPPPPTLPPLPPPHYGPPGPGPGLSRWMPPLMHAPAPPGPVQKRKWEGQANGAGHGESSGRQQQHQQQKPEEKRVAKQVKVESTELDAKTLKNSYLKMVKMINENTEVKKNYRANGKISQLKCAVCKRDSIDLHALINHSYNTKNAELRADHLGLHKAICVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTIIVENTYKSKNDGQKDGMSNKEMEGKLREMGFAGFSVKPLVGKDGQRAMLVKFGSSLAGLNEAVRLADLFDAEGHGRAQWVQARGNPSFVGGSNPMFVKVDEKGQRTWILYGYLATAWDLDALDAESKQNVVIKSRKELDLSD* >Brasy5G244100.1.p pacid=40079003 transcript=Brasy5G244100.1 locus=Brasy5G244100 ID=Brasy5G244100.1.v1.1 annot-version=v1.1 MDNSSGSSSSHVGSESHSQEETFPMDADTQAYYGNRGVDLQSLQLNLIRAFTCLYYYVLPRPPSFVSSSGAPAAALPDVPPPPQSQAADRISALPDSFLRRVVSLLPIKDGARTAALSRRWRGVWRAAPLVLADSALLPSRLPCRSMLEVTPAESMAAADAVTRILLAHQGPIRCAHIVSCYMKQLIPGLLARWLHLLAVKGVRELFLVNRPWPLNMMLPVGFFGMATLTRLYLGAFTFPNTAALPGAVEFPHLEELGLLCVYMDNRDMEFVLARTPVLKMLCIQMNIWLTRLRVVSRSLRCLQIVGGTELDVLMEDAPRLERVIMWSSLVRNPLQRKVIKIGCAPVLTVLGYLEPALHALEVGNTAIKSHMEVMIFCDFRGAPNELSFLQYILESAWMLTKLVVVFSKGNFTSKAKANKKLKPLFAGKWANQDCSLVPVESAVKEGDHRRSLNLEAI* >Brasy5G308900.1.p pacid=40079004 transcript=Brasy5G308900.1 locus=Brasy5G308900 ID=Brasy5G308900.1.v1.1 annot-version=v1.1 MGMEVVGAEPAPAQVKVADGEVNLFQDKESKATAKEREEAAVFGSDNGKAAANATNDMAPPKDAVEEWPEPKQTHTLYFIKVRSFEDPKLRAKLEQADKVFQNEIQVRGKIFEAIKAKKVERSSIITELKPLSAENRQYNKAFTEKVEEMKPFTNRLGKFRDENNAMRAEGAGLCSSIEELEQTIKKLNNRICHESISLDEEKKLIKEIKILEKTRPKVTSNAAKRAKMQDAVVERDAIQDQVKIIGEGIDGVKKERQTVRAKIKVLEDELKVVDAEINSLQEDLNVASARKDKAYATLNELRQARDANNASFHQNRAVLIKARDYSSRNQAEELQELHKTEVEKFMAQWCSSKTFRDDYEKRILSSLNARQLTRDGRMRNPDEKPIFIETQQSAPPVEHEPVPVKMPSKQAKEAAAPQALAPIDEPFAKASAKSAKIKADVEADDAYEVEPPKEKPKPKEVDVAKLKEIKRQEEIEKNRLALERKKKQAEKQAAKAAARAQKEAEKKLKKEEKKAKKKTGAGDTDEPTDSDTKSDEAADTQGEDELAPVSATVNKEQKESVRYRSAVTRSKAPLPKAILKRKKGQSYMSWATPAVAIAVGALLVALLAVLGYYQYYRPASTSN* >Brasy5G089600.1.p pacid=40079005 transcript=Brasy5G089600.1 locus=Brasy5G089600 ID=Brasy5G089600.1.v1.1 annot-version=v1.1 MSGKGPKSVATGTAPPQRNGADTKAVPNYLRPSTGSCHNACKYGGHHAFEEKEAPKAQPRPRKQPPASDDQKRRLVKVRSVSRRRVGDFGKTNRADRLVGETVEWKDIVAYDAVEVLPLPASGPDGKKRDVMKGKKPFAKTTGQEIVAKKPTESLNKKLVKTVRSKLTGKASASSQAIGGAKDASSSDNKETINKSTKSAKPLKAKKSTTLPVEKKIVSQEVVQGDATTGVKQGETIYPPDQEEHAAVSESGRPITAHRRAMSMSIGSRSVRFPFIRQASKNSATFKLRSKSTKAPVLATEDEKPTRLRFRKGRAATGEEPSSGIQLRIRSLRRRGSGISRGMTSTGFVVPEVTLRHQKTLEKKKSRRLYNNLIEETASKLAKSRKSRVKSLVGAFETLISKIGK* >Brasy5G408300.1.p pacid=40079006 transcript=Brasy5G408300.1 locus=Brasy5G408300 ID=Brasy5G408300.1.v1.1 annot-version=v1.1 MDGGYSLPAAAAAAAMEMEEHLNWKKNAPVLYDLVISHALEWPSLTVQWLPTQSPASAQSHRLVLGTHASDESPNHLMLVDAVLPLPPRLAAAAAAEGRVVPDPSVSIGRSVPHRGEVNRSRFMPQRPLTVATKTCVDEVHVYHLGDDSEKRGADVVLRGHDAEGYGLAWSQMKEGWLLSGSYDKKICLWDLAAGNGSQVLDAQRAFEAHEDIVEDVAWHLKDENIFGSVGDDCKFMMWDLRTNKPEQSVVAHQKEVNSLSFNPFNEWILATASGDATIKLFDLRKLSRSLHAFDNHEGEVFQVEWNPNLETVLASHAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPAQKWAIASVAEDNVLQIWEMAESIYSDDSYLHDNNDSCLSP* >Brasy5G201100.1.p pacid=40079007 transcript=Brasy5G201100.1 locus=Brasy5G201100 ID=Brasy5G201100.1.v1.1 annot-version=v1.1 MVLVAAGSVSVVKLIFSLAVAINAAASKARRNRADCLDIATRASTLDAALSSLDDSWVSVGGAAPAKAKHPAVASALEGLHLALHRALQAVMDCQEDGAVSRHVNADRVSAELRRVNQVITDRMMDVILVAGLHTNSVVVLDAHQSKHHGDGGSPLRPLPQIQEASFPNSCKEEKLSATSGFNSFDFSELEVATNKFSEENLIGKSDSCTVYKGELPNGSEVAVKEYSKNQYKACRNECQNEENLAAKLLHKNILKLEGRCSSGGRYYQVYPYMHNRSLSKHIHGNGLPWPELFNIIRGIARGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGNSKVLPASAKEGVVDSVVRPCGFAAPEYIKSGRFSAKSDVYSFGVLLLELVTGWSRYRKGDDNRDERLNELVWEFWQNGREDDCVDPMLARATGRMGLQFQEMKRCINVALLCLEEDPVLRPDMAGVLRMLADNNNPMPCPQHPAYTT* >Brasy5G201100.2.p pacid=40079008 transcript=Brasy5G201100.2 locus=Brasy5G201100 ID=Brasy5G201100.2.v1.1 annot-version=v1.1 MVLVAAGSVSVVKLIFSLAVAINAAASKARRNRADCLDIATRASTLDAALSSLDDSWVSVGGAAPAKAKHPAVASALEGLHLALHRALQAVMDCQEDGAVSRHVNADRVSAELRRVNQVITDRMMDVILVAGLHTNSVVVLDAHQSKHHGDGGSPLRPLPQIQEASFPNSCKEEKLSATSGFNSFDFSELEVATNKFSEENLIGKSDSCTVYKGELPNGSEVAVKEYSKNQYKACRNECQNEENLAAKLLHKNILKLEGRCSSGGRYYQVYPYMHNRSLSKHIHGNGLPWPELFNIIRGIARGADYLHEQCGLGIIHLHLKPSSILLDYDYTPKICYFGNSKVLPASAKEGVVDSVVRPCGFAAPEYIKSGRFSAKSDVYSFGVLLLELVTGWSRYRKGDDNRDERLNELVWEFWQNGREDDCVDPMLARATGRMGLQFQEMKRCINVALLCLEEDPVLRPDMAGVLRMLADNNNPMPCPQHPAYTT* >Brasy5G511200.1.p pacid=40079009 transcript=Brasy5G511200.1 locus=Brasy5G511200 ID=Brasy5G511200.1.v1.1 annot-version=v1.1 MESLSPKLLASFTILMMALVSVKAAVPPSLEGQAGALLAWKATLNNESQQALQSWANMSAPCNWRGISCRMHTVHRHRRPAIANISLPGMRLRGTLESLDFSALRTLTSLDLSRNELAGSIPSSIEVLVELHALLLQNNQIRGSIPPSLANLTKLRFLELSNNQISSEIPRQIGNMSNLVTLNLSANHLTGLIPPEIGHLEILSVLDLFDNNLSGSIPSSVGDLTKLTVLYLDQNHLSGHIPQELGYLGNLEVLALSNNTITGSIPINLCNLTKLTTLYLWSNKLSGHIPPELGYLVNLQVLAIQKNTLFGSIPNSLRNLTNLSILYLYKNQLSGHIPPELGYLVNLEELSLQKNTLLGSIPNSLRNLTKLRIMYLYANQLSGYIPQQISHLINLEKLDLSENTLSGSIPNSLGDLKKLIVLNLFKNQISGHIPREIGNLINLVNLDMSSNNLFGPLPSGLCAGGQLQRFVAMKNNLVGPLPTSLLICKSLVRVRLEENQLEGDISKMGSYPNIVYIDISSNKLYGQLSHRWGECYKLTMLRASNNNIDGAIPPSIGRLSHLRVFDVSSNKLDGQIPPEIGNLLALFNLSLGNNFLWGTIPHEVGFLTNLEYLDLSSNNLSGSIRGSVEHCSKLRFLKLSQNRLNGSIPIELGMLGNLQALLDLSDNSFKEKIPSQLGSLRMLEALNLSHNALNGSIPSSFDSLLSLLFIDVSYNQLEGSVPQNRFFEEAPIEWFLHNKKLCGVVKGLPSCEITQSHGQQKKGKVFSLAIIPSVVFIVLITAIVKILQCKRKKSNERSEVEHQQTNLFAMWNFDGEDVYEKIIDATENFSDTHCIGIGGSGSVYRAQLPTGEIFAVKKIHVVEDSETFNREIDALMHIRHCNIAKLFGYCSAPRERFLVYEYMDRGSLASYLKSEVTAIELDWARRLNIVRGVAHALSYMHHDCFAPIVHRDITSNNILLDLKFRACISDFGIAKILDVNAANCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGDFLLSMATESTSLEDLLDTRLQLPEAGLASEIFKVIMIAIRCIEPNPSHRPTMQHVIKMYSTTEGPDTNLDYLHTGIVTPVCWL* >Brasy5G248700.1.p pacid=40079010 transcript=Brasy5G248700.1 locus=Brasy5G248700 ID=Brasy5G248700.1.v1.1 annot-version=v1.1 MNPYFIGFVVPFVASLLFAKRKAERKRGVPVDVGGEPGHAIRNYRFEQPVETHWDGIFTLAELFEQSCKQYVYMPLLGTRKLISRESEVASDGRSFEKLHLGQFEWKSYSKAFKAVCNFSSGLVRIGHLRDERVAIFADTQAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTRWRVESFEEVERLGLETPVQANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPGLGKKDVYLAYLPLAHILELAAETVILAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKRLFDIAYSRRLAAVNGSWFGAWGLEKLLWDVLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLSCSYIKLIDWVEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEASLSLSPYVDNIMVHADPSQNYCVALVIAAHSELENWASKQGITYTDLSDLCQKQVTVKEVLQSLVKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYETDLAQLYS* >Brasy5G248700.3.p pacid=40079011 transcript=Brasy5G248700.3 locus=Brasy5G248700 ID=Brasy5G248700.3.v1.1 annot-version=v1.1 MNPYFIGFVVPFVASLLFAKRKAERKRGVPVDVGGEPGHAIRNYRFEQPVETHWDGIFTLAELFEQSCKQYVYMPLLGTRKLISRESEVASDGRSFEKLHLGQFEWKSYSKAFKAVCNFSSGLVRIGHLRDERVAIFADTQAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTRWRVESFEEVERLGLETPVQANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPGLGKKDVYLAYLPLAHILELAAETVILAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKRLFDIAYSRRLAAVNGSWFGAWGLEKLLWDVLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLSCSYIKLIDWVEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEASLSLSPYVDNIMVHADPSQNYCVALVIAAHSELENWASKQGITYTDLSDLCQKQVTVKEVLQSLVKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYETDLAQLYS* >Brasy5G248700.2.p pacid=40079012 transcript=Brasy5G248700.2 locus=Brasy5G248700 ID=Brasy5G248700.2.v1.1 annot-version=v1.1 MNPYFIGFVVPFVASLLFAKRKAERKRGVPVDVGGEPGHAIRNYRFEQPVETHWDGIFTLAELFEQSCKQYVYMPLLGTRKLISRESEVASDGRSFEKLHLGQFEWKSYSKAFKAVCNFSSGLVRIGHLRDERVAIFADTQAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTRWRVESFEEVERLGLETPVQANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPGLGKKDVYLAYLPLAHILELAAETVILAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKRLFDIAYSRRLAAVNGSWFGAWGLEKLLWDVLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLSCSYIKLIDWVEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEASLSLSPYVDNIMVHADPSQNYCVALVIAAHSELENWASKQGITYTDLSDLCQKQVTVKEVLQSLVKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYETDLAQLYS* >Brasy5G248700.5.p pacid=40079013 transcript=Brasy5G248700.5 locus=Brasy5G248700 ID=Brasy5G248700.5.v1.1 annot-version=v1.1 MNPYFIGFVVPFVASLLFAKRKAERKRGVPVDVGGEPGHAIRNYRFEQPVETHWDGIFTLAELFEQSCKQYVYMPLLGTRKLISRESEVASDGRSFEKLHLGQFEWKSYSKAFKAVCNFSSGLVRIGHLRDERVAIFADTQAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTRWRVESFEEVERLGLETPVQANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPGLGKKDVYLAYLPLAHILELAAETVILAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKRLFDIAYSRRLAAVNGSWFGAWGLEKLLWDVLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLSCSYIKLIDWVEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEASLSLSPYVDNIMVHADPSQNYCVALVIAAHSELENWASKQGITYTDLSDLCQKQVTVKEVLQSLVKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYETDLAQLYS* >Brasy5G248700.4.p pacid=40079014 transcript=Brasy5G248700.4 locus=Brasy5G248700 ID=Brasy5G248700.4.v1.1 annot-version=v1.1 MGELFQRKAERKRGVPVDVGGEPGHAIRNYRFEQPVETHWDGIFTLAELFEQSCKQYVYMPLLGTRKLISRESEVASDGRSFEKLHLGQFEWKSYSKAFKAVCNFSSGLVRIGHLRDERVAIFADTQAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTRWRVESFEEVERLGLETPVQANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPGLGKKDVYLAYLPLAHILELAAETVILAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKRLFDIAYSRRLAAVNGSWFGAWGLEKLLWDVLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLSCSYIKLIDWVEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEASLSLSPYVDNIMVHADPSQNYCVALVIAAHSELENWASKQGITYTDLSDLCQKQVTVKEVLQSLVKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYETDLAQLYS* >Brasy5G033800.1.p pacid=40079015 transcript=Brasy5G033800.1 locus=Brasy5G033800 ID=Brasy5G033800.1.v1.1 annot-version=v1.1 MAMEMGPCRLIPKRRRPASASPSDGYVGVDRLSDLPDGILGDIVSLLPIDQGARTQILKRRWRHIWRCSAPLNLDCDALRTRGGGRGRRDEDELAGLISHILSSHRGTGRRFRIPPIYVSGRAATVEAWLESAALDNLQELDLCYSACFDNIYYLPLPPCVAFRFSTTLRVATIRQCNLPDSTVQGIQFPHLKQLELERVIIFECSLHHMLAACPALECLLIRDCSGFCCVRINSLSLRSIGVSRRCHQANQLMFRELVIDNAPSLKRLLQLGMGDGLHISVMSAPKLETMRCCSSKNYASTKLSFGSAVIQGLHIDILTTAVRTVQTLALHVDPLSLDIIINFM* >Brasy5G487200.1.p pacid=40079016 transcript=Brasy5G487200.1 locus=Brasy5G487200 ID=Brasy5G487200.1.v1.1 annot-version=v1.1 MKRSPPQPSPSPSSSPASSSSSPSSSDSSSSIAIPRKRARTAAAAGGGKARAAAAKRPKKDGKDSGSSSNGGGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCFTSLQNKKKGRQVYLGAYDTEEAAARAYDLAALKYWGPETTLNFSADDYGKERSEMEAVSREEYLAALRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAARAYDLAAIQYRGANAVTNFDISRYLDQPQLLEHLQQGPQVVPALQEEAQRDHQSDNAVQELNSGEAQTPGGIDEPIAVGDSTEDINTSLTVDDIIEESLWSPYEFDIMAGVNVSNSMNLSELFSDVAFEGNIGCLFEECSGIDDCSRHGAGLAAFGLFTEGDDKLKDVSEMEMEVTPQANDVSCPPKMITVCN* >Brasy5G466300.1.p pacid=40079017 transcript=Brasy5G466300.1 locus=Brasy5G466300 ID=Brasy5G466300.1.v1.1 annot-version=v1.1 MASVERAMAKAAAALLPALLPTPPMSTPTPCIITLPAASNPKFPKPGRADAVERWDAHKNKNPRSPASSCGSTSPGRADSCQRWDINKIKIKNASSSTSSSSMSIHSPSDRERYKRPPAPSRASSADRWDTHKKPRDALSDAESKTPISNDKDKQQQKDETAVTEETAPRTHLVFSGPSFFVASPEPSMLPMPAFFPCRAGVVPLPQFLQAHC* >Brasy5G117700.1.p pacid=40079018 transcript=Brasy5G117700.1 locus=Brasy5G117700 ID=Brasy5G117700.1.v1.1 annot-version=v1.1 MLPSSATSSCAYPVLVQVPAPLPRSYSCGSDWGKDEDLREQQRAVVLQVAGGGPRPGARERRAGCQSLSILHGSARTRRASSAAAMGCTRGAAGSDREEGEGGGAMGCDEGKQTRRKKEWREGRRRAAGRRTGCDERRVLRSPQEAAPGEEVPPHRHRDMAATSCREAARWWLRRTERASAPQKHDLATGCADPMRSS* >Brasy5G038000.1.p pacid=40079019 transcript=Brasy5G038000.1 locus=Brasy5G038000 ID=Brasy5G038000.1.v1.1 annot-version=v1.1 MEMGPTAAAGPLMDVPVMLDGDRYELVRDIGSGNFGVARLMRCRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFQRICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSNAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFKMTIQKILGVQYSIPDYVHISLECRDLISRIFVANPATRITMPEIKNHPWVLKNLPADLMDGSTVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDLDSDLDLDIESSGEIVYAM* >Brasy5G362700.1.p pacid=40079020 transcript=Brasy5G362700.1 locus=Brasy5G362700 ID=Brasy5G362700.1.v1.1 annot-version=v1.1 MDQLALLVTEFGDSSDFEVDGITENDVSDEEIEPEELARRMWKDRVRLRRIKDRQQKLALQQAELEKSKPKPISDQAMRKKIARAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYDAENLVAADAQSTVVKNQHNLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIAPYKKPHDLKKIWKVGVLTCVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHSIGNSVLAITYSSAPEYRNVNGNTNSSSNEYDVDGFEDAPLSASSKDDEQDLSPAAQSSEEHVSMRGRERANTKHPNQAVLKAGAKERPKRKRARHSSTAIGHGVQRTDDAPENSINLIPDMNRLDHVEIPGMSNQIISFNQVGVTSESLQHRGDEGHVYLPGGGANSFDNAEAGNATPVSIYMGGQPVPYESSDNARLKSGNPFPLADDSGFNNLPSSYQTPLKQSLPLSRMDHHVVPAGIRAPAVNSPYGDHVIDGGNSTSVPGDMQHLIDFPFYPEQDKFVGSSFEGLPLDYISISSPIPDIDDFLLHDDDLMEYLGT* >Brasy5G362700.2.p pacid=40079021 transcript=Brasy5G362700.2 locus=Brasy5G362700 ID=Brasy5G362700.2.v1.1 annot-version=v1.1 MDQLALLVTEFGDSSDFEVDGITENDVSDEEIEPEELARRMWKDRVRLRRIKDRQQKLALQQAELEKSKPKPISDQAMRKKIARAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYDAENLVAADAQSTVVKNQHNLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIAPYKKPHDLKKIWKVGVLTCVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHSIGNSVLAITYSSAPEYRNVNGNTNSSSNEYDVDGFEDAPLSASSKDDEQDLSPAAQSSEEHVSMRGRERANTKHPNQAVLKAGAKERPKRKRARHSSTAIGHGVQRTDDAPENSINLIPDMNRLDHVEIPGMSNQIISFNQVGVTSESLQHRGDEGHVYLPGGGANSFDNAEAGNATPVSIYMGGQPVPYESSDNARLKSGNPFPLADDSGFNNLPSSYQTPLKQSLPLSRMDHHVVPAGIRAPAVNSPYGDHVIDGGNSTSVPGDMQHLIDFPFYPEQDKFVGSSFEGLPLDYISISSPIPDIDDFLLHDDDLMEYLGT* >Brasy5G362700.3.p pacid=40079022 transcript=Brasy5G362700.3 locus=Brasy5G362700 ID=Brasy5G362700.3.v1.1 annot-version=v1.1 MDQLALLVTEFGDSSDFEVDGITENDVSDEEIEPEELARRMWKDRVRLRRIKDRQQKLALQQAELEKSKPKPISDQAMRKKIARAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYDAENLVAADAQSTVVKNQHNLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPSGNEEWWIALGLPSGQIAPYKKPHDLKKIWKVGVLTCVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVHSIGNSVLAITYSSAPEYRNVNGNTNSSSNEYDVDGFEDAPLSASSKDDEQDLSPAAQSSEEHVSMRGRERANTKHPNQAVLKAGAKERPKRKRARHSSTAIGHGVQRTDDAPENSINLIPDMNRLDHVEIPGMSNQIISFNQVGVTSESLQHRGDEGHVYLPGGGANSFDNAEAGNATPVSIYMGGQPVPYESSDNARLKSGNPFPLADDSGFNNLPSSYQTPLKQSLPLSRMDHHVVPAGIRAPAVNSPYGDHVIDGGNSTSVPGDMQHLIDFPFYPEQDKFVGSSFEGLPLDYISISSPIPDIDDFLLHDDDLMEYLGT* >Brasy5G229500.1.p pacid=40079023 transcript=Brasy5G229500.1 locus=Brasy5G229500 ID=Brasy5G229500.1.v1.1 annot-version=v1.1 MYDDIYTKAMVLRTRSGIIFRCVSHASMVVGFVLFIVGAVNNKHGYSRADLAITYVLFTGGLCLEVCAVFILLMSPWTWAWLKAQNSHGFRGLACISSSLLSSNIGRPERRLLWSNSMGQSNFLCYLSFDHQSRLSKLVKVVTITATSLVVGPREQAKSFLWMSKILDTKYVEVDKEIMDSVVQMVCRCSYLDPDESTMSPARDWSYLRPLLHMLNFGVRFGYGIVCFHIFTEAHLRKYRYPYRDHVLVSACRRLSNYMLYLLITHPEMLPVSGTTEDCVQFFLGQISPDFKGFLSSDTIMMRVDTMLDRRNLLGVAEIGSIEVLVEMRDLWTMLLIFCAGKSRAYVHAAQLSRGGELVTFAWLLMAHKELGDVGRVLNFHTLGAARAQRRH* >Brasy5G088800.1.p pacid=40079024 transcript=Brasy5G088800.1 locus=Brasy5G088800 ID=Brasy5G088800.1.v1.1 annot-version=v1.1 MAAAGALHASGRILLPMRRTPSTPWSHVRSHLHSTKPPPSPLPPPPPPPLPSSPGLFSRFTPAFAPTARRSGPIGSGLVAWYLGSLEARPVLTKSITAATIFTVADLTSQMITLDPDGSLDLIRTLRMASYGMLISGPSLHFWFNFISRVVPKKDVVNTFKKMFLGQAVYGPIINCVFFSYNAGLQGETVPEIIARLKRDLIPTIKSGLIYWPLCDFITFKFIPVQLQPLVSNSFSFLWTIYITYMASLKKPELEGITSS* >Brasy5G088800.2.p pacid=40079025 transcript=Brasy5G088800.2 locus=Brasy5G088800 ID=Brasy5G088800.2.v1.1 annot-version=v1.1 MAAAGALHASGRILLPMRRTPSTPWSHVRSHLHSTKPPPSPLPPPPPPPLPSSPGLFSRFTPAFAPTARRSGPIGSGLVAWYLGSLEARPVLTKSITAATIFTVADLTSQMITLDPDGSLDLIRTLRMASYGMLISGPSLHFWFNFISRVVPKKDVVNTFKKMFLGQAVYGPIINCVFFSYNAGLQGMVLICFSAIILESFKCFCNFLFGVNDFVRTNHLSSLALPPLGLASFDNAAVLTPTMLNIHHKSQLICCVL* >Brasy5G235900.1.p pacid=40079026 transcript=Brasy5G235900.1 locus=Brasy5G235900 ID=Brasy5G235900.1.v1.1 annot-version=v1.1 MKAFHQAPVVGHEFPSCQKPCVSFSSRPYRQSSHQYQLVAAKDTFMYNKKYRRLMVTVSSLSGMPYEKFHESAYDLCKDSSLESLKIVQAAEQKVLPQDMDAQFIESILFDRTLYKYEILGRMASTGLMSAGDEDAIVLALSKIEQDIAQGKFEWVDGQDVHTNVMKALVNIVGEKAKVLTMMNRTDLCLSILTAWYKECITLITSRIKQIQVALMSLAIRNEGFVLPGAPGSEGNISLHSITLPIVKELAHDANYLGYYHDLITSSSDFGFPVTDSYLVRSKIGHTADRINYDVPKHLMQMVNMFVSLRNLPCFIPNDKIVTCDTFLEKFRPNKRLSDGFFALDYCLNTSIFRSTHGSDIEDAKFYLFSGVDNVLDMLDWCIKIAEGISVNQKSSPRDPSNAVGFVQFLKSKGLSLETSYAVVYLCLKKRCQPWELTPQELQRIGFPCDSSEEIHQACSLLLGKQIVFNSLADLDSLAELLFWFGNLEIDPHVFC* >Brasy5G347000.1.p pacid=40079027 transcript=Brasy5G347000.1 locus=Brasy5G347000 ID=Brasy5G347000.1.v1.1 annot-version=v1.1 MRWRTGCLNTRGVHLNAVVISNSCLGASFTDPVSWCRRSWRPSTWCSSRHRHTGHPSRCILHRPDIVLDAVAHGGLLRGIAQTPQLTAPASAHFSQVITKLRHPRLVAAFYLVSAKTPPYRVPVLEHPSQVSIVPLMAAFYVVSAETPPYTAPALAQSSSTWRQYAAARGGLRRGVR* >Brasy5G436400.1.p pacid=40079028 transcript=Brasy5G436400.1 locus=Brasy5G436400 ID=Brasy5G436400.1.v1.1 annot-version=v1.1 MMERRNDNGGCCFEPRYGGGGQTQTGQQQQAWQMGKIMLKFRPIAPKPAAMAAPAPVPAVGPAVKGRKRKAVAGKRGRKPKKAAAAATTVAATQMVQKEAEKDKSSSSRSSSSSGMTSVDSSPPPPPEATTLPLMPVPLVEVAAVTPVVPDIGVAKIAVAPRASWVTVEDVTAKWRDGGEGPPPPAAAVLVDGDAAAPAFVSDRWGRVTWANAAFSRAVTAGAGDDDDDEAVMVVVGAKDAKAVPAWGTCAGFSCRVRVRRGGGGSSLVAPCDVWRLDAAGGYLWRLDIQATLTLSLGGLL* >Brasy5G106400.1.p pacid=40079029 transcript=Brasy5G106400.1 locus=Brasy5G106400 ID=Brasy5G106400.1.v1.1 annot-version=v1.1 MAAATGYGAPTDQQLVAYADLPKWALYGHKMAEVGRSHAMAEVFAVHVPAAVGGRKPPPCGLISFHGGNCCSSVIYSWSLLDQPTSTPPCDSEGNLVLTGPSVVISTYGPMHFCLQLHDSSQQVKDDEEDSVELQFGANDGYFGGFSNYDRVRVGTVNTPYGPADVTYAVLSNGVEGRVAVKLVCPDGEAPTGVLRRIVARSKLFDAGCVLFYNEHNKDMSMISGEILPLARQVVAVPMHMLLTVELDLSYYSGDEIVRDAVVFNPAFGGQHMERVMGAAGVVVEVAISWVDYPW* >Brasy5G017500.1.p pacid=40079030 transcript=Brasy5G017500.1 locus=Brasy5G017500 ID=Brasy5G017500.1.v1.1 annot-version=v1.1 MDPRDRNFTNHTIPHSPKSHSENLNIPPSQYSQGYSQNYSPGYSPPQYGMHLPQSQYPQSSPPSHYFQNLRPFSTPYNYEHHDVPTGSFQGMQQAYVNSPCGVPRAAEQPKQEIESDASSPEEDGRKGVRINWNDEENVCLASSWIENSNDPVEGNAKKSEFYWRSVTEDFNKNRPTNGTIRTAKQCKSHWSTLNKGIAAFNGVYERAKSAYSSGQCDKMLKSKTREWYKAENNQKAFTMEYLWDQVKDNPKWRRIYMKDDKSKRTKISETGAYASSSNPEGEETTREMRPEGQKAAKARRKAKAVIDEPCENMKMYHSAMSKKSEGQVAIACATNERTKFNKMSKYLDMMEKDTSSFSEERLKRHNQALDQMQLELFG* >Brasy5G183300.1.p pacid=40079031 transcript=Brasy5G183300.1 locus=Brasy5G183300 ID=Brasy5G183300.1.v1.1 annot-version=v1.1 MGGSPPEQLQAPLIAPAPDEDDHEGQLQLQPWWSCLRTTCSCLGTICFYVLSVAFFALLTLIFLTPFVYLINRAITQANSTGVLEYSVAITGADGLLADGGNPRLSPVFNLTIRIKQFDISKAMVYMGGRSAELTVSYHRALLAKDPAWPGFCVKYMEEREVEATVWGIDVAVPRFLRERLARELRRDEAVFDVEARDPGSWQEPSFACEPAKIGGDPYPCK* >Brasy5G276600.1.p pacid=40079032 transcript=Brasy5G276600.1 locus=Brasy5G276600 ID=Brasy5G276600.1.v1.1 annot-version=v1.1 MGQDSPIVLSSDSKEGEPEGFKEMVKEDGEDLKEPPNWLPDGWIMEVRRGEDGSFYQYFVSPVTDVRFRMKAEVLSYLFSGMEERFLETKKCAALNTLPSTHLWLPKGWLIEIRAGGENMEKMFKFYIYPAMGIRVVSKEDVLLYAKDMVVAACDTEGQCDTSSEDNYFTDPVSHYVFRTLKSAMRYLQTRKVTSRSFIQKTSVHDLYNFDKCADLHEFLHRRLTTNWKTNKTPTRSSKSVRSSSPMENEDCNDETSDRFDGGESSTNFGSPRDHQESKKNRRKITKEKEPCSDKIIKRPTNNSSREGKAKQTNGDTNGK* >Brasy5G022800.1.p pacid=40079033 transcript=Brasy5G022800.1 locus=Brasy5G022800 ID=Brasy5G022800.1.v1.1 annot-version=v1.1 MAPRGNRSSKKPPPPRTRGKGKRPSSAAGDDPFFEAEPKRRRGRLDEDIESGESDDDGLALGGAVVGGDDEDEGEKEVEETAGEKKMRLTKEYLEKIADAVKRRKEEDEVDDDDEEDDDDDDEEDAVGGRRVAKVLRKKQLQDSGRQRLSLAARVLPPGREDGFKFIAKHRHPVTAVALSKDSDKGFSASKDGVILHWDVETGKSDKYLWPSEKVLVSHHAKAPLSKKRSQHVLALAVSSDGRYLATGGLDRHIHLWDVRSREHIQAFSGHRGPVSCLAFGLDSSELFSGSYDRSIMQWNAEDRTYMHCLYGHQAEILTTDALSKDRLLTVARDRTMHLWKIPEESQLVFRAPAVSLESCCFIDDKEYLSGSDDGSLELWSVMRKKPTHIIKNAHPALTSSSPDGADEKLPKENGACKSEGFSSAHSWVSAVAARTNSDLAASGAANGVVRLWTIQPDSKGMQPLFDLALDGFINSLAIAKSGRFIVAGVGREPRLGRWGRVQTAKNGVAIHRLSLKDDTEDL* >Brasy5G424500.1.p pacid=40079034 transcript=Brasy5G424500.1 locus=Brasy5G424500 ID=Brasy5G424500.1.v1.1 annot-version=v1.1 MPPSLAPHLHALAAKLALSTSPVSASCLITLYSRSRSPDAARHLFDEIPLAHRDTVCYTSTIVGLAQNGRPEESLSVFVSMRSNAVASTMYALSGTLRATAGLAALEQTRGIHAHAVVVGLDGNVAVGTALVDAYGKAGVVHDAAKVFDDLAGDRNLITWNVILAAHAQQGDVETVIDLFHEMAGLHFVPDRLTFLALLTACSNAGAAADAEFWLEAMQSKYNVKPDLAHYTCVVGAMARVGRLEDADSIVCTMPCKTDAAVWRTLLAGCVVHHKADMARIMGQRLLEIDPNDDSAYVMLANVYSAAGRKDDVAEAWTAMRDHGVRKEGGRSWIEVRGQVHVFVADEKMHEQLLEIYDKLNELIKEVEKLGYKEVDEGLWHHSERLALAYGLVSGSVPSGKMLRIVKNLRICAHCHEFFKYASIVIGRVILIRDANRYHTIKQGVCSCRNYW* >Brasy5G424500.2.p pacid=40079035 transcript=Brasy5G424500.2 locus=Brasy5G424500 ID=Brasy5G424500.2.v1.1 annot-version=v1.1 MPPSLAPHLHALAAKLALSTSPVSASCLITLYSRSRSPDAARHLFDEIPLAHRDTVCYTSTIVGLAQNGRPEESLSVFVSMRSNAVASTMYALSGTLRATAGLAALEQTRGIHAHAVVVGLDGNVAVGTALVDAYGKAGVVHDAAKVFDDLAGDRNLITWNVILAAHAQQGDVETVIDLFHEMAGLHFVPDRLTFLALLTACSNAGAAADAEFWLEAMQSKYNVKPDLAHYTCVVGAMARVGRLEDADSIVCTMPCKTDAAVWRTLLAGCVVHHKADMARIMGQRLLEIDPNDDSAYVMLANVYSAAGRKDDVAEAWTAMRDHGVRKEGGRSWIEVRGQVHVFVADEKMHEQLLEIYDKLNELIKEVEKLGYKEVDEGLWHHSERLALAYGLVSGSVPSGKMLRIVKNLRICAHCHEFFKYASIVIGRVILIRDANRYHTIKQGVCSCRNYW* >Brasy5G023600.1.p pacid=40079036 transcript=Brasy5G023600.1 locus=Brasy5G023600 ID=Brasy5G023600.1.v1.1 annot-version=v1.1 MAQHKQEENTSGVTPIEEEEEHQHGDWPLLPVCALDQDAAYSAKPLTVKSSSLRSRRADEEASGYGIRSLSFSKLFSFRMSLGLGDIDQLAAADACAEEELPKQEQPVCRSQSLPMTSISRRFTHSHNYKRKRVADSSSLPGRFRVTVPVLESSPSEGEGAGEEEVGEEEAVCRICFVALCEGSVLKLECHCKGELALAHRDCALKWFGIKGNANCDVCSHDVLNLPVTLRRVRSSSSTAIPISIPAEEAAAAIIGQAERGGFRVWQHGTTPILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFAGVLGLFSSLTTTKMVSRRYLWIYSALQFLFIVLFTHLFYRYVRLQAVIAIILATFAGFGVAICSNAIIIQIIRWRQARRLPPSDSDLPTAQP* >Brasy5G009700.1.p pacid=40079037 transcript=Brasy5G009700.1 locus=Brasy5G009700 ID=Brasy5G009700.1.v1.1 annot-version=v1.1 MLATDQSRQRRRRRRSQDAPVPSETVNPEYNLDEPTMEEKLAALNLPAETAGGAAEEKSVSAAMAVPPSADSVHVLLKQALTADDRASLLGCLNNRDDKVIRKSISLLTPADAVKLLKSLILLMQSRGSVMVCLLPWLQALLSRHMSSIVSQESSLLLLNSLYHLIDARTSTFASALEVSTCLDYHFSEICDDESGDEEETAPMVYEDKDTDEEESEVDDAMETEDKENGEEGSEVDDM* >Brasy5G057700.1.p pacid=40079038 transcript=Brasy5G057700.1 locus=Brasy5G057700 ID=Brasy5G057700.1.v1.1 annot-version=v1.1 MSSRGYSRRGPSDDNVGSDVGLRRRELYLNGPSQDRGSKRSTEGALAPPGSKNSQKSKVSNQSNPEASGSSSRKSEGSHGVHVSATGNDDSSTRPRKVKLKIGGISRSIPAKPNPDVPDSRSSQPGDSRLRQKHASQAEGTKDSSRLAPSQDKKTRRERALEDTFTPEQPAKVQRESSSDPVRKSRRLAKKSILDSELDEEYDTSALENLGASNDNDGRIRDSKNKGGSNSKKNASKKDRSRSTVYEVDNDFVTPQSNRDGKKRSRESTDAEEELASDSEQEAENKKQKAVIESPANVKSEPLTTRRRALQSWMDGNSNSTIEFPDGLPTASSRSKKEKLSDAEMIAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDTEKKKEERKQKEREEKEKAARAQELARNSIRWVMGPKGTVVSFPEELGLPSIFNTKPCSYPPPREKCAGPSCTNAYRYRDSKLKLPLCSLKCYRAVNGNA* >Brasy5G057700.2.p pacid=40079039 transcript=Brasy5G057700.2 locus=Brasy5G057700 ID=Brasy5G057700.2.v1.1 annot-version=v1.1 MSSRGYSRRGPSDDNVGSDVGLRRRELYLNGPSQDRGSKRSTEGALAPPGSKNSQKSKVSNQSNPEASGSSSRKSEGSHGVHVSATGNDDSSTRPRKVKLKIGGISRSIPAKPNPDVPDSRSSQPGDSRLRQKHASQAEGTKDSSRLAPSQDKKTRRERALEDTFTPEQPAKVQRESSSDPVRKSRRLAKKSILDSELDEEYDTSALENLGASNDNDGRIRDSKNKGGSNSKKNASKKDRSRSTVYEVDNDFVTPQSNRDGKKRSRESTDAEEELASDSEQEAENKKQKAVIESPANVKSEPLTTRRRALQSWMDGNSNSTIEFPDGLPTASSRSKKEKLSDAEMIAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDTEKKKEERKQKEREEKEKAARAQELARNSIRWVMGPKGTVVSFPEELGLPSIFNTKPCSYPPPREKCAGPSCTNAYRYRDSKLKLPLCSLKCYRAVNGNA* >Brasy5G050100.1.p pacid=40079040 transcript=Brasy5G050100.1 locus=Brasy5G050100 ID=Brasy5G050100.1.v1.1 annot-version=v1.1 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSVGNVLPLRSVPEGGVICNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKAT* >Brasy5G041800.1.p pacid=40079041 transcript=Brasy5G041800.1 locus=Brasy5G041800 ID=Brasy5G041800.1.v1.1 annot-version=v1.1 MALLEGRPSIHTRDASDRASHSQPWTRPRRHGGMTSALLLPLFLLAGATAVFGRLMIRQRGDADAPPSPASSLPLLGHLHLLQKPPLHRSLAAIAVASLRRALLVSTHAAAEECFTGARDAALAGRPRLLAGRHLGYGHTTFSWASNGAQFVAAEAFSASRLAAPAPGRRAEVASLVHNLLRDSAAANENEIELRPRLFELVLNVMLRALTGHAGAGIGDDDVRRRRFQEIVEETFAVTGAPSFVDLFPALRWVDRLRGVEAALAVLQRKRDAFVAAVIDEHRRMPGRDVEKKKKGVIDALLELQEADPEQYTDTIIKGIVLVLLTEGTDTSALTTEWAMALLLTHPEAMRKARAKIDANIGTGRLVEEADIANLPYLQCVVKETLRLCPVRPVIPAHEAMEDCIVGGFRRPRGTMILVNAWAIQRDSDVWDMPGEFRPERFSDMDMVTAPMLPFGLGRRRCPGEGLAMRLVSLTLAALVQCFEWDVIGSVDMAEGAGLTMPMATPLAAVCRPREFVKRVLSASGRCAEHSF* >Brasy5G095100.1.p pacid=40079042 transcript=Brasy5G095100.1 locus=Brasy5G095100 ID=Brasy5G095100.1.v1.1 annot-version=v1.1 MVREFLAVVVRAALQWALASLLLANGAAFCLIAAVASALRLGPPCLLCGRVHRLLGSSSSASAADGSSGHEHEALRRLLCEAHVAAVAGSVGSGPEQRLEKTFSASDHVDGRTRDDSDKHGGLEAQRAVSIGSEICEQDRGSDQDDVERFERSSSIDNDGPYVSLFELAPISPLPADQDNAPDHFKELDEPISEVAGHADGDGEERDLVTVSGLVAELRAQRQELQAARAELEAERRSKAEAVEQGELDREAVRLAMQLVHEAEAEKHGLQRRLDACSVKAQLYDAAMDAAADLGDGGDDLPEPEAADGNGGNNYQSLVDFFPGSAVYSSSPDLANLLKLYTEGNGSGRRRSTESVMDDASAAAAEVAEEEEVVVAVVAVAAVSGSNGGNGQTTGTY* >Brasy5G446900.1.p pacid=40079043 transcript=Brasy5G446900.1 locus=Brasy5G446900 ID=Brasy5G446900.1.v1.1 annot-version=v1.1 MGERRRYCSCSSHGLSLPLPLFFFSFLCLHLSMAAAAGGTPPLPLNSTQESIMRNLLSLVGGKIGWNTTVSNPCLWSGIACSPSNSGSFSVVTNITLSAHGVSNSSVFAPICAIDTLLSLDLSRNSFTDFGDRLFSPSCSMKEGLRSLNLSSNQVASSLGGFSGFPRLEVLDLSFNLVSGNLSTELGSFPQLRSLNLSSNKLSGGVPTSMVPSLEELVLSGNHLSGPIPAGLFAYGELVMLDLSQNNLIGAVPDELWKLGKLQTLLISGNELSGSIPGRLSNSTTLSRYAANQNKFTGPIPNGITEHVKMLDLSYNNLSGTIPSDLLASPVLEAIDLTSNRLEGSVPRNFSARLFRLRLGMNFLTGRIPDSIGDASKLAYLELDNNNLSGDIPPQLGRCKELALLNLASNDLQGQVPDQISTLEKLVVLKLQMNNLSGPIKSTFSSLTNLSILNLSRNSFDGEMPQNIEQLSKLSSINLAGNKISGVIPVSVGSLQLLIELNLGDNSLTGTIPDMPDKSTSSLNLSHNYLTGSIPSKIGTLTALEILDLSYNNLSGAVPSTLENLPSLTELVLSYNQLSGYFHPPPHVVVNITGNPGLTIGSGTDGNDTTVDGKTKNHAVLVTIFAIVGALVGLCLLAAVIMFSLSKRIYRVEDIGPPPEQALPQIINDHIITMNSIHTSAIDFTYAMKAVSKPTNIFMKTRFCTYYKAVMPNRSIYSVKKLDWSDKIFQIGSQEKFGHELEVLGKLSNSNVMVPLAYVLTEDNAYLLYEHVYKGTVFDLLHDGRSDVLDWPSRYSIALGVAQGLTFLHGRTQPVLLLDLSTRTIHLKSMNEPQIGDIELYKIIDPSKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGMELAKWALSLSARPEQREQVLDNRVSRTSVGVHSQMLSVLNIALACVAFSPDARPKMRTILRTLLNAN* >Brasy5G446900.2.p pacid=40079044 transcript=Brasy5G446900.2 locus=Brasy5G446900 ID=Brasy5G446900.2.v1.1 annot-version=v1.1 MGERRSTQESIMRNLLSLVGGKIGWNTTVSNPCLWSGIACSPSNSGSFSVVTNITLSAHGVSNSSVFAPICAIDTLLSLDLSRNSFTDFGDRLFSPSCSMKEGLRSLNLSSNQVASSLGGFSGFPRLEVLDLSFNLVSGNLSTELGSFPQLRSLNLSSNKLSGGVPTSMVPSLEELVLSGNHLSGPIPAGLFAYGELVMLDLSQNNLIGAVPDELWKLGKLQTLLISGNELSGSIPGRLSNSTTLSRYAANQNKFTGPIPNGITEHVKMLDLSYNNLSGTIPSDLLASPVLEAIDLTSNRLEGSVPRNFSARLFRLRLGMNFLTGRIPDSIGDASKLAYLELDNNNLSGDIPPQLGRCKELALLNLASNDLQGQVPDQISTLEKLVVLKLQMNNLSGPIKSTFSSLTNLSILNLSRNSFDGEMPQNIEQLSKLSSINLAGNKISGVIPVSVGSLQLLIELNLGDNSLTGTIPDMPDKSTSSLNLSHNYLTGSIPSKIGTLTALEILDLSYNNLSGAVPSTLENLPSLTELVLSYNQLSGYFHPPPHVVVNITGNPGLTIGSGTDGNDTTVDGKTKNHAVLVTIFAIVGALVGLCLLAAVIMFSLSKRIYRVEDIGPPPEQALPQIINDHIITMNSIHTSAIDFTYAMKAVSKPTNIFMKTRFCTYYKAVMPNRSIYSVKKLDWSDKIFQIGSQEKFGHELEVLGKLSNSNVMVPLAYVLTEDNAYLLYEHVYKGTVFDLLHDGRSDVLDWPSRYSIALGVAQGLTFLHGRTQPVLLLDLSTRTIHLKSMNEPQIGDIELYKIIDPSKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGMELAKWALSLSARPEQREQVLDNRVSRTSVGVHSQMLSVLNIALACVAFSPDARPKMRTILRTLLNAN* >Brasy5G153800.1.p pacid=40079045 transcript=Brasy5G153800.1 locus=Brasy5G153800 ID=Brasy5G153800.1.v1.1 annot-version=v1.1 MIIFLCLGTACPLKFLAKFWWVSCLIILLCLAVPCPLNFLARFSILKKAGVGGWSSGNIHGVCRSFLGEGDAFLVFSDLKRALELACSVFACTMV* >Brasy5G149400.1.p pacid=40079046 transcript=Brasy5G149400.1 locus=Brasy5G149400 ID=Brasy5G149400.1.v1.1 annot-version=v1.1 MESVSMVNTIPRRKRSRTARAATIPSLPEDIILCEILPHHPAKDLLRCRAVCRSWRCKTSTNVFLQAHHQRQPSLPLLFFHGNGDSVHGAVDAFDLHRLTPASPSRRPVLGFSDYSHRRSFKIHCSCDGLLVISLSNLRYYLCNPSTRQWCPLPALTGVAALYLHGPSNEYRILFQEFHISCAPYKCNHQQRCIGHLPAELPSSSSSPFPDHWSIIPGSDHPPVFLHGCLHWHFGKDIFVGYILVFDTVLETFRLIQAPPKIDGHREYLLEMDGGKLGMGFIYGDGMNTVMADIWELEGYRNEEVWVLQRRIELPVGELRKHASESGCGNLFPQIVSGDGDVLLTTFRDPWPLFHCDGKGKLLDKYQWPGIISRVIGVYFKESLVRHDFFQKKERGRVRVPRFFQGL* >Brasy5G054800.1.p pacid=40079047 transcript=Brasy5G054800.1 locus=Brasy5G054800 ID=Brasy5G054800.1.v1.1 annot-version=v1.1 MSMESTGEELLKKIRQLEVGQAQLKQEMSKFALPPTGGGERRRSQSVSPCRGAPPHPPPAPAPATARRLSGGFDGGPQAWGRGSASFSHSSRLQREGRAAAEGGATGAGLPERQYRRVLQALGQSVHILDLDGRIIYWNRSAENLYGYPASEVLGQDALMLLVDSHDLSVVNDMFRRISLGESWTGKFPVKNRAGDRFLAVGTNTPFYDEDGSLVGIICVSNDSHALEEILSGPSTSASSHLEASRPTCCDGSCSNNSRKNSLLNRSPFDPQHPLQSTIASKITNLATKVTNKVRSRVRTDENGVVREGGSGESQCSDRDTKEEPSSSGPSTPKGDASHGAFATEENSPGKSAKTNSDESEGKIGLHKILSSKAEALFIKKGISWPWKGRENDGPDGKNQVIWPSLHGEQEIDQNHQKISDSQGAECNQPNKNEVSGSWSSFNNNSSSSASSTGSTNSSVLYKVDHEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVGVKVFSRQEYSEEVIQAFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRSTTKLDWRRRVHMALDVARGMNYLHHYSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLEIPSETDPYWTSLILSCWETDPQSRPSFQELLEKLRELQRKYAVQTQMQRNASAVAKNSIIEE* >Brasy5G473200.1.p pacid=40079048 transcript=Brasy5G473200.1 locus=Brasy5G473200 ID=Brasy5G473200.1.v1.1 annot-version=v1.1 MATEAVSSSSLWSEGLPPELAGLVLRRLPSLADRVRFGAVCRRWSLATQQQAPTLPPALPLITSSRNWVRVFQSFPDGELHNLGSSQPIPSLVCCGSSENWLLFISSVQQVKNFLKNPLSGATIPLHDNVRSDDLRFIQKFIVCSDGLIVSMARRHIAPPSPSNVPLIAYCHMAFHHGNIYGVTKQGGLYVHTISEDSGTGEPVISYAKQVIKGGDTWLCLKQFFLVVSRVTMHGRNSEASAFEILKADFEMSRCGMCSKAISASSHGNYLCGDRIYFIYDETYPFPNIHTICGMYDLRDKISHRTLALQCGTLGPAWFFPHK* >Brasy5G135600.1.p pacid=40079049 transcript=Brasy5G135600.1 locus=Brasy5G135600 ID=Brasy5G135600.1.v1.1 annot-version=v1.1 MSPAHGLQYDTAHDMREEKNRVRRERDKARQGIITPQQREATNARRRASITPQQREATNARRKASRASQNNDTPEQREKINARKRDSYARRKASLTPKQREEINARKRASYARRKASLTPEQREEINARKREQSKERNARRTKSSKNKKLEERNAQQRATRQKLSVKDRQEINSERRAHRQAIPSGERQAQLARRNEKFATKRKTPSTKSIAMPCPDPAAANLVSSRQTSLARKPGDSSPASTSSSTPSFTIRTDGNDNTKLVIHFLCPDFSFC* >Brasy5G468800.1.p pacid=40079050 transcript=Brasy5G468800.1 locus=Brasy5G468800 ID=Brasy5G468800.1.v1.1 annot-version=v1.1 MGVPFQQIDAASRQIRRIRAAYLVMGEEEEAVEDPTTGAEVEAVTDPVTGGEEEEDEERGRRPTSAATGSYAAGLGAPVASGRGSCRKRDWGRQQKRRTGQRKKGRGRE* >Brasy5G444600.1.p pacid=40079051 transcript=Brasy5G444600.1 locus=Brasy5G444600 ID=Brasy5G444600.1.v1.1 annot-version=v1.1 MEVVEVFIVGAGPSGLATAACLTKFSIPYVIVEREDCIASLWHKHTYDRLKLHIAKEFCELPHMSYPADAPTYIPKDQFMRYVDDYVEHFNIVPTFNTSTESCMYDEEKKYWAISAHDKVNNKILDYASKFLVVATGENSASNVPEITGLQSFPGETMHSSSYKSGNDHVGKSVLVVGCGNSGFEIAYDLAVHGARTSIIIRNPVLDSIFRIRGNLIEFKDGKKRYYDTIVFATGYKSTVNMWLKIDNGLDMGSEWRWAQSAEMNYLEVWNKLPAGDLKML* >Brasy5G186500.1.p pacid=40079052 transcript=Brasy5G186500.1 locus=Brasy5G186500 ID=Brasy5G186500.1.v1.1 annot-version=v1.1 MPRRCFTRPYPSLVATRRRLFARRLAGPSPPRRHARPPPRSLCSTRLSDR* >Brasy5G493600.1.p pacid=40079053 transcript=Brasy5G493600.1 locus=Brasy5G493600 ID=Brasy5G493600.1.v1.1 annot-version=v1.1 MAAAAADHQRQQQHGRIGKEEEKELLSSVVGDIRSYAGSDPLRPWLRGIRRLEGALPPATLREKLPRFLQKCAQEFQDEPRYRDDPRYLRVWIQMMDYVKDAKPLLKKLERNRIGLKRAAFYMAYALYYEKRRRFEDAEKMYRLGIQNLAEPIEELHKSHDQFIRHMESYMKRKEKLQEGMPSRVKPIATCANQVEGPRKNCTELKSNPMQKMGSNSNPSLCRYPPSGPAKVGQLSRGASGDDKNLYRCNSDDTVVARFVGSALVGKSETEDACHHGLVEPTINMKEAMDAINSMFLEPVEPETMLKKRSKRGQPKFNQQTSALQIFVDEEQSNSNDSNILQRSKKSDPKFGQQTSAFDIFVDEDDHSGNNQNMGQNRNSHKENSQRRSGLGVFVDENEPKGMCHKNTRCPPRPLHDSSRQQGAGDFQKPFVGGFAILADDEDEQCEKNEDGIRMNSRVTSGLREDTVVHRFVGSAVADEPKVENACHHGLVDPTVNLKEAMEDINSMFGEPLNYKNDKKQNKKTNALPEKKMAPFSGFSILADDDLGENPDTKVKPSNSCKFGSESGLFEPTITTRDVMSEINDMFGAPLDF* >Brasy5G176900.1.p pacid=40079054 transcript=Brasy5G176900.1 locus=Brasy5G176900 ID=Brasy5G176900.1.v1.1 annot-version=v1.1 MPAMATTAAAAAAVVAVFFVMAAGGNAAAVEHTFVVSQVSMNHLCKDTLVTVVNGQLPGPAIEVTEGDSLAVLVVNDSPYGLTIHWNGVKQRLNCWADGARMITQCPIQPGQNFTYRFNVAGQEGTLWWHARVASLRATVHGVLVIRPRSAAAAASSSYPFPKPHKEIPIIIGEWWEMDLVELDRRMVDGFFHDNPSAAMINGKPGDLYNCAGAPEDNYILDVELGKTYLLRVVNAVLFSEYYLKIAGHRFTVAAADANYVKPYNTDIIVIAPGQTVDVLMVANAPPGRYYMVALANQPPQPDPQIPMLVLRGVVQYNNYYNTSEGENPSSADTTAMAPEMPDQHDTITSFYFHGNLTSLRCLPLPVDVDERLFIVLSLGSISRSGVHGSCGMSEGNGSLLVATMNNVSFEFPVTTSLLEAHYHVNMSNAGTLQELPDRPPSVQLHRPGDDPTGSRGGPARADVEGHRGEEVPAQRHRGDRVPEHGVATERLQPDASPRARLLRPRKWAREL* >Brasy5G176900.3.p pacid=40079055 transcript=Brasy5G176900.3 locus=Brasy5G176900 ID=Brasy5G176900.3.v1.1 annot-version=v1.1 MNHLCKDTLVTVVNGQLPGPAIEVTEGDSLAVLVVNDSPYGLTIHWNGVKQRLNCWADGARMITQCPIQPGQNFTYRFNVAGQEGTLWWHARVASLRATVHGVLVIRPRSAAAAASSSYPFPKPHKEIPIIIGEWWEMDLVELDRRMVDGFFHDNPSAAMINGKPGDLYNCAGAPEDNYILDVELGKTYLLRVVNAVLFSEYYLKIAGHRFTVAAADANYVKPYNTDIIVIAPGQTVDVLMVANAPPGRYYMVALANQPPQPDPQIPMLVLRGVVQYNNYYNTSEGENPSSADTTAMAPEMPDQHDTITSFYFHGNLTSLRCLPLPVDVDERLFIVLSLGSISRSGVHGSCGMSEGNGSLLVATMNNVSFEFPVTTSLLEAHYHVNMSNAGTLQELPDRPPSVQLHRPGDDPTGSRGGPARADVEGHRGEEVPAQRHRGDRVPEHGVATERLQPDASPRARLLRPRKWAREL* >Brasy5G176900.2.p pacid=40079056 transcript=Brasy5G176900.2 locus=Brasy5G176900 ID=Brasy5G176900.2.v1.1 annot-version=v1.1 MDSQSIDQTVGVARRNGVKQRLNCWADGARMITQCPIQPGQNFTYRFNVAGQEGTLWWHARVASLRATVHGVLVIRPRSAAAAASSSYPFPKPHKEIPIIIGEWWEMDLVELDRRMVDGFFHDNPSAAMINGKPGDLYNCAGAPEDNYILDVELGKTYLLRVVNAVLFSEYYLKIAGHRFTVAAADANYVKPYNTDIIVIAPGQTVDVLMVANAPPGRYYMVALANQPPQPDPQIPMLVLRGVVQYNNYYNTSEGENPSSADTTAMAPEMPDQHDTITSFYFHGNLTSLRCLPLPVDVDERLFIVLSLGSISRSGVHGSCGMSEGNGSLLVATMNNVSFEFPVTTSLLEAHYHVNMSNAGTLQELPDRPPSVQLHRPGDDPTGSRGGPARADVEGHRGEEVPAQRHRGDRVPEHGVATERLQPDASPRARLLRPRKWAREL* >Brasy5G176900.4.p pacid=40079057 transcript=Brasy5G176900.4 locus=Brasy5G176900 ID=Brasy5G176900.4.v1.1 annot-version=v1.1 MDSQSIDQTVGVARRNGVKQRLNCWADGARMITQCPIQPGQNFTYRFNVAGQEGTLWWHARVASLRATVHGVLVIRPRSAAAAASSSYPFPKPHKEIPIIIGEWWEMDLVELDRRMVDGFFHDNPSAAMINGKPGDLYNCAGAPEDNYILDVELGKTYLLRVVNAVLFSEYYLKIAGHRFTVAAADANYVKPYNTDIIVIAPGQTVDVLMVANAPPGRYYMVALANQPPQPDPQIPMLVLRGVVQYNNYYNTSEGENPSSADTTAMAPEMPDQHDTITSFYFHGNLTSLRCLPLPVDVDERLFIVLSLGSISRSGVHGSCGMSEGNGSLLVATMNNVSFEFPVTTSLLEAHYHVNMSNAGTLQELPDRPPSVQLHRPGDDPTGSRGGPARADVEGHRGEEVPAQRHRGDRVPEHGVATERLQPDASPRARLLRPRKWAREL* >Brasy5G175900.1.p pacid=40079058 transcript=Brasy5G175900.1 locus=Brasy5G175900 ID=Brasy5G175900.1.v1.1 annot-version=v1.1 MAKAPRATLLLAVSLLAMAALASAHGGSETCPSNLLGIHFTRCVKVLGINLFEINEPYNKEYCCPLIKDLVDFDAAACFCKTLNLPGGVDLIIGARLILNNCGKYCPTDFKCPKPDY* >Brasy5G519900.1.p pacid=40079059 transcript=Brasy5G519900.1 locus=Brasy5G519900 ID=Brasy5G519900.1.v1.1 annot-version=v1.1 MIPSRKFIDSIVKEGSSSNEDIVQEEGIDQPVLPAAAPLLEGVTIAGDTALHVVASHGDDEQFFKCADIIYRRAKHLLFAKNSKGDTPLHCAVRAGKSRMVSHLIGLATSEDDDQETDHRKHKLLREVNGLQETALHDAVRVRDEKMVEKLMELDPELANYPKDHGVSPLYLSILLHEDRIAQTLHRQSKGNLSYSGLNGQNALHIAILRNTVVTKQVLEWNKSLTIERDVDGSTPLHFPSSLFVSFGWYPYFRFQRTTPWVCYSVCPTPLMSILTEVFKSNPAALCQADNKGFFPIHVAASVGATPIIRYFLAKCSNSAGLLDAQGRTFLHVAVEKEMLNIVRFVCRTPSLGWILNMQDNDGNTALHLMIFCILLENREVQLTLPNNCGETSYDLSRSKLPRGMGYDMNSENQIWNALRLVGANYSVLRRDKSNEKYSRRVILEDINRESEKLKDATAMFSVGAVLIATVTFGASFALPGGYRADDHTNGGSPTLAGTFTFDAFMMANTLAFLCSSMATIGFMFSGTSLVSLKTRQYNLEISVVSMASSVTSMSAAFALGVYMLRSVLLFKLILLVRPFCVRKGLFRGMVWILYQIFIVALGALWPFLFIFGWAAFGRIHR* >Brasy5G123100.1.p pacid=40079060 transcript=Brasy5G123100.1 locus=Brasy5G123100 ID=Brasy5G123100.1.v1.1 annot-version=v1.1 MSWSCSWISLLEVLVEIRKTFFSSKEKMASSSAAETAAVETMACARQGRLRQRYEGCYRLVSGCIPYMLKEDEESSCLKDDHVLDRLQVLMISTPKRSDLIFPKGGWEDDESFDEAACREAFEEAGVKGNINVVPLGEWIFKSKSKQGSCGLEGACKGFMFALQVTELLESWPEQITHGRRWVPVEEAYSLCRYDWMREALDKLKEQMLFGSSFGVPPSPELSESSNLYMVVPPSVPHGAVAFC* >Brasy5G420400.1.p pacid=40079061 transcript=Brasy5G420400.1 locus=Brasy5G420400 ID=Brasy5G420400.1.v1.1 annot-version=v1.1 MLLLRSHHHHHLLFHHAARLLVRRAAPVPRAAAARLRSLRMSASNSSASSPAAPSPPPAPLVPKSRIPFCPACGSPTKLAVPDGDEKMRAVCSSCGRIHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVDILAPFAQLDIPLIGQSYIIFRARMKTPNFSPGSESLECALFALDDIPFDSLAFSSIIVTLRMYIEDVRSGNIKFHYCTINKRLGAGPSDLRSFDIDNHLAV* >Brasy5G043300.1.p pacid=40079062 transcript=Brasy5G043300.1 locus=Brasy5G043300 ID=Brasy5G043300.1.v1.1 annot-version=v1.1 MSQHFREATTKAIRADTAGPTEDPRIRAGARQDPVGKPLRCDEGSTSRGERSLWSRRGSAAAGIYGDGRGEKGREQRQAFRTQILAAIDAGICPAEEDVRYAGDGDLLPEVLELRHRLYALIRDGIAARKHATKWLWDCWRRRACHQMERRLIMELACRTKD* >Brasy5G216200.1.p pacid=40079063 transcript=Brasy5G216200.1 locus=Brasy5G216200 ID=Brasy5G216200.1.v1.1 annot-version=v1.1 MLVCSSSFAPSRLSWRPVCVAASAALGCLFLPAGLPLGGGPSGMPLRRAGRFLPSVPTSMHGCWRAIWGLSLGPAPSCVLCSPSGSAAGGESEMVIGSLVEGCPFVGHVAVESVYLQVFGGRVSTFAFRGGPVLVACAGPCRIRRALRGRSPLRVTETRVVGILPLGGVGGETLAAVSIPLVKCVGRLPSCTLFRRRRRLLFSRDTAGVVPCLCLPGFPWFRVAVFCPGLRM* >Brasy5G006500.1.p pacid=40079064 transcript=Brasy5G006500.1 locus=Brasy5G006500 ID=Brasy5G006500.1.v1.1 annot-version=v1.1 MAEAEKDAGNLEAVLKESVDLENIPLEEVFENLRCSRQGLSAQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKILRDGRWTEEDAAILVPGDVVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMFIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYTDGEGKMHRVSKGAPEQILHLAHNTSEIERRVHSVIDKFAERGLRSLAVAYQEVPDGKKESPGGPWHFAGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNTDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAIMTVIFFWAAYKTNFFPRLFHVESLEKTAQDDFQKLASAIYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIITYFPLDIIKFLIRYTLSGKAWDLVIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNHMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV* >Brasy5G185500.1.p pacid=40079065 transcript=Brasy5G185500.1 locus=Brasy5G185500 ID=Brasy5G185500.1.v1.1 annot-version=v1.1 MDQVATLASERAVVVFTTSRCSMCPVVTSLLRELGVNAAVHELDKDPRGREMERELARRLGRGGTSVVPAVFIGGDLVGGTNRVMALHLAGQLVPMLRNAGALWL* >Brasy5G309600.1.p pacid=40079066 transcript=Brasy5G309600.1 locus=Brasy5G309600 ID=Brasy5G309600.1.v1.1 annot-version=v1.1 MLANSRSSASPAAPSFSATSTVEFPSTVPVAEEGEAAVDAAAAGIAVAADARTGVGAGADEETKRRRGGEGEVVRGGEEAGAGPVVGDGAAMAGACALVSPVRSNRVVRVISASQEGKISVGVEDSSESVRSFHHVLPLLPLLAFLALSSATATPSAIRLPRRLACAEPRCRIPRCTTGRATARLRTGGSATPDPLSILHGLPAFLLKLFLWRSRGHLRHELLVSVLNHLRRRLVMSSGLESSQNSRRFCGSSSPVTYRSKKLHDGDPPSPQVLVAHNFLDQSIDGLVQPFILSSAPEID* >Brasy5G309600.3.p pacid=40079067 transcript=Brasy5G309600.3 locus=Brasy5G309600 ID=Brasy5G309600.3.v1.1 annot-version=v1.1 MLANSRSSASPAAPSFSATSTVEFPSTVPVAEEGEAAVDAAAAGIAVAADARTGVGAGADEETKRRRGGEGEVVRGGEEAGAGPVVGDGAAMAGACALVSPVRSNRVVRVISASQEGKISVGVEDSSESVRSFHHVLPLLPLLAFLALSSATATPSAIRLPRRLACAEPRCRIPRCTTGRATARLRTGGSATPDPLSILHGLPAFLLKLFLWRSRGHLRHELLVSVLNHLRRRLVMSSGLESSQNSRRFCGSSSPVTYRAARSRGELPWF* >Brasy5G309600.2.p pacid=40079068 transcript=Brasy5G309600.2 locus=Brasy5G309600 ID=Brasy5G309600.2.v1.1 annot-version=v1.1 MLANSRSSASPAAPSFSATSTVEFPSTVPVAEEGEAAVDAAAAGIAVAADARTGVGAGADEETKRRRGGEGEVVRGGEEAGAGPVVGDGAAMAGACALVSPVRSNRVVRVISASQEGKISVGVEDSSESVRSFHHVLPLLPLLAFLALSSATATPSAIRLPRRLACAEPRCRIPRCTTGRATARLRTGGSATPDPLSILHGLPAFLLKLFLWRSRGHLRHELLVSVLNHLRRRLVMSSGLESSQNSRRFCGSSSPVTYRAARSRGELPWF* >Brasy5G128800.1.p pacid=40079069 transcript=Brasy5G128800.1 locus=Brasy5G128800 ID=Brasy5G128800.1.v1.1 annot-version=v1.1 MGEGGESMMSWLHDLHDGGSSNSSIKNRFHGNLMEKNHHMWEIQLALGWYHTYILTKHSGQPRR* >Brasy5G104000.1.p pacid=40079070 transcript=Brasy5G104000.1 locus=Brasy5G104000 ID=Brasy5G104000.1.v1.1 annot-version=v1.1 MEPHPHAAAWTLVFLSLALLAHAKPGDLNRDSFPKGFVFGTASSAYQVEGNALKYGRGPCIWDTFLKYPGATPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLINYMLANKITPYVVLYHYDLPEVLNNQYNGWLSPRVVSDFANFADFCFKTYGDRVKNWFTINEPRMMASHGYGDGFFAPGRCTGCHLGGNSATEPYITGHHLLLSHAAAVKIYRDKYQAHQKGRVGILLDFVWYEPYNYTIEDEYAAHRAREFTLGWFLHPITYGHYPETMQKIVGDRLPSFSPEQTALVQGSADYIGINHYTSYYVKHYVNLTHMSYANDWQAKISYDRNGVLIGKRAFSNWLYVVPWGFYKAVMHVKDKFRNPVIVIGENGIDQAGTDTLPHALYDHFRIDYFDQYLHELKRAIDDGARVVGYFAWSLLDNFEWRMGFTSKFGLVYVDRKTFTRYPKDSTRWFRKMIKNEE* >Brasy5G245400.1.p pacid=40079071 transcript=Brasy5G245400.1 locus=Brasy5G245400 ID=Brasy5G245400.1.v1.1 annot-version=v1.1 MSMAEAVGSTSGGSGSEDSVADGGARAKPLAIYSDVLERLVAGGHAEASRSGSLLALQAHFAQLPISYHQYVDAGKAEYVLIHQKVLAEAKADRSRPAFHAHYLRFEALYVDMATTSGALEQGEDAGDALLTRYALH* >Brasy5G291800.1.p pacid=40079072 transcript=Brasy5G291800.1 locus=Brasy5G291800 ID=Brasy5G291800.1.v1.1 annot-version=v1.1 MGEQRELNPRMADSRSTALIHLATSPSYPATRFSLFFPYHYYIYSDFHTSI* >Brasy5G424300.1.p pacid=40079073 transcript=Brasy5G424300.1 locus=Brasy5G424300 ID=Brasy5G424300.1.v1.1 annot-version=v1.1 MDFPAAGGRRTSYSLLSQFPDDASASSAAATAAVLQRQSSGGSSYGAGSSISASSDYPFHLPPPAATAAATGGTPSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDSGGNNNSGSGSGRRAFPLAPPPPTAEALSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDVHEENRIPSVESLKSVCPDDSSIQVVLIDRRADFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEENLLPRWKESSEAIKSSAGSIVLHLGKLPIGLCKHRSLLFKMLADKVNVPCRLVKGCKYCKADDASSCVVRFGLEREFVVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDASTGASSGAAVAVDQMFSKKHDAVDGWMPVKGQATTKPDIILPEAPREVLPLMSSNLTADKKKEFQLIEGNQYLRSTVSDLSLAVDDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDYHLDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPQVAALIESCWANEPWRRPSFANIMETLRPLINKVSVPQFLRSDS* >Brasy5G424300.3.p pacid=40079074 transcript=Brasy5G424300.3 locus=Brasy5G424300 ID=Brasy5G424300.3.v1.1 annot-version=v1.1 MDFPAAGGRRTSYSLLSQFPDDASASSAAATAAVLQRQSSGGSSYGAGSSISASSDYPFHLPPPAATAAATGGTPSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDSGGNNNSGSGSGRRAFPLAPPPPTAEALSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDVHEENRIPSVESLKSVCPDDSSIQVVLIDRRADFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEENLLPRWKESSEAIKSSAGSIVLHLGKLPIGLCKHRSLLFKMLADKVNVPCRLVKGCKYCKADDASSCVVRFGLEREFVVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFSDASTGASSGAAVAVDQMFSKKHDAVDGWMPVKGQATTKPDIILPEAPREVLPLMSSNLTADKKKEFQLIEGNQYLRSTVSDLSLAVDDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDYHLDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPQVAALIESCWANEPWRRPSFANIMETLRPLINKVSVPQFLRSDS* >Brasy5G424300.2.p pacid=40079075 transcript=Brasy5G424300.2 locus=Brasy5G424300 ID=Brasy5G424300.2.v1.1 annot-version=v1.1 MDFPAAGGRRTSYSLLSQFPDDASASSAAATAAVLQRQSSGGSSYGAGSSISASSDYPFHLPPPAATAAATGGTPSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDSGGNNNSGSGSGRRAFPLAPPPPTAEALSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDVHEENRIPSVESLKSVCPDDSSIQVVLIDRRADFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEENLLPRWKESSEAIKSSAGSIVLHLGKLPIGLCKHRSLLFKMLADKVNVPCRLVKGCKYCKADDASSCVVRFGLEREFVVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDASTGASSGAAVAVDQMFSKKHDAVDGWMPVKGQATTKPDIILPEAPREVLPLMSSNLTADKKKEFQLIEGNQYLRSTVSDLSLAVDDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDYHLDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTVVAAVGFKGRRLEIPKDLNPQVAALIESCWANEPWRRPSFANIMETLRPLINKVSVPQFLRSDS* >Brasy5G047800.1.p pacid=40079076 transcript=Brasy5G047800.1 locus=Brasy5G047800 ID=Brasy5G047800.1.v1.1 annot-version=v1.1 MKNTFFLLALVQALLPFHNSTGQDPHAHGDNASSEQQCYQEPMKLDSCKDYVTERCTLPHEIPFTKPWKWGKGSCEEVKSQCCQELEKELSQCRCKAVWKTIEGELGGLWGVPQDQVKKVLQAAKSLPSQCNMGAGCDLPVANGYYYY* >Brasy5G074000.1.p pacid=40079077 transcript=Brasy5G074000.1 locus=Brasy5G074000 ID=Brasy5G074000.1.v1.1 annot-version=v1.1 MAGTTSSLLEAASGDGYTQDGTTDLRGGPILRSKRGGWRACSFVLVYEVFERMAHYGISSNLVLYLTKELHQGTVQSANNVTNWAGTSMLTPIVGAYIADAHLGRYRTFMVFSMIYLIGMSLLTMAVSLPSLKPPKCGLGTPDLSCEHKASSLQLGVFFLALYILAMGTGGTKPNISTIGADQFDKNEPRECRHKLSFFNWWMFSIFVGALFGNTVLVYIQDKIGWTVGYALPTVGLAVSITIFTAGTPFYRHKPTSESSFAKMAGVIVSAVRKCTLAAPVDPSDLHELDPEHYAKKKTTPLTYTPNLSILSKAAVRTGGSVSRWSLSTITQVEETKQMLKMLPVLAITFVPSAMLAQANTLFVKQGTTLERHVGTHGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKTPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARSNGIFESKGTTIPLSIFMLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTQRHGTGGWIQNNLNASRLDLYYAFFAVLNCANLVLFFVVCRMYVYNVEIAHDDDGGGEKQQRELVAIQPFAVVGASDM* >Brasy5G074000.2.p pacid=40079078 transcript=Brasy5G074000.2 locus=Brasy5G074000 ID=Brasy5G074000.2.v1.1 annot-version=v1.1 MAGTTSSLLEAASGDGYTQDGTTDLRGGPILRSKRGGWRACSFVLVYEVFERMAHYGISSNLVLYLTKELHQGTVQSANNVTNWAGTSMLTPIVGAYIADAHLGRYRTFMVFSMIYLIGMSLLTMAVSLPSLKPPKCGLGTPDLSCEHKASSLQLGVFFLALYILAMGTGGTKPNISTIGADQFDKNEPRECRHKLSFFNWWMFSIFVGALFGNTVLVYIQDKIGWTVGYALPTVGLAVSITIFTAGTPFYRHKPTSESSFAKMAGVIVSAVRKCTLAAPVDPSDLHELDPEHYAKKKTTPLTYTPNLSILSKAAVRTGGSVSRWSLSTITQVEETKQMLKMLPVLAITFVPSAMLAQANTLFVKQGTTLERHVGTHGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKTPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARSNGIFESKGTTIPLSIFMLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTQRHGTGGMYVYNVEIAHDDDGGGEKQQRELVAIQPFAVVGASDM* >Brasy5G074000.3.p pacid=40079079 transcript=Brasy5G074000.3 locus=Brasy5G074000 ID=Brasy5G074000.3.v1.1 annot-version=v1.1 MSLLTMAVSLPSLKPPKCGLGTPDLSCEHKASSLQLGVFFLALYILAMGTGGTKPNISTIGADQFDKNEPRECRHKLSFFNWWMFSIFVGALFGNTVLVYIQDKIGWTVGYALPTVGLAVSITIFTAGTPFYRHKPTSESSFAKMAGVIVSAVRKCTLAAPVDPSDLHELDPEHYAKKKTTPLTYTPNLSILSKAAVRTGGSVSRWSLSTITQVEETKQMLKMLPVLAITFVPSAMLAQANTLFVKQGTTLERHVGTHGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKTPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARSNGIFESKGTTIPLSIFMLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTQRHGTGGWIQNNLNASRLDLYYAFFAVLNCANLVLFFVVCRMYVYNVEIAHDDDGGGEKQQRELVAIQPFAVVGASDM* >Brasy5G074000.4.p pacid=40079080 transcript=Brasy5G074000.4 locus=Brasy5G074000 ID=Brasy5G074000.4.v1.1 annot-version=v1.1 MSLLTMAVSLPSLKPPKCGLGTPDLSCEHKASSLQLGVFFLALYILAMGTGGTKPNISTIGADQFDKNEPRECRHKLSFFNWWMFSIFVGALFGNTVLVYIQDKIGWTVGYALPTVGLAVSITIFTAGTPFYRHKPTSESSFAKMAGVIVSAVRKCTLAAPVDPSDLHELDPEHYAKKKTTPLTYTPNLSILSKAAVRTGGSVSRWSLSTITQVEETKQMLKMLPVLAITFVPSAMLAQANTLFVKQGTTLERHVGTHGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKTPRGISLLQRMGVGLVFHIVIMAIASVTERHRLDVARSNGIFESKGTTIPLSIFMLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSALLSTVSHLTQRHGTGGMYVYNVEIAHDDDGGGEKQQRELVAIQPFAVVGASDM* >Brasy5G251400.1.p pacid=40079081 transcript=Brasy5G251400.1 locus=Brasy5G251400 ID=Brasy5G251400.1.v1.1 annot-version=v1.1 MGMFALNRLMSLQRRRLQIRARGGLNTSRGKRKGSPCQQDDDGKSQAVKIMRSSIPDLPEEILFHIHCLMPMRDAARAACASRAFLHSWRCHPNLIFNKDTIGFKINGRGENFHRKIGRILRKHSGIGLKTFNLDYSCMCGFDGARYFDSWLQIALKPGIEKLTLWLPTTTKIYNFPCSLLSDGVRNSLQYLKLHNVALHPTVELGPLRSLTSLHLSEVRITWDELECLLCNSLALEQLELVCCAEIICLKIPCSLQRLSSLSVSSCYRLQVIESKARNLSSLCLSRHMLNFSHVETLQVKKLYMDYPNFIGDARGKLPSSMPNLETLVIKSLSEVVDAPMLPTKFLYLKQLTIRLLLSPVSRPYDCCSLVSFLDASPSLETLVLAVYQPHMLYQSVFEDSQLRHMPARHHGCLRSVKISGFSSAKCLVELACYILNNAVSLECLTLDTMYGYRCGEGKQKRCFTLEAALFKEAHRALLAVRTYIENKVPSTVKLTVLEPCSQCHASAMFMS* >Brasy5G116000.1.p pacid=40079082 transcript=Brasy5G116000.1 locus=Brasy5G116000 ID=Brasy5G116000.1.v1.1 annot-version=v1.1 MRVTVTPKDEERLVVLMTRERPRSAVLAPGGDLVSANGGGETSDGDSSESLEEITAADFKKESSGGGAAGTAASAAAQRSRVWMGYTMSRSYAPAFHSFAWAQAVQNKPLVPPPAADEDEVEHIVDTSDEEKEEGEIEEGEAVDTSFPSPHAQPETIDLDSDVPEKSESMAVEGSNTAAVAVEEEVDFDQRVGSILEELEMVSIEEAEKSFEGACERLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYAMPKRVQNKEMLLKLLFHLRNRYSDMLTPNQRVELDSRVRQLAFVDGEGNTDGPNASCSTNSTNVVVPTGQVPSERLPFESGATNPFSGSSLPWLETQAKNRMVSPLLDLHADHDENSLPSPTRDNAPQFSVPKPIGFGAFPMGPDRSLTERAEPSKKNLYPSVNDSLDVSSYKQKYSQKSNFANDDLPSPTPSGDGDKSEDKDGDMFGEISSFSSSNKTALPSVSQIPASRPSTVSSSNGSFSGPPGYAKKIEQSVSGPNLALKPSAKSRDPRLRYLNRDPGDANRYMNFAEPNASLGGTLGKHKAVGQPLMDENMVKRARGSIGNPRDLQVPPGRDGSNISFYPSDRVQSNQNTRLDTKTTGNPNLRSDSQLLSNVSSITNSSVTSTKTLNAGQPNSVPQTSAAPIVSLPAVLKDIAVNPTVLMHWIQMEQQKRSASEPQQTVNTLGGISSGTISNVTAGMVIPPGNALKTAEAAQIPSIRPQCPTQTAPVISQIDAGVVRMKPRDPRRILHNNTSQKNDTTNSEQARSNGIVLPDSQDSKDNLINREQQAEQLQTGALPSQPVSLSNIARPSTMSASMVDPVSNSQLAASSLMAPQQTSGSINRADPRLAPGQNDPNADAATNASPAITLGAAPPANQWGDLDDLLSGYDDQQKALIQKERARRIMEQQKMFSARKLCLVLDLDHTLLNSAKFLEVDPIHEEILRKKEEQDRERPERHLFRLHHMSMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGALFEGRVISRGGDGTSRGGDGDSFDSDDRVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKNNMIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIGRIHQNFFSHPNLNDADVRSILASEQRRILAGCRIVFSRIFPVGEANPHLHPLWQSAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALQTGRFVVHPGWVEASALLYRRASEHDFAVK* >Brasy5G383700.1.p pacid=40079083 transcript=Brasy5G383700.1 locus=Brasy5G383700 ID=Brasy5G383700.1.v1.1 annot-version=v1.1 MVSVASSSRPPPPPQSTPRFLDLLQQARRRARRRPPCNRRLRPQGRLPPRPRRQVRLRGPLRARHRRHGRGVLLAKLTEVKGATSPASVALFFAPRPRQRRPQGSSSLLRPHRAPRPPALPIPHTHGG* >Brasy5G370100.1.p pacid=40079084 transcript=Brasy5G370100.1 locus=Brasy5G370100 ID=Brasy5G370100.1.v1.1 annot-version=v1.1 MAPMVLLIGLGLGLALLLLLLAPSTTSAASCAAGGLSAGSRTYAACSDLPRLGASLRWTYDRATGDLSVSFAAAPAGPGGWVAWGLNPSGSGMAGTQALLATPSASSGSAQWAVNTYNISAYALPGPGPIAFPASDLAAQLGADGKVTVSATLKVGPGAGVLNQVWQVGSSVSGGTPAPHAMGGDNLGAKAKLDLLRQTTAAASDSSSGDSLATKRNIHGVLNAVGWGILLPMGAIFARYLKAFRSADPAWFYLHVACQLTGYAVGVSGWATGINLGNESVGVTYALHRNIGIAAFALATLQIFALFVRPKKEHKYRVYWNMYHHSVGYAVIILGITNIFKGMAILGVEQRWRTAYVAAVLVLGVAAATLEIVTWSVVVSRRKAESKTFSSASNGHPI* >Brasy5G370100.2.p pacid=40079085 transcript=Brasy5G370100.2 locus=Brasy5G370100 ID=Brasy5G370100.2.v1.1 annot-version=v1.1 MAPMVLLIGLGLGLALLLLLLAPSTTSALGADGKVTVSATLKVGPGAGVLNQVWQVGSSVSGGTPAPHAMGGDNLGAKAKLDLLRQTTAAASDSSSGDSLATKRNIHGVLNAVGWGILLPMGAIFARYLKAFRSADPAWFYLHVACQLTGYAVGVSGWATGINLGNESVGVTYALHRNIGIAAFALATLQIFALFVRPKKEHKYRVYWNMYHHSVGYAVIILGITNIFKGMAILGVEQRWRTAYVAAVLVLGVAAATLEIVTWSVVVSRRKAESKTFSSASNGHPI* >Brasy5G335000.1.p pacid=40079086 transcript=Brasy5G335000.1 locus=Brasy5G335000 ID=Brasy5G335000.1.v1.1 annot-version=v1.1 MESKAGKKSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS* >Brasy5G514300.1.p pacid=40079087 transcript=Brasy5G514300.1 locus=Brasy5G514300 ID=Brasy5G514300.1.v1.1 annot-version=v1.1 MVAIRVPRSMRAKREVLKHAPKLVENGKKMLVLHGTKTSAVLNSVLADIFHLKRDHAVKYTKKNDNIRPFESGGETSLEFFSLKSDCSLLVYGSHSKKKPNNLVLGRTYDHHIYDLIEVGVENYKSIESYAYDKKLAPKLGTKPFFAFIGEHFESVEKLKHLKEMLLDHFKGEVVENLNLAGVGRIFVCTAISPTTVCMMHCALRLKRSGTSIPRMELVEVGPSMDLVVKRNQSPAESLRKEAMKTPGHAKKVKNVTKDVLDGKTGRIYIPDQEVAKLALTNDIKGLKRERREAKKNKEHSKKQRVNPE* >Brasy5G044500.1.p pacid=40079088 transcript=Brasy5G044500.1 locus=Brasy5G044500 ID=Brasy5G044500.1.v1.1 annot-version=v1.1 MRGEKSKGPGLVLLTVRWVPRVVILAAQLFLLLQVEAFLSSAATLPPPLPLPTETPPARQSRRPLPPPPPPPGPSSPIMNLVQGSVHIPPPRPAITTPIPTRTRALAVPRWLHCTHQKGVARDRGGEGGRRAAQRRTG* >Brasy5G435600.1.p pacid=40079089 transcript=Brasy5G435600.1 locus=Brasy5G435600 ID=Brasy5G435600.1.v1.1 annot-version=v1.1 MATKVRPPITDAEKLEVGEIDTRAPFESVKAAVSLFGEVRVSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKARKTVDELTTKLDAISKSKELAIQATEDAKARTKQLERGSSNEAVGKDGSLKQELDSARQQYATALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHSTEANKEKAAQLHNEAATIQESLLHVKAATQQANEEESQILAEKDVARKTYKQALEETEKKLSILRNEFDPAAYKTLKEKLDETNSEILSMQKKIEDARALDLESVAAVTTELDDAKEMLQKVAEEESSLRSLVEALKLELEAVKQEHSQLKEKDTDTESIVGDLHVKLQKCKSELEAAVSAESEAATASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEAARVALTEAEEKLQSALKEAEEAKAAEAKALEQIKQLSDRANAVRASTSESGGKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKMETARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREREQKKTAESLPSSEAQAHASTQPPVYKASSGKPTEKNDGQQRSGKTLLRKSFVLPNITSMFHKKKNQADGSSPS* >Brasy5G435600.2.p pacid=40079090 transcript=Brasy5G435600.2 locus=Brasy5G435600 ID=Brasy5G435600.2.v1.1 annot-version=v1.1 MATKVRPPITDAEKLEVGEIDTRAPFESVKAAVSLFGEVRVSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLNNAETTRVQALSELEKARKTVDELTTKLDAISKSKELAIQATEDAKARTKQLERGSSNEAVGKDGSLKQELDSARQQYATALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHSTEANKEKAAQLHNEAATIQESLLHVKAATQQANEEESQILAEKDVARKTYKQALEETEKKLSILRNEFDPAAYKTLKEKLDETNSEILSMQKKIEDARALDLESVAAVTTELDDAKEMLQKVAEEESSLRSLVEALKLELEAVKQEHSQLKEKDTDTESIVGDLHVKLQKCKSELEAAVSAESEAATASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEAARVALTEAEEKLQSALKEAEEAKAAEAKALEQIKQLSDRANAVRASTSESGGKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKMETARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREREQKKTAESLPSSEAQAHASTQPPVYKASSGKPTEKNDGQQRSGKTLLRKSFVLPNITSMFHKKKNQADGSSPS* >Brasy5G288600.1.p pacid=40079091 transcript=Brasy5G288600.1 locus=Brasy5G288600 ID=Brasy5G288600.1.v1.1 annot-version=v1.1 MPKLEPWSVSDGIVGSSSLETFAASNASITGAFPAVLANLTSLRSLRLSYNKLTGGLPAALAELIALDSLQLNNQQSDGKLSGPIDVIAAMTNLKVLWIQSNQFTGPIPDLSKSQLETFNVRDNMLTGVIPASLTGIKTLKNVSLTNNQFQGPMPEFKGVDVELSPDTQSRFCQPKPGPCDPLVTILLEVAAGFGYPYELARTWEGNAPCSSTWIGIVCSAGKDLIIVNLPKRNLSGTISPAFAKLTGLQKLDLSDNHLTGEIPEDLTTIPNLNLFDVTNNNLSGELPTFKPSVKVLAEGNRFGESGFLPSSLAGAHKNVGMIIGILIAVVLLVACVLLLVRHLRRKNSEKFGPISTKGSPDESEMMKIQVVGINNNGDSAVQTELYSQVSSGSTNIAHMFESHGMQLSMEVLLKATNNFSEDCILGKGGFGVVYKGNLDGKLVAVKRCDSGVMGTKGQQEFMAEIDVLRKVRHRHLVGLLGYCTHGYERLLVYEYMSGGTLREHLCDLQKSGYTPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLANDTDKSMQTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMLAGRKALDDSLPEDETNLVTIFRKSMLDKEKFRKFVDSTMELSAEAWKSLLEVADLARHCTAREPNQRPDMSHCVNRLSSLLDEWKPTDIDDDDDDECETSQMGLNQQLEKWRCDDFTISDSSDTFSMSRKYN* >Brasy5G203800.1.p pacid=40079092 transcript=Brasy5G203800.1 locus=Brasy5G203800 ID=Brasy5G203800.1.v1.1 annot-version=v1.1 MTVCANRSASNAPPSAPVMSQHCVHMMYQSPPPVATNPPSMASQASRITTKDSPPHQSDPSPAPAEEPPPPPADGLVFTGNQSCIEIRGNRDGDNIKNTSPTMPVPPNKFHLL* >Brasy5G012700.1.p pacid=40079093 transcript=Brasy5G012700.1 locus=Brasy5G012700 ID=Brasy5G012700.1.v1.1 annot-version=v1.1 MARRKSCGGAEQRAARSRAGASSGRICGDGARAAARMCRGGGAARRASVRRRGERARVQRRRLGRRGVSLACAAATDGQVLLMSCVCLKKCLTGMLLTRIDMRVFFSGSPE* >Brasy5G359900.1.p pacid=40079094 transcript=Brasy5G359900.1 locus=Brasy5G359900 ID=Brasy5G359900.1.v1.1 annot-version=v1.1 MELKGAIFTTAVLATLLCSPALAQKSPPAPASVALPPTMAPAPAPAPDYVDLAALLGVAGPFHTFLSYLQKTNVIETFQRQANKTKEGITIFVPKDSAFAALKKSTFSNLTSDQLKTLLLYHAFPEFYSLAQFRNLSVLNPVNTFAGAPYTLNLTDDMGTISVKSMWSKPTISSSVYATDPVAVYSLNKVLLPMQIFTKDPPLAPAPAPAPESGASDLAPSPGGKAGAGKGKADSTSAAYGIGVGAVNCLLAAGALMMLLW* >Brasy5G524500.1.p pacid=40079095 transcript=Brasy5G524500.1 locus=Brasy5G524500 ID=Brasy5G524500.1.v1.1 annot-version=v1.1 MGMAATSYYKENVSAMADAPCSTTSRSSMAGRRWRSSMASGLRAALACTIVGVVSVYAPPALIRHITFPAFSYVVTVIIVTDDATVGAALRAVASAAHATAMGAVPSVLALWLAQRMGGSASSSVLGTSALVALSAFAVAVPESPGPVAKRIALGQIIIIYVAKFRQLPTTSSNGGLGVVVQHPANVVACTALGVAAALLAVLLPWPRLATTEVEEKSVAYMDNAAERVRLLVDAFLLRAMEDAAAAAAAEEEEEDEEEVTSRRRRRRWCVAACMSEAHRLASASAALLRRITSVKGDLQWERAVRLGAGTMPAADQQERIEMPIKGMEIALTSTNDLPRPADQTMINLSCLEQMRDQIRLSLLTTATTHHIKTMNNYNNKSSPAASTTMISLQFGAAERHELELSPFLFLFSMHLLRHPCTLLLSHSHLPDSTNKVITAAQGSDQDDNLSEEQEEDEQGSEPDEEEEEEEDEMSKDKEEKKKKKSPISRMLLAARCGRLKMASKCAVSLGLAVLLGLLFNNDHGFWSGLIVATTMAPAARGSTWAVAVARAHGTAVGSVYGVLACLLSQQRHLMELRFLALLPWIVLATFLKRSRAYGPAGGVAAALSGIIIVGRRYDEPPMAFTISRLVETFIGLSCTVATDLAFQPKATPSARARTQLARCFAALRDCLAGLMPSSRKNHQHKMLLEQVALLGKYAAEAGGEPNFLWTAPFPASCYAKVHGSLSRMAQLLGLYLHAQAIIVDNTSYGSQLLAGTDVKRFHSRLSASLPELESNDEVDLEAGNGMWSDMAVVVKSFIGHAREALLQAEEEEQHQQLDAYCLGSIGFCMGEMMKEARQLEALMLDLSLQLQPTN* >Brasy5G471400.1.p pacid=40079096 transcript=Brasy5G471400.1 locus=Brasy5G471400 ID=Brasy5G471400.1.v1.1 annot-version=v1.1 MGWLTKIFRGSTYKISEGQRQSRPAEEAVWNEPSSSTVVTDVLSEFDNEDIDRAIALSLSEEQRKSKGTGKDLHLDEDEQLARAIHESLNVESPPRARDNGSPPRARENSSHLRARENGIANGGNSIQHSPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFCCHACSQPIYDYEFSMSGNRPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTTECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMTGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPEIEEGICQVLAHMWIESEIMAGSSSNAASTSSSSSSPTSSKKGGRSQFERKLGDFFKHQIESDTSVAYGNGFRSGNQAVLQYGLKRTLEHIWLTGTWPF* >Brasy5G471400.2.p pacid=40079097 transcript=Brasy5G471400.2 locus=Brasy5G471400 ID=Brasy5G471400.2.v1.1 annot-version=v1.1 MGWLTKIFRGSTYKISEGQRQSRPAEEAVWNEPSSSTVVTDVLSEFDNEDIDRAIALSLSEEQRKSKGTGKDLHLDEDEQLARAIHESLNVESPPRARDNGSPPRARENSSHLRARENGIANGGNSIQHSPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFCCHACSQPIYDYEFSMSGNRPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMTGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPEIEEGICQVLAHMWIESEIMAGSSSNAASTSSSSSSPTSSKKGGRSQFERKLGDFFKHQIESDTSVAYGNGFRSGNQAVLQYGLKRTLEHIWLTGTWPF* >Brasy5G216600.1.p pacid=40079098 transcript=Brasy5G216600.1 locus=Brasy5G216600 ID=Brasy5G216600.1.v1.1 annot-version=v1.1 MAGLLSQREMQCHDWEQVLDDYKWSMSKMPEDLNNAVYLSYEDMPPYLKQCFLYYSLLPKSKYFDVFQVVAMRIAEGFIPESSGDLEEKGRSYYKELISRNLIEPTRSYFSQFYCSMHDVVRSCAHYIAREEALEAQNGEVDILIKLKSQKFLRLSIETGGLQSRELDWKSVQEQQAVRTLISTVQIKMKPAGSGSLVNFSSLRTLHIECADVAALVESLHKLKHLRYLTLVNTDISVLPGNISKMKLLQFLDLIGCVNLVNLPDSIVKLGKLRFLCLPEVSMVPRGLCSLTNMRRLLGYRAHMDGDWCSLDELGPLSQLRLLGLLQLENVSTASSAAKARLGEKMHLTELFLSCTIKLGHDRSAKHKEVVSEEQQRVKKVFDELCPPPCVECLDISGYCGQQLPSWMMSTPTVPLHVNLKTLIVTDLACCTQLPSGTWVVSAPLSAVSSGRPCSMHHECWN* >Brasy5G266000.1.p pacid=40079099 transcript=Brasy5G266000.1 locus=Brasy5G266000 ID=Brasy5G266000.1.v1.1 annot-version=v1.1 MASSSALKIAAVLMLALFAGQLLMATPAAGLGKRRSLLAVPYNYSDCLAVGGKCNLLCFEGCYEKNINVLGHELCVSHCLKICIPIKY* >Brasy5G409900.1.p pacid=40079100 transcript=Brasy5G409900.1 locus=Brasy5G409900 ID=Brasy5G409900.1.v1.1 annot-version=v1.1 MDRYQRVEKPREEVPIKENEIRITTQGRMRNYITYATTLFQDKGCDEVVFKAMGRAINKTVMIAELIKRRIVGLHQDTTTGSTDITDTWEPLEEGLQTLETTRHVSMITITLSKKKLDTSSVGYQSPLPADKVKPFVEYDNEEDVHSPPGRGRGRGGRGRGRGLGRGRGARGNGYMEFADGGWEDDHAPMHPGNGYGDHAPAYAGNGYARGRGRGFRGRGRRGGYGGQPDYQQDGGYYDEAPAPAPFRGGGHGFRGRGRRGGYAVQPDYQQDGGYYEEAPLPALAGGRGRGRGRGRGPARGRGRGGNANGMVYAATAGA* >Brasy5G409900.2.p pacid=40079101 transcript=Brasy5G409900.2 locus=Brasy5G409900 ID=Brasy5G409900.2.v1.1 annot-version=v1.1 MDRYQRVEKPREEVPIKENEIRITTQGRMRNYITYATTLFQDKGCDEVVFKAMGRAINKTVMIAELIKRRIVGLHQDTTTGSTDITDTWEPLEEGLQTLETTRHVSMITITLSKKKLDTSSVGYQSPLPADKVKPFVEYDNEEGNGYMEFADGGWEDDHAPMHPGNGYGDHAPAYAGNGYARGRGRGFRGRGRRGGYGGQPDYQQDGGYYDEAPAPAPFRGGGHGFRGRGRRGGYAVQPDYQQDGGYYEEAPLPALAGGRGRGRGRGRGPARGRGRGGNANGMVYAATAGA* >Brasy5G071000.1.p pacid=40079102 transcript=Brasy5G071000.1 locus=Brasy5G071000 ID=Brasy5G071000.1.v1.1 annot-version=v1.1 MASAAPEVEKKEEGVEQEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAALIDRIARLTGRTPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDAFKVPLVIQLTDDEKFFWKNLTVEETKRLARENAKDIIACGFDFERTFIFSDFNFVGGAFYENMAKVARCVTYNKAVGIFGFASEDHIGKISFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSTKEIKTKVNRYAFSGGQDSIELHRKLGANLEVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVKQRLVTVLSEMVARHQRARAQVTEEMVDAFMAVRPLPNMFG* >Brasy5G403700.1.p pacid=40079103 transcript=Brasy5G403700.1 locus=Brasy5G403700 ID=Brasy5G403700.1.v1.1 annot-version=v1.1 MDVDSEPSAESKPTQMDLEDQTDVKGKGKADATEEEGNGKGEELADSIGSLSIGPGRTNFKKKPVIILVIGMAGTGKTTFMHRLVCHAQASSNMRGYVINLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSTSPVTFMSNMMYACSILYKTRLPLVLTFNKVDVAKHEFALEWMQDFEAFQTALDSDSSYSSSFTRSLSLVLDEFYNNLRSVGVSAVSGTGVDAFFEAIEASANEYMENYRADLDKRIAEKERLEAERRNENMERLRRDMEKSKGQTVVLSTGLKDKIPASEMMGDADEEEQEEALEDFRISEDDDEDEDVDDDAF* >Brasy5G233900.1.p pacid=40079104 transcript=Brasy5G233900.1 locus=Brasy5G233900 ID=Brasy5G233900.1.v1.1 annot-version=v1.1 MAQRRRSDALPCLWLLLLSLVLTTGLPRGGAVGLKLPFSPGDVLPILPRQVAWPVMNSLHSAVDLLPSFVAAVAPGDLSPAAWNGSCFAVNEAALELTPGDRNGSDIGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEISSWEEAAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGATFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEKGDLWVAESGFENEKGEEVIAIVPWDEWWEMALKDGSNPQIALLPLHPDIRSRFNESAAWEFARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEDLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVSFILAMYKEAGVFAPFTESIQVTEFTIRDAYMLKIFENDQARLPSWCQTEADKPTFCQILGEYKMELPEYNTIEPYAKMNENCPSLPPTYKRPSRC* >Brasy5G037900.1.p pacid=40079105 transcript=Brasy5G037900.1 locus=Brasy5G037900 ID=Brasy5G037900.1.v1.1 annot-version=v1.1 MGNSPSPKKRKLAAAMIHEVPMSPQATEAADLITHNQQPPTPAGTDRISNLPDAILGDIISFLPTNEGARTEALASRWRGLWRSSAAPLNLDCGDLPCDERVLDIVSRVVSNHPGPGRRFCVPSLVLGDRPDAVDAWLRSPALGNLQELEFWYRPYRRPEPLQQPPPSSMFRFSATLRVLTIGNCSLPDETVQGLHFPLLQQLGLELVSVSECSLHSLIAGCPALDCLLINHGYGFRCLRINSLTLRTVCVKNFRQVNDQLKELIVENAPCLERLLHLDFDYGLHVSVLSAPKLETLGYLTDGAYISKQDHLSRFEFGTTVIQGLRVDSLTTVVRTVKILAINMKTLSLDIVIELLRCFPCLEKLYIEAESMRVKNEWRVKHRSLIKCLDIHLKTIVFQGYQSIKSDVDFATFFVLNARVLESMTFQIGARDCNEEFFAEQRRKLQIENRASRGAQFHFTAGRCARSVWDIHHVRDLDLTDPFLC* >Brasy5G198500.1.p pacid=40079106 transcript=Brasy5G198500.1 locus=Brasy5G198500 ID=Brasy5G198500.1.v1.1 annot-version=v1.1 MPPRGRGSRTGGGGRSGSGPWDSKPAAGLRRIMPERQSCVEVISGSKSEASEGASQSVPRSVSSSSSSSSFYSSLLPTEVGRDSDSDCDSEYAFFVNNEFRISSDNGDSDDGDGQIGVFQLQEEGKKLFSLAESLPCHGLMLLLFETPSGFALSTFYGLYCYLDSAVDYLWAHFGDPVRASMVVYQKGFLSFEDKSSAINADTGIDNQLVALINKWHHPGMNLCVGKPEYKAIIESVLKIPCMYCQTGLEIMWGIQQQMHTLVCLEKSKLTKEDRLPTSQGLMKFLINCGFDVKPEMVNEKIFLTACALFHCDADDKRNLGLLRDAGLHIRDISGIACEDWDILKLAIAVKVICCPKKELTDFHEVLADDVVSKLKGDAHKYKGVAVKVNWLNTYHAVVSNHRLRIVKKKMLGSLVRIAKEADEAEQAEVCEKVKLHGESKQIAQDHVTSVSNPTTLVGDPTILVGETVRRSPSLKRKLYENSAEGTPHKSMYNSRCLARCLEKLTGFFKHWY* >Brasy5G450600.1.p pacid=40079107 transcript=Brasy5G450600.1 locus=Brasy5G450600 ID=Brasy5G450600.1.v1.1 annot-version=v1.1 MDDLLTTAADDTGKLVGRAQGTYCSASRDSFTLLMDVTLVFTAGEYNGSSLGIVGRNDVRADVRELPVVGGTGVFRWARGYAQLSTSKFDLKSGDSTIEYNIFVRH* >Brasy5G002800.1.p pacid=40079108 transcript=Brasy5G002800.1 locus=Brasy5G002800 ID=Brasy5G002800.1.v1.1 annot-version=v1.1 MGEAAAAAAEDIPKSAVVAPAPRPRKGVASWAVDLLERVAVRLGHDKTKPLHWLSGNFAPVVDETPPAPDLPVRGQLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQELRKKLKVLDATYGIGTANTALVYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDKNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGHQNEKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCTMLDSIAKVTGIIKFDLHAEPESGKKQLEVGGNVTGIYDLGPGRYGSEAVFVPKEPGISGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFVNEEQLGRQAEE* >Brasy5G122300.1.p pacid=40079109 transcript=Brasy5G122300.1 locus=Brasy5G122300 ID=Brasy5G122300.1.v1.1 annot-version=v1.1 MPCPLLCPSPVSSAVPNHLLCTLHRLLSPSASRLAAAPLLPSPPHTAPPPLDILSTSTTHHLSLHPLHRHLSSPPHLPLLLTSPPRPWISTLCTSSPPPTAIVSRPARRRARGAGACDGGSGNSYILEVVTRV* >Brasy5G345600.1.p pacid=40079110 transcript=Brasy5G345600.1 locus=Brasy5G345600 ID=Brasy5G345600.1.v1.1 annot-version=v1.1 MSEFLDLEALDGIRMPWNVIPGTREDAASCVVPVSAIYTPLKSIPNVPVVPYSPLRCRMCRSILNPFSMVDYDAKIWLCPFCFQRNHFPQHYSSISENNLPPELFPQHTTIEYISTPETGPAVPPVFIFVVDTCMIEEEIGYLKSALAQTAELLQDNSLIGFITFGTYVQVHELGFGLLPKSYVFKGTKEVSKEQILEQMCFFAGKQKPTTGVIAGTRDGLSSESISRFLVPASECEFVFNSVIEELQKDPWHIPADQRASRCTGTALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSESIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLNLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSTEDDLGLSFNGILEINCSKDIKIQGIIGPCTSLEKKSPLSADTVIGQGNTSAWKMCGLDKKTSLCFVYDISRKGGPDSITQSTSNQLYLQFVTYYQHHDGQMRLRATTISRKWASSSDNVQELIDGFDQEAAAAVVARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKETPSSFSLSTRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLERENVGNAVAMIQPSLISYSFQSGPAPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQEGHEVFAQLLKAPHEEADTIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVAYNSDNPSPGGDVIFTDDVSFEVFMDHLQRLSVQ* >Brasy5G237600.1.p pacid=40079111 transcript=Brasy5G237600.1 locus=Brasy5G237600 ID=Brasy5G237600.1.v1.1 annot-version=v1.1 MGLGLRAPFSFLLLVLLLVSVGAAQGRTTTVFLNIENEAREHTYEEQITSSKIPVHVERGSPLCSACKNLTNEAVSYLSQKQSQDKMLEVLHEACSQTFSLEQKCVELVDSYATLLFAKIAEIKPDEFCKQYGLCRDTALLSISGVKSESTCVFCHHLLDEVMSKLKDPDAEFEIMQILLKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDICSIVQACDATHKRMARSFFEGALLSDA* >Brasy5G256800.1.p pacid=40079112 transcript=Brasy5G256800.1 locus=Brasy5G256800 ID=Brasy5G256800.1.v1.1 annot-version=v1.1 MVHGIDPLILFLRTVSLLKFLSWLKDEGRVPVNELKWRKRVSKLMRLPISLGMAPDILLSPSPRFTNEVERLESESGTAPSNLLIFNPKNRNLEQFVNAVMNSQPRSFMLTSRERDNRLERLPKPGGISPDNLFSPKVRYSIAGKSASD* >Brasy5G518500.1.p pacid=40079113 transcript=Brasy5G518500.1 locus=Brasy5G518500 ID=Brasy5G518500.1.v1.1 annot-version=v1.1 MNNNSTSAKRAHPGNQNTSVSERKRLKHTFCAEEGCSTGERPRRLSFHSLPEGIVSRIMSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMARILKLNFSPYLGPYENNYSFPCHLFNIKNASYLQALRLDSVNLNPNPEFCGFPNLKMLALDHVLALQDLQYFLSKCPVLEWLSIRSCSQKCNLHACEPLCQLKYLCVQDSAVNKIDLVVPNLNTFEYKGSQILINFHECLKLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKGKTSILQLGCLLEAAPLLEDLCLDMHCTMNCQYPIDGDLIPDCRHYNLKTASMSGFCGDGGHVELVKYIMRNATQLKRMTIETRNRVVKWPHFMDEYEGRTSALENLVPLDKAGVLRVL* >Brasy5G518500.2.p pacid=40079114 transcript=Brasy5G518500.2 locus=Brasy5G518500 ID=Brasy5G518500.2.v1.1 annot-version=v1.1 MSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMARILKLNFSPYLGPYENNYSFPCHLFNIKNASYLQALRLDSVNLNPNPEFCGFPNLKMLALDHVLALQDLQYFLSKCPVLEWLSIRSCSQKCNLHACEPLCQLKYLCVQDSAVNKIDLVVPNLNTFEYKGSQILINFHECLKLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKGKTSILQLGCLLEAAPLLEDLCLDMHCTMNCQYPIDGDLIPDCRHYNLKTASMSGFCGDGGHVELVKYIMRNATQLKRMTIETRNRVVKWPHFMDEYEGRTSALENLVPLDKAGVLRVL* >Brasy5G518500.4.p pacid=40079115 transcript=Brasy5G518500.4 locus=Brasy5G518500 ID=Brasy5G518500.4.v1.1 annot-version=v1.1 MNNNSTSAKRAHPGNQNTSVSERKRLKHTFCAEEGCSTGERPRRLSFHSLPEGIVSRIMSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMARILKLNFSPYLGPYENNYSFPCHLFNIKNASYLQALRLDSVNLNPNPEFCGFPNLKMLALDHVLALQDLQYFLSKCPVLEWLSIRSCSQKCNLHACEPLCQLKYLCVQDSAVNKIDLVVPNLNTFEYKGSQILINFHECLKLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKDALYYELSIPNRWRFDP* >Brasy5G518500.3.p pacid=40079116 transcript=Brasy5G518500.3 locus=Brasy5G518500 ID=Brasy5G518500.3.v1.1 annot-version=v1.1 MNNNSTSAKRAHPGNQNTSVSERKRLKHTFCAEEGCSTGERPRRLSFHSLPEGIVSRIMSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKGKTSILQLGCLLEAAPLLEDLCLDMHCTMNCQYPIDGDLIPDCRHYNLKTASMSGFCGDGGHVELVKYIMRNATQLKRMTIETRNRVVKWPHFMDEYEGRTSALENLVPLDKAGVLRVL* >Brasy5G518500.5.p pacid=40079117 transcript=Brasy5G518500.5 locus=Brasy5G518500 ID=Brasy5G518500.5.v1.1 annot-version=v1.1 MSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMARILKLNFSPYLGPYENNYSFPCHLFNIKNASYLQALRLDSVNLNPNPEFCGFPNLKMLALDHVLALQDLQYFLSKCPVLEWLSIRSCSQKCNLHACEPLCQLKYLCVQDSAVNKIDLVVPNLNTFEYKGSQILINFHECLKLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKDALYYELSIPNRWRFDP* >Brasy5G518500.6.p pacid=40079118 transcript=Brasy5G518500.6 locus=Brasy5G518500 ID=Brasy5G518500.6.v1.1 annot-version=v1.1 MNNNSTSAKRAHPGNQNTSVSERKRLKHTFCAEEGCSTGERPRRLSFHSLPEGIVSRIMSELTLKEAVRTSVLSSKWRWYWRFHSNLRFDISTVLGSNAKRNQSSDRYKRMLSIKRSIDRVNYILRKHSGLGVNELAVKLELRKEHANHINGWVSFAIASMLKKASVELNVQQTLDYVFTGIPNVLPHVEALRVEAYVIFEMPGFKHAPLVFSHLRHLALKIKMKDALYYELSIPNRWRFDP* >Brasy5G210700.1.p pacid=40079119 transcript=Brasy5G210700.1 locus=Brasy5G210700 ID=Brasy5G210700.1.v1.1 annot-version=v1.1 MGRNMALPLLLSMAVLASQRHTLAAAAAPAAAGAFGSWVSDNQKDFAINQALYAKKAVGNTGDTIDERLAKAEANKTTYVVDPKGGGDYKTITAAIADVPEGNTGRVILDLKPGEYREKVFLNSTKPYVTFKADPKNPAIIAWNDTAATLGKDGKPVGTVGSTTCAVESDYFVAYGVVFKNDAPLAKPGAKGGQAVALRVFGTKAAFYNCTIDGGQDTLYDHKGLHYFKDCIIRGSVDFIFGFGRSFYEGCDIISIVKEVAVLTAQQRTKTIEGAIESGFSFKNCRIRGEGGGQIFLGRAWGDSSRVVYAFTEMSKEVVPVGWDGWNIKQPESSGIYYGEFKCSGPGSDARKRIGWALDLTESQAKPFIGTHYVFGDSWIRPPPTGSSPPSTKKSSSTAAASPADSPAESPSSSSSEASSPESSEAPASSKASSPAKSSESYSKDKKKKEL* >Brasy5G191300.1.p pacid=40079120 transcript=Brasy5G191300.1 locus=Brasy5G191300 ID=Brasy5G191300.1.v1.1 annot-version=v1.1 MATAGSRWAIVMSRNAGFSDQVVELDFLYPSEGIHHRWDNGYRITATAATLDQAAFILSIPRRKPNDETQETLRTSAFPSQHVKEKWSKNLYLASICYGRAVS* >Brasy5G442800.1.p pacid=40079121 transcript=Brasy5G442800.1 locus=Brasy5G442800 ID=Brasy5G442800.1.v1.1 annot-version=v1.1 MADKLGRRQKGRWQDESLTKTMKCVKVYRLADNGKWDDHGTGHATIDNIVESGERALAVVDEEDNDTLLLHNITPDDIYRKQEETIISWKDPEKALELALSFQEAEGCSFIWENMCNIQEELQSEVLSSHEVGPQPAQKSLKASSDSLSRDKPLCKARSGLQGLPPLELSNLSVILKTILEYGMKEQMRVIDLISEDRDFFPKIVSLFRTCEGLGDMDGLHMIFKLIKAIISLNSSAIFDMIFSDDLILDIIGVLEYNPEVCNVQNHRAFLKEHAVFKEAIPIGNVSVVSKIHQTYRIKYIKDVILPPKVLDDATLASLNTMIHANNAFVVCLLKDDALFIQELFAKMRSSNISAGSKSELVSFLLEFCNLSKSLQPTQQIQLFRDLASQGVFDIISDVLQSQDKVLVSDGTGIILHFLNQDPNLLGSYIANQEENRREGVSLLGLLVQGMVTEFGGEVLCQFLEILKILLGFAPNTVTQCTAVIEFFYEKHFDILVDVIESSCPPKSIAGSTFGSDGAGRRFDEYSAKPEILSNICEFLCFCVVHHPYKITVNFFTRNSMEKILTLTRRRERFLVVAAVRFMRTVIGRNDALLISHVISVNMLKPIIEAFVENGDRYNMLHSGVLELLEYIRKENLNTLVVYVVESFWSQLRRFEHLKSIQAFKIKYQEFGESAETKQTTSVGHMGKADERGLGKDSNEGSATQTASEQQQSTVTSAHGSEIHHIPARPKSGGLVDYDDDEEDYNTSPKRPLEDDEALNIPMEGHSSSDGKDTDGKIPKKPKLDARISFSNMSIANVAGRQSDLKDKQPLLSPVSGMENSDGNDDVGDGNPGSPIQQHAPESLDLTHKTGDDCMGAAGEKKMEVDSTKATDSEPDTSK* >Brasy5G442800.2.p pacid=40079122 transcript=Brasy5G442800.2 locus=Brasy5G442800 ID=Brasy5G442800.2.v1.1 annot-version=v1.1 MADKLGRRQKGRWQDESLTKTMKCVKVYRLADNGKWDDHGTGHATIDNIVSGERALAVVDEEDNDTLLLHNITPDDIYRKQEETIISWKDPEKALELALSFQEAEGCSFIWENMCNIQEELQSEVLSSHEVGPQPAQKSLKASSDSLSRDKPLCKARSGLQGLPPLELSNLSVILKTILEYGMKEQMRVIDLISEDRDFFPKIVSLFRTCEGLGDMDGLHMIFKLIKAIISLNSSAIFDMIFSDDLILDIIGVLEYNPEVCNVQNHRAFLKEHAVFKEAIPIGNVSVVSKIHQTYRIKYIKDVILPPKVLDDATLASLNTMIHANNAFVVCLLKDDALFIQELFAKMRSSNISAGSKSELVSFLLEFCNLSKSLQPTQQIQLFRDLASQGVFDIISDVLQSQDKVLVSDGTGIILHFLNQDPNLLGSYIANQEENRREGVSLLGLLVQGMVTEFGGEVLCQFLEILKILLGFAPNTVTQCTAVIEFFYEKHFDILVDVIESSCPPKSIAGSTFGSDGAGRRFDEYSAKPEILSNICEFLCFCVVHHPYKITVNFFTRNSMEKILTLTRRRERFLVVAAVRFMRTVIGRNDALLISHVISVNMLKPIIEAFVENGDRYNMLHSGVLELLEYIRKENLNTLVVYVVESFWSQLRRFEHLKSIQAFKIKYQEFGESAETKQTTSVGHMGKADERGLGKDSNEGSATQTASEQQQSTVTSAHGSEIHHIPARPKSGGLVDYDDDEEDYNTSPKRPLEDDEALNIPMEGHSSSDGKDTDGKIPKKPKLDARISFSNMSIANVAGRQSDLKDKQPLLSPVSGMENSDGNDDVGDGNPGSPIQQHAPESLDLTHKTGDDCMGAAGEKKMEVDSTKATDSEPDTSK* >Brasy5G442800.3.p pacid=40079123 transcript=Brasy5G442800.3 locus=Brasy5G442800 ID=Brasy5G442800.3.v1.1 annot-version=v1.1 MADKLGRRQKGRWQDESLTKTMKCVKVYRLADNGKWDDHGTGHATIDNIVESGERALAVVDEEDNDTLLLHNITPDDIYRKQEETIISWKDPEKALELALSFQEAEGCSFIWENMCNIQEELQSEVLSYKPLCKARSGLQGLPPLELSNLSVILKTILEYGMKEQMRVIDLISEDRDFFPKIVSLFRTCEGLGDMDGLHMIFKLIKAIISLNSSAIFDMIFSDDLILDIIGVLEYNPEVCNVQNHRAFLKEHAVFKEAIPIGNVSVVSKIHQTYRIKYIKDVILPPKVLDDATLASLNTMIHANNAFVVCLLKDDALFIQELFAKMRSSNISAGSKSELVSFLLEFCNLSKSLQPTQQIQLFRDLASQGVFDIISDVLQSQDKVLVSDGTGIILHFLNQDPNLLGSYIANQEENRREGVSLLGLLVQGMVTEFGGEVLCQFLEILKILLGFAPNTVTQCTAVIEFFYEKHFDILVDVIESSCPPKSIAGSTFGSDGAGRRFDEYSAKPEILSNICEFLCFCVVHHPYKITVNFFTRNSMEKILTLTRRRERFLVVAAVRFMRTVIGRNDALLISHVISVNMLKPIIEAFVENGDRYNMLHSGVLELLEYIRKENLNTLVVYVVESFWSQLRRFEHLKSIQAFKIKYQEFGESAETKQTTSVGHMGKADERGLGKDSNEGSATQTASEQQQSTVTSAHGSEIHHIPARPKSGGLVDYDDDEEDYNTSPKRPLEDDEALNIPMEGHSSSDGKDTDGKIPKKPKLDARISFSNMSIANVAGRQSDLKDKQPLLSPVSGMENSDGNDDVGDGNPGSPIQQHAPESLDLTHKTGDDCMGAAGEKKMEVDSTKATDSEPDTSK* >Brasy5G442800.4.p pacid=40079124 transcript=Brasy5G442800.4 locus=Brasy5G442800 ID=Brasy5G442800.4.v1.1 annot-version=v1.1 MADKLGRRQKGRWQDESLTKTMKCVKVYRLADNGKWDDHGTGHATIDNIVESGERALAVVDEEDNDTLLLHNITPDDIYRKQEETIISWKDPEKALELALSFQEAEGCSFIWENMCNIQEELQSEVLSSHEVGPQPAQKSLKASSDSLSRDKPLCKARSGLQGLPPLELSNLSVILKTILEYGMKEQMRVIDLISEDRDFFPKIVSLFRTCEGLGDMDGLHMIFKLIKAIISLNSSAIFDMIFSDDLILDIIGVLEYNPEVCNVQNHRAFLKEHAVFKEAIPIGNVSVVSKIHQTYRIKYIKVSFLLEFCNLSKSLQPTQQIQLFRDLASQGVFDIISDVLQSQDKVLVSDGTGIILHFLNQDPNLLGSYIANQEENRREGVSLLGLLVQGMVTEFGGEVLCQFLEILKILLGFAPNTVTQCTAVIEFFYEKHFDILVDVIESSCPPKSIAGSTFGSDGAGRRFDEYSAKPEILSNICEFLCFCVVHHPYKITVNFFTRNSMEKILTLTRRRERFLVVAAVRFMRTVIGRNDALLISHVISVNMLKPIIEAFVENGDRYNMLHSGVLELLEYIRKENLNTLVVYVVESFWSQLRRFEHLKSIQAFKIKYQEFGESAETKQTTSVGHMGKADERGLGKDSNEGSATQTASEQQQSTVTSAHGSEIHHIPARPKSGGLVDYDDDEEDYNTSPKRPLEDDEALNIPMEGHSSSDGKDTDGKIPKKPKLDARISFSNMSIANVAGRQSDLKDKQPLLSPVSGMENSDGNDDVGDGNPGSPIQQHAPESLDLTHKTGDDCMGAAGEKKMEVDSTKATDSEPDTSK* >Brasy5G442800.5.p pacid=40079125 transcript=Brasy5G442800.5 locus=Brasy5G442800 ID=Brasy5G442800.5.v1.1 annot-version=v1.1 MKEQMRVIDLISEDRDFFPKIVSLFRTCEGLGDMDGLHMIFKLIKAIISLNSSAIFDMIFSDDLILDIIGVLEYNPEVCNVQNHRAFLKEHAVFKEAIPIGNVSVVSKIHQTYRIKYIKDVILPPKVLDDATLASLNTMIHANNAFVVCLLKDDALFIQELFAKMRSSNISAGSKSELVSFLLEFCNLSKSLQPTQQIQLFRDLASQGVFDIISDVLQSQDKVLVSDGTGIILHFLNQDPNLLGSYIANQEENRREGVSLLGLLVQGMVTEFGGEVLCQFLEILKILLGFAPNTVTQCTAVIEFFYEKHFDILVDVIESSCPPKSIAGSTFGSDGAGRRFDEYSAKPEILSNICEFLCFCVVHHPYKITVNFFTRNSMEKILTLTRRRERFLVVAAVRFMRTVIGRNDALLISHVISVNMLKPIIEAFVENGDRYNMLHSGVLELLEYIRKENLNTLVVYVVESFWSQLRRFEHLKSIQAFKIKYQEFGESAETKQTTSVGHMGKADERGLGKDSNEGSATQTASEQQQSTVTSAHGSEIHHIPARPKSGGLVDYDDDEEDYNTSPKRPLEDDEALNIPMEGHSSSDGKDTDGKIPKKPKLDARISFSNMSIANVAGRQSDLKDKQPLLSPVSGMENSDGNDDVGDGNPGSPIQQHAPESLDLTHKTGDDCMGAAGEKKMEVDSTKATDSEPDTSK* >Brasy5G496800.1.p pacid=40079126 transcript=Brasy5G496800.1 locus=Brasy5G496800 ID=Brasy5G496800.1.v1.1 annot-version=v1.1 MAPPPTAAMPSLPPGFRFHPTDAELITHYLANQSSSNPIPAPIIAEVNIYRSNPWELPAKALFGARDGEWFFFSPRDRKYPNGARPNRAAGDGYWKATGTDKPITAGDGSSSGAIVGVKKALVFYRGKPPRGVKTDWIMHEYRLASSSANGACKRRSSAGSASSMRLDDWVLCRIHKKSSNGSTNLHNFSSSSEHDHEQEESSTVEESSMNNTTTTNNNTNMSSPKSEAFDGVNDEELQELRPMAIAKSCSITDLLNTVDYASLSHLLLDGAAETAACYDQLPAPGTETEESALIYTPPNPWQTLNCSNNNNNSSLSYLNDDAIAVPQLAEQEYYYSSNGDQHLNGGAVKRKRSTSSSYCNQLHINGGLQAAGSPLNSSSSGFEYSGMLIHPFLMNNHQLQM* >Brasy5G275900.1.p pacid=40079127 transcript=Brasy5G275900.1 locus=Brasy5G275900 ID=Brasy5G275900.1.v1.1 annot-version=v1.1 MRGKLVDSITGSVMHPSSFAASTNNFSNSEDQFTSNQQFQNASMCLVRILIGS* >Brasy5G013200.1.p pacid=40079128 transcript=Brasy5G013200.1 locus=Brasy5G013200 ID=Brasy5G013200.1.v1.1 annot-version=v1.1 MQPVAPIRHVKPLREAPINSPVELAPHGEFYSSSPLPPTSAAPAEPLCAAPLSPSLLQPPKPHHKQIRCERTHRRASVPRFTFAARRTTPCDMQKAPTSPSPSSPVELAPRRNRRLLRASSLSPPVSPDDHPPLPCTPPPCGRHRREISARDVEEKRRRKWGLADWGTGGGGFRRWHGKAEDALKQSNGTHRPGENERLGRLCTRVVR* >Brasy5G143300.1.p pacid=40079129 transcript=Brasy5G143300.1 locus=Brasy5G143300 ID=Brasy5G143300.1.v1.1 annot-version=v1.1 MAEAQTRRVLSASSSALELHKAMSSAMSLLVTERVARWRRALRTPLRIGPLLLHVAYFLAVSFLGCLLLSTLSFRAPRPSRPRGIDVFFTAVSAATVSSMSTVEMEAFSNAQLIVITALMFLGGEVFVSLAGLASQWHSLSKDATRKAQRVASHAELEMPISATTVDLEDESNNNNSSSSNTSNPGAMDSKRRRLNAVRALFWVVLSVLVSAHVLGAVTVAAYLAGRGASRRTLREKSLNVWTFAAFATASTFSSCGYLPTNENMIPFKRDTGLQLLLIPQALFGNTLFPPLLWACLRALAAAMPMPELADMARHGSRELTGYYHLLPAKRCAMLAATVAALVAVQVALVCGMEWGGALAGMSPWEKIVNAAFLAVNSRHTGESTIDLSSLAPAVLVLFVLMM* >Brasy5G306200.1.p pacid=40079130 transcript=Brasy5G306200.1 locus=Brasy5G306200 ID=Brasy5G306200.1.v1.1 annot-version=v1.1 MANTSFSSLSFYFCIFLLCHGSMAQLFSQSLNQWQSPRQGAFRECRFDRLQALEPLHQVRSQGGLTEYFDEQNEQFLCSGVSVIRRVINPRGLLLPRYHNTPGLVYIIRGSGFAGFAFPGCPETFQQFEQAQGPSQSQQFSDEHQKVHRFQQGDVIALPVGVAHWLYNDGDAPIVAIYVFDTNSNANQLEPRRKEFLLAGANRLEQQYFGNNIFSGFNVRLLSEALGISEQTSQRLQSQYEQRGEIILVDHGLQFVKPAVIQQTEQQSYPQGRSQVGRSIGGSLNGLENFCDHKPIINIEDPNQADEYNPRAGRITHLNSQKFSILNTVQMSATRVDLYQNAILSPSWNINAHSVVYMIQGHAWVQVANNQGQNVFNGLLHSGQLLIIPQNYVVLKKAEREGSQHIAFKTNANSMVSHIAGKNSIFQALPTDVIANAYRISKEEAENLKNNRGEEFGAFTTKFPPLSYQSFEKSASSRKASE* >Brasy5G222600.1.p pacid=40079131 transcript=Brasy5G222600.1 locus=Brasy5G222600 ID=Brasy5G222600.1.v1.1 annot-version=v1.1 MADDDDNLPLPPVPAPEEEIMPDFLLANNNLPAPEEMAALVADMPTPSEQETCSLMEQLLADYPDQPPPPPTPLPESSTMLPPLPPQLDNYQPPPHMMEPEMLLPQLYDGPAAEMLLPQQYDYQQYQMGASSSSSSAPPAGNDEPPWFFCGLPNIAAGHNMDLNQPPPPAAADLNQQPPPADERFDCRHCDVAVAELRHTNGRWDVHFSVHVAAGGAFTHAVLQVFSRIGAGEQPPMYIDLRGWTHEAVHRFAESYNWRMNNSANAGMPDQDILDAFFGAPRHPQADPAPLLPPPPPAGSSTAMTMAMPPRPHAPPAPANNAVVVPPVVLESGAAAGAGQQQQQGGADYEDESRRFIRAAGEEASREPWTPFPAAFGHFCRSKQDFSWFPRRLREINRGIRDQARKVQTRMSFGKQLAEARRTVEGLLRERAQLCANIQAGIDRERAGFVFPRARPTKKRKNDHEASGSGSGGGGGADDGPSDGGGDGDGSGGGGGDGSGSIS* >Brasy5G014000.1.p pacid=40079132 transcript=Brasy5G014000.1 locus=Brasy5G014000 ID=Brasy5G014000.1.v1.1 annot-version=v1.1 MARSHAALLLLLLQAAAVVASGYQYQQDSVGSTFFLQHDLHPGSKMTLHFTRAAPGVPGLSRARADAIPFTSAKIPEILSLFSIPAGSPAADAVRFTLAECEAPPVPGVAAQRCATSLESMVDFAASNLGTRGIHAGTTKLSREGATPRQAYVVESVRPLPVVGRDMVACHSMPYPYAVFGCHTTTAAVLTVTLAGADGTKAEALTACHKDATPGIPWPTYKKLGVTPGSVAVCHFLPQDGKLWMRN* >Brasy5G014000.2.p pacid=40079133 transcript=Brasy5G014000.2 locus=Brasy5G014000 ID=Brasy5G014000.2.v1.1 annot-version=v1.1 MARSHAALLLLLLAAAVVASGYQYQQDSVGSTFFLQHDLHPGSKMTLHFTRAAPGVPGLSRARADAIPFTSAKIPEILSLFSIPAGSPAADAVRFTLAECEAPPVPGVAAQRCATSLESMVDFAASNLGTRGIHAGTTKLSREGATPRQAYVVESVRPLPVVGRDMVACHSMPYPYAVFGCHTTTAAVLTVTLAGADGTKAEALTACHKDATPGIPWPTYKKLGVTPGSVAVCHFLPQDGKLWMRN* >Brasy5G049000.1.p pacid=40079134 transcript=Brasy5G049000.1 locus=Brasy5G049000 ID=Brasy5G049000.1.v1.1 annot-version=v1.1 MAANREQNGINLHQVAGAAYASPASNSHAGAAALMGRLEDEVAPELMMSSHLLGAGYVSGGALDGSMQRCLKDCAAKGRGDSYTGPKPVDRGCNPIYRCAH* >Brasy5G098600.1.p pacid=40079135 transcript=Brasy5G098600.1 locus=Brasy5G098600 ID=Brasy5G098600.1.v1.1 annot-version=v1.1 MNPSASLPPPPPPERDWSALPRDVLWAVFVGAGQSELLRGAGFACAAWWRLARHEPLLWRRVDLDFSLDDEQTEEPEEDDNSIISYYTVNPEEDSVEHDNSIFSVKSLFNADNDSVITDDDLFDNYEDDGAFGSSLLDDDEGGTLGCSSLPDDQFDLKGWEAMARAAVDRSAGQCDSFCSRANDELLLYIADRVPSLRILHITSRYDVSSSVLSEAIKKLPLLEELDVVLKSESNRRRHSDNSWAEVLRSAAEACSHLRHFAVSHDGPKGDANIYYWGKRPRDFAIPTMLCLHSLKLFGGSFMRDVVLSIVGSCPNLQSLDLTHAAYLKMDGELIAKCSMIKDLRLQDDDSSSCEESGDDIGGIINFPF* >Brasy5G132100.1.p pacid=40079136 transcript=Brasy5G132100.1 locus=Brasy5G132100 ID=Brasy5G132100.1.v1.1 annot-version=v1.1 MAMAVMHLARPALTPSPSSTRQLRRRVTCLRVRCRVGGDEDRGSEEDAAPESLFAKELRRRGMAPGSAPPPAEKSGASAEAEEGGRGGEAGRKRGVAAAAAEFGRAAAGMDGQRERSMALNSEGLEGLLPRAKLLLSLGGTFFLAFGPLIIVTLSLFAGLYLYFGQSFIHDGSSKPVTPPPYIDPYTLLEDDRISRPSPDVF* >Brasy5G357700.1.p pacid=40079137 transcript=Brasy5G357700.1 locus=Brasy5G357700 ID=Brasy5G357700.1.v1.1 annot-version=v1.1 MATSTAAVLSPPSVAGLRLAPSPRAAVSFRAAPPTARRSVAARAALEPSVVISLSTGLSLFLGRFVFFNFQRENVAKQVPAQNGKTHFEAGDQRAKEFAGILKSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGYDPSFFG* >Brasy5G205500.1.p pacid=40079138 transcript=Brasy5G205500.1 locus=Brasy5G205500 ID=Brasy5G205500.1.v1.1 annot-version=v1.1 MRATPVGEIIQISLQIFGGCPFSLHSPPPPRLGAKSRHFPPFSPPTPPQSASPTPPPLQEKPWRRRGMQRLVVAAPKGKKKGAAEKKKAGGGGRGPKWTAKGDECLAKAWKVVSLDPFTSANQSGDTYWRRVKTVYDERRVIDREFASVTHDRNESGLSHRWQMIQRACNKWHDIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDSADFKFIHVFARIETCDKCTEMRAGLAKTGTYDPKAAPPAAAEGRPISHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKRDELAAKREAVAASRWATVIKKQDDKLEILKANVAAKKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARAPSAPSPPDTATPATSTPPAGTEEPSAPAEDEGAE* >Brasy5G144200.1.p pacid=40079139 transcript=Brasy5G144200.1 locus=Brasy5G144200 ID=Brasy5G144200.1.v1.1 annot-version=v1.1 MHLATIWHFKKKSTRRISIHAFAPFVKKILVLCPWYLHCLLVNCHRGFSRYPSTPVEKIRSRRPDLGRSRFPCTRVLSRVLNGSLVLCCIIGPPMAIAPPARPL* >Brasy5G172500.1.p pacid=40079140 transcript=Brasy5G172500.1 locus=Brasy5G172500 ID=Brasy5G172500.1.v1.1 annot-version=v1.1 MSGAGGNGWGRSSRRRRVRAGGAARQEQTRGAAGYAACRLDPGRLGGAGAGGWRLGRRPPPSPSPPQRKASSASPLPRSRDPPRQTCPSLAFFSLSRRRSCVSWRRRCCSCCSRRLAPR* >Brasy5G167600.1.p pacid=40079141 transcript=Brasy5G167600.1 locus=Brasy5G167600 ID=Brasy5G167600.1.v1.1 annot-version=v1.1 MALSTALAVAVAPELAVAVDREQIRRRPGPIRRRPGADPSSPPCPDLWPALRPSATSLGLDAKAAAGRNFPAASTCTTRPLHLTTPAYCRLAWAGSTA* >Brasy5G356400.1.p pacid=40079142 transcript=Brasy5G356400.1 locus=Brasy5G356400 ID=Brasy5G356400.1.v1.1 annot-version=v1.1 MEDGHEEIRGRNCTNLLLYCDEDPFVVDDDSPPPAVDSGGSSGVLIGTTTAGSSSDDAVDELIREYKAKERCFAPATGGGYLRRLLHHDCGGGGEGGLSTSVSSARSKAIHYIIYAFGRLGLAAATAFNAANYLDRFLAINCHLSWELWMVEVVSVACLSVACKLDEVNIPSLHHLQMEEVVRHSFRASTVRDMELTLLKALQWRLACVTPYSYLQLLLPTLHASPADRSLCTRLLLRCLSEPSFLRFDASVVAAAALRCTTAAAASNLSIPAPLCTHLLDDYEAEECFQMMKELALLELDTSSSSSSSSSLHQLEDQLYAPDNTANHLQGTIGTTPPFSVIDPFQNTTDDDDRACNDRSSAVGRRRRLFGARH* >Brasy5G218600.1.p pacid=40079143 transcript=Brasy5G218600.1 locus=Brasy5G218600 ID=Brasy5G218600.1.v1.1 annot-version=v1.1 MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQALKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELEFQLLEPVAAPPIHPVQIPANRPRPHLAPQKASAEEDELAALQAEMAL* >Brasy5G218600.2.p pacid=40079144 transcript=Brasy5G218600.2 locus=Brasy5G218600 ID=Brasy5G218600.2.v1.1 annot-version=v1.1 MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQALKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELEFQLLEPVAAPPIHPVQIPANRPRPHLAPQKASAEEDELAALQAEMAL* >Brasy5G425000.1.p pacid=40079145 transcript=Brasy5G425000.1 locus=Brasy5G425000 ID=Brasy5G425000.1.v1.1 annot-version=v1.1 MLTEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRVWNMKSVSKDNENDDSNQRLLATIRDHFGSVNCVRWAKNGRYLASGSDDQAILIHEKKAGSGTSEFGSGEPADIENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKNLATGHDAKVAPAGWANGASKASTKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSKLDELKRSRYGDVRGRQSNLAESPAQLLLEEASVKQLAGKKATPGVQQFQVPPKVSADVPKPPPSVQNQKAPETLAEDEKKTAGQAADDTNKVPRVSSPVKQREYRRPDGRKRIIPEAVGFPSNQENISKRSQNQVVDFSSLDQRMRPGENGIRSSYGTTGNCNNCGVRERSGVTARANISESLVIQKASANTGNDGRLSVEHAGSGVPGALSSCSELSIHVSNNKDHEDSLSVCLEAKPVERSAGDVVGVGGAFSTKETEIRCTRGTETLWSDRISGKVTVLAGNANFWAVGSEDGCLQVYTKCGMRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEASAKDAGTVKVISAKFSKCGSPLVTLVNRHSFLYDMNMKCWLRIADDCFPASNFSSSLNLGSTQGGELGKLQIDLGKFMARKPIWSRVTDDGVQTRAHLETQLAASLALKSPQEYRQCLLAYIRFLAREADESRLREVCETFLGPPMGIVDATSTEAKNSLWDPDVLGMKKHKLLREDILPSIATNRKVQRLLNEFMDLLSEYEGAEAKIDKMDVTPPAIEAKGTGVANQS* >Brasy5G425000.2.p pacid=40079146 transcript=Brasy5G425000.2 locus=Brasy5G425000 ID=Brasy5G425000.2.v1.1 annot-version=v1.1 MLTEKPSWIRHEGLQIFSIDIQPGGLRFATGGGDQKVRVWNMKSVSKDNENDDSNQRLLATIRDHFGSVNCVRWAKNGRYLASGSDDQAILIHEKKAGSGTSEFGSGEPADIENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKNLATGHDAKVAPAGWANGASKASTKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSKLDELKRSRYGDVRGRQSNLAESPAQLLLEEASVKQLAGKKATPGVQQFQVPPKVSADVPKPPPSVQNQKAPETLAEDEKKTAGQAADDTNKVPRVSSPVKQREYRRPDGRKRIIPEAVGFPSNQENISKRSQNQVVDFSSLDQRMRPGENGIRSSYGTTGNCNNCGVRERSGVTARANISESLVIQKASANTGNDGRLSVEHAGSGVPGALSSCSELSIHVSNNKDHEDSLSVCLEAKPVERSAGDVVGVGGAFSTKETEIRCTRGTETLWSDRISGKVTVLAGNANFWAVGSEDGCLQVYTKCGMRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEASAKDAGTVKVISAKFSKCGSPLVTLVNRHSFLYDMNMKCWLRIADDCFPASNFSSSLNLGSTQGGELGKLQIDLGKFMARKPIWSRVTDDGVQTRAHLETQLAASLALKSPQEYRQCLLAYIRFLAREADESRLREVCETFLGPPMGIVDATSTEAKNSLWDPDVLGMKKHKLLREDILPSIATNRKVQRLLNEFMDLLSEYEGAEAKIDKMDVTPPAIEAKGTGVANQS* >Brasy5G425000.3.p pacid=40079147 transcript=Brasy5G425000.3 locus=Brasy5G425000 ID=Brasy5G425000.3.v1.1 annot-version=v1.1 MANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKNLATGHDAKVAPAGWANGASKASTKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSKLDELKRSRYGDVRGRQSNLAESPAQLLLEEASVKQLAGKKATPGVQQFQVPPKVSADVPKPPPSVQNQKAPETLAEDEKKTAGQAADDTNKVPRVSSPVKQREYRRPDGRKRIIPEAVGFPSNQENISKRSQNQVVDFSSLDQRMRPGENGIRSSYGTTGNCNNCGVRERSGVTARANISESLVIQKASANTGNDGRLSVEHAGSGVPGALSSCSELSIHVSNNKDHEDSLSVCLEAKPVERSAGDVVGVGGAFSTKETEIRCTRGTETLWSDRISGKVTVLAGNANFWAVGSEDGCLQVYTKCGMRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEASAKDAGTVKVISAKFSKCGSPLVTLVNRHSFLYDMNMKCWLRIADDCFPASNFSSSLNLGSTQGGELGKLQIDLGKFMARKPIWSRVTDDGVQTRAHLETQLAASLALKSPQEYRQCLLAYIRFLAREADESRLREVCETFLGPPMGIVDATSTEAKNSLWDPDVLGMKKHKLLREDILPSIATNRKVQRLLNEFMDLLSEYEGAEAKIDKMDVTPPAIEAKGTGVANQS* >Brasy5G027400.1.p pacid=40079148 transcript=Brasy5G027400.1 locus=Brasy5G027400 ID=Brasy5G027400.1.v1.1 annot-version=v1.1 MEDRRTLATTAATLCFVFVFIRGTSAARPLATTTTTPPETAAMDVPASAAESPEGSTWERGGDDGKWLPLPVASALAGGLRFPGVLPIPASSSPSLPWLFPGAGGGGAPPSWGFGGPAGPGMPAGLVPPYEQLSVWASLFNPFQVRPRLPAAEASSSTTASTVPAVASGGVQRTTTVDEPAGAQPKWGVFLGTTTQNNNG* >Brasy5G201600.1.p pacid=40079149 transcript=Brasy5G201600.1 locus=Brasy5G201600 ID=Brasy5G201600.1.v1.1 annot-version=v1.1 MALWTGLGQAATVAQLVGADIGGLISMTVQAALTARQNKRECEQLARRVHMIAELLPHLQLQDPDAVRPLAGLGDTLRDAHELVVSCQRRSVAYQFVMSGRQADRFREVQSRIDSYLILFPIISYIGITRRLDRIYNILVPDDATDGEPSPLFQPILEPEVLPHGTEEFKFPEIVSATNNFALNTKIGEGFSGTVYKGRLNDGRKVAIKRCQQQRVLQMKEEFHTELSILSRLSHKHIIHLLGWCVQKEKDKHLLSFRRNKPEQEHLIVYEYMENGTLYDHLHCEPSSPVTVSWKMRIDVLLGVSRAIEHLHCHAMPRVIHHDIKSKNVLLDSSWVPCVSDFGTSVMCHTTNEFEDVPILGTFGYLDPEYFRTSCMKPTADVYSLGVVMLEVLTGQESLSVERKLCVDLVSFALPIIEAGDIGKLLDRRPVPEPTPQQLMALEHVAQTAACCVQMEGKERPVISDVVASLEMVLEHITPSTPLAQGKRAFLIRLIYSVDLLISAKWGILAVLVIMLKVRLTTISRVLGEQWREIPRGLSFSLRQTI* >Brasy5G026100.1.p pacid=40079150 transcript=Brasy5G026100.1 locus=Brasy5G026100 ID=Brasy5G026100.1.v1.1 annot-version=v1.1 MAGNSLNPPVARRKGIIAFKFLIPFVLVLSVSVIAVTQYFQSISYLLRPLWDTPPRPFVRIPHYYAPNISMQQLCQLHGWGILSSPRRVFDAVLFSNELDILEIRYRELFPHVDRFVVLESNATFTGIPKALSFFENLNRFAFASSKIVYDMLAIGDLDPDSRRMPFLVEAGHRRALNALLKRSGIAVGDVLIMADADEIPSPETLQLLKWCDGIPPIMHLELKNYMYSFEFPVDHDSWRATAHLFTERTKYRHSRQTNLMLADAGWHCSFCFREIKEFAFKMKAYSHADRVRQESFLNPDRIQRVICNGENLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIKHADSFRFLLPGGCLRSG* >Brasy5G378200.1.p pacid=40079151 transcript=Brasy5G378200.1 locus=Brasy5G378200 ID=Brasy5G378200.1.v1.1 annot-version=v1.1 MDSSPELQRLLEQEKEKMMMNEIVGKLTSECWDKCISGTPGSKFSSGEPPA* >Brasy5G189700.1.p pacid=40079152 transcript=Brasy5G189700.1 locus=Brasy5G189700 ID=Brasy5G189700.1.v1.1 annot-version=v1.1 MGATERVPLLARTYDRCTGRRARHIAGALAALAILGTIIYLICSIPPCFEPELSVTITGTDGLDLMKPTTTTTTLSPVFKLAFRITRRDDCSWHTCIGGHRKRLAVSYGGALLADQAGSLVPRICDDDDDGPAAHELNVTAWGVDVAVPRFLREQLAAELERGEAVFDVAMIYEDPPCHWVNCPPRTPALVCKAKIGQGLCPCTYEFI* >Brasy5G032600.1.p pacid=40079153 transcript=Brasy5G032600.1 locus=Brasy5G032600 ID=Brasy5G032600.1.v1.1 annot-version=v1.1 MDSRMSRSPPSSSPPPAPAAHEGDAAAVTSRLYLHRSGAAGPLEKDAVLRRIRHRRRANRLRDTLRSLLLHQQQQHAAPEPSEDGERPPWLAEDAFSAP* >Brasy5G085200.1.p pacid=40079154 transcript=Brasy5G085200.1 locus=Brasy5G085200 ID=Brasy5G085200.1.v1.1 annot-version=v1.1 MLGASRRSLCAGAAARRHAAAFVVSGDTPAAATATAPASSSTPPRPVANPDSGISRLGGKRLLSVLAAPKLNGAANVVSLKVRESALFGRRYESSAAAVDSSDAPPAEKFEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELDIRIQTDKDNGIITITDSGIGMTRQELVESLGTIASSGTAKFLKALKESQEASVDSNLIGQFGVGFYSAFLVADKVAVSTKSPRSEKQYVWEAEAESNSYTIREETDPEKLLPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAAAKTEGDGDTEKQAKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYETFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNDELISLDEYVESMKPDQKAIYYIAGDSLSSAKNAPFLEKLNEMGYEVLLLVDPMDEVSITNLNSYKDKSFVDISKEDLDLGDKNEEREKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSFDFMRSRKVFEINPEHEIIKGLNAACRSNPDDPEALKAIDILFETSMISSGFSPDNPTELSWKIYEMMSTAMAGKWVSHAQPSEQPAAPVSFEPVLEPEPLEAEVVEPVESGQQK* >Brasy5G085200.2.p pacid=40079155 transcript=Brasy5G085200.2 locus=Brasy5G085200 ID=Brasy5G085200.2.v1.1 annot-version=v1.1 MDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELDIRIQTDKDNGIITITDSGIGMTRQELVESLGTIASSGTAKFLKALKESQEASVDSNLIGQFGVGFYSAFLVADKVAVSTKSPRSEKQYVWEAEAESNSYTIREETDPEKLLPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAAAKTEGDGDTEKQAKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYETFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNDELISLDEYVESMKPDQKAIYYIAGDSLSSAKNAPFLEKLNEMGYEVLLLVDPMDEVSITNLNSYKDKSFVDISKEDLDLGDKNEEREKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSFDFMRSRKVFEINPEHEIIKGLNAACRSNPDDPEALKAIDILFETSMISSGFSPDNPTELSWKIYEMMSTAMAGKWVSHAQPSEQPAAPVSFEPVLEPEPLEAEVVEPVESGQQK* >Brasy5G090800.1.p pacid=40079156 transcript=Brasy5G090800.1 locus=Brasy5G090800 ID=Brasy5G090800.1.v1.1 annot-version=v1.1 MKLDREMEMLLNEIPLLHHGDLLGCGGSPAAAADAEADFDFSYLIQELGEMGFVDDEDDEEENSVYPRKASSSPTSNLHFMDAENFVAAHPFSSSMAMSMAVEKHRESRPLFDPFPFSATCFDAAAAEDWDLPCPPPRSSRPARQRKLQGNNGGQGQAAANSKASKYESLVGLRGYMYHVARDQHGCRFLQQRLDDGKREVDFVFAGVARHAVDLMVNPFGNYLMQKLLAVCDREQRMALVRALTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVAALRPGFLELIKDPNGNHVVQKCLQAFEADDNKPIFAAAAIYCLDIGMQCHGCCVLQRCIARSTGEHKEKLVAAIARNGFELAQDAYGNYVVQYVIELKVATANASLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAAPHFERLLQHPYANYVVYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK* >Brasy5G064500.1.p pacid=40079157 transcript=Brasy5G064500.1 locus=Brasy5G064500 ID=Brasy5G064500.1.v1.1 annot-version=v1.1 MSMWRSPNGIIRNIRKVPDGAELVYMFMILQDLELRWQCIMLMRSFPGPSMASLSEH* >Brasy5G064500.2.p pacid=40079158 transcript=Brasy5G064500.2 locus=Brasy5G064500 ID=Brasy5G064500.2.v1.1 annot-version=v1.1 MSMWRSPNGIIRNIRKVPDGAELVYMFMILQDLELRWQCIMLMRQL* >Brasy5G234900.1.p pacid=40079159 transcript=Brasy5G234900.1 locus=Brasy5G234900 ID=Brasy5G234900.1.v1.1 annot-version=v1.1 MEGSTGANSQFPLDPSLAPLLMFDDHRDDVDGIISLYSISKGQLLQARRADGWMLMLHLELRDTFLWNPSTLERVSLHPDEDSLLDQGTRSRCVLSHKPTDPDCVVLVVELTGKAIYYCRAHAGGRGNQLLRWFKHEDASLTPYFTTLGGKFCAYDSTTAILRIATLQFSPDPPWETRQLLGHPTPIGYNCWDCSILERRGELFMVHFCHPVNSGRHVIRTAVQRLDFSAGAWLKVDTLGDTTVFLVDNTRSYGASLDAREVGLTKGDCICFLTREDKALYVYHMERGTTSMCNPGPSLEDSHVPQFFMPPV* >Brasy5G217400.1.p pacid=40079160 transcript=Brasy5G217400.1 locus=Brasy5G217400 ID=Brasy5G217400.1.v1.1 annot-version=v1.1 MRALSRLGVGLTAVSALLLLALAAELYYILVHRRRRRAAAAVSDALSSSSPSSSSRELLHLFCFKKPPPAAATTEGGDPEAAVAGEDEEEDEDEDESVEAQLMRLGSLVGPPRLLFTIKEETREDLLESDDGGRSRSRSLADLLHRSPLFLTPAASPSAPPPVVESSSFNPLFEPPAPSPPPSLQFLKDAEEKLYRRALAEETKRAARRSPSPAAGDEEGGFITIVVGKNNRVIPLPPSP* >Brasy5G223800.1.p pacid=40079161 transcript=Brasy5G223800.1 locus=Brasy5G223800 ID=Brasy5G223800.1.v1.1 annot-version=v1.1 MEVVGRPRMMELAVMTVSVPDDGGAISSSSSSGRGSNGAFFARRRRGPSYFSGSGGPDPASSEAIAALPRRVVLPGQEKAARSEDCCAVCLDDLEHGGAMIRGMPCSHSFHEGCIFRWLAVSRVCPCCRFPLPSATDDEQCRGLLDDKPAAAGAGAGRRTN* >Brasy5G408400.1.p pacid=40079162 transcript=Brasy5G408400.1 locus=Brasy5G408400 ID=Brasy5G408400.1.v1.1 annot-version=v1.1 MPVELHLIPVDPVKSRRIQEGTWPRRSNPGRHKTAVLARPGPGAILNSTPVGALVDSSHCARAHTHTGRAAIGTLVRARRCWGSDGRSPTSPPLPSSSFPPATSGKNRRGTKQNHPTPLLIPIPVSAKRGKRSGHPKRTHTHPDRDTRARARGHGHGGNGGRRGHVGRHHQPQRLSPGRRRRHLHRAQPQLPPPPPRLLLPLPPRLRRRPLPQCGGRVAALLLRRGRLLPPLRRRATRRRRPRAPRARARHVRPLAGRRLVRSRPRRRRRRQRRPRRGAEEAHDQEPRVRLALPRAQAGPRHAARVGGAGAAAGERRAPRQVRAAQGVGAGQEDPQEGALSAILNPQEAGRDQPMQSSNRSNNHY* >Brasy5G512700.1.p pacid=40079163 transcript=Brasy5G512700.1 locus=Brasy5G512700 ID=Brasy5G512700.1.v1.1 annot-version=v1.1 MRWDSTASASTGGGSGGEEQMLFDGAGDRAEAERFLLAVDDLRRLAPASPGAVGSPRRTSSAGGGSNAVQVAMARLEDEFRHVLTSRALDLEIEVLADLSSLSMRSDRTSFSDFPEPAAAAGDDDDSVSSSVGRRSSYRSMRSIREIDIFPADAISDLNAIACRMAAAGYDRECVQVYASVRKPAVDSALRRLGVEKLTIGDVQRLEWDALETKIRRWIRAARAAVRGVFSSERRLCFLIFHDLPLSNPNSPITSPNPTTPFAETVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAGESIYVQVAEIRSRLADAVRGVLSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYISLISDYKATLSELIVSRPSSSSRNAAEGNDLALSFPDLDLPDPDSQSPLAAHLIWIIVVLEHNLEGKASLYKDVSLSHLFLMNNVHYIVHKVKDSPELRGLIGDTYLKRLTGISKTALRERFKAFNAVFEEAHRVQSGWYVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVDDLEISVTDFFEGSPPPPHNRRRSHG* >Brasy5G285600.1.p pacid=40079164 transcript=Brasy5G285600.1 locus=Brasy5G285600 ID=Brasy5G285600.1.v1.1 annot-version=v1.1 MEDDWIAARYLLSSILGRNPLVVDHVDDESFPIDANNSTRGGPAAVSRAPPAVRAPESVAGTVCAVCTEEIAAGDGVARLPCAHWYHAGCIAPWLGIRGTCPTCRAEVPPPPPAGGEEEDGGGCVGCEKPRRGSRAGVSGLRRGSWPREYLAGADGRVVSG* >Brasy5G481700.1.p pacid=40079165 transcript=Brasy5G481700.1 locus=Brasy5G481700 ID=Brasy5G481700.1.v1.1 annot-version=v1.1 MDELMNRCRGFAEGVMVMICPVLLALALNKVDLKAEVYGHAVPTAMLVLAGITLITGIFPLLVCYFCQRFLNNERYHQLAYVIATSVLAPLSSAGLLVIASLMARVVVSRPTAITVGVLFGACIIIRMISYRLPGPRGVNDRFHDVLDESHEFLCGVTGILFLGLEGLALEGHGQLVEGKPALGLGAPMGTMSFVVCALGVLLMFIEMTPPQACGTEYRIVGFTLLLDSVMAAGTFAVLVAVMVKLMGPGALLLFLPPVTIFFEFLYQLWIIKNDDVHGSSSSNNNVTKPASLELTRVTFTGFLAVSVTVMGNGGEPTSKLTDSFLLFAAAAIVFGLLWRLLSQIQIRILLEKRSGHRQLANFFDGIVASSASLASFCAHLCIVVATVLFAAMAGTAGDGSSTTTGASAAPPSAGNGTCVSHVIASAQNFAPAYVVAACTSVILLFVV* >Brasy5G428400.1.p pacid=40079166 transcript=Brasy5G428400.1 locus=Brasy5G428400 ID=Brasy5G428400.1.v1.1 annot-version=v1.1 MAVVSRARAYPPSPSSSPLPGYSPRSPHPEVERMFMRGGSGRSSNGSGTNRSASLREIDEEAATVSELEEDNDSGGKLYVAVGKDLKDSRSSLLWAAGNLLHGGDLRLVLLHVHQPAERIMNGLCKVPASHLEEKELKAYRRIEKDDMDALLNQYLNFCRISLKVQAETLVIEKNTPANGIIELIDKHHITKLVMGTSSVSVKRNIPKSKVAASVHLQAKPYCQIFYVSKETLACSREATQLSVKAESPRSSCASSLSDQAEFPARSASLPPGHPGFLGSADQEALPRRSNSVSYPLSGSVVDSVENMSLAMRKSIDMASTVFSPNSSQQSTVGSSLDLKDLDSMDGSPTPVSIAISEHQHSMVETVIQNEVFEQLHRVRSELERSRKEASEGRQKAERDLYEASMKFRARDNSLCREKKEVEERLTREKAGLEKEHLNICNELQKANEQRAELENKLLQANCIIEELQQLQGEMQREKDHAVREAEEMRQKNGNIVFGSGAVALTEFSYTEIKEATSDFDDSKKIGHGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVEILSRMRHPNLVTLIGVCREAKALVFEFMSNGSLEDCLQCKNQTQPLSWKMRIRIAAEICIGLIFLHSNKPKGIAHGDLKPDNVLLDASFVCKLADFGISRPLNVTNTTVTPYHRTNQIKGTMGYMDPGYIASGELTAQYDVYSFGVVLMRLLTGKNPLGLPNEVEAALSNGQLQDIIDASAGEWPLEYTEELARLAVRCCRYDRKKRPDLANEAWDVLQAMINYPDDKSETPSFFICPMTQEIMRDPHIAADGFTYEGEAIKDWLQRGHKMSPTIYLDLAHHELIPNNALRFAIQEWQMQQQP* >Brasy5G428400.4.p pacid=40079167 transcript=Brasy5G428400.4 locus=Brasy5G428400 ID=Brasy5G428400.4.v1.1 annot-version=v1.1 MAVVSRARAYPPSPSSSPLPGYSPRSPHPEVERMFMRGGSGRSSNGSGTNRSASLREIDEEAATVSELEEDNDSGGKLYVAVGKDLKDSRSSLLWAAGNLLHGGDLRLVLLHVHQPAERIMNGLCKVPASHLEEKELKAYRRIEKDDMDALLNQYLNFCRISLKVQAETLVIEKNTPANGIIELIDKHHITKLVMGTSSVSVKRNIPKSKVAASVHLQAKPYCQIFYVSKETLACSREATQLSVKAESPRSSCASSLSDQAEFPARSASLPPGHPGFLGSADQEALPRRSNSVSYPLSGSVVDSVENMSLAMRKSIDMASTVFSPNSSQQSTVGSSLDLKDLDSMDGSPTPVSIAISEHQHSMVETVIQNEVFEQLHRVRSELERSRKEASEGRQKAERDLYEASMKFRARDNSLCREKKEVEERLTREKAGLEKEHLNICNELQKANEQRAELENKLLQANCIIEELQQLQGEMQREKDHAVREAEEMRQKNGNIVFGSGAVALTEFSYTEIKEATSDFDDSKKIGHGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVEILSRMRHPNLVTLIGVCREAKALVFEFMSNGSLEDCLQCKNQTQPLSWKMRIRIAAEICIGLIFLHSNKPKGIAHGDLKPDNVLLDASFVCKLADFGISRPLNVTNTTVTPYHRTNQIKGTMGYMDPGYIASGELTAQYDVYSFGVVLMRLLTGKNPLGLPNEVEAALSNGQLQDIIDASAGEWPLEYTEELARLAVRCCRYDRKKRPDLANEAWDVLQAMINYPDDKSETPSFFICPMTQEIMRDPHIAADGFTYEGEAIKDWLQRGHKMSPTIYLDLAHHELIPNNALRFAIQEWQMQQQP* >Brasy5G428400.5.p pacid=40079168 transcript=Brasy5G428400.5 locus=Brasy5G428400 ID=Brasy5G428400.5.v1.1 annot-version=v1.1 MFMRGGSGRSSNGSGTNRSASLREIDEEAATVSELEEDNDSGGKLYVAVGKDLKDSRSSLLWAAGNLLHGGDLRLVLLHVHQPAERIMNGLCKVPASHLEEKELKAYRRIEKDDMDALLNQYLNFCRISLKVQAETLVIEKNTPANGIIELIDKHHITKLVMGTSSVSVKRNIPKSKVAASVHLQAKPYCQIFYVSKETLACSREATQLSVKAESPRSSCASSLSDQAEFPARSASLPPGHPGFLGSADQEALPRRSNSVSYPLSGSVVDSVENMSLAMRKSIDMASTVFSPNSSQQSTVGSSLDLKDLDSMDGSPTPVSIAISEHQHSMVETVIQNEVFEQLHRVRSELERSRKEASEGRQKAERDLYEASMKFRARDNSLCREKKEVEERLTREKAGLEKEHLNICNELQKANEQRAELENKLLQANCIIEELQQLQGEMQREKDHAVREAEEMRQKNGNIVFGSGAVALTEFSYTEIKEATSDFDDSKKIGHGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVEILSRMRHPNLVTLIGVCREAKALVFEFMSNGSLEDCLQCKNQTQPLSWKMRIRIAAEICIGLIFLHSNKPKGIAHGDLKPDNVLLDASFVCKLADFGISRPLNVTNTTVTPYHRTNQIKGTMGYMDPGYIASGELTAQYDVYSFGVVLMRLLTGKNPLGLPNEVEAALSNGQLQDIIDASAGEWPLEYTEELARLAVRCCRYDRKKRPDLANEAWDVLQAMINYPDDKSETPSFFICPMTQEIMRDPHIAADGFTYEGEAIKDWLQRGHKMSPTIYLDLAHHELIPNNALRFAIQEWQMQQQP* >Brasy5G428400.3.p pacid=40079169 transcript=Brasy5G428400.3 locus=Brasy5G428400 ID=Brasy5G428400.3.v1.1 annot-version=v1.1 MFMRGGSGRSSNGSGTNRSASLREIDEEAATVSELEEDNDSGGKLYVAVGKDLKDSRSSLLWAAGNLLHGGDLRLVLLHVHQPAERIMNGLCKVPASHLEEKELKAYRRIEKDDMDALLNQYLNFCRISLKVQAETLVIEKNTPANGIIELIDKHHITKLVMGTSSVSVKRNIPKSKVAASVHLQAKPYCQIFYVSKETLACSREATQLSVKAESPRSSCASSLSDQAEFPARSASLPPGHPGFLGSADQEALPRRSNSVSYPLSGSVVDSVENMSLAMRKSIDMASTVFSPNSSQQSTVGSSLDLKDLDSMDGSPTPVSIAISEHQHSMVETVIQNEVFEQLHRVRSELERSRKEASEGRQKAERDLYEASMKFRARDNSLCREKKEVEERLTREKAGLEKEHLNICNELQKANEQRAELENKLLQANCIIEELQQLQGEMQREKDHAVREAEEMRQKNGNIVFGSGAVALTEFSYTEIKEATSDFDDSKKIGHGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVEILSRMRHPNLVTLIGVCREAKALVFEFMSNGSLEDCLQCKNQTQPLSWKMRIRIAAEICIGLIFLHSNKPKGIAHGDLKPDNVLLDASFVCKLADFGISRPLNVTNTTVTPYHRTNQIKGTMGYMDPGYIASGELTAQYDVYSFGVVLMRLLTGKNPLGLPNEVEAALSNGQLQDIIDASAGEWPLEYTEELARLAVRCCRYDRKKRPDLANEAWDVLQAMINYPDDKSETPSFFICPMTQEIMRDPHIAADGFTYEGEAIKDWLQRGHKMSPTIYLDLAHHELIPNNALRFAIQEWQMQQQP* >Brasy5G428400.2.p pacid=40079170 transcript=Brasy5G428400.2 locus=Brasy5G428400 ID=Brasy5G428400.2.v1.1 annot-version=v1.1 MFMRGGSGRSSNGSGTNRSASLREIDEEAATVSELEEDNDSGGKLYVAVGKDLKDSRSSLLWAAGNLLHGGDLRLVLLHVHQPAERIMNGLCKVPASHLEEKELKAYRRIEKDDMDALLNQYLNFCRISLKVQAETLVIEKNTPANGIIELIDKHHITKLVMGTSSVSVKRNIPKSKVAASVHLQAKPYCQIFYVSKETLACSREATQLSVKAESPRSSCASSLSDQAEFPARSASLPPGHPGFLGSADQEALPRRSNSVSYPLSGSVVDSVENMSLAMRKSIDMASTVFSPNSSQQSTVGSSLDLKDLDSMDGSPTPVSIAISEHQHSMVETVIQNEVFEQLHRVRSELERSRKEASEGRQKAERDLYEASMKFRARDNSLCREKKEVEERLTREKAGLEKEHLNICNELQKANEQRAELENKLLQANCIIEELQQLQGEMQREKDHAVREAEEMRQKNGNIVFGSGAVALTEFSYTEIKEATSDFDDSKKIGHGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVEILSRMRHPNLVTLIGVCREAKALVFEFMSNGSLEDCLQCKNQTQPLSWKMRIRIAAEICIGLIFLHSNKPKGIAHGDLKPDNVLLDASFVCKLADFGISRPLNVTNTTVTPYHRTNQIKGTMGYMDPGYIASGELTAQYDVYSFGVVLMRLLTGKNPLGLPNEVEAALSNGQLQDIIDASAGEWPLEYTEELARLAVRCCRYDRKKRPDLANEAWDVLQAMINYPDDKSETPSFFICPMTQEIMRDPHIAADGFTYEGEAIKDWLQRGHKMSPTIYLDLAHHELIPNNALRFAIQEWQMQQQP* >Brasy5G073600.1.p pacid=40079171 transcript=Brasy5G073600.1 locus=Brasy5G073600 ID=Brasy5G073600.1.v1.1 annot-version=v1.1 MVLTWVYGSWFTVERNVMKKLRIQSWAIPRYNFHYGLNWEKEAINSLIIKAIHEADKNGAKVVSLGLLNQAQSLNGSGELYLQKYPKLGVKLVDGSSLAAAVVANSIPQGTDQVVLAGNISKVARAVAAALCKNNIKVIMSNKQDYHFLKPKIPEDAADNLILSKTSIAKVWVIGEGLDTAEQFRAPKGTHFIPYSPFPPRAVRKDCCTYSTTPAMGVPKTLQNVHSCENWLPRRVMSAWRIAGIIHALEGWNEHECGDTVLDMDKIWSAAILHGFRPVAQA* >Brasy5G280500.1.p pacid=40079172 transcript=Brasy5G280500.1 locus=Brasy5G280500 ID=Brasy5G280500.1.v1.1 annot-version=v1.1 MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEGEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFAICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLD* >Brasy5G111900.1.p pacid=40079173 transcript=Brasy5G111900.1 locus=Brasy5G111900 ID=Brasy5G111900.1.v1.1 annot-version=v1.1 MEQDEAFRQHEHQQQDEEDDELDSFERRWNEYESSMAQHLLQLRASQTGGHGRGRGRGRGRDTPRAQALVAAALSYVPVQASPEALQRWMSVQTFSSSGSRFDLNELPPRWLGFWDCTDSEPWDLLPHAAMFDTEYSRWLDDDRLRMIELRGGLHANLPDSDLRAIVDDALTHYNEIFRLKDTAARTDVFHLITGMWATPAERCYYGKKGKAFGSRRNRQKKLPPEPGAAPSVISRYEGRERVSYRRHRMGSFLGDMALALGKMSNLEGFVVQDTAVTTQATRPVARARYRRRVWRSAREVNRSAVAAVPVTLEIPIGGRIWAESAQGEAIFLFRCWCGRRRRDCLGSKRREDGKKKKFGYGSSRSS* >Brasy5G525100.1.p pacid=40079174 transcript=Brasy5G525100.1 locus=Brasy5G525100 ID=Brasy5G525100.1.v1.1 annot-version=v1.1 MEEFPQLHDVVDQGFDNPANVELALDYLQKSRGIERTKELAQEHVNLAVKAIEALPDSDDEDVLISRRALIDITQRVITRTK* >Brasy5G073900.1.p pacid=40079175 transcript=Brasy5G073900.1 locus=Brasy5G073900 ID=Brasy5G073900.1.v1.1 annot-version=v1.1 MESDQGKLFIGGISWETTEEKLHEHFSTFGEVSQAAVMRDKLTGRPRGFGFVVYADPAAVDAALLEPHTLDGRTVDVKRALSREEQQASKAVNPSTGRNTGGGGGGNDASGTRTKKIFVGGLPSSLTDDEFRQYFQTFGAVTDVVVMYDQTTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGSGGGGRSMGGGGFHSNNGPNSNAGSYDGRADASRYGQAQQASGGYPGYGAGGYGSAATGYGYGHANPASTYGNYGSAGYGGVPAGYAGAYGNPSPAASGYQGGPPGANRGPWGSQAPSGYGTGSYAGNAGYGAWNSSSAGGNAPSSQAPGAAAGYGNQGYGYGGYGGDASYGNQGGYGAYGGRGDGAGNPAAGGASGYGAGYGSGNGNSGYPNAWTDPSQGGGFGGSVNGASEGQSNYGGGYGGMQPRVAQ* >Brasy5G128000.1.p pacid=40079176 transcript=Brasy5G128000.1 locus=Brasy5G128000 ID=Brasy5G128000.1.v1.1 annot-version=v1.1 MLPGEPVPELLAELIHFFESTPDPLDSYCHAQTNVGAESVFLLALAHGVDEAMLRRVVSGPPRPETGRESDMTPYFTLGRELASIWAQQMSGSEVGDREKPASIPSENKEKTEGTPMPKTSTPITAPPSVTDVAGAPKPREVPSLLALERAKSEMEFLRRRLQDAKTQAKDAKVQARNALAELQINKAKLKAAINREEFIFEELRDLSVRLQYVRWDPRAEQDRINDTLNARQTINRSSFWSERPRAHDPSGNGLQEEMLPA* >Brasy5G364400.1.p pacid=40079177 transcript=Brasy5G364400.1 locus=Brasy5G364400 ID=Brasy5G364400.1.v1.1 annot-version=v1.1 MRALPRYKSYPSSISSCSAAATSKTHPNRPSCGGGGGSGQVCLAELGQTSGGGGGAVGRRRRRTSGGEGRERGEEPVDEADPTGTGRRRARAALDLGRAGARSRLVARPGGVGGEGGEQSRRKRFGKIILTQWQF* >Brasy5G129800.1.p pacid=40079178 transcript=Brasy5G129800.1 locus=Brasy5G129800 ID=Brasy5G129800.1.v1.1 annot-version=v1.1 MYDHPIFDGGVWAIQIQVADGDTGSRHGIIPTPTMIFSDR* >Brasy5G304400.1.p pacid=40079179 transcript=Brasy5G304400.1 locus=Brasy5G304400 ID=Brasy5G304400.1.v1.1 annot-version=v1.1 MAGEQAYHRGGAHGGGSAVGEEYMRAIRGDDDYHNYYGGHGQQQHPMGVTVTKAVAAAVAAGSMLFLSALTLTGTVLALIVATPLLVIFSPVLVPAAVAVSLLTAGFVSSGAFGAAAMGVLAWMYKYVSSGESSPPGADKVDHARARLDSKAHDVKNWAQNRLDQARTQ* >Brasy5G231800.1.p pacid=40079180 transcript=Brasy5G231800.1 locus=Brasy5G231800 ID=Brasy5G231800.1.v1.1 annot-version=v1.1 MDLLAAEPRSLVIAGVALLLATAAFLKLLSGPGNRQRRLPPTIRAWPVVGGLLKFLAGPIPLIRSQYAALGPVFTVPILTRRITFLVGPEVSSHFFKGNEAEMSQQEVYRFNVPTFGPGVVFDVDYQVRQEQFRFFTEALRANKLRSYVDHMVMEAQEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDQARARLAEIFSTIIKSRKASGQSDEDMLQCFIDSKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLQFQRYFAEAVEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHCDFSVTTREGKEFDIPKGHIVATSPSFANRLPHIYKNPESYDPDRFSPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTLLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLIVEN* >Brasy5G085300.1.p pacid=40079181 transcript=Brasy5G085300.1 locus=Brasy5G085300 ID=Brasy5G085300.1.v1.1 annot-version=v1.1 MERLIDLLLGILPTPSVSTTGSLSAAADEGRDGEDHLSDLPEVLLGEIVSRLPVTFAKRTTVLCKHWRNVWHSAPLVLYDEHIPAASTSPRFAIVARVFAEHMGQFRTVHLARCSFELHAPELAECSRRLAAGGVQDLVLLNEPRVRPVGLDEEILPAEIFLCDALRSLYLGYWRLADVASLPVGDFFPKLEKLGLLNMFLGNNVLDRLLAASPLLTHAIIHWHQMPDERLHLRGQSLRCVVFYHSTVEELALVDAPLLDRLIMQRPTGRRMGGHLMRVKIARAPRLRVIGYLDALGHELRIGDTVIQASPSSVVPSVRVLAVKVNLDVFEQVKVLFRFLRCFPNVETLHVKSALHPIPTGLNPEKFFQNLGPIECLQSHIKKLVLHDFRGNHLGDIPFLRCFSQRAQALQTLILMAREEFAPALVGRMDDLVTAIAYPTWAGQCCTVLLVNSAAESPWNYQRSSSDLSVDDPFFTQGGQQLFHIRVEGE* >Brasy5G494500.1.p pacid=40079182 transcript=Brasy5G494500.1 locus=Brasy5G494500 ID=Brasy5G494500.1.v1.1 annot-version=v1.1 MLPESIGKLKRLRIIQLSGVWELEGLPHSIGDCHNLENLHLIGCGKVRELPSSIRRNGNIKLLNIINCPNLRQLPSESFGGLRNLETIKLSGCGSLEDLPSSFSCDELHTLELRGATKLTVLPACITSHGSLENLDLQDCRELVELPEGIGNLTRLQDLNLEGCGKLQGLPAGFGQLTRLRSLSLFVIGNSNEHARICELGNLDMISGTLQIEGIKNVQDPVDAEKAYLKKKDKLHKLVFNWLSVGTDMEEDVDMKKELGVLNGLEPPSGIEELEILNYDGLHLPCWMKKKHPYNSDSKYKQVVGLPNFPYLTKMILSSFPKLKHFSGFVGLPSLKTLELIGFDSLKSISAGPFPSLTDLHISGMQHLLELSTTTKITERCNQAVQCCYPRLATLHIEECPRVNVNPWFPASLESLKLERSNEQLLFTGCSDNDGASSLSLLKELRLWGMMGSICSSGWELLWQLTALESLEIVSCTELRQLPQSMLGLTSLQELIISWCDDLCMLPEWLRELCSLQRLDVSSCGNLRPCLEARFRTKYRGKIRSRKKYRLGPETRLVGRFRLLLHPV* >Brasy5G322600.1.p pacid=40079183 transcript=Brasy5G322600.1 locus=Brasy5G322600 ID=Brasy5G322600.1.v1.1 annot-version=v1.1 MSSRIRKYDSGCEKRKKKKRLEAVAQTQKGALDRFVVKDSPFSSENQTPDPDDNVDDAAEVEAHTAEIDHGADDAKIVDEVSDHDDRVDASSDRSPSTENHNDKNNTFQPDIFDPRNWDALDPKMVDILVQKGPRRDLSIQKGPKDGFSRRFSASTYTEEWLVYCKNLNRVFCFCCKILRKGQGKGQLANEGFSDWHHIGTRLKEHETGAEHVLNNSTWYDLRNRLQSNQTIDKDHWRKVFFGIILIVKFFVEHNLAFRGTNSKLYQHSNGNFLGIIQMLAEFDPVIQEHVKEAKYFSVLLDCTPDASHQEQMSLIIRYVDASSDSICIEESYLGFLEVNDTTGEGLFEALKDDTR* >Brasy5G454600.1.p pacid=40079184 transcript=Brasy5G454600.1 locus=Brasy5G454600 ID=Brasy5G454600.1.v1.1 annot-version=v1.1 MFLSKSWRSEGIQVTKTKLKTNHKHSSCLALLPLTSSVSLTPHADYTAAAATAPAQQQYSPSSAAAAAAVGEEELPSPSSGDSGGGGGSSTARKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDDAEAAARAYDAAALGFRGSRAKLNFPESASLLLQHPQQPNQPPPPVAMPPPQRPEALLESQAMRGSGGGQEYSQYARFLQDGSGGGGDQSASGTAAAPPMPPLFFLKNCSTITSGTGYPAAASPSTAAAWAAAYGLHDDDLRRRPPVLRRDDEPPSG* >Brasy5G167000.1.p pacid=40079185 transcript=Brasy5G167000.1 locus=Brasy5G167000 ID=Brasy5G167000.1.v1.1 annot-version=v1.1 MAAACQVQLPDDIIEDIITLLPAKEAVQCRCLSRFWAARLSSAAYIDARRRVANGRHGPRFFFIRTTYNEATGVTCATAHAWSLARPDDSAPLGVLPEKQSGWCHGLTIQPCRGLVLLKRALCWGTYHARKYYVYNPSTGQLAALPDRSLMGGCCQKHKVVRVYYRGLLPPDYSDKIRTRRGLLPVICEVYVVDDTGCWRSPLATGPTATPPAWGHVHWMAQKEKPKQRPPWRVTHKGLIVSFSLAKEAFGVHPGTYGLTELKERLCLFTHSVDVAHRRYHDVWLLRDHEKGTWDLYCRIDLRMSPEVARFMCCASPVNIIDGGCRILFMRGCDKRRNVLCAYTPKTDGVDFLPFGKVLPASRHGYINRDYPPAKLYEESIASPGRPYEDIIFSSASMRALCLALMHLGIPPHDLAHLRLVCRSWRTMVDQDPVFRKAVSLKIYRRRYNP* >Brasy5G273400.1.p pacid=40079186 transcript=Brasy5G273400.1 locus=Brasy5G273400 ID=Brasy5G273400.1.v1.1 annot-version=v1.1 MEPRWWGAPGAGALSAPPSAWSCSAVAPRRSGPSTFVPPPGPSSSSTPAYASSKVTFPSRPNWHGLLQYNCTSQM* >Brasy5G418500.1.p pacid=40079187 transcript=Brasy5G418500.1 locus=Brasy5G418500 ID=Brasy5G418500.1.v1.1 annot-version=v1.1 MKRSVAEVGQSSHHRSKNKGKSVAFNKSEFPPLVYKGKEKVVEKSTCKQPGKRGDVAAILPSASSSTGRSTNARNILRADAPEFIPSLVHKPSGECSKAMEKHSLEWKGVCLTARDRETGHVNPTSALSNPLSEDAPAMRESCEGSFDPVRHQRRQRNARRSWADLPAAVDQAAANRQCSHVSKSVKGRWEDTRPKMQVQNHDDSYVIRSICQTLRSHLPGASTSQNDVGGAIMRQAEALAKRPEYEEFIQQHPERREYVNQAARVRSRRAAYKERERAVRARSRSSRTQRAEASNNMFEVFNALPVGITEAADDSSETSSTTIHFKRKRPRKEIVPGHRPVTRSLKNSSNEEGDSACSGSYNPLRKDSPPTTDSEPDAREEEPHNFDPRCVEQVLAANDLSAEERLAQLTAALQQKDDELAALRCTTGYF* >Brasy5G462200.1.p pacid=40079188 transcript=Brasy5G462200.1 locus=Brasy5G462200 ID=Brasy5G462200.1.v1.1 annot-version=v1.1 MGRPASLWLALLIVSAMCVQFGLCGEAAITQKAAGGSPAPETNVLCVSKCGTCPTVCSSSAPPPPSSGGSGSGYSSPPSTPLSPPAGQKQPQPQAMGGHPSSYYYFFTAGSGRSCGGAAPRYALLLLLLLLVAAV* >Brasy5G282200.1.p pacid=40079189 transcript=Brasy5G282200.1 locus=Brasy5G282200 ID=Brasy5G282200.1.v1.1 annot-version=v1.1 MTSPTLVRKARLKTQLVSAKAKLRQHVTLRRALLLAAASAASFLLLLTLRTLSSSHATTRSPATQPQRGQQRCAKLLPAPVAEALVYYATTLENATQTAAELAVTARALARRGAPCNALVFGGLGGAESALWAALNHGQGGRTVFLEEDASLIAEFSSRHPGLGIEPHQVAYQTTLADAEELLALRDSPDCKKTQNHPLSLEDFESSKCKLAMRGLPAAFYETEWDVIIVDAPPGWVPEAPGRVGGAIYMAAMAARARSGGEKTEVVVHDVDRAVEDGLSMAFLCRGYLEEEVGRVRRFAVPSHREKDGMPFCP* >Brasy5G282200.2.p pacid=40079190 transcript=Brasy5G282200.2 locus=Brasy5G282200 ID=Brasy5G282200.2.v1.1 annot-version=v1.1 MTSPTLVRKARLKTQLVSAKAKLRQHVTLRRALLLAAASAASFLLLLTLRTLSSSHATTRSPATQPQRGQQRCAKLLPAPVAEALVYYATTLENATQTAAELAVTARALARRGAPCNALVFGGLGGAESALWAALNHGQGGRTVFLEEDASLIAEFSSRHPGLGIEPHQVAYQTTLADAEELLALRDSPDCKKTQNHPLSLEDFESSKCKLAMRGLPAAFYETEWDVIIVDAPPGWVPEAPGRVGGAIYMAAMAARARSGGEKTEVVVHDVDRAVEDGLSMAFLCRGYLEEEVGRVRRFAVPSHREKDGMPFCP* >Brasy5G103400.1.p pacid=40079191 transcript=Brasy5G103400.1 locus=Brasy5G103400 ID=Brasy5G103400.1.v1.1 annot-version=v1.1 MAAAAMAAHRASFPLRLQQILSGSRAVSPAIKVESEPPAKVKAFIDRVINIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTYVSSRKDLMLSDDMAESEPLTKNTILQILRVMQIVLENCQNKTSFAGLEHFKLLLASSDPEIVVVALETLAALVKINPSKLHMNGKLVNCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLCPIQDADQTSDNKSSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPPEHRFALFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDIVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDKDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVADSHTSMVTNDTLKSEDDHFYSQKRLIKALLKALGSATYSPANPARSQNSNDNSLPMSLSLIFQNVSKFGGDIYFSSVTVMSEIIHKDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGALCLNTQGLESVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSAGVDIIIEIINKLSSPREDKVIEPTSTEERTDMETDVEGRDLVSAMDSGADGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQNLLTLLLRPSITQSSGGMPIALHSTMVFKGFTQQHSTPLARAFCSSLKEHLKNALQELDTVSSSCEVIKLEKGNIPSLFIVEFLLFLAASKDNRWMNALLSEFGDVSRDVLEDIGRVHREVLWQISLFEEKKVEPEASSPKANDAQQVDTAVGETDDNRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDTGRATTDSHRIGADRYPSSGLPSSSQDQPSSSSDANVKSEEDKKRSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPINLSPSVVSVATNIASIVLDHLNFEGHTISSEREITITTKCRYLGKVVDFVDGILLDRPESCNPIMVNSFYCRGVIQAILTTFEATSELLFTMNRPPSSPMETDNKTGKEEKDTDCSWIYGPLSSYGAIMDHLVTSSFILSSSTRQLLEQPIFSGSVKFPQDAERFMKLLQSKVLKTVLPIWGHPQFAECNVELISSVTSIMRHVYSGVEVKNTVSNIGARLAGPPPDENAISMIIEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPPEDDELARALAMSLGNSDTSAQEEDGKSNDLDLEEENVLLPPMDEVLSSCLRLLQAKETLAFPVRDMLVTISSQNDGQNRVQVLTYLIDHLKQCLVASDPLKNTALSALFHVLALILHGDAAAREVASKAGLVKVALKLLCSWELEPREGEITEVPNWVTSCFLAVDRMLQLDPKLPDVTELDVLKKDNSNTQTPVVIDDSKKRDSESSSSVGLLDLEDQKQLLRVCCKCIQKQLPSDTMHAILQLCATLSKVHVAAISFLESGGLHALLSLPTKSLFSGFNSVVSTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPLIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKSKEKEKDKSVDKDKSSGAVTKITSGDMVSASPGSTKGKQSDLNAKSVKSHRKPPQSFVTVIEHLLDLVMSFVPPPRSEDQPDGSSSTNMEIDSNSAKGKGKAVASTPEESKQAIQEATASLAKNAFVLKLLTDVLLTYASSIQVILRHDAELSGPTRNSGGIFNHILQHFLPHATKQKKERKTDGDWRYKLATRANQFLVASSIRSPEGRKRICSEICSIFVEFTDSPTGCKPQMLRMNAYVDLLNDILSARSPTGSSLSAESVVTFVEVGLVQSLTRTLQVIDLDHPDSAKIVTAIVKALEVVTKEHVHLADFNAKGESSSKIVSEQNNVDSSANRFQVLDTTSQPTAMVTDHRETFNAVHTSRSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDRTGNESTMEIRFEIPHNREDDMADEDDDSDEDMSADDGEEVDEDDDEDEDEENNNLEEDDAHQISHPDTDQDDREIDEEEFDEDLLEEDEDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDQGVLDHPLLEEPSMLHIPQQRQPENLVEMAFSDRNHENSNSRLDAIFRSLRSGRNGHRFNMWLDDGPQRSGSAAPAVPEGIEELLLSQLRRPTPEHPEDQSTPAVGAQENDQPSNLHGSETEAREDEPAEQNENNESDDIPAARSEVDVSASAGPAPPHSDELQRDASSASEHVADMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLDVEIGSVEGHDDGDRHGASDRIPLGDVQAAARSRRPPGSAVLVSSRDISLESVSEVPQNPVQESDPNANEGDQEPNRPADADSIDPTFLEALPEDLRAEVLSSRQNQVTQASTDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRNRRGESSRRGEIIGSGLDRNAGDSSRQTSSKLIETEGTPLVDKDALVALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSTDATELPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARNHPNVARLLLFLQFPCPPTCQTGSLDQRRGKAVLMEGDSEQQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEINQAKLEASSEKPSGPENAAQDAQEDASVAGSSGAKSNAEDSDKTPADDGSSLQAVLHSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQDRKDPELLAEKDHSDALSQISEINTALDALWLELSNCISKIESSSDYTSNLSPASASAPTLATGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPISVQEASTSDMEDASTSSAGPKSSASHTSLDDKHSPFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVHFTRSFYKHILGAKVTYHDIEAIDPAYYRNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKEFELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKDQLQERLLLAIHEANEGFGFG* >Brasy5G346700.1.p pacid=40079192 transcript=Brasy5G346700.1 locus=Brasy5G346700 ID=Brasy5G346700.1.v1.1 annot-version=v1.1 MQAAAANGGPGDVQKQQQVGAPPPTVAALAPPPQWVAMPFAPPGAAAMVMPHQMAPAPPQFAPHFVPFHAVAAPPPPPLQPRPAPVAVALGSPAAQGGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLDGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDAALLEIFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTQAMTEMNGVYCSTRPMRIGPATPRKSPGTSGSTGSSARSDGDLTNTTVFVGGLDPNVSEDDLRQSFSQYGEISSVKIPVGKQCGFVQFLQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDSGNQWNNGMYYAASPFYNGYGYPAAPFPDPGMYTAAAYGAYPFYGNQQQVS* >Brasy5G346700.2.p pacid=40079193 transcript=Brasy5G346700.2 locus=Brasy5G346700 ID=Brasy5G346700.2.v1.1 annot-version=v1.1 MQAAAANGGPGDVQKQQQVGAPPPTVAALAPPPQWVAMPFAPPGAAAMVMPHQMAPAPPQFAPHFVPFHAVAAPPPPPLQPRPAPVAVALGSPAAQGGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLDGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDAALLEIFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTQAMTEMNGVYCSTRPMRIGPATPRKSPGTSGSTGSSARSDGDLTNTTVFVGGLDPNVSEDDLRQSFSQYGEISSVKIPVGKQCGFVQFLQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDSGNQWNNGMYYAASPFYNGYGYPAAPFPDPGMYTAAAYGAYPFYGNQQQVS* >Brasy5G457200.1.p pacid=40079194 transcript=Brasy5G457200.1 locus=Brasy5G457200 ID=Brasy5G457200.1.v1.1 annot-version=v1.1 MHRVGSAGNTGGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASASGTSDYLFTGSRDGTLKRWEFQNGDANFSATFESHVDWVNDAIIVGQNLVSCSSDTTIKVWNNLSDGACTKTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAALAPVAKSLDAKEDDIPNGNPGPALSTLCNVNSSGNISSTNGKSHGYSPIAAKGHKDSVYALAMNDTGSLLVSGGTEKVVRVWDPRTGTKTSKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFAHVYSGGRDQSVYLTDLATRESVLLCTNEHPILQLSLQDDTIWVATTDSSVYGWPAEECTPQKVFQKGSSFLAGNLSFSRARASLEGSAPVPVYKEPSLTIPGVPAIIQHEIMNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFDDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVSGAQEDLKINLAHETLRGLLVHWSKRRPKPGSHSLSNGDGSIGKDVSLKNLPHPRSDVDDGTENHASNVLPSFEFSTVSPPSVITESSSGGPWRKRITDLDGTEDDLPWWCVDCAENNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRILKVANYVVEKLVLEKPLDGSPDSTFAMGLTSGQSQLSALDSSSRLGLKSWQKLKPSVEILCNNQVLSPEMSLATVRTYIWKKPEDLILNYRVVQSR* >Brasy5G222300.1.p pacid=40079195 transcript=Brasy5G222300.1 locus=Brasy5G222300 ID=Brasy5G222300.1.v1.1 annot-version=v1.1 MMHPGGAAAADPPPRAPVADEGMEGSGVDIAGVFVPGAVVGEAGNPLAAAAPPPSLSEDTSLDKHPDGAPPPLPTQGNEDKQQDGMSPPLPTQGNEDKQQDGLLSEGNGEGDLMGKHTDVAAPLVTESNSLLDKQPDGVPPLQSQGDEDKQHLGEANMEVDEAPSVNQDNEEQPTSTEPESDVNEVGILDKQPDRALSKTNMDVDEAPSVNQDTAEQPASTEPKSDVNEVGILDKQQDGAALLLTESDGDKQPDRAMSDANMDVDEVPLVSDNKAEQPTAKESESDSKGVLEKQQDVATEANMEVDGTSSAEQQDHAAVAVPTEVNNVEEAKAKVVDQQPNTSDVVPPTKDEEEGECLVGRYVSRSASDQGRVRIGKVASYDGSIGVYNVVFEDGQGEELGLPQLKELLMAEVNAASGMKMSCRKRKLDLLVSPGNKGPPSTRQKVDDSCEVPARPDASQHAGSGLDVSGGAESSSNSSDSTKEPPAKLCLPVQGPELPPSSADIAVPEESISYLFSAYNFLRSFNVQLFLSPFGLDDFVASINCTVQNTLLDAVHVSLLRVLRRHLETKSSDGSELASNCLKYVDWALLDALTWPTFLLEYLHIMGCMKSLGGKSFGRTFLAIEYYKLPVTMKLRLLQILCDHVAESEELKAELEAREGYNEDIEYDTDSSILSEAGSRAVSTRASKASVLNKIEGLQSSETAPNVSKPETDLPNASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGPTSSRIERGARGAQMFGIDICGRLFLGSCNYLLVIGASTDAESYTRYYNQYDVVKVLRILACSDAYTDICRRITEYWRHLLDMFQNERSKIGKEVGAGHTTQSNTLLSVTPMKAGDGSARTTSKDGTDAEHLEGRQLMMASVVAATEKNNEVCMQTPLALNHIHNAPSNGALGPAGASSISHQSGSVVTGVSNVTRAQPSHGLLHPNFSACGSGFDNGMSGEDNVSAISVKADLPCPSYQSKSPLQLTTEKFGNTSGGRSAKVSFFRPQAYMNLYSHGSIAASAAANLAILTSDEGQVSASQLTANRRKKMAADCALQVKAFSSPAAQFIWPSTEKKVMEVPRDKCGWCIACKSSASGSKKACFLNVATANAAKGSARILSVMHVIKSSESHFPSITAYLANMEESLRGLLVGSLQQRQRWHKQLQEASNCKAIIPLLLELESNIRTIAYSTSWTKLIDEWPVESPAASAGSSRPAAYQKRGTGGRRGRRRSLATESVTNTAVTDDDKSWKEFNWWGGGNISKRILQRGAHLSSAIRKTVRQGGKKRIAGLSYHDASSYPRRTRQLAWRACVCLSQNSSQLALQVRYLDAHIRWKEFVPPDQIPSDGRSSDADFSSLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILETEDNQDQNVKLWFSENHIPLYLVREFEKKAGVSSLPSPGTLQSNCFTNLYQRRIKASTGDVFFYLFHKGDVYPCASCKKDVLFRDVIRCSSCEGNCHKECTVRSVGSKGGNAASSLICKLCLQKRNLVLTNYNTNTRYALPQKNSNSQLPVTAPKIIFKVGSSHSSEPAAKIQAQPVAKVVQPVAKVVQPVTMVESCPVAMVETQPTGKVLAHPIMNVEAWSVTNLATQNVAGLQAQAKTRAKKSKPERPRKRKKTQEITYFGLVWKKNKNENNGSDFRANDVILKSKDGTCSSIKPTCCLCNKPYSPDFLYVRCEKCKAWFHGDALRLEEERIFEVVEYRCCKCRRRAIPKCPHSDNFKKPEPELSEQTVATSSQSSMLSSEENDDAADQDPLLASYGTVEPIREEMYDADLSMNNARFTPGTNQKLSVRRAQTKNSGYVDQAGVPVNEGHNQNQPPANANLKFSDMDEFSLSEVDGVDASELLGWDLPQGNAYTSAPDYTPNCQWNDPSCGSAPAGDFEPQTFFSFTELLEADDTRFDNTFGMSNGNCTGSFDQGGASFDDISAFLVEDGSSNVHFPANDPPSDKPACNKCKNSQPPPDLKCLVCGLHIHRQCSPWDEDDQPAESADWACGGCREWR* >Brasy5G222300.2.p pacid=40079196 transcript=Brasy5G222300.2 locus=Brasy5G222300 ID=Brasy5G222300.2.v1.1 annot-version=v1.1 MMHPGGAAAADPPPRAPVADEGMEGSGVDIAGVFVPGAVVGEAGNPLAAAAPPPSLSEDTSLDKHPDGAPPPLPTQGNEDKQQDGMSPPLPTQGNEDKQQDGLLSEGNGEGDLMGKHTDVAAPLVTESNSLLDKQPDGVPPLQSQGDEDKQHLGEANMEVDEAPSVNQDNEEQPTSTEPESDVNEVGILDKQPDRALSKTNMDVDEAPSVNQDTAEQPASTEPKSDVNEVGILDKQQDGAALLLTESDGDKQPDRAMSDANMDVDEVPLVSDNKAEQPTAKESESDSKGVLEKQQDVATEANMEVDGTSSAEQQDHAAVAVPTEVNNVEEAKAKVVDQQPNTSDVVPPTKDEEEGECLVGRYVSRSASDQGRVRIGKVASYDGSIGVYNVVFEDGQGEELGLPQLKELLMAEVNAASGMKMSCRKRKLDLLVSPGNKGPPSTRQKVDDSCEVPARPDASQHAGSGLDVSGGAESSSNSSDSTKEPPAKLCLPVQGPELPPSSADIAVPEESISYLFSAYNFLRSFNVQLFLSPFGLDDFVASINCTVQNTLLDAVHVSLLRVLRRHLETKSSDGSELASNCLKYVDWALLDALTWPTFLLEYLHIMGCMKSLGGKSFGRTFLAIEYYKLPVTMKLRLLQILCDHVAESEELKAELEAREGYNEDIEYDTDSSILSEAGSRAVSTRASKASVLNKIEGLQSSETAPNVSKPETDLPNASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGPTSSRIERGARGAQMFGIDICGRLFLGSCNYLLVIGASTDAESYTRYYNQYDVVKVLRILACSDAYTDICRRITEYWRHLLDMFQNERSKIGKEVGAGHTTQSNTLLSVTPMKAGDGSARTTSKDGTDEHLEGRQLMMASVVAATEKNNEVCMQTPLALNHIHNAPSNGALGPAGASSISHQSGSVVTGVSNVTRAQPSHGLLHPNFSACGSGFDNGMSGEDNVSAISVKADLPCPSYQSKSPLQLTTEKFGNTSGGRSAKVSFFRPQAYMNLYSHGSIAASAAANLAILTSDEGQVSASQLTANRRKKMAADCALQVKAFSSPAAQFIWPSTEKKVMEVPRDKCGWCIACKSSASGSKKACFLNVATANAAKGSARILSVMHVIKSSESHFPSITAYLANMEESLRGLLVGSLQQRQRWHKQLQEASNCKAIIPLLLELESNIRTIAYSTSWTKLIDEWPVESPAASAGSSRPAAYQKRGTGGRRGRRRSLATESVTNTAVTDDDKSWKEFNWWGGGNISKRILQRGAHLSSAIRKTVRQGGKKRIAGLSYHDASSYPRRTRQLAWRACVCLSQNSSQLALQVRYLDAHIRWKEFVPPDQIPSDGRSSDADFSSLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILETEDNQDQNVKLWFSENHIPLYLVREFEKKAGVSSLPSPGTLQSNCFTNLYQRRIKASTGDVFFYLFHKGDVYPCASCKKDVLFRDVIRCSSCEGNCHKECTVRSVGSKGGNAASSLICKLCLQKRNLVLTNYNTNTRYALPQKNSNSQLPVTAPKIIFKVGSSHSSEPAAKIQAQPVAKVVQPVAKVVQPVTMVESCPVAMVETQPTGKVLAHPIMNVEAWSVTNLATQNVAGLQAQAKTRAKKSKPERPRKRKKTQEITYFGLVWKKNKNENNGSDFRANDVILKSKDGTCSSIKPTCCLCNKPYSPDFLYVRCEKCKAWFHGDALRLEEERIFEVVEYRCCKCRRRAIPKCPHSDNFKKPEPELSEQTVATSSQSSMLSSEENDDAADQDPLLASYGTVEPIREEMYDADLSMNNARFTPGTNQKLSVRRAQTKNSGYVDQAGVPVNEGHNQNQPPANANLKFSDMDEFSLSEVDGVDASELLGWDLPQGNAYTSAPDYTPNCQWNDPSCGSAPAGDFEPQTFFSFTELLEADDTRFDNTFGMSNGNCTGSFDQGGASFDDISAFLVEDGSSNVHFPANDPPSDKPACNKCKNSQPPPDLKCLVCGLHIHRQCSPWDEDDQPAESADWACGGCREWR* >Brasy5G210500.1.p pacid=40079197 transcript=Brasy5G210500.1 locus=Brasy5G210500 ID=Brasy5G210500.1.v1.1 annot-version=v1.1 MALIADELKANAEIYYGNEICQQCTTLLLKEAGLPNGLLPLEDIMECGYVEETGIVWLKQKKRIDHVFQSLGRVVSYGTEITAFAEKGRIKKVKGIKTRELMLWVPVEEITLDEPATGKLICKSIAGFSKTFPASAFHIPEKENEKMNCAGPKPVVLMERAPRVVKN* >Brasy5G203700.1.p pacid=40079198 transcript=Brasy5G203700.1 locus=Brasy5G203700 ID=Brasy5G203700.1.v1.1 annot-version=v1.1 MASHSSKPSVLSTLPKELPLDFLRKITDGFSSKRIIHEGATGTVYKGIVDDGEEIAVKRLTDKEMSEKLFSNEVQNLLALQHENIVKLVAYCYESELKVVKRNERYVVDKITEGLMCYEYVAHGRLADHFVDNSHKLDWKTCFKIIKGICNGLLFLHNIPIVHMDLQPENILLDKNMVPKITDFGLSRLFGQEQTRMMTLNVVGALGYMAPEYLYRGEISCHSDIYSLGLLIMEITTAEKNCPTTRDSSATEYIKKVRQNWTPEYIASKFKYSSLNADCLQEVKVCIEIGLACVEIDRMNRPSIGNVVDRLNGRCRG* >Brasy5G138200.1.p pacid=40079199 transcript=Brasy5G138200.1 locus=Brasy5G138200 ID=Brasy5G138200.1.v1.1 annot-version=v1.1 MWAAVNEPTDDGGGGGARHSVGEEASSLLPSGTQGRQPRRRRSRSCGGGAPRSSSWPEAAWCRGRTGEPDTAAGGARGGTKSMALFIRRQRRLGLGCCRRRPWLDPEVGEAGRGGGRARVRERGRHEREGRKTGERWKRRGGEELITQGGDRGPWRRRRGPGMRRRRQRSMAAAARRLGSR* >Brasy5G166700.1.p pacid=40079200 transcript=Brasy5G166700.1 locus=Brasy5G166700 ID=Brasy5G166700.1.v1.1 annot-version=v1.1 GPCPVCKRPAGQCKIKDKVKSFFCIDTIEMFHDHVNIPCYIRDQFNKLCSNVVTLETIDGYLYTVEVDVRPDVTVLRGSKWGEFVADHGMVLGDCLMFHFPKDDKHTIVIHPTNKKRKNKMRIDNSVSVEAFNYDIEYKLAHAARANLRAHVLDSGAGAGAIFVHRLSETSIKRGIKFTEPVTTVLRAPQRGDILVYAQDPDVVCLMQYSVQTDGRLATSGSVAWKDFVNQVHLKKNQVISVLIFKEMTRLAAYVKRI* >Brasy5G427200.1.p pacid=40079201 transcript=Brasy5G427200.1 locus=Brasy5G427200 ID=Brasy5G427200.1.v1.1 annot-version=v1.1 MMVIDTVSASTSFIAHHLFDQRSKVVGCRPLGTRFTGRRLVARVTRQLSPARRLADWPVRALAIGVTKEASPPRREFRGIPGDGDDDMGDVGAATSPAPSWPPRNRADDPNLHNPLLRLERMGCGWLGVIFEWEGVIVEDDNELEKQAWLTLAQEEGKSPPLAFLLKRIEGMKTEHAISEVLCWSRDPSELRRLASRKDEIHCNLRGGSFYQMRDGSREFMSTLANYKIPLAVASTRPRKVIEEAIEAVGVRSFFEAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPVYELNAADLVVNKLDELSVVDLKNLADIDSPEFDMEPEPEMEEEEDVPPSTAVGVDDLFL* >Brasy5G386000.1.p pacid=40079202 transcript=Brasy5G386000.1 locus=Brasy5G386000 ID=Brasy5G386000.1.v1.1 annot-version=v1.1 MAIVGLIPFVCAAIRKKRGSKARADRYARYVSGAAARVGESGGGGGGAYQSQSCRFAARASASPVSSYDFDGAANRYERLLATGRREDARFDGAGGYQSQSCRFAVRPSPADGLGFWHDEDGAASPPLEEPLGKLLFSPAGRNERGLSRSLRFSSMRVFARLGGA* >Brasy5G015800.1.p pacid=40079203 transcript=Brasy5G015800.1 locus=Brasy5G015800 ID=Brasy5G015800.1.v1.1 annot-version=v1.1 MGRSFRAGHHAELEDSVSDPFNKNPPFRGLKLYVKDLDSNTLPPFLARLCDPEKPCSYSEEEVLCVFETAAEVHGYNIVPHISQIVSTIITIVSSVTGSLHSVGCSKVISALSRYVIDPMGTEEEKSVTISSLCRPLSDCLMSTNESASSGSALCVTALIQSNNWQFASDELVNDICLKVSGALEEVHCQNISHLGLVVALSKLNQLTLEPYGRSLIRSGLRILDESTKASNSQMILSSIQMIRSIMISLDVSIISSEISNIIHAMEQLQCHSMPEISTPAFQAAEIAKKFCRQEEGGYSKRVGPLANYGGRHVRRGSYSHSVMDDVDIRDGGSNESLSDDVQSVHRFRDYDSHPSYSGIPGSARARRRLWSNGSEKSHGLSNGDFPDTAIPDSYDGVRVMAQSNSAGLVKSARRYSDVPTRIADPCPTCLTPRATNQCSQISRRGALSGNLRMPSTPRKQLQFYNSSDSKREGHRLPDSPALRQIRRCSGQCAEGEVEESNGCWDSIQHDNQYHVQNINTSIEDLKLLTNGEHSDNAGKSPCEERQPEKEKMTRGKKGNTNCFSTPFFLFVCTLVIVALLLAWCKEDRKELYVVPT* >Brasy5G015800.2.p pacid=40079204 transcript=Brasy5G015800.2 locus=Brasy5G015800 ID=Brasy5G015800.2.v1.1 annot-version=v1.1 MGRSFRAGHHAELEDSVSDPFNKNPPFRGLKLYVKDLDSNTLPPFLARLCDPEKPCSYSEEEVLCVFETAAEVHGYNIVPHISQIVSTIITIVSSVTGSLHSVGCSKVISALSRYVIDPMGTEEEKSVTISSLCRPLSDCLMSTNESASSGSALCVTALIQSNNWQFASDELVNDICLKVSGALEEVHCQNISHLGLVVALSKLNQLTLEPYGRSLIRSGLRILDESTKASNSQMILSSIQMIRSIMISLDVSIISSEISNIIHAMEQLQCHSMPEISTPAFQAAEIAKKFCRQEEGGYSKRVGPLANYGGRHVRRGSYSHSVMDDVDIRDGGSNESLSDDVQSVHRFRDYDSHPSYSGIPGSARARRRLWSNGSEKSHGLSNARRYSDVPTRIADPCPTCLTPRATNQCSQISRRGALSGNLRMPSTPRKQLQFYNSSDSKREGHRLPDSPALRQIRRCSGQCAEGEVEESNGCWDSIQHDNQYHVQNINTSIEDLKLLTNGEHSDNAGKSPCEERQPEKEKMTRGKKGNTNCFSTPFFLFVCTLVIVALLLAWCKEDRKELYVVPT* >Brasy5G181900.1.p pacid=40079205 transcript=Brasy5G181900.1 locus=Brasy5G181900 ID=Brasy5G181900.1.v1.1 annot-version=v1.1 MAGSRTSATRGGRIPGREGRIHGGGADGLWIRGGGEVSRTWDGEQWRRRPSMADRQQGKAGRRHRPSMADRRRGTTGGSAPPWRWLGGDRARGLRRGGRSAGRPRPASGPSRHGVGRRLQRGGGRRLEVLRRGEAVSGAVGRPARGGVVGTWERER* >Brasy5G294800.1.p pacid=40079206 transcript=Brasy5G294800.1 locus=Brasy5G294800 ID=Brasy5G294800.1.v1.1 annot-version=v1.1 MHQAVNYLSQELCSKDVHFLMELPVSSPAGSWTSTWSTTSPSAPAVCSGWRACTPTPRDPRLRPRAWVALCDGDAARPDDAREIPFFHTRAPARRLRVRLPELRGHRIVGFTDGLVILLHERTTAVRVLHPFTRAAVDLPPVAAAYHQAVRSRRDLLAMNAAVCDSRGRHRRRGLVPVDDGRARRRSGLFFRLLGEIVQVYPPGPGLEGSSSSHLVLAHVPDAFGDIVFCEFLLVEAGGRVLLVVRYPPGFGRKMDWSRSVGFGIYAVDLNRSPLAELVPVSCLGDFVLFLNADRCLSVSARDVPSLTSGSIYFSLSDSPVVLHSQRTGLSEPWAASCQIDNMKDRIRPSVRPFTVI* >Brasy5G237400.1.p pacid=40079207 transcript=Brasy5G237400.1 locus=Brasy5G237400 ID=Brasy5G237400.1.v1.1 annot-version=v1.1 MAASSMATKLGAVLLLLVMAAPAASATRRRPDSRVLWFPGTRPRGGGSPSVFPGWPRRPSSPPPAARFSPPSPRPSPPAPPSPVPTPPASTPPPCVSAARPLPGFPGVSSSPSTSSSSAPADCVTPLAGLMTCGPFLTGTAQDTPAPGSECCAGLGMFLNSTAAADDRSLRCLCPVILGDVNRMLPRPVDPVRMMYLPISCGVVLPPQVLFICFTGQPTPPVVSRIPDSWRKTFFSSSSLSSSSSSSSSSSSSAAELSP* >Brasy5G237400.2.p pacid=40079208 transcript=Brasy5G237400.2 locus=Brasy5G237400 ID=Brasy5G237400.2.v1.1 annot-version=v1.1 MAASSMATKLGAVLLLLVMAAPAASATRRRPDSRVLWFPGTRPRGGGSPSVFPGWPRRPSSPPPAARFSPPSPRPSPPAPPSPVPTPPASTPPPCVSAARPLPGFPGVSSSPSTSSSSAPADCVTPLAGLMTCGPFLTGTAQDTPAPGSECCAGLGMFLNSTAAADDRSLRCLCPVILGDVNRMLPRPVDPVRMMYLPISCGVVLPPQVLFICFTELSP* >Brasy5G445500.1.p pacid=40079209 transcript=Brasy5G445500.1 locus=Brasy5G445500 ID=Brasy5G445500.1.v1.1 annot-version=v1.1 MQQHFSIRNLTANATALAASPVGRLLRIEVLVTISCALLTVLVLLGSGRRASQSSALRLAVWSALMLSYPAVSYTIGLMQSASFRNELIVIWGCFLLLLLGTADGIAAYSLNDSDQQARTILNQALQVIYIFFLLLSYVSSLPLQLKVLISLLLLLSVAKLGMRVRSFLLDGRDRVLTVDNKLVSDYMAREHGYSGANYDAATMRGYKYVVAGEAVELHDGERDYWAIDPETNPDLVTVDRVWECHGRLLRSGSDADQDDDAAMAALELKDLCLSFALFKLLRRRLGRYPLHESCLNKTRDLVKVGLLTGDDHERMYRVVEVELGFLFDFYYARYRSPKETLMPDTALFAAVLATSLSTLFSSALLDYRSPAQGSNPNVATTRFDIWLTRMIILLFLILESFQYFALAFSDWHKVKTLCRYVLNEAWHNSPLLERVLRLICRVKLTRYWNNSLGQHSLLHACLRYNKQGCTWGVPLPRRVVRFLIRSRMTDHRKLPGSVKRAIYGFLKTGLTRIQNGEYTLEKNGMLDNFYWAIAQESAVQNMMIWHVATAICDSRLRLSRADAATRENHEVATTVSAYCAYLMSSAPELLPDHSYDTQLLFQGVHLKARKLLEGCRLKEDMYGKLPDSDCNDGYEGILADGKRLSDEIEQRMPEPATRWRVLAGLWVEMLLSVAPSDNATGHIQKLATGGELITHLWALLTHAGIIERPLSRYQRKGP* >Brasy5G171700.1.p pacid=40079210 transcript=Brasy5G171700.1 locus=Brasy5G171700 ID=Brasy5G171700.1.v1.1 annot-version=v1.1 MVPPLSSEFGFEPPPSSELARASTPSVLPRSLRWAPAQETSHSADGSPIHDTQPALASDGNEGNTGPRSKLAKTHRLGLDPREATIVSSSRSELRRLRRRAAGAAAAETSDGYLDERRRRRLPRRADAAAAEAAATEASGGGGGGGGGYRDERRRRLPRREAAAAYEDLSTHEADRSSSLRLRRPFDLFYVRFLRTNGDDATATCCQRRLLLR* >Brasy5G213300.1.p pacid=40079211 transcript=Brasy5G213300.1 locus=Brasy5G213300 ID=Brasy5G213300.1.v1.1 annot-version=v1.1 MAATAAAEAFSRRLGAAVRGLSGAWYGLHMAAADRAIRARLPLVDLVLEVRDARIPATSAFQPLRRRSTEDLDGRRLVALNKADLADPSETQKWVALMKQRGCSCVAVNSHSRESIKELLNIVRSKIREIKLGENDCTGTVLLVGIPNVGKSAIINAMHQIGRLGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGILSRTFASDECGPRLALTGAIKDSLLEEYEIAKFLLAILNLEYRVRDNLSRVGDKSCFADGMSGRSHHTKRQYASDHTQDFIVKAIRQVLFETTVSFQGDLGNENELRRLVDSQFTSLQNAFRLSAESSENMNKRVAIKLLNLYRTGRLGHYTLDHAPEVRQEVVV* >Brasy5G014200.1.p pacid=40079212 transcript=Brasy5G014200.1 locus=Brasy5G014200 ID=Brasy5G014200.1.v1.1 annot-version=v1.1 MNNDDSCLAYILGAISNLLSKIGISNAVGIIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADMLKLTSLLSFNHISLAKFNLKHVQRPLVSFGPNASTKLRTCPADVFKNLRLSSHVLSDFGTDGLSTSNDNGSFSTSWLRNLSAASSSWCSSSTKSRKLLTNDFDNFHFHAQPSPIPTSVLQLAGSTYLMRATAWEHYGSAPMVRMNALVYATCFADAASSSELSLAYVKLIQQQAVFKGYSAAFCALKLAEEKFPSSTNSNIQLLRMQLLHERALHRGHLRVAQQICDEFGVLSSSVSGVDIELKTEASLRRARTLLAAKQFSQAAIVAHTLFSTCYKYNMQVENASVLLLLAEIHKKSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGPSHVKRALSLVYQSLPMILGHGGLELRARAQIVLAKCHLTDPEFTVSEDPCAVLDPLNQAAEDLQVLEYHEMAAEVYYLKAMTYNHLGKEVEREEAAACFKKHVTALENPHNEDVSLAY* >Brasy5G019100.1.p pacid=40079213 transcript=Brasy5G019100.1 locus=Brasy5G019100 ID=Brasy5G019100.1.v1.1 annot-version=v1.1 MRTPTPASPSPIPYRERPLEYSPPVLCDCGTKAPRWISWSVLNLGRRCHTCPLRQGFGDFCVKWKKETERLKSEAVNFDVSVHELQQKLANEEKKNVVFEVQVKTMKNQMETQKFCIVFLVFLLASVLWAVMSVEHVV* >Brasy5G111200.1.p pacid=40079214 transcript=Brasy5G111200.1 locus=Brasy5G111200 ID=Brasy5G111200.1.v1.1 annot-version=v1.1 MAGSGGGAPAAAAALAVTLLLVCPLSGAIQGSCASDALCRAGADAGGLCEQLLLPLGYPCTEHIVETGDGFLLSLQHVPHGKNGLADNTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQELAEYDLLAMLSYVYTVKQSKIIYLGHSQGTIMGLAALTMPEIAKMISSAALLCPISYLDHVSATFVLRAVGIHLDQMLLTMGIHQLNFRSDLGAQILDSLCDDGHVDCNNMLSSITGENCCFNATRIDYYLEYEPHPSSTKNLHHLFQMIRKGTFARYDYGLWGNLRRYGRLQPPPFDLRSIPESLPMWMAYGGLDALADVTDVQRTIKKLRSTPETLYIGEYGHIDFVMSVKAKDDVYVDLMRFLRANGWHSSY* >Brasy5G503900.1.p pacid=40079215 transcript=Brasy5G503900.1 locus=Brasy5G503900 ID=Brasy5G503900.1.v1.1 annot-version=v1.1 MCPLRVILIFLSATVAGFFLLRGLNAGDNEFLDADDDDRSSGPESPRAAAAAAVPLRSKVGSAVRTGFWTTVDMASGRYLWRTLVAPPANSESDKSR* >Brasy5G483200.1.p pacid=40079216 transcript=Brasy5G483200.1 locus=Brasy5G483200 ID=Brasy5G483200.1.v1.1 annot-version=v1.1 MRQGNMSFLVSLLSFFLVTVAAEGTTMQVLNSRSDVTAYWQTVFPDTAMPSAILDLLPPAAGNGDEINKHANQMGRKLRLHSSDEKDKFQPTDGVELNPVRADGVDLNPAILYAKGANGVELNPAILYAKDAKEPIHVTSANMPGADGVELDPAILYAKEAERVGLNPAILYAKEAERVGLNPAILYAKEAKQPIHATISNTLAIASGHGHHVHGGHHMDLRNIGFLEEVLTPGSKIVPYIHPAAAPAPLLRRAAADSILKSTKSFAAIMRMLAPAPVSRALAKNICAGPLRAPEPRQGREKACASSIESMVEFAASVFGTRALRAFSSPNAPAEGRRAGAGAGSKTYRVAAVRAVTGTGDTMTCHGAAFPYKVFVCHSLNPTRVYSVALESDEEKMEGLMVCHLNTSEFDPMKMPPGFKPGDTPVCHFLNGDSILWAPAATTVGTQGSGTAAAAAAQH* >Brasy5G433900.1.p pacid=40079217 transcript=Brasy5G433900.1 locus=Brasy5G433900 ID=Brasy5G433900.1.v1.1 annot-version=v1.1 MYGETEPAAAAERTAFRQAEKQYKLYKPPNSRGRSRRKPTDGGGGGDLSAVVDFHELLAGGSELPAGIGRRDCAGFVKPVFCFLDWPGFYFIPGALSTEEQCYWIRESLKTFPQPPNRTNLTAMYGPISDLLYAAENQEILVEVEGSDGQERKEQGNDGEKTRCKIFKFVADSEIQKGEKRKSIAAATLVRKLRWSTLGLQFDWSNRNYDVLLPHNKIPDHLATLAKKMAIPAMPSGEEFNAEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEAPRAMFLRSGDIVLMAGEARERFHGVPRIFTESDQQEILAVVSQLSGDDDRFISDYIRNSRININIRQVY* >Brasy5G113500.1.p pacid=40079218 transcript=Brasy5G113500.1 locus=Brasy5G113500 ID=Brasy5G113500.1.v1.1 annot-version=v1.1 MGLLRPFNRIGFLLVLLAFCCGTEQYRAEAARRANPNRMLTFVSVAKPSYPTITTPTSSSFPSLADANGGGGMGAGGGGGMSGGAGTGGGAAGGIGGTGGGMSGGAGGGGGGGGTWCVASQSASSSALQVALDYACGYSGVDCSAIQTGGSCFSPDTIHDHASYAFNSYYQKNPLPTSCDFGGTATITTTDPSSGSCQYPASSGGAQGSTMPPPSPTFMTPTTPMTPTPMTPMTPTPFTPDTPTTGTPIYGSTSPPDYGSMSPPGMGSSSPPDYNDVGAAPAAAKGKSALVLISILVATISLNMAT* >Brasy5G032200.1.p pacid=40079219 transcript=Brasy5G032200.1 locus=Brasy5G032200 ID=Brasy5G032200.1.v1.1 annot-version=v1.1 MAGAARQLTFSDGSVHNIEAGNAADVLRRYAVVGAQFARSRRIFALVAQYAEEDAAGGLSVATWNQRLRDLVTDTDTLHDLFLASSTLGVRGLMLLCAKMAADVVRGGTVDEIRSLLGINGDDLGISSEQDLLVLAPPP* >Brasy5G396100.1.p pacid=40079220 transcript=Brasy5G396100.1 locus=Brasy5G396100 ID=Brasy5G396100.1.v1.1 annot-version=v1.1 MGNPSAFHRFIALQALTAFSLLAVFVADVKGGDDVCPPFSCGHLEDISHPFRRQGDPVECGVGAYELTCSSNGEATIHIDTRTYYVTAINHTDACFSVMDANFYSNSSCSLPMWNYFNHYNCRYNGNDAEFRNLGTRALYSACFANCSRAITNNSVYKPVDCLSANNSHVYVWVSERRCSVDELEPYCGFLALSPLRDNHCYGCLQRQNTSYADITQLIRKGFVVHFPIDCGIWRSSVSKTINLCRNNSISYFKEQTSGASIMNWTHAFFWSEIHFLECVITSSNNYYTTRSLLVVITIVSSIAILKFIFACWAVCRLVLAPLVVWIFLAYKYWKTRITIDAVEKFLRIQQMIGPMRYAYTDITAITGHFRDKLGQGGYGSVYKGVLLPGDVHVAVKMLEGNSSCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDNSFVPLSALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNVARSSQAYYPSWVYDQLTQQEAGEISPVADMHELEKKLCVVGLWCIQMKSHDRPTMSEVIEVLEAGADGLQMPSRPFFCDEEHIHVEDSYHFASELTAVSEEDEDE* >Brasy5G392400.1.p pacid=40079221 transcript=Brasy5G392400.1 locus=Brasy5G392400 ID=Brasy5G392400.1.v1.1 annot-version=v1.1 MEGEDDGGDWLMPSAGPGGKKGGGGKDCNKKRFSEEQIKSLESMFSTQAKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLERQYAALRDDYDALLQSYESLKKDKLALLDQLEKLAEMLREPDGGKYGANAGDGDGMKEELVVDDGGAKLCNNDPASEGHGKLSLFGEDDDDAGLFLRPASSQPAHDGGGFTASGPADYQQQHSSSSFPFHSSWPSSTTEHMTTCSSSQWWEFESLSE* >Brasy5G224500.1.p pacid=40079222 transcript=Brasy5G224500.1 locus=Brasy5G224500 ID=Brasy5G224500.1.v1.1 annot-version=v1.1 MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVIDPVTGKPCKTGYKYLEDGTKVRVSRGMYASGAVIPRPEILKERKKPRPTSPGPKDTPFEHVLEKTYDAKAGLGMPDL* >Brasy5G190500.1.p pacid=40079223 transcript=Brasy5G190500.1 locus=Brasy5G190500 ID=Brasy5G190500.1.v1.1 annot-version=v1.1 METPCRRTTLPTSLIFFIVLAASLVAAGADNNNSAKAACADTPYPDYCETILSAAFPPSDGGKLTADTAAESAVRAAADVTARAAALARHETEGIKDGTWWCMDTCATEIEDAAERLGGKGAVNLARVRSFFARTEADSIMWNCDDCQRGKDKKTDLISKDGELEMVMGVASALVKRVPSTGSGAMTVPEPAPAPSMD* >Brasy5G443300.1.p pacid=40079224 transcript=Brasy5G443300.1 locus=Brasy5G443300 ID=Brasy5G443300.1.v1.1 annot-version=v1.1 MAVVGVVGAEVLIPLAALIGVAFAVFQWFVVSRVKVPAAAAANAAAEDNAAKARLRPAESEVAEVVEDSEEAVDYGRVEAKCAQIQNAISIGATSFLLTEYRYLAVFTAAFAAVIFVFLGSAAKFSTQPTPCPSDPSRTCKPTLANAGFSTLAFLLGALTSVMSGYVGMRVATYANARTALEARRGVGRAFVVAFRSGAAMGFMLASSALLVLYVAVNLFGVYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGTEHNMTAMMYPLLISAMGIVVCMLTTFVATDFVEVKEVRDVGPALKRQILVSTVLMTAGIAAVSFLVLPHRFTLFDFGRVKVVRNWHLFICVSAGLWAGLIIGYVTEYFTSNAYRPVQSVANSCRTGAATNVIFGLAVGYKSVIVPIFAIAASIYTSFRLAASYGIALSALGMLSTIATGLTIDAYGPISDNAGGIAEMSGMPAAVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGVRAVDALSPKVFVGLLVGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFEQIPGLMAGTAVPDYATCVKISTDASLREMVAPGALVVLSPLVAGTLFGAEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEKARALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVEALVFAPFFAAHGGVVFNHL* >Brasy5G055300.1.p pacid=40079225 transcript=Brasy5G055300.1 locus=Brasy5G055300 ID=Brasy5G055300.1.v1.1 annot-version=v1.1 MGNDAQRCHHPVQKPEPQVSPGAHNGDGWGGPPGPERARSTEEAASRQHLGSHRIRYHQPRIRPCEPRIQHRHRSHDAPAAAPRAASPRRPPACCRFRASQGRLHVPPEPPPRRQPPPTHAQAQPPPRRQPSPAHAHARPALARTGPARFLDLLPQPREGPAAAVRRTGLARRLPPATARGEALSGGRGRQLLGFRLSRPRERRGRC* >Brasy5G406200.1.p pacid=40079226 transcript=Brasy5G406200.1 locus=Brasy5G406200 ID=Brasy5G406200.1.v1.1 annot-version=v1.1 MAARSLLSISLLLGSLFSGALSTTFTLTNSCAYTVWPGLLSSAGTPALGTTGFALAPGESRAVEAPEKWSGRIWARTLCSTSDQGRFSCATGDCGSGALECSGGGAAPPCSLAEFTLAGSGGNDFYDVSLVDGSNLPMVVVPQGGGGSGCGTTGCMVDVNGPCPADLKVAGPDGATIACKSACEAFGRPEDCCSGPEFGTPDACRPSAYSLFFKNACPRAYSYAYDDATSTFTCATGTASYLIEFCPSISSLKSSVGGTNASPASPGVNDTVSYLGHNRDRSGNGWWYTPSSSHASRSSSAPSPVALAAFAAVSTWLICGARNH* >Brasy5G171900.1.p pacid=40079227 transcript=Brasy5G171900.1 locus=Brasy5G171900 ID=Brasy5G171900.1.v1.1 annot-version=v1.1 MGMRARGGGCARRPAGRGGGRRGGAAGEEAGGQGRRRGEEARGGGTGRRRGEEARGGGTGEEVDGGGELGAGRSRGEEAREGGTGEEVDGGGELGAGRSRGEEGAGRRHGGGDGRRRGARRGEEPRGGGRGERARGGGAGRGRGKEARGARRRGRRWTAAGS* >Brasy5G374900.1.p pacid=40079228 transcript=Brasy5G374900.1 locus=Brasy5G374900 ID=Brasy5G374900.1.v1.1 annot-version=v1.1 MFTLSNLLLFVLAAALVAASGVLLLSHSSCPCDVDATHNGAQTHPGIRSPGKVHNGAQTHPGIRSPGKATVARKEDADDLAQLLRKAAMEDNTIIMTFTNEAWTTPGSLLDLFLESFRVGDKTEPLLKHLVIVTVDGKAFEQCKRVHPFCYSFDAGGGMNLAKEQKFMSGDYLEMMWARNRFQNHVLELGFSFVFTDVDIVWFRNPLLRIPLGADIAISSDKFDGDDPYDLGKRTNGGFVSARSNARTLAFFQGWHEARKAYPGLNEQDVFEKVKHELSTRVGAAVHFVDTAHFGGFCEPKKDFRQLCTFHGNCVKGLKWKLKKLRGVMGEWKRFKDARDRVKKNCCNGYRYTLMNWYTAKDISSGAGTAPSN* >Brasy5G374900.2.p pacid=40079229 transcript=Brasy5G374900.2 locus=Brasy5G374900 ID=Brasy5G374900.2.v1.1 annot-version=v1.1 MFTLSNLLLFVLAAALVAASGVLLLSHSSCPCDVDATHNGAQTHPGIRSPGKATVARKEDADDLAQLLRKAAMEDNTIIMTFTNEAWTTPGSLLDLFLESFRVGDKTEPLLKHLVIVTVDGKAFEQCKRVHPFCYSFDAGGGMNLAKEQKFMSGDYLEMMWARNRFQNHVLELGFSFVFTDVDIVWFRNPLLRIPLGADIAISSDKFDGDDPYDLGKRTNGGFVSARSNARTLAFFQGWHEARKAYPGLNEQDVFEKVKHELSTRVGAAVHFVDTAHFGGFCEPKKDFRQLCTFHGNCVKGLKWKLKKLRGVMGEWKRFKDARDRVKKNCCNGYRYTLMNWYTAKDISSGAGTAPSN* >Brasy5G013400.1.p pacid=40079230 transcript=Brasy5G013400.1 locus=Brasy5G013400 ID=Brasy5G013400.1.v1.1 annot-version=v1.1 MEHYPPLAHVRFPQEIVTRGDPDLHPARGRGLIARTPGMAALEADFFGRALLATVLDTRPSVSPEMMVRALESSCGIQRNLVRVEATYPADFFITFASSEDCTRVLGLSGQLRCGGVNVSFRSWHRSAQATGAKLEFLTKLSFEGLPADAWEWDAIGQLVNNLDGQLVEILPSTDRWCKIPKEYDLEIPEPVGLPDSPALLDDPSSPPPPQAPTIRRTLLHPLLIHVLEVVDRTPICTDLPPQYIDEDEDTTRRHVYPCWGGRVDGSGRGVPCGGGHAFDSPASDGIGGGWGRNRSSGEWGRWRSRALDQYVPAALPQAAGPSPP* >Brasy5G038400.1.p pacid=40079231 transcript=Brasy5G038400.1 locus=Brasy5G038400 ID=Brasy5G038400.1.v1.1 annot-version=v1.1 MTMAYSMDELLIYSIFYSSSRSANQLNADLIISCILAEITEILLNESSASRLSVVRFNHEEMNEWLRRCCWTGEDWGCRVQISSEEGTTTPTPAPSLSKG* >Brasy5G146400.1.p pacid=40079232 transcript=Brasy5G146400.1 locus=Brasy5G146400 ID=Brasy5G146400.1.v1.1 annot-version=v1.1 MGPRVVGEERQPRVLGEVEGGGGGRGRRRRRRREVMEAAAVRHGLDPEVSGMQRGELNDYAWTSGSSLINLALCCRVVSGKRDQGVEKTWGRGGRTILQLACRPLLLPFGIGISPVSGVHAGEPRVSPVPSSPPTGHESERRSGLEQGERVALTRAPVVDPRGSLAGWRAANVPPSAS* >Brasy5G061900.1.p pacid=40079233 transcript=Brasy5G061900.1 locus=Brasy5G061900 ID=Brasy5G061900.1.v1.1 annot-version=v1.1 MARLVVVVLASVLAVLSLGRAKAQCSRYVGRTRPHSVTITEFGAVGDGVTVNTVPFQNAIFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEKDAVIIGTKEVTEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGVIDGQGLTWWNWFRSNKLNYSRPHLVEFEDSEEIVISNLTFLNSPAWGIHPVYCSNVTVNNITIQTSLDAPLSDGIVPDSCSNVCIEDSRISVSHDAISLKSGWDNYGITFGRPTSDIHICRVDLQASLGAALALGSEMSGGISDVHVDHLHVHASSKGVSFRTAPGRGGYIRDVIISDVQMEDVHVAIEFTGDWSSHPDEHFDPSALPVIGGITLKNMVGTNISVAGALSGIDGDPFTDICLSNVNFSIPDSAHSTSWSCSNISGYSESVFPEPCTDLQSPSSNSSVCSPYFSYHAFAAA* >Brasy5G390900.1.p pacid=40079234 transcript=Brasy5G390900.1 locus=Brasy5G390900 ID=Brasy5G390900.1.v1.1 annot-version=v1.1 MSFGGMFDGAGSGVFSYDAGGGAGVHNNPRLLPSPPLPRPGGGVFGSSTGLSLGLQTNMSMEGGGPLAGDANRMGLMGSSGSGGDGDSLGRGREDENDSRSGSDNLDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRSPICGNCGGAAVLGEVSLEEQHLRIENSRLKDELDRVCSLAGKFLGRPISTITSGLEFGIGATNGFGALGPLGGSSSSVLQSIPDLMGGSSAAAMRLPAGISGLEDAESAIAVDRGVLLELGLAAMDELVKVTQVDDPLWLPSLDSGFETLNYDEYRRAFPRVLGHSPAGFVSEATREVGLAIVSSAELVDSLMDAARWAEMFPCVVARASTTEIISGGMPGTRSGSIQLMHAELQVLSPLVPIREVTFLRFCKQHAEGLWAVLDVSADGVLRPDGGAGNGAAAGYMGCRLLPSGCVVEDMRNGYAKVTWVVHAEYDESAVHHLYRPLLRSGQALGARRWLASLQRQCQYLAILRNNSLPSQDNQAISPVGRRSMLKLAQRMADNFCAGVCATAAQKWRRLDEWRVEGAMPGGAGAGGDQNQAAGDKEVRMMARQSVGAPGEPPGVVLSATTSVRLPGTPPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQLHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHIVMNGGDSAYVSLLPSGFAILPDGHNTPPGAVVDPAASSQQQQQQGSSESAAHGNNNTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASNISP* >Brasy5G370500.1.p pacid=40079235 transcript=Brasy5G370500.1 locus=Brasy5G370500 ID=Brasy5G370500.1.v1.1 annot-version=v1.1 MAVPLLTRKIVKKRVKQFKRAHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKDIVERAAQLDIVVTNKLARLRSQEDE* >Brasy5G394500.1.p pacid=40079236 transcript=Brasy5G394500.1 locus=Brasy5G394500 ID=Brasy5G394500.1.v1.1 annot-version=v1.1 MDVALSAVQWVVGKALAPVADGVLEAWEASKNLGLNIEALKMELLLVQATLETASRKEIGGQAMEKLLQKLRDSAHSAEDLLDELDYFRIHDQLHGTYDAADQHGKGGVHDHVLNARHTAKAVSKLTVLSSCWPAASPADPGQEDPREQTSSCCAWRRAKHRPGGNTSSTPSSNQADEEVRGCMPKLGKLLPCSSSTHVVDDDETPQLGFSRVDVSERMKQIVEELQPVRREVTTILQSCGPITVRAIAQNRPITTSQSIEPKLYGRDHIVNTIIHDITKGKYSGKGLAVLPIVGPGGIGKTTLIQHIYINKEVQTHFHVRIWVCVSLSFDLNKLLEEIKKYSPPVPEEKEGTTEELIEQRLKSRRFLLVLDDIWGCSNRDDWKRLLLPLKTSQENGSMIIVTTRFPAIAQMVKSKTSDRSIELEGLELEQFQELFFSFVFDDEQCRKDNNFLLETGYKIADKLKGFPLAAKTVGRLLKTDLSLRHWRRVLESKEWERQTSIDDIMPALKLSYDYLPFHQQQCFSYSALFPEDHKYNSTKLINFWIGLNILQPGDRNQTLEDIGLNNLNDLVSHGFFKKEETHGHLHYVMHDLLHDLALKVASHECLSLHHTDVGSVEIQPSIRHLSIIIDDVGGDNADEKFKSELRNLKARLKIGHLQTLMLFGKLDECFANIFGDLSREANALRVLYLPSMHCPVESVLRNFSSLVHLRYLCLGTEYSREMHLPVTISILYHLKVLDLESCYKCLDLPKEMSNLAKLLHFYAPTDELHSHISHVGKLELLQELKVFRVHKESEGFELKQLEHLTELRELGICNLEKIHTKEEASEANLIEKIYLDSLTLDWDSKRANVDPDVEAVVLESLQPHRYLQKLSIRGHRGRSCPKWLGDELEVKDLQSFQLSGVSWEDFPSLGNMCDLHEVTLECIATMKEFVVEQSFCRLTRVTMIGLENLEKWVPSQDAHHMFPVLQVLVIKNCPKLSELPSSNHIVCPPDQERKMVLFPKLQELEIENCPEFLLEAHVPWTETLHRVNMSGVKLLEKFYYSKSSSDGVSLSINGKDGLHSIDQVLASDILTEVTDLTLEKVPSLELRHLLMLTSLKGMSFKNCQSHVLAPRSSSLIWPSGGHGDIKWDLPALESLRVWECDVSGKQLTNLLTHLPRLSCLRIYEREKITQLAVGMDQQETTPTAASDSEVEEAAVSEREDGGLLLLPAHLSDSLRQLDISGCPELVLVDPPTILPGGGGGGLQALRFLQRLAIRGCPEFLSACLVSSPSSFLFPSSLQSLRLSDVESMGTLELLSNLTSLTSLYLKNCGEDLRCEGLGSVLGQLRELIVYSCPKFFAGWNPNARQVLQHEGGGEEQRREIGSPLPSSSKLQVLRTDDVVEILAAPVCSLLSSSLTELYLYGMEDAHLERFTDDGIHLLTSLRELWFSRFDNLQHLPAGLHKLPNLKRLYVWGCPALQSLPEDGLPKSLQYLDVRSCGNEELKQQCRALVGTIREIDL* >Brasy5G254200.1.p pacid=40079237 transcript=Brasy5G254200.1 locus=Brasy5G254200 ID=Brasy5G254200.1.v1.1 annot-version=v1.1 TQRDGGEPEPEPGRKPPIEAAGEGTGRRHQSPNDDALRSIFSRLGDDHFDLPHCSTVCNSWHWIIDTAHLHLMRDVLQQESEAISMKS* >Brasy5G244600.1.p pacid=40079238 transcript=Brasy5G244600.1 locus=Brasy5G244600 ID=Brasy5G244600.1.v1.1 annot-version=v1.1 MEAAAASALTKEVVLKLVSLLSEKHKLSKGLTDDLRFIRTELVMISSARDSHSHLGNPGASASASQAAVSMDEMRDLAHDIEDCIDRFLPCVACEGETTTTVLHRVKKAVTSTRSRFAAEIHKLKRRLKDAHERRVNYDVHHGGGASSSSSSAAAGAASSSSSPATVADTAESDPVGIEGPKQELVELLLGSEPGKLSTISIVGFGGSGKTTLAWAVYESPGVVQSFPCRAWAVASQHRDPEGLLTAILRQFTADVPPDRNSIKEFLRTTRCLIVIDDINKQHWDSIESIFTRETESRIIVTTALQSVANACSSDDGYVYKMSILNAKHSKILLNKKVFFRGCSPELERGSTAIVEMCDGLPLALVSVAKFLLGENELTGSHCAQVCRSLGHHIEKESDFTKLRQVLANNYSSLSGYPLRTSLLYTSLFPNGRPIKKNTLIRRWSAEGYVQCQYKLSDLEVADENFRELVDRNIIRPIDASNNAKVKTCRTHGIMHEFILHKSMSDNFITSLRDKNRSNFRHLFIQNPASGSALGLNQHTSPTDNEASNSEKFRARSLTIFGNAGEAASEFCRCKLLRVLDLEECNDLEDDHLKDIHKLWHLKYLSLGGAISDLPRKIDKLHCLETLDLRKTKIEILPVELIGLPHLAHLFGKFKLGKNNLKTSELEKFLPKKSKLKTLAGFVADENPGFLQLMDHMKELTKVKIWCESTSTTGNNSLTHISKAVQKFAQVGMDTTGVRSLSLEFGNSLGDFLGSIQEYCYLSSLKLRGGLNQLPQFVTSLCGLTDLCLSSTNLMGSDLSNLCKLRFLLYLKLVEANLGSFIIKKGNFPSLRRLCLVIQVPIAPTIEEGALPYLVSLQLLCEDLVGLSGIKIEYHNCLEEVALDSMVSMKTVEMLETAAKKHPKRPKVLFLKRIDPSETQYTVKYVAADGPAREKKSSIEFNQIQLTQNMSQKCIIQLDPVNKLNSALKQMIVSETELSTAGNGVMPPSASIC* >Brasy5G244600.3.p pacid=40079239 transcript=Brasy5G244600.3 locus=Brasy5G244600 ID=Brasy5G244600.3.v1.1 annot-version=v1.1 MEAAAASALTKEVVLKLVSLLSEKHKLSKGLTDDLRFIRTELVMISSARDSHSHLGNPGASASASQAAVSMDEMRDLAHDIEDCIDRFLPCVACEGETTTTVLHRVKKAVTSTRSRFAAEIHKLKRRLKDAHERRVNYDVHHGGGASSSSSSAAAGAASSSSSPATVADTAESDPVGIEGPKQELVELLLGSEPGKLSTISIVGFGGSGKTTLAWAVYESPGVVQSFPCRAWAVASQHRDPEGLLTAILRQFTADVPPDRNSIKEFLRTTRCLIVIDDINKQHWDSIESIFTRETESRIIVTTALQSVANACSSDDGYVYKMSILNAKHSKILLNKKVFFRGCSPELERGSTAIVEMCDGLPLALVSVAKFLLGENELTGSHCAQVCRSLGHHIEKESDFTKLRQVLANNYSSLSGYPLRTSLLYTSLFPNGRPIKKNTLIRRWSAEGYVQCQYKLSDLEVADENFRELVDRNIIRPIDASNNAKVKTCRTHGIMHEFILHKSMSDNFITSLRDKNRSNFRHLFIQNPASGSALGLNQHTSPTDNEASNSEKFRARSLTIFGNAGEAASEFCRCKLLRVLDLEECNDLEDDHLKDIHKLWHLKYLSLGGAISDLPRKIDKLHCLETLDLRKTKIEILPVELIGLPHLAHLFGKFKLGKNNLKTSELEKFLPKKSKLKTLAGFVADENPGFLQLMDHMKELTKVKIWCESTSTTGNNSLTHISKAVQKFAQVGMDTTGVRSLSLEFGNSLGDFLGSIQEYCYLSSLKLRGGLNQLPQFVTSLCGLTDLCLSSTNLMGSDLSNLCKLRFLLYLKLVEANLGSFIIKKGNFPSLRRLCLVIQVPIAPTIEEGALPYLVSLQLLCEDLVGLSGIKIEYHNCLEEVALDSMVSMKTVEMLETAAKKHPKRPKVLFLKRIDPSETQYTVKYVAADGPAREKKSSIEFNQIQLTQNMSQKCIIQLDPVNKLNSALKQMIVSETELSTAGNGVMPPSARD* >Brasy5G244600.2.p pacid=40079240 transcript=Brasy5G244600.2 locus=Brasy5G244600 ID=Brasy5G244600.2.v1.1 annot-version=v1.1 MEAAAASALTKEVVLKLVSLLSEKHKLSKGLTDDLRFIRTELVMISSARDSHSHLGNPGASASASQAAVSMDEMRDLAHDIEDCIDRFLPCVACEGETTTTVLHRVKKAVTSTRSRFAAEIHKLKRRLKDAHERRVNYDVHHGGGASSSSSSAAAGAASSSSSPATVADTAESDPVGIEGPKQELVELLLGSEPGKLSTISIVGFGGSGKTTLAWAVYESPGVVQSFPCRAWAVASQHRDPEGLLTAILRQFTADVPPDRNSIKEFLRTTRCLIVIDDINKQHWDSIESIFTRETESRIIVTTALQSVANACSSDDGYVYKMSILNAKHSKILLNKKVFFRGCSPELERGSTAIVEMCDGLPLALVSVAKFLLGENELTGSHCAQVCRSLGHHIEKESDFTKLRQVLANNYSSLSGYPLRTSLLYTSLFPNGRPIKKNTLIRRWSAEGYVQCQYKLSDLEVADENFRELVDRNIIRPIDASNNAKVKTCRTHGIMHEFILHKSMSDNFITSLRDKNRSNFRHLFIQNPASGSALGLNQHTSPTDNEASNSEKFRARSLTIFGNAGEAASEFCRCKLLRVLDLEECNDLEDDHLKDIHKLWHLKYLSLGGAISDLPRKIDKLHCLETLDLRKTKIEILPVELIGLPHLAHLFGKFKLGKNNLKTSELEKFLPKKSKLKTLAGFVADENPGFLQLMDHMKELTKVKIWCESTSTTGNNSLTHISKAVQKFAQVGMDTTGVRSLSLEFGNSLGDFLGSIQEYCYLSSLKLRGGLNQLPQFVTSLCGLTDLCLSSTNLMGSDLSNLCKLRFLLYLKLVEANLGSFIIKKGNFPSLRRLCLVIQVPIAPTIEEGALPYLVSLQLLCEDLVGLSGIKIEYHNCLEEVALDSMVSMKTVEMLETAAKKHPKRPKVLFLKRIDPSETQYTVKYVAADGPAREKKSSIEFNQIQLTQNMSQKCIIQLDPVNKLNSALKQMIVSETELSTAGNGVMPPSAR* >Brasy5G393500.1.p pacid=40079241 transcript=Brasy5G393500.1 locus=Brasy5G393500 ID=Brasy5G393500.1.v1.1 annot-version=v1.1 MASSSSPPPSSSSRPVQLHSFSVRVLLLVLACLVSAAWPSSAFRWSWMPIGRGNNKNHGHDYRDALAKSIFFFEGQRSGRLPADQRATWRGDSGESDGGGAGVDLEGGYYDAGDNVKFGFPMAFTATMLSWSVIEFGDLMPPAERRHAVGAVRWATDYLLKTIAHPGVLFIQVGDPWKDHECWERPEGMDTLRTVYNVTAPRTGSDVAGETAAALAAASVVFRAGDGADEEYAEQLLAGAKTAFEFADAHKGAYSDDPGLRAGGCPFYCDYNGYQDELLWGAAWLRRASGDDTFLRYIKDNGKTLGAEEGFNEFGWDNKHAGLNILVAKEFMDGNALSMESFKQSADNFICTLIPQSSSQHIQYTPGGLIYKTGGSNMQHVTSFSFLLLTYAKYLSNSSHTINCGGISVGPVNLQLQAKKQVDYILGDNPIKMSYMVGYGDRYPQRIHHRGSSLPSIKTHSQRMACKDGTPYYESSNSNPNPLIGAVVGGPGEDDSYEDDRADFRKSEPTTYINAPLVGVLAYFVANPNPGHIRH* >Brasy5G475500.1.p pacid=40079242 transcript=Brasy5G475500.1 locus=Brasy5G475500 ID=Brasy5G475500.1.v1.1 annot-version=v1.1 MEVGGGRGSTVNAQVQRKVKRGSAQLTYSGLVWKWKKHKNDLCRSVLRADDVILGSKDGVGSSMKPTCDLCSRPYCPDLMYIRCEKCRNWSHGDALGLEEARLGEVMYYRCCRCRRKALPRCPHSDDYHPKRSEAEPISRENAAGILSSAEAVGAAGDNSSYASFGRVENPVEETVDAYPPVDMESFVPESNEDIKFVDGSSHSTHPFDKEEIKQVMKDVRAHDACFSWYTPVNGSCRPLDSVDGFPPPAPAAEINLDKNQTVILHRAFDSFQVIAAETGSLYERLRQGDFLTNDEIMGTLDMLQEIALHRM* >Brasy5G475500.2.p pacid=40079243 transcript=Brasy5G475500.2 locus=Brasy5G475500 ID=Brasy5G475500.2.v1.1 annot-version=v1.1 MEVGGGRGSTVNAQVQRKVKRGSAQLTYSGLVWKWKKHKNDLCRSVLRADDVILGSKDGVGSSMKPTCDLCSRPYCPDLMYIRCEKCRNWSHGDALGLEEARLGEVMYYRCCRCRRKALPRCPHSDDYHPKRSEAEPISRENAAGILSSAEAVGAAGDNSSYASFGRVENPVEETVDAYPPVDMESFVPESNEDIKFVDGSSHSTHPFDKEEIKQVMKDVRAHDACFSWYTPVNGSCRPLDSVDGFPPPAPAAEINLDKNQTVILHRAVIAAETGSLYERLRQGDFLTNDEIMGTLDMLQEIALHRM* >Brasy5G475500.3.p pacid=40079244 transcript=Brasy5G475500.3 locus=Brasy5G475500 ID=Brasy5G475500.3.v1.1 annot-version=v1.1 MEVGGGRGSTVNAQVQRKVKRGSAQLTYSGLVWKWKKHKNDLCRSVLRADDVILGSKDGVGSSMKPTCDLCSRPYCPDLMYIRCEKCRNWSHGDALGLEEARLGEVMYYRCCRCRRKALPRCPHSDDYHPKRSEAEPISRENAAGILSSAEAVGAAGDNSSYASFGRVENPVEETVDAYPPVDMESFVPESNEDIKFVDGSSHSTHPFDKEEIKQVMKDVRAHDACFSWYTPVNGSCRPLDSVDGFPPPAPAAEINLDKNQTVILHRAVIAAETGSLYERLRQGDFLTNDEIMGTLDMLQEIALHRM* >Brasy5G104100.1.p pacid=40079245 transcript=Brasy5G104100.1 locus=Brasy5G104100 ID=Brasy5G104100.1.v1.1 annot-version=v1.1 MAAAAAGAGSGGEGSSSPAALAIGTHHGPTEDIAETMWQMSLGGGGESMEPGSYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRAQLNVLGYPLRPNEKECAYYLRTGQCKFASTCKFHHPQPSSAMVAVRGSMYSPGQSATSPGQNTYPGAVTNWNMSRSASFIASPRWPGHSGYAQVIVPQSIVQVPGWNPYAAQIGSSSPDDQQRTPGTTHYYSGPRQSETTGMGDHGMFPSYQAGSVPLGVYAVQGDNVFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERMIPAPNCALSPLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTVMYGSVTSPTSDVPTLHYQLAPSPGHSERLLDGGGSGRSHRVPQSDSQHIPTGDGSTEREAS* >Brasy5G104100.2.p pacid=40079246 transcript=Brasy5G104100.2 locus=Brasy5G104100 ID=Brasy5G104100.2.v1.1 annot-version=v1.1 MAAAAAGAGSGGEGSSSPAALAIGTHHGPTEETMWQMSLGGGGESMEPGSYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRAQLNVLGYPLRPNEKECAYYLRTGQCKFASTCKFHHPQPSSAMVAVRGSMYSPGQSATSPGQNTYPGAVTNWNMSRSASFIASPRWPGHSGYAQVIVPQSIVQVPGWNPYAAQIGSSSPDDQQRTPGTTHYYSGPRQSETTGMGDHGMFPSYQAGSVPLGVYAVQGDNVFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERMIPAPNCALSPLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTVMYGSVTSPTSDVPTLHYQLAPSPGHSERLLDGGGSGRSHRVPQSDSQHIPTGDGSTEREAS* >Brasy5G335700.1.p pacid=40079247 transcript=Brasy5G335700.1 locus=Brasy5G335700 ID=Brasy5G335700.1.v1.1 annot-version=v1.1 MASGGGGGQSLWAERYKPGSAMVLVQLFYSLVDIALKTAYGLGMRPIVFVAYRQGIAAAALLLASLATRGCTLRPMAIGARAFALLFVASLATGTGQYCYFMGLHLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSIAKIIGTIVCLGGAMLMAFFKGPKLLGALLLPTTDDWVTGGIYLMGNAICFSIWYILQVPICKSYLDPLSLATWMCFLSTLQCAVMAFFLEENYLEIWKLASIWELPCILYGGVFASGANFFMQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSVLGAITIILGLYVVLWGKADDAKSERLAIRSNDYESIVEQDCIGVKVESETGLSEGLLSENRDR* >Brasy5G335700.2.p pacid=40079248 transcript=Brasy5G335700.2 locus=Brasy5G335700 ID=Brasy5G335700.2.v1.1 annot-version=v1.1 MASGGGGGQSLWAERYKPGSAMVLVQLFYSLVDIALKTAYGLGMRPIVFVAYRQGIAAAALLLASLATRGCTLRPMAIGARAFALLFVASLATGTGQYCYFMGLHLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSIAKIIGTIVCLGGAMLMAFFKGPKLLGALLLPTTDDWVTGGIYLMGNAICFSIWYILQVPICKSYLDPLSLATWMCFLSTLQCAVMAFFLEENYLEIWKLASIWELPCILYGIEQLL* >Brasy5G220800.1.p pacid=40079249 transcript=Brasy5G220800.1 locus=Brasy5G220800 ID=Brasy5G220800.1.v1.1 annot-version=v1.1 MEGEWRRWWALLATVWIQAWTGTNFDFPAYSAALKAAISPATSGAGVSSQQRYLSYLATASDLGKALGWTSGLALLRMPLPAVLLLSAAMGAAAYALQFCTLAFPALAVPYHAVFMACVVAGCSICWFNTVCFVVCTRAFPAASRPLALSLSTSFNGLSAAFYTLFADAVAPGAPSVYLLLNAALPLAVSLLSLPPVLLLPPSPDGDVEPAATRSRHRRVFLGFYIIAVVTGVYLVALGSFDTTGSTAWIVLAGAMALLGVPLIIPAASCISHPDDDDAHAADTVLLPLIHNNNNKDGDDDGEEHQQQQGCCPGDNKGARRLMVLGEEHSVKRLLCCADFWLYYAAYFCGATVGLVYSNNLGQIAQSLNRQSQLPMLLAVYSSCSFFGRLLSALPDFLPRKVSFARTGWLAAALVPMPMAFFIMWTWHDDNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLFGQVAAIVYDANGLKKTVRDNRTGMVDTMMVCMSEACYSTTFFLWGCITMLGLASSVALYLRTRPAYATAAGQSSCKKP* >Brasy5G220800.2.p pacid=40079250 transcript=Brasy5G220800.2 locus=Brasy5G220800 ID=Brasy5G220800.2.v1.1 annot-version=v1.1 MAALQVFMACVVAGCSICWFNTVCFVVCTRAFPAASRPLALSLSTSFNGLSAAFYTLFADAVAPGAPSVYLLLNAALPLAVSLLSLPPVLLLPPSPDGDVEPAATRSRHRRVFLGFYIIAVVTGVYLVALGSFDTTGSTAWIVLAGAMALLGVPLIIPAASCISHPDDDDAHAADTVLLPLIHNNNNKDGDDDGEEHQQQQGCCPGDNKGARRLMVLGEEHSVKRLLCCADFWLYYAAYFCGATVGLVYSNNLGQIAQSLNRQSQLPMLLAVYSSCSFFGRLLSALPDFLPRKVSFARTGWLAAALVPMPMAFFIMWTWHDDNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLFGQVAAIVYDANGLKKTVRDNRTGMVDTMMVCMSEACYSTTFFLWGCITMLGLASSVALYLRTRPAYATAAGQSSCKKP* >Brasy5G127500.1.p pacid=40079251 transcript=Brasy5G127500.1 locus=Brasy5G127500 ID=Brasy5G127500.1.v1.1 annot-version=v1.1 MSFRGTKKNHGRAPGPPEIHTAHKPNPNPIGGGGRSRGQRIRRLAASRVPDPGATGSRKPGSGRWQGRGRRIRRRARRTGDGWGRGGRIRTWDGRIHRFPCKIHRIRHWGSVRAGSRGGGREGVRIQPAATREAGWHCGSGYRRRRGELGATITRGGGQGVAGAGRWGERASGREEMRKEDREGIGKGGDREGGDIGKGG* >Brasy5G410700.1.p pacid=40079252 transcript=Brasy5G410700.1 locus=Brasy5G410700 ID=Brasy5G410700.1.v1.1 annot-version=v1.1 MGAATEVARAAPVAAAKRRSTTLLHLLQLENPDGVFVFTLPPPSPEPEAETLIDKIDSCRRVFTFSGDDASESASERDAKRERLAEVLDAVRSSSSTSGTKKQPAAPGLDHRVMAALVKMLAANLFREMPPSASCPLADAPAAIDEESPAVSLLPSWPHLQAVYDILLAMVAGAGDDDATRSSLRHHLDRRFLASLVSLFASDDPRERDRLKTAYHMLYSKLTPDRAFMRRSMAAALLRFAHHDSSSSSSPSSPAGVGELLEICGSIINGFAVPLKEEHRGFLLRVLMPLHRTRWLHAYHRQLVYCVLQFVHKEPALVGAVVQGILRRWPVTNCQKEVLLIDELEEIVEVLQQDQFDALAVPICSRIARCATSCSSQVAERALYVWNNERFLELACSSSDGGATMERILPAFVASIEDNLERHWSKCVRDVTGSVKALLQQVAPEIYARCAAGLAASRAEADADAAARADRWRRIEAAAAAANAAT* >Brasy5G430500.1.p pacid=40079253 transcript=Brasy5G430500.1 locus=Brasy5G430500 ID=Brasy5G430500.1.v1.1 annot-version=v1.1 MENQGTSGNRRVSMLIKRTVMLYSSYVNLQYIVLAPSSFGRSTIWREPRDLQAGAKAFIGSGAASLPSRNHQSKRCCRYKRNQVSISSLLKKE* >Brasy5G430500.2.p pacid=40079254 transcript=Brasy5G430500.2 locus=Brasy5G430500 ID=Brasy5G430500.2.v1.1 annot-version=v1.1 MENQGNRRVSMLIKRTVMLYSSYVNLQYIVLAPSSFGRSTIWREPRDLQAGAKAFIGSGAASLPSRNHQSKRCCRYKRNQVSISSLLKKE* >Brasy5G387700.1.p pacid=40079255 transcript=Brasy5G387700.1 locus=Brasy5G387700 ID=Brasy5G387700.1.v1.1 annot-version=v1.1 MADRDSLARPSPSPSTCTSKGISTDLACNSGSVEPCSASAPIPFPPLSNHAVVSMMSNPETRAVHYFPSGGGHLCLIKKPPSPAFDKSHSRGSQPEARPSESPADLTTDDGSGGRKRAAESCVSVCTPCDPVPHLASTSNGIQSVNTEGPEGAKDANPPSMGLGPGRNVRLRRGNPFSSSADAAECAIESALKRARDTAGTAVFVPFEGQTFHSEVEAYEFYNTYSWEIGFGIKRGKKYVNDNGYMTVRVLACSCQGLPASGMKDTVRRGCKAMIRLKRTYADAWYVSEVELEHNHVMAANNGEKMHWRCHNNVDPALKELIRHMRLNNIPMNQVLLYGVHRSPGRPSDPAVRRRRHENLRFSPVIQGRRQPSLRHQDARGHRRPSFPGTQELRRLLGGRQHRLRPRLRP* >Brasy5G114900.1.p pacid=40079256 transcript=Brasy5G114900.1 locus=Brasy5G114900 ID=Brasy5G114900.1.v1.1 annot-version=v1.1 MEVKIAATLVACMLFCIYNRGHAACSPSDLAVTQTRQGGEYLVTVQNKCICTQVNVKLACKGFNSSVPVQPAGTVVAEGDNALCTFIGRGTVPPGHAVQFSYASNTMFSFAPVSSTILCS* >Brasy5G400100.1.p pacid=40079257 transcript=Brasy5G400100.1 locus=Brasy5G400100 ID=Brasy5G400100.1.v1.1 annot-version=v1.1 MARAGGGMGSAVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDSLERKLEVLEVQVSSATTNPSVFN* >Brasy5G400100.2.p pacid=40079258 transcript=Brasy5G400100.2 locus=Brasy5G400100 ID=Brasy5G400100.2.v1.1 annot-version=v1.1 MARAGGGMGSAVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDSLERKLEVLEVQVSSATTNPSVFN* >Brasy5G400100.3.p pacid=40079259 transcript=Brasy5G400100.3 locus=Brasy5G400100 ID=Brasy5G400100.3.v1.1 annot-version=v1.1 MARAGGGMGSAVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDSLERKLEVLEVQVSSATTNPSVFN* >Brasy5G411400.1.p pacid=40079260 transcript=Brasy5G411400.1 locus=Brasy5G411400 ID=Brasy5G411400.1.v1.1 annot-version=v1.1 MVGSSPMQAVLVAPGVKDRKVLAFKRDALKDKDAVSGLLRSIAARDGVRSAFYVFDLARVVDLHRGWRRALADVRPCYAVKCNPEPALLGALAALGAGFDCASRREIEAVLALGVAAGSIVYANPCKPEAHLEYAASVGVNLATYDSEEEVAKVKRCHPGCELILRIKGPDGGDVRVDLGTKYGAHAEEVLPLLRAAQRAGLNVAGVSFHVGSGASNTDVYRGAIEAARGVFDAAAALGMPPMRVLDIGGGFMAGPAFEEAAAVINGALEKYFGELPCVEVIGEPGRYFAETAFTMAARVIGKRTRGEVREYWIDDGLYGTLSCIPMDHYVPRPRPLAAPRPGEKTFTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTASGSNFNGFSTSDIKTYLAYSS* >Brasy5G276900.1.p pacid=40079261 transcript=Brasy5G276900.1 locus=Brasy5G276900 ID=Brasy5G276900.1.v1.1 annot-version=v1.1 MVNTASRHKCDRSSTVPSLAEDLILCEILPRLAAKEILRYRVVYYLCNSSTRQSCLLPVLTGAAGVVALYLHRPPAFNVLTISFSQRHRCFEQLPASSALIVIANPQPTLAFREARVRWTNILGFDIVRDSLIKADPSSQDQCSRILLEINGGKHDIGFIYHGTARRKAAMVDIRVLHDYKKEEAWLLQRRIELPRGS* >Brasy5G190600.1.p pacid=40079262 transcript=Brasy5G190600.1 locus=Brasy5G190600 ID=Brasy5G190600.1.v1.1 annot-version=v1.1 MAEEGNNNRSIPTDVLADILLLLPPTDRRRYRQWRDAVDTRTTEMHSRAKPLIASKGSAYVINNLYSSAERPTMSQLWASDDRIDTRLIDTCNGLICVCNGDIRRPGGGAIALTNRLTGEALHVPPLPDGVRKAVDRYAHRTYCFAYHPTTGRYNVVHVPCRLDRVWVFTLGEPSWRSARTADPVAECDYETGIVGVDGSVYWATGGKEGNEAKIMSIDLEDERVRTIPGLPAVLAEPGSWTLAEVRGKLGLAFIHEESPTLDETEVWVMEGVVRGQHSWSRWYNIQTHKPQQRWPFQLHHQQFTRPNFALDGKHILAMKLVCDSNEKIGYVFYKHMPSEDPQKAQRGVVEIDESNEGMVVASVKTRHVAWCRTFIYAETTEPLSVYQCW* >BrasyJ003300.1.p pacid=40079263 transcript=BrasyJ003300.1 locus=BrasyJ003300 ID=BrasyJ003300.1.v1.1 annot-version=v1.1 MAPALSSWPWASLGVYKYFLLAPLAWKVAQEWREQGGAVPVDSWWLHLLALFWARGLTYQFWYSYSSMLFLTRRRRVVPDGVDFRQVDLEWDWDNFLVLQTLIGAAVVNGPLLPGPRHLCLWDPRAWPSALHGASLFGQYHAAHHSSRVTQPLTAGFGTPLEALLLILTTGVPLAGAFLMGTGSIGLAYVYLLAFDYLRSMGYSNVEVISHRVFEAFPPLRYLIYTPSYLSLHHREKDSNFCLFMPLYDLLGGTLNSESWELQKETYLGKEERAPDFVFLVHVVDIMSSMHVPFVLRSISSVPFANHLVLLPFWPVAVAYMLLMWCCSKTSSHGFQYFIPAAKDGINRQIELAVLRADRIGVKVLSLAALNKNEALNGGGTLFVDKHPELRVRVVHGNTLTAAVILNEIPSNAKDVFLTGATSKLGRAIALYLCRKKIRVMMLTMSSERFLKIQREAPAEFQQYLVQVTKYQVAQNCKGAAVGAVGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHFLEGWEHHEVGALDVDRIDVVWKAALKHGLTPA* >BrasyJ003400.1.p pacid=40079264 transcript=BrasyJ003400.1 locus=BrasyJ003400 ID=BrasyJ003400.1.v1.1 annot-version=v1.1 MTRDVTEFYSELSARGWPRRYTHSMLSHEFEYEDWLAVQCRRERVTEWRKELFAAALGMNTFGRMGSYRDEWDAGDGGGKLDQLLAQANREFAQYSSPATPPP* >BrasyJ066800.1.p pacid=40079265 transcript=BrasyJ066800.1 locus=BrasyJ066800 ID=BrasyJ066800.1.v1.1 annot-version=v1.1 MLHRSPLQSLHTALLPSPCLRPTAHQTAVNVDNRILRIKTLQTEPLRQNNHTGNHGAGPKKINYKRAAIRGTTPRREGQAACTRKKGLCRSLHLIDYSSHQLSSP* >BrasyJ066600.1.p pacid=40079266 transcript=BrasyJ066600.1 locus=BrasyJ066600 ID=BrasyJ066600.1.v1.1 annot-version=v1.1 MPHPAPPLQVLNGLRLVVEEQLDDLCVALAGGDMHRRAAIGLAEVRVGASVAELAYPLRVALLHGGSSSTARTTIAPSPCPCRASPWQHCSQGRGRAERRPAARLRREHLVVPALHLVRVAAVGGGGVAGDGQLAGFLADPSVRGHHGLQLPLSDPIPQVPPGRRRHPLPSAGRATRLPGRPRPRNATSPPRRRSNRVGPCEGSTRSCASASQRRRRRIWSPRTRRCFR* >BrasyJ066700.1.p pacid=40079267 transcript=BrasyJ066700.1 locus=BrasyJ066700 ID=BrasyJ066700.1.v1.1 annot-version=v1.1 MKLLFILFSQVLIPRFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDSVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVENIKSKDRRVEDWKAEYMYQVMYHPKNELSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQARGVQN* >BrasyJ066500.1.p pacid=40079268 transcript=BrasyJ066500.1 locus=BrasyJ066500 ID=BrasyJ066500.1.v1.1 annot-version=v1.1 MESSNISMDPNNVHRRFLSQKAEDEDCLTLLTDDTLLSILEGVDLATVAKTSVLSKRWRNLPLLLPELNFNVKDFLPTPCPNPVEAQHMDQAMASLAKAVRSLLGNRRSERSILKLSLELYMTVSYSCDIGKLLSDAINHMIVKELDLAIIDDNESEHWRDEYMRQQAQKVNWFFNTYPSVFHCLSTLRLCCIRFAEGDIHHFLFDICRQLQHLSINYCDVGDRSVWQINAPDSQLRVLEIDFNRLLRVEVLCLPKLERLCWYGWHHDEAPLHLGSVPALEELCLVHAFAIDQHDFSLSGVFHGTSNLHSLTLNFQGDRLWIQPEGRQLCSAFNKLRKLSINGIYVEFDLLWTINLLEAAPTVEIFDVEIFEHPCEDALEGVFLYYADKKVKPSWEIPKFKSSNKWQLKELQFVGFRPGLDHHFSFISAVMDRALNLKTVLLVDDQVPCAQCDAMSVLPPPIGGTFPREKDEQVTIVKQLKDMVCSSAQIVFTRREFSPLDIW* >BrasyJ066500.2.p pacid=40079269 transcript=BrasyJ066500.2 locus=BrasyJ066500 ID=BrasyJ066500.2.v1.1 annot-version=v1.1 MESSNISMDPNNVHRRKAEDEDCLTLLTDDTLLSILEGVDLATVAKTSVLSKRWRNLPLLLPELNFNVKDFLPTPCPNPVEAQHMDQAMASLAKAVRSLLGNRRSERSILKLSLELYMTVSYSCDIGKLLSDAINHMIVKELDLAIIDDNESEHWRDEYMRQQAQKVNWFFNTYPSVFHCLSTLRLCCIRFAEGDIHHFLFDICRQLQHLSINYCDVGDRSVWQINAPDSQLRVLEIDFNRLLRVEVLCLPKLERLCWYGWHHDEAPLHLGSVPALEELCLVHAFAIDQHDFSLSGVFHGTSNLHSLTLNFQGDRLWIQPEGRQLCSAFNKLRKLSINGIYVEFDLLWTINLLEAAPTVEIFDVEIFEHPCEDALEGVFLYYADKKVKPSWEIPKFKSSNKWQLKELQFVGFRPGLDHHFSFISAVMDRALNLKTVLLVDDQVPCAQCDAMSVLPPPIGGTFPREKDEQVTIVKQLKDMVCSSAQIVFTRREFSPLDIW* >BrasyJ012300.1.p pacid=40079270 transcript=BrasyJ012300.1 locus=BrasyJ012300 ID=BrasyJ012300.1.v1.1 annot-version=v1.1 MPSEDGEGARPFLVLDHAVHDYREATYHGPGNWKRVECRRNMAYGCGPLGDHIIKGLYLFVRLGRDSDPDRFPNLCISATEEVFHRLGSEIPDEVAEHWGRQVRLSSYIYAVSGNIMINNLYFAATNTFTPFQSYYLVYDSAAKSLSLFPKLKPHAPPFCETVCTHVLMPVPHQDNTGNYTLALMARVRDTGPSKLKGPVICLSLLTRRPPPVLSSLSSNNPWGMKARLGVQADPFRLEDVFMFKGKAVWSDLARGILYCDSSDLLPAGQNPVDFKFVLLPDGCVKRQPYLDPCELHVYRSIRSVRDSIWFVCIEPSSDQQSLGQITFKVWSVDLFSKEVKWKELREFTLQSVWELDGFREAALPVDSEPLYPILRQEDDGVLYFMLDDPKRDGQGCHVVGIDTRDSYELRLVSSRYIANPWISRPVVVPANFFSPHNTGF* >BrasyJ013400.1.p pacid=40079271 transcript=BrasyJ013400.1 locus=BrasyJ013400 ID=BrasyJ013400.1.v1.1 annot-version=v1.1 MGRKRKLPERGPRSPVKECSINVASFFGMFEIRNLVEGFNENKRNLVRLANLGCFLDLPDFRNINRYFTAWLLSRLDIARRTLRITDTLEIKLTVLDVERIMGMKPEGKKITLLGRKASIPIPPAVRQILGLGELAKVVTHNDLLEVLGRDCPADRPMSTAEGDAFIVAFTLLCAARVIAPQDKQWLVPAELLHCVVDPKQIGDYNWAQYSLDILFAGAELLQQSLQAGISSTVTLMGNPVLLQVLYMEWKNVEWHQLDMNKLPRMNSYSHDMMAVFIDKDYDFTGRMRHYGKCKILHLASELVGEHKKIRELCAMEINQDQQRLAATIKRCNRKMEEAEQKMFERIILSAKRANLPNTGPAIQGGRIDAGKERTDGAPEDAAVPRGSHGVSSGAALVDAVTRRFEAGTATLRAEDALPEGPSTLLQLAVAAAEAVQTPPRASSAARRLDLAIPEEMTQDVRPEAQPGNECNGIVAAEEYQWPANGILIPKKLVQDRVIALIAQDDELNHPPVPGALSEAAFGAMTTCFEQSLRQVWFSHEKPTNLDIVGARIQEQFKVDGVLGFDGCEAIVRCINKMDADMFNAVGRRCWKHILSPLWAAEVLRGSNYTEKASIQSMFTGVHIPYDVEECGMIMAPAYLDMTWVVYCFDMECDQCTVIDPELGCARYGPHENRHLRPSRKLLKGLQLCIDAFFDGFYMVHFLAVFDPNHAIIMPTRKKKKKGTKKKKETAGPAKWAEPAQSPARPSRLPARATAQPARQPSPARPRPRPSLTGGARCQSSPTFGKCRQSRRAFQTRRRAISPESPPLSIPCPPATCRVRSYKARRPPLLFPSSAFAHLAPTPPVLLAGDRAATAAAAANRSPSRRPVAPELEADRPEDPLAAPRRARVLPEPKPRRNRRALAVFSASGHPRRRRNPSRRRR* >BrasyJ012500.1.p pacid=40079272 transcript=BrasyJ012500.1 locus=BrasyJ012500 ID=BrasyJ012500.1.v1.1 annot-version=v1.1 MSKWLMGTYTPESREMVIPEKGRIAVTTDSVYRNFKLPNTGKKLIYERTQQSVDFIAKEYGIEGGKSPLITDWCKMIKDMKGKADDKFLRAYLIAAMSCLLCPTTSLHVSPRCYPNFIDLKDVDNINFCEFVADQIHEASLKLGDKSSVKCCVYHLLILYLDSLDIDEAVSNCPVCTEAWTTELINKVVQLDTKADGGYGKLDKKRKIAMMVGDLYTDISQKLGKFVEAIGELHDEDVKETTDEAPKKKRKRKPAAARDDKKKGGNEVVKQAHQKDDQDGQDANVTSKASDKQIMKESGEATVEEEVDEEKDQEEEEDGDEVAGDHHRGADRGDDGDGGQGGQASNKHASQTDSPILDKWLRNSSKTKGGNTASPSKGIPRLQKLEATSPTGQVAEPKKETINSIVADPLSKANQPHQQQLCSETQDTQKLNNSTAGEQLSTRADQNLLSAMDKAAVDKTKLLADRDASAKAVKVARKYNQKYKKIAFRRNLTLPTSTATVEIPTCANQEIKGVATEPAANKATPAQDRLKGEGNKCATDTAAAAQVVTPQGLPGHQPRQPSAICATLAPLPTTTATSCAPQNSVGIVPLEHVHGSSVPVHPIEKSRSRVAFNGLDFEAPSFDLGFDSTPLTRAPFHVVGPDISPEGTAAGNICPNVANPAITAQGHELPDEEWDDATIAEVCAIADDLVREGGRAAVKIDNQAIVDLSTPPESKVVQGGKENFGSVYGKSSSGSVPKHEPERRAIKPTACKRAPYVDIDSKATYQCSNNVKQVYAAVLAFGGRRTTELINMDWSGNELKKKFDRDNSHLDRMDMVMFPVLETLDPKHPQESNHYWLFNLNIRDMIYEIFDSIRSFSNQKLNEAAKRIVSCIIFLWGAHYNKSRVKVDQFKWLDVGGPKQDNTYDCGVFTLMNAELWDGRNTLDYAQPKIPNIRKLLTYAWLNSEENEIDWKPVLKLRYAIPIAVLFYGSCSSLAD* >BrasyJ012400.1.p pacid=40079273 transcript=BrasyJ012400.1 locus=BrasyJ012400 ID=BrasyJ012400.1.v1.1 annot-version=v1.1 MARPPVPAGTSDGAAIEPPQGLGRRCPPPSLREPPMTPVTSSPLPTHSQVSNHPHISPPLL* >BrasyJ011900.1.p pacid=40079274 transcript=BrasyJ011900.1 locus=BrasyJ011900 ID=BrasyJ011900.1.v1.1 annot-version=v1.1 MSMAGAADEQYYGGPRGAPHGLLLAVVVGLVVGGPLFLGDGGEAVTEAIAELLSPVGLFLLPVGLLLLIRLLSSDRGAAALADAFTFGGSPDAVHRVGGSPIGVALMLVLILALLYYRSALFGGGGDDDE* >BrasyJ013500.1.p pacid=40079275 transcript=BrasyJ013500.1 locus=BrasyJ013500 ID=BrasyJ013500.1.v1.1 annot-version=v1.1 MRLCTDLVVRGSGEGVEPTATAAPAVRVDGGSRQEEMGRGRQRLRFRGAAAAGACDSGEQRPRRLRFGEAAGRGRRRLRFGEAAGRGRRRLRARGAAAGRRGQGWQLEAGHGEGTAAAAGRCAQVFGTWEGPLAASSLGFWHCSLPPSLLHGCCCPHATPASCTPTATASSPPEACPPPSPSHCSVRQAHSDTDLAIEMYAARVLGTVSCMGGCSITSHRPHISSYTRVD* >BrasyJ013300.1.p pacid=40079276 transcript=BrasyJ013300.1 locus=BrasyJ013300 ID=BrasyJ013300.1.v1.1 annot-version=v1.1 MLVGVGTEGMNIQMGTGTWPAGPEIQIGDCAEGTAENHPGGLHAVVVERPLLGPDDNFSSTSGGLPAEDDDDGTDEEVSSKRRRLA* >BrasyJ012000.1.p pacid=40079277 transcript=BrasyJ012000.1 locus=BrasyJ012000 ID=BrasyJ012000.1.v1.1 annot-version=v1.1 MEIICRNQIPAPSRSTSGSNASHLSPSVQAQDSAHMNQGAYPHLKPTHPIRTKLSATSNPHTPFEPNYPLAGARRRTPATFAMDLEPGSGEGMVRRPRSARTRKPPVHDGHGAAGKDDSDGEDIEQSESTQRGHFKKEQFILSLPVLLIRRSP* >BrasyJ011800.1.p pacid=40079278 transcript=BrasyJ011800.1 locus=BrasyJ011800 ID=BrasyJ011800.1.v1.1 annot-version=v1.1 MARVRSNGRRGGGSSGGGGGGGKGKGKGKWKMPASVARKQQAVMANVDQVTGDRIPKSFVFSRGKLPSTLRHLQQDLRKLMLPYTALKLKEKKRNNLKDFVNVASPLGVTHFLILSNPKSLPHLRFAKSPQGPTYTCQIDEYALAADIANSQKRPRSPAEIFKNSPLVVLSGFNGLGEPFKSFVTFFRHLVPAIDTETVKLSTCQRILLLQYDKEKEIIDFRHYSIKLQPVGVSRKIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEPDDEAACVSLASDIDKLNRASRKSAVRLQEIGPRMTMRLVKVESGLCSGDILYPESVGKEDVAKKGQEEEEEIDDDEDMIELGDGSEDESEDED* >BrasyJ012800.1.p pacid=40079279 transcript=BrasyJ012800.1 locus=BrasyJ012800 ID=BrasyJ012800.1.v1.1 annot-version=v1.1 MACGCHHGFLSTFPLLNFYVLSYYLRPSGLLGCKLLFALCITDVICTFIFLFSSKACVVISNVVSVHILPPCIICVYVILGWTDVYAGVGNFICACIRLITGASFDS* >BrasyJ013100.1.p pacid=40079280 transcript=BrasyJ013100.1 locus=BrasyJ013100 ID=BrasyJ013100.1.v1.1 annot-version=v1.1 MMGSSGVPKRKALCRTVWLRLLRAKTYNYHGWTVAKRGPPCQQGDNSEVAKSAMPVIELDKFPEDILHHIHSLVPLRDAARAACVSRRFLSSWRSFPNLTFNWKTLGFNLDEDTPYETAKKCEEYMARIYHILENHSGTGVKTLKLNLCPWGNEVTASHLDRWLQTAVKSGILELVVDLPDDHSPKYNFPCLLLSCAASSIQSLSLSSCAFRPTFIIGCLRNLKSLYLRLVPITEEELECFFSCTISLENLEIYQCNEITFLKIPSYLQRLSILQVRRCQRLKAIEIYTPKVTSFLLIGPPMKISITDSTQLETMNMNGSCYSGMFHYALTKLYSIASNLHTLVLCSSSEAFYTLGSAHKFLQLRRLKIYCCGSQFQSFDFLSTVSFLEACPVLETFFLSSDQHFGGRQEPTLKDSDADPCHIRKIPGFRHDNLKKASITGVRSSKSLIELACQILESCSSLRCLVLDTTSGYDDTSMCEHMDGEDVMEALKGFKAIKRYLKGKVPSSVDLNVLKPCDRCHMSKL* >BrasyJ012700.1.p pacid=40079281 transcript=BrasyJ012700.1 locus=BrasyJ012700 ID=BrasyJ012700.1.v1.1 annot-version=v1.1 MEFLGAISSERRRQWVNLVMGFSGLGAGLGRAAMFFFFYFAKIALVAGVCREPPLNFTASLSGFAPVTDVSAITGTEVVADTKPASDAFFCPTVMYHFVAVNL* >BrasyJ012200.1.p pacid=40079282 transcript=BrasyJ012200.1 locus=BrasyJ012200 ID=BrasyJ012200.1.v1.1 annot-version=v1.1 MHLLLHPNITCLLCAGPREYRNPGDSCLESRHGGSNRACGGESSTANAIVASSFDGCLRRALCTDAGDSFQKNLGIRTCTQEQMMGIRCRAAVCLVCFGEQQSNLCRTTWVIGLLNGWPCIGDYVRRGLN* >BrasyJ012600.1.p pacid=40079283 transcript=BrasyJ012600.1 locus=BrasyJ012600 ID=BrasyJ012600.1.v1.1 annot-version=v1.1 MAYVEFPAKIFLEEFVSVLELAPPEFTQKDGPEGFFIVGVKVTLGPADRIPYLYYEAAGATVAEAEQIASHVQAVAAERQVEIHDINYPEVQYLRNQVAGMQGKYMEMQKLCVELLGILRRSESEVIFLERLSQRFYRRIRSLHDVIAVLWSGGGGGGGGSFSGSNS* >BrasyJ012100.1.p pacid=40079284 transcript=BrasyJ012100.1 locus=BrasyJ012100 ID=BrasyJ012100.1.v1.1 annot-version=v1.1 MEKGDIFGHVFGRDPRGYVRGLGLGPTPTSLGMDGWRKCSSTKVQRALHSREKAQEEVSELKGVVGYLVEEMRELKDAIKGPREATPQTAGDGTAEQGSNHSNPMTPATGSWL* >BrasyJ013200.1.p pacid=40079285 transcript=BrasyJ013200.1 locus=BrasyJ013200 ID=BrasyJ013200.1.v1.1 annot-version=v1.1 MMGSSGVPKRKALCRTVWLRLLRAKTYNYHGWTVAKRGPPCQQGDNSEVAKGTMPVIELDKFPEDILHHIHSLVPLRDAARAACVSRRFLSSWRSFPNLTFNWKTLGFNLDENTPYETAKKCEEYMARIYHILENHSGTGVKTLKLNLCPWGNEVTASHLDRWLQTAVKSGILELVVDLPDDHSPKYNFPCLLLSCAASSIQSLSLSSCAFRPTFIIGCLRNLKSLYLRLVPITEEELECFFSCTISLENLEIYQCNEITFLKIPSYLQRLSILQVRRCQRLKAIEIYAPKVTSFLLIGPPMKISITDSTQLETMNMNGSCYSGMFHYALTKLYSIASNLHTLVLCSSSEAFYTLGSAHKFLQLRRLKIYCCGSQFQSFDFLSTVSFLEACPVLETFFLSSDQHFGGRQEPTLKDSDADPCHIRKIPGFRHDNLKKASITGVRSSKSLIELACQILESCSSLRCLVLDTTSGYDDTSMCEHMDREDVMEALKGFKAIKRYLKGKVPSSVDLNVLKPCDRCHMSKL* >BrasyJ011700.1.p pacid=40079286 transcript=BrasyJ011700.1 locus=BrasyJ011700 ID=BrasyJ011700.1.v1.1 annot-version=v1.1 MYRRVFCISLDGARLLPLVLAPDEQDDVEESPEEEAERGSDQEAHPLAEVRDGLLREHVGAGDDAGAGRHVGAERGADGAEHPHLHGLGHAGDGRPVEHLDPPREAARGVAELVLQHRLVRVLDERHVVEPYPVARDPVAVDEEAGEEQEVGQDGHHHRVPQHHVRHHCGDEGDEAAPGPEGSQHDQREEGERCGTAREADGEEGGGRERQGQDDQRRERDEGVGEHVGDPAVCVVRRLPEVDVALLDEDGQRVAADVEHGGHGHGEEAEPLLDALGRVVEAHEDGRQDEARHHDGAQPRGEELPHAPRDRFISTHTSTVSGFANVGTDDDAVLLRATSFPRETLAAMASSSAIGGLSSMAAPWAACHVSLKSASAAAPSGCRRSSSRMVSSANSAGFSLSGMGLPKPAKKGLSPAGTVRPRERSRRRSRMPRMRALGWWMVMTTTLPLRAMSRSTSTTMKALAESSPEEQQDRVVDDVGADRHAAPLPARHPTVRLVADHGVRGAGQPELGDERVDAGALPGRGERPGEAELGGVQQRLAHRQHRVQQVVLHHVGRDGPQAPALEGLPVERDAAAEAVPGDPAGQGVDERRLAGPAGAHHGENLALARGAGDAVEQRPGVAAAAVGVGRGERQAAEDAGRQAAHQPGAAAPPNSVAQVGEGQQVRDGRRDGHRHLGLFLVGADVDDDVAGSHHRTTFCVTTSLVLALELEPWSRLVHRSCACAWFGLWSAAVAGTYIALELCTE* >BrasyJ013000.1.p pacid=40079287 transcript=BrasyJ013000.1 locus=BrasyJ013000 ID=BrasyJ013000.1.v1.1 annot-version=v1.1 MFAPTAQKVCPHLSILAHEGTNNSGLSTYELYESSGAEIDLPGKRRETETENTNRQPANRPPNWETENTNPSTTLCQSAFRPPSDQARPRRPAVASSNRPTAVPSPFSVPGELRASHQGN* >BrasyJ011600.1.p pacid=40079288 transcript=BrasyJ011600.1 locus=BrasyJ011600 ID=BrasyJ011600.1.v1.1 annot-version=v1.1 MRPEGARMERPSDQPPHHHVHGHGKKKPMSRIREDDEHKSSWLVWALVILCTLVAIGVIVAGATVFAVYLLYKPKMPYMVVTGAQLGRLVYGQEDGVIHDLQVSINILARNTNSRADASFSRVNIAVGFHGADLARLEARPFRVARESDTPLPYDVVSKGAALDPAGMRAMDEALGRRVVPLDLFGKARTRWKMGIFLNLQFWTRISCRLHFNFPGNGTALPIDRDSCRSRSP* >BrasyJ012900.1.p pacid=40079289 transcript=BrasyJ012900.1 locus=BrasyJ012900 ID=BrasyJ012900.1.v1.1 annot-version=v1.1 MSLLYLAGSLIYLSCHNRIALFSSNSLTERFQFYMVFDLFVSHVFQITYCSSTDISHRKALNLCSCVLDLFVSHVFQVKHLYLLFMSYLYLVIS* >BrasyJ069700.1.p pacid=40079290 transcript=BrasyJ069700.1 locus=BrasyJ069700 ID=BrasyJ069700.1.v1.1 annot-version=v1.1 MPYYIKAVYFAMALNMVVQPREGRGMHVWIGLRRLVGNVTPHWTAMAM* >BrasyJ069800.1.p pacid=40079291 transcript=BrasyJ069800.1 locus=BrasyJ069800 ID=BrasyJ069800.1.v1.1 annot-version=v1.1 MGPIAGWRRRACDSGDWWMKWATASGNPPRDICLHPPIHVLGLLDLTRHSWKEKRKRTKRQHHKTLPDELVFEILIRLPVKSLVRFKTVSKACQAIISDPFFQQSPSSFLITPQVLLQLDTKLEYSIQPASTDIHFYQWNLEDFDNSSSSSSATLVCRKHFPDGEFGPVSLMAHCDGLVLLPTNTKAYVFNPAMGDVIALPESQRNMSMKESCLPVGFGLDASTGSYKVARSFYRSRRYKPLEIISMGMEVFTIGGGGENDSGLSQTGTYCMGYLFFLINKSNQEQPPNGVLRFSLQDETFGVTLFPANIEHTVDDGDIRVFELDGELCCTYFSKSSQKQTNLDDWRCSGSTMGFAVTLSTTHNCAIRWASRGSAGILFRGGGFFFRYDFEVNGIIEDEIFQYDETDVRYFGPTSEGSLRHWWKNACWFDVISYRRKPDSNY* >BrasyJ069900.1.p pacid=40079292 transcript=BrasyJ069900.1 locus=BrasyJ069900 ID=BrasyJ069900.1.v1.1 annot-version=v1.1 MANYGEGVVDALGYEVDRLESKIQKIVPGIRHVTSRHTTRKGFLFRAAIFYCMDAFGWFITLLLYANPILFLKIFYPSEVRPLFLPCMNEMLPDCLALSP* >BrasyJ069600.1.p pacid=40079293 transcript=BrasyJ069600.1 locus=BrasyJ069600 ID=BrasyJ069600.1.v1.1 annot-version=v1.1 MPPLTDSEKPKLSFRSENLDHKGWEATRLHNDAFKKGTASKTPPSQAPTKSARGFHPQLLHTHRGPSMTVRPTDLETRKELIAPSSQLPSHQRQPHHRSRISTTIEAPSYHKAIHNAGTSGAPPTQPTGTEPSRPPPSSREPAAPGRSGNMAAARPLQQGFTPSVGTLCSVGDPAPPLGPPRHPAGPPTTNLGERYFNPSRCARSANVRAPPLAWSLIPVAALGGRWWNCGEIAGALGASHSDSAPRRIRCLILTALAPAPVVFLLWLGSLDPQRRARQSSFELRGEGKGASHQASNPRRGTRSGFVRVQNKGWFPGERILQQFN* >BrasyJ002000.1.p pacid=40079294 transcript=BrasyJ002000.1 locus=BrasyJ002000 ID=BrasyJ002000.1.v1.1 annot-version=v1.1 MSDPAEETVAAPPPTPAAAGEGDLAPPLPVEQPADASPEKAVPPASAPDTTARSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEVLDYHLLTLISHVMIGILAILFMWSKATTFIKKSPPDIPVVQLPEDVAVNVTRALRNDVNRALHLFREIAMGHDLKKFLGVIAALWVLSEVGSCCDFLTLTYVVVLMVHSVPILYDKYQDKVDHFAGKAHTEACRHYEVLDTKVLSKIPRGPAKAKKQT* >BrasyJ102400.1.p pacid=40079295 transcript=BrasyJ102400.1 locus=BrasyJ102400 ID=BrasyJ102400.1.v1.1 annot-version=v1.1 MWRLPAAHRICARALARRKLRQAAPPTGDVLGAEAVAVPGESCTSADPTVLRGRRGTAGIPATPPPDGEILLEGAAGVRLSAKKSELPAKPPPDGEILLEGAAGVHPSAKRSGLPATPPPDLNAFIAGAAGPLPQRGTSSLNLLMLSGNHFPASNPEKQPPDGLARGAGLRQGGERFDPEMPPPHEPAGQPQRGQSRLFARTGAKQPWVLIPLRPSGGGAGANDFRRYNPLFLALSLSRTLTNTVISV* >BrasyJ102500.1.p pacid=40079296 transcript=BrasyJ102500.1 locus=BrasyJ102500 ID=BrasyJ102500.1.v1.1 annot-version=v1.1 MWRLPAAHRICARALARRKLRQAAPPTGDVLGAEAVAVPGESCTSADPTVLRGRRGTAGIPATPPPDGEILLEGAAGVRLSAKKSELPAKPPPDGEILLEGAAGVHPSAKRSGLPATPPPDLNAFIAGAAGPLPQRGTSSLNLLMLSGNHFPASNPEKQLPDGLARGAGLRQGGERFDPEMPPPHEPAGQPQRGQSGLFARTGAKQPWVLIPLRPSGGGAGANDFRRYNPLFLALSLSRTLRNTVISV* >BrasyJ102300.1.p pacid=40079297 transcript=BrasyJ102300.1 locus=BrasyJ102300 ID=BrasyJ102300.1.v1.1 annot-version=v1.1 MAYISKRSFRSKVMERMVLEPVLINVEINDTSIDLEPWTNNLMLTVKPMLILVAVMYLF* >Brasy8G111600.1.p pacid=40079298 transcript=Brasy8G111600.1 locus=Brasy8G111600 ID=Brasy8G111600.1.v1.1 annot-version=v1.1 MRGKQAEAGGDKASALKLKIIGVFSILVAGAAGCAIPSLGRKFPALRPDTNLFFAVKAFAAGVILATAFVHVLPEAFDRLGSPCLAGHGPWREFPFAGLVAMLAAIATLVVDTVATGYFQRAHDAKKLSPAVDGDDDMEEASGSGTGHVHGVSAVMASAVAATPDVDGAQLVRYRIISQVLELGIIVHSVIIGMPLGASQDPDTIRPLVIALTFHQFFEGIGLGGCIVQAKFRLGSVLAMALFFSITTPVGVVIGIAISSGYNETSARALVVQGLLSAAAAGILNYMALVDLLAEDFMNPRVQKNGRLQVAVNISLLLGTALMSMLAIWA* >Brasy8G004500.1.p pacid=40079299 transcript=Brasy8G004500.1 locus=Brasy8G004500 ID=Brasy8G004500.1.v1.1 annot-version=v1.1 MKSYRLSNLSDAEVSGLKARPRIDFSSIFSTVNPIVEDVRVRGDAAVKDYTEKFDKVILDNVVVRVSDLLDAELDPAVKEAFDVAYDNIFAFHVSQKMPQKTVENMKCVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSHDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGSASCPKVEKNFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVYVAADLLSQAEHGPDSQVVLVIVGTDVDLSAIEAEVSKQCNALPRGEFASKALGHSFTVFARDMDEAISFSNTYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMHSGVSLNSFLKYITVQSLTEEGLRRLGPYVAKMAEVEGLEAHKRAVTLRLQEIEATVTV* >Brasy8G142600.1.p pacid=40079300 transcript=Brasy8G142600.1 locus=Brasy8G142600 ID=Brasy8G142600.1.v1.1 annot-version=v1.1 MSNCVTTQGFPEVLHEIMRHIGFQYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYLPRGFITCENKFFTLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy8G192100.1.p pacid=40079301 transcript=Brasy8G192100.1 locus=Brasy8G192100 ID=Brasy8G192100.1.v1.1 annot-version=v1.1 MNASQFMDKQILGLAAAGAASSPPSGGGGGGELLDLMGPNPQEDVVESHDFRARRGANGTGADEVMVPSYDFQLIRTAAAPAPAPAPPASASAANAWGSLDSIAASPNLKSAGMMEPRVLKKVSHEEERSNFNAVTIADIDHTMMKYADNLLNALEGVSSRLSQLEGRTHHLEDSVGELKLTIGNSNGSTDGKLRQLENTLREVQAGVQILRDKQEIVETQIQLSKLQVSKEEDVQSENASARQAASRQQPTPPQPTVQPQLQAPPPAQPSALPALPAPNVPPPPMLHNQPPPQFPGHLPHPQMQSVPPAPSVPAIPQESYYPPSGQPTEAAHQKYQAPPALQPQAPPAAPQHYQPSPQYAPYSQPPPPGSVNPQTAAPQQPEEAASYGPPAQTYPPNVRPPSPYMPPPSGPAPPFYGPNPGMYEPPAVRPNAGPPPSYNAGYKQQGGGGFPEPYGYSGSPSHRGNAGMNSPSPFNPTGASSAGSGNYGKLPTAKILPQAAPVSSTQSASSGNRVAVDDVVDKVSTMGFSKEQVRATVRRLTENGQNVDLNVVLDKLMNDTDVQPTQRVRRCGRRSWNWDAGKNRRRPISRPA* >Brasy8G192100.2.p pacid=40079302 transcript=Brasy8G192100.2 locus=Brasy8G192100 ID=Brasy8G192100.2.v1.1 annot-version=v1.1 MNASQFMDKQILGLAAAGAASSPPSGGGGGGELLDLMGPNPQEDVVESHDFRARRGANGTGADEVMVPSYDFQLIRTAAAPAPAPAPPASASAANAWGSLDSIAASPNLKSAGMMEPRVLKKVSHEEERSNFNAVTIADIDHTMMKYADNLLNALEGVSSRLSQLEGRTHHLEDSVGELKLTIGNSNGSTDGKLRQLENTLREVQAGVQILRDKQEIVETQIQLSKLQVSKEEDVQSENASARQAASRQQPTPPQPTVQPQLQAPPPAQPSALPALPAPNVPPPPMLHNQPPPQFPGHLPHPQMQSVPPAPSVPAIPQESYYPPSGQPTEAAHQKYQAPPALQPQAPPAAPQHYQPSPQYAPYSQPPPPGSVNPQTAAPQQPEEAASYGPPAQTYPPNVRPPSPYMPPPSGPAPPFYGPNPGMYEPPAVRPNAGPPPSYNAGYKQQGGGGFPEPYGYSGSPSHRGNAGMNSPSPFNPTGASSAGSGNYGKLPTAKILPQAAPVSSTQSASSGNRVAVDDVVDKVSTMGFSKEQVRATVRRLTENGQNVDLNVVLDKLMNDTDVQPTQRGWFGR* >Brasy8G159700.1.p pacid=40079303 transcript=Brasy8G159700.1 locus=Brasy8G159700 ID=Brasy8G159700.1.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQILSKYGKTNTSAKQQIKRGSKKGNARGCKRKSIKDDKGFQVVKRASKRPDLKALTKAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGRLVEPKAVVFECGPNCSCQCSCVNRTSQHGLQYRLEVFKTVSKGWGVRTWDTILPGALICEYTGVLRRNAEVEGLLENNYLFDIDCVQTIKGLDGREQRPGSELHMASLHEKHDPETNQVPEYCIDAGSVGNVARFINHSCQPNLFIQCVLSSHRDIKLAKVMLFAADTIPPLQELSYDYGYPLNSVVDIHGTVVKLACHCGASDCRKRLY* >Brasy8G159700.2.p pacid=40079304 transcript=Brasy8G159700.2 locus=Brasy8G159700 ID=Brasy8G159700.2.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGRLVEPKAVVFECGPNCSCQCSCVNRTSQHGLQYRLEVFKTVSKGWGVRTWDTILPGALICEYTGVLRRNAEVEGLLENNYLFDIDCVQTIKGLDGREQRPGSELHMASLHEKHDPETNQVPEYCIDAGSVGNVARFINHSCQPNLFIQCVLSSHRDIKLAKVMLFAADTIPPLQELSYDYGYPLNSVVDIHGTVVKLACHCGASDCRKRLY* >Brasy8G159700.3.p pacid=40079305 transcript=Brasy8G159700.3 locus=Brasy8G159700 ID=Brasy8G159700.3.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQILSKYGKTNTSAKQQIKRGSKKGNARGCKRKSIKDDKGFQVVKRASKRPDLKALTKAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGRLVEPKAVVFECGPNCSCQCSCVNRTSQHGLQYRLEQRPGSELHMASLHEKHDPETNQVPEYCIDAGSVGNVARFINHSCQPNLFIQCVLSSHRDIKLAKVMLFAADTIPPLQELSYDYGYPLNSVVDIHGTVVKLACHCGASDCRKRLY* >Brasy8G159700.4.p pacid=40079306 transcript=Brasy8G159700.4 locus=Brasy8G159700 ID=Brasy8G159700.4.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGRLVEPKAVVFECGPNCSCQCSCVNRTSQHGLQYRLEQRPGSELHMASLHEKHDPETNQVPEYCIDAGSVGNVARFINHSCQPNLFIQCVLSSHRDIKLAKVMLFAADTIPPLQELSYDYGYPLNSVVDIHGTVVKLACHCGASDCRKRLY* >Brasy8G159700.5.p pacid=40079307 transcript=Brasy8G159700.5 locus=Brasy8G159700 ID=Brasy8G159700.5.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQILSKYGKTNTSAKQQIKRGSKKGNARGCKRKSIKDDKGFQVVKRASKRPDLKALTKAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGSKGLARNCIWHLFMKSMTQRLIKSQSIASMLVQLATLQDS* >Brasy8G159700.6.p pacid=40079308 transcript=Brasy8G159700.6 locus=Brasy8G159700 ID=Brasy8G159700.6.v1.1 annot-version=v1.1 MPSLPFSARNLVVSCRLFLSLPKPTPPRLPTPTRRSRGARGHDPAAAMDGPRRHKRNEGSVAVKNPVAQDGRKRRGRPPKATATAVDMVVPLLRGEEAVPQGGTKRRRTVAAPMATAMTVGKVFPLPKDNEEVAPVDGGADEHEGAGGSGKSWSLRVKETLRAFSSNYLHFVQEEQQRAQAVKQELDESARAQKRQAGYQGLIFPLATCIVMSGAYEVDLDNADEIIYTGEGGNNLLGNCHQGAEQTLVRGNLALMNSKDNGNPIQVVRGHIEKSSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFKLKRIEGQPPLTTSQVLFTRGGIHMPISKLSGLVCPDISCGQENLPIPVTNLVDNPPVAPSGFVYSKSLQIPEDIKMPADSIGCNCKGDCSSSAHCLCADHNGSDLPYVSRQRKVTAKNLDSTHKNVGSKGLARNCIWHLFMKSMTQRLIKSQSIASMLVQLATLQDS* >Brasy8G269800.1.p pacid=40079309 transcript=Brasy8G269800.1 locus=Brasy8G269800 ID=Brasy8G269800.1.v1.1 annot-version=v1.1 MDDVDFDATLSETGEHEDEDMSTPTVSLSPEPVEHRLEDSTPTPTPMPSLSPSPDANPSTISRPPVPIPPSGSGRLGYLLGVPPTSRKMATGGSGSSSSRRPAAARAVARVRARARSPSPLGGAGRGRGSGAATSSGTASKQSAKGKGKSPCDTSCNSQAATRDGLSESVDEDCVVVLDKEDVVKELNRAAKRRLTAKCWKEMEKKEINGEWKAICNYCHKHLSAASNSGTTHLKLR* >Brasy8G060400.1.p pacid=40079310 transcript=Brasy8G060400.1 locus=Brasy8G060400 ID=Brasy8G060400.1.v1.1 annot-version=v1.1 MEIKAKYQVKKNWMGDPCVPKNMSWDRLTCSYAIASPPRISTVNLSSSGLNGDISSSFANLKAVQYLCCILSCRDLSNNNLIGPIPDVLSQLPSLAVLDLSGNQLNGSIPSGLLKRIQDGSLSLRYGNNPNLCTSRSSCQPATTKRNGKLAIYISVPVALVVALILATVLLFCFLKRRQHGSMNNSVKPQNETRRDAYGDSSLRLESHRFTYKELEIMTNNFQRVLGQGGFGYVYDGFLDDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGIPQEHIAGSNRGGGCLPWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKASNILLNARLEAKIADFGLSKAFNSDNSTHVSTNSIVGTPGYVDPEYQATMQLTTKSDVYSFGVVLLEVVTGKPAVLREPVSTSVIQWARQRLARGNIEGVVDARILDGYDVNGVWKVMDIALKCTAQASAQRPTIVDVVAQLQECLELENGCAASDLEGGFYDGSSSHDPTHMSQDVAPFEMEPIFNRVPTMPSGPSVR* >Brasy8G060400.2.p pacid=40079311 transcript=Brasy8G060400.2 locus=Brasy8G060400 ID=Brasy8G060400.2.v1.1 annot-version=v1.1 MEIKAKYQVKKNWMGDPCVPKNMSWDRLTCSYAIASPPRISTVNLSSSGLNGDISSSFANLKAVQYLDLSNNNLIGPIPDVLSQLPSLAVLDLSGNQLNGSIPSGLLKRIQDGSLSLRYGNNPNLCTSRSSCQPATTKRNGKLAIYISVPVALVVALILATVLLFCFLKRRQHGSMNNSVKPQNETRRDAYGDSSLRLESHRFTYKELEIMTNNFQRVLGQGGFGYVYDGFLDDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGIPQEHIAGSNRGGGCLPWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKASNILLNARLEAKIADFGLSKAFNSDNSTHVSTNSIVGTPGYVDPEYQATMQLTTKSDVYSFGVVLLEVVTGKPAVLREPVSTSVIQWARQRLARGNIEGVVDARILDGYDVNGVWKVMDIALKCTAQASAQRPTIVDVVAQLQECLELENGCAASDLEGGFYDGSSSHDPTHMSQDVAPFEMEPIFNRVPTMPSGPSVR* >Brasy8G293100.1.p pacid=40079312 transcript=Brasy8G293100.1 locus=Brasy8G293100 ID=Brasy8G293100.1.v1.1 annot-version=v1.1 MASSASQMKLSLALLLLCVGACVARNSDFSIVGYSEEDLSSSDRIIELFEKWLAKHQKAYASFEEKLHRFEVFKDNLKHIDKVNREVTSYWLGLNEFADLTHEEFKATYLGLAPPAPARESRGSFKYEDVSADDLPKSVDWRTKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTALSEQELIDCSVDGNNGCNGGLMDYAFSYIASSGGLHTEEAYPYLMEEGSCGDGKTSESEAVTISGYEDVPAHNEQALIKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGTQLDHGVAAVGYGSDKGKGHDYIIVRNSWGAKWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN* >Brasy8G228200.1.p pacid=40079313 transcript=Brasy8G228200.1 locus=Brasy8G228200 ID=Brasy8G228200.1.v1.1 annot-version=v1.1 MAAAEAEQLLLHLKLAFLALEPPACILALARKAGGGSVTPHIQNFILESCIGTNVGEVQDYTYVKIILKKVIAEAELSSDIVIDRLYEEFARCMSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.2.p pacid=40079314 transcript=Brasy8G228200.2 locus=Brasy8G228200 ID=Brasy8G228200.2.v1.1 annot-version=v1.1 MAAAEAEQLLLHLKLAFLALEPPACILALARKAGGGSVTPHIQNFILESCIGTNVGEVQDYTYVKIILKKVIAEAELSSDIVIDRLYEEFARCMSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYEVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.4.p pacid=40079315 transcript=Brasy8G228200.4 locus=Brasy8G228200 ID=Brasy8G228200.4.v1.1 annot-version=v1.1 MAAAEAEQLLLHLKLAFLALEPPACILALARLYEEFARCMSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.5.p pacid=40079316 transcript=Brasy8G228200.5 locus=Brasy8G228200 ID=Brasy8G228200.5.v1.1 annot-version=v1.1 MAAAEAEQLLLHLKLAFLALEPPACILALARLYEEFARCMSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYEVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.6.p pacid=40079317 transcript=Brasy8G228200.6 locus=Brasy8G228200 ID=Brasy8G228200.6.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.7.p pacid=40079318 transcript=Brasy8G228200.7 locus=Brasy8G228200 ID=Brasy8G228200.7.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.8.p pacid=40079319 transcript=Brasy8G228200.8 locus=Brasy8G228200 ID=Brasy8G228200.8.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.9.p pacid=40079320 transcript=Brasy8G228200.9 locus=Brasy8G228200 ID=Brasy8G228200.9.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYELGSGVGLVGVCLNYVGASKVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.10.p pacid=40079321 transcript=Brasy8G228200.10 locus=Brasy8G228200 ID=Brasy8G228200.10.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYEVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.3.p pacid=40079322 transcript=Brasy8G228200.3 locus=Brasy8G228200 ID=Brasy8G228200.3.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYEVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G228200.11.p pacid=40079323 transcript=Brasy8G228200.11 locus=Brasy8G228200 ID=Brasy8G228200.11.v1.1 annot-version=v1.1 MSSKAINSSLNMSGKIYKEISFLSPTYNNVSSNQVSLVAQLSCSTNMLEGDTGCSLWPSSLFLSEFILSFPELFSKKRCYEVILTDGDASTLINMKANMEMNNLYAEDYQLVKESKNKVECKYLSWEDASESDLWDCRTDVVLGADIIYNPSCVPHLVRVLSTLLRRDDERCETVNVGRDEEPVNEVPWNGATRRPLAYMATVIRNVDTFNCFAKAAADAKLSVVNITGAAAPSSFLPYMLSYERSSVQLLEITLLS* >Brasy8G019700.1.p pacid=40079324 transcript=Brasy8G019700.1 locus=Brasy8G019700 ID=Brasy8G019700.1.v1.1 annot-version=v1.1 MGARASRHRHQSPSPSQSHSSDDSQSHHKPRPKPKPKHHHQPPQPPPPHRPRHHHPPPQRPPPPPPPQHQQQQHHAAAAAADDTGRVLGRPLSDVRATYTFGRELGRGQFGVTYLATHKSTGARYACKSISARKLSRGDDADDVRREVQIMHHLTGHRSIVELKGAHEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAAVCREVVNVVHCCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSDPWPSISHGAKDLVRRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAESLSEEEIVGLREMFKSLDTDNSGTITLEELRAGLPKLGTKITESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIFKAFEYFDKDHSGYITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGKINYQEFVAMMKNNSPEIVPNRRRLF* >Brasy8G199000.1.p pacid=40079325 transcript=Brasy8G199000.1 locus=Brasy8G199000 ID=Brasy8G199000.1.v1.1 annot-version=v1.1 MARSMAASFVFALFLLLCAINCSMAASSSAGGEEQEADRVARLPGQPESPGVTQFSGYVTVDERHGRALFYWFFQAQDSPDQKPLLLWLNGGPGCSSIGYGSASELGPLRVVKQGQALEFNRYAWNQEANLLFLESPVGVGFSYTNTSSDLTKLDDDFVAEDSYSFLVNWFKRFPQYRGREFYISGESYAGHYVPQLADLVYERNKDKMSNTYINLKGFMVGNPLTDDYHDSKGLAEYAWSHAVVSDQVYERINTKCDFKISNWTDDCNAAMNVIFGQYREIDIYNIYAPKCLLDLNSSSSTDRPFFMSNQEAQFGKRRRIFSGYDPCYSSYAQEYFNREDVQKTFHANVSGSLPGKYQVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEVLGLPIKTPWQPWYLEKQVAGRFVEYDGMSMVTVRGAGHLVPLNKLKLINAFLRGEQLPTHR* >Brasy8G199000.2.p pacid=40079326 transcript=Brasy8G199000.2 locus=Brasy8G199000 ID=Brasy8G199000.2.v1.1 annot-version=v1.1 MARSMAASFVFALFLLLCAINCSMAASSSAGGEEQEADRVARLPGQPESPGVTQFSGYVTVDERHGRALFYWFFQAQDSPDQKPLLLWLNGGPGCSSIGYGSASELGPLRVVKQGQALEFNRYAWNQEANLLFLESPVGVGFSYTNTSSDLTKLDDDFVAEDSYSFLVNWFKRFPQYRGREFYISGESYAGHYVPQLADLVYERNKDKMSNTYINLKGFMVGNPLTDDYHDSKGLAEYAWSHAVVSDQVYERINTKCDFKISNWTDDCNAAMNVIFGQYREIDIYNIYAPKCLLDLNSSSSTDRPFFMSNQEYFNREDVQKTFHANVSGSLPGKYQVCSDPILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEVLGLPIKTPWQPWYLEKQVAGRFVEYDGMSMVTVRGAGHLVPLNKLKLINAFLRGEQLPTHR* >Brasy8G199000.3.p pacid=40079327 transcript=Brasy8G199000.3 locus=Brasy8G199000 ID=Brasy8G199000.3.v1.1 annot-version=v1.1 MARSMAASFVFALFLLLCAINCSMAASSSAGGEEQEADRVARLPGQPESPGVTQFSGYVTVDERHGRALFYWFFQAQDSPDQKPLLLWLNGGPGCSSIGYGSASELGPLRVVKQGQALEFNRYAWNQEANLLFLESPVGVGFSYTNTSSDLTKLDDDFVAEDSYSFLVNWFKRFPQYRGREFYISGESYAGHYVPQLADLVYERNKDKMSNTYINLKGFMVGNPLTDDYHDSKGLAEYAWSHAVVSDQVYERINTKCDFKISNWTDDCNAAMNVIFGQYREIDIYNIYAPKCLLDLNSSSSTDRPFFMSNQEAQFGKRRRIFSGYDPCYSSYAQEYFNREDVQKTFHANRPHPELIQFLGVFGATDLLQTHQGRAESLALQRRCGWQGTGDWLPVLRRSPRPTDQDPVAALVP* >Brasy8G139900.1.p pacid=40079328 transcript=Brasy8G139900.1 locus=Brasy8G139900 ID=Brasy8G139900.1.v1.1 annot-version=v1.1 MSDGLDRWRDFFRGAGLGICEVIEKAILVAAADQPQEFLRRRDRIAERLFNAVLATRPSSHGCTGSTLSVLPATPAVVEDKGSVRRVPEKESKVDSSSLGAPGGGLPLPNHEAEDSDSDSEDDERLRRAAACNYGHNYDDDNEEEEEEQVAAAQEEDGHPEDDHAAADELEALTNEMDEESQIVREVLRIKELLLHKQDHSDSTLFESLRRLQLMQLSVSTLQATEIGRAVNGLRKHNSQQIRHLVQTLIQDWKVLVDEWVMTTHVALTDNSPLTSNPSVVDDEDEEQGLPSPPLDEGAFFAPETTAIQLSEFFDEMDEDGNLRHNNDARPGNKRENNGRRPANISAVAKPELTRPVGTAERAQVRKPELTRQSPSMRQANQQKSQSSSLQAKPHGMLNKQSRPPGSESVSVRPIRAAPQQKPVGEMKYKQTQDHFAVERKPAMGRVDKSRPPVQSSAGVRLESAKPKTYDGLESNGRLEVAKRKLQERYQEAENAKKQRTIQVMELGDIPKPKNHNRQPIMKSRNNIRSRANGRR* >Brasy8G231400.1.p pacid=40079329 transcript=Brasy8G231400.1 locus=Brasy8G231400 ID=Brasy8G231400.1.v1.1 annot-version=v1.1 MEPRGRRRRCGSESDREEDRISGLPDELLHEILRRLLSFPAAARTSALSRRWRRVWASVPDLVLGDDLPTHGASILDAVDRAIEFYDAAADPASHLDGLDITVPSYNVPARRVAPWLRFAARRHAIALHLHSAYCYSIPPCREELELPLLETATSIRLALGSRFDLRPPLFAALAELEITVATMEARALEVLVSTQCPRLRKLTVAAVTLVDAASGVSLRSATLRHLKFHVPARRLDIAAPALQVFDAYGNIVDAYVAAPNLAEVVLGRCDRFVFADAGCHLRRLDVTMFTCTAIALLARRFDSVDELRLRGTFEGEEWWRFLDDHNLHIPKCETLWISSFGPKASVPCVLRRLLRRGNGVRKLGMWVYASTGAYCSPSCPCRSPQSAMVDGAIILDSVEELKLDLVGGDECLEKIITQLLSMCSAAKLKSVEIKRLSDKAYKKIRVMFPQV* >Brasy8G015200.1.p pacid=40079330 transcript=Brasy8G015200.1 locus=Brasy8G015200 ID=Brasy8G015200.1.v1.1 annot-version=v1.1 MEHGDMLVDILRHLPPLGLAVSRSVCKAWRAVVDDHRLLRADLLPLSLDGVIYDKNHSNMALFARRPTVNAVTVRMDYTSDDPHYADDTGDEVLDCCNGLILLRTLVVGNIATRQWAKLPDPRSCSCPWGRPSCHVNGYLAYDPAVSPHYEVLFVPRAPRDLPAAEASATEWPPSRYAIHVFSSETKCWRERSFVRQGDDAPGTVQDVLSCRNSDRDLYCAAYWQGSLYLPFVQKRANFVLRVNLTNDKYQIFELPNGCQRCGYRIGKSKKGVYCVTHYPRCKFQIWLLYEEESCGTFEWVFKDEINLESISRKYPKTCHDDGPWIMQESDKMDWLLETDVDLKFINEYNQALAKDDFQWDSDDENAVAVEDCTEKCPRGYELFCLGLHPYKEIVLFHDESDTHSVFAYHLNSSKVRYIGKMDYPSTNDDIEVSFPYSPCWTMDLPGSN* >Brasy8G115700.1.p pacid=40079331 transcript=Brasy8G115700.1 locus=Brasy8G115700 ID=Brasy8G115700.1.v1.1 annot-version=v1.1 MATRAPPAPSSSSSGSATVTIDRSSSSSAHAAAPPPPPETVVLRLKRRAKKVSWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDYSDDDADRNPPADHGGDCSSSSHGHDQSHRDHHRDPVG* >Brasy8G156000.1.p pacid=40079332 transcript=Brasy8G156000.1 locus=Brasy8G156000 ID=Brasy8G156000.1.v1.1 annot-version=v1.1 MAKQQNHRHRDLEAAIDMAQQPPPRANKQAGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRISSLDGRVALDGVQMSPSVIKRTSAYVMQDDRLFPMLTVYETLMFAADFRLGSALSVSDKRVRVGNLIEQLGLTTSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILQLLDHLIILARGQLMYSGGPKDVTAHLGRMGRKVPKGENSIENLLDVIQEYDQSEFGVKALAEFCLTGLKPRKLVAEGFSTVSSLAPTPLSGPAGNGGEDDFDHSLRSQHSKSPWSSGAAAFTPSRRAKKDQNGGGKSGHNAPSSLSPGFHRYAPEIVMGTPTPLSSISVYTVNEADYLTPTHRVAGAGAGTAATGAGAHGTNALQGHRGKFANSYLREVLVLMRRNFTNIWRTPELFLSRLMVLTIMGILMATMFTKPKADTQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVITYLPFLLLQSAVYAFIVWWAIELHGQFLYFIVMLYASLLSTNSFVVFISSIVPNFILGYAAVIAFTALFFLFCGYFVNSHSIPLGWKWMNTISTMKYPYEGLLMNEFRGERVFSTDPPLNGDAILDSLAISVVEDRKWWMVLYLLGWAVFYRVLFYLVLRFASKNKRK* >Brasy8G100200.1.p pacid=40079333 transcript=Brasy8G100200.1 locus=Brasy8G100200 ID=Brasy8G100200.1.v1.1 annot-version=v1.1 MVTAQSVVFALPAVCLAISTIAAAGVTRTTNTTEFVNCLAFHLPPGIIYTRGSSSYPSVLEFSIKNLRFVTPGTPTPLAIIKATNSSHVQASVRCGARHGVRVRPRSGGHDYEGLSYRSLDAARRRFAILDLAALRAVRVDVRSETAWVESGATLGELYYGIANKSARLGFPAGVGPTVGVGGHLSGGGFGLMLRKHGLAADHVVDAVMVDAEGRLLDRGAMGEDAFWAVRGGGGGSFGVVVSWKLKLVRVPATVAVFTVHRPRNRSASDLLTKWQRVAPGALPRDMILRVVVQNQDAQFESLYLGRCRGLVATMARTFPELGVTRQDCIEMSWIESVLYFAFYGTGKPLELLLDRGSKPDRYFKAKSDFVHDPIPKNVWESTWDWFLKDGAGLLILDPYGGRMGAVAPSATPFPHRRALYNLQYYGFWFDSGTEASEKHMGWIRGLHREMEPYVSRNPRGAYVNYKDLDLGVNDCGGGGTSYEMARGWGESYFMENFERLAMVKAMVDPSDFFRNEQSIPPLP* >Brasy8G059900.1.p pacid=40079334 transcript=Brasy8G059900.1 locus=Brasy8G059900 ID=Brasy8G059900.1.v1.1 annot-version=v1.1 MPRGKVDKDQPRHRLQKELAAATERPVHRHIQGSSVERSNLRCATGTHNNTHENRCHRILTKAHLATTTETLPRPASGRQPTPASHRTPDQPHGANFASHRIKHTSDTPTNTCISPATKTTSTTKASVFRADDRKDPTQAHGSGPSTLDSSTSRAPPHHQAAGFGTAQACRPQAAAGRGTLPPGTPAARQPRTAKPTSTPSSARSEHPRGAKIQGGRAASTSGAKILGEKGRRLEVEGRGGGRGGQKARRRSPPRSASPVQRRRRPQGKRARERSRRRRRPSGGRR* >Brasy8G058800.1.p pacid=40079335 transcript=Brasy8G058800.1 locus=Brasy8G058800 ID=Brasy8G058800.1.v1.1 annot-version=v1.1 MVLTLQVARWLEKQGHRRSRGGDASRRRESRRGRSRGRRGGGGGSRRGRSRGRRRRKRRFGWRDRWRRRILSGDGRRASEQGQTRTGTGGGAVEEADPAVDKADPAGAGRRRARAARDLGRAAAARLCFCSGGVG* >Brasy8G142100.1.p pacid=40079336 transcript=Brasy8G142100.1 locus=Brasy8G142100 ID=Brasy8G142100.1.v1.1 annot-version=v1.1 MDLATEDVVMEVFRRLTPRGLARCRSVCKGWRATVDANRLLRADLLPLTLDGVFFESDDPIMDCCNGLLLLDRHVVNPATREWLRLPPWPPSCTATNCRKCTNNYYLVYDPAVSPHYEVFLVPSIPSTLPTGHVSKHIYADESVSAMEWPPSPYIMHVFSSKIGCWKERPFIREGQAAGTVADVKTRYAPGCLLLYAAYWNGALYIRCEEDSILRINLSQDMYEVIKFPQGLWGGNDPRLGKSEKGVYCVFRKGRRRFQVWFLDESHGKMEWILQNAIDLETIVENYPVECADDGPWSVQSDAQLELVLKNDINLKLTDDNDKAMGDKFEWDFGNEYVIGTKDRSKRTFSRYVYCLGFHPYKEIILFHWSGRTVAYHFGSSKVQKLGKMYCGDEELQDSFPYASCWMRGLPGSI* >Brasy8G130700.1.p pacid=40079337 transcript=Brasy8G130700.1 locus=Brasy8G130700 ID=Brasy8G130700.1.v1.1 annot-version=v1.1 MAAATAGGRGTVCPSTPCSSPRREGGAACCSLYVAVPAPSMRSLSPRSRRCTATHPRTRSTRRLRELRCSQAEVASGTTVDDDEACELVRGTDLVIGQGEEESVRAYLLEAVKNNNGTCVLLLSDIFGFEDSATRDFAYRVACHGYNVLVPDLFRGDPWKKSLPMDGLEPWLAAQAPERVAGDIETCRKWLAEEFLSAAESKKLGVVGFCYGGGRLVETLARDAEGACCFSAGVCFYGSRMDASLGPRIAAPVLFVCGDGDPLCPVETVRELERGAGCRAAVYAGRGHGFAHRPESLEDDADAEDAFARMKAWLHEHLLVAA* >Brasy8G024800.1.p pacid=40079338 transcript=Brasy8G024800.1 locus=Brasy8G024800 ID=Brasy8G024800.1.v1.1 annot-version=v1.1 MPGKPSHQTGSCEAVSTRVDRSPGWLTSTRKAVNGLVRSGGVRAGFDPANGPNPPSHPRTHKAPTPSRNHRGGGGRGGASVNWAGRGWSGGGARRRQGHGGGVEWALGTGKPARRREERGGPGGASASGADDGDVHVGLARRTQRRPRVAQVTQRPRLRLLLIPCSKLLSSAQNPDRRL* >Brasy8G209700.1.p pacid=40079339 transcript=Brasy8G209700.1 locus=Brasy8G209700 ID=Brasy8G209700.1.v1.1 annot-version=v1.1 MVVGDRLCFLVLDEFWKDLMAVLDCHVDHNYLYVILNICYQLLFSQLRHVLGIL* >Brasy8G094100.1.p pacid=40079340 transcript=Brasy8G094100.1 locus=Brasy8G094100 ID=Brasy8G094100.1.v1.1 annot-version=v1.1 MGLSPGTAGGSSAYECSFKILLIGDSGVGKSSLLVSFVAAATLDDDITPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITGSYYRGAQGIILVYDVAKRESFTNLADVWTKEIDSNSSNKDCIKMLVGNKVDKDDERTVTREEGLAFAEESGCLFLESSAKTRENVENCFEQLTLKILEVPSLLEEGCSSVVKRNILKQQQESHAKYGGRCCQ* >Brasy8G187800.1.p pacid=40079341 transcript=Brasy8G187800.1 locus=Brasy8G187800 ID=Brasy8G187800.1.v1.1 annot-version=v1.1 MVFLLTEMSWNVLISPDQLSAKGLLLRKSIIVRLLEDITNRKASKEHGYYIAVNELKEISEGKVRELTGDVLFPVTFTCITLKPMKGEILVGSVDKILKHGVFLKSGPIENIFLSEKTMNDYKYIGGENPMFMKDHSKLEKDTIVRFKVMGFRWMEADRQFQLLATLAGDFLGPL* >Brasy8G112000.1.p pacid=40079342 transcript=Brasy8G112000.1 locus=Brasy8G112000 ID=Brasy8G112000.1.v1.1 annot-version=v1.1 MRTCTTVHPYMLLRNTPGALYQVGIYMACSFVRSAGVYFAGGILRGRRETTARGHHVKCSPAPTRPPSYRPHIMYKHPLSVPFTALLATNNSIRFTSPPPSLSPPLPPSTPAAPPPARSLAPAARGRAVGRPAS* >Brasy8G037400.1.p pacid=40079343 transcript=Brasy8G037400.1 locus=Brasy8G037400 ID=Brasy8G037400.1.v1.1 annot-version=v1.1 MPISNTPPPAPAPASSKDEAAPLPAAVSGLASSAPTAAFARSQAHEDHNFGSGNSSGGSLPLWGSKKRSRAFASSSSKSAAPTQPAPGPVSAATFKPILGRPTNSAGFIPAPEAAREALLGRTLAFPHQRSFKERILMRSMEERSHSAGQEEPAPVARHPPQEPAPEMRQPQQQQPVFVARQPARELPRRQQPPREAARKGRTTAPTAAEKGKATVMPTASTVPKKKRTATEADNDVAQTLAAMSAPRKLILPKFCLELSKEEDFMLMTGGKLPCRPMKHPRTVDENKIKVRVHALFVKMHY* >Brasy8G108700.1.p pacid=40079344 transcript=Brasy8G108700.1 locus=Brasy8G108700 ID=Brasy8G108700.1.v1.1 annot-version=v1.1 MAGGQRRSFRMSDMIPNAWFYKLRDMRAHGGRGRGPAAARRSLSARWEDREVGAQQPPSSRWNREVFVQQPPPPSSPSPLNTEEAVDVQPPPAMARKGSPPLHLHRASYYTATRDRELARPPPGPGHPRRRRHRATAGHGEKEPFVVHAPVSVSPHRRRDMSIEDLDAVVFQKPMVTVDPADDDGVDNNEGNVIATEDHIVIDLVRDKSTPETESVPVLPPIVTTPVKKPQPKAEPADAVATGAGSTSEKSKPMPSSKPLSTGRRRVKTRAARSPRLAAPATTARKGAQSNWTAPPPASPPPPPPSSPEPDPILDSYAVVVLSSDIRKDFRESMEEMIADKGIRDAADLEDLLACYLVLNEAKFHEIIVEVFEEIWISLANARP* >Brasy8G096000.1.p pacid=40079345 transcript=Brasy8G096000.1 locus=Brasy8G096000 ID=Brasy8G096000.1.v1.1 annot-version=v1.1 MPASYATRALKPSLISLPSVFVWQVWHQVMQPFSLQSLCPQSMDSFKSWFADVADRVGSAKVKGARSLIILTMWRILQLSNDRVFNVVIPDVSSLVNSILAEGKFG* >Brasy8G073900.1.p pacid=40079346 transcript=Brasy8G073900.1 locus=Brasy8G073900 ID=Brasy8G073900.1.v1.1 annot-version=v1.1 MAEATSMAHGVAPAGRRRLHGVAIAGRRHAGGEEGAAQRSRSSSPLPAYSAASALSPPSLPAPRCCLQKVRPDPVSLPSPSPEPAPARIAPASTFLGVVASSSTTRLLPQHLAEPLPPLDSPCRCACVVVHGSPRRSPKGRIGPRLSQRRRSLHRQRHITSQKNVTDQP* >Brasy8G158100.1.p pacid=40079347 transcript=Brasy8G158100.1 locus=Brasy8G158100 ID=Brasy8G158100.1.v1.1 annot-version=v1.1 MEKEQKMEGERAAAAATQRWVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGYRRLPYVAIGALLQAVSWVGIALWPSLSLPVLTIFLLLSNFGASICEVANDAIVAEAGKQAASSSGGQLQSLAFMFGSSAGALGNLLGGIALSYFSPKGMFLFFAILLVLQFFTTVAIPESSLKLPKAATNTSVVSSIRKQVRELSNALCTPEIFWSIIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSIIGLSKVFGQVALLAWSMAYNKYFKTIPARKVLSALQFITAVIMLSDVLFVQGVYRKVGIPDSMYTIVFSGLLEGLMLFKVLPFSVLIANLCPSGCEGSVMAFVMSALALSTIISGYLGVALAEFMGVSGDDFSALPVCLLIEAACTMLPLCCSSLIKERKEKEKKEE* >Brasy8G027400.1.p pacid=40079348 transcript=Brasy8G027400.1 locus=Brasy8G027400 ID=Brasy8G027400.1.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKDQPAPCPYPDWSAIQAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQPLMPPPFGTPYAAMYPHGGAYPHPLVPMMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEISKLTENSEKLRLENSALAVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G027400.2.p pacid=40079349 transcript=Brasy8G027400.2 locus=Brasy8G027400 ID=Brasy8G027400.2.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQPLMPPPFGTPYAAMYPHGGAYPHPLVPMMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEISKLTENSEKLRLENSALAVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G027400.4.p pacid=40079350 transcript=Brasy8G027400.4 locus=Brasy8G027400 ID=Brasy8G027400.4.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKDQPAPCPYPDWSAIQAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEISKLTENSEKLRLENSALAVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G027400.5.p pacid=40079351 transcript=Brasy8G027400.5 locus=Brasy8G027400 ID=Brasy8G027400.5.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKDQPAPCPYPDWSAIQAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQPLMPPPFGTPYAAMYPHGGAYPHPLVPMMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G027400.3.p pacid=40079352 transcript=Brasy8G027400.3 locus=Brasy8G027400 ID=Brasy8G027400.3.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEISKLTENSEKLRLENSALAVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G027400.6.p pacid=40079353 transcript=Brasy8G027400.6 locus=Brasy8G027400 ID=Brasy8G027400.6.v1.1 annot-version=v1.1 MAHDEAVVTHKTGKTASPPKDQPAPCPYPDWSAIQAYYGSGVLPPTYFAPAIAPGHAPPPYMWGPQMANPLSVEPAKSASSKEKSSNKKLKEIDGTAVSTGSGNSKKATSSSEAYSGEGSSDVNDLKVSRTPRKRSMDGGLGTEATGVARNEDVLMGNGAILPNHLFPAPVIKPSVTNAANSGAMGTPISPPPGVIIPSHTAVSTELPTKDERDLKREKRKQSNRESARRSRLRKQVKLKNTTAPTTIEIPVDKPAAAASSSPRIVENFLSMIDDTSKASVNNHTEHNEPKLRQLLGSSATTDVVAAS* >Brasy8G171100.1.p pacid=40079354 transcript=Brasy8G171100.1 locus=Brasy8G171100 ID=Brasy8G171100.1.v1.1 annot-version=v1.1 MVINNAKYEEIGLEELVIQSAPSLELFHHLRRKKLNVWILSAPKLENLGYASCTKLFLGPAVQGSSLATVSSIVKTLIICIEDINLDMAIALLRRFPCLQNLKIFLPTTAVDQQKNDWNQKKWKPLHTQVKTIEVYFYDGRELDISVVSFFLINATLLESMTIRCWSTDEEFRKQQDRALRLEEKPSGRSKVRFAHMGSFRDSYSTDAFVLYPCRRFVYLQGGRYGKYAANSRCMMQ* >Brasy8G023300.1.p pacid=40079355 transcript=Brasy8G023300.1 locus=Brasy8G023300 ID=Brasy8G023300.1.v1.1 annot-version=v1.1 SPECRQCTASGRCTAAGAPPVHSVHRRVADSADSAFSRERRGAPVHRAGAPPVHRVADSAFSRERRGAPVHSPERRQCTAPERPRCTASGRCTAVGAPPVHSVADPQRKKSRGWGGGWVGVEGTGSGAAGASWPDWSLLPQDVLVDIYLELEIPDLLRAGAVCTCCHSAYSTFRRLRLPSRKQTPCLLYSSDASGPDTAALYCPATAATFRVPTPGARLRSLALIGSADEIGNLHLLNPLSGSRVDLPPVTAMYHVEASLDDEGGLVYDIDENDPGYPGPTRVPALEIRDCMYYRAVLSCGPPAGAACVVLLVHMPYGELSYARPADERWTRVSPGDGTGLQWRSRYCNAVYNKEDGLFYVVRMDESVQTLDLNGPSPVARTILRGRKFMTEVPHRYLVHSPWGDLLHIWTKDIQLYRSDLHGQKLESLASLGDHALFLGYNTSLCLPVKDILGLKPNRAYITDDFFEYVSCYTKNKPEVGAWNIASQSLEGFRDATHAEDPWLNWPAPIWIVPSLL* >Brasy8G033900.1.p pacid=40079356 transcript=Brasy8G033900.1 locus=Brasy8G033900 ID=Brasy8G033900.1.v1.1 annot-version=v1.1 MVVRPVRDPFPHIKNECTLKTDVKGRFLNRVTKRTPLIIWNSFLCEDVTGWDFLQSKEPTKKAAFLWGPDFPANLNVRLTNKAMKLRKISGFSGVLALGREFPDLFLRMEISNSRGAISMGRVPCSHASDQKRAVSPSRMEMEVQAEKSSRSDSSKGRSMSAQGLSGHVQRNHSQRSRRALLNLAMSRTPRPPKHLGRQTKSQRTRQLPPPH* >Brasy8G056700.1.p pacid=40079357 transcript=Brasy8G056700.1 locus=Brasy8G056700 ID=Brasy8G056700.1.v1.1 annot-version=v1.1 MAGRSLSCGLSDPKSASAAGAGAGRRSEAATSAASCGYMNGSRSHPHFPVTARLTSSTSSDSDSLPLLAEGMRNGIRGVSRSFSGELGIFSNQSSELDSDLMSEGRSGQKSRGSQHGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCAQVFAKALNCHSGEHPRPCDLCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIMSRCQKFFFPKLKECDIVNTLQWICTSDGLDVDRDALRLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVNLLDLALSADTANTVKTLRDITETGVEPLSLMSQLATIITDILAGTYTFTQERIRRRFFKRPTLSKEDMEKLRQALKTLSEAEKQLRLSNDKMTWLTAALLQLAPDKQYILPSSSTSTSFNQGNEIYSGHHGLPRASDQGNQQYRNSNPGICSSNVMASTYIGGRRPREHTPDGYILSSSATRVNERSKCSKTDNDMIWQAVLENIQSDSLRKMMAKEGQVISVSLGTSPTVQLTFSSRVNKSKAEKSRGEILQAFESVLSSTIILEIRYESKDGVGGDSAISPYREDSSSNIALKRSFTKHSSVSSGGENLIRRLQKGSMAQGASSNQTRWMQSDPHILTEGEIIEVGPSQMGWYDEPDNGVVARDKRRNEGVWGVAALSSQNQENIVPQGGINEDNEHDRHKNIVRGKVSLAHVINRAEACSKQGGWSRQKAMSIAEKLEQENLRMEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLVLCGRCISTKSPR* >Brasy8G056700.2.p pacid=40079358 transcript=Brasy8G056700.2 locus=Brasy8G056700 ID=Brasy8G056700.2.v1.1 annot-version=v1.1 MAGRSLSCGLSDPKSASAAGAGAGRRSEAATSAASCGYMNGSRSHPHFPVTARLTSSTSSDSDSLPLLAEGMRNGIRGVSRSFSGELGIFSNQSSELDSDLMSEGRSGQKSRGSQHGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCAQVFAKALNCHSGEHPRPCDLCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIMSRCQKFFFPKLKECDIVNTLQWICTSDGLDVDRDALRLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVNLLDLALSADTANTVKTLRDITETGVEPLSLMSQLATIITDILAGTYTFTQERIRRRFFKRPTLSKEDMEKLRQALKTLSEAEKQLRLSNDKMTWLTAALLQLAPDKQYILPSSSTSTSFNQGNEIYSGHHGLPRASDQGNQQYRNSNPGICSSNVMASTYIGGRRPREHTPDGYILSSSATRVNERSKCSKTDNDMIWQAVLENIQSDSLRKMMAKEGQVISVSLGTSPTVQLTFSSRVNKSKAEKSRGEILQAFESVLSSTIILEIRYESKDGVGGDSAISPYREDSSSNIALKRSFTKHSSVSSGGENLIRRLQKGSMAQGASSNQTRWMQSDPHILTEGEIIEVGPSQMGWYDEPDNGVVARDKRRNEGVWGVAALSSQNQENIVPQGGINEDNEHDRHKNIVRGKVSLAHVINRAEACSKQGGWSRQKAMSIAEKLEQENLRMEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLVLCGRCISTKSPR* >Brasy8G056700.3.p pacid=40079359 transcript=Brasy8G056700.3 locus=Brasy8G056700 ID=Brasy8G056700.3.v1.1 annot-version=v1.1 MAGRSLSCGLSDPKSASAAGAGAGRRSEAATSAASCGYMNGSRSHPHFPVTARLTSSTSSDSDSLPLLAEGMRNGIRGVSRSFSGELGIFSNQSSELDSDLMSEGRSGQKSRGSQHGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCAQVFAKALNCHSGEHPRPCDLCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIVDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLDLPHIIMSRCQKFFFPKLKECDIVNTLQWICTSDGLDVDRDALRLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVNLLDLALSADTANTVKTLRDITETGVEPLSLMSQLATIITDILAGTYTFTQERIRRRFFKRPTLSKEDMEKLRQALKTLSEAEKQLRLSNDKMTWLTAALLQLAPDKQYILPSSSTSTSFNQGNEIYSGHHGLPRASDQGNQQYRNSNPGICSSNVMASTYIGGRRPREHTPDGYILSSSATRVNERSKCSKTDNDMIWQAVLENIQSDSLRKMMAKEGQVISVSLGTSPTVQLTFSSRVNKSKAEKSRGEILQAFESVLSSTIILEIRYESKDGVGGDSAISPYREDSSSNIALKRSFTKHSSVSSGGENLIRRLQKGSMAQGASSNQTRWMQSDPHILTEGEIIEVGPSQMGWYDEPDNGVVARDKRRNEGVWGVAALSSQNQENIVPQGGINEDNEHDRHKNIVRGKVSLAHVINRAEACSKQGGWSRQKAMSIAEKLEQENLRMEPRSSLLCWKASSTTRRKLSALKIRTRRSRALSRLVLCGRCISTKSPR* >Brasy8G186500.1.p pacid=40079360 transcript=Brasy8G186500.1 locus=Brasy8G186500 ID=Brasy8G186500.1.v1.1 annot-version=v1.1 MELAASASASVCSSYHHLSSTAPADVDGGGAQSTPRRRKHAAAGCGLRRRCYAVLKQQRTRLYILRRCVSMLLCWNEHDLSD* >Brasy8G198300.1.p pacid=40079361 transcript=Brasy8G198300.1 locus=Brasy8G198300 ID=Brasy8G198300.1.v1.1 annot-version=v1.1 MDRPQIVSENYANPITCLFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDDGNSVWKFECLDAESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLTLSLANIVGFTKCNKDAKKNIRAFAENAAQNAITSRITSSLQSAFSVI* >Brasy8G183800.1.p pacid=40079362 transcript=Brasy8G183800.1 locus=Brasy8G183800 ID=Brasy8G183800.1.v1.1 annot-version=v1.1 MAIIMIMGAFRTFFLSYPEFLLAALCFVSLSALRHALRWWRRRGGSSSSLPVRWPVVGMLPFVLRNQGRLLDAATAALRDSGCTFMFRGPWLANADFLVTCDPAAVRHCLAANFARYDKGRDFAEMFDIVGDGLLVAEAASWVGKRHIAASVFAAPAFRSFVISTVSRQTRRLLVPFLDHHAATGIGSQAIELEEVFMRFALDVTYASVFAADIDSLSVEAAGAPFPPFGEATRITGEAVMFRHVVPARWWKLLRWLNLGMERRLTEARKVLDEFVYLEIAKRKADPLLRGEGGGDLLSMYMGWAAKADPAMTGPQRDAFLRDAAVGYMFAAKDLIAAALTWLFYMLCTHPRVEEKILRELRSLRPTTTSDSPSVLFDGETLRSAPYLHAAVLETLRLHPPAPFEEKEARGDDVLPDGTRVSEGTRVVFCIYAMGRMEGVWGEDCMEYRPERWLSGVGRVRHEPSYKFAAFNSGPRSCLGKDLGLTNLKIAAAAIVYNFRVELVEGHVVEPKDSVVLHAKNGLMVRIKRRVHDHE* >Brasy8G087600.1.p pacid=40079363 transcript=Brasy8G087600.1 locus=Brasy8G087600 ID=Brasy8G087600.1.v1.1 annot-version=v1.1 MDAPAPAASSSAQDPPEESSAASDVEKIAETQTSPALPGSHVDGDAETAPRKRKRENERNPAAVDLPSKTYNPVEEEEPTEDGKPEKESNGHQDIWNAFDMALESSKLDTPEDVPNRKEVGEKEVKIDCNHQIEIHEDLGHVCRVCAMIVRKADTIFDFEWRKASRSRSYFKETRSSEIVLGNVTVYEDLTALDVAIHPRHAQHIRPHQLEGFHFLVKNLVSDKPGGCILAHAPGSGKTFMIICFIQSFLAKHPSERPLVVLPKGIVGTWKREFQRWQVEDVPLYDFYSANATKREDQLKILNSWQSNMSILFLGYEQFSKIICCDGDEIAAAACRDMLLMVPNLLIMDEGHTPRNKDTNLQDSLSQVQTPRKVVMSGTLFQNHVKEVVSILNLVRPKFLNTGSTRPIARSIMSQVAISGKKIPKDPRKFDKAFAESVEETLLHDENVTRKNHVIRSLRELTEGVIHYYKGDILHELPGLIDFSVKLEAYDYLKRSAVGTALYMHPCLSEMSEAGALDRANNLTDAMVDTLVESVHLRDGVKASFFINILKLASCAGEKLLAFSQHILPLKFLERLLVNMFGWRVGKEIFVITGDTSAADRELAMDKFNNSADSKVLFGSIKACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEENLHETALRKEGIAKLMFEWNGRHCTAEDFQLNRVYISNCQDEFLDNNAIRRDIKALYTR* >Brasy8G032400.1.p pacid=40079364 transcript=Brasy8G032400.1 locus=Brasy8G032400 ID=Brasy8G032400.1.v1.1 annot-version=v1.1 MPLSSCQSVWSVRAPASIRQPKRLRRGREAATLEPHDGAALTAARNGGSGVGGSVGGLGACLWTQTSWGARGDDGGMGDSGDGGGQRDGTGGSVGGEEAATVRLRFRFGGEQPAEEDSASVKETAAGRSKGASAAALLCCGSEGTAAAGEVTCAGEEEADRGKVQRDRLRSGGGGVRGGEWIGSGQTGRAAWDEIARRHRTYGARGNHHQLQFNK* >Brasy8G116300.1.p pacid=40079365 transcript=Brasy8G116300.1 locus=Brasy8G116300 ID=Brasy8G116300.1.v1.1 annot-version=v1.1 MSTNVFRPKKAERPANKSSFRKIWQFSKSGPSNPSSPAAPETAPAAQQEPPSLPDKQEAEEIREAESAGTTSEQNDGRYPVAELSSSVAATATAVAAQAAEAVASVVAVTPRTQARSKEELAVVRIQTACRGYLARRGHQARGQARLMELMEGIAVKRQTEEALYCMQTMTRVQTQINSRRAKTEEDKKALKSQIQQKQALDKAKIGEGWDHSHQSKEQLEALQATKQEAASRRQRAMSYAFSRQWRNRSNPSASGRGATTPTHAPTFMDPGCPNWGWSIAERSMAAARPWEMQTTPQGKDRAPAKSAAGVRTAKPRVSISIQIPPPTTPPGSRSARPPPGWPSPSTPTRPRSPSVLGRAPSPRGSPLHRSTSGLSERPRSSQEHLGSGSSSPIQGGKEQQQGPLSLRRTTSLRSGELPRRLSLGARADADASEAGGAPVTPSYMQPTKSVRAKARGSASPAAGDRAAEFPPEKAPPVSSPSVKKRLPIEFAEKGSAPPSSPRKVKAERAKRPSQPPVPGCR* >Brasy8G088100.1.p pacid=40079366 transcript=Brasy8G088100.1 locus=Brasy8G088100 ID=Brasy8G088100.1.v1.1 annot-version=v1.1 MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTIVGIKCKDGIVLGVEKLITSKMILEGSNRRLHSVHRNSGLAVAGLAADGRQIVSRAKSEAANYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKSFELELSWVCDESNRQHEKVPNDLLEQAKAAAQAALEEMDAD* >Brasy8G251100.1.p pacid=40079367 transcript=Brasy8G251100.1 locus=Brasy8G251100 ID=Brasy8G251100.1.v1.1 annot-version=v1.1 MDGHDSSVPHIPEVTLDITPASGAAGNKMCRGAACDFSDSNNTSKDAQERSASMRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIMRLITESGKVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHSHGHSHGHSHDHDHDNSDDHHTHHGDHEEGHVHHHEHSHGSSITITTRQHSHSSTGQHQGAEEPLLKHDGDCDSAQPGGKAAKKRNINVHSAYLHVIGDSIQSVGVMIGGALIWYKPEWKIIDLICTLIFSVIVLFTTIKMIRNILEVLMESTPREIDATRLENGLREMEGVIAVHELHIWAITVGKVLLACHVTITQEANADQMLDKVIGYIKAEYNISHVTIQIERE* >Brasy8G106700.1.p pacid=40079368 transcript=Brasy8G106700.1 locus=Brasy8G106700 ID=Brasy8G106700.1.v1.1 annot-version=v1.1 MEDLLDSEIGKNDYDWLLTPPGTPRVPALEIAQKAPSANILPKRAVTRSSSTTRASRLSVSQTENGHSAVPTRPARSNSVTRPSIQSTLMSSNNRTSVLNTSISSVSSRPTTPSRRSSTIVAPKQPVPASRPVPARSSTPVKARPSTPTKTRPSTPVRTRQTASNSTVDAAAARTTSTQNSRPSTPNSRSRIMSNSSSGSIPAMNRPSASAGIIPATSRPGSSSSSVHGTSHATSLSSGTVPSVTRSISRSSTPTRQPAVRSSAPAIGRSPSFGRTSSSTNLTSMSRPAASNGRNSAPSSAPSSRPSSPGPRSRAPVRPHDIPSSAPSSRPSSPSPRLRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMASGIRSTSNAEPIAPVKKISVPSAGRSKFSDAPSKVPSRSNGHQNRQAERSAVDSQTTRVARPVAVAGTGTDNGFGRTISKNSLDMAIKHMDIRQNLGGIRGASLFPHSIRSTTGKVRPVRMSDPGHPTSNGDRQYADNGRINGHFFSLDTDGALSRNDGSSTDSPDRGSFGTKDTLSELDIYGSSRYEAMLLREDVKNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL* >Brasy8G106700.2.p pacid=40079369 transcript=Brasy8G106700.2 locus=Brasy8G106700 ID=Brasy8G106700.2.v1.1 annot-version=v1.1 MSSNNRTSVLNTSISSVSSRPTTPSRRSSTIVAPKQPVPASRPVPARSSTPVKARPSTPTKTRPSTPVRTRQTASNSTVDAAAARTTSTQNSRPSTPNSRSRIMSNSSSGSIPAMNRPSASAGIIPATSRPGSSSSSVHGTSHATSLSSGTVPSVTRSISRSSTPTRQPAVRSSAPAIGRSPSFGRTSSSTNLTSMSRPAASNGRNSAPSSAPSSRPSSPGPRSRAPVRPHDIPSSAPSSRPSSPSPRLRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMASGIRSTSNAEPIAPVKKISVPSAGRSKFSDAPSKVPSRSNGHQNRQAERSAVDSQTTRVARPVAVAGTGTDNGFGRTISKNSLDMAIKHMDIRQNLGGIRGASLFPHSIRSTTGKVRPVRMSDPGHPTSNGDRQYADNGRINGHFFSLDTDGALSRNDGSSTDSPDRGSFGTKDTLSELDIYGSSRYEAMLLREDVKNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL* >Brasy8G274700.1.p pacid=40079370 transcript=Brasy8G274700.1 locus=Brasy8G274700 ID=Brasy8G274700.1.v1.1 annot-version=v1.1 MEWNKLYSRWRPGQNAKSRSPQPLCSAPPINNNTTQLPSLHPSSALSSVRNSIAVPSPNCSSRPWPWRRRRWWPWWTASPPRTAWRRRTCPSPPMTPRTRSASVRATATCCKRKINR* >Brasy8G203600.1.p pacid=40079371 transcript=Brasy8G203600.1 locus=Brasy8G203600 ID=Brasy8G203600.1.v1.1 annot-version=v1.1 MAAQIPTPVPPPRPSPLPRSSPAPASSCGLWRRATGGWDGARGSLLSCAVGDPSGPARLCCCSWWSSAPPSLPPPSSTPSSPRSYSSSSSCSCECPHPSCTICNLQ* >Brasy8G063900.1.p pacid=40079372 transcript=Brasy8G063900.1 locus=Brasy8G063900 ID=Brasy8G063900.1.v1.1 annot-version=v1.1 MATRLLLRSLRRPLPQPPASSSGANLASVFGKNRLVRLLHRSPGARSFHVLPRIGNSRFGGGSRSSSTAPRRILGAFTNVSFVCFSAIPPSQRERIRWDTRPQQARFAGPQDHGTDKEKRHWSWTDVFIAANLLVHAVDAVTNRKLLMWGIKDNALIKKGQIWRLATSSLLHGGLFHLAANAYSLHVVGPELEEATGPRRFLAIYCTSALAGSLMSYWFSPHRSIGASDAICGLVGAQAVYVWRNQEYLENAEETLKDIRYDVLINLGIGLFLFRRIDNWAHLGGFLGGAAVEWFVGPNWNQHHVAEDGTVVFKDRAPFAQLMNSIRPQ* >Brasy8G185500.1.p pacid=40079373 transcript=Brasy8G185500.1 locus=Brasy8G185500 ID=Brasy8G185500.1.v1.1 annot-version=v1.1 MGYHHLLVVSPPAQPPPLRVSLFSRSPRGAVTASASHDGARLAASAATRRRVVLLVGVSVLPLLRLRDAAAAAAHPSTVDLVTDRMDSHKTEGTQPEKPLAGPPQPEVNGPSPGNPFVNLLNAIAVIASGVLSGLLGTSQREKKALQSTISSMEIKLAENEVAMSLLRESYEKRLLDEQAALKKQTRIFQDVEVSLLDQLNSTKRTVTSLKEKVIYERELVEQRKHKIYQLESSFAQAEEAKHMLEGKLSEKLEALAILHDKVNLLSQDLNDKDKFIRELSSSLSSKEGEYQSLHLIYSQTEESLEHANSRIEQLEKDVLAAKDDLKSKISSIDSLNEEVQRLYTAKSEAGEKISELTKQYAELEAASEMRASRDSELLFDKDSQFNQLEEKLSSALSDSRKDRAIIAELNIELEANRTVLDNEFEARKSVSDLIQFTEEALKKSRNEVSKLSEELNGVNISNQDLTTQIVKFTNESIEVKQALSNKVEEAESVSKALSGELASVREILEKSQEDLEVASNQLVSTTEAHDELNKELLDAYKKLESTTNDLVRERKINATLNRELEAIVKQLLVDSEARRTLQADLNEATISLNEVSESTLLLSNKLHSSNSMISTTKEDKKMLSEALVEQKKSTAEAQKNMADAQNLIKSLGAEREKFEMSSKKLEEELATAKGEILCLRRQISAIGSQDTNSLPETSLEQNFSQPSKQQHVNYRTDAGAHRSAKKIYRRRKDRPAR* >Brasy8G074800.1.p pacid=40079374 transcript=Brasy8G074800.1 locus=Brasy8G074800 ID=Brasy8G074800.1.v1.1 annot-version=v1.1 MRDDGPAAALVPRLVVSSGSRVEELERFSHYVARQIGFDHANECPHLCTLAYDYLRKNKGYEENIFAFFQNTPDPESLVVKFIEELDKCILGYFSFHWKCSTYMITQVLTVEGAPKRKLRNMVLEATRNQRFERVTRNLKVTRLFSTLVEELKAIGLSSHDQAQCSDVMVPAAHCDRSPVLLLMGGGMGAGKSTALQDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRERYRMGVGYKVTDDGTITEDYWEPVEDSSTDEDSSPRRRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFAMAFHGYCGLVDNARLYSTNSMGAPKLIGWKDGDSNLLVDPEEIGCLERVGGLNDEANCVDELYPGGAPSPSAWQDLVASPSRASSQRELKAAVQTSEARFRTV* >Brasy8G074800.3.p pacid=40079375 transcript=Brasy8G074800.3 locus=Brasy8G074800 ID=Brasy8G074800.3.v1.1 annot-version=v1.1 MRDDGPAAALVPRLVVSSGSRVEELERFSHYVARQIGFDHANECPHLCTLAYDYLRKNKGYEENIFAFFQNTPDPESLVVKFIEELDKCILGYFSFHWKCSTYMITQVLTVEGAPKRKLRNMVLEATRNQRFERVTRNLKVTRLFSTLVEELKAIGLSSHDQAQCSDVMVPAAHCDRSPVLLLMGGGMGAGKSTALQDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRERYRMGVGYKVTDDGTITEDYWEPVEDSSTDEDSSPRRRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFAMAFHGYCGLVDNARLYSTNSMGAPKLIGWKDGDSNLLVDPEEIGCLERVGGLNDEANCVDELYPGGAPSPSAWQDLVASPSRASSQRELKAAVQTSEARFRTV* >Brasy8G074800.2.p pacid=40079376 transcript=Brasy8G074800.2 locus=Brasy8G074800 ID=Brasy8G074800.2.v1.1 annot-version=v1.1 MVLEATRNQRFERVTRNLKVTRLFSTLVEELKAIGLSSHDQAQCSDVMVPAAHCDRSPVLLLMGGGMGAGKSTALQDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRERYRMGVGYKVTDDGTITEDYWEPVEDSSTDEDSSPRRRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFAMAFHGYCGLVDNARLYSTNSMGAPKLIGWKDGDSNLLVDPEEIGCLERVGGLNDEANCVDELYPGGAPSPSAWQDLVASPSRASSQRELKAAVQTSEARFRTV* >Brasy8G074800.4.p pacid=40079377 transcript=Brasy8G074800.4 locus=Brasy8G074800 ID=Brasy8G074800.4.v1.1 annot-version=v1.1 MVLEATRNQRFERVTRNLKVTRLFSTLVEELKAIGLSSHDQAQCSDVMVPAAHCDRSPVLLLMGGGMGAGKSTALQDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRERYRMGVGYKVTDDGTITEDYWEPVEDSSTDEDSSPRRRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFAMAFHGYCGLVDNARLYSTNSMGAPKLIGWKDGDSNLLVDPEEIGCLERVGGLNDEANCVDELYPGGAPSPSAWQDLVASPSRASSQRELKAAVQTSEARFRTV* >Brasy8G074800.5.p pacid=40079378 transcript=Brasy8G074800.5 locus=Brasy8G074800 ID=Brasy8G074800.5.v1.1 annot-version=v1.1 MVPAAHCDRSPVLLLMGGGMGAGKSTALQDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRERYRMGVGYKVTDDGTITEDYWEPVEDSSTDEDSSPRRRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFAMAFHGYCGLVDNARLYSTNSMGAPKLIGWKDGDSNLLVDPEEIGCLERVGGLNDEANCVDELYPGGAPSPSAWQDLVASPSRASSQRELKAAVQTSEARFRTV* >Brasy8G232800.1.p pacid=40079379 transcript=Brasy8G232800.1 locus=Brasy8G232800 ID=Brasy8G232800.1.v1.1 annot-version=v1.1 MEDSTGGEINAPPPVQRRPPRPRGGGATATAQGFVAALRRRVSSGAAVAARASFAADSGDESGPAEPSSSHRRESSGDIPSKAGGGGGGGGPDFSAFSFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVSVNGRLIIENLMKYGLLIRTGFWFNSRSFRDWPLLMCCLSLPAFPLAAYSVEKLAFRNLITDAVATCLHVILTTAEIVYPVLVILMCDSAVVSGFLLMFIACIVWLKLVSFAHTNHDIRQLTMRGKKVDNAPSTADMDNLQAPTLGTLIYFMMAPTLCYQPSYPRTSHVRKGWLIRQIILYLIFTGIQGFIIEQYINPIVVNSEHPLKGGLLNAVETVLRLSLPNVYLWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWIVRHIYFPCMRSGISKEVAVFVSFFVSAVLHELCVAVPCRIVKFWAFLGIMLQIPLITLTSYLKSKFSDTMAGNMIFWFFFCIYGQPMCVLLYYHDVMNRIGKTA* >Brasy8G248200.1.p pacid=40079380 transcript=Brasy8G248200.1 locus=Brasy8G248200 ID=Brasy8G248200.1.v1.1 annot-version=v1.1 MAAAAMNTDVEAVDFDSDDDDLMDEEGAIEPSPAPAPRLRSTIAGGGGGDDGPRRTKGRGFREDPNSSAAPRDSRLAGVGLSGFDALASDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRGFGEVKNLHLNLDRRTGFVKGYALIEYENFEEAQAAIKASDGTELLTQIISVDWAFSNGPAKRRNTRKRSPRANRSRSPPRRRY* >Brasy8G296700.1.p pacid=40079381 transcript=Brasy8G296700.1 locus=Brasy8G296700 ID=Brasy8G296700.1.v1.1 annot-version=v1.1 MAGLLVSASTGAMGSLLGKLGTMLSDEYNLLKGVRHDIKFLKDELEAMQAFLLMMADEEEPDPRDKLRSDVVRELSYEIEDSIDKFMLLVESDDSSTKSDGLGKLLNKSMKKITDIKTRHKIAKDVKDIKSQVKEISERYARYTIHESSKPKNEKVDPRILALYKDASELAGIDGPTDELVKWISSKKGESAHQVKVASIVGYGGLGKTTLARQVYKKLGANFECRAFVPISRNPDMTKIFGSILSEISNGKEHARSTYQQIIDQIRYFLKDKRYFIVIDDVWDKHTWKTLDCALVKNNCGSVIMTTTRTNDVAESCCSSDGNLVYKIKPLGDDDSKKLFFKRIFGSEEKCPPNLREASKDILRKCGGLPLAINAISGLLATGKTKEEWDRVRSSIGFAQGKSSDIDAMNYILSLSYFDLPLHLRSCLLYLTMFPEDYVIQRKRLVQRWVSEGFIRSIEGGDLVELGEKYFHELVNRSLIQLVNIGYDGKAKYCRVHDTILDFLIYKCTSEKFCTLLSNNFKPDGRIRRLSLTSSVSSDGKGSVEQLDLSHARSLGIFQYSQEYRTSLVKSNALRVLDLGYCSGLENRCVKDIGRLFQLRYLNIRRTKISELPREIGNLVHLETLDATRTQLVELPESITRLIRLARLFVDYKTKIPDGIGQMKNLQELSRICPFEQSPNFLEELGKLTNLRKLRILWESKEPDKECKGEKVASSLRKLERCKLRNLSIEFNCCDEILMAGKQLCSAALKSIRKITTHAGYFCWSGKWLVSLMNLEILVIRGEITQQDVELIGSSPALLELRVHAEKWPIVISGSSGGFQQLQKLDFCSSPYSSRGRMLMIEAGAMPNLKELTIDVTESSAAADDDIGIQHLSSLASLRVMTRWVSMEDVKVVEDVKAAFKRIVDAHPNRPTLEMMGIEATIRLRLL* >Brasy8G178200.1.p pacid=40079382 transcript=Brasy8G178200.1 locus=Brasy8G178200 ID=Brasy8G178200.1.v1.1 annot-version=v1.1 MAAAGIRRRISELLLSSRAPHRRFFPLAAAAVSSAHLAPWAPPSRGAKTALPGKSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLTAVIGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIHRPQFQFTERQQVRSRPRPRYDKRRETMQADRREVMKNGPSIQEHRPPFSGEVAHNPEQQHAVVPPGGN* >Brasy8G276900.1.p pacid=40079383 transcript=Brasy8G276900.1 locus=Brasy8G276900 ID=Brasy8G276900.1.v1.1 annot-version=v1.1 MARRNRPLAGAGAGAGAGAGAGATVHVEWLAQSLMQKQADAAVEEQHRATVSSHRLSRVPAHLRDNNANGYTPGFVAIGPLHNRENRRLRPAERLKVAYLSSLISRGHPDPAQHLTVIEDYIRIVAAREKEARALYVAEEVVDIGANDFIQALVLDGCFIIEHLVNFAIGREEPSLHATPFGPTQLSVDLILAENQIPFFVLADLIAATKLPEFESTGYPPPVLLVKLVLFYLAGEKGRDMSEALPGAAEGISHILHLLHAMVTTAKTSWEPPPRTIQDGAVLDMAQEAGRLLRRIPLLVLVPLLYPILPEDKKWSASYGREDVPSASDLNRMGVRFRKARGGSGKPVAGIASVLGPVPLAVKLTQHGDRLHLPQLRLEFRTTPLLLNLMAFEQSSSTTSAAPQDVSAYMSFMAKMVQSAEDAGVLSAAEVVQQQGGAGNESKEEVARVFRNLGEASAAAGGELETSYLHGMLVKLRERSRHPLYVMWADVQRNYFTLPWAVVAEFVAVVTFVSTMLQTYSSFKNK* >Brasy8G021000.1.p pacid=40079384 transcript=Brasy8G021000.1 locus=Brasy8G021000 ID=Brasy8G021000.1.v1.1 annot-version=v1.1 MDQWGANREWKQFYSHTSVNYMPMPTDQMTGLLPRQSAGMATTWGGTCCVHAYAEAMTAWLRRWYEAVAYQDPDLTYEADCFEVDMATLIHCSAGHGVFTVNGSSVKRVAELASEVGIPLIGEILPYGNPPQSDHRNYCTGTSVTSHNAVPLPFGTPTASEYEAAMRYREHLCSCLEVGPVVIFIRTQRHYWLPRDVYTPYRQLPPPSRNEFTSVQDEYKEWNHCVVAYGFDCPGRDVRSLVLCYQENASTPMETRRLFIEPDAISFYYVPKLGDLTFHAEPQRERPRTIFTQLMKTVIDTIPNFLP* >Brasy8G207900.1.p pacid=40079385 transcript=Brasy8G207900.1 locus=Brasy8G207900 ID=Brasy8G207900.1.v1.1 annot-version=v1.1 MEIACSLIVLLLLPINFVATQNASRVAQEFHVGVILDWESMVGQIARTSISLAMEDFYAVHQNHSTKLVLHIRDSMRDDVQAAGQAINLLENYNVEAIIGPQKSSQAIFISKLGNRSHVPVISFTATSPSLSSKILPYFVRATLNDSAQVNCIASIVKTYGWRKVVTVYEDTDYGRGIIPYLVDVLQDVDVLIPYQSVIPLSATSEEITLELYKLMTMQTTVFVVHMSLTLASSFFIKAQEVGMMNKGYAWVVTDGVTNLIDSLSPSVLESLNGALGVEFYVPKSRKLDDFTMRWNERYQIDDPTDPPLKLSIFGLWSYDTIWAVAQAAEKLGLANATFQKPGVTRNSNLETLETSSNGPKLLQAIAHNKFKGLSGNFDLSDKQLQISIFRIINVVRKGWREIGFWTAQNGISQQLDNTRTATTYSGSVHNLNPVVWPGQSKEIPRGFGLPINGKKLQVGVCVSGYPEFMMVEKDHITGATIASGLSIDVFEEAVKRLPYALPYEYVIFNTTDDGSSQDYNDFVYQVYLKIYDIVIGDITIRYNRTLYVDFTLPYTESGIAMVVPVRDSINKNTWIFLKPLAPGMWLGSIAFFIYTGVVVLILEFLGNNENVRGPIPRQLGIMIFFSIFEEKELVQRFLSRIVLVVWLVFLMVLTSSYTASLTSMLTVQQLQPTVTDVHELLKSGECVGYYRGSYVEGLLEELGFDRSKIKPYDSNDDINDALSRGSNNGGIAALVHEVPYIKLFLANHCKGYTMVGPIYKAAGFGYALAKGNPLLGDISKAILNVTGGDDMIQIEKKWIGDQNDCQNVGPLTGSSRLTLANFRGLFILTGVASTSSLLIALIIYFYKKKHLSAMITVTNNNRPEETEINDENSEPQEGSQGGKAGQNAQRADREENGQLEEQTSLELVSDTSSETCTIMPNNGSIVIFRG* >Brasy8G019300.1.p pacid=40079386 transcript=Brasy8G019300.1 locus=Brasy8G019300 ID=Brasy8G019300.1.v1.1 annot-version=v1.1 MEQRSLLASAVGVGVGVGLGLASARWSKPAHGADGGGAGPAEVEAELRRLVVDGRDSGVNFEEFHHLHCYLSEQTKEVLISAAFVHLKQADLSKHIRNLSAASRAILLSGPTEPYLQSLARALSNYYKTRLLILDVTDFSLRIQSKYGGSSKTLVHNQSMCETTFGRVSDFIGSFAMFPKKDEPRGSLRRQTSSADLRARGSDVATSDPSLRKNASIPSDTSDLASQCHGHSARRTGSWCFDEKVLIQSLYKVMSYVAESDPIILYIRDVDHFLHRSQRTYSIFQKMLNKLSGQVLILGSRLLNSDAEYNDVEGRVSSLFPYHVDIKPPEEEIHLNGWKTQMEEDAKKIQIQDNRNHIVEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVTYHLIHIKDPEYKNGKLLLCSKSLSHGLSIFQESGHGGKDTLKMEANDESKDGLKGAAGSNKSENDKSGTGPVKDGDAPPPKPEIPDNEFEKRIRPEVIPANEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGILSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDKDIEFKELATMTEGYSGSDLKNICVTAAYRPVRELLKKERLKELERREKEAKQKTTIVDASDNPESKKENSDSKEDNPESKDLNSEAKAEGDKEASIDLRPLTMEDLKQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL* >Brasy8G137400.1.p pacid=40079387 transcript=Brasy8G137400.1 locus=Brasy8G137400 ID=Brasy8G137400.1.v1.1 annot-version=v1.1 MPCEPRPRLIWCRGAPPAAQRSCQAATRQFRGRETAPTPCRGLPSHSRGRSRAGNHLAARRVSRWGRLGAGSGGALRVPAATIPGAQARRWPWRMSMARRLEEGGTGGGEDAGAGHPEAHGAEVRTHGEAYRGNSRWRSAGGWTGWWRSSTRIFHGSRRIRGRDRRIWPGTASRTSGTAMEEAHETDR* >Brasy8G226100.1.p pacid=40079388 transcript=Brasy8G226100.1 locus=Brasy8G226100 ID=Brasy8G226100.1.v1.1 annot-version=v1.1 MALIQSKTRVLCCMVLLLMVSSSLLSSQAWGRNIATEASDGTDEYREPYIFNCEPKYCDEVCKKDKYGVGRCHKVQVDLTSIAIAAR* >Brasy8G128500.1.p pacid=40079389 transcript=Brasy8G128500.1 locus=Brasy8G128500 ID=Brasy8G128500.1.v1.1 annot-version=v1.1 MERYLWQQDQIRGCLGRGGRIRGCGGGCGGVREEADLLGAGRGNRPRRSGLPWRRQREAAEEEPPSWRGGGNRRRRSGPPWCGGENRRRSRRRARIGRERWIGREIDTGQQI* >Brasy8G083000.1.p pacid=40079390 transcript=Brasy8G083000.1 locus=Brasy8G083000 ID=Brasy8G083000.1.v1.1 annot-version=v1.1 MDTGEQAEESSASRRERLLALRSAAASASSSASSPSAAPPPPPGTAAWDLPEPDLMPSSAPRPPPRFDFYTNPGAAFSSAAAPHKRKSAHSPPRSPAPAPPQAGSGNYGNNYPPPHQQHMPRSPIHSPFPMAPGTPGNSQWQSHMQFQTPMSGYRGTHPGPPPQWNPHSASPAQVYHPHSPSYGFRGPNVGRGGTPMNFVPRGSPYLSPGRGRGGNYYSNPGSRGRGGRGFQNHSGWQDRRNYYSKSMVDDPWQDLQPIVGNIMIPVGAASQSWLPKSLRAKKDTSDQGPQGSGSRLSLAEYLDLSFNETSNET* >Brasy8G023100.1.p pacid=40079391 transcript=Brasy8G023100.1 locus=Brasy8G023100 ID=Brasy8G023100.1.v1.1 annot-version=v1.1 MSSDGLMCDIYVLRSTSLMSKYLEDSTEEFQQDSIACAIPSQVSHFFEIFHSLNVGEISFVELVGPCNLCCSHKCMLC* >Brasy8G067500.1.p pacid=40079392 transcript=Brasy8G067500.1 locus=Brasy8G067500 ID=Brasy8G067500.1.v1.1 annot-version=v1.1 MAKGKFKGKPTGQRTFSSEEELAAGTSAERPQTFRKKQVEKEVYERREESIEEDDEDVEKTKHKGTAGLIEIDNPNLVKPKNIKAKDVDVDRTSDLSRREREELEKQRSRAHYMRLQEEGKTEQARKDLDRLTLIKQQREEAAKKREEEKAAKEARKAEARK* >Brasy8G014200.1.p pacid=40079393 transcript=Brasy8G014200.1 locus=Brasy8G014200 ID=Brasy8G014200.1.v1.1 annot-version=v1.1 MEFGAQGNNGAAAAGHREEAAVRYHECLRNHAAAQGGHVLDGCGEFMPAALSLTCAACGCHRSFHRKEDDNAQHQQQQQLHRRLIPPPPPLLMPPPPPMPNPYPPSFPYHHSRTPSGGGTTTTESSSEDPGPPPPPSSSAAQASPGGGGSGSGRGSRRSRRSGCRRSRSVSGGGCSGRTRPWWTASAPSSASGARSSRSGCTTTSSTSGGSSSSRTSNPNHNPNSRRISSSHWRSELHLFCCLIDPIPQS* >Brasy8G063500.1.p pacid=40079394 transcript=Brasy8G063500.1 locus=Brasy8G063500 ID=Brasy8G063500.1.v1.1 annot-version=v1.1 MAEPEPTTAAAAPAPGRLRNAFGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGSIWWLVNALNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALVFTFYLYLKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVLTSEHFASFLVFIILHVVAFVYYIKGLLTPRLFKVAMTLVITVGLAVCFAVVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSSAFDVLTRSMKFQLSKLFDDGSAVSGDSIAEGSSASNDTKNSSTSTVNTNSAKIENRTEKSEKPSKKNRKKDKEVTGSVPVKPKKEKRLSVLPLEASVVGTLLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSREGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFDSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGEFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGMLKKLKSSSKTSSTLAAGRKKNPWQ* >Brasy8G265800.1.p pacid=40079395 transcript=Brasy8G265800.1 locus=Brasy8G265800 ID=Brasy8G265800.1.v1.1 annot-version=v1.1 MGDFDGEQKELINKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRENLHGLASTNRSFAHFRWW* >Brasy8G154500.1.p pacid=40079396 transcript=Brasy8G154500.1 locus=Brasy8G154500 ID=Brasy8G154500.1.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGKNQGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKHEGQIKLPPQGDDVLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPESVATMLKQQEKMRTIRQVVALLELAATLKW* >Brasy8G154500.2.p pacid=40079397 transcript=Brasy8G154500.2 locus=Brasy8G154500 ID=Brasy8G154500.2.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKHEGQIKLPPQGDDVLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPESVATMLKQQEKMRTIRQVVALLELAATLKW* >Brasy8G154500.3.p pacid=40079398 transcript=Brasy8G154500.3 locus=Brasy8G154500 ID=Brasy8G154500.3.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGKNQGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKGRSLMVNWLQR* >Brasy8G154500.4.p pacid=40079399 transcript=Brasy8G154500.4 locus=Brasy8G154500 ID=Brasy8G154500.4.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKGRSLMVNWLQR* >Brasy8G154500.5.p pacid=40079400 transcript=Brasy8G154500.5 locus=Brasy8G154500 ID=Brasy8G154500.5.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGKNQGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKISHG* >Brasy8G154500.6.p pacid=40079401 transcript=Brasy8G154500.6 locus=Brasy8G154500 ID=Brasy8G154500.6.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSASRLPPRASSSTAGRATGSSALETKGAAVAADEVELEGMSPEFYDEEWQANQRERTKEWHAYRQKEEAEEEIKSNEYREIGMRLKAYPQEEVCKARVLVSSFIRAGEDVEKEIEKAAERGELTELVLMVIRNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEVFPREDPFTMVFPAGFDMEKISHG* >Brasy8G201500.1.p pacid=40079402 transcript=Brasy8G201500.1 locus=Brasy8G201500 ID=Brasy8G201500.1.v1.1 annot-version=v1.1 MWHRRQHESESPASCVTGDDHEGESPASCHRDEHGGKSPASCGTGGEHAAPSVSRRRARGEGVGGASLVEANGDDDKSVWQDGNGSHLGVAPTTSTTTMGFKRRISNNKISSNRGRL* >Brasy8G082100.1.p pacid=40079403 transcript=Brasy8G082100.1 locus=Brasy8G082100 ID=Brasy8G082100.1.v1.1 annot-version=v1.1 MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHTYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTHDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGDLRRWYNPPWFSESIPSTPYNPPLIRESFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAETKVARQWGNQLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKSLHLYDCLRANKSTSAWGLEARVPFLDKNFINVAMDIDPEYKMIRRDLGRIEKWVLRNAFDDDEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVSDSMMMNASFVYPENTPTTKEAYYYRTVFEKFYPKNAARSTVPGGPSVACSTAKAVEWDAAWSKHLDPSGRAALGVHDAAYEDTLEKAPASADPVSESVFRPAHGESLAKAVAPAAAV* >Brasy8G082100.2.p pacid=40079404 transcript=Brasy8G082100.2 locus=Brasy8G082100 ID=Brasy8G082100.2.v1.1 annot-version=v1.1 MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHTYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTHDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGDLRRWYNPPWFSESIPSTPYNPPLIRESFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAETKVARQWGNQLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKSLHLYDCLRANKSTSAWGLEARVPFLDKNFINVAMDIDPEYKMIRRDLGRIEKWVLRNAFDDDEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVSDSMMMNASFVYPENTPTTKEAYYYRTVFEKFYPKNAARSTVPGGPSVACSTAKAVEWDAAWSKHLDPSGRAALGVHDAAYEDTLEKAPASADPVSESVFRPAHGESLAKAVAPAAAV* >Brasy8G211500.1.p pacid=40079405 transcript=Brasy8G211500.1 locus=Brasy8G211500 ID=Brasy8G211500.1.v1.1 annot-version=v1.1 MAEPPPPSQSPAQTPPPTQQQQTPAASVRDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTTEEMLRKEITTMEEELKTKSELIAKHKKLIEGWQKELKDQLGKHNTELERV* >Brasy8G211500.2.p pacid=40079406 transcript=Brasy8G211500.2 locus=Brasy8G211500 ID=Brasy8G211500.2.v1.1 annot-version=v1.1 MAEPPPPSQSPAQTPPPTQQQQTPAASVRDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTTEEMLRKIKTHIITYRNMQKRMLTLGAMRLLQWKKN* >Brasy8G281900.1.p pacid=40079407 transcript=Brasy8G281900.1 locus=Brasy8G281900 ID=Brasy8G281900.1.v1.1 annot-version=v1.1 MIPETCRHPPPPPDVVDDDDLDADPPKSFLLDHMPLTGRDRTNATAAHGVLSDPLTDEPMAGILLPRPPAPHRIPQPNGVGRRLVDGNRRVGLLLPSSSSPNTGGESGGYHIAALTMEGARGDEFELHVFSSATRAWTVKKPFLPPHAAACCRRVPGGVVAFVDLFNGVLICDALNGGDRPELLHVPLPCAAPRQKPTICSQPLIPCDVAIDAVSGDGIVRIKYIHLVYRLDAPPWHATTWVTSFKAATSPWRRLHDWRRQHTLLDPAELSVARGVSLAGLLPGDEVLDSEPAVGDLFVEAWSASSPRAVSRRSRGG* >Brasy8G180900.1.p pacid=40079408 transcript=Brasy8G180900.1 locus=Brasy8G180900 ID=Brasy8G180900.1.v1.1 annot-version=v1.1 MVAAEAAAAAERVVAELREQCATPAARLDGVAAAMEEEMRAGLEHEGGSKIKMIISYVDNLPNGSEEGLFYALDLGGTNFRVLRVQLGGKDIKPESREVSIPPHLMSGNAAELFGFIASALAKFVADEGRSNGVMNGRQRELGFTFSFPVRQSSITSGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGVDMRVSALINDTVGTLAAGSYYDEDVVIGVILGTGSNAAYVEKANAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDQALDEESLNPREQIFEKLISGMYLGDIVRRVLLKIASQSSIFGDINRTKLKTHFILRTPDISALHHDETPDLRIVAEKLEENLKITGTSLETRKMVVEICDIVTSRSARLAAAGIVGIIRKIGRGTAGDKKKTVIAIDGGLFKHYGKFRQSLESTLVELLGEEASKSVGIKLANDGSGLGAALIAAAHSQYLN* >Brasy8G179500.1.p pacid=40079409 transcript=Brasy8G179500.1 locus=Brasy8G179500 ID=Brasy8G179500.1.v1.1 annot-version=v1.1 MTMKLRTPKETAAPVAPPPLASEPEDSFYPFASPTSVLRHELLPPAFDLLYSGLGELCDLAAAPAPSSKAAEFDCLPWWESEDFVTPTPTGLTAATVSVK* >Brasy8G020600.1.p pacid=40079410 transcript=Brasy8G020600.1 locus=Brasy8G020600 ID=Brasy8G020600.1.v1.1 annot-version=v1.1 MQPTTPVSAGRAGNSPKNLRRRGPSAPSTPSARWTRTTFREDVGHAAAETYLVSRLAFILLRSLGVGYRWISQLVALLIYAVLLMPGFIKVGQYYFFSSQVLRSVVYGSQPRNRLDLYIPKDRSKPHPVVAFVTGGAWIIGYKAWGALLGRRLAERGIMVACIDYRNFPQGTIGDMVTDASEGIAFICESIASFGGDPNQIYLMGQSAGAHIAACSLLEQAVKESKGEEIYWSVTQIKGYFGLSGGYNVQNLVDHFHERGLYRSIFLSIMEGRRSLADFSPEIVAKKLSPEAIALLPQVVLFHGTGDYSIPSSASETFADVLKQAGAKARLQLYKGKTHTDVFVQDPLRGGKDPLVEDVVSIIYADDPAAREKYDSGPLPERLVSEWQIMLARQISPF* >Brasy8G020600.2.p pacid=40079411 transcript=Brasy8G020600.2 locus=Brasy8G020600 ID=Brasy8G020600.2.v1.1 annot-version=v1.1 MPGFIKVGQYYFFSSQVLRSVVYGSQPRNRLDLYIPKDRSKPHPVVAFVTGGAWIIGYKAWGALLGRRLAERGIMVACIDYRNFPQGTIGDMVTDASEGIAFICESIASFGGDPNQIYLMGQSAGAHIAACSLLEQAVKESKGEEIYWSVTQIKGYFGLSGGYNVQNLVDHFHERGLYRSIFLSIMEGRRSLADFSPEIVAKKLSPEAIALLPQVVLFHGTGDYSIPSSASETFADVLKQAGAKARLQLYKGKTHTDVFVQDPLRGGKDPLVEDVVSIIYADDPAAREKYDSGPLPERLVSEWQIMLARQISPF* >Brasy8G041700.1.p pacid=40079412 transcript=Brasy8G041700.1 locus=Brasy8G041700 ID=Brasy8G041700.1.v1.1 annot-version=v1.1 MAQESLSLVGTMRGHNDVVTAIAAPIDNTAFIVSSSRDKSVLVWDLTNPTQSPADGTVATDYGIPFRRLTGHGHFVQDVVISSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHSKDVISVAFSVDNRQIVSASRDNTIKLWNTLGECKYTIGGDLGGGEGHTGWVSCVRFSPNTFQPTIVSGSWDKTVKVWNLTNCKLRNTLAGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYQLEAGSIIHSLCFSPNRYWLCAATEDSVKIWDLESKHVVQDLKPEVQISKNQMLYCTSLCWSADGSTLYTGYTDGTIRVFKISAGYNYSG* >Brasy8G229700.1.p pacid=40079413 transcript=Brasy8G229700.1 locus=Brasy8G229700 ID=Brasy8G229700.1.v1.1 annot-version=v1.1 MELVVGASEATMKSLLGKLGGLLTQEYTLIRGVRGDIQYISDELASMQAFLRDLSVSLDRQDNRMKHWMKQIRDVAYDVEDCIDDFAHRLPPDAISDSRCFFIVMRIYELRTWWPRRDIACKIADLKARAEQIAERRGRYGVNNPGCNGSSSNSSSGVFPAAMEDIGDHQLASPQLIVMKEPVGKMTDMGKLEEWVTRVNYEPAVLSIVGFGGVGKTTIATALYRKFQNEFDYRASVTVSQNYDEDAVLRSILNQVRQQDRDAEQQGSSSTRSLEKKSLAAGIKSTLKQLLPLRRQQQDNDGSFDAQQNKMETMNHNQLAQELKRLLTRKRYLLFIDDIWSAKTWDRIRICLPDQSEKSSRTIVTTRFQGVGVTCSPRDGIDLLHTVDFLDGDDSKRLFDQSVSESKSSKGREIIEVEVPEEVWKICGGLPLAIVTISGLVACNPNKSNGDWRKVCKTLFPEPVASLTLNDVTRILDLCYNDLPADLKTCSLYLSIFPKGWEISRKRLTRRWIAEGFASEKQGLTEEEVAETYFNQLIRRKIIRPVKHSSNGKVKTFQVHDMVLEYIVSKSSEENFVTVVGGHWLLPAPSNKVRRLSMQSSGSKHGNSTRGMNLSSVRSLTMFGNLNQLPFHSFNDGIIQVLDLEGWNGLKDKHLNDICKMLVLKYLGLRRTGITKLPTKIEKLEYLETLDIRETNVWELPKTVGQLKRISSILGGDKNKRKGLKLSQEKSKDPSRNKEAMKALRILSGIEIVGESTAVASLHQLTGLRKLAIYKLKIDKDDETFKELRSAIEYLGSCGLQALAIDDEGSDFINSLDSMSAPPRYLSALELSGNNLERLPEWITRLKALKKLTLSVTVLRTDTFDRLKDLSMLFCLTFSLNAEKQDQQHIEKILKKNKTEFDGEIFVPGQGFQSLRLLQFFTPLMPKLSFSEYAMPALERIETRCQTFEGLFGIDTLKSLQEVHLRVNDQTDEITQFLLDYLKENTKKAKVIINHITTA* >Brasy8G229700.3.p pacid=40079414 transcript=Brasy8G229700.3 locus=Brasy8G229700 ID=Brasy8G229700.3.v1.1 annot-version=v1.1 MELVVGASEATMKSLLGKLGGLLTQEYTLIRGVRGDIQYISDELASMQAFLRDLSVSLDRQDNRMKHWMKQIRDVAYDVEDCIDDFAHRLPPDAISDSRCFFIVMRIYELRTWWPRRDIACKIADLKARAEQIAERRGRYGVNNPGCNGSSSNSSSGVFPAAMEDIGDHQLASPQLIVMKEPVGKMTDMGKLEEWVTRVNYEPAVLSIVGFGGVGKTTIATALYRKFQNEFDYRASVTVSQNYDEDAVLRSILNQVRQQDRDAEQQGSSSTRSLEKKSLAAGIKSTLKQLLPLRRQQQDNDGSFDAQQNKMETMNHNQLAQELKRLLTRKRYLLFIDDIWSAKTWDRIRICLPDQSEKSSRTIVTTRFQGVGVTCSPRDGIDLLHTVDFLDGDDSKRLFDQSVSESKSSKGREIIEVEVPEEVWKICGGLPLAIVTISGLVACNPNKSNGDWRKVCKTLFPEPVASLTLNDVTRILDLCYNDLPADLKTCSLYLSIFPKGWEISRKRLTRRWIAEGFASEKQGLTEEEVAETYFNQLIRRKIIRPVKHSSNGKVKTFQVHDMVLEYIVSKSSEENFVTVVGGHWLLPAPSNKVRRLSMQSSGSKHGNSTRGMNLSSVRSLTMFGNLNQLPFHSFNDGIIQVLDLEGWNGLKDKHLNDICKMLVLKYLGLRRTGITKLPTKIEKLEYLETLDIRETNVWELPKTVGQLKRISSILGGDKNKRKGLKLSQEKSKDPSRNKEAMKALRILSGIEIVGESTAVASLHQLTGLRKLAIYKLKIDKDDETFKELRSAIEYLGSCGLQALAIDDEGSDFINSLDSMSAPPREAGSAAHREDPQEEQDGV* >Brasy8G229700.4.p pacid=40079415 transcript=Brasy8G229700.4 locus=Brasy8G229700 ID=Brasy8G229700.4.v1.1 annot-version=v1.1 MELVVGASEATMKSLLGKLGGLLTQEYTLIRGVRGDIQYISDELASMQAFLRDLSVSLDRQDNRMKHWMKQIRDVAYDVEDCIDDFAHRLPPDAISDSRCFFIVMRIYELRTWWPRRDIACKIADLKARAEQIAERRGRYGVNNPGCNGSSSNSSSGVFPAAMEDIGDHQLASPQLIVMKEPVGKMTDMGKLEEWVTRVNYEPAVLSIVGFGGVGKTTIATALYRKFQNEFDYRASVTVSQNYDEDAVLRSILNQVRQQDRDAEQQGSSSTRSLEKKSLAAGIKSTLKQLLPLRRQQQDNDGSFDAQQNKMETMNHNQLAQELKRLLTRKRYLLFIDDIWSAKTWDRIRICLPDQSEKSSRTIVTTRFQGVGVTCSPRDGIDLLHTVDFLDGDDSKRLFDQSVSESKSSKGREIIEVEVPEEVWKICGGLPLAIVTISGLVACNPNKSNGDWRKVCKTLFPEPVASLTLNDVTRILDLCYNDLPADLKTCSLYLSIFPKGWEISRKRLTRRWIAEGFASEKQGLTEEEVAETYFNQLIRRKIIRPVKHSSNGKVKTFQVHDMVLEYIVSKSSEENFVTVVGGHWLLPAPSNKVRRLSMQSSGSKHGNSTRGMNLSSVRSLTMFGNLNQLPFHSFNDGIIQVLDLEGWNGLKDKHLNDICKMLVLKYLGLRRTGITKLPTKIEKLEYLETLDIRETNVWELPKTVGQLKRISSILGGDKNKRKGLKLSQEKSKDPSRNKEAMKALRILSGIEIVGESTAVASLHQLTGLRKLAIYKLKIDKDDETFKELRSAIEYLGSCGLQALAIDDEGSDFINSLDSMSAPPREAGSAAHREDPQEEQDGV* >Brasy8G229700.2.p pacid=40079416 transcript=Brasy8G229700.2 locus=Brasy8G229700 ID=Brasy8G229700.2.v1.1 annot-version=v1.1 MELVVGASEATMKSLLGKLGGLLTQEYTLIRGVRGDIQYISDELASMQAFLRDLSVSLDRQDNRMKHWMKQIRDVAYDVEDCIDDFAHRLPPDAISDSRCFFIVMRIYELRTWWPRRDIACKIADLKARAEQIAERRGRYGVNNPGCNGSSSNSSSGVFPAAMEDIGDHQLASPQLIVMKEPVGKMTDMGKLEEWVTRVNYEPAVLSIVGFGGVGKTTIATALYRKFQNEFDYRASVTVSQNYDEDAVLRSILNQVRQQDRDAEQQGSSSTRSLEKKSLAAGIKSTLKQLLPLRRQQQDNDGSFDAQQNKMETMNHNQLAQELKRLLTRKRYLLFIDDIWSAKTWDRIRICLPDQSEKSSRTIVTTRFQGVGVTCSPRDGIDLLHTVDFLDGDDSKRLFDQSVSESKSSKGREIIEVEVPEEVWKICGGLPLAIVTISGLVACNPNKSNGDWRKVCKTLFPEPVASLTLNDVTRILDLCYNDLPADLKTCSLYLSIFPKGWEISRKRLTRRWIAEGFASEKQGLTEEEVAETYFNQLIRRKIIRPVKHSSNGKVKTFQVHDMVLEYIVSKSSEENFVTVVGGHWLLPAPSNKVRRLSMQSSGSKHGNSTRGMNLSSVRSLTMFGNLNQLPFHSFNDGIIQVLDLEGWNGLKDKHLNDICKMLVLKYLGLRRTGITKLPTKIEKLEYLETLDIRETNVWELPKTVGQLKRISSILGGDKNKRKGLKLSQEKSKDPSRNKEAMKALRILSGIEIVGESTAVASLHQLTGLRKLAIYKLKIDKDDETFKELRSAIEYLGSCGLQALAIDDEGSDFINSLDSMSAPPSRISST* >Brasy8G043100.1.p pacid=40079417 transcript=Brasy8G043100.1 locus=Brasy8G043100 ID=Brasy8G043100.1.v1.1 annot-version=v1.1 MEPSRFLLLLLLVLVATAAVSLAVGANGSPAPDDGGGTYNASKCHKSFTCGPNVDIHYPFYLSNESKVLDGVAYSYCGYPGMAILCSDNGISTLHLGGESVSYTVLGIDYVNKTITLADPDAAGGSECPRVTRNVTIPRSPASMLDFSAADTGYLSFFFGCAFTDETVPRPPGIVPINCTTDFADDGSLPSDLVPTADTPEGDWFVRACKYVYVAPVLKRWLQSPELYRRLGSDGGYGEVLRQGFQLTWDPSAGPCVQCERSEGQCSYDQQGGFLGCLCSDGRVRNPDCEAKKQDTKAIEIGLPVAAAVMLLLLLVVSFLYIRKRRQYKTTSSSMLLEHTASGGTPRSRCSSNDLESGGSVHNLPTHLFAYEELEEATDGFSAALELGDGGFGTVYKGQLRDGRVVAVKRLHNKSRSCRHVEQFVNEAAILSRLRHPRLVTFYGCTSSRSRELLLVYEHVPNGTVADHLHGPRAPERALAWPVRLRIAVEAASALDYLHAVEPPVVHRDVKTSNILLDAEFHVKVADFGLSRELLDGGGNAAHVVATAPQGTPGYVDPEYHRCYRLTDRSDVYSFGVVLAELVSSKPAVDVGRDRGDINLAAMAVDRVQRGLVGELVDMGIGYGEDGETRRMVTMVAELAFRCLQQDGEMRPPVREVLDVLRGIQREGGKVEDGGVPRSPDTVHAPWGSRSTTPSVSQ* >Brasy8G041000.1.p pacid=40079418 transcript=Brasy8G041000.1 locus=Brasy8G041000 ID=Brasy8G041000.1.v1.1 annot-version=v1.1 MMNGHHSKDPLLQMETPCGALMRELQVIWDEVGEKDAARDRMLLELEQECLEVYRRKVDQANRCRAQLRQAIAEAEAELAAICSAMSEPAVHIRQPDQKACGLREELDALIPYLEEMKKRKVERWNQILDVVGKIKKISCDIRPADFVPFKVPVDQSDLSCRKLEQLRLELQSLEKEKNERLKQVMEYLSSLHSLCEVLGIDFKETLSDVHPSLDEDEVPRNISNTTIQKLALAIKRLRELKIERMQKLQDLSSTMLELWNLMDTPIEEQQAFQNITCNIAASQPEITEANILSIDFLNYVEAEVLRLEHLKASRMKELVLKKQTELEEHRRRAHLVGEEHCVTQFNIEAIEAGAIDPSLLLEQIEAYISTVKEDAFSRKDILERVERWLNACEEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVNKIPGIVDVLTNKVIAWEKERSTEFTYDGVRLLSMLEEYMAVRDEKEHEKKRRRDQKKLQDQFKAEQETLYGSKPSPSKTNSAKKKVTINSTGSANRRLSLGGAPIEPPKSETLHPKSVRAAKKIEDIITGSRGLDVASLPIKKLSFKASTLGETETPRKPFAQITLGNSIPSTPMRPISNGTEDKNRTPKTLAALTAQTPMTVSAPMQMATTPAITTTRDVHVCLAYDKPELTLLEDMEYSVEERRLAVYLTAQAA* >Brasy8G269600.1.p pacid=40079419 transcript=Brasy8G269600.1 locus=Brasy8G269600 ID=Brasy8G269600.1.v1.1 annot-version=v1.1 MAHNSFSSLLSYFCIFLLFHGSMAQVPGQGSTWQSSRQGGSIACNFDRLQAIEPLQQVRSQAGVTEYFDEQNEQFRCAGVFVIRRVIEPRGLLLPRYHNTPGLVYILQGSGFVGLALPGCPETFQDQFQQFQQGQSTLGQSHSQMFGDVHQRVHQFTQGDVVALPAGVAHWFYNDGDAPVVAVYVFDVNNNANQLEPRQKEFLLAGNYNGVLQSGRNIFNGFNAQLLSQAFGINEQTSRIIQNDRRGEIVRVEYGLQFLTPVVTQQQQKQPFLPTEPQKGQSSRNGLEENFCSLNPRQNIEDPNRVDTYNPRAGSITRLNSQNFPILNLVQMSATRVNLQKNAILSPFWNINAHSVVYVIQGHASVQVVNNQGRNVFNGLLHQGQLLIIPQNYVVLKKAESEGYQYIAFKTNANSMVSHIAGKNSILRALPVDVIANAYGISRQEAQNLKNNRGEEIGVLTPNFPQSSCQSYPIRDVGSSSTPKAQE* >Brasy8G175600.1.p pacid=40079420 transcript=Brasy8G175600.1 locus=Brasy8G175600 ID=Brasy8G175600.1.v1.1 annot-version=v1.1 MAMHCFCTEFCSEFLRILHSEKIEKRDKGSVLYESSYSCCTARRGRRREQSEKRDRGGAAGDLLPPPRRDAGGHELLHVRELWRPELWRREGSGSGWSREGSGRPARALAARGQREIRQREAGGSSSRARPAGRRRNSQDFSLNLR* >Brasy8G237600.1.p pacid=40079421 transcript=Brasy8G237600.1 locus=Brasy8G237600 ID=Brasy8G237600.1.v1.1 annot-version=v1.1 MSTEKKQLNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFYVVLERAGYRVSRRRRCKARVGIHQRVTKEDAMKWFQVKYEGVILNKSHAS* >Brasy8G158000.1.p pacid=40079422 transcript=Brasy8G158000.1 locus=Brasy8G158000 ID=Brasy8G158000.1.v1.1 annot-version=v1.1 MLKPQTIWAALPLFLGRRLPKSSPSPPCSRRLPFRPICKRRMSTQAQPRFAPLPTAQAESDAGAEGYQFRLVSYNILAQAYVKSAIFPHSPSASLKWKARSKAVLTELKSFNADIMCIQELDEYETFYKKNMESSGYSSIYLQRSGDKRDGCGIFYKPKSVELIQKEVIHYNDLVETYGPNDIINSAPSNNSSPTEESSGKEDNRKCGDPNDPRVRLKRDCVGLLAAFKLSDPCDHILIVANTHIYWDPEWIDVKLAQAKYLLLKVAEFENIISSKFTCKPSVIIAGDFNSTPGDKVYNYLLSASSESTDDPPIKLCSVYAANGGEPEFTNCTPGFTGTLDYIFLSDGSAIKPTSLLRVPRGGSADVEGGLPNFHHPSDHLPIGADFQVLGS* >Brasy8G158000.2.p pacid=40079423 transcript=Brasy8G158000.2 locus=Brasy8G158000 ID=Brasy8G158000.2.v1.1 annot-version=v1.1 MCIQELDEYETFYKKNMESSGYSSIYLQRSGDKRDGCGIFYKPKSVELIQKEVIHYNDLVETYGPNDIINSAPSNNSSPTEESSGKEDNRKCGDPNDPRVRLKRDCVGLLAAFKLSDPCDHILIVANTHIYWDPEWIDVKLAQAKYLLLKVAEFENIISSKFTCKPSVIIAGDFNSTPGDKVYNYLLSASSESTDDPPIKLCSVYAANGGEPEFTNCTPGFTGTLDYIFLSDGSAIKPTSLLRVPRGGSADVEGGLPNFHHPSDHLPIGADFQVLGS* >Brasy8G096300.1.p pacid=40079424 transcript=Brasy8G096300.1 locus=Brasy8G096300 ID=Brasy8G096300.1.v1.1 annot-version=v1.1 MYMFDSAGEPIGRAITVGQFDNQLLIQAHRYVLRHCDELEQFRREFVEQEKLKPCHLSNLMPDDIEKLINRHFADWLEQKVMFSMFQFYELHLFNLFF* >Brasy8G239900.1.p pacid=40079425 transcript=Brasy8G239900.1 locus=Brasy8G239900 ID=Brasy8G239900.1.v1.1 annot-version=v1.1 MSASRTPGTPASKIERTQLPTLTPGGSYRSKEEKIFVTVRVRPLSKKELAVKDQVAWECADSHTILYKGPPQDRAAPTSYTFDKVFGPTCQTDLVYADGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVSDIYGHIENTPEREFIIKISAMEIYNEIVKDLLRPESSPLRLLDDPEKGTIVEKLEEEIVKDRQHLRHLISICEEQRHVGETALNDTSSRSHQIIKLTVESKLREVTGCVKSFVASLNFVDLAGSERAAQTNAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELKTPDRASSFEILIMEKDRKIRHMEKEIEDLKKERDNAWSQLEELRKKTGDNQQGWNPFDSPQKARKCLTFYGSLQPSNKIKITSSVRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDVAETIAKLQAEIRDMRSVRSESKDVEMITDEGNGPDLKNEITRLHKQDNDIANLEAKLENVQKSIDRLVMSLPNVSTQCNETTPKSNRSKKKMRMLLPLGVSNMNRPNLIRAPCSPSMPSESDIENRAPDSDTVSHEGSEKATPIKSEDTGDISSRDETPRNRRSSSVNMRKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDMEDQEENAGCLQDSPDSWNGLFKAQMQHIIQLWDLCHVSIIHRSQFYLLFRGDIADQIYIEVEVRRLTWLQQHFAEVGDASPAPGDDLAISIASSMKALRNEREFLARRMRSRLSEEEREALFMKWRVPVEAKQRKLQLVNKLWTDPNDQAHIEESADTVARLVGFCEGGNISKEMFELNFASPASRKPLLMGWQPISNMIKEKTQLW* >Brasy8G208900.1.p pacid=40079426 transcript=Brasy8G208900.1 locus=Brasy8G208900 ID=Brasy8G208900.1.v1.1 annot-version=v1.1 MLKSGATNRAERPHSHSFPLCVFPLLRPSHRSSLQWWGTLARALAAATIGEGEQVADGAEKADEPAPVPEQEPETKASPEAAVADVTEKQEESDDKAMPEAATSEKAELAPPLALSDSDHGDVAAKASVNGAAEEAASPSPAKARPRLTAEVRMPKGVHVDISFRCKCGGLCRDIAIA* >Brasy8G175400.1.p pacid=40079427 transcript=Brasy8G175400.1 locus=Brasy8G175400 ID=Brasy8G175400.1.v1.1 annot-version=v1.1 MEIANHNATAAGMNGFKVVVPPHPLINHWVSVLRDQDTPTHAFRSAMGELGRLLAYEATRDWLPTAIREIQTPMGKAVVKSVNPVEPIMIVPILRAGLALAELMTSIFPSTRTFHLGMARDETTLQPSVYLNKLPDRFPKGCHILLVDPMLATGGTVAAAVDLLKEHGAEISQIKIISAVAAPPALKKLNERFPGICVYTGAMDQTVNEKGFIVPGLGDAGDRSFGT* >Brasy8G175400.2.p pacid=40079428 transcript=Brasy8G175400.2 locus=Brasy8G175400 ID=Brasy8G175400.2.v1.1 annot-version=v1.1 MEIANHNATAAGMNGFKVVVPPHPLINHWVSVLRDQDTPTHAFRSAMGELGRLLAYEATRDWLPTAIREIQTPMGKAVVKSVNPVEPIMIVPILRAGLALAELMTSIFPSTRTFHLGMARDETTLQPSVYLNKLPDRFPKGCHILLVDPMLATGGTVAAAVDLLKEHGAEISQIKIISAVAAPPALKKLNERFPGICVYTGAMDQTVNEKGFIVPGLGDAGDRSFGT* >Brasy8G113500.1.p pacid=40079429 transcript=Brasy8G113500.1 locus=Brasy8G113500 ID=Brasy8G113500.1.v1.1 annot-version=v1.1 MAPRSLAPAIAIFLAALLIASSSPAASAARSPPPTTSKHNIQAASDAAKPAEHDIPAVFAFGDSTLDPGNNNRFITTLVRADHAPYGRDFPGSVPTGRFSDGKLITDYIVSALGIKDLLPAYHAPGLTHENATTGVSFASGGSGLDDLTARNAMVSTFSSQIADFQQLLSRIGEPKASDVAAKSLFILSAGTNDVTTNYYLMPFRLLNFPIIDGYHDYLISAYQSYIQSLYKLGARRFIVAGMPPVGCLPVQKSLRGMQPPLSSGKGCFELQNQESQRYNAKLQKMLTALEAESPGASFNYVDIYTPLKDMVTNPTKYGFTNVGQGCCGTGMLEMGALCTSFLPQCKSPSQFMFFDSVHPTQATYKAIADQIIKNHISQFTNN* >Brasy8G213200.1.p pacid=40079430 transcript=Brasy8G213200.1 locus=Brasy8G213200 ID=Brasy8G213200.1.v1.1 annot-version=v1.1 MLHELLLALLGFTGDFILDDSSSARRRSSSADAVGAGDGDVGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPHADVTLKGKVRKGSSYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATLTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYHEFFVRRQVDRDVENESSQSDVADKVAQKFAKDTSLTSWHSGFHLSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPSVLKGSHRTQSSTGGSGAPKELPNFSTISAEELLPQAEADKVDAMLKNLKHSSEFHKRLFESAVSSIRTIAANHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLIVPFQLAALKTIGDEDKYFHRVSLRMPLFGMKSSTSQKDLQKPNTSELSSQGKASSELALDGWHSITLEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWTAVMHQDHVDFSDYCKDRKNSSATQLRRLRTKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQDYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETGSNMFEIDYITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRPSTAGTQL* >Brasy8G213200.2.p pacid=40079431 transcript=Brasy8G213200.2 locus=Brasy8G213200 ID=Brasy8G213200.2.v1.1 annot-version=v1.1 MPLWGSRTAKLHSEVMFNQLTSWMVYGILQDQYHEFFVRRQVDRDVENESSQSDVADKVAQKFAKDTSLTSWHSGFHLSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPSVLKGSHRTQSSTGGSGAPKELPNFSTISAEELLPQAEADKVDAMLKNLKHSSEFHKRLFESAVSSIRTIAANHLWQLVVVRADLNGHLRALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLIVPFQLAALKTIGDEDKYFHRVSLRMPLFGMKSSTSQKDLQKPNTSELSSQGKASSELALDGWHSITLEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWTAVMHQDHVDFSDYCKDRKNSSATQLRRLRTKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQDYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETGSNMFEIDYITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRPSTAGTQL* >Brasy8G184500.1.p pacid=40079432 transcript=Brasy8G184500.1 locus=Brasy8G184500 ID=Brasy8G184500.1.v1.1 annot-version=v1.1 MQLLRLSPPARAPFGGLPAGPRLPVLLKGPCRGRGKGKDAAAAAAAVVEPGGTRVAGRRMAHPTAVSSAKGIQAKTAGNEVQILERPEERDLDEDYPVIPEVPGAADSQRLISQVRSMLRSMGDGDISISGYDTAWVALVPRPDGGDGPQFPATLRWISANQLPDGSWGDAALFSAYDRITNTLACVVALTKWNLGPGQRAAGLRFLAENVWRLGEEDPESMPIGFEIAFPALLEAARSLGIVGFPYDHEALQGIYATREVKLKRIPMEMMHRVPTSILHTLEGMPGVDWHRILRLQSGDGSFLYSPSATAFALTQTGDRKCLEYIDAIVRKFDGGVPNVYPVDLFEHLWVVDRLERLGVSRHFEQEIQHCLDYVSRYWTAEGISWARNSHVKDVDDTAMAFRLLRTHGHDVSPGVFDNFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLRRAGKFSYEFLREREAKGAIRDKWIIAKDLPGEVQYTLDFPWYASLPRVEARAYLDQYGGDNDIWIGKTLYRMPLVNNNTYLELARRDFNRCQVQHQLEWHGLQKWYAENGLEAFGVAREDILRAYFLAAACIFEPTRATERLSWARVSVLANIISKYLIGSNLSGKKMMERFTHNSLYEGNCDASWLQGVAKGEILVRALQRLIDLLVQESLPVDEGPMYIQNLLQSAWIEWMTEKINTEDDVYGTSSVVPTGSCMVPDKETCLLLVKIIEISAGRIGEAASMINNKDGTWFMQLSSSICDNLHHKMLLSEDAEENKATISHMDRKIDLGMQELAQNVLREDDDKTNKKTKQTFLNVVKSCYYATHCPPYIVDRHVSKVIFEHVV* >Brasy8G184500.3.p pacid=40079433 transcript=Brasy8G184500.3 locus=Brasy8G184500 ID=Brasy8G184500.3.v1.1 annot-version=v1.1 MLRSMGDGDISISGYDTAWVALVPRPDGGDGPQFPATLRWISANQLPDGSWGDAALFSAYDRITNTLACVVALTKWNLGPGQRAAGLRFLAENVWRLGEEDPESMPIGFEIAFPALLEAARSLGIVGFPYDHEALQGIYATREVKLKRIPMEMMHRVPTSILHTLEGMPGVDWHRILRLQSGDGSFLYSPSATAFALTQTGDRKCLEYIDAIVRKFDGGVPNVYPVDLFEHLWVVDRLERLGVSRHFEQEIQHCLDYVSRYWTAEGISWARNSHVKDVDDTAMAFRLLRTHGHDVSPGVFDNFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLRRAGKFSYEFLREREAKGAIRDKWIIAKDLPGEVQYTLDFPWYASLPRVEARAYLDQYGGDNDIWIGKTLYRMPLVNNNTYLELARRDFNRCQVQHQLEWHGLQKWYAENGLEAFGVAREDILRAYFLAAACIFEPTRATERLSWARVSVLANIISKYLIGSNLSGKKMMERFTHNSLYEGNCDASWLQGVAKGEILVRALQRLIDLLVQESLPVDEGPMYIQNLLQSAWIEWMTEKINTEDDVYGTSSVVPTGSCMVPDKETCLLLVKIIEISAGRIGEAASMINNKDGTWFMQLSSSICDNLHHKMLLSEDAEENKATISHMDRKIDLGMQELAQNVLREDDDKTNKKTKQTFLNVVKSCYYATHCPPYIVDRHVSKVIFEHVV* >Brasy8G184500.2.p pacid=40079434 transcript=Brasy8G184500.2 locus=Brasy8G184500 ID=Brasy8G184500.2.v1.1 annot-version=v1.1 MQLLRLSPPARAPFGGLPAGPRLPVLLKGPCRGRGKGKDAAAAAAAVVEPGGTRVAGRRMAHPTAVSSAKGIQAKTAGNEVQILERPEERDLDEDYPVIPEVPGAADSQRLISQVRSMLRSMGDGDISISGYDTAWVALVPRPDGGDGPQFPATLRWISANQLPDGSWGDAALFSAYDRITNTLACVVALTKWNLGPGQRAAGLRFLAENVWRLGEEDPESMPIGFEIAFPALLEAARSLGIVGFPYDHEALQGIYATREVKLKRIPMEMMHRVPTSILHTLEGMPGVDWHRILRLQSGDGSFLYSPSATAFALTQTGDRKCLEYIDAIVRKFDGGVPNVYPVDLFEHLWVVDRLERLGVSRHFEQEIQHCLDYVSRYWTAEGISWARNSHVKDVDDTAMAFRLLRTHGHDVSPGVFDNFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLRRAGKFSYEFLREREAKGAIRDKWIIAKDLPGEVQYTLDFPWYASLPRVEARAYLDQYGGDNDIWIGKTLYRMPLVNNNTYLELARRDFNRCQVQHQLEWHGLQKWYAENGLEAFGVAREDILRAYFLAAACIFEPTRATERLSWARVSVLANIISKYLIGSNLSGKKMMERFTHNSLYEGNCDAS* >Brasy8G184500.4.p pacid=40079435 transcript=Brasy8G184500.4 locus=Brasy8G184500 ID=Brasy8G184500.4.v1.1 annot-version=v1.1 MLRSMGDGDISISGYDTAWVALVPRPDGGDGPQFPATLRWISANQLPDGSWGDAALFSAYDRITNTLACVVALTKWNLGPGQRAAGLRFLAENVWRLGEEDPESMPIGFEIAFPALLEAARSLGIVGFPYDHEALQGIYATREVKLKRIPMEMMHRVPTSILHTLEGMPGVDWHRILRLQSGDGSFLYSPSATAFALTQTGDRKCLEYIDAIVRKFDGGVPNVYPVDLFEHLWVVDRLERLGVSRHFEQEIQHCLDYVSRYWTAEGISWARNSHVKDVDDTAMAFRLLRTHGHDVSPGVFDNFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLRRAGKFSYEFLREREAKGAIRDKWIIAKDLPGEVQYTLDFPWYASLPRVEARAYLDQYGGDNDIWIGKTLYRMPLVNNNTYLELARRDFNRCQVQHQLEWHGLQKWYAENGLEAFGVAREDILRAYFLAAACIFEPTRATERLSWARVSVLANIISKYLIGSNLSGKKMMERFTHNSLYEGNCDAS* >Brasy8G003500.1.p pacid=40079436 transcript=Brasy8G003500.1 locus=Brasy8G003500 ID=Brasy8G003500.1.v1.1 annot-version=v1.1 MPPLTDSEKPKLSFRSENLDHKGWEATRLHNDAFKKGTASKTPPSQAPTKSARGFHPQLLHTHRGPSMTVRPTDLETRKELIAPSSQLPSHQRQPHHRSRISTTIEAPSYHKAIHNAGTSGAPPTQPTGTEPSRPPRRPGNLQHQADPATWQPRAPCSRASRRVSAHFAASEIQRRHSGRHGTPQGLQQRIWERGPRSRAQHSPPHTRAAEEGCVAGEAHEAGERPVPARTTPPPPSAARARASSWIMFPVGGCSRYFNPSRCARSANVRAPPLAWSLIPVAALGGRWWNCGEIAGALGASHSDSAPRRIRCLILTALAPAPVVFLLWLGSLDPQRRARQSSFELRGEGKGASHQASNPRRGTRSGFVRGPPPTSSEQRVVPW* >Brasy8G299000.1.p pacid=40079437 transcript=Brasy8G299000.1 locus=Brasy8G299000 ID=Brasy8G299000.1.v1.1 annot-version=v1.1 MAGRANIPANSSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPIPAILEIPSKDHPYDPANDSVLSRVKYLFSADSVASDRR* >Brasy8G022200.1.p pacid=40079438 transcript=Brasy8G022200.1 locus=Brasy8G022200 ID=Brasy8G022200.1.v1.1 annot-version=v1.1 MATSVITSLVPLSRPRRTVQMYWARRNNHYRRLGSGSPSRQLKVARLGGAGPGAPRRTRAPGAAAVRRLRWVATMLLSPARVLARLRDAYVDAMLALAAARTCAAMARPRVGAAAGAEYQTASALWAKRVPRARVQQRHGSASASEFERRMMAHIYNALVTPEVAMA* >Brasy8G227800.1.p pacid=40079439 transcript=Brasy8G227800.1 locus=Brasy8G227800 ID=Brasy8G227800.1.v1.1 annot-version=v1.1 MAIRYRRLPAAALAFLLLFAAGAAADDASSDDAAGAPPSPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGASLPRNVHEAQKTFSILANPLDCCSNLTSKVTNSIALATRGECAFTAKAKIAQAGGAAGLLVINDNEELYKMVCSENDTSIDVTIPVVMIPQSAGKNLKDFLDQGARVEVQLYSPNRPVVDLSACFLWIMAVGTIVCSSLWSEFVACEQVDERYNQLTRKDGPNSGTNSREDKEIFEISAKGAVVFIIVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTIISRIFKDCGQKTVQLPFLGEVLTLSTGIVPFCTVFAILWAVYRHSSFAWIGQDILGICLMISVLQMARLPNIRVASALLSAAFVYDIFWVFISPLLFHESVMIAVARGDNSGETIPMLLRIPRFFDPWGGYDMLGFGDIIFPGLLVAFSYRFDRAGKKGILNGYFLWLTVGYAVGLFLTYLALFLMNGHGQPALLYLVPCTLGLIVVLGWIRGELPLLWNYGRSENLVEEV* >Brasy8G227800.2.p pacid=40079440 transcript=Brasy8G227800.2 locus=Brasy8G227800 ID=Brasy8G227800.2.v1.1 annot-version=v1.1 MAIRYRRLPAAALAFLLLFAAGAAADDASSDDAAGAPPSPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGASLPRNVHEAQKTFSILANPLDCCSNLTSKVTNSIALATRGECAFTAKAKIAQAGGAAGLLVINDNEELYKMVCSENDTSIDVTIPVVMIPQSAGKNLKDFLDQGARVEVQLYSPNRPVVDLSACFLWIMAVGTIVCSSLWSEFVACEQVDERYNQLTRKDGPNSGTNSREDKEIFEISAKGAVVFIIVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTIISRIFKDCGQKTVQLPFLGEVLTLSTGIVPFCTVFAILWAVYRHSSFAWIGQDILGICLMISVLQMARLPNIRVASALLSAAFVYDIFWVFISPLLFHESVMIAVARGDNSGETIPMLLRIPRFFDPWGGYDMLGFGDIIFPGLLVAFSYRFDRAGKKGILNGYFLWLTVGYAVGLFLTYLALFLMNGHGQPALLYLVPCTLGLIVVLGWIRGELPLLWNYGRSENLVEEV* >Brasy8G219600.1.p pacid=40079441 transcript=Brasy8G219600.1 locus=Brasy8G219600 ID=Brasy8G219600.1.v1.1 annot-version=v1.1 MRDINMKELLYFGDKKARAKMRKEFMHKTVGPDFPIILTTYWMAMSDKQCLPHYKWKYVVVDQGLELKKWEFELLDKLKGMSMGHKLLLIRSPFQNNLAELWSRLNFVLPDEFSSREEFDSWFDFSGGKGKEHQNEEEKKALLSKLHAILRPFLLTQKKDVTSCRTKVVKNSDATSETSSKDHAAMEGSRTGAGICQEVRAEVAFKNGGEGVPKNLQSGQYGPEGNKVKRPRRNYAPASPLEECNSSFQAPSKPAVVAQVSESSSPESILKSLKEIPELARHDMLRAYSALIRDDRQFQSLMALPMDMRKDWLLMEIGNE* >Brasy8G285300.1.p pacid=40079442 transcript=Brasy8G285300.1 locus=Brasy8G285300 ID=Brasy8G285300.1.v1.1 annot-version=v1.1 MGGAEVQGAADRGDAAATARRPEEEAAAEAAAARGGLRRRRRGWPDETGSAAAAAWIDPMEPPRLTRGAVKQLAEHPHGPGTIFPVLQVADVKLVDTKGTPGAQSDRFRMLISDGVHSQQSFLATPLNRLVKDGTLRSGSVVHFQQTMCNIIQNRRIFIVCKLDVLQRECPMIGTPKMYEMIISPDEEEPNLPANAAQTNSGSYSAGKTLPRPQMRLTQNPMEASLATPARIDPMEPPRLTRGAVKQLAQHPHGPGTIFPVVQVADVKLVDTTGARLQQSERFRILLSDGVHSQQSMLATPLNCLVKDGTLRPGSIIHLVETMCNIIQNRRIIIVTKLDVLERECPMIGTPKKYEMKISPDDQEPNLPANAAQTNSGSYSAGKTLPQPQMRLTPNPPPTSLATPAWIDPMEAPWLTKGAVKQLAEHPNGPGTIFPVVQVGDVNLVNTKGAQQQSERFHMLISDGVHLQQSMLTTLLNTLIKDGTLRAGSIVHLAETMCNTIQNRRIIIVCKLDVLQSERPMIGTPKMLDENLT* >Brasy8G088200.1.p pacid=40079443 transcript=Brasy8G088200.1 locus=Brasy8G088200 ID=Brasy8G088200.1.v1.1 annot-version=v1.1 MSSSTRAAAGPPDPGAADQAVAVAAWPDFPGRLAELAAVAEERAALARRLEAALEVRRESLRQNAALDEMRRRLELRRRRAEEAVVGKRKAVEGVAWQREQLQAQIERVLPLSRALAAAHRQVQEAKEELRGEKARLGHLQRLLRMRQQFMVAQVAALHPVRVFHELTVAENHCSDANGDHGTMSEENEALPRENGNGTHLLNVIKSPHVPHLTFFGWHIGKHKTKQQSYSHKELQRSAAVLGYAAHAVLLIASYLDVRLRYPLHFGGSRSYVSDRLPSDEAASLASAEHRGVNSNDSKLTDYPLFLEFQDDSTKASYAIYLLHKASETGLTMGMEAKHATLCIKKVLRCSIRISYRCASEHWVLFTLVLLLYLLYRSSPGFFAFVISASPVIICTTLLLGILLSYGSMHFPDADEDQKTVADFSAPKFGKFSRNIHFEPCKRFSESAFKENTVSFKCWKIRNPRCKAKDDKLVKLDDIVPLLKESVDQRDERIDARDRPAEVPASIPSMVTLHREVGMEEFMKANHEWESKDPIPTTDESTEYVSLFVDVDQSRVDGKETTFGLSSSSENDKENGETVVNENQDEVLTDSQCDKVDSQNDKGKEATEDKPAEKPAGTCRWGRAFSVRQRKKLADIKMEPINADMGNQLDSSLGSPFARVNRHDDLSDFDSVKAGSCSSDISMAGVASVLDEIGPILGDEFAGPVCITNHDLGCDSKLCPQDPQINSDNTDEVDTSMAKNDAKDGEEKKDDGGSKPVFVWTADDDKNSMDLGYSEVERSRRLEFLMAKRRSRKNIIFDLDKGLIDHDRNDVRRSADGFSRFPIQVQPISVARRNPFDLDEADIPGSAPSILHGRKNLFELPFEKSNDSGVPGPPDLDPQEFITGPCRDMVFRRHDSFNFGGQARQLSRFKPCFVLEAMDIEEESTSNFLRQFSDKSMSKLSVITESDILSSVADQEERNELVKKEFLWDFQRQFSDKSMSKLSVLSESDTLPLVVDPEEGSGLINKDFLWYFQRQFSDKSVSKRSIAAESDNISSVADQDLLRQESDLGYVGSECSDVINFVDDETLNDVTSGMEFEAVENTAT* >Brasy8G146000.1.p pacid=40079444 transcript=Brasy8G146000.1 locus=Brasy8G146000 ID=Brasy8G146000.1.v1.1 annot-version=v1.1 MMQGEASVGPDPDPDARALSQYYYHLEGLLRASSWERVACLAREALRGSVDPYNSHEGWLLEEPVYVAGPPPSPWRSRAAVELDPRRLVEGRAAANCSKRERERVREQERKQRGQRGEGMGPWGAYLVAGGIRVGRAEGGRIRRPPAQGRPDPAAAGPRMAGSGSGRAGWAEAGRRGSGRRRPAAWGGGGRRRPRSGGGRIHRGQPASGLSRRGEAWMEERWGEEMAMVGLLYAREERRSAAAGTGREEKGRVGMGG* >Brasy8G077400.1.p pacid=40079445 transcript=Brasy8G077400.1 locus=Brasy8G077400 ID=Brasy8G077400.1.v1.1 annot-version=v1.1 MAGKHGRNGFEDDDVNPFAGGSVPAASNARLSPLSHEPAGFYNVDIPMDSAKDVKKKEKELQAMEAELNKREKELKRKEEAASRAGIVIEEKNWPPVFPLIHHNISNEIPIHLQRMQYLAFSSFLGLTACLFWNIIATTTAWVKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFMFYMIHIIFCVWSAVSPPFPFKGNSLTGILPAIDVITKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKQQAARSALSSAF* >Brasy8G237700.1.p pacid=40079446 transcript=Brasy8G237700.1 locus=Brasy8G237700 ID=Brasy8G237700.1.v1.1 annot-version=v1.1 MVITAGARASGGGREGSVEEDGAAAHVVVEVHRPAADDDDQLREQELGGTGSSGCRICHLEDGDLPAESGGGKLVKLGCGCRGEIAAAHRRCAEAWFSVKGNRIGVSKKLCLKESPHGFGIGIGNGRRCEICGQNAANISGRGGGGKEFMQQWHGTAAAAEGSSKATGFCRSQTFCNLLIACLIIVFVLPWFFHNHMI* >Brasy8G237700.2.p pacid=40079447 transcript=Brasy8G237700.2 locus=Brasy8G237700 ID=Brasy8G237700.2.v1.1 annot-version=v1.1 MVITAGARASGGGREGSVEEDGAAAHVVVEVHRPAADDDDQLREQELGGTGSSGCRICHLEDGDLPAESGGGKLVKLGCGCRGEIAAAHRRCAEAWFSVKGNRTYITGMMTDLSKQRRCEICGQNAANISGRGGGGKEFMQQWHGTAAAAEGSSKATGFCRSQTFCNLLIACLIIVFVLPWFFHNHMI* >Brasy8G237700.3.p pacid=40079448 transcript=Brasy8G237700.3 locus=Brasy8G237700 ID=Brasy8G237700.3.v1.1 annot-version=v1.1 MVITAGARASGGGREGSVEEDGAAAHVVVEVHRPAADDDDQLREQELGGTGSSGCRICHLEDGDLPAESGGGKLVKLGCGCRGEIAAAHRRCAEAWFSVKGNRRCEICGQNAANISGRGGGGKEFMQQWHGTAAAAEGSSKATGFCRSQTFCNLLIACLIIVFVLPWFFHNHMI* >Brasy8G176700.1.p pacid=40079449 transcript=Brasy8G176700.1 locus=Brasy8G176700 ID=Brasy8G176700.1.v1.1 annot-version=v1.1 MVFVAVEANNYRIVCRSNDRRFAVGADGRGHIVFNKTMPGSCARDVVSGRGRCGRRKPLRLCSITAWSSSRSTWAWHGLYRSSTIMHSHSVKGLRMVDGVFQADRDQNGHQPPLLELLTELDGCGNAAPMAQMITAMINVFLEWMRVLEATKIMVLLK* >Brasy8G278100.1.p pacid=40079450 transcript=Brasy8G278100.1 locus=Brasy8G278100 ID=Brasy8G278100.1.v1.1 annot-version=v1.1 MEETKKNVFTVQVEDGKAGKDGRPAVGPVFRNILAKDGFPPLDPDMRTSWDVFRTAAGKYSDNRMLGWRPFQDGMPGPYLWKSYREVYEEVLQIGSALQQLGVQPGSRVGIYGANCPQWVVAMQACNGYSIICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCTSASRIKALVAFTSANNGQIKDADQIGMKVYSWDDFLKMGKDKPSQPCPPQPNDTCTIMYTSGTSGQPKGVMLSHESHGMYVKGVDLFMDQFDDKMSTDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALADLRPLRRVIFNALYNRKLAGMKAGYTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTSCAYFIQGYGLTETLGPSTVGYPDDMALVGTVGVAATYTELRLEEVPEMGYDPLGVPSRGEILIRGSTVFTGYYKNPELTNEVMVDRWFHTGDIGEMTPDGIMKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPQIIEDIWVYGDSYRSMLVAVVNPHEENTMKWAKSNGYKGSFNEICKLEGLKEHILKELTAAAQKNKLRGFEYIKGVVLDPVPFDLERDLVTATMKKRRNYMLKYYQPEIDKLYKKLEDQRAANKVK* >Brasy8G278100.2.p pacid=40079451 transcript=Brasy8G278100.2 locus=Brasy8G278100 ID=Brasy8G278100.2.v1.1 annot-version=v1.1 MEETKKNVFTVQVEDGKAGKDGRPAVGPVFRNILAKDGFPPLDPDMRTSWDVFRTAAGKYSDNRMLGWRPFQDGMPGPYLWKSYREVYEEVLQIGSALQQLGVQPGSRVGIYGANCPQWVVAMQACNGYSIICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCTSASRIKALVAFTSANNGQIKDADQIGMKVYSWDDFLKMGKDKPSQPCPPQPNDTCTIMYTSGTSGQPKGVMLSHESHGMYVKGVDLFMDQFDDKMSTDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALADLRPLRRVIFNALYNRKLAGMKAGYTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTSCAYFIQGYGLTETLGPSTVGYPDDMALVGTVGVAATYTELRLEEVPEMGYDPLGVPSRGEILIRGSTVFTGYYKNPELTNEVMVDRWFHTGDIGEMTPDGIMKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPQIIEDIWVYGDSYRSMLVAVVNPHEENTMKWAKSNGYKGSFNEICKLEGLKEHILKELTAAAQKNKLRGFEYIKGVVLDPVPFDLERDLVTATMKKRRNYMLKYYQPEIDKLYKKLEDQRAANKVK* >Brasy8G252100.1.p pacid=40079452 transcript=Brasy8G252100.1 locus=Brasy8G252100 ID=Brasy8G252100.1.v1.1 annot-version=v1.1 MAAARGAALSRPTILLLQQQHHHLHQVPAGGGGLLRVGAGRRRVRAAGVRVRARYSSSSSQAQAQDFPSRIQGNPINQSADPITTSYPAEMVISIRRLRVDVKNGNDRAGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTFFPAEYVEEFQNCFDRAPAVPYNEIESILREELKRPLDSVYEYIDPVPIASASIAQVHAARLKSSHKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELERTSLVAIIKDIKESMLEEVDFRKEAVNIEAFQRYIEAMGFDRQAKAPFVYQHCSTKRVLTLERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFIDFGIVGRISPRTWAAMEIFLASFATEDYSAMASALSEMGATGNDIDVDSFAKDLQKIFSSIQELDTEIIVATARGSDATAVSANVVADERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINITTNQQTRRMD* >Brasy8G252100.2.p pacid=40079453 transcript=Brasy8G252100.2 locus=Brasy8G252100 ID=Brasy8G252100.2.v1.1 annot-version=v1.1 MAAARGAALSRPTILLLQQQHHHLHQVPAGGGGLLRVGAGRRRVRAAGVRVRARYSSSSSQAQAQDFPSRIQDRAGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTFFPAEYVEEFQNCFDRAPAVPYNEIESILREELKRPLDSVYEYIDPVPIASASIAQVHAARLKSSHKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELERTSLVAIIKDIKESMLEEVDFRKEAVNIEAFQRYIEAMGFDRQAKAPFVYQHCSTKRVLTLERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFIDFGIVGRISPRTWAAMEIFLASFATEDYSAMASALSEMGATGNDIDVDSFAKDLQKIFSSIQELDTEIIVATARGSDATAVSANVVADERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINITTNQQTRRMD* >Brasy8G071300.1.p pacid=40079454 transcript=Brasy8G071300.1 locus=Brasy8G071300 ID=Brasy8G071300.1.v1.1 annot-version=v1.1 MGLAYSTKFDFLDIIEPIVTYTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGTNTSYQCLECGNEHSISKPTPAFSLSQSYSSTRDLCGSRFCVDVHSSDNSHDACAAAGCSIPAFMSGLCTRLCPPFAYTYGGRALVLGSLARDTIALHGSIYGISVPIEFPGFCFGCVGSSIREPIGIAGFGKGKLSLPSQLGFLDKGFSHCFLGFRFARNPNITSPMVIGDLALAAKDGFLFTPMLKSLTYPNFYYIGLEGVIIEDNAAIPAPPSLSGIDSKGNGGVIVDTGTTYTHLPDPFYASVLSSLCSTVLYDRSYELEIRTGFDLCFKVPCMHAPCNDDVLPPITLHLGGDVTLALPKESCYYAVTAPRSSVVVKCLLFQRMDDDGGFFAAENDDGGDAPFGAGGPGAVLGSFQMQNVEVVYDLESDRVGFQPRDCALGVGA* >Brasy8G159400.1.p pacid=40079455 transcript=Brasy8G159400.1 locus=Brasy8G159400 ID=Brasy8G159400.1.v1.1 annot-version=v1.1 MAVPKALLVSILRCLFLFSSVHAARELNDDALSMTARYERWIVQYGREYKDAAEKALKFEVFKSNARFIDSFNSRKQKFQLCINQFADLTNEEFKATKKNKGFIGNKVRVPTGFMYENISSDVLPATVDWRTKGVVTPIKNQGQCGSCWAFSAIAAMEGIVKLRTGKLLSLSAQEVMDCDVHGENKGCEGGFMDNALKFIVKNGGLTQESDYPYNGTNGKCKSDSNKAATIKGYEDVPANNESALMKAVANQPVSVAVYVGDKAFQFYCAGVMTGSCGTDLNHEIVAIGYGITIDGTRYWLL* >Brasy8G268900.1.p pacid=40079456 transcript=Brasy8G268900.1 locus=Brasy8G268900 ID=Brasy8G268900.1.v1.1 annot-version=v1.1 MAAGRQGDDRLSGLGDDLLHRILHFAPARKAVSTTALSRRWKDRWLSSGAVNLETNVDRDCREAQRSSLQPPGRRLCALRDSFVSTAGKALAAAAPVTRLTIRVEGMFGDTIESFLRDERRRAGADVLADVLSHRAAGQLEELRIAVVDSTVRTIESREFEVASPSSFDKPVIGVYRLRLDALPSNTLRVLELTNCSGLHPSAAAVPFPRLASLRLRHCHAPLEILQGVIDAAPALTAVSLESVVLGETGALRCPAATALVLHKCGWVAGKHGAAKIHAPMLRRFRYRGLLRRISLAPPPPDLAQVDLTLRFHYGSSHTDLGRVRRSFWRFLANFAGAKEMKLRVRRRLEDLAVARESRRVKLLPAFGRLERLELEGMHRPAGATAAVAIANLLRCCPALRDLQIKLGMYGDLSCKSLFGVVSPCEAGCLVERKESKERSDLQESIERFKRLRSQPAAAAAAGDEDDDGVNFNEVPDLPALSRHSFECLQGCLSRVGLQFMREEEKTSCCFGVRLIKFFAENAMALEEMCIDAGIEKMYEHLNPKVERWIAAAPSSKRRRLNLNPSNAIKGVAVLPLKPESRTRDHNYFALAEI* >Brasy8G275000.1.p pacid=40079457 transcript=Brasy8G275000.1 locus=Brasy8G275000 ID=Brasy8G275000.1.v1.1 annot-version=v1.1 MAMSPVVLLLLLLMSLGAGAVVQPEEAIGMPNCNITCGDLKVPYPFGMGPSRCYWPGFKLTCDRRTGNNTPRLLLGNGNGTLQVEDIYLRFPFLIVTRNGSVKIDAAGKGALGGGFDGMPYTLATYLNQLTLTGCNVRATLKKGNITMASCSALCSTPTYGATTPEILTRDSLPCSGSRCCQADVISSQNQVDVTSYDVEVTYLGSNRSTDEAQVPTRVFVSNKGWFEQVWLATDHPGQPNRTPSEDAIMPVPIWLQWGVSGDGIQPVDPNSVVLECPREAVRRVCKSKNSTCSKGAAGYTCRCDDDFEGNPYVTDGCKESCYYGDTATCVCPLGTQGDPYRRGGCTGLVTGGCRHSCGDMSVPYPFGVGQGQDCYLEGFNLTCDDTGHEPPRLFLDSNMVTQVLEISTRNNTVRVLDTGISTGKISRPTVGTVTEIQGILGLSIDGREEVPYSLSTHNELILTGCNLIAELSRTSDSGGSIVSVCASFCFPNDTKQDDHQCNGIGCCRARISTYNNSMPSTELTYKLKWFDFNKGGAALDDDKSPPVNILIAEEGKFNQGHDDPLRIPILLQWEVFSGSSLAPPANINKYSSRSDCPPEVSVSLCRSKHSYCKRGSRGGYTCHCRTGYDGKPDANPYVSGGCRGKYIAVGVAIGAGLILSFFTAFSVSKRLKHRRAQMLKREFFEKNRGQLLRQLVSQRADISERMIITLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHTGESRSLSWDDRLRIAVETAKSLAYLHSTASVPIIHRDVKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQGTIGYLDPMYFYTQRLTEKSDVYSFGVILIELLTRKKPFSYMSSEGDGLVAHFSALFAEGNLPQILDPQVMEEGDKEVEAVAALAVTCVKLRGEDRPAMRQVELTLEAVRASNQDVLANTEGFEENGVAVNSPRAEERISAEESSRQFSMEEEMLLSSRYPR* >Brasy8G265200.1.p pacid=40079458 transcript=Brasy8G265200.1 locus=Brasy8G265200 ID=Brasy8G265200.1.v1.1 annot-version=v1.1 MGITSRRLKPPTFCQTLDRDIWIDGWIYLSIKISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFLKGIEARPGSRRSNNRQGAPQYTIARSNWESYYT* >Brasy8G223100.1.p pacid=40079459 transcript=Brasy8G223100.1 locus=Brasy8G223100 ID=Brasy8G223100.1.v1.1 annot-version=v1.1 MQKTPTHKTWAGHRDRENPPHRVEQGEMSAAAGGLRQLLTAAVTAGAAEARAAVFGHAVNPTGKRAATKLLRKKLVGEQLAQWYPYDIKRDDPIVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK* >Brasy8G267300.1.p pacid=40079460 transcript=Brasy8G267300.1 locus=Brasy8G267300 ID=Brasy8G267300.1.v1.1 annot-version=v1.1 MAALRFAARRLVGGHTPAAVEEAQRRLLPRLFHEQTRPTSSTAANTNVPKGLAGDEHERRLKLLTEIHYRGEELYDMISEANNIYNISGSLGREIRLLRRDLSVQIDPRPNDSNWRTLRRIKNFEHYGGFVATIFSGYVLWSMLTGSIVRLNPEEKQWIREKRIEASKRSEVNIISEGETTIAETV* >Brasy8G191300.1.p pacid=40079461 transcript=Brasy8G191300.1 locus=Brasy8G191300 ID=Brasy8G191300.1.v1.1 annot-version=v1.1 MAILLALLLSLFFGILLVPACVATPAMASVTLLQVKSGFTDPQGVLSGWSPEADVCSWHGVTCLQGEGIVSGLNLSGYGLSGTILPALSGLISIEFIDLSSNSFTGSIPPELGNLQNLRTLLLYSNFLTGTIPMELGLLGNLKVLRIGDNKLRGEIPPQLGNCTELETLALAYCQLSGSIPYQIGNLKNLQQLVLDNNTLTGSIPEQLGGCANLRILSVPDNRLGGIIPSFIGSLNALQSLNLANNQFSGVIPAEIGNLSSLTYLNLLGNSLTGAIPEDLNKLSQMQVLDLSKNNISGGIRISTSQLKNLKYLVLSDNLLEGTIPEGLCPGNSSLENLFLAGNNLEGGIEELLNCISLRSIDASNNSFTGEIPSEIDRLSNLVNLVLHNNSLTGILPPQIGNLSNLEVLSLYHNGLTGMIPPEIGRLQRLTMLFLYENQMSGTIPDEITNCTSLEEVDFFGNHFHGSIPERIGNLKNLAVLQLRQNDLSGLIPASLGECRRLQALALADNRLSGTLPATFMHLTQLRVITLYNNSLEGPLPEGLFEIKNLTVINISHNRFNGSVVPLLGSSSLSVLVLTDNSFSGIIPTAVARSRNMVRLQLAGNRLAGAIPVELGNLTRLKMLDLSSNNLSGDIPEELSNCLQLTRLNLEGNSLTGAVPSWLGSLRSLGELDLSSNALTGNIPVELGDCSSLIKLSLRDNHLSGNIPQEIGRLTSLNVLNLQKNSLTGVIPPTLRQCNKLYELSLSENSLEGPIPPELGQLPELQVMLDLSRNRLSGQIPTSLGNLIKLERLNLSSNQLHGQIPSSLLQLTSLNHLNLSDNLLSGAIPAVLSSFPAASYAGNDELCGTPLPACGASGRRLPSAMVSGIVAAIAIVSATVCMALLYIMLRMWSTWREVSVSSSDGEEPAASQGKGDKWGAGDGKYWKVGSVLAEASSEEKYSSASESSVLQGKSKEASAVNLKS* >Brasy8G191300.2.p pacid=40079462 transcript=Brasy8G191300.2 locus=Brasy8G191300 ID=Brasy8G191300.2.v1.1 annot-version=v1.1 MELGLLGNLKVLRIGDNKLRGEIPPQLGNCTELETLALAYCQLSGSIPYQIGNLKNLQQLVLDNNTLTGSIPEQLGGCANLRILSVPDNRLGGIIPSFIGSLNALQSLNLANNQFSGVIPAEIGNLSSLTYLNLLGNSLTGAIPEDLNKLSQMQVLDLSKNNISGGIRISTSQLKNLKYLVLSDNLLEGTIPEGLCPGNSSLENLFLAGNNLEGGIEELLNCISLRSIDASNNSFTGEIPSEIDRLSNLVNLVLHNNSLTGILPPQIGNLSNLEVLSLYHNGLTGMIPPEIGRLQRLTMLFLYENQMSGTIPDEITNCTSLEEVDFFGNHFHGSIPERIGNLKNLAVLQLRQNDLSGLIPASLGECRRLQALALADNRLSGTLPATFMHLTQLRVITLYNNSLEGPLPEGLFEIKNLTVINISHNRFNGSVVPLLGSSSLSVLVLTDNSFSGIIPTAVARSRNMVRLQLAGNRLAGAIPVELGNLTRLKMLDLSSNNLSGDIPEELSNCLQLTRLNLEGNSLTGAVPSWLGSLRSLGELDLSSNALTGNIPVELGDCSSLIKLSLRDNHLSGNIPQEIGRLTSLNVLNLQKNSLTGVIPPTLRQCNKLYELSLSENSLEGPIPPELGQLPELQVMLDLSRNRLSGQIPTSLGNLIKLERLNLSSNQLHGQIPSSLLQLTSLNHLNLSDNLLSGAIPAVLSSFPAASYAGNDELCGTPLPACGASGRRLPSAMVSGIVAAIAIVSATVCMALLYIMLRMWSTWREVSVSSSDGEEPAASQGKGDKWGAGDGKYWKVGSVLAEASSEEKYSSASESSVLQGKSKEASAVNLKS* >Brasy8G202200.1.p pacid=40079463 transcript=Brasy8G202200.1 locus=Brasy8G202200 ID=Brasy8G202200.1.v1.1 annot-version=v1.1 MFAASSSGALRLLLLYAGCFLLGRILPFDAPPHVAAAKGAPPPVSFGFNFSNSSTYNLQDLRFEGSAKPDLDGKLVDLTCNSDYSTYNCTGRMSYGHPVPFYDSATGVVASFATQFTFRFRLPDQRGTVRKGDGMAFFLTGYPSVMPPDSIGGGLGLMNDSLNSAYGLDRFVAVEFDTFKNFFDPENSSDHIGIDLSTLQDSSATMSLPTFSLNGTMTASISFSATTRTLVASLHFDDRPSVQQVEVSRQLPDPIMALLPPDVAVGFSAATGAEAELHQILSWSFNSTLAPNSTLAPKKHTASTGGGQIITAIVGGSVVFIGVGWFILAWFMRKQGRDSIMAGAGQRRFRYRDLVHATDNFSEKRKLGEGGFGVVYLGTSLKGHEGQVAVKTISKKKGSLGGTKNFLDEINTISKTKHKNLVSLEGWCCCSKGTWNLMCWCCQKQDDHQIFLVYELMPRGNLHDHLHKEDTVLPWDTRYNIVKGIGSALLYLHHECRPYILHRDIKPQNILLDNEYNAKLADFGLSRIANHNNTSVVTTAMGTVGYMDPQLMEPDKFSFNRSTDIYSFGIVLLEIACKRNMSREGIWNLFSNEAAVSMSREGVLNLLSNEVALSMVEAAADERLGGNFDRAQMRRVLVLGLWCSLLDGAQRPSMQDAMRFLENDHIALRDVASFPATSST* >Brasy8G212200.1.p pacid=40079464 transcript=Brasy8G212200.1 locus=Brasy8G212200 ID=Brasy8G212200.1.v1.1 annot-version=v1.1 MGRKLPPFAPAGRKRKGPSFPTLSPCKLRRTTEASGWASLPTDLIHLVTSRLLSGDVVDYISFRAVCSGWRSSTPEARDPTLRKSDLRPRGWVALCDGDGVRPDAACEITFFHTRTARRLRVPLPDFRGHRIVGFTQGLIILLHKRTAAIRVLNPFTRDAVDLPSLGPGPDRHCLGPVVHEVISCRHTVLNMNAAVCNSASSIAVVAWFPRTTVVLAAEPGRPNWEVLHKHVVFMSVLPYQGRLYATMADSTEIMQLYPRSTHPVLAHVPNGFGEPTQCRFYLVESGGQVLLAVQHLTVLAADPNSLQRFAYKVYTVDVDGRRPEPLSPVSSLGDRALFLSKHCCLSVSARDLPSVTGDSIYFSLFYHIDPVVVHSLRTGLTEQLSTACQIHDGKDRVRPSVRPFTIADHLLTFCHPFEWTNGLMFHEYHWIPESFQELKNNIKAKDSQVRIPRDAHSQELDSDCQ* >Brasy8G167500.1.p pacid=40079465 transcript=Brasy8G167500.1 locus=Brasy8G167500 ID=Brasy8G167500.1.v1.1 annot-version=v1.1 MSRSDEIVYLRDRIAVLKAKARAAATREDFLLGELVGMSSELRGLLPDAYEESGRVRHRLNALQRAGPTVPSFWFDNDRGYILALLQDRVVRAKSCLLSCQQILTDMHRALFAGTPVLDNLKGLIGRFATVALAFTRLRYPGLDLSTLHVVPFIEINMEYYYDAVEWSARELVNIVEMQ* >Brasy8G116000.1.p pacid=40079466 transcript=Brasy8G116000.1 locus=Brasy8G116000 ID=Brasy8G116000.1.v1.1 annot-version=v1.1 MADAPASPGGGGGGGGSHDSGGSPRGGGGGYGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTSKSGDGSVKKDTLGSHTGTSNSSAQGMGQQVAYNQGMGYMQPQYHNGDISN* >Brasy8G116000.2.p pacid=40079467 transcript=Brasy8G116000.2 locus=Brasy8G116000 ID=Brasy8G116000.2.v1.1 annot-version=v1.1 MADAPASPGGGGGGGGSHDSGGSPRGGGGGYGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREVRTDVVVRVNRNWRLLCDDWGGLVLW* >Brasy8G220900.1.p pacid=40079468 transcript=Brasy8G220900.1 locus=Brasy8G220900 ID=Brasy8G220900.1.v1.1 annot-version=v1.1 MPETAPPPPRPRPRAGGSRRPRPCRGSATSAVSSARAPASDPRPSWVSSRSRPSPLPLPKLGRAALGLVGISRFVSRNGGRIS* >Brasy8G032800.1.p pacid=40079469 transcript=Brasy8G032800.1 locus=Brasy8G032800 ID=Brasy8G032800.1.v1.1 annot-version=v1.1 MASQDHHHHHGGGHSHSHDEGGHHHHSHGDGAAGGRGAGAGSWVGEDGRVWHSHDGLAPHSHEPIYSAGDFSKRAPPLDSRRFADRAFTVGIGGPVGTGKTALMLALCTCLRDKYSLAAVTNDIFTKEDGEFLVNHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALRMREGGPFVFAQQAQVKHGVGVEEIVDHVLRAWEMATGDRRR* >Brasy8G032800.3.p pacid=40079470 transcript=Brasy8G032800.3 locus=Brasy8G032800 ID=Brasy8G032800.3.v1.1 annot-version=v1.1 MASQDHHHHHGGGHSHSHDEGGHHHHSHGDGAAGGRGAGAGSWVGEDGRVWHSHDGLAPHSHEPIYSAGDFSKRAPPLDSRRFADRAFTVGIGGPVGTGKTALMLALCTCLRDKYSLAAVTNDIFTKEDGEFLVNHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALRMREGGPFVFAQQAQVKHGVGVEEIVDHVLRAWEMATGDRRR* >Brasy8G032800.2.p pacid=40079471 transcript=Brasy8G032800.2 locus=Brasy8G032800 ID=Brasy8G032800.2.v1.1 annot-version=v1.1 MASQDHHHHHGGGHSHSHDEGGHHHHSHGDGAAGGRGAGAGSWVGEDGRVWHSHDGLAPHSHEPIYSAGDFSKRAPPLDSRRFADRAFTVGIGGPVGTGKTALMLALCTCLRDKYSLAAVTNDIFTKEDGEFLVNHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALRMREGGPFVFAQVKHGVGVEEIVDHVLRAWEMATGDRRR* >Brasy8G115400.1.p pacid=40079472 transcript=Brasy8G115400.1 locus=Brasy8G115400 ID=Brasy8G115400.1.v1.1 annot-version=v1.1 MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKHFLERQDVSSGHSVNVELSVDEAEAVVCVEQNVETGSSELLHEKSTQEKIESWKPIRPSLGNIEQMMGLRVDKKHSSAGRLQPKESTHLVTVDEGKVSGDSDDEFYDADKVDPSQEMQSGDSGNAEIGNTGQEDKYSLKEELECLVHGGLPMALRGELWQAFVGVEARREKGYYDSLLVTEGELEDGRLDSSTSEGVDEKLSAFSSEKWKGQIEKDLPRTFPGHPSLDEDGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVQEKFPKLANHLNYLGLEVTWATGPWFLSIFANVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNEARLQDLRNKHRPSVISSMEERAKGLGVCKDSGLASKLYNFKREPEPLVSISNSLDQMSDVTDGDVNNESGSGDMDDMYGGLTVNSEIDSLPDPKDQVTWLKVELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKAEQLEHELSELRQTLSDKQEQEQAMFQVLMRVEQELKFAEEARISAEQDAAAQRYAANVLQEKYEESMASLAQMENRAVMAETMLEATLQYQSSQQKALSPLPSPRTSVQDDSVQEFQPRRINLLGPFSLSWRDKNKGKQNNADDCMDAKLTDTLDQWEETPNTDDAKQGETPKLDGEWTVELPKGDDKLMAETPKNDSELSRVHIVTNVMNGQHEQLQEINLD* >Brasy8G246200.1.p pacid=40079473 transcript=Brasy8G246200.1 locus=Brasy8G246200 ID=Brasy8G246200.1.v1.1 annot-version=v1.1 MRSEPLDAFDAGMGAEGGEENDLEEIEKGVFDGSQLKALRRSINYMELEDITLLRAWERVSLDVVAGNDQIGRKYWQRIAMDRHKQMTSSKNRPFVLQHCWKVLECSEKRRLRDEETPTKKEANMKRPDWRKKEKEKIKRQAESSSLRDKFDKLMKSKEVTLAKKLEVKLVMTDKKHGHRLQRWQSVREVENHKTVIEEQGFLTEARKTAIEKSKASTEFLVE* >Brasy8G028300.1.p pacid=40079474 transcript=Brasy8G028300.1 locus=Brasy8G028300 ID=Brasy8G028300.1.v1.1 annot-version=v1.1 MELAPGAADGTLPSLPMDAISEILSRTPVKSVCRFRCVAKAWQSLISDAAFLATHREPLYLIVANSMGADQDGSRDHDLRLMDLDGNIIKVIKRAGTLLMMCSSAVNGPPIRVTLDQSTFNVVDLAAGNVIATSKGENTRDYTTCSYGVGCAIPSGTYKLVFLARSQTCKVLTLQDGAEWRQTTQPSPAPVPFLGYRQFLSVTVNGIMHFFYHDSTAPWGCENLILCFDLESEEWKESIRGPVRVMRDFGVYMTKLNDILCIIQREERVTHVWLLTDSVESTWVKAYTIRMAPAIRVEIPLRMRDSGKLLVLCSPCHLTSTTTLTLQDYDPLMESHTHLINFSGLHRLYDVSICRMDLKSVVSSKIFTVVEPKESNLPLI* >Brasy8G288400.1.p pacid=40079475 transcript=Brasy8G288400.1 locus=Brasy8G288400 ID=Brasy8G288400.1.v1.1 annot-version=v1.1 MLHSWRNLDRVYRSAYEYPWILMARNIAAGHPKLRYRHSPYDIDLFCISNHVPVDKHFAITKTKIMRKIEVTRMRDYVMPFDAIEGTSHRDGSIYKHFALLKYHRITEPDETPLEPPTCLPGRERCLVHAARDVEDSLRNYIVNYSRDDPIIVQQGSPIEMSGPKRGISFACSVLLEFDMRIKKGKEEDDVQLIDGAVDFNDVTADPSIPFTTCFDGDYGAVHMTYAMIYGVEATIEVIISKVQSGFNLSLSSFVFMKDSHEKIQLFRGTIGESCGLRRFVIAVEKDTWMHMKLEVGQKSSNLEHYYCSFKTDTHGCACQEIMLDLATISVKATWSTLPP* >Brasy8G251300.1.p pacid=40079476 transcript=Brasy8G251300.1 locus=Brasy8G251300 ID=Brasy8G251300.1.v1.1 annot-version=v1.1 MAWRVLLRRKDVHTGLLNLAFRAGGTECFSSGAVAKPLQFIHCNRGGGGGEATAKVVLNPEKWLEFKLQEKATVSHDSQLFRFSFDPSTKLGLDVASYLVVAVKLIVMLATVARAY* >Brasy8G251300.2.p pacid=40079477 transcript=Brasy8G251300.2 locus=Brasy8G251300 ID=Brasy8G251300.2.v1.1 annot-version=v1.1 MAWRVLLRRKDVHTGLLNLAFRAGGTECFSSGAVAKPLLLNPEKWLEFKLQEKATVSHDSQLFRFSFDPSTKLGLDVASYLVVAVKLIVMLATVARAY* >Brasy8G130300.1.p pacid=40079478 transcript=Brasy8G130300.1 locus=Brasy8G130300 ID=Brasy8G130300.1.v1.1 annot-version=v1.1 MGGLCSKVSAVDKSPSDTMLGRNQIIDHETVTLKGLKEEKVPVSEEATTKLIEERQQSFSFLESVVPGLAVYNGADAGQTGSRTPQLARTLSQKAGLGKTKVSEMSLMLGRASTVGLGKAVEVLDTLGSSMASLNTSSGFVSSAAAKGNKISILAFEVANTIVKGSNLMRSLSKASIKHIKEVVLHSEGVQHLVSKDMDELLEIAAADKREELEVFSKEVIRFGNRCKDPQWHNLDRYFEKFASERTSQHYLKRDAETVMQQLIICVQYTAELYHELHALDRFEQDYHRKHQEHDGLSSTGDSLHILKQEVKSQSKHVKSLKKRSLWSKNLEEVIEKLVDIVHFLDLEIYNAFGRAESEEPQEPSKRHKRLGPAGLALHYANIINQIDALVSRSSSISPNTRDNLYQGLPPTIKSALRSKMQSFEIKEELTVSQIKAEMEKTLRWLVPDANNTIKAHHGFGWVGEWANTGCEVNCKLSGQIDLTRIETLYHAEKDKTEAHILEVVVWLHHLISRSRAANGDVRSPIKSPVRSPTQKVPAIRLQLDQPNNSSPVLTPEDQDMLRCVKYRKFVPGISKSQEFDTKSRHGKQSRLCKSNSHSPTSGNRNDLLPVRRLSMLPVIDFEIDRTKALDLIDRLDDLKIR* >Brasy8G130300.2.p pacid=40079479 transcript=Brasy8G130300.2 locus=Brasy8G130300 ID=Brasy8G130300.2.v1.1 annot-version=v1.1 MGGLCSKVSAVDKSPSDTMLGRNQIIDHETVTLKGLKEEKVPVSEEATTKLIEERQQSFSFLESVVPGLAVYNGADAGQTGSRTPQLARTLSQKAGLGKTKVSEMSLMLGRASTVGLGKAVEVLDTLGSSMASLNTSSGFVSSAAAKGNKISILAFEVANTIVKGSNLMRSLSKASIKHIKEVVLHSEGVQHLVSKDMDELLEIAAADKREELEVFSKEVIRFGNRCKDPQWHNLDRYFEKFEQDYHRKHQEHDGLSSTGDSLHILKQEVKSQSKHVKSLKKRSLWSKNLEEVIEKLVDIVHFLDLEIYNAFGRAESEEPQEPSKRHKRLGPAGLALHYANIINQIDALVSRSSSISPNTRDNLYQGLPPTIKSALRSKMQSFEIKEELTVSQIKAEMEKTLRWLVPDANNTIKAHHGFGWVGEWANTGCEVNCKLSGQIDLTRIETLYHAEKDKTEAHILEVVVWLHHLISRSRAANGDVRSPIKSPVRSPTQKVPAIRLQLDQPNNSSPVLTPEDQDMLRCVKYRKFVPGISKSQEFDTKSRHGKQSRLCKSNSHSPTSGNRNDLLPVRRLSMLPVIDFEIDRTKALDLIDRLDDLKIR* >Brasy8G226200.1.p pacid=40079480 transcript=Brasy8G226200.1 locus=Brasy8G226200 ID=Brasy8G226200.1.v1.1 annot-version=v1.1 MRHEIVLLAVTVMMVALCHVSDGWASNLPNPTYVFNCEPKYCHEVCKTDKYSVGRCHKDIIGRPDLHCYCS* >Brasy8G180700.1.p pacid=40079481 transcript=Brasy8G180700.1 locus=Brasy8G180700 ID=Brasy8G180700.1.v1.1 annot-version=v1.1 MGRAARWLRGFLGGGNRKEQSKDPNKPIAAPVSSNAKRWSFGKSSRDSAEAAAAAAATAPQGGNAAIARAAEAAWLRSVYDETEREQSKHAIAVAAATAAAADAAVAAAHAAVAVVRLTSKQGGRAAAAALVEHRGPAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQAAMRAHRAGAALPQLHNSSYRPRRSLQERYADDTRSEHGVAAYSRRSIESASSYGFDRSPKIVEMDTGCRPKSRSSSRRASSPLQLDPCDEYWCGANNNNPMSSPLLPAPPSRIAVAAPTPQHRHFPDYDWCAMEKARPATAQSTPRYMSINFNNAPATPTKSVCGGGGYLYSSLNCPGYMSSTQSFEAKTRSHSAPKQRPEPPPANGRRQRVPLSEVVVVESSRASLSGVGMQRSCNRATTQHEAFNFKTAVVGRLDHHRSSVENNDRQAFLQRRW* >Brasy8G180700.2.p pacid=40079482 transcript=Brasy8G180700.2 locus=Brasy8G180700 ID=Brasy8G180700.2.v1.1 annot-version=v1.1 MGRAARWLRGFLGGGNRKEQSKDPNKPIAAPVSSNAKRWSFGKSSRDSAEAAAAAAATAPQGGNAAIARAAEAAWLRSVYDETEREQSKHAIAVAAATAAAADAAVAAAHAAVAVVRLTSKQGGRAAAAALVEHRGPAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQAAMRAHRAGAALPQLHNSSYRPRRSLERYADDTRSEHGVAAYSRRSIESASSYGFDRSPKIVEMDTGCRPKSRSSSRRASSPLQLDPCDEYWCGANNNNPMSSPLLPAPPSRIAVAAPTPQHRHFPDYDWCAMEKARPATAQSTPRYMSINFNNAPATPTKSVCGGGGYLYSSLNCPGYMSSTQSFEAKTRSHSAPKQRPEPPPANGRRQRVPLSEVVVVESSRASLSGVGMQRSCNRATTQHEAFNFKTAVVGRLDHHRSSVENNDRQAFLQRRW* >Brasy8G088300.1.p pacid=40079483 transcript=Brasy8G088300.1 locus=Brasy8G088300 ID=Brasy8G088300.1.v1.1 annot-version=v1.1 MLPKARIHADPILEFEVDPFDCLPDSLVLLILNKVEDVRSLGRCFVASKRFYGLVPLVHDVCVKIDRVVTVDGDSEDPLNLSSPKPWNILSHFLKLMLFTIIKPFHNMRSANGAGRPLFLQLAQHSPAQVLRNFTHIRNLQIELPSGDVGTEDGILLKWRAEYGSTLQNCVILGGTRVDRKPVGAEHEPSSDDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLQSIIKDHPTLASLVLTDADGQGTLCMGTEQLKEFRENQLSASACSNRTQVPACSMKLKYAPYLELPGGIALQGATLVAIKPATEGSNGSHISRKETDAFISGAFDGPFKFAAKALMKRRTYLLEMNGF* >Brasy8G125700.1.p pacid=40079484 transcript=Brasy8G125700.1 locus=Brasy8G125700 ID=Brasy8G125700.1.v1.1 annot-version=v1.1 MATTRPARSDSHLPPEEAARVEAQVRGYFDSVAPKRPAKPPRSDPSDGGAGGAVVESPAPGDELPELRKLRDLEAKPQKLVLDGGDANGEEYMETQYYDGINCIDKQHHTTGTGFIKVGRSNTNGSSFKVTTLAYSSNNTLSRGSNPATNDWIPSSETVIPVSNKPSRSDS* >Brasy8G125700.2.p pacid=40079485 transcript=Brasy8G125700.2 locus=Brasy8G125700 ID=Brasy8G125700.2.v1.1 annot-version=v1.1 MATTRPARSDSHLPPEEAARVEAQVRGYFDSVAPKRPAKPPRSDPSDGGAGGAVVESPAPGDELPELRKLRDLEAKPQKLVLDGGDANGEEYMETQYYDGINCIDKQHHTWHGQLDEQGYSGRPDWHGLYQSGKIEHQW* >Brasy8G196400.1.p pacid=40079486 transcript=Brasy8G196400.1 locus=Brasy8G196400 ID=Brasy8G196400.1.v1.1 annot-version=v1.1 MGNIISPTPGDAASRRVVIVKPDVSFAMTIGLLGLQPTPNNLPAPLIELHPELRERIRCEHCFNVGSGSSGRRGYPPAGIPFRSSQLADKVMFPIHEPPSINSLLRQVAGDGTSCLRCAKVIYKKTRHLLNEPAKGEPARETALHHAARCQNVRTLLYLVYLVAEEGGNEMVLRVLRMMNIDGETPLHQAIKSNNAAMINILVWMDPGLAEICRGTSPMYMAVTMKFSCLAKMLHDLSSGVIYIGPDGQNALHAAVLNDKGMVSCILNWSADLCKEQDGNGNLPLHLAALTPYHEEYDPILLDAYCCASYIFNKSGALPVHIAASKSNIAMLKLLVTSCPKCVLLPDQEGKTMLHYAVLNKSHKVIAYVRDSLKVFSRILNYQDNSGETALHLAVKVGDLDVVSSLLSIPGVQLDIMNKGNQTALDRAMIKCEAMQDVDWAEDSNLDIRNTLMLVGSKPTASPLLHAQRTCILAPSDPLREKEQVENLKTSSDKLAITSVLIASCTFAASFALPGETASGQTNCGMAILAKEPLFSTLYVANAIAFICSAVATLCAMYAGLPVIQLQYRRTYLKYSYFFTKSSVTAMATTFSVVGSLRLAPDSLKTAFLIWFGNPLFFIIINLPRLRVLVKCTKPLVARQAIRGTLVLLWHALIRVMMELWPALITAIWLFTCYRGAENKWRVCDAKS* >Brasy8G074400.1.p pacid=40079487 transcript=Brasy8G074400.1 locus=Brasy8G074400 ID=Brasy8G074400.1.v1.1 annot-version=v1.1 MAAACVLVDSSAYIDTNNSTNDSTAQGFTSKGNLIAVSLWPAHPPIPSRVSVHGLRLHPDEDFFEEPSILCAVDCFFVLRIAIGRSPPPRNITQKMSDYFIYRSASSTGSSLKLLPHPHPRLFGDNEVGVLPRGDHFTIAVLSQPLYYNFVLYLLKSEDWDWTSKKVLVDPPQIPFPMPLPDDGRRHFQHVTNSVITIGSRGTVGWVDLWHGILLYDVLSDNDDKIHLVPLPVRLGSHGGMALECCPRPYRSVAVMGDCLKFVELEASGDRLPGKDPETRGPKLRIDDWELTTYTNRDITGAPEDWKVDFTVNASAIRIDRTMRSGLLRCGMLRKTDHNSEAADRNLQNLWTCQPVLSLNDEGTVVYLITRVKYLHPKAWLLAVDMANNQVRAMAMIETERSTVLEPEYFPCRISSPAA* >Brasy8G052100.1.p pacid=40079488 transcript=Brasy8G052100.1 locus=Brasy8G052100 ID=Brasy8G052100.1.v1.1 annot-version=v1.1 MVAIEGQSPGEAAAAVAEAAGRSIPKEAARLLHELAAEWADVADCRALEVAPLKGAMTNEVYQVRWLAGAAGEEGGEYRKVLLRVYGEGVELFFDREDEVRTFECMSRHGHGPRLLGRFPTGRVEEFIHARTLSAVDLRDPEISAIIASKLRDFHNLDMPGPKSILLWDRLRNWLKTAKSVCPSDEAKEFCLDSMEEEITALENLFSGEDHRIGFCHNDLQYGNIMIDEETKALTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYTKYPDIDEQKRFVQTYLNSSGEEPDAEKVDDLMKCVEKHTLASHLIWGLWGIISEHVNDIDFDYMEYARQRFAQFWMKKPAILGGCDAE* >Brasy8G128000.1.p pacid=40079489 transcript=Brasy8G128000.1 locus=Brasy8G128000 ID=Brasy8G128000.1.v1.1 annot-version=v1.1 SGEIHCRRLRPARCCPAVFPGLARCHPDTFVSLVCCRRAVLPRPSPMPTRRSSSDPARSVPAVSVLARCSTSLRFSFNQRQPRAHGISPPASPFS* >Brasy8G247400.1.p pacid=40079490 transcript=Brasy8G247400.1 locus=Brasy8G247400 ID=Brasy8G247400.1.v1.1 annot-version=v1.1 MSSSSSPRAEGSQGSGGGGEAKESYCLFCEMTKQHAAGCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPRGVEQARAAGKGILDVVSTSAEGDSGPDPNWKVYFYVSPYERTRATLRGIGAAFPKDRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFMESLWRDIDNGRLDQSTGCEINLVIVSHGLTSRVFMMKWFKWTVEQFERLNNFENCEFRVMQLGPGGEYSLLMHHTKEELERWGLSPEMITDQQWRAAANRRSWAEECGSFIATFFDNWDDTPPEEGDDCNGDGKDKLLE* >Brasy8G247400.2.p pacid=40079491 transcript=Brasy8G247400.2 locus=Brasy8G247400 ID=Brasy8G247400.2.v1.1 annot-version=v1.1 MSSSSSPRAEGSQGSGGGGEAKESYCLFCEMTKQHAAGCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPRGVEQARAAGKGILDVVSTSAEGDSGPDPNWKVYFYVSPYERTRATLRGIGAAFPKDRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFMESLWRDIDNGRLDQSTGCEINLVIVSHGLTSRVFMMKWFKWTVEQFERLNNFENCEFRVMQLGPGGEYSLLMHHTKEELERWGLSPEMITDQQWRAAANRRSWAEECGSFIATFFDNWDDTPPEEGDDCNGDGKDKLLE* >Brasy8G145600.1.p pacid=40079492 transcript=Brasy8G145600.1 locus=Brasy8G145600 ID=Brasy8G145600.1.v1.1 annot-version=v1.1 MGRGRNRKPRNFATFRLCPRPGAVDASDRVFVRIDDNPYNIPGFADDDDDVDSSSAAGLHDDEGRSPSSPAGGELPEHVRREIVELGLPDDGYNYLSHIREIRPSLSSSGGGGSSAAFLPARRRPARFGPPLDVKAYDARPDVGVGPDEVVSVTRVEEAIIDGDVAGLLEEGDVLPPGGQEEDEGFEEDFIIVADQPEEEEEMDLEEDFVILANQIDVEDLQDAWSKEGVPRLPDEQVRMVWKAVTGGGTQKVWKAVTGSSSVRLVYLRLLCVHILAVQH* >Brasy8G145600.2.p pacid=40079493 transcript=Brasy8G145600.2 locus=Brasy8G145600 ID=Brasy8G145600.2.v1.1 annot-version=v1.1 MGRGRNRKPRNFATFRLCPRPGAVDASDRVFVRIDDNPYNIPGFADDDDDVDSSSAAGLHDDEGRSPSSPAGGELPEHVRREIVELGLPDDGYNYLSHIREIRPSLSSSGGGGSSAAFLPARRRPARFGPPLDVKAYDARPDVGVGPDEVVSVTRVEEAIIDGDVAGLLEEGDVLPPGGQEEDEGFEEDFIIVADQPEEEEEMDLEEDFVILANQIDVEDLQDAWSKEGVPRLPDEQVRMVWKAVTGGGTQKVWKAVTGSSSVRLV* >Brasy8G255100.1.p pacid=40079494 transcript=Brasy8G255100.1 locus=Brasy8G255100 ID=Brasy8G255100.1.v1.1 annot-version=v1.1 MEVNILAFIATALFILVPTAFLLIIYVKTVSQNN* >Brasy8G134100.1.p pacid=40079495 transcript=Brasy8G134100.1 locus=Brasy8G134100 ID=Brasy8G134100.1.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDYDGILVNSVESRPVFSSLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEESGLFVQLKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.3.p pacid=40079496 transcript=Brasy8G134100.3 locus=Brasy8G134100 ID=Brasy8G134100.3.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDYDGILVNSVESRPVFSSLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEESGLFVQLKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.2.p pacid=40079497 transcript=Brasy8G134100.2 locus=Brasy8G134100 ID=Brasy8G134100.2.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDYDGILVNSVESRPVFSSLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEELKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.4.p pacid=40079498 transcript=Brasy8G134100.4 locus=Brasy8G134100 ID=Brasy8G134100.4.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDYDGILVNSVESRPVFSSLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEELKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.5.p pacid=40079499 transcript=Brasy8G134100.5 locus=Brasy8G134100 ID=Brasy8G134100.5.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEESGLFVQLKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.6.p pacid=40079500 transcript=Brasy8G134100.6 locus=Brasy8G134100 ID=Brasy8G134100.6.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEESGLFVQLKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.7.p pacid=40079501 transcript=Brasy8G134100.7 locus=Brasy8G134100 ID=Brasy8G134100.7.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEELKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G134100.8.p pacid=40079502 transcript=Brasy8G134100.8 locus=Brasy8G134100 ID=Brasy8G134100.8.v1.1 annot-version=v1.1 MGSLDNGAGAGSYKRAAPPPVPRTTTGGGGAARRPGLRSRLARFLLFEKVDYLQWIGTAAAFFFVTVLFVAFFPGSAVFENPMLLLPSRRASAGGGVRRSRTEVLLPHGLGGLETGQGLVFEPTRLREKWARERREEAESLAKLGRPVKRVGVRKPRLALVFGDLSPDAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGVLVQILPVDTKLLISVDWLDLMQEPFKSIPVIWTVQESSLAHRISEYNSSEMIQILDGWKEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPKVAFQADRLLAKNYDRNVRISLGLGPRDFVIAIVGSQFSYGGHLMDEALVLQAVGPLLQHLQQYSSENSTQTELKVRILSRNVTEKRSLALDVIALNVGFPRGAVEHVAAEDADNLLSVASLVIYGSCLDEQSFPSVLVQAMSLEKLVIAPDLGMITKYIDDGVNGLLFPRKNIAMLTQILLQAVSNGELSVLGQKVASVGKIRAKDLMASETIEGYAVLLQNVIKFPTEALTPLSAGEIPLALKQEWKWHLFEHVKHLYHMNESLTGYKILQKIEEEWRSNKKDDARGSTPKIDEAFSTIAWEEERENRIMSIKTRLEEEELKDRSDQTHGTWEEVYRNVKKVDRMKNELHERDEKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKVNLSKNAESTMLEAIQTQKHGDAFYFWVRMDQDPRSQANQDFWSLCDTINAGNCRLAVLEAFQRMYGMQLDGDLNSLPHMPNAGGTWSVMQSWVLRTRSFLEFVMFSRMFVDALDAQMYDNHHQTGHCILSLHRDKHCYSRVLALIVNVWAFHSARRMIYVNPETGAMHEQHPLDGRRGQMSIQWFSYATLKSMDEDLAEEYDEEHPDRKWLWPQTGEVFWQGVYEREKNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPLPDDAGRLNDTRTGD* >Brasy8G048400.1.p pacid=40079503 transcript=Brasy8G048400.1 locus=Brasy8G048400 ID=Brasy8G048400.1.v1.1 annot-version=v1.1 MCGGRSSNGPKRYAQEFVRNVNAVLQKRNGMFVEDFEVKYPFNSKLITHLDNWVRFVAASETKNLAFDLVPAEFRGRKDRYLLPIVLLDCRVSSRLRSIQLSFVSIKLPSHFNGFPNLRNLGLNLVHVTAKDLQDMLSSSSNIEWLSIVRCHLDDELKVDRPLSCLLHLHIAYCDITKIQFNAAKLKTFVFGGKWHPIDLSQSSELKDVHLDLSDLLTLEHSLTTFPTALPSVQSLTLRDVTPLKMPGLLEKPRKFSQLKYLHLDLTIINKDVDNILSLASYLRAAPLIEKFELHFGAFAFPHFRQDPIRSLPGCPHNFLKNIHFMGFMGSTGQLEFLLHTVENSLALEVLTLDPACKFGLDYQGRGYFAARVREISKTHLCGRILPTTKLCVL* >Brasy8G048400.2.p pacid=40079504 transcript=Brasy8G048400.2 locus=Brasy8G048400 ID=Brasy8G048400.2.v1.1 annot-version=v1.1 MCGGRSSNGPKRYAQEFVRNVNAVLQKRNGMFVEDFEVKYPFNSKLITHLDNWVRFVAASETKNLAFDLVPAEFRGRKDRYLLPIVLLDCRVSSRLRSIQLSFVSIKLPSHFNGFPNLRNLGLNLVHVTAKDLQDMLSSSSNIEWLSIVRCHLDDELKVDRPLSCLLHLHIAYCDITKIQFNAAKLKTFVFGGKWHPIDLSQSSELKDVHLDLSDLLTLEHSLTTFPTALPSVQSLTLRDVTPLKFGAFAFPHFRQDPIRSLPGCPHNFLKNIHFMGFMGSTGQLEFLLHTVENSLALEVLTLDPACKFGLDYQGRGYFAARVREISKTHLCGRILPTTKLCVL* >Brasy8G244200.1.p pacid=40079505 transcript=Brasy8G244200.1 locus=Brasy8G244200 ID=Brasy8G244200.1.v1.1 annot-version=v1.1 MSYQLKKTRSEATQPKASSSEEQQEKINEVRGLLGNMTEEMRSFLTDTTIRRFLRARNWSTEEATKGLKETVKWRRVYRPDAICWDDIAEKEHQARRMRVADYVDKNGRSVLVANMSIKPNVSAKEQIKNMVYVLEYLATNPGEQLDGYVVWLVDFRGWSISSSPLSLTRESMHIIQNYYPGVIGVAIAFDPPKIFESFWKIAKHFLQPYMKDRVKFVYANNLESKKIIADMFDLDKLEASFGGRSTSAAFDFNEYEERMRKGHQMRGAAKLQMATALPNHDRIQVIPYEPYMNLAEEAADEDKNDLVCPTTKSGSPELPNLPLPAS* >Brasy8G287700.1.p pacid=40079506 transcript=Brasy8G287700.1 locus=Brasy8G287700 ID=Brasy8G287700.1.v1.1 annot-version=v1.1 MLHSWRNLDRVYRSAYEYPWNLMNIAAGHSKLRYRHSPPYAIDLVRTSNHVPHDKHFAITKRKNADNIEVTRMRDYVRPAQIIERTSHRDGSIYKHAAMVKFCHITERDETLLEPMRFSEPPNCLPRHERCYIHLASNMMQIFSLKLAKLPANMGSIQLYGYIAARDEKDSLLNYIVNYSRDDPIIVQQFDMRIEKGNEEDDLQLIDGAIDFNDITIPDSKLFTTCIEGDSGAVDFTYASIHNAVEATIEVIISKVQSGFNLSLSSFVFMKDSHEKIQLFRQKSSNLEHYYCSFKTDTHRCACQEIMLDLATISVKATWSTLPP* >Brasy8G221400.1.p pacid=40079507 transcript=Brasy8G221400.1 locus=Brasy8G221400 ID=Brasy8G221400.1.v1.1 annot-version=v1.1 MVVPVIDFSKLDGAERAETMAKIADGCENWGFFQLVNHGIPTELLERVKKVCSESYRLREAAFRSSEPARTLEALVEAERRGETAAPVDDMDWEDIFYLHDDTQSWPSNPPGFKETMHEYRAELKKLAERVMEAMDENLGLETGRIKAAFTGHGRHAPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDKVGGLEVLRDGEWLGVQPLADAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDANRRSVASFYNPAFEAAISPAVAGAAGEYPESYVFGDYMDVYSKHKFEAKEPRFEAVKAPA* >Brasy8G218600.1.p pacid=40079508 transcript=Brasy8G218600.1 locus=Brasy8G218600 ID=Brasy8G218600.1.v1.1 annot-version=v1.1 MGKPAEYEDEEEEEEELSSSGEAEEQEQEEEEGSGGGEEEAEEEEEEEGEQAEGGGEEEEVDEEEIVAATTGAGAEEEEEDDGAGGAEETESTDDDDAGGGEEAAEEGADESEGATGNAEVGKRERAKLREMQKLKKQKIQEILETQNAAIDADMNKKGKGRLKYLLQQTEIFAHFAKGSQSAEKKTRGRGRHASKVTEEEEDEEYLKEEDGLDSAGGTRLLAQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIARFCPVLRAVKFLGNPEERNHIRENLLAPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKARDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADIVVLYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIARGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDEKDEDKPDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPNLHDFQFFNTQRLNELYEKEVKHLVQANQKKDTIGDGDDEDQLEPLNEEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRDDIKNISSEMEGKTEEEVQRYAEVFKERYTELNDYDRIIKNIERGESRISRKDEIMKSIAKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWEELKTAFRMSPLFRFDWFVKSRTAHELSRRCDTLIRLVEKENQEYDEHERLSRKEKKNMASSSKRASISGAEFDSPIQSTSKRGRRDGSAASSRRRRR* >Brasy8G218600.2.p pacid=40079509 transcript=Brasy8G218600.2 locus=Brasy8G218600 ID=Brasy8G218600.2.v1.1 annot-version=v1.1 MGKPAEYEDEEEEEEELSSSGEAEEQEQEEEEGSGGGEEEEEDDGAGGAEETESTDDDDAGGGEEAAEEGADESEGATGNAEVGKRERAKLREMQKLKKQKIQEILETQNAAIDADMNKKGKGRLKYLLQQTEIFAHFAKGSQSAEKKTRGRGRHASKVTEEEEDEEYLKEEDGLDSAGGTRLLAQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIARFCPVLRAVKFLGNPEERNHIRENLLAPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKARDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADIVVLYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIARGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDEKDEDKPDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPNLHDFQFFNTQRLNELYEKEVKHLVQANQKKDTIGDGDDEDQLEPLNEEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRDDIKNISSEMEGKTEEEVQRYAEVFKERYTELNDYDRIIKNIERGESRISRKDEIMKSIAKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWEELKTAFRMSPLFRFDWFVKSRTAHELSRRCDTLIRLVEKENQEYDEHERLSRKEKKNMASSSKRASISGAEFDSPIQSTSKRGRRDGSAASSRRRRR* >Brasy8G035900.1.p pacid=40079510 transcript=Brasy8G035900.1 locus=Brasy8G035900 ID=Brasy8G035900.1.v1.1 annot-version=v1.1 MATRRCLLRLLTRRIVPHSAQPLAPFSIAAQTLTSLPEPLAPAPSRAAAAASLGLLFPSSRHFATQSSGDEEDEDDEAHYKDEGSGDEWGEGDEETPAAKPPSGKTEEEKLAEAEEIGYKVVGPLGSDEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQAQTIIGRPTLTDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSCLQQEGTDKSESAAVAA* >Brasy8G035900.2.p pacid=40079511 transcript=Brasy8G035900.2 locus=Brasy8G035900 ID=Brasy8G035900.2.v1.1 annot-version=v1.1 MATRRCLLRLLTRRIVPHSAQPLAPFSIAAQTLTSLPEPLAPAPSRAAAAASLGLLFPSSRHFATQSSGDEEDEDDEAHYKDEGSGDEWGEGDEETPAAKPPSGKTEEEKLAEAEEIGYKVVGPLGSDEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQAQTIIGRPTLTDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSCLQQEGTDKSESAAVAA* >Brasy8G063700.1.p pacid=40079512 transcript=Brasy8G063700.1 locus=Brasy8G063700 ID=Brasy8G063700.1.v1.1 annot-version=v1.1 MGSAARALGTLLQRASSLSSSASALRSSSLLHRSGSAQGASLYQRHVSRRRIWTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPNPSSNTALAAILEKVRELDVPKEVVERNMKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCAGKLADPGSVTFRFRQARVVNIKVADADKDELLSIALDAGADDVIEPNFDYDDDSEEDATERFYKIVTTSENYPVVLSKLQEEGIKFETDNGYELLPLNPIEVDDEAMELNKDLVSKLLELDDVDAVYTDQK* >Brasy8G192700.1.p pacid=40079513 transcript=Brasy8G192700.1 locus=Brasy8G192700 ID=Brasy8G192700.1.v1.1 annot-version=v1.1 MTSQGLSPHGRPRSFREPQSPASSSRPMAQAGAGCSNDEEDAVELLSVNWNQDYSCFTAVTTNGFRIFRCKPFQEHLRRVEQNGLFRIVEVLFRTNIYGFVGRGSDENYPQNKVIIWDDSQNFRLAEFSYRSDIRALKMSKGYFVVVLEHEVLVYSFMGLCLVHQAETSPNPKGLCCLSQHTGAPVMAFPGVSQGQVCVEHYGIKATKLIAAHGSSISCMALAIDGLVLATASVKGTLIRIFNTVDGTCLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTMHIFSLRVRVAEEDASNGQPAIAGRKMDRTCSSGSIDPALPTNVRSNASSSLSFMKGILPKYFSSEWSFAQFRLPEVTRYITAFGDEATVMMVGLDGSFYRCSFDPVNGGEMVLDEFFRFLKCSRSRSRTPTT* >Brasy8G126900.1.p pacid=40079514 transcript=Brasy8G126900.1 locus=Brasy8G126900 ID=Brasy8G126900.1.v1.1 annot-version=v1.1 MIIMRGHHYKSAFILSSNLQVVAFNYGEAFEVAKTLLIVVILFLVLLLTCFCCDLGNTIFPLVAWIYGWPGDD* >Brasy8G204900.1.p pacid=40079515 transcript=Brasy8G204900.1 locus=Brasy8G204900 ID=Brasy8G204900.1.v1.1 annot-version=v1.1 MAPSPARLLLFLLPTPNHTPAPPVRRQRRAWAGTARCAPEVAGGGFVVIEDDLAELLQILPRDLRDNLKNEPRRDQLLEVILDLGRRPEARFLGNSGGQYLRDSEISQLELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVNGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPVLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVIIERWATYDGDGL* >Brasy8G204900.3.p pacid=40079516 transcript=Brasy8G204900.3 locus=Brasy8G204900 ID=Brasy8G204900.3.v1.1 annot-version=v1.1 MAPSPARLLLFLLPTPNHTPAPPVRRQRRAWAGTARCAPEVAGGGFVVIEDDLAELLQILPRDLRDNLKNEPRRDQLLEVILDLGRRPEARFLGNSGGQYLRDSEISQLELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVNGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPVLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVIIERWATYDGDGL* >Brasy8G204900.2.p pacid=40079517 transcript=Brasy8G204900.2 locus=Brasy8G204900 ID=Brasy8G204900.2.v1.1 annot-version=v1.1 MAPSPARLLLFLLPTPNHTPAPPVRRQRRAWAGTARCAPEVAGGGFVVIEDDLAELLQILPRDLRDNLKNEPRRDQLLEVILDLGRRPEARFLGNSGGQYLRDSEISQLELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVNGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPVLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVIIERWATYDGDGL* >Brasy8G054600.1.p pacid=40079518 transcript=Brasy8G054600.1 locus=Brasy8G054600 ID=Brasy8G054600.1.v1.1 annot-version=v1.1 MFLSLLLLRWAPSRSYRGRRLPPFRIRRGRPAPPRIRRHPCPAAVGLLRGSAPEPAKPNGSAAKPALAPTTASWW* >Brasy8G199400.1.p pacid=40079519 transcript=Brasy8G199400.1 locus=Brasy8G199400 ID=Brasy8G199400.1.v1.1 annot-version=v1.1 MSSAPAPPPVLHPRFPASSFSCPRRTHPSPRTRPRRLSAAREMPWPHVLTVAGSDSGGGAGIQADLKACAALGAYCSSVITAVTAQNTAGVQGVHLVPEEFIREQLNSVLSDMSVDVVKTGMLPSAGIVQIMCESLRKFPVKALVVDPVMVSTSGDALSDPSTLTNYRDELFSMADIVTPNVKEASKLLGGVSLLTVSDMRDAAASIHKFGPRYVLVKGGDMPDSSEAIDIFFDGKEFVELHGHRIKTRNTHGTGCTLASSIAAELAKGSTMLHAVRVAKNFVESALHHSKDLVIGNGPQGPFDHLFRLKCPPYNIGSQQRFNPDSLFLYAVTDSRMNKRWGRSIEDAVKAAIEGGATIVQLREKDAESREFLEAAKACLENCKSSGVPLLINDRVDIALACNADGVHVGQSDISAREVRELLGPGKIIGVSCKTPAQAEQAWNDGADYIGCGGVFPTTTKANNPTLGFDGLKAVCLASKLPVVAIGGINATNAGSVMELGFPNLKGVAVVSALFDRECVVTETSGLRSILTDAVACFTS* >Brasy8G176200.1.p pacid=40079520 transcript=Brasy8G176200.1 locus=Brasy8G176200 ID=Brasy8G176200.1.v1.1 annot-version=v1.1 MAASTSAAAASLPSSLHRPARRLCRRRSLLRAASTAAPPSPDLSIQLSPRPSPPASPPLVPSLARDRAEDLQAESRAMTRAAAATVYTPELLASRYGSQPFQVALRAAEVLSKLGAFGLTLLLDQRRGDESSEKKRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPTEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSAMSPSPIAAASLGQVYKARLKFSGQLVAVKVQRPGIEDAIGRDFYLLRGLGFLINKYVDFISTDAVALIDEFARRVFQELNYVQEGQNARRFKKLYADKQDILVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALDSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGRQDREFVAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISNGNPGGPFKISDVEREQMMELRDTVYRIWGLLRSSDSFDPSLLQPIVQVLQEPEARVFGSRVAGGVTQRLAARLLQQLLRIPPAPVAGSSL* >Brasy8G081400.1.p pacid=40079521 transcript=Brasy8G081400.1 locus=Brasy8G081400 ID=Brasy8G081400.1.v1.1 annot-version=v1.1 MRAIAVSQSHLTTTMRGRTKLICGLLLLLLCVSARLQGGSCAGGFGVGGGFGIGFGGGYGRPAPVMEPEPAGGPGAAAVVGGGTADGSTAAVAAAGAQGSRSVDFFLDDLWH* >Brasy8G156600.1.p pacid=40079522 transcript=Brasy8G156600.1 locus=Brasy8G156600 ID=Brasy8G156600.1.v1.1 annot-version=v1.1 MGRSVTAASSTSRPLATPRQQAGPSGLGGEPTPSWTPSVRPPTLTSRRHSRRCGPRRTP* >Brasy8G116700.1.p pacid=40079523 transcript=Brasy8G116700.1 locus=Brasy8G116700 ID=Brasy8G116700.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy8G078200.1.p pacid=40079524 transcript=Brasy8G078200.1 locus=Brasy8G078200 ID=Brasy8G078200.1.v1.1 annot-version=v1.1 MNGSTGSRNHVNGKHVQCVDSPRPSMLNNGPKHRPLTTFRRCRGVLCLVIMLLTAFMMMVYLAPVTTFLLRFFSVHYSRKWTCFMFGMWLAMFPFLFEKINRTRFVYSGESVPPKECVLLFANHRTEVDWMYLWDLALRKGRLQSIKYILKKSLMKLPVFNWAFHIIEFIPVERNWEIDEPLIRSRLSGLRNPKDPLWLAVFPEGTDYTEKKCLKSQEYAAEHGLPILKNVLLPKIKGFNCCLQELRSSLDAVYDITIAYKHRLPTFLDNLYGVDPSEVHIHAEIIQVSDIPTSEDEVSDWLTERFRLKDKLLSDFLKLGHFPNEGTEEDLSTFKCLANFVAVISMSSFFMYLTLFSSVWFKVFVMCSASFLTLATLYSIHMPQLICLPEVGSHAKKS* >Brasy8G104200.1.p pacid=40079525 transcript=Brasy8G104200.1 locus=Brasy8G104200 ID=Brasy8G104200.1.v1.1 annot-version=v1.1 MCTTTPAPSAPAVAVAGRHVYVTLVQCAVGDATTGAAHCRRQLKCQERDVLMAGGETVREAAALCRLACPIALTALLLYSRTALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGAKQPRLLGLTLCRCILFLLCCSLPLSALWLNMSRILVFLGQDREITALAQRYLLFSLPDLFTFSLIHPLRVYLRSQGITQPLTLAAAAAVLFHVVANCMLVERLGLGARGVAAAASASNFVLLGVLLAYVTRHDTALREAWSGSKLEWFSGWAPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGLGVSTRVGNELGANRPAGARAAARVAVAGAGAMGLAAMAFAAGMRHAWGRLFTADADILRLTAAALPVVGLCELGNCPQTVGCGVLRGSSRPTRAARVNLGAFYLVGMPVAVALAFGFGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWEAQARRAQILTSSSTDVVICDAEKGGVQASAAEGAGPEKGEQEEDGAGEGRRYEPLISNEEAEPGTVDVLSD* >Brasy8G291000.1.p pacid=40079526 transcript=Brasy8G291000.1 locus=Brasy8G291000 ID=Brasy8G291000.1.v1.1 annot-version=v1.1 MSDFLMGSGFERLLGQLAHIEAAGLARARETPPASKAAVESMPTVTIAASHVSADCHCAVCKEPFEFGAEAREMPCAHIYHPDCILPWLQLRNSCPVCRHEMPTDAARSRASNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSQSTEMSAIRRFFGNVFACFGRGHSSSSQASSSQMRPDELNEASDHSAAFSHGSRSRSMSWRLEDGHADMMVQR* >Brasy8G041100.1.p pacid=40079527 transcript=Brasy8G041100.1 locus=Brasy8G041100 ID=Brasy8G041100.1.v1.1 annot-version=v1.1 MTRETQIGEVEASPVHARGNRSPRISSSRHEPEPEPSTGRCTAAAPWGRGGAGGTGPGALRVAGEVLDTKMAASMRVRGRGDLLRLREEGRVESEDGVVAMVRPALWWRRRRRSAPRFGTAPDLDCGSPPQSTERTNRPSLPPPRAPPAGTPLPPSFSYDLGLAAASRGVESSGQHGMRRRRRRRGAAASTRAAAASRGVESGGQQGMRRRRRGAAASRGVESGGQQGMRKRRRRRAARWGRRSWLHKVVKNIEYERYFRELLELGKF* >Brasy8G143100.1.p pacid=40079528 transcript=Brasy8G143100.1 locus=Brasy8G143100 ID=Brasy8G143100.1.v1.1 annot-version=v1.1 MMDWSREFSAAASIPAPLRRVRWVRAGRLPHRLSIGTGADPSVIVNLTRGRQSGSSISSARQFHRAPTRSGNWLKSN* >Brasy8G011800.1.p pacid=40079529 transcript=Brasy8G011800.1 locus=Brasy8G011800 ID=Brasy8G011800.1.v1.1 annot-version=v1.1 MLEQGLWPDATTVSGLLKSVANAGAVRHGMEVHCFFLRHHLVPDAYTGTALVDMYAKCGRLDCARRVFDALEHRNLATWNSLVAGHANAGQFEAALELVEMMKRNRLDPNVTTWNGLITGYSMNGLSSQAMLLLRQIKAAGLTPNVVSWTSLISGSCHNGEYEDSFNFFKEMQKDGVQPSLVTMLVLLRACAGLALLKKGKELHCFALRRAYDRDMVVGTALIDMYSKAGSLTSAKRIFGRIQNKNLVCCNAMLTGLAIHGQSHEAIALFHDLWRSGLKPDSITFTALLTACRSMGLITEGWEYFDNMETKYGVTPTAENYACMVDLLARSGYLDEAMALIERSPVDPGASLWGALLTGCSIHGNLDLAEVAARNLFRLEPYNSANYLMIMSLYEHEQMYDEADSLKYAMKARGVNTRPGWSWIQIEQGIHVFEVDGSPHPETAEIYEELMSLVRQIKMTGYVPDTSCIVYNVPEEEKEKLLLCHTEKLAITYGLIRSDASRMPVRVIKNTRMCSDCHEVAKYISALCGRQIILRDAVRFHHFVDGKCSCNDYW* >Brasy8G030600.1.p pacid=40079530 transcript=Brasy8G030600.1 locus=Brasy8G030600 ID=Brasy8G030600.1.v1.1 annot-version=v1.1 MEPPPPFAGLKRGEMDFFETEMRKEKRDRKELAGAGAGDDDLGINKGDDLTIDMGLHVGRRNSGSEESTVDDGGVSSNDELDHHHYREAKAELAATKSELARVSEENKRLKSMLSSANSKCNSLHLALLQQQQQQQQQRSSSSHGGHRVHELLDPDKHHHQQQNLDQLPTTAPAALNVPRQFISLGSSPDEPPPPSSARGSNGSLDCAPSSSNPIGVDGMVVGSKVADLMPMPPAFDYHHHHGGGHHDSRGAAGGGGSSDLEPQSCWLPGGKVPKFLPPGIKAVPEPTPPPVQQQPPEAAAAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMATTTAAAATMLLSGSMPSADAAGGIMAGSNFMARAVLPCSPSSVATISASAPFPTVTLDLTAPPPLKEALAEAAARPVALPQLFGQKLYDQAKLSAVQAVAGTNGKATVDGGGAQLADTVSAASAVIASDPQFTRVLAAAITSYIGNSSGSTGTAQPMGSGGGDSCSRDDE* >Brasy8G289400.1.p pacid=40079531 transcript=Brasy8G289400.1 locus=Brasy8G289400 ID=Brasy8G289400.1.v1.1 annot-version=v1.1 MAGGDSPSSIAGEGRSRRPGARQRKKQKQEEEQQQAEVKNPLDPRFSDYDPKQGKHVFTRFRHRNLDLDAESTFGAMHNTDRIFREGFVLCNLANVVSVKIVSSDYGYPFNVYGNVIARDSMDRQRVYVFRRDENNCQVIRSKNDSLILTGPKRGLMIYDSIFFEIDLKVTDVNGRKVKDERLSKGLIVVDGIFRLSLPPKHRLETETLVSMHSTLDLNYTFRRNAVEGTVEIRILEGPVHFHGKILARTTGVPCDIMLHDSKLSDVLTAGDNGVLQTARRVVGVSVDEMLLLTFAAAPVGVSTVEFTPRRNYYDEEKITCGNYKMLVKVTWSIVHL* >Brasy8G289400.2.p pacid=40079532 transcript=Brasy8G289400.2 locus=Brasy8G289400 ID=Brasy8G289400.2.v1.1 annot-version=v1.1 MAGGDSPSSIAGEGRSRRPGARQRKKQKQEEEQQQAEVKNPLDPRFSDYDPKQGKHVFTRFRHRNLDLDAESTFGAMHNTDRIFREGFVLCNLANVVSVKIVSSDYGYPFNVYGNVIARDSMDRQRVYVFRRDENNCQVIRSKNDSLILTGPKRGLMIYDSIFFEIDLKVTDVNGRKVKDERLSKGLIVVDGIFRLSLPPKHRLETETLVSMHSTLDLNYTFRRNAVEGTVEIRILEGPVHFHGKILARTTGVPCDIMLHDSKLSDVLTAGDNGVLQTARRVVGVSVDEMLLLTFAAAPVGVSTVEFTPRRNYYDEEKITCGNYKMLVKVTWSIVHL* >Brasy8G096100.1.p pacid=40079533 transcript=Brasy8G096100.1 locus=Brasy8G096100 ID=Brasy8G096100.1.v1.1 annot-version=v1.1 MLPSILSKSRKEPSLARDRRRLCRLLCSSLHTATDLRPTAAAAAASSPPSSNSHIASAPNSYHHYTSVLQSCVASRSLGTGRQLHGRLLVSGLGPDTVLATKLVDLYAACGLVGHARHLFDGMPKRNVFLWNVLIRAYARDGPREVALQLYRGMVEYGVEPDNFTYPLVLKACAALLDLETGREVHGRVSGMRWGEDVFVCAGLVDMYAKCGCVDDARAVFDRIRVRDVVVWNSMIAAYGQNGRPMEALSLCRNMAANGVWPTIATLVSTISAAADAAALPRGRELHGFGWRRGFDRQDKLKTSLVDMYAKSGWVQVARVLFEQLMKRELVSWNAVICGYGMHGHFDEALKLFNKMRVEAQVTPDNITFVGVLSACNHGGMVKEAKKNFGLMVDVYSIKPTVQHFTCLVDVLGHAGRFEEAYDLIKGMSMQPDSGIWGALLNGCKIHKNVELGELALQKLIELEPEDAGNYVLLSNIYAQSGKWEKAAMVRKLMTNRGLKKIIGCSWIELKGKTHGFLVGDASHPRSAEIYEELERLEGLMSDAGYMPDTMPVFHDVGDDEKRNMMRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVVIKLISEIVQREIIIRDVNRYHHFANGECSCKDYW* >Brasy8G264100.1.p pacid=40079534 transcript=Brasy8G264100.1 locus=Brasy8G264100 ID=Brasy8G264100.1.v1.1 annot-version=v1.1 MEKRGGPPSQSPKSTEKSGKDLRSGDANGGANTSSNATAKGDKEKGVNVQVILRCRPLSDDETKLNTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNAELPTDAGVIPRSVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVLPVSEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKTAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIEKLGADLEARDKQLVELKELYDAQKHLSTELGEKLEKTQKDLEDTRNALRDLEEKYNEAKSTIREKEYVIFNLLNSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQKFRSQLTQELEALHKTVSSSVVQQEDHLKEMEDDMQAFVSSKDEAAQGLKESIQNLKALHGSGITSLDNLAGEIDMNSQTTFEKLNSQVQSHTKAIENCFGVIALGADNLLNELQYSLSKQEERLAQFAKKQREGHLRAVEASRSISKITTGFFHSLDVHASQLTSILEETQTVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQKEILTAQDFTSSVREKWGFYMEETENNYIEDASAVDTGRSCLAEVLAECKAKTDMGAQQWKNAEDSLLSLGKGNVATVDSIVSTGREANQLLRSKLSSAVSTTLQDIDIANKALLSSIDSSLKVDHDACANIVSIITPCHGEITELKDAHYHKVVEITGNAGKCLEEEYLVDEPSCSTPRRRQIDLPSAKSIEELRTPDYNELLRSFRESSAGWKQANGDMSHMKHVPEPEAQEPASSSVRDARVPLVARN* >Brasy8G264100.2.p pacid=40079535 transcript=Brasy8G264100.2 locus=Brasy8G264100 ID=Brasy8G264100.2.v1.1 annot-version=v1.1 MEKRGGPPSQSPKSTEKSGKDLRSGDANGGANTSSNATAKGDKEKGVNVQVILRCRPLSDDETKLNTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNAELPTDAGVIPRSVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVLPVSEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKTAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIEKLGADLEARDKQLVELKELYDAQKHLSTELGEKLEKTQKDLEDTRNALRDLEEKYNEAKSTIREKEYVIFNLLNSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQKFRSQLTQELEALHKTVSSSVVQQEDHLKEMEDDMQAFVSSKDEAAQGLKESIQNLKALHGSGITSLDNLAGEIDMNSQTTFEKLNSQVQSHTKAIENCFGVIALGADNLLNELQYSLSKQEERLAQFAKKQREGHLRAVEASRSISKITTGFFHSLDVHASQLTSILEETQTVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQKEILTAQDFTSSVREKWGFYMEETENNYIEDASAVDTGRSCLAEVLAECKAKTDMGAQQWKNAEDSLLSLGKGNVATVDSIVSTGREANQLLRSKLSSAVSTTLQDIDIANKALLSSIDSSLKVDHDACANIVSIITPCHGEITELKDAHYHKVVEITGNAGKCLEEEYLVDEPSCSTPRRRQIDLPSAKSIEELRTPDYNELLRSFRESSAGWKQANGDMSHMKHVPEPEAQEPASSSVRDARVPLVARN* >Brasy8G264100.3.p pacid=40079536 transcript=Brasy8G264100.3 locus=Brasy8G264100 ID=Brasy8G264100.3.v1.1 annot-version=v1.1 MEKRGGPPSQSPKSTEKSGKDLRSGDANGGANTSSNATAKGDKEKGVNVQVILRCRPLSDDETKLNTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNAELPTDAGVIPRSVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVLPVSEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKTAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIEKLGADLEARDKQLVELKELYDAQKHLSTELGEKLEKTQKDLEDTRNALRDLEEKYNEAKSTIREKEYVIFNLLNSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQKFRSQLTQELEALHKTVSSSVVQQEDHLKEMEDDMQAFVSSKDEAAQGLKESIQNLKALHGSGITSLDNLAGEIDMNSQTTFEKLNSQVQSHTKAIENCFGVIALGADNLLNELQYSLSKQEERLAQFAKKQREGHLRAVEASRSISKITTGFFHSLDVHASQLTSILEETQTVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQKEILTAQDFTSSVREKWGFYMEETENNYIEDASAVDTGRSCLAEVLAECKAKTDMGAQQWKNAEDSLLSLGKGNVATVDSIVSTGREANQLLRSKLSSAVSTTLQDIDIANKALLSSIDSSLKVDHDACANIVSIITPCHGEITELKDAHYHKVVEITGNAGKCLEEEYLVDEPSCSTPRRRQIDLPSAKSIEELRTPDYNELLRSFRESSAGWKQANGDMSHMKHVPEPEAQEPASSSVRDARVPLVARN* >Brasy8G264100.4.p pacid=40079537 transcript=Brasy8G264100.4 locus=Brasy8G264100 ID=Brasy8G264100.4.v1.1 annot-version=v1.1 MEKRGGPPSQSPKSTEKSGKDLRSGDANGGANTSSNATAKGDKEKGVNVQVILRCRPLSDDETKLNTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNAELPTDAGVIPRSVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVLPVSEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKTAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIEKLGADLEARDKQLVELKELYDAQKHLSTELGEKLEKTQKDLEDTRNALRDLEEKYNEAKSTIREKEYVIFNLLNSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQKFRSQLTQELEALHKTVSSSVVQQEDHLKEMEDDMQAFVSSKDEAAQGLKESIQNLKALHGSGITSLDNLAGEIDMNSQTTFEKLNSQVQSHTKAIENCFGVIALGADNLLNELQYSLSKQEERLAQFAKKQREGHLRAVEASRSISKITTGFFHSLDVHASQLTSILEETQTVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKKLVQTAVGSLRESAVNRTSHLQKEILTAQDFTSSVREKWGFYMEETENNYIEDASAVDTGRSCLAEVLAECKAKTDMGAQQWKNAEDSLLSLGKGNVATVDSIVSTGREANQLLRSKLSSAVSTTLQDIDIANKALLSSIDSSLKVDHDACANIVSIITPCHGEITELKDAHYHKVVEITGNAGKCLEEEYLVDEPSCSTPRRRQIDLPSAKSIEELRTPDYNELLRSFRESSAGWKQANGDMSHMKHVPEPEAQEPASSSVRDARVPLVARN* >Brasy8G181400.1.p pacid=40079538 transcript=Brasy8G181400.1 locus=Brasy8G181400 ID=Brasy8G181400.1.v1.1 annot-version=v1.1 MDGPLPLALCGGVACTLTRVMCAGRWNVVECVCWQLPGRYLGFWSLLLAAIYRRVACGGAVRHRGFVASVRWSVWAGRIGSRCTSGDDASSLGVGAARGRRRRCSAGLGEEGLAARRPYRGQEQRAVG* >Brasy8G151600.1.p pacid=40079539 transcript=Brasy8G151600.1 locus=Brasy8G151600 ID=Brasy8G151600.1.v1.1 annot-version=v1.1 MEADPGTSSPSPQSPTAAASPPPQPQGEVERGAMEFSDHLSPSRHAGPADSNPAPTPSPASAAPMPQNREGEEAVLTSEEVVDEEAAVEELVKEGGEAVAGGEGEALRIFLEELGDQVDNCLIPSPRLKEIESPDRLAALQVLGGRYNSLVEKFKLQVAKCVEECAPRFDGLKKKYTAECAERRRLYNELIELRGNIRVFCRCRPLSADEVSRGCSSVIDVDPSQETELQYVPSEKERKNFKFDHVFGPDDDQEAVFAETLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFRMSNERSSSVAYTFYVSILEVYNEKLRDLLDDNCEQISKRLDIKQSADGAQEVPGLVEAPIYTIDGVWEKLKAGAKNRSVGSTSVNELSSRSHSLVRVTVRSEHLVTGEMSRSHMWLVDLAGSERLAKTEVEGERLKESKFINKSLSALGDVIAALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSTDSGETLCSLNFASRVRAIEHGPARKQADPAENFKLKQMTEKLCHEEKENVKLKESLQLMQLKYASRENVFRTLQDKIRETEQACRTHQQRVRELENELANEKKAARDMVRPTKSSVAAPVRQRPPLAPMRQRPPLVRISNQAPPPSGPSRLRLGSKGPSVQNKENIPVLDKAAGKARRVSLVPMMRQIPLQPKRRSSIAILPSERERMSVLPEKRAMSRLSHMQMPKTTRPLANFNPIPGTAVAASEAPVDATPDVRGRFKRIELGSSSKFSSPPMLSMWKSRNNVSSPQQRLGLQSGSGNASKLCFSIHKKVALGSPARNSLMSGTGIFDPALREQMMAGKFGRVFSKRRMSVL* >Brasy8G059300.1.p pacid=40079540 transcript=Brasy8G059300.1 locus=Brasy8G059300 ID=Brasy8G059300.1.v1.1 annot-version=v1.1 MAPAAARTFLFAVAVAAEDAGGNYVLAQCEDPSLSSTTTGNGTGAFRADLIALLAGLPAAVAPRGFAALNLSSGPGGGAFARGLCFVAGSDLCAACLAGAAKKAADDCGGRRGGVWSPDGCALSFGDTNTSSSPAEEAYRACAFVFFPDADHQQRLFPDGARGLAALALSLTSGPLLATAATTHSAGRGRNQKTLTVLAAAQCARDRPATDCAECVQRSARELPKCLGRDVVGRDEALAAVTVLGYNCFLRLEFCATAPPSPFWKIMCFSALAVTVGVVVSAAAAAWFLRQRLFSLFDTE* >Brasy8G120800.1.p pacid=40079541 transcript=Brasy8G120800.1 locus=Brasy8G120800 ID=Brasy8G120800.1.v1.1 annot-version=v1.1 MAVSSSLLSLLFAALTTTLVGAQAVTRKYQFDVQMKSVTRLCSAKSIVTVNGQYPGPTLFAREGDHVEVNVVNNSPYNMSIHWHGVRQLRSGWYDGPAYITQCPIQPGQSYVYRFTVAGQRGTLWWHAHVSWLRATVHGPIVILPPLGVPYPFSPAPHEEVPLMFGEWWKNDTEAVIAQALRTGGGPNISDAFTMNGLPGPLYNVCSSAKGETFRLKVEPGKTYMLRLINAALNDELFFAVANHTLTVVDVDALYVKPFAVESLVITPGQTSNVLLTANNNPGARYYMLARPYTNTQGTFDNTTVAGILEYEGTNNGKASSTSSLPILRPALPEINDTSAVSNYTAKLRSLASAEYPASVPQQVDREFFFTVGLGTHPCQSPINGTCQGPNNTRFAASINNVSFVLPTTALLQSHYTGMSNGVYASNFPFYPLRPWNYTGTAPNNTNVMNGTKALVLPFGVAVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPASDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWVVLDGARPDQKLPPPPADLPKC* >Brasy8G026800.1.p pacid=40079542 transcript=Brasy8G026800.1 locus=Brasy8G026800 ID=Brasy8G026800.1.v1.1 annot-version=v1.1 MDAKLLLLPFLPSPLHPPQQQPPPKSLFLGASLPLRPLSSPPPRRLASVVAQAAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVSHPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPAADRLYLDELYLRNESEGLAVTKLRKLLMMSLDRRIPLERIAHLKNDLGLPPNFRDTVCVRYPQYFRVVRMDRGPGLELTHWDPELAVSAAELDEEENRVREAEERNLIIDRPLRFNRVKLPNGLKVSRGEARRIAQFREMPYISPYADFSHLRSGSPEKEKHACGVVHEILSMTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLIEKSHLVLLKEKMRALVMVPRFPRRGGPRTGEETEGDNGAVRRLDEGSDSEDDENDDFSDMEDLIGGLSGGKSDNDYHWGDGWVGESDGSPPDFGDGDDSGPQEVKVTAENTDNSDNGIPLLPDGRERERW* >Brasy8G129200.1.p pacid=40079543 transcript=Brasy8G129200.1 locus=Brasy8G129200 ID=Brasy8G129200.1.v1.1 annot-version=v1.1 MSLACLVCHGMNSPSHSLRSYSVSSSEEDNRCGAVVSCLTRRIPTAGSASVGTSKVTPFPSIATGQGTEGTPRLQRSHAVSRDLVRDWNFDEAVVTN* >Brasy8G129200.3.p pacid=40079544 transcript=Brasy8G129200.3 locus=Brasy8G129200 ID=Brasy8G129200.3.v1.1 annot-version=v1.1 MSLACLVCHGMNSPSHSLRSYSVSSSEEDNRCGAVVSCLTRRIPTAGSASVGTSKVTPFPSIATGQGTEGTPRLQRSHAVSRDLVRDWNFDEAVVTN* >Brasy8G129200.2.p pacid=40079545 transcript=Brasy8G129200.2 locus=Brasy8G129200 ID=Brasy8G129200.2.v1.1 annot-version=v1.1 MSLACLVCHGMNSPSHSLRSYSVSSSEEDNRCGAVVSCLTRRIPTAGSASVGTSKVTPFPSIATGQGTEGTPRLQRSHAVSRDLVRDWNFDEAVVTN* >Brasy8G129200.4.p pacid=40079546 transcript=Brasy8G129200.4 locus=Brasy8G129200 ID=Brasy8G129200.4.v1.1 annot-version=v1.1 MSLACLVCHGMNSPSHSLRSYSVSSSEEDNRCGAVVSCLTRRIPTAGSASVGTSKVTPFPSIATGQGTEGTPRLQRSHAVSRDLVRDWNFDEAVVTN* >Brasy8G129200.5.p pacid=40079547 transcript=Brasy8G129200.5 locus=Brasy8G129200 ID=Brasy8G129200.5.v1.1 annot-version=v1.1 MSLACLVCHGMNSPSHSLRSYSVSSSEEDNRCGAVVSCLTRRIPTAGSASVGTSKVTPFPSIATGQGTEGTPRLQRSHAVSRDLVRDWNFDEAVVTN* >Brasy8G055400.1.p pacid=40079548 transcript=Brasy8G055400.1 locus=Brasy8G055400 ID=Brasy8G055400.1.v1.1 annot-version=v1.1 MHARLRALVPAADRFLLGLLYQQHRSSTRPQPLFTSSAAAARTRKLSVCDPVAGRRRVLPPCDVRRHAYALLLPQDYDDDNGGGHQAAGSGDDMHFELLVMDMDEARRIRTQTFSSAFHAWGPVVSDTTDGGAEIIHNNGYRQAQVQPTTTVVAGGAAHWLYRDDHGPFRAPPGGRHTYRVLALDVATWTEVPERCHKLRRMPLNLRAGHKEPRELQLVPYSPPSRKKKKKVGLLVSELMAISMWVLGDDGGGTTTWAKHVVVDSWPPQLAVRPMELEGVAERSGAVVMQMYGVGILLLDLRTLQVRQLQRSLHVKPFARYPFCVYELDLVSLIPSKINDIVKID* >Brasy8G055500.1.p pacid=40079549 transcript=Brasy8G055500.1 locus=Brasy8G055500 ID=Brasy8G055500.1.v1.1 annot-version=v1.1 MPASGTVATLLLSLLSVSVAVAAAMMDPAEREALLRVMEAVSSDRDWRSSSGADPCSSPWPGLECKPDPATKGSSSSSSSSPLLLHVTRLDFGVPPNPTCKATATFPSHAFLPSALPHLRSIFFVSCFNNPSSPTRLVLPPAANLSSSLLQQLGIRSNPSLSGTLPLQLASLSSLQVLTVSQNALIRGELPQSIGKLSNLIHLDLSYNSLTGSIPSSISQLRNLAGLDLSYNSFSGPIPATLGELTQLQKLDLCSNNLTGPLPSSIAGLKSLALLSLSNNGLSGGIPAGISGMRDLQYLIMENNAMAGLQLPPELGKMARLQELRLASSGYSGPIPDTLGLLSGLTTLSLQDNNLTGRIPPGLTRLKRMYHLNLSKNGLDGEVPFDGKFLRRLGRNLDLSGNPGLCVDERAVNVKDVGVSVCHGGGGGESSGAAESLVWSGLLLRLAASVLLCFCVVFLL* >Brasy8G230300.1.p pacid=40079550 transcript=Brasy8G230300.1 locus=Brasy8G230300 ID=Brasy8G230300.1.v1.1 annot-version=v1.1 MPPRGAMAAGTGNGEVSVEKVDRIGYVYNAVTRPSVYGNPRPATVTKKLAAANVAISRKNSGTTLIRGGVASPEDIEEYIAKKKWEFLHGV* >Brasy8G117600.1.p pacid=40079551 transcript=Brasy8G117600.1 locus=Brasy8G117600 ID=Brasy8G117600.1.v1.1 annot-version=v1.1 MLQETPSASVTVASSSTQPLLSATPTSPQQDTEEAPQHNSLTVPRHGRSTHHNGNSAHSDPDPVRATAPLHQSSTRSQTTTSSARAKAPLHLSITQGRARSSPSLSHHGEPPQPRTAWAAATTRLCTASRSAGRKPTDIEQALTRRAAPMPASASTKAAPPRTAAPEPIHAQAQIWPAHEPGQGPTRRRKPRSGPPPQQLAPWPPPPRPRGPRKSAPKTCGAARCRAATTAARRALPPPSTHGLCPAPTTGGGEERSKRGEGRSRRLGGAARAALG* >Brasy8G150300.1.p pacid=40079552 transcript=Brasy8G150300.1 locus=Brasy8G150300 ID=Brasy8G150300.1.v1.1 annot-version=v1.1 MPRASSTPSPFLHTSASSTQGAAAAASTTGSGDPNPGRHRIRPGAASPPPAAPAAIYSRAVRSGRRGSGRRIRPPRVRSPPDPASAGPGAAAMGTRRSRETTAAVLAPTHWYVLSNSLSLSLSLSFFRSLPHSLSHTRWWLTRGGRWWRGLVRAAAPAPPGCSSCFD* >Brasy8G136300.1.p pacid=40079553 transcript=Brasy8G136300.1 locus=Brasy8G136300 ID=Brasy8G136300.1.v1.1 annot-version=v1.1 MARLGAVNVVAFLTAAALVVVAVEGILDPVDFLALQAVRRSLDDMPGSSFFDSWDFTADPCGFPGVYCDGNRVSALALGDPRAGSPGLTGRLDPALGRMSALTELSLVPGRVEGELPASLSSCSNLRFLAVSKNLISGQIPDGIGALSNLRTLDASFNQISGAIPPSVASLPSITNLILCHNQLTGGIPSFPDSSPLLRVDLKHNALTGGVPTTLPGSLQYLSLSANHLTGRVDSVLPRLTRLNFLDLSMNQLEGPIPASVFALPLSVLQLQRNFFSGLLQPAGDVTIPVVDLSYNRFWGPLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGNGGLQLLYLQHNFLSGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPIKAGTQNTRPADQCPEWRG* >Brasy8G189400.1.p pacid=40079554 transcript=Brasy8G189400.1 locus=Brasy8G189400 ID=Brasy8G189400.1.v1.1 annot-version=v1.1 MLDNFHFIYNGMVRAVAIAALHLLQLLAIVPVLLSANISLPSCISKCGDVSIPYPFGVGAGCYRKGFMLTCNVTYDPPKLFLGYAGAEVLNISLRDGTLHIDSGITRLTGRSGYTMNWGVSLDDSIFTVSEFSNKFIVLGCGFQFLVRLPDTEDTVVACASSCLHGYPVVATDGTCSGVGCCETSMPGAHNLYTIELHPFSAGNYTSKPGKPFNATVAVVEKRWWGSKGQLMVLQKAISGGFGTLGSIPNSSQPVVIEAAVKWKFMNLSCAEALGSSDFGCVSNNSYCLDKTSRSPGYLCHCRDGFEGNPYIPNGCQDIDECTQPDKYPCFSRCVNTIGSYGCTCPDGTSGNPEKLNGCMEDGTKFSGSAVATGVAIVSGFVLLIFAAILLRRKVRVRKDKRLRELFFKKNRGLLLQQLVDKDIAEKMIFSLEELEKATNKFNEARKIGNGGHGTVYKGILSDQRVVAIKKSKHAIESETDNFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLHDYIHVSSVLPLPWSERLRIILEISRSLAYLHSAASISIIHRDIKTANILLDDNLTAKVSDFGASRGIPIDQTRVTTVIQGTFGYLDPECYHTSRLTEKSDVYSFGVILVELLTRKKPHIYISPTGHSLVAQFFLLQSQDKLCEILDPLVAKEGEEEAREVAEIAAMCLSSNGEHRPTMKQVEMRLEALRGGAATNVENSTGIEGHILNFSSVEVDNGKISDSSNISRRYSMEREMLSSLSFPR* >Brasy8G189400.2.p pacid=40079555 transcript=Brasy8G189400.2 locus=Brasy8G189400 ID=Brasy8G189400.2.v1.1 annot-version=v1.1 MLDNFHFIYNGMVRAVAIAALHLLQLLAIVPVLLSANISLPSCISKCGDVSIPYPFGVGAGCYRKGFMLTCNVTYDPPKLFLGYAGAEVLNISLRDGTLHIDSGITRLTGRSGYTMNWGVSLDDSIFTVSEFSNKFIVLGCGFQFLVRLPDTEDTVVACASSCLHGYPVVATDGTCSGVGCCETSMPGAHNLYTIELHPFSAGNYTSKPGKPFNATVAVVEKRWWGSKGQLMVLQKAISGGFGTLGSIPNSSQPVVIEAAVKWKFMNLSCAEALGSSDFGCVSNNSYCLDKTSRSPGYLCHCRDGFEGNPYIPNGCQGSYGCTCPDGTSGNPEKLNGCMEDGTKFSGSAVATGVAIVSGFVLLIFAAILLRRKVRVRKDKRLRELFFKKNRGLLLQQLVDKDIAEKMIFSLEELEKATNKFNEARKIGNGGHGTVYKGILSDQRVVAIKKSKHAIESETDNFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLHDYIHVSSVLPLPWSERLRIILEISRSLAYLHSAASISIIHRDIKTANILLDDNLTAKVSDFGASRGIPIDQTRVTTVIQGTFGYLDPECYHTSRLTEKSDVYSFGVILVELLTRKKPHIYISPTGHSLVAQFFLLQSQDKLCEILDPLVAKEGEEEAREVAEIAAMCLSSNGEHRPTMKQVEMRLEALRGGAATNVENSTGIEGHILNFSSVEVDNGKISDSSNISRRYSMEREMLSSLSFPR* >Brasy8G001100.1.p pacid=40079556 transcript=Brasy8G001100.1 locus=Brasy8G001100 ID=Brasy8G001100.1.v1.1 annot-version=v1.1 MKNYWRVEMPRSKRKLGETQDAMPRSKGKLDETQDAMPRSKHKLDETQDAMPRSKRKLDERQDDMPMVWCRCGDFGKVAQSKLTEQVASKLPASLVSLASFNGDEMMRACTGIFIKSLDANTISVLTTLALVTSYSDDGIRKLSDFTKIEVRLPNCQVVNGKLGTYDEYYALAIVNIENLHGFQAEYLCLDHGMPLEPSIEVLAAWRCHDTGEFMTTRGILNDIHEENQTCISSTCKIKMAGIGGPLIHYDGNFIGVNNYAKKVTEQLLATSKDSLCIPHHKVLESLGYCGMLNATERSTRYSTRNLFLLEVQAEFEDANKTAKSYGYPLADPDQACEGMYLNYSFEEEFGGDISSILSTSLALTVSESVVSLASFNGKTRFFVCTGVFVGSNEQSRILTSASLVRNSGDDVHEINHNMRIEVRLPDNRRVKGILVYCNLCYNIALVDIMGSCGPGRMKLQELPASSGTGVVAVGCFFYEHKLMATEGVLTDKNTVKCQLDCKEVSFSTCKITKAGIGGPLIDMHGNVVGMNFYHEECTPFLPSNLILDLLEDFLFEGICLNCAKDNVPIIGGKNRWPVTQPFWYYPPPPMMPFDDEETWSWRSFC* >Brasy8G001100.3.p pacid=40079557 transcript=Brasy8G001100.3 locus=Brasy8G001100 ID=Brasy8G001100.3.v1.1 annot-version=v1.1 MPRSKRKLGETQDAMPRSKGKLDETQDAMPRSKHKLDETQDAMPRSKRKLDERQDDMPMVWCRCGDFGKVAQSKLTEQVASKLPASLVSLASFNGDEMMRACTGIFIKSLDANTISVLTTLALVTSYSDDGIRKLSDFTKIEVRLPNCQVVNGKLGTYDEYYALAIVNIENLHGFQAEYLCLDHGMPLEPSIEVLAAWRCHDTGEFMTTRGILNDIHEENQTCISSTCKIKMAGIGGPLIHYDGNFIGVNNYAKKVTEQLLATSKDSLCIPHHKVLESLGYCGMLNATERSTRYSTRNLFLLEVQAEFEDANKTAKSYGYPLADPDQACEGMYLNYSFEEEFGGDISSILSTSLALTVSESVVSLASFNGKTRFFVCTGVFVGSNEQSRILTSASLVRNSGDDVHEINHNMRIEVRLPDNRRVKGILVYCNLCYNIALVDIMGSCGPGRMKLQELPASSGTGVVAVGCFFYEHKLMATEGVLTDKNTVKCQLDCKEVSFSTCKITKAGIGGPLIDMHGNVVGMNFYHEECTPFLPSNLILDLLEDFLFEGICLNCAKDNVPIIGGKNRWPVTQPFWYYPPPPMMPFDDEETWSWRSFC* >Brasy8G001100.2.p pacid=40079558 transcript=Brasy8G001100.2 locus=Brasy8G001100 ID=Brasy8G001100.2.v1.1 annot-version=v1.1 MPRSKRKLGETQDAMPRSKGKLDETQDAMPRSKHKLDETQDAMPRSKRKLDERQDDMPMVWCRCGDFGKVAQSKLTEQVASKLPASLVSLASFNGDEMMRACTGIFIKSLDANTISVLTTLALVTSYSDDGIRKLSDFTKIEVRLPNCQVVNGKLGTYDEYYALAIVNIENLHGFQAEYLCLDHGMPLEPSIEVLAAWRCHDTGEFMTTRGILNDIHEENQTCISSTCKIKMAGIGGPLIHYDGNFIGVNNYAKKVTEQLLATSKDSLCIPHHKVLESLGYCGMLNATERSTRYSTRNLFLLEVQAEFEDANKTAKSYGYPLADPDQACEGMYLNYSFEEEFGGDISSILSTSLALTVSESVVSLASFNGKTRFFVCTGVFVGSNEQSRILTSASLVRNSGDDVHEINHNMRIEVRLPDNRRVKGILVYCNLCYNIALVDIMGSCGPGRMKLQELPASSGTGVVAVGCFFYEHKLMATEGVLTDKNTVKCQLDCKEVSFSTCKITKAGIGGPLIDMHGNVVGMNFYHEECTPFLPSNLILDLLEDFLFEGICLNCAKDNVPIIGGKNRWPVTQPFWYYPPPPMMPFDDEETWSWRSFC* >Brasy8G001100.5.p pacid=40079559 transcript=Brasy8G001100.5 locus=Brasy8G001100 ID=Brasy8G001100.5.v1.1 annot-version=v1.1 MPRSKRKLGETQDAMPRSKGKLDETQDAMPRSKHKLDETQDAMPRSKRKLDERQDDMPMVWCRCGDFGKVAQSKLTEQVASKLPASLVSLASFNGDEMMRACTGIFIKSLDANTISVLTTLALVTSYSDDGIRKLSDFTKIEVRLPNCQVVNGKLGTYDEYYALAIVNIENLHGFQAEYLCLDHGMPLEPSIEVLAAWRCHDTGEFMTTRGILNDIHEENQTCISSTCKIKMAGIGGPLIHYDGNFIGVNNYAKKVTEQLLATSKDSLCIPHHKVLESLGYCGMLNATERSTRYSTRNLFLLEVQAEFEDANKTAKSYGYPLADPDQACEGMYLNYSFEEEFGGDISSILSTSLALTVSESVVSLASFNGKTRFFVCTGVFVGSNEQSRILTSASLVRNSGDDVHEINHNMRIEVRLPDNRRVKGILVYCNLCYNIALVDIMGSCGPGRMKLQELPASSGTGVVAVGCFFYEHKLMATEGVLTDKNTVKCQLDCKEVSFSTCKITKAGIGGPLIDMHGNVVGMNFYHEECTPFLPSNLILDLLEDFLFEGICLN* >Brasy8G001100.4.p pacid=40079560 transcript=Brasy8G001100.4 locus=Brasy8G001100 ID=Brasy8G001100.4.v1.1 annot-version=v1.1 MPRSKRKLGETQDAMPRSKGKLDETQDAMPRSKHKLDETQDAMPRSKRKLDERQDDMPMVWCRCGDFGKVAQSKLTEQVASKLPASLVSLASFNGDEMMRACTGIFIKSLDANTISVLTTLALVTSYSDDGIRKLSDFTKIEVRLPNCQVVNGKLGTYDEYYALAIVNIENLHGFQAEYLCLDHGMPLEPSIEVLAAWRCHDTGEFMTTRGILNDIHEENQTCISSTCKIKMAGIGGPLIHYDGNFIGVNNYAKKVTEQLLATSKDSLCIPHHKVLESLGYCGMLNATERSTRYSTRNLFLLEVQAEFEDANKTAKSYGYPLADPDQACEGMYLNYSFEEEFGGDISSILSTSLALTVSESVVSLASFNGKTRFFVCTGVFVGSNEQSRILTSASLVRNSGDDVHEINHNMRIEVRLPDNRRVKGILVYCNLCYNIALVDIMGSCGPGRMKLQELPASSGTGVVAVGCFFYEHKLMATEGVLTDKNTVKCQLDCKEVSFSTCKITKAGIGGPLIDMHGNVVGMNFYHEECTPFLPSNLILDLLEDFLFEGICLN* >Brasy8G050500.1.p pacid=40079561 transcript=Brasy8G050500.1 locus=Brasy8G050500 ID=Brasy8G050500.1.v1.1 annot-version=v1.1 MASATTIHVIFLATALMALAVASVQGQGGDDALPPPSSPAPAPSGVEGRRGCPSDVTEFGACVVNLGQDPAAADRERCCGRIRGLSSREAYYCLCAAFWRSGVAGDRGRADVRARVAGDVNAVLQGCGKDRVDDLDCAIVG* >Brasy8G252600.1.p pacid=40079562 transcript=Brasy8G252600.1 locus=Brasy8G252600 ID=Brasy8G252600.1.v1.1 annot-version=v1.1 MMGSSSSTFSFVLLLLLAVWWPVAATAGSGLSVGFYRESCPKAEKVVRRTMAKAFKKEPGTPADIIRLFFHDCFVRGCDASVLLESTPGSMAERDSKPNNPSLDGFEVIADAKELLEKLCPSTVSCADILALAARDGAFLAGGFDYAIPTGRRDGLVSKEEDVLPNVPHADFNHDELVGNFTAKGFTPEEMVTLSGAHTIGTSHCSSFTDRLYDYYHDGVYGTDPGMPVAYAAGLKKKCPPVTSAHDDPTMVQLDDVTPFVMDNQYYKNVLAGTVAFGSDMALLESPETAALVERYAAEPTAFWLRRFAAAMVKVSELAVLTGSKGEIRLNCSKVN* >Brasy8G250900.1.p pacid=40079563 transcript=Brasy8G250900.1 locus=Brasy8G250900 ID=Brasy8G250900.1.v1.1 annot-version=v1.1 MGQRHLFLVTTCLWALSCAVLLHASSPDGLLRINLNKKSLNYEALNAAKLARQQDSVHLKIGSSNSDIVPLVDYLNTQYFGVIGVGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHKYKSSKSSTYKADGESAKITYGSGAISGFFSKDNVLVGDLVVKKQKFIETTREASATFIIGKFDGILGLGFPEISVGKAPPVWLSMQEQKLLADDVFSFWLNRNADATSGGELVFGGMDSNHYKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILDLLLAQTEPQKVCSQVGLCLFDGTHSVSKGIESVVGKENVGSDVMCTACEMAVVWIENQLRENKTKELILQYVNQLCERLPSPNGESTVNCHEISKMPNLAFTIAGKTFVLSPEQYIVKLEQSGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAESA* >Brasy8G043400.1.p pacid=40079564 transcript=Brasy8G043400.1 locus=Brasy8G043400 ID=Brasy8G043400.1.v1.1 annot-version=v1.1 MKPGAQLLVALLAAWAAVAVMMAAAPGAEAATTCNALQLSPCAGAIVGNAEPTAGCCSRMKAQEPCLCEYAKDPNLQRYVNSPNGKKVMAACKVPVPSC* >Brasy8G190200.1.p pacid=40079565 transcript=Brasy8G190200.1 locus=Brasy8G190200 ID=Brasy8G190200.1.v1.1 annot-version=v1.1 MYGVEAYIQFHSRWEAARARDALDGRAIYDGCCFLAVDLVPPIYTAITTPSEDKLAPVYFYDDTPYAAWSAALSSAERHEAPACVPGMSLSSASPPATKAAIKPSASVLSANDPSLMVHTTCSIGCTSGDTSTATSPCTHSSVPASSTSTPNSSADVLAMCVTHEAEDMNLTFLAASPVCLSGDANAAASTVLAAALAAVVPPSSCDAHEMSVAVAEQHGKSAEDDSAVTKEKDAEKSLVLRDLSGRFIVHAQI* >Brasy8G068800.1.p pacid=40079566 transcript=Brasy8G068800.1 locus=Brasy8G068800 ID=Brasy8G068800.1.v1.1 annot-version=v1.1 MADANGRIDLAAPLLSVRRRHGGERRLCDQLEDEDEEDAAAHGGARGGVPFGWARRPGHPKSVRTFRRPPPPPRPESPAPVPEAARFSDDALSRADSCFTANCSSVNGLLSDAAGTRFLPSGGRGGGVMMDRFLQAAKAVAVAADGASPHKQCTFRKAPEAATGAPAMPLEPARRADHHADARAHGNKGDSGLPRRLLVELEQPPADPVQVLQQNNGGKGDMEEEEEEEEWDDAHSTAGFASRRCGLLPTRCAKGAGLLLFLNLNPAQAIRRSRRGARRRRRQSETNPLLPRPRIGQQHTEHSASMDCMRTWEEVYISSLLRSDRTCRLIRPGTLASELDRRLCVTDQAGGGAHRPKATTHLGMLLVLDRTDDEHGGGKAASPLPPPKCAGMPKAAGGKASSRNNAGLHGFPPLLQENAAARRERDAIVPRAQPVLVLPSPKSPSESWLSRALQPSVSNKPTPTSEQHREEAPSPWCSSYQTKVADHGRARHIRIYDLRK* >Brasy8G128300.1.p pacid=40079567 transcript=Brasy8G128300.1 locus=Brasy8G128300 ID=Brasy8G128300.1.v1.1 annot-version=v1.1 MFFFFVGGVEQGAGRVLKEAAGRCLRCGGTADLVETEKVLKLFFVPAWRWKGKDPAYLCRECGLLAPGSLGGEPAGPLLPRGERCGACSRAVDPQFRFCPFCGSAL* >Brasy8G270400.1.p pacid=40079568 transcript=Brasy8G270400.1 locus=Brasy8G270400 ID=Brasy8G270400.1.v1.1 annot-version=v1.1 MSGLRLCRSSDSTTQMSGLRLCRSSSSSTTPVSRLQLLPRRSSTNTSPPSRCWDPENAFGVAIARVRAGTFSTDDAHHLFDELLRQGTPVHSRSLNGFLAALARAPDSVSCSNGPALVLALFNRLCREEAGPRVAPLTVRTYGILMDCCCRARRPDLGPAFLARLLRAGLKTETIEATTFLKCLCYAKRTDEAVDVLLHRMSDLGCVPNAISYNTVIKSLCGDRRSQEALDMLLRMANEGGGCSPDVVSFNTVIHGFFRQGEVSKACNLFNEMVQKGVVPDVVTYNSIIDALCKARAMDKADLVLRQMVDKGVEPDDVTYNAIIHGYSCLGHWKESAKMFREMTSKGLIPDTVTFSSFMSSLCKHGRSKEAAEIFHSMTTKGHMPDIVSYSILLHGYATEGRFADMNNLFHSMADKGIVANCHCFNILINGHAKHGMMDEAMLIFTEMQRQGVRPDICTYSTVIAGFAGMGRLADAMEKFSQMISIGVEPNKAVYHSLIQGFCTHGDLLKAKEFISEMMRKGLPRPNIVFFSSIIHSLCNEGRVMDAQDVFNLVIHIGDRPNIVTFNSLIDGYCLVGKMEKAFGVLDAMVSVGMEPNVVTYGSLVNGYCKSGRIDDGLVLFREIRNRVKPTTVTYNLVLDALLRAGRSTAAKKMFHEMIDSGTTVSIATYSIILKGLCRNDCTDEAMTLFEKLGAMNLKFDIAIVNTMINALYKVNRRGEAKDLFAAIPSSGLVPNVSTYGVMIHNLLKEGSVEEADTMFSSMEKSGCAPNSRLLNDVIRMLLQKGDIVKAGYYMSKVDGTIISLEASTTSLLMSLFSSKGKHREQIKFLPAKYQFFDGAS* >Brasy8G086700.1.p pacid=40079569 transcript=Brasy8G086700.1 locus=Brasy8G086700 ID=Brasy8G086700.1.v1.1 annot-version=v1.1 MPIGEAVLSAFMQALFEKVIAAAFGELKLPQDVADELEKLSSSLSTIQAHVEDAEEWQLKDQAARSWLAKLKDVAYEMDDLLDDYAAEALQSKLQGPSNNNHLKKVRSCLCCFRFNGCLFNHNILQDIRKVEEKLDRLVKERQIIGPSMTSAMDKKGIKDRPKSSSIIDDSSVFGREEDKEIIVKMLLNENTSNHANLSILPIVGMGGLGKTTLTQLVYNDARIKEHFQLRVWLCVSENFDEMKLTKETIESVASGFESVASGFSSVTTNMNLLQEDLTKKLKVFHKDYVFEKGMLVQIWMALGFIQPQRRRRMEDIGSSYFNELLSRSFFQHHKGGYVMHDAMHDLAQSVSINECLRLDDPRNSSSPDRSARYLSFSCDNRNQTSFEAFLGFERARTLLLLRGYKSETGSIPSDLFLKLRYLHVLDLNRRDITELPDSIGSLKMLRYLNLSGTGITMLPPSIGRLLNLQILKLKNCHELDYLPASISNLVNLRCLEARSGLITGIASIGNLTSLQQLEEYVVRTDKGYKISELKAMKGISGHINIRNIENVANAEEASEAFLRDKAFINTLDLVWSDGRNLTSEEANQDKEILEVLRPHHELKELTVKAFAGSSLPNWLSSLSHLQTIHLSDCTKCSILPALGELPQLKYLNVEGFSSIIHISQEFSGTSDIKGFPTLKELIFEDLANLKSWASIEDGEFLPSLTELAVIDCPQVTEFPPLPPTLAKLKISEAGFSILPEVHIPNSQFSSSLACLQIHQCPNLTSLQNGLLSQQLLALEQLTITHCSELIHLPVKGFRSLTALKSLHIYDCPRLAPSGQHSLLPSMLEDLRISSCSDLMNPFFRELNELSALTHLATSDCSSLHSFPVKLPATLQKLEILRCSNLSCLPAGLEELLCLTSMTILKCPLIPCLPGRLPKYLKELYIKECPLLTESCQENGGKDWCKIDHVPIIEIDDDTTMPNRSIRRLP* >Brasy8G288800.1.p pacid=40079570 transcript=Brasy8G288800.1 locus=Brasy8G288800 ID=Brasy8G288800.1.v1.1 annot-version=v1.1 MLHSWRHAGIWPDRVYRLSAYEYPWILMARNIAAGHPKLRYRHSPPYAIDLFRTSDHVPVHKHFAITKRKNVDYIEVTRMRDYVRPTQIIEGTSHRDGSIYKHVAMVELCRITERDETPLEPMRFSEPPNCLPRHERCYVHLASNLMQIFSLKLAKLPANMGSIQLYGYIAARDDKDSLLNYIVNYSRDGPIILQQGSPIEMTGPKRGISFACSVLLEFDMRIKKGKEEDDLQLIDGTIDYSDITMPDSELFTACIEGDSGAVDFTFASVCRAVEATIQVIISKVQSGFNLSLSAFVFIDDSHERIQLFRGTITESCGLRRFVIAVEKDTWMHMKLEVGKKSYYRSFKTDTHGCACQEIMLDLATISVKATWSILPLI* >Brasy8G157000.1.p pacid=40079571 transcript=Brasy8G157000.1 locus=Brasy8G157000 ID=Brasy8G157000.1.v1.1 annot-version=v1.1 MQDGKDCKDSPGARFDSDNARANHENPDADKVNLFHKSNSLDKQPDYPRGCSNAVQTTKANEQCKETPKPTDNSHGAPLNDRTMEQVQKSKETVSILLNAAEIPQTPPDIDKGAFTTTSSAQSASLNHMLADDVPLTQVNGTEATTEPFEVTSSKTVTEIMDKIKKPNKTVSILENMSKQLEAINPNQALHTSLPPAKETTAEAKSTNNICVAAAEAYPDSDTKSDSNKYSKYDEAFRAVNDWTEADFCDEIIYQSSGNNNKENIDPLARMATYGPQPQLRESAIQCAQKQSSNEKCSCTYTISPINPRNLIDRMESAVTIRGSDNKEFYEGIKENPICIEI* >Brasy8G012700.1.p pacid=40079572 transcript=Brasy8G012700.1 locus=Brasy8G012700 ID=Brasy8G012700.1.v1.1 annot-version=v1.1 MAAIRHAAKRVVGGQQRRPMGIYEAVVSPLVEVEQRRLLPGLVGGGVKPGGLSFFTLRTMSSSTGGAAADPQDVRAPQQPCAKSLSEDERREYARRQITQIEQKKQELFYLLSDMDVNFPANHKLARDNRELIHHLFKHVEPNPTDPLWCFNLKRERINKCIIYGMPTLLATWIALDWEGWRDMFAYFLGIGH* >Brasy8G060200.1.p pacid=40079573 transcript=Brasy8G060200.1 locus=Brasy8G060200 ID=Brasy8G060200.1.v1.1 annot-version=v1.1 MERLRFLRPLEPSRDTRSSHHGSWLVRSAPRAPAAMTTSTWPGAAAVSAPSAAKPGHAQPTCPHLCKRLSVPSRGRVGRCGTEVFFDSRDRCGQ* >Brasy8G099900.1.p pacid=40079574 transcript=Brasy8G099900.1 locus=Brasy8G099900 ID=Brasy8G099900.1.v1.1 annot-version=v1.1 MAIFTKSAFLGFVAVVVFSVFTDAANAQLSPNFYSTSCPNLGTIVRSGMASAVQTEKRMGASILRLFFHDCFVNGCDGSILLDDTPTFTGEKNAGPNANSARGFEVIDAIKTRVEASCKATVSCADILALAARDGVSLLGGPTWSVPLGRKDARTANQSAANANLPGPGSSLATLISAFGSKNLSPRDMTALSGAHTVGQARCATFRSRIYTERNINSTFAALRQRTCPRTVGDGALAPFDVRTADGFDNAYYQNLVARRGLLHSDQELFNGGSQDALVRQYSTSPPQFSADFVSAMLKMGGLLPSSGTRTEVRLNCRKPN* >Brasy8G078900.1.p pacid=40079575 transcript=Brasy8G078900.1 locus=Brasy8G078900 ID=Brasy8G078900.1.v1.1 annot-version=v1.1 MAVKVYVVYYSMYGHVAKLADEIKKGASSVEGVEVKVWQVPEILSEEVLGKMGAPPKTDVPTITPQELAEADGVLFGFPTRFGMMASQMKAFFDATGGLWREQSLAGKPAGVFYSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKLFDMDKVQGGSPYGAGTFAGDGSRWPTEMELEHAFHQGKYFAGIAKKLKGSSA* >Brasy8G007600.1.p pacid=40079576 transcript=Brasy8G007600.1 locus=Brasy8G007600 ID=Brasy8G007600.1.v1.1 annot-version=v1.1 MALALRCPAATPSPAKASSPSSQPARIPRRRQAGAGCRCHYYGDGAARRGSYDHIPKQFREEGLKDGLMDNYKNVPQFLYGLSPAQIEMFTNDDNPYNRQSKKVTEESVAASRSYDEFGMYNLSGQHEGPASYSMDMGMGMGMGMASMRMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKSKVYTINLSMAYGQAAMLLSLGMKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLRGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAKEMRQSGKRAAAGAGRWSTPTAPR* >Brasy8G166300.1.p pacid=40079577 transcript=Brasy8G166300.1 locus=Brasy8G166300 ID=Brasy8G166300.1.v1.1 annot-version=v1.1 MANCFGEVVDDAKLNKMERYMGRPKTREDRAREAWNLVNEDGKDVNALRYVKGLKSLYGDGQSTLCVVYNATGDTVTKVDNHDWYGYIGSVPYPTEIHNGQWASFLHVHRAGEPSGSVGAVVYRGRRSDGQYRDYLVAWSTPWGPWYRNKAYSEIGAVDSFRNLWGNMYNVVANSDYSSNARSDGCEIEASIETGDSPKFTARLICR* >Brasy8G192000.1.p pacid=40079578 transcript=Brasy8G192000.1 locus=Brasy8G192000 ID=Brasy8G192000.1.v1.1 annot-version=v1.1 MRSKWRAAAAAALATLLCACCAVAVDEQGAALLAWKATLRSGALADWKAGDASPCRWTGVACNADGGVTELSLQSMDLHGGVTELSLQSMDLHGGVPANLAAAVFGTLSRLVLTSTNLTGPIPPELGSLPALTHLDLSSNALTGSIPAALCRNGSKLETLYLNSNRLEGALPDAIGNLASLRELIFYDNQIAGKIPAAIGRMSSLEVIRGGGNKNLHGALPAEIGDCSSLTMLGLAETSITGPLPATLGKLKNLTTLAIYTALLSGPIPPELGRCSSLESIYLYENSLSGSIPSQLGALPKLKNLLLWQNQLVGIIPPELGSCPGLAVIDLSLNGLTGHIPASLGNLSSLQELQLSVNKLSGAVPPELAKCSNLTDLELDNNQLTGAIPAELGDLPSLRMLYLWANALTGTIPSELGRCASLEALDLSTNALTGAIPASLFRLPRLSKLLLINNGLSGQLPPEIGNCTSLDRFRASGNHIAGAIPAEIGMLTSLSFLDLASNRLSGVLPSEISGCRNLTFLDLHDNAISGALPEGLFRDLLSLQYLDLSYNVITGGLPSDVGKLTSLTKLVLSGNRLSGPMPPEIGSCSRLQLLDVGGNALSGHIPGSIGNIPGLEIAVNLSCNSFTGTVPAEFAGLMRLGVLDVSHNQLSGDLQPLSALQNLVALNVSYNGFSGRMPETAFFARLPTSDVEGNPALCLSSSRCSGGDREVEARHAARVAMAVLLSALVILLAAAALVLFGWRKNSRRARAGDGGDEMSPPWEVTLYQKKLDIGVADVARSLTPANVIGRGWSGEVYKASIPSSGVTIAVKKFVRSSSCDGERAASVAEAFACEVSVLPRVRHRNVVRLLGWASNRRARLLFYDYLPNGTLGGLLHEGGANGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKPDNILLGDRYEACLADFGLARPADDGAANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLETITGRRALDPAYGEGQTVVQWVRGHLCRKRDPAEIVDARLRGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDAAALLRGIRHDDGGGDARKGGNGAGSGGEAEKRAGPKKPVSTSKLMDLAKPAQAQVQDRARASSGSLGLLNNQE* >Brasy8G235800.1.p pacid=40079579 transcript=Brasy8G235800.1 locus=Brasy8G235800 ID=Brasy8G235800.1.v1.1 annot-version=v1.1 MASSSGAETPAVEAAAAAAQYVPKNILITGAAGFIASHVANRLVRDYPWYRILALDKLDYCASLNNLAPALASPNFRFVRGDVASADLVLHLLNGERIDTVMHFAAQTHVDNSFGNSFEFTKNNVLGTHVLLEACRRAGPGAVRRFLHVSTDEVYGETDKDAAVGNHEASQLLPSNPYSASKAAAEMLVMAYARSHGLPAITTRGNNVYGPHQFPEKLVPKFILLAMRGGPLPVHGDGSHVRSYLYCEDVAEAFDVVLHRGEVGSVYNIGTTRERRVVDVAHHICGLFGLNPGEAIRTVSDRPFNDHRYFIDDQKLKALGWSERTSWEEGIKETADWYVKHGADWWGDVSAALLPHPRMLTPQQNFDSTSMTNFDFN* >Brasy8G213900.1.p pacid=40079580 transcript=Brasy8G213900.1 locus=Brasy8G213900 ID=Brasy8G213900.1.v1.1 annot-version=v1.1 MLELSDGVHSLQVILSRAFSHLVNVAALRRGTVLRLLGYMCSTIRGRRFIIVVNFEILQIASTLTGSPRPYECGQGVVGSCSTSRSGEGASMPEPFVRKTVAQISDDHLECSDKTDTIVVSATLSSINTLNFCYTACPVVVNGRQCNMKVTRNGDGLWYCDICKWSFGGCDYRYSILVKIQDHTGTAHAVAYEEVGQELLGRTGKELYSVKYAERDHGQFGNIMRGVMFHQYLFKLKVARGMLNGRLRLSLRGPQLLRSLTLVSGI* >Brasy8G216000.1.p pacid=40079581 transcript=Brasy8G216000.1 locus=Brasy8G216000 ID=Brasy8G216000.1.v1.1 annot-version=v1.1 MGGGDEGAVVASGSKILSSEGSDGGWEKVTGEVYWDPTKNNMNVHNGEAKKRSIVANNVMVYKNMVSALEGDNLRLMQIVKDLEMEVKKLKQEKKMIERRHRAEIRGRDRKDVIIALVVAICALGYVLASLLTRGFV* >Brasy8G026400.1.p pacid=40079582 transcript=Brasy8G026400.1 locus=Brasy8G026400 ID=Brasy8G026400.1.v1.1 annot-version=v1.1 MEHGSLRTLGASPGSAVSSLLLSPGGATALEAVFSHLPPPATIPAPLGSSVYHRQSELLRSFAATHPTTSSSSSSSSVANFATTSFPTAAGAGEDDGPLGPQRGAAKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYRLRGEYARLNFPGVMDSADAARVPDALCPLRDAVDAKIQAIRVRMARKRARARRLREEAKQQQQQLQQKIDQAPLTTAAVSESAATTSETTTTTTTTSSYGSPEAALSMGAADAIECSLERMPSFDPELIWSMLSF* >Brasy8G037600.1.p pacid=40079583 transcript=Brasy8G037600.1 locus=Brasy8G037600 ID=Brasy8G037600.1.v1.1 annot-version=v1.1 MHNSVHPPVARRTMRQGHGRRGIKRRQRGPLCMVVVVARCLLSVPWQPRVWRGGRGLIIEIY* >Brasy8G161600.1.p pacid=40079584 transcript=Brasy8G161600.1 locus=Brasy8G161600 ID=Brasy8G161600.1.v1.1 annot-version=v1.1 MENPTAEFTAELDLTRGSSYGKFISGVRDQLVLHAGATRHLELVLLPHQESDPRKAPWFGVTLRLGPGDPVLLRIRADNLYLCGYRSSAAGRWHEFHGGSAISGATPLSFGESYGETHPWHGRAQSSGGTARGRHRHRHPAGDGDIDDDHVGDGLRSHQVQERRRRARAHGVQRRPARRAAGAHGQALKQVKNWGSLSEYWLGAVLYAQPFLPYIEADADASQRYGYIPQHLLAPANIDCQDHAMMALGVALNLERGLQDKHKAALDKHWRDVRALARKLDRERASASGT* >Brasy8G139600.1.p pacid=40079585 transcript=Brasy8G139600.1 locus=Brasy8G139600 ID=Brasy8G139600.1.v1.1 annot-version=v1.1 MLSAVRRRIRHLTAAFSTSRAADPTVSYLISACSLSPAAAARAADTIRLASPGFTTQGDAVLDLLRRYGFSDADISATVRKFPRILVSDAAKTLQPKLDFLASVGITAPLLPKLISLNPALLHRSIQGHLAPLFESLREVLGSDARVLTAIRQMPFVLRCAPKTTLSLALPALRDVHGLSPEDVSKLVAFHPGVILLVPERVDEVVRAVKSTTGVQPGDPKFVRIFAILSKMKTPIIESKIALYQSLGFEKDIVTAMLRRYPCSLAISKEKIIANVEFLVIKAGLSLEDIVSYPSLLTHSIETHSKRCAVLTLLRSEGKPEGHHRVAAVLMATVKRFLEVYVRRHQDKIPDVALAMDGKIPFRGFNVLKKPEEEPLMSSASVH* >Brasy8G035200.1.p pacid=40079586 transcript=Brasy8G035200.1 locus=Brasy8G035200 ID=Brasy8G035200.1.v1.1 annot-version=v1.1 MGSQGSPMGLYTNLLSEGYLQEAWGQNLSSQFGAQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKSATYWNMIHEEYELHKPESSDRSVNSLSHRWSVLKEQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADQMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIEIEERKMEMEIMNKDLSSLDDDQKEYYM >Brasy8G204500.1.p pacid=40079587 transcript=Brasy8G204500.1 locus=Brasy8G204500 ID=Brasy8G204500.1.v1.1 annot-version=v1.1 MQPDPKGPGRGKAGGNAHARLPPPVTAPSVGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKEDGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDSPLKATDFGLSMFYKPGDKFADVVGSPYYVAPEVLLKSYGMEADVWSAGVILYILLCGVPPFWAESESGIFRQILRGKLDLETEPWPSISDSAKDLVRKMLTRDPTKRHTAHEVLCHPWIVDDSVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKRVGSDLMEPEIQSLMDAADIDNSGSIDYGEFLAATLHVNKLEREENLVSAFSFFDKDGSGFITIDELSQACEKFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGSGTVSGAIGTGRRTMRNSLLVNLGDIFRPGEN* >Brasy8G190600.1.p pacid=40079588 transcript=Brasy8G190600.1 locus=Brasy8G190600 ID=Brasy8G190600.1.v1.1 annot-version=v1.1 MCPQHVSHSHSSPIRQSPYPDTPSSYWQYYPRGLLLLHCTINFVPNSPILSSPPPRQAMAASGVGAAASRLTLPLLLLLLAAAFAGSALAQAPDNRTQEEKAAAAARKCAYTVKVKTSCASPAARTADAVSVAFGDAYRNEAYGARLPGGGRAFERCGADTFRVSGACGYGVCYLYLRRAGRDGWAPEWVQVLEPGPGDEPSTFYFGAPLPDGVWFGHNRCPKAGRTAAAGAGTKTNTSSANAAAAASPVG* >Brasy8G190600.2.p pacid=40079589 transcript=Brasy8G190600.2 locus=Brasy8G190600 ID=Brasy8G190600.2.v1.1 annot-version=v1.1 MCPQHVSHSHSSPIRQSPYPDTPSSYWQYYPRGLLLLHCTINFVPNSPILSSPPPRQAMAASGVGAAASRLTLPLLLLLLAAAFAGSALAQAPDNRTEEKAAAAARKCAYTVKVKTSCASPAARTADAVSVAFGDAYRNEAYGARLPGGGRAFERCGADTFRVSGACGYGVCYLYLRRAGRDGWAPEWVQVLEPGPGDEPSTFYFGAPLPDGVWFGHNRCPKAGRTAAAGAGTKTNTSSANAAAAASPVG* >Brasy8G248300.1.p pacid=40079590 transcript=Brasy8G248300.1 locus=Brasy8G248300 ID=Brasy8G248300.1.v1.1 annot-version=v1.1 MASREEPVIDRHVIDEEEEEDLVGDDSGDEVVEVRRRVSRFAVGAGDVDGGAAAGDARNSRRVSRFAADGGSAFPGLQDRRRRDSGCELGGSRVTLPPPHAWLAVEETASAARKKSSDGNGNGSNEEQWARLLASGSEGVKQQQQQPRRSSFSAVRRERAAREAWLDRAWEMKRSWHERGRGAPDADTPVVVVVGKHGAAPSSPCYSSSSSSSSPHAAGGVGVAMDMEEVRACRDLGLELPADCTVEIQCYGISGAGGSPAHSQSSGGGGGNDSPSNTSGSCSISSSGTGEDPVEVKARLKVWAQAVALASTTHLGS* >Brasy8G165200.1.p pacid=40079591 transcript=Brasy8G165200.1 locus=Brasy8G165200 ID=Brasy8G165200.1.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQQDLHDAPLDHDDVLRFVQSVGSMEPEKRARLMRTTLGVPPKPRNWPIDDLLPESSRDRRHVGDLYWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSSKRGMSMQFDCLIEVDIKIKALSGDTDDKTLIDGCVDLVEGHATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWRNLYPFIDEQCDCDSSVASVGKFPRYFVAAVEMDDTLFIDFMDGNMPISFKAAIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G165200.2.p pacid=40079592 transcript=Brasy8G165200.2 locus=Brasy8G165200 ID=Brasy8G165200.2.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQQDLHDAPLDHDDVLRFVQSVGSMEPEKRARLMRTTLGVPPKPRNWPIDDLLPESSRDRRHVGDLYWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSSKRGMSMQFDCLIEVDIKIKALSGDTDDKTLIDGCVDLVEGHATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWRNLYPFIDEQCDCDSSVASVGKFPRYFVAAVEMDDTLFIDFMDGNMPISFKAAIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G165200.3.p pacid=40079593 transcript=Brasy8G165200.3 locus=Brasy8G165200 ID=Brasy8G165200.3.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQQDLHDAPLDHDDVLRFVQSVGSMEPEKRARLMRTTLGVPPKPRNWPIDDLLPESSRDRRHVGDLYWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSSKRGMSMQFDCLIEVDIKIKALSGDTDDKTLIDGCVDLVEGHATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWRNLYPFIDEQCDCDSSVASVGKFPRYFVAAVEMDDTLFIDFMDGNMPISFKAAIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G165200.4.p pacid=40079594 transcript=Brasy8G165200.4 locus=Brasy8G165200 ID=Brasy8G165200.4.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQQDLHDAPLDHDDVLRFVQSVGSMEPEKRARLMRTTLGVPPKPRNWPIDDLLPESSRDRRHVGDLYWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSSKRGMSMQFDCLIEVDIKIKALSGDTDDKTLIDGCVDLVEGHATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWRNLYPFIDEQCDCDSSVASVGKFPRYFVAAVEMDDTLFIDFMDGNMPISFKAAIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G083700.1.p pacid=40079595 transcript=Brasy8G083700.1 locus=Brasy8G083700 ID=Brasy8G083700.1.v1.1 annot-version=v1.1 MPASSLPFLLPFSSPIQTLNPLPASASRFHTPSKPLALSLCPRPLVSSSSSFAAATVDDDYDEDVALGDCLVFEDEAFEDPGLDLGRPSPSPPPRQTTSRRSRDKPISAAAGGGGGLVPERWKDAVEEINLTKKEKRRIAHGLRFGSRLDRRVPSAVAAPDEYRAYREGRLDAELGHVARDYVEPLENKSRAYRAREARLDAEPGHVARDYVEPLEKSRAPEKIEAPPPPEPGTRAAPRNPRLDVGGLEDIAELFSSKEYAPSEMEDGKKRRPKLFTDEEKALLNKRIPDLESATSSKWLPLHTLAASGDFYLLDNLLKHKVDVNALDKDGLPAIHKAIISKKHAIINFLLRNSANPFIYDKDGATLIHYAVQTACHQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVRLLLIKGADSTSKTQDGLTPLELCLRLGRHVRTYELIKLLKSFRLPKQHDSF* >Brasy8G276000.1.p pacid=40079596 transcript=Brasy8G276000.1 locus=Brasy8G276000 ID=Brasy8G276000.1.v1.1 annot-version=v1.1 MPQAEDASAAFGRLLELLSSNGVRAFTTMLMFGRNSISRFTQSAATAAICFPKYGKNEETFNAAVSTSIKGWWKKLNAGLKCNEKMKVISLGSYTVWNISKERNKRVFDQKSLTGQGVTAIIREEISI* >Brasy8G191500.1.p pacid=40079597 transcript=Brasy8G191500.1 locus=Brasy8G191500 ID=Brasy8G191500.1.v1.1 annot-version=v1.1 MWPPLPYRCCYCGDPMISLHFCYCGDPMVSLPFCYFGGEHGGAGAVEEERATAYRPPWTSQLLAADFHETCARDRVCLTCAGAAFCAHCCGEHHRGHVTSAAADEDAAAGHRRDSFCTGCRVAFCSELCAHHQGHEIIPIDEYNVWHCARCTGYERWFPVFHGVQTFQDEHGNLLVPLQPRPRRVVAPEAPGPKCGVKAEGIQECPAWLSELVASDFSKTCARDHVCNTCAGAAFCWHCCGEHHRGHDRVVDTDEEVDGWAASVGHRRDSFCIGCRVAFSSELCAHHEGHGVIPIDAYGDRHFMRSNGSEPWFSVLGGIETYEDEDGNLMVPLERKRSMFPEPGLKYSTRHHG* >Brasy8G186000.1.p pacid=40079598 transcript=Brasy8G186000.1 locus=Brasy8G186000 ID=Brasy8G186000.1.v1.1 annot-version=v1.1 MAQSRISVVALCLVVALVAALAAVPAASAFGCYEDCFERCSNGKEDPACTKMCTEACGAGAAVQDAAAPGAKA* >Brasy8G021900.1.p pacid=40079599 transcript=Brasy8G021900.1 locus=Brasy8G021900 ID=Brasy8G021900.1.v1.1 annot-version=v1.1 MAAAADQLPMIDFSGVAPSSAPERWAAARAQVMAALRTHGFFEAQYPALAPELRARLFGGAVRPLFALPADAKRRNSYGPGKPFHGYLGGLPGLPAYESLAIVDGHLPGPVQAFADLVFFPDSTDAHDTSTFCESVHGAASRMAELEGVVRRMVMEGLVGAAAGEQEEGSSSSVWQLFRMSEYGAPVAGAGEKEREVRYGSHQDTNCLSVICQDEVDGLEMQTRDGHWVLVRPEPASLVVMAGNALRAWSNDRVYAPFHRVSVGGEETRYSAMLFSVPGGESMVRPPEELVDEEDGHPRRFRDYDYDEFVRFCVSEEGARHQDKLKAYCGL* >Brasy8G007800.1.p pacid=40079600 transcript=Brasy8G007800.1 locus=Brasy8G007800 ID=Brasy8G007800.1.v1.1 annot-version=v1.1 MSQPSSSFAGFAGVDPRSVNNLQASPAGNNHEEVNVQESSGSSPGEEEEQVSKRRNWTEQENLRLVSAWLANSLDPVEGNSKKSEHYWKQVAEEYNSNTPQDRKRSSKQLRDHWSKANQLVTLFNGCYATQKSVYASGINDKDLMDQAKAVFKSKNKQKSFNLEYWWEAVRQHQKWRSIYMEKDCSSKRAKISEVGTYTSSSKETEETVEPRPEGQKQAKRKLKAAAKGKSSSSNLQPDPTMRLYHDAMALKSEAKKEKASAMKDYAAATMEKARAKKLDTYMKMLQTDTSSFNEAKLLRHENMVDQLGLELFSIKD* >Brasy8G016600.1.p pacid=40079601 transcript=Brasy8G016600.1 locus=Brasy8G016600 ID=Brasy8G016600.1.v1.1 annot-version=v1.1 MRTKHNTCEWMVRADRRKYLMSFSSPVLLPQKQNDLETQYRRFIKSCAPTSSVPNWLFDLLLPPRYEGDPWSRMQCWTRFNSPRGQRNGGYFFLYLMVWLFVHLHHA* >Brasy8G115000.1.p pacid=40079602 transcript=Brasy8G115000.1 locus=Brasy8G115000 ID=Brasy8G115000.1.v1.1 annot-version=v1.1 MEKQSQQGSNQQQQQLDSFAPLDGAAPDQDPIIGGAGAEMVDYMLGQQTPPPPPPGPGAPPHGQGHVSSFDKLSFSDVLHFADFGPRLALNQPSSAHHPHADSDNDEDSYFFRFQPSLPAADDSDDPTAQHAAATTAGSGGDHGVAGGGVSESTTTLVQQQQQESTVGGGKGGGGGAGNKSGRRKRPRSTKTSEEVESQRMTHIAVERNRRRQMNDYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGDAPRPAAAAPDISTGGAPAPPPATASSMPQHEQQAAPPHHDAPAPFYVVPAPSSATSLGPFPAISDDGGANKGIEDLEGGLGREEVAENKSCLADIEVRVLGADAVVKVLSRRRPEQLIKTVAVLEEMHLSILHTNITTIDQTVLYSFNVKIAGEPRFTAEDIAGAVHQILSFIDINYTL* >Brasy8G296900.1.p pacid=40079603 transcript=Brasy8G296900.1 locus=Brasy8G296900 ID=Brasy8G296900.1.v1.1 annot-version=v1.1 MVPTKKMKGCKAMANSRELETARESSSLEKSYEPPDGQVITVGAERFRCTEILFQPAMAGSRSSGIHQTICDSIMKCDIDIRKDLYGNILLTGGTTIFPDLADRLSKKISAIAPSGMKIKVVSPPERKYSVWIGGSILASLSTFQQMWISKAEYDENGPAIVHRKCF* >Brasy8G211400.1.p pacid=40079604 transcript=Brasy8G211400.1 locus=Brasy8G211400 ID=Brasy8G211400.1.v1.1 annot-version=v1.1 MAKRKAEAAAEEVDRYAMESEEDSEGSGDDEEEEEEVDLGTEFLFEPLGRAEDEEKSSDFEPDGHFEDDEDIEDSDEGEHDPKAQSSSKRKRSGFDQRATERVRKAGTREEDDMKEEEELVDNEHANLHPETPSERLGAASASARVTTEVGGSASINLEVSVPASGARSKVSVTVKSGSASAQVQTQEPAHTIRKKRTSR* >Brasy8G260900.1.p pacid=40079605 transcript=Brasy8G260900.1 locus=Brasy8G260900 ID=Brasy8G260900.1.v1.1 annot-version=v1.1 MAAHKSLAVATLLLLLPLIQLSSMALARDWPSPGHPVIVVHSPPPPPSSHAPPHVNPDNFPKLRKVHKGPNDPHPLPASAPSQE* >Brasy8G221300.1.p pacid=40079606 transcript=Brasy8G221300.1 locus=Brasy8G221300 ID=Brasy8G221300.1.v1.1 annot-version=v1.1 MGGYMPESGAGAAGARASRTGAGRYPPLASLVVSTIAAFSAVIVIAVLHSAYGEAVSRTRTLLGHNLEPTPWHPFPHDKGRPPPRAALRCAPFLSCLPPLSHPHPHPPPPSNASRRAKQCPAYFAAIHRDLAPWRRDGITRELLDSARSRASMRVTITGNGRRLHVDLYYACVQSRALFTVWSILQLMRRYPGRVPDVDLMFDCMDRPAINRTTDGPNNPPLPPPLFRYCTTKEHLDIPFPDWSFWGWPETHINPWSKEFRAIKQGSRRVKWADRVPLAFWKGNPDVASPLRLALLACNDTALWRAQIMRQNWEEEARSGYSHSALSAQCTHRYKIYAEGFAWSVSLKYILACGSMALVIDPRYEDFFSRGLDPKVNHWPVRAADAGMCGSIRDAVEWGNAHPEEAELVGRRGQRLMQELGMDAVYDYMLHLLTEYARLLDFRPSPPDTAQEACVGSVLCLADEGQRRFLEMSAAEQATGEPCSLPPPPPADG* >Brasy8G058700.1.p pacid=40079607 transcript=Brasy8G058700.1 locus=Brasy8G058700 ID=Brasy8G058700.1.v1.1 annot-version=v1.1 MYLALVSRVATNFQKKERDYYAYTLAQERCYRQRWILVLLVSDGWSAIIWVSSSFEPPEFVIFAKALKKPEAWACLKALQVCKEHQLDNL* >Brasy8G134900.1.p pacid=40079608 transcript=Brasy8G134900.1 locus=Brasy8G134900 ID=Brasy8G134900.1.v1.1 annot-version=v1.1 MSADPSSGNCIIMILHMAEPKTHLSFTRVGDTQWTWINVHPDCFDYCDVFYNDSDGLSYAMRRSGEVHTIDVNGPYPVVKVILNPMTRSRDSSRYIVQAPWGAILQVWRDDHPVRRGEYRTVKLEVYTVDLFGQKLLEIKNLQDHVLFIGFNTPFFLPAKEFPMLTPNCIYLTDDYMPCIYSHRFAPRQVAVFNMEDGSFTDLLPVANSRLNWPVPVWIRPSCYQYNEG* >Brasy8G135400.1.p pacid=40079609 transcript=Brasy8G135400.1 locus=Brasy8G135400 ID=Brasy8G135400.1.v1.1 annot-version=v1.1 MAAAAASDLESKAKEAFVDDDFELAAELYTQAIEAGPATAELYADRAQAHIKLGSYTEAVADANKAIELDPSMHKAYYRKGAACIKLEEYQTAKAALELGSSYASGDSRFARLIKECDDRIAEEASQVPAKKATAVVPAATSGATTVSTPAEDKEDGANMENSLPNVEVPSKPKYRHDFYNSTTEVVLTIFAKGVPADTVVVDFGEQMLSVSIEVPGEEPYHFQPRLFAKIIPEKCKYVVLSTKVEIRLAKAEPLTWTSLDYSGKPKVPQKINLPAESAHRPSYPSSKPKKDWDKLEAEVKKQEKDEKLDGDAALNKFFREIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGTKKVEGSPPDGMELKKWEY* >Brasy8G187300.1.p pacid=40079610 transcript=Brasy8G187300.1 locus=Brasy8G187300 ID=Brasy8G187300.1.v1.1 annot-version=v1.1 MAQGPPRHPYFPMAQGTAFLLRSRDKYTWFLSVQKSGSMVSFITTPDRSPSLPRVIKCEITRDFSAPGCEKFSGKILERNEEMTVLSLAAFMSYRHCTFRLTRSK* >Brasy8G042800.1.p pacid=40079611 transcript=Brasy8G042800.1 locus=Brasy8G042800 ID=Brasy8G042800.1.v1.1 annot-version=v1.1 MSTRRRRRAEEPSSSAAAADTTKGGKATWFWEAGGRLVETRRPVTAEPMSGRNAEEAEDEEWIVSDDNALPLVASSRPLPRRCPNFPEGGSMKEISEWNKACDRVYKLTANDPVTHPPLLRETKDDFDTSSSSTSNVLYSASSRHREMIIGFARSIVCISSAKNDRDRLPEWNFNGIIIGPSESDERARILTSSETVCDYKGKLDHKLLVRLPNKVIKDGELLFFDHYYGLAILEISVDMPLRCPSFMSSPDYGDDVFVLTRKEDSSLMTMAGKVLWHNEPYFGRNHYLYLSCELPEPGGLVMDRDGNIAGMTFDCPSTKAAVLPSFIIKKLIEMESNFRCMARPDHGLALRAVQFLDMSRREEILYKHKIDSGYLVDQVQINSTAEIIGIRRGDVIVSVNGMCSLNMLELEEYFLSLGWKFLEKKIESSKIVLKLKVYDPLNCQENTLRLPLGFSCLTSAVKERVEVQETQKTFASASHGVKHARAEDPVALP* >Brasy8G042800.2.p pacid=40079612 transcript=Brasy8G042800.2 locus=Brasy8G042800 ID=Brasy8G042800.2.v1.1 annot-version=v1.1 MSTRRRRRAEEPSSSAAAADTTKGGKATWFWEAGGRLVETRRPVTAEPMSGRNAEEAEDEEWIVSDDNALPLVASSRPLPRRCPNFPEGGSMKEISEWNKACDRVYKLTANDPVTHPPLLRETKDDFDTSSSSTSNVLYSASSRHREMIIGFARSIVCISSAKNDRDRLPEWNFNGIIIGPSESDERARILTSSETVCDYKGKLDHKLLVRLPNKVIKDGELLFFDHYYGLAILEISVDMPLRCPSFMSSPDYGDDVFVLTRKEDSSLMTMAGKVLWHNEPYFGRNHYLYLSCELPEPGGLVMDRDGNIAGMTFDCPSTKAAVLPSFIIKKLIEMESNFRCMARPDHGLALRAVQFLDMSRREEILYKHKIDSGYLVDQVQINSTAEIIGIRRGDVIVSVNGMCSLNMLELEEYFLSLGWKFLEKKIESSKIVLKLKVYDPLNCQENTLRLPLGFSCLTSVKERVEVQETQKTFASASHGVKHARAEDPVALP* >Brasy8G042800.3.p pacid=40079613 transcript=Brasy8G042800.3 locus=Brasy8G042800 ID=Brasy8G042800.3.v1.1 annot-version=v1.1 MSTRRRRRAEEPSSSAAAADTTKGGKATWFWEAGGRLVETRRPVTAEPMSGRNAEEAEDEEWIVSDDNALPLVASSRPLPRRCPNFPEGGSMKEISEWNKACDRVYKLTANDPVTHPPLLRETKDDFDTSSSSTSNVLYSASSRHREMIIGFARSIVCISSAKNDRDRLPEWNFNGIIIGPSESDERARILTSSETVCDYKGKLDHKLLVRLPNKVIKDGELLFFDHYYGLAILEISVDMPLRCPSFMSSPDYGDDVFVLTRKEDSSLMTMAGKVLWHNEPYFGRNHYLYLSCELPEPGGLVMDRDGNIAGMTFDCPSTKAAVLPSFIIKKLIEMESNFRCMARPDHGLALRAVQFLDMSRREEILYKHKIDSGYLVDQVQINSTAEIIGIRRGDVIVSVNGMCSLNMLELEEYFLSLGWKFLEKKIESSKIVLKENTLRLPLGFSCLTSAVKERVEVQETQKTFASASHGVKHARAEDPVALP* >Brasy8G123900.1.p pacid=40079614 transcript=Brasy8G123900.1 locus=Brasy8G123900 ID=Brasy8G123900.1.v1.1 annot-version=v1.1 MGRGRGRGRKMVSNRRSHEDKGSSGEEVVPARKRRGRPLKQRIAVSVDQTEAKDLVEEGVDGNADYQQGGRDGDSKLKEDDSGGNGNKRSKVPKDESPNLDLELEENSSSTRSSNDESTRSNGFRQNGSRRKSTPQRAAEAGL* >Brasy8G079200.1.p pacid=40079615 transcript=Brasy8G079200.1 locus=Brasy8G079200 ID=Brasy8G079200.1.v1.1 annot-version=v1.1 MADSTGHLPPVTDRRVQSLASRVSIALAAVSTLFLIYLFRHASTSSCFPAPHTLSLSLAPFPRTSCDAASRRILPPDRRLAKLRSSPRWRRRSAALSSSAFPRLRDLRLLAGSSRVLCLTAGAGNAVDALHAAGVADVTGIDLVDFPPLVRRADPHRLPFSDGAFDLLDPAAVAALFKRSRAVDTRDVTLELDGSQVRILIFQTNGTTLKPH* >Brasy8G141700.1.p pacid=40079616 transcript=Brasy8G141700.1 locus=Brasy8G141700 ID=Brasy8G141700.1.v1.1 annot-version=v1.1 MLSPAAAAAAAVRAAAGSPRSLRRAHARLIKEGLAQHPPAPALLVSAYAKSRLLPDARLLFDETPRRDLHLYSSLVAAVSHSDSPELVLPLLRRMLSADALRPDHFVLASIASATARLRSLSLGKQLHGHFVASPYSSDDVVKSSLIDMYCKCGIPDDARKVFDSIGAKNSVIWTALISGYVLNGRSDEALQLFRSMPGRTLFAWTALISGFVRSGESVSAVQLFVEMRRDGVSIDDAFVLSSAIGGAADLAAHVLGRQLHSLSMRLGFSSSMIVGNAVVDMYSKCSDIHSAREVFEEITGRDIISWTTMLVGEAQHGRAEEAFALYDRMVLAGVKPNEVTFVGLIYACSHAGLVQKGRQLFDSMKREYGINPRLQHYTCYLDLLSRSGHLAEAEELITTMPYEPDEASWAALLSACKKYNNAEMSIRVADNLLELRPKYPSTYVLLSNVYAVNGKWDSVDTVRKLMADMEIRKEPGYSWIEVGREFRLFHAGEVPIDVREEILGFLEELVSEMSQRGYVPDTSSVMHDLEEHEKEHHLCLHSERLAVAFGILRSPPGSVIRVVKNLRVCNDCHTVMKFISEIFQRKIIVRDASRFHHFEGGKCSCSEFW* >Brasy8G034000.1.p pacid=40079617 transcript=Brasy8G034000.1 locus=Brasy8G034000 ID=Brasy8G034000.1.v1.1 annot-version=v1.1 MERVMKDDTIQEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLQRTMDNKGNTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMTKVADIEAAEKQKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAVEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDRLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDQLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENSTAGIDVITGNVGDMQKRGICEAFKVKQAIILSATEAAEMILRVDEIITCAPRRREDRM* >Brasy8G152500.1.p pacid=40079618 transcript=Brasy8G152500.1 locus=Brasy8G152500 ID=Brasy8G152500.1.v1.1 annot-version=v1.1 MSAHLPPPHGPRAGLAAGDPKKTDHGGEPTKKLPPCCGAGDHQHPKKPGSSGPSAAKLALGSFLGVVVLFAVDVSLTGAGPEQRLRHQYQHYLGGGGAESAPAPPSWLSVPNPSNFTGDLLARWLTPGGSPCRDARTANISIPVLDDAVAKLSAAEIHEFTFWALDDTGLRRCLGGDFFEIDLSGAAWKSRPPVVDHGDGSYTFRLQVAPRFATEEFHLTIVLLFRSFEGLKFSSARFKYRAELRRIPLLFRPGNASLPALETCRAADFGRDAWSGRWTRLAKNDNCDDVDGAGRYRCLEPEYPCEAPWCDGPLGVLESNGWVYSAHCSFKLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVEDTSAVTRRFDAVFTNPSGQAGTLRITSIFNGHWNMSMNYLGLHSLRHKGFRHLVRSYFLSDDRVPDVVILNSGLHDGCYWSSLRSYVQGTEYAVQFWAGLMAKVRSRGLAVPRVFYRTTVATGGYARDLAFNPNKMELFNGVLVEKMRQHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGKIGHQYFVDLMLGHVLLNAICNG* >Brasy8G159900.1.p pacid=40079619 transcript=Brasy8G159900.1 locus=Brasy8G159900 ID=Brasy8G159900.1.v1.1 annot-version=v1.1 MPLSFAFPGSNAVAFAPHKEHQSPEARPTHICPCPPCLHVHKILPSKSFSLHITTRRNPATLVDKGSMGQAVAKPKQGAAEQATQKTDEKDGKAAAKTNDAKELVDFMARNYESKIKPGIEFDDFYHAIHDMVEKFCEERGQLQYKIPPRKELEHAYKTVHPNDGKNLTRTEFMKITENIIKVDSFTFGKAAIDVLMVLFGAPVCALFIKRVIPGLKSFSDDVVIPVATSGAVVYLAKTNKL* >Brasy8G200700.1.p pacid=40079620 transcript=Brasy8G200700.1 locus=Brasy8G200700 ID=Brasy8G200700.1.v1.1 annot-version=v1.1 MEHGSVADSSASSFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVMVDALQGLMVMCQHARGTFDTAVADFRANKPTERMDIDLNKS* >Brasy8G200600.1.p pacid=40079621 transcript=Brasy8G200600.1 locus=Brasy8G200600 ID=Brasy8G200600.1.v1.1 annot-version=v1.1 MSAASSDSAAASKRPFDPSLADPSLPSKLQRSSHPDISPAEKPEGGGAAGPESEAMAATRVPRGQRYLVAVEYAGTRFCGSQQQPDQRTVVGVLEEAFHKFVGQPVSVFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHDPGVVKRAVNHFLQKNEGDIMVTDVRCVPPDFHARYKALERTYHYRILSGPERQSVFEKNSAWHIPEDLNIQAMKKACDILVGYHDFSSFRATGCQAKSPVRTLDELTVTEVFPFMFFPSSLERSEMESPDGSLVYSRTSLMGASAEGSDAPSTASGKSVSENGQEFGKRSRHRCFVVTARARSFLYHQVRLMVGLLKSVGIGDLTTADVERILDAKAVSAAPPMAPASGLYLANVKYDLSI* >Brasy8G200600.3.p pacid=40079622 transcript=Brasy8G200600.3 locus=Brasy8G200600 ID=Brasy8G200600.3.v1.1 annot-version=v1.1 MSAASSDSAAASKRPFDPSLADPSLPSKLQRSSHPDISPAEKPEGGGAAGPESEAMAATRVPRGQRYLVAVEYAGTRFCGSQQQPDQRTVVGVLEEAFHKFVGQPVSVFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHDPGVVKRAVNHFLQKNEGDIMVTDVRCVPPDFHARYKALERTYHYRILSGPERQSVFEKNSAWHIPEDLNIQAMKKACDILVGYHDFSSFRATGCQAKSPVRTLDELTVTEVFPFMFFPSSLERSEMESPDGSLVYSRTSLMGASAEGSDAPSTASGKSVSENGQEFGKRSRHRCFVVTARARSFLYHQVRLMVGLLKSVGIGDLTTADVERILDAKAVSAAPPMAPASGLYLANVKYDLSI* >Brasy8G200600.2.p pacid=40079623 transcript=Brasy8G200600.2 locus=Brasy8G200600 ID=Brasy8G200600.2.v1.1 annot-version=v1.1 MSAASSDSAAASKRPFDPSLADPSLPSKLQRSSHPDISPAEKPEGGGAAGPESEAMAATRVPRGQRYLVAVEYAGTRFCGSQQQPDQRTVVGVLEEAFHKFVGQPVSVFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHDPGVVKRAVNHFLQKNEGDIMVTDVRCVPPDFHARYKALERTYHYRILSGPERQSVFEKNSAWHIPEDLNIQAMKKACDILVGYHDFSSFRATGCQAKSPVRTLDELTVTEVFPFMFFPSSLERSEMESPDGSLVYSRTSLMGASAEGSDAPSTASGKSVSENGQEFGKRSRHRCFVVTARARSFLYHQLREFWMLRQ* >Brasy8G254900.1.p pacid=40079624 transcript=Brasy8G254900.1 locus=Brasy8G254900 ID=Brasy8G254900.1.v1.1 annot-version=v1.1 MQAQLTHVLQAEVAEYGRSLLSGPLLLQREPHQSWLRRLEDKLRSVYAAITCTRTSDVVHHQASVRPPRPSTHLQQPRQQEPPHLRHHPRARLAEQSTPRPPPPEQAGGSSWQHP* >Brasy8G041900.1.p pacid=40079625 transcript=Brasy8G041900.1 locus=Brasy8G041900 ID=Brasy8G041900.1.v1.1 annot-version=v1.1 MGFLYLSSLLFRWNFSMSRIGSKSRPLWVGGWGRRSRRVTGERSRRDLGALSRRGCGERLRSRRGSGERVRSRRGSGERERERRGWQWSLPSSMAPPIRSGFWSNCRRRGLLLETRAPVCIYRDTNYW* >Brasy8G136700.1.p pacid=40079626 transcript=Brasy8G136700.1 locus=Brasy8G136700 ID=Brasy8G136700.1.v1.1 annot-version=v1.1 MAGSDEVNRNECKTVVPLTTMVLISNFKVAYNMLRRPDGTFDRDLAEFLDRRVPPNARPVEGVSSFDHVIDQSVGLEVRIYRAAAAADADAGAGAAAVTLPILEFLTGAPSPEPFPVIIFFHGGSFAHSSSSTAIYDHLCRRFVKLSKGVVVSVNYRRAPEHRYPAAYDDGWTALKWALAQPWLRSGESSQLRVFLSGDSSGGNIAHHVAARAADEGIKIYGNILLNAMFGGNERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLRGLPFTKSLIIVSGLDLTCDRQLGYAENLREDGLDVKVVHREKATIGFYLLSNTDHYHEVMEEISDFLQANLL* >Brasy8G213800.1.p pacid=40079627 transcript=Brasy8G213800.1 locus=Brasy8G213800 ID=Brasy8G213800.1.v1.1 annot-version=v1.1 SLPCRRRTHPSPPSPSLPPHLPRAPLFLPPHLPTSPTLHPAGDEDREEEPGAAIFASRAPACRSPLRRRSRPREPRRATGSEEPPATLPPDPKRPPPEPAIFSRAAAGSGRGGPWSGGAWRTTGAHEMVDAEV* >Brasy8G213800.2.p pacid=40079628 transcript=Brasy8G213800.2 locus=Brasy8G213800 ID=Brasy8G213800.2.v1.1 annot-version=v1.1 SLPCRRRTHPSPPSPSLPPHLPRAPLFLPPHLPTSPTLHPAGDEDREEEPGAAIFASRAPACRSPLRRRSRPREPRRATGSEEPPATLPPDPKRPPPEPAIFSRAAAGSGRGGPWSGGAWRTTGAHEMVDAEV* >Brasy8G213800.3.p pacid=40079629 transcript=Brasy8G213800.3 locus=Brasy8G213800 ID=Brasy8G213800.3.v1.1 annot-version=v1.1 SLPCRRRTHPSPPSPSLPPHLPRAPLFLPPHLPTSPTLHPAGDEDREEEPGAAIFASRAPACRSPLRRRSRPREPRRATGSEEPPATLPPDPKRPPPEPAIFSRAAAGSGRGGPWSGGAWRTTGAHEMVDAEV* >Brasy8G006800.1.p pacid=40079630 transcript=Brasy8G006800.1 locus=Brasy8G006800 ID=Brasy8G006800.1.v1.1 annot-version=v1.1 MATRYWIASLPVQSAGGDSSAAAKSALWARLQDSISRHSFDTPLYRFTVPDLRPGTLDSLLALSDDLVKSNIFIEGVSHKIRRQVEDLERAGGVEGGALTVDGIPVDSYLTRFVWDEGKYPPHGPLKETVASIQSQVAKIEDDMKVRVAEYTNVKSQLSAINRKQTGSLAVRDLSNLIKPEDMVTSEHLVTLLAIVPKYSQKDWLASYESLDTFVVPRSSKRLYEDNEYALYTVTLFAKVVDNFKVHAREKGFQIRDFEYSPEAQESRKQELEKLLQDQELMRTSLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPNFLSVVLAPSTKSEKKVRNILEGLCGSSNSNNYWKQDDDVGVAGLGGDTESHPYVSFTINFV* >Brasy8G009700.1.p pacid=40079631 transcript=Brasy8G009700.1 locus=Brasy8G009700 ID=Brasy8G009700.1.v1.1 annot-version=v1.1 MGGGDASGLGAGPEREQEEEQARKRAAAAGYDYEGDARWAEYWSNVLVPPHLASRPDVVGHFQRKFYQRYIDRDLVVEPMSSIGSTQASRPEVRSSSSASSESVRPRNSGSSSRSAAPPPPPPQADGAANPLRFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTILSSGYSLYSTYGKPRALNMPAIQGWLNSVLGTKDFIHLMFSVLLFTSQLHLKIAALPVFCWALDHVARFLRRNFTHSSFYRGYLEEPCLWVETNNTTLSLLSSNAELALGFLLIISLFSWRRNIIQTFMYWNLLKLMYRAPVTSSYHQSTWAKIGRTVNPYIHRYAPFLQTPISTIQRWWLR* >Brasy8G186300.1.p pacid=40079632 transcript=Brasy8G186300.1 locus=Brasy8G186300 ID=Brasy8G186300.1.v1.1 annot-version=v1.1 MAPPTVSFTSILLPFLLMSILTLIPTLCYVNPAAPVVQKDGTKTSAGRTYIVLVEPPRLVSPYEHRQWHESFLPSPCTDDSGKPCLLHSYTEAFSGFAARLTDAELDVVAKKQGSCPMTTHTPEFLGLRTGSTGFWSHAGYGKGVIIGLLDTGIYAKHPSFDDHGVPPPPARWKGSCKADRCNNKLIGAVSFVGDEPGDDEGHGTHTSSTAGGNFVAGASSHAVGAGTASGIAPGTHIAMYKVCTHHGCTTSSILAGLDTAIKDGVDVLSLSLGSDTSTRFDLDPIAMGAFNAVSKGIIVVCSAGNLGPVLASVNNDAPWLLTVAAGSVDRSFDAAIHLGNGKSINGQEINQVLKPSLKPYPLLYSEERRRCSYEGDSVVVGKIVVCEFTLSKTKKLDIHGIMDTGAAGVVLFNDRFTGDATILVDYNSSVVQVTAADGVVLKEYARSTRSSTAALRYNNTVLGVRPAPVVASFSSRGPSLVDPGVLKPDILAPGLNILAAWPPKTRSGSGPFNFLSGTSMSAPHVSGVAALIKSVHPGWSPAAIKSTIMTTANVVNSTGGPILDEKHRQASVFAAGAGHVNPARAADPGLVYDIHATEYAGYLCWLVGNTRPAPVVGNSSLPCRTLPKVTDLQLNYPSITVPLTPTSSFTVNRTVTNVGPARSTYTAKVDSHKSLAVRVFLKTLVFSKVGEKKTFSVSVSGHSEHEDELSLEASLSWVSQKHVVRSPIVAVYGPPPLPPSP* >Brasy8G022900.1.p pacid=40079633 transcript=Brasy8G022900.1 locus=Brasy8G022900 ID=Brasy8G022900.1.v1.1 annot-version=v1.1 MEATGNGAAAVASCPDWSLLPQDVLVDIYLELEIPDLLRAAAVCTSWHSAYSTFRRLRLPSPKQTPCLLHSSDVYGPDAAALYCPATGATFRVPRPGTRLRSLWPIGSADGWLVAADEIGNLHPLSGGRVELPPVTAMYHVKASLDEEGGLVYDVDENNPRYPGPTRVPALEIRDCMYFRAVLSCGPSAGAACVVLLLHMPNGEISYARPGDENNPEAQPRLHHR* >Brasy8G018000.1.p pacid=40079634 transcript=Brasy8G018000.1 locus=Brasy8G018000 ID=Brasy8G018000.1.v1.1 annot-version=v1.1 MAATEAAPPPAATAAGPGNPHKRKKQPKGKGRGNPGKNKKLKGPDESARRRRKPSSKFIKLLEKRARDYNSDDDEEEDQPPPRKQHAEAGDAAVDANDDDDQEAPSSSEEASSSGDESGEEEKAAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEEADEHKSKGEARKEKRIAAEKGHVIPENHINNKEKMLIKVATQGVVRLFNEVSKRQTRKGLNPSRTKDVKAAFGREKTLSVPSNQGQKGQASSSFSKHIGKDEDEPGWAPLRDTYMLGSKLKDWDKVPDSDVAKQTEVPLDNFSDDE* >Brasy8G018000.2.p pacid=40079635 transcript=Brasy8G018000.2 locus=Brasy8G018000 ID=Brasy8G018000.2.v1.1 annot-version=v1.1 MAATEAAPPPAATAAGPGNPHKRKKQPKGKGRGNPGKNKKLKGPDESARRRRKPSSKFIKLLEKRARDYNSDDDEEEDQPPPRKQHAEAGDAAVDANDDDDQEAPSSSEEASSSGDESGEEEKAAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEEADEHKSKGEARKEKRIAAEKGHVIPENHINNKEKMLIKVATQGVVRLFNEVSKRQTRKGLNPSRTKDVKAFGREKTLSVPSNQGQKGQASSSFSKHIGKDEDEPGWAPLRDTYMLGSKLKDWDKVPDSDVAKQTEVPLDNFSDDE* >Brasy8G024500.1.p pacid=40079636 transcript=Brasy8G024500.1 locus=Brasy8G024500 ID=Brasy8G024500.1.v1.1 annot-version=v1.1 MASAGHRLPLLGTPLELDASWGQCVGSFGDWLVCTRLCRSTPPCSWMGADDCCFLANPFSSETIYLPRPCAAHSFRTIRISVPLCNGNGNDEVVCTIYAPEYAMALCKVVLSAPPEAGANFFAELKVGSSCIIAAISQKVGEYKLAFCRPGMLSWCICEGNCIKRYIDIEFFQGKLYLVNSSSGDLFVFEFGAHDCFFPVVSRAEHCLIEKLPLIDGSDRQNYNLVQSDGKLLLVVRYFTGSWDQFTGVRVFALDFNSDPWRWIEMKGLDGNSILISSSCSKSFPASEYGEIEDDHIYFLDYFCPNLFPKALDNYAYRSQVHSIRDGRINPFLIGKGPMRYKGFPMWFCPSQ* >Brasy8G264500.1.p pacid=40079637 transcript=Brasy8G264500.1 locus=Brasy8G264500 ID=Brasy8G264500.1.v1.1 annot-version=v1.1 MGERDFDSGDDGARETDEAAAAAAAPVRDIRRYKCEFCDVVRSKKQLIRAHVLQHHKDEVDDLEEYSEAAGGVARKEISHDCVECGTSFKKPAHLKQHLQSHSSERPFACHVDGCPFSYRRKDHLNRHLLTHQGKLFICPMEGCNRKFSTKGNLQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKFASKLRKHEDSHVKLDYTEVICCEPGCLKTFTNVECLKAHNQSCHRYVQCDICDTKQLKKNLKRHQRMHEGSCVSETVKCHFEDCKCSFSKKSNLDKHIKAVHEQSRPFACGFSGCGKRFSYKHVRDNHEKSTAHVYFELLIKSLQGDFVEAGPHPGGRKRKPIPVETFTRKRVAAPGDVPTFADGTGYLRWLLSG* >Brasy8G264500.2.p pacid=40079638 transcript=Brasy8G264500.2 locus=Brasy8G264500 ID=Brasy8G264500.2.v1.1 annot-version=v1.1 MGERDFDSGDDGARETDEAAAAAAAPVRDIRRYKCEFCDVVRSKKQLIRAHVLQHHKDEVDDLEEYSEAAGGVARKEISHDCVECGTSFKKPAHLKQHLQSHSSERPFACHVDGCPFSYRRKDHLNRHLLTHQGKLFICPMEGCNRKFSTKGNLQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKFASKLRKHEDSHVKLDYTEVICCEPGCLKTFTNVECLKAHNQSCHRYVQCDICDTKQLKKNLKRHQRMHEGSCVSETVKCHFEDCKCSFSKKSNLDKHIKAVHEQSRPFACGFSGCGKRFSYKHVRDNHEKSTAHVYFEGDFVEAGPHPGGRKRKPIPVETFTRKRVAAPGDVPTFADGTGYLRWLLSG* >Brasy8G264500.3.p pacid=40079639 transcript=Brasy8G264500.3 locus=Brasy8G264500 ID=Brasy8G264500.3.v1.1 annot-version=v1.1 MLQRPFACHVDGCPFSYRRKDHLNRHLLTHQGKLFICPMEGCNRKFSTKGNLQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKFASKLRKHEDSHVKLDYTEVICCEPGCLKTFTNVECLKAHNQSCHRYVQCDICDTKQLKKNLKRHQRMHEGSCVSETVKCHFEDCKCSFSKKSNLDKHIKAVHEQSRPFACGFSGCGKRFSYKHVRDNHEKSTAHVYFELLIKSLQGDFVEAGPHPGGRKRKPIPVETFTRKRVAAPGDVPTFADGTGYLRWLLSG* >Brasy8G264500.4.p pacid=40079640 transcript=Brasy8G264500.4 locus=Brasy8G264500 ID=Brasy8G264500.4.v1.1 annot-version=v1.1 MLQRPFACHVDGCPFSYRRKDHLNRHLLTHQGKLFICPMEGCNRKFSTKGNLQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKFASKLRKHEDSHVKLDYTEVICCEPGCLKTFTNVECLKAHNQSCHRYVQCDICDTKQLKKNLKRHQRMHEGSCVSETVKCHFEDCKCSFSKKSNLDKHIKAVHEQSRPFACGFSGCGKRFSYKHVRDNHEKSTAHVYFEGDFVEAGPHPGGRKRKPIPVETFTRKRVAAPGDVPTFADGTGYLRWLLSG* >Brasy8G132600.1.p pacid=40079641 transcript=Brasy8G132600.1 locus=Brasy8G132600 ID=Brasy8G132600.1.v1.1 annot-version=v1.1 MVMAATPLHRLFLFVTLLAAAAVSSVSGARGVPAEPSAYEMLEKFGFPKGILPVGVTGYTLRRSDGAFQVFMDRDCEFEVDGGYRLTYQRTISGRVAGGSIRDLRGVSVKMFFVNWGIDQVLMADADHLMFYVGPLSQAFTTDNFLESPECRGCRGSDGGQSGGGGSAVAAI* >Brasy8G051200.1.p pacid=40079642 transcript=Brasy8G051200.1 locus=Brasy8G051200 ID=Brasy8G051200.1.v1.1 annot-version=v1.1 MASSARVLFLAAALLALAMASSVEARPQCTLAVTEFAACADLRLQLGPGPMLPDANNNRCCRRIKELPSPEAADCLCLAFQARVRAGDDVKAVFKFCAKELDPAFDNCALI* >Brasy8G055600.1.p pacid=40079643 transcript=Brasy8G055600.1 locus=Brasy8G055600 ID=Brasy8G055600.1.v1.1 annot-version=v1.1 MVSWKKVCTPKRFGGLGVKNLVAFASALRLRWLWLHWQPKDRPWKGTVLPCTKVDRDLFSACTVISIGNGMLTSFWFDRWIDGAAPLDVAPDLFPLARRKKVSVHEALVNDLLMKGLERLNSAGQLRQFFSLWQAVRSLALSQICDSVVWKFNPNGIYSAKSAYECQFLGRVPSPSLQRVWSIKMEGKVCVASSISRWWKCLTVGGRSPGRNAAMTFGAYVAWNLWKERNRRIFQGKDVSPPRLREIISAEVEFFRLAKGL* >Brasy8G004400.1.p pacid=40079644 transcript=Brasy8G004400.1 locus=Brasy8G004400 ID=Brasy8G004400.1.v1.1 annot-version=v1.1 MPFEVRDRLIHDAGHATGRRRRCRPVTKLSLFVKGHDDDFCCGVMNNSIWTTDGGRSLMNSLLLDVPALRHVQELRVGFDVVDRERELNVLYNLDLAVLPGHDLRVLDLTRCALDVPRGGRGAAISLPRLSALRLHKCSTPMKDLQGLIHAAPSLGSLHVEDLFCGHMARGDRFVLRCPSVAALTLATALGTRHAVEIDAPCLRAFNFPHARSIRLKVPSIEGIAVVDKHAQHEHLVTFHGLERLELDGPSHPGHRDEAATAIANLLQCCPVIHDFHIRMIAETWGYRTHFADEIPLSDLDVSMDLFERRYSREMAPLMLLDRDDGSSQVADLPGLTGCRFNCLQNHLKNVKLQFELKELDSFEVCLAKFFAENCMALEVLQIDDGKLNYLSHINWMVHRWRANALEQRKRIGRDSADASQQRGERKRYTMEEPNLTLAKARRKH* >Brasy8G065300.1.p pacid=40079645 transcript=Brasy8G065300.1 locus=Brasy8G065300 ID=Brasy8G065300.1.v1.1 annot-version=v1.1 MACPPHWPRASSGSVEAAADGAGRLRMRLPKGLPPAALPPRPRRRPAPPCAPAALPRAPASLPPASRAPAASRPPAPRGYCLALRGHRPRRAPARTAARPLLPRRPRHAATSSLCVATARAVRPTASPCPACTATALLPRPRRLPAPPPAPPTAAAHRTRRRAEEKKRKKRGVK* >Brasy8G201900.1.p pacid=40079646 transcript=Brasy8G201900.1 locus=Brasy8G201900 ID=Brasy8G201900.1.v1.1 annot-version=v1.1 MATSAVLMFAGKTVAAPAISFLVTKAFNCLNEYCSSEGMEDIKNNLFQSIPKVGALFDIVNPEYIKEQSKHLDAWLWLLRDAVEEAEDAIDEFEYFKLEEKVKDQKVSDRGSSFGKMKHKALDYIKRVSILDNRVSKITHRQTIKRLRRAVVGLDKAAAGVASFFTLADHIKGFHSSSQHHDYLMNEDRETGPMLTTTAVFGRDAEKGQLVKWLTKNISEEDEIVTSSNHLPVISVVGHGGMGKTTLAQQVCKEDRQVVEEKLKSSRFLLILDDFWEDKNIDQWGKLFAPLRAGNRGTEMAANVMKGERECLTLHGLDKGDNLMLLHHHAFAGLNPQDYSELHSIGEEIAKKLRGYPLVTKVAGGYLRSNMTSQCWNKFLCEDFGYFEGNVEDVMKVLKLSYHHLPAQLQVCFRYCSIFPSGYCFRKEELVQLWICSGLILQATSGAKLMEDTGEEHLAQLTRKSFFDLKYQKNCFAEEFESLLFLNLQLRPWRTPPPPSPHKLPPWQPFLSHRIHLPPSFSRSARRLPLAPPPSFVQAAAASLSREPPPPPSFVEAASLIPASRRPGSGEIRPRQPLPLATLGTFSSAAPPARQPISPNPTTPIPEPYHPQMPKRFLFSRSCWRRHTPCAPEPTPPRPPAPMLDGLLLPPLATAFLSRAAVTAFRSLSCVF* >Brasy8G235200.1.p pacid=40079647 transcript=Brasy8G235200.1 locus=Brasy8G235200 ID=Brasy8G235200.1.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKVGMTFLSEDEAYSFYNKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.10.p pacid=40079648 transcript=Brasy8G235200.10 locus=Brasy8G235200 ID=Brasy8G235200.10.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKVGMTFLSEDEAYSFYNKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.6.p pacid=40079649 transcript=Brasy8G235200.6 locus=Brasy8G235200 ID=Brasy8G235200.6.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.7.p pacid=40079650 transcript=Brasy8G235200.7 locus=Brasy8G235200 ID=Brasy8G235200.7.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.2.p pacid=40079651 transcript=Brasy8G235200.2 locus=Brasy8G235200 ID=Brasy8G235200.2.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.3.p pacid=40079652 transcript=Brasy8G235200.3 locus=Brasy8G235200 ID=Brasy8G235200.3.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.11.p pacid=40079653 transcript=Brasy8G235200.11 locus=Brasy8G235200 ID=Brasy8G235200.11.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.12.p pacid=40079654 transcript=Brasy8G235200.12 locus=Brasy8G235200 ID=Brasy8G235200.12.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMGHRHAEVSANHMYYLQEYNSALNPSTRPATGSTTPSMMTIQNQAFLHQSKPT* >Brasy8G235200.8.p pacid=40079655 transcript=Brasy8G235200.8 locus=Brasy8G235200 ID=Brasy8G235200.8.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMLFF* >Brasy8G235200.9.p pacid=40079656 transcript=Brasy8G235200.9 locus=Brasy8G235200 ID=Brasy8G235200.9.v1.1 annot-version=v1.1 MASSRISPRNHEGDDESQTCKDLQELTASNATDRINERVPKYASTIGFSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMLFF* >Brasy8G235200.4.p pacid=40079657 transcript=Brasy8G235200.4 locus=Brasy8G235200 ID=Brasy8G235200.4.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEVAGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMLFF* >Brasy8G235200.5.p pacid=40079658 transcript=Brasy8G235200.5 locus=Brasy8G235200 ID=Brasy8G235200.5.v1.1 annot-version=v1.1 MMNRKHAKICKNLQLAMQQIVLMKGYQSIRRGSHHKVKNTSAIQQRTFLCSRQGSRGEDKREDAFTYTRPETRCGCEAHMKISLRNGFYYVYEFEAAHNHILAPATMAQYLRSQRKVSEAQIANAEVAKSVGISNKATIDLMAEEACGLESLGFTREDIKNRLYSKRTLKAKQGDIGGVLEYMEKKLSEDVKFFYSIQVDEDDLITNIFWADSKMVSDYAIFGDVISFDTTYRKLDDGRPFGLIVGVNNHKKTIVFGATLLYDETAESFGWLFRTFLTVMSGKYPETILTDEDAAMAKAISLVLPQSHHRLCVWHMNQNACKHLAGVVQDYKKFNADFQHCIYDIEEEEEFISAWNGMLDRYGLHDNTWLQRLFGKREQWALVYGGNTFSAHMSSTQRNESMNNELKSYISIKYDILTFFEHFERLVADKRVEEVKCDFEATQTTPKLKAEASNILQQAGTTYTPAIFEMFQEQVLRTLNYDTFLCDDSNTEQKAYKVKFHGTSREHAVRFVPKEERVDCSCKKFESAGILCSHCLKILDINNIKHIPQQYILKRWTIDAKVLEITSNCNLHMDPKERMANRYRDLCRMFIKIAARAAESDESYDKVANSAEQLAQDVEKCLKIRTDPDLGNSSTSEGTNISSNRILKHNEGLPKPRGIKVKEKTVRGSRRPISGFEKAMQKKKKSTQDSVQLHPQGTSTGLLEVLTNQMPAYQLHHNPVLNAPMQPSVDTTMPVSLQPYDTSMLFF* >Brasy8G195700.1.p pacid=40079659 transcript=Brasy8G195700.1 locus=Brasy8G195700 ID=Brasy8G195700.1.v1.1 annot-version=v1.1 MAGHPYNNSQMTRMDHMNQPRNGPPPFGQKLFMHPRSDAPNGAVPSGYGGANTRSNDLPSSSYALQSYSQQIGAPGTLHSSHAVYPSAGSSSSSYAPYNTQHVPPLSYQHRTEDTFNPETHGDDRRVTLKRRNPTNNLVDGVIAGGYYAGSSSNHQFSGYMPPNPVPAPESYPPQIPSNLGSSHWNDRHFMNHEGPQRNVRGRHDHNIIHSEYNSATCPSSSIHVPPYHLNANAPFGSAPVQHDRASLSLPPRIIHPGTDGSLAFRERPHYPAPHPQSSNISAPVPTVPGSSDAAPFPRGGYTPRSVHRNAIHNYLPPAFATSSNSGAVQCEPANPIYQPATPSYPPAASASSSSVQPLHAEAAASFRLPRHVSVGHGGSARSRRMRDSLHCFHPLMIEDNNLGRSAAERFMMLDQLVIHESREAVDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVVELACHSSSHTQNDQDNERCIICLEEYGLKVSLGRLKCGHDFHASCIKKWLEVKNACPVCKADAANDTT* >Brasy8G062300.1.p pacid=40079660 transcript=Brasy8G062300.1 locus=Brasy8G062300 ID=Brasy8G062300.1.v1.1 annot-version=v1.1 MEYANLRGQAASLKRSLFDQGYLDEQFCQIEDLQDETSPNFAEEVVSLFFKDSVRLVTNIEQAMEKYPEDFNRWDAHMQQLKGSCYSIGASKMNNECTLFRNNCGQENAEGCMRSFQKVKREHAILRQKLESYFQLLRQAGPAGTATRPAGK* >Brasy8G221600.1.p pacid=40079661 transcript=Brasy8G221600.1 locus=Brasy8G221600 ID=Brasy8G221600.1.v1.1 annot-version=v1.1 MRLPPFPTEPDIPKIPHPQPSKPEEGSPAPNPEPSRIVPAPRSRVPPPRFIRMADPAVGGSLVRAEAWTPEFGDMVWGKVKSHPWWPGHVYSLNLSDDPEVHRGKREGLVLVAFFGDGSYGWFEPQELVRFADQFAEKISVGGNRPLAAAVAESMDEIARRSALALLCPCRGPDSFRPHNENPEYLLVNVPGFDCNAEYTPAQVTAAQERFVPGKLLGFLQDAAVQQRDAAQTAARTLPGVEMAAMIVAYRRSRYEKYDITYAESFGVDPEKALEAEKKAEAERSQRARPLRGRQRMPLPEKETAPPARGRRGTAGAAARLMEKIVPGAPAMKPRSSSSKKDQYLLKRREPASLPDAPEDGGPPPGFPPAATAEPQTPPLPGSGGVGDEEEEFMLQRRALPPAGEGEAAAAGVPPKKKAKAKKARVKREREEPESSDAMAAGEASAAAGEEPKKKTKKKKLAELNGGFPTAGASSSSGGAKSGAAPKVDLEGLDLKQVMSDLQKLPVLPFHGASGGRISDASQSFVLAFRSKHYKKSYENDPPEESKKTGLDGAPAAAAAAADVQPPKPAKKKQPAMRPADPTSAGVKRGPSDRQEEMASKKKIKLEKLKTLAADKKAGLELKDTAAAAAAPLGAQQQQQARAGMKEKAAAAMAAAAKKKAPAPAAPARAARTASPTALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFQADAEVALKYAKANAMFGQLTPNYYLRPVESSSADLGPDAAAPPAPPPRSDLRLMETTPFRPGSSGNGAPLTLSRAAAPARAAVGQQPKSILKKNNDDGASAAAARDAPRVKFVLDAGDSKVEPPAVPDAVAAAAAPGSGKMARSVGFAQPPLMPPPRPAHPGVQLPMHAQQLQQLSRAPAAQQQLPPPPPLPYQPPRTNEPPQYLAPPRLNEPSPYAPRHMEVPPPSFAAQPPYQLPHHSEGTPPLAHPGQPPLPYPPHPGFPGQQYRPNDMPPAHSVAHNAAATSTASAAGEDMPVWKRGPKEFDAELKRVMLGIAKLVEPLTDKNGNFPYHLFSGSS* >Brasy8G221600.2.p pacid=40079662 transcript=Brasy8G221600.2 locus=Brasy8G221600 ID=Brasy8G221600.2.v1.1 annot-version=v1.1 MRLPPFPTEPDIPKIPHPQPSKPEEGSPAPNPEPSRIVPAPRSRVPPPRFIRMADPAVGGSLVRAEAWTPEFGDMVWGKVKSHPWWPGHVYSLNLSDDPEVHRGKREGLVLVAFFGDGSYGWFEPQELVRFADQFAEKISVGGNRPLAAAVAESMDEIARRSALALLCPCRGPDSFRPHNENPEYLLVNVPGFDCNAEYTPAQVTAAQERFVPGKLLGFLQDAAVQQRDAAQTAARTLPGVEMAAMIVAYRRSRYEKYDITYAESFGVDPEKALEAEKKAEAERSQRARPLRGRQRMPLPEKETAPPARGRRGTAGAAARLMEKIVPGAPAMKPRSSSSKKDQYLLKRREPASLPDAPEDGGPPPGFPPAATAEPQTPPLPGSGGVGDEEEEFMLQRRALPPAGEGEAAAAGVPPKKKAKAKKARVKREREEPESSDAMAAGEASAAAGEEPKKKTKKKKLAELNGGFPTAGASSSSGGAKSGAAPKVDLEGLDLKQSYENDPPEESKKTGLDGAPAAAAAAADVQPPKPAKKKQPAMRPADPTSAGVKRGPSDRQEEMASKKKIKLEKLKTLAADKKAGLELKDTAAAAAAPLGAQQQQQARAGMKEKAAAAMAAAAKKKAPAPAAPARAARTASPTALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFQADAEVALKYAKANAMFGQLTPNYYLRPVESSSADLGPDAAAPPAPPPRSDLRLMETTPFRPGSSGNGAPLTLSRAAAPARAAVGQQPKSILKKNNDDGASAAAARDAPRVKFVLDAGDSKVEPPAVPDAVAAAAAPGSGKMARSVGFAQPPLMPPPRPAHPGVQLPMHAQQLQQLSRAPAAQQQLPPPPPLPYQPPRTNEPPQYLAPPRLNEPSPYAPRHMEVPPPSFAAQPPYQLPHHSEGTPPLAHPGQPPLPYPPHPGFPGQQYRPNDMPPAHSVAHNAAATSTASAAGEDMPVWKRGPKEFDAELKRVMLGIAKLVEPLTDKNGNFPYHLFSGSS* >Brasy8G221600.3.p pacid=40079663 transcript=Brasy8G221600.3 locus=Brasy8G221600 ID=Brasy8G221600.3.v1.1 annot-version=v1.1 MPLPEKETAPPARGRRGTAGAAARLMEKIVPGAPAMKPRSSSSKKDQYLLKRREPASLPDAPEDGGPPPGFPPAATAEPQTPPLPGSGGVGDEEEEFMLQRRALPPAGEGEAAAAGVPPKKKAKAKKARVKREREEPESSDAMAAGEASAAAGEEPKKKTKKKKLAELNGGFPTAGASSSSGGAKSGAAPKVDLEGLDLKQSYENDPPEESKKTGLDGAPAAAAAAADVQPPKPAKKKQPAMRPADPTSAGVKRGPSDRQEEMASKKKIKLEKLKTLAADKKAGLELKDTAAAAAAPLGAQQQQQARAGMKEKAAAAMAAAAKKKAPAPAAPARAARTASPTALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFQADAEVALKYAKANAMFGQLTPNYYLRPVESSSADLGPDAAAPPAPPPRSDLRLMETTPFRPGSSGNGAPLTLSRAAAPARAAVGQQPKSILKKNNDDGASAAAARDAPRVKFVLDAGDSKVEPPAVPDAVAAAAAPGSGKMARSVGFAQPPLMPPPRPAHPGVQLPMHAQQLQQLSRAPAAQQQLPPPPPLPYQPPRTNEPPQYLAPPRLNEPSPYAPRHMEVPPPSFAAQPPYQLPHHSEGTPPLAHPGQPPLPYPPHPGFPGQQYRPNDMPPAHSVAHNAAATSTASAAGEDMPVWKRGPKEFDAELKRVMLGIAKLVEPLTDKNGNFPYHLFSGSS* >Brasy8G194400.1.p pacid=40079664 transcript=Brasy8G194400.1 locus=Brasy8G194400 ID=Brasy8G194400.1.v1.1 annot-version=v1.1 MESFFSADNMNVFMTVIIVIYVISIIAPMLYFCVDLCMHRRTDDVAARSNANGVAAPLPTDGYELEEIRVDAVSGEPGALLVLLWESSSSANADSCAVCLGEMEEGELGRMLPTCLHVFHVVCIDAWLRRNPTCPVCRMAMRMSEDTVADGFSLTERVPIYMGENVWANFPG* >Brasy8G223300.1.p pacid=40079665 transcript=Brasy8G223300.1 locus=Brasy8G223300 ID=Brasy8G223300.1.v1.1 annot-version=v1.1 MNPSSYPFELRNRSLSGATKFLAQLPTISCPRGTIPMLQKSKGDIINFQSVRATNVERKGELAMAKTVDNIYGSSVSINVYEPKVKEKSEDFSASWLTLLNDGNLLGAGSMVWPSFSGDNFARFHIVWEEHSHLGEWCFDHRCPGFVQVSSSIGLGARIQPVSVYNGPQHQIDVLMFKDPKTKDWWLAFGGKPVGYWPSSIFSGMDKVAKAAWGGYVWGPTARLNFPEMGSGHFAQEGFGKAAFMKDIKIVDENNKYVTPNMDKTIDASSKQSCYWVDNLGHDDGGMHVFYGGPGGCKT* >Brasy8G250400.1.p pacid=40079666 transcript=Brasy8G250400.1 locus=Brasy8G250400 ID=Brasy8G250400.1.v1.1 annot-version=v1.1 MAVSSWVPPSLPESAAASGMVLLDRWCYIADLPNDTTAESTTSSGFPLKVTLRAARPPLVSHFCVHCPGLDFRSTGPMIVATDADLVLLSVPVDPNSRSDRDYFVYSPRSRWLHLLPNPHHRRLHHSATALISRSQDGGASYYAVAALGVCSSVYDGDTLLRWELDLHLYRSSDSKGWISKRLSANKLERDKLLPLPRGVDRLYHETGKTITVGGEHGTVAWVDLWRGIFFCDVLKERPLLRDVPLPVPARGNWDRLLRNSDPGYLRDVTISRFKDSIKYVELEFCFSSQRELDAAAIPVSSYTDWVRDNSRKSQIIRDG* >Brasy8G271700.1.p pacid=40079667 transcript=Brasy8G271700.1 locus=Brasy8G271700 ID=Brasy8G271700.1.v1.1 annot-version=v1.1 MAVPHLDEGVVSEILHRLPTKEAYRVAAVCRRWRAVLSEPTFLCRHLCMRPLLPDERPFAFIVQPKGKVRYTHVTVVPTDPGDAVRLNLPLARRYMDNPPPLPRQSPPALLNDTAAGKSVLHLLYREDDPVGPPELLDTACGEDDDDALFSFVFPSDGPDDFVVVPEEETMVEADRDRVPPGHNDYVFFFEQTVPTLNISIPDHAQHLHRGFYVCNPAANLWLALPPSTTVPGLETNSGIHYDILDGASSTAFTVVLLARRRRRRVLVETFSSTTGRWDAPRRAGAARRLGAASPGIHVGSCFYWLAQDRLLDARRVPGGILRYDATRGRVSVLREPPEPEQSKARAGRSLGSAGGRLRMCAFDIVRDDHETSLPHDGLEGAHGVWMMTTEDGNGETAGNQWRWRRVHEAVVGDISTWYFNMLFDHEKPVDFAGACGDFIVVEKEMFLLRYDLESGAKVELCSLYRSSGNLGHLYRRFHAFAFFR* >Brasy8G173600.1.p pacid=40079668 transcript=Brasy8G173600.1 locus=Brasy8G173600 ID=Brasy8G173600.1.v1.1 annot-version=v1.1 MCRGARDDRRFLTAASGTRPMVRLLIRARRLHRRRWVRNGHIDSPDFTRMAENNEPNSEIVEPNRRCAGATGQEGVTFNFLRQQETWLASNNKVLGKFLCLYLYSEAIERLIM* >Brasy8G173600.2.p pacid=40079669 transcript=Brasy8G173600.2 locus=Brasy8G173600 ID=Brasy8G173600.2.v1.1 annot-version=v1.1 MCRGARDDRRFLTAASGTRPMVRLLIRARRLHRRRWVRNGHIDSPDFTRMAENNEPNSEIVEPNRRCAGATGQEGVTFNFLRQQETWLASNNKVHI* >Brasy8G173600.3.p pacid=40079670 transcript=Brasy8G173600.3 locus=Brasy8G173600 ID=Brasy8G173600.3.v1.1 annot-version=v1.1 MCRGARDDRRFLTAASGTRPMVRLLIRARRLHRRRWVRNGHIDSPDFTRMAENNEPNSEIVEPNRRCAGATGQEVLGKFLCLYLYSEAIERLIM* >Brasy8G290700.1.p pacid=40079671 transcript=Brasy8G290700.1 locus=Brasy8G290700 ID=Brasy8G290700.1.v1.1 annot-version=v1.1 MESREEERGKKKLLTKENKKAEAATGSSSSSSAAPAVDGSGQELQEEELDGANKRRRRGPWRWRLRRKVREHRARFYIVRRCVHMLLCWRGDDDDDR* >Brasy8G285100.1.p pacid=40079672 transcript=Brasy8G285100.1 locus=Brasy8G285100 ID=Brasy8G285100.1.v1.1 annot-version=v1.1 MAGTAQATALDIDEVPFSDLLLLLSPEAAAGDDDDSRRRRLLATVGAALGRGGTGLLAVAGVPRAAALRRRLLPLARRLALMDHPSRAQLLKKHGLGSDVPLKKLDRSVSSFARLLRNGDSGKLHLPLPESEVVPPPGVDGFGEHKGDDDDDIENLGELFKELGLCMMELGILVVRACDIVTGGNQLEQSIADFGSAKARLIHYHSELDNIVIKENSENRRNLVRNAGAAAKPVPDLMDTSCYQRPGSADGSCIRSGKEKDCKDMVTQGQSSAVSLVNLWQEWHYDYGVLTVLTAPLFLCSVLGQECSVSKECPPPDGHTYLQLLNKGRIFSVRCSQESFIVQVGEAADILSGGKLRSTLHAVNRPLSLPNISRETFVVFLQPSWDKILPCSGYSSANEDNSSDHEESTFRGDESDGSCSAHLLMKGILKKIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN* >Brasy8G225600.1.p pacid=40079673 transcript=Brasy8G225600.1 locus=Brasy8G225600 ID=Brasy8G225600.1.v1.1 annot-version=v1.1 MIFFCFHFSAFEGMLLFLQPLLLVSHAWLVWTSVLESFLLLPGLLSDLVYDLLSVLSKRLTELDVSKVLTILQCCGMKLRADDPGTMKDFVPVFRTRWNLCLTQYLTLRTTKGGQKRILHTIVPYTYKKSGFKVLRDGIVLFIKQHVIAKDTGKELAGRFKIAKKALDNAAGVLM* >Brasy8G140200.1.p pacid=40079674 transcript=Brasy8G140200.1 locus=Brasy8G140200 ID=Brasy8G140200.1.v1.1 annot-version=v1.1 MTDHCPPLRVILLLLLISSCFSSELDVQCLKSVKRSVIDPNGILKSSWNFDNSSTIGYICRFTGVECWHPDENRVLSLRLGNLGLQGSFPQGLQNCSSMTLLDLSSNNFTGPIPLDISREIPYLTSLDLSYNSFSGSIPQNISNMTYLNLLNLQHNQFSGTIPPQFDLLSRLATFSVADNRLSGFIPSSLQKFPASNFAGNQGLCGGPLDECQASSKSKNTSAIVGAIVGVVVVIIIVAIVVFFCLRNLPAKKAKGEDENKWAKSIKGTKAIKVSMFENSVSKMKLSDLMKATNQFSKENIIGTGRTGTMYRAILPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRHRNLVPLLGFCIAKREKLLVYKHTPKGSLYDQLHKEGEDCKMDWPLRLRIGIGAAKGLAYLHHTCNPRILHRNISSKCVILDEDYEPKISDFGLARLMNPLDTHLSTFVNGEFGDIGYVAPEYGSTLVATPKGDVYSFGVVLLELITSERPTQVSSAPDNFKGNLVEWIAYLSNKAILQDAIDKSLIGKDHDSELMQFMKVACSCTVSTAKERPTMFEVYQLLRAIGEKYHFSAGDDLMLPPLSTDGEIPDELIVAM* >Brasy8G108300.1.p pacid=40079675 transcript=Brasy8G108300.1 locus=Brasy8G108300 ID=Brasy8G108300.1.v1.1 annot-version=v1.1 MGRRDSSAEAPASSFKGLTARRALHAHGGAIGAAAPAPPSGGSGDSSFDTNVVIILAALFFALLFAIGLNSLARCALRYGGSRGAARTGLGGGGGIKRRALRSLPVEVYGAAGAGGEEAGATIDDVCAICLAEFVDGEKVRVLPRCGHGYHVPCVDAWLVSHGSCPTCRSPVMADAPAKAKGGNGQRPEADMIAVVIA* >Brasy8G152400.1.p pacid=40079676 transcript=Brasy8G152400.1 locus=Brasy8G152400 ID=Brasy8G152400.1.v1.1 annot-version=v1.1 MGSAPASLPPASTSPPQPRRPQLLHPTAMEIDALVAGLHSQTPDSLSVFSSGLNAARLSFRSLHAALDGVRDSASSGRSVNSSGLLLLLPIHHRNRASVTVQLSERVALHNPSFQYENRQPDSVFHMLFFLSSYVCYAVTPSVTEKVLSFRSESPYDQIKADMCNIFKYLKNI* >Brasy8G232600.1.p pacid=40079677 transcript=Brasy8G232600.1 locus=Brasy8G232600 ID=Brasy8G232600.1.v1.1 annot-version=v1.1 MLFPIGRRLLPLSILRAPAPPRLPVYLSAPPPARIRRLPPGSAARVTSGPALGRREEMQRLQNAGAAISSISAPRELVSSISAPGMSTSTRCPSSSSSFSRLVHHQGGAPAGKLEEEFVGGWYSCIDLPWFHGWSRRESSRLYLLFLLCSVFSLSLFLV* >Brasy8G226300.1.p pacid=40079678 transcript=Brasy8G226300.1 locus=Brasy8G226300 ID=Brasy8G226300.1.v1.1 annot-version=v1.1 MAGPPSSKRQRTADPALATSRIEGLTTDLLLLVLSNLGARFAVQTCVLSPRFRDLWRSLPCINATCEEFEDDEGIVNEASFKTFTNRFLARRESVGIHRFRLCYSISGDAVHGDGAVLEDLEACYVDANDWIRKALKHDARIVEVENRAELLRLDPDVFTSKCLERLRIRGAILVEGFFEQLERGCPGLKELYLSDCSIMDHEFSSPTLELLTLRDEVGFIHDERPVTISAPSLKFFAFARLHAGRLPSLINMASLEKAWILIRQSLKDVYASDIKQFLEALSGVTRLTFYLGHSKLTMEKDYQWCPTFSNLTDLILEGWCVHEDFYALIVFLQNSPNLKKLTLELDKPRLFQGELCAIVGELEERSFTCDQLEIVNIICSEQALLSKVKQFLLDGGVELEKIHNCRN* >Brasy8G147500.1.p pacid=40079679 transcript=Brasy8G147500.1 locus=Brasy8G147500 ID=Brasy8G147500.1.v1.1 annot-version=v1.1 MDGIFGPDEQVVWPASVLAGVVMCIAVYEMTGKISSRCFKCYDGLSPMQKVEWNNRGFSTFHASVAAAVSFYLVMISGLFDEHVHNAIIIDRKSWLSDSMFGVSIGYFLTDLAMILWHFPSLGGKEFLLHHGLSMYAICLALFSGKAHVYILMVLFTEATTPFVNLRWYLDVAGQKSCNLYICNGLALFAGWLVSRIILFVYFFTHMYFHFDQVKSIFTLGFYSMLTVPSALAVMNVFWFWKISKGMVKTLSKRKQHTRNGKTD* >Brasy8G221800.1.p pacid=40079680 transcript=Brasy8G221800.1 locus=Brasy8G221800 ID=Brasy8G221800.1.v1.1 annot-version=v1.1 MHQGWPAAGHQRSQAPPGHTCSSRRPPLIDAQGPSTASRRASRGWPAPLPPTPDAAAPHSRLRLPELATMRAIASNSTRVRRPSVRTPPHMRRPEDPHPP* >Brasy8G003300.1.p pacid=40079681 transcript=Brasy8G003300.1 locus=Brasy8G003300 ID=Brasy8G003300.1.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEHIRSLTATFLLRRDKNELRASGYLSSKKHDLVIWLRLTTLQTALSRKLDKLCSCKITQSFVKSMMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKGEEWIPKASNPLQTGDEAYLSVPANMIGKVIGRQGHTVKEIARKSGANIMFTETRKAFKITGNNQQIDFAKELIHDIINKGTRSGYYGERGSSQ* >Brasy8G003300.3.p pacid=40079682 transcript=Brasy8G003300.3 locus=Brasy8G003300 ID=Brasy8G003300.3.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKGEEWIPKASNPLQTGDEAYLSVPANMIGKVIGRQGHTVKEIARKSGANIMFTETRKAFKITGNNQQIDFAKELIHDIINKGTRSGYYGERGSSQ* >Brasy8G003300.4.p pacid=40079683 transcript=Brasy8G003300.4 locus=Brasy8G003300 ID=Brasy8G003300.4.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEHIRSLTATFLLRRDKNELRASGYLSSKKHDLVIWLRLTTLQTALSRKLDKLCSCKITQSFVKSMMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKGEEWIPKASNPLQTDRESHWETRSYCERNS* >Brasy8G003300.2.p pacid=40079684 transcript=Brasy8G003300.2 locus=Brasy8G003300 ID=Brasy8G003300.2.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEHIRSLTATFLLRRDKNELRASGYLSSKKHDLVIWLRLTTLQTALSRKLDKLCSCKITQSFVKSMMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKGEEWIPKASNPLQTGDEAYL* >Brasy8G003300.6.p pacid=40079685 transcript=Brasy8G003300.6 locus=Brasy8G003300 ID=Brasy8G003300.6.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEHIRSLTATFLLRRDKNELRASGYLSSKKHDLVIWLRLTTLQTALSRKLDKLCSCKITQSFVKSMMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKQLRVKWQICTRT* >Brasy8G003300.5.p pacid=40079686 transcript=Brasy8G003300.5 locus=Brasy8G003300 ID=Brasy8G003300.5.v1.1 annot-version=v1.1 MQKDLMDFYSLMNFISPGILGDAATFHQKYVCSVSSVSQGKYANSEGDQKMKYLKALEMWQAVCNSPSLLLDILRNPEDGPYKTMARKLAYTFDAATLADQKNSSKAIFVVDLIKEILEEEKREKEKEMKTEGDTINKKDSKEDENNVTKILIFSRSIIMLDVIKKCLCSIIEEDQIIRIDGSVAKEKRKNLIERFKSKDGPRIFLLSSKVGGEGLNLTAATRLIIVDPSWNSSDDSQISDRVYRMGQKKDVYIYRLVTCGTIEEHTYQTQLLKGALSRGITEGKTCASSVDKKEGKSLSLPDPKIGFNSSETHKIFEDKIANTLEFDGPHLDFLTNYPLVAGITNQNLVLSKEEISLSDVSQDESNDEENTADSVMSINRAKNCLESVSGTSQTINLHTIKRKDAKLKQQQDHNINDISSCTMEIPKNKVALLIGRDGQTLKRIQRSSSTNVYVQNCTDPSSSWRSVKIFGNEEGMKAARQMVRDTISKGEEWIPKASNPLQTDRESHWETRSYCERNS* >Brasy8G068500.1.p pacid=40079687 transcript=Brasy8G068500.1 locus=Brasy8G068500 ID=Brasy8G068500.1.v1.1 annot-version=v1.1 MASLLQLDGSALLLFLLFVVSCFVFARGRKGARPQEEPPSPRPLPVIGNLHELVGRSHPHRRLQLLARRHGPLFLLRRAGPRGLLCGPGRGRAQDAGPRLLQPAPAAHGPGHALRQPGRGIQPLGRPVAPAPPHRRRAPPQRQAGRLLPRAQSGGALLLPPTAPLGRELPGARENKPT* >Brasy8G259100.1.p pacid=40079688 transcript=Brasy8G259100.1 locus=Brasy8G259100 ID=Brasy8G259100.1.v1.1 annot-version=v1.1 MTRFRVFGWFRYYLRRWAQYRASSQNWVSANVEVKGWTSCGFYLLPSPSSRADLRAQSRDPRARLLVQSFSTRAAAAEATRRSPPSSAPQPYSKTAPLPPSSKAQSRPPARREEGRGSGGGESSSSSASGSGWPGEGGGARRERQLAAESAGMEELRGENEEQEVADFTDPKLLAILQELDPAPADN* >Brasy8G150500.1.p pacid=40079689 transcript=Brasy8G150500.1 locus=Brasy8G150500 ID=Brasy8G150500.1.v1.1 annot-version=v1.1 MGEVFFAQIERVGCGELPTESHEEHGYPLPSHRLPTPRASSLSRRRAPPCLPRCAFSLLPSCSSPRPRLPSCSAGRPLHSVTADAFATSERLRRRDVSADRRRVFSPSHLRGSAALPSSCLS* >Brasy8G237200.1.p pacid=40079690 transcript=Brasy8G237200.1 locus=Brasy8G237200 ID=Brasy8G237200.1.v1.1 annot-version=v1.1 MAAQAATAEEAWHEAVAALASSLQPHMALAFFALAACTVARSAELERRPSSMTTPHSLFPDHGLQRASSGFQSSRGGWNRGRGDEGGRVVAESRNARPPVYGGGAARCGRSRGAGGGAASGGRSRGRGCGEQGRDGTAGSAVAAVEQGTAAGAGGAAATAGAAAVEQRTAAGAGGAAAVEQRTAAGAGGAAATAGAAAVEQRTAAGAGGAAATAGAAAVEQRTAAGAEDTAAAAEQRNGGRSSRTRRPEQRARRRHRSSGEHGRGLQSMTVEQRAPRASPGLAGEGDQHSGRARLASGKTIVRFCGCGGGGGFAVVHNRRVKATASPWTVEGGAGPGLEETAVGFDGDTEGDEIIGNEHEALFLFILFPRLRLLLLGLPRRSYATA* >Brasy8G150600.1.p pacid=40079691 transcript=Brasy8G150600.1 locus=Brasy8G150600 ID=Brasy8G150600.1.v1.1 annot-version=v1.1 MRAPSLATAVLAVLAAALAAAPARAQNCGSQAGGATCADCLCCSQFGFCGSTPAHCGAGCQSQCSGCPRPGPNCGSQAGGATCANCLCCSRFGFCGSTPEHCGAGCQSQCSGCPRPGPPPPPAGEGVASILPRALFERLLLHRNDAACLARGFYTYDAFLAAAAAFPAFAASVAGITVETRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCFKQEKEPKSDYCEPKPEWPCAPGKRYYGRGPIQLSYNYNYGPAGRAIGLDLLNNPDLVATDPVVAFKTALWFWMTPRNNKPSCHAVITGLWTPTAVDVAAGRVPGYGMITNIINGGVECGIGPEPKVVNRIGFYQRYCDALGVSYGNNLDCYNQRPFPSGCLVGLAVQ* >Brasy8G088900.1.p pacid=40079692 transcript=Brasy8G088900.1 locus=Brasy8G088900 ID=Brasy8G088900.1.v1.1 annot-version=v1.1 MARTKRPAIRKSKPQPKKQLQFERAGGASTSASATPGRRGGRTPARAAGQGAPAQQKPKKPHRFRAGTVALREIRKYQKSSELLIPFAPMVRLIKEISNFYSPEISRWTPQALVALQEAAEYHLVNIFEKANYCAIHAKRVTMMQKDIQLARRISGHRGY* >Brasy8G088900.2.p pacid=40079693 transcript=Brasy8G088900.2 locus=Brasy8G088900 ID=Brasy8G088900.2.v1.1 annot-version=v1.1 MARTKRPAIRKSKPQPKKQLQFERAGGASTSASATPGRRGGRTPARAAGQGAPAQQKPKKPHRFRAGTVALREIRKYQKSSELLIPFAPMIKEISNFYSPEISRWTPQALVALQEAAEYHLVNIFEKANYCAIHAKRVTMMQKDIQLARRISGHRGY* >Brasy8G021600.1.p pacid=40079694 transcript=Brasy8G021600.1 locus=Brasy8G021600 ID=Brasy8G021600.1.v1.1 annot-version=v1.1 MLVRLGFVVVASVAAFTLKRGRGPNKDNGQARKRKDKARNSEHGEKEEEKEEVKTISGIINSVEDDDDDDMFSEIESLLSGEIDIPIPSDRFDVKERSRYNAHMANNTAEMERLRGLVRELEEREVKLEGELLEYYGLKEQETDVAELQKQLKIKTVEVDMLNITISSLQAERKKLQDDVVRGAAAKKELEASRNRIKELQRQIQMEANQTKGQLMLLKQQVMGLKAKEEEVAKKDAENERKLKKLKELEVEVLELRRKNKELLYEKRDLIVKLDAAQGKITESDVVAHAREEISNLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKISARDLNRTLSPKSQARAKQLMLEYGSERGQGDTDLDSASSAPSSPRSEDFDNVSIDSSSSRYSFLSKRPNLMQKLKKWGRSKDDSSNLSSPTQSLTSGSPKRSQKPKGPLESLMLRNAGDGMSITTFGKREQDSSDILDEANVASSFQLMSKNVEGFADEKYPAYKDRHKLATEREKAIKEKAEQARAQRFGGGYSSALVPSPKAALPPKLAQIKEKKVPAVNAESGDQSSDNQNNPLAVTQLKLAQIEKRAPRVPRPPPTASAIASGPTNTASGAPPPPRPPGAPPPPPPPGKPGGPPPPPPPPGSLSRSLAGGEKVHRAPEVVEFYQSLMKREAKNTTSLGSKTSSVSDNRSNMIGEIENRSTFLLAVKADVETQGDFVESLASEVRAARFVNIDDVVAFVHWLDEELAFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLENKATSFADDPKLPCEEALKKMYSLLEKVEQTVYALLRTRDMTTSRYKEYGIPVDWLSDSGKVGKIKLASVQLAKKYMERVASELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDADSMKVFEELRSKMTTTSAPPVSDT* >Brasy8G168900.1.p pacid=40079695 transcript=Brasy8G168900.1 locus=Brasy8G168900 ID=Brasy8G168900.1.v1.1 annot-version=v1.1 MAAPMCYAAREIERPDPEVAGSGRPDPAGGRARGGGEGDVLDLDMERKREMERVRERMRESRGRERDHTGGGAGLGARVGASGAGAGVGDRTGGRRRLRASPPQPCNKRENEGVGRRREIQRGRDGDGLADPVAAGVEVAGSGGGGGGRCSLCAAGASVEEGRGG* >Brasy8G179800.1.p pacid=40079696 transcript=Brasy8G179800.1 locus=Brasy8G179800 ID=Brasy8G179800.1.v1.1 annot-version=v1.1 MAMATEAKSGGRAVRRPLQPRDSNVASPVVAAAALAGKAKAKARARLAASPLSAKSSCLRAESRVGEMKEVSSLAEELEKARERRGRLRAARELTERALEERDEVLHVEAREWERRADEQSRLVSELMRLLGMPEVYTPVESLRAKEERKRKEAIAYSGSQGSVSSASSMQEEAGANSCGQELEASATTATATTGNSF* >Brasy8G098000.1.p pacid=40079697 transcript=Brasy8G098000.1 locus=Brasy8G098000 ID=Brasy8G098000.1.v1.1 annot-version=v1.1 MALTTRGGGDPPQAPPAPDASLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDVHELADYRLRERKRFEDLIRRVRWSVSAWVKYARWEEGQKDFARARSVYERALEVAHRDHTLWLKYAEFEMRNRYVNHARNVWDRAVMLLPRIDQLWYKYIHMEELLGAVANARQVFERWMSWRPDIAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFETKRGEVERARRVYERAADLLVDDEDAEVLFVAFAEFEERSREVERARAIYKYALDRVPKSRAEDLYKKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIRDVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMERARQVYSLCLKYIPHKKFTFAKLWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDSARELYERLLDRTKHLKVWISYAEFEASAGLGEDGGSEENKNDVDYQEQQMERVRRCRAVFERAFDYFRTSASELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPLPTEDGSTIAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD* >Brasy8G098000.2.p pacid=40079698 transcript=Brasy8G098000.2 locus=Brasy8G098000 ID=Brasy8G098000.2.v1.1 annot-version=v1.1 MALTTRGGGDPPQAPPAPDASLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDVHELADYRLRERKRFEDLIRRVRWSVSAWVKYARWEEGQKDFARARSVYERALEVAHRDHTLWLKYAEFEMRNRYVNHARNVWDRAVMLLPRIDQLWYKYIHMEELLGAVANARQVFERWMSWRPDIAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFETKRGEVERARRVYERAADLLVDDEDAEVLFVAFAEFEERSREVERARAIYKYALDRVPKSRAEDLYKKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIRDVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMERARQVYSLCLKYIPHKKFTFAKLWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDSARELYERLLDRTKHLKVWISYAEFEASAGLGEDGGSEENKNDVDYQEQQMERVRRCRAVFERAFDYFRTSASELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPLPTEDGSTIAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD* >Brasy8G236700.1.p pacid=40079699 transcript=Brasy8G236700.1 locus=Brasy8G236700 ID=Brasy8G236700.1.v1.1 annot-version=v1.1 MPFTAPPAAAPSSRPPSIHSPADAAPPPMPRRPPLRLSSPAKSPLSILKIEPWRWRCLAIRWRKCQIQWRGRSTSRCCPRRKLDWSLHLRPGEARSVAAARREADDYIFDDRAVVQSCDGCYRLTSCCDNKLGQGCSLLAA* >Brasy8G133800.1.p pacid=40079700 transcript=Brasy8G133800.1 locus=Brasy8G133800 ID=Brasy8G133800.1.v1.1 annot-version=v1.1 MDRFRPLRRIQVEPERVDPPPPPPPAAAGGGEAEEMVPGPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLAKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDRLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVDIIVRAIKSTSEYEPEVASSNRFEYHAAAEVIKEVEFEEADGGIKTRITHKEK* >Brasy8G009000.1.p pacid=40079701 transcript=Brasy8G009000.1 locus=Brasy8G009000 ID=Brasy8G009000.1.v1.1 annot-version=v1.1 MASMNQFVLLGDVDNDDPSQLAAAAEKAKAAAAAAKPAAGAGAKPAAKLPTKPAPPAQAVEEARNYGAPRDGAGRGRGGRGGRTGPRRDYGDADANAFDGGYGGGAVARGEDGEGRQARGPRQPYHGGGGGRRGGYGDGQSTEEFGGRPHRPYERRSGTGRGFGMKRDGAGRGNWGTVTDEGLPQETVEPVNTEETAAAVTEDEKKPEDAPPTEVEKDKEGAVNEEEEKEPEEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQSMQQLSVKKDADEVVFIKLGSDKELKKKENAEREERAKKSLSINEFLKPAEGERYNPGGQGRGRGFRGRGELRGGYNGGGYNGGGGRRQAPAPAIEDQAQFPTLGGK* >Brasy8G212400.1.p pacid=40079702 transcript=Brasy8G212400.1 locus=Brasy8G212400 ID=Brasy8G212400.1.v1.1 annot-version=v1.1 MPPPGSSCLAESKLGSPRRRPDAALTCPPQHHMARSPQQQHEEQQSWRSASARSSGDSGGARSSSHRRAAGTGKKVASREGGGGGGWHLGSGSSRSRGSGRRRELGFRGRSRCAAVRVGARSSA* >Brasy8G289900.1.p pacid=40079703 transcript=Brasy8G289900.1 locus=Brasy8G289900 ID=Brasy8G289900.1.v1.1 annot-version=v1.1 MAGGDSSSSPRAAVVANADDEPPPPWQKQKPAAAAAEEEDVDPRFNEYDPKTGEIINIRFFFNSRLDLDEESSFGPMRHTDKVFEDGFRLKNTVNVVSVQIVSSDYGYPLNVYGSIIARDSLDRKCVYVFQCGQDNCQFISSKDDLLTLTGPKRGFMVCDDIFFEINLKVKDAQGRKVDDESFSKGLIEVDAIRRLSFQPRYKVETETLVSMNSILDLNYTFLRRTVEGTVEIRILEGPADFHGRIAACTSSIPCNIVLHDSKLSGVLTAGDDGVVRTARRVVGVSVDEMLLLTVSAAAAAADDDDDFSARTTVEFSPRCNGYNIEKIVCGDHRMSLEVTWSIVYF* >Brasy8G246400.1.p pacid=40079704 transcript=Brasy8G246400.1 locus=Brasy8G246400 ID=Brasy8G246400.1.v1.1 annot-version=v1.1 MEDDGMDFSPQKNSNEYDKDSFEDDEFITIQSATTKPSGHSSQVPTDNKNQKPQNRPDHHGENANLNHPVQRTPQALEVTGGGASTTNHQNNTESAAAAAGNGSLDTSRSIRSSSSESSSSSSAAPSTANVKRHTGGDSRWEAIQQATAQELARLNLGHFRLLKRLGYGDIGSVYLVELRGSSAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEQAARFYTAEILLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPTLVKSSSVHNSSGVAAARETGGEGSEGGSGPNQAGTQQQQQQQQSSFFFPRILPRRSRKASKSDVGALNPAAATVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGTTPFKGAGNRATLCNVIEQPLRFPSDFGGSSGGGASAVARDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMAPPSVPEPVDFRQYAAAAAAAGKEKKAPESGASSAKPGTGDAQTDFDYF* >Brasy8G269400.1.p pacid=40079705 transcript=Brasy8G269400.1 locus=Brasy8G269400 ID=Brasy8G269400.1.v1.1 annot-version=v1.1 MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQVQRHHGWGRKNSVTKEAVAKVVDDIYSRKMQIQCAPDLATRSHSGSDFNHLRPKEEVNDSFQPETKVRCLCGSTLLNDNMIQCEDERCHVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKNFQLSRAEREAVQRSEYDLQVWCILMNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGLNGRDDGPLITTCSREGPNKICLRRVDTRTFCFGVRVARRRTVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITTLLRNCSEDVNEIDIKPDGSWRVKGDASTRELSQWHLPDGTLCVSKGDTKPGVENFNELKIEGTSDGHKSLKLGIKRKNGIWEVSSKADDKKPSVVGNHTQDNIIFRAPNTFPMSSSPTGSYRDGEDTSVNQEGSMHFDLSLKNGHEFDSFPPNFGQTYNTEDASQQQHNVGEIIVLSDSDEENDAIVCPPTSYDNATANDGGFPFATNGAGFTERYQEDTGVGTSSLPLLSDHTEGFELPNWQLHSYPQPEQAFQFFGSDGDAANTFIGSHNSFTIAPNEYSLDCNVGVEQASVAHDLPVCQNNNEMHGSLVDNPLAFAGDDPSLQIFLPSQPSSVPLQDEPSLNVSNGIQSDDWISLTLAAGGGGNEESAPAIGLNPQQHIQSKETGGEPLIDAASALPSTNNDRHSGANLNPKRIENIFSHPRQPRSRSVRPRLCLSIDTDSE* >Brasy8G269400.4.p pacid=40079706 transcript=Brasy8G269400.4 locus=Brasy8G269400 ID=Brasy8G269400.4.v1.1 annot-version=v1.1 MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQVQRHHGWGRKNSVTKEAVAKVVDDIYRKMQIQCAPDLATRSHSGSDFNHLRPKEEVNDSFQPETKVRCLCGSTLLNDNMIQCEDERCHVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKNFQLSRAEREAVQRSEYDLQVWCILMNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGLNGRDDGPLITTCSREGPNKICLRRVDTRTFCFGVRVARRRTVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITTLLRNCSEDVNEIDIKPDGSWRVKGDASTRELSQWHLPDGTLCVSKGDTKPGVENFNELKIEGTSDGHKSLKLGIKRKNGIWEVSSKADDKKPSVVGNHTQDNIIFRAPNTFPMSSSPTGSYRDGEDTSVNQEGSMHFDLSLKNGHEFDSFPPNFGQTYNTEDASQQQHNVGEIIVLSDSDEENDAIVCPPTSYDNATANDGGFPFATNGAGFTERYQEDTGVGTSSLPLLSDHTEGFELPNWQLHSYPQPEQAFQFFGSDGDAANTFIGSHNSFTIAPNEYSLDCNVGVEQASVAHDLPVCQNNNEMHGSLVDNPLAFAGDDPSLQIFLPSQPSSVPLQDEPSLNVSNGIQSDDWISLTLAAGGGGNEESAPAIGLNPQQHIQSKETGGEPLIDAASALPSTNNDRHSGANLNPKRIENIFSHPRQPRSRSVRPRLCLSIDTDSE* >Brasy8G269400.2.p pacid=40079707 transcript=Brasy8G269400.2 locus=Brasy8G269400 ID=Brasy8G269400.2.v1.1 annot-version=v1.1 MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQVQRHHGWGRKNSVTKEAVAKVVDDIYSRKMQIQCAPDLATRSHSGSDFNHLRPKEEVNDSFQPETKVRCLCGSTLLNDNMIQCEDERCHVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKNFQLSRAEREAVQRSEYDLQVWCILMNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGLNGRDDGPLITTCSREGPNKICLRRVDTRTFCFGVRVARRRTVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITTLLRNCSEDVNEIDIKPDGSWRVKGDASTRELSQWHLPDGTLCVSKGDTKPGVENFNELKIEGNHTQDNIIFRAPNTFPMSSSPTGSYRDGEDTSVNQEGSMHFDLSLKNGHEFDSFPPNFGQTYNTEDASQQQHNVGEIIVLSDSDEENDAIVCPPTSYDNATANDGGFPFATNGAGFTERYQEDTGVGTSSLPLLSDHTEGFELPNWQLHSYPQPEQAFQFFGSDGDAANTFIGSHNSFTIAPNEYSLDCNVGVEQASVAHDLPVCQNNNEMHGSLVDNPLAFAGDDPSLQIFLPSQPSSVPLQDEPSLNVSNGIQSDDWISLTLAAGGGGNEESAPAIGLNPQQHIQSKETGGEPLIDAASALPSTNNDRHSGANLNPKRIENIFSHPRQPRSRSVRPRLCLSIDTDSE* >Brasy8G269400.3.p pacid=40079708 transcript=Brasy8G269400.3 locus=Brasy8G269400 ID=Brasy8G269400.3.v1.1 annot-version=v1.1 MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLSDEQVQRHHGWGRKNSVTKEAVAKVVDDIYRKMQIQCAPDLATRSHSGSDFNHLRPKEEVNDSFQPETKVRCLCGSTLLNDNMIQCEDERCHVWQHMTCVLIPDKPTEGVSPEVPPHFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKNFQLSRAEREAVQRSEYDLQVWCILMNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGLNGRDDGPLITTCSREGPNKICLRRVDTRTFCFGVRVARRRTVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITTLLRNCSEDVNEIDIKPDGSWRVKGDASTRELSQWHLPDGTLCVSKGDTKPGVENFNELKIEGNHTQDNIIFRAPNTFPMSSSPTGSYRDGEDTSVNQEGSMHFDLSLKNGHEFDSFPPNFGQTYNTEDASQQQHNVGEIIVLSDSDEENDAIVCPPTSYDNATANDGGFPFATNGAGFTERYQEDTGVGTSSLPLLSDHTEGFELPNWQLHSYPQPEQAFQFFGSDGDAANTFIGSHNSFTIAPNEYSLDCNVGVEQASVAHDLPVCQNNNEMHGSLVDNPLAFAGDDPSLQIFLPSQPSSVPLQDEPSLNVSNGIQSDDWISLTLAAGGGGNEESAPAIGLNPQQHIQSKETGGEPLIDAASALPSTNNDRHSGANLNPKRIENIFSHPRQPRSRSVRPRLCLSIDTDSE* >Brasy8G269400.5.p pacid=40079709 transcript=Brasy8G269400.5 locus=Brasy8G269400 ID=Brasy8G269400.5.v1.1 annot-version=v1.1 MNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGLNGRDDGPLITTCSREGPNKICLRRVDTRTFCFGVRVARRRTVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITTLLRNCSEDVNEIDIKPDGSWRVKGDASTRELSQWHLPDGTLCVSKGDTKPGVENFNELKIEGNHTQDNIIFRAPNTFPMSSSPTGSYRDGEDTSVNQEGSMHFDLSLKNGHEFDSFPPNFGQTYNTEDASQQQHNVGEIIVLSDSDEENDAIVCPPTSYDNATANDGGFPFATNGAGFTERYQEDTGVGTSSLPLLSDHTEGFELPNWQLHSYPQPEQAFQFFGSDGDAANTFIGSHNSFTIAPNEYSLDCNVGVEQASVAHDLPVCQNNNEMHGSLVDNPLAFAGDDPSLQIFLPSQPSSVPLQDEPSLNVSNGIQSDDWISLTLAAGGGGNEESAPAIGLNPQQHIQSKETGGEPLIDAASALPSTNNDRHSGANLNPKRIENIFSHPRQPRSRSVRPRLCLSIDTDSE* >Brasy8G094400.1.p pacid=40079710 transcript=Brasy8G094400.1 locus=Brasy8G094400 ID=Brasy8G094400.1.v1.1 annot-version=v1.1 MSLDRSFSTSAALSRLLARCPALGADPLLLALASSPAAPSREDVAAALAEPLLHPRYTIPIVGCFLPLAPALLESAIALLRTDAPALHVDSSACLEEEAGEGDYRVVEFYLSRGRGLRLHELACLALSRALDLAPYLLRYVLNYFEFSPPPFRRLSEGVPSTKHFPMAAVLYQGLYLFLDATQVSYRFLELEPSVFCKQWNWSCFWDLVNSRADYSLADNSLHTVGLDLRWCAIQIVMVVLKASDRGTESYGLWADDALACLLRWKEFCKDTSLEKASMYLQTEEGHSDIATTSLADALLDCPDVATRKEHSIGCNICPFVLTATMRKSYEIALMAVSQRWPVLLYGPVGAGKTALINKLAQDRRNRVLFIHMDEQMDGRTLIGSYICTEKPGDFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSILLPLLEGSSSFSIGHAEAVEVAESFRLFATVTTSKNDFSHALEGRLTYSALWRKVMLGEPNREDMANIVNGCYPSLDPISSKLIDTFENVNSLVSYQFGGLNSAGGLSDGILHRFSLRDLLKWCKRIAGVDLNFEGLGFAYSDCKLIYYEAADIFTASLSSPDKRLYISREIARVLGVPEQAETMHPTDKPIIQARHTDLQVGRVTLQCNDKPTLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPTDAHSIYLPLYTEFKDIFCRTFSKKANEAILHRCDVYVMEKKWLKLLDCLSRLCTQAQKLVGSKRKRSLPDWDSFSSRLNSAYSQFSSSNGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYIERHPHFRMFACMNPATDAGKRELPYAFRSRFTECFVDDLMDDDDLCLFVSKYLDGLHAAKGVIDSIVCFYKAAKKESEEKLQDGANQKPQFTLRSLSRALGYVKTAEKSFGFAKALYDGFCMFFLTMLDVPSAKIVKNLIVSLLLKGRVPSSISFADYFVEKPKQLNGSESDEFLCSYVLTSSVTEHIVNLARAVYMKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYIPDSHGKLHFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETISAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCAVARSYATKMVQVMKDLQMHRQNSRVFAGRHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDSEKAVVQEALERNLRVKLNIPDLYNSEWINGDNLSPHAIRQRVQESFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFFPIRDRSTIALEFKHLISTMKEMKLFIHVAKDVTFPSDISGAGSFMDHMNKILDRYKNGKEMFKEVPPQDLEDMEQIMHKLSHLYQKWRKFFDWQDGPLVQAMNSGDLFLIDEISLADDSVLERLNSILEPERKLSLAEKGGSVLEEIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIAIGRFIKPDLSCFGDCIVNFWNWFNQLDTGRLLTIRDLLSWISFINVTEKDLGPQQALTHGLFLILLDGLTLGMNLPKSEATKLRRVCLSFLLEELQKVEGKPLNSDLNDLKIYGWGEDMANTDSDCNLPDHFGIAPFYITKGHFASKRKGFEFMAPTISKNVLRVLRGMQLPKPVLLEGSPGVGKTSLIKALGGFSCHDVVRINLSEQTDMMDLLGSDLPAEGENGIEFAWSDGILLKALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELKEEDYFSICKSLYPLLSEDFLRNLICFNNRLFMDTMVHRKYGQEGSPWEFNLRDIIRSCKMMIAGSPDTSNNDCFLNTVYLQRMRTAVDRDEVLKLFEEVFQIKPSIHKPKMLYVHPHCLVVGSASIARNNFRPYEVQSNQLNILPGILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVISEVERYVHEYFSLSMDIHWKELIMNRKALFVKWFEFVVAKKYSSIHTSTFIEMSRNASLPPLSLVIDIVEQMKCDLEMLGLPMSFTKDDLSKTLKSIHSLQQKEAVHQPVKFEWVAGDLIRAIECGEWVVLDNANLCTPTVLDRINSLVEDERSISLNECGLVDGNHVDLKAHHKFRIFLTVNAKYGEVSRAMRNRGVEIFLMDQSWCLERSAYVSKDSERKDVTRFLISCGIPRMELISSMTEAHLYAKAAGLRLGINITLLEITRWVQLFQELLIKGNQLLWSLHLSWEHTYLPSLGQVNGSDAVEEGKLKFLTDFDGCSAGLRCEFSLSLPGGWPTEQKLRDFIWYSKESCVQRNCMYLQSLGAQYAAYGISNLKDSSSSLGPITIGNIHPSVLPASSLFVLQFPTLSGQHFVKPHITAAFNSELADQMLFIAANWVMEQATESDLKLYGVWFKWYNAMLQPYCSFFESYGNILQRESEHPIWHSILESYKEIIAYHKVDVVAHPIPLLSMKLLDMASCDNLKSCQTRLHNARNGLSLLRLTLQQWHSETNFPDYGGLKATLLPALKSLRCLEDEVLKMIVNSWELPQIYTRLLDYHRSIWKMIVSSQFEGLPVVWNLLRKEILKLQPEFPVEVGVFLMESVNLNNLQDFALQYSKPTLWVLGGHPLVPSSDRVFYKMQEIVAYSAVVWPRKNLSMKPLDDKQQIIDAMLSANQELRDLAFEGISLASHAATNSEEDDSRMAKLDEVHKMLVGKVNSERSNLELLLKTSTSEVKVCCSVSSDILCNTSGFNGWLASLPLLNLKSLNLDTALLQCLSKCTQTDSSEVHQILANSKALLKYAMDYSLELSSRSPLDFTQHQIMWWIHDAWATVDNVCVKFSSALLEMWYNYHTSLWTYCSGSPKVLFTITHNEPYDLAHLTKMDAINTIIQGDLCVMDYQKNCSMHRMSSRNIWEGVSYGENLVGSLHSSAHSLFKQIIFVHKKHFKQEKYRQLEDVLFQQSEHHLEKEGLHTACALLSSSSHGVLASLSGSDKLIGSLLLELYSRDSLLHLGAAWVYIGQLRFKLLLSSYNPDPAFKYAYLHSQILEKISLLDLQGQVRHECEELVGSSSSEDGHGQNLVQELKTKEKNFRAKVVFRPKQSKHKSLLAACCEFEERLSDCKDLLSRLNCIGAGQLEVDRICNWQIMSRNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVEEDDMERVLGAIFGFMQFPNGYVTGMALVGVPDSTKYSIGDQLETQYFEFGDVDVLKKLALVSSQLNVGEVSDKARSHSQMLVSFHHISLVQTTYRVCHSHIMDKTSYLTLKEIFDYFKDMWVKMKSSVKARENDDSQYYKFRSRIIDLQDIFKGDVPSLADMDSEGNTAPDNEEKLELEFFKIMERTNGDAGLVEDNWDLVPESVLKCIIMIHNQLFGSPDLFQKPGKCQISDEQKIQSFVDSYEFGARVLKGLPELTCSTFDEKLMPEHLLRVCLEYRQTCAASLDSSSYNTYKDPNPSTLFKMVEPLTVLQAKVRYFLDEWPDHPGLVKILEIIASLLAMPLSTPLSKALLGLQLLAGKAQTLQENDSKFFLKDHLPPIFMLVSSWQRLELECWPILLEEIQGKYETDAANLWFPLRALLSQYYDIPKDEDLSIIKSIEEFVQTSNVGEFKRRLHLLLAFHGEFCDGSSFGVYLSTPVKKIQNILYNMFGYYMQFLSLVHGQIEAGKQSIENELKDQLKLYRWAQDPYSPASIENFKRTRQKIFKLLQRFNDILQKPVIALLNEEATSRKIPCWLDPERPESQFPVDTEKLGERFLWYRKWKGQASLSLQSLLHTDDKAIAVPNVQESVYAVVHNMNHHQEESELDDILKIFWYALERICNAADFGSILKHGKKNQKKTALSSLFKTLEGCGLSKHRPLSHEWGDELDAPRPLFLEQSYNATHLLQQVTIQTCEDASIIHSTLLGTNKWKLANQQYFRCLAMMQRLRQISLKFNKDIGLEEVNRATSFMNHLLTMLSEQRHLAYNLFEQLNQFRRLMFLLGSGGKGESLSPCQNVLLISMWQQKQFFDNLLAMTTDTNLLLRTFKGCHHASCENIKVEVAAMSTLFEKFITRLSESKDLLDKFLLGSNNILAGAHKRMPLATIEMEQLVAANTQLIGTFREDMQVLCCQDVSKRSLYRIYGVIIHIFLWLFTWLCYLYAKEGKIATESFSREVDQDKHGLFSDEQKLEGSYTKALKEIFTLAIGVVGQLTDLRISTNGTKESSLEGNITSWKDILDSYVMNLQIDHVCVAGENLSVLMRRLIDYKPEMRSIIEAQLMHLHVLLGLILSSAEGILSELLEAHRTTSEMTHALGDLFIYLFVEGFGCAEDTTEDASDGQKDATGTGTGMGEGEGQESASSKIDDLSQLEGTNETGAQCKPDQTPKNDDDAIEMERDFDAEESNVSEDPEGNDSGSDDEDNLDNQMGDTGDAGEMVGKKSWDKDEDDDPKTSTEKYESGSSANGADQNDTELRAKDECPMETDPMEIDNNEQGKDSNLEAEPSTCEDIDENTDDIMNKADAYDDRTGPELSEPDNDPEDINMDGAEQPDDMDADNPNEEISSEEEKQADESSVLSDDMDVGDASRDGDDVVDDEGESIEDGKFEPNNMEKHRLDKIESLAHPSQGIQLDCGETDSNRESEANLANSMDMSSAVAPSVDFSSNEVPSLEMSMPNSGEGSKLLSNSKPEIQTDAPRSNIKQTNPFRSIGDAMEDWKERARVSADTQDHQPETEHHVDDESATEFRYVPDGEQSTTQALGDATADQIDDKLQVKQSSLEDETHAQKVEQPDERVPGDDKPVVPNPQTSQSRARSENANVLEGRDVQTDTSIQDLVQDETNDIFGDVVSFKRPLADDRIVQLDNLTSDWEMCTQMDLDISNEEMERTIVDWRSLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVQVLHDFDQIFNGEAGVKMISSLSFEQDNKIEDQPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRKRMVAYVLLDSHEESIMNSLEACYEGDKLTLGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE* >Brasy8G094400.4.p pacid=40079711 transcript=Brasy8G094400.4 locus=Brasy8G094400 ID=Brasy8G094400.4.v1.1 annot-version=v1.1 MSLDRSFSTSAALSRLLARCPALGADPLLLALASSPAAPSREDVAAALAEPLLHPRYTIPIVGCFLPLAPALLESAIALLRTDAPALHVDSSACLEEEAGEGDYRVVEFYLSRGRGLRLHELACLALSRALDLAPYLLRYVLNYFEFSPPPFRRLSEGVPSTKGLYLFLDATQVSYRFLELEPSVFCKQWNWSCFWDLVNSRADYSLADNSLHTVGLDLRWCAIQIVMVVLKASDRGTESYGLWADDALACLLRWKEFCKDTSLEKASMYLQTEEGHSDIATTSLADALLDCPDVATRKEHSIGCNICPFVLTATMRKSYEIALMAVSQRWPVLLYGPVGAGKTALINKLAQDRRNRVLFIHMDEQMDGRTLIGSYICTEKPGDFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSILLPLLEGSSSFSIGHAEAVEVAESFRLFATVTTSKNDFSHALEGRLTYSALWRKVMLGEPNREDMANIVNGCYPSLDPISSKLIDTFENVNSLVSYQFGGLNSAGGLSDGILHRFSLRDLLKWCKRIAGVDLNFEGLGFAYSDCKLIYYEAADIFTASLSSPDKRLYISREIARVLGVPEQAETMHPTDKPIIQARHTDLQVGRVTLQCNDKPTLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPTDAHSIYLPLYTEFKDIFCRTFSKKANEAILHRCDVYVMEKKWLKLLDCLSRLCTQAQKLVGSKRKRSLPDWDSFSSRLNSAYSQFSSSNGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYIERHPHFRMFACMNPATDAGKRELPYAFRSRFTECFVDDLMDDDDLCLFVSKYLDGLHAAKGVIDSIVCFYKAAKKESEEKLQDGANQKPQFTLRSLSRALGYVKTAEKSFGFAKALYDGFCMFFLTMLDVPSAKIVKNLIVSLLLKGRVPSSISFADYFVEKPKQLNGSESDEFLCSYVLTSSVTEHIVNLARAVYMKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYIPDSHGKLHFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETISAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCAVARSYATKMVQVMKDLQMHRQNSRVFAGRHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDSEKAVVQEALERNLRVKLNIPDLYNSEWINGDNLSPHAIRQRVQESFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFFPIRDRSTIALEFKHLISTMKEMKLFIHVAKDVTFPSDISGAGSFMDHMNKILDRYKNGKEMFKEVPPQDLEDMEQIMHKLSHLYQKWRKFFDWQDGPLVQAMNSGDLFLIDEISLADDSVLERLNSILEPERKLSLAEKGGSVLEEIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIAIGRFIKPDLSCFGDCIVNFWNWFNQLDTGRLLTIRDLLSWISFINVTEKDLGPQQALTHGLFLILLDGLTLGMNLPKSEATKLRRVCLSFLLEELQKVEGKPLNSDLNDLKIYGWGEDMANTDSDCNLPDHFGIAPFYITKGHFASKRKGFEFMAPTISKNVLRVLRGMQLPKPVLLEGSPGVGKTSLIKALGGFSCHDVVRINLSEQTDMMDLLGSDLPAEGENGIEFAWSDGILLKALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELKEEDYFSICKSLYPLLSEDFLRNLICFNNRLFMDTMVHRKYGQEGSPWEFNLRDIIRSCKMMIAGSPDTSNNDCFLNTVYLQRMRTAVDRDEVLKLFEEVFQIKPSIHKPKMLYVHPHCLVVGSASIARNNFRPYEVQSNQLNILPGILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVISEVERYVHEYFSLSMDIHWKELIMNRKALFVKWFEFVVAKKYSSIHTSTFIEMSRNASLPPLSLVIDIVEQMKCDLEMLGLPMSFTKDDLSKTLKSIHSLQQKEAVHQPVKFEWVAGDLIRAIECGEWVVLDNANLCTPTVLDRINSLVEDERSISLNECGLVDGNHVDLKAHHKFRIFLTVNAKYGEVSRAMRNRGVEIFLMDQSWCLERSAYVSKDSERKDVTRFLISCGIPRMELISSMTEAHLYAKAAGLRLGINITLLEITRWVQLFQELLIKGNQLLWSLHLSWEHTYLPSLGQVNGSDAVEEGKLKFLTDFDGCSAGLRCEFSLSLPGGWPTEQKLRDFIWYSKESCVQRNCMYLQSLGAQYAAYGISNLKDSSSSLGPITIGNIHPSVLPASSLFVLQFPTLSGQHFVKPHITAAFNSELADQMLFIAANWVMEQATESDLKLYGVWFKWYNAMLQPYCSFFESYGNILQRESEHPIWHSILESYKEIIAYHKVDVVAHPIPLLSMKLLDMASCDNLKSCQTRLHNARNGLSLLRLTLQQWHSETNFPDYGGLKATLLPALKSLRCLEDEVLKMIVNSWELPQIYTRLLDYHRSIWKMIVSSQFEGLPVVWNLLRKEILKLQPEFPVEVGVFLMESVNLNNLQDFALQYSKPTLWVLGGHPLVPSSDRVFYKMQEIVAYSAVVWPRKNLSMKPLDDKQQIIDAMLSANQELRDLAFEGISLASHAATNSEEDDSRMAKLDEVHKMLVGKVNSERSNLELLLKTSTSEVKVCCSVSSDILCNTSGFNGWLASLPLLNLKSLNLDTALLQCLSKCTQTDSSEVHQILANSKALLKYAMDYSLELSSRSPLDFTQHQIMWWIHDAWATVDNVCVKFSSALLEMWYNYHTSLWTYCSGSPKVLFTITHNEPYDLAHLTKMDAINTIIQGDLCVMDYQKNCSMHRMSSRNIWEGVSYGENLVGSLHSSAHSLFKQIIFVHKKHFKQEKYRQLEDVLFQQSEHHLEKEGLHTACALLSSSSHGVLASLSGSDKLIGSLLLELYSRDSLLHLGAAWVYIGQLRFKLLLSSYNPDPAFKYAYLHSQILEKISLLDLQGQVRHECEELVGSSSSEDGHGQNLVQELKTKEKNFRAKVVFRPKQSKHKSLLAACCEFEERLSDCKDLLSRLNCIGAGQLEVDRICNWQIMSRNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVEEDDMERVLGAIFGFMQFPNGYVTGMALVGVPDSTKYSIGDQLETQYFEFGDVDVLKKLALVSSQLNVGEVSDKARSHSQMLVSFHHISLVQTTYRVCHSHIMDKTSYLTLKEIFDYFKDMWVKMKSSVKARENDDSQYYKFRSRIIDLQDIFKGDVPSLADMDSEGNTAPDNEEKLELEFFKIMERTNGDAGLVEDNWDLVPESVLKCIIMIHNQLFGSPDLFQKPGKCQISDEQKIQSFVDSYEFGARVLKGLPELTCSTFDEKLMPEHLLRVCLEYRQTCAASLDSSSYNTYKDPNPSTLFKMVEPLTVLQAKVRYFLDEWPDHPGLVKILEIIASLLAMPLSTPLSKALLGLQLLAGKAQTLQENDSKFFLKDHLPPIFMLVSSWQRLELECWPILLEEIQGKYETDAANLWFPLRALLSQYYDIPKDEDLSIIKSIEEFVQTSNVGEFKRRLHLLLAFHGEFCDGSSFGVYLSTPVKKIQNILYNMFGYYMQFLSLVHGQIEAGKQSIENELKDQLKLYRWAQDPYSPASIENFKRTRQKIFKLLQRFNDILQKPVIALLNEEATSRKIPCWLDPERPESQFPVDTEKLGERFLWYRKWKGQASLSLQSLLHTDDKAIAVPNVQESVYAVVHNMNHHQEESELDDILKIFWYALERICNAADFGSILKHGKKNQKKTALSSLFKTLEGCGLSKHRPLSHEWGDELDAPRPLFLEQSYNATHLLQQVTIQTCEDASIIHSTLLGTNKWKLANQQYFRCLAMMQRLRQISLKFNKDIGLEEVNRATSFMNHLLTMLSEQRHLAYNLFEQLNQFRRLMFLLGSGGKGESLSPCQNVLLISMWQQKQFFDNLLAMTTDTNLLLRTFKGCHHASCENIKVEVAAMSTLFEKFITRLSESKDLLDKFLLGSNNILAGAHKRMPLATIEMEQLVAANTQLIGTFREDMQVLCCQDVSKRSLYRIYGVIIHIFLWLFTWLCYLYAKEGKIATESFSREVDQDKHGLFSDEQKLEGSYTKALKEIFTLAIGVVGQLTDLRISTNGTKESSLEGNITSWKDILDSYVMNLQIDHVCVAGENLSVLMRRLIDYKPEMRSIIEAQLMHLHVLLGLILSSAEGILSELLEAHRTTSEMTHALGDLFIYLFVEGFGCAEDTTEDASDGQKDATGTGTGMGEGEGQESASSKIDDLSQLEGTNETGAQCKPDQTPKNDDDAIEMERDFDAEESNVSEDPEGNDSGSDDEDNLDNQMGDTGDAGEMVGKKSWDKDEDDDPKTSTEKYESGSSANGADQNDTELRAKDECPMETDPMEIDNNEQGKDSNLEAEPSTCEDIDENTDDIMNKADAYDDRTGPELSEPDNDPEDINMDGAEQPDDMDADNPNEEISSEEEKQADESSVLSDDMDVGDASRDGDDVVDDEGESIEDGKFEPNNMEKHRLDKIESLAHPSQGIQLDCGETDSNRESEANLANSMDMSSAVAPSVDFSSNEVPSLEMSMPNSGEGSKLLSNSKPEIQTDAPRSNIKQTNPFRSIGDAMEDWKERARVSADTQDHQPETEHHVDDESATEFRYVPDGEQSTTQALGDATADQIDDKLQVKQSSLEDETHAQKVEQPDERVPGDDKPVVPNPQTSQSRARSENANVLEGRDVQTDTSIQDLVQDETNDIFGDVVSFKRPLADDRIVQLDNLTSDWEMCTQMDLDISNEEMERTIVDWRSLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVQVLHDFDQIFNGEAGVKMISSLSFEQDNKIEDQPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRKRMVAYVLLDSHEESIMNSLEACYEGDKLTLGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE* >Brasy8G094400.3.p pacid=40079712 transcript=Brasy8G094400.3 locus=Brasy8G094400 ID=Brasy8G094400.3.v1.1 annot-version=v1.1 MSLDRSFSTSAALSRLLARCPALGADPLLLALASSPAAPSREDVAAALAEPLLHPRYTIPIVGCFLPLAPALLESAIALLRTDAPALHVDSSACLEEEAGEGDYRVVEFYLSRGRGLRLHELACLALSRALDLAPYLLRYVLNYFEFSPPPFRRLSEGVPSTKHFPMAAVLYQGLYLFLDATQVSYRFLELEPSVFCKQWNWSCFWDLVNSRADYSLADNSLHTVGLDLRWCAIQIVMVVLKASDRGTESYGLWADDALACLLRWKEFCKDTSLEKASMYLQTEEGHSDIATTSLADALLDCPDVATRKEHSIGCNICPFVLTATMRKSYEIALMAVSQRWPVLLYGPVGAGKTALINKLAQDRRNRVLFIHMDEQMDGRTLIGSYICTEKPGDFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSILLPLLEGSSSFSIGHAEAVEVAESFRLFATVTTSKNDFSHALEGRLTYSALWRKVMLGEPNREDMANIVNGCYPSLDPISSKLIDTFENVNSLVSYQFGGLNSAGGLSDGILHRFSLRDLLKWCKRIAGVDLNFEGLGFAYSDCKLIYYEAADIFTASLSSPDKRLYISREIARVLGVPEQAETMHPTDKPIIQARHTDLQVGRVTLQCNDKPTLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPTDAHSIYLPLYTEFKDIFCRTFSKKANEAILHRCDVYVMEKKWLKLLDCLSRLCTQAQKLVGSKRKRSLPDWDSFSSRLNSAYSQFSSSNGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYIERHPHFRMFACMNPATDAGKRELPYAFRSRFTECFVDDLMDDDDLCLFVSKYLDGLHAAKGVIDSIVCFYKAAKKESEEKLQDGANQKPQFTLRSLSRALGYVKTAEKSFGFAKALYDGFCMFFLTMLDVPSAKIVKNLIVSLLLKGRVPSSISFADYFVEKPKQLNGSESDEFLCSYVLTSSVTEHIVNLARAVYMKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYIPDSHGKLHFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETISAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCAVARSYATKMVQVMKDLQMHRQNSRVFAGRHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDSEKAVVQEALERNLRVKLNIPDLYNSEWINGDNLSPHAIRQRVQESFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFFPIRDRSTIALEFKHLISTMKEMKLFIHVAKDVTFPSDISGAGSFMDHMNKILDRYKNGKEMFKEVPPQDLEDMEQIMHKLSHLYQKWRKFFDWQDGPLVQAMNSGDLFLIDEISLADDSVLERLNSILEPERKLSLAEKGGSVLEEIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIAIGRFIKPDLSCFGDCIVNFWNWFNQLDTGRLLTIRDLLSWISFINVTEKDLGPQQALTHGLFLILLDGLTLGMNLPKSEATKLRRVCLSFLLEELQKVEGKPLNSDLNDLKIYGWGEDMANTDSDCNLPDHFGIAPFYITKGHFASKRKGFEFMAPTISKNVLRVLRGMQLPKPVLLEGSPGVGKTSLIKALGGFSCHDVVRINLSEQTDMMDLLGSDLPAEGENGIEFAWSDGILLKALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELKEEDYFSICKSLYPLLSEDFLRNLICFNNRLFMDTMVHRKYGQEGSPWEFNLRDIIRSCKMMIAGSPDTSNNDCFLNTVYLQRMRTAVDRDEVLKLFEEVFQIKPSIHKPKMLYVHPHCLVVGSASIARNNFRPYEVQSNQLNILPGILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVISEVERYVHEYFSLSMDIHWKELIMNRKALFVKWFEFVVAKKYSSIHTSTFIEMSRNASLPPLSLVIDIVEQMKCDLEMLGLPMSFTKDDLSKTLKSIHSLQQKEAVHQPVKFEWVAGDLIRAIECGEWVVLDNANLCTPTVLDRINSLVEDERSISLNECGLVDGNHVDLKAHHKFRIFLTVNAKYGEVSRAMRNRGVEIFLMDQSWCLERSAYVSKDSERKDVTRFLISCGIPRMELISSMTEAHLYAKAAGLRLGINITLLEITRWVQLFQELLIKGNQLLWSLHLSWEHTYLPSLGQVNGSDAVEEGKLKFLTDFDGCSAGLRCEFSLSLPGGWPTEQKLRDFIWYSKESCVQRNCMYLQSLGAQYAAYGISNLKDSSSSLGPITIGNIHPSVLPASSLFVLQFPTLSGQHFVKPHITAAFNSELADQMLFIAANWVMEQATESDLKLYGVWFKWYNAMLQPYCSFFESYGNILQRESEHPIWHSILESYKEIIAYHKVDVVAHPIPLLSMKLLDMASCDNLKSCQTRLHNARNGLSLLRLTLQQWHSETNFPDYGGLKATLLPALKSLRCLEDEVLKMIVNSWELPQIYTRLLDYHRSIWKMIVSSQFEGLPVVWNLLRKEILKLQPEFPVEVGVFLMESVNLNNLQDFALQYSKPTLWVLGGHPLVPSSDRVFYKMQEIVAYSAVVWPRKNLSMKPLDDKQQIIDAMLSANQELRDLAFEGISLASHAATNSEEDDSRMAKLDEVHKMLVGKVNSERSNLELLLKTSTSEVKVCCSVSSDILCNTSGFNGWLASLPLLNLKSLNLDTALLQCLSKCTQTDSSEVHQILANSKALLKYAMDYSLELSSRSPLDFTQHQIMWWIHDAWATVDNVCVKFSSALLEMWYNYHTSLWTYCSGSPKVLFTITHNEPYDLAHLTKMDAINTIIQGDLCVMDYQKNCSMHRMSSRNIWEGVSYGENLVGSLHSSAHSLFKQIIFVHKKHFKQEKYRQLEDVLFQQSEHHLEKEGLHTACALLSSSSHGVLASLSGSDKLIGSLLLELYSRDSLLHLGAAWVYIGQLRFKLLLSSYNPDPAFKYAYLHSQILEKISLLDLQGQVRHECEELVGSSSSEDGHGQNLVQELKTKEKNFRAKVVFRPKQSKHKSLLAACCEFEERLSDCKDLLSRLNCIGAGQLEVDRICNWQIMSRNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVEEDDMERVLGAIFGFMQFPNGYVTGMALVGVPDSTKYSIGDQLETQYFEFGDVDVLKKLALVSSQLNVGEVSDKARSHSQMLVSFHHISLVQTTYRVCHSHIMDKTSYLTLKEIFDYFKDMWVKMKSSVKARENDDSQYYKFRSRIIDLQDIFKGDVPSLADMDSEGNTAPDNEEKLELEFFKIMERTNGDAGLVEDNWDLVPESVLKCIIMIHNQLFGSPDLFQKPGKCQISDEQKIQSFVDSYEFGARVLKGLPELTCSTFDEKLMPEHLLRVCLEYRQTCAASLDSSSYNTYKDPNPSTLFKMVEPLTVLQAKVRYFLDEWPDHPGLVKILEIIASLLAMPLSTPLSKALLGLQLLAGKAQTLQENDSKFFLKDHLPPIFMLVSSWQRLELECWPILLEEIQGKYETDAANLWFPLRALLSQYYDIPKDEDLSIIKSIEEFVQTSNVGEFKRRLHLLLAFHGEFCDGSSFGVYLSTPVKKIQNILYNMFGYYMQFLSLVHGQIEAGKQSIENELKDQLKLYRWAQDPYSPASIENFKRTRQKIFKLLQRFNDILQKPVIALLNEEATSRKIPCWLDPERPESQFPVDTEKLGERFLWYRKWKGQASLSLQSLLHTDDKAIAVPNVQESVYAVVHNMNHHQEESELDDILKIFWYALERICNAADFGSILKHGKKNQKKTALSSLFKTLEGCGLSKHRPLSHEWGDELDAPRPLFLEQSYNATHLLQQVTIQTCEDASIIHSTLLGTNKWKLANQQYFRCLAMMQRLRQISLKFNKDIGLEEVNRATSFMNHLLTMLSEQRHLAYNLFEQLNQFRRLMFLLGSGGKGESLSPCQNVLLISMWQQKQFFDNLLAMTTDTNLLLRTFKGCHHASCENIKVEVAAMSTLFEKFITRLSESKDLLDKFLLGSNNILAGAHKRMPLATIEMEQLVAANTQLIGTFREDMQVLCCQDVSKRSVKKVLLSRFEELLDKGKIATESFSREVDQDKHGLFSDEQKLEGSYTKALKEIFTLAIGVVGQLTDLRISTNGTKESSLEGNITSWKDILDSYVMNLQIDHVCVAGENLSVLMRRLIDYKPEMRSIIEAQLMHLHVLLGLILSSAEGILSELLEAHRTTSEMTHALGDLFIYLFVEGFGCAEDTTEDASDGQKDATGTGTGMGEGEGQESASSKIDDLSQLEGTNETGAQCKPDQTPKNDDDAIEMERDFDAEESNVSEDPEGNDSGSDDEDNLDNQMGDTGDAGEMVGKKSWDKDEDDDPKTSTEKYESGSSANGADQNDTELRAKDECPMETDPMEIDNNEQGKDSNLEAEPSTCEDIDENTDDIMNKADAYDDRTGPELSEPDNDPEDINMDGAEQPDDMDADNPNEEISSEEEKQADESSVLSDDMDVGDASRDGDDVVDDEGESIEDGKFEPNNMEKHRLDKIESLAHPSQGIQLDCGETDSNRESEANLANSMDMSSAVAPSVDFSSNEVPSLEMSMPNSGEGSKLLSNSKPEIQTDAPRSNIKQTNPFRSIGDAMEDWKERARVSADTQDHQPETEHHVDDESATEFRYVPDGEQSTTQALGDATADQIDDKLQVKQSSLEDETHAQKVEQPDERVPGDDKPVVPNPQTSQSRARSENANVLEGRDVQTDTSIQDLVQDETNDIFGDVVSFKRPLADDRIVQLDNLTSDWEMCTQMDLDISNEEMERTIVDWRSLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVQVLHDFDQIFNGEAGVKMISSLSFEQDNKIEDQPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRKRMVAYVLLDSHEESIMNSLEACYEGDKLTLGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE* >Brasy8G094400.2.p pacid=40079713 transcript=Brasy8G094400.2 locus=Brasy8G094400 ID=Brasy8G094400.2.v1.1 annot-version=v1.1 MSLDRSFSTSAALSRLLARCPALGADPLLLALASSPAAPSREDVAAALAEPLLHPRYTIPIVGCFLPLAPALLESAIALLRTDAPALHVDSSACLEEEAGEGDYRVVEFYLSRGRGLRLHELACLALSRALDLAPYLLRYVLNYFEFSPPPFRRLSEGVPSTKGLYLFLDATQVSYRFLELEPSVFCKQWNWSCFWDLVNSRADYSLADNSLHTVGLDLRWCAIQIVMVVLKASDRGTESYGLWADDALACLLRWKEFCKDTSLEKASMYLQTEEGHSDIATTSLADALLDCPDVATRKEHSIGCNICPFVLTATMRKSYEIALMAVSQRWPVLLYGPVGAGKTALINKLAQDRRNRVLFIHMDEQMDGRTLIGSYICTEKPGDFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSILLPLLEGSSSFSIGHAEAVEVAESFRLFATVTTSKNDFSHALEGRLTYSALWRKVMLGEPNREDMANIVNGCYPSLDPISSKLIDTFENVNSLVSYQFGGLNSAGGLSDGILHRFSLRDLLKWCKRIAGVDLNFEGLGFAYSDCKLIYYEAADIFTASLSSPDKRLYISREIARVLGVPEQAETMHPTDKPIIQARHTDLQVGRVTLQCNDKPTLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPTDAHSIYLPLYTEFKDIFCRTFSKKANEAILHRCDVYVMEKKWLKLLDCLSRLCTQAQKLVGSKRKRSLPDWDSFSSRLNSAYSQFSSSNGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYIERHPHFRMFACMNPATDAGKRELPYAFRSRFTECFVDDLMDDDDLCLFVSKYLDGLHAAKGVIDSIVCFYKAAKKESEEKLQDGANQKPQFTLRSLSRALGYVKTAEKSFGFAKALYDGFCMFFLTMLDVPSAKIVKNLIVSLLLKGRVPSSISFADYFVEKPKQLNGSESDEFLCSYVLTSSVTEHIVNLARAVYMKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYIPDSHGKLHFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETISAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCAVARSYATKMVQVMKDLQMHRQNSRVFAGRHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDSEKAVVQEALERNLRVKLNIPDLYNSEWINGDNLSPHAIRQRVQESFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFFPIRDRSTIALEFKHLISTMKEMKLFIHVAKDVTFPSDISGAGSFMDHMNKILDRYKNGKEMFKEVPPQDLEDMEQIMHKLSHLYQKWRKFFDWQDGPLVQAMNSGDLFLIDEISLADDSVLERLNSILEPERKLSLAEKGGSVLEEIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIAIGRFIKPDLSCFGDCIVNFWNWFNQLDTGRLLTIRDLLSWISFINVTEKDLGPQQALTHGLFLILLDGLTLGMNLPKSEATKLRRVCLSFLLEELQKVEGKPLNSDLNDLKIYGWGEDMANTDSDCNLPDHFGIAPFYITKGHFASKRKGFEFMAPTISKNVLRVLRGMQLPKPVLLEGSPGVGKTSLIKALGGFSCHDVVRINLSEQTDMMDLLGSDLPAEGENGIEFAWSDGILLKALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELKEEDYFSICKSLYPLLSEDFLRNLICFNNRLFMDTMVHRKYGQEGSPWEFNLRDIIRSCKMMIAGSPDTSNNDCFLNTVYLQRMRTAVDRDEVLKLFEEVFQIKPSIHKPKMLYVHPHCLVVGSASIARNNFRPYEVQSNQLNILPGILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVISEVERYVHEYFSLSMDIHWKELIMNRKALFVKWFEFVVAKKYSSIHTSTFIEMSRNASLPPLSLVIDIVEQMKCDLEMLGLPMSFTKDDLSKTLKSIHSLQQKEAVHQPVKFEWVAGDLIRAIECGEWVVLDNANLCTPTVLDRINSLVEDERSISLNECGLVDGNHVDLKAHHKFRIFLTVNAKYGEVSRAMRNRGVEIFLMDQSWCLERSAYVSKDSERKDVTRFLISCGIPRMELISSMTEAHLYAKAAGLRLGINITLLEITRWVQLFQELLIKGNQLLWSLHLSWEHTYLPSLGQVNGSDAVEEGKLKFLTDFDGCSAGLRCEFSLSLPGGWPTEQKLRDFIWYSKESCVQRNCMYLQSLGAQYAAYGISNLKDSSSSLGPITIGNIHPSVLPASSLFVLQFPTLSGQHFVKPHITAAFNSELADQMLFIAANWVMEQATESDLKLYGVWFKWYNAMLQPYCSFFESYGNILQRESEHPIWHSILESYKEIIAYHKVDVVAHPIPLLSMKLLDMASCDNLKSCQTRLHNARNGLSLLRLTLQQWHSETNFPDYGGLKATLLPALKSLRCLEDEVLKMIVNSWELPQIYTRLLDYHRSIWKMIVSSQFEGLPVVWNLLRKEILKLQPEFPVEVGVFLMESVNLNNLQDFALQYSKPTLWVLGGHPLVPSSDRVFYKMQEIVAYSAVVWPRKNLSMKPLDDKQQIIDAMLSANQELRDLAFEGISLASHAATNSEEDDSRMAKLDEVHKMLVGKVNSERSNLELLLKTSTSEVKVCCSVSSDILCNTSGFNGWLASLPLLNLKSLNLDTALLQCLSKCTQTDSSEVHQILANSKALLKYAMDYSLELSSRSPLDFTQHQIMWWIHDAWATVDNVCVKFSSALLEMWYNYHTSLWTYCSGSPKVLFTITHNEPYDLAHLTKMDAINTIIQGDLCVMDYQKNCSMHRMSSRNIWEGVSYGENLVGSLHSSAHSLFKQIIFVHKKHFKQEKYRQLEDVLFQQSEHHLEKEGLHTACALLSSSSHGVLASLSGSDKLIGSLLLELYSRDSLLHLGAAWVYIGQLRFKLLLSSYNPDPAFKYAYLHSQILEKISLLDLQGQVRHECEELVGSSSSEDGHGQNLVQELKTKEKNFRAKVVFRPKQSKHKSLLAACCEFEERLSDCKDLLSRLNCIGAGQLEVDRICNWQIMSRNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVEEDDMERVLGAIFGFMQFPNGYVTGMALVGVPDSTKYSIGDQLETQYFEFGDVDVLKKLALVSSQLNVGEVSDKARSHSQMLVSFHHISLVQTTYRVCHSHIMDKTSYLTLKEIFDYFKDMWVKMKSSVKARENDDSQYYKFRSRIIDLQDIFKGDVPSLADMDSEGNTAPDNEEKLELEFFKIMERTNGDAGLVEDNWDLVPESVLKCIIMIHNQLFGSPDLFQKPGKCQISDEQKIQSFVDSYEFGARVLKGLPELTCSTFDEKLMPEHLLRVCLEYRQTCAASLDSSSYNTYKDPNPSTLFKMVEPLTVLQAKVRYFLDEWPDHPGLVKILEIIASLLAMPLSTPLSKALLGLQLLAGKAQTLQENDSKFFLKDHLPPIFMLVSSWQRLELECWPILLEEIQGKYETDAANLWFPLRALLSQYYDIPKDEDLSIIKSIEEFVQTSNVGEFKRRLHLLLAFHGEFCDGSSFGVYLSTPVKKIQNILYNMFGYYMQFLSLVHGQIEAGKQSIENELKDQLKLYRWAQDPYSPASIENFKRTRQKIFKLLQRFNDILQKPVIALLNEEATSRKIPCWLDPERPESQFPVDTEKLGERFLWYRKWKGQASLSLQSLLHTDDKAIAVPNVQESVYAVVHNMNHHQEESELDDILKIFWYALERICNAADFGSILKHGKKNQKKTALSSLFKTLEGCGLSKHRPLSHEWGDELDAPRPLFLEQSYNATHLLQQVTIQTCEDASIIHSTLLGTNKWKLANQQYFRCLAMMQRLRQISLKFNKDIGLEEVNRATSFMNHLLTMLSEQRHLAYNLFEQLNQFRRLMFLLGSGGKGESLSPCQNVLLISMWQQKQFFDNLLAMTTDTNLLLRTFKGCHHASCENIKVEVAAMSTLFEKFITRLSESKDLLDKFLLGSNNILAGAHKRMPLATIEMEQLVAANTQLIGTFREDMQVLCCQDVSKRSVKKVLLSRFEELLDKGKIATESFSREVDQDKHGLFSDEQKLEGSYTKALKEIFTLAIGVVGQLTDLRISTNGTKESSLEGNITSWKDILDSYVMNLQIDHVCVAGENLSVLMRRLIDYKPEMRSIIEAQLMHLHVLLGLILSSAEGILSELLEAHRTTSEMTHALGDLFIYLFVEGFGCAEDTTEDASDGQKDATGTGTGMGEGEGQESASSKIDDLSQLEGTNETGAQCKPDQTPKNDDDAIEMERDFDAEESNVSEDPEGNDSGSDDEDNLDNQMGDTGDAGEMVGKKSWDKDEDDDPKTSTEKYESGSSANGADQNDTELRAKDECPMETDPMEIDNNEQGKDSNLEAEPSTCEDIDENTDDIMNKADAYDDRTGPELSEPDNDPEDINMDGAEQPDDMDADNPNEEISSEEEKQADESSVLSDDMDVGDASRDGDDVVDDEGESIEDGKFEPNNMEKHRLDKIESLAHPSQGIQLDCGETDSNRESEANLANSMDMSSAVAPSVDFSSNEVPSLEMSMPNSGEGSKLLSNSKPEIQTDAPRSNIKQTNPFRSIGDAMEDWKERARVSADTQDHQPETEHHVDDESATEFRYVPDGEQSTTQALGDATADQIDDKLQVKQSSLEDETHAQKVEQPDERVPGDDKPVVPNPQTSQSRARSENANVLEGRDVQTDTSIQDLVQDETNDIFGDVVSFKRPLADDRIVQLDNLTSDWEMCTQMDLDISNEEMERTIVDWRSLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVQVLHDFDQIFNGEAGVKMISSLSFEQDNKIEDQPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRKRMVAYVLLDSHEESIMNSLEACYEGDKLTLGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE* >Brasy8G184300.1.p pacid=40079714 transcript=Brasy8G184300.1 locus=Brasy8G184300 ID=Brasy8G184300.1.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPEVQHDLQSFPFRVSEGPDGFPLVHARYLGEERTFTPTQLLAMVLSNLKGIAEGNLNSAVSDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIRRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDSPGNEAQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDMQITQKISTYTIGPFQSSKGEKAKLKVKVRLNIHGIVSLESATMLEEEEVEVPVTSEVPKDATKMDTDDATGTDVNMQESKGATDTAEGAAENRALDSEEKSVPMDTDTKVEPSKKKVKKTNVPVTELVYGAMSAAELEKAVEKEYEMALQDRVMEETKDKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLRAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNAETWLLEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPAENPAPEAQTPEQQSSGASEADEPANEGASQDQPAAEQMETDRAEPSSA* >Brasy8G184300.2.p pacid=40079715 transcript=Brasy8G184300.2 locus=Brasy8G184300 ID=Brasy8G184300.2.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPEVQHDLQSFPFRVSEGPDGFPLVHARYLGEERTFTPTQLLAMVLSNLKGIAEGNLNSAVSDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIRRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDSPGNEAQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDMQITQKISTYTIGPFQSSKGEKAKLKVKVRLNIHGIVSLESATMLEEEEVEVPVTSEVPKDATKMDTDDATGTDVNMQESKGATDTAEGAAENRALDSEEKSVPMDTDTKVEPSKKKVKKTNVPVTELVYGAMSAAELEKAVEKEYEMALQDRVMEETKDKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLRAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNAETWLLEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPAENPAPEAQTPEQQSSGASEADEPANEGASQDQPAAEQMETDRAEPSSA* >Brasy8G172100.1.p pacid=40079716 transcript=Brasy8G172100.1 locus=Brasy8G172100 ID=Brasy8G172100.1.v1.1 annot-version=v1.1 MTPTPPSCFLLLLLLVLTVTASSLPRPPAVAAARESLARGASIAVEDHATDVLRSPDGAFACGFYAVSPTVFTFSIWFARARNRTVVWTAGPARPVHSQGSRVVLDRRSGALVLTDYGGEPVWSSASSTTAAAAASRAVLRDSGNLVVEDAAGRDLWQSFDFPTDTLLPTQRLTAATRLVSSGSGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYNNYVANNRRIYNFTRVAAMDARGSFLSSDNANFQAADLGATTGEYGQVRRRLTLDADGNLRLYSLQNATWAVTWMAFGNPCTIHGVCGANAVCLYTPAPACACAPGHERADNRDWSRGCRPAFRLQPHELCPRQPRGTKLVALPHSDFWGYDLNAGEILPLAECTRRCMENCACVGFQHKEHDMECYLKSVLFNGRTFPGLTGTVYIKVPADFHVPPGFRIHQWQPHGRGLAIAEDNISTGCRAPHDQEQLVVLVNVSDASSRNNAAVDAKPVWPYLYGFLSALLVVEAAAIGLGWWLFFSKNGPLTAASSSSPVYPVDEGYKLILLTAHFRRYSYAAIKKATGDFAADRVLGRGGSGVVYKGVLDDGRHVAVKALTTVTHRCISEEEFQAELGAIGQIYHMNLARVVGCCSHGDRRFLVSELVENGSLAAALFEGGGNGGVMLGWRQRFRIAVGVARGLAYLHTECLQRIVHCDMKPENILLDRDMEPKIADFGLAKLLDRGNGNGPFAGRPTKDMSRRTRGTRGYMAPEWVTSMAVSDKVDVYSFGVVLLELGPQAVDDVGGRDTDVRAVREMMRSGRVEGLVDGRLAGEFSHAQVRAVVGVALSCLEEDRSRRPSMSSVVQTLVSVEDA* >Brasy8G163000.1.p pacid=40079717 transcript=Brasy8G163000.1 locus=Brasy8G163000 ID=Brasy8G163000.1.v1.1 annot-version=v1.1 MVRGSAPAAALGGRAAAARWCTYRRATVAACLANLVAVLLVLRLLYTSHGSVVSARKRMNGKCSDEQIRRVAESIRIRRAAEPYKNYGTEGVVRKMQKVSALDEKRREKLPLELKQKVSYDILQKLRDLGENTNTTEQQEAIYSWRIEKLKDIRSPSAQNLSNLVLSSKESRMLKQALEHNWDMLREDIGLWEPVNVWHTERDDKPQNEPEEEEIIAGPPLPPECNAELHSDYGGAAVRWGLTHRKESAADCCQACLDQAKRAKPGALRCNIWVYCSSEYGCYSPDKYEHKHQECWLKQVLRGCQLISLHI* >Brasy8G126700.1.p pacid=40079718 transcript=Brasy8G126700.1 locus=Brasy8G126700 ID=Brasy8G126700.1.v1.1 annot-version=v1.1 MKLVSWNCRGLGNRPAVRGLLELQKSENPDMIFLCETRLTKRKLEKFRWLLGLPNMLARDCDEMGGRGVALFWRSGLDVALHNFSRYHIDVEISESDGYKWRFTGIYGEPNSNKKHITWRLLRELNAQLDRPWLCSGDFNEILFAHEKEGGRQKSQQKMDSFKEALELCGLHDMGFEGDRFTWRNNSKTKENYIRQRLDRAVANSRWCSRFPTAKVVNGDPRHSDHRPVIICTEEGLKRERWKGVPGSFKFEARWLAENDCRAVVERAWEEAEKDGSPILTNLSKVARDLKVWDRDVLGDLEKRVKKARKELETCRRGSLSNSAVVREETLRFKVEKLEEELDTFWRQRSHIDWLKFGDRNTAYFHAKVKERRKINNIGKLQRDGGGWAEKEEDKKEVMTH* >Brasy8G237000.1.p pacid=40079719 transcript=Brasy8G237000.1 locus=Brasy8G237000 ID=Brasy8G237000.1.v1.1 annot-version=v1.1 MSISMGAFQLIRRTEMQWQWEHFNWCLPGSLLSWFQFLMHVRCLWDGNGEALQKYQRPDFQVWIACSW* >Brasy8G009200.1.p pacid=40079720 transcript=Brasy8G009200.1 locus=Brasy8G009200 ID=Brasy8G009200.1.v1.1 annot-version=v1.1 MNQILHFLGVLQNLIRCYALHPEHNSSNRLPHRTPSHRQQSIPRHEERRRSGSSVMVAFMDSRASLSVWMHLPPCVLHVSGDLGHPVAVSVSRSRKTASANMVDCNLITSSCFFRQAKSTDMYGGVHKGMVKNSRCKRPNNCSFSAAS* >Brasy8G151700.1.p pacid=40079721 transcript=Brasy8G151700.1 locus=Brasy8G151700 ID=Brasy8G151700.1.v1.1 annot-version=v1.1 MATPVASSSFPPRGHAVPFGRRAPLPSPRRSCVLPVCALGSGEGNERKILDPLATPFQILGLDASAAYSASQLKAAFRARVKEFHPDVCKDKESANLIMRRVLEAYEMLSGNNGMMVERNNIDPFDEPECEACDIFVNELLCIGTGCPYSCVKRAPHAFAYAEDIGTARAISQGIGDNYLVELAVEQCPRKCIYYVTPCQRTILEDVLASVLMTPHDLSEAAVLDSLTSKAMFENNRYKKPKRETKSSSDYVDWL* >Brasy8G099200.1.p pacid=40079722 transcript=Brasy8G099200.1 locus=Brasy8G099200 ID=Brasy8G099200.1.v1.1 annot-version=v1.1 MPLHSHVGQLLFLLLVLSASIPDPVSSSCAGGGRDDAAIVAAAFRHVRNFQPSAVPACTPVTELRLPSRNLTGAVAWAALANLSALATLDLSGNALRGAIPSGFWRAPSLRSVDVSRNQLGGSLRVEPNPRLLSLNVSGNRFTGVAGVDGLAGLDALDVSANRIRQVPQGLARLARVSRLDISGNAMQGRFPGDLPPLDGVRFLNVSYNRLSGVVKVGAVKKFGRSAFVHAGNASLVFSKESTARPKQAPAPPPPPPPRERSKNKKDPARTEKSTATRRKKHLSMVVVAVVCGVASVAVLLCLVLCVACGVLRRRKNGRKDEEEKKVQQRGGNEEEEEVAVAAAATAKGASAAPVVLFERPLMELTLSHLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEDGTMGRAEEVDDNPAAAFRELARLRHPNILPLLGYCIAGREQLLLYEYMEKGDLHRWLHELPSGRPDDMEDTGGGDIWETAEEKRSISDWPTRHRIALGVARGLAFLHQGWTGSGRAVVHGHLVPTNVLLGDDLEPRISDFGRGCEEQATPEGDVYGFGVVVLELMTGQARWDEASVSWARGIIRDGKGLDIVDPRLHGEAAAGEAEAEREMVECLRVGYLCTAHSPDKRPTMQQVVGVLKDIRPGT* >Brasy8G109700.1.p pacid=40079723 transcript=Brasy8G109700.1 locus=Brasy8G109700 ID=Brasy8G109700.1.v1.1 annot-version=v1.1 MRGREAPRPAGGTETLTSNNPQMSPLRITHDGEFYARLLTKEITSGGSGSKPHSFRYYGPGPGPVPFGWESQPGTPKDAPACCRTPGAGPAVLPAITPPPSYHLRSAPPHAHSGRMSGRKTSKLQGGGGSKSKCCYCGCGYGKKLKWSVKIGFIAALFRRIALGKSRVSSAPSVQSSSSSTRWLFSGSSSQEVESGVYDHHYDHYEFCGGRREAGWVHGWP* >Brasy8G008200.1.p pacid=40079724 transcript=Brasy8G008200.1 locus=Brasy8G008200 ID=Brasy8G008200.1.v1.1 annot-version=v1.1 MAAAILKMKRGALFLGALMILTMFFSSQGKNVKIGCFGGKRSCFDHQCQNLCEESNLGLPDSEIIGQCEADHIPDPYNSIDCCCFRKA* >Brasy8G133600.1.p pacid=40079725 transcript=Brasy8G133600.1 locus=Brasy8G133600 ID=Brasy8G133600.1.v1.1 annot-version=v1.1 MAPHTVITWAVGLCLLVFLASPPPTSLAAAARATPRNATAADPTEGFTAVPLSEQNFLLQRPYDESIRARYSFDGTVRRLWVLASDKPHARQSHTSPRTEMRMKGYDYSSGVWQFEGYGYVPSGTSGVFGGGETATTLMLHVYGGALRYYDKQVVEDGIHDRWFRLNVVHDVEASVLTVFVDGVEKLRAPGRGGDMHYFKFGVYAQNHDSSRMESRWKDVKILRKD* >Brasy8G189700.1.p pacid=40079726 transcript=Brasy8G189700.1 locus=Brasy8G189700 ID=Brasy8G189700.1.v1.1 annot-version=v1.1 MAMVLDAFASYLQSLLAEMAAEEVHLLLGVSAEIDKLNDKLKDLKNFLADADRRNITDDSVREWVGLLKRAMYDAADILDLCSLKAMERGASTADAGCFNPLLFCTRNPLHAHDIGARIKVLNQRLGSVKERSAAFSFINLGSYEDYRGSMHASRPGNPSRETSGELDRSGVVGEKIEQDTRELVAKILLQTRKEANNNNNNITVFAIVGVGGIGKTTLAQKVFNDDSIQGELGKKIWLSVNQNFNEAELLRRAIIEAGGDHLPAGNAKATLQRTLKDALNGHRTLFVMDDVWSHEAWEDMLETPLVNATAPGSGVLVTTRDEGVARGMKAVRPYHHVDKLDHEDAWSLLKKQVLSSEMDEDHIIMLKDVGLKIIEKCGGLPLAVKVMGGLLRQREMLHRHWKQVLDDSKWSITKMPQELNYAVYLSYEDMPPNLKQCFLYYSLLPKSRKFSVFQVVSMWISEGFIHGNSNNLEAAGRNYYRELISRNLLERDNNSYVEPWYCSMHDVVRSFAHYMTRDEALVAHNGEIDVKPTDYNQDWKSLQEQQSVRTLISTIQIKTKPDDSLVTAFSSLRTLHIELTDVAALVESLHQLKHLRYLALLNTDISVLPGNISKIKLLQFLDLDGCKKLVNLPDSIVKLGQLRYLNLPGRSKNVKIPRGFGNLTNMRTLGGFPAHMNGDWCSFDELGPLSQLRLLRIVQLENVSSASSAANARLGAKMHLVELLLICASRLGHDGLVKDRKGVSEEKQRQIEKVFDELRPPPSVEFEYLYISGYFGQQLPGWLKSTVPLNNLKFLLLSGLACCTQLPNGLCHLPSLQMLQVIRAPYIKHVRTKFLHPSQTRDALFPRLYKMKLVQMLEWEEWEWEEQVQAMPRLEELLLDRCKLGRVPPGLARHARALRKLCIKHIQHLNYLENFASIVELTVLGCLDLERIFNLPKLQKLTITDCPKLKLLNGVPALQRLVLEDCTMETLPGYMRDVKPRHLELRCRLTLLASLAAGQFGPELAKFSHVEHVKAYANGREWYVLYTRIPYSFHTNINLTFLSGGTLSFLGDAERFEYLLKMPRRTFDYICSLVKESSVQDMNSYTFLDGKLLRLEDRGAIALITLNSGEPPATIGSSIGVHESTVSLVTEATFVNAMYVRARHHSCWSGTGEIDKIKSKFTEIHGLPNCCGVVHTTRIPFGPQNRDRGKNGDVLMQVIVDSDMRFTDIELRWSGTKNQLTILHQSHFFGECKKGARLNGNRLKVSLDVSEEVGEYIIGDARYPLLPWLFTPYQQLENDLSNSKVKFNKRHSEAIAECAGIGTPVELTNKQASKKLRNSQYRKRVGWLKESLNWIRSGTGMKLLRNDSRFGILLKHAWCPGNQGVRWLAIRVCCMLHNIVIDMEEGAGMPSNQEAKRSEQVRR* >Brasy8G282500.1.p pacid=40079727 transcript=Brasy8G282500.1 locus=Brasy8G282500 ID=Brasy8G282500.1.v1.1 annot-version=v1.1 MSCCGGNCGCGAGCKCGNGCGGCKMFPDVEASAGATMTTMVMPTATHKGSSGGLEMAGGEESGGCDCTTCKCGTACGCSCCSCN* >Brasy8G197400.1.p pacid=40079728 transcript=Brasy8G197400.1 locus=Brasy8G197400 ID=Brasy8G197400.1.v1.1 annot-version=v1.1 MATAAAAVPAGGHQHRRGLGLGLRPLPRPLRLHRLRLPASAASTSSSAPSTSSSSSWTPAEGGGRLVAELVGTFNELTGRMGEGLATTSSSRLLFRALKLALPAFRGGGDGDGGRALSRALAVAATLADLQMDAEVISAGILRKALDAGAINMSDVEEQIGTGIVDLLHESLRLKLAPSKVDILDDESVSALRKFFLSYYDIRAVILELALKLDTMRHLEYLPKHLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHVDQWLRNREAECKLLIKAYKAQLILALKSDDELNKIVQDISIEGRYKSRFSTMKKLVKDGRKPEEVNDILGLRVILDPRCDVGSCDWGPRACYRTHEIIQTLWKEVPGRTKDYVTRPKRNGYQSLHVAIDVSEPGKTRPLMEIQIRTKEMHRIAIGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAALRLRDLPASDSQGGECKNQAFCLLDKNGDGRISIEELTEVMEDLGAGDKDAMELMHLLDANSDGSLSFDEFESFQRQVELMRSLEDRDDHYTKILKDKLQMIDSAGLIHFYRKELGDKLLVI* >Brasy8G167300.1.p pacid=40079729 transcript=Brasy8G167300.1 locus=Brasy8G167300 ID=Brasy8G167300.1.v1.1 annot-version=v1.1 MPPPANAMVPAIGSRRLTVLREFRPHGLAAEEADGGGPEARPLQDYDYFLFDPSLASFPAPVPEDEAPSSSGADGDDRLFIRGNRIIWSTGSQVHKRYASPNTVIMACWCRMDAISYALLCVLQVDTLSIYDVTGEVVSIPLPYAVSSIWSLPFGLLLQKSTDGGRMVSSSSSLLNARDLTLPNKEFGLNYNVSCQASTVEAATKSDGAVISSHLILKHPLEEPQATYFEERGRLNVMKDFDEKTLWTSDIIPLMASYHKGKFQHSVWQIDGATYQEAMDENAMLSIPW* >Brasy8G097000.1.p pacid=40079730 transcript=Brasy8G097000.1 locus=Brasy8G097000 ID=Brasy8G097000.1.v1.1 annot-version=v1.1 MGDVAPCGQLLLDNVVDGEFQLPSDAEDLFSILETWEDCVNGGAATTALSPTFGASSGALLAPPDSKLAGSKRRMAPQDQEDSDDTAAQAQKRRKCSPEAPKTAHITVERNRRKQMNEHLAALRSLMPCFYVKRGDQASIIGGVVDYIKELQQVKQSLEAKKQRKAYTEQVLSPRPLPSPSPLLKSTPPLSPRLATMSPCRTPPTPGSPYKLIRPLPLPPTMSSGSSAAYVSPAMTPTGCPEPSLEAIAAELSAYAANNRQATLLPDVRVEFRGANLVLKTVSPRAPGQAVKIVAALEGRALEILHAKISTVDDTDVNAFTVKIGIECELSAEELVQEIQQTFSRERVTLA* >Brasy8G035300.1.p pacid=40079731 transcript=Brasy8G035300.1 locus=Brasy8G035300 ID=Brasy8G035300.1.v1.1 annot-version=v1.1 SPSKDGQVVTLSPFSRQQAKLLPSTLPSPSLHGLGFAAAALFRTHDSTVHTANYIGAREGRWGGRRFIAEKPSRMSAGREAMEGRGIRRRADVLVRRVQDSSAQRARMGRPGQRRRLRGVRGGRSHRRYVQRCSWQGRVQDRVTINNSDTGTAVAMKILDKATIVNHV* >Brasy8G035300.3.p pacid=40079732 transcript=Brasy8G035300.3 locus=Brasy8G035300 ID=Brasy8G035300.3.v1.1 annot-version=v1.1 SPSKDGQVVTLSPFSRQQAKLLPSTLPSPSLHGLGFAAAALFRTHDSTVHTANYIGAREGRWGGRRFIAEKPSRMSAGREAMEGRGIRRRADVLVRRVQDSSAQRARMGRPGQRRRLRGVRGGRSHRRYVQRCSWQGRVQDRVTINNSDTGTAVAMKILDKATIVNHV* >Brasy8G035300.4.p pacid=40079733 transcript=Brasy8G035300.4 locus=Brasy8G035300 ID=Brasy8G035300.4.v1.1 annot-version=v1.1 SPSKDGQVVTLSPFSRQQAKLLPSTLPSPSLHGLGFAAAALFRTHDSTVHTANYIGAREGRWGGRRFIAEKPSRMSAGREAMEGRGIRRRADVLVRRVQDSSAQRARMGRPGQRRRLRGVRGGRSHRRPTLFLARARPRPCHHQ* >Brasy8G035300.2.p pacid=40079734 transcript=Brasy8G035300.2 locus=Brasy8G035300 ID=Brasy8G035300.2.v1.1 annot-version=v1.1 SPSKDGQVVTLSPFSRQQAKLLPSTLPSPSLHGLGFAAAALFRTHDSTVHTANYIGAREGRWGGRRFIAEKPSRMSAGREAMEGRGIRRRADVLVRRVQDSSAQRARMGRPGQRRRLRGVRGGRSHRRPTLFLARARPRPCHHQ* >Brasy8G224200.1.p pacid=40079735 transcript=Brasy8G224200.1 locus=Brasy8G224200 ID=Brasy8G224200.1.v1.1 annot-version=v1.1 MPAWWRDASVGCLFSIWSPRRLKLAQSWSRDRATGIVAGDDMAPSHRAERELEHTGDVEVLVLAEVHGHSHSGAESGGGGASRGSPRRARASGGGGASRARGRGTRGKRRRRRVAGKQRARRRGRAARKQRRRHFPTPDNSSHLQITSQLARVPLPLARDAPPLPLVRARLGLARDAPPPPLSALEWEWPWTSASTRTSTSPVRSSSPSARWEGAISSPATMPVALSRLHD* >Brasy8G200800.1.p pacid=40079736 transcript=Brasy8G200800.1 locus=Brasy8G200800 ID=Brasy8G200800.1.v1.1 annot-version=v1.1 MMANGRLQKQALLPPRIPFPVAAAAATASPSPQAELGPIARPRDAHHRQGHQRTSSESVLVDEQPSWLDDLLDEPDSPARPHGRPGHRRSSSDSFTLFDGAAAAAAASSAGTYDNVFDGMRGGGQPSSWDRAQEFFREQNSFGRPQGLPWDPRQMFLQGGGMPLPVREKNVMHHGAVPNGVDMKGHVDSAHDQMIGAERNEGDAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTQGIEVSAEMDFLGQQNIMLDLENKSLKQRLESLSQEHVIKRVQQEMFEREIGRLRSLFQQQQQQQHILQQQAPTHSRSNSRDLDSQFANMSLKHNDPNSGRDAVPGLRI* >Brasy8G239700.1.p pacid=40079737 transcript=Brasy8G239700.1 locus=Brasy8G239700 ID=Brasy8G239700.1.v1.1 annot-version=v1.1 MDSKTQGSSLKVLVIEDSKLQSKILLAMLRRCNCETAWAENGKEAVDLYLEGKKFDIILCDKQMPIMNGPEAVEKIRSMGATEVKIVGLSADADATEEFISAGADMFLEKPMTLEVLKAIVQEVISKKNNAVA* >Brasy8G165300.1.p pacid=40079738 transcript=Brasy8G165300.1 locus=Brasy8G165300 ID=Brasy8G165300.1.v1.1 annot-version=v1.1 MAGSTGALRPASSSRGRRSHRRPPSPTSPSDPDQGWTDLVEGGRHEGGAWRGREGRGGGRSPRGRREEAGAGRGLLVAGGGRGGGLLAAGGLAFARGEEKG* >Brasy8G195000.1.p pacid=40079739 transcript=Brasy8G195000.1 locus=Brasy8G195000 ID=Brasy8G195000.1.v1.1 annot-version=v1.1 MATTCQPPTAELSSSTGRSATEARRSLKVHSEAERQRRERINAHLATLRRMIPDASQMDKATLLASVVSQVKDLKRRTTAGSITQASEPIPPEANEVTVRCCASTGGDRATYIRAVVSCEDGPGLLAGLAGAFRGLGLRALRAEVASLGGRAHHVFVLRKEEEDGDIGAGRRLLEAAVRQALAEVAFPEMARGGGGGGGSWSKRQRLLEGRCSVMYSV* >Brasy8G198600.1.p pacid=40079740 transcript=Brasy8G198600.1 locus=Brasy8G198600 ID=Brasy8G198600.1.v1.1 annot-version=v1.1 MITLSCKKRRQVYIGSGSEDSGTDSEVEGSKRSQKSGVMPSSTYEHRPSSKNKVESMNASQARQCKNVLEKLMGHTGGWLFHEPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTSKNYPSTDEFAADVRLTFSNAMKYNPPGNFVHTVAEQLNGIFDSEWKLYERKWRDRNPVPERLPVKVIKARAAVNSKSVVPTGLAACSNSLAKKTLTDAISSKVKIKFSVRGSGQTFSKDTPFQAAGSKEGSLNHHIPCPKENTKTSRMQSSEHSSQSNGNGSQTCSDASTSPLASFEQGNGSYLNDEPLSPTKALRAAILKGRFAGTIVKAQQKALLDHGQIIDPAKLQMEKERLEKRQREEKARIEAQVKAAEAAAQLKLDEEMRMKREQQRETARLALQMMKKTVDIDNSDFLKDLENMSKKWELNPPGRLIVDLVDGMELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDGISHSMDVDVEEGEIGCCR* >Brasy8G198600.3.p pacid=40079741 transcript=Brasy8G198600.3 locus=Brasy8G198600 ID=Brasy8G198600.3.v1.1 annot-version=v1.1 MITLSCKKRRQVYIGSGSEDSGTDSEVEGSKRSQKSGVMPSSTYEHRPSSKNKVESMNASQARQCKNVLEKLMGHTGGWLFHEPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTSKNYPSTDEFAADVRLTFSNAMKYNPPGNFVHTVAEQLNGIFDSEWKLYERKWRDRNPVPERLPVKVIKARAAVNSKSVVPTGLAACSNSLAKKTLTDAISSKVKIKFSVRGSGQTFSKDTPFQAAGSKEGSLNHHIPCPKENTKTSRMQSSEHSSQSNGNGSQTCSDASTSPLASFEQGNGSYLNDEPLSPTKALRAAILKGRFAGTIVKAQQKALLDHGQIIDPAKLQMEKERLEKRQREEKARIEAQVKAAEAAAQLKLDEEMRMKREQQRETARLALQMMKKTVDIDNSDFLKDLENMSKKWELNPPGRLIVDLVDGMELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDGISHSMDVDVEEGEIGCCR* >Brasy8G198600.2.p pacid=40079742 transcript=Brasy8G198600.2 locus=Brasy8G198600 ID=Brasy8G198600.2.v1.1 annot-version=v1.1 MITLSCKKRRQVYIGSGSEDSGTDSEVEGSKRSQKSGVMPSSTYEHRPSSKNKVESMNASQARQCKNVLEKLMGHTGGWLFHEPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTSKNYPSTDEFAADVRLTFSNAMKYNPPGNFVHTVAEQLNGIFDSEWKLYERKWRDRNPVPERLPVKVIKARAAVNSKSVVPTGLAACSNSLAKKTLTDAISSKVKIKFSVRGSGQTFSKDTPFQAAGSKEGSLNHHIPCPKENTKTSRMQSRNGSQTCSDASTSPLASFEQGNGSYLNDEPLSPTKALRAAILKGRFAGTIVKAQQKALLDHGQIIDPAKLQMEKERLEKRQREEKARIEAQVKAAEAAAQLKLDEEMRMKREQQRETARLALQMMKKTVDIDNSDFLKDLENMSKKWELNPPGRLIVDLVDGMELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDGISHSMDVDVEEGEIGCCR* >Brasy8G198600.4.p pacid=40079743 transcript=Brasy8G198600.4 locus=Brasy8G198600 ID=Brasy8G198600.4.v1.1 annot-version=v1.1 MITLSCKKRRQVYIGSGSEDSGTDSEVEGSKRSQKSGVMPSSTYEHRPSSKNKVESMNASQARQCKNVLEKLMGHTGGWLFHEPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTSKNYPSTDEFAADVRLTFSNAMKYNPPGNFVHTVAEQLNGIFDSEWKLYERKWRDRNPVPERLPVKVIKARAAVNSKSVVPTGLAACSNSLAKKTLTDAISSKVKIKFSVRGSGQTFSKDTPFQAAGSKEGSLNHHIPCPKENTKTSRMQSRNGSQTCSDASTSPLASFEQGNGSYLNDEPLSPTKALRAAILKGRFAGTIVKAQQKALLDHGQIIDPAKLQMEKERLEKRQREEKARIEAQVKAAEAAAQLKLDEEMRMKREQQRETARLALQMMKKTVDIDNSDFLKDLENMSKKWELNPPGRLIVDLVDGMELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDSISPSMDEKKDLDEEVEHEMKDGISHSMDVDVEEGEIGCCR* >Brasy8G143300.1.p pacid=40079744 transcript=Brasy8G143300.1 locus=Brasy8G143300 ID=Brasy8G143300.1.v1.1 annot-version=v1.1 MARTTVIFAQPPVSPPSSPRDLTSVTRTRCLPPIQTDTHTRTLQFGGSPVSLELVDPTRPPVSNRSRERRMPSVSKAVCLQQRPSTGGGRRAREAGRRSVAAPRARHSKPKAVLSGGSDSPRRGQHCSPPKAVAAPTIPATEKRVFHFGKGKSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGARLGDPKRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVAAGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKATKGVHNDTDLTASDLRELVSQYKNVYVEAKGEQFPSDPKKQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKNQMPEAYVELVENCKILESHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMVNEALVDCSTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEEWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNDIEKVVTIEGKVLQEGDWISLNGSTGEVILGKQPLSPPALSGDLETFMAWVDEVRQLKVMANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPNVQLRQKALDCLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVTLIRQIANRVFTDMGKTIDYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV* >Brasy8G143300.3.p pacid=40079745 transcript=Brasy8G143300.3 locus=Brasy8G143300 ID=Brasy8G143300.3.v1.1 annot-version=v1.1 MAPAQCARVQRVFHFGKGKSDGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGARLGDPKRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVAAGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKATKGVHNDTDLTASDLRELVSQYKNVYVEAKGEQFPSDPKKQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKNQMPEAYVELVENCKILESHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMVNEALVDCSTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEEWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNDIEKVVTIEGKVLQEGDWISLNGSTGEVILGKQPLSPPALSGDLETFMAWVDEVRQLKVMANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPNVQLRQKALDCLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVTLIRQIANRVFTDMGKTIDYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV* >Brasy8G143300.2.p pacid=40079746 transcript=Brasy8G143300.2 locus=Brasy8G143300 ID=Brasy8G143300.2.v1.1 annot-version=v1.1 MKDLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGARLGDPKRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVAAGLAAKSGERFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKATKGVHNDTDLTASDLRELVSQYKNVYVEAKGEQFPSDPKKQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKNQMPEAYVELVENCKILESHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMVNEALVDCSTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEEWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNDIEKVVTIEGKVLQEGDWISLNGSTGEVILGKQPLSPPALSGDLETFMAWVDEVRQLKVMANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPNVQLRQKALDCLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVTLIRQIANRVFTDMGKTIDYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV* >Brasy8G143300.4.p pacid=40079747 transcript=Brasy8G143300.4 locus=Brasy8G143300 ID=Brasy8G143300.4.v1.1 annot-version=v1.1 MDIPHALFEEKLEAMKATKGVHNDTDLTASDLRELVSQYKNVYVEAKGEQFPSDPKKQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKNQMPEAYVELVENCKILESHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMVNEALVDCSTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEEWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNDIEKVVTIEGKVLQEGDWISLNGSTGEVILGKQPLSPPALSGDLETFMAWVDEVRQLKVMANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPNVQLRQKALDCLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVTLIRQIANRVFTDMGKTIDYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV* >Brasy8G143300.5.p pacid=40079748 transcript=Brasy8G143300.5 locus=Brasy8G143300 ID=Brasy8G143300.5.v1.1 annot-version=v1.1 MDIPHALFEEKLEAMKATKGVHNDTDLTASDLRELVSQYKNVYVEAKGEQFPSDPKKQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKNQMPEAYVELVENCKILESHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMVNEALVDCSTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEEWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNDIEKVVTIEGKVLQEGDWISLNGSTGEVILGKQPLSPPALSGDLETFMAWVDEVRQLKVMANADTPEDALTARKNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPNVQLRQKALDCLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVTLIRQIANRVFTDMGKTIDYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV* >Brasy8G152800.1.p pacid=40079749 transcript=Brasy8G152800.1 locus=Brasy8G152800 ID=Brasy8G152800.1.v1.1 annot-version=v1.1 MPPPLLLLLVPLVHLLAPALAEAPDHYSVRYAPPGAGTNAAAAQEYLDPTYPLPGPPPAAKTCTVPVLSYSFASTYGAAPAKASYAPPAGCPAPWSLVVLSFSAACAGEQYGRVAAVWLDGAELLRTTTAEPTPEGVGWTVRKDVTRYSVLLRSPPDGVLSVMLENVVNDEYTGVYNVTVSLEFHGTPAYISDATSPSSSSAGVDSPDPAPPTLPESYFQAADLILPISEATSNSGYWFRIQNSSDSRSKLVTIPSNTYRAVLEVFVSPHANDEFWYSNPPDLYIQENNLTTPRGNAAYREVVVSVDHHFAGSFVPFPVIYTGGINPLYWQPVSALGAFDLPTYDIELTPFLGLLVDSKAHEIGLSVVDGIAEWLVDANLHLWLDAGSSDVQASLGRYKTPRLSITRRYATQLLDGSFSIRAKRKSAFSGWVKSSLGNLTTEVETELETRSLVWFSNGGKNKTVELQAEQETEVVVRSETRKVIGKVETEAKYPLWFYMDTEDGENGTAVVTGSLSHSLSIEKEVKSGSFESKARLTDEQSAEGWMVVKDHDVVNGSATTNQMYRYSDDGWSYQRAIDAMDGAVLSDNVSESYRVQVQDAANTFCLPGRRCRDGTPSVAERWVEVAPM* >Brasy8G173100.1.p pacid=40079750 transcript=Brasy8G173100.1 locus=Brasy8G173100 ID=Brasy8G173100.1.v1.1 annot-version=v1.1 MGTKGDGPAIGIDLGTTYSCVAVWRPLHNRVEVIPNDQGNLTTPSCVAFTDTCHLIGEAAMNQASMNSVNTVFDVKRLIGRRFISASVQEDIKLWPFKAISGPNDRPMIVVQYRGKEKQFTAEEISSMVLIKMRETVEAYLDKAVKNVVITVPVYFNDSQRQATIDAGAIAGLNVMLKTLLIFDLGGGTLDISVISIDMGIFTVKATSGDTHLGGEDLNNRMVEHFVQDFLQRHKGDIRSNPRALMRLRTACERAKRTLSYTTQAKFEIDSLHNGIDFYGSISRARFEELNMDLFRKCIDHVENRIPKAAILSGECSKKVQDLVMLDVTPLSLRVEIVPGVMSVLIPRNTTIPVKREGVYMTEYDNQTSVLIKVYEGEGALTRDNNLLGKFTLGGIIPAPRGVPKFNETMEVEENGILKVSAEDKTTGNRMNITIRTDKGGLTKEEIERMVQDAEKYKSEDKKQIMKIKNENEEGWLTKAQTECMLQDTKKYKLEEKKGIMKIKDENKEGWLNNEEIKLMIQDAKKYKSDDKKRKITKINKENEEGWLSKEEFECMVHDAKKYKFEDNK* >Brasy8G084100.1.p pacid=40079751 transcript=Brasy8G084100.1 locus=Brasy8G084100 ID=Brasy8G084100.1.v1.1 annot-version=v1.1 MGFSGMKRIHMLPKINLRFSSWLMQKLDADALRLEVSDSFAIELTDQSVHSVFSLPIGPKMVCQESSSFSEILARYTDEASSYGQKGVHSLKAAEVILLRPLNEGSSAMEVGCFQIAFAIFVVGHILAPTSKHDYVSLDFIGSLTDPSLITSFNWCGYVLKHLALAARKLQSDIARSVPSINIGGCHLYLQVLYIDHIDLGTLNKPRDITPLISLYDYESLRKMVDYISAANQEGSSFLPPSMNMKEGPPESGKPFIEGTPRTGHTCLGGMDHGPNETTKQVAAILKTPITHTPVYSDDLKNGPRQFSSLLKTKYPEHFASRILVLLREHHARLSLFTNDFKKNCQEEMISFASKIMDATPAPCRCVNNSAKESCAPEGIAKPDRVSPGLNLCTLAVA* >Brasy8G254200.1.p pacid=40079752 transcript=Brasy8G254200.1 locus=Brasy8G254200 ID=Brasy8G254200.1.v1.1 annot-version=v1.1 MGIYTKHGSHSCLVVISDSLSLTCDFVRSIFCRKSLPDRCCYPFIELPLFKGKSRSEIDSMVDGGDGERRKEEGRPGNPTCSSERAWGRALERSGARAAPA* >Brasy8G247000.1.p pacid=40079753 transcript=Brasy8G247000.1 locus=Brasy8G247000 ID=Brasy8G247000.1.v1.1 annot-version=v1.1 MDDSCAVCAEALEWVAYGPCGHREVCSTCIIRIRFVLEDPRCCICKTESDTVFVTKAMGDYTRVITDFSVFPSGANEGKVGEYWYHEDTKAYFDDADHYKMIRAMCRLSCSVCEKAEDQVGQAAQAKRRSRFRSIEQLKGHLFHQHRLFMCNLCLEGRKVFICEQKLYTRAQLTQHTKTGDSEVDGSEGERSGFAGHPMCEFCRNAFYGDNELYTHMSREHFSCHICQRQHPGQYDYFRNYDDLEMHFRKDHFLCEDEACLAKKFTVFQSESEFKRHNAMEHGGRMSRAQRNAALQIPTSFIYQRNEQDQRRGRGRGRSAHDRPDRDISFALRDGSATADHGLGNRVDSVTGPLHSLSVSSSSGRAETGRSTGNDRVLEQLSFPPLQDQDIPDARMDSVPDETSFPPLSEQPSRYALAVNQSSRGSARLGDESLFPPLPGSSNNRGAASTQQGLQSLAKNTLASRLQQRSMGTVKVLNSSRPRPSENPEIASSTQTWPTPDQGLLSGSSQLRMGSTRENGLMPPASSSSAWKLGGSNKMTHSVSTPNLVSGASFGQTSSSTPYANKNQLPPQISQTSPVVEDVRAANKSLVDRMRAALGMDADRYAAFREIAGEYRKGAIDTPEYLSYVEQFGISHLVPEMARLLPDPWKQKELLDAYYTNMRFRSLNENGTGETSTLKENKPKKKGKGKTHDVSETIAAKDASNSLADSFLETVRKHQSNNKAQEGEAVVLTKDGYRSSKGKTPLLAGGSSSSTNMGLDGDPGAISDVVKGGKGSSSNSSRQSKKTSKFLRARLGDSSLAMLDFSRRDVSPERPEQESQGPHTGLPVRSVWKNGAAQKLFSGNGRK* >Brasy8G130900.1.p pacid=40079754 transcript=Brasy8G130900.1 locus=Brasy8G130900 ID=Brasy8G130900.1.v1.1 annot-version=v1.1 MKSSTLTAILVLQAVLVMGILAEVNAVGYWPKCCDYCTTFSGVQVCNDTMARCHPRCVKCRVVHEKPAKTFQCADAITIDGTCGYKPCKHH* >Brasy8G281400.1.p pacid=40079755 transcript=Brasy8G281400.1 locus=Brasy8G281400 ID=Brasy8G281400.1.v1.1 annot-version=v1.1 MATQGSSSAAKNNAICSPRGITASSLSAHYFLLMLYELLDRSMILPVEDKNHYNGQIKSCQLHDIIREICISKAREENLVFTLDEGFRLSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMRFLRVLDLEDTRGLRDHHLDQIGQLYHLVYLSLRECMNIFCLPNSLGNLKHLQTLDVRGTRIFELPATITKLRKLQHLRTTKCLQIAGNVRGEDDIFYNYTDLDGVSLLNNLWGKSCLLLLCTSPAFLRPQVLDADLNMHDILNLYRFAMLCLAENGDIWDDRIYGAEVPGGIGKLKALQTLGVVNIARSKGKATVNELKELTQLRKLGVTGVSNKNSKELWSAIAGHSQLRSLSVHGVDDEDELDGGLGEALLPPSCLESLKLSGKLVRVTSWIHQLQNLSKLTLEYSSLEQDDAIQALGVLPNLAVVRLKQWSFDGKQLHFQGPSFPSLAVLELYGLRYLESVLFEGDAMPRLELLQVDWCRGLNEISGLAVLTSLREIRMGYYVRYALKEEVQRQQVAEHLKHVRVNLL* >Brasy8G236500.1.p pacid=40079756 transcript=Brasy8G236500.1 locus=Brasy8G236500 ID=Brasy8G236500.1.v1.1 annot-version=v1.1 MAPPGRMAMAIFFMLLLASLSCRLSQARMMPRDHAQLHDKKMSVLIATTSASAASPQDLLLQRQRVAEPSRPETKAATEMVNRHESSGWASTTQGSVPSPGIGHHA* >Brasy8G092200.1.p pacid=40079757 transcript=Brasy8G092200.1 locus=Brasy8G092200 ID=Brasy8G092200.1.v1.1 annot-version=v1.1 MKERESEREGLLYPKVRRRTEAAVTGGARLRQPRERLREGAGGPGGRAAARGAGELEAPACVLAPEQLGLECRAAGRGGGGAGRAGAA* >Brasy8G153300.1.p pacid=40079758 transcript=Brasy8G153300.1 locus=Brasy8G153300 ID=Brasy8G153300.1.v1.1 annot-version=v1.1 MSCFWCVHKAMHYVRESHCAICRQPYNHFPSICQLLHHLLLKLEPVKYKKREKEVLEQEKIVDTYSPQIIEFLNSKDNTCENGEDGDSKLEDNISKTRPPEVPVDNAINGHPKKIKLEDVSCARCKELLYQPAVLNCGHVYCMSCLPFLDDEALKCQVCGGLHPGGFPNVCLDLEHFLEEYFPEEYESRRKKLQFGSTQCSPEGSSPGTFCTKESMNRPSKQETYLQQNRDLSNVHIGVGCDSCGVYPIRGKRYKCKDCTELIGFDLCDECYNTRSKLPGRFNQQHTPDHRMELDNTALYGSLLRFQRIPEEGLIAEFAFIGPGGMVQVVEDHEMEDNSEDEQV* >Brasy8G153300.2.p pacid=40079759 transcript=Brasy8G153300.2 locus=Brasy8G153300 ID=Brasy8G153300.2.v1.1 annot-version=v1.1 MSCFWCVHKAMHYVRESHCAICRQPYNHFPSICQLLHHLLLKLEPVKYKKREKEVLEQEKIVDTYSPQIIEFLNSKDNTCENGEDGDSKLEDNISKTRPPEVPVDNAINGHPKKIKLEDVSCARCKELLYQPAVLNCGHGTFCTKESMNRPSKQETYLQQNRDLSNVHIGVGCDSCGVYPIRGKRYKCKDCTELIGFDLCDECYNTRSKLPGRFNQQHTPDHRMELDNTALYGSLLRFQRIPEEGLIAEFAFIGPGGMVQVVEDHEMEDNSEDEQV* >Brasy8G212300.1.p pacid=40079760 transcript=Brasy8G212300.1 locus=Brasy8G212300 ID=Brasy8G212300.1.v1.1 annot-version=v1.1 MSNIDAGCDDDGLSLDSNSEFSDFVPANWYGDANAGGPAAADALKSCFRKKRKRTDADCQYRKRQKSYQADQLPDHKKATNRGNLSWLSHIISSIPPDKKKIICDYGFPFVFHINSSGAPHSFAQWIADHIQPESCDIILDSSVIHLGADTFSEVIGLENTGLDVKVDFDCAKEQFLSLMGFSELPTIKPFGKMLLTNDIADDKYFICFMVVFLSTFLCPNSSTYPSIKYLGSLLVPSDVRNYNWASFGHKWFIESVRKYQKDKVKSKALSSRSNLTLGGCTYVPAVKYLDFADFGELKVDNCFPRTLVWKHDLIKDFAHLDQKSAYEYGLRNVRDLSRTCYASVAKSNSKCSYLPVFKSSLESLFRDSLHDKVQDICNLFKNSETSFGPDIMNAAGQFSIAVLECIRDASYKLQRSTSSGKELPPIGNENLAVYSGCVEKCNENSEAFVGDAVVDSQATESVSDPDDIEVAHDVPNEASDAETVVVNSDGEELSNKGIVSLNLLFVFLS* >Brasy8G009800.1.p pacid=40079761 transcript=Brasy8G009800.1 locus=Brasy8G009800 ID=Brasy8G009800.1.v1.1 annot-version=v1.1 MASYDKTIATAASLAASLMLVRSLASELLPSEVRDMLSSALGNLRSRMTWQHTVIVEETEGWSSNRVYNAVKAYLATRINADISMQRLRVSSADETAEKMVISMEAGEEMADVYGGVEFRWCLVSREVKGDPNNNGNGQREIRSYEVSFHKKHKEKALKEYLPFIVATAKAIKDEERSLNIYMNEYSDEWSPIDLQHPSTFATLAMDQKQKQSIMDDLDRFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTGVESNSDLRRLLVGMTNRSILVVEDIDCTIELKQREDEEQAKSSSTEKKAEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTQEAFRILANNYHSIDYHVTYPEIEELIEEVTVTPAEVAEVLMRNDDTDVALGGLVVLLNSKKEDANQIKNESKQVEEEKDANETKTENKQVDEKKDANETMDDSTEDESS* >Brasy8G089500.1.p pacid=40079762 transcript=Brasy8G089500.1 locus=Brasy8G089500 ID=Brasy8G089500.1.v1.1 annot-version=v1.1 MAEAAIMRYWCHVCEQAVEEAMVEEIKCPLCDSGFIEEMIGEHFEALASQRSEQGRLQWDLLDNSLELPGGTEDSDDEDNDIGHEFEGFIRRHRRASALRRVLDSIHDDLRDDRERDNSVLINAFNQALALQSAALDPDEDRGDHGSSSNDDGLLEEYVLGAGLSLLLQHLAENDTSRYGTPPAKKEVVEALPTVKIEEVVSCSVCLDDLELGSQAKKMPCEHKFHSSCILPWLELHSSCPVCRFELRADETKDLNETGNMDRIVSIREEVIADDPGNSSEDSSRPWAIVPWFSGLFSTPEPQNVGGTFTDQQLPPASEANPNGRHS* >Brasy8G089500.3.p pacid=40079763 transcript=Brasy8G089500.3 locus=Brasy8G089500 ID=Brasy8G089500.3.v1.1 annot-version=v1.1 MAEAAIMRYWCHVCEQAVEEAMVEEIKCPLCDSGFIEEMIGEHFEALASQRSEQGRLQWDLLDNSLELPGGTEDSDDEDNDIGHEFEGFIRRHRRASALRRVLDSIHDDLRDDRERDNSVLINAFNQALALQSAALDPDEDRGDHGSSSNDDGLLEEYVLGAGLSLLLQHLAENDTSRYGTPPAKKEVVEALPTVKIEEVVSCSVCLDDLELGSQAKKMPCEHKFHSSCILPWLELHSSCPVCRFELRADETKDLNETGNMDRIVSIREEVIADDPGNSSEDSSRPWAIVPWFSGLFSTPEPQNVGGTFTDQQLPPASEANPNGRHS* >Brasy8G089500.2.p pacid=40079764 transcript=Brasy8G089500.2 locus=Brasy8G089500 ID=Brasy8G089500.2.v1.1 annot-version=v1.1 MAEAAIMRYWCHVCEQAVEEAMVEEIKCPLCDSGFIEEMIGEHFEALASQRSEQGRLQWDLLDNSLELPGGTEDSDDEDNDIGHEFEGFIRRHRRASALRRVLDSIHDDLRDDRERDNSVLINAFNQALALQSAALDPDEDRGDHGSSSNDDGLLEEYVLGAGLSLLLQHLAENDTSRYGTPPAKKEVVEALPTVKIEEVVSCSVCLDDLELGSQAKKMPCEHKFHSSCILPWLELHSSCPVCRFELRADETKDLNETGNMDRIVSIREEVIADDPGNSSEDSSRPWAIVPWFSGLFSTPEPQNVGGTFTDQQLPPASEANPNGRHS* >Brasy8G014500.1.p pacid=40079765 transcript=Brasy8G014500.1 locus=Brasy8G014500 ID=Brasy8G014500.1.v1.1 annot-version=v1.1 MESDGEEEAAATPGAAAAPTAGRLKGCPELSVDGDMREMAKTAAWSVSSCKPGNGVASLRDDSLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVNLLKPVGWVHISLSGADPRETFIHTFMLQIAVLANHLNGRDTHIRQIKIYGPRPNPVPHQPFHFTSRECIMYSTVR* >Brasy8G006900.1.p pacid=40079766 transcript=Brasy8G006900.1 locus=Brasy8G006900 ID=Brasy8G006900.1.v1.1 annot-version=v1.1 MNRSYSTPFYYEPGCSKGYKNRTSIHMCCPILYEKSRVYNTGRERKKRGPSCARKRRCFRFSTFYSSARLLNNSIRNR* >Brasy8G298900.1.p pacid=40079767 transcript=Brasy8G298900.1 locus=Brasy8G298900 ID=Brasy8G298900.1.v1.1 annot-version=v1.1 MYTKGPSSDVLRASISSAPSTSSHGSAPDDCDSLGDVYVWGEVVCDNSARTSSDTLIRSTGKTDFLLPKPLESNLVLDVYHVDCGVRHASLVTRSGEVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNIDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDVGHWIPRRISGALEGLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNVKSISCPREVESLSGLKTIAVTCGVWHTAAIVEVIVTQSSATVSAGKLFTWGDGDKHRLGHGDKEARLNPTCVASLIDYDFYRVACGHSLTVGLTTSGKVWTMGNSVYGQLGNPNSDGRPCLVGDKITTEHVLQVACGSYHVSVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTLVEALKDRSVRHIACGANFTAAICLHKWVSGADQSQCSSCRQPFGFTRKRHNCYNCGLVHCNACTSRKAFRAALAPNPGKLHRVCDSCFSKLKNASDSSSANKKKDLAPHLSGESNGEARVGKSILPSNMDMIRSLDSKAAKQGKKTDALSFLRNPQVSSLMQLRDIAFSGGIDLNRSVPRAVRTSAVRSVTSSRAVSPFSRKSSPPRSTTPIPTTHGLSFSKSTTDNLVKTNEFLNQEVERLRAQVDNLRHRCEHHELELHKSAKKVQEAMTLVAEESARSKAAKEVIKSLTAQLKDMAERLPPEHGAYDCNETKQVHNQNGMEPHVAVYSSTNGVHQSRNELLNASNAHSPNSARSPRQNGISSQHKLPGNISENSEGSTHSLRITSPHESDRPHRRAHSNSDEMLSANSRADDNVSVDARSLQSGEDGYRPRGTVSISSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNGNREKVYERYNVRSSERVSSAASTRSAY* >Brasy8G246000.1.p pacid=40079768 transcript=Brasy8G246000.1 locus=Brasy8G246000 ID=Brasy8G246000.1.v1.1 annot-version=v1.1 MPNKRKPPRLRPFFSTSSQAPPVPICFQAAIRASPARAGAAPRRTERTAAFSRAHGCLSRPRVRALLPPPLVTVTGRLQCGTPLAAASVCFPMTRGSGTGTRQIQSTPPPEEAASASTAMAAQDRDRVRGRRKEGARGRERKEARGAGRRSRSRGSEEAKAERINNVSTGRGSGRVHPVVAVFAGWISGDGGGGRNCGWICHGRGQRREVRPDLTSTSPRLAGSRQRLLEISSPPAAVRGEGRQRRDNAPLRRHVLTHVRVSTRDRVAGDLEVAHGIRAGDEIREGEGGDRIDGGRWDSIGRPDIYYGVGHLVANGPGTQLKWSQYCTMYWARFHGRVTF* >Brasy8G298800.1.p pacid=40079769 transcript=Brasy8G298800.1 locus=Brasy8G298800 ID=Brasy8G298800.1.v1.1 annot-version=v1.1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF* >Brasy8G179200.1.p pacid=40079770 transcript=Brasy8G179200.1 locus=Brasy8G179200 ID=Brasy8G179200.1.v1.1 annot-version=v1.1 MDRRAGVVLVCLLFILIGEVSAVAETEIGDVRLAREAPHGKLEAAARQDGLKSGRVSVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMMAASFDLVQEGQMYGSGSWVVFGILSGGIFIWLCKKLLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGILVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMMWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVATAGTLAVAFMETLSTVLQGFTDGQGTEDTSGFLVSLVFGLGPLFGGVILVAFSLVFSMPHPLLTGVASGIAFRLATWRPLQLIISSKMGIFSTLILLIGGSIFYHVATASILRVVNRKRSSVNVITSSSGLSVSVLTLQSLLACGSVILHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYDGLDYWMVIACGALIPGFGRVLRRSMRLDMRKSVCGLLIGFGFSSVCLMSTRFICLHTPYCNSAPEAVT* >Brasy8G131100.1.p pacid=40079771 transcript=Brasy8G131100.1 locus=Brasy8G131100 ID=Brasy8G131100.1.v1.1 annot-version=v1.1 MVSGKPLNGELDACFRNLMVSTGSGREQAEIGGTMPTLSGWKDLPMELLMRIISAAGDDQMVVVASGVCTGWRDALGWGVTNLSLSWCQQNMNSLMIPLAHKFTKLQVLTLRQIKPQLEDSAVEAVSNYCYDLRELDLSRSFRLTDRSLYALAQGCPRLTRLNISGCSSFSDSALIYLSCHCQNLKCLNLCGCVKAATDRALQAIARNCVQLQSLNLGWCEDITDEGVTSLASGCPDLRALDLCGCVLITDESVVALASGCRHLRSLGLYYCQNITDRAMYSLANSCVKRKPGRWDSMRTSSSKDIAGLANLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTSVHCACGIERLRSAGRALQPNHAY* >Brasy8G131100.2.p pacid=40079772 transcript=Brasy8G131100.2 locus=Brasy8G131100 ID=Brasy8G131100.2.v1.1 annot-version=v1.1 MVSTGSGREQAEIGGTMPTLSGWKDLPMELLMRIISAAGDDQMVVVASGVCTGWRDALGWGVTNLSLSWCQQNMNSLMIPLAHKFTKLQVLTLRQIKPQLEDSAVEAVSNYCYDLRELDLSRSFRLTDRSLYALAQGCPRLTRLNISGCSSFSDSALIYLSCHCQNLKCLNLCGCVKAATDRALQAIARNCVQLQSLNLGWCEDITDEGVTSLASGCPDLRALDLCGCVLITDESVVALASGCRHLRSLGLYYCQNITDRAMYSLANSCVKRKPGRWDSMRTSSSKDIAGLANLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTSVHCACGIERLRSAGRALQPNHAY* >Brasy8G131100.3.p pacid=40079773 transcript=Brasy8G131100.3 locus=Brasy8G131100 ID=Brasy8G131100.3.v1.1 annot-version=v1.1 MVSGKPLNGELDACFRNLMVSTGSGREQAEIGGTMPTLSGWKDLPMELLMRIISAAGDDQMVVVASGVCTGWRDALGWGVTNLSLSWCQQNMNSLMIPLAHKFTKLQVLTLRQIKPQLEDSAVEAVSNYCYDLRELDLSRSFRLTDRSLYALAQGCPRLTRLNISGCSSFSDSALIYLSCHCQNLKCLNLCGCVKAATDRALQAIARNCVQLQSLNLGWCEDITDEGVTSLASGCPDLRALDLCGCVLITEFRQVVLLLVFPKYIER* >Brasy8G131100.4.p pacid=40079774 transcript=Brasy8G131100.4 locus=Brasy8G131100 ID=Brasy8G131100.4.v1.1 annot-version=v1.1 MVSTGSGREQAEIGGTMPTLSGWKDLPMELLMRIISAAGDDQMVVVASGVCTGWRDALGWGVTNLSLSWCQQNMNSLMIPLAHKFTKLQVLTLRQIKPQLEDSAVEAVSNYCYDLRELDLSRSFRLTDRSLYALAQGCPRLTRLNISGCSSFSDSALIYLSCHCQNLKCLNLCGCVKAATDRALQAIARNCVQLQSLNLGWCEDITDEGVTSLASGCPDLRALDLCGCVLITEFRQVVLLLVFPKYIER* >Brasy8G168800.1.p pacid=40079775 transcript=Brasy8G168800.1 locus=Brasy8G168800 ID=Brasy8G168800.1.v1.1 annot-version=v1.1 MSTPQQIGSPTPAAAASAAVETSSHWAPHGPVLTACLVSINLLMILLIFFYFWRFFSGKRGPSSPGEGESSSADTSPATSPRASSSSRRRQWEGIASSLPVSVFDSSCDAAGDDGDCAVCIVEFRDGELARLLPRCGHRFHAPCVDAWLRLHATCPLCRASVVAPAAPDAAAPKNGDPKDDGAADHHDCPV* >Brasy8G223600.1.p pacid=40079776 transcript=Brasy8G223600.1 locus=Brasy8G223600 ID=Brasy8G223600.1.v1.1 annot-version=v1.1 MRTPFLLLLLLVLVSGAAAASHRHHRHHHHGLGHEKVTNLRFYLHDTLSGKDPTAVLVAHGAAFTPRPGVDPTPFSSVFAVDDALTEGPDRASRVVGNAQGLYVISGKATLSLVMALDFELTGGRYANSSFSVFSRNPVTTGDGRELAVVGGRGRFRMARGFALLRTHYLNPSNGDAILEYNVTLLHY* >Brasy8G001600.1.p pacid=40079777 transcript=Brasy8G001600.1 locus=Brasy8G001600 ID=Brasy8G001600.1.v1.1 annot-version=v1.1 MGSDDGGAEELPPPPPLPPNVVPIKADDVVKESPPDQPIKPKRSLMARPGLGRSGQPIQLLSNHFKVSVKSSQDYFHHYDVSLKYEDDTPVDRLKGIGRKVIDKLQHTYLSELANKDFAYDGEKSLFTIGALPQVTNEFIVILEDIGTGKTAANGSPGGNGSPGGSDKKRVRRPYQTKTFKVELRFAAKIPMGAIADAIRGQESENSLEAIRVLDIILRQHAAKQGCLLVRQSFFHNNPDNFVNLGGGVLGCRGFHSSFRGTQSGLSLNIDVSTTMIVQPGPVIDFLIANQKVDHPSRIDWSKAKRALKNLRIKIIPANTEFKIVGLSDRNCNEQTFEWRQRNGSGGIDTVEITVYDYFVKIRGIELPNSGSLPCINVGRPKRPTYFPAELCMLLPLERYTKALSTLQRSSLVEKSRQKPQERMSTLDVALKRSNYDSDTMLRACGVSIARNFTQIEGRILQAPRLRVGNNEDILTRNGRWSLKHKKLYQTCSVEKWVVVNFSARCDVRGLVRDLKRNGIAKGLNIQDPFPHVFEESQSMRRAPAAQRVDAMLAQLEKELPDKPKFILCLLPDRKNCEVYGPWKKKCLADLGIVTQCLAPARVNDQYIDNVLLKINAKLGGLNSLLRIEVERAIPLVSKVPTIILGMDVSHGPPGQSDRPSIAAVVSSREWPSISKYRATVHTQSPKLEMVSSLFKPHGPKDDDGLIRESLLDFYRSSGNCKPDHVIIFRDGVSESQFTQVINIELEQIIEACKLLDEKWSPKFAVIVAQKNHHTKFFQTGSPENVPPGTVVDEQVCHPKNFDFYMCAHAGMIGTSRPTHYHVLHDEIGFTADELQEFVHSLSYVYQRSTTAVSVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGRGHTSAGSVAVQQLPALHEKVRNTMFFC* >Brasy8G263700.1.p pacid=40079778 transcript=Brasy8G263700.1 locus=Brasy8G263700 ID=Brasy8G263700.1.v1.1 annot-version=v1.1 MLPSSRSRSGPNESPISSRARPSTPSSGHRPSTPSSGYRPSTPGSRRSTAASGAGGTPSTPRSRNGSGGGGPFRSEPNSPPSAAAARPRLSFDRSPRSADNKPVVERRVPKIGTPPPDKQPRREAELQARLESAQEDLKKAKDQLSFIVGEKDRLVGDLNEAKRVADEIHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREVECVRGQHAADLETLVTTTEELERFRRELGMANEAKKAALGHADDAMKIAEANAEKVEILSGEVSRLKRLLDSSAVSEENKNRETEVLVRNLESDISVLKGKLEEASVLEIRLAEMEKLTEELKSQLADAKKAESELHQQFEEWKHKAGSLEMELEEVTLSEKFKSESLISTTEELDKTHSILQDRESEIEVLKGKTTALEIEVARLSADINESNEHLDASQQELFGLQTTIDVLKNKLDSAEEVASEALNNEKTANANIEILTEEKIKLISELKDARGREEKERRAVEDLTAALSEASDKANEEHERFLNKEDDYEHALAQIGDLKMALNSTKENYELMLDEANYDITSLRKTVGVLEAEVIKYREECEAKELDIVESSKQSEEEIAALKVEADKVVASLRDAEHELQTANDEKERLQERLSYTESAVSEANSAVQEVKAEKEALQEQLMNTESLVAEANRTVQEVRAEKEALQEQLMHTESSVAEVNRTLEEVKAEKEGLRHKLIDTESAIAEANKAVQEATSESLHLKERLLDKENTLQSISQENEEFRLREADAMKKIDELSALLAEAMVKKHPEEEEKLVVVDEAHHSAREKFADLAAVIEDSELESDRKPKLELDAANGDSNGDMTQEETDDSKAEHEEVKADFSAVQESNKVIEKQSQTDRKQETDSSKDEQDSKEDSSTEHANGTASAEVTSKVVMSPTTRPQQQQKKNKPLLKKFGSLLKKKNSK* >Brasy8G082700.1.p pacid=40079779 transcript=Brasy8G082700.1 locus=Brasy8G082700 ID=Brasy8G082700.1.v1.1 annot-version=v1.1 MGRKRAVLVGINYPGTKAELKGCLNDVARMRRCLVDRFGFAESDIRVLADADPAAPQPTGANIRRELSRLVSDARPGDYLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITVAFSKKFVCYADQDFTELVQKVPDGCLFTIVSDSCHSGGLLDKAKEQIGHSTKLNQVKQGKREERSDSGTGFRSFLKETVRDAFESRGVHLPHHGHQQSGHIDSEAEEPEIDSGTDGSIKNRSLPLSTFIEMLKEKTGKDDIEVGSIRMTLFSLFGDDASPKIKKFMKVMLGKLQQGQYGGVVGFVGALAQEFLKAKLEGKQGEEAEDALKPAMEQEVNSVQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGISYGALSNAIQAILTEKDKKVTNKDLVLNARDLLAKQGYKQQPGLYCSDNHTNVAFIC* >Brasy8G082700.2.p pacid=40079780 transcript=Brasy8G082700.2 locus=Brasy8G082700 ID=Brasy8G082700.2.v1.1 annot-version=v1.1 MGRKRAVLVGINYPGTKAELKGCLNDVARMRRCLVDRFGFAESDIRVLADADPAAPQPTGANIRRELSRLVSDARPGDYLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDGCLFTIVSDSCHSGGLLDKAKEQIGHSTKLNQVKQGKREERSDSGTGFRSFLKETVRDAFESRGVHLPHHGHQQSGHIDSEAEEPEIDSGTDGSIKNRSLPLSTFIEMLKEKTGKDDIEVGSIRMTLFSLFGDDASPKIKKFMKVMLGKLQQGQYGGVVGFVGALAQEFLKAKLEGKQGEEAEDALKPAMEQEVNSVQEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGISYGALSNAIQAILTEKDKKVTNKDLVLNARDLLAKQGYKQQPGLYCSDNHTNVAFIC* >Brasy8G091400.1.p pacid=40079781 transcript=Brasy8G091400.1 locus=Brasy8G091400 ID=Brasy8G091400.1.v1.1 annot-version=v1.1 MAYRRKQQGAIQRSATFVEDHRHAPSPGDPATASPRATRFADDSRRPGDHRSLAAQALLASAASTSSPRVSPRKSPRAAWPTDPAQHSALSPKHSPLKKEKDEAKQGLWGLLAQQAKVMLDENVVSPSAEDGKNQQAAVAASGGATQQSPSRWSYDRVRKESPTFRKGSDGGKLDIGAQLKNALEESLQLQGTMAGESRTPAVAVAAGRKKLQIRRKACSADMRSAGNLNLATPEMAPMLTDLESTQIKASRDVANVMATKVKLLQRELKTVKADLAFSKERCAQLEEENRLLRDGKHDAVADEDLIRQQLETLLEEKARLANENTLYARENGFLRDVVELHQLNMQDMVSLHEDTVEEEEDQDDEEEEDADDQSVCSPFCVEHAMPPTPSTPPQSPALFHADDNAAAATPLPSPSCRAENAQVLRMDGCRDAALAASGSPLRRSSKEEDGSQSQQQTTPIQRSFEDDNCSTEMVDKRPM* >Brasy8G285800.1.p pacid=40079782 transcript=Brasy8G285800.1 locus=Brasy8G285800 ID=Brasy8G285800.1.v1.1 annot-version=v1.1 MAPPSSWPPWLLLLLLCLAAAGILQSDAQPDSKGFISIDCGYAGETSYVDDSTTLSYSPDAGFTDAGTNHNISGEYNRPLLSRRSQNLRSFPDGVPGEHRYPDDPHDRIWYPWYDAEKWAEMSTTERVQNIENDLFEAPSTVMQTAITPRNASNNIEFYWDAKPKPSDPSPGYIAIMYFTELQLLNGNDVHQFYVNLNGNPWFPTGVTPQYLSNSATYNSSPSRLNRYNISINATSNSTLPPILNAVEVFSVIPTTNIGTDSQDASAAMSIKAKYQVQKNWMGDPCLPKNMAWDRLTCSYAIDNPSRITSINMSSSGLTGDISSSFAKLKALLYLDLSKNSLTGSIPDALSQLPSVTVIDLSGNQLSGSIPPGLLKRIEDGSLDLRHGNNPDLCTGSNSCHLAAKMKNKVAIYVAVPILVILVIVSAAILVFFLLRRRNQQQGSMNNMTAVKPQNLEAMSTTSYGGGDGDSLRIVDNRRFTYKELEMITNGFQRVLGQGGFGRVYDGFLEDGTQVAVKLRSHASNQGLKEFLAEARVLTRIHHKNLVSMIAYCKDGEYMALVYEYMAQGTLREHIAGTDRNGACLPWRQRLQIALESAQDVKATNILLNARLEAKIADFGLSRAFNHDTDPVPTNTLVGTPGYVDPEYQLTMKPTTKSDVYSFGVVLLELVTGKPAILSDPEPTNIIHWARQRLARGNIEDVVDARMHGVYNINGVWKVAEIALKCTAQASVQRPAMADVVMQLQECVELEMGRAAGFYTGGSSVDDQNWRSYGAYASGQYVSKNTAFEMEQRAPTVATGPAAR* >Brasy8G111500.1.p pacid=40079783 transcript=Brasy8G111500.1 locus=Brasy8G111500 ID=Brasy8G111500.1.v1.1 annot-version=v1.1 MDSRELAVLCLLLATLPSLAVADCDCTDDAAATGRDKAQALRLKIIAVVCILAGSAIGAGIPSLGRRFPALRPETDLFLKVKAFAGGVILATGLVHILPAAFEALGSPCLAGHGPWRRFPFAGVVAMLAAIGTLIVDTVATGYFRRTNAKRASAVTDEPTHGERAGDLETSDGHAHAHGMSVLAAPPDGDDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMGLLFSLTTPVGIGVGIAISSVYDEASPKALVVQGLLEAAAAGILVYMALVDILAEDFTKASVQSRARLQLALNVSLLLGAGLMSLLAVWA* >Brasy8G182700.1.p pacid=40079784 transcript=Brasy8G182700.1 locus=Brasy8G182700 ID=Brasy8G182700.1.v1.1 annot-version=v1.1 MQQQTTRSTPRFHRVLEREEEKQPPQARMHRNPRVLLRAAASLLRPTTAAPPPQTLTNASPASQPLPLLRLPLAGHSRAFSTDYGKDVDEVNRKFAEAREEIEAAMDSKETVYFNEEATCARDAAGEALGAFDALLARVPPADADKLRRSMGLKMEQLKAELKQLEE* >Brasy8G155300.1.p pacid=40079785 transcript=Brasy8G155300.1 locus=Brasy8G155300 ID=Brasy8G155300.1.v1.1 annot-version=v1.1 MAELVPPLCQLLILPLLALVSLFYFLRPWRRHDGSSRQRLPPSPRALPVIGHLHHVAGALPHRAMRDLSRRLGAPLMLLRLCELRVVVASSADAAREIMKAQDLAFCSRPITPTGKALLGDSPGVVFAPYGDAWCQLRKICALELFTARRVRSFRPVREEEVARLLRSLLISPPETETKAKAAVNLSERIAAYVADSAVRAVIGSRFENRGAFLGMLERRMKLVPARCLPDLFPSSRLALLVSRMPRQMKRERREMMDFIDTIVLEHQENRADDEPEEEDFLDVLLRIQREGKLDPPLTADDIKTVIVDIFVASSETSATALQWAMAELIRNPSVMRKAQEEVRRVLHGHGRVTEESLGDLHYLELVIKEVLRLHPPASMLLPRECRTPCQVLGFDVPAGAMVLVNAWAIGRDARHWDEPEEFSPERFEGNGAVDFKGTDFEYIPFDAGRRMCPGMAFGLANMELALASLLYHFDWKLPDGTEPQGLDMTELLGLTTRRRSDLFLVPAVRAPLPRDKFC* >Brasy8G252300.1.p pacid=40079786 transcript=Brasy8G252300.1 locus=Brasy8G252300 ID=Brasy8G252300.1.v1.1 annot-version=v1.1 MDPVRSRPRATTELAKVATMASSSAEAPFDYNITAGSGASSSGDERLRSSTKRKARRQSGSGGPSNSDEGCNSPGKHTWAELTAGNDFDQATAVVIKVEASQFRCLFFK* >Brasy8G293400.1.p pacid=40079787 transcript=Brasy8G293400.1 locus=Brasy8G293400 ID=Brasy8G293400.1.v1.1 annot-version=v1.1 MPPTPPPEAETEPEFAEVDPTGRYGRYTEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNVIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLVYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPGSLAKIEDPEVKFFIEKCITQASQRLSAEELLVDPFLLDDGERIFYPLQSNTHTSADAGSSNPSTSYRYDRVASSTGSRERTGSVGESHPSDNYIHGNMDRHAAIGRIITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTSIAEMIDAEIRAHIPDWAFDEAVDNQGDEVARSETHSSEADDETSELRNEPDATNNGFTQEQLPSGRKYWSDSPRRDNEISQLVDPQIGDDMPNGILKKNDVADTVSNAKPNEYNSLETSIRSVEDTCEQISSSADLLNPSLIDRKSGGASVGTSSPSSDDEHLVEDLTERLADLLAQQKEELKALRRKHKADIEAILNSVPADHREETLTRCRLKGDQENRPDKL* >Brasy8G027000.1.p pacid=40079788 transcript=Brasy8G027000.1 locus=Brasy8G027000 ID=Brasy8G027000.1.v1.1 annot-version=v1.1 MASKGHLSFLLLSVIAASLVGLSAGVFHIVGAGKGWRIAPNKTYYEDWARTRDIHVGDKLMFMYRSGVYDIVEVPTKELFDACSMDNVTYRYQLGPTIIKLDKPGLRYFFCGVGRHCEGGQKVAVNVSAASAVLVPVPPPALSVEPQTAKKL* >Brasy8G216600.1.p pacid=40079789 transcript=Brasy8G216600.1 locus=Brasy8G216600 ID=Brasy8G216600.1.v1.1 annot-version=v1.1 MLKRAHEECGQVLYRHKLRILQVQESEETEKLRVKPPYSFPVPVTKIIRAVESYFFSPGVDELSCSRVVGRFEWFADKAGKFVSLDVRSLAHYRFINPIVAQLLQGKILKYEKAQGNRKCHLMIEPARSEEHGVVASLWFRAKGSKMPTSSFNLVLMLRLSESTDVVGIATDCLLSLGPQFRSSAQVVAGEFAEMPTQDVFHHPSAIAGIPLKLNISVSIMLPSRCPQALFEQQVMLLSFRCYISASGYNLESSTDTSVVKDWPPLRLTVLFTPHCFQEGSGSSYVLRTIEGQEEGTSFSLYQTWEVARARAIDSFICRPDITEYEMHWDSLHGFALFIFQKPSIERGSVP* >Brasy8G216600.2.p pacid=40079790 transcript=Brasy8G216600.2 locus=Brasy8G216600 ID=Brasy8G216600.2.v1.1 annot-version=v1.1 MLKRAHEECGQVLYRHKLRILQVQESEETEKLRVKPPYSFPVPVTKIIRAVESYFFSPGVDELSCSRVVGRFEWFADKAGKFVSLDVRSLAHYRFINPIVAQLLQGKILKYEKAQGNRKCHLMIEPARSEEHGVVASLWFRAKGSKMPTSSFNLVLMLRLSESTDVVGIATDCLLSLGPQFRSSAQVVAGEFAEMPTQDVFHHPSAIAGIPLKLNISVSIMLPSRCPQALFEQQVMLLSFRCYISASGYNLESSTDTSVVKDWPPLRLTVLFTPHCFQEGSGSSYVLRTIEGQEEGTSFSLYQTWEVARARAIDSFICRPDITEYEMHWDSLHGFALFIFQKPSIERGSVP* >Brasy8G225700.1.p pacid=40079791 transcript=Brasy8G225700.1 locus=Brasy8G225700 ID=Brasy8G225700.1.v1.1 annot-version=v1.1 MGGGDGEETQVVDDGGTPPLGSPDRDSDATRSGGEEDANGDTTQSEGEEDDNGVLYGETQALDDDETQAVDDELEEEEKVVVAGDWAETQVVEDSEDDIDAAEQMVTQAVEECGEDGDIVGEKVKTQVVEKCEEEEDGGDMRGDAGDWARTQLVEECEVEDGGNGDWVDTQLVDECQEEERVSNSGDEDVVDWGMTQLVEDSDEEIGDDGDDELSEGTQEATDDDGLLNDERDVKEHGDTTNSGDSDASTDDGGDTAQETRQHSTSGTNLLTKIVDNSTSCSASLDDHPGPGIDDESYGYVQSHDKDGSKRKGRCSTAKKLFADTTPKNNENKTSCFAGLSYLGSQEPGDLSQANALEVVEGLISINGGVSSQEPTPKKLEKAKPPVSLKMGTLKLAEKVDRCRSSNGKPEIFEWVDSLEDDGGGDFFCKNKDILLHKPAARGKSKYPRARKCSTKIAPAENKITNSKLCGRIETLPSSDSRLLKSDAKKSKRASGNRGKKKLLKGLEDQSNAKPMEGQQQKVSVADSRLLRSDVKKSKRASGNRSKKNLLKDLDDQSNAKPMEGNQQKVSVALNDVGQDTQMAVEAIEALAQSSPAKLSFEGEPPVNRDTGVGSKMAKIHSKNGPQKRSSSIQEGVMTRSKRMKVTDLNPRPQKGRSRGTKMQENAEDHGTKTKHKPEKSVPQKNKVPNIVDGSKYGGTPIAHRTRHTRNNISEYSELCSNKHLKRSMDLTGDNSRVGEVQNSHIAYEPEQPTVSESTTEYGLNSVEKESTEHTCANNAQNLQKCRAPSSHRKQRRTPTAMVQAIAPAVTQTTTDHEIQPEVARPSKKRRVFIRSSELLKYARREPSNGRSTSVLSSIIAKSSAPSPILSSSVRVTSKTSGFSSSDQPQKEPSDVEDASRSPKNNSPVQSSALKTPLKLLNKLSPTFSPLNPSKASSRSLSKPSVARELLELDPKNALPNRQRKDSRRKDMSSCSILFSHHLNEDVIKRQKKILARLGVREAFSISDATHFVADSFFRTMNMLEAITLGKLVVTSMWLESCGQAGSFVDDRKYILRDAKKEKEIGFSMPISLASACKHPLLLGKRVFVTPNVKPSRQVMTSLVSASSGQPLERIGRSIRKEKEAPDDLLVISCEEDYESCVPLLEKGGKVFDSELLLNGIVIQKLEYERHHLFSDRVKQTRSTRWLKHAVQGRFVPVSKS* >Brasy8G225700.2.p pacid=40079792 transcript=Brasy8G225700.2 locus=Brasy8G225700 ID=Brasy8G225700.2.v1.1 annot-version=v1.1 MGGGDGEETQVVDDGGTPPLGSPDRDSDATRSGGEEDANGDTTQSEGEEDDNGVLYGETQALDDDETQAVDDELEEEEKVVVAGDWAETQVVEDSEDDIDAAEQMVTQAVEECGEDGDIVGEKVKTQVVEKCEEEEDGGDMRGDAGDWARTQLVEECEVEDGGNGDWVDTQLVDECQEEERVSNSGDEDVVDWGMTQLVEDSDEEIGDDGDDELSEGTQEATDDDGLLNDERDVKEHGDTTNSGDSDASTDDGGDTAQETRQHSTSGTNLLTKIVDNSTSCSASLDDHPGPGIDDESYGYVQSHDKDGSKRKGRCSTAKKLFADTTPKNNENKTSCFAGLSYLGSQEPGDLSQANALEVVEGLISINGGVSSQEPTPKKLEKAKPPVSLKMGTLKLAEKVDRCRSSNGKPEIFEWVDSLEDDGGGDFFCKNKDILLHKPAARGKSKYPRARKCSTKIAPAENKITNSKLCGRIETLPSSDSRLLKSDAKKSKRASGNRGKKKLLKGLEDQSNAKPMEGQQQKVSVADSRLLRSDVKKSKRASGNRSKKNLLKDLDDQSNAKPMEGNQQKVSVALNDVGQDTQMAVEAIEALAQSSPAKLSFEGEPPVNRDTGVGSKMAKIHSKNGPQKRSSSIQEGVMTRSKRMKVTDLNPRPQKGRSRGTKMQENAEDHGTKTKHKPEKSVPQKNKVPNIVDGSKYGGTPIAHRTRHTRNNISEYSELCSNKHLKRSMDLTGDNSRVGEVQNSHIAYEPEQPTVSESTTEYGLNSVEKESTEHTCANNAQNLQKCRAPSSHRKQRRTPTAMVQAIAPAVTQTTTDHEIQPEVARPSKKRRVFIRSSELLKYARREPSNGRSTSVLSSIIAKSSAPSPILSSSVRVTSKTSGFSSSDQPQKEPSDVEDASRSPKNNSPVQSSALKTPLKLLNKLSPTFSPLNPSKASSRSLSKPSVARELLELDPKNALPNRQRKDSRRKDMSSCSILFSHHLNEDVIKRQKKILARLGVREAFSISDATHFVADSFFRTMNMLEAITLGKLVVTSMWLESCGQAGSFVDDRKYILRDAKKEKEIGFSMPISLASACKHPLLLGKRVFVTPNVKPSRQVMTSLVSASSGQPLERIGRSIRKEKEAPDDLLVISCEEDYESCVPLLEKGGKVFDSELLLNGIVIQKLEYERHHLFSDRVKQTRSTRWLKHAVQGRFVPVSKS* >Brasy8G225700.3.p pacid=40079793 transcript=Brasy8G225700.3 locus=Brasy8G225700 ID=Brasy8G225700.3.v1.1 annot-version=v1.1 MGGGDGEETQVVDDGGSPDRDSDATRSGGEEDANGDTTQSEGEEDDNGVLYGETQALDDDETQAVDDELEEEEKVVVAGDWAETQVVEDSEDDIDAAEQMVTQAVEECGEDGDIVGEKVKTQVVEKCEEEEDGGDMRGDAGDWARTQLVEECEVEDGGNGDWVDTQLVDECQEEERVSNSGDEDVVDWGMTQLVEDSDEEIGDDGDDELSEGTQEATDDDGLLNDERDVKEHGDTTNSGDSDASTDDGGDTAQETRQHSTSGTNLLTKIVDNSTSCSASLDDHPGPGIDDESYGYVQSHDKDGSKRKGRCSTAKKLFADTTPKNNENKTSCFAGLSYLGSQEPGDLSQANALEVVEGLISINGGVSSQEPTPKKLEKAKPPVSLKMGTLKLAEKVDRCRSSNGKPEIFEWVDSLEDDGGGDFFCKNKDILLHKPAARGKSKYPRARKCSTKIAPAENKITNSKLCGRIETLPSSDSRLLKSDAKKSKRASGNRGKKKLLKGLEDQSNAKPMEGQQQKVSVADSRLLRSDVKKSKRASGNRSKKNLLKDLDDQSNAKPMEGNQQKVSVALNDVGQDTQMAVEAIEALAQSSPAKLSFEGEPPVNRDTGVGSKMAKIHSKNGPQKRSSSIQEGVMTRSKRMKVTDLNPRPQKGRSRGTKMQENAEDHGTKTKHKPEKSVPQKNKVPNIVDGSKYGGTPIAHRTRHTRNNISEYSELCSNKHLKRSMDLTGDNSRVGEVQNSHIAYEPEQPTVSESTTEYGLNSVEKESTEHTCANNAQNLQKCRAPSSHRKQRRTPTAMVQAIAPAVTQTTTDHEIQPEVARPSKKRRVFIRSSELLKYARREPSNGRSTSVLSSIIAKSSAPSPILSSSVRVTSKTSGFSSSDQPQKEPSDVEDASRSPKNNSPVQSSALKTPLKLLNKLSPTFSPLNPSKASSRSLSKPSVARELLELDPKNALPNRQRKDSRRKDMSSCSILFSHHLNEDVIKRQKKILARLGVREAFSISDATHFVADSFFRTMNMLEAITLGKLVVTSMWLESCGQAGSFVDDRKYILRDAKKEKEIGFSMPISLASACKHPLLLGKRVFVTPNVKPSRQVMTSLVSASSGQPLERIGRSIRKEKEAPDDLLVISCEEDYESCVPLLEKGGKVFDSELLLNGIVIQKLEYERHHLFSDRVKQTRSTRWLKHAVQGRFVPVSKS* >Brasy8G074100.1.p pacid=40079794 transcript=Brasy8G074100.1 locus=Brasy8G074100 ID=Brasy8G074100.1.v1.1 annot-version=v1.1 MFHPASKLGRTRCIDPSPPHGASHCPSSRSPPLLRSPRRTSFLAGAAGLCCHLWPPDRAGAEPPRSRRNMRLLVIAPPFAPVGPCAAAAVPRRRSCHSDPADSCT* >Brasy8G190000.1.p pacid=40079795 transcript=Brasy8G190000.1 locus=Brasy8G190000 ID=Brasy8G190000.1.v1.1 annot-version=v1.1 MATACHGRLLNEAILITAAHALTRLDMWLFFTLSASWRLAERSRRCVFVRQQVFSRCSTYDRMKRFRLTGCTAGVL* >Brasy8G217400.1.p pacid=40079796 transcript=Brasy8G217400.1 locus=Brasy8G217400 ID=Brasy8G217400.1.v1.1 annot-version=v1.1 MDSTAAVQDQGLTRRAGRGADTTPAPSRDPRSSKQKLGRGKRGLRSLAAAVTLSAALTVAAFYASGTGSPEGGARASVAVARAGSVAAEAVMALAAWMVWAEGGLHRRPGATLAPFALLAAVAWPALALRLGSGWAGMGCCAAMAAGAAACARGFGAVNPVAGDLAKPCVAWAVLLAVINYKML* >Brasy8G186800.1.p pacid=40079797 transcript=Brasy8G186800.1 locus=Brasy8G186800 ID=Brasy8G186800.1.v1.1 annot-version=v1.1 MSVETERSSTESSAASGLDFEDTALTLRLPGSSSSDTDPSCRSPSAAASDSPPSPKARVVGWPPVRSYRKNALATAAASKFVKVAVDGAPYLRKVDLTAYAGYTSSTSYDQLLAALQDKFFSHLTFRKLGNQEMKLVDTVSGTEYVPTYEDKDGDWMLVGDVPWRMFVETCQRLRLMKSSEAVNLAPRAAR* >Brasy8G286600.1.p pacid=40079798 transcript=Brasy8G286600.1 locus=Brasy8G286600 ID=Brasy8G286600.1.v1.1 annot-version=v1.1 MQVATGAFIPLIRKLGDLLVDEYKLEKRVRKGVKSLLTELEIMHEVLRKVGDIPPDQLDKHVRLWAGKVRELSYNMEDTVDAFIVRVENNDSHGHGPNNMKNRVKKLLRKTTKLFRKGKALHQISDAIEEAQELAKELGELRQRYMLDAHANSVGDTIDPRLKAVYKDVSELVGIDHTRDELIEKMSNGDEGSKQQLKTISIVGFGGLGKTTLAKSVYDKIIGQFDCGAFVSVSQNPDTKKIFKKILHQLDKNKFAAINESIRDDEQLINELKMFLHDKRYFIIIDDIWDVKAWEVIKCAFSKNFLGSQLMTTTRIVNVAEACCSVSDDTVYKMKPLSDVDSQRLFYKRTFGQESGCPCELEQVSRAILKKCGGVPLAITTIGSLLASDQQVKPKDQWLALLKSIGRGLTEDPSLDEMQRILSFSYYNLPSHLKTCLLYLSVFPEDYEIDRNRLIWRWIAEGFVQSVKQETSLYELGERYFNELINRNLIQPSENDHEGKPAACRVHDMVLDLVCSLSSEENFVTILHGTEGNTKSSGISKVRRLSFHNSMPELTTPHMDTTNLSQVRSITLFRTVVDLIQSLPSFQFLRVLDLEGCYLGKGSHKVYLRIVENLLHLRYLGLRNTNVEDLPMEIGNLQFLETLDLRRNDILVVPSSIVLLGRLMCLNVEDYMRMPVRMDNLVC* >Brasy8G040000.1.p pacid=40079799 transcript=Brasy8G040000.1 locus=Brasy8G040000 ID=Brasy8G040000.1.v1.1 annot-version=v1.1 MSKKGGRKKTAAAGAGDELSRFLQPHLQTISDTLQVMSEAAPSGLERTEWSEVVQLGDLVYRQATVAGMVWSGDLPDVETLKENIVAYFNVLQGFLLACLGSTVGAGPTLHKYISSCAKSVVDSSVSLFKLAVSAYESGNPDRKTIIPPVTGTVWEACLALKKVPTTNCTAIGRAMTQICLCLKDVLREMKELPVGDSGDITAEKSSNGDVDISSDKDESSSDLEVDDEFSEEEIAIAKLITAVTSDSLVVVKEAIRFVTSLLKSSGNQRDATEDKVESMENLLSYCREIADQINDLGASVYTQETSEMKSTIKRLYNGINGMRKEIGDLGGSAENTFAAFGGFEKSLGALQEKIDEDVVNEMENLTICTA* >Brasy8G131800.1.p pacid=40079800 transcript=Brasy8G131800.1 locus=Brasy8G131800 ID=Brasy8G131800.1.v1.1 annot-version=v1.1 MPTQRLLMIIPLLLALLLAISPEGAAGAGGGGGNGTCPLDLSYVPTFPWDPTPCGGTSPNMTACCQTLLSVLGIGLAERLHATGHFRLPSAGASAACLANLAAGIAAPPASLPGASLVQSCFPSPDDFTASPSFCAGVTTAAEYRAVVGNDTVAALDAACGPDISSLPVCYRCLTAGIAATSPLIAAAANVTAQAQQNCFYLTVMYAAGVSSISGPTSPSTANCILGLGLSSPASPPRKSNNAAIYATTIPIAFILLVSAVAFFVWRKRRHTENTNRDQKIIQEGSADRRPHLRPNTGSILFSIGELTKGTDGFADQNLIGHGGFGVVYRGVLADGSVVAIKKMLNPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDIEEGKQMFFVYDFMPNGSLEEFIFRDKEGGSKRPALTWAQRRTIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDAEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEILSARHVLNMTAPAGPVLITDWAWTLIKAGQAREVLDETLSTGESPRGEVMERFILVGILCAHVMVALRPTITEAVKMLEGDMDIPEIPDRPLPYGHSMMFSEAGSNFSASPAISGPLMDNGDMLSNHHQDKDKLFTIWQQGQGATEQT* >Brasy8G274800.1.p pacid=40079801 transcript=Brasy8G274800.1 locus=Brasy8G274800 ID=Brasy8G274800.1.v1.1 annot-version=v1.1 MPVLRVTRTGSVKIDAAGKGALGGGFDGMPYTLGTGINELTLTGCNVRGTLKNGNITMASCSALCSTPTYGATTPEILTRDSLPCAGSSCCQADIISRQNQVDVTSYDVEVTYLGSNRSTDEVQVPTRVFVSTKGWFEQVWIATDHPDQPNRTPSEDAIMPVPIWLRWAISGDGIQPVDPNSVVLECPREAVRRVCKSKNSTCSSKGAAGYTCRCDDDFEGNPYVTDGCRECGRCYYRFGGCTGLVTGGCRHFCGNVSVPYPFGVGQGHDCYREGFNLTCNDTGHEPPRLFLDSEMVTQVLEISTRNNTVRVLDTSVKSTNISRPTEGTTDESNGYFELSTTYGYEELPYSLSTHNELILTGCNLMAELSRESDQIIVSICASFCSNNDTKQDDQCNGMGCCRARISTYNNNNSMPSQFTYKLKWFNKGDAAPDGKKSAPINILIAEEGRFNQGRLSSKLPSDPANIPILLQWEVLRGFPPRPPANVVKSSLSDCPREVSDRLCRSKHSYCKRGSRGGYTCHCSKGYDSEADANPYDYEGCKGGHNFSTTGKYIIIGVVIGAGVIFALFTASSVSKKLKHRRAQILKRQFFENNRGQLLRQLVSQRADIAVRMIITLEEIDKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHTGESRSLSWDDSLRIAVETAKSLAYLHSTASVPIIHRDVKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQGTIGYLDPMYFYTQRLTEKSDVYSFGVILVELLTRKKPSSYMSPEGDGLVAQFATLFAEGNLSQILDPQVVDEGGKELEAISTLAVTCVKLRGEDRPAMRQVELTLEAVRASSQDVLANTGDEEFEDNGIALNCPQADDAISTEESTRQFSMEEELLLSSRYPR* >Brasy8G043200.1.p pacid=40079802 transcript=Brasy8G043200.1 locus=Brasy8G043200 ID=Brasy8G043200.1.v1.1 annot-version=v1.1 MAAAKTLVCIVILLVAAVMMAAPGEAATCSPTQLSPCAPAIIGNAAPTAACCGRVKAHPASCLCKYKKDPNLKRYVNSPNGKKLFAACKVRLPSC* >Brasy8G265500.1.p pacid=40079803 transcript=Brasy8G265500.1 locus=Brasy8G265500 ID=Brasy8G265500.1.v1.1 annot-version=v1.1 MQPILDTELYKVCSEGSLLVASLSLLPLHSHAFLGRTNPTGDFRQVFLLRARSGTKIKLSLFSFMDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPLLCFLKWHTYTRVQVSIDICGVDHPSRKRRFEVVHNLLSTRYNSRIRVQTSADEVTRISPVVSPFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG* >Brasy8G001800.1.p pacid=40079804 transcript=Brasy8G001800.1 locus=Brasy8G001800 ID=Brasy8G001800.1.v1.1 annot-version=v1.1 MADGQLLIVIPFVLLVMSAQLVQGQQPETETPPGAGIKVSLRPSIAIVVGIFTMIFSLTFVLLMYAKFCHQTSSPPAAGSTTLPPAPTGTAGGGVAKAVVESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLGCVDRWLDSNASCPLCRAKVDADDAAELGLKFATASARFVFAGSGDDDAPDPQAAAAASEERDDALQTGIFVERVRSARFNFDQPPEQELGGLELELDRHEHRIVVSDALFKSRWSDLNAADLAALDNRMKLMLRSDDISALFQHHPHHQDKEEEDEDGILYIDVVSPAPSDHRTVEKKRLLLGDHEKRATKKSSGGLLICGGSSDGVVEPTTTTTRTAAAELMAASGSRLISSGVRSMSEIVRLPRRMIKDDETAAAARTEEEEILRGRWVPIARRTARWFATRPHLHAPSSSSPPL* >Brasy8G156800.1.p pacid=40079805 transcript=Brasy8G156800.1 locus=Brasy8G156800 ID=Brasy8G156800.1.v1.1 annot-version=v1.1 MAFKIAIIAASILMLALLVPSSYKDGYCSTVLPSACCCTNVTKPPAARIIDPMYNEGSGAN* >Brasy8G099300.1.p pacid=40079806 transcript=Brasy8G099300.1 locus=Brasy8G099300 ID=Brasy8G099300.1.v1.1 annot-version=v1.1 MALSGNAIRVLFILVILCVAAHGKAAEKKEKKEEKGGKDKEADAEGPAAEGPSSGGGGGLDISKSGKCKGDGKADCTEALEEAWASACKGTGKQTIQIPKGDYLTGPLNFTGPCTGDVTIQLDGNLLGSTDMALYKSNWIEIMRVENLVISGKGTLDGQGPKVWSKNACAKKYDCKILPNSLVLDFITNGTVSGITLLNAKFFHMNVYQCKGVTIEDVTVTAPGDSPNTDGIHIGDSSGITITGTTIGVGDDCISIGPGSTKINISGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCTLKKSTNGLRIKSYQDAESTLTASKIHYENVNMEDVANPIIIDMNYCPNKICPAKGTSHVTIKDVTFKNITGTSSTPEAVSLLCSDKLPCSGVELNDVKVEYSGKTNKTMAVCKNAKGTAKGCLEALACL* >Brasy8G197800.1.p pacid=40079807 transcript=Brasy8G197800.1 locus=Brasy8G197800 ID=Brasy8G197800.1.v1.1 annot-version=v1.1 MAMASLARRRAAEAVLLRRPHAAGVSAAWRGYASSAEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKLVSPSEVSVDLVDGGSTIVKGKNIIVATGSDVKSLPGVPIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQTIIDADIVLVSAGRVPYTAGIGLDAVGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYRVGKFPLLANSRAKAIDDAEGMVKVLSEKETDRILGVHIMSPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACMQTYDKAIHM* >Brasy8G163400.1.p pacid=40079808 transcript=Brasy8G163400.1 locus=Brasy8G163400 ID=Brasy8G163400.1.v1.1 annot-version=v1.1 MNAYSQLPGLRVGTASQLAVAACCRPWPPQWPHGLFSLLAPTMAARCLSKCQAKNLFDMGAMTGSILTPYNKKFAPPYEQTPDLVWGRRVEMLLVGCSLTMWHDFLGENMLFSWFFQILNPKIKFSGEKYVRKS* >Brasy8G028900.1.p pacid=40079809 transcript=Brasy8G028900.1 locus=Brasy8G028900 ID=Brasy8G028900.1.v1.1 annot-version=v1.1 MVVRLVAAACECPAVGGCTGGWWRGWACTVKGGRVWIRLLRGWQGKGLPPPPAEERREAHGGPRSLRRRI* >Brasy8G115600.1.p pacid=40079810 transcript=Brasy8G115600.1 locus=Brasy8G115600 ID=Brasy8G115600.1.v1.1 annot-version=v1.1 MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS* >Brasy8G076500.1.p pacid=40079811 transcript=Brasy8G076500.1 locus=Brasy8G076500 ID=Brasy8G076500.1.v1.1 annot-version=v1.1 MAAASASASKRRGGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKDAEASIVWFWKAINSGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRHAQESLDNLLIDLYKKCGKVEEQIELLKLKLQMIHLGEAFNGKITKTARSHGKKFQVSIQQEMSRILGNLGWAYMQQSNYEAAELVYRKAQTIVPDANRACNLGLCLIKKGRNDEATRILQDVLLGRISALDDDRAVARAEQLLLELGPMTSPFDTGLSFTEDIMERLDLVMNEWTPFRSRRLPVFEELDAFRDQMAC* >Brasy8G231500.1.p pacid=40079812 transcript=Brasy8G231500.1 locus=Brasy8G231500 ID=Brasy8G231500.1.v1.1 annot-version=v1.1 MEEDRISGLPDELLHEILRRLQSSPAAARTSALSRRWRRVWASVPDLVLGDDLQIHLHGAPSFLDAVDAALDSYAASAADPRDSALFKTMITLALGSRFGLRPPLSGAFAALADLEIKLAAMEARALEVLVSTQCPCLRKLSLFAVTLVDAPSGVSLRSATLRHLKFHISTGRLDIAAPALQVLDAYHHIGEAYVAAPNLAEIVLYRCDRFVADAGRHLRLLDVRMVTCTAKALLARRFLDDHNLHIPKCETLWISSFGPKASVPCVLRRLLRRGNGVRKLGMWVYASTAAYCSPFCSRRSPQSALVDAIILDSLEELKLKLVGGDQWLEKFMKQLLSMCGVAKLKRVEINRLSDEVYKKIHTMFPQVKFVLERRMCEIHT* >Brasy8G010000.1.p pacid=40079813 transcript=Brasy8G010000.1 locus=Brasy8G010000 ID=Brasy8G010000.1.v1.1 annot-version=v1.1 MSSSSSYSCREYLTVLATAAGTAMALHAAYELRDMASAAARSLLARLSPRRVVVIDETDGLSPNRLFDAARSYLSSSSSVSSTARRLRATLLDDSSSSSSVVTMDLGEQTTDSHDGVSYTWRLLVSPSPGAGATNNNPKSGHGGVVPTKSLELTFHKKHTEKALSSYIPHIISAADEIRSKNRALKMHMVEYDAWAAVDLRHPSTFATLAMPAALKRSVVADLDRFVTRKDYYARTGRAWKRGYLLHGPPGTGKSSLVAAMANHLKFDVYDLELSAVSTNSDLRRLLVGVANRSILLLEDIDRSTSIVVAGRNQRDAGAGDEDEDGGGGGKVTLSGLLNFVDGLWSTTGEERIVVFTTNHKERLDPALLRPGRMDVHVHMGFCTPESFRVLARNYHSVEDHDMFLEIERLLEEVPVTPAEVAEVLMRNDGADAAFRDLVEFIEEKRRKEEDGESKE* >Brasy8G298000.1.p pacid=40079814 transcript=Brasy8G298000.1 locus=Brasy8G298000 ID=Brasy8G298000.1.v1.1 annot-version=v1.1 METGRQEEKRSGGRTSFTWYWPGENDDDLGNSSGFQWREEPRFTKSRERVWNESDVDEEEESCHDDLKSYRITLGLPALGPLKLDHIKSAFRTSALKWHPDKHQGSSQPQAEEKFRRCVEAYNVLAGAVKSSS* >Brasy8G125400.1.p pacid=40079815 transcript=Brasy8G125400.1 locus=Brasy8G125400 ID=Brasy8G125400.1.v1.1 annot-version=v1.1 MLFLKKSLHVGTVLPEGTMFARAEAPKSNHFSVPLESKYLATILSHFKIPDDSMKAKQVADTLRSCGKPGKKKEPHMCFSSREAMARFATRALGVSRTRAAITRIHGHEIPNSMYVVEHITQLSNNVVPCHPMDFPYEVFYCHRPKEVPSLTVQIKGLKDSMTYVTAAVICHMNTSDWDKQYFQLLGGERGEPICHYMPHNYIMFY* >Brasy8G263200.1.p pacid=40079816 transcript=Brasy8G263200.1 locus=Brasy8G263200 ID=Brasy8G263200.1.v1.1 annot-version=v1.1 MGGGKDQHDESDKGLFSNMMHGVAGGGHGYPPGGHGYPPQQGYPPQQGYPPQGYPPQQGYPPAPGAYPPAPGAYPPQHGYPPQQHGYPQPGGYPPAGYPGSSAPHQGYGGSHSGGGGHMGTMLAGGAAAAAAAYGAHKLSHGGHGGHMGGMMGGGHMGGMMGGGHGGYGGHHGGKFKHGKHGHGKFKHGKHGKHGMFGGGKFKKWK* >Brasy8G188400.1.p pacid=40079817 transcript=Brasy8G188400.1 locus=Brasy8G188400 ID=Brasy8G188400.1.v1.1 annot-version=v1.1 MAMAPSASAVSFSARPATVLVRPRAAAVSAGAGQVRAEGGKWWAPLVGWSGRADYIEAAVPAPAPALVEEKAGRSFVGGLTEEKARQLRARMVETESFHDAMYHSAIASRLARST* >Brasy8G210700.1.p pacid=40079818 transcript=Brasy8G210700.1 locus=Brasy8G210700 ID=Brasy8G210700.1.v1.1 annot-version=v1.1 MVGGSGEGAAAMSPPPSSSPGGGKRGRDPEEDVYVDNLHSHKRYLTEIMASSLNGLSVGDSLADNIMESPARSESPSCVRDDIISQYSPMSEDSDDYRYFDTQLNPNGSQTDAMVSPSTSPMSSPHRFQKPQAGFLPSNPYPLPSCSLSTVACSHSRRGSENEGRFPSSPNDMCHGADLRRTALLRSVQMRVHGPHSYEPSFGGRQEQEHGHDHDEEHEHEHLEDLGEAPRPSCMKAIDHEAGYQEPESSYVRQEHDIDYINGCASDDVPSCPKFKQDEDARGGSCTTDYSPLARLGHFHH* >Brasy8G210700.2.p pacid=40079819 transcript=Brasy8G210700.2 locus=Brasy8G210700 ID=Brasy8G210700.2.v1.1 annot-version=v1.1 MVGGSGEGAAAMSPPPSSSPGGGKRGRDPEEDVYVDNLHSHKRYLTEIMASSLNGLSVGDSLADNIMESPARSESPSCVRDDIISQYSPMSEDSDDYRYFDTQLNPNGSQTDAMVSPSTSPMSSPHRFQKPQAGFLPSNPYPLPSCSLSTVACSHSRRGSENEGRFPSSPNDMCHGADLRRTALLRSVQMRVHGPHSYEPSFGGRQEQEHGHDHDEEHEHEHLEDLGEAPRPSCMKAIDHEAGYQEPESSYVRQEHDIDYINGCASDDVPSCPKFKQDEDARGNFDRSMDKNR* >Brasy8G288500.1.p pacid=40079820 transcript=Brasy8G288500.1 locus=Brasy8G288500 ID=Brasy8G288500.1.v1.1 annot-version=v1.1 MSGASQVPGEELKRCAEEGRWGRDGARIIQQSIRAHSTAEREHNNKIKQTSCKYRMEIGNWGKRREQLGPGEREKEEMVRPRGRGRGRGGSPCPRRRLAARFCGTVGDWDPVPCLWQGTACPDPPRSTIHWHRTAEQPRRTSTASNRPQHERSAGKSPGKKLGGLLAAARAGSPPPDAAAPRRISSSPRRRRPPRSLLPPTPPPVAGSPLPDAAALRRISSPRGRRSTPALLPRHRRPPPDLSPDAAAGVPRLGPPPHPRAGPPHAPTASWDSSSSRAVEKDDKFKGANLETIDSP* >Brasy8G237300.1.p pacid=40079821 transcript=Brasy8G237300.1 locus=Brasy8G237300 ID=Brasy8G237300.1.v1.1 annot-version=v1.1 MTGGGGERSAISWSAGCSCPGHGDQGSSSHIQPSSSVASSGGCRFFRGGGRGLLGAEMQRHKLPKRRPPRLQERKRVRTERENREKKRGGETYRGGGAGSAGPWPPVARRHRGRGVGQRRVAGSARPSLGLPDPRRLWSGLGRPDLRRRQGRGDEGRVAGGRLAVGRAGDGWARGRRGRGVLEEGGRASSRKGRRRGLVRRRWGGAVALAGAGGGDRKREPRRRREERAQGEKRGSSGGGGAEGEKRRGWTG* >Brasy8G277700.1.p pacid=40079822 transcript=Brasy8G277700.1 locus=Brasy8G277700 ID=Brasy8G277700.1.v1.1 annot-version=v1.1 MLLLSDGEYPEINISPEFPAYTFGLGADHDAKSMRHIADKTFATYSFVSDVDKDINAAFKLLMAGLYSMAATSVKITLKPHGDVAIIAPIDAGRFKSSVNTPQDCNKSWEIEINDIYAGEHKSFIVYLDNAVDQAGKNGSKLMTVGCHYQSLDGGVDACELDEFDVCVLPSRAACSQAELELLGEVEVELRRTQLVKDVSEMLLQGPDDEHKTWEEFKLSVESCGGPGDIAEMKGLDEKRSAAVVVPALPYMMSWMSCHMLQRANTKGVPSSSGAFRPVFTGDADARIECSLSCVRQNYPGVVLVVSLFLTKMLYVYFGGGGGGSITTVISTSTANTTALDLSGHPHWPVMEQDLKVMLHKTEDAKISSFFQEASMEDMYHQMDRYLYLAIVHATALRRHHAGHAISDAVAVRSEAETYLQVMVERMRHVELDLPSFQDASAETMSDKMNTYLYSVITKRSYIYI* >Brasy8G015800.1.p pacid=40079823 transcript=Brasy8G015800.1 locus=Brasy8G015800 ID=Brasy8G015800.1.v1.1 annot-version=v1.1 MPPEMAGRCYNCLGDDHVAALCRNPTRCRRCFDSGHVARDCRAPRSLTPSPPRVAPPTSRQPAAPLRTAPAPPTAPPPRQAPVAQRHAPAPPPHQAPAARAPPARQALVPQSRLAVVPPPVVPAPSPVSTAVAPFANLTESRPRLETCIISRTAAVDAAETALSASLVVHVVGGRGGAPASVVRALIQGHCPLAAETFSLHRYWPANFLCICNNVATRDAILAMGVVQASGFSLSFSRWNRQLGAKLRPFRYRVHVEMTGMPAHAWITGTAESILGPSCWVERLGTETANREDMGRFSVVAWTDCPENIAREFQFGIPEPPVPYDTSEDVHRVPQGQKIPEAVSVLYYPVVVHLLRVEDRESFTDVPSIEGGGSSSGDDSNDPRRDPGGGQSTRQPHSHFFNCRRGVVDGANDGGKRGGANTSGGVGWSRVATPTNLILPMAELQLLSPRRPRLWLWQRRMVTHRILPILEEVAGVARWLYCQPRRHGLRLLPRERYRWCVLFDAARVWQTRRRRALPSKGSSDFSFRGLGSLARENKSPKTYTKLFEQALSQEHIAAILSLFGWEPNVLPLMEEEMVEAGAA* >Brasy8G279400.1.p pacid=40079824 transcript=Brasy8G279400.1 locus=Brasy8G279400 ID=Brasy8G279400.1.v1.1 annot-version=v1.1 MKANNRDRERERRPDPVETGSREAGSGLKLTGGGEELQWQTEGDRIHRWQTRGRPDPGLSMAQGGGRPAREEEEGDRIRRCQTRGRPDPGLSMAQGGGRWRREEGGRLGRRRKATGSVGARPEGGRIRGFPWRREEGDGAGRRAAGSGGGGRRPARAEEGSAGPGRGEGWRLGQGREDEGGRGRRSGGVGWFYTNPLKPRLDGPAQ* >Brasy8G110200.1.p pacid=40079825 transcript=Brasy8G110200.1 locus=Brasy8G110200 ID=Brasy8G110200.1.v1.1 annot-version=v1.1 MSYPILTPRGGAHSSASAPLRLGVCDAANQSFPRCLSQPSKAFLAVSSSLAAHTAVAAEEEEGGRKESRLPSVCASELGSQ* >Brasy8G146100.1.p pacid=40079826 transcript=Brasy8G146100.1 locus=Brasy8G146100 ID=Brasy8G146100.1.v1.1 annot-version=v1.1 MAKRAGGGTKKRLRRGLWSPEEDEKLLNHIAKFGNGCWSSVPKIAGLERCGKSCRLRWINYLRPDLKRGAFSQQEEELIVSLHAILGNKWSQIASQLPGRTDNEVKNFWNSFLKKKLRARGIDPATHKPLAHLSPAPVFSDAAAELVLPASMAPPPPLCVAPPPAENACCYVYGSSDNGGCGGGHGFMIPSVSSSSTLSSMAAGGGALSSSAAAAEEQYSGGGFESSVTTQSSGGGGGAQLPWLELGGSISSYGGAGAGDDEQYCAALDELRWSDYVFDGGYQDQQCAAATIYDHGKADDGGVSWCLN* >Brasy8G167400.1.p pacid=40079827 transcript=Brasy8G167400.1 locus=Brasy8G167400 ID=Brasy8G167400.1.v1.1 annot-version=v1.1 MQFATLEHIPTHISFSTISIVITIHLRALLVPELGGLCDSSEKGMITTFFSITGFLVSGWVSSGHFPLSNFPPPLEIPKLYWTIYMI* >Brasy8G168000.1.p pacid=40079828 transcript=Brasy8G168000.1 locus=Brasy8G168000 ID=Brasy8G168000.1.v1.1 annot-version=v1.1 MGAAGKASPAERLPLLPQHGERRAAEKPIINLNRCSDRTKHLAAALATLTILGTIAYLVCSIPPCAELDYSVAITGTDGLNATDLLRPTTLLSPAFDLAFRIRKREQDDCGWHACIGGPDKKLAVSYSGVLLADRVAPLMPKICASRERPEAELNATAWSIGVALPRFLREKLAAELERGEAVFDVEYDPPSALACKTRIGQDLCPCTYEPSW* >Brasy8G003600.1.p pacid=40079829 transcript=Brasy8G003600.1 locus=Brasy8G003600 ID=Brasy8G003600.1.v1.1 annot-version=v1.1 MPYYIKAVYFAMALNMVVQPREGRGMHVWIGLRRLVGNVTPHWTAMAM* >Brasy8G052200.1.p pacid=40079830 transcript=Brasy8G052200.1 locus=Brasy8G052200 ID=Brasy8G052200.1.v1.1 annot-version=v1.1 MPRTTSSADGRLYADLLTPRVDQRGSFHTYPDVGGPFQSAHEVDDAIIRYLNDRLDPKMCPEQSGVSADEMVIRRCLYWPDGKRRRFTMSEAVEATRLQMGIVVQAILDQFNEDNGIPGDLAYKLKDVVQHFVFFEAGRMYYHLNFTAKTQGADDIVGTFFVEVTFLKEGERQEMVVSCLCRIDPKDNGYCFGCINNGNHLVKHPNKTDAFIGGHLNTRMPYERGLIDLDYDVEAEEARTRSMYEDRDEEARIVAELGLLPDSPDAAPAQT* >Brasy8G070800.1.p pacid=40079831 transcript=Brasy8G070800.1 locus=Brasy8G070800 ID=Brasy8G070800.1.v1.1 annot-version=v1.1 MAAKAQIFLCVLVAFLLLLVATEFAAARELAGSDGSGNYGGGVAPPGDGYPATYIPPPP* >Brasy8G148000.1.p pacid=40079832 transcript=Brasy8G148000.1 locus=Brasy8G148000 ID=Brasy8G148000.1.v1.1 annot-version=v1.1 MLRLPHAPPSPDYPALHLLPHRALTSSPPPSSSSRDAQRRVGLLNRDAGRCSSKVHGMRRRFARMGAVECSGEAQWRAGARRRAGLLNTRWGRVWRRGPQGAAAIRVRGGSLAIVRPLCESATTTLRLGLAYSNEGRLMICPKFSYLPAHIRCLMICPKFSYLPAHIRCLMIFLFQIFDLYKVIMVDNGDFFLQKSDMRLLRCIQSVFVVAGNIQVRIYFFQYCRNRKVTPRTAKTIEAKHNICLVALISELYK* >Brasy8G240700.1.p pacid=40079833 transcript=Brasy8G240700.1 locus=Brasy8G240700 ID=Brasy8G240700.1.v1.1 annot-version=v1.1 MFPDLRVTTGIIGSVVCLLLYAAPILTFKRVIKKGSVEEYSCIPYILTLFSSLTYTWYGLPVVSSGWENLTLSGISSLGVLFESTFISIYIWFAPRGKKKLVMVMVCPILMIFGMAVFFSSFSIHTHQMRKVFVGSIGLVASILMYGSPLVAVKQVIRTKSVEFMPFYLSLFSFLTSLLWMLYGILGRDVFLTAPSCIGCLMGILQLVVYCMYNKCKESPKTNPDIEQAEVVKVIPSQDDTKGQKPLSES* >Brasy8G240700.2.p pacid=40079834 transcript=Brasy8G240700.2 locus=Brasy8G240700 ID=Brasy8G240700.2.v1.1 annot-version=v1.1 MFPDLRVTTGIIGSVVCLLLYAAPILTFKRVIKKGSVEEYSCIPYILTLFSSLTYTWYGLPVVSSGWENLTLSGISSLGVLFESTFISIYIWFAPRGKKKLVMVMKQVIRTKSVEFMPFYLSLFSFLTSLLWMLYGILGRDVFLTAPSCIGCLMGILQLVVYCMYNKCKESPKTNPDIEQAEVVKVIPSQDDTKGQKPLSES* >Brasy8G031900.1.p pacid=40079835 transcript=Brasy8G031900.1 locus=Brasy8G031900 ID=Brasy8G031900.1.v1.1 annot-version=v1.1 MVKIMENESSSELQWDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDRGLSPRDAALVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGIKSSTLETIGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPTSRVALASCRNAFTMIANLSLYVIALLIFTLLRSASVIVQYRWIAYASISLGWCFVAAFLIGTKEPGLNQPCQNKSLSKISWAHWFKKVLYFQVALVYVFTRLVTNVSQAFLAFYVINDLGMHQSSKALVPAIIYVCSLMVSVILQETRWSSWRLKTYFSAGAMLWILSGIGIVLLPNRMHNLMYALSITIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFLDKVSCGIALYILQSYQGTTKISTNQELAYGYSVTRLGLGLVPAVCSLLSAIVTYTIDLPDTRRRPLLEPLLA* >Brasy8G002700.1.p pacid=40079836 transcript=Brasy8G002700.1 locus=Brasy8G002700 ID=Brasy8G002700.1.v1.1 annot-version=v1.1 MLGLDWSISNFFSPRKPPPLGPIAAASSRPSPIHTATPRPRAPTPRPRRRLSRPHAATPLPSAVAPADPRREGEESSPDSYIHRPTLRRVRSPAGNFLRSPPPRCSPSRTTHLLDAAANHFSSAA* >Brasy8G160600.1.p pacid=40079837 transcript=Brasy8G160600.1 locus=Brasy8G160600 ID=Brasy8G160600.1.v1.1 annot-version=v1.1 MGAAKWIQRLSAAGISTEALERGQSRVIDASLTLIRERARLKGELLRSMGDVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELDDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEMLGGPVDILHLDAHPDIYDCFEDNPYSHASSFARIMEGGHARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSRDREKLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK* >Brasy8G061000.1.p pacid=40079838 transcript=Brasy8G061000.1 locus=Brasy8G061000 ID=Brasy8G061000.1.v1.1 annot-version=v1.1 MRIRGLLGCSAEVGQEEEEEEARGGVGGDVFRGEARGRGGGDLVQGEARGRGAGVVSSARRALVGAGARVLFYPTVAYNLFRNRTETEFHWWDRVDEYVVLGAIPLRRDVPVLKKHGVIGVVTLNEPFETIVPSSLYKAHGIDHLEIPTRDYFYAPSQPNICRAVNFIHKNASQGGTTYVHCKAGRGRSTTVVVCYLIKYRNMTRDSAYAHVRSVRPRVLLADAQWKAVTIFEKKNVPIQSANRTENEESVMDTEVVGYTSKTNRGHLGIPSCHSIYRPTSPSGCSNMVIVTDADLDGYESHADPDKDAASWEVVSPSQAHHEEYILPDP* >Brasy8G227200.1.p pacid=40079839 transcript=Brasy8G227200.1 locus=Brasy8G227200 ID=Brasy8G227200.1.v1.1 annot-version=v1.1 MRHGSRAQSIPSPTYIRASKEQRPSKSQSSIRVSGKQQDSDKAITRRSIEMDGESAAVSPSPLLPVLVHDLGTGTDDSSHTHYSISTKTLTTAAAMIPELIELPPLKEQLPLRCKCLLSTNNPSNSSSSSCSVVVFDLDNKDMWVCKIGASNWSCYGYSFDRERHIAKAHGIAAAGGKIYHELTGYELGAIEFDPAPRLGGLDIDMVDLLLDKPMASTYLVESRGGLFLAVVFFDGDNFHKVAELRHYYRNAYQ* >Brasy8G056500.1.p pacid=40079840 transcript=Brasy8G056500.1 locus=Brasy8G056500 ID=Brasy8G056500.1.v1.1 annot-version=v1.1 MLTWHLDFQVPKPMKGPIHIYYHLENFYQNHRRYVKSRSDKQLRFKDYKDPAAVMKSCDPEAVAADGSLIVPCGLIAWSLFNDTYAFSVNKKPVPVNKKNIAWASDKGSKFGSDVFPSNFQKGGLIGGGKLDDKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADMMASDEITVVIQNNYNTYSFGGSKALVLSTASWIGGKNNFIGVAYVAVGGLCLFLAMGFVVLYVVKPRTLGDPSYLSWNKETADYAQ* >Brasy8G120300.1.p pacid=40079841 transcript=Brasy8G120300.1 locus=Brasy8G120300 ID=Brasy8G120300.1.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEGETANIVCESSSQNEARSFGSLCYPIPMLDDTDLAHSPVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.5.p pacid=40079842 transcript=Brasy8G120300.5 locus=Brasy8G120300 ID=Brasy8G120300.5.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEGETANIVCESSSQNEARSFGSLCYPIPMLDDTDLAHSPVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.6.p pacid=40079843 transcript=Brasy8G120300.6 locus=Brasy8G120300 ID=Brasy8G120300.6.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.2.p pacid=40079844 transcript=Brasy8G120300.2 locus=Brasy8G120300 ID=Brasy8G120300.2.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.3.p pacid=40079845 transcript=Brasy8G120300.3 locus=Brasy8G120300 ID=Brasy8G120300.3.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.4.p pacid=40079846 transcript=Brasy8G120300.4 locus=Brasy8G120300 ID=Brasy8G120300.4.v1.1 annot-version=v1.1 MSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.7.p pacid=40079847 transcript=Brasy8G120300.7 locus=Brasy8G120300 ID=Brasy8G120300.7.v1.1 annot-version=v1.1 MVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEGETANIVCESSSQNEARSFGSLCYPIPMLDDTDLAHSPVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.8.p pacid=40079848 transcript=Brasy8G120300.8 locus=Brasy8G120300 ID=Brasy8G120300.8.v1.1 annot-version=v1.1 MVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G120300.9.p pacid=40079849 transcript=Brasy8G120300.9 locus=Brasy8G120300 ID=Brasy8G120300.9.v1.1 annot-version=v1.1 MVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKDAWTTEEEQVLVKAHHLNGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRVEDYNNRGMPVLQHAIHNDLKHAAKLPPADNHIDLNKEQSISLKDHLVIVDHSDPIQSPAVCSFKNIKGCSGFLSLSMPTAQPVTLYETSVSDDSAVALGIMGLKMDSGHDKDMELKFVSEKGLEISLSNERGPEIDLITNKMRPSGLGKSEVFSTHHVREHCGIGFQSPTGYTTPSPTDGKKSNHLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELTIDTNSDSFETPIGTFTTDNPHSFKTATLLSLGHLDDQKLPAALGKFDVSPSYRLKSKRMAVLRTIEKHLDFSSDAMDIYDSAGVLKSTCLSSESINSSTDNSSVEDKKLGDHMIGLETPTNDFVHKDS* >Brasy8G276800.1.p pacid=40079850 transcript=Brasy8G276800.1 locus=Brasy8G276800 ID=Brasy8G276800.1.v1.1 annot-version=v1.1 MHRRPAAFRRHSVPAAVPFLLAVAAAAGISAVSGADPVIVATMCGPTPASDPETFDVSFVNALELIYQNVTRSGFGTAFSSSGSSSGNSTVAVYGLAQCMGYLSPTDCQLCYAQGRVKLPRCLPSTAGRIFLDGCFLRYGAARRDFSADPFSVDSGANDTAVCNNNNNNNNNNNNNNRTRAASFAAAAAGLLRNVTDAAPGAEGYCSYGAAAGAGAGDGGERVYAAAQCWRSLNATACGECVASARERVVRRCLLRRGGGGAAGEGYGLNAGCVVRYSTLPFFLPADAMAAGGSSSSSARHVIIVVVASVFSAAAVLGIAYLWTRMRPSRSDDLRHDDMDGSGEIIRAIAASQLGFRYEELRSATDGFNQINKLGQGGYGSVYKGVLADGREIAVKRLFFHTRQWAEQFYNEVRMVSQVQHKNLVKLLGCSVDGPESLLVYEHLCNTSLDHYLFDAFKKNALDWERRSEIVLGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIGDFGLARNFMEGQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSAASSAVGLSLMSLIWKHYNAGTLMELLDPNLRDQCLEGEALKVFHVGLLCAQASPSLRPPMWKVVEMLGSRNRTLPRPTQPPFIHVKGSDAKSDSSGSSVSLMANSDKSPFSLNQLSVSGVEAR* >Brasy8G276800.2.p pacid=40079851 transcript=Brasy8G276800.2 locus=Brasy8G276800 ID=Brasy8G276800.2.v1.1 annot-version=v1.1 MHRRPAAFRRHSVPAAVPFLLAVAAAAGISAVSGADPVIVATMCGPTPASDPETFDVSFVNALELIYQNVTRSGFGTAFSSSGSSSGNSTVAVYGLAQCMGYLSPTDCQLCYAQGRVKLPRCLPSTAGRIFLDGCFLRYGAARRDFSADPFSVDSGANDTAVCNNNNNNNNNNNNNNRTRAASFAAAAAGLLRNVTDAAPGAEGYCSYGAAAGAGAGDGGERVYAAAQCWRSLNATACGECVASARERVVRRCLLRRGGGGAAGEGYGLNAGCVVRYSTLPFFLPADAMAAGGSSSSSARHVIIVVVASVFSAAAVLGIAYLWTRMRPSRSDDLRHDDMDGSGEIIRAIAASQLGFRYEELRSATDGFNQINKLGQGGYGSVYKGVLADGREIAVKRLFFHTRQWAEQFYNEVRMVSQVQHKNLVKLLGCSVDGPESLLVYEHLCNTSLDHYLFDAFKKNALDWERRSEIVLGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIGDFGLARNFMEGQSHLSTGLAGTGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSAASSAVGLSLMSLIWKHYNAGTLMELLDPNLRDQCLEGEALKVFHVGLLCAQASPSLRPPMWKVVEMLGSRNRTLPRPTQPPFIHVKGSDAKSDSSGSSVSLMANSDKSPFSLNQLSVSGVEAR* >Brasy8G041600.1.p pacid=40079852 transcript=Brasy8G041600.1 locus=Brasy8G041600 ID=Brasy8G041600.1.v1.1 annot-version=v1.1 MMMADHFAVMAGRLLTASTVQSAIDEASAAPSSSSPATVTASMCDEEAVEQGARPRSGVLVECRICQEEGDETSMEAPCSCKGSLKYAHRKCVQRWCDEKGDTICEICLQQFTPNYTAPSKLFHQGRNSIFFRTPGYIQAQASTSYEYDHQSSSIKKGVICCRIIAITLMLLLVLHDAISVFLGDHEAYTVALITLLMLRTAGIVIPVYIILVSVTELLHRRNQMQVCGTLENTMLDVSPAAQAVRGEISEPGGAGSMQPPVPTPSPPPPAQQQLVVIRIQ* >Brasy8G010300.1.p pacid=40079853 transcript=Brasy8G010300.1 locus=Brasy8G010300 ID=Brasy8G010300.1.v1.1 annot-version=v1.1 MELLEHGDMLVDILRHLPPLALAVSRSVCKAWRAVVDDHRLLRADLLPLSLDGVVYSKRRSAMQLFARRPTVNAVTVRTDYISDDNPYDPAYASYDELQKLVVGNPATRQWAELPELPCSWPPSRYAIHVFSSETNCWTERSFVQQGNAPGTVHDVLEVINSDSDLYCTAYWQGSLYLPYVQATPHVQTGANFILRVNLTNDKYQGVIELPKGGMKRGFLLGKSKKGVYCVMDCVMEAGYKFKIWLLYESCGTLEWVFKDEINLESVPRKYPRTYRDVDGPWIIQENDEMGWLFRTDVDLKFINEYNKALAKDDFQWDSDEENVVAVEDCTDQKYRVSDHVCLGLHPYKEIVLFHDAYETRSVFAYHLNSSKVVRYIGKMDDNPFDNFPIEVSFPPCWTMDLPGSN* >Brasy8G058100.1.p pacid=40079854 transcript=Brasy8G058100.1 locus=Brasy8G058100 ID=Brasy8G058100.1.v1.1 annot-version=v1.1 MGCHDLFLTPPVALGYDLTTGGSPSGRPSVADSSSPTISPPKRSPTPSTDTGGSGEPPIASASQPLPSHTIAVCFNLQISERNFWRVKNTIYRAVSLPPVCKCSMPSAANALDRVIQIHRQRCGSRWSVAAAEAERDGGLMPMEAATPWQRREIGMREIDGGEEKMHIFTKPQFVRRRPSSDPHSPRNLPREKKTNSPTRLARQRNRLANRFRTPRTKGSSCRSLLALAPSAYLTPPGGAPCPIPSPDPEATAPWPLSIPRRISCGGETGIQPRRPSRGHPPGGQSRGQYVSRRPRREGISRRRRRSTSSKRPRRRRRPSRAQGGSSADHAQLCLSTVVVAQLDGHTEDTPLHEQLVAHLDDNAEDARHPSARARPASAPPAAREGTSVAASRASSSSS* >Brasy8G253700.1.p pacid=40079855 transcript=Brasy8G253700.1 locus=Brasy8G253700 ID=Brasy8G253700.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >Brasy8G283100.1.p pacid=40079856 transcript=Brasy8G283100.1 locus=Brasy8G283100 ID=Brasy8G283100.1.v1.1 annot-version=v1.1 MMDALVSTPVQIRRRVFEASRWGRRRQRGAMRAGNRHPAVDYSGGGAPVSWPTRFRRRNSCRRSRRWPRHRLRGEASSTAPSAKRGHLPGKACHGRPNEMRYLTSCSCKKRATSRLSIECEGTPYIQARTRCLLVFVTLPSLKPKEAICSWLRAHRSQTCKPEVES* >Brasy8G069700.1.p pacid=40079857 transcript=Brasy8G069700.1 locus=Brasy8G069700 ID=Brasy8G069700.1.v1.1 annot-version=v1.1 MVGGGGAEQELSADNVKGIVLALLSSGFIGGSFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGFLGCVMCIAGSMVIVIHAPQEQEITSVKEIWIMATQPSFLLYVASVVVVVSVLVFHFSPLCGQSNVLVYTAICSLMGSLSVMSVKALGTSLKLTFEGKNQLVYPETWFFMLVVAICVLTQMNYLNKALDSFNTAIVSPIYYVMFTTLTILASIIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRTGIYAPLSPSSTPRLNGELLRRVEDDARAPEDEEKALRRQEMY* >Brasy8G069700.2.p pacid=40079858 transcript=Brasy8G069700.2 locus=Brasy8G069700 ID=Brasy8G069700.2.v1.1 annot-version=v1.1 MVGGGGAEQELSADNVKGIVLALLSSGFIGGSFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGFLGCVMCIAGSMVIVIHAPQEQEITSVKEIWIMATQPSFLLYVASVVVVVSVLVFHFSPLCGQSNVLVYTAICSLMGSLSVMSVKALGTSLKLTFEGKNQLVYPETWFFMLVVAICVLTQMNYLNKALDSFNTAIVSPIYYVMFTTLTILASIIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSSTPRLNGELLRRVEDDARAPEDEEKALRRQEMY* >Brasy8G069700.3.p pacid=40079859 transcript=Brasy8G069700.3 locus=Brasy8G069700 ID=Brasy8G069700.3.v1.1 annot-version=v1.1 MVGGGGAEQELSADNVKGIVLALLSSGFIGGSFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGFLGCVMCIAGSMVIVIHAPQEQEITSVKEIWIMATQPSFLLYVASVVVVVSVLVFHFSPLCGQSNVLVYTAICSLMGSLSVMSVKALGTSLKLTFEGKNQLVYPETWFFMLVVAICVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRTGIYAPLSPSSTPRLNGELLRRVEDDARAPEDEEKALRRQEMY* >Brasy8G069700.4.p pacid=40079860 transcript=Brasy8G069700.4 locus=Brasy8G069700 ID=Brasy8G069700.4.v1.1 annot-version=v1.1 MVGGGGAEQELSADNVKGIVLALLSSGFIGGSFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGFLGCVMCIAGSMVIVIHAPQEQEITSVKEIWIMATQPSFLLYVASVVVVVSVLVFHFSPLCGQSNVLVYTAICSLMGSLSVMSVKALGTSLKLTFEGKNQLVYPETWFFMLVVAICVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSSTPRLNGELLRRVEDDARAPEDEEKALRRQEMY* >Brasy8G049800.1.p pacid=40079861 transcript=Brasy8G049800.1 locus=Brasy8G049800 ID=Brasy8G049800.1.v1.1 annot-version=v1.1 MESLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFLTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNAKRSFNTLGTWHDEFINQAGPSDPKHFPFILVGNKVDQDAGSRRVVPEKKAKDWCASKGNIPYFETSAKDDHNVDDAFLCIAKLALEHEHDQDIYFKTVAESAPKTEEQTSGCAC* >Brasy8G053500.1.p pacid=40079862 transcript=Brasy8G053500.1 locus=Brasy8G053500 ID=Brasy8G053500.1.v1.1 annot-version=v1.1 MGSCANGGGYYHYSYSVVHGCDRIVPGCPPTAEALLYGVLQLQKKINRRKDATDPTPPPPLPISSSSDPQRVATKSRSMAALGSKLAQVQAKACEATRFAARHGCAYHKTLMEKNKKYVVEPPTIQKCQELSKQLFYTRLASLPGRYEAFWKELDQAKLLWRNRKDLNVEHAGVAALFGIELYGWFCVGEIVGRGFTLTGYNV* >Brasy8G193700.1.p pacid=40079863 transcript=Brasy8G193700.1 locus=Brasy8G193700 ID=Brasy8G193700.1.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEEFTEDKAQVTEDKARQLIAEALRKETRKPKFYEDYCRKKIDIAQAIGLISSVSS* >Brasy8G193700.2.p pacid=40079864 transcript=Brasy8G193700.2 locus=Brasy8G193700 ID=Brasy8G193700.2.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEEFTEDKAQVTEDKARQLIAEALRKEEEDRHCPSHWINLERIVLTVDLPA* >Brasy8G193700.4.p pacid=40079865 transcript=Brasy8G193700.4 locus=Brasy8G193700 ID=Brasy8G193700.4.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFTEDKAQVTEDKARQLIAEALRKETRKPKFYEDYCRKKIDIAQAIGLISSVSS* >Brasy8G193700.5.p pacid=40079866 transcript=Brasy8G193700.5 locus=Brasy8G193700 ID=Brasy8G193700.5.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFTEDKAQVTEDKARQLIAEALRKEEEDRHCPSHWINLERIVLTVDLPA* >Brasy8G193700.6.p pacid=40079867 transcript=Brasy8G193700.6 locus=Brasy8G193700 ID=Brasy8G193700.6.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEEFTEDKAQVTEDKARQLIAEALRKETRKPKFYEDYCRKKIDIAQAIGLISSVSS* >Brasy8G193700.7.p pacid=40079868 transcript=Brasy8G193700.7 locus=Brasy8G193700 ID=Brasy8G193700.7.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEEFTEDKAQVTEDKARQLIAEALRKEEEDRHCPSHWINLERIVLTVDLPA* >Brasy8G193700.8.p pacid=40079869 transcript=Brasy8G193700.8 locus=Brasy8G193700 ID=Brasy8G193700.8.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEERIKLRLQRIKPDS* >Brasy8G193700.9.p pacid=40079870 transcript=Brasy8G193700.9 locus=Brasy8G193700 ID=Brasy8G193700.9.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFTEDKAQVTEDKARQLIAEALRKETRKPKFYEDYCRKKIDIAQAIGLISSVSS* >Brasy8G193700.3.p pacid=40079871 transcript=Brasy8G193700.3 locus=Brasy8G193700 ID=Brasy8G193700.3.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQWGRIMTRGNYQAIKIATDFSKITGGLACSGFFDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFLACTACLEELRLQRIKPDS* >Brasy8G193700.10.p pacid=40079872 transcript=Brasy8G193700.10 locus=Brasy8G193700 ID=Brasy8G193700.10.v1.1 annot-version=v1.1 MSKDLEEAEDEIRKLNELGLGDDISYEEYRDYAEQLPDMPDVDDRTRLRPAQLSELYARHALYRIRYCQLSQGVPEEELTYDKLKEKYPLRDLQKNNFFKQYENNGRLDWSFHHDYCKLAGLEDYQRLVLHNGGFEYARWDEYHKGFHSYEIEQEYVKYYQELSKKLKWIEAYVQDRPSSLQDFLGSISFDVNYYNEFDGVYYEIWQRYTKEKKFRDALDEVYKLNRFPLRQNRMRCALDNDTFCSNFEEEFTEDKAQVTEDKARQLIAEALRKEEEDRHCPSHWINLERIVLTVDLPA* >Brasy8G131300.1.p pacid=40079873 transcript=Brasy8G131300.1 locus=Brasy8G131300 ID=Brasy8G131300.1.v1.1 annot-version=v1.1 MGGERLGSWVVSYTGMSADNIKGLLLAVSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWIGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLARIILRENLHMFGILGCILCVVGSTTIVLHAPPEREIESVAEVWDLATEPAFLLYAAVVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLFGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFTLVVIACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLQPHLPIRIPKHAEEDSYGAEGIPLRSAADGIPLRSPRSTESFRST* >Brasy8G071400.1.p pacid=40079874 transcript=Brasy8G071400.1 locus=Brasy8G071400 ID=Brasy8G071400.1.v1.1 annot-version=v1.1 MEPAGPSAKKLKLAASELHGAVPVAAEATDPKPPVAPEGRHEPPAGAEEESVDYISLLPDAVLEEIISLLPTNEGARTQALASRWRHLWHTAPLNLDLRYLPDDVSPGAILSAHQGHGRRLCLPPLHVLYRAADLDDWIRSPALDNLQELEIYASYPIPPQLPSPPASIFRFSSTLRVATIAYCNLPDEMVETLRFPQLRKLALVHVEVSEASLQSIVTSCCSGLECFLLGIYVIRCLRINSPTIRSIGIRSISGQLVIEDAPSLERLLHLTTRTSVQISVISAPKLETLGCISDSYWGSKLVFGNTIIEVLRIGSLTTVVHTVKILAIHISCSNLDTVIDLMRCFPCLEKLYMKRSTSWGEGANLWRRKHRNLLRSLDIRLKTIVLGYYRGIRSQVSFATFFVLNARVLESIRLEVHADNYNEDFFAAQHEMLEMEKRASRGARLRFTKDCSHDASDIVHVSDLDLADPFSCVC* >Brasy8G011000.1.p pacid=40079875 transcript=Brasy8G011000.1 locus=Brasy8G011000 ID=Brasy8G011000.1.v1.1 annot-version=v1.1 MGIAEKKMMAKAKLDLTHSPLLASSWEGQDAHIAACLQVHASSFFTYRSSTPASPST* >Brasy8G246800.1.p pacid=40079876 transcript=Brasy8G246800.1 locus=Brasy8G246800 ID=Brasy8G246800.1.v1.1 annot-version=v1.1 MLRAHCPVPTVSPLLRGRAYPTSSAPPPHSPPSRGHRQAATCRPLAVAMDAAEYPHIQGAASSSSSSCRAADAAVWESVQQQQKRQRCQDPSSSDIVGSSKENNSFKASEAELQNSDYGEVDEEDYGVDDEDEGSDYDFDECYFNEQLADKFDDLDLPPGVEATVPWLQKAVTNVEPENFKSMSEIEDEIGQKYKFFKQFDTVEDFSDHHYANKPVGKTGKEWTKRIQHDWKLLENDLPASIYVRVSENRMDLLRAAMIGPQGTPYHDGLFFFDAQFTDSYPATPPVVYYHSGGLRLNPNLYETGKVCLSLLGTWEGHGCEKWNSAQSTMLQVLISIQALVLNENPYFNEPGYETFANNTSGQRSALDYNDTTFQHSCRTMLYSLRRPPQHFEDLVAGHFRECGRAILAACKYYMEGNKVGSVVPNNDNEDEELENTNAEGSSSSGAGKTQNKKVDLRACRTAKFKTNMEVLFEELLMEFNVKGADTKKFCAEKLKKSQPAAS* >Brasy8G257500.1.p pacid=40079877 transcript=Brasy8G257500.1 locus=Brasy8G257500 ID=Brasy8G257500.1.v1.1 annot-version=v1.1 MGFLAVGYSGMPRLLLHLLSLLSHLCRISSWLLRLTGAAALDIDYHHYDPADDHDVTGGGGLQEELDAHSPSVLFDALRRIPIVSGEILLPEGCTVCLADFHGAARVRRPRACRHVFHHACLDRWASRGQSTCPLCRAPLRRLAIDCLLDFSRSRVQRV* >Brasy8G202500.1.p pacid=40079878 transcript=Brasy8G202500.1 locus=Brasy8G202500 ID=Brasy8G202500.1.v1.1 annot-version=v1.1 MSEYVNLKKEQVIMKEQASKQGHQYSIPRCLEVLNAMDDVSDDIKVLASDVFKDAANRELFLCHDSKLRGLWLKKEVVKLGVQLPP* >Brasy8G141400.1.p pacid=40079879 transcript=Brasy8G141400.1 locus=Brasy8G141400 ID=Brasy8G141400.1.v1.1 annot-version=v1.1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALRSPLMGLFEKRRARNFFIYVQDYNDADPKTHQGCDLTRMTTKELIAKHGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNANESHSVQIILPQKQLGRRSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELKPGIDLLGSVDELFFDMYDRYEPVNEPSLDNCFVSASYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY* >Brasy8G243900.1.p pacid=40079880 transcript=Brasy8G243900.1 locus=Brasy8G243900 ID=Brasy8G243900.1.v1.1 annot-version=v1.1 MATKHVDSFDERDVVDAGCVRAVLGELVLTFLFVFTGVAAVMAAGVPEVPGAAMPMATLAGVALAQALAAGVLVTAGFHVSGGHLNPAVTLALLARGHISAFRAVLYVAAQLLASSLACILLRYLTGGQATPVHALGAGIGPMQGLVMEVIFTFSLLFVVYATILDPRTTVPGYGPMLTGLIVGANTLAGGNFSGASMNPARSFGPALATGVWTNHWVYWVGPLVGGPLAGFVYESLFLVKRTHEPLIAADF* >Brasy8G216800.1.p pacid=40079881 transcript=Brasy8G216800.1 locus=Brasy8G216800 ID=Brasy8G216800.1.v1.1 annot-version=v1.1 MAPPPPSKRPALANVRVEDARALECGVCWLPLRPLIFQCAVGHALCRPCRDKLAASASDKCHVCRAPTTGGNNGGYRRCHDLETLVESIRVPCPNAAHGCAATPPYYDHADHVSSCPHAPCHCPAAEACGGFASSSRAAFLDHFTGAAHRWPCTRITRWRWTEAVPLHDGFNLLDVVNDGGGSTHLCLLLVSREGSIGRAVSAVCVDGRRHRCKLKLEASRHMPRGSDLYRRHCQKFSFRLASDDLSRGMPDPDDCFRFLLPKSLEPDENGAIRVAARLYSLPLI* >Brasy8G127500.1.p pacid=40079882 transcript=Brasy8G127500.1 locus=Brasy8G127500 ID=Brasy8G127500.1.v1.1 annot-version=v1.1 MAADPHRLSGGGRLARDDEEDGGGGDDDRGEAMASSVLSGEYQAQEMSTMVSALARVVSGGDDDRWAASEAGLPLPYGWTMGGAGRSYSGGAPTPDQLAAGPQERRSPPAMVEEQPQLPTYAGEPAPVSEQQPGRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLTPTPAAAAASLASSPTMSSSASSSSSSSSSTAAPYAAAVSDYLQYQMLLHGGGGSGVGNFPPYYGGSVSTSSAGAYSFPESTVTVASVPSSASSASGHGAAAGAEYGDAAAQWAWPEPAPWTYPATTGSWSASSQYPPPPHHPQ* >Brasy8G185700.1.p pacid=40079883 transcript=Brasy8G185700.1 locus=Brasy8G185700 ID=Brasy8G185700.1.v1.1 annot-version=v1.1 MERQHERAASEEEKQPQPQPPHFLIVTYPAQGHITPARHLARRLVHAGARVTVCAPVSAFRKMFPAAADGEEREEGGGVAYASYSDGYDGGFDRAAGDHAKYLARVREEGARTLAALLGRLRERRRGPVTCAVYTLLMPWVSRVAAEHGVAHVAVFWIQPATALAAYYHYFRGSRERFLMAAERERDPDAPEEVRVPGLPPLRLRDLPSFLAITSDDDRFAAVIPEFAALIDAVERDGDPASARPAPTYVLANTFDAMELDALASLRPHVEVVTVGPVLSFLHDAGGNNSPPNDVFTHDGEGYYLSWLDAQPAKSVVYISFGSTSVMSKAQVAEIADAMTRSSKPFLWVVRKDNCRDRDDGEAIKELLAAAAGGVVVEWCDQARVLSHPAVGCFVTHCGWNSTLESVACGVPTVAAPQYSDQGTCAWLAERALGVGVRAAVRQADGVLEAGELRRCVEFAMSSEAVSARAASWKEEARAAVADGGVSDRNLREFVRRIAMDM* >Brasy8G167100.1.p pacid=40079884 transcript=Brasy8G167100.1 locus=Brasy8G167100 ID=Brasy8G167100.1.v1.1 annot-version=v1.1 MYTTYRSCPLTVSSLRPAWSSNPAPTSPLLVTIRTVPIKMHRISSALFVANPFPLPLLNKILLINPTQHQQLTHMDNSFASSYKLQLAVAALVGASAAAASAYYLHCRAVAQLGGDIVRSSTAAAKSGRQRRRHREAGKPPPPRRTAGGSSSLPDLSAIYAAGGVARGYPVGEEYDDDEEGVGPYVDDALNAAAACMQIPEGLPRLQVGPDGNKQLGRSSSNRRVGLIRPNSPKSPVPGASTFESVEGSDEDVATKISGKLDNGYLNANGNLEGEHKGNAIVESGAAIPLAAKNLARSKSISNDLHGVQPDPVAADILRKEPEQESFVKLLTAPNEIPSPDEIEVYKILQKCLELRDCYLFREEVAPWEKEVINDPCTPKPNLNPFTYGPEPKSEHVFQMVDGVVQVYVDKDYTERIYPVADATTFFTDLHYVLRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTMQVFSDLNASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKQMGIVTSFQNLLDNIFLPLFEVTVDPSSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMNTIKFRPHAGEAGDVDHLAATFLLCHSISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYYKRGPSGNDIHRTNVPHIRIEFRDLIWRDEMQLVYLNNVILPEEVDQ* >Brasy8G167100.2.p pacid=40079885 transcript=Brasy8G167100.2 locus=Brasy8G167100 ID=Brasy8G167100.2.v1.1 annot-version=v1.1 MAVLCTQHWNCFKNRWRNKDSNKQLGRSSSNRRVGLIRPNSPKSPVPGASTFESVEGSDEDVATKISGKLDNGYLNANGNLEGEHKGNAIVESGAAIPLAAKNLARSKSISNDLHGVQPDPVAADILRKEPEQESFVKLLTAPNEIPSPDEIEVYKILQKCLELRDCYLFREEVAPWEKEVINDPCTPKPNLNPFTYGPEPKSEHVFQMVDGVVQVYVDKDYTERIYPVADATTFFTDLHYVLRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTMQVFSDLNASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKQMGIVTSFQNLLDNIFLPLFEVTVDPSSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMNTIKFRPHAGEAGDVDHLAATFLLCHSISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYYKRGPSGNDIHRTNVPHIRIEFRDLIWRDEMQLVYLNNVILPEEVDQ* >Brasy8G230700.1.p pacid=40079886 transcript=Brasy8G230700.1 locus=Brasy8G230700 ID=Brasy8G230700.1.v1.1 annot-version=v1.1 MGQTNSKIGLGLKFGGSLLSVRSTPAAARTSALSRRWRRVWASVPDLVLGDDLPIRHGASFIDAVDGALDSYAAAADPAAHVHGLEITMPFAPLCHVDVPARRITPWLCFAARRRAVALHLHLHTAFSCRTPPWPREELELPPLERAKSIMLDLGSRFHLRPPLSSVFAALADLEIKSSNMDARALEALVSTQCPLLRKLSVSASDISDFSLRSATLQHLKFHVPARRLEIAAPALQVLDTFHRIADAYIAAPNLAEVAWGVCDRFVFADAGRHLRRLDITWCPYMAIEPLARRFDSVDELRLRAPGLSWHGRDEFWRFLENKKYNLPKCQTLWVSSYMTPHSSVPCVLRLLRGNGIRKLGMLAHASTGTYCSPSCSCRSPQSTMVDAIIFDSVEEIELDLLGGDANLEIFMKQILSMCSLAKLKSVEIKMSHEHAASSPFDEVRNKIRGMCPPNIRVIFN* >Brasy8G092300.1.p pacid=40079887 transcript=Brasy8G092300.1 locus=Brasy8G092300 ID=Brasy8G092300.1.v1.1 annot-version=v1.1 MEGSESIRSHKERDVEDNVHARSGLKEEEEQDNVDGRRNRSGKSRKHSSGDEVEEPDDGGRRSSADRNESRRRSVSGSGQAYSGNDDDYDVRRGSQVSKILRRSPEERSERRSSDGYMDRDGDSSSRTRREDEDDQGSSRRSSSKSSGHDVSHSKSRSKTEGPYDVQLDKGEGREGSKEKQGHWEQEEFPYQKSVVETHSDRRADIVETKDRLTCLDADEHALLKDRSNKEARDDKKYPVERDGGDRGNSDSDNERSIGMKEKTREDAYGESNSYRGRDRNRELEGPKEYWRNRQRQDSKETNDYDAVTDWRHGQERLDTGNFHGRSGYRKDSRGRYESSKGPSLYGNRYDNSDSIEIRPNRNLNFGREISVSERTDTGPYQDLMHGTNHQSDQNKQNYGNGEDLHERQYEDAQNMNHDTVKDQVDPETGRSGLKGAMTPNTSGAGQSGSSSMISPISQQGPKGGRSSRGPRGRPNERDPQRVGVSVPMMPPSPFGPLGLPPALMQPMGPNMSHSPGPLGPGVFIPQFPGPLLWPGARGVDMSMLALPPNLPMPPLVAGPRFSTGMGAGPSHNIHLNQTGTGRGASMNASGSGFSPMATPNREMMHDKPSTGWMPHRNSGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQRKDEIVSNSASAPMYYKCDLKEHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDDIEYWTPEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGCTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKALSSSNFNKEAYMKNFTDRDGKVWQGIAGRNPPPDAPHLVVTTPEIESLRPKSPPHKNQQHQTMSVTPIGSSSSTNRRSATSTPQNAVTVVGSETVMPPGWSSTPMAGFGMPEGGAAHESNPFDNFGFSAPYG* >Brasy8G048900.1.p pacid=40079888 transcript=Brasy8G048900.1 locus=Brasy8G048900 ID=Brasy8G048900.1.v1.1 annot-version=v1.1 MVCSSKPHGQGVQTNGPGCQNGPLSFGKKGQISAGVTELPSSSRDNVLDQIQARTEEPMCPSNAKMCSPAAHTPTANLLCDSLSDDWQVKSVVGDTSGSVQQAPKYRRLCKFGDKIKRVSSVSFNNRYDGFVEGQYDLANKTMPNQMEHAIGNKGKAKRRLDIYIDEEVEVSEDANISADEDDGQSDDKYEDSFIDDQTTPTGQFTQSEQGGQNTGDMMAFYRRSLLTQSTVVLPSRYQDVSDNSAYRAGSSSCSSGNLHNPIATPRGIPQTHNTIGPSPLGHQQSSMERASSIKEQGEASVINCESTTKLDSRKRKLSFQQAASIPVINLEPEPELEPEPPAHLNNDIYWDDAFFESLDFDAIEAQATEQLRLQKAQSAQKPAETKRASDLSFPPPSFDLGF* >Brasy8G192600.1.p pacid=40079889 transcript=Brasy8G192600.1 locus=Brasy8G192600 ID=Brasy8G192600.1.v1.1 annot-version=v1.1 MEAVASGAGEVVRVEAPVKRPVLLQGALAEKEQAFLQEAPNVDHVAGKIDLEGQNMGGITGNKNDQIHDSLRLIQKERDDLLCKQHILGEFSAECDMDIQKILIEGKMTPDVISIIDISEYELDEICRENNWILPRYMVLPSVEDGMFQASVHLVGLDFDMRIDGGRCMTPREARGSAAAYMIHELQKKAEAGRICKVA* >Brasy8G212800.1.p pacid=40079890 transcript=Brasy8G212800.1 locus=Brasy8G212800 ID=Brasy8G212800.1.v1.1 annot-version=v1.1 MASSARSDSSFAARSISASSSAVRGSGATSSALEAAMAAWSLMSSSFSSSSSLTAAGSSTPTSTPSRLAGEQRRGHRHPLRAQMPPVREVGVGPRDRDALLPEALGQGDANVVSPCPGVRQRRRDG* >Brasy8G131400.1.p pacid=40079891 transcript=Brasy8G131400.1 locus=Brasy8G131400 ID=Brasy8G131400.1.v1.1 annot-version=v1.1 MELAAPLLLLLPFFILAGLSYLGKVRGGGNARRLPPSPRGLPFIGNLHQVGALPHRALRALAASHGAGGHGLLRLRLGQVPALVASSPAAAAEIMRAQDHAFATRPYFRTAEILTYGFRDLVFAPHGEHWRHVRRLCSAHVLNPARSRRRYYNSTREREVAALVQAVAASASAGAVVDLSGALYRFANGVICRAVSGPRGQEEDLGRSEVFRELIEENTALLGGFCVGDYFPALAWADALVSGGGARARRNLRRWDELLEKVVREHEGRTAEGEEDFVDVLLALREEAQEDGFELSRDVIKSLLADMFAAGTETTFIALEWAMSELTKNPTAMRRLQHEVRGGTTAAAPHAGITSADMLGASTTRYLKAVVKETLRLHPPVPLLLPRECMRDADVLGCHVARGTRVFVNAWAVHRDPASWHAPDDFLPERFLESEVDFRGGHFQFIPFGAGRRICPGMQFGLDTVELALANLVRIFDWELPDGMAPGELDMSDAPGLTTPRRVALRLVPKFAP* >Brasy8G283000.1.p pacid=40079892 transcript=Brasy8G283000.1 locus=Brasy8G283000 ID=Brasy8G283000.1.v1.1 annot-version=v1.1 MGGLGKTTLVQSIYRSQQLGGWKRAWVTALRPFKPDVLLRDLALQLQKSIQEDPTAATATGAQKKNIALMELKELKVELARLLKIQKCLVVVDDISTIKEWELVGKCLDNAGKIIVTTREKNIAEKCSREYKNVYSLEGLKYEAALDLFIKKVFNDNTDKNDLAPAMMEQARLILKKCDGLPLAISTIGGFLSTKPRNAIEWRKMSDCISSELEINPELRSIKAILMRSYDGLPYHLKSAFLYLSIFPEDHRIRWDRLVRRWIAEGYSRDMNGRTAEELGLRYFDELLDRSMILPGEVSHQNGKIISCQLHDMIREICISKAREENLVFTLEEGFCLSSTQGAIRHLVIGSDWKRDKDVLERMLDLSHVRSLTVFGEWRPFFISDKMRFLRVLDLEDTVGLRDHHLDKIGQLHHLMYLSLRECVNIYCLPNSLGNLKHLQTLDVRGTHIFELPESITKLRKLQHLRTTGFRSGNVKGEDDIVLEYMLRPPFLSTRLGWACLHLLYTGPVFLRPQVLDAGLNRPDILNLYRFSMVSLLENGSLYKSMVLGVKVHGGIGRLKALHTLGLVDIARSKGKATLEELGELTQLRKLSVTGVSDENNKVLWSAIAGHNQLRSLSVRGVNSLNKLDGSLGESLLPPSCLESLKLCGKLVRVTSWIHQLQTLSKLTLEESWLGQADAIQALGVLPNLAVLRLKLRSFYGEQLHFQGPSFPSLVVLELLELLNLESVLFEEEAMARLELLQVYGCWKLKDISGLTELPCLKEIRLSGHVRDVLREEVQRQQAAEHLKHEAESLVNSINTCVCVCVDLPLFLIVWVEIDVL* >Brasy8G220300.1.p pacid=40079893 transcript=Brasy8G220300.1 locus=Brasy8G220300 ID=Brasy8G220300.1.v1.1 annot-version=v1.1 MESAAISGAQWVVGKALSPLSDGLVEAWAATSELGPNIEALKMELLYAQAMLENARGREIRSQALGELLQRLRGLAYGAEDVLDELDYFRIQDELEGTFEAVDDAGRGCFHNLVRDGRHTAKAAAKQLGCCSCSALLHDYKPEEPCKCVRRLASRARTTAHAVGKRFLRSSPQSVRRNGRGDDSRHAPSPSPRPRVPKLKFDRVDVSRKMKCIVEQLKPVSYKVSTILDLELLGSCAAKLESLGSNRGTNNIASSSRSINTSQALEPKLYGRDQEKNTIVEDITRGAYIHRDLTVIPIVGPGGIGKTTLTQYIYNSQEVQDHFQIRVWICVSLDFSVYRLTQEILSSIPKAEDEKNERTDDAIKNLDQLQKLVQRRLKNKRFLLVLDDIWSYGNEDEWKRFLVPFTEEQGKGNIVLATTRFLHVAEIVKKGDKWLPLEGLEPKEYSSLFRACVFDEINQQYNDENLLEIGKMIVEKLKGSPLAAKTVGRLLRKNLTVDHWTRVLESKEWESQTSDHDIMPALKLSYDFLPFHLQQCFSCCALFPEDYKFHCEELIHFWIGLDILRPSHTTKRIEEIGRNNLNELVSYGFFIEGTGKSDKQYVMHDLLHDLALKVSSQECLHLSSSSPRPVEIAPSIYHLSISLSPANSGDGIIDEKFKKELGKIKNILKSENLHTLMLFGDYDASFLRIFSDLFKDAKKLRVVHLSTMYYSVESLLHNFSKLIHLRYLRVVSQYGSKEHLPSSISRFYQLRVLDMREWHDSHSLLGDMSNLVKLRHFLSYNVEHHSNISNVGKLDSLQELQTFQVRKESNGFELRELGHLEELGGSLGIYNLENVQASEAHEAKLLYKSHLQQLTLSWNNGRSNTNPDVEDQILESLRPHSNLHELCINGHGGITCPTWLGTNLFTKGLEALRLDGVAWKSLPPLGEMWLMDESGEEYFGCIRGLSFHNLRRLELIGLPRFRKWVANEFCPWYFSLIEELTVRNCPELTELPFSNYNCYSSEGDVNGTCFPRLTTLEIWNCEKLLSLPPIPCSHALCSVSLKGLIYYNADSSFLGIFGNDDLPKLDETVLAFQNLTQLRELKIHNCPPLAGKHLQMLTSLKTLEINGSSILFLPLARSDVRWQVPVNSLIIRKSEASGKELTRLLSHLPEVSFLHIWSCEKITQLGIEVEQQGTLAPIFLPSSSVKLQETHGTDQQQKQIAEDVVTEDAVVEQEDGLLLLGPHLTGSLQELEISSCSKLVLTSGGGGLQAMCSLKTITIRNCPKFLSAYRTSSLSSSRPFPSSLQSLWLDGPMEGMETLAPLSNLTSLERLSLTDLGEDLRCEGLWPLLTLGRLKALQVRGSPNFFAGWDPAWGEQEQPLLSKLQELSTDDIAGVLAAPICRLLSSSLTKLSIVSNNVTERFTKEQENALSLLSSLQALEFQWCDKLRCLPSGLSKLTNLKKLRIIGCPAIRSLPKNGLPSSLHELDVSYCNKLRCLPSGLSKLTNLKRLEIYECPAIRSLPKNGLPSSLQELDVSDCRNKELTQRCRRLMGTIPTVKL* >Brasy8G262100.1.p pacid=40079894 transcript=Brasy8G262100.1 locus=Brasy8G262100 ID=Brasy8G262100.1.v1.1 annot-version=v1.1 MEQQPLPEPPPPKIKRLPNPQTGPKCSPSQRALGCGRPHLIGVGGRADQGFVYASRTRGRGGAPRRCPAASRRPAPSPHCLSPPRSALLRHPSRHRVPASTASDLTAADEEDEADAGAAARAGGCRRSSRHRRRDEAAVASRAAAPEEGTRGIRNEHPDDRLRPTRTCSGWGEGGRSGWGTAALGLELKQSGDARGDAEVKRGGGDRAGAEAVGQRGGKAGRRRSAAHVATWRAEAVRRQGRQRVGEAGRRRSTARVATRKRSGAAALGLGPKQSGGGGGGALWRRGSEVGRRRSGWGRSSQAAGAVTRRRSGAAARTRSHRRRQQGVWGPWYCFSKCYMPQWLNPVMLSFSAAHDKCTKVGGYFWRRQMFYDLGSPMGHLDFKQAILSAAVLFCEALRLAHVMRTVLHAMGKNLWESIDLPKHVWERINSWGHVSAYGLERAKAGKLISCEALKRTLENCQYSSLDEAVQREITLFMRSDSILVDDELKAWLQRRSAGAGVEEPDLDAPAPAP* >Brasy8G283600.1.p pacid=40079895 transcript=Brasy8G283600.1 locus=Brasy8G283600 ID=Brasy8G283600.1.v1.1 annot-version=v1.1 MMQSFLMTADEERGQHKVLVTWVKHVRDLAYNVEDYLMDFSLLSEKKKSWWRSPRTAVERRRIAMEMKKLRTMVEDVSNRNRRYHLIKDTAGSKPTAAEEQATIASAAMFGINEASLGSPQKEESKVNLHQLIISEEEELRVISLWGTSGDLGKTSAIQEVYDDPKVLEKFGLCAWIRLTHPFNAKKFIHSLVRQFYKKSLDEVTNRKEETSVGANILLKMEKMKQNELVHVFNTQVSTNSYLIVINNLTTIEEWHCVKEYFPDNKKRSRIIVSTQQVEIASLCSEKPYQVSELKQLSCDQTVYLFHKKNSEERASIGNASAALLNINKQKQASSEKLKLKAMHASCSAEPISDSNEVSTEKNTAMTTSEILEEDKEPKNESEDKVSNSTARKKFDRRRTFALVDEVICGRETEKSLVIKLIGQPDNNQGCKVISVWGMGGLGKTTLVQSVYRSQQLGGWKRAWATALRPFKPEVLLRDLALQLQKSIQEDPTAATATGAQKKSIAVMKLKELKEELARLLKIQKCLVVLDDISTIKEWELVEDCLYNAGRIIVTTREKNIAEKCSREYKNMCGLEGLKYEAAFDLFIKKVFKNNTDKNDLAPAMMEQARLILKKCDGLPLAISTIGGFLSTKTRTAFEWRKMSDCISSELEINPELRTIKTILMRSYDGLPYHLKSAFLYLSIFPEDHRIRWDRLVKRWIAEGYSRDIHGMTGEELGRRYFDDLLDRSMILSGDEVNNYNGKVKSCHLHDMIREICIRKTREENLVFTLEEGFCLSSTQGAIRHLVIGSNWKRDKDVLKSMLDLSHVRSLTVFGEWRPFFISDKMRFL* >Brasy8G227500.1.p pacid=40079896 transcript=Brasy8G227500.1 locus=Brasy8G227500 ID=Brasy8G227500.1.v1.1 annot-version=v1.1 MDGGGSVVSPLPALVHDLGTPNHDSSQTQYSISTQSLTTANIAELRDYRVETPQGWVLALDPSSLQTFLWRPQDGGRIELPPLKDEKFPEQCKCLLSDTPHSAASGCCAVVVFDLDDSQMWVCKIGEKKWDSYSYNLTMFLAGGVSREKNIAKCHGMAAHGGKVYFEISAHELGVIEFNNPESSSAAELGGMDVDMVDLPSHMPMASTYLVESVGELFLAAVFFDGENFHKVAEVRVYRMDFSKPAWCKVDRIGDDRVFLLGGDRIGVSSFGASCSAAGELRGNCVYFLNHLATTENFLHVFDLVDGTQQVQRPFRDMGFQLPPRPPFWLLPAESM* >Brasy8G255800.1.p pacid=40079897 transcript=Brasy8G255800.1 locus=Brasy8G255800 ID=Brasy8G255800.1.v1.1 annot-version=v1.1 MEPSSPIKLTVPLAIFVASILAALAMPSLDEQAEALVAWRSTLPYKQALASWGNKTWPCKWRGIRCSSTQAEHRVITTVSLRGLRLGGTLEFFDFSALRTLTKLDFSNNELTGRIPSNIKLLKELHVLLLHGNQIRGSIPPVLANLTKLHTLVLSNNLLSNEVPEAIGKLERLVVLNLSSNHLVGPIPYGIGQLNNLIRLDLSNNALGGHVSSSSWLGNLSTYKNSIKVLALSRNHFTGPIPQEFGNLVSIEYLDLSQNSFVGCIPTNIDNLTKLTTLELSDNYLSGHIPQQIGNLVKLKSVKLNSNALIGCIPSSLENLTKITTLYFYNNQLSGYIPQKLGNLMHLEELRLGINKLTYHIPKSIANLTKLTVLTLWQNRLSGHIPQVFANLANMEKLELQDNNFTGSIPNSLWNLTKLTTLYLHNNQLSGQISQELGSLVNLKNLTLSHNTLTGSIPNSIGNLTTLNMLNLNHNNLSGVIPEEIGDLMDLSMIEISNNNLSGTLPPGLCAGGRLQNLTASDNRLVGPLPTSFLNCRSLVRVRLERNQLEGDVSEMGVYPNLVFVDISSNKLFGQLSGRWGKCCKLTMLRASNNNITGILPASIGQLSQLRILDVSSNKIEGRIQPEIGNLKSLFELSLGENFLQGSIPREIGSLNNLEYLDLSSNNLSGLIQGSIEHCWKLRFVNLSHNHFNGSIPIELGMLVNLQELLDLGDNSFVGAIPSQFGGLSMLEALNLSHNALNGSIPTSLQSMTSMLSMDVSYNNLEGPVPRGRFFEGAPLEWFMHNKQLCGSIKGLPPCDFNPKVAPYKKSEPGLLAIIPASVCLVIITVLVTLQCKRKKLKVKSGKDLQQTTASLFTIWNFDGGNVYKQIVDATENFSDTHCIGTGGSGSVYRAHIPTGEIFAIKKTHIMEDQESFNREIDALMHIRHRNIVKLIGYCAGTHDRFLIYEYMDKGSLASSLKTKESATELDWMRRLSVANDIAHALSYIHHDCFAPIVHRDITSSNVLLDLEHRACISDFGIAKILDADASDCTRLAGTKGYLAPELAYTTRVTEKCDVYSFGVLMLELFMGHHPGDFLSSLSSMTRESTSLKELLDTRLPSPKPEIASEILKVIVAAVQCLEPNPSCRPTMKAVIKVFSEGEGPGNLDHLHTGITLPAQYP* >Brasy8G210600.1.p pacid=40079898 transcript=Brasy8G210600.1 locus=Brasy8G210600 ID=Brasy8G210600.1.v1.1 annot-version=v1.1 MATPQISRKTLALLLLLVAAAAAALSPAAAEGDEVLALTESTFDKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLAASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTEETFDSVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVASAFKLEEGVVIANLDADKHTSLAEKYGVSGFPTLKFFPKGNKAGEEYEGGRDLDDFVKFINEKSGTSRDSKGQLTSEAGLVASLDALVKEFHSAADDKRKEVLSKIEEEAAKLSGSAAKHGKIYVNAAKKIIEKGSDYTKKETDRLHRMLEKSISPSKADEFVIKKNILSIFSS* >Brasy8G114000.1.p pacid=40079899 transcript=Brasy8G114000.1 locus=Brasy8G114000 ID=Brasy8G114000.1.v1.1 annot-version=v1.1 MWCLPRLFLPPPSRARRRRLAPQRNTGSSRRHPMSRTPRARRNPPAAVDGVLLPHHLASPSRGPRNSAAPSLPRRRLLLMQRRRLPLAPRAANCSRRRFVAQPAAASSAPAGAVSQPVDIKGSPSGEAMAVP* >Brasy8G154000.1.p pacid=40079900 transcript=Brasy8G154000.1 locus=Brasy8G154000 ID=Brasy8G154000.1.v1.1 annot-version=v1.1 MGCAQSRIENEEAVARCKERRQCMKGAVAARNGFAAAHSAYAFSLRDTGAALSEFAHGEGVPPPPPPPSQAAAEAQADRLSAGGAASGVAAPSAAAGDEVMPPPPPIDSLPPPPPPLPEFSPSPAKIHRSMSMPTPPKQVTKGPGMLHSDSIREEGEDEVEMEEEDAEEDVHLDDRRRRLRNRSQAPPPVSPPAPQTPVTPQPPPPPPPPIDPKSGVDTWDYFFSMEDGMAPISAEDDEIIPEPEDDKEYVPASPPRPPSSPPPPPPAAVSPLEEFEEEPRTPEMVTPPPSLPPKPPGSSKKKKGKGKHKAAHHQHAESAPPVTVVGWGKAGKVVPAEVPRVDLLRVLAEIDDRFLKASESAGEVSMALEANRMHYHSNFADTGKGHIDHSARVMKIITWNRSFKGMQNGDDAKDDFENDESETLATVIDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKNNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQYLRDNQLYPRLLDLADRMAKMWNDMHMHHGNQLKTVLDLKSVDISDSNIETSQHHHSHTRQLRDIVEKWNTNFSDLMSYQKEYINALYSWLKLNLIPIESSLREKVASPPRMQQPPIKALLQAWNEHLAKLPDDLARHAIVSFRAVLDTILSVQDEELKQKENCEQIHKEYARKVRAFEDWYHKHAQRKSFDVDPESGEGTGQKDVISERRFAVESLKSRLDDEIEVHNKLSKQVREKSLSILKAHLPELFRALTDFSHACFEMHSKLKTDVTHAGSG* >Brasy8G109100.1.p pacid=40079901 transcript=Brasy8G109100.1 locus=Brasy8G109100 ID=Brasy8G109100.1.v1.1 annot-version=v1.1 MPSCTGSRRPRRGGGGGSVLRLLVVAVAAVVAFVCGGCFVAGCWGSGVHRSGKHSDSGRMAGGAAVTTHAGDLEGGGYYEEDDGGGRRRRRRLLSGGGHGPGSHPPRCASKCGSCTPCSPVHVSVPPGGVLVTTEYYPEAWRCKCRDRLYMP* >Brasy8G109100.2.p pacid=40079902 transcript=Brasy8G109100.2 locus=Brasy8G109100 ID=Brasy8G109100.2.v1.1 annot-version=v1.1 MPSCTGSRRPRRGGGGGSVLRLLVVAVAAVVAFVCGGCFVAGCWGSGVHRSDSGRMAGGAAVTTHAGDLEGGGYYEEDDGGGRRRRRRLLSGGGHGPGSHPPRCASKCGSCTPCSPVHVSVPPGGVLVTTEYYPEAWRCKCRDRLYMP* >Brasy8G236900.1.p pacid=40079903 transcript=Brasy8G236900.1 locus=Brasy8G236900 ID=Brasy8G236900.1.v1.1 annot-version=v1.1 MASSKPIVVLILLALSVAASTPVQARVLRGAHVQAVHGKTATTAASEGSLPGGALMAPPMSPPSMPAGHGQPEIGVDKPWGTMAQLEGSVPSPGIGH* >Brasy8G208500.1.p pacid=40079904 transcript=Brasy8G208500.1 locus=Brasy8G208500 ID=Brasy8G208500.1.v1.1 annot-version=v1.1 MQTRPSASFSSPSLPSFPHLSLSPVLSTGMPRVYRHGKVDGWKVHDFTVLKLRGKTRLQEESETKILSIRFMIQLLKNYITGKHVGDDWNNFCMYRTHTFLLSYFN* >Brasy8G282000.1.p pacid=40079905 transcript=Brasy8G282000.1 locus=Brasy8G282000 ID=Brasy8G282000.1.v1.1 annot-version=v1.1 MIFKGSSTSRPPPPDADDDDLIADPPKSFLLDCKPFIDDRTNATVAHALLRHPVSHDPMALIRASLFIPRPPRVSHVVLDCVGTLFTNEPRVVASDAAGLVLLRIAVEDGTASSASCPPPPNGDGGGYRIAALTAVKARSGEFELRVFCSDTKTWALKRPFLPPDAACLVHDKEFNFLVYKAIPLGDNVVAFVDLFNGVLFCDVLHGGDRPELLHVPLPHTAPRQPPTFCSQPLIPCDVAVDMSWSSTSSAFRWSIAPTHLLGRPPHGLLRLRRVPALGAGSDEEKPTLGDLFVDTPLFSLDEDGVVCFIAKSSFTERQMWVMAVDLAKGELRGVVPLPANRYTWLSYATISSHRYVAAPTGSHCNVAAATGEKGALKQLGEQPVISSQQKEQEEAEEE* >Brasy8G099100.1.p pacid=40079906 transcript=Brasy8G099100.1 locus=Brasy8G099100 ID=Brasy8G099100.1.v1.1 annot-version=v1.1 MLLLFLPSLPPAAAAQLPKALDPDGAWLLPTRLTAPPSPMDRPDPAAMGLPQPDPAAIGPGPSDPAAPCARSSPAAACDGEGRPGPWHSRRCPPLAVSFGSGRPWARLHRIRASSPPRPCCGRRN* >Brasy8G155500.1.p pacid=40079907 transcript=Brasy8G155500.1 locus=Brasy8G155500 ID=Brasy8G155500.1.v1.1 annot-version=v1.1 MLRRHKPPLTRRERASGTHPRLPCLCKPHLPPSAPHTPLRNTLSLLPRMDRSGRRGRARGRGETLAEASPRARRRDAETIVIDPDSEDGGGGSSTAAAAAASSSSRRSSAPLTLVTSGSVATRTRSRSLAMQPPVVEAAPSAKRRRKGTSAEAGGGGGSKGAEASESASKGNRRGRSRSALERADRALARKSKDAEEEAEAEAGEEAEAEAPACGKQVEVSRVDGCANSERGEDPLDDDNNGSNAREACGIGQGNEEHRNDAGKNRIDEHWGNVVASILNSSHGMDVVASGHAEGVKDCGNKGGELDGGFEVDEEYMNEEDTEDDDEMLEEKLVGDLIRAYSNGDDLDSNGVDWEAEDEMEFDDDGDDDYFVHDADECGMSEPMCDDDKVGTQDLSDHEVVVGEVSCQLEEDVVKDEVDPKREGTTCFDQGRPHIEILESDDEVKVLSDASNALKRKPLSQAKIPVLPCVAWRTRSLWGVKQDRISYNAYFEELSDEPIEDDDTEVELDDEDDNDDDSASFEDEEEEETKQEEVAEKSKHKKGIHSSFPEIRSRPMPLFVNRERRMQTAIPKWRGTSKKARQPETRHVIYSSDDEIIDDTGKDGFKCEVDEDPRDNIFQPLNFEKVGSEGTVGNGITTEQQKESRFTWDLERRKKLKLGIIKSRHSYERGLDLDSDSSGSGENERHGYQEGGDNKVRRKKKHLSSKSGKSGKKSSRSTMLKRQSLLKLLMDKMSGNKDGEFSPFDLHSQFEYNFNDSHPLVFSFGDEDHIPANKAEQNAEHDMLWADYDFALESENIGTYYDDEHQEESNMLNLGLACTTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFVLPSMVSNYAEKSAWRNSSCLKDALMYHDLCEQAGSIDGQSQGFHPYGTVWDLIPGAINTMYQHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWDVNVPFHILNTTEYSGKEDRDICKLIKKEHRTDKLTRLVKLLSWNKGHGILGISYGLYMKLTSEKSVCTEENKVRSILLENPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTKVGRRHYVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRESVIVLKPPPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSDEEASLIDKPMLERLRSNPYEGVKTRFVIEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRHRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSAEDEFNNVRNMLSKAEMEHCSKLISQDKVLEEIASHDQLKGMFLKIHYPPTESNIVFTYNQITPELS* >Brasy8G155500.2.p pacid=40079908 transcript=Brasy8G155500.2 locus=Brasy8G155500 ID=Brasy8G155500.2.v1.1 annot-version=v1.1 MLRRHKPPLTRRERASGTHPRLPCLCKPHLPPSAPHTPLRNTLSLLPRMDRSGRRGRARGRGETLAEASPRARRRDAETIVIDPDSEDGGGGSSTAAAAAASSSSRRSSAPLTLVTSGSVATRTRSRSLAMQPPVVEAAPSAKRRRKGTSAEAGGGGGSKGAEASESASKGNRRGRSRSALERADRALARKSKDAEEEAEAEAGEEAEAEAPACGKQVEVSRVDGCANSERGEDPLDDDNNGSNAREACGIGQGNEEHRNDAGKNRIDEHWGNVVASILNSSHGMDVVASGHAEGVKDCGNKGGELDGGFEVDEEYMNEEDTEDDDEMLEEKLVGDLIRAYSNGDDLDSNGVDWEAEDEMEFDDDGDDDYFVHDADECGMSEPMCDDDKVGTQDLSDHEVVVGEVSCQLEEDVVKDEVDPKREGTTCFDQGRPHIEILESDDEVKVLSDASNALKRKPLSQAKIPVLPCVAWRTRSLWGVKQDRISYNAYFEELSDEPIEDDDTEVELDDEDDNDDDSASFEDEEEEETKQEEVAEKSKHKKGIHSSFPEIRSRPMPLFVNRERRMQTAIPKWRGTSKKARQPETRHVIYSSDDEIIDDTGKDGFKCEVDEDPRDNIFQPLNFEKVGSEGTVGNGITTEQQKESRFTWDLERRKKLKLGIIKSRHSYERGLDLDSDSSGSGENERHGYQEGGDNKVRRKKKHLSSKSGKSGKKSSRSTMLKRQSLLKLLMDKMSGNKDGEFSPFDLHSQFEYNFNDSHPLVFSFGDEDHIPANKAEQNAEHDMLWADYDFALESENIGTYYDDEHQEESNMLNLGLACTTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFVLPSMVSNYAEKSAWRNSSCLKDALMYHDLCEQAGSIDGQSQGFHPYGTVWDLIPGAINTMYQHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWDVNVPFHILNTTEYSGKEDRDICKLIKKEHRTDKLTRLVKLLSWNKGHGILGISYGLYMKLTSEKSVCTEENKVRSILLENPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTKVGRRHYVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRESVIVLKPPPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSDEEASLIDKPMLERLRSNPYEGVKTRFVIEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRHRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSAEDEFNNVRNMLSKAEMEHCSKLISQDKVLEEIASHDQLKGMFLKIHYPPTESNIVFTYNQITPELS* >Brasy8G198200.1.p pacid=40079909 transcript=Brasy8G198200.1 locus=Brasy8G198200 ID=Brasy8G198200.1.v1.1 annot-version=v1.1 MAMAPGGPNGGGGGKVSLRLQYYCVFAAVGVAVIVLSLSFLSPATMGAVRQLNLGAVVAAPTISTGGGKPGTAAAQPEPEPEPEPEKEKVKEPEKAKEPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHRPTGRLSDGRVIIDFICESLNTHELNPYLKGVGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFKRGLKGPVNKEGFENALYMMDIGHNDVVGVMHTPSDEWDKKFRKVVSEIGEAIQILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNRGARTFNKKLSDLCDDMRLRLKDATVVYTDMFAIKYGFVANHTSYGIEWPLMVCCGNGGPPYNFKPGKYGCGDLCGPEDKVLSWDGVHFTDFGSGLAAKHSMSGEYSKPKVKLASLINGGSKKSASES* >Brasy8G251200.1.p pacid=40079910 transcript=Brasy8G251200.1 locus=Brasy8G251200 ID=Brasy8G251200.1.v1.1 annot-version=v1.1 MISAGASARADVDGSAEVSTLDIGSGFAKAAEGAGSGIGKAAEGIGSGAGSAAEGAGSGAGKTAVGAGSGASKGAEGAGSGAGKASEGNGQRSQCTS* >Brasy8G185400.1.p pacid=40079911 transcript=Brasy8G185400.1 locus=Brasy8G185400 ID=Brasy8G185400.1.v1.1 annot-version=v1.1 MVMPYRMYPSRRVHAPAPENFFPAGNNRTRDGVRPRDTLFRTVEVHIFHDSWRIYAKVVYKARIRRIRVGIPYLRVVLVDEEGTRIEAVAYDDQADRFNTALRTGSVYVFNNVGFVPTEAADEVEFNLRADLYCSIGRRTVLDVAANIRIPDLPPRLTIDASQSEMCCSLTQVYDEHARRHMTRWRPAEHRNWVMAATLMRVDTAQGGLTTTYDSLFAFEPPGPAARELHELRDTLVREARIEPYIVQRNNYAPL* >Brasy8G256400.1.p pacid=40079912 transcript=Brasy8G256400.1 locus=Brasy8G256400 ID=Brasy8G256400.1.v1.1 annot-version=v1.1 MSMCPSSYAIPFYPEITQPAPPQFYLLKLFSTDRKGLLHDVTHILSELELIIHRVKVSTTPDGRVVNLFFITDGMELLHTKERQEETCSMLIATLGPSLSCEILLAEGFQQGFSSLPPTISEELFRSELDDCESSSRSLCAEMKKMQKASINFDNALSPAHTLLQIICVDQKGLLYDMLRTLKDCSIKVTYGRFWSNKKGFREVDLFIKQADGKKVIDPEKQEVLRSRMRSEMLHPLRVMIVNRGPDTELLVANPVELSGKGRPRVFYDATLALKALGMCIFSAEIGRQTASERQWEVYRFLLDDSKEFPLANSLTNRNSIVDRVRKTLMGCYN* >Brasy8G256400.2.p pacid=40079913 transcript=Brasy8G256400.2 locus=Brasy8G256400 ID=Brasy8G256400.2.v1.1 annot-version=v1.1 MLIATLGPSLSCEILLAEGFQQGFSSLPPTISEELFRSELDDCESSSRSLCAEMKKMQKASINFDNALSPAHTLLQIICVDQKGLLYDMLRTLKDCSIKVTYGRFWSNKKGFREVDLFIKQADGKKVIDPEKQEVLRSRMRSEMLHPLRVMIVNRGPDTELLVANPVELSGKGRPRVFYDATLALKALGMCIFSAEIGRQTASERQWEVYRFLLDDSKEFPLANSLTNRNSIVDRVRKTLMGCYN* >Brasy8G129500.1.p pacid=40079914 transcript=Brasy8G129500.1 locus=Brasy8G129500 ID=Brasy8G129500.1.v1.1 annot-version=v1.1 MAGKGSSRLLLCVALVVVLLLVETTAPAGQAHAINCGADCSYRCSKSSRPNLCNRACNTCCQRCGCVPPGTAGNEDVCPCYAHMTTHNGRHKCP* >Brasy8G272800.1.p pacid=40079915 transcript=Brasy8G272800.1 locus=Brasy8G272800 ID=Brasy8G272800.1.v1.1 annot-version=v1.1 MAGSTWTMLEQKGSGPGARSSHAITLIGDTAYSFGGELTPRVPVDSTMYAFDLKTQSWSALTSAGGDVPPPRVGVTMAAVGRTAFVFGGRDKAHTELNELYSFDTATATWALLPSGPPHRSYHSMAADGDKGVVYVFGGCGNGGRLNDLWAYDVSAGRWDELPAPGKEICAPRGGPGLAFAGAKVWVVGGFSGDKELDDVHSFDPATGQWAAVETEGERPSPRSVLCAAGVKGKGKEYVVVFGGEVDPSDLGHLGAGKFSAEAFALDTDTGVWARLEDDEGGADDRQHPGPRGWCAFSGGELDGRPGMLVYGGNSPSNDRLGDMFFFAPLL* >Brasy8G066400.1.p pacid=40079916 transcript=Brasy8G066400.1 locus=Brasy8G066400 ID=Brasy8G066400.1.v1.1 annot-version=v1.1 MKDAPKRAPCSAVSRWTPRRRPPRALVKTAPRRAPCIVVSQRTPRRRPPRAGSYWCQVGEDCAKAGAVYDGVSADTT* >Brasy8G105200.1.p pacid=40079917 transcript=Brasy8G105200.1 locus=Brasy8G105200 ID=Brasy8G105200.1.v1.1 annot-version=v1.1 MDLNLPPDGYDEEQGELWMHEDPFIMTATSNAQKSDPNLHEEHVIKSAPAIAKASGPSGPSLDKVQVDIATAATAPPTEPSLDAVEQTTSWKTNSSNEPESDDEVDSTPNSQKSPQTPFPSMSFDSWQEAKLHYNKYAKHVGFSIKNSTSRRSTIDNEKDKYLFVCNKSGRNNDKLEEVPVVKTRSRSITKQTDCKARLRVKRIGAKWEVTFFEEQHTHELIKKFSLKKFLRSHRHISKEERDFVRMLHVVNLSSGKIIHFMSEICEGLKNVPYKTKDVSNLKATFNELEQVGDMGKLLEHFDELNLDHHHRVESLFWVDGAARTAYKMYNDCLSFDTTYLTNMYNMPCAPFIGINRYGQSIQLGCGFLRNERIDNFVWLFQTFLEAM* >Brasy8G086400.1.p pacid=40079918 transcript=Brasy8G086400.1 locus=Brasy8G086400 ID=Brasy8G086400.1.v1.1 annot-version=v1.1 PRTPPPPPSFPCGRRGLLPPLRPQPASRPAPLPPSLPSMEAARSFLPPCITFHGSPPYPPCVCPREQRKGATGRRHARKRRAGSASGARELRQGARAAPRTGAASRIGIWPWTGFEERRRGDLRRGRRGDLRRRKKSH* >Brasy8G223500.1.p pacid=40079919 transcript=Brasy8G223500.1 locus=Brasy8G223500 ID=Brasy8G223500.1.v1.1 annot-version=v1.1 MAPAAAATPVYVLGNDLLHDVFLRLPTPADLLRTALACKPFLGAARNAAFLRQFRRLHPSTCPLLLGCLLRPDDHLPLLLSEEPDAETRRVFETGDFALSFLPGGGAPWQVVDCRNGRLLARNSGSGELVVADPLSGRWVSLPAPPTELPVGYGLVPGDVNPSVFHAVCISRDAGSGSSEMRALVLSSSELRWADVAGLASQPNLAGSRAMHSHRSLYWKLEGGERMVALNTATTEFSLVDLPKELHKLSFDVFEKEEDGGLYLLTMRAWSIEVWAAVEDGTGGLTWRVVETSVRFNRAMEEMHGSPWSYRDGLDVISVAAGFVFLRHGALLFSIDLETMRPERLSLKEDCPSALIYPYATAWPPLFLSPTEQGASQGRRC* >Brasy8G245800.1.p pacid=40079920 transcript=Brasy8G245800.1 locus=Brasy8G245800 ID=Brasy8G245800.1.v1.1 annot-version=v1.1 MKPKDSKFTTKKRDKRTWRAEEEKLLIDILHEMNDSCWKVDTGHKSGYLTYVEKKMAIKLPHADLKADPHIKSKVKILKKQLSYILEIMQNGSGFGWDDEKKMVIGDRETYMGWAKSREGAGPLYMKPMINFDKLCEIYASDLAKGGSAKGPGEEVAEDEPTKDGEHTIELGEGDVSEAHGNTNNTSGGHKNGRKRTYADVDTLEMGFVNVSNSFAKFLEAEQQNTNTMNGIHKALHRESEVHDKASENRDKFLEVLQDLPGLTDKEVVMAIRVIGPDAGRIDFFLKDACSVQSGVCSAGVGGGQEKTGPKRRSSIVPKR* >Brasy8G275500.1.p pacid=40079921 transcript=Brasy8G275500.1 locus=Brasy8G275500 ID=Brasy8G275500.1.v1.1 annot-version=v1.1 MDGHDSSVPHIPEVTLDITPASGAAGNKMCRGAACDFSDSNNTSKDAQERSASMRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIMRLIIESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHSHGHSHGHSHDHDHDNSDDHHTHHGDHEEGHLHHHEHSHGSSITITTRQHSHSSTGQHQGAEEPLLKHDGDCESAQPGGKAAKKRNINVHSAYLHVIGDSIQSVGVMIGGALIWYKPEWKIIDLICTLIFSVIVLFTTIKMIRNILEVLMESTPREIDATRLENGLREMEGVIAVHELHIWAITVGKVLLACHVTITQEANADQMLDKVIGYIKAEYNISHVTIQIERE* >Brasy8G037100.1.p pacid=40079922 transcript=Brasy8G037100.1 locus=Brasy8G037100 ID=Brasy8G037100.1.v1.1 annot-version=v1.1 MAIVSTNSNSSSSISSGGGAAAPSRRLRSTLLLMANYAALLIGSVASSLLSRLYFARKGDNKWVVTFVQSAGFPMLVAAVFLVSSRPAVAPRPFLWISRRLLAVSLVIGALMGVNNLLFAYSTSLLPVSTSSLLLSTQLAFTLVLAALIVRLPITFVNLNAVVLLTLCSVLLALRSGDSGENSSGGGKKGYLLGYVVTLGVAGLFSAYLPVMELVYREAVSGGFVMAVEVQAVMQATATAVAGAGLAATGGFRDDVARWRGPTWVYWAVVATLVVTWQACFMGTAGVIYLTSSLHSGVCMAAVLSANVVGGVVVFGDPFGAEKAVATALCVWGFSSYLYGEYNKYKKEKSGRQDEVHKSLTARGGELETV* >Brasy8G174700.1.p pacid=40079923 transcript=Brasy8G174700.1 locus=Brasy8G174700 ID=Brasy8G174700.1.v1.1 annot-version=v1.1 MGSCSCRAKGGGRLVRRCSCRASRGGCSRRAFGRRGTRAPLLVPCIGRRGGASGEPNLEQDDWRRSNDAGALREATGPGGGVGGFAKERRKSWRRTRERLRLGRWGAATHCRRRGRRPRAGALGMRGVQELWVRVRCDAAGSGRPRGRRGAAGVGL* >Brasy8G018700.1.p pacid=40079924 transcript=Brasy8G018700.1 locus=Brasy8G018700 ID=Brasy8G018700.1.v1.1 annot-version=v1.1 MADSTPTKPSSKASDPSVVHAAAKPEAKSKTIDADADGVEDGQAGDVIAKPAGQHRRRVLRRACCALAAATAAGAVAMLALSLTVLKVRDPSLTMSSLGVDRFRVSFLPQLRINATLSASLLIGNPNYESMRFGASTTEIFLLHADAGRGEPGRGVVVGVGSAPPGLASALGKSEVRAAVDVFVDRVLAPEVVREVLLGGRGGEVRLASRTAVDGRISVLGGLYGRRTVRVAMRCRVVLRVSLAAVVVADGSPSCVAEFAR* >Brasy8G212600.1.p pacid=40079925 transcript=Brasy8G212600.1 locus=Brasy8G212600 ID=Brasy8G212600.1.v1.1 annot-version=v1.1 MLLRSLNFNGESLPASVSEPYTPTAQNLASGNSLEGLYSPELRGELGAGLLDLHAMDDTGLLSEDADSEPFEPSPFMPKEIDDDEDDMLAGSQQGLADNYNAVTSEKESTTRENNVAKIKVVVRKRPLNRKELSRKEDDAVEVHDSSSLTVYEPKLKVDLTAYVEQHEFCFDAVLDEDVSNDEVYRETVEPIIPIIFKRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLYDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIVVTDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSSAPTISTMRESSSAEAEEIPNQIQERRPVDTSRRAVENFTSNSSMEPDRNTISMIPSYSNRGKEENGASALNDRTGYNSKAQLVQNSSIAQEEEKVTKVSPPRRKAYREDKSERQSNFTKKDSAAEISRPGYKVQPVRQLQQQQRPISASASQASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLSRFQHRLKEQEILSRKKSSR* >Brasy8G212600.2.p pacid=40079926 transcript=Brasy8G212600.2 locus=Brasy8G212600 ID=Brasy8G212600.2.v1.1 annot-version=v1.1 MLLRSLNFNGESLPASVSEPYTPTAQNLASGNSLEGLYSPELRGELGAGLLDLHAMDDTGLLSEDADSEPFEPSPFMPKEIDDDEDDMLAGSQQGLADNYNAVTSEKESTTRENNVAKIKVVVRKRPLNRKELSRKEDDAVEVHDSSSLTVYEPKLKVDLTAYVEQHEFCFDAVLDEDVSNDEVYRETVEPIIPIIFKRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLYDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIVVTDTRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSSAPTISTMRESSSAEAEEIPNQIQERRPVDTSRRAVENFTSNSSMEPDRNTISMIPSYSNRGKEENGASALNDRTGYNSKAQLVQNSSIAQEEEKVTKVSPPRRKAYREDKSERQSNFTKKDSAAEISRPGYKVQPVRQLQQQQRPISASASQASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREAAHQLRCHFWCSGVGMADELSPPTQIFIGVKSSGVLDRTSALACLY* >Brasy8G179400.1.p pacid=40079927 transcript=Brasy8G179400.1 locus=Brasy8G179400 ID=Brasy8G179400.1.v1.1 annot-version=v1.1 MVSPLAQPIPLVVLTPAVLWRVFLSPVPSAGEATCSRRFVLAHIPPAAQIHIPFPSRTVGEPQLQRKRTSSARPLRLRREPPPASTSTRPRACRPPLQIRREPPPSVAALQQAAHLHLCVPAPYKAADPVRPPRLHLYPLCSIAGV* >Brasy8G127200.1.p pacid=40079928 transcript=Brasy8G127200.1 locus=Brasy8G127200 ID=Brasy8G127200.1.v1.1 annot-version=v1.1 MRYAVGLVDSPIFKHASARPSQPPATDRQLAAAELFVNYATRRIMRFFGVELEEGMGGVEEPGCVEMEAAEQLIQLSGGDDDGESASCKSADSVKAGGPKPLPRQGDDDKANMEGAPAVEVESSSSSRPRRPEKSGPEKAGGGIVDGGVDEEEAVIGGGERRRRPRFRSLAAIYRETRRVGGVRCCPREEAAAHDDGKRRNNGKRRPAAGGMAGEAIPAVAACKARRLVSRPKD* >Brasy8G155900.1.p pacid=40079929 transcript=Brasy8G155900.1 locus=Brasy8G155900 ID=Brasy8G155900.1.v1.1 annot-version=v1.1 MRVPCCSLCHVRYDEDERAPLLLHCGHGFCRACLARMLAASPGAVLPCPRCRHPTAVGNSVTALRKNFPILSLLSDSPSSPSFLHSDSGSSSDASDDEDDFFARPRRRPAAAAPAVPAAPPACTLVDLASHPDLKLARRIGSGPPGPAGQEVCAGTLSRAGRGGGAKRCKHQVAVKRVPVTAGDGLEGVQEEVERLRRASTWCRNVCTFHGAVRVGGHLCFVMDRYPGSVQEEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGHAVVSDYGLSAILKNFTSPKVPDDSSMAGMDATVLSPNYTAPEAWGPLKKSLNLFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEDIYKSVVKEKKTPPQYSRVVGVGLPGDLWKMIGECLQFRASRRPSFQDMLKTFLRHLLEIPRSPPASPENDFTNVNLPNGREPPPTSILDHFHDNPNALHHLVCEGDVAGVRDLLAEAASKGKSSLISSLLEAQNNDGHTALHLACRRGSAELVEAIVAYQENVDILDKDEGPPIVFALAAGSPQCVRALIGRSANVNSRLREGLGPSLAHVCAHHGQPGCMRELLVAGADPNAVDGEGESILHIAVAKRYTDCAIVILENGGCSSMSIPNSQNKTPLHLCVETWNAALVKRWVEVASREEILEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDAPHCRTALHTAAMINDAELVKIILEVGVNVNIRNAQNTTPLHVALNRGANSCVGLLLAAGADCNLQDDDGDNSFHIAADASKMIRENLTWVVQMLQHSSPAVDVRNHRGWTLRDFLERLPREWISEELMETLEEKGVHLSPTTYEVADWVKFRRTVTSPTFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDILEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSIGVVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGYQVCVKRSVAEPKYAWGGETHHSVGKIIDIESDGLLIMDIPNRSAPWQADPSDMEKIDDFKVGDWVRVKATVPSPKYGWEDVSRNSIGVVHSLEEDGDMGVAFCFRSKLFLCSVADVEKVQPFEVGEKVHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVTGRNSLWKVAPGDAERLSAFEVGDWVRLKPSIGSRPTYDWNSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNSDIEKVQPFKIGLHVRFRAGVTEPRWGWRDAKPESRGIIAGVNADGEVRVAFFDVPGLWRGDPADLEIEQVFEVGDWVRLKNDVDDWRSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGLSSQLEGIGRFVVGQRVRIRGCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEIVEEEEEVCIGDWVKVKDSVATPTYQWGDVNHNSIGVVHRAGDGELWVSFCFCERLWLCKGWEVEKVRPFRQGDRVRIRPGLVTPRWGWGMETYASRGDVVGVDANGKLRIKFRWRDRLWVGDPADIILDDVPSLTEASNGICS* >Brasy8G195800.1.p pacid=40079930 transcript=Brasy8G195800.1 locus=Brasy8G195800 ID=Brasy8G195800.1.v1.1 annot-version=v1.1 MIICITYAISQPSSDASTIRSWKCYVLTAASKWKRPFRLPVDVLREGTSCKILTENVFLSVQVRPSNVILEVAPLPGTGKVSITAATLNTYYL* >Brasy8G194600.1.p pacid=40079931 transcript=Brasy8G194600.1 locus=Brasy8G194600 ID=Brasy8G194600.1.v1.1 annot-version=v1.1 MGVVVERVRSSRPPSSQDKTRGPPASGGASILPRRRDGDGSALLAVDGRKRRMYAATGKLDQKMGKNCSV* >Brasy8G068100.1.p pacid=40079932 transcript=Brasy8G068100.1 locus=Brasy8G068100 ID=Brasy8G068100.1.v1.1 annot-version=v1.1 MALNGQSTDQAALLDAELELWTTTFSYIKSMALKSALDLKLADAIHHHGGAATLPQIITRVDIHPSKIPCLRRLMRTLTASGVFSLQHNVNNPANEPTYTLTPSSQLLVSSRPRNLASIMAMLLDPALVTPFLEIGSWFRRPMPDPCIFSHTHGEALWDMAGRDAGFDALINDGMVSDSRFIMDVAVRECGQVFEGIGSLVDVGGGLGAASQVISEAFPRLECSVMDLGHVVARAPSGTGVKYVAGDMFESVPPADAVFLKSVLHDWGDEECVEILKKCKKAIPPKEDGGKIIIIDIVVGSGPSGTKRKEMQALFDLYIMFVNGIERDEQEWKKIFLEAGFSGYKIMPVLGFRSIIEWVLHDWGDDECVKILKNCKKAIPSRENGGKVIIFDIVVALGPSAVKHQEMHALFDLYIMLVNGIERDEQEWEKIFLEAGFSGCKIMPVLGFRSIIEVYP* >Brasy8G068100.2.p pacid=40079933 transcript=Brasy8G068100.2 locus=Brasy8G068100 ID=Brasy8G068100.2.v1.1 annot-version=v1.1 MALNGQSTDQAALLDAELELWTTTFSYIKSMALKSALDLKLADAIHHHGGAATLPQIITRVDIHPSKIPCLRRLMRTLTASGVFSLQHNVNNPANEPTYTLTPSSQLLVSSRPRNLASIMAMLLDPALVTPFLEIGSWFRRPMPDPCIFSHTHGEALWDMAGRDAGFDALINDGMVSDSRFIMDVAVRECGQVFEGIGSLVDVGGGLGAASQVISEAFPRLECSVMDLGHVVARAPSGTGVKYVAGDMFESVPPADAVFLKSVLHDWGDEECVEILKKCKKAIPPKEDGGKIIIIDIVVGSGPSGTKRKEMQALFDLYIMFVNGIERDEQEWKKIFLEAGFSGYKIMPVLGFRSIIEVYP* >Brasy8G068100.3.p pacid=40079934 transcript=Brasy8G068100.3 locus=Brasy8G068100 ID=Brasy8G068100.3.v1.1 annot-version=v1.1 MALNGQSTDQAALLDAELELWTTTFSYIKSMALKSALDLKLADAIHHHGGAATLPQIITRVDIHPSKIPCLRRLMRTLTASGVFSLQHNVNNPANEPTYTLTPSSQLLVSSRPRNLASIMAMLLDPALVTPFLEIGSWFRRPMPDPCIFSHTHGEALWDMAGRDAGFDALINDGMVSDSRFIMDVAVRECGQVFEGIGSLVDVGGGLGAASQVISEAFPRLECSVMDLGHVVARAPSGTGVKYVAGDMFESVPPADAVFLKWVLHDWGDDECVKILKNCKKAIPSRENGGKVIIFDIVVALGPSAVKHQEMHALFDLYIMLVNGIERDEQEWEKIFLEAGFSGCKIMPVLGFRSIIEVYP* >Brasy8G068100.4.p pacid=40079935 transcript=Brasy8G068100.4 locus=Brasy8G068100 ID=Brasy8G068100.4.v1.1 annot-version=v1.1 MALNGQSTDQAALLDAELELWTTTFSYIKSMALKSALDLKLADAIHHHGGAATLPQIITRVDIHPSKIPCLRRLMRTLTASGVFSLQHNVNNPANEPTYTLTPSSQLLVSSRPRNLASIMAMLLDPALVTPFLEIGSWFRRPMPDPCIFSHTHGEALWDMAGRDAGFDALINDGMVSDSRFIMDVAVRECGQVFEGIGSLVDVGGGLGAASQVISEAFPRLECSVMDLGHVVARAPSGTGVKYVAGDMFESVPPADAVFLKSVLHDWGDEECVEILKKCKKAIPPKEDGGKIIIIDIVVGSGPSGTKRKEMQALFDLYIMFVNGIERDEQEWKKIFLEAGFSGYKIMPVLGFRSIIEVYP* >Brasy8G050700.1.p pacid=40079936 transcript=Brasy8G050700.1 locus=Brasy8G050700 ID=Brasy8G050700.1.v1.1 annot-version=v1.1 MTKFEKIIKQIRNTRYKCRRGAGSAPTGRREAAANCSKRERVREQERKQKDRGGGGGVPPGGPDPCRPGGGLPDPAAAGRPDPGRAAGSRPAVAARPGTRGRWPARGWRSTAGQANRPDPGRAAGSRPAVAARAGGGPRLGEAVAEVPARGWRSTAGQLNRRRWEREGERRSRVEGERRDRERK* >Brasy8G080500.1.p pacid=40079937 transcript=Brasy8G080500.1 locus=Brasy8G080500 ID=Brasy8G080500.1.v1.1 annot-version=v1.1 MGCCCSWVRGVCAVRYKCRSLLTCTGCCSWIRGVCAKKKEAAQETSTSETKKTKRKWGWGRGGGGKTAHEAEEPLTSETNKKRKNGATSLVMDKNKWLKNRIWRKKKAKNEQLATLVKEISLKNSPKARAAAGEILRIGNHNIPSKVFTFLQLSDATNSFSPENLLGEGGFGRVYRGYNSETMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYCTECDQKILVYEYMPLGSLQDHLLDLTPKSQPLSWHTRMKIAVDAARGLEYLHEVANPPVIYRDLKASNILLDGSFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMSGKLTKMSDIYCFGVVLLELITGRRAIDTTKPTREQILVHWAAPLFKDKKKFIKMADPLLDNRFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIEPLPISAPFLDQEISLREHEWGEEKSQHKTEEDN* >Brasy8G285500.1.p pacid=40079938 transcript=Brasy8G285500.1 locus=Brasy8G285500 ID=Brasy8G285500.1.v1.1 annot-version=v1.1 MQSRPKALLWYSCSTVVSIVDPCSPSPPREIWKSPGKGLTLVGTCNGILCVCDDEALPGGAVTLVNPVTGETMPVPPLPWAAQFVGHVHRIGRYKVRWARAYGFGQHPVTGEYKVVHVPCSFDRVCEFDAVHVLTAGGKEKNPTWRESPVSIPGGAKCELAAGVVTVDGVTHWAATIGGSARVVSFDLRSERFVASPAAVPLPAARARSHGYRLTEVRGRLGLVTYPEVWVLEKDRRRWSLVCRIEQEVARPHFEFGEFVLAQRGRSSFYRHRRKGGGGGAWRPRCEFDGVVRVGWRDEGTVVCDGYYQTFAFVETTEPLPLYAGAAAAGDN* >Brasy8G176400.1.p pacid=40079939 transcript=Brasy8G176400.1 locus=Brasy8G176400 ID=Brasy8G176400.1.v1.1 annot-version=v1.1 MALRTLTSKKTLALALGGVRPLAARGVATFTLPDLPYDYGALEPAISGEIMRLHHQKHHATYVTNYNKALEQLDAAVSKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEKLIKKMNAEGAAVQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVVNWKYAGEEYENVTA* >Brasy8G207200.1.p pacid=40079940 transcript=Brasy8G207200.1 locus=Brasy8G207200 ID=Brasy8G207200.1.v1.1 annot-version=v1.1 MSPEAPDARSLLRSLLCSAPPIFRANCRSSRAKNSKRAPGAPPMTPITRAGARRALIVVESTADEPSDACSRTNQDDGRARRKRRRSPDVFSFAYEQDAKLRKGMAMFSAMFAYVFCMVMFMFAYEKEEEEVSTGKDEDKDKHVSTDNEEEDDVCTDIQEEEEEDTYLDADLLECSLDCLKSMKAGIDRVLGPDNVIYDEIIDIFEQDLDIDQDELLSSAHDMFASKDRKFRSLMALPVDMRKEWLLIHIRKL* >Brasy8G206100.1.p pacid=40079941 transcript=Brasy8G206100.1 locus=Brasy8G206100 ID=Brasy8G206100.1.v1.1 annot-version=v1.1 MELSPWLLFMFSVLVKASVIMADTNGQDTAGLIGIAASWNTKPSNWVGNDPCGDKWIGISCTGDRVTSIRLSGTLRGGEPGTLSGDIQSLSELQLLDLSQNKNLGGSLPSSIGTLSNLQNLVLAGCSFSGEIPQEIGQLSQLIFLYLNSNRFTGPIPSSLGRLSKLYWLDLGENMLTGGLPIFDGTNPGLDNLTNTKHFHFGVNQLSGTIPRQIFNSNMKLIHLLLDNNNFSGSIPPTLGLLNTLEVLRFDKNTQLSGAVPTNINNLTKLAELHLENNQLTGPLPDLTGMSSLSFVDMSNNSFNASDAPSWFTALPSLTSLYLENLGIGGQLPQALFSLSAIQTLRLRGNRFNGTLNIGSGFGTQLQKIDLQDNQIAQITVTGTPYDKQLILLGNPICEQGSSEKYCKTTGQSNPAAPPYTTLKNCAGLPPTCLSSQLLSPSCTCAVPYRGTLSFRAPSFSDLSNESYYLLLEKDMKAKFLLHQAPVDSIALQNPFIDVSNNLEMSLEVFPSGKIQFGEQDISDIGFLLTNQTYKPPPVFGPYFFIAQKYLFANEEVVASKSKKNSMPLIVGVAVGGAVVVAVLLALIVIIAKRKRKTHNTEERSQSFASLDMKSTSTSIPQLRGARMFTFDELKKITNNFSEANDIGTGGFGKVYRGTLPTGQLIAVKRSQQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQGEQMLVYEYIPNGTLKESLTGKSGVRLDWKRRLRVILGTAKGIAYLHELADPPIVHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGMVTTQVKGTMGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITAKKPLERGRYIVREVQTALDRSKDLYGLHELLDPVLGASPSSLGGLEQYVDLALRCVEEAGADRPPMGEVVAEIERITRMAGGGAESASESMSYPSRTPRHPYGGDSPAEYSSSGLPSSRVEPK* >Brasy8G012600.1.p pacid=40079942 transcript=Brasy8G012600.1 locus=Brasy8G012600 ID=Brasy8G012600.1.v1.1 annot-version=v1.1 MRLAELREAAQTVRFGTIVPIIGSDFVHEVSHAPLDVWFVVFLYKDGNWFRCIMMFS* >Brasy8G068400.1.p pacid=40079943 transcript=Brasy8G068400.1 locus=Brasy8G068400 ID=Brasy8G068400.1.v1.1 annot-version=v1.1 MVVLAKPAALEQISLLRTPEPWESFAGVPAVDLSAPGAADDVVRACERFGFFSVVNHGVARGVVERLEREAAVFFSWPQADKDASASGPADPFGYGSKRIGRNGDMGWLEYLLLALDQDSLSKSSPAPSSSLRDAINEYVGAMRGLARTVLEMVAEGLGVSPRGALADMVTGEASDQVFRLNHYPPCPLLQGLPPNCSVTGFGEHTDPQLVSILHSNATAGLQIALHGDGGDGSAHKWVSVPPNRDAFFVNVGDSLQVLTNGRLKSVRHRVVAGSGLKSRVSMIYFGGPPPAQRIAPLPQLLLQAGAEGQGQQQPIYREFTWGEYKKAAYRSRLGDNRLAPFEKTLVDLQHAQHAGIHHRS* >Brasy8G215000.1.p pacid=40079944 transcript=Brasy8G215000.1 locus=Brasy8G215000 ID=Brasy8G215000.1.v1.1 annot-version=v1.1 MVFAAAGDRPKMGEEKLIIRPEKVRLIDILSMLLLRRPLTSYAFVDAGDQTARDLGDTPADYLSALVLLIQKALLSAYYPVKLFGAALEFLFNLVALNGGLLRVVWNTFRCQLVIPNREAPNYRTMSKMIDGRTDLKPRSQVLAADSDMSRLNVLDTIVFGQDADLESGGHNAAQAPLVLQQCSILDITVMAAEIAYENEAYITNVVNNCWKFNFVRFCNGWNKFLKDDTTQAFVMTDMAEDANIVLLAFRGTEIFNTKDWSTDFELCKVGMGNMGYVHAGFLKALGLQEEDGEDPFHAFPKDAPAAPKDKHFAYYQLREVLREQLAKHPNAQLVITGHSLGGALSVIFPALLALHKETEILGRLGWVLTYGQPRVGDKRFAKFFSAEVDKAAVPLYYRLVYRYDLVPRVPFDALPVAGFRHGGACVYYKGWYKGELLDVDVDVPNPNYINPLYLPSKYGNALGDLVKAAFLWKTAGREYSESFASLLYRCVGLIIPGVASHSLKDYTNAVRLGSIAPKQA* >Brasy8G236800.1.p pacid=40079945 transcript=Brasy8G236800.1 locus=Brasy8G236800 ID=Brasy8G236800.1.v1.1 annot-version=v1.1 MVKGGYLMNGDCTKSKLWLMDLAGRDTQGERLKEAQNITSPFLRLEMSYLLPQLSANIYTTGFQIFKP* >Brasy8G252700.1.p pacid=40079946 transcript=Brasy8G252700.1 locus=Brasy8G252700 ID=Brasy8G252700.1.v1.1 annot-version=v1.1 MRSPWLVLAAAALAVAVSSVTAFPPPSESLKVGFYEHSCPQAEAIVRDAVRRAIARNPGFAPGLIRMHFHDCFVRGCDGSVLINSTPGNRAEKDSVANTPSLRGFEVIDDAKAILEAVCPRTVSCADIIAFAARDSTLLAGDIAYAVPSGRRDGLVSRESEVLDNNVPPPTNEVAALIASFARKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFTGVQGRTDPSIEPYYAAELKRRCPPQTDDKRDPTVVALDVVTPMEFDNQYFKNVLAHKVPLTSDQTLLTSKRTAGIVVFHAAVEKAWRAKFAVSMVRMGNVGVLTGDQGEIREKCFAVNRRY* >Brasy8G094600.1.p pacid=40079947 transcript=Brasy8G094600.1 locus=Brasy8G094600 ID=Brasy8G094600.1.v1.1 annot-version=v1.1 MAGAPESTRIIFLLPLLLLGATASSHPLLNASLPDPAAVVADFHSKVATSRRRMQESGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDPVNPSPGTLRHAVIQEGPLWIVFAADMTIRLNEELLVNSYKTVDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCAPAGDANVRSSPTHSGWRTRSDGDGISLYSARDVWVDHCALSRCADGLVDAIMGSTAITVSNSYFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGVQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPTNPNAKEVTKRVDTAEGQWNGWNWRTEGDMMVNGAFFVPSGEGMEDIYQKASSIDPKSSALVDQLTIGAGVLGGPRDNGEAAAYAGVNYAGVSTGGGASGGGGNGYGVLGMVYANGGDWISCRSHLIPSLASLLVFALICLHPL* >Brasy8G094600.2.p pacid=40079948 transcript=Brasy8G094600.2 locus=Brasy8G094600 ID=Brasy8G094600.2.v1.1 annot-version=v1.1 MQESGGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDPVNPSPGTLRHAVIQEGPLWIVFAADMTIRLNEELLVNSYKTVDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCAPAGDANVRSSPTHSGWRTRSDGDGISLYSARDVWVDHCALSRCADGLVDAIMGSTAITVSNSYFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGVQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPTNPNAKEVTKRVDTAEGQWNGWNWRTEGDMMVNGAFFVPSGEGMEDIYQKASSIDPKSSALVDQLTIGAGVLGGPRDNGEAAAYAGVNYAGVSTGGGASGGGGNGYGVLGMVYANGGDWISCRSHLIPSLASLLVFALICLHPL* >Brasy8G296300.1.p pacid=40079949 transcript=Brasy8G296300.1 locus=Brasy8G296300 ID=Brasy8G296300.1.v1.1 annot-version=v1.1 MRRRSSARPPPSLPPATATAPSLHPSSGGGGQQRRAGASAGTGSGEAAAAGGEAAGAAAAAQGGPGAGAAWGQPRAARGEQVRPGASRGRRAAGSSDQRRPGASRGRRTASSGQRRVGRQAASRCGLGPAAGGARRGAAASGDLGPAAGGARPRRPGASRGRRTASSGQRRVGRQAARSEQGQRPWRGERTRQNSGHGGASSSGGAAGMGAHTAEQRPWR* >Brasy8G056200.1.p pacid=40079950 transcript=Brasy8G056200.1 locus=Brasy8G056200 ID=Brasy8G056200.1.v1.1 annot-version=v1.1 MESSQSQGGGGGGPPPFLIKTYEMVEDPATSRVVSWGPGGASFVVWDPPEFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFGNEDFVRGHMHLLKNIHRRKPVHSHSLQNQGNGPLAEAERRELEDEISRLRHEKSVLLADLQRQAQQQCVINWQMQSLEDRLVVMEQRQENVVASLRDILQRRRGAVSGSVLLETDHFSKKRRVPRIDFFAQEPTVVEEQRVPYLPAMVAETPGVLPPVSGVNAEPFEKMEMALLSLEKLFQRAGNYAPYEDVYNAAAAPSSALALGNLQAAPMEGSINAQPSAELAEPPGYSQSPVLPSAYIHEDTGKTTTGVDMNSEASTSGTSQDETTAETRVSHEPALSNDIFWERFLTETPQSDGKREKHEFKDDVKIGIDCNWFNHRGNVDQITEQMGHLASAEKA* >Brasy8G273600.1.p pacid=40079951 transcript=Brasy8G273600.1 locus=Brasy8G273600 ID=Brasy8G273600.1.v1.1 annot-version=v1.1 MAAAAPEDFMRLRERGNRGAAAFHLAAHDAEEEEEEEPMSPSLFLDLPPTPPPPLAGAPGDLDFISRMLMEEEDVDEKLFCQYPDHPAILSAHHAFAQIISDDAAARSTTTATSSSSSADYSAATTGAKSTSPSSSDSASPTAWPHDPIELPSQPQLLQSTPSMATGLISVDSDYCALPKGPGYGSAHDSFGAVDQNGATSAVPFSNNDAGAAEKTINGSKELPKTTTFSAGGSDGAFTASAFFNGITEGGGGDMDHMLNLAFRKGMEEANKFLPRFTTGAGAGQAEKEEKQADGLGTLMFGGTNNGRGRKNRHPDDQADMEAEAGRNRKLMAPEPEEVGTDVRQIFDEIMLHGYDSCLKGMEDLRIAMGNEAERNIRKGTSGGKAARRGKRGERAGGRPPHHAHPLRAVATGDHRSSADLLRQVKQHCSPKGDATQRLAYCFAEGLEARLAGTGSQVYQSLMAKRTSVVEYLRAYKLYMAASSFKKVNMAFVGKTIVDAMAPGKGRNRLHIVDYNVQFGFQWPGLLQWLSTREGGPPEVRITGIDLPEPGFRPAFQIKETGRRLTDCAREFGVPFKFHGIAAKWETVRAEDLNIDPDEFLVVTSQCGFGNLLDESVVMDREDIPSPRDMVLSNISKMRPDVYIDCVVNGTYGAPFFVTRFREALYYYSAQFDMLDATIPRGNDERLLIERDIFGRCALNIVACEGADRVERPETYKQWQVRGHRAGLRQLPLSPEVVKLVRDKVKNYYHKDFVIDVDHRWLLQGWKGRVLYAMSTWVAADDDKSRF* >Brasy8G165500.1.p pacid=40079952 transcript=Brasy8G165500.1 locus=Brasy8G165500 ID=Brasy8G165500.1.v1.1 annot-version=v1.1 MPLSPPLPRPTILTTMRHCQASSSYSRAKVIFTHPIDHLTFRCLDVVHGIPADMVDGARALWFLIDVDALDVVGVGLLDPCFLADCDSTLIRQDGAV* >Brasy8G196800.1.p pacid=40079953 transcript=Brasy8G196800.1 locus=Brasy8G196800 ID=Brasy8G196800.1.v1.1 annot-version=v1.1 MRAFAAAAATAAAAPTPSRLFLVPAHRAAPRFGHCRASSSARLPRSACCASTTMGDETSTSVSAQPQEPTALGAGSVKQQISNLVALSLRATVPEVDVEPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFRNPNAIGQAIAKNLPACDVIESTSVAGPGFVNIVLSNNWVAKRIQDMLVNGIKTWAPILPVKKLVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIEYLFEKFPNWEEVGNQAIGDLQLFYKASKARFDDDAEFKDRAQQAVVRLQGGEEKYRAAWKKICEISRNEFDQVYKILNVQIEEKGESFYNPYIPQVLEKLSSQGLITESEGARVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAARMAGWLPDPKEKKFPKTSHVGFGLVLGADGKRFRTRSTDVVRLADLLDEAISRSKSELLQRLTENGKIVDWTDEQLEKTSEAVGHGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNMDVEELKKSGSISLGHPDERVLGLYLIRFAEVVEEACTNLLPSVLCEYLYNLSEMFTRFYTNCQVVGSPEEPSRLLLCEATAVVMRQCFHLLGITPVYKL* >Brasy8G196800.2.p pacid=40079954 transcript=Brasy8G196800.2 locus=Brasy8G196800 ID=Brasy8G196800.2.v1.1 annot-version=v1.1 MGDETSTSVSAQPQEPTALGAGSVKQQISNLVALSLRATVPEVDVEPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFRNPNAIGQAIAKNLPACDVIESTSVAGPGFVNIVLSNNWVAKRIQDMLVNGIKTWAPILPVKKLVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIEYLFEKFPNWEEVGNQAIGDLQLFYKASKARFDDDAEFKDRAQQAVVRLQGGEEKYRAAWKKICEISRNEFDQVYKILNVQIEEKGESFYNPYIPQVLEKLSSQGLITESEGARVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAARMAGWLPDPKEKKFPKTSHVGFGLVLGADGKRFRTRSTDVVRLADLLDEAISRSKSELLQRLTENGKIVDWTDEQLEKTSEAVGHGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNMDVEELKKSGSISLGHPDERVLGLYLIRFAEVVEEACTNLLPSVLCEYLYNLSEMFTRFYTNCQVVGSPEEPSRLLLCEATAVVMRQCFHLLGITPVYKL* >Brasy8G085600.1.p pacid=40079955 transcript=Brasy8G085600.1 locus=Brasy8G085600 ID=Brasy8G085600.1.v1.1 annot-version=v1.1 MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYNITVQKGVKTVSSSHLKQCIHGYDVYDFLKNVVNKVPDLGAPDTGADDKLGKRRKHAEDESEEESKRTRNEVASHASNGRGRGRGRGRGRRGGRGAERETEYHELAPCESKPVHLKVEIGDVGSDTIETKEPTPLSNARASLRNIDLNLDLEEYEDTVVQFQPEAPVASVAAPSAGPSVSQSIEDVKTKDFLGWQLPEMSKMGMDPVQFALSSDHRLEVDEDYDNEE* >Brasy8G282400.1.p pacid=40079956 transcript=Brasy8G282400.1 locus=Brasy8G282400 ID=Brasy8G282400.1.v1.1 annot-version=v1.1 MSRLRILRRRSSSSASPVSGRSILRRRSTSSTAPMPGLRRSSFTTPMSRQQLLPRRTCSSTSPPSRRWDPQVAFAAATARVRAGTFTTDDARHLFDELLRQGTPVHNRALNGFLAALARAPDSVACSEAPALVLALFNRICREEAGPRVAALTVHTYGILMDCSCRARRPDLGPAFFARLLRAGLRTQTIGANTFLKCLCYAKRTDEAVDVLLHRMSDLGCVPNAISYTTVIKSLCGDSRSQEALDMVQRMAKEGGRCSPDVVSYSTVIHGFFKEGEVSKACDLFNEMVRKGVVPNVVTYSSIVHALCKARAMDKAELVIRQMVDNNIQPNEVTYNAIIHGYSTLGRWKEAAKMFREMTNEGIIPNTITFTSFMSSLCKHGRSKDAEEIFHSMTTKGHKPNIFSYSALLHGYATEGRLVDMNNLFHSMADKGIVVNCHCFNILINAHVKHGMMDEAMLIFTEMRGKGVSPDVITYAIVIAAFCRMGRLADAMEKFSQMISIGVGPNTAVYHSLIQGFCAHGDLVKVKELVSEMMSKGIPRPNITFFNSIIHSLCNEGRVMDAQDVFDLVIHIGDRPDIFTFNSLIDGYCLVGKMKKAFGVLDAMVSDGTEPDVVTYTTLINGYCKSGKIDDGLILFREMLHKRVKPTTVTYNLVLDGLFHAGRSAAAKKMFLEMIDSGMTMSIATYSIILQGLCRNDCTDEAITLFDKLVAMNLKFDIAILNTMINALYKVQRREEANNLFAAISTSGLVPNVSTYGVMIHNLLKEGSVEEADTMFSSMEKSGCAPSSRLVNEIIRMLLQKGEIVKAGYYMSKVDGTIISLEASTISLLMFLFSSKGKHREQIKFLPAKYQFFDGAS* >Brasy8G115800.1.p pacid=40079957 transcript=Brasy8G115800.1 locus=Brasy8G115800 ID=Brasy8G115800.1.v1.1 annot-version=v1.1 MYADIPNPKGRSGCRPINAAAMSKLMVVWPRYFPEETQLSSADPRAILVVDLVKILELEERRDLVPRVEDDNAIFFLPIDFQQLKRLFDGTNLYTALQENPKETLLCMGVAVHLVECSRKCFELNDIDKVNIRLYNHTETIIALKNLKAAYIKKLVTVRGTVVKVSTVKPLVLELDFRCMKCATVIHRVFFDGKFSPPVSCVIQGCKGKSFTPVRSTAMLIDFQKIRIQELASAENREEGRLPRTIECELTEDLVDCCIPGEIITVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKAQAGSENSDASSIDIRACGYFSFETFTDKDLNSIIEYSNEHGADVFRQILQSFCPSIYGHELVKAGITLALFGAVQKHSMDQNKVPIRGDIHVVIVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMTSDYAFEAGAMVLADRGVCCIDEFDKMSAQYQSLLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRGKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIMALHTNDGDSCTSNKRLRTVPQCNGSMGFGIDETSIASRLRLHPQRDRDFVPLPGPLLRKYISYARSYVTPCMTEPAAKILQDFYLHLRDRSTSADGTPITARQLESLVRLSEARARVDLREEVTAQDAQDVVDIMKASLFDKYADEHGFVDFARSGGMSQSKEAKRFMSALNKQSELQQKDRFSRAEIQSLADKISLQVPDLDDLVERLNIAGYLIQKGEKTYQLVSSSYS* >Brasy8G115800.2.p pacid=40079958 transcript=Brasy8G115800.2 locus=Brasy8G115800 ID=Brasy8G115800.2.v1.1 annot-version=v1.1 MYADIPNPKGRSGCRPINAAAMSKLMVVWPRYFPEETQLSSADPRAILVVDLVKILELEERRDLVPRLKRLFDGTNLYTALQENPKETLLCMGVAVHLVECSRKCFELNDIDKVNIRLYNHTETIIALKNLKAAYIKKLVTVRGTVVKVSTVKPLVLELDFRCMKCATVIHRVFFDGKFSPPVSCVIQGCKGKSFTPVRSTAMLIDFQKIRIQELASAENREEGRLPRTIECELTEDLVDCCIPGEIITVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKAQAGSENSDASSIDIRACGYFSFETFTDKDLNSIIEYSNEHGADVFRQILQSFCPSIYGHELVKAGITLALFGAVQKHSMDQNKVPIRGDIHVVIVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMTSDYAFEAGAMVLADRGVCCIDEFDKMSAQYQSLLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRGKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIMALHTNDGDSCTSNKRLRTVPQCNGSMGFGIDETSIASRLRLHPQRDRDFVPLPGPLLRKYISYARSYVTPCMTEPAAKILQDFYLHLRDRSTSADGTPITARQLESLVRLSEARARVDLREEVTAQDAQDVVDIMKASLFDKYADEHGFVDFARSGGMSQSKEAKRFMSALNKQSELQQKDRFSRAEIQSLADKISLQVPDLDDLVERLNIAGYLIQKGEKTYQLVSSSYS* >Brasy8G115800.3.p pacid=40079959 transcript=Brasy8G115800.3 locus=Brasy8G115800 ID=Brasy8G115800.3.v1.1 annot-version=v1.1 MYADIPNPKGRSGCRPINAAAMSKLMVVWPRYFPEETQLSSADPRAILVVDLVKILELEERRDLVPRVECSRKCFELNDIDKVNIRLYNHTETIIALKNLKAAYIKKLVTVRGTVVKVSTVKPLVLELDFRCMKCATVIHRVFFDGKFSPPVSCVIQGCKGKSFTPVRSTAMLIDFQKIRIQELASAENREEGRLPRTIECELTEDLVDCCIPGEIITVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKAQAGSENSDASSIDIRACGYFSFETFTDKDLNSIIEYSNEHGADVFRQILQSFCPSIYGHELVKAGITLALFGAVQKHSMDQNKVPIRGDIHVVIVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMTSDYAFEAGAMVLADRGVCCIDEFDKMSAQYQSLLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRGKTVNENLKMSAALLSRFDLVFILLDKPDESLDKRVSDHIMALHTNDGDSCTSNKRLRTVPQCNGSMGFGIDETSIASRLRLHPQRDRDFVPLPGPLLRKYISYARSYVTPCMTEPAAKILQDFYLHLRDRSTSADGTPITARQLESLVRLSEARARVDLREEVTAQDAQDVVDIMKASLFDKYADEHGFVDFARSGGMSQSKEAKRFMSALNKQSELQQKDRFSRAEIQSLADKISLQVPDLDDLVERLNIAGYLIQKGEKTYQLVSSSYS* >Brasy8G183400.1.p pacid=40079960 transcript=Brasy8G183400.1 locus=Brasy8G183400 ID=Brasy8G183400.1.v1.1 annot-version=v1.1 MTDGHLFNNISLGGRVGNNPGQFKLHSGGLAWKKQGGGKTIEVDKADIISMTWMKIPRSYQLSVGTKEGIRYMFKGFREQDVSNLANFIQKSTGVAPEEKQLSVSGHNWGGVEITGNMLSFNVGSKEAFEVSLADVSQTQMQGKTDVVLEFHVDDTTGANEKDSLMDISFHIPTTNTQFPGDEDRPSAHIFWQKILAIADVGSSEEAVVTFEGIAILTPRGRYSVELHMSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFQTEVVAEMDLKLSEEVLAEKYKDRLQGSYNGLEHEVFSKILRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFDFVSGKNLKIMNLGEDGQGRTGAVAAALDSTDDSLHDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPSDDSDEGSDASLSGGESEKSSKKEASSSKPPVKRKPKSGDAEGSEKRKPKKKKKDPNAPKRALAPFMYFSKAERANLKNSNPVLGTTDIAKKLGEKWQKMSAEEKQPYVEQHLVDKKRYLEETAAYRDNAGAAPVDVESDDELSD* >Brasy8G053100.1.p pacid=40079961 transcript=Brasy8G053100.1 locus=Brasy8G053100 ID=Brasy8G053100.1.v1.1 annot-version=v1.1 MVDPGVDVGPAVAPHPRQRRVQALHPLPPQVQAIASFPRAPANLPLLAAGRRHFSSLPVMRRDVTHLASGEAHEKVDPTGPRMPWQTVSRGMLQPD* >Brasy8G053100.2.p pacid=40079962 transcript=Brasy8G053100.2 locus=Brasy8G053100 ID=Brasy8G053100.2.v1.1 annot-version=v1.1 MVDPGVDVGPAVAPHPRQRRVQALHPLPPQVQAIASFPRAPANLPLLAAGRRHFSSLPVMRRDVTHLASGEAHEKVDPTGPRMPWQTVRGMLQPD* >Brasy8G198100.1.p pacid=40079963 transcript=Brasy8G198100.1 locus=Brasy8G198100 ID=Brasy8G198100.1.v1.1 annot-version=v1.1 MASALNGGGGNGGGLTKMSLLRVQYYCVLGAVAGAVILATVRYMPASGVGGGGAALSTTSAVATTTTPKSAPAAGHRKSKAVVVPETKEKKGKAAEKVVVFNFGDSNSDTGGVAAIMGIRIASPEGRAFFHHPTGRLSDGRVVLDFICETLNTHHLSPYMKPLGSDYSNGVNFAIAGSTATPGDTPFSLDVQVDQFVFFQDRCNDSTERGEAFPIEMRDFGSALYTMDIGQNDVTGILYLPYDTVLEKLPHFVAEIRKAIEILHKNGARKFWIHGTGALGCLPQKLAMHGKDADLSLDEHGCIIKFNNAAKKFNELLSEACDDLRLNLKKSTIIFVDMFAIKYDLVANHTKYGIEKPLMTCCGHGGPPYNYDPKRSCMGTDMDLCKPNEKFISWDGVHFTDAANSMVATMAINGEYSIPRMKLTSLVKPAKAKDS* >Brasy8G081300.1.p pacid=40079964 transcript=Brasy8G081300.1 locus=Brasy8G081300 ID=Brasy8G081300.1.v1.1 annot-version=v1.1 MAFARRFALALLLLLFALAAVFNVHAARSLPGRQPSEAVSTATADQGPAQLHQPAMLPEVEPVPASASLIHLGDSNTVFQEILEQCRVNQKSNTLPSFDDGPTICNMRSKRPVRIDLV* >Brasy8G202400.1.p pacid=40079965 transcript=Brasy8G202400.1 locus=Brasy8G202400 ID=Brasy8G202400.1.v1.1 annot-version=v1.1 MTLKTSIAPWTAEDGSGRTSWRFRALICFENLKPHLCTPEVAERIVGGNCMFDRIDQRSRGPERNAAVWAVWMWLENPDSIPKEHFHSSMDSPDCVVIPPPGCLPESPGVGVCRDGMRRRIIVHLSIIEDYCSSSIRSPERHQSGMPFDDHVSDFPSREYFYWVLGFLDGEAPPPPPRRPEAPIHSRLGPRRDDDDNDNGGDRRGHSRNWRGWFGRRRSDRGGAGPSSGPRESSSRGGRYQSPSPDCHHRRRCASAGFSFRRDRTRSPPLRLHDAPPSPVSPLQLMPLVQEVDLIVDMLSAAALASPGPDPMHSEARALPRPACTGPSAPAARPATDSPDRAVVCVLPAVLPIVTGSADPMFYEAALPAPVSTPAVPESSVLPVMVPAQLALTDAVTLDAHTVQPCTRSTADLLSQVTRPTQASLLCVPAASPPPSARRSDRLSAKNKANKTPAAKRAEMKLLEVFGEATSSTSGVDDVAACKMKAYLQMYSQPLTIKLIEAMRVLAGVKGKAKIDLSALGFTAADLDVLGKEVAVI* >Brasy8G291400.1.p pacid=40079966 transcript=Brasy8G291400.1 locus=Brasy8G291400 ID=Brasy8G291400.1.v1.1 annot-version=v1.1 MVTEMATRGAEAGVCGGGGGGGGAEAERDFELFRSGSAPPTVEGAMSLAASVGGGGGAGGDEVLLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSSGLGGIGDGRRPAAGDGLVGLPGIDHARQRSFSGIFQEDSNQRNINRQSANHNRNDFLDSSGMQYGLHRETEAMGSLQRESSEQSMAEIQNNDLSSRAYASILGPSLSRSASPDPELVRRVPSPCLPPIGVKVSAYDKKSNGGSSSFRRSSSAVGEPDDLVAALSGMNLSSSRAGNGQNMDQSKLYQDVDNANRFLFDRHGDQSNGNQQHSYMKRPEQGQFRAPEGYSANSANSSMMRNQMNAGNFISSDNSSVGSGFASPRIGSRSPGGNLSSRQNLAGASNFLSYNGIGSPTGANALQMPIDPAYVQYLAAQVAASYEDPYMASGLLGSSYMDLLGPQKACLSPLLQSQKNYGCYGNLGFGLGYAGSPLTSPVLPSSPVASGSPLRHGERSMRFASGMRNFGGSFGSWNPDLVGKMEGNLMPSLLEEFKSNKSRTYELCEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTTQIKELSDQLIGRVLALSLQMYGCRVIQKAIEVVDLAQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECIPQDVIQFIVSTFYGQVVLLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERTAIIDKLIGQVVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA* >Brasy8G291400.2.p pacid=40079967 transcript=Brasy8G291400.2 locus=Brasy8G291400 ID=Brasy8G291400.2.v1.1 annot-version=v1.1 MVTEMATRGAEAGVCGGGGGGGGAEAERDFELFRSGSAPPTVEGAMSLAASVGGGGGAGGDEVLLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSSGLGGIGDGRRPAAGDGLVGLPGIDHARQRSFSGIFQEDSNQRNINRQSANHNRNDFLDSSGMQYGLHRETEAMGSLQRESSEQSMAEIQNNDLSSRAYASILGPSLSRSASPDPELVRRVPSPCLPPIGVKVSAYDKKSNGGSSSFRRSSSAVGEPDDLVAALSGMNLSSSRAGNGQNMDQSKLYQDVDNANRFLFDRHGDQSNGNQQHSYMKRPEQGQFRAPEGIGSRSPGGNLSSRQNLAGASNFLSYNGIGSPTGANALQMPIDPAYVQYLAAQVAASYEDPYMASGLLGSSYMDLLGPQKACLSPLLQSQKNYGCYGNLGFGLGYAGSPLTSPVLPSSPVASGSPLRHGERSMRFASGMRNFGGSFGSWNPDLVGKMEGNLMPSLLEEFKSNKSRTYELCEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTTQIKELSDQLIGRVLALSLQMYGCRVIQKAIEVVDLAQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECIPQDVIQFIVSTFYGQVVLLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERTAIIDKLIGQVVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA* >Brasy8G120600.1.p pacid=40079968 transcript=Brasy8G120600.1 locus=Brasy8G120600 ID=Brasy8G120600.1.v1.1 annot-version=v1.1 MGLQQASSAAAAAIHVPARIQNPTTTPSLEREGRRLAATWDTAARSTSSRRRRHGGGGEEEEPTKQRGDGGRPNAGCRASREERWRPSASFSDEIQPRHYIKIRCCVYR* >Brasy8G077300.1.p pacid=40079969 transcript=Brasy8G077300.1 locus=Brasy8G077300 ID=Brasy8G077300.1.v1.1 annot-version=v1.1 MGCCVSKKRSEPAPLGEEARPGPRKKVDARDLPPPEEEKVKEVLSETPSIRPRPRPKRVATALAPPSAEKAQAKDGGAARVKQVDGGRVARRPAHSLEEKSEAASESSVATTATGPDRSPSSKPPRRRQGPVPGSGDPRRARRDRVPRGAGRASPSPPPPAPRRDPGRRSPSPAAKRSHSQDHRRDAQRKPPVPARPCGRASTPPQRAQEAPPQPPPPPPLDGGPAQSASPPTPQAPVKEDAAASGGEGEGEGKESLENPLVSMECFIFL* >Brasy8G064000.1.p pacid=40079970 transcript=Brasy8G064000.1 locus=Brasy8G064000 ID=Brasy8G064000.1.v1.1 annot-version=v1.1 MNYRFQNLLGAPYRGGDAVFAGDSSVLLSAVGNRVATTDLTASSSLTLPFESASNITRLATSPSGDFLLATDDAGRALYANLSRRAVLHRITFKGAPSAVRFSPDGELIAVAVGKVIQIWRSPSFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSAFLLASCKDLTARLLPVKKGLGGKPFLFLGHRAAVVGAFFATDKKTGRVHGVYTVSKDGAIFTWNLVEDSDSTPPPSPGTPEQESVQNDEPELEGESRKRKHLGELEEPSITPLHLAKWELQKKSFFMQSPAKLTACDYHRDLDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTASSGFCFITFSEHTNVVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIYVWSMKTGRLLDVLSGHEGPVHGLMFSPISAILASSSWDKTVRLWDVFESKGAVETFLHSHDVLTLAYRPDGRQIASSTLDGQINFWDPFDGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDIGEQVLLRKFQITRNLSLDGVLDFLNSKRMTDAGALDLIDDEDSDIEDGIDQQTRGNLGHGLPGAMANRGRPVARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQQHRALLLSLRLNEDNLIKKCIFAVDPSNVRAICSSVPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVDGNYIQQNSRTLLPALKSLQKSITKLHQDLADTCSSNEYLLKYLCSAGTKN* >Brasy8G063200.1.p pacid=40079971 transcript=Brasy8G063200.1 locus=Brasy8G063200 ID=Brasy8G063200.1.v1.1 annot-version=v1.1 MAPPPRRDPNYSTRRKDEEQWLAVSLRPANFLPGVAIGFLLGLILDLSSSWRSRFSFAPAPASRANKRTGGSAAPGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELLASNRVLLRQWEQFGQAKIVLACKNQQEMNRIKETAEHRGIPTYVVADAGRTQVLAGSKTVLAVGPGRKADIDTVTGKLRLL* >Brasy8G063200.2.p pacid=40079972 transcript=Brasy8G063200.2 locus=Brasy8G063200 ID=Brasy8G063200.2.v1.1 annot-version=v1.1 MAPPPRRDPNYSTRRKDEEQWLAVSLRPANFLPGVAIGFLLGLILDLSSSWRSRFSFAPAPASRANKRTGGSAAPGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELLASNRVLLRQWEQFGQAKIVLACKNQQEMNRIKETAEHRGIPTYVVADAGRTQGGKPT* >Brasy8G193200.1.p pacid=40079973 transcript=Brasy8G193200.1 locus=Brasy8G193200 ID=Brasy8G193200.1.v1.1 annot-version=v1.1 MERTQRTQLRKSRPWWWDSHISRENSKWLSESLQEMETQVKEVLGLVEEEGETSVDKAELYYKERPVLVTHVKNFYRMYRALAERYDNVTGELRKNIPSSLQSHGSFGTLESDSETQSPSPSTESDMQDKLAQQKPKNQSDCFDVSLGSGVSSDISKKGSDGSSSSSESESELDEAKEENGNSMFYALSQRIIELEDELHGARGKLDALDEKNMRSQFIFGSSEVSEHDGKLQVSDVETKNFVKDLEEVNGEKEALEILLLANKNETDGLKEVMASAAKQFEIELAHRDLEVDKYKQELEELSEKYVHDKSALESEIGMLQGVITDSESELARLSQEKLQLGSRIEELEQAVCSLDYSASEMVKLQETINNMKAELEEVSLEKEETIKNLEAQLETALQERSILWDRSEELEKVISDSFEKHSLEKSALDTELLALSEANASLEDKLKSVEAELKQAYADNAEESMNKEKQISGLNQDLAHLTSKIELISSEKTAVDNKLATLLTDITTRDEKMKQMDDHLSQLHLEHAKITSETVALHESLSELRARVSELEELVEKQKLVISESAEGKREAIRQLCFSLEHYRSGYQQLRQRLQQGHRRPLVTLAA* >Brasy8G193200.2.p pacid=40079974 transcript=Brasy8G193200.2 locus=Brasy8G193200 ID=Brasy8G193200.2.v1.1 annot-version=v1.1 MERTQRTQLRKSRPWWWDSHISRENSKWLSESLQEMETQVKEVLGLVEEEGETSVDKAELYYKERPVLVTHVKNFYRMYRALAERYDNVTGELRKNIPSSLQSHGSFGTLESDSETQSPSPSTESDMQDKLAQQKPKNQSDCFDVSLGSGVSSDISKKGSDGSSSSSESESELDEAKEENGNSMFYALSQRIIELEDELHGARGKLDALDEKNMRSQFIFGSSEVSEHDGKLQVSDVETKNFVKDLEEVNGEKEALEILLLANKNETDGLKEVMASAAKQFEIELAHRDLEVDKYKQELEELSEKYVHDKSALESEIGMLQGVITDSESELARLSQEKLQLGSRIEELEQAVCSLDYSASEMVKLQETINNMKAELEEVSLEKEETIKNLEAQLETALQERSILWDRSEELEKVISDSFEKHSLEKSALDTELLALSEANASLEDKLKSVEAELKQAYADNAEESMNKEKQISGLNQDLAHLTSKIELISSEKTAVDNKLATLLTDITTRDEKMKQMDDHLSQLHLEHAKITSETVALHESLSELRARVSELEELVEKQKLVISESAEGKREAIRQLCFSLEHYRSGYQQLRQRLQQGHRRPLVTLAA* >Brasy8G225400.1.p pacid=40079975 transcript=Brasy8G225400.1 locus=Brasy8G225400 ID=Brasy8G225400.1.v1.1 annot-version=v1.1 MAELGGMLAAAILKVVGEQIGSAIGAQITLQKNLTKDLEKMRMALQSVEAVLKVAERRSITEEPVRLWLKRLMDAMYAISDMIDEFEDDIQAITQPSAAKFSLKNYLAIMIPCLTTGPRITMANKMDEMRDDLQVITDQHKSFNFLGGTTANELAVSDKRETISKIETEIVGRTEERDTILASLSESMKEEMTILPIYGIGGIGKTTLAKMVFNSSQFKDYSPVWVYVSQTFDLKKIGNSIISQLSENEKESQYTGMQMIHNSLQKLLTGKKILIVLDDLWEDNLSDLNKLKATLGIGEGSSVVVIVTTRDEGIAVKISTTQPHKLSPLTKDMCWSVIKQNSDFESRNDKEELEQIGKDIASKCGGVVLAAESLGYMLHSRTSGEWVSVRDSDIWSLSASEDTPSTHMPLASLRLSYSFMPSYLKLCFAYCAIFPKGHKIVKDDLIHQWVSLGFIESTNVFSSWQLGERYVRHLLGLSFLQDSESPSTSGVNLEEGITMFTMHDLVHDVARSVMIDEILLPSKHGNTGGSCYHYALLNDCSKLLESSKFRALRFGDCGNPVLIQNAAFSSAKSLRVLDLSECAIHKLPDSIGQLKQLRYLNAPRVQHATIPDSITKLLKLTYLKLNESPTILALPESIGDIEGLKYLHLSGCSGIEKLPESLGRLKKLVHLDLSNCSHVGGVSVFLENFTELQYLNLSHCPNIGPLSEALGGLSELQYLNLSFSSYLECSHESEVLGAFRKLEYLNLSSEECHLQKLPEALGRCVKLKYLNLSGCCHLKELPMSFGNLNNLVHLDLTNCREFTGVPEALGGLTKLQYLNLSRPDSLWAANEHKEGLIGLPDFISNLKELRYLNLSSCIGDQFDSLTDCISTLSNLEHLDLSGNSYIGSIPASFCNLRKVHTLDLSYCFGLRRLPKWIGTIDSLKTIYLNGCHHFLDQPHLSGSSVTLPRFAVHVGDGESSSNLVLLQHTDPKELELTRLENVKSPEEAHHINLKGKQSMKELGLLWTRGAERFVDDKMLLEKLVPPSTLKKFKIQGYNNASFPAWLMAITHYLPNLVSLEMCNMPNCNVLPPELPNLNSLVLRGMESLEEWNTSCSSGEEYVIEELQIFSCPKLRINSVLPRAIQLDIFNSDNVLSSSGEYNMSHTGASSYSSVTTKLKIEHCKVPLYNWSLLHHLPGLVDLSISCCNDLTGSPGIVQHLSSVETLYLEANHQDELPEWLGELTSLQKLDITRYTGLMELHENMRQLKKLQTLRVSICISMASLPLWLGELTSLKKLTIRECQGIRSLPNSIQQLTNLQELHIYFCSQLKHLVESEENKMEETVCVLPTSLKKLEIDGCNDIRSLPEGIQQLTNLRKLKIDRCHELGEWCTSEENKMKLAHIKEKVIVK* >Brasy8G225400.2.p pacid=40079976 transcript=Brasy8G225400.2 locus=Brasy8G225400 ID=Brasy8G225400.2.v1.1 annot-version=v1.1 MIPCLTTGPRITMANKMDEMRDDLQVITDQHKSFNFLGGTTANELAVSDKRETISKIETEIVGRTEERDTILASLSESMKEEMTILPIYGIGGIGKTTLAKMVFNSSQFKDYSPVWVYVSQTFDLKKIGNSIISQLSENEKESQYTGMQMIHNSLQKLLTGKKILIVLDDLWEDNLSDLNKLKATLGIGEGSSVVVIVTTRDEGIAVKISTTQPHKLSPLTKDMCWSVIKQNSDFESRNDKEELEQIGKDIASKCGGVVLAAESLGYMLHSRTSGEWVSVRDSDIWSLSASEDTPSTHMPLASLRLSYSFMPSYLKLCFAYCAIFPKGHKIVKDDLIHQWVSLGFIESTNVFSSWQLGERYVRHLLGLSFLQDSESPSTSGVNLEEGITMFTMHDLVHDVARSVMIDEILLPSKHGNTGGSCYHYALLNDCSKLLESSKFRALRFGDCGNPVLIQNAAFSSAKSLRVLDLSECAIHKLPDSIGQLKQLRYLNAPRVQHATIPDSITKLLKLTYLKLNESPTILALPESIGDIEGLKYLHLSGCSGIEKLPESLGRLKKLVHLDLSNCSHVGGVSVFLENFTELQYLNLSHCPNIGPLSEALGGLSELQYLNLSFSSYLECSHESEVLGAFRKLEYLNLSSEECHLQKLPEALGRCVKLKYLNLSGCCHLKELPMSFGNLNNLVHLDLTNCREFTGVPEALGGLTKLQYLNLSRPDSLWAANEHKEGLIGLPDFISNLKELRYLNLSSCIGDQFDSLTDCISTLSNLEHLDLSGNSYIGSIPASFCNLRKVHTLDLSYCFGLRRLPKWIGTIDSLKTIYLNGCHHFLDQPHLSGSSVTLPRFAVHVGDGESSSNLVLLQHTDPKELELTRLENVKSPEEAHHINLKGKQSMKELGLLWTRGAERFVDDKMLLEKLVPPSTLKKFKIQGYNNASFPAWLMAITHYLPNLVSLEMCNMPNCNVLPPELPNLNSLVLRGMESLEEWNTSCSSGEEYVIEELQIFSCPKLRINSVLPRAIQLDIFNSDNVLSSSGEYNMSHTGASSYSSVTTKLKIEHCKVPLYNWSLLHHLPGLVDLSISCCNDLTGSPGIVQHLSSVETLYLEANHQDELPEWLGELTSLQKLDITRYTGLMELHENMRQLKKLQTLRVSICISMASLPLWLGELTSLKKLTIRECQGIRSLPNSIQQLTNLQELHIYFCSQLKHLVESEENKMEETVCVLPTSLKKLEIDGCNDIRSLPEGIQQLTNLRKLKIDRCHELGEWCTSEENKMKLAHIKEKVIVK* >Brasy8G225400.3.p pacid=40079977 transcript=Brasy8G225400.3 locus=Brasy8G225400 ID=Brasy8G225400.3.v1.1 annot-version=v1.1 MCWSVIKQNSDFESRNDKEELEQIGKDIASKCGGVVLAAESLGYMLHSRTSGEWVSVRDSDIWSLSASEDTPSTHMPLASLRLSYSFMPSYLKLCFAYCAIFPKGHKIVKDDLIHQWVSLGFIESTNVFSSWQLGERYVRHLLGLSFLQDSESPSTSGVNLEEGITMFTMHDLVHDVARSVMIDEILLPSKHGNTGGSCYHYALLNDCSKLLESSKFRALRFGDCGNPVLIQNAAFSSAKSLRVLDLSECAIHKLPDSIGQLKQLRYLNAPRVQHATIPDSITKLLKLTYLKLNESPTILALPESIGDIEGLKYLHLSGCSGIEKLPESLGRLKKLVHLDLSNCSHVGGVSVFLENFTELQYLNLSHCPNIGPLSEALGGLSELQYLNLSFSSYLECSHESEVLGAFRKLEYLNLSSEECHLQKLPEALGRCVKLKYLNLSGCCHLKELPMSFGNLNNLVHLDLTNCREFTGVPEALGGLTKLQYLNLSRPDSLWAANEHKEGLIGLPDFISNLKELRYLNLSSCIGDQFDSLTDCISTLSNLEHLDLSGNSYIGSIPASFCNLRKVHTLDLSYCFGLRRLPKWIGTIDSLKTIYLNGCHHFLDQPHLSGSSVTLPRFAVHVGDGESSSNLVLLQHTDPKELELTRLENVKSPEEAHHINLKGKQSMKELGLLWTRGAERFVDDKMLLEKLVPPSTLKKFKIQGYNNASFPAWLMAITHYLPNLVSLEMCNMPNCNVLPPELPNLNSLVLRGMESLEEWNTSCSSGEEYVIEELQIFSCPKLRINSVLPRAIQLDIFNSDNVLSSSGEYNMSHTGASSYSSVTTKLKIEHCKVPLYNWSLLHHLPGLVDLSISCCNDLTGSPGIVQHLSSVETLYLEANHQDELPEWLGELTSLQKLDITRYTGLMELHENMRQLKKLQTLRVSICISMASLPLWLGELTSLKKLTIRECQGIRSLPNSIQQLTNLQELHIYFCSQLKHLVESEENKMEETVCVLPTSLKKLEIDGCNDIRSLPEGIQQLTNLRKLKIDRCHELGEWCTSEENKMKLAHIKEKVIVK* >Brasy8G008900.1.p pacid=40079978 transcript=Brasy8G008900.1 locus=Brasy8G008900 ID=Brasy8G008900.1.v1.1 annot-version=v1.1 MAAGGEQHSRGPEAAAVVVVAARAAAREVPRTAVAWALTHVVQQGDSILLLVLMPPPSSSGRKFWGFPLFAGDCASGHKAVSNQKSDVSELCAQMMLKLRDVYDPSKINVKVRIVSGSPSGVVATESKGAHASWVVLDRDLKHEEKRCMEELQCNIVVMKRSRPKVLRLNLVKSPERVKSEATAPPQPELSASVCENASNVKEEQISIRGPAVTPSSSPEPETPFYSTDVGTSSVSSSDPATSPFCASETNSFLKKEAAMDITGTSSVASPDAGTSPFCASETNSSLKKEADKDDFKQLDVNISDSESETSAPPAASSLQPWMADILEEPASSRLVRNRPRTRRTPTADALLEKISKLDLLTEISAVRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELEHATGGFSKANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNRSLDTHLYGRSKETLGWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEDHAIDELIDPRLEDRFCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCILAPSSDAGSMSRRMQIDRQHFQEQSSPVQPDAEPQCVGEVNRSHETLRSAWDPDRQDLSHRLWYPSAADCNQPR* >Brasy8G008900.2.p pacid=40079979 transcript=Brasy8G008900.2 locus=Brasy8G008900 ID=Brasy8G008900.2.v1.1 annot-version=v1.1 MMLKLRDVYDPSKINVKVRIVSGSPSGVVATESKGAHASWVVLDRDLKHEEKRCMEELQCNIVVMKRSRPKVLRLNLVKSPERVKSEATAPPQPELSASVCENASNVKEEQISIRGPAVTPSSSPEPETPFYSTDVGTSSVSSSDPATSPFCASETNSFLKKEAAMDITGTSSVASPDAGTSPFCASETNSSLKKEADKDDFKQLDVNISDSESETSAPPAASSLQPWMADILEEPASSRLVRNRPRTRRTPTADALLEKISKLDLLTEISAVRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELEHATGGFSKANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNRSLDTHLYGRSKETLGWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEDHAIDELIDPRLEDRFCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCILAPSSDAGSMSRRMQIDRQHFQEQSSPVQPDAEPQCVGEVNRSHETLRSAWDPDRQDLSHRLWYPSAADCNQPR* >Brasy8G268100.1.p pacid=40079980 transcript=Brasy8G268100.1 locus=Brasy8G268100 ID=Brasy8G268100.1.v1.1 annot-version=v1.1 MQASKTRNGPSDALQRTSPASRNGPSDAPQRTSPATPRSSRVAKTGGNETDSAGITPTRTPTERSPKVIERRSPRSPITEKKRPSRLSELDSKVNQLQDELKKTKEQLTASEARRRHAQQEADEAKKQREDASSKLEESQRQLVEFSAAEESRLQELRKIQQERDRAWQSELEAMQKQQSVDAAALSSAMSEIQRLKLQLEATVQSDSARAKQCEDADSELEGLKQEMELRLATIEGLKVNVVESDKAAADANAIATETKLQLDTAKANICSLAAEGARLQECLRSKDIELSESKARVVSLEEDLKKAQDAANESPNEAHDGNANGGFGSPLTEVLKSVHVSGDINGSCGSSDPEIEHLRIALEVAEMRYQEEQTRMTIETKSAYEMLENVKSECARQICDLELKLKSKNDELMAALSGNVQDLHRSDGLSEMHPELEAKLMKSITDIAELKANLMDKENALQSTVEENETLKSEAGKKEAEVQQRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASAVADAELRRLRVQSDQWRKAAEAAAAALTGNAGGNNDNNHDGRMVGRTGSLDPEYNSSIGGKMMGSPFSDEESPKRRNSGVLRRMSGLWKKSPK* >Brasy8G163300.1.p pacid=40079981 transcript=Brasy8G163300.1 locus=Brasy8G163300 ID=Brasy8G163300.1.v1.1 annot-version=v1.1 MAGWPIDLWNEWAIQILVLLSFMLQVVLFVFAGTRRRKASHVLRLLLWLAYQLADSTAIYALGLLSLNSFPREHQLVPFWAPFLLLHLGGPDNITAYSLEDSKLWERHLLTVFVQVLGAAYVLYKHIAGRGNLVLAAIFMFVVGVVKYAERIWALKCSTMDSIRSSLNKSRGRNDHHTIPKGVKGKFHEQVLLELAHSLFHFCKLKLVDSSVNMDHPNLVRSCLDWNDIWKLIEMELSLLYDILYTKAAVVHTWYGYCIRFMTPFATAGALLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRCSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGTIGQYNLLHLCACGSSDPLRRLAEILGLKETWDKFRYPSTLVVSEDVKKLVFDRHDTLMSRSRHVNALGLLRAKWGKWALAKNELYDEVFKDCDRLLGVEFQEGILIWHIATDVFLANRENKEDTAAAHDVVAAIEALSNYMMFLLVRCPDLLPGLVLNRIYQLTCENLVEIWHGSLQREKLAVILYQEKKTLDFNSSELRVRYGVKVAEKLIQQEKAGRTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPQNRASSR* >Brasy8G163300.3.p pacid=40079982 transcript=Brasy8G163300.3 locus=Brasy8G163300 ID=Brasy8G163300.3.v1.1 annot-version=v1.1 MAGWPIDLWNEWAIQILVLLSFMLQVVLFVFAGTRRRKASHVLRLLLWLAYQLADSTAIYALGLLSLNSFPREHQLVPFWAPFLLLHLGGPDNITAYSLEDSKLWERHLLTVFVQVLGAAYVLYKHIAGRGNLVLAAIFMFVVGVVKYAERIWALKCSTMDSIRSSLNKSRGRNDHHTIPKGVKGKFHEQVLLELAHSLFHFCKLKLVDSSVNMDHPNLVRSCLDWNDIWKLIEMELSLLYDILYTKAAVVHTWYGYCIRFMTPFATAGALLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRCSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGTIGQYNLLHLCACGSSDPLRRLAEILGLKETWDKFRYPSTLVVSEDVKKLVFDRHDTLMSRSRHVNALGLLRAKWGKWALAKNELYDEVFKDCDRLLGVEFQEGILIWHIATDVFLANRENKEDTAAAHDVVAAIEALSNYMMFLLVRCPDLLPGLVLNRIYQLTCENLVEIWHGSLQREKLAVILYQEKKTLDFNSSELRVRYGVKVAEKLIQQEKAGRTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPQNRASSR* >Brasy8G163300.2.p pacid=40079983 transcript=Brasy8G163300.2 locus=Brasy8G163300 ID=Brasy8G163300.2.v1.1 annot-version=v1.1 MAGWPIDLWNEWAIQILVLLSFMLQVVLFVFAGTRRRKASHVLRLLLWLAYQLADSTAIYALGLLSLNSFPREHQLVPFWAPFLLLHLGGPDNITAYSLEDSKLWERHLLTVFVQVLGAAYVLYKHIAGRGNLVLAAIFMFVVGVVKYAERIWALKCSTMDSIRSSLNKSRGRNDHHTIPKGVKGKFHEQVLLELAHSLFHFCKLKLVDSSVNMDHPNLVRSCLDWNDIWKLIEMELSLLYDILYTKAAVVHTWYGYCIRFMTPFATAGALLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRCSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGTIGQYNLLHLCACGSSDPLRRLAEILGLKETWDKFRYPSTLVVSEDVKKLVFDRHDTLMSRSRHVNALGLLRAKWGKWALAKNELYDEVFKDCDRLLGVEFQEGILIWHIATDVFLANRENKEDTAAAHDVVAAIEALSNYMMFLLVRCPDLLPGLVLNRIYQLTCENLVEIWHGSLQREKLAVILYQEKKTLDFNSSELRVRYGVKVAEKLIQQEKAGRTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPQNRASSR* >Brasy8G163300.4.p pacid=40079984 transcript=Brasy8G163300.4 locus=Brasy8G163300 ID=Brasy8G163300.4.v1.1 annot-version=v1.1 MAGWPIDLWNEWAIQILVLLSFMLQVVLFVFAGTRRRKASHVLRLLLWLAYQLADSTAIYALGLLSLNSFPREHQLVPFWAPFLLLHLGGPDNITAYSLEDSKLWERHLLTVFVQVLGAAYVLYKHIAGRGNLVLAAIFMFVVGVVKYAERIWALKCSTMDSIRSSLNKSRGRNDHHTIPKGVKGKFHEQVLLELAHSLFHFCKLKLVDSSVNMDHPNLVRSCLDWNDIWKLIEMELSLLYDILYTKAAVVHTWYGYCIRFMTPFATAGALLLFHFSNGLGGLSGVDVAITYALLGGALFMEVTALLGAIGSTWTLAFLCALPMRCSWLRHALVCSGRWHRLRRLLLTLSRLDIRTRRRWSGTIGQYNLLHLCACGSSDPLRRLAEILGLKETWDKFRYPSTLVVSEDVKKLVFDRHDTLMSRSRHVNALGLLRAKWGKWALAKNELYDEVFKDCDRLLGVEFQEGILIWHIATDVFLANRENKEDTAAAHDVVAAIEALSNYMMFLLVRCPDLLPGLVLNRIYQLTCENLVEIWHGSLQREKLAVILYQEKKTLDFNSSELRVRYGVKVAEKLIQQEKAGRTDILGVLLDVWMDFLSYAANRCSRESYINRLNNGGELIAILWLMAAHFEPQNRASSR* >Brasy8G225000.1.p pacid=40079985 transcript=Brasy8G225000.1 locus=Brasy8G225000 ID=Brasy8G225000.1.v1.1 annot-version=v1.1 MPSPALPPPPSLPPPPPGLPPPLQPKLSVPLPGCPPALAAPAPDSAPRVLDSPLLAAASREDEWREVPSRRRQLRGRDPSPRRYEVDRGLIFRRRTHGRCFRCLAEDHRAAACRDPVRCLTCLRSGHRERDCRFRSAAREGSRCRASSPLPCRTSSPLPCRTASARSWAAVAAQPKCTDGRDHVEAAAVPDSSSGAAASLEASIKSMFEAMVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKVVDLVPGHREHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVAVPQDTPRCSERLAHHQKKVARSVEIVAQESLVRALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAG* >Brasy8G159200.1.p pacid=40079986 transcript=Brasy8G159200.1 locus=Brasy8G159200 ID=Brasy8G159200.1.v1.1 annot-version=v1.1 MMSDASSDLGGGRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLNHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGTENYRLRSPYGSPPKVGLEKAFSDVVSYAAPPRPFFPSDSNVGSVHSVSSGHSDNTNGHSRGLPMDNFRVSLSSAVSSSSHGSGHDDGDALGDVFMWGEGTGDGILGGGNSRFGNSSGAKMDCLLPKPLEFAGRLDVQNISCGGRHATLVTKQGEVYSWGEESGGRLGHGVDCDVPQPKLVEALAHMNIELVACGEYHTCAVTLSGDLYTWGNGTFNFGLLGHGNEVSHWMPKRLNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSTSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVASLVEPNFCQVACGHCFTVALTTSGHVYTMGSSVYGQLGNPQADGMVPARVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYCKLNKGPDTDRYSSAKRGAVLQGFNEPINEELETKSNVQLSRLSSMESFKNMDSRYSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPISRRSSPPRSTTPTPTLGGLTSPRVVLDGAKPMKDSLNQEVINLRSQVENLTRKSHLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKCMAEKLPEGAVAVPVKHNRLPPLSGIPLPSDISMASENLGSPRSSGEPYSNGSNGSIVSNGPSSVRNKTHLEVGKNGTRQPDSDSKLESEWVESEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYELYNVRVVDKSVASIDSDVPH* >Brasy8G171700.1.p pacid=40079987 transcript=Brasy8G171700.1 locus=Brasy8G171700 ID=Brasy8G171700.1.v1.1 annot-version=v1.1 MHDAVPEEVFCRSDYLDRKTLILEEIVWVDEIEGLLNATSYIEACKIIGVDCEWKPNFEKGSRPNKVSIIQIASDKKAFIFDLIKLYEDGPKALDCCFRRIMCSSNLLKLGYNLQCDLHQLSQSYGELQCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSNWEQRPLSQNQKEYAALDAVVLVHIFHHVRGRPQFGVTEGRQVEWKSHIVSRVNRACSPLRF* >Brasy8G171700.3.p pacid=40079988 transcript=Brasy8G171700.3 locus=Brasy8G171700 ID=Brasy8G171700.3.v1.1 annot-version=v1.1 MHDAVPEEVFCRSDYLDRKTLILEEIVWVDEIEGLLNATSYIEACKIIGVDCEWKPNFEKGSRPNKVSIIQIASDKKAFIFDLIKLYEDGPKALDCCFRRIMCSSNLLKLGYNLQCDLHQLSQSYGELQCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSNWEQRPLSQNQKEYAALDAVVLVHIFHHVRGRPQFGVTEGRQVEWKSHIVSRVNRACSPLRF* >Brasy8G171700.4.p pacid=40079989 transcript=Brasy8G171700.4 locus=Brasy8G171700 ID=Brasy8G171700.4.v1.1 annot-version=v1.1 MHDAVPEEVFCRSDYLDRKTLILEEIVWVDEIEGLLNATSYIEACKIIGVDCEWKPNFEKGSRPNKVSIIQIASDKKAFIFDLIKLYEDGPKALDCCFRRIMCSSNLLKLGYNLQCDLHQLSQSYGELQCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSNWEQRPLSQNQKEYAALDAVVLVHIFHHVRGRPQFGVTEGRQVEWKSHIVSRVNRACSPLRF* >Brasy8G171700.2.p pacid=40079990 transcript=Brasy8G171700.2 locus=Brasy8G171700 ID=Brasy8G171700.2.v1.1 annot-version=v1.1 MHDAVPEEVFCRSDYLDRKTLILEEIVWVDEIEGLLNATSYIEACKIIGVDCEWKPNFEKGSRPNKVSIIQIASDKKAFIFDLIKLYEDGPKALDCCFRRIMCSSNLLKLGYNLQCDLHQLSQSYGELQCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSNWEQRPLSQNQGATSVWCHRGTPS* >Brasy8G090900.1.p pacid=40079991 transcript=Brasy8G090900.1 locus=Brasy8G090900 ID=Brasy8G090900.1.v1.1 annot-version=v1.1 MAKVHPNVAAPPPPLATPGAAAGDEEPVAFTVWRKSLLFNCRGFTVFDARGDLVYRVDSYASDSRAEVVLMDAAGRALLTVRRRKKPIGLGGLGGGDQWLVFPGEDTRAPPLYAVKRRAPQYIRGGAGGGNGKSMAQVAACGGGGGRYEVEGSYARRCCAVYDERRRAVAEVRPKEAVVGTDVFRMVVRPGMGMEASLAMAVVLALDQMFARPSLLRSWSS* >Brasy8G166500.1.p pacid=40079992 transcript=Brasy8G166500.1 locus=Brasy8G166500 ID=Brasy8G166500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCMTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy8G226700.1.p pacid=40079993 transcript=Brasy8G226700.1 locus=Brasy8G226700 ID=Brasy8G226700.1.v1.1 annot-version=v1.1 MGSDSNSGSALPHIALFPFLAKGHTIPYIQLTRHLCRRRLATVTFFTTRGSNASFVRAALPASNDDDDGPAPVVVVELEFPANAQGIPRGAESAERLTSAGTLAAFVHAASLLQPQLDAALQAAQATAAPVSLLVADPFLHWANASAARIGIPKVSFFGTSMFMHVMQELVPRHNPFASLQRGGMDKDGNPATWAVPEFPHIRFTFEDLIAPCGDGPSSATAMMELGGKVQETVNGSHGLIVNSFHGLEGAYIDFWNQHLGPRAWAVGPLCCLSPEQPTNGAGPRPPWIEWLDNKQASGHALLYIALGTMSAIPEAQLRALADGLERAGVGFIWPVRPEDIDLGVGFEERTKGRGLVVREWVDQPAILRHPSVRGFLTHCGWNSILEGVSVGVPLAAWPMNSDQPFHAKLVVDDLGIAVRSVRTSDGTLRGPVTGEEISELIRELMLGEAGIEAAKKAVELSALAKDAMAEGGSSWKAMEEMIAALCVQRNVQENN* >Brasy8G048800.1.p pacid=40079994 transcript=Brasy8G048800.1 locus=Brasy8G048800 ID=Brasy8G048800.1.v1.1 annot-version=v1.1 MAASPNGDDTAELVIRSLPLETRCPPFPLRQYNGFWIPEPILPGVTAARARFEPRPSDVFLASVPKSGTTWLKALAFATLNRAKHLPCDPGHPLRHRNPHDCVEFLEEPVSWSKDVGGGVFAALPSPRVIATHLPYSLLPGCITEEGSGCRIVYICRDPKDVLVSTWQYIKKMVAFAAAGAAADDDDKLLLPRPTPFTIQEAFELFCEGRCVCGPQWRHVGRYWEESKRRPEKVLFLRYEEMLEDPMGNVRKLAEFMGCAFSEEEEAAGVARHIVELCSLDVMKNMEVNKSGAHGYVKNESFFRKGVAGDWSNHMTPAMAARLDKIAEDELQRSGFTFAVAESTCPPAENNHTRTCMKR* >Brasy8G034500.1.p pacid=40079995 transcript=Brasy8G034500.1 locus=Brasy8G034500 ID=Brasy8G034500.1.v1.1 annot-version=v1.1 MEKGRRPPPRSQERTPEGPSAPTPTPAQPLRQDKPTPCRCPQVPPAATGCSLHPRRLHSDWKEIHKDVVSRRCRKGADGSVTAGRRRPSERGGGAGAGQRRPSEQGETEGSVSDGRMNRSERRGADRPPLSPRRRLAELAPLPPVALRESPRSSNFG* >Brasy8G110300.1.p pacid=40079996 transcript=Brasy8G110300.1 locus=Brasy8G110300 ID=Brasy8G110300.1.v1.1 annot-version=v1.1 MREGDPEAQFEVTSTEGTRPATSRKSLEKRYSFLHSEERRFVESRPEWVGGLMEFWDSITIAYLSIFCSCCVFGWNVQRLGFGNMYVHIATFLLFCLAPFFIFNLAAVNINNENLREALGFTGVVLCFLGLLYGGFWRIQMRKRFNLPGNNFCCRNPDVTDCFQWLCCCSCSLAQEVRTADYYDIAEDRSYRGQATEESQRVISPLPREDGLPLFKSTPASPYRSSTASQSIFILESPSAPRRSNGATPIGGSPTKGDMAMKAPAPSVLHREGEDGS* >Brasy8G093500.1.p pacid=40079997 transcript=Brasy8G093500.1 locus=Brasy8G093500 ID=Brasy8G093500.1.v1.1 annot-version=v1.1 MSSSSSTVVYEGWMVRHGRRKIGRSFIHMRYFVLETRLLSYFKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHHRITMAAFNIQEALIWKEKIEMVIDQQQGVVPPDGDTAFSSSQQKASIENGRKSSSSDRESQYSHEEEEEEENQRALMRRTTIGNGPPESLRDWTRGNNLGISDQGSPAQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSVFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRVRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDESQILPRIPVMDNMALSVSSKKGKKTQDNTVQTSLPMDQSRNSTMLDEESDEDEDFQLPESEQEPSTRELDADGKLLGLDEEDSDEIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFIYDKSKVPAGKPLMELVAVDWFKDVKRMDHVAKRKGCPVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPSSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNAYEELPERLIGAVRMSHIELSSAIVPVLDD* >Brasy8G089000.1.p pacid=40079998 transcript=Brasy8G089000.1 locus=Brasy8G089000 ID=Brasy8G089000.1.v1.1 annot-version=v1.1 MIQAMSSQAGGSGVGGGGYAGQTRRGQMQSLARQGSLYSLTLNEVQSQLGEPLISMNLDELLKSVFPDEYDPEGGAVASQTEQALGLQRQGSITMPPELSKKTVDEVWKYIQDSPKTGAEEGGPQRRERQPTLGETTLEDFLVKAGVVTEGYLKDPNDLTANVNVVGSSVIASGAPSLNPGAQWLQQYQQQALEPHHPSMPGSFMASQLGPQPLAVGTGDIMESIYSDGQMTSPMLGALSDPQTPGRKRKRKQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELDMIIFSAPPPEPKYQLRRTSSSPV* >Brasy8G089000.2.p pacid=40079999 transcript=Brasy8G089000.2 locus=Brasy8G089000 ID=Brasy8G089000.2.v1.1 annot-version=v1.1 MIQAMSSQAGGSGVGGGGYAGQTRRGQMQSLARQGSLYSLTLNEVQSQLGEPLISMNLDELLKSVFPDEYDPEGGAVASQTEQALGLQRQGSITMPPELSKKTVDEVWKYIQDSPKTGAEEGGPQRRERQPTLGETTLEDFLVKAGVVTEGYLKDPNDLTANVNVVGSSVIASGAPSLNPGAQWLQQYQQQALEPHHPSMPGSFMASQLGPQPLAVGTGDIMESIYSDGQMTSPMLGALSDPQTPGRKRSASGGYLIRW* >Brasy8G089000.3.p pacid=40080000 transcript=Brasy8G089000.3 locus=Brasy8G089000 ID=Brasy8G089000.3.v1.1 annot-version=v1.1 MIQAMSSQAGGSGVGGGGYAGQTRRGQMQSLARQGSLYSLTLNEVQSQLGEPLISMNLDELLKSVFPDEYDPEGGAVASQTEQALGLQRQGSITMPPELSKKTVDEVWKYIQDSPKTGAEEGGPQRRERQPTLGETTLEDFLVKAGVVTEGYLKDPNDLTANVNVVGSSVIASGAPSLNPGAQWLQQYQQQALEPHHPSMPGSFMASQLGPQPLAVGTGDIMESIYSDGQMTSPMLGALSDPQTPGRKRSASGGYLIRW* >Brasy8G089000.4.p pacid=40080001 transcript=Brasy8G089000.4 locus=Brasy8G089000 ID=Brasy8G089000.4.v1.1 annot-version=v1.1 MIQAMSSQAGGSGVGGGGYAGQTRRGQMQSLARQGSLYSLTLNEVQSQLGEPLISMNLDELLKSVFPDEYDPEGGAVASQTEQALGLQRQGSITMPPELSKKTVDEVWKYIQDSPKTGAEEGGPQRRERQPTLGETTLEDFLVKAGVVTEGYLKDPNDLTANVNVVGSSVIASGAPSLNPGAQWLQQYQQQALEPHHPSMPGSFMASQLGPQPLAVGTGDIMESIYSDGQMTSPMLGALSDPQTPGRKRSASGGYLIRW* >Brasy8G260800.1.p pacid=40080002 transcript=Brasy8G260800.1 locus=Brasy8G260800 ID=Brasy8G260800.1.v1.1 annot-version=v1.1 MGSTTRTPPAALAAVLLLLLLLAPPLTTADGAARKLRSLPPPAGTFNLERVLLVPPSGPSDGRTPDPPEPMRPHRPAAAAQAP* >Brasy8G113200.1.p pacid=40080003 transcript=Brasy8G113200.1 locus=Brasy8G113200 ID=Brasy8G113200.1.v1.1 annot-version=v1.1 MAQESLRLVSPAISAHEGRLPRQYTLEGQGAKKDMSPPLEWYGVPGGTRSLALVVQDIDADPVLPWTHWVVANISPETKGLPEGFSGAGGNARGDDAVQEGINDWKQLGWRGPVPDSEGHRIRFKIYALDDVLSLGNKVTVDKVMLAIEGHVLAEAELTAVFAG* >Brasy8G204600.1.p pacid=40080004 transcript=Brasy8G204600.1 locus=Brasy8G204600 ID=Brasy8G204600.1.v1.1 annot-version=v1.1 MTEERPHSFVVVEGSVFKSPSPTNNRTKKHNSFPSTLPISSQLPNPADSQAPLSSSSPATMIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELVYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLD* >Brasy8G297700.1.p pacid=40080005 transcript=Brasy8G297700.1 locus=Brasy8G297700 ID=Brasy8G297700.1.v1.1 annot-version=v1.1 MEAFLVSASTGAMGSVLGKLGAMLSDEYKLLKGVRGDIKFLKDELEAMQAFLLEMANVQKPEPQDKLRADAVREMSYEIEDIIDKFMVRVGREPSSMSDGFMKLFDKSREKIKNIKTRHKVAKDVQDIKSQVKEISNRYARYTINGPSRSKIEKLDPRLRTMYKDASELVGVEGPRDEIANWLSKEGESSHQAKVVSIVGYGGLGKTTLARQVYEKLGKNYECRAFVSISRTPDMTKILSSMSSQLRNQDYAHGGDPSLVIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASEDILKKCGGLPLAINAISSLLATGKTEEEWNRVRRSIGFAQGKNSDIDALNYILSLSYFDLPLCLRSCLLYLTMFPEDYVIPTMGLVYRWISQGFIHGEDGEDLVELGITYFYELVNRSLIQPIHIRYNGAAPSCRVHDTILDFLTYKSTEENFGTLLNNRLKSGTRVCRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSERTGLGNHHVKDIGRLLQLRYLNICGCTKITELPREIGDLEYLETLDVRVTGLHELPESVTRLKRLARLFVSHKVKLPDSIGNMENLQDLRFIDTSVQSVKFLEELGKLTNLRELIIWWDDREVDKASCKREKLVSTLCKLDACKLRNLKLIFHLREDGGLIGHASFPALSSIRSITIGYGELRWFTKWLLSLVNLEKLSVCDEVKIEQQDVELVGSIPTLLEFYVYNPSAGPIISSNSGVGFQQLQRLVLFLNITGLTFEAGTMPNLKELFLGIQGRHYRSAAGGGFDDFGLQHLSSLSHVHVHIYCLGARAADVKTVEVSVKSMVEAHPNHPTLLMERVSAEDMLKDNDEIAM* >Brasy8G297700.3.p pacid=40080006 transcript=Brasy8G297700.3 locus=Brasy8G297700 ID=Brasy8G297700.3.v1.1 annot-version=v1.1 MEAFLVSASTGAMGSVLGKLGAMLSDEYKLLKGVRGDIKFLKDELEAMQAFLLEMANVQKPEPQDKLRADAVREMSYEIEDIIDKFMVRVGREPSSMSDGFMKLFDKSREKIKNIKTRHKVAKDVQDIKSQVKEISNRYARYTINGPSRSKIEKLDPRLRTMYKDASELVGVEGPRDEIANWLSKEGESSHQAKVVSIVGYGGLGKTTLARQVYEKLGKNYECRAFVSISRTPDMTKILSSMSSQLRNQDYAHGGDPSLVIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASEDILKKCGGLPLAINAISSLLATGKTEEEWNRVRRSIGFAQGKNSDIDALNYILSLSYFDLPLCLRSCLLYLTMFPEDYVIPTMGLVYRWISQGFIHGEDGEDLVELGITYFYELVNRSLIQPIHIRYNGAAPSCRVHDTILDFLTYKSTEENFGTLLNNRLKSGTRVCRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSERTGLGNHHVKDIGRLLQLRYLNICGCTKITELPREIGDLEYLETLDVRVTGLHELPESVTRLKRLARLFVSHKVKLPDSIGNMENLQDLRFIDTSVQSVKFLEELGKLTNLRELIIWWDDREVDKASCKREKLVSTLCKLDACKLRNLKLIFHLREDGGLIGHASFPALSSIRSITIGYGELRWFTKWLLSLVNLEKLSVCDEVKIEQQDVELVGSIPTLLEFYVYNPSAGPIISSNSGVGFQQLQRLVLFLNITGLTFEAGTMPNLKELFLGIQGRHYRSAAGGGFDDFGLQHLSSLSHVHVHIYCLGARAADVKTVEVSVKSMVEAHPNHPTLLMERVSAEDMLKDNDEIAM* >Brasy8G297700.4.p pacid=40080007 transcript=Brasy8G297700.4 locus=Brasy8G297700 ID=Brasy8G297700.4.v1.1 annot-version=v1.1 MYKDASELVGVEGPRDEIANWLSKEGESSHQAKVVSIVGYGGLGKTTLARQVYEKLGKNYECRAFVSISRTPDMTKILSSMSSQLRNQDYAHGGDPSLVIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASEDILKKCGGLPLAINAISSLLATGKTEEEWNRVRRSIGFAQGKNSDIDALNYILSLSYFDLPLCLRSCLLYLTMFPEDYVIPTMGLVYRWISQGFIHGEDGEDLVELGITYFYELVNRSLIQPIHIRYNGAAPSCRVHDTILDFLTYKSTEENFGTLLNNRLKSGTRVCRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSERTGLGNHHVKDIGRLLQLRYLNICGCTKITELPREIGDLEYLETLDVRVTGLHELPESVTRLKRLARLFVSHKVKLPDSIGNMENLQDLRFIDTSVQSVKFLEELGKLTNLRELIIWWDDREVDKASCKREKLVSTLCKLDACKLRNLKLIFHLREDGGLIGHASFPALSSIRSITIGYGELRWFTKWLLSLVNLEKLSVCDEVKIEQQDVELVGSIPTLLEFYVYNPSAGPIISSNSGVGFQQLQRLVLFLNITGLTFEAGTMPNLKELFLGIQGRHYRSAAGGGFDDFGLQHLSSLSHVHVHIYCLGARAADVKTVEVSVKSMVEAHPNHPTLLMERVSAEDMLKDNDEIAM* >Brasy8G297700.2.p pacid=40080008 transcript=Brasy8G297700.2 locus=Brasy8G297700 ID=Brasy8G297700.2.v1.1 annot-version=v1.1 MYKDASELVGVEGPRDEIANWLSKEGESSHQAKVVSIVGYGGLGKTTLARQVYEKLGKNYECRAFVSISRTPDMTKILSSMSSQLRNQDYAHGGDPSLVIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASEDILKKCGGLPLAINAISSLLATGKTEEEWNRVRRSIGFAQGKNSDIDALNYILSLSYFDLPLCLRSCLLYLTMFPEDYVIPTMGLVYRWISQGFIHGEDGEDLVELGITYFYELVNRSLIQPIHIRYNGAAPSCRVHDTILDFLTYKSTEENFGTLLNNRLKSGTRVCRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSERTGLGNHHVKDIGRLLQLRYLNICGCTKITELPREIGDLEYLETLDVRVTGLHELPESVTRLKRLARLFVSHKVKLPDSIGNMENLQDLRFIDTSVQSVKFLEELGKLTNLRELIIWWDDREVDKASCKREKLVSTLCKLDACKLRNLKLIFHLREDGGLIGHASFPALSSIRSITIGYGELRWFTKWLLSLVNLEKLSVCDEVKIEQQDVELVGSIPTLLEFYVYNPSAGPIISSNSGVGFQQLQRLVLFLNITGLTFEAGTMPNLKELFLGIQGRHYRSAAGGGFDDFGLQHLSSLSHVHVHIYCLGARAADVKTVEVSVKSMVEAHPNHPTLLMERVSAEDMLKDNDEIAM* >Brasy8G199200.1.p pacid=40080009 transcript=Brasy8G199200.1 locus=Brasy8G199200 ID=Brasy8G199200.1.v1.1 annot-version=v1.1 MAKASRRPASTATMALLLLVVLELAAMTGVSRGQLQVGFYSESCPDAEDIVASAVQDAAASDPTLLPALVRLQFHDCFVRGCDGSVLIAGAEVRNSKHQGLRGLDVVDAAKALLEEQCPGVVSCADVLALAARDAIGMTAGPSFDVPTGRRDGLASNIRDADVLPDASDSIQTLRSKFAMAGLDDRDLVLLTAAHTIGTTACFFVKDRLYGYPGSPSGSDPGIPAGYLGELKARCAPGDFNTRVPLDRGSEGRFDGSILRNIQAGLVPIASDAALVADNSTAALVGAYIGSPRFRRDFAGAMVKMGTMGVLTADNGEIRDVCSAFNAN* >Brasy8G177000.1.p pacid=40080010 transcript=Brasy8G177000.1 locus=Brasy8G177000 ID=Brasy8G177000.1.v1.1 annot-version=v1.1 MATEGLVPITRDYLARYYDKYPLPPLPDGVTTLAARLRDMSADLAAIAPMSPDEELLGREAGGIPAHKIDENMWKNREQMEEILFLLNKSRRPNPLQQKSTPEDDEIACKLDVVETKIKDMLKKLEQFQLTNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVHAGVSIRDRYKLLWKQQMERRVQLAQLGSLTGVYKTLVRYLVGVPQVLLDFIRQINDSNGPMEVQRERYGPALYTLTKLVLAIRLYLHLSLARYGQMKIEKDDLAVLQQAVVIYSEEFGKFTTFIGEVFVNAPFFISAEDAGADSRRSDEYRETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALSTLLDIGFHVEYISLSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSTFFKKTLRYKVDAVPPVVEPAEPVVTP* >Brasy8G177000.3.p pacid=40080011 transcript=Brasy8G177000.3 locus=Brasy8G177000 ID=Brasy8G177000.3.v1.1 annot-version=v1.1 MLRFAKVESELFEWFCSLTAGSCCWGHVGGSTETADEELLGREAGGIPAHKIDENMWKNREQMEEILFLLNKSRRPNPLQQKSTPEDDEIACKLDVVETKIKDMLKKLEQFQLTNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVHAGVSIRDRYKLLWKQQMERRVQLAQLGSLTGVYKTLVRYLVGVPQVLLDFIRQINDSNGPMEVQRERYGPALYTLTKLVLAIRLYLHLSLARYGQMKIEKDDLAVLQQAVVIYSEEFGKFTTFIGEVFVNAPFFISAEDAGADSRRSDEYRETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALSTLLDIGFHVEYISLSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSTFFKKTLRYKVDAVPPVVEPAEPVVTP* >Brasy8G177000.2.p pacid=40080012 transcript=Brasy8G177000.2 locus=Brasy8G177000 ID=Brasy8G177000.2.v1.1 annot-version=v1.1 MEVQRERYGPALYTLTKLVLAIRLYLHLSLARYGQMKIEKDDLAVLQQAVVIYSEEFGKFTTFIGEVFVNAPFFISAEDAGADSRRSDEYRETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALSTLLDIGFHVEYISLSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSTFFKKTLRYKVDAVPPVVEPAEPVVTP* >Brasy8G067100.1.p pacid=40080013 transcript=Brasy8G067100.1 locus=Brasy8G067100 ID=Brasy8G067100.1.v1.1 annot-version=v1.1 MWSRSTQNDGPVKASAAPPAAPPPPTMAPSENTTGHGGSRRRRHVLLFPLPYQGHINPMFRLAGILHARGFAVTVFHTQFNAPDPARHPEYRFVSVPVPNNDKGVVSGPGAGEGIDGVVSHILALNAASESPFLDRLRVVLEEYSRDAVSCLVVDGHLLSMVHVAARLALPALVLRTGSAACFSCFVAYPSLISKGYLPLQGSKWKMEAEVSELPPYRVRDLMRLGKHELTRELLARSVAAVDASAGLILNTFDALEQPELAKLRRDLGAGIPVFDVGPLHMLSPSAGASSSLLRADGTCLAWLDAHAPASVLYVSFGSLACMTARELVETAWGVAGSGVAFLWVVRPGMVAGSEGLATMPEGFEEATRERGKVVEWAPQEDVLRHAAVGGFWTHNGWNSTTESVCEGVPMLCRPHFGDQTGNARYVEHVWEVGFEVVGAGEELERGKVEKAIRRLVVEKDGREMRARAGELRKKAVGCTGKGGSSDLAVDQLVKHMMSL* >Brasy8G299500.1.p pacid=40080014 transcript=Brasy8G299500.1 locus=Brasy8G299500 ID=Brasy8G299500.1.v1.1 annot-version=v1.1 MAGLLVSASTGAMGSLLGKLGTMLSDEYKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQSKLRANAVRELSYEIEDSIDKFMLLVEQEPSSNTTTKRAICDPHQWRVGQTRH* >Brasy8G105700.1.p pacid=40080015 transcript=Brasy8G105700.1 locus=Brasy8G105700 ID=Brasy8G105700.1.v1.1 annot-version=v1.1 MSLLGMADWSSSWTCGQEGGGLAFASPCVQRSLIDCVNMVLLVAYASSLLAACLRGQWEGWGRRRWEVAAVSICCVAVAATYAVIGFRDAIDAAGTMASVVRGLVWVAVAVSLHVQPTMPARTVALLWWTLFSLLITAYNAEVLVSGYRLDVTEMVAWPVNFLLLLCALSSLLQHSHGHNTLHDDGLLEPLIDKAVHDTELYRAGLFSQLAFSWLNPVLRLGRSKALDLADVPLIGSEDSALQASKKFSEAWSRHRQDKAWSGSTNGLPLVLFKCFLREIMIAGFYAFMRTLAIAVSPVLLFAFVQYSYQEERDLRVGLSLVGCLLLIKLVESLSQRHWFFDSRRIGMRIRSALMAAIFQKQFKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWLHMAWSSPLQLALAVGTLFWALRLGAVPGLVPLIIFGFLNVPFAKVLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRIMIESLRDAEFKWLRETQMKKAYGVVIYWMSPTVVSAVMYTTTAILGSAPLNASTLFTVLATLRVMAEPVRFLPEVLTMMIQYKVSLDRIEKFLIEDEIKEGVERLPSDNSDIRVQVQDGNFSWNASGADLALRNVNLSIRQGEKVAVCGAVGSGKSSLLYALLGEIPRTSGSVEVFGSLAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALSKKSVVLVTHQVEFLTETDRILVMEGGQIKQQGKYAELLESGTAFEKLVSAHQSSITTLDTASQQNQVQGQQVLDDSISPSASLVTQQSSDIEVSTKGPSVIQLTEEEEKGIGDLGWKPYKDYIDVSKGILPLCGMVTAQVLFTCLQIMSTYWLAVAVQINASSALLVGAYSGLSIFSCCFAYLRSLFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGCIEVVTTVLVISTVTWQVLVVAIPVAITMVYVQRYYVASARELVRINGTTKAPVMNYAAESILGVVTIRAFAATDRFIRNNLQLVDNDATLFFHTVAAQEWVLVRVEALQSLTILTSSLFLILVPPGVISPGFAGLCLSYALTLTSTQVFLTRFYSYLENYIISVERIKQYMHLQSEPPAIIPDNRPPTSWPQEGRIDLQDLKVKYRPNTPLVLKGITCTFPAGNRIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSIGLKDLRAKLSIIPQEPTLFRGTVRNNLDPLGLHSDDEIWEALEKCQLKRSISSTAALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQSVIRKQFTSCTVITIAHRVPTVTDSDGVMVLSYGKVLEYDTPAKLLGDKQSAFAKLVAEYWANCKRNST* >Brasy8G105700.2.p pacid=40080016 transcript=Brasy8G105700.2 locus=Brasy8G105700 ID=Brasy8G105700.2.v1.1 annot-version=v1.1 MSLLGMADWSSSWTCGQEGGGLAFASPCVQRSLIDCVNMVLLVAYASSLLAACLRGQWEGWGRRRWEVAAVSICCVAVAATYAVIGFRDAIDAAGTMASVVRGLVWVAVAVSLHVQPTMPARTVALLWWTLFSLLITAYNAEVLVSGYRLDVTEMVAWPVNFLLLLCALSSLLQHSHGHNTLHDDGLLEPLIDKAVHDTELYRAGLFSQLAFSWLNPVLRLGRSKALDLADVPLIGSEDSALQASKKFSEAWSRHRQDKAWSGSTNGLPLVLFKCFLREIMIAGFYAFMRTLAIAVSPVLLFAFVQYSYQEERDLRVGLSLVGCLLLIKLVESLSQRHWFFDSRRIGMRIRSALMAAIFQKQFKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWLHMAWSSPLQLALAVGTLFWALRLGAVPGLVPLIIFGFLNVPFAKVLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRIMIESLRDAEFKWLRETQMKKAYGVVIYWMSPTVVSAVMYTTTAILGSAPLNASTLFTVLATLRVMAEPVRFLPEVLTMMIQYKVSLDRIEKFLIEDEIKEGVERLPSDNSDIRVQVQDGNFSWNASGADLALRNVNLSIRQGEKVAVCGAVGSGKSSLLYALLGEIPRTSGSVEVFGSLAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALSKKSVVLVTHQVEFLTETDRILVMEGGQIKQQGKYAELLESGTAFEKLVSAHQSSITTLDTASQQNQVQGQQVLDDSISPSASLVTQQSSDIEVSTKGPSVIQLTEEEEKGIGDLGWKPYKDYIDVSKGILPLCGMVTAQVLFTCLQIMSTYWLAVAVQINASSALLVGAYSGLSIFSCCFAYLRSLFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGCIEVVTTVLVISTVTWQVLVVAIPVAITMVYVQRYYVASARELVRINGTTKAPVMNYAAESILGVVTIRAFAATDRFIRNNLQLVDNDATLFFHTVAAQEWVLVRVEALQSLTILTSSLFLILVPPGVISPEYKKQPPSDTE* >Brasy8G127000.1.p pacid=40080017 transcript=Brasy8G127000.1 locus=Brasy8G127000 ID=Brasy8G127000.1.v1.1 annot-version=v1.1 MASSRVMAASSQPPRSGSSDLARFRSASGIGSMNMDDILRNIYGEAPPAGAGGASAEPSPAPEAAARRTAEEVWKEISATGGLSAPFPAPAPAGAGGGEAGGASVMTLEDFLAREEDARVTAVEGNMEVGFPDGAEGVVGGRRRGGGGGGRGRKRAPMDPMDRAATQRQKRMIKNRESAARSRERKQAYIAELEAQVTQLEEEHAELLREQEEQNEKRLNELKEQAFQVVIRKKPSQDLRRTNSMEW* >Brasy8G181800.1.p pacid=40080018 transcript=Brasy8G181800.1 locus=Brasy8G181800 ID=Brasy8G181800.1.v1.1 annot-version=v1.1 MFSEFGWTMWFVLKDCTDDLYLDTCICRGLLQLHMGIKATNILLDVQLMPYLSHCGLAKFSHFVSGTRMDVRAGSGRVHCNLNHVRLNGRAVGVDFVRLAYTDKTHKEYIRVERVS* >Brasy8G181800.2.p pacid=40080019 transcript=Brasy8G181800.2 locus=Brasy8G181800 ID=Brasy8G181800.2.v1.1 annot-version=v1.1 MGIKATNILLDVQLMPYLSHCGLAKFSHFVSGTRMDVRAGSGRVHCNLNHVRLNGRAVGVDFVRLAYTDKTHKEYIRVERVS* >Brasy8G151100.1.p pacid=40080020 transcript=Brasy8G151100.1 locus=Brasy8G151100 ID=Brasy8G151100.1.v1.1 annot-version=v1.1 MEAEQQKPQRPRRKAQKRRLDDEAAASAAAAAAAAAAAAASAAASSPLGSADAEDDNEEDEGSAGPEICCRHSQAAVAREVRTQVDALNLSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVCHLKVPPAVAAVQEEQQPRPFEYDVEKGAALALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNSRAVNSVIRRAADAITNLAHENSNIKTCIRIEGGIPPLVELLESQDVKVQRAAAGALRTLAFKNDENKTQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSECKVHIVQRGAVRPLIEMLQSADFQLREMSAFALGRLAQDTHNQAGIAYNGGLAPLLKLLDSKNGSLQHNAAFALYGVADNEDYISDFVKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLVYLMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLVSVSPKHQQDGSVALYKLANKAAMLSTMDAAPPSPTPQIYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVEVTNELAQDLLRAADQYLLEGLKRLCEYTIAQDVNLDNVSDMYDLSEAFHAMSLRHTCVLFILEQFDKICIRPGFSQLIQRVIPELRNFFVKALRPSHRTAQP* >Brasy8G207700.1.p pacid=40080021 transcript=Brasy8G207700.1 locus=Brasy8G207700 ID=Brasy8G207700.1.v1.1 annot-version=v1.1 MEAHYPKYVLYGVLIIGSWLVSSLLHFQFFHLSLFSSSSRLRGVALLVVDVPPVPAISLNTSFIGLPENPPPLPPAAVVAEDNGAAAACEGRYVYMVDLPSRFDVLRDCVEGSPEFDKWYSQCTLMSNAGMGPALPHPTGDGTDGDTGLIGPDAAGSGWYNTDQYALEVIFHNRMRRYPCLVSDPASATAVYVPYYPALELQQHLCGDTNSDARDRPSSEFIQWLSSQPHWATLGGRDHFLVASKTTWMFRRLVQQGAGGEEKKKNRYCGNNFLDSHPETANMTVLTYESNLWSARREDFAVPYPSYFHPASADAVSAWQARVRSAPRRWLFAFAGARRANGSLPIRDRIIDACAASSRCGRVDCGEGHGDLEGYITCRTPRRLVSVFGASRFCLQPRGDSFMRRSSVDAVMAGCVPVFFHQPSTFETQYRWHEPDPEKKINGGDERQRSSVLIDADEVMQGKVDIEEVLGRYTDQEVAAMREEVIKMIPRFLYKDPRVRFEGEMRDAFDIAIDEVLERVRRIKNGEDLGLEDADGQLVVAKDL* >Brasy8G130000.1.p pacid=40080022 transcript=Brasy8G130000.1 locus=Brasy8G130000 ID=Brasy8G130000.1.v1.1 annot-version=v1.1 MASDLEPLLPRAGSAHRLVSGGWKSAMFIIWVEVAERFAYYGISGNLISYLTGPLGESTAAAAAGVNAWSGASSMLPLLGAAVADSWLGRYRTIVASSVLYIMGLGMLALSSMFSSPQTQQCNLSAGGRRACPSSSHLQTAFFYISLYLVAIAQSGHKPCVQAFGADQFDTTDPRESSSRSSFFNWWYFGICASSTVTIALMSYVQDNVSWGIGFGVPCVVMMMALVIFLLGTRTYRFYSSGNGGGATMFAFAAQVFRAWMKRSPEGGASVEHNAATVEEVRSMAKLLPVWATCLLYGVVFAQPPTLFTKQAATLDRRVGSSSFQVPPAALQCFMGISMITCVVLYDRVLVPVARRFTGVASGITMLQRIGTGMALALAALVIAALVEMKRLNTVRDAGIVDQPDAVVPMSLWWIVPQYVLLGAADVFTMVGMQEFFYDQMPGALKSLGLALYLSVVGVGSFMSSFLISVIDSVTKRDGWTSWFADNLNRGHLDYFYLLVAALTALELIAYLYFSSSYVYNRKNVNVQ* >Brasy8G181900.1.p pacid=40080023 transcript=Brasy8G181900.1 locus=Brasy8G181900 ID=Brasy8G181900.1.v1.1 annot-version=v1.1 MDYSNLRRQIIFMKKSFFDQGYLDEQFNQLEELQDESSPNFVEEVVALFLKDSPRLLINIEQAIEKYPQDFYRLDSLVHQLKGSASSIGAVRMKNECSVLKAHGNDKNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRNSAVNSRK* >Brasy8G181900.2.p pacid=40080024 transcript=Brasy8G181900.2 locus=Brasy8G181900 ID=Brasy8G181900.2.v1.1 annot-version=v1.1 MGYLDEQFNQLEELQDESSPNFVEEVVALFLKDSPRLLINIEQAIEKYPQDFYRLDSLVHQLKGSASSIGAVRMKNECSVLKAHGNDKNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRNSAVNSRK* >Brasy8G154400.1.p pacid=40080025 transcript=Brasy8G154400.1 locus=Brasy8G154400 ID=Brasy8G154400.1.v1.1 annot-version=v1.1 MASLLAPPAASWRGVLPPAPPRQPTPRHGLIPRAARSSCYRFRTDDDGVVDVAVARKEGEGGGYTVGVQVPLLPGSARGREGGGLVLRPADCGEGVALAPAVSSGGGGACLAAELSFDVSRAPFNLSFLLTDGEGAEIRTHRKTTFRVPVGVRRGSPAPLGMSLSDDGAANFALYSKTAKGVVLCLYDGKGSDEPALEIDLDPYVNRTGNVWHVSLDCVEGYVSYGFRCGLFGTGHPLLDPYTKVLGDFVSASSVYVEGVPVQSMSCLGSLANAPSYNWGRDKHPCLPFEKLVLYRANVALFTKDKSAELPDNVAGTFSGMAAKIDHFRSLGVNAVLLEPVFPFHKEKGPYFPYHFFSPMNSFSSEGCSASAITSMKDMVKAMHKNGIEVLLEVVFTHTAEGEADCQMISIRGIDNCSYYIADEIVGCNAGILNCNSPVTQKLILDSLRHWVLDFHVDGFCFINAPFLLRGPCGEYLSRPPLLESIAFDPVLSKTKIIADPWSPLGISNVQFPFPHWKRWTEMNTRFSIDVRKFLKGEALISDLATRLCGSGDLFSSRGPAFSFNSVSRNSGLTLVDLVSFSNDDLASESSWNCGEEGPSENSAVLQTRLRQIRNFIFILFVSLGIPVLNMGDECGHSSGGSTLFKDRVPLNWKGLKTTFVKEVTGFISFLAALRSRRGDIFQRREFLKLENIYWHGKDLSEPRWEDPTSKFLCMHVVAENDGNMPDSTKGDLYICFNANEESISATLPAPADVSMWLCLVDTSLALPGFFATESNPKVQQVPGYSSYEVKAHSCVLFESKRDLSLLL* >Brasy8G137300.1.p pacid=40080026 transcript=Brasy8G137300.1 locus=Brasy8G137300 ID=Brasy8G137300.1.v1.1 annot-version=v1.1 MPSASKSKAKDRAAAFKAAKEQPKVAVKPIGNGSVASTYNNNLSGKFHLLEPSSSLLGSQGSEKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDTKEKSTSTAPRVDSVPGCDLDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLETLAQKLVAMGFSADHATMALIHNEGCLEESVAWLCNFDGSEETKQQVAADQQSGANLKINITEEVAKIVILEAKFKCTKQEVERAVVSCEGDLEKAEEVLKTQKQESATTVSKPEGSGDPSGLVNKQQVMLAQNPARPQANGFSSVGVQQMRRDEKDLNYKLLLNGSGPKEAAVKGFQPLATPMKPEMVRQQFFQPEKRRLNAVPTVPYVTSSPLPVAVSQMKSETRHLAAGNEMKSAMHNGGLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPSSREWYLNGASGVDMMVNGGLGNGLRNMNLDSVNSAKQFMHANHQQSFVSNPIELAANGWGGTWGSGGTSSSQAVASSLGMHRGGWSPSESSSTLSHGDWRTNGPAPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGAGINGLHDSNLPMDPAPSPRPYEWPSFCRGGSS* >Brasy8G137300.2.p pacid=40080027 transcript=Brasy8G137300.2 locus=Brasy8G137300 ID=Brasy8G137300.2.v1.1 annot-version=v1.1 MPSASKSKAKDRAAAFKAAKEQPKVAVKPIGNGSVASTYNNNLSGKFHLLEPSSSLLGSQGSEKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDTKEKSTSTAPRVDSVPGCDLDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLETLAQKLVAMGFSADHATMALIHNEGCLEESVAWLCNFDGSEETKQQVAADQQSGANLKINITEEVAKIVILEAKFKCTKQEVERAVVSCEGDLEKAEEVLKTQKQESATTVSKPEGSGDPSGLVNKQQVMLAQNPARPQANGFSSVGVQQMRRDEKDLNYKLLLNGSGPKEAAVKGFQPLATPMKPEMVRQQFFQPEKRRLNAVPTVPYVTSSPLPVAVSQMKSETRHLAAGNEMKSAMHNGGLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPSSREWYLNGASGVDMMVNGGLGNGLRNMNLDSVNSAKQFMHANHQQSFVSNPIELAANGWGGTWGSGGTSSSQAVASSLGMHRGAKSEWLSDTWSTMFMGGRSTRPSGNLGGAGINGLHDSNLPMDPAPSPRPYEWPSFCRGGSS* >Brasy8G180600.1.p pacid=40080028 transcript=Brasy8G180600.1 locus=Brasy8G180600 ID=Brasy8G180600.1.v1.1 annot-version=v1.1 MSSPAEYYNSLPPISKAYGTLCFFTTVLVQLQILNPALIFLYYPFVFKHFQIWRLFTNFFFLGKFSINFGIRLLMIARYGVQLEKGSFEKRTADFLWMMIFGAISLLVLSAIPYLQTAFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLALDVIFGSEILPGLLGIMVGHLYYFFAVLHPLASGKNYLKTPVWVHRIVARFRFGVQANSPVRPANTGPSAFRGRSYRLSQ* >Brasy8G248600.1.p pacid=40080029 transcript=Brasy8G248600.1 locus=Brasy8G248600 ID=Brasy8G248600.1.v1.1 annot-version=v1.1 MVSHWITSLRKSYRQSSQLGSWGERGGIRCSYSRTEGISLLFTPAASLQIQSEIFASPIQEDARWRR* >Brasy8G156700.1.p pacid=40080030 transcript=Brasy8G156700.1 locus=Brasy8G156700 ID=Brasy8G156700.1.v1.1 annot-version=v1.1 MSPKEMAFRPDAELPTPGVPHLTSPRVTSTHGPPKLLPTPVRRPSPELAASITSLAAESPSPSMSSLSGSDDFSALLDAQLELDSDADSASPADPSASATSDDDDEEEEEDVVVEADTVEQGSTKRRRVEEQHQDRGTAMRPDEDAIGSFKDAEIKICPPHPGFFGGLCINCGKIQDEEDVPGVAFGYIHKGLRLGTSEIERLRGSNLKKLLRERKLVLILDLDHTLINSTRLHDISAAEMDLGIQTAASKDDPNRSLFTLEGMHMLTKLRPFVRKFLEEASNMFEMYIYTMGDKAYAIEIAKLLDPGNVYFGSKVISNSDCTQRHQKGLDVVLGAESVAVILDDTEDVWQKHKENLILMERYHYFASSCRQFGFNVRSLSELMVDERESDGALSTILDVLKRIHTIFFDSGVETALSSRDVKQVIKRVRQEVLQGCKLVFSRVFPSNLAEKLGATCCAHVDSTVTHVVAVDVGTEKARWAVENKKFLLHPRWIEASNYRWRRQPEEDFPVAGRKEKGKEKVAENDAAATSPTDS* >Brasy8G050100.1.p pacid=40080031 transcript=Brasy8G050100.1 locus=Brasy8G050100 ID=Brasy8G050100.1.v1.1 annot-version=v1.1 MARTKRSARRKPKGVAFFGVEDKSSTQLMIPPMAIGIQMKSANPDARSIPPPPNGGSAIVSPITPTPTSSAPTGPSPSASAVATIAPARRGSTKARATKKKSAFTGTATIASHGASPNVGITRSSRGRGKTGRGKRATTFFFLPNLDKRAGCSCSALKKNRVNESQASTSSVVATQRGRRTTPAVQARYEPDRAYAISSRGRVHSWFAIGARLKK* >Brasy8G276500.1.p pacid=40080032 transcript=Brasy8G276500.1 locus=Brasy8G276500 ID=Brasy8G276500.1.v1.1 annot-version=v1.1 MSSPSRRSSSPESNIDGGSGSGSAGDERKRKRMLSNRESARRSRARKQQRVEELIAEASRLQAENARVEAQVGAYAAELSKVDGENAVLRARHGELAGRLQALSGVLEIFQVAGAPVDIPEIPDPLLRPWQSPFAPQLIPATAAGAMADAFQF* >Brasy8G024700.1.p pacid=40080033 transcript=Brasy8G024700.1 locus=Brasy8G024700 ID=Brasy8G024700.1.v1.1 annot-version=v1.1 MADEPRDPALHDSKELETMKTFECEGNQPGDVLDPHKRSKVVKGVEANYALKLANNPAKRSKLDEHKVAMLGKKRARQTVVINDEDAKQAGILRISTPRRQSRGVGEGPVETQNQLVIRDQMQSETMSSERSNSAAPNDQYAESNGDDELVSQSWSKKINAEEAPSDGYQQSGPRQASLRQTMDYKQIKGRPVFSQRALVTGQNTADQKLTNKKSLVSKKQVSAKNAQYQDTSVERLLQEVTSDKFWHNPDESELQSVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKNVDRRERGWYDVVVLPTHEHKWTFKEGEVAVLSFPQPGSAAQSSNTYRKTVGSNEDAESECGRLVGRVRRHMPNDTRDPIGAIIRFYVGNSFDSSCSETNVLGKLQPQSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYQEQPPAMPDCFTPNFSCHLHHTFNGPQLSAIHWAAMHTAAGTSSGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYEQVGGSASSGSEAVAAGSMDEVLQSMNQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMRVYRPDVARVGVDSQSRAAQAVSVERRTEQLLMKGRDEVIGWLHQLKCREQQLSQEIALLQRELNMVAEAGRSQGSFGVDPDMLAERDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRAGRNFNLEDARASLEASFASEAEIVFTTVSSSGRKLFSSLNHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVNLPDEAYYRDVLMAPYIFYDISHGRESHRGGSSSYQNVHEAQFALRLYEHLQKFLRANGGRRASVGIITPYKLQLKCLQREFAEVMNTAEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVIGNANALMQSEDWAALVADAKARKCFMDLNSIPNDFLPMNNCSSTRGRNSSNNTRNTWTGGPRPRYFDVLREPRIDMRAGEGECPNFVPRNGSYRNPNDLGRPGDGPRDNLQFGTTRRPDPSNGPR* >Brasy8G024700.2.p pacid=40080034 transcript=Brasy8G024700.2 locus=Brasy8G024700 ID=Brasy8G024700.2.v1.1 annot-version=v1.1 MADEPRDPALHDSKELETMKTFECEGNQPGDVLDPHKRSKVVKGVEANYALKLANNPAKRSKLDEHKVAMLGKKRARQTVVINDEDAKQAGILRISTPRRQSRGVGEGPVETQNQLVIRDQMQSETMSSERSNSAAPNDQYAESNGDDELVSQSWSKKINAEEAPSDGYQQSGPRQASLRQTMDYKQIKGRPVFSQRALVTGQNTADQKLTNKKSLVSKKQVSAKNAQYQDTSVERLLQEVTSDKFWHNPDESELQSVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKNVDRRERGWYDVVVLPTHEHKWTFKEGEVAVLSFPQPGSAAQSSNTYRKTVGSNEDAESECGRLVGRVRRHMPNDTRDPIGAIIRFYVGNSFDSSCETNVLGKLQPQSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYQEQPPAMPDCFTPNFSCHLHHTFNGPQLSAIHWAAMHTAAGTSSGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYEQVGGSASSGSEAVAAGSMDEVLQSMNQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMRVYRPDVARVGVDSQSRAAQAVSVERRTEQLLMKGRDEVIGWLHQLKCREQQLSQEIALLQRELNMVAEAGRSQGSFGVDPDMLAERDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRAGRNFNLEDARASLEASFASEAEIVFTTVSSSGRKLFSSLNHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVNLPDEAYYRDVLMAPYIFYDISHGRESHRGGSSSYQNVHEAQFALRLYEHLQKFLRANGGRRASVGIITPYKLQLKCLQREFAEVMNTAEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVIGNANALMQSEDWAALVADAKARKCFMDLNSIPNDFLPMNNCSSTRGRNSSNNTRNTWTGGPRPRYFDVLREPRIDMRAGEGECPNFVPRNGSYRNPNDLGRPGDGPRDNLQFGTTRRPDPSNGPR* >Brasy8G207800.1.p pacid=40080035 transcript=Brasy8G207800.1 locus=Brasy8G207800 ID=Brasy8G207800.1.v1.1 annot-version=v1.1 MCTTLGLTEEWWQTLQSNEQEKYICKNCEYKQHQCFACGLMGSSGLTSGSEVIQCKHKMCGYFYHPKCVAELVHPDSKPQAILFEKHVALGLKFHCPLHRCSLCKESENRDDKDMQLAVCRRCPTAYHRKCLPSDISFEKDDEEGTQQRAWDNVLPDQILIYCMKHKVDKDLRTPKRDHIVFPDDRPLSEPSRSAQPAETGCDQVKAIDSFAPRQLFPHPQPGSCGWIDD* >Brasy8G050400.1.p pacid=40080036 transcript=Brasy8G050400.1 locus=Brasy8G050400 ID=Brasy8G050400.1.v1.1 annot-version=v1.1 MVLCNLLPPRVNLLIFRLNQRWLIPCKNIKKEAQAICRRLPVRHCRPPKSIAAALRAVHRCSPSAESTAALILPARRRPVTAHPLNPPPPRRDPCATAPPRPIRGIRSPPRRRPRREILDCCG* >Brasy8G188600.1.p pacid=40080037 transcript=Brasy8G188600.1 locus=Brasy8G188600 ID=Brasy8G188600.1.v1.1 annot-version=v1.1 MAASASVTALSFSARPSTTAAVTVRPRAAVSVRASASATAGAAAQQGGAGKWWAPLVGWSGRADYIETAAGEEEEDETRIRSSSFVGGLTEAKARQLRARMVETESFHDAMYHSAIASRLARSA* >Brasy8G235700.1.p pacid=40080038 transcript=Brasy8G235700.1 locus=Brasy8G235700 ID=Brasy8G235700.1.v1.1 annot-version=v1.1 MSAAVMPAAAPTERVESSSGEAEIAYVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKEGKCVGTVVCKMGEHRGAFRGYIAMLVVLKAYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRLDLGLPPMMMGDERDDQLTDSPYL* >Brasy8G180300.1.p pacid=40080039 transcript=Brasy8G180300.1 locus=Brasy8G180300 ID=Brasy8G180300.1.v1.1 annot-version=v1.1 MAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTREMESEIAKHSDTEKDYLVKESELMKGVSVAEFELDGLMQVAGAGTDSLKVMEGNLEFQKATLNEIRKRFSDKMERFIADSKGFQANIFGGSNDDLHVLLKEKDSLEDESENLRLKINTIQSSSTAYIAEILEEVNMENSVLESELQYKISEYVDVLKDINNLKILFSSINS* >Brasy8G180300.2.p pacid=40080040 transcript=Brasy8G180300.2 locus=Brasy8G180300 ID=Brasy8G180300.2.v1.1 annot-version=v1.1 MAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTREMESEIAKHSDTEKDYLVKESELMKGVSVAEFELDGLMQVAGAGTDSLKVMEGNLEFQKATLNEIRKRFSDKMERFIADSKGFQANIFGGSNDDLHVLLKEKDSLEDESENLRLKINTIQSSSTAYIAEILEEVNMENSVLESELQYKISEYVDVLKDINNLKILFSSINS* >Brasy8G180300.3.p pacid=40080041 transcript=Brasy8G180300.3 locus=Brasy8G180300 ID=Brasy8G180300.3.v1.1 annot-version=v1.1 MAEDSGAILRHISSLKDMLDKVNEEIEQNIQRTREMESEIAKHSDTEKDYLVKESELMKGVSVAEFELDGLMQVAGAGTDSLKVMEGNLEFQKATLNEIRKRFSDKMERFIADSKGFQANIFGGSNDDLHVLLKEKDSLEDESENLRLKINTIQSSSTAYIAEILEEVNMENSVLESELQYKISEYVDVLKDINNLKILFSSINS* >Brasy8G273800.1.p pacid=40080042 transcript=Brasy8G273800.1 locus=Brasy8G273800 ID=Brasy8G273800.1.v1.1 annot-version=v1.1 MVNNCGEPVWPALLGTTGHVTPQSGGFHLAAGEELTFNVPLMWSGRVWPRRGCAFDGNGTNGSCATGDCGGVLRCAGKPGAAPATVVEMTLGTPASPVHFYDVSLVSGFNAPVSMAPVGGGRGCGVAACSADLNVCCPSALEARDVNGSVAGCRSACGAMGGDRYCCTGDYGTPAACKPTMFAHLFKAFCPRAYSYAFDDASSLNRCKASRYLVTFCPPQPQY* >Brasy8G277800.1.p pacid=40080043 transcript=Brasy8G277800.1 locus=Brasy8G277800 ID=Brasy8G277800.1.v1.1 annot-version=v1.1 MFGDLQRKTCLSSCLSLSSKSELPCPRRVGRLFGIGRRGLQAIVAVRLVGGFCGEVGVASSRSDV* >Brasy8G299100.1.p pacid=40080044 transcript=Brasy8G299100.1 locus=Brasy8G299100 ID=Brasy8G299100.1.v1.1 annot-version=v1.1 MAKLAGSSGDQTIPFRRTKQPAASSFRRGPAQKIQSEGSTLRAVDTIPSCSSNKRGTRDWANLEDGPAGLIAELALANDVADYVRFRAVCRPWRQCSPDPRAAGLDARFLPRHWIMLDNAFAGPCSRFFNVCTGECIRMDLPLLADHMLLALTTEGLLLLLHEPTHTLRLLNPLTLQLTHLPPVTELLRPDQQQDIARGFELGDTLQVLGASIVAGASVVAVTFSNPMALAVAKPGDETWTAIDHHDRRTITNSLPFRGRLYCASRLGVMVLNIGSGQPRLVMAAEWNRPFYLCPMSASLHLVDNGGELMLVHRKLLSMDYSDEDDHSLDEDDGRQTYSRDYQVYRVDLDAGIMIPVKGINGRAVFMGMTRTISVSPEVFPFVTADTIYLGSDCDSEISGYNLVDGSREPCNYGSFYPSRVVECLCRCIEGTGDLLAYSLRRLEFSKKIKH* >Brasy8G149500.1.p pacid=40080045 transcript=Brasy8G149500.1 locus=Brasy8G149500 ID=Brasy8G149500.1.v1.1 annot-version=v1.1 MRPTHAAVTLLLVALCLGGGGGREASASSDFDYPAAFNFGDSNSDTGGRIAAGFEPMPPPYGSTFFGSPSGRFCDGRLIVDFLMDAMNMPFLNSYLDSVGAPNFRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKEKVTKLLSKGDRYRRYIPQLDYFSKGLYMFDIGQNDLAGEFYSKTEDQVIASIPTILLEFETGLKTLYEQGATKFWIHNTGPLGCLPQNIALFGKDPSQLDEVHCVAKHNRAAKIFNLQLHALCTKLRGQFAGADITYVDIYSIKYSLIANYSRYGFESPTQACCGYGGPPLNYDGRVPCGQTKSVNGNLVTAKGCSDSTEYVNWDGIHYTEAANFHITSQILTGRHSDPPFVDKMPFLLKPRF* >Brasy8G244600.1.p pacid=40080046 transcript=Brasy8G244600.1 locus=Brasy8G244600 ID=Brasy8G244600.1.v1.1 annot-version=v1.1 MRKVCPNLDREDGLDTVLEVPLPELIQDTAATAFSGRRRRRSGTVKAWMRSHAGDHHQQHRRRREPSRADVQIMLGVMGAPLVPQPVEARKAMAAGHDIKDEPLEVSKARYVMEQYVAAAGGEAALGAATSMYAMGNVRMSTTTSSNKGRSSAKKSYGEVSGGFVVWQKKPEFWCVEMVVSGGTKLSAGSDGKVAWRQTPWQQAHASRGPPRPIRRCVQGLDPKSTADLFSSAAWVGEECVDGEDCFVLRVDADRSALDARSGNDVEVVRHALWGCFSQRTGLLVRLEDTHLLRVPATEIISSASEIQDSYWETTVESSIGDYRAVDGINIAHAGRTVVSLSRFTGGDAEAAGRKMTRPCTTTMEETWSIEEVDFNVAGLSRECFLPPRDLLVSSSKQQQRQKKDNAAEAAALCAKSVVKAKKALVPAVTGLGWFGPAKVVAVDDVDAADDSKDSTRASTR* >Brasy8G285700.1.p pacid=40080047 transcript=Brasy8G285700.1 locus=Brasy8G285700 ID=Brasy8G285700.1.v1.1 annot-version=v1.1 MERLTRRTATMAPSWPWLLLLLLCLAGGGILQPRAQPDSKGFISIDCGYPGETSYMDDTTMLSYSPDTGFIDSGTNHNISGEYVRPLLSRRAHNLRSFPDGTRNCYTLRSLVSGLKYLIRASFVYGNYDGLNRPPVLFDLYIGVNFWMAVNMSSWSDPAGGVVTAEAIVMVPDNFVQVCLVNTGSGTPFISGLDLRPLKTTLYPQATAAQGLVMLARLNAAPTNKTYIARYPDDPHDRIWFPWYDAVNWAEMSTTQRVQNIENDLFEAPSAVMQTAITPRNASKNIEFYWDAEPKPNDPSPGYIAIMYFSELQLLDGNDVRQFYVNLNGNPWYPTGFTPQYLSNGATYNSFPSRHSRYNISINATSNSTLPPIINAVEVFSVIPTTNIGTDSQDATAAMAIKAKYQVQKNWMGDPCLPKNMAWDMMNCSYAIPNPSRITSINMSSSGLTGDISSSFAKLKALLYLDLSNNNLTGSIPDALSQLPSVTVIDLSGNKLNGSIPPGLLKRIQDGSLDLRHGNNPDLCTGSNSCELAAQRKNKVAIYVAVPILVILVIVSAAVLVFFLLRRRNQQQGSMNNMTAVKPQNEEAMSTTSYGGGDGDSLRIVENRRFTYKELEMITNGFERVLGQGGFGRVYDGFLEDGTQVAVKLRSHASDQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAHGTLREHIAGSDRNGACLLWRQRLQIALESAQGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSRAFDHDTDPVSTNTLVGTPGYVDPEYQMMMQPTTKSDVYSFGVVLLELVTGKPAILSNPEPTNIIHWARQRLARGNIEGVADARMNSGYDVNSVWKVAEIALKCTAQASAQRPTMADVVAQLQECVELENGRAVYTGGSSSVDDPNWSDHGAYTSGQSTHVSNNTPFEMEHRVPTVVTGPAIR* >Brasy8G285700.2.p pacid=40080048 transcript=Brasy8G285700.2 locus=Brasy8G285700 ID=Brasy8G285700.2.v1.1 annot-version=v1.1 MAVNMSSWSDPAGGVVTAEAIVMVPDNFVQVCLVNTGSGTPFISGLDLRPLKTTLYPQATAAQGLVMLARLNAAPTNKTYIARYPDDPHDRIWFPWYDAVNWAEMSTTQRVQNIENDLFEAPSAVMQTAITPRNASKNIEFYWDAEPKPNDPSPGYIAIMYFSELQLLDGNDVRQFYVNLNGNPWYPTGFTPQYLSNGATYNSFPSRHSRYNISINATSNSTLPPIINAVEVFSVIPTTNIGTDSQDATAAMAIKAKYQVQKNWMGDPCLPKNMAWDMMNCSYAIPNPSRITSINMSSSGLTGDISSSFAKLKALLYLDLSNNNLTGSIPDALSQLPSVTVIDLSGNKLNGSIPPGLLKRIQDGSLDLRHGNNPDLCTGSNSCELAAQRKNKVAIYVAVPILVILVIVSAAVLVFFLLRRRNQQQGSMNNMTAVKPQNEEAMSTTSYGGGDGDSLRIVENRRFTYKELEMITNGFERVLGQGGFGRVYDGFLEDGTQVAVKLRSHASDQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAHGTLREHIAGSDRNGACLLWRQRLQIALESAQGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSRAFDHDTDPVSTNTLVGTPGYVDPEYQMMMQPTTKSDVYSFGVVLLELVTGKPAILSNPEPTNIIHWARQRLARGNIEGVADARMNSGYDVNSVWKVAEIALKCTAQASAQRPTMADVVAQLQECVELENGRAVYTGGSSSVDDPNWSDHGAYTSGQSTHVSNNTPFEMEHRVPTVVTGPAIR* >Brasy8G084400.1.p pacid=40080049 transcript=Brasy8G084400.1 locus=Brasy8G084400 ID=Brasy8G084400.1.v1.1 annot-version=v1.1 MIWILGCFPATHMTGILSGLSNWNGLSRMRKSGGNCFVWFVLFVLAIFAIE* >Brasy8G174000.1.p pacid=40080050 transcript=Brasy8G174000.1 locus=Brasy8G174000 ID=Brasy8G174000.1.v1.1 annot-version=v1.1 MRNWGEKAAASAFGSELGTLGGTRLRHCGAPCSAPGSRGSAAVRSLLRPPARAAPPATPAPCSAPRIVPLCRRRSR* >Brasy8G115500.1.p pacid=40080051 transcript=Brasy8G115500.1 locus=Brasy8G115500 ID=Brasy8G115500.1.v1.1 annot-version=v1.1 MEYYCQHSNSGISSHGAKEKRPPLKRGQLKRQIVRTISNLVVSRSDSHSDPESRGVGRSSSSFGGEPTYN* >Brasy8G073300.1.p pacid=40080052 transcript=Brasy8G073300.1 locus=Brasy8G073300 ID=Brasy8G073300.1.v1.1 annot-version=v1.1 MVLAQLGGSISRALLQMSNATVIDEKVLGECLNEISRALLQSDVQFKMVCDMQANIRKIVNLEALAAGTNKRRIIQQAVFNELCNMLDPGKPAFIPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPSLVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGLERFRKENSDLIIIDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSAAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVKRLLGMGDLSGLMDKIQDVMPADQHPELLQKLTEGTFTLRLLYEQFQNLLKMGPIGQVFSMLPGFSSELMPKGHEKEGQAKIKRYMTIMDSMTNAELDSTNPKLMTESRIVRIARGSGRQIRDVMDMLEEYKRLAKMWSKMKGLKMPKNGKMSDLSQNLNMQQIQKALPPQVLKQMGGLGGLQALMKQMGGKDMSKMLGDMGMGGDH* >Brasy8G045400.1.p pacid=40080053 transcript=Brasy8G045400.1 locus=Brasy8G045400 ID=Brasy8G045400.1.v1.1 annot-version=v1.1 METPAVIAVVENGFVGVERKVQKSYWEEHSRDLTVESMMLDSRAKDLDKEERPEVLSILPPYEGKTVLELGAGIGRFTGELAKEAGHVLALDFIDSVIKKNEEINGHIHNNITFMCADVTSPELKIEDNSIDLVFSNWLLMYLSDEEVEKLIGRIVKWLKVGGHIFIRESCFHQSGDSKRKVNPTHYREPRFYTKIFKECSSYDQEGNSFELSLVTSKCIGAYVKSKKNQNQICWLWEKVKSTDDKGFQRFLDNVQYKSSGILRYERVFGEGYVSTGGFETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMSETYDVHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKEYPENTFDVIYSRDTILHIQDKPALFRNFFKWLKPGGKVLISDYCRSPGKPSEDFAAYIKQRGYDLHDVKTYGKMLDNAGFHDVVAEDRTDQFLRVLERELAETEKNKEAFLADFTQEDYDDIVNGWSAKLKRSSAGEQKWGLFIATK* >Brasy8G045400.2.p pacid=40080054 transcript=Brasy8G045400.2 locus=Brasy8G045400 ID=Brasy8G045400.2.v1.1 annot-version=v1.1 MAEAAEPTGEEFLAAAELDPESDQWVLSILPPYEGKTVLELGAGIGRFTGELAKEAGHVLALDFIDSVIKKNEEINGHIHNNITFMCADVTSPELKIEDNSIDLVFSNWLLMYLSDEEVEKLIGRIVKWLKVGGHIFIRESCFHQSGDSKRKVNPTHYREPRFYTKIFKECSSYDQEGNSFELSLVTSKCIGAYVKSKKNQNQICWLWEKVKSTDDKGFQRFLDNVQYKSSGILRYERVFGEGYVSTGGFETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMSETYDVHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKEYPENTFDVIYSRDTILHIQDKPALFRNFFKWLKPGGKVLISDYCRSPGKPSEDFAAYIKQRGYDLHDVKTYGKMLDNAGFHDVVAEDRTDQFLRVLERELAETEKNKEAFLADFTQEDYDDIVNGWSAKLKRSSAGEQKWGLFIATK* >Brasy8G251900.1.p pacid=40080055 transcript=Brasy8G251900.1 locus=Brasy8G251900 ID=Brasy8G251900.1.v1.1 annot-version=v1.1 MQHQIRRCGGVAFAALRRVRHFPAAPPPAARCCPSAPRLYSTADMNQQLPANLVQIMEQRMKLIEQRSAYLQDQINQPAASPEEYSRANKEFHKLESTMELIKELQSKQKEIEGLKSLVTNSVEEKDMREMAAEELLEAIEEEKQLQHGLFRTLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDVLDLMESVSKGYKEASGTISGPGAYGKLKFESGVHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEMERHRLHADRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDVFIDALLLQEEMDAIASFGS* >Brasy8G251900.2.p pacid=40080056 transcript=Brasy8G251900.2 locus=Brasy8G251900 ID=Brasy8G251900.2.v1.1 annot-version=v1.1 MQHQIRRCGGVAFAALRRVRHFPAAPPPAARCCPSAPRLYSTADMNQQLPANLVQIMEQRMKLIEQRSAYLQDQINQPAASPEEYSRANKEFHKLESTMELIKELQSKQKEIEGLKSLVTNSVEEKDMREMAAEELLEAIEEEKQLQHGLFRTLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDVLDLMESVSKGYKEASGTISGPGAYGKLKFESGVHRVQVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEMERHRLHADRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDVFIDALLLQEEMDAIASFGS* >Brasy8G251900.3.p pacid=40080057 transcript=Brasy8G251900.3 locus=Brasy8G251900 ID=Brasy8G251900.3.v1.1 annot-version=v1.1 MELIKELQSKQKEIEGLKSLVTNSVEEKDMREMAAEELLEAIEEEKQLQHGLFRTLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDVLDLMESVSKGYKEASGTISGPGAYGKLKFESGVHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEMERHRLHADRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDVFIDALLLQEEMDAIASFGS* >Brasy8G292200.1.p pacid=40080058 transcript=Brasy8G292200.1 locus=Brasy8G292200 ID=Brasy8G292200.1.v1.1 annot-version=v1.1 MAEVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGREEIKPNQNKLKRMVKRMGNVFTHGKAHHQIAGAIKDINMEVKEVAARRDRNKIDTTTIDPRLRALYTEAAELVGIYGKRDQELIGLLSMEDHHISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSNSDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWEDINLSFSHRNNLGSRLITTTRKVSVSTACCSSADVYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIITIASLLASSGQGIKPIHEWHALLQSLGLGLTEDPRLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDCYIKRDQLIWKWVTESFVQTGKQGISLFELGENYFNDLINRSMIQPIYSDIGQVDACRVHDMVLDLICSLSREEFFVNLLDGTSDSASCRSNIRRLSLQHRQDHEETSLINSMRMAQVRSVTIFPPAIDIMPALSRFDVLRVLDFAECYIGKSSWKLKDVGNLFHLRYLGLSCTDIRELPAEIGNLQFLQVLDLEGNYDLKEFPSTVCKLRRLMFLGFYQSCKMPPDVLWNLTSIEVLKRIKASLNIVRQLSRLARLRELAICFPNNSFDLYEPFVESLCNLKHLESLIIGFYSEPSPGLMDLLEEHCWVPPPSLRKFESGMPSKLCTLPAWIKRDPSRLSNLSELRLRVKGVLQEDMQILGGLPALRRLQIFCTHQTQRRLVIGADGYRRVVWFVLGCGSGAQIMFEPGALPRAETVWFSVGVRVAKDDGNANFDLGLQGNLLSLKSVQVLIYPGGATVGDAKEAEAAVRRELLDHPNHPYIRIDMRPDIPEDAHDDDICDDYRRKRISPINLRIYLHAGMLGFTSACLGLHQPNFICAS* >Brasy8G197200.1.p pacid=40080059 transcript=Brasy8G197200.1 locus=Brasy8G197200 ID=Brasy8G197200.1.v1.1 annot-version=v1.1 MNSCFSLASALAVVTLSAWAATVGACIDVGFYDRTCPSAEALVQQTVAAAFVNDSGVAPALIRLHFHDCFVKGCDGSVLIDSTPGNRAEKDSAANNPSLRFFDVVDRAKAAVETRCPGVVSCADVLAFAARDSVILSGGLGYQVPSGRRDGRVSTEQNADNNLPGPTSTASQLATGFARKNLTLDDIVILSGAHTIGVSHCNSFTDRLYNFNSSDKIDPALSKAYAFLLKGICPPNSNQTFPTTTTLMDLMTPVRFDNKYYLGLVNNLGLFESDAALLTNATMKALVDSFVRSEAAFRTAFARSMIKMGHIEVLSGSQGEIRRNCRVINPTTTQLVAAS* >Brasy8G277600.1.p pacid=40080060 transcript=Brasy8G277600.1 locus=Brasy8G277600 ID=Brasy8G277600.1.v1.1 annot-version=v1.1 MTGDGATVYPSYSRVPTSPLVIAVPVDSGSRWRAASATRVGGGGFCRISGDEWFLRNCGEARRRRRLCGGVRRRRKGRGAEEQLTASGRLSLSLPQAKSIQVILSLPVKKLVLVVISPPAKPTLPPLHPGPRGPPTPAARPLTSLSRCPTADRRSSSSLHRLFDELHDRLASSTVKNACERCVYQAS* >Brasy8G287300.1.p pacid=40080061 transcript=Brasy8G287300.1 locus=Brasy8G287300 ID=Brasy8G287300.1.v1.1 annot-version=v1.1 MSGPLVNLSCVIAFFVLHSVAKERRDLVNGQNASQVFGRSLGRGIIVPISIGEGRKEQTYQKLALGSVDLFIRSHPSHYPPRLPSFTVRKTLS* >Brasy8G006100.1.p pacid=40080062 transcript=Brasy8G006100.1 locus=Brasy8G006100 ID=Brasy8G006100.1.v1.1 annot-version=v1.1 MAAAAALRQVGRTALRPTARLYQSQSQARLFSSIRPRDCTMHNKKEGVAQYKQILMKEEELYDLMSDFHSKFRITGRRGWRNSELLHSLSAQVEPRHDDSHWRLNRRCQTYRRFFTFLGAIYFLKVFPVGLGWLMGYPEPTDLPLGLFKKPNQ* >Brasy8G006100.2.p pacid=40080063 transcript=Brasy8G006100.2 locus=Brasy8G006100 ID=Brasy8G006100.2.v1.1 annot-version=v1.1 MAAAAALRQVGRTALRPTARLYQSQSQARLFSSIRPRDCTMHNKKEGVAQYKQILMKEEELYDLMSDFHSKFRITGRRGWRNSELLHSLSAQVEPRHDDSHWRLNRRCQTYRRFFTFLGAIYFLKVFPVGLGWLMGYPEPTDLPLGLFKKPNQ* >Brasy8G006100.3.p pacid=40080064 transcript=Brasy8G006100.3 locus=Brasy8G006100 ID=Brasy8G006100.3.v1.1 annot-version=v1.1 MHNKKEGVAQYKQILMKEEELYDLMSDFHSKFRITGRRGWRNSELLHSLSAQVEPRHDDSHWRLNRRCQTYRRFFTFLGAIYFLKVFPVGLGWLMGYPEPTDLPLGLFKKPNQ* >Brasy8G294000.1.p pacid=40080065 transcript=Brasy8G294000.1 locus=Brasy8G294000 ID=Brasy8G294000.1.v1.1 annot-version=v1.1 MPSLQLLKLTEHGRGLLASRRRTLAVVSGALVAGGALAYARSGRGRRRRSGPEGGDDGDALARNGGRLGQNGVDGRLAGTKRRKSALKSLHFLAAILLKKIGPNGTRYLLGLMLTAVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIVENLILCFLQSAVYQTSKYLTGSLNLRFKKILTVLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMIWILAYILVAGGAIRNFTPAFGKLKSTEQHLEGEYRQLHSRLRTHAESVAFYGGENREASHIMQRFKALVRHLNHVIHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGDLRPDSSTLGRADMLSNLRYHTSVIISLFQSLGTLSISTRRLNILSGYADRIRELLDVSRELSGVRDRSLNHNFSAGNYISEANHIEFSGVKVVTPAGNVLVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMMDLLKNVDLEYLLQRYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHNIVLSLDGEGGWDVQHRRDDSSFSTEESDFTSLETDRKSDALTVQRAFMNKAKSNASLRAKEHSYSTKVIATSPKLETEQTVRRPLIPHLQCSPRPLPLRAASMLKILVPKLLDKQGGQLLAVTLLIFSRTVISDRIASLNGTTVKFVLEQDKAAFIRLVGVSVLQSAANSFVAPSLRTLTARLALGWRIRMTNHLLQYYLRRNAFYKVFNMSGKSIDADQRLTLDVDKLSTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVGILYAYMLLGLGFLRAVSPDFGHLASQQQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLLNHSKVMLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGVNRIFELEEILRAAQRDTVVPSGAISSASEEIISFHEVDIVTPTQKLLANKLSCNVVQGKSLLLTGPNGTGKSSVFRVLRDLWPVFSGRVNKPSEGMFHVPQSPYTSLGTLRDQIIYPLSREEAEIKILSLHQAGNRSSAPELLDDHLKTVLVNVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATSMGITVITSSQRPALIPFHSVELKLIDGEGNWELCEIQH* >Brasy8G031300.1.p pacid=40080066 transcript=Brasy8G031300.1 locus=Brasy8G031300 ID=Brasy8G031300.1.v1.1 annot-version=v1.1 MVCTYHCRQMVKSEFMVALDTADKQVHEYRVLLDTLEKQLSKSEDERATYQDKLYYVEQELAATKGRESALQERLLKELGDCQERYRDQVKKIGELEVQLNKEIDSRISAESSSASAKESVKELERTLQRLSENSDREKKDLKKELSYMQDDSKLSFSKLNAELERTRLRAQNSENETKMLNEQLEDLRKQLDESLRGKNEMEHRLLDSSALSAQRNPTDDQKLIRLLQEELRNYESEVHEARRLKSSRTNVELLNEKLLEEQGRRERAETELSKLQEIEAKAQKLELELASCTSLLSNIPDVSSYSNIADLQRQALADLNKLGEVTSRLKELEVTLEFTEISKQRAEGEATHAKERAENATREVKRLELLLTAVSEERDRLRKDHAMLTSQKPIGGDDTSSKNMESGLLQMEKAVRELESTVHEQRELISQQHAELNLLNEKLSIEARKAKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVESEAKQMIEALQAELKKTKERLQAVEELKGQADAGTAVDANIAEKLAQLKNQIATLEKREERYKAVFSERISVFRKACCSLFGYQIVMNDQQQPNGIHVTRFILQSVYAQSDDEKLEFVYESGSTNIVANGYTSQHEIAQQVEVFIKKMNSIPAFTANLTMESFNKRSIC* >Brasy8G031300.2.p pacid=40080067 transcript=Brasy8G031300.2 locus=Brasy8G031300 ID=Brasy8G031300.2.v1.1 annot-version=v1.1 MVCTYHCRQMVKSEFMVALDTADKQVHEYRVLLDTLEKQLSKSEDERATYQDKLYYVEQELAATKGRESALQERLLKELGDCQERYRDQVKKIGELEVQLNKEIDSRISAESSSASAKESVKELERTLQRLSENSDREKKDLKKELSYMQDDSKLSFSKLNAELERTRLRAQNSENETKMLNEQLEDLRKQLDESLRGKNEMEHRLLDSSALSAQRNPTDDQKLIRLLQEELRNYESEVHEARRLKSSRTNVELLNEKLLEEQGRRERAETELSKLQEIEAKAQKLELELASCTSLLSNIPDVSSYSNIADLQRQALADLNKLGEVTSRLKELEVTLEFTEISKQRAEGEATHAKERAENATREVKRLELLLTAVSEERDRLRKDHAMLTSQKPIGGDDTSSKNMESGLLQMEKAVRELESTVHEQRELISQQHAELNLLNEKLSIEARKAKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVESEAKQMIEALQAELKKTKERLQAVEELKGQADAGTAVDANIAEKLAQLKNQIATLEKREERYKAVFSERISVFRKACCSLFGYQIVMNDQQQPNGIHVTRFILQSVYAQSDDEKLEFVYESGSTNIVANGYTSQHEIAQQVEVFIKKMNSIPAFTANLTMESFNKRSIC* >Brasy8G203200.1.p pacid=40080068 transcript=Brasy8G203200.1 locus=Brasy8G203200 ID=Brasy8G203200.1.v1.1 annot-version=v1.1 MASGAAAAARRLLLLRHRRHQNLLPARHFSSSAAADGLDGGDGGRVKIFDRDLKRRHRDRAAWAMRETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRLIRGRGGIEKLTMMDMSADMVKKWQELDNAAGDGLETHFVVGDEEFLPIKESSQDLVMSCLGLHWTNDLPGAMIQCRLALKPDGLFLASILGGDTLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLSRAGFALPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRSPILKRDTALATAAIYQSMFGLEDGTIPATFQAGNCEVLVVNDPQVERLLPVCTGNLHDWLEGAFITAKS* >Brasy8G203200.2.p pacid=40080069 transcript=Brasy8G203200.2 locus=Brasy8G203200 ID=Brasy8G203200.2.v1.1 annot-version=v1.1 MASGAAAAARRLLLLRHRRHQNLLPARHFSSSAAADGLDGGDGGRVKIFDRDLKRRHRDRAAWAMRETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRLIRGRGGIEKLTMMDMSADMVKKWQELDNAAGDGLETHFVVGDEEFLPIKESSQDLVMSCLGLHWTNDLPGAMIQCRLALKPDGLFLASILGGDTLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLSRAGFALPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRSPILKRDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWREHSSQQKAKQRGSATVSFSDIRKQFGSDQD* >Brasy8G170200.1.p pacid=40080070 transcript=Brasy8G170200.1 locus=Brasy8G170200 ID=Brasy8G170200.1.v1.1 annot-version=v1.1 MAGASTNGTHKGGIKAYWKRRGYDRLDAAAAQRRPPLATAELGAGGGGAPAPASRRRRGWRVRRRGFGRRLLRAFSPRRLLARLRDAYVNAMLRLASSAAVGYGAAPFCAAAEPFARPRPLKEYDEKVLVEMYRAILARSGGGGIVPVSGGEAAAPPVPMVAAAARFPAAVA* >Brasy8G045700.1.p pacid=40080071 transcript=Brasy8G045700.1 locus=Brasy8G045700 ID=Brasy8G045700.1.v1.1 annot-version=v1.1 MLGRGVRPTVVTFNTLVGAACQEGELGGAERLREEMVRRGVTPNAVTYSLLMRGLCDAGRHDDAKKMMFDMEYQGCQTEAVNYGMLMSAYARQGDIDGVRGLLSDMRKRKLKPDDASYNVLIKCLCDNGRVVEAHKVLVEMQVKEGTVPSAATYRILADGCCRARDFGLGLRVLNAMLASGHCPLAHTFRHLAKGLGEDGKAEEACFVLEKMAESGAWMDAEGWQTLVTCVCSGSASEVKLLDELAVPS* >Brasy8G126600.1.p pacid=40080072 transcript=Brasy8G126600.1 locus=Brasy8G126600 ID=Brasy8G126600.1.v1.1 annot-version=v1.1 MGGEAPEPRRLSRALSLEGSVVPEEALHLVMGYVDHPRDREAASLVCRRWHRIDALTRKHVTVPFCYAASPARLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVAELAAPLECLKTLHLRRMVVTDDDLATLVRARGHMLQELKLDKCSGFSTDALRLVARSCSRSLRTLFLEECSITDNGTEWLHDLAVNNPVLVTLNFYMTYLRVVPADLELLAKNCKSLISLKISDCDLSDLIGFFQMATSLEEFAGAEFNEQGELTKYGNVKFPSRLCSLGLTCLGTNEMHIIFPFSAVLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTAVAVGCRELEYIAAYVCDITNGALESIGTFCKNLCDFRLVLLDRQERITELPLDNGVRALLRGCDKLRRFALYLRPGGLSDVGLGYIGQHSGTIQYMLLGNVGDTDNGLLLFASGCVNLRKLELRSCCFSERALALAMLQMPSLRYVWVQGYKASQTGRDLMLMARPFWNIEFTPPSPENANKLTVDGEPCVDRYAQILAYYSLAGKRSDCPQSVVPLYPA* >Brasy8G126600.2.p pacid=40080073 transcript=Brasy8G126600.2 locus=Brasy8G126600 ID=Brasy8G126600.2.v1.1 annot-version=v1.1 MGGEAPEPRRLSRALSLEGSVVPEEALHLVMGYVDHPRDREAASLVCRRWHRIDALTRKHVTVPFCYAASPARLLARFPRLESLAVKGKPRAAMYGLIPDDWGAYARPWVAELAAPLECLKTLHLRRMVVTDDDLATLVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSITDNGTEWLHDLAVNNPVLVTLNFYMTYLRVVPADLELLAKNCKSLISLKISDCDLSDLIGFFQMATSLEEFAGAEFNEQGELTKYGNVKFPSRLCSLGLTCLGTNEMHIIFPFSAVLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTAVAVGCRELEYIAAYVCDITNGALESIGTFCKNLCDFRLVLLDRQERITELPLDNGVRALLRGCDKLRRFALYLRPGGLSDVGLGYIGQHSGTIQYMLLGNVGDTDNGLLLFASGCVNLRKLELRSCCFSERALALAMLQMPSLRYVWVQGYKASQTGRDLMLMARPFWNIEFTPPSPENANKLTVDGEPCVDRYAQILAYYSLAGKRSDCPQSVVPLYPA* >Brasy8G260400.1.p pacid=40080074 transcript=Brasy8G260400.1 locus=Brasy8G260400 ID=Brasy8G260400.1.v1.1 annot-version=v1.1 MAVDLTPRQPTKAYGGEGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVVGIKEGDALALPFGVVTWWHNTPDSTTDLVVLFLGDTSKGHKPGQFTNFQLTGSTGIFTGFSTEFVGRAWDLKEPDAAKLVSSQPASGIVKLAAGQKLPVPVAADRKDMALNCLEAKLDVDIPNGGRVVVLNTVNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEAAFNTTPEMEKLFRSKRTDSEIFFAPN* >Brasy8G191700.1.p pacid=40080075 transcript=Brasy8G191700.1 locus=Brasy8G191700 ID=Brasy8G191700.1.v1.1 annot-version=v1.1 MSSCGGSKKNPNIVPFVPVFLVLVLVIVVAFSSASASATGGGNGSTTALLRSGQELLGFRRIQARLARVREASVKTIQSPDGDVIDCVPSHLQPAFEHPKLRGQKPEDAPAARPRNADTGGANVVDGDEDQALPQLWRNSGESCPGGTIPVRRTTEGDLLRASSVRRFGMKAAVARRDSTSNGHEHAVGYVSGGQFYGAKASLNVWPAHVSSPAEFSLSQIWVISGSFGHDLNTIEAGWQVSPELYGDNSPRFFTYWTNDAYQETGCYNLHCAGFVQTNSRVAIGAAIAPISSYNGHQYDITLLIWKDPKKGHWWLQLGPAGPLVGYWPSALFTHLGAQGRGAANMVQFGGEAVNTRASGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAGLRLLADHPGCYDIAGGNGGAWGTYFYYGGPGRNVRCP* >Brasy8G159300.1.p pacid=40080076 transcript=Brasy8G159300.1 locus=Brasy8G159300 ID=Brasy8G159300.1.v1.1 annot-version=v1.1 MALIRVLPEFIEKAITCGGKSAAAATGQLCSTPELRKHYMQLVGSRQQRPWSPGLETISEARKRRGMPSPVRLVGLARASR* >Brasy8G102500.1.p pacid=40080077 transcript=Brasy8G102500.1 locus=Brasy8G102500 ID=Brasy8G102500.1.v1.1 annot-version=v1.1 MAMDPVGTVPAPMPAASRSPGPSMSGGQVEDELEEIIDLPSIDDEDDIATEVVSGGAFFRDPVADEPWDEPAAWLELDRYAGIAARDDELAVSGLGIEPYQYWAQPDGIVASGFGALLW* >Brasy8G096800.1.p pacid=40080078 transcript=Brasy8G096800.1 locus=Brasy8G096800 ID=Brasy8G096800.1.v1.1 annot-version=v1.1 MRGRLHLLGFLRRSAASASSSAAYRADPLCRLQPIPARNGAAVPTSTRFFSSRTGGAAGSARSLIEDEADLSDWVSDLKTDSFHLGVSSGDEGDASTRKPASRGGRRGRDSGELPPRSRFSGGDFDGDRRGGEFGGDRRGGRFGNDRRGGRFGSDRGGGRFGRDSRGGRFGSDRPGSERRGRVVSTDFDDDEVGFGSSRGRERRGRGGMSLVSDEDGFRSPRGHRGRGGRASGVSNRGGRYGDLDEEAGFDSPRGRRGRGGRMSGFSRRGGSASDLDDDEDDSGEEVGSRFPRGSRGRGGRMSGLSRRGGSTSDLDDDENDSGEEVGSRFPRGSRGRGDRRSRGGKMFDFGSSEDDSESGEVDEDDGPSGFEDELSGDEGDKEDLVKNATMKPVLFESVEQKSVVGTRGNGGDDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPNDHDKKKPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKILILDEADRLLDMGFRTDIEKIVAALPKQRQTLLFSATVPDEVRQVCYVAMKRDLEFVNTVQEGSEETHSQVKQMHLVAPLDKQFSILYGLLKDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTFVVQLGVPTDREQYIHRLGRTGRRGNEGSGVLLLAPWEEYFLRSIKDLPITEATAPLVDLDTKRKVEKALAHVEVKDKESAYQAWLGYYNSNKHIGRDKYQLVSLANEFSRSLGLNNPPALTKLILRKMGLSNIPGLRSK* >Brasy8G293600.1.p pacid=40080079 transcript=Brasy8G293600.1 locus=Brasy8G293600 ID=Brasy8G293600.1.v1.1 annot-version=v1.1 MVKLSAVGRSVLRFTNESMRIVMVTVIGVVLGFFIGISFPSVSITKLHFPSSFVSYTEESQITTQDLLNHAWTSARNDSDNSSESNSNDTLKIYVPTNPKGAERLAPGIVVPDSDFHLHRLWGNPSEDLPFKPKYLVTFTVGYAQKENINRAVQKFSDNFAILLFHYDGRVSEWNEFEWSKRAIHISVSRQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFDAEEYIKLVKKYGLDISQPGLEPDRGLTWQMTKRRGDREVHKNTEERPGWCADPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKAEHGRPAWEGVRVRCRKEWGMFQTRMAEAEKAYYEMMGITPPNSTLV* >Brasy8G020800.1.p pacid=40080080 transcript=Brasy8G020800.1 locus=Brasy8G020800 ID=Brasy8G020800.1.v1.1 annot-version=v1.1 MDLPDELLDEVLRLVPPRHLAACRRVCKSWRGIIDGRGLVLAHLAPGPVRGIFVNFFYKATHSFFSRSGAAGPPIDGSLRFLPGHSPSKGESHVEDHHNGLWPPPLHPEPMYLCNPATRWWAPLPFPPQVSGSPAPMNFGRPYLVFDPAVSLHYLAMCFPGVPARPAPPSPPARRSLAATVGSMGWPPHSYAVQVFSSRTGRWEERRFVRHGHVVATVSDMWSMNPYAPGHARVLGRVLWGNAAVYWQGAFYLTCRGAFIRRFSLLDNKRPYGWDSCDDCAIDAEGGICSDDNEGSAEEYRRGLDLLGYHPYKEIAFLGNRYDAFAYYLESSRLQCLGSVYPTGYTEPMVTSHIYTPCMDDLLPAHNDQ* >Brasy8G286200.1.p pacid=40080081 transcript=Brasy8G286200.1 locus=Brasy8G286200 ID=Brasy8G286200.1.v1.1 annot-version=v1.1 MPIWQQPPPPPFVPPSKAPSKKFPRVIFFSSITTAITRSGGTTWKLAGEIDGRLRRRLPERRICKSCWPRAVALGLSGPKIAVRILHWKLHMKPNSLFISGPKSNTDT* >Brasy8G286200.2.p pacid=40080082 transcript=Brasy8G286200.2 locus=Brasy8G286200 ID=Brasy8G286200.2.v1.1 annot-version=v1.1 MPIWQQPPPPPFVPPSKAPSKKFPRVIFFSSITTAITRSGGTTWKLAGEIDGRLRRRLPERRICKSCWPRAVALGSTGLIAGAF* >Brasy8G185200.1.p pacid=40080083 transcript=Brasy8G185200.1 locus=Brasy8G185200 ID=Brasy8G185200.1.v1.1 annot-version=v1.1 MMLRRAPVSDQAAAEDALDVGAAGKPRGAAALFAAPRLLVGLGAAKSGGDCDSTARSPKSPLDLRAAFPAPGGSLLRSPRRSWDSQRVGLGGLVDTLAEPDPEAKTRMLGPQMRPSKLQCVTKSYRNTSLPKDCGLAQPELGEMEAGAGAGGMSVPCTRFYGDVKSGPEVAVSRGAQLGFSSHSTDLGKLWAPGSLPVSIGGPRRYIGSVSATEVEQSEDYTCIIAHGPNPKTIRILGDCILEPCTVRVPDGESKGIDELKEGAGAESYWLVKCSDDTGEESIRFCLSCKKNLDGKESCIYCGENAFSGGNCRDQEILYEGEHENKTVSSPNSSGSSSPFHKDDIFIDGMVVFT* >Brasy8G090800.1.p pacid=40080084 transcript=Brasy8G090800.1 locus=Brasy8G090800 ID=Brasy8G090800.1.v1.1 annot-version=v1.1 MDPVVQVQGDTAGAALPSSNVTAPLGRGMTTGSGAGAAVVCYSPMMVTTYGIWQGVSPLEFSLPLFILQVTIIVGTTRILVLLLKPLRQPRVIAEILAGVILGPSLMGQLGTWAGTVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGKIAMSAAIVNDMCAWILLALAIAISEVNSTPFSSLWVLLSGVAFVLACFYVVRPVMWWIVRRVPEGESISDVHVTLILTGVMISGVCTDAIGIHSVFGAFVYGLVIPSAPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTQVRDPVTVWLLVLVFVMASFAKIMGTILIAVAYTMSFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDSELRMLACVHINRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAASAGGSSSDHIFNAFESYEESVGGVSVQALTAVSPYQTMHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPINPSIRGFNESILASAPCSIGILVDRGLSAATARMASVHHVALLFFGGPDDREALAYAWRMVEHPGVCLTIVRFIPPGYTPAPPTSMVLPMSSAGGVGGGSRATAITIVPDTCKSERLMDEEYLNEFRSRNMGNEAILYVEQVVGNSEETLAAIRNLNNAHELCIVGRHPGEESSPLTSALADWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVINTPQPAQPAGVPMAAAAAPAVAEDPVRQYLTNANQRPPMGARGGWSGSSSSADF* >Brasy8G155400.1.p pacid=40080085 transcript=Brasy8G155400.1 locus=Brasy8G155400 ID=Brasy8G155400.1.v1.1 annot-version=v1.1 MRNENFPVTKVVLYIVIYPFQYKPNRDAAFAYDLPSCFSNIVVR* >Brasy8G193100.1.p pacid=40080086 transcript=Brasy8G193100.1 locus=Brasy8G193100 ID=Brasy8G193100.1.v1.1 annot-version=v1.1 MGSCVGKDRGIVEDKLDYKDGNVHSITTKEEWDQKIEEANKDGKIVVANFSASWCGPCRVIAPVYGDMSKTYPQLMFLTIDVDDLMDFSSTWDIRATPTFFFLKNGQQIDKLVGANRPELEKKVQALGEGS* >Brasy8G228000.1.p pacid=40080087 transcript=Brasy8G228000.1 locus=Brasy8G228000 ID=Brasy8G228000.1.v1.1 annot-version=v1.1 MQQGQRKKTSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAISDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSAETIARIRNEKARRYLSSMRRKKTIPFTQKFPNADPLALNLLERMLAFDPKDRPSAEEALADPYFKNIASVDREPSAQPITKLEFEFERRRITKDDIRELIYREILEYHPNMLREFLEGAEPTGFMYPSAVDHFKKQFTYLEEHYAKGSTAAPPERQHNSLPRPSVIYSDNRPQNAANITDDLSRCAIRDNTQKAPKDSASVGANRVPHGAAAAAARPGKVVGSVLRYGNCSTSGTEQYEQRRVARSPGIAPNGVPSGSSYPRRNITCKSETGEAERINANQGGPPKPYVANKLPATVDGRNGHW* >Brasy8G228000.2.p pacid=40080088 transcript=Brasy8G228000.2 locus=Brasy8G228000 ID=Brasy8G228000.2.v1.1 annot-version=v1.1 MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAISDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSAETIARIRNEKARRYLSSMRRKKTIPFTQKFPNADPLALNLLERMLAFDPKDRPSAEEALADPYFKNIASVDREPSAQPITKLEFEFERRRITKDDIRELIYREILEYHPNMLREFLEGAEPTGFMYPSAVDHFKKQFTYLEEHYAKGSTAAPPERQHNSLPRPSVIYSDNRPQNAANITDDLSRCAIRDNTQKAPKDSASVGANRVPHGAAAAAARPGKVVGSVLRYGNCSTSGTEQYEQRRVARSPGIAPNGVPSGSSYPRRNITCKSETGEAERINANQGGPPKPYVANKLPATVDGRNGHW* >Brasy8G070900.1.p pacid=40080089 transcript=Brasy8G070900.1 locus=Brasy8G070900 ID=Brasy8G070900.1.v1.1 annot-version=v1.1 MSWLTRTIAATLSSPRGEPDPGADDHESETSSSADRAAADEGSSSPRGPEEEEPEQPNTPTRGVKDDISELTETLTRRLWGVASFLAPPPPQPESSSPRAVAEAEGGDGDADEDEDPQFAGIRSDLAEIGGRVRSGISMLQNNMAVAEISKIASSLLPFGEEEADEGDDAVPGLTEEVLVFVRHISTRPGTWLDSPLFISERYADDFELSDAQYPHALAMEHLVPSLANLKAQICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTSQILEAREELLQSLQAENKRGSMVTGESSENVNVSSAPVEKVIQPSSIQDKVAISEVPSFEEPTSDITPEIEAEKFQISTTTEVEIIDKSVIEEELSVKDESKASLAESKIHVETDEDEVDEWPDDDTTEEPEVGTAGNRASLGQEEDVSFSDLEDDDDDDNKGLGK* >Brasy8G243300.1.p pacid=40080090 transcript=Brasy8G243300.1 locus=Brasy8G243300 ID=Brasy8G243300.1.v1.1 annot-version=v1.1 MASGSEAAGGPSDEAAGVSSPRPDQEAAVSSLRPDQAEASAAEPGQVVAGDDEPAAEAQPPAPAPAPAAAAVARPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPPSLIRGDTSTTPTSVFVRNLECYNQQYHPAYEYPVQPGSGSSLASMYYRGSTGPAMTAGSAVVAAAGEAAASMNPSSSASASPRELSLFGAAGAGAAHRDHDLHLGLGSFHGRAAGGGEPAEETLAAERQGGEPAERDQLDLELRLGRRPRH* >Brasy8G190800.1.p pacid=40080091 transcript=Brasy8G190800.1 locus=Brasy8G190800 ID=Brasy8G190800.1.v1.1 annot-version=v1.1 MQSMAATATPAASSSYSASRGWAALRRCPSPSLAARHVAFSSSSSSSSSSSSSSSRCPVAAAGAPVLPLGIWGSCLLLQSPLLTDGGKNGAATRRTVAAAAAAVASPSAEGGGEPEAVAGIPRTVKLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLQQYAKILILALIHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPPLPVLGSLVPVVGGVVLASMTEVSFNWIGFWSAMASNVTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSIPLMLYVDGIKFSPAYLQSTGINLQDLCLKAAIAGTCFHFYQQVSYSLLARISPVTHSVANSVKRVVVIVSSVLFFRTPISPINAFGTGLALLGVFLYSRFKKSKPKAKAA* >Brasy8G190800.2.p pacid=40080092 transcript=Brasy8G190800.2 locus=Brasy8G190800 ID=Brasy8G190800.2.v1.1 annot-version=v1.1 MQSMAATATPAASSSYSASRGWAALRRCPSPSLAARHVAFSSSSSSSSSSSSSSSRCPVAAAGAPVLPLGIWGSCLLLQSPLLTDGGKNGAATRRTVAAAAAAVASPSAEGGGEPEAVAGIPRTVKLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLQQYAKILILALIHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPPLPVLGSLVPVVGGVVLASMTEVSFNWIGFWSAMASNVTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSIPLMLYVDGIKFSPAYLQSTGINLQDLCLKAAIAGTCFHFYQQELVWLYLEFSCTRDSRNQNQRRRLHKDNLYSSN* >Brasy8G245700.1.p pacid=40080093 transcript=Brasy8G245700.1 locus=Brasy8G245700 ID=Brasy8G245700.1.v1.1 annot-version=v1.1 MTWQQVIASSWLYCYPCSHISLLLFPCLINARIKKTLFDTSIEKIKNMICLNVGGAGILNSATPASFDSIQ* >Brasy8G141500.1.p pacid=40080094 transcript=Brasy8G141500.1 locus=Brasy8G141500 ID=Brasy8G141500.1.v1.1 annot-version=v1.1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSFVFSKGKIYKVPATDMEALKSPLMGLLEKRRARNFFIYVQDYNEVDPKTHKGLDLTVLTTKELIAKHGLSDDTVDFIGHALALHRDNRHLNEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLTNKVRKIGKVARAIAIMSHPIPNTDAHSVQIILPQKQLGRKSDMYIFCCSYTHNVAPKGKFIAFVSTEAESDDIQSELMPGIDLLGPIDELFFDMYDRYEPVNEPSLDNCFISTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY* >Brasy8G141500.2.p pacid=40080095 transcript=Brasy8G141500.2 locus=Brasy8G141500 ID=Brasy8G141500.2.v1.1 annot-version=v1.1 MLIMERVEIPGFMCHVLMLQVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSFVFSKGKIYKVPATDMEALKSPLMGLLEKRRARNFFIYVQDYNEVDPKTHKGLDLTVLTTKELIAKHGLSDDTVDFIGHALALHRDNRHLNEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLTNKVRKIGKVARAIAIMSHPIPNTDAHSVQIILPQKQLGRKSDMYIFCCSYTHNVAPKGKFIAFVSTEAESDDIQSELMPGIDLLGPIDELFFDMYDRYEPVNEPSLDNCFISTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY* >Brasy8G103100.1.p pacid=40080096 transcript=Brasy8G103100.1 locus=Brasy8G103100 ID=Brasy8G103100.1.v1.1 annot-version=v1.1 MANFPINPIPFLPQGFMIDDGPVDRVVRVELALGGSPPFDHSQYSIAETNIQVPALQCDQLRQATRAALQANGFEVREVFDCALGLGLFKFGSVFQRDDASGHEWPVDNSAIRINFVNHDRGRNMRDPALGRDTWVLMLAFPLDYQHNYWVDKSVSSFGKMLMWCNPQGNISNVLVKVWIKSKRNVPRSIIMTQIGGRRRSWTVPVYILQSDDWNMQEPNGLSENPEDPPPQNGEPHHPWTGPYVTALQRFRQRAQLWLQQHGLAHGNGAAAAGFVHGGSPAHGQTNYQAWLQEMGLQVSDGVVPEDNITDSPLSAWNDMMSDSSSDTSDLFIPATAPEENLMLIPTEQDAPQLNAQELFQMAFCVFKAWFTPDPAWGSSLLKFVPNLPLHHVYFDTQLPVDPVIEGMFRKVARKLALADSPAASLFRLPAPSSVRGRPAKINVELDPSLLRRSPRTNKFDGFKAHIKGTSKARTSHTKPRQEFQAAPPPPTPVAVLQNIAVKLCGVPAEEVTTAKLEAGSPAAGGSSSDAEYSGADTGC* >Brasy8G125600.1.p pacid=40080097 transcript=Brasy8G125600.1 locus=Brasy8G125600 ID=Brasy8G125600.1.v1.1 annot-version=v1.1 MWPRGLLLRLLLRVTAGATALHACARIHPLLIKSGHASDARLATALTDAYAKSALVKHARRVFDETPRRDIVLWNVMLSCYSSHGLLHHSWALFASMQRTSGLSGDGFTFSALLSARAPSSACSQHLGLLAHGLVLRLGLHLDLVVATALLDMYAKCGRVTDARQVFDAMLLRSTVSWNAIIVCYGHHARGHEALQIFVSMLRNDDGCCCQPDELTLASLLSSCANMAAANEATQIHAYALKRGLQGFLQVANALIMAYGKNGFLQQATQTFATLHNPDIVSWSSMVSSFAYLGRAKSAIHMFERMIQQGVRLDGIAFLGVLSACSHAGLIEDGLKYFLMMMDYKIDPCPQHFVCLVDLLGRAGMVQDAYDVLINISCQTNIDVIGAFLGACKTRGNIELAKWAADRLLHLEPNEPVNYLLISNAYAATGAWSELAKVRSLMRNMCGKKVPGCSWIEIAGKVQTFVSNDILLQRSTEMREMMELLSKFVKKECKEDALCKDPHLI* >Brasy8G258700.1.p pacid=40080098 transcript=Brasy8G258700.1 locus=Brasy8G258700 ID=Brasy8G258700.1.v1.1 annot-version=v1.1 MRTESMRTDHNARIPKKSLSWPRRTTAAVKKWLNVKNTDQQLHPDFIDDSFGKVGQQRRKSCSDRDGCSRPLNRRDVSGGWLVEENLGRPPPGYGSTSSWHPPNKLRVLVGTWNVGGRAPHQGLDISEWLLDHGQSSSSPPHIYVLGFQEIVPLNAGNVLGAEDKGPASKWLDLIGRALNPSSSSSERTQSFSHNYRSAASEAKKPGSRFQKAKISFSDLLAMDDTVSEEPEEDEENDSEPSTSNPDSSSEEEEMMGEFTQGMRGGGAGHGGYYRLAASKQMVGIFLCVWVRADIMPLVTSVRVSCVGTGIMGYMGNKGSISISLTLQEGRSAATSLCFVCTHLASGEKDGDEVRRNCDVAEILKRTSFPRPAHRISWPAGPETILEHDKVVWLGDLNYRLSTSGGGGDMKGLLERNEWRALLEKDQLRAEQRAGRVLDGWEEGEIRFPPTYKYLADSDTYAMTTSSGAGKSSSSREKKKRTPAWCDRILWRGEGMEQAWYERRESRFSDHRPVASLFSLTLRGGNKAPSSCCCCCCRSTGTKGAAVEAEETLAVMPPLPARRTSCCHHYSSRF* >Brasy8G000200.1.p pacid=40080099 transcript=Brasy8G000200.1 locus=Brasy8G000200 ID=Brasy8G000200.1.v1.1 annot-version=v1.1 MAASAPAPSSSPPPAAAGTPPAPAKSNAPPPTKSTPSPAAPAPTPAASPLSPAPPATHASPHLPPPPPATATDPAAAKPHPARGNNKSSSSSSSTPPSAAAETPSGRLADGVVIAIGVLLAFLVITLLGAAAIWYTNKKKKKRRRGGTDDYYHAGFLSPFSSSQQQPSGESSAASLDPPSAHTEYSAGTPRLKACVSDISCGNSRCFTYQEMYQITHGFSPRNLLGEGGFGSVYKGRLPDRKLVAIKQLKDASTQGEREFQAEVEIISRVHHRHLVSLRVSIIY* >Brasy8G181500.1.p pacid=40080100 transcript=Brasy8G181500.1 locus=Brasy8G181500 ID=Brasy8G181500.1.v1.1 annot-version=v1.1 MAMRAASSRPSLMVATLMLAALCGVVVDGRNHIHKQKPHGHGGVGGRHNRASGANGATVVSSPAVPPEDADKTPPPLVTPPPPAPPMGTGIVPSDPATPTQQPCVFDVRAYGAAGESTADDTEAFRAAWSAACAVPSGVLLVPSDGTFTIASTTFSGPCQPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLVFSNLDGMALRGAGTIEGNGEEWWNLPCKPHRGPNGSTLHGPCDSPTLIRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVLVDGLFISAPANSPNTDGIHVENTERVRIYNSKISNGDDCISIGTGSYDLDIQNISCGPGHGISIGSLGVHNSQACVANVTVRDAVIRDSDNGLRIKTWQGGAGSVSGVAFDGVLMENVRNCIIIDQYYCLDKRHCTNASTAVRVANVSYSNVRGSYDVRSAPIHFACSDAVPCTNVTMSEVELLPFSGELVDDPFCWNAYGVQQTPTIPPISCLQDGLPESLLDDQDLKCR* >Brasy8G206500.1.p pacid=40080101 transcript=Brasy8G206500.1 locus=Brasy8G206500 ID=Brasy8G206500.1.v1.1 annot-version=v1.1 MAPTTRTGARRALFVDEATADEPSDVCSRLNEANARARRKRRRSLDDFSFLCEEDGEVRTDMAVFTALFAIVFCEVIDSFTLEEEEEDADVSTEEEVGEKSDDVCTGKEEKKDDDDVCIGTEEDGDGLRPDADLLEHYLRLLKRVKALIDHDLGPDNVICDEVLDYFEQDLDIDHIVLSTAHEMFASKDRKFRLLMALPVDMREKWLLIHTRKL* >Brasy8G129300.1.p pacid=40080102 transcript=Brasy8G129300.1 locus=Brasy8G129300 ID=Brasy8G129300.1.v1.1 annot-version=v1.1 MAGKGSSRLLLCVALVVVLLLVETTAPAGQAHAINCGADCSYRCSKSSRPNLCNRACNTCCQRCGCVPPGTAGNEDVCPCYAHMTTHNGRHKCP* >Brasy8G162200.1.p pacid=40080103 transcript=Brasy8G162200.1 locus=Brasy8G162200 ID=Brasy8G162200.1.v1.1 annot-version=v1.1 MGLEAEKEQHANTHVSVRQAAGLGGDGSESNASGHGGELRGALRTDGGGFEGDPTLETPSDGEVAAAGDGLRGGAARARGSPNRGRGAEHGRREGLQQPARGAVTACGAAGVSARGDTRSRGGAAVEAEQQRVEPGTRCDGAAAARGAAAATRGVARSAGAAGVSARGSAPSIGGSSQRAEQGRRAGLLASAREAARGAGAGRQRRRSRRRSSSGARDGRRQHGNCSGGTRGGGAAAAGKMKSEQEDEDDGGARSQWEKR* >Brasy8G053300.1.p pacid=40080104 transcript=Brasy8G053300.1 locus=Brasy8G053300 ID=Brasy8G053300.1.v1.1 annot-version=v1.1 MSLSKPLSARRLVPALFPLAHADPASAAASRRSRRRGAFVATPPVPPPSPSSVRLPEPLPSLEPSRLALHNRILALLSSPAGDPDLAEAALLTRHALHSNCRPSSFTCVAVLAALLRARRLEDFFALHRFALQAAVPPTAATHALYLSALAARRLPDAALLHLRHIARPGSPVPPSPTAYRVVVRCLVADHGRLADAVELKDEMLASGFVGPDPQVYNLLMAGFVQAGDGAKAVELYQELQNKVGGETILDGIVYGSLMKAYFLMGMEEKAMECYKEVLGAESEVRFGTESYNEVVDALGQNGRLEDAIKLFDRMLGEHNPPLRIAVDVRSFSVMVDAYSAAGRFEDAIAVFRRMGEWKVVPDVAAYNNLIRHLGLNRLVDEAEVLHKEMGEHSLVADEETYVLLMEACFRADRTNVGISYFDKMVELELKPDASAYHKLVDGLVGLNMLDKAQEYFDQMRENGIDPTISTYETLLEAYVGITQLDDAAKVAKCILLDEKVVFRDEMRELLESALRREGRDDDIANLYEDVEREKAEAAAQAAEEKARAEALAREERDARRAEIAAKDEAAAKASAAAIEAILGHRRKTEGQMEATASLPNTLDSGLLSKLGIRSVGETTPQGTPLSIETKEGDGQEQSGEVVPQGTLLSTETKGDQEQAGEVTPQGAPLSTKTKEGDEQF* >Brasy8G095900.1.p pacid=40080105 transcript=Brasy8G095900.1 locus=Brasy8G095900 ID=Brasy8G095900.1.v1.1 annot-version=v1.1 MALPLRRLLLIIVVFSPQVFSQLPNICGTKANGRLDLTQASLQHMAATDASFLNATFAAGSGDMADTVYGLAMCFADAERPDCTACLTNAAAELAGTRCPGRRGMVLWYAHCLVHYNNTAFFGTADTSPARRFVVPNPTNFSDSARLGTARQRLAARMLPAAATSTLRFAFDAEDVTANATLRGLAQCTLDLPAEECNRCLASHMSGLGGCCADMAGVRLYGPSCYLRYEFMGFIPSMSPSMVPLLPPAPASMPGTTPSERNKGRMYIIAGTLSGVALVILLVAFLCCKNRRHGSLPSMPWKNWKRNTPTTESFLRRQQHPRRYSYSQVKRMTKSFAHRLGQGGNGVVYKGTLPEPDGREITVKMLKDAKDIDGEDFMNEVASISRTSHVNVVTLLGFCLQGRSRRGLIYEFMPNRSLERYTFGQAMEHSLCWETLFDIALGIARGLEYLHRGCNAHIVHFDIKPHNILLDKDFRPRISDFGLAKLCPQKESTINVSITGAWGTIGSIVTSKSDVYSYGMMLLEMSGARRSIDDVAGSETSSSRKYFPQCLYQDLDQFCASACEIDREATRLVRKMHVVEMLEKSTAELQLPPHSG* >Brasy8G296200.1.p pacid=40080106 transcript=Brasy8G296200.1 locus=Brasy8G296200 ID=Brasy8G296200.1.v1.1 annot-version=v1.1 MKCAYALFGEAGRTGLISVPFHLACATVPQPSDSFGQFLPCPRSKAARLFLRRRVLTEDSGAVTSAADWVGSSSWRSSPAPFFRLGVKHAPATGGVAVDWRGRGVCMLDGEVVWVQRTGCYC* >Brasy8G163700.1.p pacid=40080107 transcript=Brasy8G163700.1 locus=Brasy8G163700 ID=Brasy8G163700.1.v1.1 annot-version=v1.1 MQHNRITMLSSSETCHIGSSSSNQAIDQQSLLPSNPTVDDQSHTLESENYPHYLLNSREVGMPSGSMIGQQNTSLSLWDSAGSSSMGCLADHDTLLQAKREHFAPSLSIGRPLTIEGRRRESSSSLPSHNLNIDLNLNQADQFDAGNVDMVQGNGQSGMNAFTLNRGLSTTEHAVRHEISSDATGGSSHTVNLFNGTSGQETGVDAHRSSGKRKNIAGSIAESSANGSSRNHRQNNNMLQPSETTSLNIPSTDYGFSYLPTEQLNRNTDTSANGVFSDPYAPSDHPHENERFLRNTRMRISTSEYDESLPNLLPEGSFRCSAYQPTQQQSSFIPVQPRAIGSSASSHNRPHVPAVAQFSQSLHRPSSNGNFGSRIGSSSSSADTINLRSASQDPSGSLARSNFNDPFLFGPSFFSTDDSTSLLTAPGSRNNQPNSSSISTLRAAVNVGPQQGPGLNVSQPSSATRGSADIARRSLLAASVSHSRNSSNALQHRVHSSSSHEIRSHQPGSSSRANLQHYSRAVPPTMDRQNPSYMDLQSFMQSIATAREGRTVSELRNVVEQIRQGRSTARLEDLLADRSLIRRANLIDRHREMRLDVDNMSYEELLALGDRIGHVSTGLSEEKIMSGLKQWKYLDIPFEEPPTGVEPCCICQEEYTDGEDMGRLDCGHDFHTACIKQWLVIKNICPICKKAALDT* >Brasy8G163700.2.p pacid=40080108 transcript=Brasy8G163700.2 locus=Brasy8G163700 ID=Brasy8G163700.2.v1.1 annot-version=v1.1 MQHNRITMLSSSETCHIGSSSSNQAIDQQSLLPSNPTVDDQSHTLESENYPHYLLNSREVGMPSGSMIGQQNTSLSLWDSAGSSSMGCLADHDTLLQAKREHFAPSLSIGRPLTIEGRRRESSSSLPSHNLNIDLNLNQADQFDAGNVDMVQGNGQSGMNAFTLNRGLSTTEHAVRHEISSDATGGSSHTVNLFNGTSGQETGVDAHRSSGKRKNIAGSIAESSANGSSRNHRQNNNMLQPSETTSLNIPSTDYGFSYLPTEQLNRNTDTSANGVFSDPYAPSDHPHENERFLRNTRMRISTSEYDESLPNLLPEGSFRCSAYQPTQQQSSFIPVQPRAIGSSASSHNRPHVPAVAQFSQSLHRPSSNGNFGSRIGSSSSSADTINLRSASQDPSGSLARSNFNDPFLFGPSFFSTDDSTSLLTAPGSRNNQPNSSSISTLRAAVNVGPQQGPGLNVSQPSSATRGSADIARRSLLAASVSHSRNSSNALQHRVHSSSSHEIRSHQPGSSSRANLQHYSRAVPPTMDRQNPSYMDLQSFMQSIATAREGRTVSELRNVVEQIRQGRSTARLEDLLADRSLIRRANLIDRHREMRLDVDNMSYEELLALGDRIGHVSTGLSEEKIMSGLKQWKYLDIPFEEPPTGVEPCCICQEEYTDGEDMGRLDCGHDFHTACIKQWLVIKNICPICKKAALDT* >Brasy8G262000.1.p pacid=40080109 transcript=Brasy8G262000.1 locus=Brasy8G262000 ID=Brasy8G262000.1.v1.1 annot-version=v1.1 MQPGGLGAPVPNPNPRALPSRRRTSASRRGDGQAHRGAAGGEQALPYRNCRLQDGFQCYDRPDSPSGVKTLPSCDILPKIVHTYWWKIVEVYFFKKYNNVLLHHISILCHLLILQTCYESLMILFPIVSFHEICDGFKFHASGREDINVQMLNLDLVSGHPFLIEVLITRSIPYASEVEQSAEKIKIAPKRNIVRNLKLLDSEIWSMIHEGEAKPF* >Brasy8G144700.1.p pacid=40080110 transcript=Brasy8G144700.1 locus=Brasy8G144700 ID=Brasy8G144700.1.v1.1 annot-version=v1.1 MEQAPIVTDDIDVVNATLQPSMFLISSAKSSVKPMVQDEVPIVAEVVVIPETSVDCNNDLGTVEGSKLEDFMAMIFQPVTMPILATPTISCSGGVATTIDTPRRSNRIEQQKQKKKKDMTANGSENSAQELLARVCGLLDENAKLDEITRAAYRDMFKSPLSPRSIAAIESLVKNVEMVKKKKPKKSCAKEKATTIV* >Brasy8G141300.1.p pacid=40080111 transcript=Brasy8G141300.1 locus=Brasy8G141300 ID=Brasy8G141300.1.v1.1 annot-version=v1.1 MHTSGRVTWLPHRLWAHTSGDHVALQSYTNPPPPFSSHLAASLFPLLPSALCCARRRPLLPCVRLCSGRLLPLAASLSLRARPLPPPCARPPPPPASSLPSAHRVCSLPTRSRPLHSARRPLSPATASARRPCTPATAFAPLPVRVQIPTAYAYLFAGLGGIRSAAPTSARSGPATVYPDPRAGSHKALRPSPAAWAIAAAHPARIRCAAARIRNIQPESVEKGILLPPCGLPTPPSVRSGAADTLGRPADPASTRIRPASARIRPRRLLQPRRERRCLLPRLGVPTRHRSRGCRRARSRRRPGTPTRPPPRSPAAGKHRKEATSSPSPSATTSVAPDLSSRVPPPGHGQGSHRSSRPRSWRPSPSSAAAATSARP* >Brasy8G028600.1.p pacid=40080112 transcript=Brasy8G028600.1 locus=Brasy8G028600 ID=Brasy8G028600.1.v1.1 annot-version=v1.1 MDVISEILSRTPVKSVCRFRCVSKAWHALISDAAFLATHREPLYLIVVNSIGHDLQLLDLDGNILVFLARSQACQVLTLQDGFKWRHVQPSPAQVFSDGYCQYSSVTINGVMHFFHYNWEAPQGDEKYILCFDLESEEWKESIKGPVKLTGPVDMPEPLMTELNDTLCIVEWEEHATHIWLLIDSVDSIWVKTCTIPMAPATYVEMPLRMMCDGEKVLVHCSPGHFTYTATRTLQDYDPLTGSHAHRINFRAHGLGKVGICRMDLKHVVSSKIFTMVEPVEFNLPLT* >Brasy8G274500.1.p pacid=40080113 transcript=Brasy8G274500.1 locus=Brasy8G274500 ID=Brasy8G274500.1.v1.1 annot-version=v1.1 MDEARSSEKKKKRRRADGVEGSEKKKKKRRADEVEGSEKKKKRRRANEVEGSEKKKKRKAEGSEKKKKKRKAEGSVKSKRTRAQEEAPVVAKKRRTKYKGRIGPVVIAHAPNLPCRYEYLLKLITFIVPCESPGNAYVCPLNKIQKLLRHRKCDLGLYFEWFGPDRKMICRMFNGRTHFEFTVNPAFLCVLLRVRVKHAACSFSVNFCCDETWEAVKKTLEMIFCPPQHASPKAPAPDHLYVFTKVNDRVYFRNLKINETPASARAREDASVHEEIDETPSNACEDAFAFEEVGPYFCLKLVDVHRENDASQRALDVSKSQIKSVQRLCLTSSVYLSLSSHIESTLAHSLCLNGKKYFVLLENGEDLSVYEGYNISLREFLEDEPFLKTKHIIASKTREEMTFARNVGKLIIRSIFKNLVELFSSDICINMETQTLHYTNILLKGSSVKFYGVELMKYDDENAKRNVRQIVELVRHCFPDGVPPVDIQELLNLLNDDPLQYIQMACEDSSLLPAKYRRQMMILLHTEYFTNVKPQFMKSGDYETKCNEFFSQSPYLDNWIRTCEKNLYMDAVADYNKTIKELRREKKGQEDNIKKGEEGERGELQFCIMRNSDVHVPERAVEDGIIPFRLSWTDYIRTAYFPRYLAFIQKSMRQYLKCTPEVYSVEEGTKAIEKAGNALQEGTM* >Brasy8G274500.2.p pacid=40080114 transcript=Brasy8G274500.2 locus=Brasy8G274500 ID=Brasy8G274500.2.v1.1 annot-version=v1.1 MDEARSSEKKKKRRRADGVEGSEKKKKKRRADEVEGSEKKKKRRRANEVEGSEKKKKRKAEGSEKKKKKRKAEGSVKSKRTRAQEEAPVVAKKRRTKYKGRIGPVVIAHAPNLPCRYEYLLKLITFIVPCESPGNAYVCPLNKIQKLLRHRKCDLGLYFEWFGPDRKMICRMFNGRTHFEFTVNPAFLCVLLRVRVKHAACSFSVNFCCDETWEAVKKTLEMIFCPPQHASPKAPAPDHLYVFTKVNDRVYFRNLKINETPASARAREDASVHEEIDETPSNACEDAFAFEEVGPYFCLKLVDVHRENDASQRALDVSKSQIKSVQRLCLTSSVYLSLSSHIESTLAHSLCLNGKKYFVLLENGEDLSVYEGYNISLREFLEDEPFLKTKHIIASKTREEMTFARNVGKLIIRSIFKNLVELFSSDICINMETQTLHYTNILLKGSSVKFYGVELMKYDDENAKRNVRQIVELVRHCFPDGVPPVDIQELLNLLNDDPLQYIQMACEDSSLLPAKYRRQMMILLHTEYFTNVKPQFMKSGDYETKCNEFFSQSPYLDNWIRTCEKNLYMDAVADYNKTIKELRREKKGQEDNIKKGEEGERGELQFCIMRNSDVHVPERAVEDGIIPFRLSWTDYIRTAYFPRYLAFIQKSMRQYLKCTPEVYSVEEGTKAIEKAGNALQEGTM* >Brasy8G274500.4.p pacid=40080115 transcript=Brasy8G274500.4 locus=Brasy8G274500 ID=Brasy8G274500.4.v1.1 annot-version=v1.1 MDEARSSEKKKKRRRADGVEGSEKKKKKRRADEVEGSEKKKKRRRANEVEGSEKKKKRKAEGSEKKKKKRKAEGSVKSKRTRAQEEAPVVAKKRRTKYKGRIGPVVIAHAPNLPCRYEYLLKLITFIVPCESPGNAYVCPLNKIQKLLRHRKCDLGLYFEWFGPDRKMICRMFNGRTHFEFTVNPAFLCVLLRVRVKHAACSFSVNFCCDETWEAVKKTLEMIFCPPQHASPKAPAPDHLYVFTKVNDRVYFRNLKINETPASARAREDASVHEEIDETPSNACEDAFAFEEVGPYFCLKLVDVHRENDASQRALDVSKSQIKSVQRLCLTSSVYLSLSSHIESTLAHSLCLNGKKYFVLLENGEDLSVYEGYNISLREFLEDEPFLKTKHIIASKTREEMTFARNVGKLIIRSIFKNLVELFSSDICINMETQTLHYTNILLKGSSVKFYGVELMKYDDENAKRNVRQIVELVRHCFPDGVPPVDIQELLNLLNDDPLQYIQMACEDSSLLPAKYRRQMMILLHTEYFTNVKPQFMKSGDYETKCNEFFSQSPYLDNWIRTCEKNLYMDAVADYNKTIKELRREKKGQEDNIKKGEEGERGELQFCIMRNSDVHVPERAVEDGIIPFRLSWTDYIRTAYFPRYLAFIQKSMRQYLKCTPEVYSVEEA* >Brasy8G274500.3.p pacid=40080116 transcript=Brasy8G274500.3 locus=Brasy8G274500 ID=Brasy8G274500.3.v1.1 annot-version=v1.1 MDEARSSEKKKKRRRADGVEGSEKKKKRKAEGSEKKKKKRKAEGSVKSKRTRAQEEAPVVAKKRRTKYKGRIGPVVIAHAPNLPCRYEYLLKLITFIVPCESPGNAYVCPLNKIQKLLRHRKCDLGLYFEWFGPDRKMICRMFNGRTHFEFTVNPAFLCVLLRVRVKHAACSFSVNFCCDETWEAVKKTLEMIFCPPQHASPKAPAPDHLYVFTKVNDRVYFRNLKINETPASARAREDASVHEEIDETPSNACEDAFAFEEVGPYFCLKLVDVHRENDASQRALDVSKSQIKSVQRLCLTSSVYLSLSSHIESTLAHSLCLNGKKYFVLLENGEDLSVYEGYNISLREFLEDEPFLKTKHIIASKTREEMTFARNVGKLIIRSIFKNLVELFSSDICINMETQTLHYTNILLKGSSVKFYGVELMKYDDENAKRNVRQIVELVRHCFPDGVPPVDIQELLNLLNDDPLQYIQMACEDSSLLPAKYRRQMMILLHTEYFTNVKPQFMKSGDYETKCNEFFSQSPYLDNWIRTCEKNLYMDAVADYNKTIKELRREKKGQEDNIKKGEEGERGELQFCIMRNSDVHVPERAVEDGIIPFRLSWTDYIRTAYFPRYLAFIQKSMRQYLKCTPEVYSVEEGTKAIEKAGNALQEGTM* >Brasy8G150100.1.p pacid=40080117 transcript=Brasy8G150100.1 locus=Brasy8G150100 ID=Brasy8G150100.1.v1.1 annot-version=v1.1 MGSSSLLPCLLLLLAAALAGEAAARLVLPSHEPSSKSSQQCLDNPPDLTAAGGEGEAGQLVPDLGGLQAYVTGSRLSAHAVVIASDYYGFQAPKLRKIADQVAEDGYLVVVPDLLHGDPYKDDPKSSFTEWLKTHSPVEAAEKTQVLIAALKKQGVSVVGVAGYCWGGKVAVELSKSNEIEAVVISHPALVTVDDMKEVKHPIEVLGAELDDTSPPKLVHQFEHALDQNKMIDHFVKIFPGVPHGFACRYDANNQFAVKTAEEARGDMLSWFNKYLKNQRELPLHGS* >Brasy8G293700.1.p pacid=40080118 transcript=Brasy8G293700.1 locus=Brasy8G293700 ID=Brasy8G293700.1.v1.1 annot-version=v1.1 MLRAGAMAAAALHLSPPARFPCRFLSLTATPYPLYYDLLIHRPSKPPPRSSPSEAAGPPPPEDAAEQGGTPPLDRAKRRYLRKRRSRQLPDPDAATGTKPTTTSEMVELRPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPEQSLDNAFVAADASGAPSGADKGLVFFEEEKDGGDQGVVEKKEGDRGVVLERKVEDFFRSLKKGPAAAGEADPVTAKKKTGLGLEPRQVRRDAEREEDWPQPHLASRRTELPPRWDGPTGTVVLIDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSQVIQREPWEHIKDEDIRKAAASFMGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYKFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALRSCAHLTALRRDSIGDYSVNDAWNFDELQEQITKGYL* >Brasy8G000700.1.p pacid=40080119 transcript=Brasy8G000700.1 locus=Brasy8G000700 ID=Brasy8G000700.1.v1.1 annot-version=v1.1 MDQEEASVSVVEEEEEEDEDEEEVNMELGPLVPLKDHLNLDKDDVSLRRWKEQLLGDVDTTKLGETVEPEVTILNLAILAPGRPDLVLPIPFVPDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLKYSHTIWKTGVRVENQKVMLGTFSPRQGPYTHKSEEDTTPSGMFARGSYSAKLKFLDDDGKVYLDMRYSFEIRKDW* >Brasy8G010400.1.p pacid=40080120 transcript=Brasy8G010400.1 locus=Brasy8G010400 ID=Brasy8G010400.1.v1.1 annot-version=v1.1 MLDRSDFLQYAMSPWIGLFSASELALLLLPSAGPAPPSSDPLELLTDDEEDEPEEEGEGGAGDVRVVDVWAHNEEEELYLLRAFARAFPIAALATSQQLEDAAAAVPSSPPPDNLESSYQAVLASVDRVRSAQLGLALLNKDGELALGGRIWRFHFHPGAGADPYRVCKALYSCSRAAVPEGTWVTMDGARDLAYVVRHLNGGALPPDRHRFLHLCNVFFPNLYDLKVLAEWSTIEDMEPPLFDAGAPSSLFARFLALARRRQFDLMVGYNAFLSGLGAADEPRFVTHKRWRAERLESKRRVREMLRRNGRDEAYLQKTRCYLLL* >Brasy8G224700.1.p pacid=40080121 transcript=Brasy8G224700.1 locus=Brasy8G224700 ID=Brasy8G224700.1.v1.1 annot-version=v1.1 MASPSTVVVPRMKLGSQGLEVSALGLGCMGMSFFYGPPKPEPDMIALIHHAVAAGVTLLDTSDLYGPHTNEILLGKVIYSRLASVEKPSLYSTLSLSNCCRALQEAGVREKVQLATKFGVLIGADGTPEIHGDPAYVRAACEGSLQRLGVDCIDLYYQHRIDTKVPIEVTTGELKKLVQEGKIKYIGLSEASASTIRRAHATHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYCPLGGGFFSTGPKLVDTLSEQDLRKGLPRFQAENLEKNTMVFEHVSAMAARKGCTTSQLALAWVHHQGSDVCPIPGTTKVENFNQNVAALSVKLTPEEMAELESYASANVAGDRCYDKIRKPLPYHPGRPSNTSCGNCNKELFLVCTSCVDT* >Brasy8G224700.2.p pacid=40080122 transcript=Brasy8G224700.2 locus=Brasy8G224700 ID=Brasy8G224700.2.v1.1 annot-version=v1.1 MHGHVLLLRPTQARARHDRAHPPRRRRRRHPPRYLRFIRPAHQRDPPRQGVREKVQLATKFGVLIGADGTPEIHGDPAYVRAACEGSLQRLGVDCIDLYYQHRIDTKVPIEVTTGELKKLVQEGKIKYIGLSEASASTIRRAHATHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYCPLGGGFFSTGPKLVDTLSEQDLRKGLPRFQAENLEKNTMVFEHVSAMAARKGCTTSQLALAWVHHQGSDVCPIPGTTKVENFNQNVAALSVKLTPEEMAELESYASANVAGDRCYDKIRKPLPYHPGRPSNTSCGNCNKELFLVCTSCVDT* >Brasy8G002500.1.p pacid=40080123 transcript=Brasy8G002500.1 locus=Brasy8G002500 ID=Brasy8G002500.1.v1.1 annot-version=v1.1 MAAAGLIVAVLFVLILVPFAAGGFFGIHSHAHLNSNKKKEDQESQSNEELEYYAATTKKTGLRSFTHGRGGYKSMPSEFLAAHNAIRARYGVPPLRWSNKLAKYARRWSSKRRIDCLLMHSFASPYGENVFRGTGWDWKAADAVRGWASEASYFDWKAQACYPGHVCGHFTQLVWNDTQLVGCGRAECFFGGVFIACEYEPGGNWKGEAPLT* >Brasy8G249700.1.p pacid=40080124 transcript=Brasy8G249700.1 locus=Brasy8G249700 ID=Brasy8G249700.1.v1.1 annot-version=v1.1 MAEAVILLAVRKIGVALGNEAVNQASSQFSNFITQLTELQGSMGRIRRELRLMHEYLFRMDVWNRDNQTYEIWVEEVRMLVHGIEDIVDEYLHLVGQKHDTGWSTYLKKGFKQPNVFFSLNKIASLVKEAEVNLVHLFQAKDRWVSMVASGYTSDSSYVVERSQHLASVSRSLGEEDIVEVGENRENLEQLLAGDELERSVTVLHGMGGLGKTTLAANVYRKEREKFDCDCWVSVSQTYSREVLLGNLIKELFKDKASVPSNIETMDTTSLEEIVKNFLEQHKYLIVLDDVWTPEAFHDLSGVFIRNKKGSRVVITTREGNVARLASQGHVLTLEPLPKAGSWELFCKAAFPVDTKHECPTELTQLAQQLVSKCKGIPLAIVSIGRLLSVRDKTKKELKRIYDQLDWELINNPSLQDVRNILYLSYIYLPTFLKSCFLYCSLFPEDYLLKRKRLIRLWVAEGFIKGRGESTLEEVAYGYLEELVHRNMLQINERNLLGRMKSFRMHDIVRELAVDLCQRECFGVVFDEDKHRESLDEKAARRLVIHKLKDISQSVSGVHRLQSVIALDMSIPPSTLLPLIAEKSRYMSVLELSGIPIEKVPDTIGDLFNLRYLGLRGSKVKILPKSIEKLSNLLTLDLSLSGVKELPIGIGKLRKLRQLYADKVSDRFMRNFQFGSGVRIPKGLENLTNLQTLLSLEAQDASVRQLGQLRQLRNFEIWNVKGTYCGCLCASLTEMRFLSYLHVNASNNNEILGLNGLPPNLQRLSLTGRLAEEALGESPLFQTEGQNLYSLSLSWSQMKEDPLPSLSRFSNLVDLMFTRAYSGKQLVFLTGWFPNLKTLRLRDLPNLEVLEMKERTMVKLEILTLVNLESMVVVPPGIEFLAHVKYLSFREINNEFLTLLRQCPRSRGLRWRHTLQQH* >Brasy8G024000.1.p pacid=40080125 transcript=Brasy8G024000.1 locus=Brasy8G024000 ID=Brasy8G024000.1.v1.1 annot-version=v1.1 SPPTAAAAVKLSTLPSARRGAPTTAPCTCIAPPPTRNSASPSSPRNSTAAQSSSPRPAGSSPWTKPATRPSSTLSRPPTPRSRPSGPSPAWTPSSTPKASRRGPGSRCAPATGRSAAWPSARTTARARRNHSPWSSTG* >Brasy8G250000.1.p pacid=40080126 transcript=Brasy8G250000.1 locus=Brasy8G250000 ID=Brasy8G250000.1.v1.1 annot-version=v1.1 MGSWKRTITSPFRKARTAILSPQQQLSQGQLSGGSGDTRRHKGSAAEAARHVYEEAAEESPAAQLYGDVMACAYEDVQVMWSMLDNKSRLALQAHAADSSS* >Brasy8G147300.1.p pacid=40080127 transcript=Brasy8G147300.1 locus=Brasy8G147300 ID=Brasy8G147300.1.v1.1 annot-version=v1.1 MTAAGATTMRAFSAALLLLAALSAVRAEDPYLFFEWKVTYGTRSPMGVPQKVILINDMFPGPTINCTSNNNIVVNVFNQIDKPFLFTWHGIQQRKNSWQDGMPGTQCPIMPGTNFTYKMQSKDQIGTFFYFPSIGMQRAAGGYGLMSVHSRPLIPVPFDPPADDFPVLVGDWYTKDFAVLEKHLDAGKSIGRPAGLLINGKNEKDGSNPPMYNVEAGKTYRFRVCNVGIKSSLNVRVQGHMTKLVEMEGSHTVQNEYDSLDVHVGQCLSFLVTANQKPGDYLLVASTRFIKEVSTITAVIRYKGSSAPASPKLPEAPNGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSRAKVDGKERYALNGVSHVDPDTPPKLAEYFNATTGVFQYNLIGDTPPKEGTPIKMAPNILTAEFRTFIEVVFENPEKSIDSFHLNGYAFFAAGMGPGLWTPDCRKTYNLLDTVSRHTIQVYPRSWTAIMLTFDNAGMWNLRTNIWERYYMGEQLYMSVTSPARSLRDEYNMPDNGLRCGKVVGMPLPPPYIIA* >Brasy8G107000.1.p pacid=40080128 transcript=Brasy8G107000.1 locus=Brasy8G107000 ID=Brasy8G107000.1.v1.1 annot-version=v1.1 MRWPQTLPPPPVNSTGRLRGRQGLPRRRPVGGGRDGRIRCVLAEDGQIRRSLAEHGRIRRAMAEDGRPSHARWPRTEAGERRSAAEEEGSARWGTEGVARGRTGEKGGGGGSVGKRWGEEAVARGGETGRGERRTGNEVTYDGLTKL* >Brasy8G138100.1.p pacid=40080129 transcript=Brasy8G138100.1 locus=Brasy8G138100 ID=Brasy8G138100.1.v1.1 annot-version=v1.1 MAPATETQQHRATSVQSPSGGRKVVDEVSGWLRVMDDGTIDRTWTGPPEALPLMQPVEPYAEPRDGHTLHDLPGEPKLRVYIPEATGNGLPVIVQLHGGGFCISHPSWVLYHHFYSRLARALPAVVVTAELPLAPEHRLPAQIHTGVDVLRRLRSIALSSGSDSSSCTPAELLLRDAADMSRVFLVGDSSGGNLVHHVAARVGEDGPEHWAPLRVAGGIPIHPGFVRAARSKSELEPRPDSVFFTLDMLDKFLAMALPVGATKDHPYTCPMGADAPPLESVPLPPMLVALGEHDLIRDTNLEYCDALRHAGKEVEVLVSKGMGHSFYLNKFAVEMDPETGERTQELIDAISRFVASH* >Brasy8G230800.1.p pacid=40080130 transcript=Brasy8G230800.1 locus=Brasy8G230800 ID=Brasy8G230800.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPSHSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLEREPHQSWLRRLEDKLRSVYAAITCTRTSDVVQHQASVRPPRHSTHRQHPRQQEPPDLRHDPRPRLAEQSTPRPPPHDQAGGSSWHHPQSSFDYWQEQSPFQAGGSSWQQPQSPAMNFQFRPQT* >Brasy8G093000.1.p pacid=40080131 transcript=Brasy8G093000.1 locus=Brasy8G093000 ID=Brasy8G093000.1.v1.1 annot-version=v1.1 MATSTKLVALGFVALLSIGLTDAARMLASSSSASGYGETFTNSGTQYNYAQGAGGGGGNGGGGGSQGGFGSGSGTGYGTGSGSSGSASAPSGNGYANGAGTGSGGGGGGGSDGTSGTGAGGGLGQGSGSSSIATAPAPSTGDGSSNSVAGGGGNGGGGGSGGAGAPSVGGGSGYGAGQAGSDSTTGSGSASGSGSGEGGGTAAGDAYAPSVGVGSGSGSGGGQTSTGSGSATGNGSGTGGGGGSSSNGGSGSGGGSGSGSGNGGFN* >Brasy8G295200.1.p pacid=40080132 transcript=Brasy8G295200.1 locus=Brasy8G295200 ID=Brasy8G295200.1.v1.1 annot-version=v1.1 MDRILPLLLLAAAVSAAAATEVAYNNRALVIDGERRIIISGSIHYPRSTPEMWPELIRKAKEGGLDAIETYVFWNGHEPRKRQYNFEGKYNIVRFFKEIQDAGMYAILRIGPYICGEWNYGGLPVWLRDISGMQFRMHNDPFEKEMETFTSLIVAKLKEAKMFAGQGGPIILSQIENEYGNIMDKLQNNESASEYIHWCAAMARRQDVGVPWIMCQQDADVPPHVINTCNGFYCHDWFPKRNDIPKMWTENWTGWFKAWDKPDFHRSAQDIAFAVASFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKELHTLLKSMEKLLLHGDYKDTTYGNNNVTVTKYTLDNSSSTSASTSACFISNKFDDRDVNVTLDDGATHVVVPAWSVSILPGCKAVAYNTARIKTQTSVMAKKPRQLPETETKAAAGQLLTWSWMPENLAPFMTDEKGSFRKNELLEQITTSADESDYLWYRTSFEHKGEASYKLHVNTTGHELYAFVNGKLVGKHHSPNGGFVFQLETPVKLHSGKNYISLLSATIGLKNYGALFEMMPAGIVGGPVKLINTTSNTTAMDLSNNSWSYKSGLAGEYRSIHLDGADTRQQWQSLNGTIPVHRPFTWYKATFSSPSGSEPVVADLLGLGKGVAWVNGHNLGRYWPSYVASDMDGCRQCDYRGTFKAEGDGVQCLSGCGEPAQRFYHVPRSFLKAGEGNTLVLFEEAGGDPSAVAFKTVGVGAACVEGVQVGDDVALACGGHGRTISSVDVASIGVTRGKCGGAYEGGCESKKVLEALKKACVGKESCTVRHTDEFRVAGGVSGCESGELTVQVTC* >Brasy8G126400.1.p pacid=40080133 transcript=Brasy8G126400.1 locus=Brasy8G126400 ID=Brasy8G126400.1.v1.1 annot-version=v1.1 MAGDDIDVEGEGHLNQPITRLHFNALRDHLRREFRNSLQPIEEKQDKMSEDLQQLMDNVNEQLTQNMTTMRADLVVDIVRELRQRPQDASVHGDEQPEPNDEAEASDARARRQQRAAPRGMRPPGPGRGNGGVAGRGRGEVAGGRGLGGNRWRENLHRDDSEDEFEDDNYGLQRNGRFRHQRNYDREHHAEERFVTSHGS* >Brasy8G253600.1.p pacid=40080134 transcript=Brasy8G253600.1 locus=Brasy8G253600 ID=Brasy8G253600.1.v1.1 annot-version=v1.1 MLLFAAGGSIIGSGDFLVKKRGEHLFVVRIHGVEANRRTPSEQGGRGVGTDTRVELAGGGRRNWNCGGPWKASRSKAMAEEKVSDGAKKTNKSAAGQEDLVVRALPHDVLAVVFRRLAPRWLAASRCVCRDWRAAIDDRRLLRADLLPLSFHGIFVHFYLHRLPEFFPNAAVAPAITGSRVDFLPNAATSFADLDIEDHCNGLLLLDGYVVNPATRRWDPLPPCPPDPADPPDLHLNIWTRSSSSRVFVVPNMCTVFYHVEEEYSDTVDPMVEESSLSSSPACAMRVFSSNSGSWEWRPFLRDELSVPPGPVRKINTFIRRRCAAYWRGSLYVWILNEQTEWMLRLDKDIKPVLRHQQVTDDRSWMLKYVGGDDARDDNRDTSWYVPQESFSYYPKVRILGFHPYQEVIFLSEAAVGESVEKGLTYHLSTSKVQDLGDIGPTNYLRHYKYRQQSIKYSCSYTPCWLGEFPRNS* >Brasy8G195900.1.p pacid=40080135 transcript=Brasy8G195900.1 locus=Brasy8G195900 ID=Brasy8G195900.1.v1.1 annot-version=v1.1 MKRGRKGAAPAPSTELPPCVLPLVTCPCCRVQRVGRLVSKSEQNPSRVFYKCPNHRSGTGGCNFFHWEDGEDSYVDYLSSNGKVEQKMQKQLQKMENSEIKLLVEKMDALVVICRMTLCAFVVFVALLLYVVAQK* >Brasy8G234600.1.p pacid=40080136 transcript=Brasy8G234600.1 locus=Brasy8G234600 ID=Brasy8G234600.1.v1.1 annot-version=v1.1 MTRGNQRDRDRERAAARKPNSKNSGDGLTPEQRRERDKKALEEKAAKKAAQAAGSAGGTSTDNKNKASDVKK* >Brasy8G277200.1.p pacid=40080137 transcript=Brasy8G277200.1 locus=Brasy8G277200 ID=Brasy8G277200.1.v1.1 annot-version=v1.1 MATPTPSRLHHRLLPAALPSRTPLSSSSQGLSRRPTSRLHCSSPPVDVPSGEYRPSFADDLLLAFFRAKMVEEVGWDSQKPGYDGLIEVANRLMIKGKSALETEQSAVRVLRALFHPLLLVLFKALVAPLANGQLASMMVARATALSCRWLMGTCSVNSVTLPDGRSLSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLNTDKALKEPCLDICTNARRRRELGSNSSPDGLCPQV* >Brasy8G298300.1.p pacid=40080138 transcript=Brasy8G298300.1 locus=Brasy8G298300 ID=Brasy8G298300.1.v1.1 annot-version=v1.1 MAASILVSASTGAMGSLLGKLGTMMSDEFKLLKGVRGDIKSLEVELKRMQAFLIVMADVKKPDPQAKLWVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFMKLLSKSMETIKNIKTRHKIGKEVKGIMSQVKEIGDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELVNWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGANYECQAFVSISLSPNMTMVLSSILSQLRNQDYAHGGDPALIIPQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSGEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASGDILKKCGGLPLAINAISSLLATGQTEEEWNRVRTSIGFAQGKNSDIDAMNYILSLSYFDLPLCLRSCLLCLTMFPEDYEIGMERLVHRWISEGFIRGEDGEDLVELGMTYFYELVNRSLIQPTRISYDGTASGCRVHDTILDFLTYKSTEENFGTLLNNRLKSGSRVRRLSLMGKEDQESVDKLDLSHARSLVAFGDSQEYLTSLVKSTALRVLDVSGRTGLGNHHVKDIGRLLQLRYLNIYGSTKITELPREIGDLEYLETLDVRGTELHELPESVTRLKRLARLSVSPEVKLPDSIGNMESLQDLRIINTRVQSVRFLEELGKLTNLRALIICWDDREVDKASCKREKLVSTLCKLMPASFVTSNLDFI* >Brasy8G298300.2.p pacid=40080139 transcript=Brasy8G298300.2 locus=Brasy8G298300 ID=Brasy8G298300.2.v1.1 annot-version=v1.1 MAASILVSASTGAMGSLLGKLGTMMSDEFKLLKGVRGDIKSLEVELKRMQAFLIVMADVKKPDPQAKLWVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFMKLLSKSMETIKNIKTRHKIGKEVKGIMSQVKEIGDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELVNWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGANYECQAFVSISLSPNMTMVLSSILSQLRNQDYAHGGDPALIIPQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSGEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASGDILKKCGGLPLAINAISSLLATGQTEEEWNRVRTSIGFAQGKNSDIDAMNYILSLSYFDLPLCLRSCLLCLTMFPEDYEIGMERLVHRWISEGFIRGEDGEDLVELGMTYFYELVNRSLIQPTRISYDGTASGCRVHDTILDFLTYKSTEENFGTLLNNRLKSGSRVRRLSLMGKEDQESVDKLDLSHARSLVAFGDSQEYLTSLVKSTALRVLDVSGRTGLGNHHVKDIGRLLQLRYLNIYGSTKITELPREIGDLEYLETLDVRGTELHELPESVTRLKRLARLSVSPEVKLPDSIGNMESLQDLRIINTRVQSVRFLEELGKLTNLRALIICWDDREVDKASCKREKLVSTLCKLMPASFVTSNLDFI* >Brasy8G231000.1.p pacid=40080140 transcript=Brasy8G231000.1 locus=Brasy8G231000 ID=Brasy8G231000.1.v1.1 annot-version=v1.1 MFGWGKSSKTLAPAAAGGEVAVQKVDRIEFHNLIKPPPRFGCVIGTDPRNPATLPPAVTGTAARMPDGSPREDINKKASRFIEDTKKRWQLAHKSFRATGPGR* >Brasy8G242500.1.p pacid=40080141 transcript=Brasy8G242500.1 locus=Brasy8G242500 ID=Brasy8G242500.1.v1.1 annot-version=v1.1 MAANEEGSAADAKICGGLAGGMPMAELEQVFRRYDANGDGKISAEELASVLRALGAAPGPGEVRRMMEEMDADRDGFVDLREFAAFHCGQGQGADKEQEAAASEAELKEAFRMYDADRNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGGGGR* >Brasy8G147900.1.p pacid=40080142 transcript=Brasy8G147900.1 locus=Brasy8G147900 ID=Brasy8G147900.1.v1.1 annot-version=v1.1 MEARPRPSHVRRVTMKKRPRPDAWWNIARKLQRREITVYSGRAFARSTAAERFRNIQLQEEFDTHDPKENDLLQPYLRKRSEIIKIVGASDIIFALSQLGICAVFSRVTNQRICFMNGSSDEVIRSLIYNKNNDSLITASVFGSEDFSTVRYRTTRIEYIRRGKPDAVSPLFETESLRPGFVEFDNENGKVLIYTAHDSTYKVFDLKNYTLLYTISDKNVQEIKTSPGIMLLIYTTNRGYIPLDILSIEDGKLLKSFRHLLHRNKKVDFIEQFNEKLLVKQDGENLQILDVSNSKIIEVSRSEFVTPSSFIFLYEMQMFLTFRNRSISFWNFRGELVTSFEDHLLWHPDCNTNSICITTNQDLIISYCKDDPDDSYPEDNACSINVSDILTGKCLAKINARNLGKQTKSLKFLNTPAEALREITSLYYDEEREEIYTGNQHGLVHVWSN* >Brasy8G016100.1.p pacid=40080143 transcript=Brasy8G016100.1 locus=Brasy8G016100 ID=Brasy8G016100.1.v1.1 annot-version=v1.1 MTYTAKERKSERGRERERGRERGGEREGEKERRGRPGSGGSSGAADPATAGGRGRGQVDQRRQRSGPADSGRSGLGRGRQIRPRARSGAPDPAAPVNGLQALPPWSSAAAAAGARGRGMRAGGKERQELEGGGGARVGARGGEALGEGRPGGARGKRRREGEEASGGRRKRERERGWRLGGEK* >Brasy8G044300.1.p pacid=40080144 transcript=Brasy8G044300.1 locus=Brasy8G044300 ID=Brasy8G044300.1.v1.1 annot-version=v1.1 MTSSSIGTASRNTAESLVERRCALCLAAAKPRNSPTADQSCPAAFGAYSEHRHFHCPLSSSPLPISTFSRRPCSPRVLPPASPAHQPLPAPRGRRPTRCGLTCAPTIRPARSTSRCHARCRDPTEALAGKSGRDIGRRTRGQGRRAAAERGGEGVGSVGCIRSEGGRRQRWRGERDVGWGEGKNTSEKKQSDRGGRTRIFRPGRFAAETFFSFSSLQPAGLGRLLLLLPFACGRRHLRRLGPAASSPRRTAPTLPLNYRTPPAVAADLHPLAAAADPPTRPGTYASDPGGPTRLSGPIATRRPLPIPARDQALRSRDAAVSSSREARNQALPSPPRRRRSPPASRGRLSPRDQAPTPPIPTRHATRHPLPIPARDQARRPLPQFRLTMAPSLVLLSALKETSPKVCESRPASRWVIAAIISIRAKKMA* >Brasy8G044300.2.p pacid=40080145 transcript=Brasy8G044300.2 locus=Brasy8G044300 ID=Brasy8G044300.2.v1.1 annot-version=v1.1 MTSSSIGTASRNTAESLVERRCALCLAAAKPRNSPTADQSCPAAFGAYSEHRHFHCPLSSSPLPISTFSRRPCSPRVLPPASPAHQPLPAPRGRRPTRCGLTCAPTIRPARSTSRCHARCRDPTEALAGKSGRDIGRRTRGQGRRAAAERGGEGVGSVGCIRSEGGRRQRWRGERDVGWGEGKNTSEKKQSDRGGRTRIFRPGRFAAETFFSFSSLQPAGLGRLLLLLPFACGRRHLRRLGPAASSPRRTAPTLPLNYRTPPAVAADLHPLAAAADPPTRPGTYASDPGGPTRLSGPIATRRPLPIPARDQALRSRDAAVSSSREARNQALPSPPRRRRSPPASRGRLSPRDQAPTPPIPTRHATRHPLPIPARDQARRPLPQFRLTVSPAILI* >Brasy8G044300.3.p pacid=40080146 transcript=Brasy8G044300.3 locus=Brasy8G044300 ID=Brasy8G044300.3.v1.1 annot-version=v1.1 MTSSSIGTASRNTAESLVERRCALCLAAAKPRNSPTADQSCPAAFGAYSEHRHFHCPLSSSPLPISTFSRRPCSPRVLPPASPAHQPLPAPRGRRPTRCGLTCAPTIRPARSTSRCHARCRDPTEALAGKSGRDIGRRTRGQGRRAAAERGGEGVGSVGCIRSEGGRRQRWRGERDVGWGEGKNTSEKKQSDRGGRTRIFRPGRFAAETFFSFSSLQPAGLGRLLLLLPFACGRRHLRRLGPAASSPRRTAPTLPLNYRTPPAVAADLHPLAAAADPPTRPGTYASDPGGPTRLSGPIATRRPLPIPARDQALRSRDAAVSSSREARNQALPSPPRRRRSPPASRGRLSPRDQAPTPPIPTRHATRHPLPIPARDQARRPLPQFRLTVSPAILI* >Brasy8G255200.1.p pacid=40080147 transcript=Brasy8G255200.1 locus=Brasy8G255200 ID=Brasy8G255200.1.v1.1 annot-version=v1.1 MSIRKALGAVKDQTRIGIAKVVTSGAELDVAIVRATAHDDAPADDRHAREVLRLTSSPSSRARVSACVAGVSRRLARTRDYVVAAKCLALLHRLLADGDPHFRHELSGHGAVAAAAAEFRDEAHPASWDHTAFVRALALYLDARARFLLSLLPPPRTVRFASDPPSSSPAPDMAARPAHEMDAGALLARAGQLRHLIERCLACRPAGGARRSRVVLAALWPVVKDSAALYADMAAVLAVLLDRFFDMEDYDDCAEAFEAHVSAARLADGLRDLYSWCDHAGVARSSDLDFPEVKRVDDKFLETLEQLLRERGQAEATQASSPPPQAEHVMDGIERDVNGIRTLPAPEHYKAAAAAVAPMEDDQIQKQSELVDLRESAEEQGNKMALALFSGDNAPPAPETKNASWVAFPTEDKDDAAITSAWQTPAAVPGWELALVETASSLSSRGTAAPGGGMDALLLHGMYDHGAAVRQQQQHAASGSASSVALPGAASAGFLALPGPAHGGDPFAASLAVPPPAYVQMAEMERKRELLAQEQRMWAQYRQGGMQGQTGLNGVAVGGGGSAFAASSSMPMAMAAYQYHGGYYHY* >Brasy8G217900.1.p pacid=40080148 transcript=Brasy8G217900.1 locus=Brasy8G217900 ID=Brasy8G217900.1.v1.1 annot-version=v1.1 MATPPAAAAAVMLGGKGATLSPAAVYALSLGLADPVIDASALQRLSTRAPSPQETPESLRALALAPPESRAAAAVLLNKLLVTAADSSSALVTAATANGLAGSLDLAAALPPASRDEAAVAAASAPVAVSLAAVIDCCAAPLVRVADAVAALSCEAARGDVAAFDVPASGDGLCDKDEADVAADIRVLLFGSKLVGIGGGPSGSLFAEVPAVNGAFREAVRALHKRVRVELNAPVKLGKRSTAGTGEGKEKALVVVATQLARSVHAMCELSVARARFCAGSIADANLQEKLTGGINVDDLKGMLDKVMVDSDAVSVLRGVYNHLLKFRDFLAWEAAVAMAVIEMDISIQKPQASVENETGSSLEKKQAGGKEGKGDKKSKKKTLGKGTSDVLMLLRDHATRGKDVPCVNSALIADWGIELSILFDPKCPKLESLVEKVKGIVESNETGRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLDKLDIGMYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKLTFEEVKNELVEEKGISNETAEKIGSLVKTRGPPLEVLLELRKEGSKFLENDGSIVALNELDILFKALEKANAIDRISFDLSLARGLDYYSGVIYEAVFKGATQVGSIAAGGRYDNLVGSFIKGEKKQVPAVGVSLGIERVFAIMEQQQQDKEKNQVIRATETEVLVSILGKDLILAAELVSELWSVGIKAEFKLTTRVQNHIKYAMQSGIPWMVLVGESELKDGKVKLKDIRANQEEEVLRKDFVQVLKQRLASP* >Brasy8G171300.1.p pacid=40080149 transcript=Brasy8G171300.1 locus=Brasy8G171300 ID=Brasy8G171300.1.v1.1 annot-version=v1.1 MVSSAISSASGVIVCFNTGGLAPAPVQLLRFGELDFTSDTDKPCLHLIYDMWDSIPIYRYYSQEWTNEVPEHASPNDDSEVIEMRNMCYRKLFPDHDDFKTIEKEFVDFALYTNALQNQDSVEDKLDFEPEQWWGTHGELEYICFFIHTSAIYRLTPARAEALVFSRNNLRLLSRHSRANMGVESFTGVGMLEGADLSLDEPELEGEILDDLT* >Brasy8G252000.1.p pacid=40080150 transcript=Brasy8G252000.1 locus=Brasy8G252000 ID=Brasy8G252000.1.v1.1 annot-version=v1.1 MCMQMTRWDEILTLPVQNPTTLEFSAAEITWSMVEGWKDSMDRLALIPFSRVNDFVRGESNNKVCPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKNGAVRPSRSSCGKRKTPAGRPNTKRGCVCHFIVKRLIAEPSLALVIYNHNKHVDKKGTPCHGPMDKMAIGTKAMFAPYISDELHLEVMSLLHVGIPVETIMQRHNEMVERQGGPSNRDDLLTHRYVRRLERKIRRSVYELDDDDAVSINMWIENHQEYTFFYEDFSDKDAFVLGIQTDWQLQQMIQYGNRSLLASNSKFGTNKLKYPVHSILVFNQQKNAIPVAWIITPSFTHGEIYRWMGALYDRVRSKDPTWQLGGFIIDDPLTDVRTIREVFQCPVLITLWRVRHAWHKNLMNKCSDNEKRSMLAKRLGEVISSICGGNGDMELFQAFLEDFVDCSGFLDYFKAIWFPRLGAWTTVLKATPLASAEVASAIESYHHLLKLRLLNEADESIYQRADWLVHKLGTTVHSYYWLDEFSGKDSFSRYWRSEWKNGPNQWQQGMQIPDSDIVIEGSCARVICQKDKEKSHAILNPGSELALCDCSWSRKGNLCKHSMKSTKVCRDRGLAPPSLALLRYYQALANVVHCPPSDSVVSDHAIAVAVSVRTQLDALFGVTNRSSPNTSAFKDPQATSEPRGSGVLETNITNGACISSQSLAVSGDGEEVPVDENSDQDSPACKKRKSGGALDEDEAATATQIIQPSETENSRATCQEGECRDTCNGEGTTAMQGSDGDEETAATLITQPSETENSQVACVPEPNHRQDSPAHEEKEHRESPDGNEGIAATQASDGDKETEATQIK* >Brasy8G194200.1.p pacid=40080151 transcript=Brasy8G194200.1 locus=Brasy8G194200 ID=Brasy8G194200.1.v1.1 annot-version=v1.1 MQVQTRNLRENQLGGVIFGCKHETMEECFSKQLFGLPSLHLSYVRNVKAGLPLFLFNYSDRKLHGVFEAASHGGNCIDPYAWSDDGTLRTPFPAQVRICTRTRYLPLLEGQYKKVLQDNYYTSHYFFFELDHAQTRALIALFKSVGPPNVKQVPAISSKRSLVVPLPSTKRVASVIPKQKKGTSNSKDINPFSVLSISSGIVPDDWVDSDADSGSISRTSDSNTDEKASGELVSDWEDLDDNDLQNQFGLCSNPDEVSQHSSYKTVAEGVELMQCNQLVVDPVNGGSHTCDEDMLVNSHDDGIDGEVQNEPDGVGVQLETPSILKKLKELFVLRQQAALSSQDFVYSSPDGYVPEETQVNANLSSQDESVSEETLLNANLSSQGEFVPEETLLNANLSQDESASGETQFSASLSSQDESVPEETQVNALPSQVEFVPEETQINANLSCQDQYVPEETQANASLSTPDHYAPEETQAKSSLCLSNKPVCGTVVDNTCVQQHHGNAELLRIITDLTKKADALEKNQIKSDQEIISLKEVVKDSGRKVQQLEYRIDELQFKFDSSLSLQGSLCDNLDRPSIFLIGGYNGVTWLSSLDSFSPKKDMLVPLTSMGSARSYASVAAMEGCIFVFGGGDGSSWYNTAECYNTRSNEWMICPCLNHEKGSLAGVSLDGKIYAMGGGDGSQTYSEVEMFDPFLGKWICSPPMLQPRFALAGAESSGVIYVAGGYDGHKYLQSAERYDPREGFWVRLPSMSARRGCHAVAVLGDVLYAIGGYDGVSMVSSVEIFDPRLNAWKMGDPMSSPRGYASAVTLDDSLFVLGGLRSNEQILDTVEVYNVSSGWTVPGFSSIGKRSFASAIVM* >Brasy8G267800.1.p pacid=40080152 transcript=Brasy8G267800.1 locus=Brasy8G267800 ID=Brasy8G267800.1.v1.1 annot-version=v1.1 MNFDRMASSPAPASPYAEEQRGSTAVARSARPRRVNPDVSAVFFQSSVRRRGPRKPPPARQQPQRARYGCAFEEEEAACGGKLSTASRLVWAKVKTHPWLPAQVFDAADASELALRHRGRHGATTTTLVAFFWDMTFAWADAASLRPFRDGFPLLAGNGQITVACAVDAALAEVARRVAAGLSCACCRPGEKQLEQVVANAGVREDARGAVVDAGFARAAFRGEAFVEYVSALAVAPGAGADRLELTVAMAQLRAFKRWRSPSLPDYTAAFGIVDDGAMEAARPTPASRATTTTPKRRRRRRRARRGGGAAAVDDSGGNLATTMSRCARGTTPATMTRDDRGKALVDYVLAMPAGAAAGTGAAAAELEAFTQWRRAPSRLTGNGATPPARRTTTKETGGSIGGDDAAAADGRAGAWRMTSCIKPAACEIDDHDREEALDDDLEPFSPHRPSSPQVSSRMASSKLGWKDCVIVMQFLAIVLLLCKLTQSH* >Brasy8G249500.1.p pacid=40080153 transcript=Brasy8G249500.1 locus=Brasy8G249500 ID=Brasy8G249500.1.v1.1 annot-version=v1.1 MARGKVKIQYIENNSKRNTTLRRRLKCLITKVNELSILCHVVALLVVFRNADVQQPEVVWPSMERAVEVAGDYMAVDTEKLKKALDGPGFVRELVDKERARVQNFQRAADTKRCIHDLILRRKSLSLQGLTLTPQVLREHRNLASLQDITDVQCKLQSTLRAVSDQLKEKRSGSGSTSAPPPQQQQGMMLPPAGLEAAPMAPMEVDPPHVVADAPMAPLGGFFFDEEDDFNFDDDYDIDGDGGELITPEELRDILAFVRAGTGAPPPPNDN* >Brasy8G027800.1.p pacid=40080154 transcript=Brasy8G027800.1 locus=Brasy8G027800 ID=Brasy8G027800.1.v1.1 annot-version=v1.1 MMIGRGSPMLNLRKSKVGKKKPSPKEKEKELKRDYRILKDARKQSGASWNDQRCMIVTEPAVWANIIISFPRAKKFRNKPFPLFDALGELHDGNTADGIHNFTSIEPSQITTSTEADTIDVEASNTEVMFSDLQETSVYDDGQPCMTRDREVEANMQRNAASTTTRNEGQEPKRRRQTADITGMMERFLEFRAKQAEEEAARLARERAESSNVDDFSIKRCIAVLNTMEVTPQEKVKAYGVFKTIENRQIFINADPDSALMWLRSEMK* >Brasy8G066500.1.p pacid=40080155 transcript=Brasy8G066500.1 locus=Brasy8G066500 ID=Brasy8G066500.1.v1.1 annot-version=v1.1 MALVKKNTHALWFVALLVISSAFLSRPVTAGRDITSRDIGANFFANRNPKPWFGLMSLMCFALAGCEQAECQKQCTWQGYPEYKSYCNKDNGGSCCCKS* >Brasy8G162900.1.p pacid=40080156 transcript=Brasy8G162900.1 locus=Brasy8G162900 ID=Brasy8G162900.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMEREAAGGAVGERGGGGLERRGGWGEAAGVGEGGGRRGGGGGGGGGEGGGRRPKGVEGVGGRGRRGGWGEPGGGAGGGGEGGGRRPGWGRLPGVAAGGGGGRGEAAGVGEVGGRRGGGGAGGGGEGGGSRAAGRVEGVGGRGGGSRGRQGGWGSRAAGAGAAAAAGGRGGGEEAGRREEAAGGGRGREGDGLRV* >Brasy8G141800.1.p pacid=40080157 transcript=Brasy8G141800.1 locus=Brasy8G141800 ID=Brasy8G141800.1.v1.1 annot-version=v1.1 MAGGADEVKLLGHWGSPFVTRVRLAFHLKGVSYEYAEEDLHNKSELLLRSNPVHRAVPVLIHNGRPICESQVILQYIDEAFDGNLLLPADPHERAVARFWAAYIDSEMGAPWDKAYRARTAEERAEWMKQIAAAVDALEGGLRECFEGGKKGGFFFGGGVVGYVDVVLGSLVPYVHAAAVLSGDRLFDAGRTPLLAAWLERFGELDVAKAVLQDVDSVVEYSRAIYARNAARAAN* >Brasy8G178000.1.p pacid=40080158 transcript=Brasy8G178000.1 locus=Brasy8G178000 ID=Brasy8G178000.1.v1.1 annot-version=v1.1 MSSHRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDEDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKSDAKDDGWASSDDDADAMEHEDGDSEVDKGAVSFKEHRRVHYDEFRKVKELMRTGSLIEEEPRADDRGANNSQNNTAGEKAADSKSKSSPSPQTSSAK* >Brasy8G115100.1.p pacid=40080159 transcript=Brasy8G115100.1 locus=Brasy8G115100 ID=Brasy8G115100.1.v1.1 annot-version=v1.1 MASSAGGYVDVPLGGGGGGGDGEQQQQQHHAVPATGPVMRKQPSRLASGMKRLASKVSSMRVPDSVMGLKRSHSSAQPALRGLRFLDKTAAGKDGWKSVEKRFDEMSADGRLHQESFAKCIGMADSKEFASEVFVALSRRRKIEPADGITKEQLKEFWEEMSDNNFDSRLRIFFDMCDKNGDGKLTEDEVKEIIVLSASANKLGNLKKHAGTYASLIMEELDPDGRGYIEIWQLEKLLRGMVMAEGTSDQMDQASTSLAKTMVPSSHRSPMQRRISKTIDFIHENWKRIWVITLWIIANICLFIYKFVQYKRREVFDVMGYCVCIAKGAAETTKLNMALILLPVCRNTLTSLRSTALSNVVPFDDNINFHKVIALGIAIGAGTHTVAHLTCDFPRLVSCPSDLFQEKLGPFFNHVQPTWGTLVASTPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVMHSYFIFLTPEWYKRTGWMYLAIPVLFYACERIIRRIRENSYDVTVIKAAIYPGNVLSIHMKKPPTFKYKSGMYLFVKCPAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNIFGKACEAEVSSKKATLSRLETTVIAHGMAEETRFPKVFIDGPFGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNGEQQSMHDEELGCTFKSNGPSRAYFYWVTREQGSFEWFKGVMNEVAESDRDNAIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHKNARIGVFYCGSPTLTKTLRDLSVEFSHTTTTRFHFHKENF* >Brasy8G059500.1.p pacid=40080160 transcript=Brasy8G059500.1 locus=Brasy8G059500 ID=Brasy8G059500.1.v1.1 annot-version=v1.1 MSFVDSLSSLGLGYAIAIALGFLVLLASLLLASYFCFRRGVGSAASEGTAHSGRHAASSASSSGHISITVPRFVFVAEDDSPGSSSRGAGAPAASPVGLDPAVIASFPKMPFSRAAAGANAEAACSICLCEYREGEMQRVMPECRHAFHLMCLDAWLRRSASCPVCRSSPIPTPAATPLSELATPLSELVPLSQYAADRRRRR* >Brasy8G270200.1.p pacid=40080161 transcript=Brasy8G270200.1 locus=Brasy8G270200 ID=Brasy8G270200.1.v1.1 annot-version=v1.1 MSESPPRRSSLAATSRRRCWTSVARDPPRRHLRLSPRVATVLPVPAEKRWRPWGRGSTRAATLPETGKTGARGGGSGACESRRGREEAWGNTGRQEGRNTGHGRRGRARGEKSRGFGREMKSRGGRRFGGEKRGLGEMWRRMASVGFVSSSDWPRLDGRSSAARRTGDGEEEEKEERGHGGEKRGRKGFI* >Brasy8G117200.1.p pacid=40080162 transcript=Brasy8G117200.1 locus=Brasy8G117200 ID=Brasy8G117200.1.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.2.p pacid=40080163 transcript=Brasy8G117200.2 locus=Brasy8G117200 ID=Brasy8G117200.2.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.8.p pacid=40080164 transcript=Brasy8G117200.8 locus=Brasy8G117200 ID=Brasy8G117200.8.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.9.p pacid=40080165 transcript=Brasy8G117200.9 locus=Brasy8G117200 ID=Brasy8G117200.9.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.7.p pacid=40080166 transcript=Brasy8G117200.7 locus=Brasy8G117200 ID=Brasy8G117200.7.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.6.p pacid=40080167 transcript=Brasy8G117200.6 locus=Brasy8G117200 ID=Brasy8G117200.6.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL* >Brasy8G117200.4.p pacid=40080168 transcript=Brasy8G117200.4 locus=Brasy8G117200 ID=Brasy8G117200.4.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREGH* >Brasy8G117200.3.p pacid=40080169 transcript=Brasy8G117200.3 locus=Brasy8G117200 ID=Brasy8G117200.3.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREGH* >Brasy8G117200.5.p pacid=40080170 transcript=Brasy8G117200.5 locus=Brasy8G117200 ID=Brasy8G117200.5.v1.1 annot-version=v1.1 MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYIRFGKFQGVLKGAEKVVTITVNGVEASFHMLLDNSGQAHFMRELVPGSEDSRTGPEEVINEPETPLRSKSDGELYIGTNDQLVSAELNENQEEETGEEFDSYGYSKMEEAEDLTKQADGSTSDMLLVSVNGCVLTAPISSTEESMEDVQLSEPQFHLGPGQSSSGDFSRSGEVWETGILDDIYISQEKLKFDSEHPSEISKELQEVLIEKDRSHDIRVNEYEAQRQEVSIEKDRSHVIRVNEYEALHVSVNEGEAFVASTNEAEVQDISQSGNNGSSNQVLTLEDEAHDISGNNIENHQPLPNKDEALDVSESKNQGYQALINEDEARQLLTLEDEAHDISGNNIEDYQSLPNKDATLDVSENNNEGYQSLTNEDEALDVSENNNEGCQSLTNEDEALDVPENNNEGCQSLTNEDEALDVPENKIEGYELLMNEDAACDIPLVQVDEACKSPAKIHKVGDVSNENIEARLGRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSLSNRSDVDIDLGEDGSESAHCDHLDEVDVSSITSDNNITQSEDSSPHYGKASDLLCEGGSYDRGKDTIPSKIRLHSSPRSSDKDKLGSIPENPSAEEELNKEHPRLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVLEEDFKSSGPSIIKNANLIVKIGSNYFVWSKVSHIILGKAVFGPDFSVEPIDAIPVELQETPSSREDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENKKNQSPRKQFVRTLIPTSEQVASLNLKEGQNMVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFCAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREGH* >Brasy8G036800.1.p pacid=40080171 transcript=Brasy8G036800.1 locus=Brasy8G036800 ID=Brasy8G036800.1.v1.1 annot-version=v1.1 MALSPVSFPCLAFHNKENQSTTLFSASEKKPIADIGELENMSVCTTTHGFMLARDPISKATFLWSPHSSTNIELPPLELEAKGLMDCSCILSEKPTVPGCVVLVVEPEATFIRYCRVGDDDHWEKYDYDIGAVDVPEMNYYEKEVICLIAACRGKFYFNSLPTSLGVIDFSGPGPEFSSITIEDTIQERCGCGEEYGAADVFLVESNDELYMVSRLVASHSTGATHGDVATIHRMDFSARRWCRVDDLGDRTFFLSLFYFGASCSRRGGGGRDDSLQRNRIYFVLPGQKVLQIFDVKDGSAELQSLDEAPMSDKAFWVLPADP* >Brasy8G062700.1.p pacid=40080172 transcript=Brasy8G062700.1 locus=Brasy8G062700 ID=Brasy8G062700.1.v1.1 annot-version=v1.1 MDRAFEMGSDQEWAAMEEKHGGDGDVDVGRREDGPAAPAALSGKKLWRMARAVHLVLARGLGKHQPKLAALGVHLHHLLSPSSSSSSKRRSFAAPALSCRSVDAVHVHPYPRGGRVAGGSSSSLSCRSMDPGAAVYSKYEYRPREVEFSCSSTPLHRRRRSRRSRRRGGLLLQQQDEVKNPEYGSAAAVTRLFELMDDDEEEGDVVEISGAPAPRQVRITDSPFPAAREEDDEAGLVDRRADEFIVWFHGQLRMQQQPLPQCPAAARERATYRVR* >Brasy8G280200.1.p pacid=40080173 transcript=Brasy8G280200.1 locus=Brasy8G280200 ID=Brasy8G280200.1.v1.1 annot-version=v1.1 MIGCTRVKIPHIASLPSLLIMKVNLFFDQLHHPGLLRLQCFVVHHPSARCRSQPSAVQGLLDNEFNRLQQLQDSSRSPGMVSELVKNFCVDGERTIGELTNLLNQQVVDYGRVTISAYNIKGRSSSIGAKRVANASIQLCEFCKEKNKQGCLTTLESIKIEFYDLRNKFNTMLQLEKRVEAYFPRK* >Brasy8G280200.2.p pacid=40080174 transcript=Brasy8G280200.2 locus=Brasy8G280200 ID=Brasy8G280200.2.v1.1 annot-version=v1.1 MNSPMYELSNLMGFMFTAGLLDNEFNRLQQLQDSSRSPGMVSELVKNFCVDGERTIGELTNLLNQQVVDYGRVTISAYNIKGRSSSIGAKRVANASIQLCEFCKEKNKQGCLTTLESIKIEFYDLRNKFNTMLQLEKRVEAYFPRK* >Brasy8G058200.1.p pacid=40080175 transcript=Brasy8G058200.1 locus=Brasy8G058200 ID=Brasy8G058200.1.v1.1 annot-version=v1.1 MLHEIPFRYNPPALAAARDGDRKQVWTGQKRQKHKAERAPVRTTPSASSGEIPENFRTTPPDSSEEMSENDIISEEEFEGYLAVLATRKPIMSITPRRMDAEQERKLHERLAFCRIRASRLANGVSIDELDDATLRKEFSPEALKELHYLDHYEKSNSVDWYFDRRYSMLADLGDYQRLVLKNGHGGSRFLDWEKYSWFTTYEMDEEYLKLFEELSKKIKWIKHYLVTCKHASPELLVKQNIAFCQAVKIAEGFPHMNCDTTFLAYTEHMWNVVFNYVNREDHDLLYYEIWKRVTEQKISFEEALKAVHREDMFPRFKDYIQCALDHNELSDLESNFRTCVEGIPDEAEENGVHELIKGAVWNKLFKPKMYHEYITRKMEIVKHIGLDPQA* >Brasy8G067900.1.p pacid=40080176 transcript=Brasy8G067900.1 locus=Brasy8G067900 ID=Brasy8G067900.1.v1.1 annot-version=v1.1 MAPTQVKHSSQDLLQAQVDLWHHALGFVKSMALKCAMELQIPNTIKHHGGAMTPSELATKIGLHPSKLPRLRRLMRVLTVSGIFVVHEAASADKEAVYGLTPTTCLLVSDEVKSNLFPIVTLMLDSTVITPFFGMHSWFLDEHSASMFKKAHGVTFWEMADQDDTYNQLINNAMVSDSNFLMDIILRECGDVFVGINSLIDVAGGHGGAARAIAKAFPQMKCTVLDLPHVVANAPSDEHVPFISGDMFEYIPPANALFLKWVFHDWGDEDCVKILKKCKEAIPPRDAGGKVIIVDMVVGSGPNEIVTRETQVFFDLFIMYLEGIEREEFEWKKIFMEAGFTDYKIISVLGVRSVIELYP* >Brasy8G097100.1.p pacid=40080177 transcript=Brasy8G097100.1 locus=Brasy8G097100 ID=Brasy8G097100.1.v1.1 annot-version=v1.1 MSRDIVRTSSAQDHGPSAADDSGAGPGLALWDCGSTLYDSYELTAFKRQLDAAVLACRSLSMPHLRAGPAPEKGRRKSRARRLPALLRRLFSKVLRLRFPGSGAHGGASARGDRWRAYDGYYSGTGSPWSGALMSIPEESGGGSSPETPPVDVAGPSALRRAQSERFIGSKTASTMVQFDNVVL* >Brasy8G097100.2.p pacid=40080178 transcript=Brasy8G097100.2 locus=Brasy8G097100 ID=Brasy8G097100.2.v1.1 annot-version=v1.1 MSRDIVRTSSAQDHGPSAADDSGAGPGLALWDCGSTLYDSYELTAFKRQLDAAVLACRSLSMPHLRAGPAPEKGRRKSRARRLPALLRRLFSKVLRLRFPGSGAHGGASARGDRWRAYDGYYSGTGSPWSGALMSIPEESGGGSSPETPPVDVAGPSALRRAQSERFIGSKTASTMKYARGVQ* >Brasy8G286900.1.p pacid=40080179 transcript=Brasy8G286900.1 locus=Brasy8G286900 ID=Brasy8G286900.1.v1.1 annot-version=v1.1 MTGPKRHISYVCSVLVEFDMRIKKGKEEDDVQLIDGAADFDDVTTPLEATIEVIISKVQSGFNLSLSSFVFIEDSHEKIQLFRATIGESCGLRRYVIAVEKDSWMHVKLEVGQKSSNLERYYCTFKTDTHGCACQEIKLDLATMSVKVTWSTV* >Brasy8G109800.1.p pacid=40080180 transcript=Brasy8G109800.1 locus=Brasy8G109800 ID=Brasy8G109800.1.v1.1 annot-version=v1.1 MKKRVERRSKEIPISLACHLCRLHPAAPRPLGFCVVRSSCLLPHLEPRTDALVPGHRPAASHGKPLPQGCLCRNGGRSLKGERRDLGACSEVLPLHQIHQSSFPMPIHAAEERRAAAGGCSGTAAAGRGRRSGRGRGAEIGSELLPAPENGDGGGLQTAYWTGRARRRTPLRVDMEADESGKRLGRARVAPWSRQLHRRGNRKLRQIRRVSV* >Brasy8G181300.1.p pacid=40080181 transcript=Brasy8G181300.1 locus=Brasy8G181300 ID=Brasy8G181300.1.v1.1 annot-version=v1.1 MELLRLQLRAARQAHGLSTYLVESRGELFLAVVFFDRDNFHKVAELKVYRMEHDDSLKTKLAWREVDKIGDDRVFLLRGDSIRISNFGVSCSDHAGSLKGNCVYFLNHLATTENFLHVFDLVDGT* >Brasy8G196100.1.p pacid=40080182 transcript=Brasy8G196100.1 locus=Brasy8G196100 ID=Brasy8G196100.1.v1.1 annot-version=v1.1 MAPVRREPGASQPMYGPSDSLFSVSISHGGFFCGFGANKSYNMGDGLRIVDRDADTLAMASVVPKFMVFSLYVDHKDELYSNLCLDDVCIVGSPPLPPVLSPTKPGMNNAQSSQAAKGKERVPDHNVMHRSRKNVEPADADVDADGSSSDDSGSDWVDSDNEIGKDDDDLFDDWVDDRKWTCQKMMNQKMKKL* >Brasy8G106200.1.p pacid=40080183 transcript=Brasy8G106200.1 locus=Brasy8G106200 ID=Brasy8G106200.1.v1.1 annot-version=v1.1 MGRALLKKKKPMSSMRPWSFERTKRSMQHAGELQGRRVAGAGAVPMGGWRGLYSRRFTILPFFILFPVLSFFPVGAPLTSRFRFAGVGDFLPCAWCRRLLVAAVRMCWPGLWCYGPASPVLSLSSCQVIFYSALLVPFFFDLFLI* >Brasy8G114100.1.p pacid=40080184 transcript=Brasy8G114100.1 locus=Brasy8G114100 ID=Brasy8G114100.1.v1.1 annot-version=v1.1 MPLLLRRLAGAVPPPLRRFLCTAPTATTRPQWAMLYKKPALDASGSLSFDLHTPPLVSQLSFPAHLVDPDGDAMDFFTGTLRAASSDGLLLLDFVDTRHRAPVIGNIRYSFIHEFDSPNGDVEPDVARFVCKGFGLLTQSEGSRGPPDRYVVAQLSRSSCRGEEGRGVVRRFLSDTGEWDERLLSGSSTMPAGRLMHIDKEHEVLAFGDRLWWVDVSWATGRKIASSTDLDGFAGRVILGRYRRFGFSEGKLRYVEVSNNKKPFVVSSYSLDDKGCCWTLEHKISVTPILPERCKRLDNHIPSIAAIDPFNASMLYLDYGRFVLVVDMAKGDYLGGCYLPGRIDFRITSLSKLSGFLVPCVLPTWLESSYIPGAGTLSSKNTNYKRKTLAEMLVRVDRGQKN* >Brasy8G142200.1.p pacid=40080185 transcript=Brasy8G142200.1 locus=Brasy8G142200 ID=Brasy8G142200.1.v1.1 annot-version=v1.1 MCLILSQSCFEKAETALELPAPLISAVHQALVSRRKQTGEAVLINPSYSPFALTSCKFTISESEKENLNLFSSQSHADGSLAADQTTVNVDDDGDDYEKVEAYPIPVDSDEADSDTIGGQSPRVDLDGNPSNKKRKRVTSSPHKKQIKGKANSKSKVCDDEMASSIKRLADSLVAPPVPIQPVPPADPYANLWKRINALPVTAKDKLEIAAHLSKPEQDVFRSYLNHADDALLSEWVIGYFEHRFQNGGSVVP* >Brasy8G057200.1.p pacid=40080186 transcript=Brasy8G057200.1 locus=Brasy8G057200 ID=Brasy8G057200.1.v1.1 annot-version=v1.1 MIHDEYEGHKPEGSDRNVNSLSHRWSVVKEQIVDVLKLFKSQDKTHKGFVLLHYWNMLRFEQKWLAQVDRSSQSNKKQKSSSNASPSMSTHETNMIYLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKSKELEEAREIARETARQAREDAREASKKERYVGALAIEQKKIEIQERKMEMEIMDKDISSLDDDQKEYYKMLRRENSHYVCKNG* >Brasy8G093100.1.p pacid=40080187 transcript=Brasy8G093100.1 locus=Brasy8G093100 ID=Brasy8G093100.1.v1.1 annot-version=v1.1 MEGGKKTSRSGRLSGTCPQPHRLPQWTALFAINGHCLRRPAAATHCLPATTLKMKRPQQIAVFTTIYCLSIDEETITFN* >Brasy8G094200.1.p pacid=40080188 transcript=Brasy8G094200.1 locus=Brasy8G094200 ID=Brasy8G094200.1.v1.1 annot-version=v1.1 MIDRGLIGGGDPAFKSFFQLRFLPSGEEKKKKGRTRIDSFGSVPPPLFFLFLYSRPTPRGSPRARAAASPRHPRPRSLPPDGCEPLPHIPAAPSSSRSTYSDTGLRIASPLLSSELRIGRNGDMDSGAEQHGCAHYARGCRIRAPCCDEVFGCRHCHNEAKNSLQVDPRHRHEIPRHEINKVICSLCSKEQDVQQNCSDCGACMGKYFCAKCNFFDDDISKNQYHCDGCGICRTGGTDNFFHCEKCGCCYGNVLKDSHHCVERAMHHNCPVCFEYLFDSTMDISVLHCGHTIHLECLNEMRVHHHYSCPVCSRSACDMSDAWQKLDEEVAATPMPEFYQKKMVWILCNDCGATSSVRFHVLAQKCPGCRSYNTRETRGGPAVAAAAPACSRV* >Brasy8G094200.2.p pacid=40080189 transcript=Brasy8G094200.2 locus=Brasy8G094200 ID=Brasy8G094200.2.v1.1 annot-version=v1.1 MIDRGLIGGGDPAFKSFFQLRFLPSGEEKKKKGRTRIDSFGSVPPPLFFLFLYSRPTPRGSPRARAAASPRHPRPRSLPPDGCEPLPHIPAAPSSSRSTYSDTGLRIASPLLSSELRIGRNGDMDSGAEQHGCAHYARGCRIRAPCCDEVFGCRHCHNEAKNSLQVDPRHRHEIPRHEINKVICSLCSKEQDVQQNCSDCGACMGKYFCAKCNFFDDDISKNQYHCDGCGICRTGGTDNFFHCEKCGCCYGNVLKDSHHCVERAMHHNCPVCFEYLFDSTMDISVLHCGHTIHLECLNEMRVHHHYSCPVCSRSACDMSDAWQKLDEEVAATPMPEFYQKKMVWILCNDCGATSSVRFHVLAQKCPGCRSYNTRETRGGPAVAAAAPACSRV* >Brasy8G094200.3.p pacid=40080190 transcript=Brasy8G094200.3 locus=Brasy8G094200 ID=Brasy8G094200.3.v1.1 annot-version=v1.1 MIDRGLIGGGDPAFKSFFQLRFLPSGEEKKKKGRTRIDSFGSVPPPLFFLFLYSRPTPRGSPRARAAASPRHPRPRSLPPDGCEPLPHIPAAPSSSRSTYSDTGLRIASPLLSSELRIGRNGDMDSGAEQHGCAHYARGCRIRAPCCDEVFGCRHCHNEAKNSLQVDPRHRHEIPRHEINKVICSLCSKEQDVQQNCSDCGACMGKYFCAKCNFFDDDISKNQYHCDGCGICRQNWWHGQLLSLRKMWVLLRQCLEGLSSLRGKSNASQLPCLLRVSI* >Brasy8G104500.1.p pacid=40080191 transcript=Brasy8G104500.1 locus=Brasy8G104500 ID=Brasy8G104500.1.v1.1 annot-version=v1.1 MEEPSEDDVFHGGGGDLVSDREAAASPESGVSTGSLCSSAMSSLTDNDADDDGAESAFSAIGDRTSWSSSSSSDTMQLGGDARGPLYEMSPLLAHLPASCHRTGLSKYYKGKSQSFTSLSDVKCLQDLEKKASPYASRIKKTRSSISNHVPGPCGKTMAKKTQPKSSSDRLLSRAKSSGLLRRRGKPPVYQSKQELSTYVS* >Brasy8G052000.1.p pacid=40080192 transcript=Brasy8G052000.1 locus=Brasy8G052000 ID=Brasy8G052000.1.v1.1 annot-version=v1.1 MANSEYEYVKREFEFDRHLPASNWIVVRIDGCHFHRFSKIHAFEKPNDENALKLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPDKELREPPYFDGRVVCYPNMKTILDYLAWRQVDCHINNHYNTCFWMLVNSGKTEKEAQQTLKGTFSKDKNKLLSQQFQINYDDEPAMFRKGSSVYRDKVETKVKTDDYGNPIKRMRLVVTVSNVDIIGPDFWEKHQYILRQEKYRYEYVKKFENINRLPRCNWTVVRISACQFDQFSLMHSFDEPNDETALRLMNASASLMMERFPDIIFAYGFSNEYSFVFQENAELYQRHERLIISSCSSCFTSFYMMKWKEFFPNKVLVQPPHFEAEVFCYPKPNIVCDYLSWRQAECHNRNQYKTCFWMLVKSGIGENEAHEILKGTLSKDKNELLFQQFQMNYNNVPAMFRKGSCTYRGKVGKLAEVEDSGDAAREQWDVAVAHVDMGPEFWRKHPYIFNSK* >Brasy8G275200.1.p pacid=40080193 transcript=Brasy8G275200.1 locus=Brasy8G275200 ID=Brasy8G275200.1.v1.1 annot-version=v1.1 MASSPEVMAVAPPPPVVVVVLLLLMSLGAGAVVEPEEAIGMPNCDTTCGDKKVPYPFGMGPSSCYWPGFKLTCDRRTGKTPRLLLGNGNGTLKLVQVEELYLTYPFLRVTRAASHGGSIDGMPFKLWSGKNQLTLTGCNVRATLKNGNVTMASCSSVCETYETTTAPYVLTRDSLPCSGTHCCQADIVVNRHHQEIDLEHKTNEVRLPTRVFLAEKGWFEHVWLATDPAPAADREDDEAALQVPIWLQWEIVGDGVEPAYRRNSTDYQCPEEAAGRVCKSHHSTCRKGTIGYTCSCDKGFQGNPYVTHGCSDRCHYGDLANCTCPLGTQGDPYRRGGCTGLVTAQRRRAYSLGSIVRFPLFGRSGGGVTFAAGHFSCRPLHSALAPLLSLPSSSPRAQWCRRPLPPCAFRVHLMLRSACRRSLVHMAVTCLRPQPMGSGGVNPTLPSSPLLSEDAPPASADLPPKSNYFTESTHGIRFAGERQ* >Brasy8G047300.1.p pacid=40080194 transcript=Brasy8G047300.1 locus=Brasy8G047300 ID=Brasy8G047300.1.v1.1 annot-version=v1.1 MLCPLIPHSLTETADPTHENIPMSSQKKHILTIPTGALSLDLSILTPPISQFTPPSLRPAALQPFSATMDTPHTATIYQPQSFAAGDRCGWLAGDLAATAGLTYPISCLSFLTLFDLSLVSCPDRIPLCHELPWTRIHAR* >Brasy8G159000.1.p pacid=40080195 transcript=Brasy8G159000.1 locus=Brasy8G159000 ID=Brasy8G159000.1.v1.1 annot-version=v1.1 MREGRANGGADGEEGGGADAAAAAPMGAFRLRPRRQQKSDPSSSGSAASSSSGGGDAEEKKKQGRRKSKVKREEVVRAIRDRLPAAPSCWGSASVVQGRSRRERPGGVGRGRDAVEQEENDSGAGTAASSPSWCCVCPDGDCSMEANPSANGKEDPAIRSLIELNDFYSDDCNPHAAAAIVESPAADFD* >Brasy8G135500.1.p pacid=40080196 transcript=Brasy8G135500.1 locus=Brasy8G135500 ID=Brasy8G135500.1.v1.1 annot-version=v1.1 MCARPLLLRRMEHLNDWDLQAVVRSCSSASGVSSSHPDDRAPAPPSEAEADQVKERAAYGPRDQVAAARGSARAAAKDASALYDLEYLDLDHKPFLMSTTSSSSPQAWANPDEGRREVMISFPAAAAASTSTSGGQTRVPPGRKPGIRSSTPRPKRSKKSQLKKVVCEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGVLVITYMAEHCHPVPTQINALAGTTRHKSSTPAAEDHQTASPKSRDNKAGGGSAHELAAKCKLEDDNSEASAVANDENELWPMDMALDDLLAPMDGDFDHVFEEDGVILGRRLSL* >Brasy8G135500.2.p pacid=40080197 transcript=Brasy8G135500.2 locus=Brasy8G135500 ID=Brasy8G135500.2.v1.1 annot-version=v1.1 MCARPLLLRRMEHLNDWDLQAVVRSCSSASGVSSSHPDDRAPAPPSEAEADQVKERAAYGPRDQVAAARGSARAAAKDASALYDLEYLDLDHKPFLMSTTSSSSPQAWANPDEGRREVMISFPAAAAASTSTSGGQTRVPPGRKPGIRSSTPRPKRSKKSQLKKVVCEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGVLVITYMAEHCHPVPTQINALAGTTRHKSSTPAAEDHQTASPKSRDNKAGGGSAHELAAKCKLEDDNSEASAVANDENELWPMDMALDDLLAPMDGDFDHVFEEDGVILGRRLSL* >Brasy8G047500.1.p pacid=40080198 transcript=Brasy8G047500.1 locus=Brasy8G047500 ID=Brasy8G047500.1.v1.1 annot-version=v1.1 MLRLDGVASITSKALKLTDGTPQMVGRAFYGGRLDSISSFSTTFVFVINPPYSDLSAHGLAFTLSAMTDSLLDALPSQYMGLFNNNNNGNTTNQLFAVELDTIQSREFNDIDDNHVGIDVNNLVSINSHTAGYYTSNGTFSPLSLISGEPMQVWVDYDVNSHQVNVSLAPYLEQKPERPLLSSIVNLTSVLPRSIHQIIGWSFNPNGEATPLDYSVLSEVIKDVRREAQSHSDTSRHILLPIIIVSVFIVLVMLVALYIYMKKARKSDEWEIDCGSPSFTYKDLMTATSGFNDRMVLGKGGFGKVYKGTLQTSRQNGMKEFIAEITILGHLRHRNLVQLLGYCHHRNELLIVYDTCQMTIDWAHRFNIIKGIASGLLYLHEDWEKVVIHRDIKASNVLLDNKMTGRLGDFGLARLHNHGTDAHTTHLAGTWGYIAPELARLGRATKATDVFAFGVFMLEVACGRHPIEANNSGEPVLLTDWVIDAWESGSILKTVDPKLEDYVKEEAELVLKLGLLCSHSVHGARPCIRLVMQYLVKDVSLPDFQASFLSLTTKDEDFDQHILSCTSAATTMTGLSGGR* >Brasy8G169900.1.p pacid=40080199 transcript=Brasy8G169900.1 locus=Brasy8G169900 ID=Brasy8G169900.1.v1.1 annot-version=v1.1 MKPSPHFPEIGKKSKDLVAKDHSFNIGAYISSGADAISAALRKHVEEEARDLSGETFLRFMDQLYEQIYILLQSNDVSENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASMVLGHLAKAGGAMTAEEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTLFNVHVTEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLTYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPSLPLISPHLHDAIAPRRGRPSLEAITCVGSFAKAMGLAMEPHIRGGLLDAMFSAGLSEKLVDALESISTSIPSLLPTIQERLLDCISQALPKSSTRPGATVNRTTRSSSLQQFVDSNGPVLVQLALRTLATFNFKGHELLEFARDSVTLYLEDEDSSTRKAAAICCCRLVAHSFSASSTSQFSSNRSNRIGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPTFDDFLAQADILTSIFVALNDEEYGVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLCEGAGPMANNVLAAGVLATVGELAKVGGFSMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEETPTDFWPSFSASEDYYSTVAINSLMRILRDPSLSSYHQMVVGSLIFVFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKIGTLVSIVRQHIRKYLQDILSLVSELWISSFSLPAPKRTIQGPQASPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYYHVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRCAIVTLTKLIPRVQVGTHVSALVQHLKLVLDGNNDDLRKDAAEALCSLAHALGEEFTIFIPSIRKLLVKHHLRYRKWDEIENRLLRREPLISDNMSVQKYTQCPPDVISDPLDDVDGVPSEEADETQRQPGSHQVNDVRLRSAGEASQRSTRDDWTEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAEMTESSQEQLVRSLKTAFSSQNIPAEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAYAKALHYKEMEFEAVFSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALRAYTVKSSQASGPLQNLDATLGRMRCLASLARWEDLSTLCREQWTGAEQSARLEMAPMAANAAWHMGEWEQMSEYVSRLDDGDENKLRLLGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPTESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRKDAFSRLQDLSVQIATATNSYPGTLVSQGAVSSAGVPLIARVYLTLASWKRALSPGLDDDAIQEILVSYKNATLSAKDWGKAWHSWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRIVRELIQALLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYSADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFEHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMAGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHTQTVVSSEETASNEELMPPLRGAREKELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSAGSALSGAGSSNQHGNEHLASVDARDVEPGLSVKVQVQKLILQASSHENLCQNYVGWCPFW* >Brasy8G169900.2.p pacid=40080200 transcript=Brasy8G169900.2 locus=Brasy8G169900 ID=Brasy8G169900.2.v1.1 annot-version=v1.1 MKPSPHFPEIGKKSKDLVAKDHSFNIGAYISSGADAISAALRKHVEEEARDLSGETFLRFMDQLYEQIYILLQSNDVSENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASMVLGHLAKAGGAMTAEEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTLFNVHVTEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLTYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPSLPLISPHLHDAIAPRRGRPSLEAITCVGSFAKAMGLAMEPHIRGGLLDAMFSAGLSEKLVDALESISTSIPSLLPTIQERLLDCISQALPKSSTRPGATVNRTTRSSSLQQFVDSNGPVLVQLALRTLATFNFKGHELLEFARDSVTLYLEDEDSSTRKAAAICCCRLVAHSFSASSTSQFSSNRSNRIGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPTFDDFLAQADILTSIFVALNDEEYGVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLCEGAGPMANNVLAAGVLATVGELAKVGGFSMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEETPTDFWPSFSASEDYYSTVAINSLMRILRDPSLSSYHQMVVGSLIFVFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKIGTLVSIVRQHIRKYLQDILSLVSELWISSFSLPAPKRTIQGPQASPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYYHVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRCAIVTLTKLIPRVQVGTHVSALVQHLKLVLDGNNDDLRKDAAEALCSLAHALGEEFTIFIPSIRKLLVKHHLRYRKWDEIENRLLRREPLISDNMSVQKYTQCPPDVISDPLDDVDGVPSEEADETQRQPGSHQVNDVRLRSAGEASQRSTRDDWTEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAEMTESSQEQLVRSLKTAFSSQNIPAEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAYAKALHYKEMEFEAVFSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALRAYTVKSSQASGPLQNLDATLGRMRCLASLARWEDLSTLCREQWTGAEQSARLEMAPMAANAAWHMGEWEQMSEYVSRLDDGDENKLRLLGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPTESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRKDAFSRLQDLSVQIATATNSYPGTLVSQGAVSSAGVPLIARVYLTLASWKRALSPGLDDDAIQEILVSYKNATLSAKDWGKAWHSWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRIVRELIQALLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYSADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFEHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMAGYLLGLGDRHPSNLMLDRYRYHSA* >Brasy8G218700.1.p pacid=40080201 transcript=Brasy8G218700.1 locus=Brasy8G218700 ID=Brasy8G218700.1.v1.1 annot-version=v1.1 MRAEEERWANLSKLHAILRLFLPREMEQNIENWFTQLIENGVTGSEDHAAIEGASTGAGSCQEVQAEVAFNDGGEGIPKNLQSSQCGLEGNKVKRPRRNDAPASPLEECNSSFQGPSKPAVVVQVPKPASSELIFKSLKEIPELARCDFLRAYGAVIRDDRQFESLMALPMDMRKDWLLMEIGNSDPSEAPAEEMDSKTENSGGEEAEADPARPVRKRRLPTRLAGLEWIRSDHVTTTFS* >Brasy8G265700.1.p pacid=40080202 transcript=Brasy8G265700.1 locus=Brasy8G265700 ID=Brasy8G265700.1.v1.1 annot-version=v1.1 MGHRGRIVGLLPPINCANFITLPVEIQDPQTLVHLEGLNFYLSLYEQDPEWVTFIQQELNHNTPLEDIPGRLRRFLMEERMSSLRRDLIHEFISLYERNGAFLPVEPYLLEQVLRSYLDHIHATDSFTVLQAAYQNLLENEGGSVFFTDVVSHNRDFLEAQSTSRRLIEEEQRMRWEEIPKSKASLERAEHEHALLLFAIEDLRKGGEGSAAQ* >Brasy8G233100.1.p pacid=40080203 transcript=Brasy8G233100.1 locus=Brasy8G233100 ID=Brasy8G233100.1.v1.1 annot-version=v1.1 MVKKPSPIRRLLRRTLSSRHLRRSGSATSTAKTPTVPSTEKLQGQTVVVDVEAWLLRSQLSAFPYFMLLAVEAGSFLRGLLLLLAYPLLSLFLSRGMRLRAMVMVSFFGLREKEVLRICKAVLPKLFLEEVAMEGLEAAKKAETVVAVSALFPRVMVEPFLKEYLGVDTVVGREVMVVAGRYVGVIEDEVVALEEEMHEVMNKGKLEEAIGLAGVGSRMNHLFSHYCKETYAVSKADKTAWQKLPKDKYPKPLVFHDGRLAFTPTFSASVAMYTYFPFGIFLAFFRSIAYSLLPYRFSVPFGAFTGLRSRIIAGPPTNDATKQESSAGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELLAPIRTARLTRDRAEDRRRMAALLARGNLVVCPEGTTCREPFLLRFSPLFAELTREVTPVALETRVGMFYGTSTMPASKVLDPLYFMMNPRPEYRVEFLEPVTTAMMPEGDGDGEGLHGQSIEAANRVQRVLGEALAFELTGLTRKDKYMMLAGNEGVVDAGRTKMKK* >Brasy8G200000.1.p pacid=40080204 transcript=Brasy8G200000.1 locus=Brasy8G200000 ID=Brasy8G200000.1.v1.1 annot-version=v1.1 MSGRDRLPRRFIEEGRGYPDGRVVEDRRGHPGIRVVDDRRGHPEIRVFEDRRAYPAVRVIEERRGYPEIHERPVIMRVAHRPHPAVLEEEIGLQQEAEFRRLMADRHALAEERLELHRELQAGKEEVRHLNMIITEINAKKEAYITELVDKRRKLEAEVRANEPLRDEVVHLRGEINKLLAARKELSAKAASLMQELRRDRSDKQQIPMLKAEIDGLQQELSHIRSACELEQKGNFELVEQRKAMEKSMISMAQEIQQMRAELANSEGRPWGAGGAYGTRLGSPEASFPAQYGDKYNIHAGVFEKGPSHPPESSWSTYEKNRFQYR* >Brasy8G200000.3.p pacid=40080205 transcript=Brasy8G200000.3 locus=Brasy8G200000 ID=Brasy8G200000.3.v1.1 annot-version=v1.1 MSGRDRLPRRFIEEGRGYPDGRVVEDRRGHPGIRVVDDRRGHPEIRVFEDRRAYPAVRVIEERRGYPEIHERPVIMRVAHRPHPAVLEEEIGLQQEAEFRRLMADRHALAEERLELHRELQAGKEEVRHLNMIITEINAKKEAYITELVDKRRKLEAEVRANEPLRDEVVHLRGEINKLLAARKELSAKAASLMQELRRDRSDKQQIPMLKAEIDGLQQELSHIRSACELEQKGNFELVEQRKAMEKSMISMAQEIQQMRAELANSEGRPWGAGGAYGTRLGSPEASFPAQYGDKYNIHAGVFEKGPSHPPESSWSTYEKNRFQYR* >Brasy8G200000.2.p pacid=40080206 transcript=Brasy8G200000.2 locus=Brasy8G200000 ID=Brasy8G200000.2.v1.1 annot-version=v1.1 MSGRDRLPRRFIEEGRGYPDGRVVEDRRGHPGIRVVDDRRGHPEIRVFEDRRAYPAVRVIEERRGYPEIHERPVIMRVAHRPHPAVLEEEIGLQQEAEFRRLMADRHALAEERLELHRELQAGKEEVRHLNMIITEINAKKEAYITELVDKRRKLEAEVRANEPLRDEVVHLRGEINKLLAARKELSAKAASLMQELRRDRSDKQQIPMLKAEIDGLQQELSHIRSACELEQKGNFELVEQRKAMEKSMISMAQEIQQMRAELANSEGRPWGAGGAYGTRLGSPEASFPAQYGDKYNIHAGVFEKGPSHPPESSWSTYEKNRFQYR* >Brasy8G125100.1.p pacid=40080207 transcript=Brasy8G125100.1 locus=Brasy8G125100 ID=Brasy8G125100.1.v1.1 annot-version=v1.1 SGTLCLPCSLSARARHRGPAGHHPTSQPRRRPPPFLAPPSSPPQICGNMVRAWRRQGAGLAAAAPCGLECLPGQKLQFQMWSHICC* >Brasy8G182800.1.p pacid=40080208 transcript=Brasy8G182800.1 locus=Brasy8G182800 ID=Brasy8G182800.1.v1.1 annot-version=v1.1 MPPRTGSPFFPPVKDSRFPSSPLVLGLVLYSQRRLGFHPKPPPPGFLPKRPQPPGFQPKPPPSLLRAAAAEFTRSHCSAAARPRPSSTRRWSSTAPRGRPSPVPRRRPSPVHDGPPWLLPAGSSGRRFRGRRRPPRQTARAPTLAAPS* >Brasy8G250200.1.p pacid=40080209 transcript=Brasy8G250200.1 locus=Brasy8G250200 ID=Brasy8G250200.1.v1.1 annot-version=v1.1 MDPRGDFSNHMSPNSSPHSENINIPASQYPQGYSPPQYAMHLPPSHYPQHSPPSHYFQNLNPYGSPSNFQHHGLRTGSFQGIQQQAFANSPSGILRAAGIRASSHLPPVPPCIGSFATTSSNGPDSVPSDPTHEDQPTQQVESDGSSPEEDGRRGVRCNWDDEENVRLVSSWLANSNDPVEGNAKKSEFYWRSVTEEFNKNRPKNGRTRTAKQCKSHWSTLNKAIASFNGVYERAKSAYSSGQCDSMLKSKTREWYKAENNQKAFTMEYLWDLVKDQPKWRKIYVKEDKSKRTKISESGAYTSSSNQEGEDVGLTREQRPEGQKAAKARRKAKSVINEPCENMRLYHDAMSKKAGGNIAIAEATNERTKLNKMTKYLDLLDKDTSNFNDERLKRHNQALEQLQLELFS* >Brasy8G002600.1.p pacid=40080210 transcript=Brasy8G002600.1 locus=Brasy8G002600 ID=Brasy8G002600.1.v1.1 annot-version=v1.1 MVSALVRAILVTGGAGYIGSHTVLQLLQQGFRVVVVDSLDNASEAALHRVRQLAAANAKNLDFRKVDLRDKGALEDIFSAQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHACKKLVFSSSATVYGWPKEVPCTEEFPLSAMNPYGRTKLVIEDICRDLQQSDPDWKIILLRYFNPVGAHPSGYIGEDPLGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVYAGRRPGDAEIVYAATSKAEKELKWKAKYGVEEMCRDLWNWASNNPYGYGSGDK* >Brasy8G057800.1.p pacid=40080211 transcript=Brasy8G057800.1 locus=Brasy8G057800 ID=Brasy8G057800.1.v1.1 annot-version=v1.1 MEEMESPEPARTEGRGKNKTAAESVEFPADAPHLEKCTACLEPGHRAGSIFCALTPPKPKRGRGRPKPVVPEQVPTEPVVEEQVQTEPFVAEQVATDNEANWEPWPNDNGYDWPAEGDWQTQNLPSQNEDDWPAEVGEGSEHSENAEDAAGSEDSEHSENSEDSDHSEDLVINEHQKDVPIGVLVKEYRKKRKRGGKKCSVSSFYGRAM* >Brasy8G162700.1.p pacid=40080212 transcript=Brasy8G162700.1 locus=Brasy8G162700 ID=Brasy8G162700.1.v1.1 annot-version=v1.1 MAASRGELRSGVSPRGSGFCGRGGACGGMAAACRVCRPRASAGGCCAVLGGAWASAASGGGGQGMGAAAAAPRQGWWLWPSGACPVAAAAAVRCLVAGGALGMAAWLHVRGDGGAASAKAGLRSRLGPTMATPVGVVNLLEGIVVLAFLLSPRWPSSEGNLRSSDGSGDGDALCAVSHLGGIAFGVCTCRGTSGL* >Brasy8G043300.1.p pacid=40080213 transcript=Brasy8G043300.1 locus=Brasy8G043300 ID=Brasy8G043300.1.v1.1 annot-version=v1.1 MKPAQLLVTLLAAWAAILLVVMCAGALPGAEAATTCNALQLSPCAGAIIGNAAPTAACCSRMKAQQPCLCQYAKDPNLRTYVSSPNGKKVMAACKVPVPSC* >Brasy8G001000.1.p pacid=40080214 transcript=Brasy8G001000.1 locus=Brasy8G001000 ID=Brasy8G001000.1.v1.1 annot-version=v1.1 MNDTKSVRVIFFDGVVCESVRLVPKIEDLDFTLLRVHESARHDSLSSVDFCQAGVTTNGQEVFSIIPLMRRFSMFRSNVIQANCEAVNTTDLSRIEGSQDIFALKCPVASTVASDFGSPQGDNWLKRIIGTPIFNMNGKVLGTIQSRCHTYDLKFGKQGRCFYNVLQKWLRANNGWENEAFTVDFHPSMSEQVSDHSAAVPSSVLLAMRCRVSHR* >Brasy8G222900.1.p pacid=40080215 transcript=Brasy8G222900.1 locus=Brasy8G222900 ID=Brasy8G222900.1.v1.1 annot-version=v1.1 MIPSIKQSGRPATSGAGSESPVPNRMEQRRSVDLGSSMRGRRSSSSLQDELNTLQAENDSMYEKLRLEDDRSEEADVKSVHMERQASVISDSIEPEVSLASRKAAALEQRKASLRIASRRGNSASYDEITALRAEAKVSSDMVTSVSRRVKGAGSELRSLQATANRMILSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKLAHWTSVAPLALEVVLSIGQKARDGALSDNDVNDTAGDGNIESMLLVEKGLRELASLKVEDAIMLALAEHRRIKPLSGAAAAEGHSSSESLELSEEEREDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPITTSDVVEVDRGLHELKKLGVESQLWEATRRSLDDCSSNHGSPFGSEV* >Brasy8G222900.2.p pacid=40080216 transcript=Brasy8G222900.2 locus=Brasy8G222900 ID=Brasy8G222900.2.v1.1 annot-version=v1.1 MIPSIKQSGRPATSGAGSESPVPNRMEQRRSVDLGSSMRGRRSSSSLQDELRLEDDRSEEADVKSVHMERQASVISDSIEPEVSLASRKAAALEQRKASLRIASRRGNSASYDEITALRAEAKVSSDMVTSVSRRVKGAGSELRSLQATANRMILSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKLAHWTSVAPLALEVVLSIGQKARDGALSDNDVNDTAGDGNIESMLLVEKGLRELASLKVEDAIMLALAEHRRIKPLSGAAAAEGHSSSESLELSEEEREDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPITTSDVVEVDRGLHELKKLGVESQLWEATRRSLDDCSSNHGSPFGSEV* >Brasy8G222900.3.p pacid=40080217 transcript=Brasy8G222900.3 locus=Brasy8G222900 ID=Brasy8G222900.3.v1.1 annot-version=v1.1 MRGRRSSSSLQDELNTLQAENDSMYEKLRLEDDRSEEADVKSVHMERQASVISDSIEPEVSLASRKAAALEQRKASLRIASRRGNSASYDEITALRAEAKVSSDMVTSVSRRVKGAGSELRSLQATANRMILSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKLAHWTSVAPLALEVVLSIGQKARDGALSDNDVNDTAGDGNIESMLLVEKGLRELASLKVEDAIMLALAEHRRIKPLSGAAAAEGHSSSESLELSEEEREDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPITTSDVVEVDRGLHELKKLGVESQLWEATRRSLDDCSSNHGSPFGSEV* >Brasy8G222900.4.p pacid=40080218 transcript=Brasy8G222900.4 locus=Brasy8G222900 ID=Brasy8G222900.4.v1.1 annot-version=v1.1 MSLTHFKQKMIACTKNFVLKMTGVRKLMSSLCTWRDRFSSASVISDSIEPEVSLASRKAAALEQRKASLRIASRRGNSASYDEITALRAEAKVSSDMVTSVSRRVKGAGSELRSLQATANRMILSQEEMEEVVLKRCWLARYWKLCVRLGIHSDIAEEKLAHWTSVAPLALEVVLSIGQKARDGALSDNDVNDTAGDGNIESMLLVEKGLRELASLKVEDAIMLALAEHRRIKPLSGAAAAEGHSSSESLELSEEEREDVRFKQAWLTYFWRRAKNHDIEEDIADERLQFWIEQGNHPITTSDVVEVDRGLHELKKLGVESQLWEATRRSLDDCSSNHGSPFGSEV* >Brasy8G074500.1.p pacid=40080219 transcript=Brasy8G074500.1 locus=Brasy8G074500 ID=Brasy8G074500.1.v1.1 annot-version=v1.1 MRPRISGHGGGPHDGPTASWRFPHATETRRPRRWRLCCCERYPKLQAAGTDPKIGSQWRPVVLHRCVRTKEEEVFWTIGVQREKVMLCL* >Brasy8G251500.1.p pacid=40080220 transcript=Brasy8G251500.1 locus=Brasy8G251500 ID=Brasy8G251500.1.v1.1 annot-version=v1.1 MSESSRAFEVNVPSSSEPAAPAGGRKKKGSGAGTGGSVAFHRLFAFADAGDAALMLLGALGAVANGAALPLMTVLFAGLVDAFGGAAAGTGDVLARVSQVSLDFVYLAVASAVASFFQVTCWMITGERQAARIRNLYLKTILRQEVAFFDMYASTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLVTFFGGFAVAFAQGWLLTLVMLATIPPLVVSGAVMSSVVARMASLGQTAYADASVVVEQTVGSIRTVASFTGEKKAVEKYNNSLKSAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTAGRELDDIQGDIEFRDVYFSYPTRPDEQIFRGFSLAIQSGSTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGMNIKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGKDNATSQEIKTAAELANASKFIDKLPQGFATSVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESEKTVQEALDRVMINRTTVIVAHRLSTVRNADTIAVIHRGSIVEKGPHHELLRDPEGSYSQLICLQETSHTSEGANYQNKSGRKGDSGIHFDKQSSANQSRSQKSSRDNRSNHSFSAPSGIPLEVDDQDSSNKIVEEIPQEVPLSRLASLNKPEISVLILGSIASAISGVIFPIFAILLSNVIKAFYEPPQMLKKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIGWFDHPENSSGSIGARLSADAAKVRGLVGDTLQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVGSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDKKTTFPQVFRVFLALTMAAIGVSHTSTLTTDSSKARSAVSSIFAIMDRKSKIDPSDDVGVSLEPLRGDIEFRHVRFRYPTRSDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLQRFYDPDAGHILVDGVDIQRFHLRWLRQQMGLVSQEPSLFNDTIRANIAYGKEGQATEPEIISAARLANAHEFISSLHQGYETVVGERGAQLSGGQKQRVAIARAVAKDPRILLLDEATSALDAESERAVQDALDRAAAGRTTVVVAHRLSTVRAADVIAVVKDGAIVERGTHDALVAVRGGAYASLVALHSAAAAAAADASP* >Brasy8G192400.1.p pacid=40080221 transcript=Brasy8G192400.1 locus=Brasy8G192400 ID=Brasy8G192400.1.v1.1 annot-version=v1.1 MAPSPTGTTALVVVPASRTGAGLRAAAACCAARRLVALLPAAPRPVALPPTPPRRPAARRAPPRPVALLPAAPRAAPSPCCPPRRAPPRRPARRARARRRHGGASTRATTALSWTDMDVTQREKSSPHLAWTATPRSIRLMASSRLRLAVHASAAERSSPGSGGRPWKPLAAAAEPRSSWAPTASTEEKKRKRQGVL* >Brasy8G040600.1.p pacid=40080222 transcript=Brasy8G040600.1 locus=Brasy8G040600 ID=Brasy8G040600.1.v1.1 annot-version=v1.1 MTVIDILTRVDAICQKYDKYDVDKLNGANVAGEDPFARLYGSVDADISQCVEKAELAKQEKNRAAVVALNAEIRRTKAKLLEEDLPKLQRLALKKVKGLTREELATRTDLVTALPDRIQSIPDGSATATKKNGTWGGASGSRTGGGIKFDSTSDGNFDDEYFKGTEESNKFRQEYEMRRMKQDEGLDVIGEGLATLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKQTILQMRSSRNFCIDIILLCVILGIAAYLYNVLKK* >Brasy8G087500.1.p pacid=40080223 transcript=Brasy8G087500.1 locus=Brasy8G087500 ID=Brasy8G087500.1.v1.1 annot-version=v1.1 MGNASAKEAENGHAAESLELGGTAGGGLTEAAAPPDAVMRELPPPVPFVFAPQVPVTPLQRPTEISTVFNHSWTNGLDEPTNDNPQEKGIPTLITWRQGGNEVLVEGSWDDWSSRKALQKSGKDHAILLVLPSGVYHYRIIVEGQPRYVPELPHVTDERGQVANLLDVHDYVPESLDSVAEFDAPPSPEHSYDLQFPADEEFAKEPPALPPQLLMSVLGGADNADQHGPKPKPQHVVLDHLFIEKGWGSQSLLALGVTHRFQSKYVNFVLYKPLLRR* >Brasy8G087500.2.p pacid=40080224 transcript=Brasy8G087500.2 locus=Brasy8G087500 ID=Brasy8G087500.2.v1.1 annot-version=v1.1 MGNASAKEAENGHAAESLELGGTAGGGLTEAAAPPDAVMRELPPPVPFVFAPQVPVTPLQRPTEISTVFNHSWTNGLDEPTNDNPQEKGIPTLITWRQGGNEVLVEGSWDDWSSRKALQKSGKDHAILLVLPSGVYHYRIIVEGQPRYVPELPHVTDERGQDYVPESLDSVAEFDAPPSPEHSYDLQFPADEEFAKEPPALPPQLLMSVLGGADNADQHGPKPKPQHVVLDHLFIEKGWGSQSLLALGVTHRFQSKYVNFVLYKPLLRR* >Brasy8G182600.1.p pacid=40080225 transcript=Brasy8G182600.1 locus=Brasy8G182600 ID=Brasy8G182600.1.v1.1 annot-version=v1.1 MNTAYSELAHRRRPVWPAGDGEETMEEDEVAKCSADVAEGSYFMAGVMAIIGLMAAVLALSGLFHSVLRRLGQPSIISHILAGVVVGPTVLGRMVDFRQLGMEDAGTALGNAIYYLRIVFMFFIGLEMDLRYLRRYLRASLVVASGGSALCFLLAAAAGPFFFGLLHPGGPGQHPFYPGSIYASTTLYMVVLTSTASPVLIRIITELKLTASKTGQLAIGTAFANDIASLAVISMMVITPTTYDKDGKPMPQPARFSSLPAVKAMVFLWMAFNVWIAVRVVVWIVGLLNKMKQGRQYINKYELCGMLVLIVGISQHVQIFGYSASMTAFLIGLAMPQDGPTTRTLIDDLTYPVHQLIMPLCFGATGAKLNFAKIGRFTTSRLIIIVAFTTLLGTAGRVIGTVLAGRLIGIPAQETLVLGFLVNVKGYADILAINLGDSIGIWSEAAQGVLLLSSIINTFMAGPASAAIVRQQLRAFRYRSNCIQDLKVDRELRVLACVHGAENVHAMLTLAELSEGTTPVAIYLLHLIELITSRKYAITYLYHAGGDDDDDSHRWGYTREIDQVVAAVNTFANDTLIPVRQLRAISNLISMDVDVCNGVEDARASIVLVPFHKEQRYDGRMVCRREGRRELNQRILQTAPCTVGVLVERRSNSIVERKMTATEKDQSSVEEPAEEDATTHQVMVVFLGGPDDREALAYGARLAAHPSVSVMVARFLLAEADMGSNNSEAEDPLMSTELDGSDDDAITMVVGEEDEEAMEDEKFTADFYARFVAPGHVSYTERYVSNGLEMVESLGSMAGMCSLFIVGKGGGGGAAAKMTSGMGGMDVVVECPELGPVGELLSSDDLLGCRASVLVLRQHKVHRKVESDKGEQQHLL* >Brasy8G271300.1.p pacid=40080226 transcript=Brasy8G271300.1 locus=Brasy8G271300 ID=Brasy8G271300.1.v1.1 annot-version=v1.1 MDQDTAKPSPPPLLLPRSLSAAVTYCCGACGYDLKLSSNAREYTADGVVGSRRRGATVAFGAIDDDRFGHLDEFRCLDVRARRLFARRTRLLCRKCGAHLGFGYDDTAAARRPPRYLIKIRALHPASSDRSVHVAPHPPPDDEAPLDA* >Brasy8G013500.1.p pacid=40080227 transcript=Brasy8G013500.1 locus=Brasy8G013500 ID=Brasy8G013500.1.v1.1 annot-version=v1.1 MEEELRAVVVVTRQEVVLRDDASAAVEIAAAPAADESTEDGDARSEFEFPLVGSRVSSPALADDLFADGRIRPLYPVFGRGADHCLDPAPAAAAEPEQRTTRGQLGRLFMEETRAWNSLSLSSARGSTSSSSSSSSSSSSADIDGGGGLEGLPPESYCVWAPGSSSSAAGGSSPRPRPRKSASMGSSMARWRRISDLVVGRSHSDGGKAKLLFLPPPPPPPKPKPKPSPAGKKKAAAHPAATEIGTVAAARRMAYYGAAKQQQGGVPRRTFLPYREELVGFFANVNGISRSPHPY* >Brasy8G280500.1.p pacid=40080228 transcript=Brasy8G280500.1 locus=Brasy8G280500 ID=Brasy8G280500.1.v1.1 annot-version=v1.1 MVAEAEVMHQQPAPALEVQQYHRCVAKGVGMSAAAAPEVGVEVEVQVAVEAPRMGLASADGATSVSAETIPFVPIIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPVPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDREFPQASQVDDIFLQAAENCIRSAFLQADLALADDLVISRSSGTTALAALVFGRQLLVANTGDCRAVLCRRGIAMEMSQDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDCSTSPLIAEPEFRQATLSEADEFLIMGCDGIWDVMTSQHAVSVVRQGLRQHDDPERCAQELVMEAKRLETADNLTVIVVCFASELGSPPPAPAAARPRSCKGLSAEALCNLRSWLETDR* >Brasy8G094500.1.p pacid=40080229 transcript=Brasy8G094500.1 locus=Brasy8G094500 ID=Brasy8G094500.1.v1.1 annot-version=v1.1 MVEARTRSNEAWKASGVSNKPHPRGSASVAKSPPPPVLRLREPGMTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMLKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPEVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKHRPHTMFATAPPTQGLQNGGVSAPVPRPFANGNVQGQIQHVRLPPPPIGQYPPQMQMHGQPAWPGPPNMQMPPPMQQQLQYRLPGMPPPQNVMPPPHHMARPPPPPPNMQAPPMWRPPPPPQHAGGMPPPPMSMPPPPPPPSG* >Brasy8G294800.1.p pacid=40080230 transcript=Brasy8G294800.1 locus=Brasy8G294800 ID=Brasy8G294800.1.v1.1 annot-version=v1.1 MVTSPSRGRPTGRGSCFGSVHEAMASSSIGRRGRSAGSVPRGGGGRGCSMLVPPDKIWTPKLFGSQKPMILHEMFAKFDPFKLLLLKDITFDGLAEMPKSQCNRQFSLFCLNQTDEDGEPMEFHYPDGSRAPMYPSHVHDIIGLQCEGKHISVHDDDVPEEVIQEVCRAVGVKDLTISSVCEVVERRIDQHSRKAEQEAFQIGVVLLSFAFMLDCRERDPKIPIYLLPYLSNVQKLKEVNYARCVLDILSIAARKVHEAKRGSYSTCTVGGCYIVPQHQQM* >Brasy8G058900.1.p pacid=40080231 transcript=Brasy8G058900.1 locus=Brasy8G058900 ID=Brasy8G058900.1.v1.1 annot-version=v1.1 MKRLGADGVKKRCLSSLPSSESMANLTLPSTSLALTKRCCHPTNSVATFISSTMSTLFIFCSAYSGQHSIGTPAIRASSTEFHPQCVTNAPIALCLTTRPWPLVRSKNPSGRRLSRFGSARASKGLSGSWLMGARMTHRNRWPEFSKLGEDGEGGGVAGEQDVTGDPEPLWDAERLGVEQVGDHGVDAHGAEEVAEAPVVAADEVEVPEDERRVGGQRGRVLGAREGVEADGRHGGGGAGDRGGEGEVFVDATVVDERDRDGVAAIEEGAGEVHHGDEVGHDEAGCFKVGAAIESAVSAATRISSTITTLFIFCSECSGQHSIGAPAVRASSTEFHPQCVTNAPLDDEATAIGALQESGREQIFEVGVVVAERGSHDPQETISRPTAISLSCSFEWFIAPVLPKQRKTTLRSGWPPSSQARHSCRPLASSPMPPISGPTQYTGGMDRPGRHRASLRAATARVSNDSNVFTRTPSASGRQHFDEQNRLNAASDEPPSSQAAAAPSLCAAARALSSLPSSDSMTSLTLPSTSPALTSPCCHPTISAATFISCTMSSLFIFCSEYRGQQSIGTPAVRASSTEFHPQCVTNAPVALWRSTSTCGAHCLTTRPRPLVRSKNPSGRKVSISGSARASNGFSGLLLTGARTTHRNRWPEFSRPMAISLSCSWEWFPALPKQRNTTLWSGCPSNQDAIGLWDPAQQAHRHLVYVAVRVLKHFAEQVGGRNGGVSQLLEACPALRKDIRKLGEGGEGGGAGGEQDVVGDTEPLGDALCFGGQRVDDHGVHAHGAEEVVEAAVVAAHDVEVPDEELRVRDQRRRVLDARERVEADGRHGCGGAGDGGGEAGVWVDRGVDKRDADGVPARQERVGEVHHGGEVAHGEAGVQDDRLLHGLSQDLLRESG* >Brasy8G261900.1.p pacid=40080232 transcript=Brasy8G261900.1 locus=Brasy8G261900 ID=Brasy8G261900.1.v1.1 annot-version=v1.1 MAVVAHEAECDLLMELLLEDTDEEAEEKRRRVRGGKGGKKKKKAASKVGKAEGSSSATAADAARKTELARVRKLVEAQKDKVVNRPEPKPRVLPEVVDLAAAAACRAEAEEARRKNQWAEQVAAMDKWLNTGDPDAAECWNRWVNEDMEAMKPREQETDRREYMSDPLAVEAKNYREFWNTLWTDCCGSYEDTTLIPPMLYTDVKPTTHSSYTMRTLQVFKVKIAEIQEELHWPLQVFGIVAARDSIDRNRNVIFHRKRDNCQIIHEESPYLTLTGPTRAIVVVDPVCFEVDLKVKGCTEGDDRDLSYLVVHYHDSGSMNSYVFNQVSTSKLSTIDLSFGDIVNSVEATISVKIISSQWPKGFRGLFYANTTSLDSMKIDLLTFEGGELVVGADGTIELSRRVVSVELDGELRVSVMAISLKDGSVERDSNTLKAKKATRREMEIKVLGCKMKVIVAWSVVPSVQQYRS* >Brasy8G240600.1.p pacid=40080233 transcript=Brasy8G240600.1 locus=Brasy8G240600 ID=Brasy8G240600.1.v1.1 annot-version=v1.1 MAPKRRRADQPSPPSPTPLRTKLSQRQPVVVFAHGAGAPSSSDWMIHWKEMVKDALNAVAVVTFDYPYMSGGRRRPPPKAEKLLDHHIGVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDGINASAVICLGYPLKGVKGAMRDEILLKLRIPTMFVQGNKDCLCPLDKLELTRKKMTCRNDLHVVDGGDHSFKVSQKYQNYAGVNQSDVEIEAVKAIAQFVQNSIAESLT* >Brasy8G240600.2.p pacid=40080234 transcript=Brasy8G240600.2 locus=Brasy8G240600 ID=Brasy8G240600.2.v1.1 annot-version=v1.1 MAPKRRRADQPSPPSPTPLRTKLSQRQPVVVFAHGAGAPSSSDWMIHWKEMVKDALNAVAVVTFDYPYMSGGRRRPPPKAEKLLDHHIGVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDGINASAVICLGYPLKGNKDCLCPLDKLELTRKKMTCRNDLHVVDGGDHSFKVSQKYQNYAGVNQSDVEIEAVKAIAQFVQNSIAESLT* >Brasy8G240600.3.p pacid=40080235 transcript=Brasy8G240600.3 locus=Brasy8G240600 ID=Brasy8G240600.3.v1.1 annot-version=v1.1 MAPKRRRADQPSPPSPTPLRTKLSQRQPVVVFAHGAGAPSSSDWMIHWKEMVKDALNAVAVVTFDYPYMSGGRRRPPPKAEKLLDHHIGVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDGINASAVICLGYPLKGGVQDLNMKGAKKTRVIPEINIPPYKVCKYKRN* >Brasy8G240600.4.p pacid=40080236 transcript=Brasy8G240600.4 locus=Brasy8G240600 ID=Brasy8G240600.4.v1.1 annot-version=v1.1 MAPKRRRADQPSPPSPTPLRTKLSQRQPVVVFAHGAGAPSSSDWMIHWKEMVKDALNAVAVVTFDYPYMSGGRRRPPPKAEKLLDHHIGVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDGINASAVICLGYPLKVSHSTVST* >Brasy8G164600.1.p pacid=40080237 transcript=Brasy8G164600.1 locus=Brasy8G164600 ID=Brasy8G164600.1.v1.1 annot-version=v1.1 MTSLSLLSDLSAHLHPSASELIGPTSNRFATPAFNTGSQRSLHHRICHYRRRRGARRGERLPVHSLPRILIRRQPGHRARPLPRPTEGTQVLRHL* >Brasy8G167600.1.p pacid=40080238 transcript=Brasy8G167600.1 locus=Brasy8G167600 ID=Brasy8G167600.1.v1.1 annot-version=v1.1 MSSQLASVQMDPHLEHERVSSRLNNLETSNRSSFWSDQDRCYALALLQERVGQVGVFAESCRQTLKLVYKSLFPLRQPPVGLEALMQKFRGGAAMADFARSLLTMGAQTALAFVVSRHPEVNMERVHELPFPPEGGVDLRPQLAIALEPARRIISYREQTQQKQIEAQAKSSGASD* >Brasy8G174200.1.p pacid=40080239 transcript=Brasy8G174200.1 locus=Brasy8G174200 ID=Brasy8G174200.1.v1.1 annot-version=v1.1 MAWWAGSMGGLDLRNHLAQQFGGSGAMADQQAPTTPNSSGSNNNHHDESSGAGAGQGQDSPSAGAGGETSPTPNATSGGGGGSSSSSGRRPRGRPPGSKNKPKPPIIITRESPNTLRSHVLEIASGSDIMDAVATFARRRQRGVSVLSGSGVVGNVTLRQPAAPPGAVVTLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELVASGPVMVVAATFSNATYERLPLVDEEPVAATGDAVPTGSDGMQQLPEGPPPPGAGGNAGMGGGLPPDSASMPFYGSLPPNLIPNGGGQMPQLDAFASFRPPPPAF* >Brasy8G019200.1.p pacid=40080240 transcript=Brasy8G019200.1 locus=Brasy8G019200 ID=Brasy8G019200.1.v1.1 annot-version=v1.1 MCDLKSLVGDLGFSHKHPRLPRVAVTGLSRLRINRQKFAVPAITLDDYLPMRSTEVKNRTSADGIKSLRLITAVKTPYLPDGRFDLEAYDSLINKQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTNIKVIGNTGSNSTREAVHATEQGFAVGMHAALHVNPYYGKTSTEGLISHFKAVLPMGPTIIYNVPSRTGQDVPPPVIEAISRFPNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYEGEDAMLNEKLLPLMSWLFCQPNPIGLNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVEAIGRDNFVGEKEARVLDDDDFVLISRY* >Brasy8G036400.1.p pacid=40080241 transcript=Brasy8G036400.1 locus=Brasy8G036400 ID=Brasy8G036400.1.v1.1 annot-version=v1.1 MTTAQAAAEAAVGAVGCGYDLTDDLRLFRAKPAGRLLDFAASGNGAARDLVLPGGAVVPAVPAAIVADKGERSRFRSDVLSFSQMAEQVNQSLGVPGKIPSGPFNAMFDYRGCWHRDAASTKTLCFDGRSVELYAVEAPRAGLALAPAVARHVPPSWDPRALAAFVETYGTHVVVGVKMGGKDLVCVKQLRGSGLAQSDVQARLKKIADGVFSGDDGRKLGAAAGKRNNGGSSRGVLPGPAGSAAAWRNFRSPVIFNKDDIVGVHIRRGGVDEGQGHDEWLPTVAGAPDAISMAFVPITSLLTGVPGRGFLNQAINLYLRYKPPMEELQQFLEFQVPRQWAPEFGELPLTLGPQRSSNSKKNKKNGLPCLQFSLMGPKLRVNTARVDSGGRPVTGIRLFLEGKKNSRLGVHLQHLSVSPTAIAIASEAVHVSDAGANNNERAYFEPVRSTLLTHACTAPVQHGGARIGDDCAAAVVTAAWLEVREACLKKVLFLRLGFSGVPATRIRRSEWDGPLVKAQKSGSISALFSSRLSAAGTELLPVEGKVEVNSAVFPKGPPVPLPVQRMAKYVDTTEVTRGPDDLPGYWIVTGAQLCVEGGKVALKAKYSLLISVREDEDV* >Brasy8G098200.1.p pacid=40080242 transcript=Brasy8G098200.1 locus=Brasy8G098200 ID=Brasy8G098200.1.v1.1 annot-version=v1.1 MGSLGPTVSMSMAKANGGTVPAGGQQQQQPEKRSGMFSCGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVSGDVHDKRKFAMGAFLWPAQY* >Brasy8G210100.1.p pacid=40080243 transcript=Brasy8G210100.1 locus=Brasy8G210100 ID=Brasy8G210100.1.v1.1 annot-version=v1.1 MAMLSSYTTLLSRAPAPAPAQGKSSVAAALQLRKVPAASSSPVVAARRPGWLLSSLAIATRKISTDAYKYDMQPSALVHPRAPESGGDWTITEDTNFITLRLKVGATTAKDELEVATTDDQVLLVIRYKKQQGDGGKDDSPESSLPVHLLMPPGCDHKKVNTRLFEGWLEIIVAKPKPSGQIPITQ* >Brasy8G114200.1.p pacid=40080244 transcript=Brasy8G114200.1 locus=Brasy8G114200 ID=Brasy8G114200.1.v1.1 annot-version=v1.1 MVMASSEANARPPCADQGWRPHRYKNVALKDGMVKGMLP* >Brasy8G205700.1.p pacid=40080245 transcript=Brasy8G205700.1 locus=Brasy8G205700 ID=Brasy8G205700.1.v1.1 annot-version=v1.1 MSAAGKPVLYSKWFSSCSHRVRIALNLKGVDFEYRATNPMTDPDYEKINPIKFVPALVDGDFVLSDSFAIILYMEDKYPQHPLLPQDLKKKALNLQIASIVCSSIQPLQSHAVIGSFLGTMGAEESLHMTQHYIDKGFRAIEKLLEGCDTRYATGDEVQMGDVFLAPQIHAGLTRFQIDMTKYPILARLQEAYSEHPAFQAALPQNQPDAPASQ* >Brasy8G226400.1.p pacid=40080246 transcript=Brasy8G226400.1 locus=Brasy8G226400 ID=Brasy8G226400.1.v1.1 annot-version=v1.1 MLQEEGVYLEQDAMCLEALSLASRYYPPCPEPQLTLGTTAHSPCSSRTPLVASRCSSTSTTTTSCRFRYGSQCTNEISYFCVEPLLLTARINQYRLFCFEQIPAFMLKDHG* >Brasy8G124200.1.p pacid=40080247 transcript=Brasy8G124200.1 locus=Brasy8G124200 ID=Brasy8G124200.1.v1.1 annot-version=v1.1 MGATGTLAAAAAGSEEAEKVEVVEGGAAAAMVFRGTNYSLPRTIAALVLWLGGIHFNVLLVLASLFLFPLRVAALVVALQLFFMVIPLNDEDKWGRKIARFICRYAMGYFPISLHVEDYKAFDPNRAYVFGYEPHSVLPIGVAALADHVGFMPLPKLKVLASSAVFYTPFLRQIWTWLGLIAATRKNFYSYLGAGYSCVVVPGAIDFPVSGSYLILLAKYTSVATEIKSILPPFPNVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYRWWRPGGKLFVNIARALKFTPIIFWGRYGTPIAFSTPMHVVVGRPIELKKNPLPTIDEINEVHGQFLTALQELFEKYKTKTGYPSLHLRVL* >Brasy8G124200.2.p pacid=40080248 transcript=Brasy8G124200.2 locus=Brasy8G124200 ID=Brasy8G124200.2.v1.1 annot-version=v1.1 MGATGTLAAAAAGSEEAEKVEVVEGGAAAAMVFRGTNYSLPRTIAALVLWLGGIHFNVLLVLASLFLFPLRVAALVVALQLFFMVIPLNDEDKWGRKIARFICRYAMGYFPISLHVEDYKAFDPNRAYVFGYEPHSVLPIGVAALADHVGFMPLPKLKVLASSAVFYTPFLRQIWTWLGLIAATRKNFYSYLGAGYSCVVVPGGIQEILHMDHDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYRWWRPGGKLFVNIARALKFTPIIFWGRYGTPIAFSTPMHVVVGRPIELKKNPLPTIDEINEVHGQFLTALQELFEKYKTKTGYPSLHLRVL* >Brasy8G118600.1.p pacid=40080249 transcript=Brasy8G118600.1 locus=Brasy8G118600 ID=Brasy8G118600.1.v1.1 annot-version=v1.1 MFGPACENSFCCLPLRPPGPPTYPESLRPRHVQQHARPNTLFRLDLRPSSSFLYTAGLLLPSAALPPYTKRTAATPNCVGPPSCNPRRWTAAST* >Brasy8G173500.1.p pacid=40080250 transcript=Brasy8G173500.1 locus=Brasy8G173500 ID=Brasy8G173500.1.v1.1 annot-version=v1.1 MAVKSKPATSSCLAAAVHGDLQVAAAALLAAAGAFRGDGAAMPLPGAPSPRPHHRLHRPHGPLPPLLPLPSRPPHLPPPVPAPHLTAGASHRWREREGTGLLPSLAPHLLSARSRSFPASPLVAAPVCGADALLRFPVARCLSTSASSSTSDDDDAEGASPPQGASSHPVHVGRVCAAIADVMAAGADANLEATLSALSPPLSEALVLAVLDRFKHAHRPSRRFFQWAAASDEFEHTPITYCKMLHILGKARQFETMVALVQEMGKAGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMRVHGFDDGVESFNCLLVALAQEGLGKEAARVFDRMHDQYTPDLRSYTALMLAWCNARNLVEAGRVWNDMLEKGMKPDVVVHNTMIDGLLRGQRRPEAVKMFELMKAKGPPPNVWTYTMLIRDHCKQGKLDMAMRCFEEMQEAGCQPDVATYTCLLVGYGNAKRMDRVTAMLEEMAQKGCPPDGRTYNALIKLLTNRNMPDDAVRIYKKMINKGLEPTIHTYNMMMKSYFLCGRNYAMGCAVWDEMHRKGICPDVNSYTVFINGHIRHGRPEEACKFLEEMIQKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDVSNVFHQWAERMKNRVKKTVPNQTGSRMF* >Brasy8G279700.1.p pacid=40080251 transcript=Brasy8G279700.1 locus=Brasy8G279700 ID=Brasy8G279700.1.v1.1 annot-version=v1.1 MVRVHGGLVLITLLFVVVLQCRELPRRAQAGRCVVFNFGDSNSDTGAFTAAYGLYLGPPAGRRFFRRTTGRWSDGRVYIDLLAEKLGISYLSPYLESSGADFTDGVNFAVAGAAAAPHPQTPGAIPFTLATQVNQFLHFRNRTRELRPSGRGSMLREDDFRSAVYSVDIGQNDITIAFLANLTLPEIVADGGPLAAAAAEIERAVRTLHDTGGGARKFWVYNTGPLGCLPQTLALRQRPGDELDPAGCLARYNAAAAALNAGLAAACRRLADELQEATVVCTDMYAIKYDLFAAGSGKYCQNN* >Brasy8G190300.1.p pacid=40080252 transcript=Brasy8G190300.1 locus=Brasy8G190300 ID=Brasy8G190300.1.v1.1 annot-version=v1.1 MFHHSPRASSVTAAVWPTVSDELYISNGRPNAPASDTRGHITDGHPNAPAIEARIGDVAPPHPQIHSHLSVCLHLTHATTSTRTHATPLSPPSSSPSSTQAAAPLPSYLPSASPVSLPLLRSTWSSSSGAAPFLFYVEQQPRRCTVAPDPPVTTQPGTGSALPWPSSGRIRPPPPHSRPFRPRSTPPPPTITDETDVPRPSTGRIRPRPLSPLSDLSLSLSHKVPFSHSPAGLTSSGALVHPRMWRSSSTDGLEHRRRRVEEHWR* >Brasy8G227100.1.p pacid=40080253 transcript=Brasy8G227100.1 locus=Brasy8G227100 ID=Brasy8G227100.1.v1.1 annot-version=v1.1 MAEFLVSASTGAMGSLLVKLGTMLTHEFKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQSKLRANAVRELSYETEDSIDKFMLLVEHESSSKSDGIMRLFSNTKDKITNIKTRYKIAKDIKDMKSQVKEVSKRYARYKIDGSSRTRNEKVDPRLCAVYKDASELVGIDGPTDELVKWLRDNEPEEAHHLKVVSIVGYGGLGKTTLARQVYNKLGANFECRAFVSISRSPDMTKILSSILSQLHNQDDAHAGARDPQFIIDKIREFLEDKRYFIIIDDLWDEPTWRVLKCAFAKNNHGSGVMTTTRKNDVAKSCCSSQVNLVYKIKPLCDSDSKKLFFKRIFGSEEKCPPNLKEASEDILKRCGGLPLAINAISSLLVTRKTKEDWENVRLSIGFTQGRNPDIDAMNYILSLSYFDLPLHLRSCLLYLTMFPEDYKIERQRLIHRWISEGFIHGEDGEDLVKLGELYFHELINRSLIQPVAIKYNGKAWGCIVHDTVLDFLIYKSTEENFCTYLSTHSKTDSKIRRLSLMKNYDKENIEQFDLSHARSLGAFGNATQLPSLVKSNALRVLDLANCRELKNHHVKDIGRLFQLRYLNINTYLLSELPRQIGDLVYLETLDVSSSYLVELPEAVTRLRKLARLFVPEETMLPDCIGNMEKLQELGNFIRIFKQSVKFAEELGKLINLRKLSIVWDSNDCDKTSYKEEMLVSSLCKLDKCKLHTLSIVFSLGDLLEKEDETFIAGHPFFFPALKSIREITLYRGQLSWITKWMLSLANLEILTVWGQPIGQQEFEMVGTIPSLLEFTTVSCLEPVIIGSSTSTGGFQQLQMFKLSFCVGEFMFEPGAMPNVRKLSLNIMLEKIRSASDGRGCFDDIGIQHLSSLASLHVDINWMAVRDEDVEAVELAFKSMAEAHPNSPSLEMRRVWAEPQHWMEMLSLIPG* >Brasy8G227100.3.p pacid=40080254 transcript=Brasy8G227100.3 locus=Brasy8G227100 ID=Brasy8G227100.3.v1.1 annot-version=v1.1 MAEFLVSASTGAMGSLLVKLGTMLTHEFKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQSKLRANAVRELSYETEDSIDKFMLLVEHESSSKSDGIMRLFSNTKDKITNIKTRYKIAKDIKDMKSQVKEVSKRYARYKIDGSSRTRNEKVDPRLCAVYKDASELVGIDGPTDELVKWLRDNEPEEAHHLKVVSIVGYGGLGKTTLARQVYNKLGANFECRAFVSISRSPDMTKILSSILSQLHNQDDAHAGARDPQFIIDKIREFLEDKRYFIIIDDLWDEPTWRVLKCAFAKNNHGSGVMTTTRKNDVAKSCCSSQVNLVYKIKPLCDSDSKKLFFKRIFGSEEKCPPNLKEASEDILKRCGGLPLAINAISSLLVTRKTKEDWENVRLSIGFTQGRNPDIDAMNYILSLSYFDLPLHLRSCLLYLTMFPEDYKIERQRLIHRWISEGFIHGEDGEDLVKLGELYFHELINRSLIQPVAIKYNGKAWGCIVHDTVLDFLIYKSTEENFCTYLSTHSKTDSKIRRLSLMKNYDKENIEQFDLSHARSLGAFGNATQLPSLVKSNALRVLDLANCRELKNHHVKDIGRLFQLRYLNINTYLLSELPRQIGDLVYLETLDVSSSYLVELPEAVTRLRKLARLFVPEETMLPDCIGNMEKLQELGNFIRIFKQSVKFAEELGKLINLRKLSIVWDSNDCDKTSYKEEMLVSSLCKLDKCKLHTLSIVFSLGDLLEKEDETFIAGHPFFFPALKSIREITLYRGQLSWITKWMLSLANLEILTVWGQPIGQQEFEMVGTIPSLLEFTTVSCLEPVIIGSSTSTGGFQQLQMFKLSFCVGEFMFEPGAMPNVRKLSLNIMLEKIRSASDGRGCFDDIGIQHLSSLASLHVDINWMAVRDEDVEAVELAFKSMAEAHPNSPSLEMRRVWAEPQHWMEMLSLIPG* >Brasy8G227100.2.p pacid=40080255 transcript=Brasy8G227100.2 locus=Brasy8G227100 ID=Brasy8G227100.2.v1.1 annot-version=v1.1 MAEFLVSASTGAMGSLLVKLGTMLTHEFKLLKDVRDDIKFLKDELEAMQAFLLMMADEEEPDQQSKLRANAVRELSYETEDSIDKFMLLVEHESSSKSDGIMRLFSNTKDKITNIKTRYKIAKDIKDMKSQVKEVSKRYARYKIDGSSRTRNEKVDPRLCAVYKDASELVGIDGPTDELVKWLRDNEPEEAHHLKVVSIVGYGGLGKTTLARQVYNKLGANFECRAFVSISRSPDMTKILSSILSQLHNQDDAHAGARDPQFIIDKIREFLEDKRYFIIIDDLWDEPTWRVLKCAFAKNNHGSGVMTTTRKNDVAKSCCSSQVNLVYKIKPLCDSDSKKLFFKRIFGSEEKCPPNLKEASEDILKRCGGLPLAINAISSLLVTRKTKEDWENVRLSIGFTQGRNPDIDAMNYILSLSYFDLPLHLRSCLLYLTMFPEDYKIERQRLIHRWISEGFIHGEDGEDLVKLGELYFHELINRSLIQPVAIKYNGKAWGCIVHDTVLDFLIYKSTEENFCTYLSTHSKTDSKIRRLSLMKNYDKENIEQFDLSHARSLGAFGNATQLPSLVKSNALRVLDLANCRELKNHHVKDIGRLFQLRYLNINTYLLSELPRQIGDLVYLETLDVSSSYLVELPEAVTRLRKLARLFVPEETMLPDCIGNMEKLQELGNFIRIFKQSVKFAEELGKLINLRKLSIVWDSNDCDKTSYKEEMLVSSLCKLDKCKLHTLSIVFSLGDLLEKEDETFIAGHPFFFPALKSIREITLYRGQLSWITKWMLSLANLEILTVWGQPIGQQEFEMVGTIPSLLEFTTVSCLEPVIIGSSTSTGGFQQLQMFKLSFCVGEFMFEPGAMPNVRKLSLNIMLEKIRSASDGRGCFDDIGIQHLSSLASLHVDINWMAVRDEDVEAVELAFKSMAEAHPNSPSLEMRRVWAEPQHWMEMLSLIPG* >Brasy8G227100.4.p pacid=40080256 transcript=Brasy8G227100.4 locus=Brasy8G227100 ID=Brasy8G227100.4.v1.1 annot-version=v1.1 MEAEPHIYTSQATASLSSPLTRALLSRPCSFRSGSSPPVDALFLCRQRGEETTLCPTDITTYMQALTRYKIDGSSRTRNEKVDPRLCAVYKDASELVGIDGPTDELVKWLRDNEPEEAHHLKVVSIVGYGGLGKTTLARQVYNKLGANFECRAFVSISRSPDMTKILSSILSQLHNQDDAHAGARDPQFIIDKIREFLEDKRYFIIIDDLWDEPTWRVLKCAFAKNNHGSGVMTTTRKNDVAKSCCSSQVNLVYKIKPLCDSDSKKLFFKRIFGSEEKCPPNLKEASEDILKRCGGLPLAINAISSLLVTRKTKEDWENVRLSIGFTQGRNPDIDAMNYILSLSYFDLPLHLRSCLLYLTMFPEDYKIERQRLIHRWISEGFIHGEDGEDLVKLGELYFHELINRSLIQPVAIKYNGKAWGCIVHDTVLDFLIYKSTEENFCTYLSTHSKTDSKIRRLSLMKNYDKENIEQFDLSHARSLGAFGNATQLPSLVKSNALRVLDLANCRELKNHHVKDIGRLFQLRYLNINTYLLSELPRQIGDLVYLETLDVSSSYLVELPEAVTRLRKLARLFVPEETMLPDCIGNMEKLQELGNFIRIFKQSVKFAEELGKLINLRKLSIVWDSNDCDKTSYKEEMLVSSLCKLDKCKLHTLSIVFSLGDLLEKEDETFIAGHPFFFPALKSIREITLYRGQLSWITKWMLSLANLEILTVWGQPIGQQEFEMVGTIPSLLEFTTVSCLEPVIIGSSTSTGGFQQLQMFKLSFCVGEFMFEPGAMPNVRKLSLNIMLEKIRSASDGRGCFDDIGIQHLSSLASLHVDINWMAVRDEDVEAVELAFKSMAEAHPNSPSLEMRRVWAEPQHWMEMLSLIPG* >Brasy8G038600.1.p pacid=40080257 transcript=Brasy8G038600.1 locus=Brasy8G038600 ID=Brasy8G038600.1.v1.1 annot-version=v1.1 MVKGRQGQRVRLYVRGTILGYKRSKSNQYESTSLLQIEGLSTKEDVSWYAGKRIAYVYKAKTKSSGTTVRCIWGKVTRPHGNSGVVRAQFRSNLPATSMGKKVRVFMYPSSI* >Brasy8G038600.2.p pacid=40080258 transcript=Brasy8G038600.2 locus=Brasy8G038600 ID=Brasy8G038600.2.v1.1 annot-version=v1.1 MVKGRQGQRVRLYVRGTILGYKRSKSNQYESTSLLQIEGLSTKEDVSWYAGKRIAYVYKAKTKSSGTTVRCIWGKVTRPHGNSGVVRAQFRSNLPATSMGKKVRVFMYPSSI* >Brasy8G236100.1.p pacid=40080259 transcript=Brasy8G236100.1 locus=Brasy8G236100 ID=Brasy8G236100.1.v1.1 annot-version=v1.1 MPQARAARSKGSTFPHFNSILCSIHACVYARRRSGRICISDWESITWRQETEKSAF* >Brasy8G052600.1.p pacid=40080260 transcript=Brasy8G052600.1 locus=Brasy8G052600 ID=Brasy8G052600.1.v1.1 annot-version=v1.1 MLRSLPLLLVQSALSSKPTPSRLLSSAAAAAAAAAATTTTGSGARVVRAHGTVSAVLEIVGPIELLFPSSEARLYVRLLRRCALDALAAGAGAVHGHVLKRGFAPDTLVSNVLLDTYAKGGSLAACHNLFDEMPHRDVVSWCTVIAAHTRRGIWVEAIGLFKGLLSSEVKANRFVVSSVLNACARSGVMELGLMVHGLVIKSGLGVDRFVEVGFVDMYAKCGNVGYSLMIFNEIPLKSSVAWNAMISGFVENDCVVEAAEICRDMHRVGIAMDVVTLRVVAGVAATLGMFELCRNIHGYALKVGLGRDCFVVSELVKLAGKVGDTQYIGELVSAVRTRDPSLYSLAISGYHSNGCQNEAVKLAEHLFCSGLCLREGDLVTVLDVCHTKEEVLQVHAYALKSGGVFYVNVCNALISVYSELGLVMDAEAIFKSMYSRDVVSWAAVMAGCVKNLQFEKAFGYFRGLRSSGAPLDQHCIGTIINICTGLQDMDKGQQIHALALKVGLLVVDFISASLVNMYAKCHHIECAAELFSYTLLPRNLVVTNAMLSGFCWNFLPEKALLLFCSEYQSGLRPDHFTCSTVLGACADIGAKDSGEQIHGYLIKVGSEYMDVIVGNAIIDLYVKCGCIASACRFFHSMRSWSMNSYAMLMLGYIQNRCSDEALQLFSKMQHSGLRANRVTFARILRGCADLCAIDLGRQLHASIIKLGLISDVYVANALIGMYKRSDSMMESKRDSREILAGDHSEQDTKDNCYSEQRDTSSNLQEIGLFTLEERKDHETSGRGYGTPLPIHEVGQELWINTIIGNMRNDKCSESKVLLDTGYQRNRGGSLKLFNLLQANNTGSDQFVLVVFIDNTLKIKDTRFVNAELMRRCSVAPALGFPP* >Brasy8G070100.1.p pacid=40080261 transcript=Brasy8G070100.1 locus=Brasy8G070100 ID=Brasy8G070100.1.v1.1 annot-version=v1.1 MHMHNANEEQLPGGEEANKVLQLHRQHQAAAASSSSASRQWSAESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAARREIDKLPPLNFPPSDPHSHLMMTSSMSMAMAMAPPFSATTTAAPMPVGKAAGEGQCDDGELKGFMGQRNSLGLLSGAMTPSSLASSYVQQYGAGAAGAWSNNAHDYLSGGGGGGHHHRAADSVPQAGHGHAPYFPSLLSLAPAMSQFVFYSAAEGGFGSAMKEAGDGDDDDQFPVDNLDDSQGQLSLSSDRSFLHSG* >Brasy8G070100.2.p pacid=40080262 transcript=Brasy8G070100.2 locus=Brasy8G070100 ID=Brasy8G070100.2.v1.1 annot-version=v1.1 MHMHNANEEQLPGGEEANKVLQLHRQHQAAAASSSSASRQWSAESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAARREIDKLPPLNFPPSDPHSHLMMTSSMSMAMAMAPPFSATTTAAPMPVGKAAGEGQCDDGELKGFMGQRNSLGLLSGAMTPSSLASSYVQQYGAGAAGAWSNNAHDYLSGGGGGGHHHRAADSVPQAGHGHAPYFPSLLSLAPAMSQFVFYSAAEGGFGSAMKEAGDGDDDDQFPVDNLDDSQGQLSLSSDRSFLHSG* >Brasy8G019500.1.p pacid=40080263 transcript=Brasy8G019500.1 locus=Brasy8G019500 ID=Brasy8G019500.1.v1.1 annot-version=v1.1 MATSSSTRGRETPSSVSLSVPSGLHHAMPLPLPPRETKLDVHGGGAPQKLLKLQARHHSQPALTTATKAGGQVPMRMPRSESTREQWRDRRFDHFKTFSGRLERQLSALRGLPVQHQPPPNDDAEHAAVDDDSKISEEDTDGDVPTADRFFAALEGLELDTLRATEVAALPEDEPWPFLLRFPITAFGMCLGVSSQAMLWKTLHSEPSMAFLRVPQIINHLLWWASVSLTAAVSLVYLLKAVFYFEAVRREFHHPVRANFFFAPWIACLFLAKGVPTDEIDHAVWYTLMAPIFVLDLKVYGQWMSSGENRLSKVANPTNHLAVVGNFVGALLGARMGLREPPVFFFAVGLSHYLVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARITGEFGHGAKLAYFVSLFLYASLAVRVNLFRGFRFSLAWWAYTFPVTSAAMATALYASAVDNVATRVMAAGLSVVATTTVAAVLATTVCHGFVRGDLFPNDVSIAITRRKPRFSKILTHIRASGSDVKELVLSISNSNPKHGVYSDDSREQRRPVIDPSVGGSMMA* >Brasy8G261200.1.p pacid=40080264 transcript=Brasy8G261200.1 locus=Brasy8G261200 ID=Brasy8G261200.1.v1.1 annot-version=v1.1 MAAAAQADPFTAYKLLLSCPAGLPRSRVSVKFDPSFDRIPHPDASLEGSIGEIWNQRLENNSSLYSGTKFRYGGHTTHHNDESNQEYCISLHLGLTDYRTFLGTNLSPLWEKFLVPSEDDNVCCQHMSNPLGNGAIVETSDQKIIVLQRSNNVGESPGYYVFPGGHSEPQEVGILAHQNGEKDVALLNERVSEEMFDGIIREVVEETGVPASSLTEPVFIGVSRREMNVRPAAFFFMRCNIDSSAVTELYSRAQDGYESTNLYAVSAEELRGLSHQMTGCHCGGFALYELMRNTA* >Brasy8G261200.2.p pacid=40080265 transcript=Brasy8G261200.2 locus=Brasy8G261200 ID=Brasy8G261200.2.v1.1 annot-version=v1.1 MAAAAQADPFTAYKLLLSCPAGLPRSRVSVKFDPSFDRIPHPDASLEGSIGEYGGHTTHHNDESNQEYCISLHLGLTDYRTFLGTNLSPLWEKFLVPSEDDNVCCQHMSNPLGNGAIVETSDQKIIVLQRSNNVGESPGYYVFPGGHSEPQEVGILAHQNGEKDVALLNERVSEEMFDGIIREVVEETGVPASSLTEPVFIGVSRREMNVRPAAFFFMRCNIDSSAVTELYSRAQDGYESTNLYAVSAEELRGLSHQMTGCHCGGFALYELMRNTA* >Brasy8G296000.1.p pacid=40080266 transcript=Brasy8G296000.1 locus=Brasy8G296000 ID=Brasy8G296000.1.v1.1 annot-version=v1.1 MAARRALGVVWLAAMAMAMAAAQPQVPAGSSVQVVNVVPGKKNAKSTCKNNDRKHPDCQAECPDRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDADLHINAHFIGNHNNDTSRDFTWIQAIGVLFGAHHQHRLSLGATRSARWNPAADHLDIAFDDERVALPLADGARWSPASVPGLSVTRTARANGVVVELKGVFRIVAAAVPITAEESRVHSYGVTADDCLAHLDLGFKFPALSDNVHGVLGQTYRSDYVNKLNVAAKMPIMGGAPNFVSSGLFATDCAVARFGRASANGIAMVTDAN* >Brasy8G258800.1.p pacid=40080267 transcript=Brasy8G258800.1 locus=Brasy8G258800 ID=Brasy8G258800.1.v1.1 annot-version=v1.1 MLLLFEAPSGFALFTFYGLYCYLDSAVDNLWAHFGDPVRASMAVYPKGFLSFEDKSRAINADTGVDNRLVALINKWHHPGMNLCVGKPEYKAIIESVLKIPCMYCQTGLEIMWGIQQQMRTLVHLEKSKLTKEDRLPTSQGLMKFLTSNYGFDVKPEMVNEEIFFDGLRLVPLDLSGIACEDWDILKLAIAVKVICCPKQEITDFHEVLAEDVVSKLKGDAHKYKGVAVKVNWLNTYHAVVSNHRLRIVKKKMLGVLG* >Brasy8G126800.1.p pacid=40080268 transcript=Brasy8G126800.1 locus=Brasy8G126800 ID=Brasy8G126800.1.v1.1 annot-version=v1.1 MSFRSIVRDVRDGFGSLSRRSFEVTISGLSGLTGHHRGKSQSTVHELRDADLIVQESRWASLPPELLRDVIRRLEASESTWPSRKHVVSCAAVCRAWREMCREIVISPEFCGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLVENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIVYDTQPPHNGAVVPPVGRSSRRFNSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCIMQSIPASSVEPGGIVPGQPEQILPRALEESFRSITSFSKSSIMDRSMDFSSSRDFSSTRFSDIAGGTINSDEEEQNKERPLVLRNKVPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPLQPPAGAPTPSQPAPPEQDKIILQFGKVSKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE* >Brasy8G242200.1.p pacid=40080269 transcript=Brasy8G242200.1 locus=Brasy8G242200 ID=Brasy8G242200.1.v1.1 annot-version=v1.1 MVFYFKARPEAGDYTIFMGLDKHENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTVQVEKRINEVVNRLNKTKVERTPDLKAEREAVSAAEKAERKLQLRDKKRREEMERLEKEKQAEIRSYKGLMVQDKMTSNKQVASGSKTLQELEEDFM* >Brasy8G214700.1.p pacid=40080270 transcript=Brasy8G214700.1 locus=Brasy8G214700 ID=Brasy8G214700.1.v1.1 annot-version=v1.1 MARPAAARRSTPHVKPLHAVLLKLGLSASAILATSLAHLALRCELPCYARALFDEMPRRDVVSWTSLEIHPRGFKMSSYGLVGSVVENGVLDMYARCGSTDYARRVFRVMFVRGIVAWNSMMAGCLRSGQAEEALGVGVLIQQSLSVKGAPSLDAVLWTTMISAYGKFGRVQDAVRMFDRMAYLGIKRDGLTYLAVLSACSHDGLLRDGWHYFKFLFDGQSSVKLQPEHCGCMADLICRRRHLQEALEFIEGMPFESSIAAWSALRNSCRIYGDAKLGELAGLQIPVYSSVIRRITAIGLLYQAYVHWRATGRKPG* >Brasy8G206400.1.p pacid=40080271 transcript=Brasy8G206400.1 locus=Brasy8G206400 ID=Brasy8G206400.1.v1.1 annot-version=v1.1 MAKSPKAAAATTRRPTRKPRAVSVSAAAAPPSADIEDLAVPASAAAAVRAGLLPWYDAHRRDLPWRFSAAPGREGKEERAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPTVETLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIAEKGEFPSTASTLRQIRGIGDYTAGAIASIAFNEVTPLVDGNVVRVLSRLFAIVDNPKESSTVKRFWELAGQLVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSRENPSVGVTDYPRVIPKSKPRCDFAAVCIVQIAQCLETDTSDRRGKDNLFLLVKRPEQGLLAGLWEFPSVLVDESKTDFVNRRKEMDKYLKQLLGIDLKRKSNVILREDVGQHVHIFSHIRLTMHVELVILKIKDDMGRLCEKGQDNTELKFVDESSIDSMGLTSGIRKVYNMVKAFKEKKLPEQSQIPTRKRSRLPKQ* >Brasy8G008400.1.p pacid=40080272 transcript=Brasy8G008400.1 locus=Brasy8G008400 ID=Brasy8G008400.1.v1.1 annot-version=v1.1 MVTQDGLCIRPLRDEGRTRLIGPHVSASKKKTNGLRVLVGLLLAMLCRAPAQVEPTPTVVVRRGSPHSASLPRRRSSSTVSHAGVFDGRNETTGQSRSRRLHDWIAAGCGDVFALDGGCLEQGPTAPFSAGIPAVVLLRPRLPASPSPTGSSFVVHLSDRTATPSPDLPPIAPALPPPFNPSTVRTSNSSVEFLLKLASCPSCCWSSPPHILQIREG* >Brasy8G122900.1.p pacid=40080273 transcript=Brasy8G122900.1 locus=Brasy8G122900 ID=Brasy8G122900.1.v1.1 annot-version=v1.1 MDARKKSPPAPAAAAAAAGTAPAAANGYFSSVFSASPAANPRDARETDLYTILNRQNPKGQTSGGIADGKSQGSPTKGCVAYKDGQQFYPNESSESPYFGSSVHYGGRDFYDSSPHKQASESSRNYSIRTTIRMDLWLPEVIGGKVHFTTK* >Brasy8G122900.2.p pacid=40080274 transcript=Brasy8G122900.2 locus=Brasy8G122900 ID=Brasy8G122900.2.v1.1 annot-version=v1.1 MDARKKSPPAPAAAAAAAGTAPAAANGYFSSVFSASPAANPRDARETDLYTILNRQNPKGQTSGGIADGKSQGSPTKGCVAYKDGQQFYPNESSESPYFGSSVHYGGRDFYDSSPHKQASESSRNYKDDNPDGSLATRGDWWQGSLYY* >Brasy8G186400.1.p pacid=40080275 transcript=Brasy8G186400.1 locus=Brasy8G186400 ID=Brasy8G186400.1.v1.1 annot-version=v1.1 MPAAQTVDPGNPAYFNEQSQFMEDLIGQEAPPLDEGFDEQWTEDIQEGVSIETEPLYNDAGTDGGKKGTSKRTQAYAECKDKLLCEAWLEIGQDPVCGAEQKGHAYWKRIQDFFHEQRLFLPHNFVSDQGELSLQKRWGLIRAECNKFAGAHDHVKARPLSGVGVQDVKRDGDIIDLEASGHTEASTRAVRPRGRTWMPSVRPPTSPLRRPSRRSGRIRRPKGDPQEDLEFIEVQKRKIAVDEANARATAKVAEAVMLAKETRIITADLSLLDPAMRAWFEPMRKMIQERNAPSASQDGEAASQDGNAATQDGESATPSGA* >Brasy8G204400.1.p pacid=40080276 transcript=Brasy8G204400.1 locus=Brasy8G204400 ID=Brasy8G204400.1.v1.1 annot-version=v1.1 MAARRSSLLLATLLAVSFGPPRASAAEIKTSPTEHGLRLPLPNGVTGAESLAFDARGQGPYAGVSDGRVLRWGGSTVGWTTFAHHADYRRIPLCTVPVAPSQETESICGRPLGLAFHRKSGDLYIADAYKGLLRVGSDGGEAEVLATGVDGVPFHFVNGIDVDQATGDVYFTDSSVTYPRRFNSEITMNADATGRLLKYEARTKQVTVLKDGLPYPNGVAVSHDRTYVVVAHTVPCQALRYYLQGPKAGRYELMADLPGYPDNVRRDGKGGFWVALNQEKARPDVAAAPATKHLVGVRLDGDGVQLEELTAAKGVTLSEVTQRSNRLWLGSVELDYVGIV* >Brasy8G131000.1.p pacid=40080277 transcript=Brasy8G131000.1 locus=Brasy8G131000 ID=Brasy8G131000.1.v1.1 annot-version=v1.1 MEDVAKFLFGISGNVIALFLFLSPVPTFWRIIRKKSTEEFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVSTINGAGAAIEACYVVIFLCFASSKKARLRTLGLASAVAAVFAVVALVSMLALSGPGRKLLSGLAMAVFSICMYASPLSIMRLVIRTKSVEYMPFLLSLTVFLCGTSWFVYGLLGRDPFVAVPNGCGSVLGAAQLILYAVYRNNKGKGSDGKLPDDVEMSVNARNNKVAHGDDAGGSKTTSSKMDNNQV* >Brasy8G001700.1.p pacid=40080278 transcript=Brasy8G001700.1 locus=Brasy8G001700 ID=Brasy8G001700.1.v1.1 annot-version=v1.1 MAASRSAKRPKLAPSSSSAAAPQRGDDDYVPGNIVEIELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRAASVKAFVKRGEDSGHVKLTLRGDTPDHNICITRKIDSDNKSEWLLDGASVPKKEVIDVIKKFNIQVNNLTQFLPQDRVCEFAKLSPIQLLEETEKAVGDPQLPIQHRQLIERSRELRDLEVAVKHKEQTLNNLKALNAEQEKDVERVRQRDKLLKKAEVMKKKLPWLKYDMKKKEFIQVQEEEKSKKKIMEEAAKIWEDAKAPIEGLKKEKTTHVSSTKKITNQINQNASKRREVTEEELKLSTRLKTTFDSIEDLKRQEKSRQQRMSKAMEDLAATEREFQDVQPYEPPRAEMAQLTEQIAGISCEINELNLRRKAKESQLAQERQNLRKCSDRLKQMETKTNKLLQALQNTGAERINEAYNWVQDSKNMFRGEVYGPVLLEVNVQNKTHASYLEYHVPNYVWKSFVTQDASDRDLLVRQLKQYNIPVLNYTGDNSIMSRPFEITPEMKQLGIKSRLDQEFDAPPAVKNVLITQASVDNSYIGTDQADQRADEVAKLGVSDLWTPNNHYRWSRSRYGGHLSGSVDPVYPSRLFMCHLDVSDIERLRSENDDHMKIVESTEEDLKKLQKNQRELEDKEATIRKQKEGIIDMIRSQKKRREEMQRRVDIRRRTLEDIYKEEDVEFSTRKLIDQLANLNDNRFRAVIKLKNLLVEAVALRYSSTEKNMAFIELDIKISEMEKDVKKHEKDALQAAREYEIRKQITQEHRHQLLKAKQHAESISMITEELAAKFLKMPTTIEELEGAIQDTESEANSMLFLNQNVLQEYQNRQREIESISTKLEDDKGDFERCHSDIESTKVKWLPTLRSLVSKINDTFSRNFQEMAVAGEVSLDEHGLDFSQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAQAWSAGDCWRTVMSVPGH* >Brasy8G024300.1.p pacid=40080279 transcript=Brasy8G024300.1 locus=Brasy8G024300 ID=Brasy8G024300.1.v1.1 annot-version=v1.1 MDISSSLTSCSDDETRALNALLDAFSCAFSLEDIANAYCRANGDANRAGDFLTEHELPMPQGEESYVENSSQTRPHEKSQKSSASFGTVSSSMLGKGSARATTAPPNRASEKDKPLKVELPEYMRDDVKTDGSDSPPKRETLDNRDVEKFLFSMLGEGFNLSMEVIREVLGSCGYDIKKSMEELMSFSTS* >Brasy8G130100.1.p pacid=40080280 transcript=Brasy8G130100.1 locus=Brasy8G130100 ID=Brasy8G130100.1.v1.1 annot-version=v1.1 MAAAAAPSTSRLLHHHQTSTGYKRQQQRLRYSANPSPLALRRQHSSCRLSPAGALLPDRVTPFSYGGDDESDDHPREECGLVGVVGDPDAASLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTTSDTEVILHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLFAVRDPHGFRPLVLGRRPNGAVAFASETCALDLIDATYEREVEPGEVVMVDRRDMSVSSACLVPRLPRRACVFEHIYFSLPNSVVFSHDVHERRTAFGRALAEESPVPDADVVIPVPDSGFYAALGFSRESGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSDGELISNRMDLEGVRQEIGSDSLAFLSLDKLHGIYGAEAGDYCDACFSRKYPVLPTLPEPTSEFEEEE* >Brasy8G118100.1.p pacid=40080281 transcript=Brasy8G118100.1 locus=Brasy8G118100 ID=Brasy8G118100.1.v1.1 annot-version=v1.1 MLPYFPCQIQKGGKSGEHAKLLEISTNYFHLQLAGSQRMSFSVKDPEKNEFKPKQLLKQIATIYVHLARGDKEAVFPTAVWKKDGKNHSLGCFLRAHQICCVDSQIIQEFMHMGILL* >Brasy8G292000.1.p pacid=40080282 transcript=Brasy8G292000.1 locus=Brasy8G292000 ID=Brasy8G292000.1.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKDEYNLHKRVKKDVRFLQRELESMQAALDKVGEVPRDQLDKQIKLWAGEVRKLSYNMEDVIDKFLVRVVGSKNDARAEGLMGLVKKMSGLFKKGKNHHRIADAIKEIKEQLQEVSARRDRNKVDTIVANSAATTTIDPRLRALYTEAAELVGIYGKRDQDIMRLLSMEGDHISTKRLKIVSIVGFGGLGKTTLARAVYNKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLDNSNLNLPMLDERQLIDRLRLFLDNKRYLIIIDDVWDEELWKGINLAISNRNNLGSRLITTTRKVSVSTTCCSSADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSGILKKCGGVPLAIVTIASLLASSGQHIKPINEWHALLQSLGLRLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDSDIKRNRLIWKWVTESFVEPGKQGISLFVLGENYFNELINRSMIQPRYNYLGQVKACRIHDMVLDLICSLSTEDFFVSLLDGASDSTSCRSNIRRLSLQHGQDHEAKSLINSTRISQVRSVTIFPPAIDIMPALSRFDVLRVLDCAGCELGKSSWKLKDVGNLFHLRYLGLAGTGIRELPAEIGNLQFLQVLNLEGNYDLKEFPLTVCKLRRLMFLGFHSNCKMPPGVLGNLTSIEVLKTIKVSLSIVRQLSSLARLRELVIHFPDKSFDLYGPFVESLCNLKHLESLIIICYSEPSPGLMDLLEEHSWVPPPSLRKFVSYIPNKLCTLPAWIKRDPSRLSNLSELKLRVKGVQQENIQILGGLPALRRLEIVSTHQTQRRLVISADGYRRVVWFGLVCGSGAQIMFEQGALPRAESVDYIGLGPLFALFAVRLRRLDTCSLLAQLPK* >Brasy8G292000.2.p pacid=40080283 transcript=Brasy8G292000.2 locus=Brasy8G292000 ID=Brasy8G292000.2.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKDEYNLHKRVKKDVRFLQRELESMQAALDKVGEVPRDQLDKQIKLWAGEVRKLSYNMEDVIDKFLVRVVGSKNDARAEGLMGLVKKMSGLFKKGKNHHRIADAIKEIKEQLQEVSARRDRNKVDTIVANSAATTTIDPRLRALYTEAAELVGIYGKRDQDIMRLLSMEGDHISTKRLKIVSIVGFGGLGKTTLARAVYNKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLDNSNLNLPMLDERQLIDRLRLFLDNKRYLIIIDDVWDEELWKGINLAISNRNNLGSRLITTTRKVSVSTTCCSSADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSGILKKCGGVPLAIVTIASLLASSGQHIKPINEWHALLQSLGLRLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDSDIKRNRLIWKWVTESFVEPGKQGISLFVLGENYFNELINRSMIQPRYNYLGQVKACRIHDMVLDLICSLSTEDFFVSLLDGASDSTSCRSNIRRLSLQHGQDHEAKSLINSTRISQVRSVTIFPPAIDIMPALSRFDVLRVLDCAGCELGKSSWKLKDVGNLFHLRYLGLAGTGIRELPAEIGNLQFLQVLNLEGNYDLKEFPLTVCKLRRLMFLGFHSNCKMPPGVLGNLTSIEVLKTIKVSLSIVRQLSSLARLRELVIHFPDKSFDLYGPFVESLCNLKHLESLIIICYSEPSPGLMDLLEEHSWVPPPSLRKFVSYIPNKLCTLPAWIKRDPSRLSNLSELKLRVKGVQQENIQILGGLPALRRLEIVSTHQTQRRLVISADGYRRVVWFGLVCGSGAQIMFEQGALPRAESVDYIGLGPLFALFAVRLRRLDTCSLLAQLPK* >Brasy8G047700.1.p pacid=40080284 transcript=Brasy8G047700.1 locus=Brasy8G047700 ID=Brasy8G047700.1.v1.1 annot-version=v1.1 MDIQDPDYLFEHVRVDEDVEHLMGSGYDSSVVIRKMRAVMEAKINDEKRKNNKLLDEMMAMRTTLEAQIAEGKIHMEELKNELEERKKKDVEVRDFAVVSCSVALTLAAVLFSLCAKRS* >Brasy8G280400.1.p pacid=40080285 transcript=Brasy8G280400.1 locus=Brasy8G280400 ID=Brasy8G280400.1.v1.1 annot-version=v1.1 MPSSFPSSTRPPAAMERRQQPWPAAISTMAGRQQPWPAAALLSWRDAALLTSRGGRIRGGRHPVATPPPAPDPAATPPPPPDPAATPACTVGSGRTGAEGGGVVFSKTGPCRRQRRGGRLIQVAGAVEAVEARIRTAVRRGGRGIDGAAGSRFGLQCVEVDGLLC* >Brasy8G052700.1.p pacid=40080286 transcript=Brasy8G052700.1 locus=Brasy8G052700 ID=Brasy8G052700.1.v1.1 annot-version=v1.1 MADKETKLDAEGGVEQEEEYESDLDDAPLPAVRRRAAASDEEEGEDSEEDGGLPLPRRKAGSDEESDGQGAPEVYDQELYEEEEDEGGYEEYEEDFEQGRGGVAAAEAVAAPTEAEGVKEGGEAGEEAVGEEVEGKKESEPFAVPTTGAFYMHDDRFQEARGRGRGRRMLSNRKLWPKEEQEWVHDRYDEMHPRDYNNGNIRNLRGRFRGRGGGPGGRTRGVSRGNFRGGNRSRSQYHDGNQNYCYVPKGSHISHDNTKNSRQALPENVKTRAPKPSQAHNDDVNNFDVVPKESRTYYADSRNQPSHKNTPRVIRGRGSKRYQPRWRSTTELSSEQNNKSQNPESASSNANLGKQQPQNSNSSRPGQGFAIKQSFASNLNSASPPFYPSRPPHRELPAGPSVGLGEAGTGSPALHSSPSSSNSQFPIATNQVIRDSVQSSHPVVQQWSVQSSTQSTPRMPSQMFRARIGISDEMPSSTQAVSTVMTEDTGISSPRGSNKVIPRMTVKGHHGGQEEEHAPFLYGRGQVLGATGNLSLGDQGFHGTPALFPVMQFGGQHPGRPGVPSIGMALPGFVSQQQLGLSNSEMAWLPILAGSSGGLGATYGSPYIAMDGNYYSRPSEQASSSVSPREPSAINAPSQLKSPEITELVNDELSQRRVANDELSQRRNKPRRYSEMNFGQ* >Brasy8G052700.2.p pacid=40080287 transcript=Brasy8G052700.2 locus=Brasy8G052700 ID=Brasy8G052700.2.v1.1 annot-version=v1.1 MADKETKLDAEGGVEQEEEYESDLDDAPLPAVRRRAAASDEEEGEDSEEDGGLPLPRRKAGSDEESDGQGAPEVYDQELYEEEEDEGGYEEYEEDFEQGRGGVAAAEAVAAPTEAEGVKEGGEAGEEAVGEEVEGKKESEPFAVPTTGAFYMHDDRFQEARGRGRGRRMLSNRKLWPKEEQEWVHDRYDEMHPRDYNNGNIRNLRGRFRGRGGGPGGRTRGVSRGNFRGGNRSRSQYHDGNQNYCYVPKGSHISHDNTKNSRQALPENVKTRAPKPSQAHNDDVNNFDVVPKESRTYYADSRNQPSHKNTPRVIRGRGSKRYQPRWRSTTELSSEQNNKSQNPESASSNANLGKQQPQNSNSSRPGQGFAIKQSFASNLNSASPPFYPSRPPHRELPAGPSVGLGEAGTGSPALHSSPSSSNSQFPIATNQVIRDSVQSSHPVVQQWSVQSSTQSTPRMPSQMFRARIGISDEMPSSTQAVSTVMTEDTGISSPRGSNKVIPRMTVKGHHGGQEEEHAPFLYGRGQVLGATGNLSLGDQGFHGTPALFPVMQFGGQHPGRPGVPSIGMALPGFVSQQQLGLSNSEMAWLPILAGSSGGLGATYGSPYIAMDGNYYSRPSEQASSSVSPREPSAINAPSQLKSPEITELVNDELSQRRVANDELSQRRNKPRRYSEMNFGQ* >Brasy8G075400.1.p pacid=40080288 transcript=Brasy8G075400.1 locus=Brasy8G075400 ID=Brasy8G075400.1.v1.1 annot-version=v1.1 MEPSIDCLKDDSIEEILLRLPSPASLACAALASIRWRRVASRGGFLGRFSEAHPSSSSSHLLVGLFAFHDGDGLPVFHLAPPVLSDPDLAAIARGSDFLLTRLDDDPAWRLRDCHDGLLLLSRSGGGPLTFYDPVSLRRVTIRRPQLDGDGVIVPADGYILDSLIRCRGAKSSAFFSFRVRAVVYESGTSRWRFHPWREEFGYGSLVQSRRQRPTMQAAGLIFFRQLHPWITSSCTVLNTATMDFFRLPWPAGGLEESSYAVGETEHGACCLARMFQLWLLVREEEGRGFGAGGKAWKLEKETPVSEVVPHGCHRGCHVTTVAAGIALVRASENRYFAVGLKDLKTKAEFCDHGSGLPYLFQLPWAAN* >Brasy8G102400.1.p pacid=40080289 transcript=Brasy8G102400.1 locus=Brasy8G102400 ID=Brasy8G102400.1.v1.1 annot-version=v1.1 MGCKVLLVTLLLVGIASRSSARSLEANHLSEQKTGYGGGGGGYGGGGGGGGYTPTPTPTTPSYTGTCDYWKGHPDKIISCVGSLGSIVGSFGDVCSSFYGAKIQTLKDALCSTRTDCYGDLLREGAAAYINSIAAKKAHFAYTTHQVKDCIALALTSEVAAAAQAALFKKANQACHY* >Brasy8G195100.1.p pacid=40080290 transcript=Brasy8G195100.1 locus=Brasy8G195100 ID=Brasy8G195100.1.v1.1 annot-version=v1.1 MPNPASVLFVFHRDPDPSRDHNLPPAPEIEIHQETTILVSPTMCSSSLPSAPSPATGEISYPDWVILDTVMSQKIPATPSSASTTAKVSVKPNRKAGLEEEVEVSVSFFLVSPPDVSRFTVHCCRSKAPQDDHHCRAIIVSSEGNVVLFYMVFHIYMPGLHFVFSAATGNGNNNNNPSLEVLPCHSYSFHPIRKVCILPHSDGYVVASISPILSGRRLSEYELFRYSSKSKSWSRTIARVDSQISRNDLNDILKHQTSKVIAMGGDSLGWVDLWWGIVVCNVLDDIGNPGGSCQSPVVRFIELPDPMPGNESRFGTWPARQSRDVICIGDFIRFIEVDYNYAVNSSHDGIDDGYSWKATAWKKPASSTNFADWEECFTVDTDELIVTDMRYSHMLPALCDDKAKNLSFSNVVCSCPTLSMEDDVFYMNSTQNPFTWLAVNMRGTPPRFVYITSESAHCEPTYRACAFSKYLKFTSGE* >Brasy8G098900.1.p pacid=40080291 transcript=Brasy8G098900.1 locus=Brasy8G098900 ID=Brasy8G098900.1.v1.1 annot-version=v1.1 MGAEQGLTGSAWIRGINPNLSIEAIRQFLHLFDAVSSVTLATEEDGFRWCWSGTGEYTVWWRILCAWGAIQWLPDAESSLIEWWSNVHLQGKSRKNLAMASTLVFWTLWKHHNAVVFEGETPSVDKFLMAIQSEGAAWKNAGLLLDRFSSFPCVERVGVGVF* >Brasy8G038400.1.p pacid=40080292 transcript=Brasy8G038400.1 locus=Brasy8G038400 ID=Brasy8G038400.1.v1.1 annot-version=v1.1 MPQPQPPKIYTPGVLLQDCPRPNSVDIVMPKPCYGLTLLYAAADRAYHVCNAATRAITPLPPYTGPGLFSTAGLGFDARTGEYKVLRLITQHFYDKELIRCDVYAPGAGRWRPAAHGVPFSLLHFATSAVTHAEMNGVPPVFANGLLHWLINPSLVVRRPRAGVLTFSLADEAFGTVRSPRFWTPGVLRSWAESEKEHLVELDSRLCIVRDLRGGIPGAGGLEIWKLLDYGNGEWSLSHRIELSSSSRHVGRELRDPQLVRVIGSVGGRGSGGKKIVIATSKHLVHEKVEKKLHSYDPGSGDLAAAAEPQPNGQWHNLWAWLGGTTAGNNGDPDGVVAAAAEPDQRSRRVFTCFTAILFLVVVVITITLLMLSNDDGGRRPAKTKAGRNLQIAGLVAGCFFMMIGCCLCVCVLAFTTEALEGNPEGKRRIDFFISLA* >Brasy8G084800.1.p pacid=40080293 transcript=Brasy8G084800.1 locus=Brasy8G084800 ID=Brasy8G084800.1.v1.1 annot-version=v1.1 MGGRTVVSDDEEDDFVDGEEEEPRPARRGRDDIDEQDDDDEEEEDEEEEGENEYEKDGFIVDDADEEEEEDEQRASDDERRKKKKKKKRESEEFTLDEDDYMLLQDNNITGITRPKPANKFKRLKKAGREFEMGDHSGFSDDDGTGKRHTDEEKVKYSLFGQHDPFEEDIVEEEDQQAEEDEVGEDDMDDEMADFIVDEEEIDGNGQVVKRKKVKRKPLRQAAGVSSSALQEAHDIFGDVDELLALRKQELERDAFNSGELRGNRLEDEFEPFILAEKYMTAKDEQIKENDVPERIQLSEELTGNIRDDETKREEESVWIHNQLTGDGFLSFFGNEPVNREIQQKDIVNVLTMLHVNKFEIPFIAMYRKENCLSLLEDHDAYEHENEEVPRKMRWHKLLWAVQTLDRKWLLLQKRKLALQIYYDKRFDDEKRRIDDVTRQSLNRQLYHSIIEALKEAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEDVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTSPTPEGDLIIDAYHQLSGFKGLEGKPLNKFVDAQWLLIQKAEEEKLLKVTIELPEGAKKELIAEARENYLSDCVSKSAQLWDEQRKMILDDAFLTFLLPSMVKESRSLLTAKAKSYLHMEYGKQLWDKVSVAPWKKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVSEQQRKKNDQQRVLKFMTDHSPHVVCVGASNLNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSAIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDEKYEIVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYALAKNLAKDVRSEEDANEGNEMDDDEQEMAIEHVRERPIMLKNLKIPEYMESIPEEFRKRQTLFDIKMELLSGFSDWRTPYAEPSPDEEFWLLSGETEDNISDGRTVQVTVRNIQENRIICTFDSGLKAIVMGDNYSDDVAFDPESLQLHEGDVLTGKIKNVNKNRFIVYLTCKETEMKRRPFTRNNHDPYNREKHIVPNKDDKARKEKELAKKLFKPRMIVHPHFQNLTAEEATQFLGDKEPGEKVIRPSLKGPSFLTLTLKIFDGVFAHKEITESGKDHKDITSLLRLGKTLTIDNESFEDLDEVIDRYVDPLVGNLKSMLSYRKFRKGLKGEVDDALRAEKAENPMRIVYCFGISYEHPGTFVLSYIRSTNPHHEYVGLFPKGFRFRKRDFDSIDRLVSYFQKNIDKPPPVSGPPMRNVAAMVPMKNSSWASGGANDGWKGDGDNDRDRPFSGRTGGRFDSRNSSGGRGRGRGRGRGNFGNDGGGENSGGWTDNIGGGSGGWGTGGGSGGGDAGWGGDSNRGGGGGWGAAAAASDGGGGGWGAAAAASDGGGGGWGGAAPGGNGAAGNDDSGWGSAKKAAPTQDSGGW* >Brasy8G084800.2.p pacid=40080294 transcript=Brasy8G084800.2 locus=Brasy8G084800 ID=Brasy8G084800.2.v1.1 annot-version=v1.1 MGGRTVVSDDEDDFVDGEEEEPRPARRGRDDIDEQDDDDEEEEDEEEEGENEYEKDGFIVDDADEEEEEDEQRASDDERRKKKKKKKRESEEFTLDEDDYMLLQDNNITGITRPKPANKFKRLKKAGREFEMGDHSGFSDDDGTGKRHTDEEKVKYSLFGQHDPFEEDIVEEEDQQAEEDEVGEDDMDDEMADFIVDEEEIDGNGQVVKRKKVKRKPLRQAAGVSSSALQEAHDIFGDVDELLALRKQELERDAFNSGELRGNRLEDEFEPFILAEKYMTAKDEQIKENDVPERIQLSEELTGNIRDDETKREEESVWIHNQLTGDGFLSFFGNEPVNREIQQKDIVNVLTMLHVNKFEIPFIAMYRKENCLSLLEDHDAYEHENEEVPRKMRWHKLLWAVQTLDRKWLLLQKRKLALQIYYDKRFDDEKRRIDDVTRQSLNRQLYHSIIEALKEAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEDVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTSPTPEGDLIIDAYHQLSGFKGLEGKPLNKFVDAQWLLIQKAEEEKLLKVTIELPEGAKKELIAEARENYLSDCVSKSAQLWDEQRKMILDDAFLTFLLPSMVKESRSLLTAKAKSYLHMEYGKQLWDKVSVAPWKKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVSEQQRKKNDQQRVLKFMTDHSPHVVCVGASNLNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSAIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDEKYEIVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYALAKNLAKDVRSEEDANEGNEMDDDEQEMAIEHVRERPIMLKNLKIPEYMESIPEEFRKRQTLFDIKMELLSGFSDWRTPYAEPSPDEEFWLLSGETEDNISDGRTVQVTVRNIQENRIICTFDSGLKAIVMGDNYSDDVAFDPESLQLHEGDVLTGKIKNVNKNRFIVYLTCKETEMKRRPFTRNNHDPYNREKHIVPNKDDKARKEKELAKKLFKPRMIVHPHFQNLTAEEATQFLGDKEPGEKVIRPSLKGPSFLTLTLKIFDGVFAHKEITESGKDHKDITSLLRLGKTLTIDNESFEDLDEVIDRYVDPLVGNLKSMLSYRKFRKGLKGEVDDALRAEKAENPMRIVYCFGISYEHPGTFVLSYIRSTNPHHEYVGLFPKGFRFRKRDFDSIDRLVSYFQKNIDKPPPVSGPPMRNVAAMVPMKNSSWASGGANDGWKGDGDNDRDRPFSGRTGGRFDSRNSSGGRGRGRGRGRGNFGNDGGGENSGGWTDNIGGGSGGWGTGGGSGGGDAGWGGDSNRGGGGGWGAAAAASDGGGGGWGAAAAASDGGGGGWGGAAPGGNGAAGNDDSGWGSAKKAAPTQDSGGW* >Brasy8G241000.1.p pacid=40080295 transcript=Brasy8G241000.1 locus=Brasy8G241000 ID=Brasy8G241000.1.v1.1 annot-version=v1.1 MASSPSRRRRRIRRGGAGLPPPDWADLPIDALLSVLHKLDPIELLVGGAGRVCRSWRRAARDEPELWRRIDMRGHKEHPCHDGIAKEAVRRGAGRCEAFWGEVIDDDFLLFLAEQAPSLKSLRLISSNHISNEGFLEAINKFPMLEELEISLCKNVFGKVYEVIGIACPHLTHFRVSYPYFYSIEDIEYNKDEEALGIATMFALRSLQLFGCELTNVGLAKILDNCAHLEHLDIRHCFNIHMDTSLRAKCARIKTLKLPYDSTDDYEFQIGNSVRTRSRRTRREYAEI* >Brasy8G001500.1.p pacid=40080296 transcript=Brasy8G001500.1 locus=Brasy8G001500 ID=Brasy8G001500.1.v1.1 annot-version=v1.1 MDVAEFALDVLLWRGGKAPLSSCLLAATLCSWLLASASSYRSLLSLASNTLLLLLALLFLWARAARLLGRPPPPVPDLRPAADRLAVNLRSALAAAADAFNHIAAGSSSSNRLLARAFLALSLLSFLADSPAFRYPAVVAALTLPPLYVRWDVDAYLRLASLNLYRYELLYQRFSLACFRAARDWIMLDDDHEEEEGHRLLLLLKKKQ* >Brasy8G283300.1.p pacid=40080297 transcript=Brasy8G283300.1 locus=Brasy8G283300 ID=Brasy8G283300.1.v1.1 annot-version=v1.1 MEATVVSIGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMTADEKRGQHKVLVTWVKHVRDLAYNVEDNLMDFSLLSEKKKSWWRSPRTAVERRRITMEMKKLRSMVEDVSNRNLRYRLINETAGSKPTAAEEQAHIASAAFFGINEASLADLGKEELKVDLHQLITSEEEELRVVAIWGTSGDLGKTSAIQEVYDDPKVLEKFGLCAWIRLTHPFNAKKFIHSLVRQFSKKSLDEVANRKEETSVGANILLKMEKMKQNELVHVFNTQVSNNSYLIVINNLSTIEEWHCVKEYFPDNKKHSRIIVSTQQVEIASLCSEKPYQVSELKQLSCDQTIYLFHKKNLEEPASMASASAAMLNINKQKQAAIEKEKLKGMHASCSAESISDSNGVSNTEKNTALPTSEILEEDQEPKNESEYKVSNSTARKKFDRCRTLALVDEVITGRETEKSLVIKLIGQPNNADNNQGCKVISVWGMGGLGKTTLVRSVYRSQQLGGWKCAWSTALRPFNAVTLLRDLALQLQKTIQEDPTEAATTKAQKKTISTMNLQDLKDELARLLKLQKCLVVLDDVSSSSEWELIKGCLDNAGRIIVTTREKNIAKHCSREYKNMYNLEALEDDAALDLFIKKVFKDNSEKIDLLPSMVEQARLILKKCDGLPLAISTIGGFLSTKPKTAIEWRKMSDCISSELEINPELRTIKSVLMRSYDGLPYHLKSAFLYLSVYPEDHRIRWDRLVRRWIAEGYSRDMHGMTGEELGRRYFDELLDRSMILPGEEVNYYNGKINSYQLHDMIREICISKAREENLVFTLEEGCCLSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISSKMRFLRVLDLEDTRGLRDHHLDQIGQLCHLLFLSLRECMNIFCLPISLGNLKHLQTLDVRGTRIFELPATITKLRKLQHLRTTKYLQIAGNVKGEDDIFGNYTDLDGVSLLNNLWGKSCLLLLCTSPAFLRPQVLDADLNMHDILNLYRFAMLCLAENGDIWDDRIYGAEVPGGIGKLKALQTLGVVNIARGKGNATLKELKELTQLRKLGVTGVSDKNSKELWSAIAGHSQLRSLSVRGVDYVDELDGGLGEALSPPSSLESLKLWGKLVRVTSWIHQLQNLSKLTLEHSRFEQDDADAIQALGVLQNLAVLRLKWMSFYGKQLRLQGASFPSLLVLELYGLGYLESVLFEEEAMPRLELLQVDRCGWLKDISGLAVLTSLREIRLGDGVPGKLKEEVQRQQAAEDLKQVGVNLS* >Brasy8G271100.1.p pacid=40080298 transcript=Brasy8G271100.1 locus=Brasy8G271100 ID=Brasy8G271100.1.v1.1 annot-version=v1.1 MAIDHESPFKEIRLKSRRTMSCGGPEPEKKEDEERAAALDPDPELEEREPAGSPWPRWVRPLLSAHFYTACEAHPSSRRGGERTMFCLDCADAGALCLLCVAHGHLGHRAIQIRRSTYNSVVRVSDIRGHLDIDGVQTYVINGARVVFINERRPRHNHKGAGYRGVKGCCETCGRGLHDVFRFCSLGCKVAAGCSPDGNAVNQSSTPPPSPPSPPPTMPAKRRKGIPRRAPFR* >Brasy8G148500.1.p pacid=40080299 transcript=Brasy8G148500.1 locus=Brasy8G148500 ID=Brasy8G148500.1.v1.1 annot-version=v1.1 MEWDKATAATVAGEQVDERGGVEALGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAHQDSIAGMRLGNMYCDPIMVHGGHKITARQRWTPTQMQLQILESIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASLPNNAESEAEADEESPTEKKPKSDGPLHQNMATRDHNPERISEMHRHLDMEHEQNRGMMYGSNSDNSSKSSGGLGQMSFYANVMSNPRIDQFLGKVETPGSFSQFRPGESFDMYG* >Brasy8G115200.1.p pacid=40080300 transcript=Brasy8G115200.1 locus=Brasy8G115200 ID=Brasy8G115200.1.v1.1 annot-version=v1.1 MGGGDASSCDESSSDEDDTYDCDSPLRSAIANPIANTVGAVSLMLDLFFTRGSLGNGLYASAGWLAGPRFQHKTPKKSARRGFIKVSIHAHYQRFLLLSLFFVS* >Brasy8G255300.1.p pacid=40080301 transcript=Brasy8G255300.1 locus=Brasy8G255300 ID=Brasy8G255300.1.v1.1 annot-version=v1.1 MIFPPTSFLDSSSWNDNNQIDNNFQRHPHHQQQQVVVAGSASAADGSCHEQLLQPSIMQMQQQQQLPEGGHGGGQQAQAAAMAVGKPMSMSERARLARVPLPEQGLKCPRCDSANTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKQPKQQPATSSSSAPATATTSAPPTMLSGGGTTGNQLLPSGLLPPLLRLADFDAMSLGSAFVSGAGGMGKPPPPLTSSAVDAPGCYSLGGGGGAMEQWRSAAQQIPAGFPFFHAMAAADHHLAPAAPAAMPPGMSHYLGLDHGGNGNGEVVGEEDNGQFHHVSTTMPCSKREDGFTRGSNIISMYGANGDHHHHQQLNAGYTSSYNSNTTARGNHLL* >Brasy8G252900.1.p pacid=40080302 transcript=Brasy8G252900.1 locus=Brasy8G252900 ID=Brasy8G252900.1.v1.1 annot-version=v1.1 MCWILSGADTLVGKWPKPTTISTTRVTRRQTPTSAPRRLATQATRQPTPPPARFPHRPELEPPSSRPNASAASPRSSLIRRGDPARAQSGEPDLRPPERTRGGRAGHEGGGRYKILKYNSLSCAPNFLGL* >Brasy8G240000.1.p pacid=40080303 transcript=Brasy8G240000.1 locus=Brasy8G240000 ID=Brasy8G240000.1.v1.1 annot-version=v1.1 MATTLTALPAKLPTPPPPFPTIRCHLNPLSAHLVLSSDHSCDAAKLLTSAARAGDLRLGRALHRRLLRTEILDTDAVVANSLLTMYSKCGAVEAARRVFDQMCGVRDLVSWTAMASCLARNCAERESLRLLGEMLELGLRPNAFTLCAAARACFPQELFRLAGGVVLGFVLKTGFWGTDVSVGCALIDMFARNGDLVAAQRVFDGLIERTLVVWTLLITRYVQAGCASKVVELFLHMLEDGFEPDGYSMSSMISACTELGSVRLGQQLHSVALRLGLVSDSCVSCGLVDMYAKLHMEQSMEHARKVFKTMPRHNVMSWTALISGYVQSGVQENHVMALFREMLNESIRPNHITYSNLLKACANLSDQDSGRQIHAHVMKTSIAHVNVVGNALVSMYAESGCMEEARKAFDQLYETNILSMSPDVETERNNASCSSKIESMDDGVSTFTFASLLSAAASVGLLTKGQKLHALSMKAGFRSDQGISNSLVSMYARCGYLEDACQAFDEMKDHNVISWTSIISGLAKHGYAKQVLSMFHDMILAGVKPNDVTYIAVLSACSHVGLVKEGKKYFRSMQKDHGLIPRMEHYACIVDLLARSGLVQEALQFINEMPCKADALVWKTLLSACRTYGNTEIGEIAANHVINLEPRDPAPYVLLSNLYADAGLWDEVARIRSLMRDKNLSKEIGLSWMDVGNTIHEFRAGDTSHPLAPDIYAKLVTLIREIKDIGYVPDTSIVLHDMSEDLKEQYLLQHSEKIAVAFGLITTSATKPMRIFKNLRVCADCHSAIKYISKCTGREIILRDSNRFHRMKDGKCSCGEYW* >Brasy8G192900.1.p pacid=40080304 transcript=Brasy8G192900.1 locus=Brasy8G192900 ID=Brasy8G192900.1.v1.1 annot-version=v1.1 MTQTQWAVQVQHTTSGPPFAAAHLYISRPVDPPQTLAAAPLSSPAAAAADAACLAPIVAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKPNNAVHATEALRRAKFKFPGRQKIIESRKWGFTKHNRNDYLKFKSEGRIVSDGVNAKLLGCHGRLSARAPGQAFLTAA* >Brasy8G049700.1.p pacid=40080305 transcript=Brasy8G049700.1 locus=Brasy8G049700 ID=Brasy8G049700.1.v1.1 annot-version=v1.1 MAAVGAAPVLFYQQPAPALAAGDAGCFFSPSSPDMSSFFSSHGHGGSSSTAGSSPASRFSPALAPTVADPAAQFDISEYLFDDGIFAAAAAAAAAPPSVQSGAAMDGAGASAIAAHGRSAADQQQQQAAAVERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDRDDPSYVVTTYEGTHSHVSPSTVYYASQDAASGRFFVAGTRPPGSLH* >Brasy8G222000.1.p pacid=40080306 transcript=Brasy8G222000.1 locus=Brasy8G222000 ID=Brasy8G222000.1.v1.1 annot-version=v1.1 MARVAMLLVLLLALLTMAAAAMATVDVSSEDLASEASLRALYERWYALYRREQEEISVVVPAGDKEKRFANFKQNAHTVHELNLKEADAGARVKYQLNSLSDLTHEEYAESFASCSIPRRPKRHQPSSYYPDNLPLAFDWRKVDAVSGVKHQGYRCGCCWAFAATAAMESLHFIENEVRTTLSVQELVDCSTDNLGCNGGWASKAFQYVIDTGGIGPWGPPLNRPVMAIRSFHFVEPRNQKMLMDAVIQRPVVVLVVGANTTAFRNYRSGVFRGPCDTMPWHEALLVGYGTTLDNDPNDPAGVNFWIIKNSWGEGWGEAGYMRLLRGFEADGGLCGIMFGRNVYPADAYST* >Brasy8G085400.1.p pacid=40080307 transcript=Brasy8G085400.1 locus=Brasy8G085400 ID=Brasy8G085400.1.v1.1 annot-version=v1.1 MSPKRGRCAVVLPDHLIEEIFLRLPAKSVLRCRQLSRAWAATLSSPDFEDLYLRVANNRGPRIFGVQEIWRPHAQEPSHVLPPLTSAPRVIKLDGFRRQLATSLPPTTEEEEDQGKPPGHVNASAATAQCRGLVVLRAFADGTAPSPDMYYVCNPSTVRMAALPEGRTTGFRGSPSRERYASLGLGYDVRTRKHKVVRVCYHGPRSTSCEVYVVNGPTASWRPVEIGEKPMGWNWIDPGAKSVFAQGHLYWLAYRELHPRRQGMFLVSFSLRDDKLGIIRVEPPLPLGMDNQRIFLQLMELGGRLCIFDPYLTRFDGPRYDIWALNQHGSGLATWDLHYRIDLAEVPFEVMRFGATPLAITDNGRRLVFAETEAPDQIYSHYSVTNDIEELLDWSGQSVTNPTLGLTHANVYEESIVSPGRQPCEDTVLISSPSTQALSLVLESGRLLEEHTVGRLMCVCRSWRSMICCQLLPRYN* >Brasy8G047200.1.p pacid=40080308 transcript=Brasy8G047200.1 locus=Brasy8G047200 ID=Brasy8G047200.1.v1.1 annot-version=v1.1 MSSGPLDRLARPSFEGFTHNDGKKESRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKKENLISIEDIRDVQDLKAVDAFRQCLLDEDLLPQQHDDYHMMLRFLKARKFDVEKAKHMWSEMLRWRKDFGTDSIEEFDYSELEEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLVQVTTIDRYVRYHVKEFERCFQMRFPASSIAAKRHLDSCTTILDVQGVGLKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQNKLLEIIDESELPEFFGGKCKCDEYGGCQRSDKGPWKDPNIIKRVLNGEASYDRQIVTISGTDGKIIGYARPQRPTQRKGSDASAESGSEVEEVTSPTAPKNLITNPILTPVHEESKFAQHASTSAARPIVEESIPVVDKVVDDGWSSPRAGPTASLPGSLSLSNLPTTFQGIRTLAITWLTVLIVSLFGMLCSIPSRMAKRLSNQSVNHDQYYVDCPQEQEYKEEFRPPSPAPSYTEKDVLSTLLRRLGELEQKVLVLETKPSEMPFEKEELLNASARRVDALEADLISTKKALYEALMRQDELLAYIDKQDMLKFRKKKFCF* >Brasy8G047200.2.p pacid=40080309 transcript=Brasy8G047200.2 locus=Brasy8G047200 ID=Brasy8G047200.2.v1.1 annot-version=v1.1 MSSGPLDRLARPSFEGFTHNDGKKESRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKKENLISIEDIRDVQDLKAVDAFRQCLLDEDLLPQQHDDYHMMLRFLKARKFDVEKAKHMWSEMLRWRKDFGTDSIEEFDYSELEEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLVQVTTIDRYVRYHVKEFERCFQMRFPASSIAAKRHLDSCTTILDVQGVGLKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQNKLLEIIDESELPEFFGGKCKCDEYGGCQRSDKGPWKDPNIIKRVLNGEASYDRQIVTISGTDGKIIGYARPQRPTRKGSDASAESGSEVEEVTSPTAPKNLITNPILTPVHEESKFAQHASTSAARPIVEESIPVVDKVVDDGWSSPRAGPTASLPGSLSLSNLPTTFQGIRTLAITWLTVLIVSLFGMLCSIPSRMAKRLSNQSVNHDQYYVDCPQEQEYKEEFRPPSPAPSYTEKDVLSTLLRRLGELEQKVLVLETKPSEMPFEKEELLNASARRVDALEADLISTKKALYEALMRQDELLAYIDKQDMLKFRKKKFCF* >Brasy8G122100.1.p pacid=40080310 transcript=Brasy8G122100.1 locus=Brasy8G122100 ID=Brasy8G122100.1.v1.1 annot-version=v1.1 MLLATQACSSSAAGTTEENLQNPLEILAAMNIAYTLRRAPRSEAARGLLAALSGRVSPTHHHRAASVSPFSSASASAPQPSAAADAQLIRVINFEISYAQNDCRKRDWAKEFGGGFPFEIQDKEGTNRITLTRSHQNEQIEVEVLLPSPASGDAQNGKKEDQAEDGKHQSNAGSGAPTYCIPLLVRIRKGAASCLEISCSSYPMQLVVETLEFGSSDGSGGSLSGGIAFSDMPEELQKALYPYLRSRGISTDIADFLHAYMINKECHEYLSWLRRLKGLIKS* >Brasy8G050000.1.p pacid=40080311 transcript=Brasy8G050000.1 locus=Brasy8G050000 ID=Brasy8G050000.1.v1.1 annot-version=v1.1 PRAPLAISSVVLTLPGRVNSGHSPLQQQNPCIRPAGEAHRGDQGAAAAALPEEGAVRGGGGGRPRRPGPAVRGRQGAAARPLLGPRGGGDLRHGALRGGRLRPGLLLLGGHLRQGFLLRERLHGRFRIRRLVALTPVDPSDHHD* >Brasy8G085000.1.p pacid=40080312 transcript=Brasy8G085000.1 locus=Brasy8G085000 ID=Brasy8G085000.1.v1.1 annot-version=v1.1 MINLLERKSNFDANCTKRKKQELVLWKNERRREIWRRRRSLAAGVREEAGAVRISKDETRDGRVQGPLLLFLHRPASIAAEPSSPPSAAQPPCSAKKKKKSTACVSRLAKCQTLYKKDLERAADQWRMEIFIGKVRILIAQVPTTVSNSTWGPSRPIVARPRRHEECVRAKRSAPGEQRDERALRIELATGRRRLEPD* >Brasy8G268000.1.p pacid=40080313 transcript=Brasy8G268000.1 locus=Brasy8G268000 ID=Brasy8G268000.1.v1.1 annot-version=v1.1 MALSPAPGQGAAAVPENGQEGQRATAEKLCYMDKDVAKAAANPPHHPRHGRLTSKPAPAAAAAERARYGCPFEPASEEGQRFAPPQLVWAKVRTHPWWPAQVVDPADASALALQMRPGGGGAVLVAFFSDKTFVWVPDTASDRLRAFSDGFESFACIAENRTAFAAALEDALGEVARRVGAGLSCRCSSGIVVSADGIYINDMRMTRNQEQQQQQQLQVKDALEENAGDRRGARGAAAGAMDAAFAVSALRGEKLVEYVSALAVAPTAGAGGLDLAVAAAQLSAFSRWRLSASAAAPAMERGRATRTAARKRRRRSVEEDDANAAAAAAGRCSKLTTAAAAAVDALKKRREMPSKEKKLMSRAAELMSDCELM* >Brasy8G202600.1.p pacid=40080314 transcript=Brasy8G202600.1 locus=Brasy8G202600 ID=Brasy8G202600.1.v1.1 annot-version=v1.1 MLHQENSEVFVRHHSEQLACGNLRGTDDGRSNFLPEKFHVATERNAATCLVNTAGTVKEVAVDHNKRPASDGLADIVDCKRMKQGDQTICEKDHHVADTDVNVPEYITYRTCEKIFDAPTYESDGSEDEGVDSPVHFSLPHNYEDYIRSASFYQKEDIFSSLGVCPPSKTVPIGPNYQAELPECASDRKRNSIDDYADLSSSSHVCAGSEAESNKWIGNCVIPMPGSVELSSMLKPVCCKADCHCVDEDSIECVRKHVREARERLMGALGPNTFKELGFCDMGEEVSLRWTEEEEHLFQEVVSSNPASLGRNFWDELLLAFPFKTSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDNDEWQGSDDDEFAVTERADDDLLHESLTDQDDGVFNQVSLERNIHEKSSEEDELDNSSGEMQENCCAERDVMASGLPVMSFVDHNQEAATLDADAQDDSCTSFEAQHIGVANGTPADIPGDHYGDNGFGSVADHGYFGGHCDPKAWDIGFPSVWEKDEFLSTNNVIEEVFGKGSCGNENNSSTSQGIM* >Brasy8G017000.1.p pacid=40080315 transcript=Brasy8G017000.1 locus=Brasy8G017000 ID=Brasy8G017000.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy8G163800.1.p pacid=40080316 transcript=Brasy8G163800.1 locus=Brasy8G163800 ID=Brasy8G163800.1.v1.1 annot-version=v1.1 MNNTLPSCLASAKIQFFLYQRILPQSYSYPQTEPKSAKFRPILPGIPEKRTPPDPPPTDLNVPNAAAPQIDTSAPNPDTPPPPRRLEPNPSHQRSTRPHPRRTSTARENQETYGPRTARPVRPARSIGSLPPPQGSLGRRPAAPIDRRRLPLPADRRRRTGEGRGGEGRRLVPPVRCSACLLLRCCLFGFGLCVSSEARGRKIGRGDDGVAAFFGVGGGKESE* >Brasy8G186700.1.p pacid=40080317 transcript=Brasy8G186700.1 locus=Brasy8G186700 ID=Brasy8G186700.1.v1.1 annot-version=v1.1 MEIQTSGKPINMLMEKVLCMNILSSDYFKELYRMKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQIHGLLKHPDSPYIRAIGFLYLRYVVDPKVLWTWYEPYLKDDEEFSPGSNGHMTTMGVYVRDLILGQYYFDSILPRVPVTVIRQVTTNLEKMKLPTKLSGVTGESRHISEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTITQDDYRRSSSPFRRSGSREGPYDDRSSRDLETDRSSRDRGTDRSSREKDYDSDIRDYRHHDRSRDRDYHRSRHSEERRDYRREHDNSRYRRYSHRSRSRSRSRSRSRSCSRSRSRSRNEHGSSLFGDKNKEKAGAALSNLAKLKDLYGDVTEKKGDSDAKRLHHDSCAEEVFKLGGPRWK* >Brasy8G002800.1.p pacid=40080318 transcript=Brasy8G002800.1 locus=Brasy8G002800 ID=Brasy8G002800.1.v1.1 annot-version=v1.1 MSPRRMPALLLIIILLPALLIVADADADAVPSSDANTLQIPNTNAAASSSSSSTAPAAAGAGLIINGSSSKTRLGGGFYRGMSRELVAEHNKVRARYGAPPLAWDKTLALYARRWANTVVRDCGDPRHSGGKYGESFFLGANATAEDALFNWEKEEPVYDRATRGCTDDHSFQDCGHFAIMVNPDFRWLGCARALCLNGDHHFFFITCNYNPTPPPPTT* >Brasy8G065700.1.p pacid=40080319 transcript=Brasy8G065700.1 locus=Brasy8G065700 ID=Brasy8G065700.1.v1.1 annot-version=v1.1 MDPAADQSPEEVYSVWALPPEPVRDRLRGLMAGLRAAHGGPAFEPHATVVGAVRMRRSAAIGALRAAAAAAVVRPYTARVTGVARGDFFYQCVYLLLEPTPEVNQASDHFCAHFGFQRPTPYMPHVSILYGDLTDEEKESARKKVEEMDNEICGLQFEISELALYRTDTEDKSLESWELVEVCHLEKK* >Brasy8G065700.2.p pacid=40080320 transcript=Brasy8G065700.2 locus=Brasy8G065700 ID=Brasy8G065700.2.v1.1 annot-version=v1.1 MDPAADQSPEEVYSVWALPPEPVRDRLRGLMAGLRAAHGGPAFEPHATVVGAVRMRRSAAIGALRAAAAAAVVRPYTARVTGVARGDFFYQCVYLLLEPTPEVNQASDHFCAHFGFQRPTPYMPHVSILYGDLTDEEKESARKKVEEMDNEICGLQFEISELALYRTDTEDKSLESWELVEVCHLEKK* >Brasy8G065700.3.p pacid=40080321 transcript=Brasy8G065700.3 locus=Brasy8G065700 ID=Brasy8G065700.3.v1.1 annot-version=v1.1 MDPAADQSPEEVYSVWALPPEPVRDRLRGLMAGLRAAHGGPAFEPHATVVGAVRMRRSAAIGALRAAAAAAVVRPYTARVTGVARGDFFYQCVYLLLEPTPEVNQASDHFCAHFGFQRPTPYMPHVSILYGDLTDEEKESARKKVEEMDNEICGLQFEISELALYRTDTEDKSLESWELVEVCHLEKK* >Brasy8G018300.1.p pacid=40080322 transcript=Brasy8G018300.1 locus=Brasy8G018300 ID=Brasy8G018300.1.v1.1 annot-version=v1.1 MATPGGAPRASYTARDLAEEAKKRAVLLLVFAFGLAFLMSLTSSSVWINFPFATALMILFRYMSLDYDFRRKSSTTTDHVVSRPLVKAKSIELNKPSLAQKNGNPGWRSKVNSPPVEAAFEQFTRHLVTEWVTDLWYSRVTPDKDGPEELISVVNSVLGEISNRARNVNLITLLTRDLVDLVCNNLELYHSCEAKIEKEKFVSLPTERRDAELKLALIAEGKLHPALFSASAEYKVLRSLSDGLISITVKPENLQCSFFRSTARELLACAVLRPVINLANPRFINERIESLALSRANKADNGVAGSLEDVTLVKQREPPMPSVDELSALADHSSPGVELVRFSQGQSKTASDVQLGKTKNLSSAKPKSPNYHVINNSHPLESGSSTSNSHIYSDTSISVHPQNRDRITTECYEGESAQTLDIISHRKSRVVAPEHLENMWTKGKNYNLENAEHVVKAPVRSSLVTTKSTQQSAPFSTSIRHHPTIPEKQTALSNSEDHHLKSSTTPYSNGTNHLPISFSGEMAEHAGQEDVAMDSESSYGTEEDENNNVTGLDSPVTRVWDSKSKGNGASSHIHHPLESSSFHRAKTNRSHLGKLKMSRTSSGRKRSRSNSQKTPFWQEADRSPYLVGGDLGTLNTSANGSRTDGLYDGTEVESMSRIFSGANASSLSLGSTDSSYSSNYSSTNVLEDSYLKLRCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYPQYSLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYTFSDSLSVIQALSVNLDVRSNDKGARPLNSSKALNGNLASTRQLSGCQHDTVDKDKDFAVDGLRLRKGSAEHNLGPNVSNTSTNIYQDDSGSDPEQNDHSFTINPGNHKKMLPSQTDYTSQIESDGYSVSGNPSEWMTPNLSAPIFHLVDVVFQLQDGGWIRRQAFWVAKQILQLGMGDTFDDWLVEKIQLLRKGRIIAFAVKRVEQILWPDGIFITKHPNRKPAAPSSAAQNTGVANYLTEEQRLEAAHRADFVRELIIDKAPSALVSLVGRKEYERCAQDIYFFLQSPVCLKQLAFELLELLVLAGFPELDDIVRKWHEDKEQFSALE* >Brasy8G235400.1.p pacid=40080323 transcript=Brasy8G235400.1 locus=Brasy8G235400 ID=Brasy8G235400.1.v1.1 annot-version=v1.1 METTTPTPPALAQPQEQEQEQAWQGAVEAALPSTPAAAAWPHVASFCALHRYLSGVDVCERVAGEDGRPGCVRYVASSSPPGAEGKESAAAVATWAREELLELDAAARRLSYAVVGSNMGFGRYVATMSVHAEEEPASGCRLVWEFECEPVQGWSRDGLVAYLDTALKGMADRIQAAAAAAASE* >Brasy8G059400.1.p pacid=40080324 transcript=Brasy8G059400.1 locus=Brasy8G059400 ID=Brasy8G059400.1.v1.1 annot-version=v1.1 MATASRAAAPAEADKDEPALPLAFPSPSVAVHPAAAGDVDEATGWRSKQYLRRRRCALCCCGCCVTTVVVTALVFLVLAVTVFRVKHPRISMNSVSLAALSGAGPRGTLAANATLDADVSIRNPNVASFKFLPSETEVSYEGRTVAVARVPAGHVGARGTARMNVTVDLLADRLARAVDGAGLVMGREYDFTTYTEMSGTVRVLGVYRKELEIRMNCSVTVEVGGAASVLVSRHHRDRDRAGVQSKGVSCVATVS* >Brasy8G197900.1.p pacid=40080325 transcript=Brasy8G197900.1 locus=Brasy8G197900 ID=Brasy8G197900.1.v1.1 annot-version=v1.1 MEIKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRRQKNVDEAVEGLRAKGITVLGAVCHVSNAEQRKHLIDTVVKNFGHIDIVVSNAAANPSVEGILETKESVLDKLWDINVKASILLLQDAAPHLTNGSSVIIISSITGYNPESGLAMYGVTKTALLGLTKALASEMGPKTRVNCIAPGFVPTRFAGFLTTNETLRKELIERSSLKRLGTVEDMASAAAFLASDDASFITAETIVVAGGTQSRL* >Brasy8G069000.1.p pacid=40080326 transcript=Brasy8G069000.1 locus=Brasy8G069000 ID=Brasy8G069000.1.v1.1 annot-version=v1.1 MRSLDPGARRRHLDLDPPAPSTYSGQRAARRPSCPPAHVQSGSRARVGQKAKHRGQARARAGGDVSLWLAAKGAPRVDTRAGRASRCRGSASASSFNGSSQLSTSLCGLVVVRRFLHGGALQQRTPSRQH* >Brasy8G182400.1.p pacid=40080327 transcript=Brasy8G182400.1 locus=Brasy8G182400 ID=Brasy8G182400.1.v1.1 annot-version=v1.1 MVVDSDTTNYKDFVSEIGEKYRWRMNETIMLNYFDESNRTIPELSSDQDMVAMFAKFGTTKTIAMLITVHDVNVTPDKPEWPIEEGVSVDIPCTPSLPSAPPKPPQETSSQRNSGTHPSTLSNNYVGWDLANSFEENEHVGVDEEDMYLDGSDSEDGATDVPSCKEKCSVKDPDFVPEVGEEYSDEDTSEDEEDGDWVGKDKEPDSLPDFSYDKEDPPMAEVIQGDSGPFALTWNATGNFMPLRWMKMVALR* >Brasy8G257300.1.p pacid=40080328 transcript=Brasy8G257300.1 locus=Brasy8G257300 ID=Brasy8G257300.1.v1.1 annot-version=v1.1 MHCMIGTSKTLITKANEDHNIVAFIGVSGSEFVHGHPVKELWSHALHDRHKQEVAHDDMLACNISSTRWTWRRAGDCWCCSSQPGRVWHHQQRPNELLIMCLHRPSFLSLALLLILFYASLSIFSPNN* >Brasy8G201800.1.p pacid=40080329 transcript=Brasy8G201800.1 locus=Brasy8G201800 ID=Brasy8G201800.1.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIGCQAYFMMGKRCSLGTI* >Brasy8G201800.5.p pacid=40080330 transcript=Brasy8G201800.5 locus=Brasy8G201800 ID=Brasy8G201800.5.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIGCQAYFMMGKRCSLGTI* >Brasy8G201800.2.p pacid=40080331 transcript=Brasy8G201800.2 locus=Brasy8G201800 ID=Brasy8G201800.2.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIGCQAYFMMGKRCSLGTI* >Brasy8G201800.6.p pacid=40080332 transcript=Brasy8G201800.6 locus=Brasy8G201800 ID=Brasy8G201800.6.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIGCQAYFMMGKRCSLGTI* >Brasy8G201800.3.p pacid=40080333 transcript=Brasy8G201800.3 locus=Brasy8G201800 ID=Brasy8G201800.3.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIAQTRLATRHFTGV* >Brasy8G201800.4.p pacid=40080334 transcript=Brasy8G201800.4 locus=Brasy8G201800 ID=Brasy8G201800.4.v1.1 annot-version=v1.1 MLNIDHPSLLLLEPLKSFHHTEALVIKDGSGMKMLPDQWLLQNRASLQTVSICNVNSLESLPSSMMELCIFRNLHLYGALQLRSLPDLPSTLKQLIIEDCNEELYKRTTVYGSDEWIKISNIPEVQIAQTRLATRHFTGV* >Brasy8G068600.1.p pacid=40080335 transcript=Brasy8G068600.1 locus=Brasy8G068600 ID=Brasy8G068600.1.v1.1 annot-version=v1.1 MYVTQSCLRLCSLVNLQKKPAGSRAVELEAKLGKAHDQLAEMREQLAEAEKARKDARGAFAEAKKRAAAKKRDRVDAATWAPPAEQKQQQQQQDAAEFVADGHEEIRSKSSPATGVLEPSLPETENSVALVVAGGDGETKGNPESDQLIRAKLAAKDKEAYELRAKVMVKDMEIHDLRAEVAAKDADFDEVMARLIAKDAETAALGAHNAHLRKTAEEAAKARGIAEQALRESAAREARMAERLGASERAREALEGEARRVRVQSEQWRKAAEEAAAVLGAGAGADGMGDRRVEARGGGKETWRRRHGSAGSNGDGVEKRDAADDEGSGGVRKAGGSMRVLTDLWRKKVQK* >Brasy8G164900.1.p pacid=40080336 transcript=Brasy8G164900.1 locus=Brasy8G164900 ID=Brasy8G164900.1.v1.1 annot-version=v1.1 MAWQLQATITTSRAHPVRCCSGPARRSANYAPSSWDYDSLLLSLHNNGQLNHPVGRAWSFDRLKASVRERLAAACRSGDGHHQGAKLRLVDTIQRLGIAYHFDDEITDILASIHGCSWDNDDDVASTALRFRLLRANGFPVTPGEHLKNLKHGSESYLTSALRKDDVTELLSLYEASYLAFRDEEPLDQARKPSANALRALLPSMDPHLRHSVVHALELPLHWRSPRIEARWFIDHNARDLSNSDPLLLRFAMMDFDDVQSVHQQELVRLTRWWKETGLGEKMGFARDRLMECFHYANGIVWEPSLGSCREVLVKVANLIVHLDDVYDVYGTLDELILFTDAIGRWEESPSEMLPEYARALYSVMYNTSNEMADNVLKKHGVDVRSLLSKAWHDMAKTFLVEAKWHHGNHRPILKEYLDNGSVSSSAPLLLQHAFPMLNMEVTTKSLDQVRSYPRLVQSASLILRLCNDSATHSAELERGDAPSSIAIHMWENSSSEQESRKAMEDLTIDAWKSINEDTLEHCQFSGSFAKTCVNLARISHCVYQGGDGFGSPDGLKNMQIRELFLEPFMADKR* >Brasy8G256500.1.p pacid=40080337 transcript=Brasy8G256500.1 locus=Brasy8G256500 ID=Brasy8G256500.1.v1.1 annot-version=v1.1 MPPGGGAQGEGGDHASTEQYHHPPATCRGGDVAPPPFAATTDAAFEVSKKRKQEGELPPLPAKALTEILSRVPYKSLCRFKCVSKPCLAFCSDPAIRKRSPQTLSGFFFNRTRGGLTFRNLSGGGTPLIDPALPFLRRTYKRFEVEQGCGSILLLRCWKSYTKRNKDKYDYVVCNPATEEWRVLPLIVLPDPEEPDVLVFYDSDYDFFLGFEADTPSRFVVFAPLRNYFSEFGQVAVYSSETGRWTALPNEWGWKTVLLGGPQCVFLNGIMHLSSHYASIVTVDTERKNWGEIEWPKDMVSTTEVVTIGKSQGLLHAWQIDNDNDSHLYVWVLEDYASGKWTLKHTVKVLELFGRECYKDEECFTMFAIHPDRNLVYLTDEEEMTVSYDMDSRKVQVMCTAKELACGVPYTPCFTEWSSAGN* >Brasy8G218900.1.p pacid=40080338 transcript=Brasy8G218900.1 locus=Brasy8G218900 ID=Brasy8G218900.1.v1.1 annot-version=v1.1 MMTFLSVLVCLTAAPGRRRHPPCCGALPGWSLPRHRGAPQSSPCPDAGSPPRPRLTPAPSPGALTAWPLPQSLLAALPRADSSTAPPVVSTPSLSITSNIIYLKQHWRDILFLHISTSTVINLGSKQFICVKA* >Brasy8G225200.1.p pacid=40080339 transcript=Brasy8G225200.1 locus=Brasy8G225200 ID=Brasy8G225200.1.v1.1 annot-version=v1.1 MSGLAIGSLLAAPILKVLVGQIGSAIGGQITLQRNFDKDLRRMKVALESVENVLEDAERRSVTDKLTRLWLKELKVAMYAISDMIEEFQINTEEANAERSARKATAICPTGVGVHRRPRLRRPFPRHQAKHGRYMAAVGPSLVVCGMGFFRRRRRLSRRHGLFPAETTHHRIQRCWGPACNQCGGLVFNAPDLPGFPLDLLPRVPDYLLFRLRPRCHTPGYLLQHVVICSTCFRPFIVHV* >Brasy8G109600.1.p pacid=40080340 transcript=Brasy8G109600.1 locus=Brasy8G109600 ID=Brasy8G109600.1.v1.1 annot-version=v1.1 MPMAEVAPPYSAAAGGDEALALAAMRGRGEVDTSSPFVSVRQAVDRFGGGAVSPWRYPPQPSPPLQLRPEEVELMKVEEETVKIEMGLFVKESETFKVLKELQSTKQVIDDLKLQLEKVTSDGTNATGYIHTMSEHPLPEMDQKISNHTEPLSQSTNTKQSPLTTLIKLNQAKAFLNMDTVKMLQSQIEEEKASLEKTRKRLQLNMGEASSLEADLSKTVAQLQAAKAPKPTLEPHDIWLQMKQLNSEKEKHKKTVQDLKYEIGELTSTIEHTRSKTKTLQFRIIMAEKLKEASRRGEAFALADTEKRSNREDPTIATSDVKLSVIEHSALVAKAQESNDASRKKIDAAMQELDQANQCKVELLERVEEAMSAVETSRKVLEEALKREESANKAKVAAEEMLRRLRSDQIVQNWRQASNDSSVKFKTSATTPRRAVGSGIYDVNGLTLVTTAPKNVKTMSIGQILSMKLDSEFEATGKTATATPKRKKVSLGQMLSQKYEVYSPMRIDHDGASRKQFHPRRRRLGFVVYALLLAKQKHRKKQARASCTPGHCSFGSKVVV* >Brasy8G109600.2.p pacid=40080341 transcript=Brasy8G109600.2 locus=Brasy8G109600 ID=Brasy8G109600.2.v1.1 annot-version=v1.1 MKVEEETVKIEMGLFVKESETFKVLKELQSTKQVIDDLKLQLEKVTSDGTNATGYIHTMSEHPLPEMDQKISNHTEPLSQSTNTKQSPLTTLIKLNQAKAFLNMDTVKMLQSQIEEEKASLEKTRKRLQLNMGEASSLEADLSKTVAQLQAAKAPKPTLEPHDIWLQMKQLNSEKEKHKKTVQDLKYEIGELTSTIEHTRSKTKTLQFRIIMAEKLKEASRRGEAFALADTEKRSNREDPTIATSDVKLSVIEHSALVAKAQESNDASRKKIDAAMQELDQANQCKVELLERVEEAMSAVETSRKVLEEALKREESANKAKVAAEEMLRRLRSDQIVQNWRQASNDSSVKFKTSATTPRRAVGSGIYDVNGLTLVTTAPKNVKTMSIGQILSMKLDSEFEATGKTATATPKRKKVSLGQMLSQKYEVYSPMRIDHDGASRKQFHPRRRRLGFVVYALLLAKQKHRKKQARASCTPGHCSFGSKVVV* >Brasy8G108900.1.p pacid=40080342 transcript=Brasy8G108900.1 locus=Brasy8G108900 ID=Brasy8G108900.1.v1.1 annot-version=v1.1 MGRSSPISISKDKARSQQESKSKPASSSVYTSQSERPSSPSFPSPSESNFSAPPVPLLCAAAAR* >Brasy8G059200.1.p pacid=40080343 transcript=Brasy8G059200.1 locus=Brasy8G059200 ID=Brasy8G059200.1.v1.1 annot-version=v1.1 MLDVLRLTAPSLLAILRSLPSVAALVLDLFSVDALDAAAGAGVPAYLCHTSSATYAAMFVCLPPYFATTEGSFKDMGEDELVRFPGAPPVLASDMARSVLVHGTSGIIRRADWPLRALPRGAGLADQHLRVAGGEGRERAARPRRCTAMGR* >Brasy8G106400.1.p pacid=40080344 transcript=Brasy8G106400.1 locus=Brasy8G106400 ID=Brasy8G106400.1.v1.1 annot-version=v1.1 MRNMANFGGVFWVAILVVMLPCLDVALGQSTDPSEVDALRAIKRSLLDPMNNLNNWNKGDPCTSNWTGVFCHNTNDAHLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNNLTGSIPKEIGSITTLKLILLNGNQLSGFLPDEIGNLQHLNRLQVDQNQILGPIPKSFANLISVKHIHMNNNSLTGQIPAELFRLPALVHLLVDNNNLSGPLPPELGEAPSLKIFQADNNNFSGSSIPTTYNNISTLLKLSLRNCSLQGAIPDLSGISELGYLDLSWNKLTGSIPTNKLASNITTIDLSHNMLNGTVPANFSGLPNLQLLSIEHNRLDGAVPSTIWNDIILTGNRSLVLDFQNNSLETIPAVYNPPQNVTVMLYGNPVCGNSNGALIDNLCQPKSVNQQTSKQKHDSGLNCSPCPADKDYEYNPSSPFSCFCAPPLGVGLRLKSPGITDFLPYEGTFGVNVTSLLKLFVYQLHIEHYIWEVGPRLNMQLKLFPSNTSLFNMSEVVRLRHVLAGWEITLPDMFGPYELLNFTLGSYADEYPNAASSGLSKVAFGGILAGTIAGAFALSTIATILIMRRRSRHRTVSRRSLSRFSVKIDGVRCFRFAEMARATNNFDLLAQVGQGGYGKVYKGTLDDGETVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSKRSPGFGLRLHIALGASKGILYLHTDANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTLAGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVNKAYQSGNISEIVDSRMGLCPPDCISRFLSLATKCCEDETDARPSMSEIVRELEVILRMMPEVDLVLLETPDTYSTDMSKSLSTSSATGTYFVSQTSGTGSVGASSGVLSGVLTPR* >Brasy8G056400.1.p pacid=40080345 transcript=Brasy8G056400.1 locus=Brasy8G056400 ID=Brasy8G056400.1.v1.1 annot-version=v1.1 MEAPHEDTPFDLAFHPTSPLVATSLITGELHLFRYADGLQPERLFAVKTHEESCRAVRFVDSGTVLLTGSADKSIVASDVETGKSIARLEDAHAEGINRLVCLTETTVASGDDDGCIKVWDTRKRTICNSFECHEDYISDMIYVSDSNQILATSGDGTLSVNSLRSNKVKSQSEFSEDELLSLVVMKNGQKVVCGTPSGALLLYSWGHFKDCSDRFLGHTQAVDTMLKLDEETLISGSSDGVIRLVGILPNKIIQPLAEHSEYPIEALAFSNDRKYLGSVSHDKILKLWDMEELLSGPQLHDNELAEANVADRHDEVALANGDGSGDDEMDVDDEPSASNGSRSKKKGKCKSSNGLAPDFFADL* >Brasy8G292100.1.p pacid=40080346 transcript=Brasy8G292100.1 locus=Brasy8G292100 ID=Brasy8G292100.1.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKEEYNLQKSVKKHVESLSREMESMNAALRKVAEVPREQLDEQVKLWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMELLSIGGDHISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWECINLAFSNRNNLGSRLITTTRKVSVSTACCSSADDSSYHMKPLSADDSKMLFHKRIFHDRCPADFEDVSSDILKKCGGVPLAIVTIASLLASSGQDIKPINEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDCYIERDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQLEACRVHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSPINSMRISQVRSVTIFPPAINIMPALSRFDVLRVLDVAECDIGESSWKLKDVGNLFHLRYLGLAGTGIRELPAEIGNLQFLQVLDLAGNRDLKELPLTVCKLRRLMFLVFFRDCEMPPDVLGNLTSLEVLKEIKASLNIVQQLSSLARLRELGIWFPDKSFDLYEPFVESLCNLKHLESLIIGCDSEPSPGLMDLLEEHSWVPPPSLHKFESHIPSKLSTLPAWIKRDPPRLSNLSELSLRVKGVQQEDMQILAGLPALRGLVIVSTHQTQRRLVISADGYRGVVWFVLRCGSGAQIMFEPGALPRAEYVDFSVGVRVARDDGNGKFELGLQGNMLSLRETYVVIFRDGATVGEAREAEAAVRRALQDHPNRPHVNIRMNPRIPEDDS* >Brasy8G292100.2.p pacid=40080347 transcript=Brasy8G292100.2 locus=Brasy8G292100 ID=Brasy8G292100.2.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKEEYNLQKSVKKHVESLSREMESMNAALRKVAEVPREQLDEQVKLWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMELLSIGGDHISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWECINLAFSNRNNLGSRLITTTRKVSVSTACCSSADDSSYHMKPLSADDSKMLFHKRIFHDRCPADFEDVSSDILKKCGGVPLAIVTIASLLASSGQDIKPINEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDCYIERDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQLEACRVHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSPINSMRISQVRSVTIFPPAINIMPALSRFDVLRVLDVAECDIGESSWKLKDVGNLFHLRYLGLAGTGIRELPAEIGNLQFLQVLDLAGNRDLKELPLTVCKLRRLMFLVFFRDCEMPPDVLGNLTSLEVLKEIKASLNIVQQLSSLARLRELGIWFPDKSFDLYEPFVESLCNLKHLESLIIGCDSEPSPGLMDLLEEHSWVPPPSLHKFESHIPSKLSTLPAWIKRDPPRLSNLSELSLRVKGVQQEDMQILAGLPALRGLVIVSTHQTQRRLVISADGYRGVVWFVLRCGSGAQIMFEPGALPRAEYVDFSVGVRVARDDGNGKFELGLQGNMLSLRETYVVIFRDGATVGEAREAEAAVRRALQDHPNRPHVNIRMNPRIPEDDS* >Brasy8G191400.1.p pacid=40080348 transcript=Brasy8G191400.1 locus=Brasy8G191400 ID=Brasy8G191400.1.v1.1 annot-version=v1.1 MRRGGGSVGGAFLLLGTLSGESSISYSNVVSHLMCRFCCLRFPLSAADFCCFRPDDVARRRQELHPLSIGAGISFCAMLHHTEAGICHKIWFAMYLEDSPHGAHNITAL >Brasy8G182300.1.p pacid=40080349 transcript=Brasy8G182300.1 locus=Brasy8G182300 ID=Brasy8G182300.1.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRFPCCN* >Brasy8G182300.11.p pacid=40080350 transcript=Brasy8G182300.11 locus=Brasy8G182300 ID=Brasy8G182300.11.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRFPCCN* >Brasy8G182300.7.p pacid=40080351 transcript=Brasy8G182300.7 locus=Brasy8G182300 ID=Brasy8G182300.7.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRRLQ* >Brasy8G182300.3.p pacid=40080352 transcript=Brasy8G182300.3 locus=Brasy8G182300 ID=Brasy8G182300.3.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRRLQ* >Brasy8G182300.4.p pacid=40080353 transcript=Brasy8G182300.4 locus=Brasy8G182300 ID=Brasy8G182300.4.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRRLQ* >Brasy8G182300.8.p pacid=40080354 transcript=Brasy8G182300.8 locus=Brasy8G182300 ID=Brasy8G182300.8.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRRLQ* >Brasy8G182300.5.p pacid=40080355 transcript=Brasy8G182300.5 locus=Brasy8G182300 ID=Brasy8G182300.5.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRLQ* >Brasy8G182300.6.p pacid=40080356 transcript=Brasy8G182300.6 locus=Brasy8G182300 ID=Brasy8G182300.6.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSARYPSSTLVFYEDAPLLLTIIFFISCGDNRVRPPAPRLVPQQFAACRLQ* >Brasy8G182300.12.p pacid=40080357 transcript=Brasy8G182300.12 locus=Brasy8G182300 ID=Brasy8G182300.12.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSASTAACTSSCAPTICGLQIPLLQLMGVMYTGDIHVH* >Brasy8G182300.9.p pacid=40080358 transcript=Brasy8G182300.9 locus=Brasy8G182300 ID=Brasy8G182300.9.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSASTAACTSSCAPTICGLQKVAVIKQVNF* >Brasy8G182300.10.p pacid=40080359 transcript=Brasy8G182300.10 locus=Brasy8G182300 ID=Brasy8G182300.10.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSASTAACTSSCAPTICGLQKVAVIKQVNF* >Brasy8G182300.2.p pacid=40080360 transcript=Brasy8G182300.2 locus=Brasy8G182300 ID=Brasy8G182300.2.v1.1 annot-version=v1.1 MDLPSSASPASGAHSSKSAASLPSGAHTCEAAASATSGAHKFETSASPARGSYSFETATSPPSCTHTSETVSQTGSTPLHSSSPATACFCTPTSSTSASTAACTSSCAPTICGLQKVAVIKQVNF* >Brasy8G289500.1.p pacid=40080361 transcript=Brasy8G289500.1 locus=Brasy8G289500 ID=Brasy8G289500.1.v1.1 annot-version=v1.1 MTQNYQNLLTEIITHIRQIWLSRNVEGNGLIEEILSLPKGEGHFVIALLPAVNWTRANLGIVRLLFSFNNLYCIGFHRNTNWFIFSDHYKELEESLRGTTAIPLSFSGNYNNLDADFTSINLGVYAIFEIYKGIAGFPGSLIKGPLVISSVTFAEAIRFPRFRRYMLNRMSMFASTPENIEEFSDHFTNWSFYCNSIREGRENFTGSPTDGTYSFDDLLELVGMIL* >Brasy8G221900.1.p pacid=40080362 transcript=Brasy8G221900.1 locus=Brasy8G221900 ID=Brasy8G221900.1.v1.1 annot-version=v1.1 MRNSTAAALLLLLLLLVSPAAAATWTISSREVSYRERRDEEARLVFGAWKANYGKTYGSIGEEERRYAIFKEVLRSVDQHNAAREAGIDVPRIALNGLADLTTEEWNVMCCGGLPQDPGLPSAGGRKERGGRSTRGIHYFMHLHRAYRAAVASIWHWCVGVLGGESLKGAQRAGDAAGWERDSPQGMAAAQGAVVSQGRDGA* >Brasy8G100600.1.p pacid=40080363 transcript=Brasy8G100600.1 locus=Brasy8G100600 ID=Brasy8G100600.1.v1.1 annot-version=v1.1 MAPHGDRRKGKGPARPGKPELRPNRKEFKNHRNEEVEKGGGGKQQEQETEPHSAALFTADDDGAFPRGGRSLLSRDEMAEARTEADAEFEKEERRGAKKRKSNVSLGIDADDDLGTLFGGATTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDVIVSLPGGMRGFVRSDEVSDLALHGNHKDTESSICAEVVHVGQLVPCVVLRVDDDKKEGKVNKRVWLSLRLTLIYKGLSLDGIQDGMVLTAQVKSVEDHGYILYFGVSIFSGFMPKCDKETVKIESGQLVQCVVKAIDKARAIIHLSCDKDLLSKSIIKDLKGLSIDHLIPGMMMNARVHTVLENGVMLSFLTYFTGTADIFNLSNSFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNQHLLRLEVPPVNVKVGEIYERSRVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKKVEKKFKEGSITRVRVLGVRHLEGVALGTLKDSAFEGSVFTHADVKPGMVVRAKVVTVGPLEAIVQFAGGVKALCPLRHMSELDNVVKPPKKFKVGAELLFRVLGCKSKRITVTYKKSLVKSKLEVLASYADAKIGLVTHGWITKIEKHGCFVRFYNGVKGFVSRSELGLEPGTEAGSVYHVGQVVKCRIVSVVPASMKLNVSFATSSNRIVQADTAKVGTIVSAVVERLTPAAVVVSVNGFSKGSILDEHLADHHGQAAQLKNLLKPGHEFNQLLVLDTEGQNLILSAKQSLINSANDIPSEISQMQAGAVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDIPMEKLSAAFFVGQSVRSHILNVNAESARLKLSLQQSVCSSPDCSFMQGYFLLDQKIAEMKYSGSSTSHDWKESLGIGSLVKGEVGAVEEYGVILNFKDHPDVVGLIEHHQLGGSTVKVGSSVKGLIVDLSDGVVNLSLKPELIGSVSMDGKKKKRHRAAVLDLELHEEVNAVVEIVKESYVVLSVPEYNHAIGFAPLMDYNSQLLPHHHYDNGQRVTVVVGSIPSSDPSGRLIRLILLLKASGQGSGLSSSKKAKKLSDKVGSLVEAEVIDIKPLELIVKFGVNHHGRIHITEVLEDDCSEHPFSKLRIGQKVHARIVAQAEHSANSGRKLKWELSIRPSVLQGESKQLNALENKSNHSVNGIVRAYVVKVDREWVWLTVSRNVMAHLFILDSSVEPIELKEFQQRYSVGQAVKGHIIGVNREKKLLRLKALDNQAMLKNIDDTQKPISSIAEHTKEGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVPEPLSGFHEGQFVKCKVLAVSRPSEGSVRVELSLRSSILCTSHDQLRKLVDDSATCTTRFEKVNDLSPGSEVKGYVKNVNAKGCFIMLSRMIEARIILSNLSDEYVENPQKDFSVGMLVHGRVLSAEPLSGKVEVSLRKGTGSKSQKSDGISYSDLHVGDIIDGQVKRVESYGLFVTIQSSELVGLCHVSELSDEPVLDINSCYKAGDMVKAKILKIDEERRRVSLGLKKSYFVSGLTDSINDDDDDDDDERVPMDINHAPEMSSDLNRALVVPEAEPRASVLPLQVSLDEYEGSDQDSDDKGPKIANGTGSIVQKSDKRLKEKARKQRELDISALEERALQKDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVEKARSVAERALRTISMREEEEKLNVWVAYFNLENEYGCPREDAVKKIFQRAMQYCDPKKVHLALLGMYERTDQHELADELFDRMTKRFKTSCKIWLRRIQFSLKQGRDVEYIKSIINRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTDVIRALFDRVTCLSLPPKKMKFLFKKYLAYEKSQGDRGRMELVMQKATEYAKISQA* >Brasy8G014700.1.p pacid=40080364 transcript=Brasy8G014700.1 locus=Brasy8G014700 ID=Brasy8G014700.1.v1.1 annot-version=v1.1 MLIPVLPALAEKLISEKPIVSSGHLPRCFRCRLDPSCLGLNNWKVLTDSAWRIGAKGLESTCLKGGSALTLKKIYESLAKRLSGKLLMETANYEIKKELVKQLALDKFYLGFPTINYCFCPHLENALAKHDIKTDSAVNLESRASLLAAKQGLARAAARYVGPRSVMTLIGPIMWGTLFADIVIQMLGTDYARIVQAIYAFAQIRLTRSCSIESHEE* >Brasy8G171900.1.p pacid=40080365 transcript=Brasy8G171900.1 locus=Brasy8G171900 ID=Brasy8G171900.1.v1.1 annot-version=v1.1 MAISHRSGSSGCLALAVVVICILLPTCISNKISLETFDRACHCFDDHNVYKECAEELRLGVEGAFHVGSGSVEEYCGGACLAETELALQCVEENIADDEAEGYSFRFSNGASLPAVKAALHTGCSYTPERGTFEIRGERTECGGEYGVHERTQLGYHEEGGQQQYRGGAFGDYCSGAAAPSLAHMHMTLLLIIFVISASTLLAVV* >Brasy8G057400.1.p pacid=40080366 transcript=Brasy8G057400.1 locus=Brasy8G057400 ID=Brasy8G057400.1.v1.1 annot-version=v1.1 MDNLQEEGDRGRHIMQDMPMQPDDELQLQSVHQLQYLQSSPPMAALMEQLQASSACDSAAAAGIPELVDWASLLLPLGSAAAGTSSQSQQQAEAAAGVGGPEAETMMMGGGGAGAGVSGGVGGGEEQGMKGNNGAAGGGRGKGGRKGKEKVSRPRFAFQTRSENDVLDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCNVKKQVQRLAKDTAVVVTTYEGVHNHPCEKLMEALSPILRQLQFLSQL* >Brasy8G053200.1.p pacid=40080367 transcript=Brasy8G053200.1 locus=Brasy8G053200 ID=Brasy8G053200.1.v1.1 annot-version=v1.1 MEAVLSSSRFFSSALLPTLLEHTVPKPLNPPRRRVRTLSSADASAPSPSCSSTPAPLRPPSSKLSRLLSAALRGGRAGGEQLPDLAAAGAVVAGGGIGGGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGVVAFAAAQAAKAVLASVVERRWRPRMLFSSGGMPSSHSALCTALTASVALCHGVGDSLFPVCLGFSLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPLQVFAGAFLGILVAWFCCQGCIIAI* >Brasy8G053200.2.p pacid=40080368 transcript=Brasy8G053200.2 locus=Brasy8G053200 ID=Brasy8G053200.2.v1.1 annot-version=v1.1 MEAVLSSSRFFSSALLPTLLEHTVPKPLNPPRRRVRTLSSADASAPSPSCSSTPAPLRPPSSKLSRLLSAALRGGRAGGEQLPDLAAAGAVVAGGGIGGGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGVVAFAAAQAAKAVLASVVERRWRPRMLFSSGGMPSSHSALCTALTASVALCHGVGDSLFPVCLGFSLIVMYDATGVRRHAGMQAETWS* >Brasy8G076000.1.p pacid=40080369 transcript=Brasy8G076000.1 locus=Brasy8G076000 ID=Brasy8G076000.1.v1.1 annot-version=v1.1 MAPKLRLGLARRHASKLELNCAEEPEFAAIDSLADVVANICRDTMESSCDERIAVLRSIGENCVYGGELRLLLKKKEAPICYVWFEPTPWMDITQGLLKTIYVNKMVKAGYIVKILIADWFAPRNYKICNNKSKIRNIGYYNIEMWKAAGMDLDRVEIVWLSDELERHAPDYWSLALDVSRKYTMKRMARFCVDPAPFGGPQVLPAADIFYPCMQVAATLCQKLQADIWLFSMDQRDIAVLTREYCEDIKRETKPTIMLHNILPNLVDDPYLDHYYLYNMRDPKWNIFMHDKEHVVHRIRRAICPPKVAVSNPCLEYVRYVIFPWFGKFEVQKERDGSNKAFACMEELIVDYESGDLDPVDVKLALERGIYKILEHVDDYFNSKAEAQTVIMVLKDQIEPTVSADQLKIYRQNEDIIHPGYYTTVPWTMRCNKSPREEEDLNSWVILS* >Brasy8G016500.1.p pacid=40080370 transcript=Brasy8G016500.1 locus=Brasy8G016500 ID=Brasy8G016500.1.v1.1 annot-version=v1.1 MAAAAGAVLRLRLLFRMLRVGELLALAVLLSWPSSRAPSAAARAAGSLLFSPRFVFVLGNAIVLLLLALSKRQDPPKSSSSSPSAGGQIPAAASFSSSPAPVPMAAQARESPAAEAAEPVAVVELPPPAMATVFEEEAVTKPAVASVARVVVGNNGAGAEAEQVGEDGPRRVAGDDDSGGAAAVGVGQRAAAVVRDGWGKEDADDFRRTVEAFIARQTRFRLEEEESMAVVVPGAGGRRDIALATGAGALVAVE* >Brasy8G237800.1.p pacid=40080371 transcript=Brasy8G237800.1 locus=Brasy8G237800 ID=Brasy8G237800.1.v1.1 annot-version=v1.1 MEQTAPAAPEPMLLDDQPPTAVACEKQKQQDGEAPYAEGNDAMTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSINHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVAGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELRELNARLPNGRPFPPLFNFKHELANASADLINRLVPEHVRRQPGFNFFHAGS* >Brasy8G237800.2.p pacid=40080372 transcript=Brasy8G237800.2 locus=Brasy8G237800 ID=Brasy8G237800.2.v1.1 annot-version=v1.1 MEQTAPAAPEPMLLDDQPPTAVACEKKQQDGEAPYAEGNDAMTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSINHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVAGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELRELNARLPNGRPFPPLFNFKHELANASADLINRLVPEHVRRQPGFNFFHAGS* >Brasy8G129000.1.p pacid=40080373 transcript=Brasy8G129000.1 locus=Brasy8G129000 ID=Brasy8G129000.1.v1.1 annot-version=v1.1 MKGWEIKVRDPWSNGTMSFLPKLQQILCRRSLPRSKFFYRSESPNQLPPPSCGLTAPPPHPLALPQPSPPPHPLPAASSTHPQGPPHRTSRTACVAAFSNRVAAGLCATLDHLLRATQAPVECFRESDFTSPAGAEDAQSCRFEAKQDAGTCYKWACK* >Brasy8G017700.1.p pacid=40080374 transcript=Brasy8G017700.1 locus=Brasy8G017700 ID=Brasy8G017700.1.v1.1 annot-version=v1.1 MARKMARASYGKGLYRGCSWPEVVGLKVQKAKRIISKGKPELHFQVARENQLLTMSGVTGRVRLIVNRSNRVVRTPNVG* >Brasy8G017700.2.p pacid=40080375 transcript=Brasy8G017700.2 locus=Brasy8G017700 ID=Brasy8G017700.2.v1.1 annot-version=v1.1 MARKMARASYGKGLYRGCSWPEVVGLKVQKAKRIISKGKPELHFQVARENQLLTMSGVTGRVRLIVNRSNRVVRTPNVG* >Brasy8G017700.3.p pacid=40080376 transcript=Brasy8G017700.3 locus=Brasy8G017700 ID=Brasy8G017700.3.v1.1 annot-version=v1.1 MARKMARASYGKGLYRGCSWPEVVGLKVQKAKRIISKGKPELHFQVARENQLLTMSGVTGRVRLIVNRSNRVVRTPNVG* >Brasy8G073200.1.p pacid=40080377 transcript=Brasy8G073200.1 locus=Brasy8G073200 ID=Brasy8G073200.1.v1.1 annot-version=v1.1 MRGPAAAAVRLGAHRILVLAVVVAAAHAEEVVACKQQCAGQRRFGEPELRYCAERRDPEKQRDRCLHECRVGPSSREMKPGCERRCLDEYDRATRGGDVPPGRNFRFLVWPAQAPDFLSFH* >Brasy8G018900.1.p pacid=40080378 transcript=Brasy8G018900.1 locus=Brasy8G018900 ID=Brasy8G018900.1.v1.1 annot-version=v1.1 MMPKPFAQAPFLRAAIIVVVPFFLLALIYFVVFPNDYRLQAWLSPCPRNDTSAQQLIGADAYTAVAFRVLLGVLTLPSSYERRALLRLAYKLQPPPSGAAVDVRFVFCNVTKEEDAVLVAMEIIAYDDILVLNCTENMNDGKTYDFFSAVPRLFADEVVPSYDYVGKVDDDIYYRVGPLADTLRGKPRQDMYHGFLLPCGVERKPGVDGFMAGWGYIVSWDVAVWISETEELGDDVKGPEDMTFRRWLRRGGKGRNVYGEDPARMYDYLDYRWPDGLSCFRHALVPDTIAVHYLKNRFRWARTLKFFNVTMGLKPSKLYHLDL* >Brasy8G035500.1.p pacid=40080379 transcript=Brasy8G035500.1 locus=Brasy8G035500 ID=Brasy8G035500.1.v1.1 annot-version=v1.1 MFRFLSSRSILVPSLDVFQRFHSREGQRGNDAGLKDFSEHARLKEQNDEACFKGHCEHARLKEHNDEAGFKEHCEHARLKEHNDEAGFKDREIVRLNEQNEKLSKGAVAEKPKLVDWRKRGAISPVRAQFKCGCCWAITGSQLVEACRFIETGLLEQLSVQQLVDWDLKNAGCTGGQPQKCL* >Brasy8G176000.1.p pacid=40080380 transcript=Brasy8G176000.1 locus=Brasy8G176000 ID=Brasy8G176000.1.v1.1 annot-version=v1.1 MASHRPPAATSPEAPPTVPAVAPKVEAESPSEARNPTSLGCPPSPEMEATAEALTREEVLRRRRRRTARLFGVYRRMYWAMAEEVRARHRQYVWELGRSPLEAEQPPSADGAEVKPGPAAVPRRKKCGFTGCKVRAMAMAKYCHSHILADPSQSLYKGCAFIMRSGAQIGQITCSRPILKASVPSLCNVHLIRSQKHIVQAYKKVGFNPSSNGKVSPDFSLLVAECVRQIQQKRRESRSAPAW* >Brasy8G176000.2.p pacid=40080381 transcript=Brasy8G176000.2 locus=Brasy8G176000 ID=Brasy8G176000.2.v1.1 annot-version=v1.1 MASHRPPAATSPEAPPTVPAVAPKVEAESPSEARNPTSLGCPPSPEMEATAEALTREEVLRRRRRRTARLFGVYRRMYWAMAEEVRARHRQYVWELGRSPLEAEQPPSADGAEVKPGPAAVPRRKKCGFTGCKVRAMAMAKYCHSHILADPSQSLYKGCAFIMRSGAQIGQITCSRPILKASVPSLCNVHLIRSQKHIVQAYKKVGFNPSSNGKVSPDFSLLVAECVRQIQQKRRESRSAPAW* >Brasy8G029900.1.p pacid=40080382 transcript=Brasy8G029900.1 locus=Brasy8G029900 ID=Brasy8G029900.1.v1.1 annot-version=v1.1 MCQRAGFGDLAGIEGGPAGGGARAAARGGEAPWGDAGGRGGEEDGSAAPRATGAAAVSSFDLGGNWWASGKQRWGRGSTVGRCAGASRRSGRQSGDGGGAVRWPESAPAPAVREVERAEISGLWQLASRVGYGYG* >Brasy8G013900.1.p pacid=40080383 transcript=Brasy8G013900.1 locus=Brasy8G013900 ID=Brasy8G013900.1.v1.1 annot-version=v1.1 MSFREESGGEDGGGGASDLRKPLLHTGSWYRMSAAAGLGSRQSSSLMDRLGSSAYALRDGAVSAVLCTLIVALGPIQFGFTCGYSSPTQDAIIADLGLSLSEFALFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQNMRGALGAVNQLSVTIGILLAYTLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDITAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGSGLLVLQQLSGVNGILFYAASIFKAAGIQNSNLATCGLGAVQVVATGITTWLTDKAGRRLLLIISTTGMTITLIVVSVSFFVKDNVTEGSHLYSVMSMLSLAGLVAFVISFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWMTSWLITMTASLMLSWSNGGTFAIYAAVCTGTVLFVCLCVPETKGRTLEEIAFSFR* >Brasy8G086100.1.p pacid=40080384 transcript=Brasy8G086100.1 locus=Brasy8G086100 ID=Brasy8G086100.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDEDYEEDEDLQAEEE* >Brasy8G170500.1.p pacid=40080385 transcript=Brasy8G170500.1 locus=Brasy8G170500 ID=Brasy8G170500.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLCLRGGSRGHYIIKEPSLLQLALKYNEKKMVCRKCYARLPIRATNCRKKKCGHTDHIRLKKKFINSLSM* >Brasy8G185300.1.p pacid=40080386 transcript=Brasy8G185300.1 locus=Brasy8G185300 ID=Brasy8G185300.1.v1.1 annot-version=v1.1 MPDSSNTAMPDSSNTAMRHNDCVVTQDAGSTVTSTVPEKKNDSKPLQVTIKPNAGTMVLSEEMEYINYIKSKSSAEEEELIKIHDLSLNYKILKSLTDDRAWMEGDVVSAYIYHLRTQNNMLTRAGGKVFLETSIGSAYLKRDGGNNEDGTDIDVDPSERKRQWIVNMIIEYFDSDMVFPPINMKYSHWYLASINAPKRVIQVLDSFGVTMNRVDLHKTLKGLTKNIEIAQQAIPDITCNRWTDMDVSKWRVEEMLQTKTQTDSSSCGLFMLKYMEHFTGHELAEPVEQSDMSAFRRKIPLILFNTDLNTNLRIFFECDEDPTPEITEPVDTTKGTSMGVVTGTSEITDPTFTTKKCISGVFPGISEVTAKQLQDGLEPEKIIVVGFLRNLGWGEGYAHFDIDDCTGTTLVPFLKWVKDDADEKQAAALEDGKYFSVIAYFAPMKTKGHATVILVRPITDFNEVPLHFLKCIRNEIERGR* >Brasy8G037200.1.p pacid=40080387 transcript=Brasy8G037200.1 locus=Brasy8G037200 ID=Brasy8G037200.1.v1.1 annot-version=v1.1 MSVCPTTHGYLLARDPVSQATFLWCPQKSSKIELPPLGPGNEEDDDLLLDCTCVLSDKPTAPSCVVLLLQPYHPFLWYCHVRGGNSNNRQWIKHEYDLGTYPDWPDNKILIGPVAARRGKFYFNSEKTGLGVIDFFSSTPAAAPVFGSIANVFDEIFMSYGPAEHVSGRLYLVESNDDLYMVRLRPWIWRQDEETSHGSAGAATVHRMDFPNQRWRKAAHLRGCTFLLSKYYFGASCPDGCKIGLRPDCVYIAWPGRKELEIHNVKDGSIEVQELDEPPGSHKIFWVLPADLSRK* >Brasy8G016000.1.p pacid=40080388 transcript=Brasy8G016000.1 locus=Brasy8G016000 ID=Brasy8G016000.1.v1.1 annot-version=v1.1 MASCPALQEGAMAGVPLRVENFLPVQLLLLASLGLQVTLFLCAGVRRIDTSGGWLRWPLLWLAYNSADAVSTATMSRLWFTKSNHPLVVLWAPFLLLHLAGPDNIAAYALQDNQLWLRNLLSFFYWVIQGAYLLYANRDGSGNFVLLASRLMFVVGAVKYGERCLALQRGNLGSIRDSVNKYRSTMHSHHFHPQDIVLENVYGADLLDKESHLRRAHLLIDICKRAIVGSSEKDQHMEKMMCYVEYWTLVEMELSIMYEILYTKAAVIHTWHGYSIRVLSPFTILTSLLMYQFSGEVDWQRGLVDTAVTYVLFGAALSMESISLLNTLGSSWMLAYLTSTRFSWLRYKVLCSRKWHLLRNKVVSLSRLVTCGRCRYKARRWSGKMGQYNMLHFCTRPNTPLTRPLLGTLAMLVGLGELWNRKHFSGTISFLDHVLIKVFIAEHAERLYMKAGGLNTLGMVKRPWAMTALRHHGLQEKFEEEKILGDEFQEAIIIWHIATDFFLAECKSANPNVDLVKAIKGLSNYMMFLLVERPYMLPGIAQSKLYERICAALTKVVSHRPTSICSLLRSLFRCHDDPAASNSRATDSKILAEKLYHMHKGQKFSYETVRLTYSAKVAEILLAYQEDHGHIKSLNLLLEVWADMLVYAGNKCSRESHAKKLSSGCELTTIAWLFLEHRHQGGLEQDGHI* >Brasy8G068900.1.p pacid=40080389 transcript=Brasy8G068900.1 locus=Brasy8G068900 ID=Brasy8G068900.1.v1.1 annot-version=v1.1 MALWRRSYSSWLSCSSSCSRSPAGAVVGGNEAKVSPEIAPEEDAGRQEEADEERWSRLLPELLTEIVRRVDARADRWPPRRDVVAVACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKNNSNFYLYLSLTQALTDKGKFLLAARRFRHGAHTEYIISYDSDDLYPGSNSGVGKMRSDFLGTKFIVYDSQQPYDGAKLLKSRSSRRFASKQISPHVSGGSFEVGQVTYKFNFLKSRGPRRMQCNIQCPVDQATVSDPSKEKPQSTSSSLSLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSNPWGVGDEETVILQFGKIEDDAFTMDFRYPLSAFQAFAICLTSFGTKLACE* >Brasy8G121400.1.p pacid=40080390 transcript=Brasy8G121400.1 locus=Brasy8G121400 ID=Brasy8G121400.1.v1.1 annot-version=v1.1 MGRPGYLTLPIFSVLAAIGYVYYTTVFVAVAGWLGLATAAGVANAAAFTALAAACLTTYAVAVCRDPGRVPPAFLPDVEDAETPVHEVKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVTASFYSMILIIGSVMHSVPKDEQSGSDSSKTSIIICGVILCPLTLALTFLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGRSIFCWFCPVSNNTGNGLRFRASYDLTLSKPAM* >Brasy8G121400.3.p pacid=40080391 transcript=Brasy8G121400.3 locus=Brasy8G121400 ID=Brasy8G121400.3.v1.1 annot-version=v1.1 MGRPGYLTLPIFSVLAAIGYVYYTTVFVAVAGWLGLATAAGVANAAAFTALAAACLTTYAVAVCRDPGRVPPAFLPDVEDAETPVHEVKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVTASFYSMILIIGSVMHSVPKDEQSGSDSSKTSIIICGVILCPLTLALTFLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGRSIFCWFCPVSNNTGNGLRFRASYDLTLSKPAM* >Brasy8G121400.4.p pacid=40080392 transcript=Brasy8G121400.4 locus=Brasy8G121400 ID=Brasy8G121400.4.v1.1 annot-version=v1.1 MGRPGYLTLPIFSVLAAIGYVYYTTVFVAVAGWLGLATAAGVANAAAFTALAAACLTTYAVAVCRDPGRVPPAFLPDVEDAETPVHEVKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVTASFYSMILIIGSVMHSVPKDEQSGSDSSKTSIYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGRSIFCWFCPVSNNTGNGLRFRASYDLTLSKPAM* >Brasy8G121400.2.p pacid=40080393 transcript=Brasy8G121400.2 locus=Brasy8G121400 ID=Brasy8G121400.2.v1.1 annot-version=v1.1 MGRPGYLTLPIFSVLAAIGYVYYTTVFVAVAGWLGLATAAGVANAAAFTALAAACLTTYAVAVCRDPGRVPPAFLPDVEDAETPVHEVKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVTASFYSMILIIGSVMHSVPKDEQSGSDSSKTSIYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGRSIFCWFCPVSNNTGNGLRFRASYDLTLSKPAM* >Brasy8G117300.1.p pacid=40080394 transcript=Brasy8G117300.1 locus=Brasy8G117300 ID=Brasy8G117300.1.v1.1 annot-version=v1.1 MRARPWIALPFSCPLEKRREESNKAESEKRVETQHGKLVRSSSEASQVEMASGWRGGEEEEEYIDMDLSSGTREEFEFHMSAPLDRSGHPPLASPADELFYKGKLLPLHLPPRAQMVEDLLLLDDHGGGGRGRGRVLAVSTAPATPCERSPGAGSCCALSGELNVEEFFREYYYNAAGNEDDDDESVCQGKKKPWSRKLRFVSLGGFLKLKAPKAYLKTMFAATAKPSAGNTGGVLGATRGSAKELIPSSHGSHGGRAWRKPKKNPFFSGQARSSIPEQSTANKEREHGHRRSFSSVIVRYSASSKTSPAPPSSSSSSSSSSSSVRSSSGSDGGGAGPALRRSSSASSEAENNPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSSAASKVAAESDGLHDELVDICRSG* >Brasy8G010100.1.p pacid=40080395 transcript=Brasy8G010100.1 locus=Brasy8G010100 ID=Brasy8G010100.1.v1.1 annot-version=v1.1 MASPPDEADDNGGVRSERGKKSYMAETRQCLVLARDSLPRDVYDEFVKTMTEIWKRSVDPDGEIRNISIESCKETVMELFQGQPEVRQAFVYFTQGLSPFTDKAIQMQNPLDFILRLKRNPDITNEEYTAIVKTLLAYKTQRGTMTVADVFHNVKKCLSNCPELLDEFIKVYLPPRLNVALPDEESCRNLKPGRVGEAISSITPVAYHNFDGILMEDNDSEEGYEEPPCAEEGEEDKVEPLPDWIPSREKEFPPKADITNCKRYTRSYYLLPDNCITLRSSYQTELGRSIFNDRLVCSTSGSERSDSSKCKTKNESSAGSEESDSCKPKIENKFESTISACEEEMFESDMLLHWFSETADFISNLQHHVDRDLKISEHLSPLHRKCIQKLYNDDYDHYCLLESKNTSAALAVLLSRLNQKVEDLSEARLCLHKTRSQVIAKNYYKSLDHHGPSFKILDTKRMSQKALLVEAKEVNKTRLNVADEYANSEMHEDIRSIISSACASDEKQMMTWTKLVHPFLSANCLWPDYLKETVAHKEACEDCGINKDFLGSIPNDSPADKLSLIPKSGGKNSIEHSSSHDVFDAEIEEGEFIPDKEPASSDVSASVGDGLSFRCLANDTSRPSTSDHGNEPEMQHESRQHSRRTAKPRGVKGGTCCSLMVLCRLYQILYERLQTARNLCTSDLYAEFKEKLCRLLDHSIGNCNFEDFCLKFLGPKSFELFTLDKVVKRVTKQLCILSSSDQENSLLQFLERLRRPVQPKKLPQHRSSPTQQSNGLPKHDREEQEKASIDDTGKLTSRHFQRRKKRKLENSPGSCSQLGVKDSSS* >Brasy8G010100.2.p pacid=40080396 transcript=Brasy8G010100.2 locus=Brasy8G010100 ID=Brasy8G010100.2.v1.1 annot-version=v1.1 MASPPDEADDNGGVRSERGKKSYMAETRQCLVLARDSLPRDVYDEFVKTMTEIWKRSVDPDGEIRNISIESCKETVMELFQGQPEVRQAFVYFTQGLSPFTDKAIQMQNPLDFILRLKRNPDITNEEYTAIVKTLLAYKTQRGTMTVADVFHNVKKCLSNCPELLDEFIKVYLPPRLNVALPDEESCRNLKPGRVGEAISSITPVAYHNFDGILMEDNDSEEGYEEPPCAEEGEEDKVEPLPDWIPSREKEFPPKADITNCKRYTRSYYLLPDNCITLRSSYQTELGRSIFNDRLVCSTSGSERSDSSKCKTKNESSAGSEESDSCKPKIENKFESTISACEEEMFESDMLLHWFSETADFISNLQHHVDRDLKISEHLSPLHRKCIQKLYNDDYDHYCLLESKNTSAALAVLLSRLNQKVEDLSEARLCLHKTRSQVIAKNYYKSLDHHGPSFKILDTKRMSQKALLVEAKEVNKTRLNVADEYANSEMHEDIRSIISSACASDEKQMMTWTKLVHPFLSANCLWPDYLKETVAHKEACEDCGINKDFLGSIPNDSPADKLSLIPKSGGKNSIEHSSSHDVFDAEIEEGEFIPDKEPASSDVSASVGDGLSFRCLANDTSRPSTSDHGNEPEMQHESRQHSRRTAKPRGVKGGTCCSLMVLCRLYQILYERLQTARNLCTSDLYAEFKEKLCRLLDHSIGNCNFEDFCLKFLGPKSFELFTLDKVVKRVTKQLCILSSSDQENSLLQFLERLRRPVQPKKLPQHRSSPTQQSNGLPKHDREEQEKASIDDTGKLTSRHFQRR* >Brasy8G090000.1.p pacid=40080397 transcript=Brasy8G090000.1 locus=Brasy8G090000 ID=Brasy8G090000.1.v1.1 annot-version=v1.1 MFAPSLPNPSSLAPLLPWISPLFLIPLLYLFFIRSSSSKRETQGAARNNGPPVPGPPKQLPVLGNLLQLGSRPHRYFQAVAREYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGARTLSYNFLDVAFGPYSDYWRDMRKLLVLELLSTRRVQSFAYARAAEADRLLRSLTGTPRGTPVDLSEKLYALSDGIIGTVAFGKTYMSDSFDRSSFQGMMDETLRVLGSFTFEDFFPSSALARCADFATGAAARRRRVFRKIDAFFDAVIDKHLEPERLAAGVQEDLVDALVKLWKDQDGPLALTRDHIKGILMDTFAGGIDTCAVTTIWIMSELMRNPRVMRKAQAEAAPTGNPADPKGDDAELRGRGVRRARGHQDPRERLGHGEGPGDMGQAGGVLP* >Brasy8G090000.4.p pacid=40080398 transcript=Brasy8G090000.4 locus=Brasy8G090000 ID=Brasy8G090000.4.v1.1 annot-version=v1.1 MFAPSLPNPSSLAPLLPWISPLFLIPLLYLFFIRSSSSKRETQGAARNNGPPVPGPPKQLPVLGNLLQLGSRPHRYFQAVAREYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGARTLSYNFLDVAFGPYSDYWRDMRKLLVLELLSTRRVQSFAYARAAEADRLLRSLTGTPRGTPVDLSEKLYALSDGIIGTVAFGKTYMSDSFDRSSFQGMMDETLRVLGSFTFEDFFPSSALARCADFATGAAARRRRVFRKIDAFFDAVIDKHLEPERLAAGVQEDLVDALVKLWKDQDGPLALTRDHIKGILMDTFAGGIDTCAVTTIWIMSELMRNPRVMRKAQAE* >Brasy8G090000.5.p pacid=40080399 transcript=Brasy8G090000.5 locus=Brasy8G090000 ID=Brasy8G090000.5.v1.1 annot-version=v1.1 MFAPSLPNPSSLAPLLPWISPLFLIPLLYLFFIRSSSSKRETQGAARNNGPPVPGPPKQLPVLGNLLQLGSRPHRYFQAVAREYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGARTLSYNFLDVAFGPYSDYWRDMRKLLVLELLSTRRVQSFAYARAAEADRLLRSLTGTPRGTPVDLSEKLYALSDGIIGTVAFGKTYMSDSFDRSSFQGMMDETLRVLGSFTFEDFFPSSALARCADFATGAAARRRRVFRKIDAFFDAVIDKHLEPERLAAGVQEDLVDALVKLWKDQDGPLALTRDHIKGILMVLRLISINSLESLTMSSFFTAGHICRWN* >Brasy8G090000.2.p pacid=40080400 transcript=Brasy8G090000.2 locus=Brasy8G090000 ID=Brasy8G090000.2.v1.1 annot-version=v1.1 MFAPSLPNPSSLAPLLPWISPLFLIPLLYLFFIRSSSSKRETQGAARNNGPPVPGPPKQLPVLGNLLQLGSRPHRYFQAVAREYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGARTLSYNFLDVAFGPYSDYWRDMRKLLVLELLSTRRVQSFAYARAAEADRLLRSLTGTPRGTPVDLSEKLYALSDGIIGTVAFGKTYMSDSFDRSSFQGMMDETLRVLGSFTFEDFFPSSALARRTWWMRW* >Brasy8G090000.3.p pacid=40080401 transcript=Brasy8G090000.3 locus=Brasy8G090000 ID=Brasy8G090000.3.v1.1 annot-version=v1.1 MFAPSLPNPSSLAPLLPWISPLFLIPLLYLFFIRSSSSKRETQGAARNNGPPVPGPPKQLPVLGNLLQLGSRPHRYFQAVAREYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGARTLSYNFLDVAFGPYSDYWRDMRKLLVLELLSTRRVQSFAYARAAEADRLLRSLTGTPRGTPVDLSEKLYALSDGIIGTVAFGKTYMSDSFDRSSFQGMMDETLRVLGSFTFEDFFPSSALARRTWWMRW* >Brasy8G297300.1.p pacid=40080402 transcript=Brasy8G297300.1 locus=Brasy8G297300 ID=Brasy8G297300.1.v1.1 annot-version=v1.1 METTVLSVGRSVLDGALGYAKSALAEEVALQLGVRCDQVFITNELEMMKAFLESAHEEGADDKVVKVWVKQVRDVAYDVEDCLQDFAVRLNKQSWWRIRRTLLDRRRVAKQMKELRANVEDVSQRNTRYSLIKGSGSKAATVTDQSAVAGATMSGIEEARRQLEEAKVGLVQLITKKDNNLRVIAVSGTGTSHLGETSIIKRAYEDPKIHKKFECRAWIGGLMCPFNLTEFLLSIVEQFHVSFLLEEKRNEKEIQVLMKMGMMKEDDLVQEFMTYLNKKSYLIVLADIHTVEEWAHIVGI* >Brasy8G057000.1.p pacid=40080403 transcript=Brasy8G057000.1 locus=Brasy8G057000 ID=Brasy8G057000.1.v1.1 annot-version=v1.1 MNAFRFLGDMTHLFSVLVLLLKIYANKSCSGVSRKTQELYLAVFVARYLDLFTDYISLYNSMMKVVFISSSAAIVWYMRRHPQVRRTYDREQDTFRHVVLLAVAFVLALIFNERFTFREICWAFSIYLEAVAIIPQLVLLQRSRNVDNLTGQYVLFLGAYRAFYILNWIYRYFTEGHQSRWIPWIAGLVQTGLYADFFYYYFLSWKNNVKLELPA* >Brasy8G189900.1.p pacid=40080404 transcript=Brasy8G189900.1 locus=Brasy8G189900 ID=Brasy8G189900.1.v1.1 annot-version=v1.1 MAANGGMVAGSHNRNEFVMIRHDGDAPAPGKQAKGVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYRRLKGSPRVQGDEEEEDVDDLDNEFNYKQGNGKGPEWQIQGQGEDIDLSSSSRHEPHHRIPRLTSGQQLSGDIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGISSVDWKERVESWRVKQDKNMMQVTNKYPDARGGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPANQLNLYRIVIILRLIILCFFFQYRVTHPVPDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHTIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKIRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDAHDRYANRNIVFFDINMKGLDGIQGPMYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKNKSYMDNKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSMLMSQKRLEKRFGQSPIFTASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYNYSGRLKLLERMAYINTIVYPITSLPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILMFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSVWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISDTQKAVALGQCGVNC* >Brasy8G233800.1.p pacid=40080405 transcript=Brasy8G233800.1 locus=Brasy8G233800 ID=Brasy8G233800.1.v1.1 annot-version=v1.1 MPLHQPKHRHHHDEDVLPYHRSDDEAKPRRPYAPSSFPTSPASPASAHRFLLLFAVVCLLLAVASLAFAVSVIRRPVPLQPPPTSVVFRCGRAEDSLRSFLASSQNYSTGDREKVLAVVGVHTELGSAALRAALRATWFPPNPEGIVSVEHRFGLSFRFVIGRTNDKEKMADLQKEVDMYHDFLFIDVEEGTKPPQKMLAYFKAAYDMFDAEFYIKADDAIYLRPDRLAALLAKDRPHHRTYIGCMKKGPVVSDPNMKWYESSWGLLGNEYFMHASGSLYALSSEVVGGLATAKNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSTCTPTSIAVWDSKTCSGSCNPVGKIKELHNTTLCSKSPTLPPDVEEEE* >Brasy8G233800.4.p pacid=40080406 transcript=Brasy8G233800.4 locus=Brasy8G233800 ID=Brasy8G233800.4.v1.1 annot-version=v1.1 MRPSRAAPTPPPAFPPPLPPPLLLTASSSSSPSCASSSPWPPSPSPSPLSAALCHSSRPPPPWSSAAAARRIPSALSLPPLRTTPPATGRRCLQLSEFIRSLDLPPSAPRSAPPGSRPTLKASVEHRFGLSFRFVIGRTNDKEKMADLQKEVDMYHDFLFIDVEEGTKPPQKMLAYFKAAYDMFDAEFYIKADDAIYLRPDRLAALLAKDRPHHRTYIGCMKKGPVVSDPNMKWYESSWGLLGNEYFMHASGSLYALSSEVVGGLATAKNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSTCTPTSIAVWDSKTCSGSCNPVGKIKELHNTTLCSKSPTLPPDVEEEE* >Brasy8G233800.2.p pacid=40080407 transcript=Brasy8G233800.2 locus=Brasy8G233800 ID=Brasy8G233800.2.v1.1 annot-version=v1.1 MPLHQPKHRHHHDEDVLPYHRSDDEAKPRRPYAPSSFPTSPASPASAHRFLLLFAVVCLLLAVASLAFAVSVIRRPVPLQPPPTSVVFRCGRAEDSLRSFLASSQNYSTGDREKVLAVVGVHTELGSAALRAALRATWFPPNPEGIVSVEHRFGLSFRFVIGRTNDKEKMADLQKEVDMYHDFLFIDVEEGTKPPQKMLAYFKAAYDMFDAEFYIKADDAIYLRPDRLAALLAKDRPHHRTYIGCMKKGPVVSDPNMKWYESSWGLLGNEYFMHASGSLYALSSEVVGGLATAKNDRFL* >Brasy8G233800.3.p pacid=40080408 transcript=Brasy8G233800.3 locus=Brasy8G233800 ID=Brasy8G233800.3.v1.1 annot-version=v1.1 MRPSRAAPTPPPAFPPPLPPPLLLTASSSSSPSCASSSPWPPSPSPSPLSAALCHSSRPPPPWSSAAAARRIPSALSLPPLRTTPPATGRRCLQLSEFIRSLDLPPSAPRSAPPGSRPTLKASVEHRFGLSFRFVIGRTNDKEKMADLQKEVDMYHDFLFIDVEEGTKPPQKMLAYFKAAYDMFDAEFYIKADDAIYLRPDRLAALLAKDRPHHRTYIGCMKKGPVVSDPNMKWYESSWGLLGNEYFMHASGSLYALSSEVVGGLATAKNDRFL* >Brasy8G235600.1.p pacid=40080409 transcript=Brasy8G235600.1 locus=Brasy8G235600 ID=Brasy8G235600.1.v1.1 annot-version=v1.1 MARLGAFFTVAVALVACLCAAASLPHAMAGGGGAMMSHQYPSFSRGLKSCDGTLGDCVADNEESETGSPLNAVVRRSLARKPTARYISYGALKADQVPCNKRGQSYYTNCASMKQANPYQRGCSAITRCARNMN* >Brasy8G157800.1.p pacid=40080410 transcript=Brasy8G157800.1 locus=Brasy8G157800 ID=Brasy8G157800.1.v1.1 annot-version=v1.1 MSNADWATDCTRRSVENVARRGREKKTKERNAALARQMEAQRVAASAQMAATMAGMTAPRSPSGQHSSSGSQGSSSSSPSSSGISPVSPHMPHENHGNATPSLSRFSPDYPDTDPLVGFNPNAFAADPLSGFNPNVFASPPLRRGPLSYGGSSPSASFQQFPTGCSQPAPNPFSGMSQGDSIMHGHYTYTQEEEAYAGEDAEEREEWADWTKEPAVAEPRGKKKAAAEKKKSAGGGRGPKWTSKEDECLAEAWKVVSMDHFTGTNQNADTYWRRVKAAYDERRVIDREFAMLTHDCNESGMSHRWGMIQQACNKWHDIQEEMVAMFTAFREDNDSVEFKFVHVFIRIETCDKWTETRNALSKSGTYDPKAAPPAAAEGRPIGHKKAKAMRDATPATERLYTCIEKCMSDAAAQEAKREELAAKREEVAASRWATVIKKQDDKLEILKANVAAKKRREDLLILTCDTTNMHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTGHLYSIGTITARNSNSGHFYTTNGLGSAINAE* >Brasy8G232700.1.p pacid=40080411 transcript=Brasy8G232700.1 locus=Brasy8G232700 ID=Brasy8G232700.1.v1.1 annot-version=v1.1 MAAADQDSSSAVPPSLIRRQPSGSGSRDGHAEPTHHHKLSESSSFSTAPAASPSSSSPPLSSCESPTAGELDRRSSSSSTSSDESFYQIDSSSSSFHDFVDPSTEAPAVQAMTASGYDPKRLPSSMFRTQPTCAGQWSATSNESLFSIQLPEAAGAGGGGAIYGDLYYDAAGVFHRLSSAGRDPAWKLPAAAAEAEAPPGVPGGGLCVKDDCARCGSMTRKPVRFANAAGSVAGSAKHVPTLEAAMEEEPEETAPESGAAAEGWSLFGGWCCPSMWWPSCACWGCDSCRC* >Brasy8G180400.1.p pacid=40080412 transcript=Brasy8G180400.1 locus=Brasy8G180400 ID=Brasy8G180400.1.v1.1 annot-version=v1.1 MEQPHFHPLAMLHAHAVAIAAVALLLLSAPAPTSQFGLGATFGVWTNGAAPPPPSPDSLGHSSTQIQGGGGQEYTALQALKAAITEDPRGALSSWQGPNVCAYKGVYCSAPPAASASAAATPAVVAGIDLNHAGLKGTLPAAVSLLAHLTFLHLNTNALSGAVPDTLRDLQYLTELDLSNNLFSGPFPASTLLIPSLVYLDLRFNGFSGEIPDEVFVKDLDALFLNNNRFEGQIPETLWSSPATVITLANNRLTGPVPTSYGAYGAGAGGGRVRELLFLNNRLTGCVPEALGFLPCVEVLDLSNNSLSGHLPSTLSCLSGSIEVLNIAHNQLTGELPELVCDLRRITNLSVSFNFFSGISQDCDRLAGRSVFDFAGNCVPGRGMQRPQPECDEAPGDAGLSCLRIPGSRPVACAEAAVSFGIGATFGGALPFGMSGGAAQVTVTVP* >Brasy8G255500.1.p pacid=40080413 transcript=Brasy8G255500.1 locus=Brasy8G255500 ID=Brasy8G255500.1.v1.1 annot-version=v1.1 MRLALQVCGLGRALPSRKGRSDAAGRGREEAKKQPAGGSAVKGESRPPPPTPPPPSLRCGSRRLPPPRPSSAPPPSGRRRLLHPTAFLCPASARLARSPTVAAISTCDPRTPSEARDEAPPPAKPASHAPPPPSFCPEAAASSSTPRPSSALPLPATPARQPSPAISTCDPRPPSESPGSSIPCHGRKSERSKGFLQQQRKLEWQSLSVDLLPHPDMFADAQFNSSNSQDNKRDDDGAKRMFFDGERFLEGISGEANITPQRTEQNRTIHLAFRFSCILLKLSVLL* >Brasy8G166000.1.p pacid=40080414 transcript=Brasy8G166000.1 locus=Brasy8G166000 ID=Brasy8G166000.1.v1.1 annot-version=v1.1 MSSQTLMRIAYSWSGYLTTLATLVIIVATFLLVKNKRAGKQWERRPRGRLPPGPATLPIIGNMHQMIWNKQPAVFRWIHRLLKDMNTDILCLRLGSTHVIVVACPEIACEVLRKNDDVFASRPTTFASGCFSFGYKGSILSPYGEQWKKMRRVITSEILVPSMEQNLRHLRNEEYDHLVRYINDNVNNVVNVRHVAKHFCGNMIRRLVFGERYFNKLPASSNSGPGQAEVAHVAALFTLLNHLYSFCVSDYFPALVGLDLEGHEMASKDAMGILNGLHDPIIEERIRERSSTTENGKSKKKEARDFLDVLVYLADAEGHPLLSLQEIRAQTLEMMFATVDNPSNAVEWALAEMMNKPEVMQKAIDELDAVVGKDRLVQESDVSQLNYLKSCIREAFRIHPYHALNVPHVAMADTTVAGYTIPKDSQVILSRIGLGRNPKIWAEPLEFRPERHLNTEKNDRAGFTWTKPPGVNTISLREGNASLALAQPLVLLAKPRLAARLYT* >Brasy8G254800.1.p pacid=40080415 transcript=Brasy8G254800.1 locus=Brasy8G254800 ID=Brasy8G254800.1.v1.1 annot-version=v1.1 MGHGVSCARTGDEHDFFRAAQLGDLDALAALLDADRSLARRATLYDRLSPLHIAAANGHLEVLSMFLDRGAQPDAVDRHKQTPLMLAATHGNIGCALKLLQAGANILMFDSVNARTCLHHAAYYGHVDCLDAILSAARTTPVADSWGFARFVNVRDDYGATPLHLAARQGRPECLQVLLEKGAIVSALTGSYGFPGSTSLHLAARSGSLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDLEAKALLEAALKEANREREKNILKGTKYSLSSPTRHDDIIDDEDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTLPSPACPFCRGSISQLVVARTRTPSDPDKPASPTSPQLTQRQSRRSRNLSEGSGSFKGLSSAISKIARGSSRMAGSDSGDMDKPEHDP* >Brasy8G254800.2.p pacid=40080416 transcript=Brasy8G254800.2 locus=Brasy8G254800 ID=Brasy8G254800.2.v1.1 annot-version=v1.1 MGHGVSCARTGDEHDFFRAAQLGDLDALAALLDADRSLARRATLYDRLSPLHIAAANGHLEVLSMFLDRGAQPDAVDRHKQTPLMLAATHGNIGCALKLLQAGANILMFDSVNARTCLHHAAYYGHVDCLDAILSAARTTPVADSWGFARFVNVRDDYGATPLHLAARQGRPECLQVLLEKGAIVSALTGSYGFPGSTSLHLAARSGSLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDLEAKALLEAALKEANREREKNILKGTKYSLSSPTRHDDIIDDEDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTLPSPACPFCRGSISQLVVARTRTPSDPDKPASPTSPQLTQRQSRRSRNLSEGSGSFKGLSSAISKIARGSSRMAGSDSGDMDKPEHDP* >Brasy8G122000.1.p pacid=40080417 transcript=Brasy8G122000.1 locus=Brasy8G122000 ID=Brasy8G122000.1.v1.1 annot-version=v1.1 MAEHFVLNTGARIPSIGFGTWQIEPSVVGNTVYAAVKAGYRHIDCAPIYCNEKEVGLALKKLFEDGVVKREDMFITSKLWAGDHAPEDVPEAIDTTLKDLQLDYLDLFLIHGPIRIKKGTMPSPDNFLPPDIPTTWGAMEKLYDSGKARAIGVSNFSCKKLEDLLSIAQVPPAVNQVECHPIWHQYKLRKLCQSRGVHLSASSPLGSPASFGVSGANVLRNPIVISVAEKLGKTPAQVALRWGVQMGQSVLPKSAHEARIKENYDIFSWSIPEDLMAKFSDIEQVRLLRAEFAVHPLSVYKTLEDLWDGEI* >Brasy8G000500.1.p pacid=40080418 transcript=Brasy8G000500.1 locus=Brasy8G000500 ID=Brasy8G000500.1.v1.1 annot-version=v1.1 MDPLPDIYPLTGLQIGDMQSYISRAFLYFAPVSKKVLILVDNQPWMTSKQSRSARLWQFMITKYRMSPFVNSRASAAGEKSSSTSSSSSGRKRAMQRWFAVTPPAQLLHGFLVFEVSWRDVHGINYFNDLLTDTSLALEARYMKKWEFHSAEQAAACTSRWFLAAEIEARSLRGYLLRLHHRRDYSASAGEEEEDDDQEYYMASSSSPRPPRDQLQQQQQQQQDPAGSCFSDDDNMRTTSKQLLRLPTLRRRKSWRRSRSSLSARRMQQVLTNEEDQEESGAAASSSSCRPAVPVRQYNDTLLLFRFRDGSLPFKLREIIMADIRLLTLLESGLPSWVIFLQSYPVLCHAYRPWMRPLARSLYVAASLVTVIIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMVTRIQYLGTILFLRNLRRCIQGLFALLRASRALLRAVAAPFADLEMLGWVTTLAAHAWGLAVDLGELLWAPFDVLLDCAAGAAASLWPLLQLLVFPFRFAVALAGCAGAVLSNGYNFSKDVWETLSSILELNHMSDAQQSALLDVTTLKTLWNDLFSQIFRALRGILNGILVFFASCNRHRLRYSCYYYLPT* >Brasy8G198900.1.p pacid=40080419 transcript=Brasy8G198900.1 locus=Brasy8G198900 ID=Brasy8G198900.1.v1.1 annot-version=v1.1 MLSYSAPSPASEDAAATGEISSYTDWVILDAVMSGREIPATPSPASTTAKVSVKPNRKAGLEEEVEVSVSFFLATPPEVSRYTVHCRRRSEAEAPGDEHHCRAIIVSSEGSMYELFRYSSKAKAWSRTIARLDSQISRNDLNDLLKHQTSKVIAVGGDSLGWVDLWWGILVCNVLDDDDDDDEGEEEEEEEAEELLLSLVDPGPVVRFIELPDPMPGNESRFGAWPARQSRDVICIGDSIRFIEVEYNYDAVDDSSRDEDYCWKATAWKKPAFSINFADWEECFTVDTDELVVTDTMRCSNMLPELWDDGNAEKLSLSNSVCSCPTLTLEDDVFFMNSIRPVTGRLAVNVSGKPPRFVYFTSEIAHCEPTYRVCDFSKYFKITSDNYSGA* >Brasy8G197700.1.p pacid=40080420 transcript=Brasy8G197700.1 locus=Brasy8G197700 ID=Brasy8G197700.1.v1.1 annot-version=v1.1 MEMAIDTPSPAPSSASPAPSAAAGSQTRAAESVRLEHQLVRVPLEALRATARTNHRLAEKEIAAVLSSASAAPGDNGAASAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLDRLAAASAGDDGEWEDMRLKRILVDYMLRMSYYGTATKLAETSGIQDLVDIDVFLDAKRVIDSLQNKEIAPALAWCAENKSRLKKSKSKLEFLLRLQEYVELVRAKNSLQAIAYGRKYLAPWASTHMKELQRVFATLIFTSTTNCVPYKVLFEPNQWDSLVDKFKQEFYKLYGMTLEPLLNIYLQAGLTALKTPFCFEGNCPKEDPLSLDGFRKLAEPLPFSKQHHSKLVCHITKELMDTENPPLVLPNSYVYSTKALDEMAKKNEGKVTCPRTGEVYNYTELVKAYIS* >Brasy8G060800.1.p pacid=40080421 transcript=Brasy8G060800.1 locus=Brasy8G060800 ID=Brasy8G060800.1.v1.1 annot-version=v1.1 MASHIILPPDDEEQRQRDEDEDGEHPLGHGGDAASEHAALPFSAMCVRISRDSYPNLRALRNASTVSLADAAYAKISEGDFGYVLDDVPHLADYLPDIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNKIYGIQNGYKGFYSSNYLAVTPKTVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRKRGLKVAVAGVPKTIDNDIAIIDKSFGFDSAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIEKRLKENKHMVIVVAEGAGQDIIAKSIPSSDQQDASGNKLLLDIGLWLTHKIKDHFKSKKVEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDMDEAREADRLANKPPLPSGANHSVANAFGQSASSSSNGEI* >Brasy8G153900.1.p pacid=40080422 transcript=Brasy8G153900.1 locus=Brasy8G153900 ID=Brasy8G153900.1.v1.1 annot-version=v1.1 MEMEVGDPAASKFSLPVDSEHKAKSIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLVMLSAPTVFCMSVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGLMHIVMGLLVLTMGQDLPDGNLKSLQKKGDMNKDRFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLWLGRASALPASVTAMVLFSICAQAACGAVFGVIPFVSRRSLGIISGMSGAGGNVGAGLTQLLFFTSSQYSTGKGLEYMGIMIMACTLPVALVHFPQWGSMLLPPSATATEVDYYASEWTEEEKSRGLHSASLKFAGNCRSERGRSNAILAAAATPPNNTPQHV* >Brasy8G060700.1.p pacid=40080423 transcript=Brasy8G060700.1 locus=Brasy8G060700 ID=Brasy8G060700.1.v1.1 annot-version=v1.1 MPHQTFACSMPMEQSTPRTMAATPWLLLLLLCLAAAGVLQARAQPDSKGFISIDCGLPGLKGYSNETTKLSYATDAGFTDAGTNHNISAEYVTPSMARSWYNVRSFPDGARNCYTLRSIEPGLKYLVRARFKYGNYDGLDRPPVFDLYVGVNFWTVVNMTTPGQSTLIEEVIVVVPDDYVQICLVNTGGGTPFISGIDLRPLKRTLYPQATAAQGLVLLARFNFGPTDDTAIVRYPDDPHDRVWFPWVDAANLAEITTTNRVQNVDNDLFEAPTAVMRTAVRPRNASRNIEFFWEAEPQPNDPSPGYIAIMHFSELQLLPDKAVREFYVNLNGEPWYPEGYSPPYLYTGATYNTVPSRHSRYNISINATANSTLPPIVNAVEIFSVIPTTIIATDSKDVSAIMAIKAKYQVKKNWMGDPCVPKTMAWDRLTCSYAVASAPRIISVNLSSSGLSGDISSSFANLKAVQYLDLSKNKLIGSIPESLSQFPSLAVLDLSGNQLNESIPSGLLKRVQDGSLTLRYGNNPNLCTDGNSCQPAKTKRNSKLAIYIAVPVVLLVVIVSVTVLLLCLLKRKRHGSMNNSVKPQNETTTSYAPGSDVCGDSSLRLENRRFTYKDLESITNNFRQVLGRGGFGYVYDGFLEDGTQVAVKLRSHSSSQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHISGNKHNKECLPWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNSRLEAKIADFGLSKAFNGDSDTHVSTNYIVGTPGYVDPEYQATMQPTAKSDVYSFGVVLLELVTGKPAILREPVPVSIIQWARQQLARGNIEDVVDARMCGDYDVNGVWKAADIALKCTAQASLQRPTMTDVVAQLHECVELEKGHVGGDTNSSSYTSGNVNGSTLSYGAYATDQYADVSQNSDALEMQHNFNRVPTMPTGPAAR* >Brasy8G098400.1.p pacid=40080424 transcript=Brasy8G098400.1 locus=Brasy8G098400 ID=Brasy8G098400.1.v1.1 annot-version=v1.1 MVSSKSPCVAALALLLFVALAFTANGAKLSARYYDKTCPNVQRVVRAVMMAHNVAAGQPGIAPAVLRLFFHDCFVDGCDGSVLLDSTPFTGSEKDAAPNASLRGFEVVEEIKSLLEHDCPATVSCADILALASRDAVAMLGGPAWNVPLGRKDSRAAHRDAAEAGLPSPHDNLTALVSAFRDRGLDARDMTALSGAHTVGMAGCENYRERVHGDADIDPSFAETRRRNCPPSGDDGGMAPFDEQTPMRFDNAYYKDLIARRGLLSSDQALYGSGGKQDGLVEVYSRDGETFARDFAKAMVKMGNISPPEGTPVEVRLTCNVVNN* >Brasy8G029100.1.p pacid=40080425 transcript=Brasy8G029100.1 locus=Brasy8G029100 ID=Brasy8G029100.1.v1.1 annot-version=v1.1 MSTMEGDGGDFTFSVAGGVIFPCSSRRIVAARQYPVFGHPRSPPRRGAPEPETMAAQPPAPRCRKSGSTGSAVLRLVGLGRSHSDGKHRFVFFDAGGSDRKARSGGDVASWSYYAKAAGGNGGRRRTFLPYKQDLVGLFASATAFRRTYHPF* >Brasy8G124900.1.p pacid=40080426 transcript=Brasy8G124900.1 locus=Brasy8G124900 ID=Brasy8G124900.1.v1.1 annot-version=v1.1 MAAGFGRSWQSDELQNIPKPLYKCSFCPRTFTNLQARGGHMTKHRQEVTECRRKHEEYMAKKVKEFTMDLLPPNKAFWRRYRSGKEKPKEIIFFPIKKPSELAYVGGESSHDPVPSESMATNLAMMSAENGGENAGIDLTLKL* >Brasy8G062200.1.p pacid=40080427 transcript=Brasy8G062200.1 locus=Brasy8G062200 ID=Brasy8G062200.1.v1.1 annot-version=v1.1 MARDWEGIPPRERRQMEEIRQLDGVELNFVVLDSDDDEEDEEEEEEEEEEEEDRLRSIGSFRRLDNVVAGRDRSPTSLHSYLGAVDDTPGRKMVLLDAGAVLSLPMLFLHDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVVLMHRRLNHQYVNASVGTTAEIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.2.p pacid=40080428 transcript=Brasy8G062200.2 locus=Brasy8G062200 ID=Brasy8G062200.2.v1.1 annot-version=v1.1 MARDWEGIPPRERRQMEEIRQLDGVELNFVVLDSDDDEEDEEEEEEEEEEEEDRLRSIGSFRRLDNVVAGRDRSPTSLHSYLGAVDDTPGRKMVLLDAGAVLSLPMLFLHDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.3.p pacid=40080429 transcript=Brasy8G062200.3 locus=Brasy8G062200 ID=Brasy8G062200.3.v1.1 annot-version=v1.1 MARDWEGIPPRERRQMEEIRQLDGVELNFVVLDSDDDEEDEEEEEEEEEEEEDRLRSIGSFRRLDNVVAGRDRSPTSLHSYLGDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVVLMHRRLNHQYVNASVGTTAEIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.4.p pacid=40080430 transcript=Brasy8G062200.4 locus=Brasy8G062200 ID=Brasy8G062200.4.v1.1 annot-version=v1.1 MARDWEGIPPRERRQMEEIRQLDGVELNFVVLDSDDDEEDEEEEEEEEEEEEDRLRSIGSFRRLDNVVAGRDRSPTSLHSYLGDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.5.p pacid=40080431 transcript=Brasy8G062200.5 locus=Brasy8G062200 ID=Brasy8G062200.5.v1.1 annot-version=v1.1 MVLLDAGAVLSLPMLFLHDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVVLMHRRLNHQYVNASVGTTAEIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.6.p pacid=40080432 transcript=Brasy8G062200.6 locus=Brasy8G062200 ID=Brasy8G062200.6.v1.1 annot-version=v1.1 MVLLDAGAVLSLPMLFLHDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAELWKRTIKNSNMDDHVGKPDLLSFYIGSKLPVSESGRQKLLEIDGIVYRLQKEIQLLKIFDIIKCRRCKSKIAKRSDLVEMSSDGPVGTHVNPHGFVHSIITVNNATGLALEGTSSKEYSWFPGYSWTIGACANCGNNIGWFFKTTKKHLCPTYFWGIRTAQIADVVEEDDLEE* >Brasy8G062200.7.p pacid=40080433 transcript=Brasy8G062200.7 locus=Brasy8G062200 ID=Brasy8G062200.7.v1.1 annot-version=v1.1 MARDWEGIPPRERRQMEEIRQLDGVELNFVVLDSDDDEEDEEEEEEEEEEEEDRLRSIGSFRRLDNVVAGRDRSPTSLHSYLGAVDDTPGRKMVLLDAGAVLSLPMLFLHDVVLFPEATLPLRLIHASLVVAVEKALRHVDAPNTIGVVLMHRRLNHQYVNASVGTTAEIRQLGRLDDGSVNVLTRGQQRFRLIRHWEDVDGVVWGDIQIIEEDTPLRTPRDAFAQLAACSSFRPHTSSAVMSSDVSQIKQQNHMGSKLDCDSPSHTSTVSDHSTMAAKMCHSGSQSSNSMKLCVSGQSVMTTFVDDDDDDIAASWSSSSARTTRKKQHHQCTLASYSKQPVQASLSFWPRWAYEMYDSYTLARRAAGRIVETHNKKLKHG* >Brasy8G262300.1.p pacid=40080434 transcript=Brasy8G262300.1 locus=Brasy8G262300 ID=Brasy8G262300.1.v1.1 annot-version=v1.1 MQAQVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASLDPIVGTEQKNATYWNRIHVEYELHKPEGSDRSVNSLSHRWSVLKEQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKLEMEIMNKDLSSLDDDQKEYYRMLRRLWIMLLYELFEIYGLCCCMNYLRFRLLYELFEV* >Brasy8G184200.1.p pacid=40080435 transcript=Brasy8G184200.1 locus=Brasy8G184200 ID=Brasy8G184200.1.v1.1 annot-version=v1.1 MLRSMGDGDISISGYDTAWVALVPRPDGGDGPQFPATLRWISANQLPDGSWGDAALFSAYDRITNTLACVVALTKWNLGPGQRAAGLRFLAENVWRLGEEDPESMPIGFEIAFPALLEAARSLGIVGFPYDHEALQGIYATREVKLKRIPMEMMHRVPTSILHTLEGMPGVDWHRILRLQSGDGSFLYSPSATAFALTQTGDRKCLEYIDAIVRKFDGGVPNVYPVDLFEHLWVVDRLERLGVSRHFEQEIQHCLDYVSRYWTAEGISWARNSHVKDVDDTAMAFRLLRTHGHDVSPGVFDNFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLRRAGKFSYEFLREREAKGAIRDKWIIAKDLPGEVQYTLDFPWYASLPRVEARAYLDQYGGDNDIWIGKTLYRMPLVNNNTYLELARRDFNRCQVQHQLEWHGLQKWYAENGLEAFGVAREDILRAYFLAAACIFEPTRATERLSWARVSVLANIISKYLIGSNLSGKKMMERFTHNSLYEGNCDASWLQGVAKGEILVRALQRLIDLLVQESLPVDEGPMYIQNLLQSAWIEWMTEKINTEDDVYGTSSVVPTGSCMVPDKETCLLLVKIIEISAGRIGEAASMINNKDGTWFMQLSSSICDNLHHKMLLSEDAEENKATISHMDRKIDLGMQELAQNVLREDDDKTNKKTKQTFLNVVKSCYYATHCPPYIVDRHVSKVIFEHVV* >Brasy8G293200.1.p pacid=40080436 transcript=Brasy8G293200.1 locus=Brasy8G293200 ID=Brasy8G293200.1.v1.1 annot-version=v1.1 MVNAIKGLFISCDVPMAQFIVNLNASMPASEKFIVHMLDPTHMFVQPHVGEMIRSKIAEFRDQNSYEKPT* >Brasy8G277500.1.p pacid=40080437 transcript=Brasy8G277500.1 locus=Brasy8G277500 ID=Brasy8G277500.1.v1.1 annot-version=v1.1 MPDASSPLQLSARLLLHLGRRRLSSSASPVAAFAAATERVHSGTLSTEDAHHLFDELLRQTTPVPERALNGFLTALARAPSSAACRDGPSLAVNLFNRMCREEAGPRVALPTVRTYNILMDCCCRARRPDLGFALFCRLLKTGLKTNVIVASILIKCLFCAKRLNDAVNVLLKRMPELGVEPNAISYTTVIHGFFREGEVRKACNLFHEMMQQGVMPNVVTYTSVIDALCKARAMDKAKLVLRQMISNGFQPNKVTYSCMIHGYSILGQWKETAEMFREMTSRGLKPNIVTCNSYMSSLCKHGKSKEAAEFFDSMAAKGHKPNVVTYSILLHGYATEGCFVDMLNLFTSMEGNGIVADQHVFNILINAYGKHGMMDEAMLIFTQMREQGVSPDACTYGTVIAALSRIGRLADAMDNFNQMIAMGLKPDTIVYQSLIQGFCMHGNLGRVKELVCEMMRRGIPRPNIAFFTSLINSLCKEGRVMDAQDIFDLVIHIGERPDVITFTSLIDGYGLVGEMEKAFGVLDAMISAGVEPNVITYNTLIDGYCRNGRICDGLILFREMSSKGVKPATDTYSIILNGLFHAGRTVDAKKMCHEMIESGTPTDISTYGVILGGLCRNNCEDEAIALFKKSGAMNVKFDIAIINTMIDAMYKVRRREEANELFAAISATGLVPNASTYGVMIRNLLKEGSVEEADNMFLLMEKSGCAPSSRLLNEIIRMLLEKGEISKAGNYLSKVDGKIISLEASTVLLLIALFSREGKYWEDVKLLPAKYQFFGGDTLDVNLNPRKYS* >Brasy8G273400.1.p pacid=40080438 transcript=Brasy8G273400.1 locus=Brasy8G273400 ID=Brasy8G273400.1.v1.1 annot-version=v1.1 MAAAATGEGDSVAPETTRVEAASSPQPGASPGSTARAMPYEECVAGIRSALKHPTVRFLKERLEKAGCPVFPGIIKAATCSTSGSYVGREGVKVCCNHMLYQDSIEQTIIHELIHAYDDCVTKNIDWKNCAHHACSEIRANHLSGNCHYKRELLKGFMKIRGHEPECVKRRALESLGSNPYCSETAAKDAVEAVWDICYNDTRPFDRAP* >Brasy8G076300.1.p pacid=40080439 transcript=Brasy8G076300.1 locus=Brasy8G076300 ID=Brasy8G076300.1.v1.1 annot-version=v1.1 MAVKGKGKQVASDTPGSSGAKRRSGTGGAGPSSSSAAASKRRRRTGVLQFFDDVAGVDDDYEDEEEEEEEFDSEPEDDRDHGFFSEKQTGSANVIRTERSHPLPFLAQVKEEELSGDELEQFVKDRYSNRVKHSGYGGSTQEYGDDFDMDGALKEPTIWRVKCMVGRERQMAFCFMQKFIDLKKFGTKVPIISAFSLDHMRGYVFVEAEKACDVTEACKGFCNVYVSRTSPVPAAEVPSLLSTRAKPFEVSPGTWVRMKSGNYKGDLAQVINADDGRKRVLVKLIPRVDLLAISKKFGGAVSLKDAAVPAPRLISSQELEFFGPHIERRADRQTGDVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTDTELLKFSSSPSIKSSADDIDWLSSMYGQKKRNVPREQDMNASSSKDKCSKASNLKGSTSTESYDDDVNDAFNLHDLVLFGRKDFGVIIAVEKDGFKILKGGPEGSAVTVRKQDIKKGCVDKMFTAVDHQKKIISINDTVKVLEGPAQGKQGVVKHLYMGILFIHNDSESENSGFFCAQCGSCENIKKRRELASSDDNPISMFSDSPFMPSEQTEQRNNDRPYRAREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPTKRGDNSSSAAAGPFGTQDTSFFGSEAEKTSWNNGLTSLGSDSWQPSSSSAFPFQNADGGAEADPWCKKTSSSADGDCDPWCKKTTSADVGIWNNSTTQKDSSSDNAWDKQAGGGGLDVGGSSWAGAAVNKESEKSDNWGEACKAVDMATGGNTDPWGSKVKVVTEEADGWGKSTLPPEKKSEDDGQGWGRSFGTSNKEQEKEAVSKVADNSGSWDTAIAICEGSGDDAWGKSKENNGDGAVGWNKAITSNQNSGSGGWDTAAANWSKPSPVGQGHEEAWGKEKDSAAKSEEINDGGGSWKKAGSSDQVGTGDWDKPKFSGNAGPSSWNKGEAVGGDNQNSNWSKPGGNFEGGRGFGRGRGRGWGRESGDLGGRNDQGNLNSSWGNDSSARPSWRSDTQVGNEGGDSGGGGYRGRGRGRGQYGGRGRGRDNVWGNADRGSSEFGRERSSGDTLNWENSQPCRGSEGIKPCEENQTSTWNSSEDKKASGGEPNDPWASKMTTKGQEQQCDAWSSKMTSTAGAENNNDSWNTKAKESSCSDGTNWENAASGEERQGDPWASKTDCSTKGKEQETDPWASKVASTAGADNNNNGWNTKEKGNSSSSDSKWDAVSTSSGAGEKEDTWNTKGGNGNGGGWNNTGSSAAWSKPSSTSGDQEPAWSKPKYGDDDTGYGRGGFGRGNRGRGRGRFGDGGSSWNGGSNLNDESGGERSEDRWNMRDSDGGRGRGREWFGRGDRHQGNFGSGDGDGGSWSSGRGNRGRGGGYRNWNDNNQGRSFSQGRGGGWSQSSDWNAGKKESTEGDQDFSKGKSSWGSDKNDSWGGPKQSGGEDQAGKSDGSNAWNQNKPSLGDGSSVLGQWGGPPGDTTTSRGGSVGGGGSWGNSENQAFSKGKSSWGSDKNDSWGAPKSHGGDDQAGKKDGNNAWNQTKPSLGDGPSILGQWGGGAPVGGGAKSNEDGWNSSKGTEGGTKKEGSWGKPGGSGSGSQGGGGSSWDKADDGGWNSNKGGDTSSGGGW* >Brasy8G097800.1.p pacid=40080440 transcript=Brasy8G097800.1 locus=Brasy8G097800 ID=Brasy8G097800.1.v1.1 annot-version=v1.1 MNEQWGQSCCAWELRPASMTTQPDCSYSQRLAPRALRSRSSAASAAHLASPAKAAGTCPYRRSSIRAAAMSSVASTRNRSRATSADATSVFSGSVGLRTATAFSAKLTTFPVAASLYVQVIFTGSVTSYPSPALGTSTSSRNWRFSCA* >Brasy8G132900.1.p pacid=40080441 transcript=Brasy8G132900.1 locus=Brasy8G132900 ID=Brasy8G132900.1.v1.1 annot-version=v1.1 MSHELLQLNLWPPGGVATTEVVGGQEDGGGNNNGPSSPMGSCVSSDGSSSRNIFILGGCMQCHMYSLVPKKIFPRCFNCKQPSLFLPIESNACINTKCDGDQPLSKY* >Brasy8G201200.1.p pacid=40080442 transcript=Brasy8G201200.1 locus=Brasy8G201200 ID=Brasy8G201200.1.v1.1 annot-version=v1.1 MSVDAPASLLRPEERANLLPLLAAAARPLGDVVADFLARFPRERRLRVGAALCFLLEDKKMLHPTGRLIAFAILHQSYSSQPVNPYVAQLLNAACDETSDKSERAFVQLLLTSASGNNKNEVLQLSAVDYLSGPLSASQALLPREQLEKQCCGDSVQLQPQASSFRSATVRCIIPDPDVPQNCANSSEMSAPGSIKSAPDDRDSAVAALLQEKSLGRLGPQWIRPTPPRLHILDGELQWLNPDNSHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE* >Brasy8G201200.2.p pacid=40080443 transcript=Brasy8G201200.2 locus=Brasy8G201200 ID=Brasy8G201200.2.v1.1 annot-version=v1.1 MLHPTGRLIAFAILHQSYSSQPVNPYVAQLLNAACDETSDKSERAFVQLLLTSASGNNKNEVLQLSAVDYLSGPLSASQALLPREQLEKQCCGDSVQLQPQASSFRSATVRCIIPDPDVPQNCANSSEMSAPGSIKSAPDDRDSAVAALLQEKSLGRLGPQWIRPTPPRLHILDGELQWLNPDNSHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE* >Brasy8G201200.3.p pacid=40080444 transcript=Brasy8G201200.3 locus=Brasy8G201200 ID=Brasy8G201200.3.v1.1 annot-version=v1.1 MLHPTGRLIAFAILHQSYSSQPVNPYVAQLLNAACDETSDKSERAFVQLLLTSASGNNKNEVLQLSAVDYLSGPLSASQALLPREQLEKQCCGDSVQLQPQASSFRSATVRCIIPDPDVPQNCANSSEMSAPGSIKSAPDDRDSAVAALLQEKSLGRLGPQWIRPTPPRLHILDGELQWLNPDNSHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE* >Brasy8G201200.4.p pacid=40080445 transcript=Brasy8G201200.4 locus=Brasy8G201200 ID=Brasy8G201200.4.v1.1 annot-version=v1.1 MLHPTGRLIAFAILHQSYSSQPVNPYVAQLLNAACDETSDKSERAFVQLLLTSASGNNKNEVLQLSAVDYLSGPLSASQALLPREQLEKQCCGDSVQLQPQASSFRSATVRCIIPDPDVPQNCANSSEMSAPGSIKSAPDDRDSAVAALLQEKSLGRLGPQWIRPTPPRLHILDGELQWLNPDNSHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE* >Brasy8G056100.1.p pacid=40080446 transcript=Brasy8G056100.1 locus=Brasy8G056100 ID=Brasy8G056100.1.v1.1 annot-version=v1.1 MEISLDAWVGVQRHGQDLADRLAQGISGLLSLQVPQPPQLGLLPWSPALPHKLVPFDIDVPFAGAAVDLPAVAVASFVEIGERLAGLGQAGSELGASVGTAAQQLARQIPVPFRAKEGARRRKRETAAPPPPPARAVDEGDVVGLALERAAESGLALDSVGGRGPLEVAAAAAAAATGIDAAASASGVGTGDADELDEEEDEFECEIGTLGRFKKAKGTVNVSAAYSTRHHTIDGSVVARGDIWRLESSRGGSTYGNNSAPLFLVQLGPLLFVRDSTLLLPINLSKQHLLWYGYDRKNGVHSLCPAVWSKHRKWLLMSMICLNPVACSFMDVQFPNGQFTYVAGEGITASGFFPLFGGLLQAHGKCPGETKLSFSFKSKQGTRFTPTFQWPDNSLSFGVDQALAWKKSDLMVRPSIQVSVCPTFGGTDPGIRAEFVHSLKDELNVMCGFSCSRHPSAFTSLSLGRSKWNGQVGSSGVVITMETPIGNMGRPSLTVELNGGLEF* >Brasy8G056100.2.p pacid=40080447 transcript=Brasy8G056100.2 locus=Brasy8G056100 ID=Brasy8G056100.2.v1.1 annot-version=v1.1 MEISLDAWVGVQRHGQDLADRLAQGISGLLSLQVPQPPQLGLLPWSPALPHKLVPFDIDVPFAGAAVDLPAVAVASFVEIGERLAGLGQAGSELGASVGTAAQQLARQIPVPFRAKEGARRRKRETAAPPPPPARAVDEGDVVGLALERAAESGLALDSVGGRGPLEVAAAAAAAATGIDAAASASGVGTGDADELDEEEDEFECEIGTLGRFKKAKGTVNVSAAYSTRHHTIDGSVVARGDIWRLESSRGGSTYGNNSAPLFLVQLGPLLFVRDSTLLLPINLSKQHLLWYGYDRKSFMDVQFPNGQFTYVAGEGITASGFFPLFGGLLQAHGKCPGETKLSFSFKSKQGTRFTPTFQWPDNSLSFGVDQALAWKKSDLMVRPSIQVSVCPTFGGTDPGIRAEFVHSLKDELNVMCGFSCSRHPSAFTSLSLGRSKWNGQVGSSGVVITMETPIGNMGRPSLTVELNGGLEF* >Brasy8G056100.3.p pacid=40080448 transcript=Brasy8G056100.3 locus=Brasy8G056100 ID=Brasy8G056100.3.v1.1 annot-version=v1.1 MEISLDAWVGVQRHGQDLADRLAQGISGLLSLQVPQPPQLGLLPWSPALPHKLVPFDIDVPFAGAAVDLPAVAVASFVEIGERLAGLGQAGSELGASVGTAAQQLARQIPVPFRAKEGARRRKRETAAPPPPPARAVDEGDVVGLALERAAESGLALDSVGGRGPLEVAAAAAAAATGIDAAASASGVGTGDADELDEEEDEFECEIGTLGRFKKAKGTVNVSAAYSTRHHTIDGSVVARGDIWRLESSRGGSTYGNNSAPLFLVQLGPLLFVRDSTLLLPINLSKQHLLWYGYDRKNGVHSLCPAVWSKHRKWLLMSMICLNPVACSFMDVQFPNGQFTYVAGEGITASGFFPLFGGLLQAHGKCPGETKLSFSFKCLPHFWRN* >Brasy8G056100.4.p pacid=40080449 transcript=Brasy8G056100.4 locus=Brasy8G056100 ID=Brasy8G056100.4.v1.1 annot-version=v1.1 MEISLDAWVGVQRHGQDLADRLAQGISGLLSLQVPQPPQLGLLPWSPALPHKLVPFDIDVPFAGAAVDLPAVAVASFVEIGERLAGLGQAGSELGASVGTAAQQLARQIPVPFRAKEGARRRKRETAAPPPPPARAVDEGDVVGLALERAAESGLALDSVGGRGPLEVAAAAAAAATGIDAAASASGVGTGDADELDEEEDEFECEIGTLGRFKKAKGTVNVSAAYSTRHHTIDGSVVARGDIWRLESSRGGSTYGNNSAPLFLVQLGPLLFVRDSTLLLPINLSKQHLLWYGYDRKSFMDVQFPNGQFTYVAGEGITASGFFPLFGGLLQAHGKCPGETKLSFSFKCLPHFWRN* >Brasy8G226500.1.p pacid=40080450 transcript=Brasy8G226500.1 locus=Brasy8G226500 ID=Brasy8G226500.1.v1.1 annot-version=v1.1 MGSSVLPHVALFPFLAKGHTIPYIQLAHHMRRRRLAAVTFFTTRGSNAAFVRAGLSALVGPDDDDNSAVVVVELEFPADGAHGIPRGVESARGAHLGGLLHRRLRPCRVLDAALQAAQATAPVSLLIADPFLHWANASAAKIGVPRVSFFATSAFMHVMQGELVPRHNPFASLRRGDMDNDGNPSTWAVPEFPHIRFTFEDLIAPLGDDPAMVELGGKVLETINGSHGLIVNSFHALEGAYIDFWNNQHLGPRAWPVGPLCCLSPNPTNGGGPRPPWMDRKQASGHAILYIALGRYGLERAGVGFIWPVRPEDIDLGEGFEERTRSGLVVREWVDQPEILQHPSMRGFLTHCGWNSILEGVTAGVPLAAWPMSSDQPFHAKLVVDDLGIAVRSVRTSDGMLRGPVTGEEISELVRELMLGEAGIEAAKKAAELSALAKDAMAEGGSSWKAMEEMIAVLCAKNQENS* >Brasy8G209500.1.p pacid=40080451 transcript=Brasy8G209500.1 locus=Brasy8G209500 ID=Brasy8G209500.1.v1.1 annot-version=v1.1 MSGPDVMYSCRSCRFPLNLSSSTGTISTEASNKHQAKSVKKGVISFASVDLSRFTQVDEVSCFSLAWGRRRGPKFKLLCRKCGAPVGYGYGEPAAVLCSSSSSASEEYLMKIEALQPSSEGAP* >Brasy8G032300.1.p pacid=40080452 transcript=Brasy8G032300.1 locus=Brasy8G032300 ID=Brasy8G032300.1.v1.1 annot-version=v1.1 MDSQEVFSAASLTDDLVVEILSRVPLKSFCRFKCVCKAWLAFSSNTHYQQKLPKFPTGFFNGGKGGSPIQLVSLSPNDEQIDGALTFLPHYKHLEFVDSCNGLVLCKYRSTYTSSDICRFVVCNPATREWMTLPETYHETYLYEFQYTTILAFDPSWSPQFYVLFFKKKFGVGGRFGISKILVFSSGLSTWLVDKGWNSTIALPTDKQYFFIGGKLHLKTVNNDILVLEGFEAMRFGIPPHYFTIELPHDVWCFEDGCFGQSRGFLQCAFPEKGDLAIAVYSLDTYHPNGWSLKHRFSMQEAFGRDDFLHSDDGNLQWPCNYHIVSLDLERGVIFLVDSATKKLLSYNIKTGKHSEIHDDYDCHYFGARYANQYYVASYSKLPG* >Brasy8G249000.1.p pacid=40080453 transcript=Brasy8G249000.1 locus=Brasy8G249000 ID=Brasy8G249000.1.v1.1 annot-version=v1.1 WHWVAIWIKIDASSPYSWDSQCSSLNKLKAKGAVSLFLLSLWSLWKEINDRIFNRKWQPVMDTINSIKTEAALWGQVDAVGLGALVPGTDDVP* >Brasy8G073100.1.p pacid=40080454 transcript=Brasy8G073100.1 locus=Brasy8G073100 ID=Brasy8G073100.1.v1.1 annot-version=v1.1 MNESRPLRTYVQQGCIALVAHLAWTAGPLPQVDHAKLQPPGDQTSRKTRRRPVAGQKFYPLELLVCLRPDRRRPGRGPPDPPVLLLLDGGGRRAAGGLPRSGESSARRPWRASGRICRRCGWSGRIRWRRGRIRWCQGSGGRGCPPPVGGGTEEVAGSKADAAGSRWWRAVGRCSREKERGLRERSEDEQWRRVALGSSVEEKGRRVGEKEIE* >Brasy8G152600.1.p pacid=40080455 transcript=Brasy8G152600.1 locus=Brasy8G152600 ID=Brasy8G152600.1.v1.1 annot-version=v1.1 MSVAALVVKGEDTPFGSLGWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDSEKAQAATILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIMCYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKNAPPPEPNRAAAGVTQLTTPLLSNVEERVENVVVDIEAPQSKQVNGNKPHSMQQNDKLSTAVARSSADIEEGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTAQKASGAQSRQGQPTGILKKPTEGDSNPSKQVNLVEPLLEKR* >Brasy8G263300.1.p pacid=40080456 transcript=Brasy8G263300.1 locus=Brasy8G263300 ID=Brasy8G263300.1.v1.1 annot-version=v1.1 MEGGKDKQGETDKGLFSNMMCGRGGRYGYTSQGYGYPPQGYGYPPQGYPPPGVAVPYPSPPCAHPPQYAYPPSGGYPSHGGYPPAGYPGSYQGYGSSHGGGHMGVPLLAGGATAAAAAYGAHKLSHGGHGGHMGYGGYGGFSHGHGHGHYGHGYGHYGHHHHGKHHGKHYKHHGYHGHHHGFGKHKHGRLFRRWK* >Brasy8G263300.4.p pacid=40080457 transcript=Brasy8G263300.4 locus=Brasy8G263300 ID=Brasy8G263300.4.v1.1 annot-version=v1.1 MEGGKDKQGETDKGLFSNMMCGRGGRYGYTSQGYGYPPQGYGYPPQGYPPPGVAVPYPSPPCAHPPQYAYPPSGGYPSHGGYPPAGYPGSYQGYGSSHGGGHMGVPLLAGGATAAAAAYGAHKLSHGGHGGHMGYGGYGGFSHGHGHGHYGHGYGHYGHHHHGKHHGKHYKHHGYHGHHHGFGKHKHGRLFRRWK* >Brasy8G263300.2.p pacid=40080458 transcript=Brasy8G263300.2 locus=Brasy8G263300 ID=Brasy8G263300.2.v1.1 annot-version=v1.1 MEGGKDKQGETDKGLFSNMMCGRGGRYGYTSQGYGYPPQGYGYPPQGYPPPGVAVPYPSPPCAHPPQYAYPPSGGYPSHGGYPPAGYPGSYQGYGSSHGGGHMGVPLLAGGATAAAAAYGAHKLSHGGHGGHMGYGGYGGFSHGHGHGHYGHGYGHYGHHHHGKHHGKHYKHHGYHGHHHGFGKHKHGRLFRRWK* >Brasy8G263300.3.p pacid=40080459 transcript=Brasy8G263300.3 locus=Brasy8G263300 ID=Brasy8G263300.3.v1.1 annot-version=v1.1 MEGGKDKQGETDKGLFSNMMCGRGGRYGYTSQGYGYPPQGYGYPPQGYPPPGVAVPYPSPPCAHPPQYAYPPSGGYPSHGGYPPAGYPGSYQGYGSSHGGGHMGVPLLAGGATAAAAAYGAHKLSHGGHGGHMGYGGYGGFSHGHGHGHYGHGYGHYGHHHHGKHHGKHYKHHGYHGHHHGFGKHKHGRLFRRWK* >Brasy8G200100.1.p pacid=40080460 transcript=Brasy8G200100.1 locus=Brasy8G200100 ID=Brasy8G200100.1.v1.1 annot-version=v1.1 QVGSDHAGKPNGSQPRAKAMTGTAEPAPAPGIGNADEDGCSSSTPASLSQLEQDPHVGGGRLQFSMSQVLAETTKAE* >Brasy8G294400.1.p pacid=40080461 transcript=Brasy8G294400.1 locus=Brasy8G294400 ID=Brasy8G294400.1.v1.1 annot-version=v1.1 MDRILPLLLLAAAVSAAAATEVAYNNRALVIDGERRIIISGSIHYPRSTPEMWPELIRKAKEGGLDAIETYVFWNGHEPRKRQYNFEGKYNIVRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLRDISGMQFRMHNDPFEKEMETFTSLIVAKLKEAKMFAGQGGPIILSQIENEYGNIMDKLQNNESASEYIHWCAAMARRQDVGVPWIMCQQDADVPPHIINTCNGFYCHDWFPKRNDIPKMWTENWTGWFKAWDKPDFHRSAQDIAFAVASFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKELHTLLKSMEKLLLHGDYKDTTYGNNNVTVTKYTLDNSSSTSASTSACFISNKFDDRDVNVTLDDGATHVVVPAWSVSILPGCKAVAYNTARIKTQTSVMAKKPRQLPETETKAAAGQLLTWSWMPENLAPFMTDEKGSFRKNELLEQITTSADESDYLWYRTSFEHKGEASYKLHVNTTGHELYAFVNGKLVGKHHSPNGGFVFQLETPVKLHSGKNYISLLSATIGLKNYGALFEMMPAGIVGGPVKLINTTSNTTAMDLSNNSWSYKSGLAGEYRSIHLDGADTRQQWQSLNGTIPVHRPFTWYKATFSSPSGSEPVVADLLGLGKGMAWINGHNLGRYWPSYVASDMDGCRQCDYRGTFKAEGDGVRCLSGCGEPAQRFYHVPRSFLKAGEGNTLVLFEEAGGDPSAVAFKTVGVGAACVEGVQVGDDVALACGGHGRTISSVDVASIGVTRGKCGGAYEGGCESKKALEALKKACVGKESCTVRHTDEFRVAGGVSGCESGELTVQVTC* >Brasy8G246100.1.p pacid=40080462 transcript=Brasy8G246100.1 locus=Brasy8G246100 ID=Brasy8G246100.1.v1.1 annot-version=v1.1 MSTENLSSLKARVSAAAGVLGGSLAATAVAGSLAATAVAGSLAATAEAGSLAATAVAGSLAATAVAGSLAATAEAGSLAATAEAVHQGSPVLNSATEEEARSGGSQRTEGGGKIPLVELLTSLHLREDEEEEIVLEEDPDDLVASARWMALATVHTARTFSHGALYGDMRAAWNMAKDFHCLADWERVMQGGPWLFRRCPVSLAEYDGWGEVEDVDLFRFLAWVHVLDLKEKMRTGNIARQISRRAGDFVALDEQSVKGASAGVRVRVMIDARKPLVRAATVTLGDVKHYFRFQYEKMPDFCGVCGCMGHVQKECGDGVWPEEKIIYKSDLIVPAFRRDLPTWARKRRMGGELAGAGLVGRGGT* >Brasy8G189600.1.p pacid=40080463 transcript=Brasy8G189600.1 locus=Brasy8G189600 ID=Brasy8G189600.1.v1.1 annot-version=v1.1 MDNYIDPYEEAEAEAAAEAAGVTLPGAASVDEDSSDGEDDSEAESDYEDKSYGLLKSGNHRVRNPDGTFRCPFCPGKKKQAYKLKDLLQHADGIGVSSKHRRHGRERAFHRAFARFVRADPSFAHELASITGIPGATANADAIDNGKSDANGHATKPSLAAAEGPPQDGEEKFCWPWCGILAAGAGFNSEDFADKVAMFSVNDIVPLVYDEAEGMESFAIVQFTNGWSGFSDALALENHFSMNKLGKMEWDTRSSCGGAVKGEENMIGEIKVYGWVAREMDYNAGGLVGRYLRKHTNLMTIDEITKRQREPMGKIVATLATQLEAKNQDLQDLETKKNATELSIARLVEDNRRLHEAYNEEMRNLHRKARDNAVRVFQDNENLKLEIEDSKRKLISHAKQLEKLTAENANDRKKLAELADEKQKAKDDKSELELASIEQQKNDEDILKLVEDQKREKEDALARMLELEKELHEKRELELEVTRLDGTLQVMKHLEGDDDGDIHNKMEKLNERLEQEKKRLEELSGELVRKERESNDELQEARKELIAGLEDMLSGQTAIGVKRMGELDERPFQNACRKKFGSDDYETRAAQLVSSWQEEIKKPAWHPYKFVKDENGEYKDVVDDDDPRLRELWIEYGDDVCNAVKTALSEVNEYNPSGRYAVPELWNFKKARKATMKEVLRYIFLQMGTTSKRRRG* >Brasy8G071800.1.p pacid=40080464 transcript=Brasy8G071800.1 locus=Brasy8G071800 ID=Brasy8G071800.1.v1.1 annot-version=v1.1 MAGRERTVNGGEGGGSHGDERPPAMEPAAARVPMHRMFAFADRTDAALMTAGAAAAVCNGMAQPLMTFIFGDVIDAFGSSASSPDVLHNVTKVIMNFIYLGIGAGLASTLQLSCWTITGERQAARIRTLYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDSIGEKVGKCIQLLSTFFGGFVIAFVRGWLLALVLLSCIPPIAVAGAIVSRLMTRLSTRIQAKYGDAGNIVEQTIGTIRTVVSFNGEKQAMTMYNKFIRKARESALHEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGLVINVLMSVMIGAMSLGQATPSISAFAEGQGAAYRMFKTIERQPNIDIWDTTGIILEDIKGDVQLKDVYFSYPTRPEHLVFDGFSLQVPSGTTMALVGESGSGKSTVISLVERFYDPGSGEVLIDGVDIRTMKLGWIRGKIGLVSQEAVLFSSTIRENITYGKDDPTLEEIKRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARAIVKDPRILLLDEATSALDMGSERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKKSDGAYSQLIHLQGTQQGSDGLSSTRSMKSKPRSKSMSLMSKGSSSFGSGRRPFTSPLVLSDPMEFSNDQDIETMDKMSGGRKKAPIGRLFYLNKPEAFILALGSITAAMHGVVFPLYGLLISSAIKTFYEPQAELLKDSKFWASMFVVLGASILVLVPIEYFLFGVAGGKLVERIRSRTFQSVMRQEINWFDIPQHSSGAIGARLSTDAMNVKRLVGDNLALNIQTVSTIITGFTIAMVANWKLALIITVVVPLVGFQGYAQMKFLKGLNKDAKLKYEEASQVATDAVGGIRTVASFCAERKVIDIFEKKCEAPRRQGMREGVVGGLGFGFSFMVFYFTYALCFYVGAKFVHQGTASFPEVFRVFFVLVLATSGISRTSALGADSTKANESAVSIFEILDRKSKIDSGSEEGAVIAAVRGDIEFQNVCFKYPLRPNVQIFNDLSLSIPSGKTAALVGESGSGKSTAIGLLERFYDPDSGRILLDGMELQTLKVSWFRLQVGLVAQEPVLFNDTIRANIAYGKQGSASEEEIVAAAEVANAHRFISGLPNGYDTVVGERGIQLSGGQKQRVAIARAVVKGPRLLLLDEATSALDAESERVVQEALDRAMVGRTSLVVAHRLSTVRGADIISVLKNGTIVEKGRHEELMRIKDGAYASLVELSSASR* >Brasy8G071800.2.p pacid=40080465 transcript=Brasy8G071800.2 locus=Brasy8G071800 ID=Brasy8G071800.2.v1.1 annot-version=v1.1 MIRAVHMVIMNFIYLGIGAGLASTLQLSCWTITGERQAARIRTLYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDSIGEKVGKCIQLLSTFFGGFVIAFVRGWLLALVLLSCIPPIAVAGAIVSRLMTRLSTRIQAKYGDAGNIVEQTIGTIRTVVSFNGEKQAMTMYNKFIRKARESALHEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGLVINVLMSVMIGAMSLGQATPSISAFAEGQGAAYRMFKTIERQPNIDIWDTTGIILEDIKGDVQLKDVYFSYPTRPEHLVFDGFSLQVPSGTTMALVGESGSGKSTVISLVERFYDPGSGEVLIDGVDIRTMKLGWIRGKIGLVSQEAVLFSSTIRENITYGKDDPTLEEIKRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARAIVKDPRILLLDEATSALDMGSERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKKSDGAYSQLIHLQGTQQGSDGLSSTRSMKSKPRSKSMSLMSKGSSSFGSGRRPFTSPLVLSDPMEFSNDQDIETMDKMSGGRKKAPIGRLFYLNKPEAFILALGSITAAMHGVVFPLYGLLISSAIKTFYEPQAELLKDSKFWASMFVVLGASILVLVPIEYFLFGVAGGKLVERIRSRTFQSVMRQEINWFDIPQHSSGAIGARLSTDAMNVKRLVGDNLALNIQTVSTIITGFTIAMVANWKLALIITVVVPLVGFQGYAQMKFLKGLNKDAKLKYEEASQVATDAVGGIRTVASFCAERKVIDIFEKKCEAPRRQGMREGVVGGLGFGFSFMVFYFTYALCFYVGAKFVHQGTASFPEVFRVFFVLVLATSGISRTSALGADSTKANESAVSIFEILDRKSKIDSGSEEGAVIAAVRGDIEFQNVCFKYPLRPNVQIFNDLSLSIPSGKTAALVGESGSGKSTAIGLLERFYDPDSGRILLDGMELQTLKVSWFRLQVGLVAQEPVLFNDTIRANIAYGKQGSASEEEIVAAAEVANAHRFISGLPNGYDTVVGERGIQLSGGQKQRVAIARAVVKGPRLLLLDEATSALDAESERVVQEALDRAMVGRTSLVVAHRLSTVRGADIISVLKNGTIVEKGRHEELMRIKDGAYASLVELSSASR* >Brasy8G071800.3.p pacid=40080466 transcript=Brasy8G071800.3 locus=Brasy8G071800 ID=Brasy8G071800.3.v1.1 annot-version=v1.1 MNFIYLGIGAGLASTLQLSCWTITGERQAARIRTLYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDSIGEKVGKCIQLLSTFFGGFVIAFVRGWLLALVLLSCIPPIAVAGAIVSRLMTRLSTRIQAKYGDAGNIVEQTIGTIRTVVSFNGEKQAMTMYNKFIRKARESALHEGAVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGLVINVLMSVMIGAMSLGQATPSISAFAEGQGAAYRMFKTIERQPNIDIWDTTGIILEDIKGDVQLKDVYFSYPTRPEHLVFDGFSLQVPSGTTMALVGESGSGKSTVISLVERFYDPGSGEVLIDGVDIRTMKLGWIRGKIGLVSQEAVLFSSTIRENITYGKDDPTLEEIKRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARAIVKDPRILLLDEATSALDMGSERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKKSDGAYSQLIHLQGTQQGSDGLSSTRSMKSKPRSKSMSLMSKGSSSFGSGRRPFTSPLVLSDPMEFSNDQDIETMDKMSGGRKKAPIGRLFYLNKPEAFILALGSITAAMHGVVFPLYGLLISSAIKTFYEPQAELLKDSKFWASMFVVLGASILVLVPIEYFLFGVAGGKLVERIRSRTFQSVMRQEINWFDIPQHSSGAIGARLSTDAMNVKRLVGDNLALNIQTVSTIITGFTIAMVANWKLALIITVVVPLVGFQGYAQMKFLKGLNKDAKLKYEEASQVATDAVGGIRTVASFCAERKVIDIFEKKCEAPRRQGMREGVVGGLGFGFSFMVFYFTYALCFYVGAKFVHQGTASFPEVFRVFFVLVLATSGISRTSALGADSTKANESAVSIFEILDRKSKIDSGSEEGAVIAAVRGDIEFQNVCFKYPLRPNVQIFNDLSLSIPSGKTAALVGESGSGKSTAIGLLERFYDPDSGRILLDGMELQTLKVSWFRLQVGLVAQEPVLFNDTIRANIAYGKQGSASEEEIVAAAEVANAHRFISGLPNGYDTVVGERGIQLSGGQKQRVAIARAVVKGPRLLLLDEATSALDAESERVVQEALDRAMVGRTSLVVAHRLSTVRGADIISVLKNGTIVEKGRHEELMRIKDGAYASLVELSSASR* >Brasy8G196600.1.p pacid=40080467 transcript=Brasy8G196600.1 locus=Brasy8G196600 ID=Brasy8G196600.1.v1.1 annot-version=v1.1 MAAMLGGVRMQGSQQPSSLTGRKKLRKPYTITRPRERWTAEEHDRFLHALNLFGRDWKKIEALVATKTSVQIRSHAQKFFLKAHKSGLAACLPPPLHPRRPAALLLQQPQPDNIDMQVPSMEECHRPLASPEYLCPDLDGAWLDQDGTPIATGLPLSPDDLRFAQVYRFVGDVFGADDDAAAAAVPVEARLWSLQLQGMDPLVVDTILLVLSNLQANLFA* >Brasy8G074600.1.p pacid=40080468 transcript=Brasy8G074600.1 locus=Brasy8G074600 ID=Brasy8G074600.1.v1.1 annot-version=v1.1 MATISAAVGFATARPSQASGLPQLRVVRAERLRCGYSKDGKSTAAVAVVKGAAPLLAAVTAAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYSSTLDDDDESGGLSL* >Brasy8G216200.1.p pacid=40080469 transcript=Brasy8G216200.1 locus=Brasy8G216200 ID=Brasy8G216200.1.v1.1 annot-version=v1.1 MAESSPSTRAALVNVTVENADALDCGVCCLPLKPPIFQCPVGHVLCSPCRDKLAGAKKCHVCRIPTGKGGYRRCHDMEKLLESIRVPCPNAADGCTDARAYYDHADHVKVCPHAPCYCPGDGCGFAGSTAVLLDHFTAVHRWPSITVIRPWERSSVQLRDGFNVLDVHVFGKQSRSCLTSSRTRSAVPSPRSGC* >Brasy8G095600.1.p pacid=40080470 transcript=Brasy8G095600.1 locus=Brasy8G095600 ID=Brasy8G095600.1.v1.1 annot-version=v1.1 MHPSSGAVSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSSARRIGMRKTLVFYVGRAPHGRKTDWIMHEYRLDQDNVDIQEDGWVVCRVFTKKSYPRGINPAEMAAFEDEELLHPFPVQAQASAAGAMQSSKHIHMNNNPHLMQQQQQHYDYPSFDASMQLPQLMSTEHELPSLLPCPPAVAMTSLDVECSQNLMKLTSNGSGNGMLHHGCSSSAGGDHRFAGATDWSVLDKLLASHQNLDQLFQGKVTAAAAAASMAPYQQQLMELGSSTGNSSMQRLPLHYLGCEAADLLRFSK* >Brasy8G160000.1.p pacid=40080471 transcript=Brasy8G160000.1 locus=Brasy8G160000 ID=Brasy8G160000.1.v1.1 annot-version=v1.1 MRMAHLVTNCSFSPSPAVKTHPKSPNYGYNGIRFQNSKSSSSSLISKSCSKRSKKLFVTRASASVQAPADKTQTPLTGSQQASAHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAMKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRLDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHSKLSELGLVPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKMGAKPKTLSV* >Brasy8G160000.2.p pacid=40080472 transcript=Brasy8G160000.2 locus=Brasy8G160000 ID=Brasy8G160000.2.v1.1 annot-version=v1.1 MRMAHLVTNCSFSPSPAVKTHPKSPNYGYNGIRFQNSKSSSSSLISKSCSKRSKKLFVTRASASVQAPADKTQTPLTGSQQASAHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAMKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRLDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHSKLSELGLVPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKMGAKPKTLSV* >Brasy8G044600.1.p pacid=40080473 transcript=Brasy8G044600.1 locus=Brasy8G044600 ID=Brasy8G044600.1.v1.1 annot-version=v1.1 MGKPTPLACGSSSPEQKRKSRGAEDDDQDDAPPLWHLSLGSIYSGGGTPPTPSAPPSPHERLVVAPVVQQPSDLLPVPPLIPFFPPHVPDAAAAGFIASTGVQIPSATSSSSAIGAGTTVPASSSSSSSSPAPAGPNRFRRAVRRRTSNNNTPAPPPHAVILNSADLPAPPNNAVPAPPPFPWATSRLGIHRSISELAQLGITTVEGEVQCRRCEARKTISYDIAAKFLEVRSFVARHIDDMNDRAPEPWLSPAMADCGGCGQRGSVRAVIPPEKERINWVFLVLGQALGFCTLEQLKHLCTLAKKHRTGAKDRVLYLAYMELLNQLCPDKLFNLTADRQKRTQQFC* >Brasy8G101800.1.p pacid=40080474 transcript=Brasy8G101800.1 locus=Brasy8G101800 ID=Brasy8G101800.1.v1.1 annot-version=v1.1 MTHGAGEGSGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYTGLRAYGNYNLPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGCKPPPQACHDPLGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNLIGGVEGSSLIPWLKNLSSNPSFASSSKFPQLHHLYFNGGSISAPVTPPSSSPTHTPRIKTDWENQSVQPPWAGANYTSLPNSTPPSPGHHIAPDPAWLAGFQISSAGPSSPTYNLVSHNPFGIFKEALASTSRVCTPGQSGTCSPVMGGAPTHHDVQMADGATDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSMTRGDPS* >Brasy8G252400.1.p pacid=40080475 transcript=Brasy8G252400.1 locus=Brasy8G252400 ID=Brasy8G252400.1.v1.1 annot-version=v1.1 MSVSEKAVRSCSASSSDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARTMEEEVSKLQKCLLDKDEQLIATIGSTEKYLHDLDDLRSQLSVTQATAEASAASSKLAQSQCLSLLKELNEKDRSLKEHELRVHKLGEQLGLLQKDLEARELSQRQLKDEVLRIETDIMDAVVKAGSNKDNELLKILSDVSPRNIENISKHLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQAAMKQPNGPHHDDGDDRRNFWESQSFKFVASMSMLALVILTKR* >Brasy8G252400.3.p pacid=40080476 transcript=Brasy8G252400.3 locus=Brasy8G252400 ID=Brasy8G252400.3.v1.1 annot-version=v1.1 MSVSEKAVRSCSASSSDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARTMEEEVSKLQKCLLDKDEQLIATIGSTEKYLHDLDDLRSQLSVTQATAEASAASSKLAQSQCLSLLKELNEKDRSLKEHELRVHKLGEQLGLLQKDLEARELSQRQLKDEVLRIETDIMDAVVKAGSNKDNELLKILSDVSPRNIENISKHLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQAAMKQPNGPHHDDGDDRRNFWESQSFKFVASMSMLALVILTKR* >Brasy8G252400.4.p pacid=40080477 transcript=Brasy8G252400.4 locus=Brasy8G252400 ID=Brasy8G252400.4.v1.1 annot-version=v1.1 MSVSEKAVRSCSASSSDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARTMEEEVSKLQKCLLDKDEQLIATIGSTEKYLHDLDDLRSQLSVTQATAEASAASSKLAQSQCLSLLKELNEKDRSLKEHELRVHKLGEQLGLLQKDLEARELSQRQLKDEVLRIETDIMDAVVKAGSNKDNELLKILSDVSPRNIENISKHLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQAAMKQPNGPHHDDGDDRRNFWESQSFKFVASMSMLALVILTKR* >Brasy8G252400.2.p pacid=40080478 transcript=Brasy8G252400.2 locus=Brasy8G252400 ID=Brasy8G252400.2.v1.1 annot-version=v1.1 MSVSEKAVRSCSASSSDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARTMEEEVSKLQKCLLDKDEQLIATIGSTEKYLHDLDDLRSQLSVTQATAEASAASSKLAQSQCLSLLKELNEKDRSLKEHELRVHKLGEQLGLLQKDLEARELSQRQLKDEVLRIETDIMDAVVKAGSNKDNELLKILSDVSPRNIENISKHLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQAAMKQPNGPHHDDGDDRRNFWESQSFKFVASMSMLALVILTKR* >Brasy8G129100.1.p pacid=40080479 transcript=Brasy8G129100.1 locus=Brasy8G129100 ID=Brasy8G129100.1.v1.1 annot-version=v1.1 MAFSSLSTSSSSSSAFTLPTKPSPSTGSVSFSRASWERQGKQATGKSRMAVVRAEAVDTSISPRVSALRPSKTMAITDQASALRQAGVPVIGLAAGEPDFDTPAAISEAGMNAVKDGFTRYTPNAGTMELRKAICNKLQEENGLSYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNFLLKPESLASVVNENSRLLILCSPSNPTGSVYPRELLEEIADIVKKHPRLLVLSDEIYEHIIYHPAKHTSFAALPGMWERTLTVNGFSKAFAMTGWRLGYLASPKHFVSACGKVQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSETLCMFLLEKAQVALVPGDAFGDDKGVRISYAAALSTLQAAMEKIKEAMALLSPPVVR* >Brasy8G129100.2.p pacid=40080480 transcript=Brasy8G129100.2 locus=Brasy8G129100 ID=Brasy8G129100.2.v1.1 annot-version=v1.1 MNAVKDGFTRYTPNAGTMELRKAICNKLQEENGLSYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNFLLKPESLASVVNENSRLLILCSPSNPTGSVYPRELLEEIADIVKKHPRLLVLSDEIYEHIIYHPAKHTSFAALPGMWERTLTVNGFSKAFAMTGWRLGYLASPKHFVSACGKVQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSETLCMFLLEKAQVALVPGDAFGDDKGVRISYAAALSTLQAAMEKIKEAMALLSPPVVR* >Brasy8G238000.1.p pacid=40080481 transcript=Brasy8G238000.1 locus=Brasy8G238000 ID=Brasy8G238000.1.v1.1 annot-version=v1.1 MRVTSVGEIIQTSLQILTGAPFSLHFPPPGRPVPPFPPILAADTASKHLADTAAAPKKAMPRKRYAAPRSTAAGDAVAKKPKEKERPPGMSNADWVADCTRRSVENAARRGRERKAKERNADLSRQMEAQRVAASSQMAGMAAPRPPTGQHWSSGSQGSSSSSPSPSGIPPVSPHMPHENHGNATPSLSWFSPDYPDTDPLSGFNPNTIAADPLGGFNASASPRLRRGPLYYGGSSASASFQQFPAGCSQPAPNPFGGMSQGDSIMSDMINDGSQHAPYTYTYTQEEEDAQREEWVDRTEEPAVAEPRGKKKAAAEKKKAGGRGRGPKWTSKEDECLAEAWKVVSMDPFTGANQTGDTYWRRVKTAYDERQDIDWEFAMLTHDRNESGLSHRWGMIQQACNKWHGIQKEVRWRPQSGGSVHDQLVAMFTAFREDNDGVDFKFIHVFARIETCDKWTETRNGLFKSGAYDPTAAPPAAAEGLPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQAAKRKELAANREEVAASRWVTVIKKQVDKLEILKANGAVKKRREDLLILT* >Brasy8G059700.1.p pacid=40080482 transcript=Brasy8G059700.1 locus=Brasy8G059700 ID=Brasy8G059700.1.v1.1 annot-version=v1.1 MCIYIQVPECIDKFAQAGIKTWVLTGYKLETAINIGFECSLLRQGMTQIIITLEAPDVLALERSGDKHSLQRKLKLTDFGLAREEVVTEMMTAETGTYRWTAPELYSTVSPSRFDAVRKSTTQIKWTCTSSASPCGSY* >Brasy8G253000.1.p pacid=40080483 transcript=Brasy8G253000.1 locus=Brasy8G253000 ID=Brasy8G253000.1.v1.1 annot-version=v1.1 MMNRRLAEHSSSIHRTLPLIQLKAMSSFSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILGQKTGSLLVRDLEKEVGFVQKWNFVSLIERHPNIFRVSGGSTSRAPIAVTLTEKAKIISSEEMQAKKLMEPILVRNLRKLLMMSMDCQIPVEKIELIQSELGLPKNFKNNFIPCYPDFFSIRDVRGLDHLCLESWDSSLAVTAREEKLNFDGFQMDYRGIPKDGNILGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRSVAVLHEILSLTMQKRLTSDKLEVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYDDTNLIEKCPLLNFHDQFSSLIGRPCSDTNKPLTVQLEY* >Brasy8G133100.1.p pacid=40080484 transcript=Brasy8G133100.1 locus=Brasy8G133100 ID=Brasy8G133100.1.v1.1 annot-version=v1.1 MATEVNQNCFAWPHEESSVQDSSQGTPQVFDHGSISFGRFDLESLAWEKWSVFTNDRRTEEFVKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLEYSGDGSDSSQTGEDEPAAKHGSPTGSGTLVEDFLEQIAAETTPEHELGCYKDHNESLSNGISSSARSSSLGGLQIIGEETGENASGDNCSYRMDMLQQNAKCSKDDLAMPDVTTENPKRTIEECSPISQASKFIPKTIKMTSSYITGRSFANKGPDSSKPIVIHQVAKSGTVQPLRMPKAATSTMVGNTGRSKIMAKESPGVTAVRRPSSAASQRPSTRERRPVTRVVSQKPTEMASPCRPSTAERRLATRERAANQTNVATPRRPSTADRRPITKESTPKRANIATPHRPSTADRRPITKESAPKHANIATPHRPSTADRCPITKESAPKHANIATPRRPSTAERRPATREMAPKHFGVANPCWPSTAERHHVTRGTAQKHADLVTLRRPSTAERRPITRETAPKHANAGPSRRPSTAERRPVARDSVLKHANASSSCWPLTPERRLSRDSPPKPADVAIMPCRPSTGQRRAITKETTLKLDPKTPIRLRAVPGDSNGAMATAVHSNRIAVTPQKAITQNLVKSGKPEIKSYAKERLELQVGGKQKSSSVNLPPRKILTSSHRANRVIEDIRKPNKQVIQETVGSRVFASKSVTPSQTGSVKRVPNPPPPPPPPRRPSQTVSKPSPNKPSGGGRKPKASTPHWH* >Brasy8G133100.2.p pacid=40080485 transcript=Brasy8G133100.2 locus=Brasy8G133100 ID=Brasy8G133100.2.v1.1 annot-version=v1.1 MATEVNQNCFAWPHEESSVQDSSQGTPQVFDHGSISFGRFDLESLAWEKWSVFTNDRRTEEFVKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLEYSGDGSDSSQTGEDEPAAKHGSPTGSGTLVEDFLEQIAAETTPEHELGCYKDHNESLSNGISSSARSSSLGGLQIIGEETGENASGDNCSYRMDMLQQNAKCSKDDLAMPDVTTENPKRTIEECSPISQASKFIPKTIKMTSSYITGRSFANKGPDSSKPIVIHQVAKSGTVQPLRMPKAATSTMVGNTGRSKIMAKESPGVTAVRRPSSAASQRPSTRERRPVTRVVSQKPTEMASPCRPSTAERRLATRERAANQTNVATPRRPSTADRRPITKESTPKRANIATPHRPSTADRRPITKESAPKHANIATPHRPSTADRCPITKESAPKHANIATPRRPSTAERRPATREMAPKHFGVANPCWPSTAERHHVTRGTAQKHADLVTLRRPSTAERRPITRETAPKHANAGPSRRPSTAERRPVARDSVLKHANASSSCWPLTPERRLSRDSPPKPADVAIMPCRPSTGQRRAITKETTLKLDPKTPIRLRAVPGDSNGAMATAVHSNRIAVTPQKAITQNLVKSGKPEIKSYAKERLELQVGGKQKSSSVNLPPRKILTSSHRANRVIEDIRKPNKQVIQETVGSRVFASKSVTPSQTGSVKRVPNPPPPPPPPRRPSQTVSKPSPNKPSGGGRKPKASTPHWH* >Brasy8G108000.1.p pacid=40080486 transcript=Brasy8G108000.1 locus=Brasy8G108000 ID=Brasy8G108000.1.v1.1 annot-version=v1.1 MAALVTPASVVSELMARGRQSAAVLEALLQDASPPGAPHELAAEILRCWDRALAALHAAEPEIPPAGSDDPKRKSGAATDTRPKRRARVSGGGTPARVETKRTVEDGYIWRKYGQKEILNSSHPRLYFRCSYKHDSGCPATRQVQHSDHDPSLYVITYFGHHTCCVGGDTSATAAEELLKMPEPAPFVIDFGSGSGSGTGSSGGSPPWLSSSSEEDDDGRSCKQVESTSSSDHLLPTASADEASCSSPAWDPLPVCSSDWDYFGETSFDYITELINRYEIAMFQ* >Brasy8G124800.1.p pacid=40080487 transcript=Brasy8G124800.1 locus=Brasy8G124800 ID=Brasy8G124800.1.v1.1 annot-version=v1.1 MQEVFSASSASPCKLRLAGPSRTVDSCCSPRSSTQALLVQQVEARPRLLSVVVGSIPPPPSPPPAENGNSWAMVVSRNSRRRSTSPLLARRPTPPMPPPGAPRRAPHDREAFLRRFRGRCFRCLSKDHRRTDCRDPPRCIDCWAWGHLANSGRCKGSSAANHRLPAHQRLRFPAPPPTAAMLSRVIPKPAPRRPGFTHSIVMASRAIEQEVFSLRSRGVLVKAVTPLHAASPILVGKEFERVLGIPSHALRVTKHHPEDFFIHFDNPSHRDRAVSLGRIDIDGTTFLLQPWRESNHGTLQTYPLHVRLCIEKLPLQLWSVEGVQSVLGKTVIVDRLDSRTFARDNTKLFSCWVWCWSLDRIPSAHTFTVFSNGAGRVEEMNGFSPPRREVAPAPEGTHFNALIHIDLVEDWTVVEACTPSSRQSGLPSSSSPPSSPSSGTSACRMGRSPARVLGCSGDRRQHRDLAPTCGLTGKSVALAPTGLGGSRRRSRTPVGHRRRDAVAPAPSAPLASCEILPPPPPLPVHGPLPQRLDLAAVPSTLLPTPPTATALPPTSPAKSTSESSEDPLAELMALEQLDDICWPLRNTDPMVYEVDAFCDKMTASPLTFPAPESGKPGLGGTFCPSPLGLSSPARGEHAPLSAAVTNDAAAPQDPPAFDDLVRSLFSAPTASILGVSPPTATPVVASPTLRRSARQASKVSSTPVAQRATIRLAKELAVINQDEKGAAAAATALVQRFHEPLSDVDIDGLAVLTRLDRDAIHRAAAKASAPSAAATAT* >Brasy8G204800.1.p pacid=40080488 transcript=Brasy8G204800.1 locus=Brasy8G204800 ID=Brasy8G204800.1.v1.1 annot-version=v1.1 MDLSGAQGELSMPMPIAMHAAAASPYNLGLHPHHHHHQQLAGANGRHLSPPMTTSSPAATAAAMAGAEEEEDEYSKSRQLVVSSAGSGARYRECLKNHAASIGGSATDGCGEFMPAGDEGSMDALLCSACGCHRNFHRKDTVMGLTMGAHHYQQYPMGAHHRGGLLMGGPSGPAAPTRMVMPLSAAMGHHHHANANAAAAAGETTSDEGGPRRKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVHRFCAEVGVKRRVLKVWMHNNKHTLASRRHQQPPPTEPDHRYPGLPGAGEDDDRPAAANAAAGRSCTSSPSATQLRLE* >Brasy8G232500.1.p pacid=40080489 transcript=Brasy8G232500.1 locus=Brasy8G232500 ID=Brasy8G232500.1.v1.1 annot-version=v1.1 MYPLHIYQSNPIRLVAQECPALSTFEVSLSPVAQECPAQLGVDSRVEDDRAIESFRERVLRPSPQILPRPPSSRRARRLVVSPCSPRRSRRLAGKGVASSVKRQQKVLMQRLGIAREGERIGDEALQSYLQLFEKPLSSEHISAILALFGWECQPLPLEVGGVEVAVN* >Brasy8G061800.1.p pacid=40080490 transcript=Brasy8G061800.1 locus=Brasy8G061800 ID=Brasy8G061800.1.v1.1 annot-version=v1.1 MGKAAAVGTAVVVCAAVGTAVVLARRRRRRDAELVGAEVDRKRKVAAVIEEVERTLATPTALLRGISDALVSEMERGLRGDIHAQLKMLITYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALSSFVDTEGADFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSISGTVGADVVSELSKAMERQGLDMKVSALVNDTVGTLAGGRYMDSDVVAAIILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRTPDMSAMHHDASHDLKVLGTKLKDIVGVADTSLEVRYITRHICDIVAERGARLAAAGIYGILKKLGRDKVPSDGSPMPRTVIALDGGLYEHYKKFSSCLEATLADLLGEEASSSMVAKLANDGSGIGAALLAASHSQYAEVD* >Brasy8G061800.2.p pacid=40080491 transcript=Brasy8G061800.2 locus=Brasy8G061800 ID=Brasy8G061800.2.v1.1 annot-version=v1.1 MGKAAAVGTAVVVCAAVGTAVVLARRRRRRDAELVGAEVDRKRKVAAVIEEVERTLATPTALLRGISDALVSEMERGLRGDIHAQLKMLITYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALSSFVDTEGADFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSISGTVGADVVSELSKAMERQGLDMKVSALVNDTVGTLAGGRYMDSDVVAAIILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRLQILPWK* >Brasy8G297600.1.p pacid=40080492 transcript=Brasy8G297600.1 locus=Brasy8G297600 ID=Brasy8G297600.1.v1.1 annot-version=v1.1 MDEDTELQALVCDSGTGMTKAGFAGDDAPRAVFPSIVGRPHATGLRSLAGMGSGRKDAYVGDEANSRRSILTLNHPIEHGIVTNWDDMEKIWHHTFRNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAVESVLSLYASGRTTGIVLNSGEGVSHTVPVYEGCVLPYAVLRFDLAGEDMAGALMDILRTERGYYSFTPSAEKEIARGIKENLAYVALDYEQELEAARNSSSVEKSYELPDGQVITVSAERFRCTEILFQPSMARSHSSGIHQTIYDSIMKCDNDIRKDLYGNILLTGGTTLFPGLADRLSKEITAIAPSGMKIKVVAPPERKYSVWIGGSILASLSCFQQMWISKAEYEESGPAIVHRKCF* >Brasy8G232300.1.p pacid=40080493 transcript=Brasy8G232300.1 locus=Brasy8G232300 ID=Brasy8G232300.1.v1.1 annot-version=v1.1 MQILTQSSANHKMDGESGYRLAAAEEEATTAIVPGCET* >Brasy8G045200.1.p pacid=40080494 transcript=Brasy8G045200.1 locus=Brasy8G045200 ID=Brasy8G045200.1.v1.1 annot-version=v1.1 MASMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADIAYCIHALSRRLNKTRNWIVALKTLVVVHRLIREGDPTFREELLNFTQRGRILQLSNFKDDSSPVAWDCSAWVRTYGQFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRDLNTQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMARHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVRDAPRMVPVREPLEFPERLLLTYKPDESEDVSEPDPVEEESPPVEEPVPVQTVTEIVSPPSKNDVAVVDTGDLLGLNDPSPGVSAIEESNALALAIVTSDASTSTTSTTAWQDKGFDPTGWELALVTAPSNTNSSVADSQLGGGLDKLILDSLYDEGAYRQRQQQQLYGSSAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHQQIPTMMQPNPFGPPVQTQHAGPGPVMNPFLDTGFGPFPVANNSQQQANPFGGNQLL* >Brasy8G294300.1.p pacid=40080495 transcript=Brasy8G294300.1 locus=Brasy8G294300 ID=Brasy8G294300.1.v1.1 annot-version=v1.1 MWKELHVRGCWSLQCLPRLSDQPEKVKVSGERIWWDKLHWDSLSRCNSYEPKLPPKFASFDEHAVMTS* >Brasy8G104300.1.p pacid=40080496 transcript=Brasy8G104300.1 locus=Brasy8G104300 ID=Brasy8G104300.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIETQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPEAK* >Brasy8G272400.1.p pacid=40080497 transcript=Brasy8G272400.1 locus=Brasy8G272400 ID=Brasy8G272400.1.v1.1 annot-version=v1.1 MREGDMIVESGHGRAQRIRRAHHRRARRRIEDGERIEHGGEHLLLHQSYHGVFIRDFVQMIRVTRSKMDYTTRNNVRNNTSVCAFLGVLFAKEQSSGGAQHVGSKSPLCSNVCSL* >Brasy8G023200.1.p pacid=40080498 transcript=Brasy8G023200.1 locus=Brasy8G023200 ID=Brasy8G023200.1.v1.1 annot-version=v1.1 MEATGNGAAAAACPDWSLLPQDVLVEIYLGLEIPDLLRAGAVCTSWHTAYSTFRRLRLPSPKQTPCLLYSSDASGPDAAALYCPATGATFRVPTPGARLRSLSLIGSADGWVVAADEIGNLHLLNPLSGGRVDLPPVAAMYHVEASLDEEGRVVYDVDENNPRYPGPTRVPALEIRDCMYFRAVLSCGPSAGAACVVLLVHMPNGELSYARPGDERWTRVSPDDGTGLRWRNLYRNAFYNKEDGLFYVVRMDESVQTLDLNGPSPVARTILRGRKFLTEVPHRYLVHSPWGDLLHIWRNRIEVDSRDYLPSTDEEEEDLVEEEEEKLESLASLGDHALFLGYNSSLCLPVKDIRGLKPNRAYITDDFF* >Brasy8G282800.1.p pacid=40080499 transcript=Brasy8G282800.1 locus=Brasy8G282800 ID=Brasy8G282800.1.v1.1 annot-version=v1.1 FLWGVVMCAFGLSTYPRSMSDLMGNWIVSFPSTQRRLVLCGSAAVLWTLWKTRNDACFKHKFPDDPSSVVFRLCNYITSWAHLQKEAGRNKLMKATGMLKVVVSEAYARSFGWAPTTKRLA* >Brasy8G021300.1.p pacid=40080500 transcript=Brasy8G021300.1 locus=Brasy8G021300 ID=Brasy8G021300.1.v1.1 annot-version=v1.1 MISWHDLYTVLSAVVPLYVAMALAYGSVRWWGVITPDQCGGINRFVAVFAVPLLSFKVISGTDLYAMDLRFAAADTLHKCAVLAALAASARFFPGSGGSRLDWPVTLFSFATLPNTLIMGIPLLVAMYGSHAGDLMVQLVVLQCIVWYTLLLFLFELRAARALISAAAAAASGAGGRRERVESVVVDPDVVSLDGSHAEAQAEVAPDGSMRLTVRRASASASASRRSLMAGAVTAATPRASNLTGVEIYSVCSSPRVSLGTHADLAGAAHPAAPASALALRASSFGAADLFSLHSSRQHTPRPSASASFDERNPRATAAVAPVNDDDGAGDDDRNNSDARVLDWSSGASEVSGLPVFRAGVGRDSGRLQLQPIGSGSSRMIRVARGPAPGASSANPEAAMQEAVAKLEAGLTTDERQKHEQQSKDGGGGGGSGQKMPPAGVMTRLILTMVWRRLIRNPNTYASVVGLTWSLIEFRYKIAMPAIVANSISILSNTGLGMAMFSLGLFMAMQPKLIACGHTVAATTLAVRFLFAPATMAAAAAAAGLRGTLLRVAIVQAALPQGIVPFVFAKEYNLHAAALCTGVIFGMLIALPIALVYYIILGLL* >Brasy8G060500.1.p pacid=40080501 transcript=Brasy8G060500.1 locus=Brasy8G060500 ID=Brasy8G060500.1.v1.1 annot-version=v1.1 MGMGTLAVAHKLSLTSAFLPRHRRPCRSSSAQHPRRRRHGAVVAYMEPDPNSPAAIVGRIVGALPVVGLVARILTDDGGVGGDTVDFAEFRRRVSKKCTVMDSQAFYDFNDRRGKVGDPFYVLLCCWLAAVGAGLLKTEEILEGVGRLRMSSDIEYEEETFLDMMKIAREKRAKSKGQAPQIPMEVRAEKALEAIYVCCFGQDMVEPEDEKLLCTMLNAVFPSVGRPAVERMVSAMAKQVADGERLVSAKVVSKEVVQRQLKDLEFLQQNKLDSV* >Brasy8G167900.1.p pacid=40080502 transcript=Brasy8G167900.1 locus=Brasy8G167900 ID=Brasy8G167900.1.v1.1 annot-version=v1.1 MHPLTFLLLAGAAAVAAAKPTAYEALATFDFPPGIIPKGVVSYTLDESTGDFTAHLNTSKTCEFSIQGSYSLRYQPTISGRISVDRLSNLQGVSVKVLFFWLNIIEVTRSGDNLGFSVGIASADFGLDNFLESPTCGCGFDCNHVLLQQQQPAEESNLRLRGAF* >Brasy8G023600.1.p pacid=40080503 transcript=Brasy8G023600.1 locus=Brasy8G023600 ID=Brasy8G023600.1.v1.1 annot-version=v1.1 MAILGRALLGVGLGFANQAVPLYLSEMAPARHRGAFSNGFQFSLCLGALFATVVNYGAEKIEAGWGWRLSLGLAAFPALLLTVGALFLPETPNSLVQQGKPRAEVRSLLQRIRGVDAVDDELDDIVAANDAMANNSNGNGLRAFLTRREYRPQLTMAVLIPSLTQMTGINAIGFYLPALLRTIGMRESAALLATVAMVVVSSASTLASMFLADRFGRRTLLIAGGLQMLVSEVLIGAVMAAKLGDQGALSRTYAVVLIVLIGVYSTGFGWSWGPLSWLVPSEIFPLEVRSAGQSVTVASGFVLTIFVAQCFLAMLCRMKAGIFFFFAGWIAAMTAFAYFFLPETKGIPIEQIGKVWGQHWFWKRVVGVDDEVQAADKL* >Brasy8G162600.1.p pacid=40080504 transcript=Brasy8G162600.1 locus=Brasy8G162600 ID=Brasy8G162600.1.v1.1 annot-version=v1.1 MWYFVNSHSILYSEDCHHMLQFFPCTLVLFSAMEWGSDGLRGLTAVQKLVAALFMSVNARHGGEMVVDLSALSSAVVVLYVVMMYLPPYTMFLPIAEDSHPQTGEHSSRSSEKKSSIRQKLLMSPLSCLAVFMVVICITERRRIADDPLNFNVLNIVVEVISAYGNVGFSTGYSCGRQVRPDGSCRDAWVGFSGKWSSEGKLVLIAVMFYGRLKKFSMQGGQTWKIA* >Brasy8G241300.1.p pacid=40080505 transcript=Brasy8G241300.1 locus=Brasy8G241300 ID=Brasy8G241300.1.v1.1 annot-version=v1.1 MARSTPFGFVVAAALALAIVMPAVAGQAPAQAPSSDASSIDQGVAYLLMILALVLTYLIHPLDASSPYKLF* >Brasy8G227300.1.p pacid=40080506 transcript=Brasy8G227300.1 locus=Brasy8G227300 ID=Brasy8G227300.1.v1.1 annot-version=v1.1 MTKPPLTPRDTPAHRQLGSTVLTPSHRHPDPTATGSPSTPPLSSSPPRPSRIPVVVPPSSSSLPSLSSSTLSSPQPSPPAASRIPGLRRLCLRRRRGGCELGRRVGEKLGFRRMGTAGKGAWVVPAPAYREVEGWEGAGDDAPGYRCGHSLTFIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRCCLFMGRASVLFGLNVVNVFQATHNCLRSMHPAGDPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYKWQKLNPEGDRPSARMYATASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSARYQHAAVFVGARLHVTGGVLKGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPFQSETDRVPRSENQNRNHNYSSDSPSFQQYTNNSHETASGFSTEKKSIDMLTEASAAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMADDLDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPNNEQSYQSRRALNRQRSPQGLHKKVISLLLRPRNWNAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENIHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINSVEQIEKIERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPINSPESSPERAMDATWMQELNIQRPPTPTRGRPHSASDRNSLAYI* >Brasy8G227300.2.p pacid=40080507 transcript=Brasy8G227300.2 locus=Brasy8G227300 ID=Brasy8G227300.2.v1.1 annot-version=v1.1 MTKPPLTPRDTPAHRQLGSTVLTPSHRHPDPTATGSPSTPPLSSSPPRPSRIPVVVPPSSSSLPSLSSSTLSSPQPSPPAASRIPGLRRLCLRRRRGGCELGRRVGEKLGFRRMGTAGKGAWVVPAPAYREVEGWEGAGDDAPGYRCGHSLTFIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRMHPAGDPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYKWQKLNPEGDRPSARMYATASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSARYQHAAVFVGARLHVTGGVLKGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPFQSETDRVPRSENQNRNHNYSSDSPSFQQYTNNSHETASGFSTEKKSIDMLTEASAAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMADDLDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPNNEQSYQSRRALNRQRSPQGLHKKVISLLLRPRNWNAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENIHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINSVEQIEKIERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPINSPESSPERAMDATWMQELNIQRPPTPTRGRPHSASDRNSLAYI* >Brasy8G227300.3.p pacid=40080508 transcript=Brasy8G227300.3 locus=Brasy8G227300 ID=Brasy8G227300.3.v1.1 annot-version=v1.1 MTKPPLTPRDTPAHRQLGSTVLTPSHRHPDPTATGSPSTPPLSSSPPRPSRIPVVVPPSSSSLPSLSSSTLSSPQPSPPAASRIPGLRRLCLRRRRGGCELGRRVGEKLGFRRMGTAGKGAWVVPAPAYREVEGWEGAGDDAPGYRCGHSLTFIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRMHPAGDPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYKWQKLNPEGDRPSARMYATASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSARYQHAAVFVGARLHVTGGVLKGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPFQSETDRVPSTEKKSIDMLTEASAAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMADDLDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPNNEQSYQSRRALNRQRSPQGLHKKVISLLLRPRNWNAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENIHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINSVEQIEKIERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPINSPESSPERAMDATWMQELNIQRPPTPTRGRPHSASDRNSLAYI* >Brasy8G087700.1.p pacid=40080509 transcript=Brasy8G087700.1 locus=Brasy8G087700 ID=Brasy8G087700.1.v1.1 annot-version=v1.1 MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK* >Brasy8G005000.1.p pacid=40080510 transcript=Brasy8G005000.1 locus=Brasy8G005000 ID=Brasy8G005000.1.v1.1 annot-version=v1.1 MSPLAPPQGEKSSGAGGRQRQDVVVQQLRGRSASFHGRGAEQQRHQTLPKQRPKTQPDLLAGVRGRSFRSGSGSADGAETPKAELAAVRRTPSKVLVSVAVQRSLWPLHVMASAGWSVADLVAAAVGLYVKEGRRPLLPSADPSAFGLHYSQFCLESLDPREKVMDLGSRSFFLCPRSYSAAAHAGSSSCSSAGASTVRDDNREAPAAAGEPPAWLRYMPFWPTM* >Brasy8G125200.1.p pacid=40080511 transcript=Brasy8G125200.1 locus=Brasy8G125200 ID=Brasy8G125200.1.v1.1 annot-version=v1.1 MCLRFLCGGGHDDLGPAGDGHRWSPSPQHAARPLSDKDHPAVSPVKQAIPGSLHNGGEASNRASPIAQANVEGRRIGGLVHPGAAAKNSEEADAPSSWVPTSTKGAPELTSPEPVAAWFQVKDKMRQPPAPQFARRTTGDRSMK* >Brasy8G286400.1.p pacid=40080512 transcript=Brasy8G286400.1 locus=Brasy8G286400 ID=Brasy8G286400.1.v1.1 annot-version=v1.1 MGNCWGAKISSDNSSSSPSGATSKFASRNGATLSNSSSYVSVASVPRSEGEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGYQGHREWLAEVNYLGQLSHPNLVKLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIAIGAAKGLAFLHSDEAKVIYRDFKTSNILLDASYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVFSFGVVLLEMLSGRRAVDKNRPNGEHNLVEWARPYLTSKRRIFRVLDARLGGQYSLTSAQKAASLALQCLSVESRHRPSMEQVVTVLEQLQESSNERGDNPHPQAQKKPSSNRSLTGSKPSMMKPV* >Brasy8G120700.1.p pacid=40080513 transcript=Brasy8G120700.1 locus=Brasy8G120700 ID=Brasy8G120700.1.v1.1 annot-version=v1.1 MVAVEEHNGDGGTGWVLALPASEVGSYGRRARTSQVLSSPADSISGRRQTSGAVRRPRGGWTPEEDAKLRKAVSAFEGQNWKKIAESFPDRTEAQCLHRWQKVLDPELLKGPWTKEEDETIIDMVKEHGPRKWSDIAKSLRGRTGKQCRERWHNSLNPQLRKGSWTIEEESLLVNAHRMLGNKWADIAKLLPGRTDNAVKNHWNSSMRKRLKHYNRSVTRNDLKHAANRPPAENHIDLNKEPSISLQDCSVVVDHSHPTQSPTVCSLENIKGCSNFLSPSMPVARPVTLCEASVPDDSAVALALLGLKMDSGHNQDRGLKFVSENGLEIDLITDKMGPSGLAKSGETAKIGCESPSQNEAQPFGSLRYQIPMIDDTGLARSPAFSTHHGVAFQSLTGYTTPLPTDGKKSDLQSVDSILKSAAENFPSTPSILRRRKRKKPAPDQDCELRIDTNGGSFDTPMGNSNADGPNSFKNSTSLSDVLGKSDDSPSYRPRSKSMAVLKTIEEHLDFSSDAMDISDTSGVWKPACWSSEIINSSTDISSAQDKKIGGHMIGLETSTDDFVHTTKLDAI* >Brasy8G131900.1.p pacid=40080514 transcript=Brasy8G131900.1 locus=Brasy8G131900 ID=Brasy8G131900.1.v1.1 annot-version=v1.1 MGRGKIEIKRIENTSNRHVTFAKRRAGLVKKAREISVLCDAEVGVVIFSSAGKLHDFCSPKTTLPRILEKYQTNSGKILWDEKHKSISAEIDRVKKENDNMQIELRHMKGEDLNSLQPKELIAIEEALQNGQTNLRDRQMEHWKMHRRNEKMLEDEHKLLAFRMHQQDAELSNGMREMELGYHHARDFAPQMPFTFRVQPSHPNLQEDK* >Brasy8G284900.1.p pacid=40080515 transcript=Brasy8G284900.1 locus=Brasy8G284900 ID=Brasy8G284900.1.v1.1 annot-version=v1.1 MELCSVASIMSLGSLAVQRTRLCSIAFRVLPKLLGFAPSSLKKFCKDVHPPLTEAIVGTSPELPQDVLMGIFATLEIPDVIRAGAVCSSWHSAFTSLTSLGQYKLPQTPCLLYTSESSDDSAAYLYSITEKRSYKLTLPDPPIRTRYLIGSSHGWLVTVDERSEMHLVNPITCEQIALPSVTAIEQLRDVLHYKAFVFPDTSTGSYIVVLIHNSMRQLSFARVGDDKWTWLPPNEDYSDCMYKDGLLYAVSVMGELHTFDLSGPVVVMKTMISIPRKYDYEYTYIVLAPWGGLLLIWRICEDYELEPDPGASVFWNTTEFRIYEVEAAGSELKEINCLRDHVLFLGHNQSLCLGAEEYLSLRANHVYFTDDNFLWTRGFKNNHRDMGTLNLDDNSKEELVSPQLWSNSPAPVWITPDLRKMNLDSRGG* >Brasy8G256300.1.p pacid=40080516 transcript=Brasy8G256300.1 locus=Brasy8G256300 ID=Brasy8G256300.1.v1.1 annot-version=v1.1 MEAKTTRAAAAMAALCVLALMLSTMPGKAAAAKNFCKCYLPCYTDCRKTIPGKLRFLCVAKCLDDCSPNKKLVAGGGGDQCARACSVNSICNSLESAGPAPDRDATSACVRGCNMRRHHITN* >Brasy8G051900.1.p pacid=40080517 transcript=Brasy8G051900.1 locus=Brasy8G051900 ID=Brasy8G051900.1.v1.1 annot-version=v1.1 MASSSSSLTAPLLLPDQQDSQEDAAAMAAAPSGAPRDGGNQQEQGIMPSWAETLLCCCGGCEHTLQLWRRVVLRKWLNVGAGSGDSDFSADEGDGGSAEDDSDSEHQENCGWERKLYAEEMRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLTSGEKDGHLTKRNADVEDIIRRTVFNPVHRVGMPKGIHDHVRIIWFGDLNYRINLSYERTHELISKHDWDRLLEKDQLKQELMKGHTFDGWIEGEISFPPTYKYEFNSQKYASDEPKSARRTPAWCDRILSFGKGIRLDSYKRAELDLSDHRPVSAVYMAEVEVICHRKLQRALTSTDAEAEDHVLLEEECTC* >Brasy8G051900.2.p pacid=40080518 transcript=Brasy8G051900.2 locus=Brasy8G051900 ID=Brasy8G051900.2.v1.1 annot-version=v1.1 MASSSSSLTAPLLLPDQQDSQEDAAAMAAAPSGAPRDGGNQQEQGIMPSWAETLLCCCGGCEHTLQLWRRVVLRKWLNVGAGSGDSDFSADEGDGGSAEDDSDSEHQENCGWERKLYAEEMRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLTSGEKDGHLTKRNADVEDIIRRTVFNPVHRVGMPKGIHDHVRIIWFGDLNYRINLSYERTHELISKHDWDRLLEKDQELMKGHTFDGWIEGEISFPPTYKYEFNSQKYASDEPKSARRTPAWCDRILSFGKGIRLDSYKRAELDLSDHRPVSAVYMAEVEVICHRKLQRALTSTDAEAEDHVLLEEECTC* >Brasy8G051900.3.p pacid=40080519 transcript=Brasy8G051900.3 locus=Brasy8G051900 ID=Brasy8G051900.3.v1.1 annot-version=v1.1 MASSSSSLTAPLLLPDQQDSQEDAAAMAAAPSGAPRDGGNQQEQGIMPSWAETLLCCCGGCEHTLQLWRRVVLRKWLNVGAGSGDSDFSADEGDGGSAEDDSDSEHQGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLTSGEKDGHLTKRNADVEDIIRRTVFNPVHRVGMPKGIHDHVRIIWFGDLNYRINLSYERTHELISKHDWDRLLEKDQLKQELMKGHTFDGWIEGEISFPPTYKYEFNSQKYASDEPKSARRTPAWCDRILSFGKGIRLDSYKRAELDLSDHRPVSAVYMAEVEVICHRKLQRALTSTDAEAEDHVLLEEECTC* >Brasy8G051900.6.p pacid=40080520 transcript=Brasy8G051900.6 locus=Brasy8G051900 ID=Brasy8G051900.6.v1.1 annot-version=v1.1 MRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLTSGEKDGHLTKRNADVEDIIRRTVFNPVHRVGMPKGIHDHVRIIWFGDLNYRINLSYERTHELISKHDWDRLLEKDQLKQELMKGHTFDGWIEGEISFPPTYKYEFNSQKYASDEPKSARRTPAWCDRILSFGKGIRLDSYKRAELDLSDHRPVSAVYMAEVEVICHRKLQRALTSTDAEAEDHVLLEEECTC* >Brasy8G051900.7.p pacid=40080521 transcript=Brasy8G051900.7 locus=Brasy8G051900 ID=Brasy8G051900.7.v1.1 annot-version=v1.1 MRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLTSGEKDGHLTKRNADVEDIIRRTVFNPVHRVGMPKGIHDHVRIIWFGDLNYRINLSYERTHELISKHDWDRLLEKDQELMKGHTFDGWIEGEISFPPTYKYEFNSQKYASDEPKSARRTPAWCDRILSFGKGIRLDSYKRAELDLSDHRPVSAVYMAEVEVICHRKLQRALTSTDAEAEDHVLLEEECTC* >Brasy8G051900.4.p pacid=40080522 transcript=Brasy8G051900.4 locus=Brasy8G051900 ID=Brasy8G051900.4.v1.1 annot-version=v1.1 MASSSSSLTAPLLLPDQQDSQEDAAAMAAAPSGAPRDGGNQQEQGIMPSWAETLLCCCGGCEHTLQLWRRVVLRKWLNVGAGSGDSDFSADEGDGGSAEDDSDSEHQENCGWERKLYAEEMRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKMLKISYGERYLTQFIELACLREYMTM* >Brasy8G051900.5.p pacid=40080523 transcript=Brasy8G051900.5 locus=Brasy8G051900 ID=Brasy8G051900.5.v1.1 annot-version=v1.1 MASSSSSLTAPLLLPDQQDSQEDAAAMAAAPSGAPRDGGNQQEQGIMPSWAETLLCCCGGCEHTLQLWRRVVLRKWLNVGAGSGDSDFSADEGDGGSAEDDSDSEHQGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKMLKISYGERYLTQFIELACLREYMTM* >Brasy8G051900.8.p pacid=40080524 transcript=Brasy8G051900.8 locus=Brasy8G051900 ID=Brasy8G051900.8.v1.1 annot-version=v1.1 MRLRGLGAGTIGNQMKDVPHRLRRRKSETLRAQYINVRELRIFVGTWNVGGRVPPSDLDIHEWLAMEERADIYVLGFQEIIPLNAGNIFGAEDNHPVSVWEHIIRETLNKNCPYKPKYKCHSDPPSPSKFSPSDYLEMEDDLFNESDNENYGELQPLIEQDSKVTNDCGVRDKTYKNSTSVSYERVHEDKDFSNMSSLKTFGQSHNLSYKKLRSNLEEPSNQKKMPQTFGHPERHGMIWLEQPADMLTPLQDSVSFTSVKNVIASMPSKSTNDNSNGYMEDNLANGVCINNTIVRRKMPYFYRIISKQMVGIFLSVWVRRGLRKHIQNLRVSTVGVGAMGYIGNKMLKISYGERYLTQFIELACLREYMTM* >Brasy8G046500.1.p pacid=40080525 transcript=Brasy8G046500.1 locus=Brasy8G046500 ID=Brasy8G046500.1.v1.1 annot-version=v1.1 MERSSAPSKLFLFILFFLQLVLLSQLLEARTLGGASYGYKSLLTTDGDDHDHGALLSPDLINMVVSDADAVGRPSKRTPPSPKPGPSPHWPLVGCGAQRPPAPLLVAAAPPRDHSGQRTVGYISWLQGRTMEVFRAFVQAN* >Brasy8G001200.1.p pacid=40080526 transcript=Brasy8G001200.1 locus=Brasy8G001200 ID=Brasy8G001200.1.v1.1 annot-version=v1.1 MPPSSCLCRLGNGVQILTKAFVEGKSADVSLTSRPSLSPTRGGRSRRRDHVGLWRTSVSLCFFTGRPSMCFIRLDGKRQKDTSTNTFTARKTQGTCWKGRVHQMEFLMDESNCSSLLPFAMV* >Brasy8G118900.1.p pacid=40080527 transcript=Brasy8G118900.1 locus=Brasy8G118900 ID=Brasy8G118900.1.v1.1 annot-version=v1.1 MTLAQEPKAAAEGLALALGSGSSGGRSSPARRGAAEGAFECRTCGRRFPSFQALGGHRTGHTRRHNALPSARHEASDRPGLRRAPPQHECAVCGLEFPMGQALGGHMRRHRLQARVPEEEPTLDLNRSAPSEKDQDCLHEEGGCPSGSDSQPRLLNLLV* >Brasy8G155200.1.p pacid=40080528 transcript=Brasy8G155200.1 locus=Brasy8G155200 ID=Brasy8G155200.1.v1.1 annot-version=v1.1 MEGEDTGGGGVDWGSLAEAASGAVGALVSTTVLYPLDTCKTKFQADVQTAQGAPKYRNLSDVFWEAIKKKQFLSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLIAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLVRRQTCKNAESAGDSSPVALSAFSAFLVGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGNSRPPKTMLGAMHDMWNTEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWISLLALRRYLFVSQKRIKSA* >Brasy8G069600.1.p pacid=40080529 transcript=Brasy8G069600.1 locus=Brasy8G069600 ID=Brasy8G069600.1.v1.1 annot-version=v1.1 MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK* >Brasy8G143900.1.p pacid=40080530 transcript=Brasy8G143900.1 locus=Brasy8G143900 ID=Brasy8G143900.1.v1.1 annot-version=v1.1 MGSSSSSNARDAPAPAPPPPPPPPAPPAPLHVMDADEDDDNVKQLNECAALYLSLQDCLSESDRNWKACQAHVQALKACEASRNKSEKT* >Brasy8G223000.1.p pacid=40080531 transcript=Brasy8G223000.1 locus=Brasy8G223000 ID=Brasy8G223000.1.v1.1 annot-version=v1.1 MAAAVKRPPPWKAAKGSSAPASGGPAAGTKKKQQQQQKKATRKRKWVPLPPLLAPGTAVEVLRNGAWVGGGTVTIRNDRAYMVSLDGGSMTVLMTRARVRPAVVAAAGDGQ* >Brasy8G279100.1.p pacid=40080532 transcript=Brasy8G279100.1 locus=Brasy8G279100 ID=Brasy8G279100.1.v1.1 annot-version=v1.1 MLLKSKFKLATAVGIVLSMLSLLVHLFLANYSAGGITNPSDHVLPFGSRPRPRRLWGPLSTLDHLHPYAAPRKVYPAPAMHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYHEEHFIAALSNDVPIVRGLPKDLREARKKIKFPTVSPKNTATSEYYTTEVLPRLAKSKVIGIIINGGKCLQSILPASLEELQRLRCRVAFHALKFRPEIQSLGSQIVARLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSMKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRVLIPLRAMYANLVDRTSLCTRRELSDLAGPEAPLSSDTPHPPSPKSEKELIEEWKKAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDPSPIEFRRQAHRLLWDALDYFVSVQADAFFPGFHNDGSSWPDYSSLIMGHRLYNSSGITYRPDRRTVVGLFQNVSDHLYHPPRNWTLAARQHLNNSAGIDGIIMSAMMSKPASFLAHPLPECSCRTTKSPAIQPVKDKHGELLFGGEEECPDWMVRRLAMAATKNNEPQNEDYEGELLEDDSSQDTQQESDRSDTNKSSEQDEEMDPDD* >Brasy8G000900.1.p pacid=40080533 transcript=Brasy8G000900.1 locus=Brasy8G000900 ID=Brasy8G000900.1.v1.1 annot-version=v1.1 MLHHVLCDTQRNVAIDGCMPLLPQDAASQGAGNLALPDTPSMKHHFDVHVAPCASEGTPLVPATSLSKCSSVQAGGYAMPGQNSEPDVAAQEDDGPVRRQQIQEPRGKKHFREENQKHQEIADNCVGGLTSRVCSRQDDQNKRRHLLKDEHSEQYSKSKGICARVDGFLNEVDISSVGTEDVAVHSSDDEIPKNEGASDNSQNHGKGLDFLGRKEEAVQIRPADELESSVELCGHGTKSVTNNTEPNSENPALCFSVNENDSTTSTEKHADAGVAREKVVEQQGHLDNSTEGSGEKHDGSTKRCDTVSTTAEGLFDLFTPKYALSEKTVNSSTLKTYHNGEVCNTGSHKKGTDLPPCVGTLKEVTNSVEREAMVHKGEEKDGERSSKGTDLPPCAESMKENKSPKLTCTAGDGTTVQRMQSEDSLQSDQCKFSTLEAIESDLAKPCQNAGKCPEGTPKKEGTKVPLDYVTDNSDPHGACNIPDTPKDPASNDLKESRDVEATSTDGTEQEEWVQRRRQRIGVRKVRMSRAMKMYGFR* >Brasy8G008800.1.p pacid=40080534 transcript=Brasy8G008800.1 locus=Brasy8G008800 ID=Brasy8G008800.1.v1.1 annot-version=v1.1 MAAAAAAIHRAAHPLRSVAFSSSRSRSLLHLLSPSRAFSSSSYAYHPPAAAAVPNQAQQQQWAPPPPPSRHAPPQWSPQGHPPPANNYPQQGPPPPANNYHHQQQQGPPPARNDYHQHQQGPPPPRNNYQQQGPPPPPPRNNYGPPPPQHQQQAPPPPVMGPTELIGLCREGRVKEAVELLAGGARADAPSFYELAAACSNPKLLEELRKVHDFLLRSPFRADLQVNNKVLEMYGKCSNMTHARRTFDHMPDRDMASWHIMIDGYTANGLGDDALQLFEGMKRCGIAPDGRTFALVLDACANSDAIEEALLYFEAMSRDHGIEPGEEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTAVVWESLLNLARMNGDFDLEDRAEELLVSLDPSRVNPKKLPTPPPKRRLGINMLDGRNKLGEYRLPPKIEKKVVTEQRYVPDTRYVLHDIDQEAKEQALMYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKGGACSCGDYW* >Brasy8G270500.1.p pacid=40080535 transcript=Brasy8G270500.1 locus=Brasy8G270500 ID=Brasy8G270500.1.v1.1 annot-version=v1.1 MQQSIISFLHVRVEGTAAGEINGVVACKKRPVGTGQLGISSWRQRRCYVPLRTRTSSPAIDPASNQERLADDDDRKEEKDRLRKIPQQFQPSIWGDFFLYYSNPLASSAEQQIRIVERADKLKEVVAKMIASSSTHSQIEMLHLIDMLQRLCLDHLFQDEIDVVLSEIHDADINGCTDLHTAALRFYLLRKHGFNVSPNVFSQFHDEEGCFASSNPMDLLCLYNAAYLRTHGETILDEAISFTKRCLRSALPHLEGSLALETQCALDIPLPRRVRIYEANCQIRMHEKETSTNKMILELAKLNYNLMQLQYQQELKIITRWWKDLEIQSRLSFARDRIVECYFWIVGVYFEPCYARSRIILTKVIAIVTLLDDIYDVYGTSEECELFTKCMESWDPKASQDLPEYMKFVFGKIFDTYEAMEHELTHTEKSRMFYLRNFTIDLVRAFNNEVKWRDAGYVPSTVEEHLRVSTRTGGCYILSCASFVGMDCTATEKSFDWVSSRPKIVQALCKILRLSDDLKTYEREQMTSHVASTIDSYMKEHNVSYETACENIQEHIEESWKDFNGEWLATGNVQPKQLVERIFNLARTMEFMYNQDDKFTNCQNLQDDIRLLFVEAFTIT* >Brasy8G270500.3.p pacid=40080536 transcript=Brasy8G270500.3 locus=Brasy8G270500 ID=Brasy8G270500.3.v1.1 annot-version=v1.1 MQQSIISFLHVRVEGTAAGEINGVVACKKRPVGTGQLGISSWRQRRCYVPLRTRTSSPAIDPASNQERLADDDDRKEEKDRLRKIPQQFQPSIWGDFFLYYSNPLASSAEQIRIVERADKLKEVVAKMIASSSTHSQIEMLHLIDMLQRLCLDHLFQDEIDVVLSEIHDADINGCTDLHTAALRFYLLRKHGFNVSPNVFSQFHDEEGCFASSNPMDLLCLYNAAYLRTHGETILDEAISFTKRCLRSALPHLEGSLALETQCALDIPLPRRVRIYEANCQIRMHEKETSTNKMILELAKLNYNLMQLQYQQELKIITRWWKDLEIQSRLSFARDRIVECYFWIVGVYFEPCYARSRIILTKVIAIVTLLDDIYDVYGTSEECELFTKCMESWDPKASQDLPEYMKFVFGKIFDTYEAMEHELTHTEKSRMFYLRNFTIDLVRAFNNEVKWRDAGYVPSTVEEHLRVSTRTGGCYILSCASFVGMDCTATEKSFDWVSSRPKIVQALCKILRLSDDLKTYEREQMTSHVASTIDSYMKEHNVSYETACENIQEHIEESWKDFNGEWLATGNVQPKQLVERIFNLARTMEFMYNQDDKFTNCQNLQDDIRLLFVEAFTIT* >Brasy8G270500.2.p pacid=40080537 transcript=Brasy8G270500.2 locus=Brasy8G270500 ID=Brasy8G270500.2.v1.1 annot-version=v1.1 MMPISTAVLIFTRQRCGFIYFANMDSMSHQFHDEEGCFASSNPMDLLCLYNAAYLRTHGETILDEAISFTKRCLRSALPHLEGSLALETQCALDIPLPRRVRIYEANCQIRMHEKETSTNKMILELAKLNYNLMQLQYQQELKIITRWWKDLEIQSRLSFARDRIVECYFWIVGVYFEPCYARSRIILTKVIAIVTLLDDIYDVYGTSEECELFTKCMESWDPKASQDLPEYMKFVFGKIFDTYEAMEHELTHTEKSRMFYLRNFTIDLVRAFNNEVKWRDAGYVPSTVEEHLRVSTRTGGCYILSCASFVGMDCTATEKSFDWVSSRPKIVQALCKILRLSDDLKTYEREQMTSHVASTIDSYMKEHNVSYETACENIQEHIEESWKDFNGEWLATGNVQPKQLVERIFNLARTMEFMYNQDDKFTNCQNLQDDIRLLFVEAFTIT* >Brasy8G289600.1.p pacid=40080538 transcript=Brasy8G289600.1 locus=Brasy8G289600 ID=Brasy8G289600.1.v1.1 annot-version=v1.1 MARYQKPKSSRGKANRPVSQPFRLLLVRPEVAASTLSPAAAMAAPLPATGNGPPPPSEAPPSKKPRRRLGLTPTPTPTPRAATTKPPVSSPAPAPTATPTQPSSTHRTLGNFDLGSEPPQPSPPPAPTPPSATLAASGRPPRNVARKTKPGSSARAPPSPLFAQPAMAPPEPPTASDHEVFDEMATGMVGDESFMSLMVDEHIDEGFVDPSPVRGRQVNYTIEEDEALVLSWEAITLDAVHGVEQSGSTYWQRIHEHYHHIKKTPGERTQKSLTNRWSAIQDICSKWASSMEQVERLNPSGANPNDRINIAQKFFKQLTTKNGKLGKPFSLQHCYALLVHDEKWRTRNDEMPTKKSKSSYSSSSDVQNIDESSDESDSEGAKRSPTPNSVERKRPLGRKKEKARLKEKEGTCKDSIDMMVAIRKTLAVERKE* >Brasy8G255400.1.p pacid=40080539 transcript=Brasy8G255400.1 locus=Brasy8G255400 ID=Brasy8G255400.1.v1.1 annot-version=v1.1 MKFRVVCRKLYDYVRYDLREIAFPSSLPDPPGYKPRPKLTLNEKWCILKEATRLYAASWVRDIGPELRPNDYKKVKEESSSSKSEEGKTTSEPTVLEDLAVAARGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDEKVAGKGNQEGTSSSPSPPPPPPSSS* >Brasy8G255400.2.p pacid=40080540 transcript=Brasy8G255400.2 locus=Brasy8G255400 ID=Brasy8G255400.2.v1.1 annot-version=v1.1 MKFRVVCRKLYDYVRYDLREIAFPSSLPDPPGYKPRPKLTLNEKWCILKEATRLYAASWVRDIGPELRPNDYKKVKEESSSSKSEEGKTTSEPTVLEDLAVAARGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDEKVAGKGNQEGTSSSPSPPPPPPSSS* >Brasy8G231700.1.p pacid=40080541 transcript=Brasy8G231700.1 locus=Brasy8G231700 ID=Brasy8G231700.1.v1.1 annot-version=v1.1 MLHLLIGLYAVFIGRKKNLRWRFLFRHGLQEMHPVICHPRKTQPCQMMAAASGCPYGHRYHFCHSPAL* >Brasy8G222600.1.p pacid=40080542 transcript=Brasy8G222600.1 locus=Brasy8G222600 ID=Brasy8G222600.1.v1.1 annot-version=v1.1 MAPSVKLYGWAMSPFVARAMLCLEEAGVDYELVPMSREAGDHRVPDFLSKNPFGQVPVLEDGDLTLFESRAIARHVARKYKPELLSGADGSPASAAAVDVWLEVEAQQHSGAAGAIVFQCILADLIGGGRDQAAVDENAAKLGKVLDVYEARLSASRYLAGGAGASLADLSHFPLMRYFMDTEYAAMVEERLHVKAWWEELKGRPAAIKVAGFMPPDFGLGKKAEQ* >Brasy8G141600.1.p pacid=40080543 transcript=Brasy8G141600.1 locus=Brasy8G141600 ID=Brasy8G141600.1.v1.1 annot-version=v1.1 MGEAEGEAAALEFTPTWIVAAVCSLIVLISLVAERLIHYLGKTFKKKNQKPLYEAILKVKEELMLLGFISLMLTVFQGMIQNTCIRPSWTVHMLPCQREEEVESTKEHSVTAHIIGRIGRRLLSDGAAGAEHCRKKGKVPLMSLEAIHQLHIFIFVLAITHVVFSVTTMLLGGAKIHQWKQWEDTIQKDTAGNGPKKVTRVHQFEFIKEHFKGMGKDSRILSWIHCFFKQFYGSVGKSDYETMRRGFIMTHCRGNLKFDFHKYMLRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPLLLLLAVGTKLEHVIAQLAHDVAEKHSAIEGDLIVKPSDDHFWFGRPRIVLFLIHFILFQNSFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGLIIQLLCSYSTLPLYAIVTQMGSFYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGNATAGPTNTVDSAGPSVKIEMMKRAGREGNDAGEIME* >Brasy8G056600.1.p pacid=40080544 transcript=Brasy8G056600.1 locus=Brasy8G056600 ID=Brasy8G056600.1.v1.1 annot-version=v1.1 MAPSLLSPPLVAGSLLATRRNFSPVPSSRRAQPLASAGFVRDGRRSWGRKGLRTRASTAEAEEYVRDGTSEEDVADDFYSVLGVMPDATTEEIKKAYYSCMKACHPDLSGDDPEVTNVCMFVNEVYAVLSDPVQRAAYDEIHGYTATATNPFFDSNAPKDHVFVDEFTCIGCRNCANLCPGVFEIEEDFGRSRVYSQSGSTDLIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMSAGMGVSVDVFRMASARWEKRQSKVLEKIRTRMVNQKNSDMSSSWSDIWGSPSRYQSNDEEAAERADRAARAARRWREYSRKGTDRPPKFKLPEAIGNKE* >Brasy8G015000.1.p pacid=40080545 transcript=Brasy8G015000.1 locus=Brasy8G015000 ID=Brasy8G015000.1.v1.1 annot-version=v1.1 MAAAVRASPASHADNCAGMEDSGGDAGAGWRTLRGGGRRWRTGRRWSRAAAAARKRRRCGLGDGEGVRPQRAGRWPRQGGGGGAGVGLRRRCAAWRAAAAALGDSEGGRRCR* >Brasy8G106100.1.p pacid=40080546 transcript=Brasy8G106100.1 locus=Brasy8G106100 ID=Brasy8G106100.1.v1.1 annot-version=v1.1 MREAGMAGDNLGYPAMALVDGSSSWSWICEQEGGGLTFASPCVQRSLIDCVNVVLLAAYATALLVACVRGQWDGRGRRRRRRRWEAAAVSVCCVAVAATYAVIGFRDAIGAAASVVRGVVWVAVAASLHVQPTRPARTVALLWWTLFSLLITAYNAEVLVSGYRLDVAEAVAWPVNFLLLLCALSSLLQRSDGRKDSLDDNGLSEPLVDKAVHDSELYRAGLFSQLTFSWLNPLLCLGRSKALDLADVPLIGSEDSALQASKKFSEAWSRHRQGKARSGSTNGLPLVLFKCFLREIMIAGFYALMRTLAIAVSPALLFAFVRYSYQEERDLRVGFSLVGCLLLIKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWLHMAWSSPLQLALAVGMLIWALRLGAVPGLVPLIIFGFLNVPFAKLLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRIMIESLRDAEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLIEDEIKEGVEKVPSDNSDIRVHVQDGNFSWNASGADLALRNVNLRIRQGEKVAVCGAVGSGKSSLLYALLREIPRTSGSVEVFGSLAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALSKKTVVLVTHQVEFLTETDRILVMEGGQVNQQGKYAELLESGTAFEKLVSAHQSSVTALDTTSQQNQVQGQQVLDDSISPSALLATRQSSDIDVSTKGPSMIQLTEEEEKGIGDLGWKPYKDYIDVSKGFLPLCGMVTAQVLFTCFQIMSTYWLAVAVQINVSSALLVGAYSGLSIFSCCFAYLRSLFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVATGGIEVVTTILVMSTVTWQVLVVAIPVAITMVYVQRYYVASARELVRINGTTKAPVMNYAAESILGVVTIRAFAATDRFIRNNLQLVDNDATLFFHTVAAQEWVLIRVEALQSLTILTSSLFLILVPPGVISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMHLQSEPPAIIPDNRPPTSWPQEGRIDLQDLKQVKYRPNTPLVLKGITCTFPAGNRIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSIGLKDLRAKLSIIPQEPTLFRGTVRNNLDPLGLHSDDEIWEALEKCQLKRSISSTAALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQSVIRKQFTSCTVITIAHRVPTVTDSDRVMVLSYGRLLEYDTPAKLLEDKQSAFAKLVAEYWANCKRNMT* >Brasy8G106100.2.p pacid=40080547 transcript=Brasy8G106100.2 locus=Brasy8G106100 ID=Brasy8G106100.2.v1.1 annot-version=v1.1 MREAGMAGDNLGYPAMALVDGSSSWSWICEQEGGGLTFASPCVQRSLIDCVNVVLLAAYATALLVACVRGQWDGRGRRRRRRRWEAAAVSVCCVAVAATYAVIGFRDAIGAAASVVRGVVWVAVAASLHVQPTRPARTVALLWWTLFSLLITAYNAEVLVSGYRLDVAEAVAWPVNFLLLLCALSSLLQRSDGRKDSLDDNGLSEPLVDKAVHDSELYRAGLFSQLTFSWLNPLLCLGRSKALDLADVPLIGSEDSALQASKKFSEAWSRHRQGKARSGSTNGLPLVLFKCFLREIMIAGFYALMRTLAIAVSPALLFAFVRYSYQEERDLRVGFSLVGCLLLIKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWLHMAWSSPLQLALAVGMLIWALRLGAVPGLVPLIIFGFLNVPFAKLLQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRIMIESLRDAEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLIEDEIKEGVEKVPSDNSDIRVHVQDGNFSWNASGADLALRNVNLRIRQGEKVAVCGAVGSGKSSLLYALLREIPRTSGSVEVFGSLAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALSKKTVVLVTHQVEFLTETDRILVMEGGQVNQQGKYAELLESGTAFEKLVSAHQSSVTALDTTSQQNQVQGQQVLDDSISPSALLATRQSSDIDVSTKGPSMIQLTEEEEKGIGDLGWKPYKDYIDVSKGFLPLCGMVTAQVLFTCFQIMSTYWLAVAVQINVSSALLVGAYSGLSIFSCCFAYLRSLFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVATGGIEVVTTILVMSTVTWQVLVVAIPVAITMVYVQRYYVASARELVRINGTTKAPVMNYAAESILGVVTIRAFAATDRFIRNNLQLVDNDATLFFHTVAAQEWVLIRVEALQSLTILTSSLFLILVPPGVISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMHLQSEPPAIIPDNRPPTSWPQEGRIDLQDLKVKYRPNTPLVLKGITCTFPAGNRIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSIGLKDLRAKLSIIPQEPTLFRGTVRNNLDPLGLHSDDEIWEALEKCQLKRSISSTAALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQSVIRKQFTSCTVITIAHRVPTVTDSDRVMVLSYGRLLEYDTPAKLLEDKQSAFAKLVAEYWANCKRNMT* >Brasy8G128100.1.p pacid=40080548 transcript=Brasy8G128100.1 locus=Brasy8G128100 ID=Brasy8G128100.1.v1.1 annot-version=v1.1 MATAAAVTVSTSVHLRSSQHAFACPRVRSLPSLRRQRRSLAVAAVQQDAAVWNAVPVSSVGPASADGSLFHICVDLSDAPDLASSYTAPGQYLMVRVPGEDELKPAFLAIASPPGKGVFEFLVKTVPGATAEKLCGLRDDDVLELGAVMGNGFPIERITPTNAAETVLLFATGTGISPIRSLVEFGFAANQRADVRLYYGARNLQTMAYQDRFANWESTGLKIVPVLSQPDDSWKGKRGYVQHAFLEAKSIASPTSAGAVLCGQKQMIEEVTSALAAEGVSQDKILKNF* >Brasy8G235900.1.p pacid=40080549 transcript=Brasy8G235900.1 locus=Brasy8G235900 ID=Brasy8G235900.1.v1.1 annot-version=v1.1 MEHSLTFSSHLQRMVQEVAGQEQPVKESDSPGSGSGSAGAAEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDTSNTGTVAEINAQHYQQESAKLRHQITNLQNSNRTLIGESMATMSHRDLKQLEGRLDKGLGKIRARKNELLFAEIEYMQRREMELQNDNLYLRSKVAENERGQQQTLNMMGAASTSDQYEQNMIHCDPRNFLQFNIMQQPQYYQQEDRKAFDSVER* >Brasy8G235900.2.p pacid=40080550 transcript=Brasy8G235900.2 locus=Brasy8G235900 ID=Brasy8G235900.2.v1.1 annot-version=v1.1 MQILKEQLAMPSTGLMVKESDSPGSGSGSAGAAEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDTSNTGTVAEINAQHYQQESAKLRHQITNLQNSNRTLIGESMATMSHRDLKQLEGRLDKGLGKIRARKNELLFAEIEYMQRREMELQNDNLYLRSKVAENERGQQQTLNMMGAASTSDQYEQNMIHCDPRNFLQFNIMQQPQYYQQEDRKAFDSVER* >Brasy8G235900.3.p pacid=40080551 transcript=Brasy8G235900.3 locus=Brasy8G235900 ID=Brasy8G235900.3.v1.1 annot-version=v1.1 MQILKEQLAMPSTGLMESDSPGSGSGSAGAAEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKATSDTSNTGTVAEINAQHYQQESAKLRHQITNLQNSNRTLIGESMATMSHRDLKQLEGRLDKGLGKIRARKNELLFAEIEYMQRREMELQNDNLYLRSKVAENERGQQQTLNMMGAASTSDQYEQNMIHCDPRNFLQFNIMQQPQYYQQEDRKAFDSVER* >Brasy8G299800.1.p pacid=40080552 transcript=Brasy8G299800.1 locus=Brasy8G299800 ID=Brasy8G299800.1.v1.1 annot-version=v1.1 MADCAAARRRATTPLRSAGPIQSLQLRVRITGRRRSPSSPARAPENCHKVMPPSLTSPPPARERGPGDLKMLKEMVFDINLLSGQLNPAIAQLQNLIKLFTSLNFISRGHPQELAILQNHELLDLAWAIFHNLSWLLHLDITRILSDQYSLEKDCCHNLLTLVRKSNSFVGAKALGYWSNGKSGAANFGTRRFHQKHSRREVARSTSTSRIPGPYGKPVQSVHLHRAPPKQ* >Brasy8G107200.1.p pacid=40080553 transcript=Brasy8G107200.1 locus=Brasy8G107200 ID=Brasy8G107200.1.v1.1 annot-version=v1.1 MLWQRNTLHLCLVNHALAVHLLCLPCHNTLHTLRITPSMYPNPVDAEEHLDYSSGNVTIIPDIKSWEQKLEDACELGKTLVVKFSAVWCGPCRIAAPVYAELSLKHSDLVFVSVDVDELPELVTKFDICATPTFIFLRDKKEIDKLVGGNQADLEQKFEPYCRPGDVVMCKQSFDDKIT* >Brasy8G107200.2.p pacid=40080554 transcript=Brasy8G107200.2 locus=Brasy8G107200 ID=Brasy8G107200.2.v1.1 annot-version=v1.1 MGCCGSNPVDAEEHLDYSSGNVTIIPDIKSWEQKLEDACELGKTLVVKFSAVWCGPCRIAAPVYAELSLKHSDLVFVSVDVDELPELVTKFDICATPTFIFLRDKKEIDKLVGGNQADLEQKFEPYCRPGDVVMCKQSFDDKIT* >Brasy8G149700.1.p pacid=40080555 transcript=Brasy8G149700.1 locus=Brasy8G149700 ID=Brasy8G149700.1.v1.1 annot-version=v1.1 MFFHIVLERNMQLHPRHFGPHLRDKLVAKLMKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV* >Brasy8G156400.1.p pacid=40080556 transcript=Brasy8G156400.1 locus=Brasy8G156400 ID=Brasy8G156400.1.v1.1 annot-version=v1.1 MLVQGRVFPDDAPGNNNKPAAPTSPRLAPGANRRHPRPFAKSLDFGSWASEHSSKLLLLLFAVASVAAVFLLRGAGPDAAALLCLDRSSRSASANGLPAKLPYPDVAWSKIPPLAVASAVPFASFRAERWIVVSVSSAPTAALAALTRVKGWQLLVVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVVFDADDRAEVPGNDLGKHFDVDLGSGVANHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTAPFDLRFDGEAPKVALPQGMMAPVNSFNTLFHTQAFWGLMLPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHVQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWMEQDVRFTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNVELAVDRCALSHAYKYLPKVFGRYSGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPVESNKEEWFVKQGAMVKQVVGSSPVHFQTNYKESMGEDKIVFCGSELFYVPRRFVEDFGDLVGLVGDLDLHHKIAIPMFFLAMDLPQNFDSEALAGTVFRTNLAANETFSSIYTAQSPAVFPVKVQNEIDFIKVIRLMSKGDPLLMELV* >Brasy8G296800.1.p pacid=40080557 transcript=Brasy8G296800.1 locus=Brasy8G296800 ID=Brasy8G296800.1.v1.1 annot-version=v1.1 MQAAARRGAAAAAAAAFHSTAAALSKSTPHIRFNVREKRSDAKSALKKILLNGGPCQERSNKQTRQQKGGGKSKVRSGSGNNPHSKSKRWQDWRNFDEDDCSDSPSGSYGGRTSFTWYWPGENDDDLGNSSGFQWREEPRFTKSRERVWNESDVDEEEESCHDDLKSYRITLGLPALGPLKLDHIKSAFRTSALKWHPDKHQGSSQPQAEEKFRRCVEAYNVLAGAFKSSS* >Brasy8G144400.1.p pacid=40080558 transcript=Brasy8G144400.1 locus=Brasy8G144400 ID=Brasy8G144400.1.v1.1 annot-version=v1.1 MARFEVNGKSVQGVDLLRRRHWTARLDFWPFLALYALWLVLAVPALDFTDALVILGVLSASHILAFLFTAWSVDFRAFVGHSKVKDIHAANACKVTPAKFLGSKEIVPLHIQKTVASSSASGESEEIYFDFRKQRFFYSAEKDNFFKLRYPTKDLFGHYVKGTGYGTDAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTHRCGKWVKIPGTELLPGDIVSIGRSPSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEDMLSVKRDKNHILFGGTKILQHTPDKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALVRRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEGDEELISDANKLPLRIQEVLSSCHALVFVDNKLVGDPLEKAAIKGIEWIYTSDEKAMAKRPGVQPVQIVHRYHFASHLKRMSVIVRIQEKFYAFIKGAPETIQERLIDVPAAYVETYKKYTRQGSRVLSLAYKLLPEMPVSEARSLERDQVENDLTFAGFAVFNCPIRNDSGAVLLELEQSSHDLVMITGDQSLTACHVASQVNICSKPVLILTRMKNSSFEWVSPDETDRAPYSAEQVAALSESHDLCISGDCFEMLQRTEAVLQVIPHVKVFARVAPEQKELVLTTFKTVGRMTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSQSSKSENKSGKLKKPKPVNESSSQLVPPASSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQALSAERPHPNIFCAYVFLSILGQFAMHLFFLMSAVNEASKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWATLMFCGCYGWERFLRWAFPGKMPAWEKRQKKAVANLDKKQT* >Brasy8G144400.2.p pacid=40080559 transcript=Brasy8G144400.2 locus=Brasy8G144400 ID=Brasy8G144400.2.v1.1 annot-version=v1.1 MARFEVNGKSVQGVDLLRRRHWTARLDFWPFLALYALWLVLAVPALDFTDALVILGVLSASHILAFLFTAWSVDFRAFVGHSKVKDIHAANACKVTPAKFLGSKEIVPLHIQKTVASSSASGESEEIYFDFRKQRFFYSAEKDNFFKLRYPTKDLFGHYVKGTGYGTDAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTHRCGKWVKIPGTELLPGDIVSIGRSPSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEDMLSVKRDKNHILFGGTKILQHTPDKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALVRRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEGDEELISDANKLPLRIQEVLSSCHALVFVDNKLVGDPLEKAAIKGIEWIYTSDEKAMAKRPGVQPVQIVHRYHFASHLKRMSVIVRIQEKFYAFIKGAPETIQERLIDVPAAYVETYKKYTRQGSRVLSLAYKLLPEMPVSEARSLERDQVENDLTFAGFAVFNCPIRNDSGAVLLELEQSSHDLVMITGDQSLTACHVASQVNICSKPVLILTRMKNSSFEWVSPDETDRAPYSAEQVAALSESHDLCISGDCFEMLQRTEAVLQVIPHVKVFARVAPEQKELVLTTFKTVGRMTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSQSSKSENKSGKLKKPKPVNESSSQLVPPASSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQALSAERPHPNIFCAYVFLSILGQFAMHLFFLMSAVNEASKYMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWATLMFCGCYGWERFLRWAFPGKMPAWEKRQKKAVANLDKKQT* >Brasy8G146700.1.p pacid=40080560 transcript=Brasy8G146700.1 locus=Brasy8G146700 ID=Brasy8G146700.1.v1.1 annot-version=v1.1 MDRRVKKSFSVARDRGRGRDFPGGKYVNLGDLGGLGEWRCDYVRARAEVSGTNLRTKTPVSPSCGGAAGDEEGGGSTGGRRRWRTEMGWRRRRYLGLREAVALRARGEAAALRGGEGDGAAEDPSWRGKWWEEQSRRAGGRTSRGTEKAEKRTAVHRRVAAADSEEVAAAHKEEGGDPTQRAAARAGRSCTAAACVEEVAVPPQREARSSAGWEKLLHKGSACPGDHAARVEGEEPGTCRTYARSSYVLPSLARTSPARAIAFVGLPSKKRSRASLPLLPIPFVGSCVRRHLYVLPLPRSPVPTHALFRSTPRAVQPLSTQTRVAPPPPPSSQIPNFLERFQIHFSPVWAPSQRLIHPILVRAAAGVGHGRRRTTPDWRREREMGKIQ* >Brasy8G079400.1.p pacid=40080561 transcript=Brasy8G079400.1 locus=Brasy8G079400 ID=Brasy8G079400.1.v1.1 annot-version=v1.1 MAPRRAIEVVRQGAECAEAPKWRMSLLENTFTSFITSSPEPAKVVFAEGSLFSPYLLGKFFDPADAFPLWEFESDVLLAGLRRGGRTTVDWGETECEYCLRAEIPGGRKCDVEVSGDCGRVLDVSGLWRAAAPSDGRDWRAGKWWEHGFVRRVELPEDADGARVEAYFDDGDGLLEIKVPKKKGGDAAA* >Brasy8G233900.1.p pacid=40080562 transcript=Brasy8G233900.1 locus=Brasy8G233900 ID=Brasy8G233900.1.v1.1 annot-version=v1.1 MASNVHNFPASILVVLFFCCVLAKGDMKVVSLTNGADHRGRSLKSNSVFDVLRYGAIGDGRHDDTKALAKAWAAACSSSHPSIVLLPKGKKYLTKHRSYWIEATIRHWILFKAVKGLTVTGGGTIDGNGKLWWQNSCKVNPKLALTFYSCTNLKVNNLKLLNSQQIHMSVERCSDVRMFRLSITAPSSSPNTDGIHIAHSKGVKVRNCMIRTGDDCLSIEDGTKNLHVKNIVCGPGHGISIGSLGDQNSEAQVANITIDGVRLHGTTNGARIKTWQGGRGYAKNIVFQNMIMDNVWNPIIIDQNYCDSATPCKKQKSAVEVSNVLFKNIRGTSASREAIKLSCSRAAPCHGIALHNVKLTLKRGGGNAKSTCQNAKWKKLGTVMPQPSCNLND* >Brasy8G062600.1.p pacid=40080563 transcript=Brasy8G062600.1 locus=Brasy8G062600 ID=Brasy8G062600.1.v1.1 annot-version=v1.1 MKDAPKRAPCSAVSRWTPRRRPPRAATYCKLVKSAPRRAPFYTCEKCAKAGAMCAGLSGHHVEGRHERHHIDAKLVKTAPRRAPCIVVSQRTPRRRPPRAASYWCQVGEDCAKAGAVYGGVSADTT* >Brasy8G275300.1.p pacid=40080564 transcript=Brasy8G275300.1 locus=Brasy8G275300 ID=Brasy8G275300.1.v1.1 annot-version=v1.1 MSVPYPFGVGQGQDCYLEGFNLTCDDTGHEPPRLFLDSNMVTQVLEISTRNNTVRVLDTGISTGKISRPTVGTVAEIQGILDLSIHGHEEVPYSLSTHNELILTGCNLMAELSWASDGSIVSVCASFCSYNDTKQDNGCNGMGCCRTRISQYSNNMPSQFNYKLKWFNKGGAASDDDKSPPANILIAEEGWFNQGRVSSTLPSEPANIPILLQWEVLRGFSPAPPANVVKSSRSDCPPEVSDSLCKSKHSYCKRGSRGGYTCHCKTGYYGKPDANPYVSDGCPGRHNFSTTGKYIIIGVVIGAGMIFSLFTASSVSKRLKHRRAQILKRQFFENNRGQLLRQLVSQRADIAERMIITLEEIEKATNNFDKARELGGGGHSTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGALYEHLHTAEPRSLSWEDRLRIAVETAKSLAYLHSTASVPIIHRDIKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQGTIGYLDPMYFYTQRLTEKSDVYSFGVLLVELLTRKKPFSYMSPKGDGLVAHFAALFAEGNLSQILDPQVMEDGGKVVEAVAALAVTCVKLSGEDRPVMRQVELTLEAIRASNQDVSANTVVEGVEENGIVINCPQAVDQTTTEESSRQFSMEEEMMLSSRYPR* >Brasy8G275300.2.p pacid=40080565 transcript=Brasy8G275300.2 locus=Brasy8G275300 ID=Brasy8G275300.2.v1.1 annot-version=v1.1 MSVPYPFGVGQGQDCYLEGFNLTCDDTGHEPPRLFLDSNMVTQVLEISTRNNTVRVLDTGISTGKISRPTVGTVAEIQGILDLSIHGHEEVPYSLSTHNELILTGCNLMAELSWASDGSIVSVCASFCSYNDTKQDNGCNGMGCCRTRISQYSNNMPSQFNYKLKWFNKGGAASDDDKSPPANILIAEEGWFNQGRVSSTLPSEPANIPILLQWEVLRGFSPAPPANVVKSSRSDCPPEVSDSLCKSKHSYCKRGSRGGYTCHCKTGYYGKPDANPYVSDGCPGRHNFSTTGKYIIIGVVIGAGMIFSLFTASSVSKRLKHRRAQILKRQFFENNRGQLLRQLVSQRADIAERMIITLEEIEKATNNFDKARELGGGGHSTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGALYEHLHTAEPRSLSWEDRLRIAVETAKSLAYLHSTASVPIIHRDIKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQEGNLSQILDPQVMEDGGKVVEAVAALAVTCVKLSGEDRPVMRQVELTLEAIRASNQDVSANTVVEGVEENGIVINCPQAVDQTTTEESSRQFSMEEEMMLSSRYPR* >Brasy8G050800.1.p pacid=40080566 transcript=Brasy8G050800.1 locus=Brasy8G050800 ID=Brasy8G050800.1.v1.1 annot-version=v1.1 MRSSTHVVVFLAAALMALAVSSVKGQRDVLLPPYPPAPAPAAAMAPPPPGSPRCRCPSDVGEFGACVKLGMGRDRMNPADRDRCCGRIRGMPRADAARCLCNVLLGVRFDAFPIVNAVFDVCGVAPVPGMRCPGRVI* >Brasy8G220100.1.p pacid=40080567 transcript=Brasy8G220100.1 locus=Brasy8G220100 ID=Brasy8G220100.1.v1.1 annot-version=v1.1 MESAAISGARWVVGKALSPLSGGLVEAWAASSKLGPNIDALKTELLCAQGMLDNARGREIRSHALEELLQKLRGLAYAAEDVLDELDYFRIQDEIDGTSEAAVDDGRGCVPNLVRDARHTAKAAAKQLGCASTARSANQEPCKSPVRRLVSGAHAVGKRLLCSSPLSVRNAQDAPRVPKLKFDRVDVSRRMKYITDELKPLCDKVSAMLDKELWCSCHGIGSVASSSTTTSRAITTSQALEPTLYGRDPEKNTIVEDITTGRCMHTDLTVLPIVGPGGIGKTTLTQYIYNSREVQDHFQIRVWICVSLNFNVYKLTKEILTSIPKAEHEHNERADDAVQNLDQLQKLVQKRLKSKRFLLVLDDIWNYGNEDEWKRFLVPFTKEQGKGNIVLATTRFLEVAEMVKKGNKSLQLEGLEPKEYWSLFLACVFGESNQQHNDRNLLEIGEKIVEKLKGSPLAAKTVGRLLRKNLTEDYWTRVLESKEWESQTGDFDIMPALKLSYDYLPFDLQQCFSSCALFPEDYEFDCEELIHFWIGLDILCPGHTTKRIEDTGRDNLNELVSYGFFIEVIGESYKQYVMHDLLHDLALKVSSQECLHIASSSPRPVEIAPSIYHLSISLSPANSGDGIMDEKFKKELGKIKNILKSENLHTLMLFGDYDANFLRIFSDLFKDAKKLRVVHLSTMFYSVESLLHNFSKLVHLRYLRVVSRYENKEHLPMSISRFYQLRVLDIRQWRGSHILLGDMANLVKLRHFLSYNVEHHSNISNVRKLDSLQELQRFEVRKESNGFELRELGHLEELGGSLAIYNLENVLANEAHEAKLLYKNQLKKLSLSWKEGRSNANPDVEDQVLESLRPHSNLHELCINGHGGITCPTWLGTNLCTKGLEALRLDGVAWKSFPPLGEMWLIDESGEEYFGCIRGLNFHNLRRLELIGLPRFRKWVANEVCPWYFSLIEELTVANCPELTELPFSNYNCYSSEGDVNGTCFPRLTTLEIANCEKLLPLPPIPYGHTLCYVSLECAAGRGLKRLIYSKTGNISLSIAGNDDLPNLDETVLAFHNLTHLQYLRIQDCPPLAEKHLQMLTSLKSLDIVGSSILFLPLARSDVKWQVPVNRLTIINSEASGKELTRLFSHLPEVSFLIIEDCEKITRLGISAEQQGTLAPIFLPSSSVKLLETRGTDQQQKQIAEDVVTEDAVVEQEDGLLLLGPLQELWISSCSKLVLTSGGGGLQAMCSLTKITIQNCPKFLSAYETSSLFSSRPFPSSLQRLWLQGPMEGMETSGGGGLQAMWQELEISSCSKLVLTSGGGGLQAMCSLQELEISSCSKLVLTSGGGGLQAMCSLKTITIRNCPKFLSAYKTSSLSSSRPFPSSLQSLGLDGPMEGMETLAPLSNLTSLESLSLTDLGEDLRCEGLWPLLTQGQLKALTVHGSPNFFAGWDPAWGEQEQPLLSKLQGLWTDDIAGVLAAPICRLLSSSLTELSLQFFGEVERFTKEQEKALSLLSSLQALQFQLCDKLRCLPSGLSKLTNLKRLQIYHCPAIRSLPKNGLPSSLDELDVRNCKNERLAQRCRRLMGTIPTVTID* >Brasy8G146900.1.p pacid=40080568 transcript=Brasy8G146900.1 locus=Brasy8G146900 ID=Brasy8G146900.1.v1.1 annot-version=v1.1 MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDGNIDLAALCDSITDPKDARLREFAPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEASEAFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVIPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKEIEEEAAPETTGASTSEEAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVSVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKATMTEALTQTLEAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLHWVAFCIETSNKATVLKLHKDFVPICMECLNDSTPEVRDSSFLALTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSSSDAALSSGPVPTSGSGTVTSTREATDSSSMRSAASMLSGKKPIHAVATTKKSGPAKSTALKKTDSGPQSKASSAPEIEDVEPAEMSLEEIQERLSTVVKPETTSQLKSTVWKERLEAIGTLKQDVESLAELNKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVKKFPKRCVVLCLLGISERVADIKTRAPAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLTEGVLWMVSAVEDFGISNLKLKDMIDFCKDTGLQSSTAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGAAAPPKRTVRALDTASSTSAASSDGLPREDISSKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVDLFSALRGRLNDSNKNLVMATLSTIGGLACAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVSAAQLDKMVPYITVALGDQKTGSEGRKDLFDWLSKHVSKMSDPAEALPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAVVAKNLRDLPSPTLAIVAERLKLSSVHDGISDSVKMVTTNISLTGKGSLKNGKQGPNDRGSNVGKAASQKGVPARASVTMISSQDSLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKADLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDGLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMMNIYALPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSMAGSMISRDNFGYADAHMDRHMVPRQMTAAAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPLRWPCPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSIHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSINSKTDSNEDDPFRVQGDSDFRLPSTDQQTDRYQSSAGTLDALRERMKSIQAAAVGGNFDGAHTRPLSSMNGNMLHGGARLDGEPQTQSNIPPMDERALSGLQARMERLKSGSMEPL* >Brasy8G146900.2.p pacid=40080569 transcript=Brasy8G146900.2 locus=Brasy8G146900 ID=Brasy8G146900.2.v1.1 annot-version=v1.1 MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDGNIDLAALCDSITDPKDARLREFAPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEASEAFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVIPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKEIEEEAAPETTGASTSEEAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVSVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKATMTEALTQTLEAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLHWVAFCIETSNKATVLKLHKDFVPICMECLNDSTPEVRDSSFLALTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSSSDAALSSGPVPTSGSGTVTSTREATDSSSMRSAASMLSGKKPIHAVATTKKSGPAKSTALKKTDSGPQSKASSAPEIEDVEPAEMSLEEIQERLSTVVKPETTSQLKSTVWKERLEAIGTLKQDVESLAELNKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVKKFPKRCVVLCLLGISERVADIKTRAPAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLTEGVLWMVSAVEDFGISNLKLKDMIDFCKDTGLQSSTAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGAAAPPKRTVRALDTASSTSAASSDGLPREDISSKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVDLFSALRGRLNDSNKNLVMATLSTIGGLACAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVSAAQLDKMVPYITVALGDQKTGSEGRKDLFDWLSKHVSKMSDPAEALPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAVVAKNLRDLPSPTLAIVAERLKLSSVHDGISDSVKMVTTNISLTGKGSLKNGKQGPNDRGSNVGKAASQKGVPARASVTMISSQDSLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKADLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDGLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMMNIYALPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSMAGSMISRDNFGYADAHMDRHMVPRQMTAAAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPLRWPCPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSIHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSINSKTDSNEDDPFRVQGDSDFRLPSTDQQTDRYQSSGTLDALRERMKSIQAAAVGGNFDGAHTRPLSSMNGNMLHGGARLDGEPQTQSNIPPMDERALSGLQARMERLKSGSMEPL* >Brasy8G146900.3.p pacid=40080570 transcript=Brasy8G146900.3 locus=Brasy8G146900 ID=Brasy8G146900.3.v1.1 annot-version=v1.1 MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDGNIDLAALCDSITDPKDARLREFAPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEASEAFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVIPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKEIEEEAAPETTGASTSEEAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVSVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKATMTEALTQTLEAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLHWVAFCIETSNKATVLKLHKDFVPICMECLNDSTPEVRDSSFLALTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSSSDAALSSGPVPTSGSGTVTSTREATDSSSMRSAASMLSGKKPIHAVATTKKSGPAKSTALKKTDSGPQSKASSAPEIEDVEPAEMSLEEIQERLSTVVKPETTSQLKSTVWKERLEAIGTLKQDVESLAELNKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVKKFPKRCVVLCLLGISERVADIKTRAPAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLTEGVLWMVSAVEDFGISNLKLKDMIDFCKDTGLQSSTAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGAAAPPKRTVRALDTASSTSAASSDGLPREDISSKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVDLFSALRGRLNDSNKNLVMATLSTIGGLACAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVSAAQLDKMVPYITVALGDQKTGSEGRKDLFDWLSKHVSKMSDPAEALPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAVVAKNLRDLPSPTLAIVAERLKLSSVHDGISDSVKMVTTNISLTGKGSLKNGKQGPNDRGSNVGKAASQKGVPARASVTMISSQDSLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKADLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDGLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMMNIYALPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSMAGSMISRDNFGYADAHMDRHMVPRQMTAAAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPLRWPCPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSIHSTDAQLKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSINSKTDSNEDDPFRVQGDSDFRLPSTDQQTDRYQSSAGTLDALRERMKSIQAAAVGGNFDGAHTRPLSSMNGNMLHGGARLDGEPQTQSNIPPMDERALSGLQARMERLKSGSMEPL* >Brasy8G146900.4.p pacid=40080571 transcript=Brasy8G146900.4 locus=Brasy8G146900 ID=Brasy8G146900.4.v1.1 annot-version=v1.1 MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDGNIDLAALCDSITDPKDARLREFAPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEASEAFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVIPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKEIEEEAAPETTGASTSEEAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVSVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKATMTEALTQTLEAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLHWVAFCIETSNKATVLKLHKDFVPICMECLNDSTPEVRDSSFLALTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSSSDAALSSGPVPTSGSGTVTSTREATDSSSMRSAASMLSGKKPIHAVATTKKSGPAKSTALKKTDSGPQSKASSAPEIEDVEPAEMSLEEIQERLSTVVKPETTSQLKSTVWKERLEAIGTLKQDVESLAELNKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVKKFPKRCVVLCLLGISERVADIKTRAPAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLTEGVLWMVSAVEDFGISNLKLKDMIDFCKDTGLQSSTAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGAAAPPKRTVRALDTASSTSAASSDGLPREDISSKITPTLLKNLGSPDWKVRLESIDAVNKIVEEAHKRIQPTGTVDLFSALRGRLNDSNKNLVMATLSTIGGLACAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVSAAQLDKMVPYITVALGDQKTGSEGRKDLFDWLSKHVSKMSDPAEALPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAVVAKNLRDLPSPTLAIVAERLKLSSVHDGISDSVKMVTTNISLTGKGSLKNGKQGPNDRGSNVGKAASQKGVPARASVTMISSQDSLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKADLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDGLKDQSYMLTEAEAAIFLPCLVEKSGHNIEKVREKMGELIKQMMNIYALPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSMAGSMISRDNFGYADAHMDRHMVPRQMTAAAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPLRWPCPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSIHSTDAQLKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSINSKTDSNEDDPFRVQGDSDFRLPSTDQQTDRYQSSGTLDALRERMKSIQAAAVGGNFDGAHTRPLSSMNGNMLHGGARLDGEPQTQSNIPPMDERALSGLQARMERLKSGSMEPL* >Brasy8G230600.1.p pacid=40080572 transcript=Brasy8G230600.1 locus=Brasy8G230600 ID=Brasy8G230600.1.v1.1 annot-version=v1.1 MFGWGKRGKNPAPASGGAGEVAVQKVDRIEFHTLVKPPPRFGAGGVISAANPRNPAALPPAGTEAARMPDGSPREDINRKASRFIEDTKKGWQAPAAS* >Brasy8G114500.1.p pacid=40080573 transcript=Brasy8G114500.1 locus=Brasy8G114500 ID=Brasy8G114500.1.v1.1 annot-version=v1.1 MSAPGAEVAAVAVMGFPADAGHGFASELRDGVLARSGGESTASDDPQLPPLRLGASYADFGRALGSPAGSSSSSSDAFLSMSSTPSELLNPYGVWSPPRAPSEASSSEVEFGTARQYDATDLFFGDNWLRDDHLFHRKPESDKSGNGDEEDKFIVGPDVSSRRSETCEFGDGSSRRHVHSKDSADSKGCADVYMSSSPPCSCFCRERKDDQECASDSWSAVYGRYQIMDDLTEVLNECGADALWFRHNAGEDVVLKGDPLVDSRSGDDQEFDLSVLEKELQMLSPYLAEDADVLENHELEHDFRVNNDLDINIVTNEETVDDKEFLKSSYSVHPFPEIANPENIYEMEDFGTAEADVQNTSTHKIDEGPESDADLARSIFHQEYEEFELKIFHRKNRTGFEENKDFPIVIDSVVAGRYRVTEYLGSAAFSRVVQAHDLCTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPADAHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLEALVYLHQLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEIILGLPYDQKIDIWSLGCIFAELYTSEVLFPNESVSTIIARIIGIIGPIDTQMLALGQETRKYFTEEYDLFHKNEETGRLEYLIPEKSSLRRHLRCSDSKFVDFLSCLLQINPRKRPTAREALRHRWFSHKYR* >Brasy8G166600.1.p pacid=40080574 transcript=Brasy8G166600.1 locus=Brasy8G166600 ID=Brasy8G166600.1.v1.1 annot-version=v1.1 MVGQGILESRHSEYMVGGHKIGDEFYAVTIQRASSKFGREKLPRPYGDVLTVEDAIGQCIAWPRTH* >Brasy8G086300.1.p pacid=40080575 transcript=Brasy8G086300.1 locus=Brasy8G086300 ID=Brasy8G086300.1.v1.1 annot-version=v1.1 MQEQKLLADDVFSFWLNREADQKLLADDVFSFWLNCEADASSGCELGFGGVDSNHYKGNHTYVPAIVAQVNHAIGAEGIINTECIQTSNYGIDLLR* >Brasy8G123800.1.p pacid=40080576 transcript=Brasy8G123800.1 locus=Brasy8G123800 ID=Brasy8G123800.1.v1.1 annot-version=v1.1 MAAAAATPSSSSAAATASCSSSSSSSSPGPHRRRLDAHDEHSCCGDHHRHHLIFPCDDDAEGGPGHGHGHAATGIRSALLARRNNSSGKRAPAAGAWMRLVVLCLLGLVALVGFLGSSHGRGGRGSSGGSGGGDGAEEGGRLVQRVEVADADIVMGWTAENLTAITRRPPDPPIPEIWMKPDNGGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVDHFKETLKEDIVIVDSLPPVYKRVKPYVRAPTSWSRASFYRDFSRILKKFKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGTTLVERLKAGSDHYIALHLRYEKDMLSFTGCNHNLTLHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKAEYPNIYTHYSLATVDELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSITWGEFQSEVKKHHENRLGGPYNRVPGESPRQEEYFYSNPIPGCLCKKVQRVK* >Brasy8G151900.1.p pacid=40080577 transcript=Brasy8G151900.1 locus=Brasy8G151900 ID=Brasy8G151900.1.v1.1 annot-version=v1.1 MSARVPKIKPGSATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRQVNEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLKRYGA* >Brasy8G119200.1.p pacid=40080578 transcript=Brasy8G119200.1 locus=Brasy8G119200 ID=Brasy8G119200.1.v1.1 annot-version=v1.1 MARPSRLYLLAYNSLHSLGWFLALLRLLACLALAPPVSASVRSAYAVAGDLICFLQTCAVLETVHAAVGLVPTSPFLAFLQWGGRTHFVLALLRQIPEVQGSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERDLYSGFFAKFFMNYYSFLVGVLLCYPFLWLKLYLHVFKQRKSKLGKVDRKKRA* >Brasy8G131700.1.p pacid=40080579 transcript=Brasy8G131700.1 locus=Brasy8G131700 ID=Brasy8G131700.1.v1.1 annot-version=v1.1 MDPDGQNNGCGKKWSPRVRAKCVGRAKVALSICSPSQQLKPRNRLVHAHLGVLILDWNIGVCKLYWKVHSNFYFYVEVLLTLDAC* >Brasy8G161100.1.p pacid=40080580 transcript=Brasy8G161100.1 locus=Brasy8G161100 ID=Brasy8G161100.1.v1.1 annot-version=v1.1 MGFIGDQVESIRSMQVRHVLSQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLRMGKEPIRTGEIVVFNIDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAQGQLWLHQHHIMGRAVGYLPYVGWVTIVMTEKPIIKYLLIGALGLLVITSKE* >Brasy8G017300.1.p pacid=40080581 transcript=Brasy8G017300.1 locus=Brasy8G017300 ID=Brasy8G017300.1.v1.1 annot-version=v1.1 MTMTTSPPPPSPLAPRPPRPRGASPLATWPCSPSPPCLCSRSTRPPRASASTSSAPGTRRTPLAGASTCSSSPTAAPSGSCSAPAAPSSSSSSPTPRSRAAASRASPCG* >Brasy8G047100.1.p pacid=40080582 transcript=Brasy8G047100.1 locus=Brasy8G047100 ID=Brasy8G047100.1.v1.1 annot-version=v1.1 MTPDDNSLITLKKGSKLIKYSRKGKPKIREFRLSSDETILVWYSKNKEKCLKLSAVSKIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLETLITSCRVTDCQSDRVSFSDEVTQYQDSNLYGTTVDIASSITRTFNSAGYSTANSLNSSRADVGSDRANMLRVSTGDSSRISISSSIPSSSSQGSGPDDIESLGDVYVWGEVWTDVIPSEGSSNLVCSKTDVLIPKPLESDVVLDVQQISCGSRHIALTTRQGEVFTWGEELGGRLGHGTDEDISRPKLVESLAVSNVEYIACGEFHTCAVTASGDLYNWGDGSYNAGLLGHGIGASHWLPKRVSGPLEGLPVLSVACGSWHSALAMSSGKVFTFGDGTFGALGHGNRENVAYPKEVESLSGFRTMKVACGVWHSAAIVETTSQTGVNMVSRKLFTWGDGDKNRLGHGDKEARLVPTVVQALVDNNFHQVACGYSMTIALATSGHVFTMGSSNYGQLGNPKADGKQPCQVQDKLSSELVEEISCGSYHVAVLTSRSEVYTWGMGANGRLGHGGIEDKKKPTIVDALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADSGSNSPYNKKSVITRRSVEIKEKSERPDIRPSRLATAAPAEPIKHAEAKSVRNDVKPDPTTMARAPQALSVPTTVTKPMGMGMGMGMGMGMGMGMGAMPMGMAPMGMGMPLVAQAQPKKSNPPPATASPLAIKSDTKSDTDSMKKTIETLNQEISKLQTQVTKLKQKCEVQDEQLQKSEKRAKSAASLASEESARRNAVLDFVRFLDSELKGVADKVPTEFTDSIKTLQSHSEKFLTGQCSHPPEGIPGHDQPRLSSGGLHEIAHHRSASMGNLVLSQDGSSAAGISMTSESPCHRLMESNGRAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQMRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHPPN* >Brasy8G028700.1.p pacid=40080583 transcript=Brasy8G028700.1 locus=Brasy8G028700 ID=Brasy8G028700.1.v1.1 annot-version=v1.1 MARPNSRWQGLDADLLSEIAGHLPCMVDRVSMSLARRRVVPPPLHQRQRLLPWLLLPPRGRTIPIPPPPQQPGISRRAISELYCVVHGDTHRLRVARDTSGARFFGAYEGGWLFLARQHHRGHEIENIHNSRRILVPDSVTWVRVQAGEEEPSGAAYRDPEAGPMFIFAAALSSSPVGSDAPCIAAAIIQSADTSQFPLMPQMAFWLVEDRSERHQIAVESGARARHPGGRGASGRVLPFPDQRGKSYQHTPRTSHGGGVASGDLPSVPAPGAT* >Brasy8G137000.1.p pacid=40080584 transcript=Brasy8G137000.1 locus=Brasy8G137000 ID=Brasy8G137000.1.v1.1 annot-version=v1.1 MARFILGLVELGVSAAVHLLFGFYVFSSAVAADISQAASASGCVLLRRPPAAGGLVDVAAKGEEEERRAAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGPEHSQVYGHTRFGRIYDTGHYPVWDEQNPVHFVGHSAGVQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRLGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGFSGLVDLLLGHTGPFASGDWILPDLTIQGSLKINSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRFPIEHPNRFVLDESDCHPLQPGIWYYKIIEGDHILFIINRERAGVQFDLLYDGIFQRCRKHAFRKNPPTVPNETSQ* >Brasy8G222300.1.p pacid=40080585 transcript=Brasy8G222300.1 locus=Brasy8G222300 ID=Brasy8G222300.1.v1.1 annot-version=v1.1 MEGGGADQAMNYMEEGGGAGEVVQTEDAVKMLVEHLVRPVLPPAGPRAAGVTPEMERAVAQQIHTAVILYNYYHRNLSPQLAFADAKRFLLCASLSVGEDLFTFLSMVREKNPGEDLRPSVTDRAVIDACEIAEALDASKDFPDMSLWPIGKVAVLLLDPTRKKCLIEFSSDTKGVWSIIEKEFDESPGNSGSMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTKRTTTKLFIVEYGQNMNRDLMEMPLQELISSMTGPLFVNDLFLETSSVVEHYHILPYKQILIQLPQRNWPSDSALNEIAESVEQQESNSKSNMQKGNTKVSTPKQTKQPIKSAATNSNNSCNSSKRRKKNKKTYEAETTAAVNMEGWDGESPLRVNNSLIVVDVDASKLASKSINTKPAAASAGKITLQAGVHMDKKKTQKQPICGDDITPDVFPKKAPTVDHVTKNIALEGQNMGVPEKSGGITENKNDQMFDSLQSIQKIRDDLLHKQNILAERSAQCDMDIQTILSEGKMTPNVISIVDKYMKASSNMAEVANSSCSGRGDQTLTTKRIKLKEAPLQRDKCQELDEISRDSNWILPRYTVLPSENGMFDAKVHLRGLDFEMITNGGHCKTPHEARRSAAANMILELFKKAEEEKSEEE* >Brasy8G177900.1.p pacid=40080586 transcript=Brasy8G177900.1 locus=Brasy8G177900 ID=Brasy8G177900.1.v1.1 annot-version=v1.1 MASSHFVPISLSRLYSLPPSLSPSARSPPTQPRPPMPMAAATAASRSAPSHSAPCAASLTAAPSASVHGGLCPTSAWAAPNPHQRGRRARRMPPAGRHRRGAMCLLVLVQPELIMYGSCVVMCRMLYQEGLRAPNGRKPCFPQITFLFP* >Brasy8G021400.1.p pacid=40080587 transcript=Brasy8G021400.1 locus=Brasy8G021400 ID=Brasy8G021400.1.v1.1 annot-version=v1.1 MNCLPTNQSHRFTRRPATKELLCCFLPMLQPESTREGGAVGVRMAAGSDRWMGEATRASCGKKQRPAGAAGNRAEANWSIWGGRAAGRYADAPARQSAAAAIFWGGGGNMQLYRNRDQEHH* >Brasy8G064800.1.p pacid=40080588 transcript=Brasy8G064800.1 locus=Brasy8G064800 ID=Brasy8G064800.1.v1.1 annot-version=v1.1 MDMDKGTGSGCAEDNVETGNYANSRQNPETLDHQSSSYTSQSLENNTGQRKNYKRTANRGRKGYRVLADRNYPLRSSDCDTTVRVLRSRSAANKPPSDSVHTLVHSAANKFPSDSVHTLVQPAAKRIKRGRPTKKGPNNEFSKIRQRVRYILNRMNYEQSLLDAYANEGWKRQSLEKIRPEKELERAKEEIVRCKLRIREAFQNLDSLMSAGKLEESLFDNEGKISCEDIICASCSSQDVTLNNDIILCDGVCDRGFHQNCLNPPLMTKDIPAGDEGWLCPACDCKIDCIDVINELQGTNLSISDSWEKVFPEAAALAHGTIPNDAFDLPSDDSEDNDFDPNIAEEHVTGHEEGSSEEDSDSDDSNFVTSSDNSENLKEKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIKEKQDESDFTSDSDDFCAEIAKSCGRDEVSSGAKVGDPTNDLEGATACSNTAIPSLTSKDLETDQDEVLPVLGRRQVQRLDYKRLYDDAYGNAPSDSSDDEEWSGKSTPEKDNEERREVDSFSRKSSRGTRAVQQSNDFPPQSARQSLDPDGLVNGQHAGKLTSDVSNSKANRRPLNNKEEVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKQSSSDESDYSSASDDFCGEIAKSCGQEGVPSGAKAGGDPTNDLEGTTVRTNAAISNLPSKDPQMDHDVVSLVSGRRQDQSLDCKKLYDEADREAPSDSSDGEDWSGKCTPEKDSEKQCNRQHTGFFMRRRTGDVRQSNESTPDNARQSLHRVNGQHTEELLTSDGSSSTVHRRQFQPIIYQRLHEHFKTDQYPKRAVKESIANELGLTFQQVSKWFETRRYKTRVAAKKNDSRVKNHSIKENQSSVAAHIEVTDPKELGKKLNACKNGAANDFMASGILNGGVKQDSPLKQDTSGGLGTHASPPGSSPKGAPIENHGNNTSSRCVGRPKDGYTGNVVLALPVVDDRTKEAAMRELKKRKTGS* >Brasy8G064800.4.p pacid=40080589 transcript=Brasy8G064800.4 locus=Brasy8G064800 ID=Brasy8G064800.4.v1.1 annot-version=v1.1 MDMDKGTGSGCAEDNVETGNYANSRQNPETLDHQSSSYTSQSLENNTGQRKNYKRTANRGRKGYRVLADRNYPLRSSDCDTTVRVLRSRSAANKPPSDSVHTLVHSAANKFPSDSVHTLVQPAAKRIKRGRPTKKGPNNEFSKIRQRVRYILNRMNYEQSLLDAYANEGWKRQSLEKIRPEKELERAKEEIVRCKLRIREAFQNLDSLMSAGKLEESLFDNEGKISCEDIICASCSSQDVTLNNDIILCDGVCDRGFHQNCLNPPLMTKDIPAGDEGWLCPACDCKIDCIDVINELQGTNLSISDSWEKVFPEAAALAHGTIPNDAFDLPSDDSEDNDFDPNIAEEHVTGHEEGSSEEDSDSDDSNFVTSSDNSENLKEKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIKEKQDESDFTSDSDDFCAEIAKSCGRDEVSSGAKVGDPTNDLEGATACSNTAIPSLTSKDLETDQDEVLPVLGRRQVQRLDYKRLYDDAYGNAPSDSSDDEEWSGKSTPEKDNEERREVDSFSRKSSRGTRAVQQSNDFPPQSARQSLDPDGLVNGQHAGKLTSDVSNSKANRRPLNNKEEVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKQSSSDESDYSSASDDFCGEIAKSCGQEGVPSGAKAGGDPTNDLEGTTVRTNAAISNLPSKDPQMDHDVVSLVSGRRQDQSLDCKKLYDADREAPSDSSDGEDWSGKCTPEKDSEKQCNRQHTGFFMRRRTGDVRQSNESTPDNARQSLHRVNGQHTEELLTSDGSSSTVHRRQFQPIIYQRLHEHFKTDQYPKRAVKESIANELGLTFQQVSKWFETRRYKTRVAAKKNDSRVKNHSIKENQSSVAAHIEVTDPKELGKKLNACKNGAANDFMASGILNGGVKQDSPLKQDTSGGLGTHASPPGSSPKGAPIENHGNNTSSRCVGRPKDGYTGNVVLALPVVDDRTKEAAMRELKKRKTGS* >Brasy8G064800.5.p pacid=40080590 transcript=Brasy8G064800.5 locus=Brasy8G064800 ID=Brasy8G064800.5.v1.1 annot-version=v1.1 MDMDKGTGSGCAEDNVETGNYANSRQNPETLDHQSSSYTSQSLENNTGQRKNYKRTANRGRKGYRVLADRNYPLRSSDCDTTVRVLRSRSAANKPPSDSVHTLVHSAANKFPSDSVHTLVQPAAKRIKRGRPTKKGPNNEFSKIRQRVRYILNRMNYEQSLLDAYANEGWKRQSLEKIRPEKELERAKEEIVRCKLRIREAFQNLDSLMSAGKLEESLFDNEGKISCEDIICASCSSQDVTLNNDIILCDGVCDRGFHQNCLNPPLMTKDIPAGDEGWLCPACDCKIDCIDVINELQGTNLSISDSWEKVFPEAAALAHGTIPNDAFDLPSDDSEDNDFDPNIAEEHVTGHEEGSSEEDSDSDDSNFVTSSDNSENLKEKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIKEKQDESDFTSDSDDFCAEIAKSCGRDEVSSGAKVGDPTNDLEGATACSNTAIPSLTSKDLETDQDEVLPVLGRRQVQRLDYKRLYDDAYGNAPSDSSDDEEWSGKSTPEKDNEERREVDSFSRKSSRGTRAVQQSNDFPPQSARQSLDPDGLVNGQHAGKLTSDVSNSKANRRPLNNKEEVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKQSSSDESDYSSASDDFCGEIAKSCGQEGVPSGAKAGGDPTNDLEGTTVRTNAAISNLPSKDPQMDHDVVSLVSGRRQDQSLDCKKLYDADREAPSDSSDGEDWSGKCTPEKDSEKQCNRQHTGFFMRRRTGDVRQSNESTPDNARQSLHRVNGQHTEELLTSDGSSSTVHRRQFQPIIYQRLHEHFKTDQYPKRAVKESIANELGLTFQQVSKWFETRRYKTRVAAKKNDSRVKNHSIKENQSSVAAHIEVTDPKELGKKLNACKNGAANDFMASGILNGGVKQDSPLKQDTSGGLGTHASPPGSSPKGAPIENHGNNTSSRCVGRPKDGYTGNVVLALPVVDDRTKEAAMRELKKRKTGS* >Brasy8G064800.2.p pacid=40080591 transcript=Brasy8G064800.2 locus=Brasy8G064800 ID=Brasy8G064800.2.v1.1 annot-version=v1.1 MDMDKGTGSGCAEDNVETGNYANSRQNPETLDHQSSSYTSQSLENNTGQRKNYKRTANRGRKGYRVLADRNYPLRSSDCDTTVRVLRSRSAANKPPSDSVHTLVHSAANKFPSDSVHTLVQPAAKRIKRGRPTKKGPNNEFSKIRQRVRYILNRMNYEQSLLDAYANEGWKRQSLEKIRPEKELERAKEEIVRCKLRIREAFQNLDSLMSAGKLEESLFDNEGKISCEDIICASCSSQDVTLNNDIILCDGVCDRGFHQNCLNPPLMTKDIPAGDEGWLCPACDCKIDCIDVINELQGTNLSISDSWEKVFPEAAALAHGTIPNDAFDLPSDDSEDNDFDPNIAEEHVTGHEEGSSEEDSDSDDSNFVTSSDNSENLKEKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIKEKQDESDFTSDSDDFCAEIAKSCGRDEVSSGAKVGDPTNDLEGATACSNTAIPSLTSKDLETDQDEVLPVLGRRQVQRLDYKRLYDDAYGNAPSDSSDDEEWSGKSTPEKDNEERREVDSFSRKSSRGTRAVQQSNDFPPQSARQSLDPDGLVNGQHAGKLTSDVSNSKANRRPLNNKEEVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKQSSSDESDYSSASDDFCGEIAKSCGQEGVPSGAKAGGDPTNDLEGTTVRTNAAISNLPSKDPQMDHDVVSLVSGRRQDQSLDCKKLYDEADREAPSDSSDGEDWSGKCTPEKDSEKQCNRQHTGFFMRRRTGDVRQSNESTPDNARQSLHRVNGQHTEELLTSDGSSSTVHRRQFQPIIYQVSKWFETRRYKTRVAAKKNDSRVKNHSIKENQSSVAAHIEVTDPKELGKKLNACKNGAANDFMASGILNGGVKQDSPLKQDTSGGLGTHASPPGSSPKGAPIENHGNNTSSRCVGRPKDGYTGNVVLALPVVDDRTKEAAMRELKKRKTGS* >Brasy8G064800.3.p pacid=40080592 transcript=Brasy8G064800.3 locus=Brasy8G064800 ID=Brasy8G064800.3.v1.1 annot-version=v1.1 MDMDKGTGSGCAEDNVETGNYANSRQNPETLDHQSSSYTSQSLENNTGQRKNYKRTANRGRKGYRVLADRNYPLRSSDCDTTVRVLRSRSAANKPPSDSVHTLVHSAANKFPSDSVHTLVQPAAKRIKRGRPTKKGPNNEFSKIRQRVRYILNRMNYEQSLLDAYANEGWKRQSLEKIRPEKELERAKEEIVRCKLRIREAFQNLDSLMSAGKLEESLFDNEGKISCEDIICASCSSQDVTLNNDIILCDGVCDRGFHQNCLNPPLMTKDIPAGDEGWLCPACDCKIDCIDVINELQGTNLSISDSWEKVFPEAAALAHGTIPNDAFDLPSDDSEDNDFDPNIAEEHVTGHEEGSSEEDSDSDDSNFVTSSDNSENLKEKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIKEKQDESDFTSDSDDFCAEIAKSCGRDEVSSGAKVGDPTNDLEGATACSNTAIPSLTSKDLETDQDEVLPVLGRRQVQRLDYKRLYDDAYGNAPSDSSDDEEWSGKSTPEKDNEERREVDSFSRKSSRGTRAVQQSNDFPPQSARQSLDPDGLVNGQHAGKLTSDVSNSKANRRPLNNKEEVDDLGLPSEDSEDDDYDPAGPDSDKDIEKKQSSSDESDYSSASDDFCGEIAKSCGQEGVPSGAKAGGDPTNDLEGTTVRTNAAISNLPSKDPQMDHDVVSLVSGRRQDQSLDCKKLYDEADREAPSDSSDGEDWSGKCTPEKDSEKQCNRQHTGFFMRRRTGDVRQSNESTPDNARQSLHRVNGQHTEELLTSDGSSSTVHRRQFQPIIYQVSKWFETRRYKTRVAAKKNDSRVKNHSIKENQSSVAAHIEVTDPKELGKKLNACKNGAANDFMASGILNGGVKQDSPLKQDTSGGLGTHASPPGSSPKGAPIENHGNNTSSRCVGRPKDGYTGNVVLALPVVDDRTKEAAMRELKKRKTGS* >Brasy8G073500.1.p pacid=40080593 transcript=Brasy8G073500.1 locus=Brasy8G073500 ID=Brasy8G073500.1.v1.1 annot-version=v1.1 MARSSSVTEPEVGITCFTSSLPGFRGVLKHRYSDFIVHEVARDGSVVRLTSLDLPDECVDVKEEEKAAPSADADHSQALESFRALCTDADCDALKGLLERVSAGGDSGFSPVILSPDADKAHRSEVHNFFKKNFKFLVTDTVEQSDGVKKCIRVRVGSEAGGGRGSRGRGGGGRGGGGRGRKRKNMNGSDWRDDTPFDSRGSTSWPAHIGKFLRFHLCKENKDTQDALGVIGKMLGLQPRAFGFSGTKDKRAVTTQQVTVFKVSANKLAALNKRLFGIKVGNFCYVKEGLGLGQLMGNRFTITLRGVVAESEDVIKAAVDSLGKNGFINYYGLQRFGSSSVPTHLVGSALLRGEWKTAVKLILDPREGERDDIKEVREHYKEHGDIDMALRNFPRHLVAEKAILQCLRKCPENYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVQKYGISQVIEGDLIYSKESHPGEATSAGTSETDDDHANSSEIDICSETLPEENIQSVKIVDSEDLLKGIYSFEDVVLPLPGSQALFPGNEVAEIYHEMAKKDGISLTGSAHGVKEFSITNMKGGYRRVFQRPIDFEWELMTYTDESASLAETDMDILSKVKLTEAKANEPGSSGVSNSKPPDCKLESPSENSMPTSETSLGENKSNGSSDISPSKLAVKLAFTLPASTYATMAIRELLKNPTSVAYQKTLDC* >Brasy8G073500.2.p pacid=40080594 transcript=Brasy8G073500.2 locus=Brasy8G073500 ID=Brasy8G073500.2.v1.1 annot-version=v1.1 MARSSSVTEPEVGITCFTSSLPGFRGVLKHRYSDFIVHEVARDGSVVRLTSLDLPDECVDVKEEEKAAPSADADHSQALESFRALCTDADCDALKGLLERVSAGGDSGFSPVILSPDADKAHRSEVHNFFKKNFKFLVTDTVEQSDGVKKCIRVRVGSEAGGGRGSRGRGGGGRGGGGRGRKRKNMNGSDWRDDTPFDSRGSTSWPAHIGKFLRFHLCKENKDTQDALGVIGKMLGLQPRAFGFSGTKDKRAVTTQQVTVFKVSANKLAALNKRLFGIKVGNFCYVKEGLGLGQLMGNRFTITLRGVVAESEDVIKAAVDSLGKNGFINYYGLQRFGSSSVPTHLVGSALLRGEWKTAVKLILDPREGERDDIKEVREHYKEHGDIDMALRNFPRHLVAEKAILQCLRKCPENYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVQKYGISQVIEGDLIYSKESHPGEATSAGTSETDDDHANSSEIDICSETLPEENIQSVKIVDSEDLLKGIYSFEDVVLPLPGSQALFPGNEVAEIYHEMAKKDGISLTGSAHGVKEFSITNMKGGYRRVFQRPIDFEWELMTYTDESASLAETDMDILSKVKLTEAKANEPGSSGVSNSKPPDCKLESPSENSMPTSETSLGENKSNGSSDISPSKLAVKLAFTLPASTYATMAIRELLKNPTSVAYQKTLDC* >Brasy8G026100.1.p pacid=40080595 transcript=Brasy8G026100.1 locus=Brasy8G026100 ID=Brasy8G026100.1.v1.1 annot-version=v1.1 MRRGWALLLLLALSVVAVVAASEDGTDILPAGRNNGTAIADSGVAEIRQNGETHPKTQHGAHGEVGSKNVIAENNGTDNSVGGTSTGGDETVQKPDGKDSSIAKSLMGFLQDPLVKECDPSHRCLIESKKFIACLKVPGEDSLALSLLMDNKGMDLLDVSITAPEYVTVAEEIVHVAANNHNETQVTVSVSDAVNDTRIVLKVAEETCTINLHTAVAVTRNTGRVIPMRLTPTYVLVPVFVLLGLAGICIKLRRARKQDGGSAYQKLDAAELPVSIGGKKEAEQPDQWDDNWGDDWGDEEAPSTPSNPMLYPSSKGLASRRSTKDGWKD* >Brasy8G289000.1.p pacid=40080596 transcript=Brasy8G289000.1 locus=Brasy8G289000 ID=Brasy8G289000.1.v1.1 annot-version=v1.1 MTPLLGKLGDLLAEEFSLEKRVRKGVKSLLTELEMMHAVLRKVGNIPPDQLDEQVRIWAGKVRELSYNMEDAVDSFFVRVEEGRERGPTNMKNRVKKFLKKTTKLFSKGKALHQISDAIEEAQELAKELGDLRQRYMLEAHASSAGDTIDPRLKAVYRDVAELVGIDKTRDELIGKMSDGDKGSKDQLKTISIVGFGGLGKTTLAKAVYDKIIGQFDCGAFVSVSQNPDTKKIFKKILYQLDKNKYAAINEAIRDDEQLIDELKMFLKDKRYFIIIDDIWDVKAWQVIMCAFPKNCFGSQLMTTTRIVTVAEACCSCSHDIVYEMKPLSGDDSEKLFNKRVFDQESGCPRELEQVSRAILKKCGGVPLAIITIGSLLASDQGVKPKDQWLALLKSIGRGLTEDPSLDEMQRILSFSYYNLPSHLKTCLLYLSVFPEDYEIDKERLIWRWIAEGFVQSAKEDTSLYELGERYFNELINRNLIQPIYYDNIQGIARACHVHDMVLDLICSLSSEENFVTILHGTEGSTTSSQSKARRLSFHNSMPELTTPEVDTTSMSQVRSVTLLRIVDDLIQALPSFRFLRIQHIVNVGNLFHLRYLGLRNTGVEDLPMEIGKLRFLETLDLRGNNLVVPSSIVLLGRLICLKVDKDMRMPVGMDNLVCLEELVEVRVDGSQVFEKELSRLIKLRLLGLRLHGSNERACKSLVESLGNLLKLQILFIKNEDCTRFDGCWDSWVPPPRLWALKFHRYTSRLPRWINSTSLLLLQFLCIAVDEVRGEDIEIIGKLPALRELWLKTTECQHTCVEMPIIGAGAFPSLIECNFKRFVTVPSMFPPGALPMLESLDFWAPASRIASGELDVGMGHLPSLQKVRVTFLPEENRSSWLEEARVALNLAADANPNRPTAQKQERRTTDQRYRRTSWRIELDVFAGIAEDLEVSYGIT* >Brasy8G080900.1.p pacid=40080597 transcript=Brasy8G080900.1 locus=Brasy8G080900 ID=Brasy8G080900.1.v1.1 annot-version=v1.1 MAKLVFFATVVAAMVAISAAYGGSSVSTQCQCQRELQESPLSTCQQFVDRQLNMVPAISQRPFDQSTEELKAWCCQELQYVSSGCRAAAIQSIVRKYEQDVEEAARQPQIPEPCYPAPDEPQPQIPGPRYPVSGEPQPQIPGPRYPGPDEPAKPQTQIPGPSYPAPGKPGKQQPPQEGGQVHHGCPAQHTQNRIIVARQQPIGGLLPVGLPEQIARERLTRARQLVAQLPAQCRLECGAFSPGQY* >Brasy8G093700.1.p pacid=40080598 transcript=Brasy8G093700.1 locus=Brasy8G093700 ID=Brasy8G093700.1.v1.1 annot-version=v1.1 METSPPTITVHVKFAGRTIPVEVPASASTTELKLLLQPLTNVLPRGQKLICKGKVLADAASLSSMQVGNGSKVMLVASQGLHQGDGPITKNNNGPAPSAKRTSNVKENEAQKPEIIIKSRQERWKITGVIALSDSSLKAVPEEVWDCGSSIRVLDACNNSIEAIPEKIVALKSLNKLLLNANDISDGGICWEGLSCVQTLTVLSLSQNRLVTLPPSLGSLTFLRELWIANNMLGNLPVEIGLLKQLEILIANNNRLTTLPSSIGDCESLLEVELSSNLLAELPEAFGNLQNLKTLHLRNNGLNSLPSTLFKKCWRLTTLDLHGTGITNDILRQVEGWEEFDERRRQKHQKQLDFRVGSSGIFDEGADDDNRRA* >Brasy8G117400.1.p pacid=40080599 transcript=Brasy8G117400.1 locus=Brasy8G117400 ID=Brasy8G117400.1.v1.1 annot-version=v1.1 MGDSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHASVNPVVTSTVWKELEKENREFFETYQKDRAERKIEAETMQRVQKMLSDAAASRTSDDDDEG* >Brasy8G054700.1.p pacid=40080600 transcript=Brasy8G054700.1 locus=Brasy8G054700 ID=Brasy8G054700.1.v1.1 annot-version=v1.1 MRKAAAAAVAAAVAVGAALLVRRQIREAKRWARAAAVLRDLEERCAATPARLRQVADAVAVEMHAGLASEGGSKLGMIISHVESLPSGQEKGLFYALDLGGTNFRVLRVQLGGKEGRVVKQDCEEISIPTNLMTGNSHDLFDFIAAALEKFVASEGEDFHLPEGRQRELGFTFSFPVKQSSISSGTLIKWTKGFAINDTVGEDVVAELSRALDRQGLDMKVTALVNDTIGTLAGGRYDDNDVVVAVILGTGTNAAYVERANAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQIYEKLISGMYLGEIVRRVLLKMTQEASLFGDDIPPKLKIPYILTTPHMSMMHHDGSSDLRTVGAQLRDILGIQNTSLKTRRLVVAVCDIIAKRGARLAAAGIHGILKKLGRDIPSSDKQRTVIAMDGGLYEHYTIFSESLESTLQEILGEEVSSSVVIKLANDGSGIGAALLAAAHSQYLDAEEPL* >Brasy8G007300.1.p pacid=40080601 transcript=Brasy8G007300.1 locus=Brasy8G007300 ID=Brasy8G007300.1.v1.1 annot-version=v1.1 MAAAAALRNAARRLEGYLLQRTQAGLGLPRRLVHSGGPEAPCRQTFPTRAPHRPPANANVEHPGAKPAAAGGTRNDGKLNAYRQISDKREELFDLLADTERRYGTEFSKECLQNVRLLQQLAVQVKPRPNDSVWRSKRTSKRINDFLAFTGANIASYMLTKKWIDNNKQKSEATPEEAKTDIAGDKQ* >Brasy8G099700.1.p pacid=40080602 transcript=Brasy8G099700.1 locus=Brasy8G099700 ID=Brasy8G099700.1.v1.1 annot-version=v1.1 MASAVTCLLMAAVVLAPLFAGAAGGKLSTRFYDRKCPNLQSIVRLAMVEAVAAEPRMGASILRMFFHDCFVNGCDASILLDDTAAFTGEKNAGPNANSVRGYEVIDAIKAQVEASCSATVSCADIVALAARDGVNLLGGPTWTVQLGRRDARNASQSAANANLPSPGSSLATLVAAFGSKGLSARDMTALSGAHTIGQARCATFRDRIYNDANINGSFAALRQQTCPPQASGGDGTLAPIDVTSPDVFDNYYYQNLMSKQGLFHSDQELFNGGSQDALVRKYSGNGAMFAADFAKAMVRMGGLMPSADTPTEVRLDCKKVN* >Brasy8G055000.1.p pacid=40080603 transcript=Brasy8G055000.1 locus=Brasy8G055000 ID=Brasy8G055000.1.v1.1 annot-version=v1.1 MMIMSLLAKCLVFSLSAVVLLLLSPGSTGASAMGLPPPQTPVNFTIGVQGMAWCKTCRYRGYYPPMDASPLPGAVAYLRCVRGRRGVSVRGVAGKGGYFLIQSSKMASFTSTDCRVVVRASPKRACGAAEFPAAGDEEGLPLKFERFVTLGDGIQALYSVGNFLFRPNSPGKCK* >Brasy8G096700.1.p pacid=40080604 transcript=Brasy8G096700.1 locus=Brasy8G096700 ID=Brasy8G096700.1.v1.1 annot-version=v1.1 MKISLATLLGHDGTSFSIIQDPAARSPLPEAQNHWTGGRFSRKLLGMARAAANLRGLVGIAAVDRRVVSSSVQGRCAAPQASPGGRGFWAVASGSGGRTTPDSSSSSSSALRQLQPRRGAAAARKSQRGVGRTGLRGLLSGGFESEDGKLSCGYSSFKGRRPTMEDCYDIKFSKIEGHTVSLFGVFDGHGGPLAAEYLKEHLLDNLVKHPQFLKDTKLAISETFLETDADILQSVSSPYRDDGSTAIVAVLVGDHLYVANVGDSRAIVSKGGKAIPLSDDHKPNRRDERKRIENAGGTIISWDDTWRVDGVLAMSRAFGNRQLKNYVLAEPDIQEEKVNSDLGYLVLATDGLWDVVKNEEITSIVRAEDGPEAAAMKLTEIAHRWHSSDNITCIVVRFHHGKPSGINT* >Brasy8G077000.1.p pacid=40080605 transcript=Brasy8G077000.1 locus=Brasy8G077000 ID=Brasy8G077000.1.v1.1 annot-version=v1.1 MDVSKWSTCHSSDSSLPRRSTTARAFFPFFSTGISGSRRQWMFQVVDVPFVGKAVSSSAFFHALPQSSDPICCSFLPRSSVLARFLFSAEPGISVCPSGGSGGICSAASGYGGGCRGDAGELSARTASPKRRD* >Brasy8G077000.2.p pacid=40080606 transcript=Brasy8G077000.2 locus=Brasy8G077000 ID=Brasy8G077000.2.v1.1 annot-version=v1.1 MFPSGRRAIRRTGISGSRRQWMFQVVDVPFVGKAVSSSAFFHALPQSSDPICCSFLPRSSVLARFLFSAEPGISVCPSGGSGGICSAASGYGGGCRGDAGELSARTASPKRRD* >Brasy8G081700.1.p pacid=40080607 transcript=Brasy8G081700.1 locus=Brasy8G081700 ID=Brasy8G081700.1.v1.1 annot-version=v1.1 MVPCLCLPCPASVSVQLDHVTPKMELSPFNRHDVMHAADHATPKMEHESIHFAGENRWKSSLLTWIMVVIISRVLFSTGRQAEQATQGEIPSRAVPSGLRPPSPRPRACHGAARDRRCRAELRGHGTDRRSGPQGREDAPPRLPPPPVRHPLPSPPPPDSSISSRNLSLIATWFGSRSGSTTLSASAVLLPPGALAEPPPLLGRVCAAHGHTGGVALTSSSLVEPFLVAEQRDSASEELQPRLLPETCLDVLVEREELGNIIDGDSGAPQWLSAQLLAMVDVAASAESILSLLTHDGSVFGSSSWDVGWPLADVNQKQVENGVRSSLESNRKNAYSESIDPSMLAKSATRIAILGVSSLRSSNAKHINVSLAPQRGDSLLVVGSPFGILSPFHFFNSISVGAVANCLPPGAVRSSLLMADIHCLPGMEGAPVFDKNSCLVGMLMKPLRQRGSSIQVQLVITWDGICTAWSSNKLEGIEQASNDLLDDKNEDSKMVESCAMDNYRRSVSISANHHNQYRIPASLKEAISSVVLVKVGDTSWASGIVLNKNGLVLTNAHLLEPWRFGRTSPLGLQNEITSLTGEHVHEVENKLLQSQECKMSNQHAVKHEAPLFNLGFKREKRISVRLDHGKRQTWCSASVVFISKGPLDVALLQMEMVAIQLCAIRPEFICPTAGSSVYVVGHGLLGPRSGLCSSLSSGVVSKIVKIPSAQHSHLSSTLEAENMDTPVMLQTTAAVHPGASGGVLINSHGRMVGLITSNAKHGGGSTIPRLNFCIPCNSLEMVFEYSANGDSTILEQLDKPNELLSSVWALAQTPASLPFLSSSPGKSGEGKVLKFSKFLADQQEGLKSRTDIETFLRHKIPSKI* >Brasy8G221500.1.p pacid=40080608 transcript=Brasy8G221500.1 locus=Brasy8G221500 ID=Brasy8G221500.1.v1.1 annot-version=v1.1 MVVPVIDFSKLDGAERAETMAKIADGCENWGFFQLVNHGIPTELLERVKKVCSESYRLREAAFRSSEPARTLEALVEAERRGETAAPVDDMDWEDIFYLHDDTQSWPSNPPGFKETMHEYRAELKKLAERVMEAMDENLGLETGRIKAAFTGHGRHAPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDKVGGLEVLRDGEWLGVQPLADAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDANRRSVASFYNPAFEAAISPAVAGAAGEYPESYVFGDYMDVYSKHKFEAKEPRFEAVKAPAKATA* >Brasy8G160400.1.p pacid=40080609 transcript=Brasy8G160400.1 locus=Brasy8G160400 ID=Brasy8G160400.1.v1.1 annot-version=v1.1 MKIVRWNCRGLGNRPAVRGLLELQKSENPDMVFLSETRLVKSKLERFRWMLGLPNLLARDCDETGGGAGVLPCSGVVMLMWCCIIILGVYGESNSDKKHVTWRLMRELNIQLDRPWLCAGDFNEILFSHEKEGGRPKSQQKMEHFKVALEQCGLHDLGFEGHRFTWHNNSKTKEDYIRQRLDRAVANSRWCAHFPNSAVHNGDPRHSDHKPVIINTEEGVKRERWKGVSGNFKFEARWLAENDCRGIVEKAWEDAALMSGSSVLETLSKVARDLKAWDRDVLGDLEKRLNKAKVDLEKCRRRNLNAYNVSQERLLRRKVDKLCRGGITADAIGWLKVGADGQRRRIRRRDGAM* >Brasy8G249600.1.p pacid=40080610 transcript=Brasy8G249600.1 locus=Brasy8G249600 ID=Brasy8G249600.1.v1.1 annot-version=v1.1 MALTPLLNDVGRRAAGIIEERSETKEKPAEVANYGATEPIVILGFGEMGQVLAKFLAAPLSFGLEQDSEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVMQSAGISSPKAVMIMYTGKEETIQSVDRLRQAFPAVPLYVRAQDMSHLLDLRKAGATDVVLENAETSLQLGSMLMKGLGVMSDDVSFLSKLVRDSMEVQAQEALNNIKDREDDTMQSLQVRVSDLVEPNGNGSGMLPRQQSLSLSSRPEVRVMKVLYPEVRICS* >Brasy8G027600.1.p pacid=40080611 transcript=Brasy8G027600.1 locus=Brasy8G027600 ID=Brasy8G027600.1.v1.1 annot-version=v1.1 MDSALLSLTCAGLGASEEDEDGAVVGYVKGDHCLDNLKDLQRFLRRDDPEQREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVVIAVIVSLLEDPLDQLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLPQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLLEIFHYLFLGRDPELIARVCSEGPKEQVNGDIDTSVHSLRLMMEKEEREKRMFRQQNAEHHSLNGIFTCLSVDGSTSLCKGNPSSAIASANSLRKIRNVQKGPQKRIAWDNELLYIPKVGIMEKLRSFLDQFLSGGYNVLMQSVCDDIVKEHHSIQKSDNTTFFKVVRFVLAFQHEKASNAQKSNAGPQLSGTSPSNEHDENLPFHGDICGPVAATLNEDMFNIVISRWRETYDDLKQTNDYKTLSAAGSLMKNMIGMIYLVLKVLPEDSRESQTARVLLYKLFYDQTDQGLTQFLLNLFRSFDTHKQPKSALADLLETIHIMLQLMEKLQSRGTLRVAKRTRKGRKRKTSNDKKENTESKTENVEESYVDPTDGTKCASDSLPDLRNEDPVAEPTLLEQGKVDSHGTVLPDVHVDNVVNLESTTQLGGDPSVAGSGEIKRNVIDEEEESSDSSIDDCPPATSEVDFNVSRLISSLANNSVVQNICWLLKHYKTNSFRTNHYIICMLRRFCEDLQLSPMLYQLSLLTTFYDILAEQKLSSSKEYANIVNFLSKIVRKMLRTMKKQPLLFVEILFWKSRKECHCIGADALLNELKGDVNNKAAEVGSSKGWRGPINIADSLGDDEADYVIPPEPYDADKDGDSSSGEREGETQKSMGATNKRNILLSLSGSEEDNDRNIVSRGSQHSRVPKRRGRSIFNEEQEKLIRDLYEKHKDDRKCSHLIAQALDPSGNISSTQISRKLTQLGLRNVLKKTKVPEAPLSAGDLDTQPQDHFLADAKPESTRRRRKRLHGLSSNPDNANHGISSDDESLQALKIRTKNKKLPSVELSPGTSQHQDALQDVDSDDEIIGSMLSRGKKKRSSTSDFTVNRQEHQGSSKNTGPDDQTIGSNAMDAPLHPGLNSFDNNNGHAGEAELLDDFSEPELDHLENTVQRIVDDRDISEAMDMTSPGASQKSGFKRRHRLVVDSDDDDE* >Brasy8G027600.2.p pacid=40080612 transcript=Brasy8G027600.2 locus=Brasy8G027600 ID=Brasy8G027600.2.v1.1 annot-version=v1.1 MDSALLSLTCAGLGASEEDEDGAVVGYVKGDHCLDNLKDLQRFLRRDDPEQREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSEDVAQQIEYLWDLKAALTRNVVIAVIVSLLEDPLDQLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLPQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHIDEPSGYLKQENLLLLEIFHYLFLGRDPELIARVCSEGPKEQVNGDIDTSVHSLRLMMEKEEREKRMFRQQNAEHHSLNGIFTCLSVDGSTSLCKGNPSSAIASANSLRKIRNVQKGPQKRIAWDNELLYIPKVGIMEKLRSFLDQFLSGGYNVLMQSVCDDIVKEHHSIQKSDNTTFFKVVRFVLAFQHEKASNAQKSNAGPQLSGTSPSNEHDENLPFHGDICGPVAATLNEDMFNIVISRWRETYDDLKQTNDYKTLSAAGSLMKNMIGMIYLVLKVLPEDSRESQTARVLLYKLFYDQTDQGLTQFLLNLFRSFDTHKQPKSALADLLETIHIMLQLMEKLQSRGTLRVAKRTRKGRKRKTSNDKKENTESKTENVEESYVDPTDGTKCASDSLPDLRNEDPVAEPTLLEQGKVDSHGTVLPDVHVDNVVNLESTTQLGGDPSVAGSGEIKRNVIDEEEESSDSSIDDCPPATSEVDFNVSRLISSLANNSVVQNICWLLKHYKTNSFRTNHYIICMLRRFCEDLQLSPMLYQLSLLTTFYDILAEQKLSSSKEYANIVNFLSKIVRKMLRTMKKQPLLFVEILFWKSRKECHCIGADALLNELKGDVNNKAAEVGSSKGWRGPINIADSLGDDEADYVIPPEPYDADKDGDSSSGEREGETQKSMGATNKRNILLSLSGSEEDNDRNIVSRGSQHSRVPKRRGRSIFNEEQEKLIRDLYEKHKDDRKCSHLIAQALDPSGNISSTQISRKLTQLGLRNVLKKTKVPEAPLSAGDLDTQPQDHFLADAKPESTRRRRKRLHGLSSNPDNANHGISSDDESLQALKIRTKNKKLPSVELSPGTSQHQDALQDVDSDDEIIGSMLRGKKKRSSTSDFTVNRQEHQGSSKNTGPDDQTIGSNAMDAPLHPGLNSFDNNNGHAGEAELLDDFSEPELDHLENTVQRIVDDRDISEAMDMTSPGASQKSGFKRRHRLVVDSDDDDE* >Brasy8G200200.1.p pacid=40080613 transcript=Brasy8G200200.1 locus=Brasy8G200200 ID=Brasy8G200200.1.v1.1 annot-version=v1.1 MDLNASPLPEDDDQTYEGQVDADFSQDEHIESAVEIMRREREERRRKLKRDQPDDGPRPRPQQIRNEQINQNKIGGYRRIKETPQGWLDCPASGQPIDKIIPSKVPLDETFNESVLPGKRYSSKQVVNKQRKANREIGLVIDLTNTSRYYSPSEWTKQGIKHVKIACRGRDAVPENESVNTFVYEVLAFHERQKPSRNPKYVLVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKADYIQALYSFYHEIPESITCPPTPEWKRPSDLDLNGEAKQDDDDDNGDLAPSPDPADNKAITNDDVLGDAVPYDQQDILRGICFKLLDFVPNGRANAQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPIRNFNDGFHNFTLIDGEMVVDTIPDGGLKRRYLAYDLMALNFSSKVKLPFSDRWKLLEDEIIRPRIHERKQFETGLKGNPSYRYDLELFSVRRKDFWLLSTVKKLLKEFIPALSHESDGLIFQGWDDPYVNRTHEGLLKWKYPEMNSVDFLFETGSENRQLIFLYERGKKKLMDGTRVVFSDEVDPSSISGKIVECSWNKQEDCWSCMRIRADKSTPNDINTYRKVMRSITDNITEDKLLAEMNEISSLPMYADRKAHADRKAHAEKMAQQHRRR* >Brasy8G228600.1.p pacid=40080614 transcript=Brasy8G228600.1 locus=Brasy8G228600 ID=Brasy8G228600.1.v1.1 annot-version=v1.1 MPSWLLRAARPTSTLGASSLSASPRCPYALTEGASRRDGYYGEPATPTQATGRPNKAEDAVDDFLQAPPRRPPHTSFPAPVARPGRRLHIQHASSPSLAASSGRIRSGGIDSRASATHRNIIHTKSHPRRRRWVVAVTHLRPGGRLLTCSAPRSSVMGSQQKFWFDFGVGCPAGVCRIA* >Brasy8G142000.1.p pacid=40080615 transcript=Brasy8G142000.1 locus=Brasy8G142000 ID=Brasy8G142000.1.v1.1 annot-version=v1.1 MASPAALGAPMEVRCTGCGETLEVDHGTTDFACPGCATEQRLPPELMPRRPRRAIPITPAASAHDRMPCGGCGTMLSVPGGLKSFACPICGAAGTRPQASARALPVAQPVSMEPQVLEDPASQATLAGQVHVEVERYRMPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQVKEKSISHANARFKCYDDVMQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.4.p pacid=40080616 transcript=Brasy8G142000.4 locus=Brasy8G142000 ID=Brasy8G142000.4.v1.1 annot-version=v1.1 MASPAALGAPMEVRCTGCGETLEVDHGTTDFACPGCATEQRLPPELMPRRPRRAIPITPAASAHDRMPCGGCGTMLSVPGGLKSFACPICGAAGTRPQASARALPVAQPVSMEPQVLEDPASQATLAGQVHVEVERYRMPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQVKEKSISHANARFKCYDDVMQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.2.p pacid=40080617 transcript=Brasy8G142000.2 locus=Brasy8G142000 ID=Brasy8G142000.2.v1.1 annot-version=v1.1 MASPAALGAPMEVRCTGCGETLEVDHGTTDFACPGCATEQRLPPELMPRRPRRAIPITPAASAHDRMPCGGCGTMLSVPGGLKSFACPICGAAGTRPQASARALPVAQPVSMEPQVLEDPASQATLAGQVHVEVERYRMPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.5.p pacid=40080618 transcript=Brasy8G142000.5 locus=Brasy8G142000 ID=Brasy8G142000.5.v1.1 annot-version=v1.1 MASPAALGAPMEVRCTGCGETLEVDHGTTDFACPGCATEQRLPPELMPRRPRRAIPITPAASAHDRMPCGGCGTMLSVPGGLKSFACPICGAAGTRPQASARALPVAQPVSMEPQVLEDPASQATLAGQVHVEVERYRMPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.9.p pacid=40080619 transcript=Brasy8G142000.9 locus=Brasy8G142000 ID=Brasy8G142000.9.v1.1 annot-version=v1.1 MEPQVLEDPASQATLAGQVHVEVERYRMPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPLHHPHRGLHRMGLVSVGAAASLEPVTFDDLSTLIPANR* >Brasy8G142000.3.p pacid=40080620 transcript=Brasy8G142000.3 locus=Brasy8G142000 ID=Brasy8G142000.3.v1.1 annot-version=v1.1 MPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQVKEKSISHANARFKCYDDVMQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.6.p pacid=40080621 transcript=Brasy8G142000.6 locus=Brasy8G142000 ID=Brasy8G142000.6.v1.1 annot-version=v1.1 MPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQVKEKSISHANARFKCYDDVMQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.7.p pacid=40080622 transcript=Brasy8G142000.7 locus=Brasy8G142000 ID=Brasy8G142000.7.v1.1 annot-version=v1.1 MPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.10.p pacid=40080623 transcript=Brasy8G142000.10 locus=Brasy8G142000 ID=Brasy8G142000.10.v1.1 annot-version=v1.1 MPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKVMPYWTQACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G142000.8.p pacid=40080624 transcript=Brasy8G142000.8 locus=Brasy8G142000 ID=Brasy8G142000.8.v1.1 annot-version=v1.1 MPTHSESQGWHPNQSVYKDEPFRFNSSRADKKIPAHSGNVNEVSYNHSDSVHVEDSHVDPLHEITTRPSKNKSRIQSFFLKQNRIQSSHAEKVHQVSSSQATPVLQTQSGCSAYSVHTNQSQERFPSDIIARHGKQKTTVAPRIIGKQLIEHLDQATHEQNARGTSSDGAHADQVHVEPDSNTWNEKRKRSKQSSGGNRKRAHLMNSSSEGLHLRRSSRLAKMPTAPIDAQPTQPLNSPHQSYNQDTTGIIANMTSSLTPQQQVPQASCNELDGVHANTPSSSNHGALQPECITNRYSMSYDSWREHDIPREGSCSADVHSVRLQTSERVTNGQQAHRLSSRENNLEQVDIGLNRNSVATRGRHQRKGSVSTSNEAEQDGVPSISGTRQQMTLAASCRRLAASMPAVNSSSAPGGALSSELEGDIPSLLPYEPSPVVPSQLNDASQPDVLSASVHPSSKKRKVCGQSSKRRKQRGPSELVEPCTQTDSHSKSPDPTQELAGPTELKHDVPDCATPRNEHQHDDADYGGDGSIETVNIEKRWCHSLPNVPSDEEEKPILTPVGDTMWLVHPLYKNARLPNGVIGCIVRERYPGMVKYKGSNQHAENWRHYAVAPDPLGEKENLLERISTDFWARYRWKDGFEAHAQLVVNTVIKKEVQQLIYSARIHAVLDYFKKFRGIKIAAQEARAKYLSQEEYMKACPAAWESLARKWSSEIWKEKSMESRKRRNMNREPGHRQGSSSLLRLCQKKGEKEGRDVTPIEAYLYGHRNKDSSNPSNSCDDPALQRLEAYKEAVLEIHGPDYDWINSPIDSEAVYKVCRGKPHGRWLIFNGLVNSKEILADAKSQGLKTRPTQARQQGVSDVEERFSRLLEEKLQQVEESRQARDEEVKQQMKQELQEHVAAAIQSTNEYWLTCLQNYTRQTGANISLPPPPPPPFLRPSSQPGTSASSSAQGTPQDGSGVSGSGSEPGAGDI* >Brasy8G013700.1.p pacid=40080625 transcript=Brasy8G013700.1 locus=Brasy8G013700 ID=Brasy8G013700.1.v1.1 annot-version=v1.1 MRLGVKCLTVLLLLVLLALCSGVSFCDARSGKHWRQQQQKKAPPISMPRRKGKGKNNGSHQGYQNPCQPSPSTGPNAPVGPSPAMPTPSVGGNGSPPPAPALLPPSPPAVAPSPETVFNVVDFGALGDGVTDDTQAFEAAWAAACKVEESTVLVPSELEFAVGPVSFSGPNCAPNILFQLDGTISAQTGSTAWGSGLLQWLEFTKLNGIAIQGSGVINGRGQEWWTHSDPNEDDDIDVYIPELEKMPQIKPTALRFYGSSNVRVAGISIVNSSQCHLKFDSCQGVMVHNLTISSPENSPNTDGIHLQNSKDVNIHHTNLACGDDCVSIQTGCSDISIHNVNCGPGHGISIGGLGRYNTKACVSNITVKDVSMFKTMTGVRIKTWQGGSGLVQGIRFANIHVSEVQTPIMIDQFYCDKMAAGVLCTNKTSAVAVSGIQYENIRGTFTMKAAHFACSDSAPCSEITMAGIQLQPLMVPPRYHPYNSSTFCWQAFGELYTPTVPPIPCLQIGKPDGNRMLSDREQC* >Brasy8G030900.1.p pacid=40080626 transcript=Brasy8G030900.1 locus=Brasy8G030900 ID=Brasy8G030900.1.v1.1 annot-version=v1.1 MAFAAYSIRGPLKLAVSHDPYALANNHLCRGWRPPASYALSQVSSSNIPSIVARHVVLHSPHLIALNSERQRSSSKVSALDGSIVAEERITVLVIGGGGREHALCYALNRSPSCESVLCAPGNVGIAQSRDATCIPDLDISSSDAVISFCHKRGVGMVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFMDPVEAKQYVKDQGAPIVVKADGLAAGKGVVVAMSLEEAFEAIDCMLVEGSFGSAGSQVIIEEYLEGEEASFFALVDGENSLPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTVMESIIIPTVKGMAAEGCRFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPDFRIYNSQCQVLMMRLESDLAQVLLSACKGELGNVSLTWSPEIAMVVVMASEGYPGSYKKGTVIKNINNAEQVSPAVKIFHAGTALDGDGNLAAVGGRVLGVTAKGKDIEEARARAYDAADVIDWPEGFFRRDIGWRVLKHKQMAKC* >Brasy8G030900.2.p pacid=40080627 transcript=Brasy8G030900.2 locus=Brasy8G030900 ID=Brasy8G030900.2.v1.1 annot-version=v1.1 MVVVGPEAPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFMDPVEAKQYVKDQGAPIVVKADGLAAGKGVVVAMSLEEAFEAIDCMLVEGSFGSAGSQVIIEEYLEGEEASFFALVDGENSLPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTVMESIIIPTVKGMAAEGCRFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPDFRIYNSQCQVLMMRLESDLAQVLLSACKGELGNVSLTWSPEIAMVVVMASEGYPGSYKKGTVIKNINNAEQVSPAVKIFHAGTALDGDGNLAAVGGRVLGVTAKGKDIEEARARAYDAADVIDWPEGFFRRDIGWRVLKHKQMAKC* >Brasy8G218800.1.p pacid=40080628 transcript=Brasy8G218800.1 locus=Brasy8G218800 ID=Brasy8G218800.1.v1.1 annot-version=v1.1 MDLYNFIWILEQKIMSAEHVCSLWQGISQAKGLHGPYFIITSDSSFMNWLVQMSHISLKKLLYGGNRKDRAQSREEFMHKTVGPDFLIILTTYSMAMSDKQCLTHYEWKYVVVDKGCQLNKREFELLDKLKGLSICPKLLLIRSPFQNNLAELWSRFLPDMFSSREKFDSWFEFTGKEGREHLNEV* >Brasy8G062000.1.p pacid=40080629 transcript=Brasy8G062000.1 locus=Brasy8G062000 ID=Brasy8G062000.1.v1.1 annot-version=v1.1 MGRAMRWLKKVLTGGKKEGDRNRNKDINGAAAGAQMIERRRWSFAKARNSVADGSRRPSVTAVVAGELSQVRPCSCGQEREVEAAVMIQKAFRGYLARRALRALKSLVKIQALVRGYLVRKQAAQTLHRLQALMRLQASSRKSIEQERKMSVLPVMMHRRRLSEGGGMDAGFERSGSPRIVEMDTCQLRSRSSRIASRYGAPPASSPLPCFFYHKPPSRLHELETPRPQPKTTQNTPRLGALPPAGIVCGGSPAKARPSCAGGRESSSSPRYMADTASSVARSGTRCQSAPRTRQSAHAAPVEPKAPGLARAGSRKAQPQDSFSFKSSEASRMEDYSEISDEVTRDYYLDQLW* >Brasy8G172500.1.p pacid=40080630 transcript=Brasy8G172500.1 locus=Brasy8G172500 ID=Brasy8G172500.1.v1.1 annot-version=v1.1 MLKHSPQPAAGQGQGRGGLGRRGFRSEGRAGGGPWSMGAYQVQQGGGGGELGGGKSVAGGEEGGGVNGKRGQRSEGSTGKGGRNGFIAPSVFALCTLMKSSSGVEFRSRDALQIWIPLVPRRERIQACSVRRRQRACLDSLRSFCMAAGVPNFLVTLTRPTPTVWANHVSTPTS* >Brasy8G105000.1.p pacid=40080631 transcript=Brasy8G105000.1 locus=Brasy8G105000 ID=Brasy8G105000.1.v1.1 annot-version=v1.1 MGGAVSVSAEAAGEYPVVLNVYDLTPLNNYVHWCGLGIFHSAVEVHGLEYSFGAHDHPTSGVFEVEPKSCPGFLYRSTIFIGRTTLHPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTETKNLADCHFSDGSTTTSNEQLEYDDLEDKHLLSQSSLGHDAIVKEVHR* >Brasy8G088000.1.p pacid=40080632 transcript=Brasy8G088000.1 locus=Brasy8G088000 ID=Brasy8G088000.1.v1.1 annot-version=v1.1 MLPSSSSVTHVEYRIGLLFGRSPAGDLVCPAHRTTRVSARTGKGVERDNRGRQTQRRLLDVGLARPHLGCWVPRPWPPPPRLHPVSPPPLASSPAPASPTLTSARTGRQRQGLAVRPSGSR* >Brasy8G142900.1.p pacid=40080633 transcript=Brasy8G142900.1 locus=Brasy8G142900 ID=Brasy8G142900.1.v1.1 annot-version=v1.1 MAAVSIFLLLLLLAGVLAALVYLLRLAHSMLWVPRRLERRLRRQGIRGPPRSLISGNAADYVALLAAAQSAPLASFHHGIVGRATPQYGEWPARYGRPFVFWLGPRPRLVVSGPDVAKAALTDATGAFEKGSPGGGNPLARQLIGEGLVGLTGEKWAHHRRIIAPAFNMERIKGWIPEISAITSSVLDKWEAQGETRAEFEIDVNKEFHTLSADVISCVAFGSSYEEGKRVFKLQEEQMKLVIIAIRSIYIPGFRFVPTKTNRRRHSLNKEIRNSLRKLIEINGRKCEDSKNLLGLMLSASKMENELKMGIEEIIDECKTFYFAGKETTANLLTWATLLLALHREWQDKARDEVLEVCGKNEHPNVENLSSLKIVNMVLKETLRLYPPATFLNRMVTRDVELGKLDIPAGMQLNLPIIDIHHDADIWGANVEEFDPSRFADGKSYQLGAYFPFGIGPTICVGQNLAMVEAKLILAMVLQRFVFDVSPNYVHAPMLGMTLQPQYGAQVLFRQV* >Brasy8G225300.1.p pacid=40080634 transcript=Brasy8G225300.1 locus=Brasy8G225300 ID=Brasy8G225300.1.v1.1 annot-version=v1.1 MHDLVHDLARSVMVDEILIAGEQGNTGGSCCRYALLNDCSKPLEPSKTKIRALRFMDCGETELNGAAFSSAKSLRVLDLSECSIHKLPDSIGQLKQLRYLNAPRVQHATIPDGITKLLKLIYLKLNESPTILALPESIGDIEGLMYLDLSGCSGIETLPESFGRLKKLVRLDLSNCSRLGGVSVFLENFTELQYLNLSHCPNIGPLSYLVGCQEAEVLGTFSKLEYLNLSSENCDLQKLPESLGGCAKLKYLNLTGCCHLKELPTAFRNLNNLVHLDLTNCPKVNGVPEALGGLTKLTYLNLSRPKHDKCKYDNELCLNGLPNVIGILTELRYLNISSCMDCIIKADGYADARDEVISFMDRISTLSNLEHLDLSGNNFMHAIRARFCNLRKVHTMDFSYCRDLRLVPECIMDSLKTLHLKGCHLYVQPHLNGISVTLPRFVVDAGEGQSSSNLILLQHTNPAELEITELENVKSPEEAHRINLKGKQSIKELEFQWTRGAERFVDDKMLLEKLVPPSTVEKLKIRGYNNVSFPAWLMDITHYLPHLVSLEMCNMPNCNILPPVSQLPNLNSLVLRGMESLEEWNTSYSTGEVYVIKELQIHDCPKLRMNLVPPRAIKLEITNSDTGASSSYSSVTTKLEVNYCKEPLHQWRLLLHHPGLTDLSISHCSDLTISPEIIQQLSSVKTLLLDANDQDELPEWLGELTSLKKLDITQYTGLIELHENMRQLKKLETLQVSYCNSMASLPRWLGELTSLKYLTIWECDGIRSLPEGIKQLSNLQELNIRRCPKLVEWCESEENTMKLAHMKKT >Brasy8G024100.1.p pacid=40080635 transcript=Brasy8G024100.1 locus=Brasy8G024100 ID=Brasy8G024100.1.v1.1 annot-version=v1.1 MDVVSASEGALGPLLGKLADLLANEVKRLKGVRSEIRSLKSELTSMHAALKKYTMIEDPDEQVKTWISLLRELAYDTEDCFDRFIHQLGSGGNHRGFKEFFRKIVRCLKTLGHRHGFADQIDDLKARIKELKELKDSYKFEDNIACSNSNHGGGDPRLGARFTEDLVGIDGPTNDLAKWMVEEENRSTKRHRKVLSIVGFGGLGKTTLANEVCRKIEERFDCQAFVSISQKPDIKKIVKDLIYKVPCPKDFTKGIDTWDEMTSIEKLRNLLQDKRYLIIVDDVWSIQAWNNIKCAFPENNRSSRIIATTRDFDVARSCSLSTDDCIYEMKPLNGFHSEKLFLKTIFGSEDCCPDMLREISYEILKKCGGLPLAINSISGLLARGPINKQEWEKVKRSIGSDLSRSQSLEGMKNILSLSYNVLPGYLKTCLLYLSIFPEDYVIDKERLVRRWIAEGFISEERGQSKQDVAEKYFYELINKNMVQPVDIGHDGKAGSCRVHDMMLELLISKSVEENFITVVGSGQTILASRQGFIRRLSIQDIDQEVASVLENEDLSHVRSLTVTRSALRVLDFEDCEDMKEYDMSPMDKLFQLKFVSFKNTYISELPSGIVTLHGIETLDLRNTNIEELPAGIDKLIKLQHLLAERGPYRYRYRQGITKVPNGIGNMRSLQVVSGFNISLSSVGAVEELGKLNTLNELHVQLDDADNRCADMLLSSVCRLGTCKLQCFWISSENSTSLEFLDSWSPMPSSLQIFGMTTNYYFPKIPKWITPALTNLTYLLLIVSDVTQEELHMLGELPGLLYLELWLERGQTRTLAAQGRGFQCLKELHFRLSFSTAGTVNFVFMEGALPNLEKLDVPLSVVATENGYYFGIVHLASLKNAKFRLDMEGAMYPNLKAASVAIRNETNAHRNRLRVTIVGVDYWSDEEWCDDE* >Brasy8G099800.1.p pacid=40080636 transcript=Brasy8G099800.1 locus=Brasy8G099800 ID=Brasy8G099800.1.v1.1 annot-version=v1.1 MGFLLARCIIFAAALLCVLPPCDAKLSSKFYGKACPNLGAIVRSVMAPAVAKEPRMGASIIRLFFHDCFVNGCDGSILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTQVEAACQATVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASQSAANANLPGPGSSAASLVSAFAAKGLSAREMTALSGAHTVGRARCLLFRGRIYAEPNINATFAAARRLTCPQAGGDGNLAPFDDQTPDAFDNAYYKNLMARRGLLHSDQELFNGGPQDALVRKYSGNAGIFAGDFAKAMVKMGGLMPVAGTPTEVRLNCRKVN* >Brasy8G289700.1.p pacid=40080637 transcript=Brasy8G289700.1 locus=Brasy8G289700 ID=Brasy8G289700.1.v1.1 annot-version=v1.1 MAGGDSSSSIAVEGRSRRADARQRKKQKQEEEQQQPEEKNPLDPRFSDYDPKQGKHVFTRFRHRNLDLDAESSFGAMHNTDRIFREGFVLCNLANVVSVKIVSSDYGYPFNVYGNVIARDSMDRQRVYVFRRDEDNCQVIRSKHMHVNRQVNDSLLFVIRRQHTLLQNDSLILTGPKRGLMIYDSIFFEIDLKVTDVNGRKVKDERLSKGLIVVDGIFRLSLPPKHRLETETLVSMHSTLDLNYTFRRSAVEGTVEIRILEGPVRFHGKILARTTGVPCDIMLHDSKLSGVLSAGDNGVLQTARRVVGVSVDEMLLLTFAAVPVGVSTVEFTPRRNYYDEEKITCGNYKMLVKVTWSIVHL* >Brasy8G289700.2.p pacid=40080638 transcript=Brasy8G289700.2 locus=Brasy8G289700 ID=Brasy8G289700.2.v1.1 annot-version=v1.1 MAGGDSSSSIAVEGRSRRADARQRKKQKQEEEQQQPEEKNPLDPRFSDYDPKQGKHVFTRFRHRNLDLDAESSFGAMHNTDRIFREGFVLCNLANVVSVKIVSSDYGYPFNVYGNVIARDSMDRQRVYVFRRDEDNCQVIRSKNDSLILTGPKRGLMIYDSIFFEIDLKVTDVNGRKVKDERLSKGLIVVDGIFRLSLPPKHRLETETLVSMHSTLDLNYTFRRSAVEGTVEIRILEGPVRFHGKILARTTGVPCDIMLHDSKLSGVLSAGDNGVLQTARRVVGVSVDEMLLLTFAAVPVGVSTVEFTPRRNYYDEEKITCGNYKMLVKVTWSIVHL* >Brasy8G185900.1.p pacid=40080639 transcript=Brasy8G185900.1 locus=Brasy8G185900 ID=Brasy8G185900.1.v1.1 annot-version=v1.1 MAGGGSRRGTGAEEVRIGSGNVFAALETLKKKKKKPAAEAKKQEAPAAKPEVFWAPAPLTAKSWADVEDDDDDDYFATTAPPSAVWGTQEDTAAAVHEDDQEHAALEQEIESEDDSLDDEVDAAAEDEYEAADDVPSEQAAKKAVAPIAPAKDTERQLSKKELKKKELEELDAVLAELGISDQHEANDNKGTCEAADGEEKEDTTVPSESKTSKKKKAKKDRSSKEAKETHDQNDMADDAAGAEPDEDAASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARRAKLAAAKKKDKNHYNQQPLR* >Brasy8G102800.1.p pacid=40080640 transcript=Brasy8G102800.1 locus=Brasy8G102800 ID=Brasy8G102800.1.v1.1 annot-version=v1.1 MAPNTEACAIAMAMAAPFIAMVSNNMSTTGSGNVEKRRRTSSERALQRTVSDVSYELHHHGGAGGAKEEDEEAEKQKQPLVLHPAIPEVEDARCECCGMSEECTPEYIRGVRGRFSGRWVCGLCAEAVTEEAEKSGGSREEALRAHMGVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREVRESAAAKAKAGGGVGGIARSSSCMPFITDEFDGVSIN* >Brasy8G004900.1.p pacid=40080641 transcript=Brasy8G004900.1 locus=Brasy8G004900 ID=Brasy8G004900.1.v1.1 annot-version=v1.1 MRALPLPRPFHGEDSHDPAHWEKNPRATRSRDASRPCDAAVLPSVLPFGDPKRRRRLPPSTASGTADPAASPGPSPDPACERRPFPRTGRLPPATASDPATSLRRPRAMPAQEPASNNKATAKSWFSLVSCAVKVAIMAAVVRLYEFNDQLHLYARLGLYGVHTYCFLDLLLPCALGMEMEPQFDRPYLATSLRDFWGRRWNLMVSAILRPSVYGPVRARAGNAAAAGVLATFLVSGLMHEAMVSYLSLRWPPKGEMAVFFVLHGVCCVAEEWLARRWAATGSTGWACGFVWAESWASDAEEEVVVCASPPRAVATVLVWVFVVGTSFWLFFPAWCKDGVEEKLLQEWAAVAAFLRDAGRKVALYRQRSDQ* >Brasy8G044200.1.p pacid=40080642 transcript=Brasy8G044200.1 locus=Brasy8G044200 ID=Brasy8G044200.1.v1.1 annot-version=v1.1 RRRAEKPSSAVAAETTKRGKATWLWEASGRLVETRRPVTAEPMSWRKAEEAEDEEWIISADDALPLIKSSRPLPHRCPPFPEGGSNKEISEWNKACDRVYKLAANDPVTHPPLLRETKDDFDTSSSSASDELYSASSRHREMILGLARSIVSISSSENDGLPEWNFNGIIIGPSELGERARILTSSETVCDYEGILDHKLLVRLPNKVIKDGELLFFDHHYGLAVLEISVDMSLRCPPFVSSPDYGDDVFVLTRKEDSSLMTTAGKVLWHNEPYFGRNHYLYLSCDLPERISGALVMDRDGNIAGITFDCPSPKTAVLPSFIIKKLIEMESNFRCMARPEHGLSLRAVQFLDLSRKEEILYKHNINSGYLVDKVKINSTAEIIGIRPGDVIVSVNGMRSLNMLELEEYFLSLGQQFLEKKIESSKIVLKLKVYDPLNCQEHTLCLPPGFSCLKAGKKML* >Brasy8G109400.1.p pacid=40080643 transcript=Brasy8G109400.1 locus=Brasy8G109400 ID=Brasy8G109400.1.v1.1 annot-version=v1.1 MEYFGDEFVADEILARLPARCAARCTVLSKRFRQLITSPHFWVRRRRLGAALELPHAACLYRDDGCKTFYFHVVGPAFAIKHTVSVEGSRYASTCNGLVLLAEIYPGGHSSVDGVVFNPATREEVRLSLPMPHTHEDVHRHFLGFGYGPLTKLYKALIREDDGESTRLMVVSPSGGAGGWQEPRTVFSCEEEMLCEHSLHMGDGKVYFLIFVESFHVVDGHFEDHCDVTSVLSFDVDNEVVTNIAVPEGPISFHMLLEVCGRPCIYMQEGQDTVLWLLTPEHQWERLYILVRHGSCGYDDLIGAWDCGGGLLFAKFRNSGAYLPEPLKDIWLSNPTNLWDYQPTLISPASIFSDAAPSGRRRVSVAPKHELDGMFLEMTQKSVVDQAMHMLSRSAGVASASAATAKCQVQ* >Brasy8G251800.1.p pacid=40080644 transcript=Brasy8G251800.1 locus=Brasy8G251800 ID=Brasy8G251800.1.v1.1 annot-version=v1.1 MSGHGKLVDDSGWREVVFANNYALFIGYLSMAVKGLGFLVLTWTTVVLLGGFVSTLQKKDFWCLTFITLVQTAGIFDVFLTEKLSYIGDSFWAVIFVRYAVLNDNPLHRKRQVLATGIAIVALWQLTVGNLKAALDVLYSLARLQGVIFCYKAIFGFAKGSVVNKIIKERDFGDQTRIPISDYLTETTIGCEKDPSFARGRNLITYAVDLMGSKSPDDYLSGVRVLDAFSRRLEEIIRKWEENLVQPPRLLKKYMEENILKKYLIMFASSSDILQKLLQTLSPRSIYDRETRGCAARIKFPMGIQYISALIDTFEEYSLLQPCEQDWLAETFEEDWYVAAPILEPSDRDKQDDSDEDQRQDSDADLLNAYKELVVQGLRILRKLTANNENCRVMLDTPGLLSKIMAPVTSDLLHHIDHGAWYNIVEGSLRVIAHLTAATGQTGKKLRSKISSSKEAISNMERILECDKCNEKLQELVIWILKKFIETLVDIMADDNKDKENRRIRAGWMLVALSSESETSATIIMKASDNFVDNLTAMVVVNDRCGPSAAKVLENLCIHYTDNDESLIVLKKAMIDAVPKVLGEIVCWASEETHKGTEVDKVRSTDQETDVENQSGNSQDNGQGNLSSSSNQRNFKMSEYSVIYMLSFCVTICDTLISADQDLAHQFDAINPGDCEYSFPTQLKKIVRKNTHPKPDCLKIVKLVCKMFISMMKHRGSYVKEDLESLMDALCSASKDMFLLDGSIVFDIREDGTTKPFRSLASLVKEAQELVDKHNGLLS* >Brasy8G190100.1.p pacid=40080645 transcript=Brasy8G190100.1 locus=Brasy8G190100 ID=Brasy8G190100.1.v1.1 annot-version=v1.1 MVNTTAAMANGGKGLPLASLNHISIVCRSVEESLDFYMNVLGFMPIRRPGSFDFDGAWLFNYGIGIHLLQAEDPESLPEKTAQINPKDNHISFQCESMVAVERRLKELGIAYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLADNTFAMAACKKRAVVASKQPMPMPMPAAPAPPACMPSSANKAMQRAGGGEEVHISCA* >Brasy8G111100.1.p pacid=40080646 transcript=Brasy8G111100.1 locus=Brasy8G111100 ID=Brasy8G111100.1.v1.1 annot-version=v1.1 MDKVDCYVVPQTSGTGRNIFQGGNPLSSSLPLLGVQLALIVAVTRVLYVLLKPLKQPRVVSEIMGGIILGPSLLCRNEAFKQQVFPARGEPVLNTIATFGLMYVIFLIGVRMDPRLAVRSGKKGVVIGLSGFLIPLALTASFSSGEGLEVEEDMSKRSTFLLALAASLSVTSFAVLSPILSELNLLNSDLGRIAMSASMTTDGIAWLIMVSYVLVEAFLVSPATSLWAFLSVAALVGFILLVVRPIALLVIERTPAGSPVDETYVFFFLLIVLLVGLYSDCIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGIILPLYYAMTGLSTDMWEIHWGRLQLVLFLGWLGKLAGVLASSLYLEIPLRDAVSLSFFMNSKGIVEVITFTFFMTNKLIGKHTFSALVFTSVSMTAVSVPVAALLYDPARRYAVYKRRTVQHLKADADLRILACVHDECHVQGTLALLEASYATPQTPVSLFLLQLVELAGRSAPVFIPHKPRPGAPPSSDSDRVINAFFQYELRHPEGAVSVHPFTTISPYSSMHDEVCRLAVDKRTSLIVLHYHKRHMLAGGMRAAMGLRVVNRKVMQVAPCSVGVFVDRNAGSVGLSAFIPGPLQDSSSRGGGSSSRSSTSGGMGFSAAVAALFFGGGDDREALAYAARMARHPGSRVAVIRFLPTRGIKDDPSDRRIDNRAIEEVKALAARSRSMNLQVQEELVGDMEKIVEVLRGLDKAGYDLVIVGMRHRWYPVMSANGLSDWSECPELGVIGDLLASSDFHTPYSVLIMKQQDQGGLNAAVPGAQDVWHGDDGGTAPPPPAERTMTTAGSSKFLQ* >Brasy8G170100.1.p pacid=40080647 transcript=Brasy8G170100.1 locus=Brasy8G170100 ID=Brasy8G170100.1.v1.1 annot-version=v1.1 MAAPPHPNAAARDRNHLPAQGPQTRRPQGQLAQRSRPRTPSQNPQPADPAPQQPRGPANPAAGVQTALPHPKRGDLTGGRNGPSPRHGGPELADTEQPEEGEVEAPPPHLGTKQNARPTEGAEPPTKNSSAKPRRRRRPRGTCLAASSGGDGGSQV* >Brasy8G197000.1.p pacid=40080648 transcript=Brasy8G197000.1 locus=Brasy8G197000 ID=Brasy8G197000.1.v1.1 annot-version=v1.1 MGTAPIEQKSVLVFLWSISVLLGTCNAEFTPADNYLINCGSTVDASVGRRAFESDNSKSTILTSHRSVAANTFLDSVPASDYAVLYQTARIFGVPSSYSFKMKSRGRHFVRLHFFSFKYQSYDLAVAKFKVSTQDVVLLDNFTPPSNSSPVVREYLLNITRGMLILTFVPLGNSTSFINAIEVISVPDDLIPDLGQTVNPIRQYPGLAAQPFQTVYRINVGGRKVTADNDTLWRSWDTDQSFFLNSTTTQTVTYEGKLNYQRGAATEEDAPDSVYNTARRLVAQNNTASASNMTWQFNVDRRASYLIRFHFCDIVSKAMAALYFDVYVDRWSAAEDLDLSEKGFGILAVPYYTDVILESSDPSGKLSVSIGPSSLKNVAQDGILNGLEIMKMNISTGTVEIVQPPPSQKRELPIILGSILAVCAATAVAILCFVLRRKKNKKPQTASTSRTSSAWTPLTLNGISFLSTGTRTTSRTTLTSGTNSDATYQIPFVVLQEATDHFDEQMIIGVGGFGKVYKAVLQDGTKVAVKRGNQKSHQGIKEFRTEIELLSGLRHRHLVSLIGYCNEHNEMILVYEYMEKGTLKGHLYGSDMPALSWKKRVEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPELDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVVCARPVIDPSLPREMINLAEWASKWQKRGELDQIVDQRIAGTIRPESLRKYGETVEKCLADYGVDRPTMGDVLWNLEFVLQLQESGPDITTIDSMNQISELPLESRRVGSLEISTADESHMNIDYSQMSTNDAFSQLMNTEGR* >Brasy8G009400.1.p pacid=40080649 transcript=Brasy8G009400.1 locus=Brasy8G009400 ID=Brasy8G009400.1.v1.1 annot-version=v1.1 MWMDEVDVNIKFSSSNLVLGLVACCNPRLTFALISMYGDPYHRVDDQLWDRVESFVYDNLDLPVFCMGDLNNIMNSYEKSSSRVNASRIQRFNHFVKPCG* >Brasy8G189200.1.p pacid=40080650 transcript=Brasy8G189200.1 locus=Brasy8G189200 ID=Brasy8G189200.1.v1.1 annot-version=v1.1 MAELQRSSQTFRRSGSSGLIWDEKLTSEDQNQRDQGATGEAEANSLDFKELRHSRSVGSMGAAQRRQCGDGVRSTDGNQAFRTRHVPPALDPPSPKVASCMFCGIFSKEEPSQASKPRRY* >Brasy8G083500.1.p pacid=40080651 transcript=Brasy8G083500.1 locus=Brasy8G083500 ID=Brasy8G083500.1.v1.1 annot-version=v1.1 MPNAGGFVGAGPGRAKNATLNPSCALAVCPRRARRRRFEFLAPTVGGGAGLSGSRSSSQVSATYSSGARRQAVSWRANSRGLLYDGVSASRGKRKRAVFRFVRGGEGGGGRTRVHGGNEGAGEREGVGGQNRWILGWRRPPRGMGARGGVAGGRRRRALTR* >Brasy8G153000.1.p pacid=40080652 transcript=Brasy8G153000.1 locus=Brasy8G153000 ID=Brasy8G153000.1.v1.1 annot-version=v1.1 MGKTLEKMQNAAQQQKGKLYIIVACIALLVCGCCKQQKRQKRDRNMK* >Brasy8G206900.1.p pacid=40080653 transcript=Brasy8G206900.1 locus=Brasy8G206900 ID=Brasy8G206900.1.v1.1 annot-version=v1.1 MDALEHARVERPLDAPVREHAPRRRRQPHMVVLARLVGRQKPGQLVVVVRRALVDEEVDAVDRHVVAERAEHAAAGAAEVRIPEVVGDVDGGLGGRQRVVAPAAADGEEHDDALAVAVLDVGADVVERVAREVAPVPAVAEDAVEGDDDRAVDTRVARLPEGALVLVPAPEDGQAVLSGCGCSGGEEGEGEKSC* >Brasy8G122200.1.p pacid=40080654 transcript=Brasy8G122200.1 locus=Brasy8G122200 ID=Brasy8G122200.1.v1.1 annot-version=v1.1 MDEGYANLPTSHLLGSVPAVTPEERKPAGPAEVGNAAATSRLQQFPPAPGATGGGYQPPGSPLDGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWNFDVGYFNWAATVMYGYAIVVPAAFFFLFRYFGSRPSLVRFWCMWGYSLFIFVPASILLLIPVEFLRWVIIAGAGGASSWFIALNLKECTEGADMMVLIASASVLQFALALFIKVFFFA* >Brasy8G122200.2.p pacid=40080655 transcript=Brasy8G122200.2 locus=Brasy8G122200 ID=Brasy8G122200.2.v1.1 annot-version=v1.1 MDEGYANLPTSHLLGSVPAVTPEERKPAGPAEAATSRLQQFPPAPGATGGGYQPPGSPLDGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWNFDVGYFNWAATVMYGYAIVVPAAFFFLFRYFGSRPSLVRFWCMWGYSLFIFVPASILLLIPVEFLRWVIIAGAGGASSWFIALNLKECTEGADMMVLIASASVLQFALALFIKVFFFA* >Brasy8G229000.1.p pacid=40080656 transcript=Brasy8G229000.1 locus=Brasy8G229000 ID=Brasy8G229000.1.v1.1 annot-version=v1.1 MKKKARTAAVPAAKRSASGGTAGRRPRKRGRDGDLIGHDDLVPHGDLISELPDAILCTIISLLPTMDGARTQVLSRRWRPLWRSAPLNLDAYYRLCTDDFKRFSIVSKILSDHPGPVRLFYIRSIRLHKAKKRHAEEAALMDSWFHSRRLANLEELDITFHPHYRVYGNKKPYPLPWSVFHVAQTLLVASIGCCEFPDEIARAMHFPLLKQLTLEYVAISDNVFRGMLSGWLAAMSWRPYISRKLVVRVASTLPRQLLGVLVCVLGFWATRNSGLERLLLSRRGSQTIRVTGAPELEILGPLSSCISEIKIANLLFQMFPLLGKTVCQCEYSSFLALIVKMNI* >Brasy8G262800.1.p pacid=40080657 transcript=Brasy8G262800.1 locus=Brasy8G262800 ID=Brasy8G262800.1.v1.1 annot-version=v1.1 MPAAAAAMAAVSSGAAAATGTTRWCPTAEQLMVLEEMYRGGLRTPNASQIQQITAHLAHYGRIEGKNVFYWFQNHKARDRQKLRRRLCMTHHLLSCAAAAAQAQAQHHYYSHQQQLLAGGGGGVPHTMAAAAQQQYYGAVSCPAGGFDQHRNHQLLPASPMAPAGYAACYPAAGFASVPQPGSRCAGGGVSPAPANPFLQYHQQGGGGSPEYSLGRLGSFGVVLDQEEADTCRSTGNDYRHQQQQLAAARIEETTASAGFCRAPLKTLELFPGASVKDEQLA* >Brasy8G232900.1.p pacid=40080658 transcript=Brasy8G232900.1 locus=Brasy8G232900 ID=Brasy8G232900.1.v1.1 annot-version=v1.1 MKYSYGARPLAERNDPLQRTAPSPARPTPEAPASFLHRRRQRAPTPSRSHVSALPSSRRNPTPEPHAPAMPSSAGAPHLRAPRLLPRRHQRAPIPSGTCGRC* >Brasy8G012900.1.p pacid=40080659 transcript=Brasy8G012900.1 locus=Brasy8G012900 ID=Brasy8G012900.1.v1.1 annot-version=v1.1 MGSEVKEMKYRRRARVPDPGEYGQCTDSSGRSGGTADWSGALKQQMQQQDPAELLRRLEEIRDQIITRSCEVAEPRRAMSRRTVSLRPEPLPPPPPPGRAAPEYYYRSRRYGPPSSYDHLQRSVCAGDEGYARQPSARFRHCPEGQWEGYGYGGQGNCHQSDCQCAQCVHGRRAVVQEENIPMARYFAGQQRSSFQFERSPSIASEYDRRSVASSLYSHVSMSKRRVEYFRKKADSFCRPIKSGAPFIVCSSCNQLLQLQPGKCTVRKQNQVQCGSCSEVITFMLKGVKVHPLIPSSSYTVPKSVRSFDRRIPQSSGWYPHQDDDNTSVNKLQAHDSHRQNTDFSDNLSQPSTGSYGSPNKERWSNKSIQLKSVPASRSRFADSPKDILCQGDADSQEEALARRTISPQAPILEDKLVDPFSRQQKYCSGGDQMRSTKYGLNSKGHYDATVRGERIDTKCEQKRKESHKDEFGEETINANEEKFKQGNADRQESRMGNRNMQKAIRDDGSSLEDEAISKKYEKKSKQDDNSFEAQGINKRYGCNKEGYNNVLEIDSITKTCEEESIEDDYGKSLFSNCENAKTVANNELLVNERTNTFSQTERANTSSRVSSEAELDVTQCSAGKTGDSSFFGGFLKKGFKDLSLFNQSLDSVKVSINGHPISERAVRKAEKKAGPVGPGSYWYDQRAGFWGVMGHECSGIIPPFIKEFSYSMPKNCAGGNTGVLVNGRELHQKDFDLLVKRGLQRISGKSYTIDISGNLIDDTTGKKLPNLGKLAPTIEKMKRGFGMHIPEEIS* >Brasy8G245200.1.p pacid=40080660 transcript=Brasy8G245200.1 locus=Brasy8G245200 ID=Brasy8G245200.1.v1.1 annot-version=v1.1 MAADWTWARRAWEKWTGKHVASSGMPVKAALLLNYDPTGPSRLLPMVAEQEGTKFTAVDLQPFIDFFRRNNLQMEFFSIGPNQYLVTSIHEHWFCARCVNSEKPEGEGVIIMQIGAYLLVSMYEGSLCSASQAMVAVDQFAWHFNRRTH* >Brasy8G051600.1.p pacid=40080661 transcript=Brasy8G051600.1 locus=Brasy8G051600 ID=Brasy8G051600.1.v1.1 annot-version=v1.1 MASTGPGLYTEIGKKSRDLLYKDYQTDQKFTLTTVAANGATITAASTKKNEAIVSEIQSQLKGKNVTVDVKVTSESNVITTITVPELYTPGLKGILCIPIPYNKSASGKAELQYLHAHAGINASVGLNANPLVNLSGVIGTKALAFGADVAFDTASGDFTKYNAGVSLTNEDLTASVILNNKGDTLAASYYQQVKGATAVGAELAHSFSSNANTLTIGTQHALDPLTTVKGRFNNNGIASALIQHAWRPNSLVTVSTEFDTKAMEKSPKFGLALALKP* >Brasy8G071000.1.p pacid=40080662 transcript=Brasy8G071000.1 locus=Brasy8G071000 ID=Brasy8G071000.1.v1.1 annot-version=v1.1 MAPSWWGREQPARANGGGGTPVVVKMENPNWSISEVEPELGPGSPAGLAGGKAGRGKNARQITWVLLLKAHRAAGRLTGAATAALAVAAAARRRVAAGRTDSDPAPGENTALRARFYGCIRVFLALSLLLLAVEVAAYFQGWHLEMPGLDAVDGLFAAAYAGWMRVRLRYLAPPLQFLTNSCVVLFLIQSVDRLVLCLGCFWIKLKGIKPVPQAGSDKEDVEAGAEDFPMVLVQMPMCNEREVYQQSIGAICNLEWPRSNFLVQVLDDSDDPTTSALIKEEVEKWQREGVHIVYRHRVIRDGYKAGNLKSAMNCGYVKDYEYVVIFDADFQPQADFLKRAMPHFKGKDDVGLVQARWSFVNNDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSEIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPVTMSILSVLPSPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSKQQRVGSAPNLDALAKEESLPKKDSKKKKHNRIYRKELALSFLLLTAAARSVLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE* >Brasy8G166900.1.p pacid=40080663 transcript=Brasy8G166900.1 locus=Brasy8G166900 ID=Brasy8G166900.1.v1.1 annot-version=v1.1 MESASLLAIQEGCNLPNLKYRICKHQIFYIPKENLLERGESGGAAYLSWRMLHGQDRRRGKKAEQDTPTVKFLKKYIHYEKNLIQPKLTYELLQASDHIEASYVDLRGGGANAKSGGGTLPIPARTLETIIRLSTAHAKMKLRHEILKTDVEAALQVLNLAIFHKELIEKEGREQREMEK* >Brasy8G125000.1.p pacid=40080664 transcript=Brasy8G125000.1 locus=Brasy8G125000 ID=Brasy8G125000.1.v1.1 annot-version=v1.1 MRLCSKLAALLRRSRPLAATAAAATATGTATAAAGGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPMLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGIDLMDRCRAQSLRFGTKIFTETVTSVDFSSRPFRVASNDTVVHADTVVVATGAVARRLHFTGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRDTFRASKIMQARALSNPKIQVVWDSEVVEAYGGADNSPLAGVKVKNLVTGEVSELQVAGLFFAIGHEPATKFLGGQLELDTEGYVVTKPGSTHTSVNGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD* >Brasy8G182200.1.p pacid=40080665 transcript=Brasy8G182200.1 locus=Brasy8G182200 ID=Brasy8G182200.1.v1.1 annot-version=v1.1 MAPHIPLPLRRRLHLLLLALLAVASGNPSRGVYDRGDEEADAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLGGVGDFDTRCVVPASVRLGGAGVYISGNGSLLLVDGVALTCERPGCVFSGNLSGEIRFGRGAHVVAGWVSLAARNIILSNDALINTTALAGDPPDKTSGVPTGTYGDGGGHGGRGASCYVKKGQAQEDSWGGDTYAWPNLKTPDSYGSKGGSTSVEKDYGGGGGGVVWLFAEEIVMNGTVLADGGDGGTKGGGGSGGSIYLKAATMQGGGKISACGGNGLSGGGGGRVSIDVFSRHDDTHFFVNGGRSSGCLDNAGAAGTLYEEVPKSITVNNNNLSTQTDTVFLDPPYEPLWTNVFIKNHAKVSLPLRWSRLQAQGQISLLTQATLTFGLTHYPYSEFELLAEELLMSDSTVQVFGALRMSVKMLLMWNSSMLIDGGRDSGVATSLLEGSNLIVLRQSSVIHSNANLGIHGQGVLNLSGNGDTIGAQRLILSLFYNIRVGPGAVLQGPLINSSSDDVAPKLNCENESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVHGHVQGTVINFNRARRVTVKPNGTISATGLGCRGGIGRGGMLSSGLSGGGGHGGKGGDGIYSGSHAGGGAAYGSADLPCELGSGSGNVSTKSSTAGGGIIVMGSLEQSLPSLFVSGSMEANGGTFTGLASKAANGGPGGGSGGTILLFVRTLSLEKGSVLSTVGGIGSNGSGGGGGGRIHFHWSDIPTGDDYVPFATIKGSILARGGVVEGQGFPGENGTVTGKDCPKGLYGIFCKECPLGTYKNVTGSLKSLCSPCPPNELPHRAVYLNIRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWFFGLLLSGLLVLLALVLSIARMKFVGTDEFPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESHCHVHRMFFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNKFVDEINVLAAYQWWEGSICSILCILSYPLAWSWQQWRRRKMLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPTRLHQRFPMSLIFGGDGSYMAPFSLHSDSVVTSLISQAVPSSIWHRLVAGLNAQLRLVHRGNLKATFVPVLKWLETHANPGLNTYHVRVDLAWFQATALGYCQFGLVIHAVGAEAVSAELQGGSRIRIDQHLLNQNVHADSQLGYSRNNDAYMCKRITGGVLNVDNLVMLKDRRDLFHPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFVCGLVHCKSSTKRHPSMQPWNLGGDETSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSKDPNMFWQ* >Brasy8G242100.1.p pacid=40080666 transcript=Brasy8G242100.1 locus=Brasy8G242100 ID=Brasy8G242100.1.v1.1 annot-version=v1.1 MHVDQEFDLHHTAGTKQLLEKYLPLAPGPCVLINPPSSQKLHPSGEERDEKRVTQAMAQQLLLLLPAPSRNFSKPLPSPSSLPRNHVPPISLNRRVVTCVAAARRDLLRCGMRRSDLVSELELAKGRQRQGGRANAIFWILLINFGLYVADHFLQIRQIKALYLYHAYPSWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGAAVQVNNIAHLSGALIGAALVVLINRIPLSSSDDSPKTAKNSKDKRGSF* >Brasy8G063000.1.p pacid=40080667 transcript=Brasy8G063000.1 locus=Brasy8G063000 ID=Brasy8G063000.1.v1.1 annot-version=v1.1 MPAHSIADTPQEKKRMPRLGLDQSILVLRLVHAKGNARQPVHRPRPCKQAGPDRANVSRQATRQSKMPMERRIERVVDRDRAPVSGSRDPHRPRDILPLSLRP* >Brasy8G063000.3.p pacid=40080668 transcript=Brasy8G063000.3 locus=Brasy8G063000 ID=Brasy8G063000.3.v1.1 annot-version=v1.1 MPAHSIADTPQEKKRMPRLGLDQSILVLRLVHAKGNARQPVHRPRPCKQAGPDRANVRQSKMPMERRIERVVDRDRAPVSGSRDPHRPRDILPLSLRP* >Brasy8G063000.2.p pacid=40080669 transcript=Brasy8G063000.2 locus=Brasy8G063000 ID=Brasy8G063000.2.v1.1 annot-version=v1.1 MRRGTRVSLSIGHDHASKLGPIEQMSDSSRQATRQSKMPMERRIERVVDRDRAPVSGSRDPHRPRDILPLSLRP* >Brasy8G132100.1.p pacid=40080670 transcript=Brasy8G132100.1 locus=Brasy8G132100 ID=Brasy8G132100.1.v1.1 annot-version=v1.1 MSNRSSRDKNKVFDTLYGEDVDPSYKMFLDHLSKDGNSYVLHVPNGDHGMPVTVKYEVANDKDGTDTPNIFPCISQGGANVTRPGVTSAEAANISVGQSIPPRTSSLERKTSEIDESYAKFLSLTKMVDGFMVTEIEPGVTIVYEQEEETPAAYGELKTVSDTPVRVYSRRTVSSRKERAPLMTALENMEEEDEVRTDEDRIEQTHNRHNVISKESHSPEACEDDQGAPLGLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATDRKPMIRDRHLRNASKFYATEEFGLSYLDEYPDLATQIDSADCDERRLNLLRKFFFWLQNLTHEGAYMPWIPKAPANNPIKIE* >Brasy8G061700.1.p pacid=40080671 transcript=Brasy8G061700.1 locus=Brasy8G061700 ID=Brasy8G061700.1.v1.1 annot-version=v1.1 MAPALPGRAYPHLLILLCLVVLPRHFAEAQADEQQLLLLIKRSWGDPPELASWNSAGAAGTSHCTSWAHVSCNSNNRVTSLSLQNITISGIIPDAIGELTSLTTFDLRNTSVGGFFPAFLYNLTSITHLDLSRNKLTGELPADIGRLGKTALTYLALDNNGFTGAIPGAALSELTNLTALTLNSNAFTGTIPPELGKLTGLQTLKLERNQFSSGNLPDSFRNLKQLTTVWLANCNLTGEFPSFFAEMPDMAYLDLSMNGLTGSIPPSIWNLAKLQYLYAYTNKLTGNITIDGPIGASGLIEIDVSENQLTGVIPDSFGTLQKLRLLKLMTNKLYGEIPANIAQLPSLVFLWLYSNKLTGILPSELGMHSPVLRDIQVDDNELTGPIPAGVCQNNGLWLLTASDNRLNGSIPAGLANCTTLISLQLQDNKLSGEVPAALWTETKLMTLLLHNNGGLSGALPRTLFWNLTRLYIWNNRFSGALPESADRLQKLNAANNLFSGDIPRGLAAGMPLLQEFVLSGNRLSGAIPESVATLGGLTQMNLSRNALTGEIPAGLGAMPVLTMLDLSSNQLSGGIPPALGSLKVNQLNLSSNRLFGEIPPALAISAYDESFLGNPALCTPAAASLAGVSACAGKAPDRVSPGLRGGLLAAGAGLLVLIVALAFFLVRDAKRRKRLEMERRGEAGAWKLVPFQPLEFGEKAVLRGLAEENLVGKGGSGSVYRVECSNNNITVAVKRIWTGGKVEKGLEKEFESEVAILGHVRHANIVKLLCCLSRAETRLLVYEYMDNGSLDTWLHHGRDDRAPLGWPARVRVAVGAARGLSYMHHECSPAVVHRDVKCSNILLDAELSAKVADFGLARMLAQAGSPDTMTAVAGTFGYMAPGN* >Brasy8G000800.1.p pacid=40080672 transcript=Brasy8G000800.1 locus=Brasy8G000800 ID=Brasy8G000800.1.v1.1 annot-version=v1.1 MEFWGLELKPGQTVKVEPEPEHFLHLSQGALGESKKDDKATMFVKIDGKKLAIGTLSTDKFPQIQFDLVFEKDFELSHSSKSSSVFFSGYKVFQPAEGDEMDFDSEGSEESEEEEEEAIIPVTKENGKAEGKQKQVKIDAGSSKAKPVLKAVGNKKGGDESSDDDESDESDDDIADMSDDDSGSEDGTSEESDEEEEEETPKKSTGKKRAAETVLKTPASDKKAKAATPSGQKTGDKKGAVHVATPHPAKKASKTPATSDKSPKSGGSVACKSCSKTFNSETALQSHSKAKHDK* >Brasy8G255600.1.p pacid=40080673 transcript=Brasy8G255600.1 locus=Brasy8G255600 ID=Brasy8G255600.1.v1.1 annot-version=v1.1 MSSSSSSSPPVVRLLLVLLLAAAMAARPCRGVDPVATYCAKNGTTAQTQANIDAVLASLVPRASASYYAVSTSGDVHGLAQCRGDVPRQDCALCLSAAARALASSCRGSGDARAFYDYCLLRYLSGADFVGLPDTGYTLILLNTQNATGVDLGAFDRAQSKLMARVASEAGDERSKGLARETEQLGTTTVLYGLGWCTRDITPADCGLCVAQAVAELPNYCRYRRGCRVIYSSCMARYETYPFFFPGDGGRQGDDSGEHAGEYAMVVHDP* >Brasy8G224800.1.p pacid=40080674 transcript=Brasy8G224800.1 locus=Brasy8G224800 ID=Brasy8G224800.1.v1.1 annot-version=v1.1 MADVGGMLAAAILKVLVQQIGSAIGSQIALHMNLSKDLEKMKMTLESVEAVLEDADRRSITDKPTCLWLKRLKDAMYAISDMIDEFEAIAQPSKRKAQTTVLAAALLPLPTPSGRPPAT* >Brasy8G052900.1.p pacid=40080675 transcript=Brasy8G052900.1 locus=Brasy8G052900 ID=Brasy8G052900.1.v1.1 annot-version=v1.1 MGCALSSSKRLRRAQGYEEPAVLASQTTFTVNEVEALYELYKKLSFSIIKDGLIHKEEFQLALFRSSKGANLFADRVFDLFDLKRNGVIEFGEFVRSLSIFHPKTPESEKTAFAFKLYDLRGTGYIEKEELKEMVLALLDESDLCLSESAVEAIVDTTFNQADTNGDGRIDPKEWEEFVKKNPASLRNMSLPYLQDITTSFPSFVMHSEVEDYAGTGK* >Brasy8G172000.1.p pacid=40080676 transcript=Brasy8G172000.1 locus=Brasy8G172000 ID=Brasy8G172000.1.v1.1 annot-version=v1.1 MLRPARLRRHKRVPSRSGLILLRRYIINRRRWSKCRSDLCVYNPMIGARTFLSDPPYTQDIYARYVLLTAADGVACSSLYGSCYAFSPWNHIKNDHGAVLHGGLIHWLTRNRNGDTAMILSYDMAMAKAGSVKLPQASYCKLYQLHLTSSPDGKLLKLLTADSKLVISVWLQLPMGGGWTLEAVIHAEEKLRALLDPPDIPLGGLKFLGHWKKSGVVLLQVGTNGSLVVLTKEMRRQQQDTSSLLFEVDLPSRLQAMKIFSFPSGPSSESVDNMEIPLLFDPEPRGS* >Brasy8G217800.1.p pacid=40080677 transcript=Brasy8G217800.1 locus=Brasy8G217800 ID=Brasy8G217800.1.v1.1 annot-version=v1.1 MVAMARSHGAFFFLLLLLGMASAVPQNDDPRCRPTLPLRRGAIAVYPSDMEQLQFLLNAKFVEAEWFLHGALGRGLDYLDRNLSAGGPAPTGARKANLDFRTTEIAAELGYQEVGHIRAITQSMGGFPRPAIDLSADRFAAVMDDAMGARLDPPFDAYAGDLNFLLASYILPHVTASVAVGIAPNLMGYASKRLHAGMLAVEAGQEAVIRLLLYQRADEAVAPYKGRTVAEFTRRISDWRNGLSGCGAKDEGVKVLDRRQGAERRTVSNILGAGVDSLGYGRTPAEALRILYGSRNEQVPGGFLPRGANGTVARGFFQLA* >Brasy8G004100.1.p pacid=40080678 transcript=Brasy8G004100.1 locus=Brasy8G004100 ID=Brasy8G004100.1.v1.1 annot-version=v1.1 MKIAGWNYRGVGNGPTVRGLLDLQKREDPDILFLSEIKCGEGRMKHIKWLLGMRNMVVKESVGTSGGLALLWKEGIKVELHNYSRYHIDVEVIESDGFRWRLTGLCLGDFNEILFSHEKEGGCLRTQHQMDRFRETLEFCDLRDLGYIGDKFTWRNHSHTASNYIKERLDRAAASPNWISRFPHFKVINGDPRHSDHRSIIVSMDENTALLGFSGTRCFKFEAKWLEEEECENIISKTWQEAQSSGIQELSQCIKKVVNNLKEWDTNVLGDLQKRIRKTRIEIEGVRREGISQEQVNREAFLREKLDRLKHQQDTHWRQRAHANWLKAGDRNTSYFHAYASERNRKNTIRRLKKDNGQWRRN* >Brasy8G194100.1.p pacid=40080679 transcript=Brasy8G194100.1 locus=Brasy8G194100 ID=Brasy8G194100.1.v1.1 annot-version=v1.1 MGNSLRCCLACVLPCGALDLIRIVHLSGRVEEYGRPVAAGEILAANPNHVLSKPCSQGGGVRRILIVSPESELERGEIYFLIPASSVPERKKKSGGSSPGPGGAATAAAGCQKKSPAEKSSSHVKKSKAAFEVSEKRSTHRRRVSSGSRAAVWRPHLECIVEGT* >Brasy8G022500.1.p pacid=40080680 transcript=Brasy8G022500.1 locus=Brasy8G022500 ID=Brasy8G022500.1.v1.1 annot-version=v1.1 MLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGFLEEALDMYHRMLWAGVRPDVYTFPCVLRSCGGVPDLTMGREVHAHVLRFGLGVEVDVLNALVTMYAKCCDVEAARKVFDGMALTDCISWNAMIAGHFENHECEAGLELFLHMLEDEVEPNLMTITSVTVASGLLSDLDFAKEMHALAVKRGFATDVAFCNSLIQMYSSLGRMGEACTVFSRMETRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTVASALAACASLGRLDVGIKLHELATSKGFIRYIVVANALVEMYAKSKIIEKAIEVFKYMPDKDVISWSSMIAGFCFNHKNFEALYYFRHMLADVKPNSVTFIAALAACAATGSLRCGKEIHAHVLRQGISSEGYVSNALLDLYVKCGQTGYAWAQFGAHGTKDVVSWNIMLAGFVAHGHGDIALSFFNEMLETGEHPDEVTFVALLCGCSRAGMVSQGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGRLTEGYNFINRMPITPDAAVWGALLNGCRIHRNIELGELAAKIVLELEPNDAGYHVLLSDLYADAGMWAEVSKVRKTMRVKGLEHDYGCSWVEVKGAIHAFLTDDESHPQIKEINDVLHGIYERMKASGFAPVESYSLEDKEVSKDDVLCGHSERLAVAFGLINTTPGTSICVTKNQYTCESCHGILRMISKIVRREITVRDTKEFHHFRDGSCSCGGSG* >Brasy8G022500.2.p pacid=40080681 transcript=Brasy8G022500.2 locus=Brasy8G022500 ID=Brasy8G022500.2.v1.1 annot-version=v1.1 MLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGFLEEALDMYHRMLWAGVRPDVYTFPCVLRSCGGVPDLTMGREVHAHVLRFGLGVEVDVLNALVTMYAKCCDVEAARKVFDGMALTDCISWNAMIAGHFENHECEAGLELFLHMLEDEVEPNLMTITSVTVASGLLSDLDFAKEMHALAVKRGFATDVAFCNSLIQMYSSLGRMGEACTVFSRMETRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTVASALAACASLGRLDVGIKLHELATSKGFIRYIVVANALVEMYAKSKIIEKAIEVFKYMPDKDVISWSSMIAGFCFNHKNFEALYYFRHMLADVKPNSVTFIAALAACAATGSLRCGKEIHAHVLRQGISSEGYVSNALLDLYVKCGQTGYAWAQFGAHGTKDVVSWNIMLAGFVAHGHGDIALSFFNEMLETGEHPDEVTFVALLCGCSRAGMVSQGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGRLTEGYNFINRMPITPDAAVWGALLNGCRIHRNIELGELAAKIVLELEPNDAGYHVLLSDLYADAGMWAEVSKVRKTMRVKGLEHDYGCSWVEVKGAIHAFLTDDESHPQIKEINDVLHGIYERMKASGFAPVESYSLEDKEVSKDDVLCGHSERLAVAFGLINTTPGTSICVTKNQYTCESCHGILRMISKIVRREITVRDTKEFHHFRDGSCSCGGSG* >Brasy8G022500.3.p pacid=40080682 transcript=Brasy8G022500.3 locus=Brasy8G022500 ID=Brasy8G022500.3.v1.1 annot-version=v1.1 MLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGFLEEALDMYHRMLWAGVRPDVYTFPCVLRSCGGVPDLTMGREVHAHVLRFGLGVEVDVLNALVTMYAKCCDVEAARKVFDGMALTDCISWNAMIAGHFENHECEAGLELFLHMLEDEVEPNLMTITSVTVASGLLSDLDFAKEMHALAVKRGFATDVAFCNSLIQMYSSLGRMGEACTVFSRMETRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTVASALAACASLGRLDVGIKLHELATSKGFIRYIVVANALVEMYAKSKIIEKAIEVFKYMPDKDVISWSSMIAGFCFNHKNFEALYYFRHMLADVKPNSVTFIAALAACAATGSLRCGKEIHAHVLRQGISSEGYVSNALLDLYVKCGQTGYAWAQFGAHGTKDVVSWNIMLAGFVAHGHGDIALSFFNEMLETGEHPDEVTFVALLCGCSRAGMVSQGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGRLTEGYNFINRMPITPDAAVWGALLNGCRIHRNIELGELAAKIVLELEPNDAGYHVLLSDLYADAGMWAEVSKVRKTMRVKGLEHDYGCSWVEVKGAIHAFLTDDESHPQIKEINDVLHGIYERMKASGFAPVESYSLEDKEVSKDDVLCGHSERLAVAFGLINTTPGTSICVTKNQYTCESCHGILRMISKIVRREITVRDTKEFHHFRDGSCSCGGSG* >Brasy8G022500.4.p pacid=40080683 transcript=Brasy8G022500.4 locus=Brasy8G022500 ID=Brasy8G022500.4.v1.1 annot-version=v1.1 MLSMLVRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGFLEEALDMYHRMLWAGVRPDVYTFPCVLRSCGGVPDLTMGREVHAHVLRFGLGVEVDVLNALVTMYAKCCDVEAARKVFDGMALTDCISWNAMIAGHFENHECEAGLELFLHMLEDEVEPNLMTITSVTVASGLLSDLDFAKEMHALAVKRGFATDVAFCNSLIQMYSSLGRMGEACTVFSRMETRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTVASALAACASLGRLDVGIKLHELATSKGFIRYIVVANALVEMYAKSKIIEKAIEVFKYMPDKDVISWSSMIAGFCFNHKNFEALYYFRHMLADVKPNSVTFIAALAACAATGSLRCGKEIHAHVLRQGISSEGYVSNALLDLYVKCGQTGYAWAQFGAHGTKDVVSWNIMLAGFVAHGHGDIALSFFNEMLETGEHPDEVTFVALLCGCSRAGMVSQGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGRLTEGYNFINRMPITPDAAVWGALLNGCRIHRNIELGELAAKIVLELEPNDAGYHVLLSDLYADAGMWAEVSKVRKTMRVKGLEHDYGCSWVEVKGAIHAFLTDDESHPQIKEINDVLHGIYERMKASGFAPVESYSLEDKEVSKDDVLCGHSERLAVAFGLINTTPGTSICVTKNQYTCESCHGILRMISKIVRREITVRDTKEFHHFRDGSCSCGGSG* >Brasy8G135000.1.p pacid=40080684 transcript=Brasy8G135000.1 locus=Brasy8G135000 ID=Brasy8G135000.1.v1.1 annot-version=v1.1 MEHGHGSFFASAVGVGVGVGLGLVSARLTASPTPGGGAGGGGGAAGAEVEAELRRLVVDGRETGVTFDEFPYYLSEETKLALTSAAYAYLSKMTLPKHIRVLSAASRTILLCGRSEPYLQSLSKALAYHFNARLLLLDVPEFSRRIEHKYGSASSSLVRKRSITEAALDKVSGLVGSFNFFRKKDEPTESLNHGKNILDLRTGNCCASYTPSVRVHVSLLPGALSHDSDSLEEFESVTESWNLDEKILIQSLYKIIISVSECNPVILYIRDVNILLGISDRAHSMFHKMLSKLSGQVLIIGSYFLESDQDSYDVDEDISALFPYILETKPPKEETHLAKWKTQMEEDAKKTEGQKAKNIIADVLSANSLECDDLNSFDPDDNLTAVGSYIGEIMAPAVSYHLMNNKDPEYRNGKLVISSESLSHGLSIFQESNLGKDTVEPKDDTKKSAPDNEFEKLIRPTVIPANQIGVTFDDIGALADIKESLQELVMLPLQRPELFNGGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFLNISMSTILSKWFGEAEKITRALFSLAAKIAPAIVFVDEVDSMLGRRDNPNEHELPRRVKNEFMTHWDGLLSKSNERILVLAATNRPFDLDEAIIRRFEHRIMVGLPTLESRELILKKLLSKEKVESIDFKELATLTEGYSGSDLKNLCVTAAYRPVRELIQEEQKKKGDKKENALEVKGEPGANPKNQESVKENSESKQGEKGTQGQTGETVALRSLTMDDLRNAKDQVGASLASEGAVMNAIKQWNELYGKGGSRKKEQLTYFL* >Brasy8G248400.1.p pacid=40080685 transcript=Brasy8G248400.1 locus=Brasy8G248400 ID=Brasy8G248400.1.v1.1 annot-version=v1.1 MSSPSDPPGPRGPRRAPERLRRPQSLLRPGETETRTAAEAQRKHIRVRVDLAVSLPPMLPPESTPASDSDTSNNTARASKQTQDINKEN* >Brasy8G271200.1.p pacid=40080686 transcript=Brasy8G271200.1 locus=Brasy8G271200 ID=Brasy8G271200.1.v1.1 annot-version=v1.1 MPPPPPDRRDFLYRDGRRHDGGAGGDHLPLPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRTTSSVSSEAYYRQGAASYERSYPDEPLGYTPSRSDRYWLEEDAGGYKGFSRYGGGGGRRDGRDMRGSYRRSPFRSYGGDFPRGHQEPPPPPLRRSPLRSVAVPISYDPPGDKADREDREHQPRVTPWRPLRRRETRSDAADAAGSGPTSVHQNTGEGTASEKKAPAQSLAVAAPQTSEEEAPRKKPRLGWGQGLAKYEKQKVHGSADPAEAVADGSPAAVEQKVDVGTPASVPCASPVAASPPAPPSSSPDAEDNKSCELTTNTTTVSNKDIPGAEVQAYNDEIPIKLGQLDGDPIGSLANVLAELLQHDDSCSVDSRKLTNTSKLLLLKENITKEIEKTELEIDSLECELKSANTDIGNRALEDAQNPSPSSGTSKVPVRPEICEISSPLKEQGEISPCKISVEQDTDAKGAESMEVDTAPAYNITAVSSEESVARPVVAETQVSVAASVAPVKPSQGTGSQVDADSERQGPSSSHDNVNSMKADGSNQLTTRPCSHHVDNYNLIPSIIAVNNDMAKEFNELVFKPLPADQPLSALGPFSIQMKNDLSIKRKLAIHKNRLRFKEQTLTFKFKVLRHLWKQDVRLLSVRKQRPKSNKRTDQSNRASQSGSQRQRSSNRSRLGIPAGNLSTFPTSEISEVANKMFTEFQFKRCRNYLKMPAVIIDEKEKACAMFVSKNGLIEDPVSVEKERAVINPWTHEEKEVFMQMLATFGKNFSKISNFLQHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQQPTSNYLGTKSGKKWNPEGNAASLDMLGAASVVAARGLDYANRVEKTSSKSIIRTSYRSDISAVAKGSLDKDCVANVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKMSGARMEYLVTPEVEKSCDEEGTFSDQECEVYSVDWTDDERSIFVKAMNIYGKDFARISSRVKSKSYEQCKIFFSKARISLGLDMIHPHNADAGLPTSDTNGGRSDTDEACAAEIDSAICSTQSSQKMEIDVCPAADETTQGCHVSGITFKQSKMDRSTEPDVGDIKFEEAENKAEDRSCNNLVDHELLSEATHQASQSCDPIDINSSADTKNVQNKAHGNQVTVHGNGAMSSSTEQPVGSQLEIRSNLHDTEVIQSSKVSAGTCTQISSMEGPSNHAPEIALMKVGNFTPSVVLSGDCGSKENVVHFQDMAGVSCIRPAFTSSYQQSVPADLLQSKPKPQVIPLTPKDLMPVQFSSDLPDPTSIRFEGIASITSPNLFEDRANKVINTSGAKDMNKFTVFGEQSRNQQDALFRDIGGYMQHRHNHHLPSDVPAFSESTVSCTGGTSHSDQFTSSKYQNGRSGCTGLSNASAGFPLTRNSAGLREGQLETCSQKASTESYDQVKRPGDVKLFGKILSHQSSLQSSGSSSNGIKSKPPPPNIDKSAARLLQSGRDRMVFPSRPANTAHLGLDEQSVMSYTHLDGSPVHPDSMFRVANCQRSLAGVPFYSAKNGTLGGFAEYQQPSMQQLPSDPKRLESFADLQKRNGIELISGFQQPGKASRLGGAGIIVGAVSDRVAALKAQYGPGPKILGNDADPWKDLGNR* >Brasy8G145000.1.p pacid=40080687 transcript=Brasy8G145000.1 locus=Brasy8G145000 ID=Brasy8G145000.1.v1.1 annot-version=v1.1 MCACRAVTTRASVIEAVRELRENLEGFDLVMTIVHTKARGIDGFDILKQVKNRLPVIFFSDENDPEMIKRGVIGGACDFLVKPLRIEEIRFIWQHICRRLYLASSANVDMHGSDDSDDGHDGN* >Brasy8G210900.1.p pacid=40080688 transcript=Brasy8G210900.1 locus=Brasy8G210900 ID=Brasy8G210900.1.v1.1 annot-version=v1.1 MSLRPSERAEVRRGRYKASVDAEEGRRRREDQMVEIRKNRREDFLLKKRREGLPSSAAPGGALQMGHSSALQQKLEGLPAMVQAVLSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIEFLKREDYPQLQFEAAWALTNIASGTSENTKVVVEYGAVPIFVTLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLGSGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQSVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTTNHKKSIKKEACWTISNITAGNRDQIQAVINANIIGPLVHLLQGAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNTYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEDDEAMPSGENPQNGFNFGNQQNSVPSGGFNFG* >Brasy8G024600.1.p pacid=40080689 transcript=Brasy8G024600.1 locus=Brasy8G024600 ID=Brasy8G024600.1.v1.1 annot-version=v1.1 MEQETLSFPWRRAPAATDSGTGTSGWEPQGRSWTDIPSDILGIVVGRLPCVEDRARLRSVCGAWRAAARLHRPPPPPLPLLVYSNFAFSSFSPDGAMRGVRRIPLSKEVAAHDVRCVGSFEGWLAGVRLNKGRYFGDGKCFLMNAFSRDVVHLPPPSASTHFVDAYSSSLPIIGGSGVVECTVNAAQYVMSFCKVILSSSPDSGSKCIAAAISVHRNGAKLALWRPGMTSWCVCLGGCISKFSDITFYQGKVYMLSKLTTNLFAIEIKDGDHGLMVSRVERCVAELPEVKDSYGQRWNLVEWHGNLLLVARYLGGGEGWHNICKVGVYIVDLSTKPFRFTEVNTLDGDCIFISPCSSKSFHACEYDGVEDDVIYFIDGYLYPAKNGPPFDKFMYNLRDGTLAPFAADISEYNFRASDGKLMSPTWLFPSE* >Brasy8G066200.1.p pacid=40080690 transcript=Brasy8G066200.1 locus=Brasy8G066200 ID=Brasy8G066200.1.v1.1 annot-version=v1.1 MRWGSSRKRHGLTEPAAEQGGETSERKGKGKGKASFPSFSPLSWLVAKLAGKPRAAAAKAGPGHPHAPKDGPGPAVPSMCLAKRATSPATAAITTGLPTSLRRSPAAGVDVVSRRLSIGNDSAAAARHRHRIRRRRHCSVGGERDPIQPLGQLISFSVAGTPPPPSGTGTGERRRRARGRRRLRGRRSSSSGRVMPLRLRRAAAAAELESLAVVRRTRDPQRAFRESMSEMIAASGGGARPEELLACYLALNADEHHGCIVKVFRQVFVRPRQQQLIPRLLRATSR* >Brasy8G164500.1.p pacid=40080691 transcript=Brasy8G164500.1 locus=Brasy8G164500 ID=Brasy8G164500.1.v1.1 annot-version=v1.1 MPCRATAGGTHPNCSALCRRRLPRLPSFLSLLSRALFLSPLPISLLSRAPFLSSVLSCSPRPASAARRSGLSYASLPPLPRARAPPHLPDLASAVAWASCGRTPPTCPALKLLRRLPSFSRRPPPLRLGHCHASEEVGLVALLLVLQAIPVPHAESPVPHHLSQLRWTPNAVVVPQARRRHPSPPSSLHQLVPKHRVPIEPPVSRRFPSLSPSRRPPSSAMSFPATLSVFVVARAAATPGAMM* >Brasy8G248500.1.p pacid=40080692 transcript=Brasy8G248500.1 locus=Brasy8G248500 ID=Brasy8G248500.1.v1.1 annot-version=v1.1 MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFAQDEEDLIIELHAVLGNRWSQIAAQMPGRTDNEIKNLWNSSLKKKLRQKGIDPNTHKPLTEVDRSGAAPTISTERTSGSSDVNPSSAGALGNFSHLLSETAQSSMLLPVYDKKRPETPSLARPKVPAKELFLDQLAAGHESPSSCRSSGPTLYFPFQQPLGYSNECGSGDGANMNSLWFNQNDFNCSTISTVMPTVSPSALSTSMGLNLPQDNHRHGGTGISGAPFYWDGVNPSSSGSTGSSGSNSMGFEPQSTNSILENSVFPWTDIGQEKDTRVQLVEELKWPDLLHGTFAEATTALQNQSQSLYDDVIKAESQFNMEGICASWFQNQPPQQQLQAPSDMYDKDLQRMPLSFEHM* >Brasy8G248500.2.p pacid=40080693 transcript=Brasy8G248500.2 locus=Brasy8G248500 ID=Brasy8G248500.2.v1.1 annot-version=v1.1 MNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFAQDEEDLIIELHAVLGNRWSQIAAQMPGRTDNEIKNLWNSSLKKKLRQKGIDPNTHKPLTEVDRSGAAPTISTERTSGSSDVNPSSAGALGNFSHLLSETAQSSMLLPVYDKKRPETPSLARPKVPAKELFLDQLAAGHESPSSCRSSGPTLYFPFQQPLGYSNECGSGDGANMNSLWFNQNDFNCSTISTVMPTVSPSALSTSMGLNLPQDNHRHGGTGISGAPFYWDGVNPSSSGSTGSSGSNSMGFEPQSTNSILENSVFPWTDIGQEKDTRVQLVEELKWPDLLHGTFAEATTALQNQSQSLYDDVIKAESQFNMEGICASWFQNQPPQQQLQAPSDMYDKDLQRMPLSFEHM* >Brasy8G250800.1.p pacid=40080694 transcript=Brasy8G250800.1 locus=Brasy8G250800 ID=Brasy8G250800.1.v1.1 annot-version=v1.1 MRLGSLCLVTFTVLAAPISAASFSPGKGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINQLKQTLVGRGALLVGAVSLFAFAAPVQDFVHSFNAAPSAASSKPTMRWVLTGALLVGSVYYGL* >Brasy8G157700.1.p pacid=40080695 transcript=Brasy8G157700.1 locus=Brasy8G157700 ID=Brasy8G157700.1.v1.1 annot-version=v1.1 MAAAAPGQLNLDEPPAWGSRSVDCFEKLEQIGEGTYGQVYMAKETETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPDRDEEGKQIDSNMYKGSIYMVFEYMDHDLTGLADKPGMRFTIPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNANLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPDQLTKIFELCGTPDELIWPGVTKMPWYNNLKPPRQLKRHVKDAFKHFDWHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKRRQQQRQADEVKRQKPQHPQPHTRLPPIQHAGHPHPQIRPAQPTNNPHPPMSSGSHHYAKPRGPGGPNRYPQGGNQGGGYQNRGGHGGGYGSGPYPQQGRGLPPYPGGGMGSAGGPRGGSSSGYGVGAPNYPQAGPYGPSGPGRGPNFPQQGGSRNQQQYGNGNGNWQ* >Brasy8G112600.1.p pacid=40080696 transcript=Brasy8G112600.1 locus=Brasy8G112600 ID=Brasy8G112600.1.v1.1 annot-version=v1.1 MGNYRRRGPVVCFLFWFLMGFQLCASLNHEGLVLLRFKDAIEDDPCHALIDWGEGNAGPCSWFGVECSDDGRVIVLNLANLGLKGVLPSEIGQLTHMHSLILHKNSFYGIIPTEIGELWDLQVLDLGYNNFHGPIPPKLFSLEFLFLRGNRFSGGLPLELNGLISHCGSQVHQGRTPSNRMPTARSKENATIRRILASKQELSLKDEMLGAETSVLEPSDGNPFSSVKDPPQNPTPPVSRPKHALAPPNSPLAPPPSEHVPSTAHTVSPNKDHMSSQESKNRKRSSSKIYAFIGAAICFAVVTLSAAIFFCYRRRRTSIVVPLSPTGSSRQLQDSNLGGITSFRRSELETACEGFSNVIGTLPGCTLYKGTLPCGAEIAVVSTLIKYSYRWSPIAEAEFKNKVEVLSQVNHKNFVNLVGYCEDKEPFTRMMVFEYVPNGSLFEHLHVKEAEQLNWQSRLRIAMGVIYCLNHMHQQNPPVILRNLNSSCIYLTEDNAAKVSDISFGGNKKEDDDEFNASDECTTVYKFALLLLESISGRRPFSDDSGLLILWAHRYLTGEKPLMGMVDSTLKAVPEEHVRALTELIIWCISDYPRQRPTLAAVTRRMQEITGFSQDQVIPRNSSLWWAELEIITA* >Brasy8G112600.2.p pacid=40080697 transcript=Brasy8G112600.2 locus=Brasy8G112600 ID=Brasy8G112600.2.v1.1 annot-version=v1.1 MGNYRRRGPVVCFLFWFLMGFQLCASLNHEGLVLLRFKDAIEDDPCHALIDWGEGNAGPCSWFGVECSDDGRVIVLNLANLGLKGVLPSEIGQLTHMHSLFLRGNRFSGGLPLELNGLISHCGSQVHQGRTPSNRMPTARSKENATIRRILASKQELSLKDEMLGAETSVLEPSDGNPFSSVKDPPQNPTPPVSRPKHALAPPNSPLAPPPSEHVPSTAHTVSPNKDHMSSQESKNRKRSSSKIYAFIGAAICFAVVTLSAAIFFCYRRRRTSIVVPLSPTGSSRQLQDSNLGGITSFRRSELETACEGFSNVIGTLPGCTLYKGTLPCGAEIAVVSTLIKYSYRWSPIAEAEFKNKVEVLSQVNHKNFVNLVGYCEDKEPFTRMMVFEYVPNGSLFEHLHVKEAEQLNWQSRLRIAMGVIYCLNHMHQQNPPVILRNLNSSCIYLTEDNAAKVSDISFGGNKKEDDDEFNASDECTTVYKFALLLLESISGRRPFSDDSGLLILWAHRYLTGEKPLMGMVDSTLKAVPEEHVRALTELIIWCISDYPRQRPTLAAVTRRMQEITGFSQDQVIPRNSSLWWAELEIITA* >Brasy8G001300.1.p pacid=40080698 transcript=Brasy8G001300.1 locus=Brasy8G001300 ID=Brasy8G001300.1.v1.1 annot-version=v1.1 MWLKSCSFACEKKAKAKKRGEVMSREEKYIYIDFEKRIAHVVLETNAVIVNKDSEADAVSQQKIVGCNLEWFILRI* >Brasy8G225900.1.p pacid=40080699 transcript=Brasy8G225900.1 locus=Brasy8G225900 ID=Brasy8G225900.1.v1.1 annot-version=v1.1 MSASTAAIPTTNTNGNHALSVDSHSSQDVRRRTAVVAKKIATPEPLTERGVNGVSDDKITGKMDIGHTIRGESVIEKPKYSSEGRKDAFASATTAEHRKKSATKQEKAKWEIALSVLMKLCLLISAIAWMGQVFWRWQNGDLSFTALDLESRLSKVEGFKKTTKMLQVQLDILDKKLGNEIGKAKTDAGKQFEDKGNKLEAKMKTLEGKTDILDKSLAELRDMGFVSRKEFNEIVSQVKKKKGANSDISLDDVRIIAKEIVEMEIARHAADGLGMVDYALGSGGGKVVKHSEPFKKAKSILPRRSEAHKMLEPSFGQPGECFALEGSSGFVEIKLRTGIIPEAVTLEHVDQSVAYDRSSAPKDFQVSGWYQGPEDDSDKQPRTTVNLGEFSYDLQKSNAQTFQLDRTTADARVINTVRLDFSSNHGNSELTCIYRFRVHGNEPGSLGTLA* >Brasy8G231900.1.p pacid=40080700 transcript=Brasy8G231900.1 locus=Brasy8G231900 ID=Brasy8G231900.1.v1.1 annot-version=v1.1 MSVVILDGSTVRSFVADEAAFSRSVDARFAALDTNGDGVLSRAELRRALESFRLLDGGGFGSAEPPPVPSEVAALYDSVFEQFDADHSGAVDHAEFRDEMRRIMLAVADGLGSQPLQVAVDDQGGSFLLEAAEHEEAMIAARVRKESKKEADGK* >Brasy8G097500.1.p pacid=40080701 transcript=Brasy8G097500.1 locus=Brasy8G097500 ID=Brasy8G097500.1.v1.1 annot-version=v1.1 MAQYWPPGFAYNSITPPPPPSTIEPEPSSHGRLVAGLVIGFVASLLLFTVFWSLSKGQSRSRTQGARAAAAAAPLPREGDEVRQLRLRRASAASPASRLPAFTYSPSVKHNVAGGGGEEAASTCSVCLGAFQVGETVRLLPACLHLHHVECIDPWLDAHSTCPLCRSDTQPAVDVAPRPPV* >Brasy8G258100.1.p pacid=40080702 transcript=Brasy8G258100.1 locus=Brasy8G258100 ID=Brasy8G258100.1.v1.1 annot-version=v1.1 MASSSSFQEEVVADSQAEESMAVESDGNPVETTTKGLNKEIKKKKKTVLREVPPSEVKYVMSYKPESAESYKVEMPEKVVRKMPDFVASFYADMAVMAEMAERRNPIMLEKQRNYRQQLKTYGKVFYEVEVDDDYKEEGAPSQNQPGGRRRHRPGVVKSHAGQTKKLN* >Brasy8G206300.1.p pacid=40080703 transcript=Brasy8G206300.1 locus=Brasy8G206300 ID=Brasy8G206300.1.v1.1 annot-version=v1.1 MDASSVALFNQLKAAQPFFLLAGPNVIESEEHVMKMAKHIKAITTKVGVPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKAAYDLPVVTDVHESYQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPVNSPCDGPTQWPLRNLEELLEELIEIARVTKGKKPFKIDLTPFHE* >Brasy8G206300.2.p pacid=40080704 transcript=Brasy8G206300.2 locus=Brasy8G206300 ID=Brasy8G206300.2.v1.1 annot-version=v1.1 MDASSVALFNQLKAAQPFFLLAGPNVIESEEHVMKMAKHIKAITTKVGVPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKAAYDLPVVTDVHESYQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPVNSPCDGPTQWPLRNLEELLEELIEIARVTKGKKPFKIDLTPFHE* >Brasy8G098300.1.p pacid=40080705 transcript=Brasy8G098300.1 locus=Brasy8G098300 ID=Brasy8G098300.1.v1.1 annot-version=v1.1 MAEKAVWDDENVRHFIDICKEEISNGNRPLGFFNRIGWKNLGDKFEAKSGKKLTKTQLKNKWDNMKKEYTWFMELKNAATGLGWLDDRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYRLYKRKESSNANWHCTILSVIANCVTRSSINVMKMRTIYLKQHVKQHKYREMLLQKWRMRKP* >Brasy8G106800.1.p pacid=40080706 transcript=Brasy8G106800.1 locus=Brasy8G106800 ID=Brasy8G106800.1.v1.1 annot-version=v1.1 MQHLRLIAFLSASLFFPLLPCTKGADLNSDKQALLAFAASLPHGKKINWTRTTQVCTSWVGVTCTPDGKRVRALRLPAIGLFGPIPSNILGKLDALEVLSLRSNRLTVGLPPDVASIPSLHSLYLQRNNLSGIIPTSLSSNLAFLDLSYNSFNGEIPLKVQNMTQLTGLLLQNNSLSGSIPDLQLTKLRYLDLSNNNFSGPIPPFLQKFPVNSFLGNSFLCGFPLEPCPGTTPPSPVSPSDKNNKNGFWNHTTVMIIIIAGGGVLLLILIIILLICIFKRKRDTEAGMASSSSKGKGVAGGRAEKSKQEFSSGVQEAERNKLVFYDGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKDFEQQMEIIDRLGQDQSVVPLRAFYYSKDEKLLVYDYVPAGSLSAALHGNKSAGRTPLDWGARVKILLGAARGIAHLHAEGGKFIHGNIKSNNILLSQELSACVSEFGLAQLMATPHIPPRLVGYRAPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNTEDEMVQMLQVAMACVAVAPDQRPRMEEVVRRIEEIGSSYSGTARTSPEDKPKEEPIQIT* >Brasy8G106800.2.p pacid=40080707 transcript=Brasy8G106800.2 locus=Brasy8G106800 ID=Brasy8G106800.2.v1.1 annot-version=v1.1 MQHLRLIAFLSASLFFPLLPCTKGADLNSDKQALLAFAASLPHGKKINWTRTTQVCTSWVGVTCTPDGKRVRALRLPAIGLFGPIPSNILGKLDALEVLSLRSNRLTVGLPPDVASIPSLHSLYLQRNNLSGIIPTSLSSNLAFLDLSYNSFNGEIPLKVQNMTQLTGLLLQNNSLSGSIPDLQLTKLRYLDLSNNNFSGPIPPFLQKFPVNSFLGNSFLCGFPLEPCPGTTPPSPVSPSDKNNKNGFWNHTTVMIIIIAGGGVLLLILIIILLICIFKRKRDTEAGMASSSSKGKGVAGGRAEKSKQEFSSGVQEAERNKLVFYDGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKDFEQQMEIIDRLGQDQSVVPLRAFYYSKDEKLLVYDYVPAGSLSAALHGNKSAGRTPLDWGARVKILLGAARGIAHLHAEGGKFIHGNIKSNNILLSQELSACVSEFGLAQLMATPHIPPRLVGYRAPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNTEDEMVQMLQVAMACVAVAPDQRPRMEEVVRRIEEIGSSYSGTARTSPEDKPKEEPIQIT* >Brasy8G036100.1.p pacid=40080708 transcript=Brasy8G036100.1 locus=Brasy8G036100 ID=Brasy8G036100.1.v1.1 annot-version=v1.1 MSTTPVPFPCLAFHNNFHNNTENPSTVLFTASGKEPMVNADIGELENMSVCATTHGFILAWDPTSEATFLWSPQSGAKIELPPLELEAEELMDCACLLSDKPTAPGCVALVVEPNTTFIWYCRVGDDDRWGKHDYDIGAIDVPEMNYYEKNVICPIAACRGKFYFNGTPTSLGVIDFSGPAPERPEFSSITIEETIHESYGHGGEYGAANVFLVESNDELYLVRRLIASHSSGATHGDVATIHRMDFSARRWRTVDDLGDRSFFLSLFYCGVSCSGCGGDGCDDYLQRNRVYFVLPRQKVLQIFDVKNGSPELQSLDEAPTSDKAFWVLPTDV* >Brasy8G093600.1.p pacid=40080709 transcript=Brasy8G093600.1 locus=Brasy8G093600 ID=Brasy8G093600.1.v1.1 annot-version=v1.1 MFAVNVRGTFLVCREAANRVPAHSGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRILAKEVAAKGITANVVAPGPVRTELFLAGKDEAFLRRVEASSMGRIAETTDVAPVVAFLASEAAGWVNGQVIRVNGGFA* >Brasy8G258900.1.p pacid=40080710 transcript=Brasy8G258900.1 locus=Brasy8G258900 ID=Brasy8G258900.1.v1.1 annot-version=v1.1 MYARCGRVGDARRVFGGIARPDTVCWASMISGYHRAGRFQEAVCLFTRMEKMGSSPDRVTCVTVVCALAALGRLEDARTLLQRMPAPSSTVAWNAVISGYAQQSGVEHEVFGLYKDMRCRGLWPTRSTFASMLSAAANATAFVEGRQVHAAAVRHGLDANVFVGSSLINIYAKCGCIGDAILVFDCSGEKNVVMWNAMLNGLVRNEHQVEAIQMFLYMKRLGLEADEFTYVSVLGACAHLDSRCLGRQVQCLTIKNCMDASLFVANATLDMHSKFGAIDDAKTLFNLITYKDTVSWNALIVGLTHNEEDEEAIHMLKGMNLDGVTPDEVSFATVINACSNIRATETGKQIHCLAMKHSTCSNHAVGSSLIDFYSKHGDVESCRKVLAQVDASSIVPRNVLIAGLVQNNREDEAIDLFQQVLRDGLKPSSFTFSSILSGCTGLLSSIIGKQVHCYTLKSGFLNDDTSVGVSLVGTYLKARMPEDANKLLIEMPDHKNLVEWTAIVSGYAQNGYSYQSLLSFWRMRSYDVHSDEATFASILKACSEMTALSDGKEIHGLIIKSGFGSYETATSAIIDMYSKCGDIISSFEAFKELKSKQDITLWNSMILGFAKNGYADEALLLFQKMQDLQIKPDEVTFLGVLIACAHAGLISEGRHYFDSMSKVHGIMPRVDHYACFIDLLGRGGHLQEAQEAINELPFRPDGVIWATYLAACRMHKDEERGEIAAKELVELEPQNSSTYVLLSNMYAAAGNWVEAKMAREAMREKGATKFPGCSWITVGNKTSLFLVQDKNHPGALRIYEMLDNLTRMMNKYDDVENYDMLISAEMFT* >Brasy8G258900.2.p pacid=40080711 transcript=Brasy8G258900.2 locus=Brasy8G258900 ID=Brasy8G258900.2.v1.1 annot-version=v1.1 MYARCGRVGDARRVFGGIARPDTVCWASMISGYHRAGRFQEAVCLFTRMEKMGSSPDRVTCVTVVCALAALGRLEDARTLLQRMPAPSSTVAWNAVISGYAQQSGVEHEVFGLYKDMRCRGLWPTRSTFASMLSAAANATAFVEGRQVHAAAVRHGLDANVFVGSSLINIYAKCGCIGDAILVFDCSGEKNVVMWNAMLNGLVRNEHQVEAIQMFLYMKRLGLEADEFTYVSVLGACAHLDSRCLGRQVQCLTIKNCMDASLFVANATLDMHSKFGAIDDAKTLFNLITYKDTVSWNALIVGLTHNEEDEEAIHMLKGMNLDGVTPDEVSFATVINACSNIRATETGKQIHCLAMKHSTCSNHAVGSSLIDFYSKHGDVESCRKVLAQVDASSIVPRNVLIAGLVQNNREDEAIDLFQQVLRDGLKPSSFTFSSILSGCTGLLSSIIGKQVHCYTLKSGFLNDDTSVGVSLVGTYLKARMPEDANKLLIEMPDHKNLVEWTAIVSGYAQNGYSYQSLLSFWRMRSYDVHSDEATFASILKACSEMTALSDGKEIHGLIIKSGFGSYETATSAIIDMYSKCGDIISSFEAFKELKSKQDITLWNSMILGFAKNGYADEALLLFQKMQDLQIKPDEVTFLGVLIACAHAGLISEGRHYFDSMSKVHGIMPRVDHYACFIDLLGRGGHLQEAQEAINELPFRPDGVIWATYLAACRMHKDEERGEIAAKELVELEPQNSSTYVLLSNMYAAAGNWVEAKMAREAMREKGATKFPGCSWITVGNKTSLFLVQDKNHPGALRIYEMLDNLTRMMNKYDDVENYDMLISAEMFT* >Brasy8G008300.1.p pacid=40080712 transcript=Brasy8G008300.1 locus=Brasy8G008300 ID=Brasy8G008300.1.v1.1 annot-version=v1.1 MAAAILKKPGLFLGTLMIMAMFLSTQGEVLYKIGCFAGSENCFDDDCQKRCENSNLGFPNREILGQCEGDPNPNPYPAIDCCCFRTKSKPSKLI* >Brasy8G130600.1.p pacid=40080713 transcript=Brasy8G130600.1 locus=Brasy8G130600 ID=Brasy8G130600.1.v1.1 annot-version=v1.1 MKGAMQPRPFMMHGPMPPPPQQQFGLVETRPPLAAMLRPRFNIPGLNPSAAAASAAGKISSTYDLVEPMRFLYVHVVKARDLPAVSPTGSIDPFVEVKLGNFKGHTSVHGANHNPSWQQVFAFSATHLQSHLLEVSIKAKDLAGGDDLIGRMAFDLSEVPVRVPPDSPLAPQWYRLEGKRGEKLPRGEIMLSVWLGTQADEAFPEAWHSDAHGAAGPAAVASTRAKVYFSPKLVYLRVAAIGAQDLMPHDTSRPMSTSVKLQLAGQVRRTRPGGPPGTPNPMWNEEFMFVASEPFDEPLVVTVEDRVAPGRDEPLGRIILPLNAAMPRHDHFGKPVEPRWYSLGRPSDDGEKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILEVGVLGARNLIPMKAKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNSQIGSKNADARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMMAMYGRPLLPKMHYTQPISVMQLDYLRHQAMQIVSARLSRAEPPLRREVVEYTLDVGSHMFSLRRSKANFYRITSLFCCFAAMAKWYDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRSRHPPHMDTKLSQAEFTHPDELDEEFDTFPTNRSADIVRLRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVITMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDLLL* >Brasy8G196200.1.p pacid=40080714 transcript=Brasy8G196200.1 locus=Brasy8G196200 ID=Brasy8G196200.1.v1.1 annot-version=v1.1 YRLNVEKAEWIIHVTDVGLQQQFDMVFKAARMAGWLPDPKEKKFPKTSHVGFGLVLGADGKRFRTHSTDVVRLADLLVEAISRSKSEFLRRLTENGKIVGWTDAELEKTSEAVGHGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHACICSIIQKSNMDVEELKMSGSISLNHPDERVLGLYLIRFAEVVEEACTNLLPSVL* >Brasy8G010600.1.p pacid=40080715 transcript=Brasy8G010600.1 locus=Brasy8G010600 ID=Brasy8G010600.1.v1.1 annot-version=v1.1 MLQFSCHESRQLQQARTVRKQNKEKPHCRVIDADSRDCFNIYSLLQGFGSACTINTAKWGLWTSYSSIYTFESTQTSNRAPEASVHTTEIMSYYGSSSSGGRGGRRVEYGRSYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVTAFGGFPCTAWFDVVDDTSVDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGASAALHSAACYAHGKFSTGVPYPITLSAVISLSGWLPCSRTLRGKMESSSMAARRAASLPILLCHGRADEVVSYKNGERSTEFLRSSGFSYLTFKSYNGLGHYTIPEEMDDVCKWLSSRLGVDRSR* >Brasy8G111000.1.p pacid=40080716 transcript=Brasy8G111000.1 locus=Brasy8G111000 ID=Brasy8G111000.1.v1.1 annot-version=v1.1 MERTVRTLDRQISQFVTMDRLIWADSADADTFLEAVDDLIGTVQELDAAGTNRVLLDRADELLSRCMARLEDEFRALIERPDDAAPLAPGGFGSDGSDDEEFYGGADGYADEPIPIAKPVTDYDVVIDALSPGSIANVHQIARRMVDAGFGRECAEAYAAARRCFVDESVARLGVRPRTAEEVHASPWEELEFEIARWIPAFNMVFRILIPSERRLCDRVFDSLAPFGDLAFIAAVRTQAIQLIAFGDAISSSSRSPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVATPRGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGVGGRAAVAVDPDRSTSSLAVHIAWIMDVLHKNLDTKSKIYRDPSLACIFLMNNGKYIIQKVNDSELGVLLGDDWIKQMTSRVRRWSMDYQRTTWGKVTTVLQIGGPGVGALPAKAMMQKLRMFNTYFEEIYSAQSEWVIADDQLRMDVRGAVEDSVMPVYATLIARLKSSPETGRDLFIKYTPEDVQAHIEHLFEGGAN* >Brasy8G287100.1.p pacid=40080717 transcript=Brasy8G287100.1 locus=Brasy8G287100 ID=Brasy8G287100.1.v1.1 annot-version=v1.1 MASLPRYDGIRRGGTLALLQGVSTFQAMATTAARSSGNPELFHLTSGRSKGKLISFRNFQELVPEGS* >Brasy8G280600.1.p pacid=40080718 transcript=Brasy8G280600.1 locus=Brasy8G280600 ID=Brasy8G280600.1.v1.1 annot-version=v1.1 MAPPAAAAKPAARTTTNVATAVYRVQLALLDGAAASNEPLLHAAAAVLSRADYDDVVTERSIADACGHPPCASPLPGAVSDPKAAPRFHISLREHRVYDLEEARKFCSERCLIASAAFAASLPHDRPFGVPPDRLDALVALFEGGGDRPGLGFRGVSSGKDMDEGKKLEIREKEAPGLGEVTLQEWIGPSDAIEGYVPRHHPIPAGPMPEAKQRKTSRADQSRNMNLDSATSSSVEAPVSSEVIAKKLNDMVLGDNTKTKKKQASETPSKMFRQDEHDDMLLPCVTDSIAKQLEDVVLEEKNDMKKERPTRASSRSRKSKPAKKPAGSDGHEVGFTSTIIMGDHVLAKMDQGPVCQYNFATSIADNQPSSSSPSQYTARDLTGAYTEQLNKEFSKAVNLGKDEASDEKVRIAPKSSLKAGGSKNKSQSVIWADENGSLLEKSKEYVIHSDDKKHYKEDIDGSLRRESAEACAAALIEAAGAISLGTSEVEDAVCNAGIIILPDMLHQKQFKSDNVKNTVEKEISETDNGVVKWPNKPVLLDTDMFEVDDSWHDAPPEGFNLTLSAFATMWATLFGWISRSSLAYVYMLDGSSVEELLISSGREYPQKVSKDSQSSQIKRTLATCISNALPVLTSNLRMQIPVSKLETTLGYLIDTMSFVDALPPLRSRQWQLMVLVLLDALSVCRLPGLAPVMSDSKFLQKVLNSAQVSREEYDSMVDLFLPFGRSVQAPPPSQPVQVP* >Brasy8G280600.2.p pacid=40080719 transcript=Brasy8G280600.2 locus=Brasy8G280600 ID=Brasy8G280600.2.v1.1 annot-version=v1.1 MPEAKQRKTSRADQSRNMNLDSATSSSVEAPVSSEVIAKKLNDMVLGDNTKTKKKQASETPSKMFRQDEHDDMLLPCVTDSIAKQLEDVVLEEKNDMKKERPTRASSRSRKSKPAKKPAGSDGHEVGFTSTIIMGDHVLAKMDQGPVCQYNFATSIADNQPSSSSPSQYTARDLTGAYTEQLNKEFSKAVNLGKDEASDEKVRIAPKSSLKAGGSKNKSQSVIWADENGSLLEKSKEYVIHSDDKKHYKEDIDGSLRRESAEACAAALIEAAGAISLGTSEVEDAVCNAGIIILPDMLHQKQFKSDNVKNTVEKEISETDNGVVKWPNKPVLLDTDMFEVDDSWHDAPPEGFNLTLSAFATMWATLFGWISRSSLAYVYMLDGSSVEELLISSGREYPQKVSKDSQSSQIKRTLATCISNALPVLTSNLRMQIPVSKLETTLGYLIDTMSFVDALPPLRSRQWQLMVLVLLDALSVCRLPGLAPVMSDSKFLQKVLNSAQVSREEYDSMVDLFLPFGRSVQAPPPSQPVQVP* >Brasy8G085900.1.p pacid=40080720 transcript=Brasy8G085900.1 locus=Brasy8G085900 ID=Brasy8G085900.1.v1.1 annot-version=v1.1 MAAGGVPSQVHEPRGSVPLPLLACVQSPRLRKAKQSRIAPLPTFQATDRANGLHGRAAAAAVPPGVRAVPGPRPCERDAEPVSATVVVTEEWLGLLSAGGPAPLPRGVQLAAIPNVIPSEHGRAADWAGFVEAVYTKMEAPFVRLLDRLQGSSPVAAIVADTFVPWAVRVGNRRRVPVCVLSALGASMFSVQYHFHRLPQAAGGTASPPLGADIAADYADGTDPCLIENYIPGLKSIRLSDLEPSHSKKAALNAILEAYDEVRKAQCVLFTSFHEIESNTIDALRQEELPCPVFSVGPCIPFMALQEHNANSDKESYMAWLDAQPVNSVLYVSLGSFLSVSPAQLDEIAVGLAQSKARFMWVLRDACSRVEDLTRGSGGVVVPWSDQLKVLCHPSVGGFLTHCGMNSTLEALYAGVPMLTLPIVLDQPINSRLIVDVCKVGYSLKEKVRADCVIGRDEIAGAVTKLMMNCGDAEGVRRRASLLKEASRTTVEEGGSSYRYITSFIDFISLSKR* >Brasy8G085900.2.p pacid=40080721 transcript=Brasy8G085900.2 locus=Brasy8G085900 ID=Brasy8G085900.2.v1.1 annot-version=v1.1 MAAGGVPSQVHEPRGSVPLPLLACVQSPRLRKAKQSRIAPLPTFQATDRANGLHGRAAAAAVPPGVRAVPGPRPCERDAEPVSATVVVTEEWLGLLSAGGPAPLPRGVQLAAIPNVIPSEHGRAADWAGFVEAVYTKMEAPFVRLLDRLQGSSPVAAIVADTFVPWAVRVGNRRRVPVCVLSALGASMFSVQYHFHRLPQAAGGTASPPLGADIADGTDPCLIENYIPGLKSIRLSDLEPSHSKKAALNAILEAYDEVRKAQCVLFTSFHEIESNTIDALRQEELPCPVFSVGPCIPFMALQEHNANSDKESYMAWLDAQPVNSVLYVSLGSFLSVSPAQLDEIAVGLAQSKARFMWVLRDACSRVEDLTRGSGGVVVPWSDQLKVLCHPSVGGFLTHCGMNSTLEALYAGVPMLTLPIVLDQPINSRLIVDVCKVGYSLKEKVRADCVIGRDEIAGAVTKLMMNCGDAEGVRRRASLLKEASRTTVEEGGSSYRYITSFIDFISLSKR* >Brasy8G089600.1.p pacid=40080722 transcript=Brasy8G089600.1 locus=Brasy8G089600 ID=Brasy8G089600.1.v1.1 annot-version=v1.1 MFLYMLQKLHHFGTPCYISSWFIAIILLNQGIEKPDVNICCLSVQINYHGGDYLTLSDSYFWYSGYVMLLQFRDTGEAPEDYIEAIRGTSLIFFLGSIVGAYGISAPGQCFWFCSLFHIPMIRLTLVLFMRNIPLRLYAVVPHFASWFIAD* >Brasy8G238900.1.p pacid=40080723 transcript=Brasy8G238900.1 locus=Brasy8G238900 ID=Brasy8G238900.1.v1.1 annot-version=v1.1 MADEDMIQLLDPKYKDIICYNYGGPGHYVGNCIKPKVCFIFNSSGHHMDNCPAWGREIPMAQFVGSANGGLGFLHVNVDEKTSNKWLNMMNYGVIAITHGSITTDELIQKMAETWDENWPWQVRQPEEKSFLVRFPPSKKVDDLVGLPSINLKEGADSERITVKILQWDGDVPDLGELTEIWLQIRGIPPEWISWKVITQISKCFGLLLDVDWNGIFKSLYETVRVKLAVRNPAKIPPERLLVMRKKFYLLSFTVEWDGIDIDKIMGLEDKDYDGEDDFDEDNLMDDELRELEKKKGNSQQNKQKPKTQHQPADDPQATNTRNVMCNSFQALVSANLVYSDDEIEHDGESNQMIESVMTEERNEGKEKSLQKQAGEHTSQDYSAEIRENPTPMKNTLTDISKPPDNNHEEEQEFADSFPTPAEAKNKYSKKSKWGPVIAKRQSSRIAHDGKSIMSKAQDFISQKNLEKPVNKGNPFKHSFANVCTSKLAAQAKAINICLGPTPTSIHNQTDHLKQLEINRLEQLNVDQPDIFLPVDIDVTLDDLIEQHDECEQIPVFNYDSPPSDNEHEHEQDDVDQVSYKLRKDRSHKAKSLYNKFPDAVHFLKC* >Brasy8G142500.1.p pacid=40080724 transcript=Brasy8G142500.1 locus=Brasy8G142500 ID=Brasy8G142500.1.v1.1 annot-version=v1.1 MPKPMYKLPLIITDDTKSMETVAFTLVAEDLVEQTALEASQNMNIDPSDHTDALQKAIGKTRLFTIGMNPEYFSKFSIVMC* >Brasy8G117700.1.p pacid=40080725 transcript=Brasy8G117700.1 locus=Brasy8G117700 ID=Brasy8G117700.1.v1.1 annot-version=v1.1 MDQNAETPTFEDFENRPLKRAKNCESSVLDDPLTSPSISASSMISEYSEWIASRSDHRINCDPLPSPPSTTKSSSSTVSEIMNEEKASEDDADDNNQLDEDSEDDDKQTVSADDNNQLDEASKDDDKQTVSADDNNQIDEHKETYDYLPQDYALTDLDECAHLVINDSSEQEILVKIDQVFVEQCELVCLQDSTKWLNDAVISAYICCIKEVHVQNKNNPKVYFENPCLTRLLKRDGEIGIHGATFVTDIVGNYLQHDMINLPINIERSHWYLACVNVEKSEIQVLDSLCWEHNRVDLTKTLQGLQYHLDILKTQENLGNHNWKDLDITNWAITEQLHNPIQEDSTHFLFESCSSSCGLFMLKFMEYWTGHTLSQYITQKIITDFRYKLAAILICWKTNTAQASTMIESDYSEEDPDDVMIVHELEGGLCSYIKSIKSAETLDSKPYPISLTLKKLQGMLNDELPMDRDCFNLVMITDFGRHPKFRKKLDVEKLAYSVRSWPGIKYNVSSCKTARHFHIIHIPIQSSREFILFILDKDTRTVYILDPTPIDPIYQRNRHAKYVYRLLWIAEHLPKAMSMTCPGSTWNENILLWRQEIINNIPIQNRELSGYLVTLFMSTWDDEKVNLPFFKDGYELRKQILGKLLTFKENECEDNMPIGVLDFINCIRKIKPNINVKN* >Brasy8G102700.1.p pacid=40080726 transcript=Brasy8G102700.1 locus=Brasy8G102700 ID=Brasy8G102700.1.v1.1 annot-version=v1.1 MSPLLTCRSCLLLPLWRLIELASVPRNNSHRRRVFSHGTQRIPKACCAPARALHIRLPPDSRHLMPETGNMSMESVGSSYYNPASADEEASTRRVANRIIRALQHQLRLLHRAGPDFFVLGATGNVYTVTLAVTPACTCPDPAAPCKHVLFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTRADALAGPRARERFHQLWPSAAAAAAKAADQRRNEAATSSGRPLDGAACPVCLEDMAPAPEEAQGGGAGATTGGQLQVLLTCGTCRNSVHAECFARWKRSRARRAATCVVCRARWRKPAGRDREQDQQRYINLSAYMNEENDVVDMQIEDGGLCAG* >Brasy8G028200.1.p pacid=40080727 transcript=Brasy8G028200.1 locus=Brasy8G028200 ID=Brasy8G028200.1.v1.1 annot-version=v1.1 MALVKKKNKGRVHFSIISGQTMPKIHHCLNMRLTLEFLKSATIHEDQLNKQILEDPGFHHYVMFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVINIEDHQKLSKGVESIEMQCNRCGLQRNYVSHFVIILNLSRGR* >Brasy8G028200.2.p pacid=40080728 transcript=Brasy8G028200.2 locus=Brasy8G028200 ID=Brasy8G028200.2.v1.1 annot-version=v1.1 MRLIFIQGRVHFSIISGQTMPKIHHCLNMRLTLEFLKSATIHEDQLNKQILEDPGFHHYVMFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVINIEDHQKLSKGVESIEMQCNRCGLQRNYVSHFVIILNLSRGR* >Brasy8G028200.3.p pacid=40080729 transcript=Brasy8G028200.3 locus=Brasy8G028200 ID=Brasy8G028200.3.v1.1 annot-version=v1.1 MPKIHHCLNMRLTLEFLKSATIHEDQLNKQILEDPGFHHYVMFTRNVLAASTTINSTAMNSKDSGNIVFRLFTNVQNFYAMKHWFDRTSYLEAVVYVINIEDHQKLSKGVESIEMQCNRCGLQRNYVSHFVIILNLSRGR* >Brasy8G084600.1.p pacid=40080730 transcript=Brasy8G084600.1 locus=Brasy8G084600 ID=Brasy8G084600.1.v1.1 annot-version=v1.1 MDQRKALFRAKLREREAKEKQEKRIDPSLVRYNEYDQPICRVCNVTLKSEALWPAHQVSRKHHEAKAAAATKVTAGAAPRGNNVNHEQTAEPQKAKSSSLPANFFDSKEMKRQSDGTGSEGRSVRHEVADVQSMTKEASANKPSVRMDQVPNKGSQANTNVKGILPGIFFDYTEEDEDEARAPNEPSRTPVNITNPNHMQVKGVPAGFFDSNSTQPSEVSAPSQAANILETAQVKGALPEGFFDNKDADLRARGIQPPKVDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTFEQEEYRQRVDMLKKQLTESKAARNAKANSKPVGLEMESSTSDSSSDDEDGDTDFAVDWRAQHMK* >Brasy8G026700.1.p pacid=40080731 transcript=Brasy8G026700.1 locus=Brasy8G026700 ID=Brasy8G026700.1.v1.1 annot-version=v1.1 MDQALPEDVLAEVLRRLPPRSLAATRCASKALRAITDTRRLLRADLLPLSLGGIFINFNDLDLAEFFYPLAAGRPVSGDLTSTVDDHCNGLALLSDSSVVNPATRRWAPLPPPPVRAAARRARTSPFFYTNGVKYLVFDPAVAAHYEVFLIPHVPPSKSELSPVPEKSEWPPSPLILPVFSSRTGIWEMRPFVREGAAAGIITHMLQPERHEKKHYGVYLRGALYVHCQNNFFYRMSSSADKYQVIKPPAGIGSGHYPGLYLGKSKNKVHCASIHHGYDLRVWILDESLGRFEWRVKHRINLKLVMPRQDHHHHVDWFLEDNNYYSSQDNPNEEAAAPVGEEEVRRSSCYVDFLGFHPWEDVVFLTDTLTRGMAYHFDSFRVEYLGKLSPRSYGASMC* >Brasy8G139200.1.p pacid=40080732 transcript=Brasy8G139200.1 locus=Brasy8G139200 ID=Brasy8G139200.1.v1.1 annot-version=v1.1 MVLRMELDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADILVDGSISRIVARKTPESKYPAIVLSDVPIKQARNDLESAEKLKFVICQRPKASQNGAYGAGANSGAVKTVEERIDDYNKARARIFNGSIPADVEGTNDFGALSIGRNELMNVEPSLDENRAYAMNSRSRVAVFKDAEKDRSDPDYDRSYKRYVRSHVPDFSVSPGTFNFAVPQFMQYGVGYVQSPTMPRNQPSVYFGQPDLSMGSSGTAVYPQWHTPAMMYPHCYDNLGHVISQVPVYQSFNHG* >Brasy8G052400.1.p pacid=40080733 transcript=Brasy8G052400.1 locus=Brasy8G052400 ID=Brasy8G052400.1.v1.1 annot-version=v1.1 MSLISQNALQKRRLEKNRVVDDDSDEGIGSPVAPDAEVEKEAKRSKKRKAKALEAKEKQDAEEMRQLESSLFGAIYAPLEFGTEVGAAAAARDQDGALFFVDRSAGDGEDELPVYDEDSGTEGDEDETVNKGRKPAWEDDEEERTEVDIAKVSRLRKLRKEADERVISGRDYEARLRGHHAKLNPFTGWADMDRKPPLPGVSDDESDDEGGIDNILRNNDELVVKGTAKLLPGMLDFSSLVDANLQEPSRGTITSVQFHRNGQLMLVASLDKCLKFFQIDGKRNPKIQSIYIEDCPIRKASFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGRDEKSLETFEISPDSRTIAFVGNEGYILLMSSKTKQLIGTLKMNGNVRSLAFGDGGNELLSSGGDGHVYHWDLRTRKCIHKATDEGSLAGLSLCTSEDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTEMGQMKFNHDGQILAISSGKEKNGMRLVHVPSFTVYQNWPGPRSSLRYPSCLDFSPGSGFLSVGQSNGKVLLYKLHHYQNA* >Brasy8G052400.3.p pacid=40080734 transcript=Brasy8G052400.3 locus=Brasy8G052400 ID=Brasy8G052400.3.v1.1 annot-version=v1.1 MSLISQNALQKRRLEKNRVVDDDSDEGIGSPVAPDAEVEKEAKRSKKRKAKALEAKEKQDAEEMRQLESSLFGAIYAPLEFGTEVGAAAAARDQDGALFFVDRSAGDGEDELPVYDEDSGTEGDEDETVNKGRKPAWEDDEEERTEVDIAKVSRLRKLRKEADERVISGRDYEARLRGHHAKLNPFTGWADMDRKPPLPGVSDDESDDEGGIDNILRNNDELVVKGTAKLLPGMLDFSSLVDANLQEPSRGTITSVQFHRNGQLMLVASLDKCLKFFQIDGKRNPKIQSIYIEDCPIRKASFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGRDEKSLETFEISPDSRTIAFVGNEGYILLMSSKTKQLIGTLKMNGNVRSLAFGDGGNELLSSGGDGHVYHWDLRTRKCIHKATDEGSLAGLSLCTSEDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTEMGQMKFNHDGQILAISSGKEKNGMRLVHVPSFTVYQNWPGPRSSLRYPSCLDFSPGSGFLSVGQSNGKVLLYKLHHYQNA* >Brasy8G052400.2.p pacid=40080735 transcript=Brasy8G052400.2 locus=Brasy8G052400 ID=Brasy8G052400.2.v1.1 annot-version=v1.1 MSLISQNALQKRRLEKNRVVDDDSDEGIGSPVAPDAEVEKEAKRSKKRKAKALEAKEKQDAEEMRQLESSLFGAIYAPLEFGTEVGAAAAARDQDGALFFVDRSAGDGEDELPVYDEDSGTEGDEDETVNKGRKPAWEDDEEERTEVDIAKVSRLRKLRKEADERVISGRDYEARLRGHHAKLNPFTGWADMDRKPPLPGVSDDESDDEGGIDNILRNNDELVVKGTAKLLPGMLDFSSLVDANLQEPSRGTITSVQFHRNGQLMLVASLDKCLKFFQIDGKRNPKIQSIYIEDCPIRKASFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGRDEKSLETFEISPDSRTIAFVGNEGYILLMSSKTKQLIGTLKMNGNVRSLAFGDGGNELLSSGGDGHVYHWDLRTRKCIHKATDEGSLAGLSLCTSEDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTEMGQMKFNHDGQILAISSGKEKNGMRLVHVPSFTVYQNWPGPRSSLRYPSCLDFSPGSGFLSVGQSNGKVLLYKLHHYQNA* >Brasy8G196700.1.p pacid=40080736 transcript=Brasy8G196700.1 locus=Brasy8G196700 ID=Brasy8G196700.1.v1.1 annot-version=v1.1 MFKPRCCDILVQCCFWGTLATAPLLVFGLIVFAISQIYETPHYSVTIDSASGLDPAADLGRPTVDPEFNLTLGVASRAFMGAQCLPSGAEVEVWYRGVLLATSGPTRQTMCAAARKAAREPALALGTGVRLPGFVRDSLAADMRRGVQRFDVTMRFGSGEEENLVPCGSRHVGDFAASPILCDG* >Brasy8G065000.1.p pacid=40080737 transcript=Brasy8G065000.1 locus=Brasy8G065000 ID=Brasy8G065000.1.v1.1 annot-version=v1.1 MDRELEEAEVLWPDREVSNGGQQQQQQQQQQQRRSMSKQQAHGDAARASRPVGIPAAVRPPATEQTAAWARSYCGDGDGAAASGSSSFVPPHDLMAARRRCAEAAASSVCEGQGRTLKGRDLRSVRNAVLRMTGFLES* >Brasy8G215600.1.p pacid=40080738 transcript=Brasy8G215600.1 locus=Brasy8G215600 ID=Brasy8G215600.1.v1.1 annot-version=v1.1 MSVSEASGTLTSRKKMRFMVPPANRPVRFWEESEDEYDSTYTTRGDDSEDENQHDQEHSESDGNEEGQDEEDEQAEEENQYDHELSDYLGDEEEMQDVEEEQVEEESQRNQELSESDADEERQDAEEEQMEEQSQSNQEHLESDADEEEMQDVEVEQVEEESQSNQERSESDADEESQGVEEEQVQVEMENQRNHAPLESSGDEEEGQDEEAEQAEDVPEDGGFQKGSSEPALAPPLSKRATIANLTLDEDMLDCGVCCLPLKPPIFQCDVGHVVCSSCRDKLAATRRCHMCCAALAGGYRRCHAMEQLVESIRGSCPNAPYGCAVRMAYYDQHAHLQVCRHAPCHCPAESCGFVGSTAALLDHFVVAHKWPCTTGVKASMMRDIDLHDGFNIVSVVHDARQYLFLLIVARTPLGRAISCLCIHPPQVAAADSLQSASKNVKWRLMLSYSHNVWEDADDYFYHHQDCAFKVACTDLSSGMPNSNECFQFIVPSSVQPDAEATVLVMTNFIIQ* >Brasy8G296500.1.p pacid=40080739 transcript=Brasy8G296500.1 locus=Brasy8G296500 ID=Brasy8G296500.1.v1.1 annot-version=v1.1 MLLISTVKKFLLSYTMMENWAAWRVHQVNHTNLSTLLLNSQMQLSSFHQNLECELWGRFHAEFAWFVTLNLKNLRKRNQVMGVLLLAVWTYAASSNKTMSRFTGASKNRSSQGSAFSLGQESVEPTPKHKQKRKDESSLGHSNVSAKSSEGSARGTESNMPSEMPLEKSKKKKNVRIEV* >Brasy8G132300.1.p pacid=40080740 transcript=Brasy8G132300.1 locus=Brasy8G132300 ID=Brasy8G132300.1.v1.1 annot-version=v1.1 MASAALPRPDQDTEPPECPVCLSPFDAASVVPLVLPCGHSLCGSCISSLPPASASAGASSLRCPLCSQCVPFSRALGPSSLPKNLALLSFLPSLPNPSPASTAAAAAAHPRPLPLHAAHSRLLARFRHAILPESASPLSSAPPGPTPAGLALGSIASDLGAPWFCLRGEPVSLLPVEAPTGGPPAQEAAFYRPSHASRVVAAIDALSGAREEVIDLVAASARLARRVCRVFGVWMGPEVATLWLVSERHTRRVPRLLDERSDELDMVARIGAVGIEVCEALMELHGEGLVLGCLRLDCFCLDRFGRCLLDLNEVLVLCRGVQAGLSLTKGGALVAPEMAAILSDATRMRSRDFDGLVGRNSDVWLLGCILVAFVTGDEQLVARWDTYGSHDDWQKEVLTRLGTVLIGTQLEPLAAITASCLSYDPEERPEIADVWKCIRGSWMKPAGDALASMDGLAAHKGFRCLLLGELSSMYSGPGAIESDDKMQSSRGSDDEILTPDDESNHDCMNNETVCAAEIDEPQRDGVFKSLTLLAHLDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHVQTLKGHEHKITTIVAVDNDNQSLCISGDSGSGIFVWRVDTSLKQEPLHNWYEHNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHSPLSVLEDDTPRSIAPVLSISTEVNFVVSSYENGCLKIWKDDVLVKSEKFQNASIYAVKLNGKWLYTGGLGKVINIQELLEDESEVEIRDVASIACDSVVTSILYWDERLIVGFSNREIKVYDKGS* >Brasy8G253800.1.p pacid=40080741 transcript=Brasy8G253800.1 locus=Brasy8G253800 ID=Brasy8G253800.1.v1.1 annot-version=v1.1 MVSTGALALSLCLLLAFFAGQPAAAGRGDGGKKDGDGGKKGGDGGKKGGKKDVDVEGTVRMIVGKAMEGKPRVGAALVRLLFHDCWVHGCDGSVLLDTVPYGSGSGEKDAKNNIGLEGFEVIDDIKAELVRLADGYDNVSCADILVLAAREATAILSRGKIVYAVKRGRKDGVVSSASDADAVLPPSTFKIKQLKDNFKARGFDTRELVALSGAHAVGVAHLSSFADRLNPATQSPISGAYQNALRNHTEAQKTAQRTDDPIEFNNIRDMDKQFQTAAGYVTTGVDVTARGVLDNSYYKANLQNRVLFRSDWELRNDTDVGADNAGNALDEFGGNARKWFQAFGQAMAKLSDIPTDGPRLEIRKNCRKIN* >Brasy8G037900.1.p pacid=40080742 transcript=Brasy8G037900.1 locus=Brasy8G037900 ID=Brasy8G037900.1.v1.1 annot-version=v1.1 MGFSIHKLDLYDGADNVDLAWRAPVHRMSTDSPDLWSFAALGSKIVAMGPIKYVGDHIPFAYDTETAGLSVVPGTPPASGWRHATAVRNTLYMFTTDSDYHEGCNEGMYSLKDARSSASRFDAHWYWSWDGIATPLPFRVADMESIATHPERGGRHLFVCVGTCEMSVPTDDDDGRFIHNSQTFSYDTQTSEWRHLGEWNLPFYGQAHYDGELGAWIGLHKGETSDRFDSARADGLLCSCDAPSPYESPTQPAWKLCEKDRLFDPNRNEHGPSLVYMGDSTYSLVEVMSHESLWSKRHRSVGNRSAIRVATFRLKYDKNGDLVTTARRPDRFYHFSRFVEGYKVHAFWM* >Brasy8G184400.1.p pacid=40080743 transcript=Brasy8G184400.1 locus=Brasy8G184400 ID=Brasy8G184400.1.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPELQHDLQSFPFHVSEGPDGFPLVHARYLGEERSFTPTQLLAMVLSNLKGIAEGNLKSAVIDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKSDAQSNESQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDLQITQKISTYTIGPFQPSKGEKAKVKVKVRLNIHGIVSLESATMLEEEEVEVPVSATSEVPKDATKMDTDDAQRDPASGNDVNMEDSKGATDTAEGAVENGAHDSEEKSVPMDTDTKVEPSKKKVKKTNVPITELVYGTMGASELEKAVEKEYEMALQDRVMEETKEKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLMAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNTETWILEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPVETPAPEAQTPEQQSSGAGEADEPANDGGAQDQPAAEQMDTDSAEPSSA* >Brasy8G184400.2.p pacid=40080744 transcript=Brasy8G184400.2 locus=Brasy8G184400 ID=Brasy8G184400.2.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPELQHDLQSFPFHVSEGPDGFPLVHARYLGEERSFTPTQLLAMVLSNLKGIAEGNLKSAVIDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKSDAQSNESQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDLQITQKISTYTIGPFQPSKGEKAKVKVKVRLNIHGIVSLESATMLEEEEVEVPVSATSEVPKDATKMDTDDAQRDPASGNDVNMEDSKGATDTAEGAVENGAHDSEEKSVPMDTDTKVEPSKKKVKKTNVPITELVYGTMGASELEKAVEKEYEMALQDRVMEETKEKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLMAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNTETWILEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPVETPAPEAQTPEQQSSGAGEADEPANDGGAQDQPAAEQMDTDSAEPSSA* >Brasy8G040100.1.p pacid=40080745 transcript=Brasy8G040100.1 locus=Brasy8G040100 ID=Brasy8G040100.1.v1.1 annot-version=v1.1 MEEAPKQRAARHGDERRRPSLSAAAAAADGAEEYDPWTAWLYKPRTISVLLVGACLLIWASGALDPEGAASHTSVTSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHVLGWWGKAVMIRNQSLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWQPFMGPLRFVQVLFLCVVFMMVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSVAICIVELLICMKFGHGLFHDPMPTWLVIFWSSAGIALVIFLLAWSWRNHQKFLRKML* >Brasy8G038800.1.p pacid=40080746 transcript=Brasy8G038800.1 locus=Brasy8G038800 ID=Brasy8G038800.1.v1.1 annot-version=v1.1 MGNSLPLPCACKETAAVTPAALGAKKTRRPRKKRAAADKNAAIVVPVDTPDDAWDEKKPQEDGKLVKVVMTRKEAAELMAKLAAERDQAAAGITEGTLANFVGGNGVTMSPCRADAWRPRLATIPENYCRY* >Brasy8G227700.1.p pacid=40080747 transcript=Brasy8G227700.1 locus=Brasy8G227700 ID=Brasy8G227700.1.v1.1 annot-version=v1.1 MAAPSRLRGRRLMGREVERQHEPFTLDEDMSHLTRIRSEPCPCTRAAMHCASRKKRAFSAFEMLSSRESGRSRGGGFSQADRAYAAGKHLPAKGPWCVEDMDSEAYVSQFSSDGSLLVAGFRGSRIRVYDVDKGWKVHKNISCRSMRWTVSDIALSPDQRYLAYSSLSPIVHIVNVQSAGRESDANVTEIHEGLEFCDDDEYSFGIFSVKFSKDGREVVVGNNDSSIYVYDLGANKVSVRICAHAADVNTVTFADESGNVLYSGSDDNHCKVWDRRCLVREKPAGVLTGHLDGITCIDSRGDGRYLISNCKDQTIKLWDVRKMSSTVEGRPQRLYDWDYRWMSFPSNARYYKHPNDQSLATYRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDESVYIYDVVTGEVVKKLSWHGSIIRDCTWHPYRPTLVSSSWDGYLARWEASGDNEDPSMLTLDEQRTSPYHQMYGLAAM* >Brasy8G227700.2.p pacid=40080748 transcript=Brasy8G227700.2 locus=Brasy8G227700 ID=Brasy8G227700.2.v1.1 annot-version=v1.1 MRICHTSPGSGRSPVPALVLPCTVPAGRRGLSRLSRCCRRGSPAAPGAAGSLRPTALTPPGNTSRRKARGAWKTWIARRMSRSSLAMARCSSLGFGRIRVYDVDKGWKVHKNISCRSMRWTVSDIALSPDQRYLAYSSLSPIVHIVNVQSAGRESDANVTEIHEGLEFCDDDEYSFGIFSVKFSKDGREVVVGNNDSSIYVYDLGANKVSVRICAHAADVNTVTFADESGNVLYSGSDDNHCKVWDRRCLVREKPAGVLTGHLDGITCIDSRGDGRYLISNCKDQTIKLWDVRKMSSTVEGRPQRLYDWDYRWMSFPSNARYYKHPNDQSLATYRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDESVYIYDVVTGEVVKKLSWHGSIIRDCTWHPYRPTLVSSSWDGYLARWEASGDNEDPSMLTLDEQRTSPYHQMYGLAAM* >Brasy8G111900.1.p pacid=40080749 transcript=Brasy8G111900.1 locus=Brasy8G111900 ID=Brasy8G111900.1.v1.1 annot-version=v1.1 MDSSTGTVGATTTTTPSSSSGPGADAHPPPPPAAAAAPPPPPQQQLSRYESQKRRDWNTFLQYLRNHRPPLSLARCSGAHVIEFLKYLDQFGKTKVHALACAHYGDPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKKRKRAQPQQASPAGAQAAAGEGTSSSAPAGAAATTDSAVAVASGGGESSGGGGAPAAAATPPVVTSGQAGGSGSSTAATTSASR* >Brasy8G016400.1.p pacid=40080750 transcript=Brasy8G016400.1 locus=Brasy8G016400 ID=Brasy8G016400.1.v1.1 annot-version=v1.1 MAAPAGAALRLRLLFRMLRVGELLALAVLLSWSSARAPSAAAAAARAAGSLLFSPRFVFVLGNAIVLLLLALSKRQAQDASSSSSSSSSSGGAGSQIPTAAASFPSFPAPAPIAAEPVVPMVPAAPAAAKPMATVFEEEEVAKPAPVSSAEEARRVVGSNGKARAPRRSRSEKMGRAASPETTMAMMPAAAMMRRSESDNGRRPRRRSSATARDVVGADGRGWGKEDADEFRRTVEAFIARQTLFHREEEESMAVVFPGAGGRRDTALVVVE* >Brasy8G247700.1.p pacid=40080751 transcript=Brasy8G247700.1 locus=Brasy8G247700 ID=Brasy8G247700.1.v1.1 annot-version=v1.1 MDQLLFDVQGSDGILNAPLLLIQVTRLLCGGFVFALRLNHTVCDAIGIVQFMGAVAELARGLPSPTVAPAWSRELLEARDPPSPSFPHREYDLVPLPPPPPSDMVLRTFSFKPTDIAAIKKRIGDDKSMTTFEALAAALWLAWTAALEIPAGELARLVVIANFRPIASGPDPGLALPAGYYGNACVPPTALADAGELTTSSSPMALREAAALVRGAKAAVTAGYVRSTVDVLAERGRPCLALEHLLVVSDLRHAGFRGVDFGWAGQVYGGPADTVFGVCFFVGVKGEDGEEAVAVPVVLPRPAMERLAAEVERLCQAPA* >Brasy8G101700.1.p pacid=40080752 transcript=Brasy8G101700.1 locus=Brasy8G101700 ID=Brasy8G101700.1.v1.1 annot-version=v1.1 MHACEGQTARGNNCTLNQYFRVPPPSRRRRQVLAAPPPVGIHDAGSLSEITSANALLFRAAAAADSSPGRRGFVPRPPPSSPTEPLRIRPPAAAGPPPHEGHAAVRAAGPPPHEAAAAAVLLFAAGSHHSRGCICSEIRSTSTSSVVLLRPDHLIVLFVDFLFQLEMSSSGAAVEF* >Brasy8G049900.1.p pacid=40080753 transcript=Brasy8G049900.1 locus=Brasy8G049900 ID=Brasy8G049900.1.v1.1 annot-version=v1.1 MSRLLSRRHLAAAAGVRRPLTAFASRWLHTPAFATVSPEEISGSSPAEVQNFVQGKWIASANSSWIVDPLNGDKFIKVAEIQGSEIKPFVESLSNCPKHGLHNPLRAPERYLMYGDISAKAAHMLGQPEVLDFFAKLVQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQISNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMEQMIRLLHECGLPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLQGRIKLEDAGFDWKILGPDVQEVDYISWVCDQDAYACSGQKCSAQSMLFMHKNWSSSGLLEKMKGLSERRRLEDLTIGPVLTVTTASMIEHMHNLLKIPGSKVLFGGEPLENHSIPVIYGAFKPTAVFVPLTEILKSGNFELVTKEIFGPFQVVTEYSEDQLELVLEACERMNAHLTAALVSNDPLFLQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDIGPVPKNWALPSAT* >Brasy8G165100.1.p pacid=40080754 transcript=Brasy8G165100.1 locus=Brasy8G165100 ID=Brasy8G165100.1.v1.1 annot-version=v1.1 MSEQKDQSAIGGRSFSMPESGLAARTSNASTKELLWHDKPRRRLPVVLGTDNEEVRKITKKHGIARETNSMNVQNKRIKLMVAEHEERSHANSETTHGDLFHESSGSNASDGDADSRTVLVTNDVVTTKEELYMNFMKWDPVLEINR* >Brasy8G025100.1.p pacid=40080755 transcript=Brasy8G025100.1 locus=Brasy8G025100 ID=Brasy8G025100.1.v1.1 annot-version=v1.1 MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANIHFVEAVALKPPEIVLDLAMQQQHEAELAAAAAQPLPDDDDDLVE* >Brasy8G268600.1.p pacid=40080756 transcript=Brasy8G268600.1 locus=Brasy8G268600 ID=Brasy8G268600.1.v1.1 annot-version=v1.1 MGKKGKWFGAVKKVFSPESKEKKEEKLRRKFAASDSNPPDLTPSTSLEVNVSAVPPPPALPSSHQTEEVNVPEAEPEQEQSKHVTVEAAPAAPAQASSSVLPPGEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELDSLRMGEHWDDSTQSKEKIEASLVSRQEAAVRRERALAYAFSHQWKSSSRSSNPMFVDPNNPHWGWSWLERWMAAKPWEGRTGTDKESNIDRGSVKSISLNLGEGEITKAFNRRGSSKPDKSSPTTPKLTRPASRLSPSTPSAKVTPIIVKKKSATPKNGLSQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAATQSARAKSRLQGSPLTESAEIPEKVVSVGSAKKRLSFPAGSASPVPTRRHSGPPKVENLVKDIAETPQPAALAVNGSNK* >Brasy8G279800.1.p pacid=40080757 transcript=Brasy8G279800.1 locus=Brasy8G279800 ID=Brasy8G279800.1.v1.1 annot-version=v1.1 MAGLRIDQPAPLAARRRRPPPSSRPRWPLPAPPPPPATSPVLLFSLQLLCSAPMSSPEPPGPNSAGRAAARRARPPAAIPTPAPPLALARPNLESDPDSMAATASSSSCSRAGWEMVSASRSGSARVAQRGGGAMPGSPQVSAPAAAAQRRWWAASGPSLESTLLLLDPDSSRAATSAEASSRLVEASFLSRAVAAG* >Brasy8G180200.1.p pacid=40080758 transcript=Brasy8G180200.1 locus=Brasy8G180200 ID=Brasy8G180200.1.v1.1 annot-version=v1.1 MDVLLLEKALLALFAAAVLAIAVAKLTGKRFRLPPGPSGAPIVGNWLQVGDDLNHRNLMGMAKRFGEVFLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVRADPASAAGGVVIRRRLQLMMYNDMFRIMFDRRFASVDDPLFNKLKALNAERSILSQSFDYNYGDFIPVLRPFLRRYLNRCHTLKSKRMKVFEDHFVQERKEALEKTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWSIAELVNHPEIQAKVREEITAVLGPDAAVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLSDAKLAGYDIPAESKILVNAWFLANDPKRWVRPDEFRPERFMEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFTLLPPPGQDKIDTTEKPGQFSNQILKHATVVCKPLQA* >Brasy8G264400.1.p pacid=40080759 transcript=Brasy8G264400.1 locus=Brasy8G264400 ID=Brasy8G264400.1.v1.1 annot-version=v1.1 MAATAMVTAARLLPTQFSAPWMRHGCVHAAALRPWPGRALALLTVVTASRDGGERGKRRSRRRTRRATGSDQEEGVSLSSEMEAKSSTPRAPIDGDSIKLAPEASITPKDSAIRRVTLVVLAAVLFGVSIALKDGVEKASEYFAGYLLEQSLSVDNLFVFILVFKYFKVPQECQNRVLSYGIAGAVIFRAVLIILGVATIQSFEAVNLFFALILLFSSYKLFAGNDEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTNQDDLWKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMSELEYLQPAVGVVLGFIGTKMIFDFCGYHIPTEASLAIVTTCLSGGVILSLRKASAEEHGK* >Brasy8G264400.2.p pacid=40080760 transcript=Brasy8G264400.2 locus=Brasy8G264400 ID=Brasy8G264400.2.v1.1 annot-version=v1.1 MAATAMVTAARLLPTQFSAPWMRHGCVHAAALRPWPGRALALLTVVTASRDGGERGKRRSRRRTRRATGSDQEEGVSLSSEMEAKSSTPRAPIDGDSIKLAPEASITPKDSAIRRVTLVVLAAVLFGVSIALKDGVEKASEYFAGYLLEQSLSVDNLFVFILVFKYFKVPQECQNRVLSYGIAGAVIFRAVLIILGVATIQSFEAVNLFFALILLFSSYKLFAGNDEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTNQDDLWKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMSELEYLQPAVGVVLGFIGTKMIFDFCGYHIPTEASLAIVTTCLSGGVILSLRKASAEEHGK* >Brasy8G264400.3.p pacid=40080761 transcript=Brasy8G264400.3 locus=Brasy8G264400 ID=Brasy8G264400.3.v1.1 annot-version=v1.1 MGLRRHQNILQGVCYASRLIFDIQARLQNHWSYLLEQSLSVDNLFVFILVFKYFKVPQECQNRVLSYGIAGAVIFRAVLIILGVATIQSFEAVNLFFALILLFSSYKLFAGNDEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTNQDDLWKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMSELEYLQPAVGVVLGFIGTKMIFDFCGYHIPTEASLAIVTTCLSGGVILSLRKASAEEHGK* >Brasy8G264400.4.p pacid=40080762 transcript=Brasy8G264400.4 locus=Brasy8G264400 ID=Brasy8G264400.4.v1.1 annot-version=v1.1 MGLRRHQNILQGVCYASRLIFDIQARLQNHWSYLLEQSLSVDNLFVFILVFKYFKVPQECQNRVLSYGIAGAVIFRAVLIILGVATIQSFEAVNLFFALILLFSSYKLFAGNDEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTNQDDLWKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMSELEYLQPAVGVVLGFIGTKMIFDFCGYHIPTEASLAIVTTCLSGGVILSLRKASAEEHGK* >Brasy8G211100.1.p pacid=40080763 transcript=Brasy8G211100.1 locus=Brasy8G211100 ID=Brasy8G211100.1.v1.1 annot-version=v1.1 MAMARRRVVALASLLLQRRRLPAPRFLSSAAAGALDRLNSPPFARPAAPRNPAPPSPWDRLGGQRRTMFIQTQSTPNPQSLMFHPGKPVMEVGSSDFPNVRTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSDETSWDYLKPEIFAAIMDFYSSGQPLFLDSNTAAAMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQVE* >Brasy8G211100.2.p pacid=40080764 transcript=Brasy8G211100.2 locus=Brasy8G211100 ID=Brasy8G211100.2.v1.1 annot-version=v1.1 MRSCGDQQCSAILFTSDYALHFELKWTPFCDRPNCSCAHVLLVAKMVSWTGQRRTMFIQTQSTPNPQSLMFHPGKPVMEVGSSDFPNVRTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSDETSWDYLKPEIFAAIMDFYSSGQPLFLDSNTAAAMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQVE* >Brasy8G256700.1.p pacid=40080765 transcript=Brasy8G256700.1 locus=Brasy8G256700 ID=Brasy8G256700.1.v1.1 annot-version=v1.1 MDSGSDDPESDNKSGYQRLGWKGFHANSFKEAVQQITSYLEDTREPNQVIYFDGWDGLGASSFLTSVAENPPPSLKSRYDSIIHIDCSRWENRRALQRTIAEKLRFPQHVMDIFGRQDEDDDFGGVAQDSRLEIRDVGRQIHQALQGQSCLVIFHNGGNTMVDFNNLGIPRAAADEWSNVVSKVLWTFRGRLRVIPGLEQRAQGVDSSHISLFANFYDTVSMSTAKKTVHWDTMLPLEAREINEYMNKDGITPGIAEACCGYLLFLESNGVDTLDYNWATHAANYWVCDGIIQECQQDKAWELATALHEEMRLDNFSSSRWPYFSANRLPTIEDFFFCGDLLRIIQDYRTRWIVATNSGSEEIVPTENPKATSYFLANERGHNHPTASLPNNMFQRSLQLRVLKLCGCIFSFSSPPFGHCHNLRFLGLDNCKNQPHVPDEYKEEEKEKGKDRPTMEFFQSLWVLDICCTDWELTLPPNIMEQMATNIREINIKRGRIWHNDPAWTWRHLHNIHKLRLIEPTCPWETGSMNEFIGMVKLEFLDLSGNSTIRVLPGLSGATVLRTLVLDGCTRVEHVTPESLPPLLETFSFVAGAGKDCDKEAKISCISMAGCARLVNFRLGGLLPKLELLDLSNTSVKSVDLEDEVVQVPRLQRVILLGCERLRSVLWPEKGVPKLMVLCIDTRGVGGGEAFMKTTHDYLSSQEQEQYCCARVAIMDMRFLQSLVLRIGNEFCWNTDRFNLNLCMSCSSKDDGQNYNKDKIGLYSTKQFDESPPHKSSMLKTHCNYTDVNFDKATSDDQEGSSSWQFQPLDLHVDIGEGICNTNVVTAQAIMAVKFLMSRVKSLVVHDNSSLSIVVPERMIPLVWGWKRDTINWPCLKWCRVERCLKLDTVFILDDNISSFSELETFWAAELLMARCIWSFRIGIAYSLSDKTFEKLRVIHLQFCPRLTSVLVLSWKTLPSLERLHIVCCGDLSQVFPLNKYFLRDICSRKRGVLQFPKLKHIYLHELPKLHQICEAKMFAPELKTIWVRGCWSLKRLPATDNVPHGRPVVDCEKDWWDKLEWDGKESLHHPSLFEPRHSKYYKKTLLRGSVLR* >Brasy8G062100.1.p pacid=40080766 transcript=Brasy8G062100.1 locus=Brasy8G062100 ID=Brasy8G062100.1.v1.1 annot-version=v1.1 MAMPWARLLACAVAALLLLGDNVESGSRHHGRSTKSPLRRPGKKQQAAAPAKKRTETREAEAIERGFTSWVRHMGGPEHSAFLRALRLNVDVPARGGASFLSPVRTLVVDKNPGAGNFTSIQAAVDSLPLINLARVVIRVNPGTYTEKVNISPMRGFVTVEGAGAEKTVVQWGDTADTAGGPWGRRSPLGTFASATFAVNAQFFVAKNITFRNTAPVPRPGALGKQGVALRISADSAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAISPRYGALTAQGRTSLLDDTGFSFLNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVDWSRELTDDEAKPFISLSFIDGLEWLRL* >Brasy8G148700.1.p pacid=40080767 transcript=Brasy8G148700.1 locus=Brasy8G148700 ID=Brasy8G148700.1.v1.1 annot-version=v1.1 MEDLLNTEIGKHDYDWLLTPPGTPRFPALEVAEKVPPSNVSKHTTTRSSSTTRASRLSVYETENRHSIVSTRPARCNSRPSIQSAPLSSNNRSSVLNASISSVSSRPTTPSKRIVTVSSSKPSVVALHPVAARSSTPVKTRPATPVKTRPSTPVKTRPATPVKTCPSTPDKTRPSVSNSMPNSTAVKATSAQNSRSSTPTSRPRSFSSSSSSTAPAVSRPSSSSGTIPAICRSSSSSKAASVTRSSSRSSTPTRQPVMRSSAPPIARSPSVGRISGSNNLTSSGRSVASRGRISAPSSAPSSRPSSPNPRLRAPVKTLDVPHFPSDTPPNLRTKIPDRPISAGRARPGIGLGARSAPNAEPVTSAPVKKMSVPAITRSKFSDAPSKAPSLISGNQNRQAERSVMDSQPARPSRSATSAENGFGRTISRKSIDMAIRHMDIRQNLGGIRGASLFPHSIRSSTAKGRPTRRSDPGHHISNGDHGAYTDNGSTNGHFSGDSNGALSYYGGSSTDSPDRESIGAKETLSEVDIYGSSRYEEMLLREDTKNTDWLHSVDDKFDQSPVFDHRFEPLPEPFGPM* >Brasy8G131200.1.p pacid=40080768 transcript=Brasy8G131200.1 locus=Brasy8G131200 ID=Brasy8G131200.1.v1.1 annot-version=v1.1 MGCRSSRLDAADVSPVAALCRERRDLLRAAGDRRAALAAAHAAYFRALPRVADALARFAHEHHAASPPGSPVLTLPPSDPDDEHDPATTKKRSASTTPHTDSGHSHLHFRSDSSDSDPDYSHDACSCCDAPGRGEIPPPPAQEQRRFPDPEPGGGAAARPPPEMPWGEYASYPSSFPNVAFPNYYYMKASSAPANTVYQEPYGYSSFAAANVPPYMGYEYGYSNPMYGVPVAPPEGVDRGQEAAAAAAAPAPPPPMPMTEASPWDFFNPFDSYEQELPRYDGKGYALNGSFSSSPNSSEVREREGIPELEEETELESMRESAKARKAVESTASNRIDNVDVSAKVKVSMEHKECEIESLDSSSVLGSGEESVCSCDCDNAHAGKDRAVPIGDDPGKVKRVSSEEHSSIVVGENVQPPSFGTRDVAEVVDEIKEQFNSVVACGEDVARILEVGSIRYRSRNRILKLILSRMMGTFAMLFSSISEPPVKNMEQAAISASKRIDSASDVEINTLSSIMDRLYVWERRLHKEITEEERLRITYDKEWKRLKELDETGAEPYKIDSTRASIRTLLTRINVAIRSAKVISRRIHILRDDELHPHLVKLIQGHVRMWKFILECHRKQFHAILETKSHILIPKNGPERNSSKVTLELEMELLNWCSCFRNWIISQKAYIETLNGWLVKWLPQEKEETSDGIAPFSPGRLGAPGVFITANDWCQTMKRIPEGAVVDAMEAFAVNVHILWERQDEEQQQQMKADYLSRDFSKRLKSLHKDHGLPGHPEAGKSVLPNADNGRAVDNRMVALDALHTRLDEQRARHEETVNQIQESSATDLKAGLAPIFEALESFTQETLKGYENVRIPIDGGRV* >Brasy8G126200.1.p pacid=40080769 transcript=Brasy8G126200.1 locus=Brasy8G126200 ID=Brasy8G126200.1.v1.1 annot-version=v1.1 MAAAAAQGAGAGPLYKDASAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALAELAAGSVLNAGGSAPRDRASPADWAHMVDDMQRLALSSRLAVPILYGTDAVHGHNNVFGATVFPHNVGLGASRDAELVRKIGEVTALEVRATGIHWAFAPCVAVSRDPRWGRCYESYSEDPETVRSLTTIVTGLQGQPPGDHPHGYPFLASVRENVLACPKHFVGDGGTDKGINEGNAICSLQDLEAIHMRPYPDCITQGAATVMASYSHWNGEPLHSSHYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCLAQSVNAGMDMIMIPHRFEKFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDIIGCKEHRLLAREAVRKSLVLLKNGKNQKETFLPLPKNGKRILVAGTHADNIGYQCGGWTIAWHGNSGRITLGTSILEAIQESVGVETEVVHEECPTEATIETGEFAYAVVVVGEVPYAEGLGDRSDLSIPFNGSDLITRVASKVPTLVIVISGRPLVIEPQVLEKVDALVAAWLPGSEGMGITDCLFGDYDFVGALPVTWFRSADQLPINVGGANYDPLFPFGYGLKIFKSDVDLAQSHH* >Brasy8G038900.1.p pacid=40080770 transcript=Brasy8G038900.1 locus=Brasy8G038900 ID=Brasy8G038900.1.v1.1 annot-version=v1.1 MSAAQGLALKLRAAPASGHGRRAPRGRNFAAAACGPASRKRYGSGGGVSLDGAFLRLPAAVARAAPRAPRASSSGSAAEEGAEAPLPPWSMSKIPESSIGLYDPSFERDSCGVGFIAELSAEYSRKTVVDAIEMLERMSHRGACGCEKNTGDGAGILVALPHEFFRKVVKDAGFELPLPGDYAVGMFFMPRDDQLREKSKLVFREIAEKLGHVVLGWRRVPTDNSDLGKSALDTEPVIEQVFVTKSQRSQAEFEQQMYILRRFSIVSIREALGAQQGQKNFYMCSLSSRTIVYKGQLMPRQLSGYFYADLGDESFTSYMALVHSRFCTNTFPSWDRAQPMRLLGHNGEINTLRGNKNWMKAREGLLKCKGFGLSRDEMSKLLPIVDSTSSDSGAFDNVLELLVQSGRSVAEAIMMMIPEAWQNNVDVDPERKALYEFFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYETYSGRVIMASEVGVVDVLPEDVMTKGRLNPGMMLLIDFEKHCVVDDDALKKQYAKAHPYGEWLKTQKIELRDIIESVSETERIAPMISGALQEPVGVNGILAPLKAFGYTLETLDMLLLPMAKDGAEALGSMGNDTPLAVMSNREKLTAEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLSETTERQCHRLTLKSPLLHIDEFEAIKNMNYRGWRSKVLDITYPKKYGKKGLEQTLDKICARAREAIQEGYKILIISDRGFSPDHVAVSSLLAVGAVHQNLVSNHERTRVGLLVESAEPHEVHHFCTLIGYGADAICPYLAIEVICRLQIDGRIPCTDGEQPYTREQLAQKYFNASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVISKCFEGTPSKVEGAKFDMLANDALRLHDLAFPSRSWPHGSAEANALPNPGNYHWRKNGEVHLNDPLSIAKLQEAARINSKEAYKEYSRRIQDNNKACTLRGMLKFRETRDRISLDEVEPATEIMKRFCTGAMSYGSISLEAHTTLAEAMNILGGKSNTGEGGELPSRMELLADGSMNPRISAIKQVASGRFGVSIYYLTNAIEIQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLSQLIHDLKNANPGARISVKLVSEAGVGVVASGVVKAHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAIACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFSGKPEHVINYFFMLAEEVREIMSRLGFRTVNEMVGQADMLEVDPEVLKGNEKLENIDLSQILKPTAKISPGAAQYCVEKQDHGLDRALDNKLIALSRVALEKGSRVFIETSVRNTNRTVGAMLSHEVTKRYHMHGLPSDTIHVKLNGSAGQSFGAFLCPGITLNLEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAEAVVEGIGDHGCEYMTGGIVVILGKTGRNFGAGMSGGIAYVYDVDGKFSSRCNHELVELYGVVEEEDITTLRMMIEQHRLNTESDLAKYILCNFEDQLPKFVKVFPRDYKRVLDNLKVGKAAKGAEEKTRKVLMDKKAGEASNGSSVVTKQMNDRKPSGRPTQVSNAKKEQGFVKYEREEVSCRDPNERIKDWDELVTELVPGQLLRTQSARCMGCGTPFCHQESSGAGCPLGNKIPEFNELVHEGRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKTIECAIIDKGFEEGWMVPRPPVHRTGKEVAIVGSGPAGMAAADQLNKMGHSVTVFERSDRIGGLMMYGVPNMKADKTRVVQRRVNLMTEEGITFVVNAHVGRDPSYSIEQLRSKNNAVILACGATQPRDLPIPGRELSGIHVAMEFLHANTKSLLDNKLEDGNYISAQGKKVVVIGGGDTGTDCIGTSIRHGCSKLVNLELLPEPPTKRAPDNNWPKFPRIFRLDYGHQEAVSKFGKDPRTYQVLAKRFIGDEDGKVKAVEVVHVEWEKVDGRLQFKEVEGSEETIEADLVLLALGFLGPEATLADKLGLEQDERSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGREAAAAVDKYLSRDETKKNDSDDIAASSTGLLQAVAA* >Brasy8G038900.2.p pacid=40080771 transcript=Brasy8G038900.2 locus=Brasy8G038900 ID=Brasy8G038900.2.v1.1 annot-version=v1.1 MSAAQGLALKLRAAPASGHGRRAPRGRNFAAAACGPASRKRYGSGGGVSLDGAFLRLPAAVARAAPRAPRASSSGSAAEEGAEAPLPPWSMSKIPESSIGLYDPSFERDSCGVGFIAELSAEYSRKTVVDAIEMLERMSHRGACGCEKNTGDGAGILVALPHEFFRKVVKDAGFELPLPGDYAVGMFFMPRDDQLREKSKLVFREIAEKLGHVVLGWRRVPTDNSDLGKSALDTEPVIEQVFVTKSQRSQAEFEQQMYILRRFSIVSIREALGAQQGQKNFYMCSLSSRTIVYKGQLMPRQLSGYFYADLGDESFTSYMALVHSRFCTNTFPSWDRAQPMRLLGHNGEINTLRGNKNWMKAREGLLKCKGFGLSRDEMSKLLPIVDSTSSDSGAFDNVLELLVQSGRSVAEAIMMMIPEAWQNNVDVDPERKALYEFFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYETYSGRVIMASEVGVVDVLPEDVMTKGRLNPGMMLLIDFEKHCVVDDDALKKQYAKAHPYGEWLKTQKIELRDIIESVSETERIAPMISGALQEPVGVNGILAPLKAFGYTLETLDMLLLPMAKDGAEALGSMGNDTPLAVMSNREKLTAEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLSETTERQCHRLTLKSPLLHIDEFEAIKNMNYRGWRSKVLDITYPKKYGKKGLEQTLDKICARAREAIQEGYKILIISDRGFSPDHVAVSSLLAVGAVHQNLVSNHERTRVGLLVESAEPHEVHHFCTLIGYGADAICPYLAIEVICRLQIDGRIPCTDGEQPYTREQLAQKYFNASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVISKCFEGTPSKVEGAKFDMLANDALRLHDLAFPSRSWPHGSAEANALPNPGNYHWRKNGEVHLNDPLSIAKLQEAARINSKEAYKEYSRRIQDNNKACTLRGMLKFRETRDRISLDEVEPATEIMKRFCTGAMSYGSISLEAHTTLAEAMNILGGKSNTGEGGELPSRMELLADGSMNPRISAIKQVASGRFGVSIYYLTNAIEIQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLSQLIHDLKNANPGARISVKLVSEAGVGVVASGVVKAHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAIACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFSGKPEHVINYFFMLAEEVREIMSRLGFRTVNEMVGQADMLEVDPEVLKGNEKLENIDLSQILKPTAKISPGAAQYCVEKQDHGLDRALDNKLIALSRVALEKGSRVFIETSVRNTNRTVGAMLSHEVTKRYHMHGLPSDTIHVKLNGSAGQSFGAFLCPGITLNLEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAEAVVEGIGDHGCEYMTGGIVVILGKTGRNFGAGMSGGIAYVYDVDGKFSSRCNHELVELYGVVEEEDITTLRMMIEQHRLNTESDLAKYILCNFEDQLPKFVKVFPRDYKRVLDNLKVGKAAKGAEEKTRKVLMDKKAGEASNGSSVVTKQMNDRKPSGRPTQVSNAKKEQGFVKYEREEVSCRDPNERIKDWDELVTELVPGQLLRTQSARCMGCGTPFCHQS* >Brasy8G100800.1.p pacid=40080772 transcript=Brasy8G100800.1 locus=Brasy8G100800 ID=Brasy8G100800.1.v1.1 annot-version=v1.1 MCGLAFFLWSSPHFAGRSAGEDGTGILLVPVCRTPRARHRRRPLPFSTRAQSAVATSVLHRRALAVVAAPAATSYAVATQPTPSAPPIRISGLRRRRPFCSLAAEHSSRGARGGW* >Brasy8G019100.1.p pacid=40080773 transcript=Brasy8G019100.1 locus=Brasy8G019100 ID=Brasy8G019100.1.v1.1 annot-version=v1.1 MHMQVLRLLAARRAVPAITSAADACCCHESLQEQHYDDEGPFFDLDFRASSVRASSAGSGSDSDESCDFVISLQRSRSSSALPPFQEPKRGKLVGLRTLSFGARPKAAHFPRRRSSCSSSSSSSSARSSSLTLRLFMETPDHTEEDDAVESPRSRRAAPSGDAIIRRCLSSISRRLTRFRTVDGARRLRKCRSAPAPAPASRSDDSALQTQDGIAGAIAHCKESLHRAA* >Brasy8G163500.1.p pacid=40080774 transcript=Brasy8G163500.1 locus=Brasy8G163500 ID=Brasy8G163500.1.v1.1 annot-version=v1.1 MGGLAELWDVWGAEFLLLSSLLLQVLLLIFTELRRCVSGALLSAALNGILWLLYLLADSVAIYILGHMSLSSKPHEQQQIMAFWASLLMVHLGGQDTITAYAMEDNNLWLRHLFILLVQAAGAAYVLRKYGSGVLLAAAILMFLVGVAKYGERIYALKFASLDTIGKFLNGFEVLSRDISYPVPVSLDAQEVLQGAHDLLPLFMGQFLHFHINLSDFQITAIFAYHDRTNPDGSIGRSMLLQLLGMQLSLMRDILYTKTVVIHTRYGCVSRFVSVVSTIIAFYLFQLSIMTAGRYSRRDIVVTYILLAGAFFLEAASLCVMVAHNCRRWSGSIGQQDLLPFTRGKTGVCYAIASCFGLEKRWNRLRFTDYVVISHDMEDLLLQEVQRMVEACGENVHTLWGYRGQLTRGTWGAEVYDLLGIDEIGFDGSILAWHYASDAFLHFFDLCMLDRDCIQSESEDLARVPHMKSSVLGMLPEERELQKPVAELIRTRPHLLPSPVRRGHYDFFVARFKEFPGIEPGNNVPYDIRPEVELAKKLLARCEEKKEPVAKVLGVISGVWVEMLCYAAGHCSSDSHGRQLSSGTEFITVVWILTTALYKRFHYNDRQVSRREQRRTWLQYPVKY* >Brasy8G057700.1.p pacid=40080775 transcript=Brasy8G057700.1 locus=Brasy8G057700 ID=Brasy8G057700.1.v1.1 annot-version=v1.1 MTRQTVVLNPGMGVGHLVPMVELGKLFLRHGLAVTVVVNAPPANKSTDTSAAVARAAAANPSMHFQVLLPPPDAIPVLTAIAADSEPPNPFVLLRLMNAPLRDYLRSVLPTVRALVLDMFCFCADAVDVAAELGVPAYAFYTGSASSLAVNLHLPHMQAQIGDATGFGEIGDEPLCFPGNRPFRPRELPSLALDRGNEVYKHFLHAFQRIPEASRGIVVNTFEWLESKALRALRAGDCVPGGRTPPVYCVGPMVSGGGEEKTKKKRQEHECLGWLDAQPEKSVVFLCFGSMGSFPKAQLQEIAAGLEKSGQRFLWVVQSQRNDGGPDLLADALAEPDLEALLPEGFLQRTAGRGFVAKSWAPQAEVLRHRATGAFVTHCGWNSTLEGITAGLPLVCWPLYAEQKQNKVFVVEEMGAGVEVAGYDEEVVKAAEVEEKVRWVMESEAAQALRERAMAAKVKAYEAVDEGGASRTAFAEFLSDF* >Brasy8G129400.1.p pacid=40080776 transcript=Brasy8G129400.1 locus=Brasy8G129400 ID=Brasy8G129400.1.v1.1 annot-version=v1.1 MRCSRVQQLGRRGLGVAGSIAVRSAGGGEDDPLSGVLGACGVDSVWCSMREGAKKILGDAQRSRAGRNSWTSSLYLGRHRLWERKEKEQRARERRGFGRGKEGEREWIRRRREGRGGAVRGDSGGARLADGTANWTGNYGATGEEGQGCWWVADGQAPSPGAPAPRVAAHEHLAGRQARPSAPSGRPPTPPSTPTVPRWLLDVPSCNDEGGVQNKRLLQNSVVIPMLFLAGDTSMENIDAGNQLLCTVLCR* >Brasy8G256900.1.p pacid=40080777 transcript=Brasy8G256900.1 locus=Brasy8G256900 ID=Brasy8G256900.1.v1.1 annot-version=v1.1 MATKTKTRASPAAMAALCVLLALTLSTMPGKAAASKFCECYRPCYTECRKTVSWGWRFTCFGRCLNDCSPHKLDNAGGDQCTRACSVDGVEEGICNSLEPAGPAPDRDATAACVRGCNRWRHHMNK* >Brasy8G244800.1.p pacid=40080778 transcript=Brasy8G244800.1 locus=Brasy8G244800 ID=Brasy8G244800.1.v1.1 annot-version=v1.1 MSALFNFNSFLIVVLLVICTCTYIKMQFPAILNDRTGFRGFFWKAARIGERLSPWVAFGCFAMGVSTIFL* >Brasy8G264200.1.p pacid=40080779 transcript=Brasy8G264200.1 locus=Brasy8G264200 ID=Brasy8G264200.1.v1.1 annot-version=v1.1 MRTSPMAARAASGCCCCSTAVALAFSRLRPLLGRAAVRQGPAAAATGGRFALSTISRGCLVDSVLEELRSSRRAARVSARIGLHGTKQLSDIKIDKRTLQKGWLLEFHKDSERSLLAVVERPDGKKNWVVTDQNGILSSIKPQQVTYVVPGTMNFDSSKIAEFLEKAQDLLDPTVLECAWMELSEKGKSVTVDEFADIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSMYQPRPSAQVDELLRRKLAKEAAEKELEEFVHLLKSAKALPLDSKPPKNSWLMEDKVKQKMESLQAYAVDACDDEQRRMAGNILKAMGFSRTSSAALKLLINVGYFPVHVNLDLFRYDVQTSYSEKVLSVADELLADCPDSDKHIRNDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCFLQPRSIIDREAMHRGTSIFLPTATYPMFPERLAMNAMSLQQGTDCRSVSVSVILNPDGSIAEYTIENSVIKPTYMLTYESATELMYMNLEEEEELRILREAASIRAQWRCSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQTNPAMQLVSEMMILCGEAVASFGSDNNLPLPYRGHPQNNTAVSAFAYLPEGPARSFASIGVLRAAEMDFRKPVAHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGESPPYSAGDLEGMTFIASMHVKVARKLHSNSLRYWLLEYLRRQPKGRKFKALILKFIKDRMATLLLVEVGIQATTVVAAGKVGDEVSVVVEMAHPRDDILSVTEIAEDTE* >Brasy8G264200.2.p pacid=40080780 transcript=Brasy8G264200.2 locus=Brasy8G264200 ID=Brasy8G264200.2.v1.1 annot-version=v1.1 MNFDSSKIAEFLEKAQDLLDPTVLECAWMELSEKGKSVTVDEFADIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSMYQPRPSAQVDELLRRKLAKEAAEKELEEFVHLLKSAKALPLDSKPPKNSWLMEDKVKQKMESLQAYAVDACDDEQRRMAGNILKAMGFSRTSSAALKLLINVGYFPVHVNLDLFRYDVQTSYSEKVLSVADELLADCPDSDKHIRNDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCFLQPRSIIDREAMHRGTSIFLPTATYPMFPERLAMNAMSLQQGTDCRSVSVSVILNPDGSIAEYTIENSVIKPTYMLTYESATELMYMNLEEEEELRILREAASIRAQWRCSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQTNPAMQLVSEMMILCGEAVASFGSDNNLPLPYRGHPQNNTAVSAFAYLPEGPARSFASIGVLRAAEMDFRKPVAHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGESPPYSAGDLEGMTFIASMHVKVARKLHSNSLRYWLLEYLRRQPKGRKFKALILKFIKDRMATLLLVEVGIQATTVVAAGKVGDEVSVVVEMAHPRDDILSVTEIAEDTE* >Brasy8G025200.1.p pacid=40080781 transcript=Brasy8G025200.1 locus=Brasy8G025200 ID=Brasy8G025200.1.v1.1 annot-version=v1.1 MRSSLLRSASQHLRRRGYASAAGGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAATPGVAADVSHINSPALVKGFVGDDQLAEALEGADVVIIPAGVPRKPGMTRDDLFKINAGIVKGLCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTGVNVPVVGGHAGITILPLFSQATPSSNALSHEDLKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIIECSFVQSTVTELPFFASKVRLGKNGVEEVIGLGELSAFEKEGLDSLKGELMSSIEKGIKFAQEN* >Brasy8G165400.1.p pacid=40080782 transcript=Brasy8G165400.1 locus=Brasy8G165400 ID=Brasy8G165400.1.v1.1 annot-version=v1.1 MMDAAVPTCLLRCEGFQRHEDRCPKIYITPRLLYSAAGVCPIDDETAAAKHNITNGGLLSENKLQNVLGYDDFLPLEEDPIDELGLGVVERVGPAEYIKRLMLIRSALGLASTELGIETNLTMLPESSRDCRHSGDLYWHGYYEMSKIGPTVLPSMRYTNCTFSTELRCFHKPRPMLQVFGIKLKSYLEDVGKPLEVYGFVAVRDAEDYRRNYLFNRPRDNPVIVNQTSDYLTLMSPTRGISMTIDCLVEIDLRVKALIEDVTLVDGCVDFVESCGVYDVELEGTLSGTNATAILDLIVFEKALEATIELDFTEVPTRGFELKMCGYTAASKNLYSFVGERCDCNGFICSTGKHLQYFVAAVPFGDTLFVDFMEGKLSVPFRATSMHGSQEKEYRFYNGATVNVKVSWSTSYY* >Brasy8G281100.1.p pacid=40080783 transcript=Brasy8G281100.1 locus=Brasy8G281100 ID=Brasy8G281100.1.v1.1 annot-version=v1.1 MAKGSLLVLVALAAVLVAAQLKPAVAGVDGRYTQAMQEKVRELVKAKIKDAPGLIRMLFHDCWVTGCDASVLLNMAQGQNEIHAVQNGGLRGMDVVQDVKDALNADSYETGVNVSCADAIVFAAREATILLSRYAIAYDVDGPGRKDSDTSVKAHAAALPGPFDNFTQLVETYRKRNFSPTELVVLSGAHAIGRAHRGTFEPRLNKAVSAPPRSEINPEYQTKVDEKSAASPDKEVANNVRDMMGPFGALSIGYKPSTGFFGFLTSKDVLDNSYYTANNQNMVLFNSDWVLRTNLAAAKEMLDYEHNPALWYRLFGDAMARLSKIGPDAGATFVKGGARDRCDKISY* >Brasy8G166400.1.p pacid=40080784 transcript=Brasy8G166400.1 locus=Brasy8G166400 ID=Brasy8G166400.1.v1.1 annot-version=v1.1 MDLKLHVIETITNDFAEDQKVGSGGYGDVYKAVYKGEEIAVKKLHPLQGPDDKEFDNEFRNLTRVSHQNVVRLIGYCYEPGHKYVQHNGNPIWAQTMERILCFEYMQGGSLDKYIEDESCGLDWPACYDIIRGTCEGLNHLHNAQEKPIFHLDLKPANILLDRSMRPKLADLGLSRVVASSQTHQTEILKGTHGYMPPEYIDNGSISNKFDVFSLGVIILKMMAGKTGYSCYSEMSPKQFIELVRGNWTKRWQPIQGMYSSDEIDILRVSTCVEIALRCVEKDRNKRPSIKIIVHELEELEAKITKMSQPSVLSKDLTVQKSRDTNALSVDPTLELRFLFEPRKDISCCLQLTNKTDGFIAFNIKINRSKYRVTPSNGTMPPCSKRYIAVTLRAQDAAPPNMRCHDMLLVQSTGVSQDLALDIDYQEQIQRAMADKVVDVVKLPIVYVLLEQICSQASPLLKDGID* >Brasy8G273300.1.p pacid=40080785 transcript=Brasy8G273300.1 locus=Brasy8G273300 ID=Brasy8G273300.1.v1.1 annot-version=v1.1 MAELSRASDRIIVGVCGSFCSNNDTKQDDQCNGMGCCRARISTYNNSMPSQFNYKLKWFNKGDAAPDGEKSAPINILIAEEGRFNQGRLSSKLPSDPANIPILLQWEVLRGFPPRPPANVVKSSLSDCPREVSDRLCRSKHSYCKRGSRGGYTCHCSKGYDFEADANPYDYEGCKGRHNFSTTGKYIIIGVVIGAGVIFALFTASSVSKKLKHRRAQILKRQFFENNRGQLLRQLVSQRADIAVRMIITLEEIEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHTGESRSLSWDDRLRIAVETAKSLAYLHSTASVPIIHRDVKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQGTIGYLDPMYFYTQRLTEKSDVYSFGVILVELLTRKKPSSYMSPEGDGLVAQFATLFAEGNLSQILDPQVVDEGGKELEAISTLAVTCVKLRGEDRPAMRQVELTLEAVRASNQDVLANTGDEEFEDNGIALNCPQADDAISTEESTRQFSMEEELLLSSRYPR* >Brasy8G273300.2.p pacid=40080786 transcript=Brasy8G273300.2 locus=Brasy8G273300 ID=Brasy8G273300.2.v1.1 annot-version=v1.1 MGCCRARISTYNNSMPSQFNYKLKWFNKGDAAPDGEKSAPINILIAEEGRFNQGRLSSKLPSDPANIPILLQWEVLRGFPPRPPANVVKSSLSDCPREVSDRLCRSKHSYCKRGSRGGYTCHCSKGYDFEADANPYDYEGCKGRHNFSTTGKYIIIGVVIGAGVIFALFTASSVSKKLKHRRAQILKRQFFENNRGQLLRQLVSQRADIAVRMIITLEEIEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHTGESRSLSWDDRLRIAVETAKSLAYLHSTASVPIIHRDVKSVNILLDDTLTAKVADFGASRYVPVDRSGVTTMVQGTIGYLDPMYFYTQRLTEKSDVYSFGVILVELLTRKKPSSYMSPEGDGLVAQFATLFAEGNLSQILDPQVVDEGGKELEAISTLAVTCVKLRGEDRPAMRQVELTLEAVRASNQDVLANTGDEEFEDNGIALNCPQADDAISTEESTRQFSMEEELLLSSRYPR* >Brasy8G077600.1.p pacid=40080787 transcript=Brasy8G077600.1 locus=Brasy8G077600 ID=Brasy8G077600.1.v1.1 annot-version=v1.1 MSSPPHEPAAVLHPGISFTPEDDELITRCLRLKAAGEPLPPPCAQFVHDADVYAADPAKLVADFIPASARTGESKAWYFFCYPKAKSSRGSRKSRTVGEGEGTWHSEYRKDVVDGEGLVVGYRRSFSHETSGGEKSGWLMMEFGFCDDDNQENQEVSPVLCKVYQSPRQRRSASKSSSSSRSALKRKAEDKISDTGWSARSRRKLVFPSPAPTANPATPPTMGATETPEDLLPETPALVMAADHTRSLLSCNSYDDDDDDNNNNTTLTPVADESPPEQSVLQAETTSTLDQSFFSPHHTGSEPSCHDTLRPSAEISDGMALLLPWADWASPSCTPYAETSSDPLSCGAYGLPFQC* >Brasy8G217000.1.p pacid=40080788 transcript=Brasy8G217000.1 locus=Brasy8G217000 ID=Brasy8G217000.1.v1.1 annot-version=v1.1 MGLGGARGGTGGGAGGAGSGERWRWILFLSLVSVSFLFSFLFLVISAYSSPARLRLPGLTTARAAAGAGIRRGPDALPCLAYFLIGAKGDGPRLLRLLLAVYHPRNRYVLHLSADASSDERRDLAAGVAAAAPAAVAFDNVAVVGTPTAGTPIGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDDLIHALSYVPREFNFIDHTSDIGQKESEKVQSMIVDAGIYLSGRTNFFRATQKRPIPDAFKFFTGSPWVILNRRFIEYCILGWENLPRILLMYFNNVMLPQEGYFHSVICNSHDFRNSTVNNDLRYKVWEDSPHTEPLFLNMEHYDKMVHSGRPFARRFQQKEPLLNKIDGKLLRRLGHGPVPGAWCSGRKSWFTDPCSQWSDVNVVRPGPQALKLQKYINRTLEEADSGRTSCRR* >Brasy8G243400.1.p pacid=40080789 transcript=Brasy8G243400.1 locus=Brasy8G243400 ID=Brasy8G243400.1.v1.1 annot-version=v1.1 MAPPPRRLLLALFGFLTAAVLATLVAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPIDQLSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNIQTSTASKSLLHVLSSNKVAKDNLVLVSTGRSIYAVSSIDGVILWRKEFTLDDLEIKQVVQSPEDDIVYVVGVAGSSKLALYKLNAKSGEIVKDTQESLPGGLCGETVVGSHDVLVALDETRSAIFIIEFKGLSLSYNKVRVSNFVQDLSGTVKLLPLMSNGIIALQSSSTVYLLKLKGMDGLEVIHSFDRPAAVSDALAITEKDEAFAVVQHVGSQIEFIVKVTSDVSNEVIREKVDIDQHRGSVQKVFLNNYVRTDKSHGFRALIVMEDHSILLVQQGAVVWSREDGLASIVDVMASELPVEKEGVSVANVEHNLFEWLKGHMLKLKGTLMLASVDELAAIQSLRLRSSEKNKMTRDHNGFRKLLIVLTKAGKVMALHTGDGRIIWSNFLPLLRASKSGEIPSALRIYQWQVPHHRVMRENPSILVVGRSGGDSVSPGVLSILDSYSGEELNSQRFDHSVAQIIPLTLKDSSEQRLHLIVDSNSLAHLYPRSPDALNSFIHERSNLYFYSVDIQENAIRGYSLQKSCDLNLEDEYCFSTKELWSIVFPSDAERIAISETRKLNEVVHTQAKISADQDVMYKYLSKNLVFVATVSPKAAGEIGSAAPEEASLVAYLIDSVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRPHRFEIAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYSRLDVMVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSLNPTQQEKEEGIIPLTDSLPIIPQSYVTHSLQVEALRGIVAIPAKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIAALVAALVVTWVWSEKKELRDKWR* >Brasy8G107100.1.p pacid=40080790 transcript=Brasy8G107100.1 locus=Brasy8G107100 ID=Brasy8G107100.1.v1.1 annot-version=v1.1 MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG* >Brasy8G107100.2.p pacid=40080791 transcript=Brasy8G107100.2 locus=Brasy8G107100 ID=Brasy8G107100.2.v1.1 annot-version=v1.1 MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG* >Brasy8G183100.1.p pacid=40080792 transcript=Brasy8G183100.1 locus=Brasy8G183100 ID=Brasy8G183100.1.v1.1 annot-version=v1.1 MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD* >Brasy8G183100.2.p pacid=40080793 transcript=Brasy8G183100.2 locus=Brasy8G183100 ID=Brasy8G183100.2.v1.1 annot-version=v1.1 MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQVNGPLLLL* >Brasy8G183100.3.p pacid=40080794 transcript=Brasy8G183100.3 locus=Brasy8G183100 ID=Brasy8G183100.3.v1.1 annot-version=v1.1 MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQVHFL* >Brasy8G042500.1.p pacid=40080795 transcript=Brasy8G042500.1 locus=Brasy8G042500 ID=Brasy8G042500.1.v1.1 annot-version=v1.1 MESSPPTTPAAATAAATVAEVAASSSQTKDKSLLVGFDLPAAWGYRKHLAFYRPPDSSRVAVGVEPQANSSRSLMEGAASAAVAEECPHGKGYPLRDRRVSRDRVEDARENLYAKLWNKDGGASGSVDGSKFSLELTKQEIMEDFIKMTGRKPPRRAKRRSKNVQHQINALSLGESLSEVNHDRYKVNENGGF* >Brasy8G274600.1.p pacid=40080796 transcript=Brasy8G274600.1 locus=Brasy8G274600 ID=Brasy8G274600.1.v1.1 annot-version=v1.1 MQRRPTSTSRGKRWPRLSVGRCGSSTCVLEPHARSDGGRLEQSTRDQRRSCVEAALPLLPALVDMAGCFDCFFGSFHRL* >Brasy8G116500.1.p pacid=40080797 transcript=Brasy8G116500.1 locus=Brasy8G116500 ID=Brasy8G116500.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy8G236200.1.p pacid=40080798 transcript=Brasy8G236200.1 locus=Brasy8G236200 ID=Brasy8G236200.1.v1.1 annot-version=v1.1 MKVLCSACEAAEARVLCCADEAALCDRCDRDVHAANRLAGKHQRLPLLSPGSATAAPAPPAPPPKCDICQECHAYFFCLEDRALLCRGCDVAVHTANAFVSTHRRFLLTGVQVSLDEQDDDCLPDQPEPSPAPAPPPAPPAKRDKASLYGEGDFSWAAATPDANESLPDWSIVNEQFGSPAPRHAEAASAGASRTPPKRAPAFSGQGGMMDWPLGEFFGGFSNFNAGFGFGESGTSKADSGKQGGSTGGSPYYVSSSDDRNAEELFGQVPEMQRSAAELPSPPTASGLHWQRRPADYGAFVPDISSPDSSLRYCFPADQTAVKRRRKC* >Brasy8G236200.2.p pacid=40080799 transcript=Brasy8G236200.2 locus=Brasy8G236200 ID=Brasy8G236200.2.v1.1 annot-version=v1.1 MKVLCSACEAAEARVLCCADEAALCDRCDRDVHAANRLAGKHQRLPLLSPGSATAAPAPPAPPPKCDICQECHAYFFCLEDRALLCRGCDVAVHTANAFVSTHRRFLLTGVQVSLDEQDDDCLPDQPEPSPAPAPPPAPPAKRDKASLYGEGDFSWAAATPDANESLPDWSIVNEQFGSPAPRHAEAASAGASRTPPKRAPAFSGQGGMMDWPLGEFFGGFSNFNAGFGFGESGTSKADSGKQGGSTGGSPYYVSSSDDRNAEELFGQVPEMQRSAAELPSPPTASGLHWQRRPADYGAFVPDISSPDSSLRYCFPADQTAVKRRRKC* >Brasy8G254300.1.p pacid=40080800 transcript=Brasy8G254300.1 locus=Brasy8G254300 ID=Brasy8G254300.1.v1.1 annot-version=v1.1 WLRSGRLICESNSSLSTRLLPSLLPSSPMEASPAPAPAPSSQRLRPLLPSPLWIPRFSSPLPPSDRDASTDPPNIRGFAPCAAAFASSPSSSPLACSRRRSSPTSLLALFLPASLGIQMDLEFKLLETGSLFISVLVTSFILQEDGTSHYLKGVLLCCSYLVR* >Brasy8G230000.1.p pacid=40080801 transcript=Brasy8G230000.1 locus=Brasy8G230000 ID=Brasy8G230000.1.v1.1 annot-version=v1.1 MVTGSTGTTSNLSTRVFSLQSLISNEDKTLKCSLISSGTFMRLPGTLNTATSAGAVPKMKRSQTRMDRSTLHSASEYSASHGSSMTPVPLMSKLSRCCSLMIWGGSSVISTPWRPRCLSQSSPHISTR* >Brasy8G285900.1.p pacid=40080802 transcript=Brasy8G285900.1 locus=Brasy8G285900 ID=Brasy8G285900.1.v1.1 annot-version=v1.1 MNHEWSDQRGEQQLMAPSWSWLLLLLLCLTGGGILQPCAQPDSKGFISIDCGYPGEMSYVEDTTSLSYSPDAGFTDTGTNHNISGEYVRTRLSRRVHNLRSFPDGTRNCYTMRSLVSGLKYLIRASFMHGNYDGLNRPPTSFDLYIGVNFWTTVNVSSWSDPTGSVEAVEAIVVVPDDFLQVCLVNTGGGTPFISGLDLRSLKRKLYPQVTVEQGLMLAARYNAAPTNKSYVARYPDDPHDRIWFPWYDAAIWTELSTTETVDITDTGLFEAPSAVMQTAITPRNGSRCIVFEWDAEPTPNDPSPGYIVVMHFAELQLDAVRQFYINLNGRPWYSVPHTPQHLGSSATYNSVPNRQSHYKISINATANSTLPPILNAVEVFTVIPTTILGTDSQDASAAMAIKAKYQVQKNWMGDPCLPKTMAWDRLACSHTIASPPRITSLNMSSSGLTGNISTSFANLKALQYLDLSNNNLTGSIPDALSEIPSLTVIDLSGNQLHGSIPPGLLKRIQDGTLDLRHGNNSELCTGSNSCQLAAKRKNKVAIYVAVPILVILVIVSAAILVFFLLRRRNQQQGECEQGSMNNMTAVKPQNEEVMSTSYGGGDIDSLRIVENRRFTYKELEMITNGFERVLGQGGFGRVYDGFLEDGTQVAVKLRSHASNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAQGTLREHIAGNNRNRACLPWRQRLQIALESAQGLEYLHKGCNPPLIHRDVKATNILLNARLEAKIADFGLSRAFDHDIDPVYTNAVFGTPGYMDPEYQATMQPTTKSDVYSFGVVLLELVTGNPAILSDPEPTNIINWAQQRLARGNIEGVVDVRMHDDYDVNGVWKVAEIALKCTSQGSAQRPTMSDVVAQLQECIKLEEGRGRGFDAGASNKTAFEMEHGVPTVATGPAAR* >Brasy8G285900.2.p pacid=40080803 transcript=Brasy8G285900.2 locus=Brasy8G285900 ID=Brasy8G285900.2.v1.1 annot-version=v1.1 MNHEWSDQRGEQQLMAPSWSWLLLLLLCLTGGGILQPCAQPDSKGFISIDCGYPGEMSYVEDTTSLSYSPDAGFTDTGTNHNISGEYVRTRLSRRVHNLRSFPDGTRNCYTMRSLVSGLKYLIRASFMHGNYDGLNRPPTSFDLYIGVNFWTTVNVSSWSDPTGSVEAVEAIVVVPDDFLQVCLVNTGGGTPFISGLDLRSLKRKLYPQVTVEQGLMLAARYNAAPTNKSYVARYPDDPHDRIWFPWYDAAIWTELSTTETVDITDTGLFEAPSAVMQTAITPRNGSRCIVFEWDAEPTPNDPSPGYIVVMHFAELQLDAVRQFYINLNGRPWYSVPHTPQHLGSSATYNSVPNRQSHYKISINATANSTLPPILNAVEVFTVIPTTILGTDSQDASAAMAIKAKYQVQKNWMGDPCLPKTMAWDRLACSHTIASPPRITSLNMSSSGLTGNISTSFANLKALQYLDLSNNNLTGSIPDALSEIPSLTVIDLSGNQLHGSIPPGLLKRIQDGTLDLRHGNNSELCTGSNSCQLAAKRKNKVAIYVAVPILVILVIVSAAILVFFLLRRRNQQQGSMNNMTAVKPQNEEVMSTSYGGGDIDSLRIVENRRFTYKELEMITNGFERVLGQGGFGRVYDGFLEDGTQVAVKLRSHASNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAQGTLREHIAGNNRNRACLPWRQRLQIALESAQGLEYLHKGCNPPLIHRDVKATNILLNARLEAKIADFGLSRAFDHDIDPVYTNAVFGTPGYMDPEYQATMQPTTKSDVYSFGVVLLELVTGNPAILSDPEPTNIINWAQQRLARGNIEGVVDVRMHDDYDVNGVWKVAEIALKCTSQGSAQRPTMSDVVAQLQECIKLEEGRGRGFDAGASNKTAFEMEHGVPTVATGPAAR* >Brasy8G071600.1.p pacid=40080804 transcript=Brasy8G071600.1 locus=Brasy8G071600 ID=Brasy8G071600.1.v1.1 annot-version=v1.1 MFFPSSFFLSEESKPLPPCATIKPRRRRSTPLATEMEPAGPSAKKLKLAASELQEAAPSPAAVAAEATDPKPPVATEGRHEPPPGAEEESMDRITNLPDAVLEEIISLLPTNEGARTQALASRWRHLWHSAPLNLDLRYLPDDVPPGAILSDHQGRGRQLCLCLYLATDLDDWIRSPVLDDLQELEIYIPDGYRIPSQLPSPPASIFRFSSTLRVATIAHCSLPDQVVETLRFPQLRKLALVDVDVSECSLQSIITSCCPALECLLLGTISFGRSYPQICSPALGSIGTRFISGELIIEGAPTPQNLLHLQFRIDTQISVVSAPKLETLSCISELYVIPISMFGSRIMKALGIRNLTTVVHAVKILAIHMSRFNLDKVIELMECFPCLEKLYMKDTTSGGTNRWRRKHRNLLRSLDIRLKTIVLRSYRGFRAQVNFATFFVLNARVLESIRLEVQGADYNEVFFAAQHRKLQMEKRASRGARLTFTKVLP* >Brasy8G071600.2.p pacid=40080805 transcript=Brasy8G071600.2 locus=Brasy8G071600 ID=Brasy8G071600.2.v1.1 annot-version=v1.1 MFFPSSFFLSEESKPLPPCATIKPRRRRSTPLATEMEPAGPSAKKLKLAASELQEAAPSPAAVAAEATDPKPPVATEGRHEPPPGAEEESMDRITNLPDAVLEEIISLLPTNEGARTQALASRWRHLWHSAPLNLDLRYLPDDVPPGAILSDHQGRGRQLCLCLYLATDLDDWIRSPVLDDLQELEIYIPDGYRIPSQLPSPPASIFRFSSTLRVATIAHCSLPDQVVETLRFPQLRKLALVDVDVSECSLQSIITSCCPALECLLLGTISFGRSYPQICSPALGSIGTRFISGELIIEGAPTPQNLLHLQFRIDTQISVVSAPKLETLSCISELYVIPISMFGSRIMKDTTSGGTNRWRRKHRNLLRSLDIRLKTIVLRSYRGFRAQVNFATFFVLNARVLESIRLEVQGADYNEVFFAAQHRKLQMEKRASRGARLTFTKVLP* >Brasy8G140900.1.p pacid=40080806 transcript=Brasy8G140900.1 locus=Brasy8G140900 ID=Brasy8G140900.1.v1.1 annot-version=v1.1 MGSGFVISGRRIITNAHVVADHTFVLVRKHGSPTKYKAEVQAIGHECDLALLTVESEEFWEGMNSLDLGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPIIKRFISGVEESGKYSGFCTLGISCQATENIQIRDCFGMRPEMTGVLVSRINPLSDAYKILRKDDILLEFDGVPVANDGTVPFRNRERITFDHLVSMKKPEEIAVLKVLRDGKEHELTVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGDDWYNTSPRRLCERALRELPKKAGQQLVILSQVLMDDINVGYERLAELQVKKVNGVEIENLKHLCSLVEGCTDENLRFDLDDERVIVLKFQNARLATSRILKRHRIPSAMSNDLVDEQVTNDETNAPCPN* >Brasy8G026000.1.p pacid=40080807 transcript=Brasy8G026000.1 locus=Brasy8G026000 ID=Brasy8G026000.1.v1.1 annot-version=v1.1 MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDRERRGDYLGKTVQVVPHITDAIQEWIERVALNPVDGTEEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLMPDILACRTTQPLEENVKLKLSQFCQVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILNVLGLWCVGRVPQEPKLAEWTERASKFDKLKTPVRIAMVGKYTGISDSYLSVLKALLHASVALDMKLVVDWVPSCDLEDSTAKETPDAYEKAWVLLKGAHGILVPGGFGDRGVQGKILAAKYARENKVPYLGICLGMQIAVIEYARSVMKLHGANSTEFDPAAKTPCVIFMPEGSTTHMGATMRLGSRRTFFQVSNCKSAKLYSNASYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEIIELPNHRFFVGTQFHPEFNSRPGKPSPLFLGLIAAASGQLDHLLQRTGGAVRCITGTGTGKPKLFKKKPFNGLVTTYYANGSSIHI* >Brasy8G095700.1.p pacid=40080808 transcript=Brasy8G095700.1 locus=Brasy8G095700 ID=Brasy8G095700.1.v1.1 annot-version=v1.1 MAMTQSFSRCPPPSTFLHSPALASPCSPSSPSWSPSRHGPHRRRAPAAASSLHLRPEDVAELARNKVLIAATVASAIGQLSKPFTSGRDGGGVDIIKTAVRSGGMPSTHSAAVVAVTTSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGKHARLLNKLWTLKELRAQDLEDSTSELHPSNREIAAELVPIPEDASTSQSSNTTPFSRHNAATNSSRSKALGSSEPELAELKEEYNRLKESVGHTEAQVAVGALLGFVVSLAVHATL* >Brasy8G150800.1.p pacid=40080809 transcript=Brasy8G150800.1 locus=Brasy8G150800 ID=Brasy8G150800.1.v1.1 annot-version=v1.1 MASPQCCANPPTLNPAGGEGKVVESFGGIKAYVAGAQDSKAAVILVSDVFGFEAPNLRKIADKVASSGYFVVVPDFIHGDPYVPESVDKPIAVWIKEHAPEKAFEEAKPVIAALKKQGASSVGAAGYCWGAKVVVELAKANEIQAAVMSHPSLVTVDDIKEVKCPIAILGAEIDKMSPPEVVKQFEQVLSSNSGIGHFVKIFPGVAHGWTVRYNSDDASAVKSAEEALADMTVWFDQNLK* >Brasy8G278300.1.p pacid=40080810 transcript=Brasy8G278300.1 locus=Brasy8G278300 ID=Brasy8G278300.1.v1.1 annot-version=v1.1 MRSPRLRRHPPSAQKPSKPEPFEAFPAGEVVEVLPDEQPYRGAHLAAVVKSYDPDLRSYAVEYGSLAASSGTSGRLLPPEVVPASRVRPRPPPPAPAAASPPAEHAAVDALRDGAWWLGVALLGGGPADGRVAVCFPETREVVEFDAADVRPHLEWVAGHWRSQEDLEKSKRTPYNKGTQVEVSKLEDNSVIVWFPGVVTKTIWKDNLLVEYTFSKNDDSSLCREIVEMKHIRPCPPRASAISFSVNDDVEGFQGGGWWPGMIIEVRPELEYTFKLANSGKEVQLGQKALRLRYDWVDGQWEKVSQNWLQTEFAKGSRVEVSSNDEGFRGAWFQGTVLKSVGHKYLVEYDALKAEDETTPLTETIGEDHIRPSPPDIPVTVSNGFKFLDEVDAFCNDGWWVGVISKVISDQRYMVYFRSYKEENEFGREQLRLHCDWVGGRWMRISPALEM* >Brasy8G278300.2.p pacid=40080811 transcript=Brasy8G278300.2 locus=Brasy8G278300 ID=Brasy8G278300.2.v1.1 annot-version=v1.1 MRSPRLRRHPPSAQKPSKPEPFEAFPAGEVVEVLPDEQPYRGAHLAAVVKSYDPDLRSYAVEYGSLAASSGTSGRLLPPEVVPASRVRPRPPPPAPAAASPPAEHAAVDALRDGAWWLGVALLGGGPADGRVAVCFPETREVVEFDAADVRPHLEWVAGHWRSQEDLKSKRTPYNKGTQVEVSKLEDNSVIVWFPGVVTKTIWKDNLLVEYTFSKNDDSSLCREIVEMKHIRPCPPRASAISFSVNDDVEGFQGGGWWPGMIIEVRPELEYTFKLANSGKEVQLGQKALRLRYDWVDGQWEKVSQNWLQTEFAKGSRVEVSSNDEGFRGAWFQGTVLKSVGHKYLVEYDALKAEDETTPLTETIGEDHIRPSPPDIPVTVSNGFKFLDEVDAFCNDGWWVGVISKVISDQRYMVYFRSYKEENEFGREQLRLHCDWVGGRWMRISPALEM* >Brasy8G278300.3.p pacid=40080812 transcript=Brasy8G278300.3 locus=Brasy8G278300 ID=Brasy8G278300.3.v1.1 annot-version=v1.1 MRSPRLRRHPPSAQKPSKPEPFEAFPAGEVVEVLPDEQPYRGAHLAAVVKSYDPDLRSYAVEYGSLAASSGTSGRLLPPEVVPASRVRPRPPPPAPAAASPPAEHAAVDALRDGAWWLGVALLGGGPADGRVAVCFPETREVVEFDAADVRPHLEWVAGHWRSQEDLRTPYNKGTQVEVSKLEDNSVIVWFPGVVTKTIWKDNLLVEYTFSKNDDSSLCREIVEMKHIRPCPPRASAISFSVNDDVEGFQGGGWWPGMIIEVRPELEYTFKLANSGKEVQLGQKALRLRYDWVDGQWEKVSQNWLQTEFAKGSRVEVSSNDEGFRGAWFQGTVLKSVGHKYLVEYDALKAEDETTPLTETIGEDHIRPSPPDIPVTVSNGFKFLDEVDAFCNDGWWVGVISKVISDQRYMVYFRSYKEENEFGREQLRLHCDWVGGRWMRISPALEM* >Brasy8G194000.1.p pacid=40080813 transcript=Brasy8G194000.1 locus=Brasy8G194000 ID=Brasy8G194000.1.v1.1 annot-version=v1.1 MDQEQKGEVFSGNGKYIYEHHWYKGVDIHEIFVNKSRSRVLLSHSGIVFLLLTVCQSFLAKKLCLGSVWSIIFGVLVAKSLQYKPVMKESIVIMPSFGVQLEIHFWSGRVDRRFIPIGKILKPLLNECVTPVTCYWSLALLLRDEDELKLVFQKFRPPVKMLVPIWKALCTFTKSE* >Brasy8G019000.1.p pacid=40080814 transcript=Brasy8G019000.1 locus=Brasy8G019000 ID=Brasy8G019000.1.v1.1 annot-version=v1.1 MLSSPFSHVPFLLAPFLLLALIYLFVSPNKDHLSLRSAFARPCNTNSNAPAQPGAAADVDLRVLLGVLTLPSSYERRALLRLAYKLQPPPTDAIVDVRFVFCNVTKEEDAVLVAMEIIAYDDIIVLSCTENMNDGKTYDFFSAIPRLFSSEHPRYDYVGKVDDDIYYRVSPLADALRSKARHDTYYGFTWPCEEETKPGDERFMVGWGYFVSWDVAAWISEAEELHGVAKGAEDMTFSRWLRRGGKGKNMYGEKPSRMYDYLNDGWGEKAACFRHNFTADTVAVHKLKDRRQWARALKFFNATQGLKPSKMYHLDL* >Brasy8G066100.1.p pacid=40080815 transcript=Brasy8G066100.1 locus=Brasy8G066100 ID=Brasy8G066100.1.v1.1 annot-version=v1.1 MMSRSYTNLLDLAAGNFAALGPAGGGRRRSGSFAAKRMPRVMTVPGTLSELDDEDDELAATSSIASDVPSSAVGERLIVVANQLPVVARRRPDGRGWVFSWDDDSLLLRLRDGVPDEMEVLFVGTLRADVPASEQDEVSQTLIDGFRCAPVFLPADLYDRFYQNFCKGYLWPLFHYMLPFASAQSPKANAVSGGGRFDRASWESYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRCFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLQLPDMQRKVAELRQQFEGKTVLLGVDDMDIFKGINLKLLAFENTLRMHPKWKGRAVLVQIANPARGKGKDIDSIRAEIQDSVERINKEFSQSGYSPIVFIDRNVPSVEKLAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESAPDVSGPSKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSRSFIQDLERACKDHFRKPCWGIGLGFGFRVVALDPNFAKLNFDSIIMSYGRSKSRAIFLDYDGTLVPQASINQKPSEELVNIINTLCSDRNNIVFIVSGRSRDSLGSMFSSCPMLGIAAEHGYFLRWSRDEEWQTSTQSPDIGWMQMAEPVMNLYTEATDGSYIETKETALVWHHRDADQGFGSSQAKEMLDHLESVLSNEAVSVKSGQFIVEVKPQGVSKGLVAEKIIGSMKEKSQQADFVLCIGDDRSDEDMFENIADVMKRGIAVPKTPLFACTVGQKPSKAKFYLDDTYEVVSMLSALADVSDPDPTAGLADDLATSVSSLDIGDEQMQFSNTTLEGS* >Brasy8G179300.1.p pacid=40080816 transcript=Brasy8G179300.1 locus=Brasy8G179300 ID=Brasy8G179300.1.v1.1 annot-version=v1.1 MEAERKGEEQRRPLLSSASAPAAEHQRQYQHLGRSSSSALRGGGGGWGGGGPEVSAAEVKSAASFSSNYYPPAPDPNNQDVYPPSIHSAVLFPSPSPAPTPSHPHGLAIVPGGPYPYGGDYQPSQGVTRDVLDEVEIRHLLIEHVGHRCCWASRPARTWKITSIEDCNVYVGTLETFIEERDIITKKEPYDGGKIDGRHKGPVLGVWELDLRSEFPLLFVPEKEVIVKIPHSEVTEKCLDCESRGEIPCPTCNAGQQPGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCNGQGMLPCITCGSRGLVTCKTCNGCGSLVAQSTAHVRWKTLSARKVSATTGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVVASRLPVPPSARVISERHIISVVPVTRVTMAHRKRSFSFYVVGYSRDVFVRDYPSKFCWGLCCCFEWLGN* >Brasy8G269200.1.p pacid=40080817 transcript=Brasy8G269200.1 locus=Brasy8G269200 ID=Brasy8G269200.1.v1.1 annot-version=v1.1 MATTSFPSLLSYICIFLLFHGSMAQLFSQGSPWQSPRQGGFRECNFDRLQAVEPLRQVRSEAGLTEYFDEQNEQFLCTGVFVIRRVIEPRGLLLPRYHNTPGLVYIVQGSGFVGLAFPGCPETFQEQFQQFGLAQPILGQRPSPSQNIRDEHQRIRQFTQGDVVALPAGVAHWFYNDGDVPIVAVYVFDINSNANQLEPTQKEFLLAGNYNGGLQYGQNILKGFNVQLLSQAFGISEQTTQRIQSQNAERGEIIRVNHDLEFLNPVGTQQQPLQPIEPQKGQSSSYQTGQTSGGSLNGLEENFCSLEPRLNIEDPSRVDIYNPHSGSVTHLNGQNFPILNIVQMSATRVNLYQNAILTPFWNINAHSVVYMIQGQAWVQVVNNQGRTVFNGPLRQGQLLIIPQNYVVLKKAEREGFQYIAFKTNANSMVSYAAGKNSILRALPVDVIANAYGISIQEAQNLKNNRGEEIGVFSPEFSQSSLQSHV* >Brasy8G262200.1.p pacid=40080818 transcript=Brasy8G262200.1 locus=Brasy8G262200 ID=Brasy8G262200.1.v1.1 annot-version=v1.1 MPLTLSYIPHSHSQQPQSRASSSSPAKERSNRTMAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHHPPDQSPAAAAMADGVGMSPRVVDSYSCRQMYLRSYTFSTRKETVPERTMACLARVRTRVFPSRGGSDAGSSSSVGGGGGGSARDFAAGRDEEDDDEGSGTRRKRRRRRRKKKKKGPCAVVRRLQEASRAVFRRLLACTATVDVAEPPPSR* >Brasy8G045000.1.p pacid=40080819 transcript=Brasy8G045000.1 locus=Brasy8G045000 ID=Brasy8G045000.1.v1.1 annot-version=v1.1 MDYGGGPATLVAPRLRRSTEAHDAYTVRVANRRVAALATATPADACRWICTTRWLHASLLRSAWTPVRPPLPPGQTPSPATLQLCAGHRCLVFHLAQLDSPLPAALYRFLADPRVLCVGYGSAYDRRMLLDHFGLRVASGQHLRALAGIGGNVSVEEGVICKRAGHVGT* >Brasy8G092000.1.p pacid=40080820 transcript=Brasy8G092000.1 locus=Brasy8G092000 ID=Brasy8G092000.1.v1.1 annot-version=v1.1 MSATRLSIMHHSHPQEIPEPSTQDMYPSHSTSGSRQHAAQLTQDLQAEVAAYGRSLSSGPLLEREPHQSWLRRLEDKLRSVYAAITCTRTSDVVQHQASVRPPRHSMHRQHPRQQEPPDLRHDPRPRLAEQSTPRPPPHDQAGGSSWHHPQSSFDYWQEQSPFQAGGSSWQQPQSPAMNFQFRPQTQPQDLSLITFPHAGAYAHQASLSEPSWSSEHDQ >Brasy8G020500.1.p pacid=40080821 transcript=Brasy8G020500.1 locus=Brasy8G020500 ID=Brasy8G020500.1.v1.1 annot-version=v1.1 MTKTTPAPAAKGAKGAPLRGSQLKQLRELFTRFDMDGDGSLTQLELAALLRSLGLRPTGDDARALLAGMDRDGNGAVEFEELASAIAPLLLSPASAASLVDRDQLLEVFRAFDRDGNGYISAAELARSMARIGQPLTFQELTATMREADADGDGVISFQEFAAVMAKSALDFLGVPTS* >Brasy8G011100.1.p pacid=40080822 transcript=Brasy8G011100.1 locus=Brasy8G011100 ID=Brasy8G011100.1.v1.1 annot-version=v1.1 MEYIYRERVQSKGGMEMLERILACISGPRRQCDSTRHRPHLRGTLGGYLPTCHRDRCTCKLLFGMYVRNYV* >Brasy8G026500.1.p pacid=40080823 transcript=Brasy8G026500.1 locus=Brasy8G026500 ID=Brasy8G026500.1.v1.1 annot-version=v1.1 MLAAAACCRAPPCPAARSGGRGHVLERVLPSKLRARTTRRKRRRAAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFLTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDLLHGTAESLLTLTNLFIVLGLRGALRNLKETEQSDTEASEDSKEKSSV* >Brasy8G268400.1.p pacid=40080824 transcript=Brasy8G268400.1 locus=Brasy8G268400 ID=Brasy8G268400.1.v1.1 annot-version=v1.1 MESSSGESRETTAAPLHLSLTTSPQPPATAVVLSPCAACKILRRRCVDRCILAPYFPPTDPHKFAAAHRVFGASNIIKLLQDLPEEHRADAVSSMVYEAGARARDPVYGAAGAICQLQRQLDGLKAQLARAHADLAAARAHHAQLLALLCQQVAAAPGPAMAAPVVVDALYVVDGAGGGGGGVMQASPIGWADEPLWT* >Brasy8G082500.1.p pacid=40080825 transcript=Brasy8G082500.1 locus=Brasy8G082500 ID=Brasy8G082500.1.v1.1 annot-version=v1.1 METSPPPSPSPARSPGRPVKPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFTISRVASRDNLSKYYINERGSNFTEVTELLKGKGVDLNNNRFLILQGEVEQISLMKPKSQSPHDEGFLEYLEDIIGTNQYVEKIEEANKQLEVLNEKRTASVQMLKLAEKERDSLENAKNEAETYMLKELLLLKCQEKATTMASDDAASHVTQLQDNVTDLEKNLASERERIQQNSEALKEMESAYNKRVKRQEDLENNMKACKDKFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDMSKMEESTKEIEESSNLIPQLEEEIPKLQHEFNQEEKVLERIKESSREETEKLRAELTQVRTELEPWEKQIIDHKGRLDVASAEKELMKEKQDGALAELTDAQNQMESIKEKIKMKDTHIMELQEQIEKHHSEASEARKVEQECLKQEESVIPLEQAARHKVAEIKSTRDSEKNQGTVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACHGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLGKLRQKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTVVANDLDQATRIAYTADNEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESVPEEAIINAENDLKKLVDQLNRLRENINDAKKRYRSLEEAKSRLEMELAKAKKEVESMKAQYSYNEKRLDSLKAAAHPKEDEVDRMKELDGIISTEQDELNRLAKCSSKLKDQASELQQKIENAGGKVLKDQKAKVAKIQSELDKTSSDINRHKVKITTCEKLLKKLTKGIEEAKKENENLLAQKEKLMSVFKEIEKKAFLVQEDYKKNQEMIDTHKDELDKTKEDYNKTKKVMDELRASEVDAEYKLQDTKKLAKEWEMKLKAFRKRLADIQTNLVKHMDQIQKDTIDPEKLKETLCDKHLSAICDLKKAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKV* >Brasy8G038000.1.p pacid=40080826 transcript=Brasy8G038000.1 locus=Brasy8G038000 ID=Brasy8G038000.1.v1.1 annot-version=v1.1 MENLISLVNKLQRACTALGDHGEDSALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIFSPNVVNLTLIDLPGLTKVAVDGQSEGIVQDIENMVRAFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQFPWIGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHLAHRMGSEHLGKSLSKHLESVIKSRIPGLQSLITKTIGELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKVYHVFNNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIDSCLVSIRGPAEAAVDAVHGILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMETSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVCSTLRDSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQADIDAVAWSK* >Brasy8G038000.2.p pacid=40080827 transcript=Brasy8G038000.2 locus=Brasy8G038000 ID=Brasy8G038000.2.v1.1 annot-version=v1.1 MHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIFSPNVVNLTLIDLPGLTKVAVDGQSEGIVQDIENMVRAFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQFPWIGVVNRSQQDINKNVDMIAARRREREYFATTPEYKHLAHRMGSEHLGKSLSKHLESVIKSRIPGLQSLITKTIGELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKVYHVFNNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIDSCLVSIRGPAEAAVDAVHGILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMETSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVCSTLRDSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQADIDAVAWSK* >Brasy8G191800.1.p pacid=40080828 transcript=Brasy8G191800.1 locus=Brasy8G191800 ID=Brasy8G191800.1.v1.1 annot-version=v1.1 MGRNGPFNSVPGGTRNGPGTRSISALRSTSSSAHSPPPRTISAGVPRRFSRRRRGSPRPPTPEFTPSARAVTRSWRHQPHPAPPFFCLVSSSSGPCRPPAEDPPPPCVRVRRAREGRTKPIDLLLKNLDFADEFDLELNQPALLGV* >Brasy8G068300.1.p pacid=40080829 transcript=Brasy8G068300.1 locus=Brasy8G068300 ID=Brasy8G068300.1.v1.1 annot-version=v1.1 MAAARVGIAFLVILVIGAELMAAPEARGTERLVVRFDAGAKGGAVPGNGESTDVRLPKSKWSMRRTLGGEKRTVPGGPDPQHHN* >Brasy8G221000.1.p pacid=40080830 transcript=Brasy8G221000.1 locus=Brasy8G221000 ID=Brasy8G221000.1.v1.1 annot-version=v1.1 MATHERKTVDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYISSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPALREVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTADYYSIKAQTWIVEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYANQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISAHPELNPGIDLAVTVLTTGFWPTYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGICHITAKFEAKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPAGRTISPTDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQTLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVYRYLA* >Brasy8G221000.2.p pacid=40080831 transcript=Brasy8G221000.2 locus=Brasy8G221000 ID=Brasy8G221000.2.v1.1 annot-version=v1.1 MATHERKTVDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYISSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPALREVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTADYYSIKAQTWIVEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYANQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQPEKKDIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISAHPELNPGIDLAVTVLTTGFWPTYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGICHITAKFEAKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPAGRTISPTDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQTLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVYRYLA* >Brasy8G286800.1.p pacid=40080832 transcript=Brasy8G286800.1 locus=Brasy8G286800 ID=Brasy8G286800.1.v1.1 annot-version=v1.1 MDRGLAPPAPRPMRPLLGCLLLGAVSSGGRVQGEETRRRSGGSLGRDGQLPPRAGEPPGPRQAAMRAAAAARRFGEGEGTELPHNTEQLSAGRNRGERNAMGGSKKRNGWTSTNHLGQSCRGIKREGGIENAWLGRGV* >Brasy8G001400.1.p pacid=40080833 transcript=Brasy8G001400.1 locus=Brasy8G001400 ID=Brasy8G001400.1.v1.1 annot-version=v1.1 MIGEPGGNGGAAEEHDEEDQAAAATRTPPSSPSPPTIVPASSSPHGLGRRLLGSLVRGIGPSSSSSAPPSPVPKVGLSLLLHLKPNPNGNPPPPRHHPPSSSDRHQTQVAAAADPGELLAAKGLLRLSVDPKHGRSPRKLADQGAAAENDAVVTVAEDRDQALVDEQESTVHTQGGAARDAITLVDPSATDTAAATQGETPVEEQDKVAPHQEHQDTCTTWDGNAAECQEPGVTDEHSAIKDDVPEDGQEKVLQQCSGEQLGATRGDQEEEVVVVEQGVTDQTDETTHGISVENQEKVDRCTDCGDAVEDQEAVEQDAMDQRCEGTDGVSVKDQEGALEQWAAYELQTTENDDAKDDEQVVVEQGVVRGGVATMDNVAVRDQEEALQQCATYELQTTENDDAKDDEQVVVEQGVVHGVVTTMDNVAVRDHEMVVQTVTDEMCAAMDDIAVENQEKEGEKCTAFDLGMTKNDDVVDEPSRATDGENLAVQEKDKLVAIDKQDIFSDGNGPGYLEEVLIVIDRQSTLKDVRKKVLQQCTTGDQLGATSDDQEGLKQTDETTYDIAVENQERVEWRTAQDDTVEDQEAVEQDAIDQRGESIDSIAVKDQANDVEQCAKDADAYEFGTTENDDAKDDQKVVEQGVIDKGGATMDDTAINHQEEAVEQCVTYEGTTRNDDANAEQEVVEQGFIDEEGPTMDDIAVKDHEVVVQSVIGMRASTDNSVVENQGKELEQCTTYHLGTTESDGVVDSQEVADQGVVNEGGSTMEDNALKDHEVVEQSIIDGMRVATDDIAVEDQEKVLEQCAVDESRAPMDEYTVGEKEKVVEQGVTNKQGAMNMDVAVKDQEKVMKQGLIDNHGTVPIDDIAVTDGEKAVGQFVSGAIITSKDENPVEEHNTARNQGVIDENGRTKDDIDVEEHVITDRWATTSDGTALEDQRNESELCICNEHIASKDVVAVYIQGDVLEQRVGDEQGATKFTVEKNNDVQERVCREWGATEDDLVMDTTASEGISGISSSQSVSVTLNGSDGGIWKKEKVKFCVKYPQRPGKLNCPSYMSKGSCSFGLSCHFNHPLLKAKPDVSWFPSEQDNHGVAEILELNRVGLPIREGARNCVYYMRNGACRYGKRCHFNHPEHVIDAQFSPPTGWEDASPSSRHLEKYSNNATLDDTSYSKKSFEHATLDDTAQLKKSPEGATLDDTAHFKKSPEGATLDDTSYSKRSFDHATLDEKSSSSKVLPASILRMLLPPQKVPPSIERKVMKVKKSSNWSSTSDDSDGCCSADSSDGPLCKQEHVDYSERPGRSDGPLYKQEHVGYSERPHKPECPFIKRFGDCKYESSCKYHHSKDRYPSRYHRKDPSLGGEVVEYPTRPGEPECPFYMKHRYCKFGARCNFDHPKDLNPTTDSPTNEKNSVASCDHHASTKITLKDPAPQQQQYPERPGQPDCRYYMQFGKCKYLSACIFHHPKDRLPSGWHPSDPAQSDQYDTWHSKNTTRIENFCQQAQIGAEIHGMPECPFYMKTGKCQFGSACEFRHLKDTRSTTEETFGHRTDLAYDKFTRSENVVQQEEHAMYPERPGEPECSHYMKHGYCNFQMNCKFHHPGDRLCKKPVDSTYH* >Brasy8G119500.1.p pacid=40080834 transcript=Brasy8G119500.1 locus=Brasy8G119500 ID=Brasy8G119500.1.v1.1 annot-version=v1.1 MAPKAEKKPAEKKLAAEEKAEKAPSKGEKKPKAEKRLPAAKEGGGGADKKAKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy8G098700.1.p pacid=40080835 transcript=Brasy8G098700.1 locus=Brasy8G098700 ID=Brasy8G098700.1.v1.1 annot-version=v1.1 MTENGGERRKKIALPWAVRLQVMALTTACDLAQRRDGTVNRFLFSLVDRRARATSRPDGVSSADVTIDGARAAKGLWARVFSPPSPPAAPLPVVVYFHGGGFTLLSAASAPMDALCRRLARALGAVVVSVDYRLAPEHPYPAAYDDGEDVLGYLAATNAASLPAPVDLSRCFLAGDSAGGNIAHHVAHRWTSDDPNNSNPNRVVRLAGIILLQPYFGGEERTAAELSLEGVAPVVNMRRSDWSWKAFLPAGADRNHEAAHVTGEAAPEPELGESFPPAMVVVGGFDPLQDWQRRYAAMLERNNGNAAVRLVEFPEAIHGFYMFPKLPGAREVVEEVRAFMETLLV* >Brasy8G075900.1.p pacid=40080836 transcript=Brasy8G075900.1 locus=Brasy8G075900 ID=Brasy8G075900.1.v1.1 annot-version=v1.1 MLLVLPPDADTYEQESRRMFVEWKARYKKTYKYAGEEECRYALFKETRCRVAWARADGVTTSGLNGLSDRAIEEVPRGYRVRKGEKSYEQETRRMFLGWKAKYGKTYRDVGEEECRYRLFKGNRRVVVRLNAGQNVYGINQFGDLTNEEVRERCYPEMVDQELSARCQVAAPDPDPGHGRRIWYQVCRCIATEVWRKRCSWR* >Brasy8G244000.1.p pacid=40080837 transcript=Brasy8G244000.1 locus=Brasy8G244000 ID=Brasy8G244000.1.v1.1 annot-version=v1.1 MLIRVRPCSGGHLGRGYWWWCYGGSRFGWCVFRVYFPVVSVISSLLVTDLVAVWSVFSYCSLIRQRFFFFLLIPFLIARPPIGGRVFARVFVGGGVLVAAVPVAGSLLWTNLGIWVTPGFLRSSAGRRKLGWDTRPSQTGINSKKVPARAWRSHHRLTPGIFLKARWRSMSVVLTKMVPVLMEFCYQSLYDA* >Brasy8G173400.1.p pacid=40080838 transcript=Brasy8G173400.1 locus=Brasy8G173400 ID=Brasy8G173400.1.v1.1 annot-version=v1.1 MSVVLAGGRNELMQQEDVLSSSSSSFCSMRQCRICHEEEDERCAAMESPCPCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVVPPKKAPVVEMPITVNSEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.4.p pacid=40080839 transcript=Brasy8G173400.4 locus=Brasy8G173400 ID=Brasy8G173400.4.v1.1 annot-version=v1.1 MSVVLAGGRNELMQQEDVLSSSSSSFCSMRQCRICHEEEDERCAAMESPCPCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVVPPKKAPVVEMPITVNEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.2.p pacid=40080840 transcript=Brasy8G173400.2 locus=Brasy8G173400 ID=Brasy8G173400.2.v1.1 annot-version=v1.1 MSVVLAGGRNELMQQEDVLSSSSSSFCSMRQCRICHEEEDERCAAMESPCPCSGSLKNFEPGYTVVPPKKAPVVEMPITVNSEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.3.p pacid=40080841 transcript=Brasy8G173400.3 locus=Brasy8G173400 ID=Brasy8G173400.3.v1.1 annot-version=v1.1 MSVVLAGGRNELMQQEDVLSSSSSSFCSMRQCRICHEEEDERCAAMESPCPCSGSLKNFEPGYTVVPPKKAPVVEMPITVNEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.5.p pacid=40080842 transcript=Brasy8G173400.5 locus=Brasy8G173400 ID=Brasy8G173400.5.v1.1 annot-version=v1.1 MRRRMRGAQQWSLLAHAPALSSMLIGDVCRGGVMRRGAPSVRFVFSEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.8.p pacid=40080843 transcript=Brasy8G173400.8 locus=Brasy8G173400 ID=Brasy8G173400.8.v1.1 annot-version=v1.1 MHIPSRSICIWPQGKIECCIHNHRMYHPSTDHYLMMYFPSSEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.6.p pacid=40080844 transcript=Brasy8G173400.6 locus=Brasy8G173400 ID=Brasy8G173400.6.v1.1 annot-version=v1.1 MPITVNSEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G173400.7.p pacid=40080845 transcript=Brasy8G173400.7 locus=Brasy8G173400 ID=Brasy8G173400.7.v1.1 annot-version=v1.1 MPITVNEDEEEEVLDEHLGIQYAASDGPMDGSDRADCSWCRSLTITFTIVLLVWHLIAVVTIEAAEHCAFSILTMYLLRAAGILLPFYAVMRLIRMIQNGRPQYRLQLLEEQRRNVSNLHSIHNQEQERQLVINVH* >Brasy8G042700.1.p pacid=40080846 transcript=Brasy8G042700.1 locus=Brasy8G042700 ID=Brasy8G042700.1.v1.1 annot-version=v1.1 MAATADPRAKPPAAPPTHHLEPWARPQKQQPPPPSHASAARDRRRPSSSSRAGDDGGIEELRAKLMGHLRDAADRLRVSHGPTSPPPPPTHALAPPPPDPEPTPPPPPPPPTSQQQQEADAVAADKPWKLRERTRRRPAALTSGPAPAQRRRKRAPFLVALSPEEIEEDIYALTGGRPRRRPRKRPRGVQRQLDSLFPGLWLTEITADDYRVPDE* >Brasy8G143400.1.p pacid=40080847 transcript=Brasy8G143400.1 locus=Brasy8G143400 ID=Brasy8G143400.1.v1.1 annot-version=v1.1 MERYYSRRARPENRFEAVKQFSIPKKAKKVKQSPFQKLQRLPVGCHPDFDHDHLCSINHLREFWYRSHGGVLVDDKERVTKTVLFTMSVWPEVCKPFLIVTPPPLSFWEDQFNNLAPFINVVVYDGGKDKLKLIQDLEFYDNRGCTMLQVLLSHPDAILEDIQPIARIGWEAIIVDCYQNSASTYLEELKKLSADFRLFLLSSPIKDNLSEYMKLLPFLTGEQENDNYVDTTDAFLMSETRFRGHIAYERQADPLKYLEHWVPANIARLQLETYCSVLLSNLSTLQSQTETDSVGAHRHIIISLSKCCDDPCLVGELNHKRTETIDARTETIDARVHSCGKLLLLENMLKEIRNNRLRVIVLFQSGGAAGNPIGDILEGVVHHRFGRESYERVEDSSAISSKKAAVDMFNDKSKGRFVFLIESRSCLSNIELSSIDAIIIYNSDWNPLNDLKALQRIKIESQFKYVSIFRLYTPFTMEEKRLVLAKEGIPITNIQDITCSLSHSLISWGPSFLFTALDELQQDNYASKSSERDTKLMYKVISEFVTRLLTNVEDNNKLNSASISRANMSGEDYSRNITLIGEKEIIPSLDGDPSKFWLHILDGKSPRRSYMSEPSQSSCRLLKNREEPANVPAEEAGEARRKRIKIDGNHAISSLAPQLLDDTEQNLGVKKLMGTPKKLHVKLKQELSEVIKVLQLPDNVRVMAEQFLHHMLQSHLVLSEPVGILHAFNIALKMFPRRAGETSIKCLPTSVEGSKFSSQETSTDLRNGQVLQNQEMNLHGSLKNGAPQEGSSGAEQMISEPISAQAMQNEPVKTSVESAGGPTSDPVDFPESSIHCRSDGIGLPRAGCSSSVIPANDDSVGQESLTGECRNTEHVERDNIANPSMLLGGTISVVKGVSPSNDGTVYADQVCLELPILASSIPTRDDQPAEAEGAGILGAVAAQDLQPEMQTSTSMQDIPSESIPMHQSVEPSIDPHAGVESAHTSGVVRAHDLQAEIQPSVSMQDRPAEAGSDMLGTTSSAQGLQPEMRSSTTKQQVPHERTRSEERRHDGFLPNMAPRPGQPTQLSQVTTSVSKNPSMENELLDWLNHQHTLLSKNHEQKRSRLLEEYNQEIVKVFRKKEEIDKVNLKYGSLVLEEENTFLQGKKELDDIYRKVFLNQSVAENFPMMFTPSQGGVMEQQLDSSSATQTSVSPIISSVDILLPARPYVRPSLVVQQSSSQTIQPQPTIPSNLYGASTSSHFVPASVPHGSFVAQPRVVQPQPILPGNLYRTMSPPSMMTSYGSAGVQLRAPSPHFQQFGMRPPYVATLANQQYLSATGIMGATSQQAGGILTSMTPSSVHHHTISSASWLRPALPAASSLPYGRRESSMANILRSSSSNPTFMAATQQSSDPNIVLGSTTAPLNAAPGSQHAGARIAGGVNQPGSQSALPNAHLPARLGLTSSSAGTGQGPSTSHVPVRQQPPVMCLSDNSDSDEQ* >Brasy8G080600.1.p pacid=40080848 transcript=Brasy8G080600.1 locus=Brasy8G080600 ID=Brasy8G080600.1.v1.1 annot-version=v1.1 MDNLWHLGDEFRGQSKVAEDRQWSLMTSKLAEITKSKAERMNDFDYARMNTVPDVKQWDKLPYHQEDNKMDHLNLGFMNLDLKMNDLKMNEAAMKYPFRNMAYNMNPMYSKGSNGNANAFKMNVGLNKYSNNPNGKEANGKHNGSNNNSGSNSNNSSVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKICKALEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCKTEGV* >Brasy8G080600.2.p pacid=40080849 transcript=Brasy8G080600.2 locus=Brasy8G080600 ID=Brasy8G080600.2.v1.1 annot-version=v1.1 MDNLWHLGDEFRGQSKVAEDRQWSLMTSKLAEITKSKAERMNDFDYARMNTVPDVKQWDKLPYHQEDNKMDHLNLGFMNLDLKMNDLKMNEAAMKYPFRNMAYNMNPMYSKGSNGNANAFKMNVGLNKYSNNPNGKEANGKHNGSNNNSGSNSNNSSVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKICKALEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCKTEGV* >Brasy8G275400.1.p pacid=40080850 transcript=Brasy8G275400.1 locus=Brasy8G275400 ID=Brasy8G275400.1.v1.1 annot-version=v1.1 MAWRVLLRRKDVHTGLVNLAFRAGGTECFSSGAFGKPSQFIHCNRLQGAGNCTIFKHCTIRNFHAGVCMLAWSRKKEDVVGLKAPKKEKRVKKETRSQPPVEAPYVAPKPKMAIKTSPDKTVEIFDGMTLLDLSKRSGATISALQSILQDLGEKVESEFDSISIDLAELVAMEIGVNIKRMHTGEGAVEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMLHAKVANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISALSKLGLDKLEEALLLQAEIMDLKARTDGPAQAFVVEARVDRGRGPLATAIVKSGTLISGQYIVVGAEWGRIRSLRDTAGKVTEAAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMTEEAEIAEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPVSEHDIDLAQACRAYIVGFNVRNPPSAITLGATQANIKILLHKVIYHLLEEMGRLIVEKAPGVSETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSRSGTMRLLRSGDVVFEGPCTSLKREKQDADTLDKGSDCGLVIQDCDHFQVGDTIQCLEQVIRKPKFISTQSGAVRIEC* >Brasy8G265300.1.p pacid=40080851 transcript=Brasy8G265300.1 locus=Brasy8G265300 ID=Brasy8G265300.1.v1.1 annot-version=v1.1 MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP* >Brasy8G149900.1.p pacid=40080852 transcript=Brasy8G149900.1 locus=Brasy8G149900 ID=Brasy8G149900.1.v1.1 annot-version=v1.1 MAMGGSSSVAAGLLFLNLIMYVVVAIIAGWAINYSIDDSAHALKGATPPVRLFPIYFPMGNLATGFFVIFALLAGVVGVSTSLTGLRDVTDGHPASMMSAAASAIVTWTLTLLAMGLACKEISISPRPPSLIALETFTIILAATQLLCAGSLHAGANAAIVTTPIGARAYRV* >Brasy8G295900.1.p pacid=40080853 transcript=Brasy8G295900.1 locus=Brasy8G295900 ID=Brasy8G295900.1.v1.1 annot-version=v1.1 MAGDSREGPAESAPAGSPSTTTAAAAAIAPPSIAKYLWLDPVAAGEQPRHQQTRHGELRRALQAGDPPEELRRVRDGIFESAVMARDRERSLQDAVQRLDRYRNIVTRKRQRSNSPSPAAAEKLGAAAPPSSGSSRARAQSRNSPGAIIKRVRSSLLDGRMEGRGGVPTRQGPVPNSNESSPLEKEKICARTSVTLPGISEDKLRGLSTGGEGWEKKLKRKRSLGTMLNRHDADGLVKSVGQHKPANEAHLRSSDGLALRHGASVRSVAGCKMDSSSRQNNFGSRVLSKIVVDHVTLPNERRVRHAGLEKDCAVIKGNKVHNSDRSLSPSPKTKACRSPRTSSLVTRPSSTLQRSAGGSDECEQAPYSNKVSPLRSMASRKCSSGSTHLNASSPPIAWVGQRPQKMSRTRRANVVSPLSDFDEVLSDGSPLDTAARLSESGGLAVAEAKAMEEVKNGGVENEDANAVHNAAASIVSSSKNRAPLKEEHEHGGVHRQGRSGRGTMNVKGRASISEENLGATGTRKPLKCGRTGPEKSESKVGRPLMNKGSDRKASACQAQALNRESRVITGEPEVDREELLAAVNAARSAIIGTYSSPFWKEMEPMLTFISSENLDFLKHQIDLVEELEMSMLYGGHNVMSSADYSKPQTMERLSQVLATCNSSLLSEQRKANVVRTKGPIDCSIPGEENHSNGPQNVEADEWFHEMAPMAHRLLSALIIEDDFSDSNRVQRDMPSSHVLCSANRYVTNELQVSDITSNFGLSVDFTCSNNTSMVNQSLCNGYAASSNFISSNSQISIQCENLSDGFSGAVFPEYDPLNDLIPQISQQCHNPGKKIPSPPYEYQYSQISVNDKILIELESIGICPETLPNLNYGGDEDINKMISELRRRLHDQVKQKKCGLHKLDKVIQDTKNIEERILEQHALNKLVENAYRKLQRMQVNSRHKSANKAAKDLAFALAFAKRTLARCQNFERTKKSCFSEPSLWSLLSAPFPSCDAKSTEGVTRWKKGNRERHRNKDASAKGSGPKSGRHSSGTGRSGERKNKTKSKQKLVQLSTSGNFVGRVVESSSTLAAQEHPEPAGSAGARVAWRPRNAPGNAAQRSTNPAVTSLPGLDDDILDVPGGLDVQGNDFSSWFPDGLDDSLPQDDDFSGALEVPDDDLTQLGFM* >Brasy8G295900.2.p pacid=40080854 transcript=Brasy8G295900.2 locus=Brasy8G295900 ID=Brasy8G295900.2.v1.1 annot-version=v1.1 MAGDSREGPAESAPAGSPSTTTAAAAAIAPPSIAKYLWLDPVAAGEQPRHQQTRHGELRRALQAGDPPEELRRVRDGIFESAVMARDRERSLQDAVQRLDRYRNIVTRKRQRSNSPSPAAAEKLGAAAPPSSGSSRARAQSRNSPGAIIKRVRSSLLDGRMEGRGGVPTRQGPVPNSNESSPLEKEKICARTSVTLPGISEDKLRGLSTGGEGWEKKLKRKRSLGTMLNRHDADGLVKSVGQHKPANEAHLRSSDGLALRHGASVRSVAGCKMDSSSRQNNFGSRVLSKIVVDHVTLPNERRVRHAGLEKDCAVIKGNKVHNSDRSLSPSPKTKACRSPRTSSLVTRPSSTLQRSAGGSDECEQAPYSNKVSPLRSMASRKCSSGSTHLNASSPPIAWVGQRPQKMSRTRRANVVSPLSDFDEVLSDGSPLDTAARLSESGGLAVAEAKAMEEVKNGGVENEDANAVHNAAASIVSSSKNRAPLKEEHEHGGVHRQGRSGRGTMNVKGRASISEENLGATGTRKPLKCGRTGPEKSESKVGRPLMNKGSDRKASACQAQALNRESRVITEVDREELLAAVNAARSAIIGTYSSPFWKEMEPMLTFISSENLDFLKHQIDLVEELEMSMLYGGHNVMSSADYSKPQTMERLSQVLATCNSSLLSEQRKANVVRTKGPIDCSIPGEENHSNGPQNVEADEWFHEMAPMAHRLLSALIIEDDFSDSNRVQRDMPSSHVLCSANRYVTNELQVSDITSNFGLSVDFTCSNNTSMVNQSLCNGYAASSNFISSNSQISIQCENLSDGFSGAVFPEYDPLNDLIPQISQQCHNPGKKIPSPPYEYQYSQISVNDKILIELESIGICPETLPNLNYGGDEDINKMISELRRRLHDQVKQKKCGLHKLDKVIQDTKNIEERILEQHALNKLVENAYRKLQRMQVNSRHKSANKAAKDLAFALAFAKRTLARCQNFERTKKSCFSEPSLWSLLSAPFPSCDAKSTEGVTRWKKGNRERHRNKDASAKGSGPKSGRHSSGTGRSGERKNKTKSKQKLVQLSTSGNFVGRVVESSSTLAAQEHPEPAGSAGARVAWRPRNAPGNAAQRSTNPAVTSLPGLDDDILDVPGGLDVQGNDFSSWFPDGLDDSLPQDDDFSGALEVPDDDLTQLGFM* >Brasy8G295900.3.p pacid=40080855 transcript=Brasy8G295900.3 locus=Brasy8G295900 ID=Brasy8G295900.3.v1.1 annot-version=v1.1 MAGDSREGPAESAPAGSPSTTTAAAAAIAPPSIAKYLWLDPVAAGEQPRHQQTRHGELRRALQAGDPPEELRRVRDGIFESAVMARDRERSLQDAVQRLDRYRNIVTRKRQRSNSPSPAAAEKLGAAAPPSSGSSRARAQSRNSPGAIIKRVRSSLLDGRMEGRGGVPTRQGPVPNSNESSPLEKEKICARTSVTLPGISEDKLRGLSTGGEGWEKKLKRKRSLGTMLNRHDADGLVKSVGQHKPANEAHLRSSDGLALRHGASVRSVAGCKMDSSSRQNNFGSRVLSKIVVDHVTLPNERRVRHAGLEKDCAVIKGNKVHNSDRSLSPSPKTKACRSPRTSSLVTRPSSTLQRSAGGSDECEQAPYSNKVSPLRSMASRKCSSGSTHLNASSPPIAWVGQRPQKMSRTRRANVVSPLSDFDEVLSDGSPLDTAARLSESGGLAVAEAKAMEEVKNGGVENEDANAVHNAAASIVSSSKNRAPLKEEHEHGGVHRQGRSGRGTMNVKGRASISEENLGATGTRKPLKCGRTGPEKSESKVGRPLMNKGSDRKASACQAQALNREPEVDREELLAAVNAARSAIIGTYSSPFWKEMEPMLTFISSENLDFLKHQIDLVEELEMSMLYGGHNVMSSADYSKPQTMERLSQVLATCNSSLLSEQRKANVVRTKGPIDCSIPGEENHSNGPQNVEADEWFHEMAPMAHRLLSALIIEDDFSDSNRVQRDMPSSHVLCSANRYVTNELQVSDITSNFGLSVDFTCSNNTSMVNQSLCNGYAASSNFISSNSQISIQCENLSDGFSGAVFPEYDPLNDLIPQISQQCHNPGKKIPSPPYEYQYSQISVNDKILIELESIGICPETLPNLNYGGDEDINKMISELRRRLHDQVKQKKCGLHKLDKVIQDTKNIEERILEQHALNKLVENAYRKLQRMQVNSRHKSANKAAKDLAFALAFAKRTLARCQNFERTKKSCFSEPSLWSLLSAPFPSCDAKSTEGVTRWKKGNRERHRNKDASAKGSGPKSGRHSSGTGRSGERKNKTKSKQKLVQLSTSGNFVGRVVESSSTLAAQEHPEPAGSAGARVAWRPRNAPGNAAQRSTNPAVTSLPGLDDDILDVPGGLDVQGNDFSSWFPDGLDDSLPQDDDFSGALEVPDDDLTQLGFM* >Brasy8G295900.4.p pacid=40080856 transcript=Brasy8G295900.4 locus=Brasy8G295900 ID=Brasy8G295900.4.v1.1 annot-version=v1.1 MAGDSREGPAESAPAGSPSTTTAAAAAIAPPSIAKYLWLDPVAAGEQPRHQQTRHGELRRALQAGDPPEELRRVRDGIFESAVMARDRERSLQDAVQRLDRYRNIVTRKRQRSNSPSPAAAEKLGAAAPPSSGSSRARAQSRNSPGAIIKRVRSSLLDGRMEGRGGVPTRQGPVPNSNESSPLEKEKICARTSVTLPGISEDKLRGLSTGGEGWEKKLKRKRSLGTMLNRHDADGLVKSVGQHKPANEAHLRSSDGLALRHGASVRSVAGCKMDSSSRQNNFGSRVLSKIVVDHVTLPNERRVRHAGLEKDCAVIKGNKVHNSDRSLSPSPKTKACRSPRTSSLVTRPSSTLQRSAGGSDECEQAPYSNKVSPLRSMASRKCSSGSTHLNASSPPIAWVGQRPQKMSRTRRANVVSPLSDFDEVLSDGSPLDTAARLSESGGLAVAEAKAMEEVKNGGVENEDANAVHNAAASIVSSSKNRAPLKEEHEHGGVHRQGRSGRGTMNVKGRASISEENLGATGTRKPLKCGRTGPEKSESKVGRPLMNKGSDRKASACQAQALNRESRVITGEPEVDREELLAAVNAARSAIIGTYSSPFWKEMEPMLTFISSENLDFLKHQIDLVEELEMSMLYGGHNVMSSADYSKPQTMERLSQVLATCNSSLLSEQRKANVVRTKGPIDCSIPGEENHSNGPQNVEADEWFHEMAPMAHRLLSALIIEDDFSDSNRVQRDMPSSHVLCSANRYVTNELQVSDITSNFGLSVDFTCSNNTSMVNQSLCNGYAASSNFISSNSQISIQCENLSDGFSGAVFPEYDPLNDLIPQISQQCHNPGKKIPSPPYEYQYSQISVNDKILIELESIGICPETLPNLNYGGDEDINKMISELRRRLHDQNSGFDVRISLGKKENGTLQCEHIKLASLTWHTYTW* >Brasy8G114600.1.p pacid=40080857 transcript=Brasy8G114600.1 locus=Brasy8G114600 ID=Brasy8G114600.1.v1.1 annot-version=v1.1 MAAAVQHRGQSAWQVMAVWLGFLLQILLQAIRRSSSSVARLFSFLGLRRPLLSAAPAVAFVQLPSEAPADELPPLPPPSPPLGRLTVVLDLDETLVCAYESSSLPATVRAQAIEAGLHCFDMECISSDKDADGRRRVNHVTVFERPGLHAFLQQTGEFADLILFTAGLEGYARPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFRRIVIVDNNPYSFLLQPLNGIPCVTFSAGQPMDDQLMGVVFPLLKHLSLQKDVRPALYDTFHMPEWFQRHGIPQIVQAA* >Brasy8G114600.2.p pacid=40080858 transcript=Brasy8G114600.2 locus=Brasy8G114600 ID=Brasy8G114600.2.v1.1 annot-version=v1.1 MNILLSCIICKIILGVYLQVVLDLDETLVCAYESSSLPATVRAQAIEAGLHCFDMECISSDKDADGRRRVNHVTVFERPGLHAFLQQTGEFADLILFTAGLEGYARPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFRRIVIVDNNPYSFLLQPLNGIPCVTFSAGQPMDDQLMGVVFPLLKHLSLQKDVRPALYDTFHMPEWFQRHGIPQIVQAA* >Brasy8G093200.1.p pacid=40080859 transcript=Brasy8G093200.1 locus=Brasy8G093200 ID=Brasy8G093200.1.v1.1 annot-version=v1.1 MATTKLVSLSLVLLFNIGLASAARVARYSTSQGTGTGGGQGGGAVNGGGGGSGGGQGNAWSGTGGSHASAGGGGSGGGVARPGGSGFGSGYGGGSSSSQTNQGSWPYGGNGGSSSAGGSGGGGGGGEVDDDEDCPADSAGYGTGGGTGSGSSEADNGYTNANASGNGGGEGGGKNGGTGGGQGTGNGYGDANP* >Brasy8G263400.1.p pacid=40080860 transcript=Brasy8G263400.1 locus=Brasy8G263400 ID=Brasy8G263400.1.v1.1 annot-version=v1.1 MLQRAASHAYSWWWASHIRTRQSKWLDSNLQDMEDRVKCILLLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTVATAFPEQVQYAMLEEDDESLPKAFTTVDPRKIHKSTVEGLMNKKKGGKSGLKGSGKNSAAPVSKENAQTEISRIQKEILVLQTEKEFIKGSYESGIAKYWDLEKQINDMQEEVCYFQQEFNESAVIEDDEARALMTVTALKSCEDTIVKLQEHQKSAFSQAVVELERVNISREKLKNIMRGHGKFLAGSDSLYENVGENGASVKMDDVYYSMKLEKFETEGLVHTIKQYFQKDSDVSVAEIAEQIDELVNKVVDLELMVSSQTAKIDRLCLENTELEKSLQELQEENIVVTSGSSELNDKLEKVEDELMRVQYLESSFHAEESIVYSNFAETASSFSCIKDMLQSPPFEHQAGSAPMLTDEATPSADTELSGESGNIQLEEDLHMDKATRKDDIDGLPDCSGKLELAIVSDNSQISNGCHDIKGGKQDCSDDSEDLWHCGLERKSSFEAALVDKETAENADNNAFGEQNNTEVEYVHEIVSDNGSSMQPYVVHSHQQALLDQLHHISSAVPGDHGVKLEDDKQYLSTTGQSKSEGHPEQEMNKTEDSEASCIMENSAPGNGKVGSIGDQEENMFKLHQLLMSGLQDKEKVLLTEYTSILRNYKNAKRRLTEVETKNKECLDEMKAMLSELRLANEMKDDEIRSLRELLNSSTDKDATHNGQKMNKYTSLSFKSGNGTFRGHRRTPSFLPVHQRKHSAASTSRITMKSSGLNNSETLESPSKDAGTNDAVLDSIDLGDLRLTNIIEMEMASPLEDKFRRDIDGLLEENLEFWIKFSTSFQKIQELQTKHAQLQSETAKLINGEKPMQSSGRAKDPAAKAESGAVEKQLRELKIELQVWLKQNAMFKGELQCRFASLCSIQEEIEGAMEVDGDTAEGVQFTSYQAAKFQGEILNMKQENNKVADELQAGLDHIRGLQAETDKVMAKILKSTSLSGGVEGSRTWKNAPSKSRVPLRSFLFPAKKKKLSLLACMNPALQKQYSDMAFVGKIG* >Brasy8G034600.1.p pacid=40080861 transcript=Brasy8G034600.1 locus=Brasy8G034600 ID=Brasy8G034600.1.v1.1 annot-version=v1.1 MYQAIPYSAGRLPSWPRQPAAAPMASSEAAEDETSAAARAAGDEEEEEAVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVREVDGDGAALAGAGGALPAVFVGGRLLGGLDRLMAVHISGELVPILKDAGALWL* >Brasy8G012100.1.p pacid=40080862 transcript=Brasy8G012100.1 locus=Brasy8G012100 ID=Brasy8G012100.1.v1.1 annot-version=v1.1 MASTVTTPSRPLPSPAGIISHHRRRCCCCCYRPAAVLALAGGGAAAKPRRRRASPAASTTSCAALASPEKQSTAAAAKLKLPTRSTRSTAPATEDYGEVAAALETLYQLSPTVVEEDDVEQGESSSKEAEKKKKQRRGKTKALPAMKTKMAKTTVVVRSRQRGRRMDLGKRVEMRESAAGGGGDEAAELEDALLREHGVSTDMGGLDWRRTKIPPVLSAAHSSRLFKLMQPMKAIFQVKENLESELGREPTDAELGAAMNMPVPRLRRHRDVGRAARNKLIKHNLRLVLYAINKYYPDMAAIGDGGKFEEVCQAGANGLITAIDRFEPRRGFRLSTYALFWVRHSVSRAMAALSSFTRFPFAMESERREIGKAREELAWELGRAPTDEETMRKAGVSPARYRDVARMSRPAGSLHARNRVTQEELINEVTDADAVGAADTQRHSNRLLRLAIDDLLDSLKPKESVVMRQRFGLDGRGRRTLSEIAGNLRISREMVRKYELKALMKLKHPTRVEYLRRYM* >Brasy8G207100.1.p pacid=40080863 transcript=Brasy8G207100.1 locus=Brasy8G207100 ID=Brasy8G207100.1.v1.1 annot-version=v1.1 MSSFSSARVPHHLLLLLLIMLPIILHAKAANTLVVRPVPCLVDQASALLRLKRSFSRSDDDSYSATFRSWVAGTDCCRWEGILCGNADGRVTSLDLGGRNLQASGLNPALFSLTSLKHLNLAGNDFNVSQLPTAGFERLTELTHLDLSNSNFAGRVPDSIGRLTLLASLTNLQELRLGMVNMTGNGARWCDTMANSTPKLQVLSLPYCSLAGPICGSLSALSSLTVIELHYNNLSGQVPEFLAGFSNLSVLQLSSNKLQGWFPSIIFQHRKLTIVDLSKNLGISGTLPNFSGNNILQNLSISDTNFSGTIPSSISYLKSLKKLGLGASGFSGMLPSSIGRLEYLDSLEVSGLQLVGPMPSWISNLTSLTSLQFFQCALSGPIPSFIGNLRKLAKLALYNCQFSGVLPPHISNLTSLESLLLHSNNFVGTVELSMFLKLQNLYVLNLSNNKLVVTDGKNSSSLVSFPEIGFLRLKSCSISSFPNVLRHLDQITGLDLSDNQIGGAIPQWAWETWKSLDFFLLNLSHNKFTSMGSDPLLPLYIEFFDLSFNNLQGPMPIPQEGSLTLDYSNNQLSSVPLDFSTYISNTLILKASRNNLSGKILPSFCHAKSLQLIDLSYNNLSGPIPSSIRLVIPSHACWMSKLPELQVLVLKSNKFKGQVLDPSYTGDGNSCAFTKLRIADLASNKFSGTLPEGLFKMLKSMKDISDSDALSLDLSSNELSGEIPNDLASLTFLSTLNLSYNMLSGGIPYSSQFSTFSNSSFLGNTGLCGPPLSKQCSNQKETNLTPYASEEKYVDVILCLFTASGFGIFFAITIIVISGRRRRKCSSESYYTE* >Brasy8G184100.1.p pacid=40080864 transcript=Brasy8G184100.1 locus=Brasy8G184100 ID=Brasy8G184100.1.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPELQHDLQSFPFHVSEGPDGFPLVHARYLGEERSFTPTQLLAMVLSNLKGIAEGNLKSAVIDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKSDAQSNESQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDLQITQKISTYMIGPFQPSKGEKAKVKVKVRLNIHGIVSLESATMLEEEEVEVPVSATSEVPKDATKMDTDDAQRDPASGNDVNMEDSKGATDTAEGAVENGAHDSEEKSVPMDTDTKVEPSKKKVKKTNVPITELVYGTMGASELEKAVEKEYEMALQDRVMEETKEKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLMAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNTETWILEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPVETPAPEAQTPEQQSSGAGEADEPANDGGAQDQPAAEQMDTDSAEPSSA* >Brasy8G184100.2.p pacid=40080865 transcript=Brasy8G184100.2 locus=Brasy8G184100 ID=Brasy8G184100.2.v1.1 annot-version=v1.1 MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFTDPELQHDLQSFPFHVSEGPDGFPLVHARYLGEERSFTPTQLLAMVLSNLKGIAEGNLKSAVIDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKSDAQSNESQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLNVDTDDLQITQKISTYMIGPFQPSKGEKAKVKVKVRLNIHGIVSLESATMLEEEEVEVPVSATSEVPKDATKMDTDDAQRDPASGNDVNMEDSKGATDTAEGAVENGAHDSEEKSVPMDTDTKVEPSKKKVKKTNVPITELVYGTMGASELEKAVEKEYEMALQDRVMEETKEKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLMAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNTETWILEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPVETPAPEAQTPEQQSSGAGEADEPANDGGAQDQPAAEQMDTDSAEPSSA* >Brasy8G240800.1.p pacid=40080866 transcript=Brasy8G240800.1 locus=Brasy8G240800 ID=Brasy8G240800.1.v1.1 annot-version=v1.1 MVAWKKVCVPKEFGGLGIKDLEAFSSALRLRWLWLQWQPDFRPWKGTPLPCNDRDRALFASCTEISLGNGQMASFWHDRWLNGCALADIAPDLVALARRKNITVSVAAFNGRWMKGLQRLSSVRQLQQFLSLWQSVQSVEFSEASDSVRWRLSANGVYSVNSAYLCQFFGRIPRPMLQKVWRINAEGKIRFFPAIDADGISTWWRKMQAMALSPARKNAISLGAIFQGKQASVLAVKIMIDEELAFLLSVRSL* >Brasy8G067200.1.p pacid=40080867 transcript=Brasy8G067200.1 locus=Brasy8G067200 ID=Brasy8G067200.1.v1.1 annot-version=v1.1 MAVMDTAFKALTAGLGVATLYLAATFSVNVYRGLAWHSEQSKLEKEKSEG* >Brasy8G187900.1.p pacid=40080868 transcript=Brasy8G187900.1 locus=Brasy8G187900 ID=Brasy8G187900.1.v1.1 annot-version=v1.1 MSGRSTDGAAMAAPLHFVLVPLLAQGHVIPMMDMARLIASGRGAGARVTVVLTAVHAARSRAVLEHAARAGLAVDFAELEFPGASLGLPDGCESHDMIRDYSHFRLFCDAMALLAAPLESYLRALPRLPDCVVADSCSPYPTGVARRLGLPRLVFHGPSAFFVLAAHNLAAKDGSSSMAGDDDDFEPFEVPGFPVRAVVNRATSQGFFQSPGLEKHRQDILDAEATADGVVLNTCAAFEAAFVERYAEKLRKKVWAIGPLCLLDTDAQTTAVRGNPAAVDASVVVSWLDARRPQSVLYVSFGSVVHLFPPQVAELAAGLEASNRPFVWVAKETDGLDAGFEARVEGRGLVIRGWAPQMAILAHPSVGGFLTHCGWNSALESLSHGVPLLTWPQLADQFMTEMLVVDVLGAGVRAGVKVPWTHAVMNPEMAKSALVGREDVGRAVAALMGDDEGAALRARATELAAEARAAMASGGSSDRDLADMVRHVAELAQRKDGAGTHR* >Brasy8G187900.2.p pacid=40080869 transcript=Brasy8G187900.2 locus=Brasy8G187900 ID=Brasy8G187900.2.v1.1 annot-version=v1.1 MSGRSTDGAAMAAPLHFVLVPLLAQGHVIPMMDMARLIASGRGAGARVTVVLTAVHAARSRAVLEHAARAGLAVDFAELEFPGASLGLPDGCESHDMIRDYSHFRLFCDAMALLAAPLESYLRALPRLPDCVVADSCSPYPTGVARRLGLPRLVFHGPSAFFVLAAHNLAAKDGSSSMAGDDDDFEPFEVPGFPVRAVVNRATSQGFFQSPGLEKHRQDILDAEATADGVVLNTCAAFEAAFVERYAEKLRKKVWAIGPLCLLDTDAQTTAVRGNPAAVDASVVVSWLDARRPQSVLYVSFGSVVHLFPPQVAELAAGLEASNRPFVWVAKETDGLDAGFEARVEGRGLVIRGWAPQMAILAHPSVGGFLTHCGWNSALESLSHGVPLLTWPQLADQFMTEMLVVDVLGAGVRAGVKVPWTHAVMNPEMAKSALVGREDVGRAVAALMGDDEGAALRARATELAAEARAAMASGGSSDRDLADMVRHVAELAQRKDGAGTHR* >Brasy8G029500.1.p pacid=40080870 transcript=Brasy8G029500.1 locus=Brasy8G029500 ID=Brasy8G029500.1.v1.1 annot-version=v1.1 MCTLERRGRVSVLTLTGDGEHRLGHALISSIRSALSSIATTAKSDPGACSLVTVAEGRFFSNGLDTAWVGTSLPRLQSLIAAFRPVIADLLALPMPTVAALTGHASAAGLILALCHDHRLMRAGAGRGVVLYMSEVDLGVLPPPGYFMAVLRAKIPCARALRDVVLRGRKVRALEAKEMGVVDVVCPGAAETAAEAMELAGWLAAREWDGGAYSARRVSLYPDACRSVGIVVEGTERDGEKAGNFASSRL* >Brasy8G282700.1.p pacid=40080871 transcript=Brasy8G282700.1 locus=Brasy8G282700 ID=Brasy8G282700.1.v1.1 annot-version=v1.1 MSPSSAPASRRHLRLWWRRRGRAGAVAVTFAAALLAAGLLLALSYYASVVAPRAAPSSSGALVGLTLLRRAEEKGALCLDGSAPGYHLQRGSGSGSQSWLIHLEGGGWCRNLKSCASRQKSMLGSSRYMERQVEFAGILSDDKAQNPDFYDWNKVKIRYCDGASFSGNVKDEFQNGTKFFFRGQRIWEAVMDELLLKGLKHAKQAFLTGCSAGGLATYIHCDDFRALLPKDSRVKCLADGGFFLDVEDISKQRTLRAFYSDVVRLQDLKRRFLHCSSSKDPGQCFFPREVVKDINTPVFVLNPAYDAWQVQHVLAPEASDPKHSWLDCRLDISKCDRNQLKILQGFREELHVAMSELKQKKDWGIFIDSCFVHCQSLNSLTWHSPSSPRVSNKTIAEAVGDWFFDRREVKELDCEYPCNPTCHNLVFDKPFKG* >Brasy8G282700.2.p pacid=40080872 transcript=Brasy8G282700.2 locus=Brasy8G282700 ID=Brasy8G282700.2.v1.1 annot-version=v1.1 MLGSSRYMERQVEFAGILSDDKAQNPDFYDWNKVKIRYCDGASFSGNVKDEFQNGTKFFFRGQRIWEAVMDELLLKGLKHAKQAFLTGCSAGGLATYIHCDDFRALLPKDSRVKCLADGGFFLDVEDISKQRTLRAFYSDVVRLQDLKRRFLHCSSSKDPGQCFFPREVVKDINTPVFVLNPAYDAWQVQHVLAPEASDPKHSWLDCRLDISKCDRNQLKILQGFREELHVAMSELKQKKDWGIFIDSCFVHCQSLNSLTWHSPSSPRVSNKTIAEAVGDWFFDRREVKELDCEYPCNPTCHNLVFDKPFKG* >Brasy8G170400.1.p pacid=40080873 transcript=Brasy8G170400.1 locus=Brasy8G170400 ID=Brasy8G170400.1.v1.1 annot-version=v1.1 MDAGDAWGRSSSSSAASRRLQSRYDLYMGFDEADAGEEEMEARGAEAYNCPFCGEDFDFVGLCCHIDDEHAVEAKSGVCPICATRVGMDLIGHLTMQHGSYFKMQRRRRVRKVSSGSHSLLSLLRKDLRDGNLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDKTFLLNKFPDEKTVERAETSLSEKDQKERVKRSEFARGLVLSTIFDEDSL* >Brasy8G026200.1.p pacid=40080874 transcript=Brasy8G026200.1 locus=Brasy8G026200 ID=Brasy8G026200.1.v1.1 annot-version=v1.1 MASPGGAALHRHAAVAVLRAAEAAGELCTGKAIHAQMIRAAHFDVIQHNHLIAFYAKCGRLGLARQLFDAMPSRNAASGNLLMSGYASAGRHNDALALLRAADFSLNEYVLSTALSAAAHVRSYSMGRQCHGYAVKSGLQDHPYVCNAVLHMYCQCAHVEDAVKVFENVSGFDVFAFNSMINGFLDRGEFDGSIRIVRSMVGEVEKWDHVSYVAVIGHCASTKELLLGCQVHAQALKRRLELNVYVGSALVDMYGKCDCARDAHSAFEVLPEKNVVSWTAVMTAYTQNERFEDALQLFLDLEIEGVRPNEFTYAVALNSCAGLAALKNGNALSASAMKTGHWGALSVCNALMNMYSKSGSIHDAWRVFLSMPWRDVVSWNSVIIGYAHHGLAREAMCVFHDMLLAEIVPSYVTFVGVLSACAQLGLVDEGLYYLNIMMKEMGIKRGKEHYTCMVGLLCRAGRLDEAEQFILSNCICTDVVAWKSLLSSCQVYKNYGLGHRVAEQILQLKPNDVGTYVLLSNMYAKANRWDGVVKVRKLMRERGVRKEPGVSWIQVGSEVHVFTSEDKNHKWINQITIKLKELIGQIKVIGYVPNFAVVLHDVEAEQKEEHLMYHSEKMALAFGLIHSPEGETIRIMKNLRICDDCHVAIKLISVVTRRRIVVRDTVRFHCIEDGVCSCDDYW* >Brasy8G256800.1.p pacid=40080875 transcript=Brasy8G256800.1 locus=Brasy8G256800 ID=Brasy8G256800.1.v1.1 annot-version=v1.1 MEAKTTRAAAMAALCVLLALMLSTMPGKAAPERPTPSDFCKCYRPCYTECRRTIPWWSRFICVAKCLDDCSPHKLPAAGGDPCTVACAGVNTICDTSESGPAPEGDDAAGDTAACVRGCNMWRQHSTK* >Brasy8G092600.1.p pacid=40080876 transcript=Brasy8G092600.1 locus=Brasy8G092600 ID=Brasy8G092600.1.v1.1 annot-version=v1.1 MEADVGVAGDTALPRARRLLGRQRRRAGSGSGYSSAGASNAHRGGPYGTGDSTSNGGGGGGGGGENGGQGAGAGSGSGNGGTVGLFGGGFSNGSGGGGGGGSGGGPQNGSGSGSGTGGGMGTGNVGVPGGGGSSARGGESGGGVGAGHDGGYASGSGGASGSRSGEGRSAPKDSRVHIDQGVFSFGFARSQWVDEISRRSI* >Brasy8G110000.1.p pacid=40080877 transcript=Brasy8G110000.1 locus=Brasy8G110000 ID=Brasy8G110000.1.v1.1 annot-version=v1.1 MQRLASSRRLLQAALAPGRAHSSLSAAAVAASPQNGGGAPKMPPFDYTPPPYDGPRVAEVARKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVIEAIVNQAKKIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAATMGATAQCNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDIQETIDYGTTGRVGGFISEAIQGVGGIVELAPGYLPAAYNMIRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAAGHAVLKVLEKEKLQENAFVVGSYLKDQLNILKEKHDIIGDVRGRGFLLGVELVTDHQKKTPAKVEIAHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFSKEDSDFFIEVMDTALSKL* >Brasy8G260500.1.p pacid=40080878 transcript=Brasy8G260500.1 locus=Brasy8G260500 ID=Brasy8G260500.1.v1.1 annot-version=v1.1 MTVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVSNKPEWFLKINPEGKVPVFNSGDGKWIADSDVITQIIEEKYPTPSLVTPPEYSSVGSKIFSTFIAFLKSKDASDGTEKALLDELQALEEHLKAHGPYISGENVSAADLSLGPKLFHLQVSLDHFKGWKIPETLTSVHTYTEALFSRESFVKTKPAKEHLIAGWAPKVNP* >Brasy8G122400.1.p pacid=40080879 transcript=Brasy8G122400.1 locus=Brasy8G122400 ID=Brasy8G122400.1.v1.1 annot-version=v1.1 MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLWKPVAILAAFMTGISIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCILWLTSCSLLTVSWALLIALLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL* >Brasy8G248800.1.p pacid=40080880 transcript=Brasy8G248800.1 locus=Brasy8G248800 ID=Brasy8G248800.1.v1.1 annot-version=v1.1 MLSEPGNEELAELLWADDGPAFRRPPPPLFPPFAATRPRPRPKHPAPLDAMRDLLAVHEDEDAVPWLHYPIVGVEDDDGDGGGGGAVPLPPEYCLPLAPAPAALAGRGAGDAPSTSHGVPKQQPQPQPRPSGGEGVMNFTFFSRPLHRPPPPPVESMSTAAVTTNLLRSTPLFSEQRMAWLQPPPPKVTLTPAAAASPPPPAPAAAPLRHPEPAPPLTRLPPEARAPEPHATATTSSVCSDNGHRSHQPKRSSHQAAADWSLSQDDEDLDEEAGGLRRSAAARGTKRGRTAEVHNMSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSSMGPAAAAAGLCVPPMLMAPMGMGMAHFHPHHLGAMGFGMGAAAFDPRLVAAAAAAAAQFPCGPMPSFAFPGGAHAMPPFPQTAAAAGAHFVINNAGAGGAQAEQAPGDHPAAPP* >Brasy8G161500.1.p pacid=40080881 transcript=Brasy8G161500.1 locus=Brasy8G161500 ID=Brasy8G161500.1.v1.1 annot-version=v1.1 MASKLVVLGIPWDVDTEGLREYMSKFGPLDDCIVMKDRSSGRSRGFGYVTFSSEEDAKNVVNCEHILGDRTLEVKIATPKEEMRASGNKKATRIFVARIPESVDESMFRRHFQSFGEIIDLYMPKELGSKDHRGIGFVTFRSAECVDDVMKETHELDGTTVVVDRATPKDEDVRHPPRARRAPVHGDGGYGSYNAYITAATRYAALGVPTLYDHPGQAYGRGYSNEPLVTGKKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADDGVADRVARRSHEILGQEVAVDSAAPLEGGSSGGGYMESAGPYGAYGPMLSYGHFPGSLGYDYGGYGPSGSSSRSRTNPRYRPY* >Brasy8G161500.2.p pacid=40080882 transcript=Brasy8G161500.2 locus=Brasy8G161500 ID=Brasy8G161500.2.v1.1 annot-version=v1.1 MASKLVVLGIPWDVDTEGLREYMSKFGPLDDCIVMKDRSSGRSRGFGYVTFSSEEDAKNVVNCEHILGDRTLEVKIATPKEEMRASGNKKATRIFVARIPESVDESMFRRHFQSFGEIIDLYMPKELGSKDHRGIGFVTFRSAECVDDVMKETHELDGTTVVVDRATPKDEDVRHPPRARRAPVHGDGGYGSYNAYITAATRYAALGVPTLYDHPGQAYGSKKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADDGVADRVARRSHEILGQEVAVDSAAPLEGGSSGGGYMESAGPYGAYGPMLSYGHFPGSLGYDYGGYGPSGSSSRSRTNPRYRPY* >Brasy8G267200.1.p pacid=40080883 transcript=Brasy8G267200.1 locus=Brasy8G267200 ID=Brasy8G267200.1.v1.1 annot-version=v1.1 MASTSLTLVSSLVGSSMQPHGCNLQHDRVYHGSPRDAGCPEHGRRPHERPHAGELHPAPEHLLHPAPEHHLPPGRGVALALAITRPRRAAELEHLAPEAGEGGSLPQWEAEERGRERFARVALKRWRASRRGVISSSGTRCVEKGESRWRHGDAARRRHGEEEADLVLAGGGLGTHLGLLLALLGLAPVRGEGGSGRAMCEEVEVDLGGRRRRRWQREIGAVAVRAEEEREERQVGGGGGARGREERRRVGSGLGETREHEREETIREFGRWHRDVIYLKLDRRTSLPRNCKQ* >Brasy8G265600.1.p pacid=40080884 transcript=Brasy8G265600.1 locus=Brasy8G265600 ID=Brasy8G265600.1.v1.1 annot-version=v1.1 MKVEEVIETRNHMFNLFLAVSPEIFIINATFILLIHGVVFSTSKKDDYPPLVSNVGWLGLLRNLSMGGRPNPFAAARPANRTQQEQGNSPGSGRHMSPNAIMNVRPTLHYVGTCACRRDNFTYFCQILLLLSTAGTISMCFDFFEKERFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSTEAGSKYLILGAFPSGILLFGCDRTTTDQFLETSL* >Brasy8G072700.1.p pacid=40080885 transcript=Brasy8G072700.1 locus=Brasy8G072700 ID=Brasy8G072700.1.v1.1 annot-version=v1.1 MYIPMISGRSEGSTATFFSSRRENNKRLQAVHLLSLSLSSALRGLPRPSLSLSRSRSGLRRRRPMAEEAAAGSRRWRSCDPGVEEHRLSAAAGEDRFDGLPDPLLLVIFNRIGDVKALGRCSLVSRRFHALVPLVDSVLVRVDCVIPDDASSSSTAAAGDFSLSAPSSPTASARARNVVSQIARMVIGGIVKPIQALGQILSHGNSDSDFPASSSSASRRFASLSPSSPPSGDVSHHSPSEVLRSFKELRRLRIELPACEHGMDDGVLLKWKADFGSTLGSCVILGAASASPSSAGKDGAGTAAAAADCGESDESGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIISDHKTLESLDLTDADGQGVLTMDKLQLQELRVRPVSASDGSHRTLMPALSMRLWYAPHIELPGGTVLNGATLVAIKPSEDAMRDSVGSGAAGSAGGSWVSDAFEEPYRTAVRMLLKRRMYSLEMNSF* >Brasy8G002300.1.p pacid=40080886 transcript=Brasy8G002300.1 locus=Brasy8G002300 ID=Brasy8G002300.1.v1.1 annot-version=v1.1 MYADAGFGGFSAYSPPAPGSSCFFSTHPDLSYSCFSSSPAPAPAPAAAAAAPPPLLLPADAPLDAAIYDNLGDMGQPSLISEYDLGAEGDLFMAPEPIIEEPLLSLDPVAAAISMMSGGAAENAMDETIKAAAADMGLSEVLFECEKELMEKSAIEETISELLDVKIPMLQVEQETSAAAGECSLHKSVSSGCLNSADLMNGTAAASATVRPNFLDFQGLDFEAAFGMRRAFSEGDIQNLGANTPRTGNSGNVQASCERLVTIADLKTEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKMDDGDMLKPRK* >Brasy8G002300.2.p pacid=40080887 transcript=Brasy8G002300.2 locus=Brasy8G002300 ID=Brasy8G002300.2.v1.1 annot-version=v1.1 MYADAGFGGFSAYSPPAPGSSCFFSTHPDLSYSCFSSSPAPAPAPAAAAAAPPPLLLPADAPLDAAIYDNLGDMGQPSLISEYDLGAEGDLFMAPEPIIEEPLLSLDPVAAAISMMSGGAAENAMDETIKAAAADMGLSEVLFECEKELMEKSAIEETISELLDVKIPMLQVEQETSAAAGECSLHKSVSSGCLNSADLMNGTAAASATVRPNFLDFQGLDFEAAFGMRRAFSEGDIQVPTFPFSFINCTYTTRRYRNDCDKHWLY* >Brasy8G002300.3.p pacid=40080888 transcript=Brasy8G002300.3 locus=Brasy8G002300 ID=Brasy8G002300.3.v1.1 annot-version=v1.1 MGQPSLISEYDLGAEGDLFMAPEPIIEEPLLSLDPVAAAISMMSGGAAENAMDETIKAAAADMGLSEVLFECEKELMEKSAIEETISELLDVKIPMLQVEQETSAAAGECSLHKSVSSGCLNSADLMNGTAAASATVRPNFLDFQGLDFEAAFGMRRAFSEGDIQNLGANTPRTGNSGNVQASCERLVTIADLKTEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKMDDGDMLKPRK* >Brasy8G240400.1.p pacid=40080889 transcript=Brasy8G240400.1 locus=Brasy8G240400 ID=Brasy8G240400.1.v1.1 annot-version=v1.1 MGKNGGGEGRHRCGRRVRGATARGARGGAAVDASALVSAAAALGGDEGRGMGKRRAGARP* >Brasy8G012300.1.p pacid=40080890 transcript=Brasy8G012300.1 locus=Brasy8G012300 ID=Brasy8G012300.1.v1.1 annot-version=v1.1 MLRKIFNRGAVMMAGTRQAASVGISPAAGWSKVKSKVTHGVKVVWEDGLTAIEKIGSIFTRTGRVVVVGVTAAGVVKITYEERNRSEETVDATSGYERARARVFSYLDSVGGESAPGPGGKLTGLKLLFGPFKPPVPTSNISDDWVWVEVVEVESSANHEKDAGEVVEIESSANHEKDAGDAAK* >Brasy8G012300.2.p pacid=40080891 transcript=Brasy8G012300.2 locus=Brasy8G012300 ID=Brasy8G012300.2.v1.1 annot-version=v1.1 MLRKIFNRGAVMMAGTRQAASVGISPAAGWSKVKSKVTHGVKVWEDGLTAIEKIGSIFTRTGRVVVVGVTAAGVVKITYEERNRSEETVDATSGYERARARVFSYLDSVGGESAPGPGGKLTGLKLLFGPFKPPVPTSNISDDWVWVEVVEVESSANHEKDAGEVVEIESSANHEKDAGDAAK* >Brasy8G147800.1.p pacid=40080892 transcript=Brasy8G147800.1 locus=Brasy8G147800 ID=Brasy8G147800.1.v1.1 annot-version=v1.1 MASSGSSWTLPDHPKLPKGKTVAVIVLDGWGEASPDKYNCIHVAQTPVMDSLKNGAPDKWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDAALASGKIYEGAGFNYIKESFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRLHILTDGRDVLDGSSIGFVETIENDLSQLREKGVDARIASGGGRMNVTMDRYENDWGVVKRGWDAQVLGEAPYKFQSALEAVKTLRAEPKANDQYLPPFVIVDESGNSVGPIVDGDAVVTFNFRADRMVMLAKALEFADFDKFDRVRVPKIKYAGMLQYDGELKLPSKYLVDPPLIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNEQPKMKALEIAEKTRDAILSGKFDQVRINLPNGDMVGHTGDIEATVVACKAADEAVKIVLDAVEQVGGIYLVTADHGNAEDMVKRNKAGQPMLDKSGSIQILTSHTLQPVPVAIGGPGLHPGVKFRSDVQTPGLANVAATVMNLHGFEAPADFETTLIEVVDK* >Brasy8G145700.1.p pacid=40080893 transcript=Brasy8G145700.1 locus=Brasy8G145700 ID=Brasy8G145700.1.v1.1 annot-version=v1.1 MESYTCEAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMVNKLGIEESLVPKMCLDLYKEYGTTMAGLKLMGYDFDYDEFHACVHGTLPYEKLKPDPVLRNLLLSLPQRKLIFTNSDRAHAARVLEKMGLEGCFEGIICFDTLNPSVAAAAGPACDEARVLCKPSLESMEAVVEIAKLDPKKTVFFDDSARNIASGKAAGFHTVIVGSSALVAGADVALESIHNIREALPDLWEPTAEQHADLRSAAVETTVLA* >Brasy8G087000.1.p pacid=40080894 transcript=Brasy8G087000.1 locus=Brasy8G087000 ID=Brasy8G087000.1.v1.1 annot-version=v1.1 MEKAQCGSKSNVVHWGATAGGSGKQQRKRKGGGEPIKVVYVNNPMRVTTDKAGFRALVQELTGRHADPSKYGATVSGDSSGSPAGPRMAPSPGSTAESSDGASGSGSGAAAGACHDQDEIPEPPAAAAAACYGYAWGDEEDDEDSFAPQLIDNRYSVFSPPTFLYASHDEV* >Brasy8G175100.1.p pacid=40080895 transcript=Brasy8G175100.1 locus=Brasy8G175100 ID=Brasy8G175100.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDRFPVHKAVGIGHTIELAIQQVAYMLNKGPFKYLPRGFVTCENKFVTLPGMPEEKVASDGYDFCNFVTSQEYMVAKMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFRVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFSYSPQLLPRF* >Brasy8G159100.1.p pacid=40080896 transcript=Brasy8G159100.1 locus=Brasy8G159100 ID=Brasy8G159100.1.v1.1 annot-version=v1.1 MKQFPAPVLLELAAISGSPLRPLTGAAMEDLSSELDPALLLSTSASSSSPPDSASPSFSSYQSPPPQYTLAVNNLSCPAPRRANTAFLPFLSSSPNPNARAEGLLNSVSFTASSSSILAVVGPSGAGKSTLLRILSGRGTGSEITANNATVSINGRAVTSRAQLRRTCGFVTQDDNLLPLLTVRETVHFAARFRLRSSSAPERRERVDALLQELRLSDVADSYVGGGDEGESRGVSGGERKRVSVAVDMVHDPPLLLLDEPTSGLDSRSATDVLALLHDVARARRQVVVLSIHQPSYRMLAAYVSSLLLLSGGRVAHLGTLATLEDALARLGHRIPAQLNPLELAMEVTDQLRDDHAKFFLPAAARIQDHRLHDYEDDSRGSLGVQDEQQQHGHGRYHCSRAEEVWVLTVRCWRTMYRTKQLFAARAAQAVVGGLGLGSVFFRARPDDPDGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVAANALVFAPCLLAVAILFSAPVYWLVGLRASLGAFAFFVLAVWLIVMMASSLVLFLSAVSPDFILGNSLVCIFLGVFFLFSGYFIPKDSIPRYWAFMYYVSMYRYPLDLLLINEYGGRARASCVAWTGGDAVDGVCLSTGADVLRGRGIDEGMKWVNVGVMLGFFLVYRLMCWAVLVRRASKTTL* >Brasy8G246900.1.p pacid=40080897 transcript=Brasy8G246900.1 locus=Brasy8G246900 ID=Brasy8G246900.1.v1.1 annot-version=v1.1 MALALLSPAVRHLRRPLNSPPLARTTTSNTGFAFFTPSSSPASRWYSLQRTSSAGSGDGAVLSEAPGDRWLDADLLRRISGAGDADQALDIIAESVGGADSGRSRASLDASDCHAILAAALDRGNVDLALSVFEGMRSGFSQGECWSWARPDARTYALLVQRLAAALRVSDAIRIIDYVSGAGVSSAEEVPFGITVRCPTCTVAIAVAQPQHGTQVVSCSMCRYQYELFSGEITSIESEEVSMDISALEKALRFINVMKDDLPAAVHSILIQTPSGIARTHRFATKTVELPAQEGERVTISSAAPTNTYREMGPLKIAARSKGFRPGEPMCLTNHISGQVSKLLRAPSKNAGPFVLTPYLFVGALALLASGDAVSAFIDPSLPRLITATVIASAAVGTTLNQVILPETRKLPQKAVDIVAVRQQLLSQYDMLQSRLKDLKQLAEKEVWMLARMCRLENKILSVGEPSYRARRGRVKRVRKSLETTLSTKIELMESYAKLCSMIEIEVEMDSDVIVAEAASGAERISEQIQQLMEIDGLEEQWRIQAEANDEAERLLSSDSSEALSAENV* >Brasy8G246900.2.p pacid=40080898 transcript=Brasy8G246900.2 locus=Brasy8G246900 ID=Brasy8G246900.2.v1.1 annot-version=v1.1 MALALLSPAVRHLRRPLNSPPLARTTTSNTGFAFFTPSSSPASRWYSLQRTSSAGSGDGAVLSEAPGDRWLDADLLRRISGAGDADQALDIIAESVGGADSGRSRASLDASDCHAILAAALDRGNVDLALSVFEGMRSGFSQGECWSWARPDARTYALLVQRLAAALRVSDAIRIIDYVSGAGVSSAEEVPFGITVRCPTCTVAIAVAQPQHGTQVVSCSMCRYQYELFSGEITSIESEEVSMDISALEKALRFINVMKDDLPAAVHSILIQTPSGIARTHRFATKTVELPAQEGERVTISSAAPTNTYREMGPLKIAARSKGFRPGEPMCLTNHISGQVSKLLRAPSKNAGPFVLTPYLFVGALALLASGDAVSAFIDPSLPRLITATVIASAAVGTTLNQVILPETRKLPQKAVDIVAVRQQLLSQYDMLQSRLKDLKQLAEKEVWMLARMCRLENKILSVGEPSYRARRGRVKRVRKSLETTLSTKIELMESYAKLCSMIEIEVEMDSDVIVAEAASGAERISEQIQQLMEIDGLEEQWRIQAEANDEAERLLSSDSSEALSAENV* >Brasy8G033600.1.p pacid=40080899 transcript=Brasy8G033600.1 locus=Brasy8G033600 ID=Brasy8G033600.1.v1.1 annot-version=v1.1 MSYRAEDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLRELKDHTDANIVIMLVGNKADLRHLRAVQSEDAKAFAERENTFFMETSALEAMNVEDAFTEVLSQIYRVVSKKALDIGDDPAAPPKGKTISVGSKDDVSAVKKSACCSS* >Brasy8G237900.1.p pacid=40080900 transcript=Brasy8G237900.1 locus=Brasy8G237900 ID=Brasy8G237900.1.v1.1 annot-version=v1.1 MSGGVDDYKREESVALIVIVSLAALSLLSLVAAFAYYCYITRKVSRRLNSHQLPKRSSSPPPPPALSPPMIPPQGKESPSSNSASDGGAAGALVVGTERGVQVFGYRQLHAATGGFGRAHMVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEKEFEMEVELLSRLRSSYLLGLIGHCSEGGHRLLVYEFMANGCLQDHLYPNAAFDVGSCGGISKLDWPTRMRIALEAAKGLEYLHERVSPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQLLDKSLEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSTSKTCNPNAQSSRPLD* >Brasy8G237900.2.p pacid=40080901 transcript=Brasy8G237900.2 locus=Brasy8G237900 ID=Brasy8G237900.2.v1.1 annot-version=v1.1 MSGGVDDYKREESVALIVIVSLAALSLLSLVAAFAYYCYITRKVSRRLNSHQLPKRSSSPPPPPALSPPMIPPQGKESPSSNSASDGGAAGALVVGTERGVQVFGYRQLHAATGGFGRAHMVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEKEFEMEVELLSRLRSSYLLGLIGHCSEGGHRLLVYEFMANGCLQDHLYPNAGSCGGISKLDWPTRMRIALEAAKGLEYLHERVSPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQLLDKSLEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSTSKTCNPNAQSSRPLD* >Brasy8G230500.1.p pacid=40080902 transcript=Brasy8G230500.1 locus=Brasy8G230500 ID=Brasy8G230500.1.v1.1 annot-version=v1.1 MFGWGKRGKNPAPASGGAGEVAVKKVDRIEFDSLVKPPPRFGAGGIISAANPRNPAALPPAVTGTAAARMHDGSPREDINRKASRFIEDTKKRWQLAHKSFRATGTGR* >Brasy8G140700.1.p pacid=40080903 transcript=Brasy8G140700.1 locus=Brasy8G140700 ID=Brasy8G140700.1.v1.1 annot-version=v1.1 MAGAIPAGSTLLVFLGLLLLICTGGLPLVRGADTVSAGRPLSGGQHLVSAGGKFALGFFQPDGGAAGRWYIGIWYHNISMQTPVWVANRDSPVPDPATSRLAVAPDGNLALFYPLLPVWSTNANAVANASGTGVIAVLLDTGNLVLAPASNASLVLWQSFDHVGDTWLPGGKLRRDKRTGEIQGMVSWRARGDPGTGSYALQLDPSGIPQYVLLWNGTRRQYWATGNWTGRSFTGAPEVAASSGGSGYSFEFLDNEVESYFTYNFAANATVYRFVMDASGQVKGWFWVEATQGWNLVYAEPKDPCAVPRGCGAFGVCSESASAACGCARGFRPMSPAGWALGDYTAGCVRGSQLQCAKNSSGNLDKAEQDKFLRMDGVRLPDDGRVVAGAASSGGDCQRACIGDCTCSAYAYNGSCFLWHKDLFDLQGGVGEGRRLYLRLAASELPGARSHKWRNIKVVLGALGVVCSVIAASILLVRVTRKRRAKRVNGLTIGDGSVTSFKYRDLQFLTNNFSDKIGGGAFGSVFKGQFSDNTVVAVKKLEGLRQGEKQFRAEVSTLGTVQHVNLIRMLGFCSDGGDRKLLAYEYMPNGSLDRHLFRKTFYVLSWKARYQVALGVAKGLAYLHDKCRDCIIHCDVKPENILLDGSFAPKVADFGLSKLVGRDFSRAITTMRGTIGYLAPEWISGEAITAKADVFSYGMMLFEIVSGRRNIEQGQRRFEIEMSSSTAATADAGGEQATTTSFFPLVVARRLMEEGDVTTLLDPELEMDANAEELRMVCKVACWCIQHGVDARPTMAVVVQALEGLTDVEMPPVPVYLEVLAGQPAYETADHSVYHTANVV* >Brasy8G202100.1.p pacid=40080904 transcript=Brasy8G202100.1 locus=Brasy8G202100 ID=Brasy8G202100.1.v1.1 annot-version=v1.1 MVAQPAAASPAYPPAAPSSSRFPWRRSPPPPSLRLRRRAHGARPPLLVALAAQLPTSYLRSTASISSLQQWNQHSNQPPARGPVRWTPRRRIRSPSICSCSRRRSAAAHPPLPGSRMPPLDLGPARLRLPVCLARTTFFRVSYVCLLTLRLPPVR* >Brasy8G240100.1.p pacid=40080905 transcript=Brasy8G240100.1 locus=Brasy8G240100 ID=Brasy8G240100.1.v1.1 annot-version=v1.1 MAAVSGSSDGGRETRLVDRCIDAAARGPATVDAWRRQRRSLERLPGQLADALLQRLAARRLLFPSLLEVFRHSVEEIDLSGNIAVDAEWLAYIGSFRFLRALKLADCKNVNNSAIWALSGMSTLKELVLSRCSRISDAAIKHIVSIDSLEKLHLSETGLTDNGVMLISALQNLDLLDLGGIHMTDKALRSLQVLTRLEHLDIWGSEITNEGASVLQAFTRLSFLNVSWTHVTRLPLLPNLQHLNMSNCTIHSIRDGDSEVHVPLEKFIVCAASFGNIYEVFSSIQGSSLLYLDMSGSSLSNLYIFEKMKHLEHLDLSFSRITDDAIQHVANIGMNLRYLSLKNTGITSQAPCILAGTVPNLSSLSLAYTEIDDSALVYIGMMPSLRVIDLSHTSIKGFTRVEVNSEKIPSMPPLEHLMYLESLNLEDTALSDEVIPPLGSFRAIKYLYLKSDFLSDPALHALSSASNLIHLGFCGNILSDSGLLQFVPPAKLRVLDISGCWILTGDAVSTFCRHHPVVEVRHELWQELQPNSGGTSQVQKSRQLPKAKTEAVNSQAGPSRLSSIFFVDQRIKYSREELMEVQHLTESNSVMHGVHLPPELRRMG* >Brasy8G169300.1.p pacid=40080906 transcript=Brasy8G169300.1 locus=Brasy8G169300 ID=Brasy8G169300.1.v1.1 annot-version=v1.1 MNVERLPAERHGHRYARMPPEHEPEGDASSSSVPGPAPRPEVLAASASFRLSEATRVFEELPRATIFSVSRPEAGDITPMLLSYTIEINYKQFRWRLFKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGDNMPVVHDEDEVDDVNVPSQPDESSIRNRNVPSIAVLPVIRPALGRQHSISDRAKVAMQEYLNHFFGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSIGHLPKIQKGRKEKCCSCGLFNCCKSNWQKVWVVLKPGFLALLKDPFDPKLLDVIIFDALPHMDINGEGQISLAKETKERNPLHFGFQVSSGGRTIKLRTRSSGKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMAQWFIDGQAAFEAIASSIEHAKSEIFITGWWLCPELYLRRPFEHHGSSRLDALLEARAKQGVQVYILMYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPEHKVMDVPPVIWPGKDYYNPRESEPNSWEDTAKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYKGRSKETNGEAEGKQSHVENVEVKRTASLASCASCQDVPLLLPQELEPQALPDGDLAVTGLDINQADNPNKTGFKQPLLNRKAKIDASRQDLPMRGFVDNLSSIESAPIRRSESLKEERHHMDIKWWEMQERGDQLASVLDIGQVGPRATCRCQIIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFHGLRAHGRLSDGGPLVTSQIYVHSKLMIIDDRIALIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRHWEAGKFSHSLRLSLWAEHLGLHRGEVSHIMDPMDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRTQFRQSIAHWREKIGHTTIDLGVAQEKLETYQDGDLKSTDPMDRLQLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH* >Brasy8G199700.1.p pacid=40080907 transcript=Brasy8G199700.1 locus=Brasy8G199700 ID=Brasy8G199700.1.v1.1 annot-version=v1.1 MAIPKASLLAFLGCLCFCSSVLAARELNDDLSMVARHESWMVQYGRVYKDAAEKAQKFEVFKANARFIDTFNAKNHKFWLGINQFADIINEEFKATKTNKGFISNKVRAPTGFMYENVSFDALPAAIDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTQESNYPYDAADGKCKSGSSSAATIKSYEDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKFWLMKNSWGTSWGENGFLRMEKDIADKKGMCGLAMEPSYPTA* >Brasy8G084200.1.p pacid=40080908 transcript=Brasy8G084200.1 locus=Brasy8G084200 ID=Brasy8G084200.1.v1.1 annot-version=v1.1 MENGGVGCNHGLYAARLVSFEGTNLGRRYLGCGDPEMFGDCGFVQWITPEWPSSLKKALTSLWRHVQEQKQAVDDERFELSAEREAGRDEERSVAIDKAWIELERSAMKKDWETLLAREEEFRQKVQDYEELVARTIAESARKDVAIGYSVAVCVALTCVLAYAINLLSAR* >Brasy8G009500.1.p pacid=40080909 transcript=Brasy8G009500.1 locus=Brasy8G009500 ID=Brasy8G009500.1.v1.1 annot-version=v1.1 MFALAIFLLLLTETTTGWSKSPDTWRTHGGRCIRTEREALLSMKAAITEDPERMLSSWRGLDCCRWEGVRCGSAMIGGHVVELNLNAWYTESSLGGEISSSLKDLEHLQHLDLSGNYNLTGPRGRLPEFIGSLRDLRYLNLSRLNFSGTVPHHLGNLSRLLYLDLKFNGLHSEDLSWLPQLSLLKYLDMSHVDLSTVIGWVDTVNMLPSLEVLRLSRCSLNNTGYFVSRSNLTRLKILDISSNSIEMQFDAISWVWGAAGIKNLNLESNHIYGLFPAEMGNLSSLEVLQLSGNHLKGMIPDTLTSLCSLKIFEPAHNDVQGDMTEFIKRLPKCSWSQLQVLDLSNNNITGSLSERVSYMTSLRTLNLGFNRLTGALTTEIGTLSNLIYLNLGYNQMDGPITRYHFSKLTELQELHLSANSFTVELNSDWIPPFRLLSLGMKSCYLGPGFPQWLKSQNSISSLYISDANIAGMVPDWFWTVFSKAKNLDLSKNNISGTLPANLGQMDASFLDLSSNRFTGSVQQVPQNIITLDLSRNSLSGPLPWPIFTESLFLSDNHFTGTIPKTLCQMKSLTVLDIGNNMIMGKFPRCPDNVASRSRMVSPNTASPDSDSSSDMPLSMSIETLRLNNNSLSDEFPLFLQNCPELTFIDLGQNKLFGSIPTWIAQKLQHYYTIDSISVVTKGQGREYTGQFILMVSLDLSCNHLTGDIPRSIGPAAGKIPDSIGSMHSLESLDLSNNELSGEIPSGLSDLTSLSYLNLSYNNLSGRIPSGHQIDTLNPTDPASMYIGNIGLCGPPLQKNCSGNGTVERSFTTSKEGSETLPFCFGLSVGFVVGLWVVFCSFLFKKTWRASYFRLFDELCDKMYVLVAVNWTRMMRKTTTTE* >Brasy8G256600.1.p pacid=40080910 transcript=Brasy8G256600.1 locus=Brasy8G256600 ID=Brasy8G256600.1.v1.1 annot-version=v1.1 MAAVVSASLGAFGPLLGKLSALVDNECGRLKGVRREIRSLRSELTGMHGALKKYAKLEDPDDQVKEWMSLVRELAYDTEDCFDKFVHHLGDDGRDNGYKEFFRKTARRLKTLGARRGIANQIDDLKARIKEVKDLKSSYKLDDIASSTSSHAAVDPRLSALFAEEAHLVGVDGPRDVLAKWMLDEENRNHRRVLSIVGFGGLGKTTLANEIYRKIQRDFHCHAFVSVSQKPDTEKIIKDVISQVSFKEEFTKDIDSWDEKKSIAKLRELLQDKRYLVIIDDIWSILAWNAIKCAFPENNLYSRIIATTRIVEVASSCCPGPDDQIYEMKPLSKPHSKKLFLKRIFGSEDCCPDMLKEVSNDILRKCGGLPLAIISISGLLANRPRVKEEWEKVKRSVGSDLNKSQSLEGMKNILSLSYNDLPPNLKTCLLYLSNFPEDCVIERERLVRRWIAEGFISEERGQNRQEVAEFYFYELINKSMVQPVDVGYDGKVRACRVHDMMLELIISKSIEENFITVVSGNRTVWEKSQCFIRRLSIQHIDQKLASELGKKDLSHVRSLTVTSSSCIKYLPSLAKFEALRVLDFQGCQGLDEYNMNGMDRLFQLKYLSFRGMSISELPSGVVMLHDLETLDLRNTVVKELPARIVQLVKLQHLLTGYRGETVIPIGIGNMTNLQEFSGFNITMSSVGAVEELGNLINLNVLHVWFINLYAIPLRFIICKDESQKYQRHAEMLLSSLCKLGSYKLQSLCIEGGNSTPFELLDSWSPLPSCLQKFEMFVDYYLSKLPKWIAPALSSLAHIDINLSQVTEEDLRILGELPALLYLKLCTNTVQKDRLRLQRRGFQCLKEFAYKLFGGGGPTLLFEQGALPKLERLELWLCVSSAKAFGFYLGIEQLLYLKDFEVVVLDKEGATSSECKAAAAAIRKEANLHPNHPRCKIRRD* >Brasy8G059100.1.p pacid=40080911 transcript=Brasy8G059100.1 locus=Brasy8G059100 ID=Brasy8G059100.1.v1.1 annot-version=v1.1 MELEPNPAVVLHACLGVGHLIPMVELAKLFLRRGISVVIAVPTPPANTVDFFSSSGSAVATLAAANPSISFHHLPPPDYPSPDPDPFMQMLDVLRLTVPSLLAFIRSLPSVAALVLDLFCVEALDAAAETGVPACLYYTSCAGDLAAFLHLPHYFATTEGNFKDMGKGLLHFPGVPPIPASDMPHTVLDRATRACAARIRHYGRIPEARGLLINTYEWLETRAVRALREGACVPDRRTPQVYCIGPLIVNGEAAAKGERHACLSWLDAQPERSVVFLCFGSLGAVSAAQLKEIARGLENSGHRFLWVVRSPPEDPTKFFLPRPEPDLDALLPEGFLERTRDRGLVLKMWAPQVEVLRHAATGAFMTHCGWNSVLEGASAGIPMLCWPQYAEQRLNKVFVVDELKVGVVMEGYDEELVKAEEVEKKVRLVMESEEGEKLRERLSLAKEKAAEALADNGSSLMAFSEFLKDLKLSE* >Brasy8G272300.1.p pacid=40080912 transcript=Brasy8G272300.1 locus=Brasy8G272300 ID=Brasy8G272300.1.v1.1 annot-version=v1.1 MAKLAAHSSSFALGFLRRLLCAHTARAGEATDTARQHPGGDAAAAPEEEEEGAEARSPCIVARLMGLDAMPAPARDSPRQLRRSRSASSAEGRSPPTPCRVGDGPRPRVVRTTSSFRDRPAYLRPENDEFLLLSFSPDDDDDKADEGFFKLAPGRYDDDGDGRKKQRRGRRRISRRRRRCGDEGAEAEAGPGRSSRRPAAAAAGLQQQNSSPVSVLEARDGQEEESTTTMTTTSSSSSSIDEVEQAEPWWSPTSGENWLPPREHQGLRRKLLQPHSEELTGGLPPAPAVPRTSHVSNCSDGERRDMRLLNKVEVIAPDATSIWRNISRLLEKDICGMKCEARDDGDIAAEMEQEILDQLICEETDELMQLIMV* >Brasy8G064300.1.p pacid=40080913 transcript=Brasy8G064300.1 locus=Brasy8G064300 ID=Brasy8G064300.1.v1.1 annot-version=v1.1 MDEDDYSWVGRTRFSHSVVRSSSGREKLGDFDDQFDRWVALKQRAFSSELKIPAHVLQPRAKVVAASNPARPSIPKAPSAALADRKPKDVFLDGQLKQLGVISDDSLKETSVKQDREVEPMGNSLGRTTEDGSSDMMEFSFHSEEQSMRLQRVCSSPGPSPFFAKDATLAGDPNVRSVSFKVAGDGSQPKRRAKSPIPRRVISDVFREAKAASKRFSSPQRQRKSSSARSVDDNPPFAFSSTRAASILKSRRASSWPRNHDSGGVSKITALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRLPDDGEDTELAARLEKQFTTEVTILSRLDHHNVIKLVGACSCPPVFCVITEFLSGGSLRAFLRKKECKNLPLEKIISISLDIAHGMEYIHSQGVIHRDVKPENILFDGELCAKVVDFGVAFEDVYCNTLEDDPGTYRWMAPEMCKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPVQAAFAVVNKNLRPVVPSSCPAPLRQLMEQCWSSQPDKRPEFSEVVPILENLKTVLDRDGTLDKIPSASCQEAQDQNKNRLANWIQKLSYSPPDFSGPPPPKLL* >Brasy8G064300.2.p pacid=40080914 transcript=Brasy8G064300.2 locus=Brasy8G064300 ID=Brasy8G064300.2.v1.1 annot-version=v1.1 MDEDDYSWVGRTRFSHSVVRSSSGREKLGDFDDQFDRWVALKQRAFSSELKIPAHVLQPRAKVVAASNPARPSIPKAPSAALADRKPKDVFLDGQLKQLGVISDDSLKETSVKQDREVEPMGNSLGRTTEDGSSDMMEFSFHSEEQSMRLQRVCSSPGPSPFFAKDATLAGDPNVRSVSFKVAGDGSQPKRRAKSPIPRRVISDVFREAKAASKRFSSPQRQRKSSSARSVDDNPPFAFSSTRAASILKSRRASSWPRNHDSGGVSKITALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRLPDDGEDTELAARLEKQFTTEVTILSRLDHHNVIKLVGACSCPPVFCVITEFLSGGSLRAFLRKKECKNLPLEKIISISLDIAHGMEYIHSQGVIHRDVKPENILFDGELCAKVVDFGVAFEDVYCNTLEDDPGTYRWMAPEMCKRKPYGRKVDVYSFGLLLWELVSGSIPYEEMTPVQAAFAVVNKNLRPVVPSSCPAPLRQLMEQCWSSQPDKRPEFSEVVPILENLKTVLDRDGTLDKIPSASCQEAQDQNKNRLANWIQKLSYSPPDFSGPPPPKLL* >Brasy8G157500.1.p pacid=40080915 transcript=Brasy8G157500.1 locus=Brasy8G157500 ID=Brasy8G157500.1.v1.1 annot-version=v1.1 MAPISCRDLSPQLSSPTPHRRTAAITHTRISFRCAPTPCRPLSTTTKRPSAATRDDAVASLVGRPEHDVVEEAEEALYLPEDSPAVRGRGAQKPQDEELAARWREIHGRDDWVGLLDPMDPLLRSELIRYGELAQACYDAFDYDLASRYCGSCKYPRRAFFDRLGMPDAARGYAVSRYLYATSNFRFPNFFPSQQSRADAKVWSQRANWIGYVAVSTDEESARLGRRDVAVAWRGTITRLEWVSDLMDFLRPVADEGIPCPDPEVKVESGFVDLYTDKDPSCRFCKYSAREQVLLEVRKLVSHYTAQGEDVSVTVTGHSLGSSLAVLSAYDIAETGANLGADGETKAAVCVFSFSGPRVGNGRFKERFEGELGVKALRVVNVHDNVPRMPGIFLNEGVPEMVRRVAEGLRMPWCYSHVGVELALDHKRSPFLKDTLDPGCSHNLEAHLHLLDGYHGSGERFVLASGRDPALVNKASDFLKDHHCVPPFWRQDENKGMVRALDGRWIQPDRRGHLDDDHHHDAHHHHDHLRLFRRP* >Brasy8G209900.1.p pacid=40080916 transcript=Brasy8G209900.1 locus=Brasy8G209900 ID=Brasy8G209900.1.v1.1 annot-version=v1.1 MPRPSTVLLLSRLLLVSLPCFSSAVIQPRFVSAEASPPSSTLVTALPGLDGALPFRLETGYVTVDEDNGAELFYYFIESEGDPRRDPLLLWLNGGDHCTVLSALFFEIGQSVSNRILCVFVTSVEQQPYNGTGVPRLRYHPYSWTKAASILFVDSPVGSGFSFSRNPRGYDVGDVSSSLQLKEFLTKWFAEHPDYLANPFYVGGDSYAGKIVPFLAQKISEDIEAGLKPTVNLKGYLVGNPVTGDRVDHGSRVPFLHGAGIISDQLYEAIMENCQGEDYKDPKNALCAQALHRFKRSYVNYLSYFWANSNITQKILGIKKSWVRSLNFPIVDDWRSWHLDGQSAGNNMTFATIKGGGHTAPEFQPERCFAMFKSWISNEPL* >Brasy8G037300.1.p pacid=40080917 transcript=Brasy8G037300.1 locus=Brasy8G037300 ID=Brasy8G037300.1.v1.1 annot-version=v1.1 MEEHPHTAEQEQPAPVAGQPQEPALEMKQPAREMPRRQQPHRAAAVKARTALKAAEKGKAVVMPTASPRPKKKQGATETDAAQALAALSAPRKLELPKIRFELTKEEMEKDFMMMTGGKLPCPKKHPKTVENKIKIITPGAYLPNVNMGRYTVRTRKCKKDKQRKAGLKGMMEDESSNDSN* >Brasy8G175500.1.p pacid=40080918 transcript=Brasy8G175500.1 locus=Brasy8G175500 ID=Brasy8G175500.1.v1.1 annot-version=v1.1 MWCCGATRWTKLPPWRAVARKWAAAWKWVVDVPLRSLVRRPAGPLPRRSPSPQASPSFPISASFPVDPHLLQVRWSTPYHKRRPFICMFSKKEEGKSSCLVCD* >Brasy8G116900.1.p pacid=40080919 transcript=Brasy8G116900.1 locus=Brasy8G116900 ID=Brasy8G116900.1.v1.1 annot-version=v1.1 MVVRSKGDRPSPPIEIFKRVKKSIYRQGYSTFQQHTANCKVLN* >Brasy8G022400.1.p pacid=40080920 transcript=Brasy8G022400.1 locus=Brasy8G022400 ID=Brasy8G022400.1.v1.1 annot-version=v1.1 MGRKASPKEDWISESEESDSDELGDHSWTPVGELRATQRRMKNKKALVANSSRMNKGAVEICAEAERNVAHAKRKRRRPRKIRVPDEAEAGMSVKVAGGSSSPSRMIVLDLPNATFMKNHLGKSRGWSRKAPAMQDRLALDGVSPPLSSGGTIKSTTQDSAQMMETGVEVPNVARDDESEAQPIDGERMAAPIASSIRPGSPPSIRITGVDPTHTGPGDELREVGLLLTEHARALNVPLSFHCVTGNCLEGLKPWMFRLVHGEAIAVNSICQLHCLLVDLDTASTSLPPPIATVLSWIAAMQPKVFTVIEQEADHNKPTLVERFANALFYYGAVFDSMEAEAPCRHRCAWGRGPPAA* >Brasy8G269100.1.p pacid=40080921 transcript=Brasy8G269100.1 locus=Brasy8G269100 ID=Brasy8G269100.1.v1.1 annot-version=v1.1 MAAGRKDEDRLSGLGDDLLRRILHFAPAREGASTAALSRRCKGHWLSSGAVNLELRVPESEDDNPRCVRSRDDARFYARRDAMVAAAHMALDRAADGGAAPVTRFGFRVQVSGAGDKIKDFLYRGVDWREEHDVLAGVLAHRAAGRVEELRVAAVDVADGEPMYFETSEYELSSCRSGLGFFKLGLGALPSNTLRALELTNCSSLVLPPAAADNDNLFFLPRLASLRLRHCDVPLEAVQRIIDAAPALAAVHLESVRLEEETDGESPRRWYGHPRAPPQPPLEEGDLRTLRCWAATSLVLDRCGLKEHGCLEIYAPALRRFRYKGVLRIVSLSPPPPELARADLTLIDYGYLRRKDPRDVRRSFWRILAGFVHAREMRLAVRDLEDMAVASEARRVRMLPAFRRLERLELEGVHRPTGKTAAVAVANLLRCGPKLREFRVKLSTAHCDARRVSGYGSDFLKRKHRSDFQDSVDRFERHQSQPTAAEAGDEEDDGAADYGEVSDLPGLSGRSFECLQSSLRRVGLQFRREKTEHCLGIRLIKFFAQNAMVLEEMCVDGGTEKICEHLNPKVEAWIAAGSSKRRKMNLHGAGAGVAVLPLPRRD* >Brasy8G209200.1.p pacid=40080922 transcript=Brasy8G209200.1 locus=Brasy8G209200 ID=Brasy8G209200.1.v1.1 annot-version=v1.1 MAADLQASSNRKVVLKRHVTGFPTEDDMELVVATAAVSLAVPPGSSRSVLVKNLYLSCDPYMRGRMSKHDDGSYVQDFVPGEVLTTFGVSKVVASGHPDLKEGDLVWGMTGCEEYTLITNPESLFKINHPELPLSYYTGVLGMPGLTAYFGFFEISKPKKGDYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLNAALKRCFPEGIDIYFENVGGAMLDAVLLNMRNHGRIAACGMISQYNLERQEGVRNLFCVVAKRIRMEGFIILEHFGAYGKFEEEMVGYIKEGKIAYVEDVVEGLEKLPEALIGLFHGRNVGKQLVAVARE* >Brasy8G209200.2.p pacid=40080923 transcript=Brasy8G209200.2 locus=Brasy8G209200 ID=Brasy8G209200.2.v1.1 annot-version=v1.1 MCSSGAHVGEVLTTFGVSKVVASGHPDLKEGDLVWGMTGCEEYTLITNPESLFKINHPELPLSYYTGVLGMPGLTAYFGFFEISKPKKGDYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLNAALKRCFPEGIDIYFENVGGAMLDAVLLNMRNHGRIAACGMISQYNLERQEGVRNLFCVVAKRIRMEGFIILEHFGAYGKFEEEMVGYIKEGKIAYVEDVVEGLEKLPEALIGLFHGRNVGKQLVAVARE* >Brasy8G227900.1.p pacid=40080924 transcript=Brasy8G227900.1 locus=Brasy8G227900 ID=Brasy8G227900.1.v1.1 annot-version=v1.1 MGKAAKGSRKGKKAWRANIRTDDIDEFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASASTSSAAVVSEPTSKDIPVKRKIEKNREKVLYHESILKRNPYVQTIPSSLVSKKDKKKLKKKAKKEELQESREDKVVPMEDDSAENILDIWGGDRTGVAKSKKGMQRLKKNRSTTSVFPAVEVEPPGCSFNPDKEDHQDSLAQAVANEMSKIYTKELGPKPVPLTVIGEAVTEEDKFFLDAADDGDAAEGNGDQDADDVAGQRKTKTKRVTRVELNKRARRKERLRTEADAKKLEILSKEIDSLPNIMAEIAREDEEKEKVRIRRNMAKEERLKSAPRRLGRHKFEPAPVQVMLTEEISGSLRKLKGCSNLARDRFKSIEKRGILAPSKKISKRRRR* >Brasy8G083800.1.p pacid=40080925 transcript=Brasy8G083800.1 locus=Brasy8G083800 ID=Brasy8G083800.1.v1.1 annot-version=v1.1 MEGQRNWSIEGYLNEYFDIPAKNPPSDARHRWRRAVGLVVRNRRRRFRAFSALQGHALDDAQRRKILGKVQVVINVHRAALQFIDGIKHHLTHELIEEGFCINPNELAAITGMHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPTADRTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILSATVIFQVIIVELLCTFANTVPLSSELWLLSIILGSISMIISVILKCIPVENGKRDIKPRGYELIPEGPETL* >Brasy8G083800.2.p pacid=40080926 transcript=Brasy8G083800.2 locus=Brasy8G083800 ID=Brasy8G083800.2.v1.1 annot-version=v1.1 MEGQRNWSIEGYLNEYFDIPAKNPPSDARHRWRRAVGLVVRNRRRRFRAFSALQGHALDDAQRRKILGKVQVVINVHRAALQFIDGIKHHLTHELIEEGFCINPNELAAITGMHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPTADRTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILSATVIFQVIIVELLCTFANTVPLSSELWLLSIILGSISMIISVILKCIPVENGKRDIKPRGYELIPEGPETL* >Brasy8G083800.7.p pacid=40080927 transcript=Brasy8G083800.7 locus=Brasy8G083800 ID=Brasy8G083800.7.v1.1 annot-version=v1.1 MHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPTADRTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILSATVIFQVIIVELLCTFANTVPLSSELWLLSIILGSISMIISVILKCIPVENGKRDIKPRGYELIPEGPETL* >Brasy8G083800.5.p pacid=40080928 transcript=Brasy8G083800.5 locus=Brasy8G083800 ID=Brasy8G083800.5.v1.1 annot-version=v1.1 MHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPTADRTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILSATVIFQVIIVELLCTFANTVPLSSELWLLSIILGSISMIISVILKCIPVENGKRDIKPRGYELIPEGPETL* >Brasy8G083800.4.p pacid=40080929 transcript=Brasy8G083800.4 locus=Brasy8G083800 ID=Brasy8G083800.4.v1.1 annot-version=v1.1 MHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPTADRTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILSATVIFQVIIVELLCTFANTVPLSSELWLLSIILGSISMIISVILKCIPVENGKRDIKPRGYELIPEGPETL* >Brasy8G083800.3.p pacid=40080930 transcript=Brasy8G083800.3 locus=Brasy8G083800 ID=Brasy8G083800.3.v1.1 annot-version=v1.1 MEGQRNWSIEGYLNEYFDIPAKNPPSDARHRWRRAVGLVVRNRRRRFRAFSALQGHALDDAQRRKILGKVQVVINVHRAALQFIDGIKHHLTHELIEEGFCINPNELAAITGMHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGFQ* >Brasy8G083800.6.p pacid=40080931 transcript=Brasy8G083800.6 locus=Brasy8G083800 ID=Brasy8G083800.6.v1.1 annot-version=v1.1 MHEDPSILKVHGGTDGISRKIKASLEDGVKETEITTRQKLYGTNEHAEKPPRSFWVFVWDALHDLTLIILVVCALVSLVVGLATEGWPKGIYDGLGIIFSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIHDLVVGDILHLSIGDVVPTDGLFISGYSLLIDESSLSGESEPVQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKIMGTLNEDGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLTRFLVDKGMHVGLLSWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDASKSVNGDRNITELKSTISGRVVEILMQGIFVNTGSEVVKGDDGKNTILGTPTEAALLEFGLTLKGDRYVEYNKLRRVRVEPFNSVKKKMSVIIQLPNGGLRSFCKGAPEIILGNCDAVLNGEGNIVPLSETQKQNVLDIVNSFASKALRTLGIAFKDLDEISEEQTIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRLPVRRGDSFITKVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGFQ* >Brasy8G095200.1.p pacid=40080932 transcript=Brasy8G095200.1 locus=Brasy8G095200 ID=Brasy8G095200.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDVLKLFKSQNKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKTDHMKRPIGKKAEKERQRRGKNVTYLEDSNVVMALDVVFSKRTELKEAREMARETARQAREMARETARQAREDAREASNEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy8G026300.1.p pacid=40080933 transcript=Brasy8G026300.1 locus=Brasy8G026300 ID=Brasy8G026300.1.v1.1 annot-version=v1.1 MVHGPGGGTFGSVPGIRSCASGSSTPGPTADLTRPRPGTEPLRAVADLTVRSESNGLYRFCLALPRAVLNPASSSSAASFFFSVHPNDLYDMRQEASAGHDEEEAGLARKVSAARRIELVRHRRGDEAAAAEDGAAGRGARWPPACLSHGAVSVIGRRREMEDAVAVARTFLSASPVPPDASMGDAAEEDFFAVYDGHGGARVAEACRDRMHVVLAEELARRRRPGPGDEEDGDDVGCCWKEAMAASFARVDGEVVGGAAAGMDADESRSRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRAGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGSRVLGVLSTSRSIGDYYLKPYVSAEPEVTVCDRTEQDEFLVLASDGLWDVVSNEMACRVARSCLDGRAAAAFPESVSGRTAADAAALLVELAIARGSKDNVSVVVVELTRLKSRAARARANNGRRKGAPQLCSS* >Brasy8G158500.1.p pacid=40080934 transcript=Brasy8G158500.1 locus=Brasy8G158500 ID=Brasy8G158500.1.v1.1 annot-version=v1.1 MEMEISQNLLYPLLFLITAVFLYLRRRRARPKSRTAHCPHPNPVLGNTIDYIRNRGRFFDWYADLLRAAPSNTMEAWGPFGASHAVTTADPAGVDHLLRANFANYNRGAHFREAQFDLIGDGLFGADGRLWSLQRKLASHAFSSRSLRRFTHDVLAVSLGRRFLPFLDAAAAAAGSVVDLQEALRRFAFDNICHVAFGVESSTLLEWADPRHEALFAAFETAVRISFMRTLTPSTPVRKLTKLLNVGNSRRLREAVGIIDAHAMSVIQAKEEANRQGNNQGDPDLLGRFMAAMDEEQENGGGELAAMFPTAEAKRRFLRDVVVSFVLAGKDTTSSALSWFFWLLAANPRCERRVHDEVSREGDGNIKGMRYLHAAITEAMRLYPPVPFNGRMAVADDVLPDGTAVRAGWFANYSAYAMGRMEKLWGDDFLEFVPERWLGDGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVAAAVVRTFRVIVEAPVASMESSPAYEMTGSMKIKGGLQVRLVKRNQE* >Brasy8G261800.1.p pacid=40080935 transcript=Brasy8G261800.1 locus=Brasy8G261800 ID=Brasy8G261800.1.v1.1 annot-version=v1.1 MGEEAPAETMASGFPAWARTVEECEARLRVDKDKGLSSPEAAARLRSHGANELQEHPGPSMLQLVAQQFEDTLVRILLAAAAVSFALALSSSAGALTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALRQIQSDHAAVLRDGEWVPSLPARDLVPGDVVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETNSVNKTAHSVPAEDADIQAKECMVFTGTTVVNGSAVCLVVHTGMATEIGKIHSQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWVPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDVSGKVRSFKVDGTTYDPRDGEIQDWPAGRMDANLEMIAKVAAVCNDASVSHSSNQYVSTGMPTEAALKVLVEKMGLPEGKNGLSVDPSETLGCCRWWSNAAKRIATLEFDRMRKSMGVIVMSKSGSNTLLVKGAVETLLERSTHVQLQDGSVVPLDEKSRKAILASLHELSTKALRCLGFAYKEDLGEFATYDGEYHPAHKLLLDPANYAAIETDLIFAGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICHEIGVFSPDEDVSLKSFTGKEFMLHDDKKALLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVAPFTAGARTFTFDSPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTTASGPKRRSKKQKGE* >Brasy8G051100.1.p pacid=40080936 transcript=Brasy8G051100.1 locus=Brasy8G051100 ID=Brasy8G051100.1.v1.1 annot-version=v1.1 MASSARVLFLAAALLALAMASSVEARPQCTLAVTEFAACADLRLQLGPGPMLPDANNNRCCRRIKELPSPEVADCLCLAFQARVRAGDDVKAVFKFCAKELDPAFDNCALI* >Brasy8G221700.1.p pacid=40080937 transcript=Brasy8G221700.1 locus=Brasy8G221700 ID=Brasy8G221700.1.v1.1 annot-version=v1.1 MASSYRVLEVTLISAKDLKKVTVFSKMRVYAVASISGAGADPRTPTHRTHADRQGGRSPMWHAPLRFPIPCGSDPRDLALHVLLRAERAFGDRDVGEVFVPLRDLVSAAPPPREQRHLSYQVRRPMNGRKRGVLHISYSLSDVVTPPMPTAMPMPGTGMGMPAKGAAAPVTAYPPPPYGYHGQPSYGYDAPAYGYGAPAASAAAPARQDGGGSGFGMGLLGAAVAGMMMGIGEGAADVIIDAEMGMDGGGCGF* >Brasy8G264300.1.p pacid=40080938 transcript=Brasy8G264300.1 locus=Brasy8G264300 ID=Brasy8G264300.1.v1.1 annot-version=v1.1 MALTSAQRIALTVSLFGVLAFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSMSLVALVLVAIIGHVAIFYPYKGKSVPRGALFQSTSLSVFFVVAELVSALAFAMLLWATITEGHHRTSNIHHDMDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDDDKGEYGQVYAADADGSKV* >Brasy8G160200.1.p pacid=40080939 transcript=Brasy8G160200.1 locus=Brasy8G160200 ID=Brasy8G160200.1.v1.1 annot-version=v1.1 MKKQHWYSRSRSVPASPRRGLPADAMANPGCMSMVHYLIFAPGAGCVGRPPSSHDAAAAVVSSSHHAIICPSTATPCTKGGLEAPRNSLELESDELRDIQIGVQIEPAFDALAGSRRRSTNSRVTAPSSEAETPRTPSLVARLMGIDGLPDSPSPSPGLKPSTGVKEKKKRVIPESMNKQEQPPSSNTNRQPLRSLSCNVGAGEARSRSLPDTPRASTSSAARAAAWEQEDVVLVDRPRLSLQVLKESVLDRAAQYMSMPNSPTKRKKKKEKDSTGRRDAKEHAREIVRQAKETVTNRNKSGSKLKPKSAGSDKENAAPYVAVEDKMVVAVQLQAQAGAPVPASAPRAHADQQQLPHAPRLPPPPSLRAKPSRPPPPPPPPDHPAPTRSAPAPAPLSPQAVKCKRPPDGCERFATRTIRKPGASTAAAATIHQAAPQASSSAAAPLTPASSSIGQHRTVQSTSSSSRRGVPPPQEEDDPEYSYLRCVLERGGFMRTPPPNRPFKGHSVSAPIDPLVFHLLELDLPMDDEDSDNYHRLGPLRHRWNRKLLFHLAQEILSDILLGGAVSTPLILQQQEHGPALLGKVWRKVRAFPAADCRVVGDIDALVAGDLAAASVRGLARHPAVAEEAADVADDVAERLLDALLAESLPSSPWSPSRAPRCQLLSSPS* >Brasy8G031700.1.p pacid=40080940 transcript=Brasy8G031700.1 locus=Brasy8G031700 ID=Brasy8G031700.1.v1.1 annot-version=v1.1 MAGSSSDAALVEHTFVVSQVSVRHMCQDTLVTVVNGQLPGPAIEVTEGDSVVVHVVNQSPVGITIHWHGVRQLLNCWADGAGMVTQCLISPNTTFTYRFDVAGQEGTLWWHAHISTLRATIHGIIIIRPKSGSYPFPKPDKEVPIVIADFWQWDLGELETNFKGPGDAVPLAFTINGRIGDLYNCSGAVEESFVLEVEPGKTYMLRLVNAALLEEYYFKVAGHKLTVVSADANYVRPYTTEDVVAVAPGETLDVLMVADAPPGPSYYMTVLGIQPPEPGRQSPLYITRGMVVYGRHHQQHQHNGCCKEPVMPEQHDDSKSFYFRGNLTGRPGRPHPLLPRVRGPVDERLFVTLGTGTIRDGSETVTYMNNVSFQLPEGLALLGARYHGAADVVATEDLPARPPLVFDYTDPVLIDVTSRSEARLREIDPTRQATTTRRFAYNSTVEVVFQSTSMRQNHPNPMHLHGHDLFLLAQGLGNYDAARDVPGFNLVDPPVRNTVMVPKLGWAAVRFVADNPGAWFMHCHLEFHLAVGMATVFEVANGPTPDATLPPPPTDLPRCDRHAGRSSTFAYQ* >Brasy8G031700.2.p pacid=40080941 transcript=Brasy8G031700.2 locus=Brasy8G031700 ID=Brasy8G031700.2.v1.1 annot-version=v1.1 MAGSSSDAALVEHTFVVSVRHMCQDTLVTVVNGQLPGPAIEVTEGDSVVVHVVNQSPVGITIHWHGVRQLLNCWADGAGMVTQCLISPNTTFTYRFDVAGQEGTLWWHAHISTLRATIHGIIIIRPKSGSYPFPKPDKEVPIVIADFWQWDLGELETNFKGPGDAVPLAFTINGRIGDLYNCSGAVEESFVLEVEPGKTYMLRLVNAALLEEYYFKVAGHKLTVVSADANYVRPYTTEDVVAVAPGETLDVLMVADAPPGPSYYMTVLGIQPPEPGRQSPLYITRGMVVYGRHHQQHQHNGCCKEPVMPEQHDDSKSFYFRGNLTGRPGRPHPLLPRVRGPVDERLFVTLGTGTIRDGSETVTYMNNVSFQLPEGLALLGARYHGAADVVATEDLPARPPLVFDYTDPVLIDVTSRSEARLREIDPTRQATTTRRFAYNSTVEVVFQSTSMRQNHPNPMHLHGHDLFLLAQGLGNYDAARDVPGFNLVDPPVRNTVMVPKLGWAAVRFVADNPGAWFMHCHLEFHLAVGMATVFEVANGPTPDATLPPPPTDLPRCDRHAGRSSTFAYQ* >Brasy8G169600.1.p pacid=40080942 transcript=Brasy8G169600.1 locus=Brasy8G169600 ID=Brasy8G169600.1.v1.1 annot-version=v1.1 MVDESTSEEMSQARVVQLTNRRQPTIQRHPVFHGHGYTPTNPSGPVGPSASQIKCLSRVYKYFALVVFFCFVVHVHVKV* >Brasy8G118800.1.p pacid=40080943 transcript=Brasy8G118800.1 locus=Brasy8G118800 ID=Brasy8G118800.1.v1.1 annot-version=v1.1 METCPSVKNILLLDSEGKRVAVKYYTDDWPVLSSKLAFEKSVFVKTQKANSGAEAEIVMFDGHIVVYKFIQDLHFFVTGGDEENELILASVLQGFTDAVDLILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIADKVSAHGAEGGTSIAEQTIVQALTTAREHFAKSLLM* >Brasy8G185100.1.p pacid=40080944 transcript=Brasy8G185100.1 locus=Brasy8G185100 ID=Brasy8G185100.1.v1.1 annot-version=v1.1 MGHADQDLRPDNNDGLSSDDEPWSEQDDDEGSLSFDDSGSDEAAAGESDSSEDEVGPRNTVGQVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEQYLRNADDAKNWRKIYDVYNDEEVQITKDEAKIIIRLLKGKTPHANVDPYPDYVDWFEYDGKSHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNYYLLWGDETDTADNKREGLSYIPAPKPNLPEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINVDPESLKPKLPSKKDLRPYPRTYYLEFKGHNGPVKSLSVEATGQWIASDSSDGTIRVWEVETGRCLKVLNVGADVHDIAWNPSPERPILAAIVGHDLLLLDAEVGDEETKMRTKELLCVDEPTPEDDADGKKPAVRWVKHEKFDGITLIHHKDVKTVEWHSKGDYFTTVLSKKRSHPFRKLPGLPVAATFHPIQKMFFVATKKFVQVYDLQKAEVVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTKPYKTLKTHKKDITSDCTAYVFHGMVYSDLNQNLLIVPLEILRGHLSIDRRGVLDCKFHPRQPWLFTAGADSVIRLYCE* >Brasy8G260000.1.p pacid=40080945 transcript=Brasy8G260000.1 locus=Brasy8G260000 ID=Brasy8G260000.1.v1.1 annot-version=v1.1 MDVFDGVHFVRLHCQVRRNKYLAADDDGRSICLTGQRLSHNTVWAVQHLAGSPGAEGGPFVLLRGAYGRYLFATDIQASTGPAHGVTAVQHGRPHPNTPQGLLWQAIRRRRSFVLRSAAGRYLRANGKYLRWRMAVTVAGDTGSTMLQWSVEAVPARLERHTLVDPPAQVCSIRPSAIDDLDSRSPIPNPISQISNSRSLTDVRSPLGVQEMRRRRAPATEQEVARVVRYVRAAASGAVDEAGWRTVRINTNSLMQLRLTLANLLGQNRSALHTTLCVRAGRYAELSPLLVNLPVSNDRIDIVIVAHGTPADSALRYPNVEADPE* >Brasy8G167000.1.p pacid=40080946 transcript=Brasy8G167000.1 locus=Brasy8G167000 ID=Brasy8G167000.1.v1.1 annot-version=v1.1 MAPKRGAKSQAQPPPPPPPPPPPAASSEETDSRSRSEESEDEETALASPPPPTRDPPAPPQKGEESETTDDDDEEEDEEDDEEESARAAPVTAPKNPLPAPQQQGEESDEEGESSESDEEAPLPEQAAKMEAEKLKPPPSSGEAKKPGGIPRIWSTDDEVRILEALVAHRQEHGVLPQPDALMEALAGKLDNRAYGSKELQSKQTTLRQRYNNAIKRGEVPTKEHDRRLYDLSNIIWGSGKTAAAKANGDEPRSFAEMCELYPYLAEEVKELEAEHPGLFKREFGKMDDDKAQAMDTKIKRQRLVQMKVEMRRGDLAKEVRKTLIELLD* >Brasy8G279600.1.p pacid=40080947 transcript=Brasy8G279600.1 locus=Brasy8G279600 ID=Brasy8G279600.1.v1.1 annot-version=v1.1 MGAAGATAQMVCQGNGKKAVNMTGTATDERGYFVVRVLYDDDGDDLGGKFDVRNCKLYQGTSPTALCNEPPGEGIRLSLVRETRTTPPQGLQAIYCPSTVLFYAGHKCTLASDRLGSIHPSQ* >Brasy8G216500.1.p pacid=40080948 transcript=Brasy8G216500.1 locus=Brasy8G216500 ID=Brasy8G216500.1.v1.1 annot-version=v1.1 MSSSSVDAVACGGGKKTSWPEVVGLSIEEAKKAILKDKPDADIIVLPVGAPVTEDLRPNRVRIFIDTVAEIPRVG* >Brasy8G283700.1.p pacid=40080949 transcript=Brasy8G283700.1 locus=Brasy8G283700 ID=Brasy8G283700.1.v1.1 annot-version=v1.1 MNIFCLPNSLGNLKHLQTLDVRGTRIFEVPETTTQLRKLQHLRTTDLSKGRSNVKGEDDIAYNYGELTAFVSSKFGQALSLLLYAGLVCLKPQVLDAGLNRLDMLNIYRFCMVCLAEGLWDGVEVPGGIGKLKALQTLGVVNIARGKGKATLKELKELTQLRKLGVTGVSDKNSKELWSAIASHNQLGSLSVQGVDREDELDGSLGEGLLPPSSLESLKLWGKLVRVTSWIHQLQNLSKLTLERSRLEQDDDAIQAALGVLHNLAVLRLKWGSFNGKQLRFHGPCFPSLVVLELYGVLDLESVLFEGDAMPRLVLLQVNRCHSLKEISGLAVPTSLREIRLGDLVAPELKEAVQRQVAEHLKHVRLSLS* >Brasy8G045300.1.p pacid=40080950 transcript=Brasy8G045300.1 locus=Brasy8G045300 ID=Brasy8G045300.1.v1.1 annot-version=v1.1 MASRPRWWLSHDIIVVVPNKPSPGDIPAASEMREARASSVIAWTCVLHRTLNKIQM* >Brasy8G109000.1.p pacid=40080951 transcript=Brasy8G109000.1 locus=Brasy8G109000 ID=Brasy8G109000.1.v1.1 annot-version=v1.1 MRSSALSLLVLLFPLFAVNLPPAASTPATSHRRISWASNLTLLGSASILPGAAAVALTTNSSDGIGAGRALFSEPVRLLLPPPDPRAAPAQASFSTRFTFHITPSPSFGDGLAFVLTSSCTFLGASNGFLGLFPSSSASDDGDLADVSTVAVELDTHRDAALRDPDGNHVALDADSIFSVASASPGVDLKAGVPITAWVEYRAQRRRLRVWLSYSSSRRPEKAALSVDVDLSGLLRTYMYAGFSASNGEGSALHIVESWTFRTFGFPNSSYASPPPNQEPHKNPLPLSRNHHRHRGLLYKVLGGVLGGVVLLVLVVIGSILWLGRTKRRTSEEPTVLIEDKNFRGMLSMEVIRAATKDFSSENVIGIGGSGAIVFEGVLPSGSRVAVKRFQAIWPCSKAFDSEHHAMLDCPHHPNLVPLFGWSCSEHDLVLVYEFMPNGNLDSALHSLGGAILPWEARFGAVIGVASALTFLHDECEHRILHRDVKSSNVLLDADFKARLGDFGLARVVSHGGVPLATQPAGTLGYLAPEYVYSGVATERSDVYSFGVLALEVATGRRPTEKGTAVVDWVWALWGRRRLVDAADQRLQGRFVAEEMRRVLLVGLCCVHPDCRKRPGMRRVVRMLDGAMLKETVSLLNRFHLCDTKSIESIGQRQTRDRRDFGPLSGGGPGGAVHQGGGIDLELETCATFRPHNHV* >Brasy8G105100.1.p pacid=40080952 transcript=Brasy8G105100.1 locus=Brasy8G105100 ID=Brasy8G105100.1.v1.1 annot-version=v1.1 MKKLRRKLRLKNNSEDATNDKVQEELRLDENAVQAKKQKYFNRASPMKLIRVCKNFNRQQRSKIVKSGFGSFLDIKCSKLDPEACKYIMDHFNPESCALVFHDRGNIPITIEVVMSVLGIPNCPLPVIYQYDSDSIKYVQDLLNVRDGFQPKIKDVENRLTMMSTDDESFLLLWMIYVVCFLAPTTGVRVSPKCYPSLVATSEISKLSWCRFVIKVLVQTANARNRNYIFKACMPLLMIKYVDSLDTNGISVQAEGPRICVWTNKMVKAAIAQDRNDDGTFGKLPLKPIFRTRSNVTATASSSSDFYFVDLERLESFLAAHTPADCNSQGKVYFCSESEAVYSKAQFLY* >Brasy8G041200.1.p pacid=40080953 transcript=Brasy8G041200.1 locus=Brasy8G041200 ID=Brasy8G041200.1.v1.1 annot-version=v1.1 MGNYLSCTLAKAPGGKQGARVILPDGRVRRVPLPATAAELMLDAPGHFLADARAARVGARLAALSADEDLEMGAVYATFPMKRLGTPLAAQDMARMAAAATREARRRSSKVSSAAAVILVTAAPTPPEPVKKAAALVAEAPSPRAPRLDEMVDDAVAAEIGVLKHRLSSTRSRRPALETIHEENHLISRS* >Brasy8G045500.1.p pacid=40080954 transcript=Brasy8G045500.1 locus=Brasy8G045500 ID=Brasy8G045500.1.v1.1 annot-version=v1.1 MPNFWLCPCPLCMCLPLQQGNSVTVILYVPEKYVCWPMFSSFFKLSLLLSFVIVQILSSDLLNDFFSSEHAKLPVKMFSSPPNFSFPVPTQ* >Brasy8G209800.1.p pacid=40080955 transcript=Brasy8G209800.1 locus=Brasy8G209800 ID=Brasy8G209800.1.v1.1 annot-version=v1.1 MAGGGGTLLRLSSLVCSRVKRGRECDGESLRGREGERERGEGAHPDPASVGPRKAGSGVGGAKGARIWHRRSRGRPDPASVGQRAAGSGSVRPWRKRRRSLRSREGDGRGDRPAAALCGGGVPAASGPGRRRRGSLGSREGEGRGDRPAAALCGGGVPAAAHGGGGRPGSGSHRGRYSREVRSVGRVQKRTRDF* >Brasy8G259600.1.p pacid=40080956 transcript=Brasy8G259600.1 locus=Brasy8G259600 ID=Brasy8G259600.1.v1.1 annot-version=v1.1 MTSHFLAGGSSPPQTRHGQDPLPRTRDTSPGLRCFSRFPSPSFSSTADPSAPPFSGSSRGELHPHPSPVRPAPAASMASPALICDTEQWKALQAHVGAIQKTHLRDLMTDAGRYKAMTAEFEGIFLDYSRQQGTVETMDKLFKLAEAAKLKEKIEKMFKGEKINTTENRSVLHVALRAPRDAVINSDGVNVVPEVWSVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPQAVAKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDNHFHTSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVRLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVIKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPENLIPHKTFQGNRPSLSFLLSSLSAYEIGQLLAIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHASRMEKKPVEGFNPSSASLLARFLAVEPSTPYDTTVLPKV* >Brasy8G095300.1.p pacid=40080957 transcript=Brasy8G095300.1 locus=Brasy8G095300 ID=Brasy8G095300.1.v1.1 annot-version=v1.1 MSSNTPIYRARRASWWANLLLRRVFTASMNTTEEEAAAGVPEDPQTWDLVACRRGRCAHDRQLSMAEPQHGGLCVQKQVIVFRKIKE* >Brasy8G280900.1.p pacid=40080958 transcript=Brasy8G280900.1 locus=Brasy8G280900 ID=Brasy8G280900.1.v1.1 annot-version=v1.1 MAKRNGKKGSLFVLVAFAVLLISAQVKPAVAAGGYTIDIQTKVRDIVKANRAVAPGFIRLVFHDCWVKGCDASVLLDNGDGTAEMDAIQNGGIRGLDVIQAIKDKLAVNYTEVTCADAVVYAAREACYILSGEKIRYAVDGPGSHKDAVASSKADAGALPPPFASFADLVANFELRNFTARDVVVLSGAHAVGLAHRPAFEARLTAPAAEIDPAYRNDVNVTSNASPSKAAHNNVRDLHKSEAEPGVLDNNYYAANLARKVLFGSDFALTTDGAALTNMTAYKNNAATWYPLFEDAMARLSRLPALGTTGGPRAKCSTPN* >Brasy8G051400.1.p pacid=40080959 transcript=Brasy8G051400.1 locus=Brasy8G051400 ID=Brasy8G051400.1.v1.1 annot-version=v1.1 MSTSVKAQQCTSDLDGFLACGDFRDVPAAQKAPVDRDKCCRLVNGISSQQATDCLCANFARGIRDDNNRLNFFVVTVLEVCDKDPVPKPRLFQQVYLSH* >Brasy8G252800.1.p pacid=40080960 transcript=Brasy8G252800.1 locus=Brasy8G252800 ID=Brasy8G252800.1.v1.1 annot-version=v1.1 MRLPWLVLAAAALAVAVSSATAYAPPSKTLKVGFYEHSCPQAEAIVRDAVRRAIARNPGFAPGLIRMHFHDCFVRGCDGSVLINSTPGNRAEKDSVANTPSLRGFEVIDDAKAILEAVCPRTVSCADILAFAARDSTLLAGDIEYAVPSGRRDGLVSRESEVLNNNVPPPTDDAAALIASFARKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFTGVQGRTDPSIEPYYAAELKRRCPPETDDKNDPTIVPLDVVTPTEFDNQYFKNVLAHKVPLTSDQTLLTSKRTAGIVVFHAAVEKAWRAKFAVSMVRMGNVDVLTGDQGEIREKCFAVNRHY* >Brasy8G137200.1.p pacid=40080961 transcript=Brasy8G137200.1 locus=Brasy8G137200 ID=Brasy8G137200.1.v1.1 annot-version=v1.1 MALSTTFSLPRGFLGVLPQEHHFAPGAELQTRSLKPRKRSCISASLSAEREAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLSDELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFVKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGAKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSGALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNIDDLITILREVKGTKTTGPVLVHVVTEKGRGYPYAERASDKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELLNMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGIPIEVGKGRILMEGERVALLGYGSAVQYCVAASSIVEEHGLRVTVADARFCKPLDHALIRSLAKSHEVIITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPVVLPDKYIDHGSPADQLAEAGLTPSHIAATVFNILGQAREALAIMTVPNA* >Brasy8G042900.1.p pacid=40080962 transcript=Brasy8G042900.1 locus=Brasy8G042900 ID=Brasy8G042900.1.v1.1 annot-version=v1.1 MKNGGSSAASGGGGGLAIAERQKAAPSCVAALFQMLAKRKLFSPSSKKTKLLPPVRAQKFSPGRQPGGGEKTPAAKKRPLLLDSADYARSRSEGHGTSSLPPPSEDRNHSEMCTPGVVARLMGLSSMPSISHERSASTSDSTEVGDHGNGCSQGLPGSSGSMGTSHQKQQKPGQLMDDRRNNGSQFDKDAQALWSGRHHHKVSSPLKSPRSISSRNKARLIEAAARVLEPGLQNRHRAQRHARLEYPCNGAGVESAASVVHNFPDQFSRDTHAADRQISGSRNAGAASLHTYTSSQLSEENVKKIAAARRPNQNVSWQVQPEGNSEVLLASSSSKKARCKESDAMISNSSADTYHDVREIQPRNISRGNVAWRPLKQNNLKQNTLPIVSRAGDPGHMVQGQKPRTGEQYVANTAKNFVSLNKSMNSGASSRSKGKELDKIGLSRSSAENKNLSMKGHRTSGLRSDSSNKLKVRTTSPKAMEKDMIIAKGAGLVSEKPKAGSPNCARNDWLRQVESHNAPRCNGSDIVSFTFSSPMKAIPTSLLGDNTRGKGSSVLESPNGSYPKKNSHRDCQNISSQRELVFREKLQSKSSMEAAESVCFNRYELKNIDIPDRRVTSSLFEKRSDLPVIEKSLFGEFLWELDGLIYGFGELPNPVESRETHKKPEANRKASNPSPSVPGGNRQRGILRSTYADENFTSGNLNYTSEAQVKERRCSETSAPPMSSLDASTERSSRHAEHNFGQPGVHRLERAVQLGKPLHPGEVTSTVELLLASICSSSGLQKTRTPAKTFLLRTSESALATLTTSSKHNSFKVDGGANPLRNLAFDFVTECLDTICVQLCGSGDRSFSKLALVCTEEEQLAAEVRKEVARYGAMAGKALDELATGDVEKAVEAGVADEAFRIGAQIEQDLLQELVDEIGRDMLKPL* >Brasy8G252200.1.p pacid=40080963 transcript=Brasy8G252200.1 locus=Brasy8G252200 ID=Brasy8G252200.1.v1.1 annot-version=v1.1 MTPPFRPSPTSAVPPHRGCVGDHCASGRDSWPLHQVRHDGVFCRLCSSCVLLYHPSAFCSACLHLLSPPNPNPTTPAAARHDPAFSPPGPTAPCSGCGLAVAHLSCVPDPASFLCAPCAAAEEGRPFSYAPAGRRLLDERAARVLLVAARLAHESVARAAAAARAEADRCVAESAAARKRSREMLDAAFRALEEEAREAKIARLAKPAPAPPAPPPPPPPKKKTPKSSEANRDRDKMLKFNAMQQPALAFAAVAAAAASSMPSREDKRLTKHDTQGSVDTPADGEPKALFGTLQS* >Brasy8G076900.1.p pacid=40080964 transcript=Brasy8G076900.1 locus=Brasy8G076900 ID=Brasy8G076900.1.v1.1 annot-version=v1.1 MSLPEAGISGSLGELNFSALPFLRFINLSYNSLAGGIPPAITSLTALSYLDLTSNSLHGQIPPEISRMGRLRLLWLALNNLTGYIPASLGNLTMLTDLSVLQNNLVGTIPDELGKLTRLEAMELSGTLLSGAIPDSIGNLTRLRLLHLYENQLSGSIPSSLGNLLNLVDLELTGNRLSGGIPVSLANLTQLQLLYLSVNQLTGPIPQQIGLMENLSQLSLYTNQLGGPIPPSLGNATRLNYINLCTNQFVGPIPSEIGNLMGLTDLYLCQNLISGSIPASLANITGMRELLLFYNKLTGSLPREFYPILTQLDVINLSNNSLSGELPSDVCKGGNNLREFIVATNMFTGPIPRGLQNCTSLQRLDLSSNKFTGDISDFGPYPHLAEVSLRRNNLHGHLSKSWGSSTNLTKLVMAENMLTGSLPPEISNLVKLEVLMLYGNKLTGKIPPELGNLANLYMLSLSGNEFSGDIPPEFGQMSNLQFLDISMNKLNGSIPQELGSCTGLLSLLINHNRLSGELPVSLGNLGNLQLVLDLSNNNFTGALPVQLGSLVKLEVLNLSHNQFDGTIPPSFADMASLSALDVSYNNLEGPLPMGRLFHNASIGWFLHNNGLCGNVLGLPTCASAMDLEHDDRRIRSLALAISIPLCIVTVLTFFGVIIIIHKRKRPHGTPTVDTRDVLSVWNFDGKLAFEDIIRATENFNESYIIGSGGYGTVYKAQLQGGRLVAVKRLHKTEEDNTNDEKRFISEIEVLTKIRHRSIVKLYGYCSHQLYKFLVYDYIDRGSVRATLENEELAKELNWKRRVAVARDMAQAIYYLHHECNPPIIHRDITSSNILIDANFKACVSDFGTARIIKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVVVLEIVMGRYPRELQTLASIEIAMEMLDQRTPLPTMEEVEEIALLVQLAFACMQTSPRSRPEMQDVYQKLTRHPFS* >Brasy8G070600.1.p pacid=40080965 transcript=Brasy8G070600.1 locus=Brasy8G070600 ID=Brasy8G070600.1.v1.1 annot-version=v1.1 MAATSVASAPRPLRLTPRRALGSPCHHHRPLLLPGRRTRLAVSASAAGEETSGGGPGRFYFNFTGFPFPLGPFLNRRTVRTEAVKGSVWLFEQEQALGFSSVSVNTRMTVVKLRSGGLWVHAPIAPTKECVQLLKELGAPVEHVVLPTFAYEHKIFLGPFSRKFPRAQVWVAPRQWSWPVNLPLEFFGVFRSKPLKDEDDETPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLAAAKNGLAVKILSKGKEVSDEPVVDNKLSRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINVSRSDFLAAFSFLDDFLPERPAVSPGLSLIFASFMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR* >Brasy8G070600.2.p pacid=40080966 transcript=Brasy8G070600.2 locus=Brasy8G070600 ID=Brasy8G070600.2.v1.1 annot-version=v1.1 MAATSVASAPRPLRLTPRRALGSPCHHHRPLLLPGRRTRLAVSASAAGEETSGGGPGRFYFNFTGFPFPLGPFLNRRTVRTEAVKGSVWLFEQEQALGFSSVSVNTRMTVVKLRSGGLWVHAPIAPTKECVQLLKELGAPVEHVVLPTFAYEHKIFLGPFSRKFPRAQVWVAPRQWSWPVNLPLEFFGVFRSKPLKDEDDETPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLAAAKNGLAVKILSKGKEVSDEPVVDNKLSRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINVSRSDFLAAFSFLDDFLPERPAVSPGLSLIFASFMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR* >Brasy8G070600.3.p pacid=40080967 transcript=Brasy8G070600.3 locus=Brasy8G070600 ID=Brasy8G070600.3.v1.1 annot-version=v1.1 MTVVKLRSGGLWVHAPIAPTKECVQLLKELGAPVEHVVLPTFAYEHKIFLGPFSRKFPRAQVWVAPRQWSWPVNLPLEFFGVFRSKPLKDEDDETPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLAAAKNGLAVKILSKGKEVSDEPVVDNKLSRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINVSRSDFLAAFSFLDDFLPERPAVSPGLSLIFASFMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR* >Brasy8G266500.1.p pacid=40080968 transcript=Brasy8G266500.1 locus=Brasy8G266500 ID=Brasy8G266500.1.v1.1 annot-version=v1.1 MTSVRSAAGGVLPPIRLAVERARQEALRRELDGCQLLAGIWCHGFTAAQLRSIRASLPPTARLLVAKNSDLSAAVAGTPWEQLRLHARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVYEGKLYGPDDFAKLESMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQAETPPPPTEDAAAAAATPAPEK* >Brasy8G191000.1.p pacid=40080969 transcript=Brasy8G191000.1 locus=Brasy8G191000 ID=Brasy8G191000.1.v1.1 annot-version=v1.1 MAGREASSLGVIMAVAVAVIMAVLGLAAAEDPWPADPSWYKEDPALVEALVAIRAALRDPNGVLVDWVANSSVHPCNWTGVVCGFNLGIDLRSRNLSGTLSPEIGKIRWLEDVFLGDNDISGPIPETLGEFQSLVRVDLSNNHFSGTIPPALCKEPIYDLQLSRNNLSGTIPDAIFTHRGSFFVDLSFNNLSGTLPDYNISFYGINTANFEGNPLLHYNCNGTCGSMPMHENALPKESPTRWWYIIAMSDMLTYLVISFLIAFFLVMVLVVFWQWHRRHQIFADIDDKNESEACFGHLKRYMLKEIKQATNNFNRNNILGQGGFGIVYKGLLHDGTIAAVKRLKDFVSSTGERQFRTEVAVISLVVHRNLLSLIGFCSEKNERLLVYPYMPNGTVSSKLQEYVNQKPALDWPTRKKIALGTARGLVYLHDQCYPRIIHRDIKASNVLLDEEFEAIVADFGMAKMLEQGQTCVVSEIRGTFGRIAPEYLRTGESSEKTDVYAYGLLLMELITGRRTLDVREEEYPKGGLVDWARELLEEGQLSSLVDKRLGSDYDSAELVEMVQTALLCAMYKADHRPRMSEVVRMLEGDGSSAERWQALKDVPTTPLPGTPVFIPSLVRGGEGEECHSGDIEAIELSGPR* >Brasy8G119600.1.p pacid=40080970 transcript=Brasy8G119600.1 locus=Brasy8G119600 ID=Brasy8G119600.1.v1.1 annot-version=v1.1 MATTPSQASLLLQKQLRDLSKNPVDGFSAGLVDDSNVFEWQVTIIGPPETLYDGGYFNAVMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRLVRKSQEML* >Brasy8G043900.1.p pacid=40080971 transcript=Brasy8G043900.1 locus=Brasy8G043900 ID=Brasy8G043900.1.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQGLWPHAYFHHILSPEAENQVQSIIPPVNGDSPRRHAALELRTTSQLELDSMEAGRRDR* >Brasy8G043900.2.p pacid=40080972 transcript=Brasy8G043900.2 locus=Brasy8G043900 ID=Brasy8G043900.2.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQGLWPHAYFHHILSPEAENQVQSIIPPVNGDSPRRHAALELRTTSQLELDSMEAGRRDR* >Brasy8G043900.3.p pacid=40080973 transcript=Brasy8G043900.3 locus=Brasy8G043900 ID=Brasy8G043900.3.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQATR* >Brasy8G043900.4.p pacid=40080974 transcript=Brasy8G043900.4 locus=Brasy8G043900 ID=Brasy8G043900.4.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQATR* >Brasy8G043900.5.p pacid=40080975 transcript=Brasy8G043900.5 locus=Brasy8G043900 ID=Brasy8G043900.5.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQATR* >Brasy8G043900.6.p pacid=40080976 transcript=Brasy8G043900.6 locus=Brasy8G043900 ID=Brasy8G043900.6.v1.1 annot-version=v1.1 MPAQAIPAAIRLGAPHPYLKTHGAKVARLHLLDWVVLVLLAVADGVLNLIEPFHRFVGEDMMADLRFPLKDNTVPVWAVPVLAVLGPMLIIAAIYVKRRNAYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPNFFWRCFPDGVPNYNNFTGQVICHGDKGVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRAFDRGGHIAKLCVVILPLLLAAMVGVSRVDDYWHHWQDVFTGGILGLVAASFCYLQFFPPPSGDQATR* >Brasy8G114300.1.p pacid=40080977 transcript=Brasy8G114300.1 locus=Brasy8G114300 ID=Brasy8G114300.1.v1.1 annot-version=v1.1 MDRVQLLLVGLPALLFFSDLSHIFAPPPPHLRHPHHHPPHHPHPPHHHPHHPHPPHHPHPPHHPHPPHHHHPDPATAAIQEPHLDGAGFGTTVELQFCASCSYKGNAMTMKRMLETSFPGINVFLHNYPPPFPKRVLSKIVPVLQVGSIATIMAGDQIFPRFGIVPPPWFYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLIGSRLPRSQFGKSMGNALS* >Brasy8G130200.1.p pacid=40080978 transcript=Brasy8G130200.1 locus=Brasy8G130200 ID=Brasy8G130200.1.v1.1 annot-version=v1.1 MNPVACLRLGASAATPPSPSRCRAAPQPPRSVSRLPPPRASASTAGKNQGTAVALPCPTGSSALGLYERERLGLSRYADEESKEDIFWETLDADLQYWTRSLRPVQWYPGHIAKTEKELKGQLKLMDVVIEVRDARIPLATTHPKMDSWLGNRRRIIVMNREDMVSAEDRNAWATYFSSQGIKVMYSNGQLGMGTMKLGRMAKSVASTVNTKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQTAALKLAICDDIGERSYDFDDVAAILVQLLLRHPAVGSEAFRKRYKINVDSDCGKMFVTKLSLHLFNGDTSQAAFRILSDFRKGRFGWVALERPPT* >Brasy8G130200.2.p pacid=40080979 transcript=Brasy8G130200.2 locus=Brasy8G130200 ID=Brasy8G130200.2.v1.1 annot-version=v1.1 MNPVACLRLGASAATPPSPSRCRAAPQPPRSVSRLPPPRASASTAGTAVALPCPTGSSALGLYERERLGLSRYADEESKEDIFWETLDADLQYWTRSLRPVQWYPGHIAKTEKELKGQLKLMDVVIEVRDARIPLATTHPKMDSWLGNRRRIIVMNREDMVSAEDRNAWATYFSSQGIKVMYSNGQLGMGTMKLGRMAKSVASTVNTKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQTAALKLAICDDIGERSYDFDDVAAILVQLLLRHPAVGSEAFRKRYKINVDSDCGKMFVTKLSLHLFNGDTSQAAFRILSDFRKGRFGWVALERPPT* >Brasy8G130200.3.p pacid=40080980 transcript=Brasy8G130200.3 locus=Brasy8G130200 ID=Brasy8G130200.3.v1.1 annot-version=v1.1 MQTRSPKKTFSGKPWMPICSTGPDPCAQCRYIYKWYPGHIAKTEKELKGQLKLMDVVIEVRDARIPLATTHPKMDSWLGNRRRIIVMNREDMVSAEDRNAWATYFSSQGIKVMYSNGQLGMGTMKLGRMAKSVASTVNTKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQTAALKLAICDDIGERSYDFDDVAAILVQLLLRHPAVGSEAFRKRYKINVDSDCGKMFVTKLSLHLFNGDTSQAAFRILSDFRKGRFGWVALERPPT* >Brasy8G149800.1.p pacid=40080981 transcript=Brasy8G149800.1 locus=Brasy8G149800 ID=Brasy8G149800.1.v1.1 annot-version=v1.1 MAALHLRPFHSLALPAAKSTSNPNWLQLPPKPRAKGRSAGLALLVCAASGSNPTPAAPSSSSASTSGDKNGASSAAARWAAWIPRAAVGGVGPEQVLRLISGAAATPICQFVDSPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVTGLALLSMWVLPNHVWKDQLGRVTLLSGIIFIMLGFGSDGAPSLVQTRTPPPFVLGVPNIPCSLSGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKFIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRIDWKKLATMETIDIFFNYVQRIFKNIFDHAEQISKAMIARGYRGDPSNHKIYFLAESSFGIVDAFSLLCLFAVMGLASFSDKLV* >Brasy8G149800.3.p pacid=40080982 transcript=Brasy8G149800.3 locus=Brasy8G149800 ID=Brasy8G149800.3.v1.1 annot-version=v1.1 MAALHLRPFHSLALPAAKSTSNPNWLQLPPKPRAKGRSAGLALLVCAASGSNPTPAAPSSSSASTSGDKNGASSAAARWAAWIPRAAVGGVGPEQVLRLISGAAATPICQFVDSPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVTGLALLSMWVLPNHVWKDQLGRVTLLSGIIFIMLGFGSDGAPSLVQTRTPPPFVLGVPNIPCSLSGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKFIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRIDWKKLATMETIDIFFNYVQRIFKNIFDHAEQISKVSSFSNEFIAAILYFQMQ* >Brasy8G149800.2.p pacid=40080983 transcript=Brasy8G149800.2 locus=Brasy8G149800 ID=Brasy8G149800.2.v1.1 annot-version=v1.1 MAALHLRPFHSLALPAAKSTSNPNWLQLPPKPRAKGRSAGLALLVCAASGSNPTPAAPSSSSASTSGDKNGASSAAARWAAWIPRAAVGGVGPEQVLRLISGAAATPICQFVDSPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVTGLALLSMWVLPNHVWKIFQSASLCLTTTTPEQLASALWWFMIPLKFIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRIDWKKLATMETIDIFFNYVQRIFKNIFDHAEQISKAMIARGYRGDPSNHKIYFLAESSFGIVDAFSLLCLFAVMGLASFSDKLV* >Brasy8G190400.1.p pacid=40080984 transcript=Brasy8G190400.1 locus=Brasy8G190400 ID=Brasy8G190400.1.v1.1 annot-version=v1.1 MSAAAEAGGEQAQRPKAGGNAGNGNGSSNRIQVSNTKKPLFFYVNLAKRYMQQHTEVELSALGMAIATVVTVAEILKNNGFAFETKIRTSTVEIKDEMRGRPIQKAKIEIVLRKSDKFDELMATAAAEATVADGEE* >Brasy8G247600.1.p pacid=40080985 transcript=Brasy8G247600.1 locus=Brasy8G247600 ID=Brasy8G247600.1.v1.1 annot-version=v1.1 MAAATAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGSTSHLRFRHFVSLATARAYLKDERGCDICGVEITDDALPVTARPFRRSTAFLFGNEGTGLSQKECEICDYFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVAEKPQGQSRGLYCSDSIEDVIEERKARKENACDIFEENGSSHPQESNGLDTMFTD* >Brasy8G106600.1.p pacid=40080986 transcript=Brasy8G106600.1 locus=Brasy8G106600 ID=Brasy8G106600.1.v1.1 annot-version=v1.1 MNDADVGKQIQQMVRFIQQEAEEKASEISVAAEEEFNIEKLQLVESEKRKIRQDYERKQKQVDIRRKIEYSTELNAARIKLLQAQDDIVSEMKESAGKALLRVTKDTNTYRKILKSLIVQSLLRLREPSLVLRCREADRVHVEPVLEAAKKEYAEKTKVNLPKIIIDGKVYLPPQKINDAAAHGPSCSGGVVLASQDGKIVSNNTLDARVDLSFRQKLPEIRKKLYSQQVSQ* >Brasy8G150400.1.p pacid=40080987 transcript=Brasy8G150400.1 locus=Brasy8G150400 ID=Brasy8G150400.1.v1.1 annot-version=v1.1 MDAHRRPGMACSQCWENPPALDAPGGGGRVVADFGGQQAYVAGSAGSKAAVVLVGDAFGFEAPNLRKIADRVALSGYFVVVPDFIHGDPYQPDDPNNPGMWVQAHNLLEAFEEAKPIIAALKDKGVSTIGAAGYCWGAKVVAELAKAHEIQAAVMLHPSFVTVDDIKEVKCPIAILGAEIDKTSPAELVKQFEQVLSSNSGIGHFVKIFPGVSHGWAVRYHEDDASAVKSAEEALADMTEWFNKNLK* >Brasy8G041500.1.p pacid=40080988 transcript=Brasy8G041500.1 locus=Brasy8G041500 ID=Brasy8G041500.1.v1.1 annot-version=v1.1 MAPAAASARLLALGAVAILAFAAGSADALRSLGVRDGAGAAAEGDAAVDLNATNFDAFLKASRESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGRILMARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQVVQAIYDVEEATAHALQIILENKMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKYSYRLHSLKKI* >Brasy8G041500.4.p pacid=40080989 transcript=Brasy8G041500.4 locus=Brasy8G041500 ID=Brasy8G041500.4.v1.1 annot-version=v1.1 MAPAAASARLLALGAVAILAFAAGSADALRSLGVRDGAGAAAEGDAAVDLNATNFDAFLKASRESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGRILMARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQVVQAIYDVEEATAHALQIILENKMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN* >Brasy8G041500.5.p pacid=40080990 transcript=Brasy8G041500.5 locus=Brasy8G041500 ID=Brasy8G041500.5.v1.1 annot-version=v1.1 MAPAAASARLLALGAVAILAFAAGSADALRSLGVRDGAGAAAEGDAAVDLNATNFDAFLKASRESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGRILMARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKYSYRLHSLKKI* >Brasy8G041500.6.p pacid=40080991 transcript=Brasy8G041500.6 locus=Brasy8G041500 ID=Brasy8G041500.6.v1.1 annot-version=v1.1 MAPAAASARLLALGAVAILAFAAGSADALRSLGVRDGAGAAAEGDAAVDLNATNFDAFLKASRESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGRILMARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN* >Brasy8G041500.2.p pacid=40080992 transcript=Brasy8G041500.2 locus=Brasy8G041500 ID=Brasy8G041500.2.v1.1 annot-version=v1.1 MARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQVVQAIYDVEEATAHALQIILENKMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKYSYRLHSLKKI* >Brasy8G041500.3.p pacid=40080993 transcript=Brasy8G041500.3 locus=Brasy8G041500 ID=Brasy8G041500.3.v1.1 annot-version=v1.1 MARVDCASKVNVDLCSRFSVDHYPFLLWGPPAKFASAKWDRKQEKSEIKLIDDGRTAERLLKWINKQLESSFTLDDKKYENESTLPKNASDPAQVVQAIYDVEEATAHALQIILENKMIKSETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSPESSKLLENVAAENHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVRIGDGESQSTFTSICDFIHNFFICEECSRHFYEMCSSVSVPFKSARDLSLWLWSTHNKVNVRLMKEEHDLGTGDPSFPKVIWPPKPLCPSCYRSSSRTGDGAVQVDWNEDEVYPFLVNYYGKTLVSSYKETYMESLQEKKQVGVVSDDSPSLSAARVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN* >Brasy8G275800.1.p pacid=40080994 transcript=Brasy8G275800.1 locus=Brasy8G275800 ID=Brasy8G275800.1.v1.1 annot-version=v1.1 MARVVLLLALGALVVFTSTTAASGADYAAALSKSLLYFEAQRSGRLPATQRVQWRGHSALNDGADHGVDLTGGYYDSGDNVKFGLPMAFTVTMLSWAVAEQRAGLERAGELGHALDAVRWGADYLARAHVAGNGGDGEKLFVQVGDGDSDHKCWERPEDMDTPRGAYEVNATHPGSDVAAETAAALAAASVVFRDAHAAAGGKYAATLLTHAKQLFEFAKNHRGLYHNSVPSAKNFYPSGAAAAIRDELLWAATWLYMATGDKNYEGFIANGGNGGVPPLFTWDYKYVGAQTLVAKLVLQGKLPDSGNYGALKSNLEKYLCNVMQHGDGSSGKLTPGGMLSVQPWDNQQCVTAAAFALVAHADHLATAGASLNCGGVRLPPAQLVSFARSQVDYILGKNPLNVSYMVGYGDRYPGQVHHRGASLPSIKASPAKISCSDGSGYFHTARPNVNVIVGAIVGGPDANDRYNDSRGNYAQGEPSTYTVAPVVGVLARLVHS* >Brasy8G194300.1.p pacid=40080995 transcript=Brasy8G194300.1 locus=Brasy8G194300 ID=Brasy8G194300.1.v1.1 annot-version=v1.1 MSGSGCLPAGELDALSRVCRDGAAAARLKTGSLLAILLASAVGICLPVALTRAFRGREGYARGLLLVKCYAAGVILSTSLVHVLPDAYAALADCAVASRRPWRDFPFAGLFCLIGALLALLVDVSASTHLEAHGHQPPEQEQEQPYAPIPKKAPTVFELAGEMSPRKRAVLDDREEPELHIVSQDRDDVALFGAKKGGPRLVRSDEVVVSTGGCHGGGHEVVEVGDGEEDEAMKKQKMVSKVLEIGIVFHSVIIGVTLGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMAVFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSLKLKKISYIALVLGSASMSILALWA* >Brasy8G080000.1.p pacid=40080996 transcript=Brasy8G080000.1 locus=Brasy8G080000 ID=Brasy8G080000.1.v1.1 annot-version=v1.1 MASRALMMALLLAAVAVGCARAQLHEKFYSESCPSVEEVVRKEMMRAPRSLAAPILRMHFHDCFVRGCDGSVLLDSANKTAEKDGTPNQTLRGFGFVDTVKAAVEKACPDTVSCADVLALMARDAVWLTNGPFWEVPLGRRDGSVSISNETDQLPPPTSNFTVLTQLFAAKNLDAKDLVVLSAGHTIGTSHCVSFTDRLFNFTGKVNPTDVDPTLDSEYMDKLRGKCRSLNDNTTLVEMDPGSFKTFDLDYFTVVAKRRGLFHSDGALLTDGFTRAYVQRHAGGAFKEEFFADFAASMIKMGNVDVLTGTQGEIRKKCSVVNH* >Brasy8G230900.1.p pacid=40080997 transcript=Brasy8G230900.1 locus=Brasy8G230900 ID=Brasy8G230900.1.v1.1 annot-version=v1.1 MEEDRISGLPDKLLHEILRRLQSSPAAARTSALSRRWRRVWASVPDLVLGDDLQIHLHGAPSFLDAVDAALDSYAAAAADPASHLHDLEITVPFAGIHVDVPAHLSLGGDEFWRFLEDRNYYLPKCQILWVSSDMTPRCSEPCVLRLLTRGNGISNGIRKLGMIAHPAKGTYCSPSCSRRSPQSTMVDAIIFDSLEEIELNLLGGYENLENFMKQLLSMSSLAKLKSVEIKRSYKHAASSPMDEVCNKIRGMCPPNIRVIFN* >Brasy8G062800.1.p pacid=40080998 transcript=Brasy8G062800.1 locus=Brasy8G062800 ID=Brasy8G062800.1.v1.1 annot-version=v1.1 MAALRFAAKKLCDCAFQPAPVAAEEQQRILLHRGRRSMTSTSFTKHAEPLDVLKFQTKQKKEELYRMLEQVNCMRAYPTFSMENIHNYRLTTQLAAQVHPRYGECMWYTFKHIHVHI* >Brasy8G197100.1.p pacid=40080999 transcript=Brasy8G197100.1 locus=Brasy8G197100 ID=Brasy8G197100.1.v1.1 annot-version=v1.1 MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVSDILHDRGGTQNYKSFKKTVARLEEAAVSCRGSERVELLRRWLGALQDIEAELAGSDLKDSEDHDSVGELDTLKPPLTMFYDPDIEGAPMNFRDVFLYSQALEDISQSMILEAPSEEEVSLLLEIFGLCLTGGKEVNKAIMDNVQDLAKAFSNYKDEVLVKREELLEYTRNVISGLKRNADILRIDAETLELWRKLDEKEKSRSTEDQDKASEKIAVANIEALKEALTEVRFCSRVEELLLKKKSITPGDSMEQHSQKVDKLKVLADSLVSSSSKAEQRIIDHRRQKEDALNFRVKKENEVNAAENGLLAEMTELEKQRDELEAQLKKVNISINAASARLKTTREERDQFDEANNQIIFSLKTKEDDLSKSIATCNVEANVVKTWISFLEDTWQLQSSYNEQKEKKTSDELERCVNDFLKLTKHHLSAFKEVLSPSIESIQTYVDNLAVLNSREEATENEDDEASKKTNPRKPLEEEYLETEKKIIVAFSIADHIKKLFYSEHGANSRRDDPEVKNLIDEIEKMREAFESIERPNLSIEDHRSKALPEERSDLSPSPIQAPATPKAAHIDSPKSPMKPEHQLDPDAELANLGADFGKDSKDYSGEEISGWEFDELEEES* >Brasy8G182000.1.p pacid=40081000 transcript=Brasy8G182000.1 locus=Brasy8G182000 ID=Brasy8G182000.1.v1.1 annot-version=v1.1 MALFFRHLTPFHAGTIGSPYPSTAHSRTRLPHSSLSRASRHHSPSCASLFPALPPQPPPMSHLPPAADVAGRDGLPPLLPGGPPQQMKAKARPRPWGGSAAGATTKKGRANPAAAFLSVPSAATAAEMPPPPSRTGSPGTPPPVAAEDTSVVVATPVGGVSFVQAMDDETDAEKISFSLPNDDLASKGVGKRSCNYSNQEDTQLCKSWASITMDPIVGNEQPERAYWKRIAKHYHKNRDFNSHRNANSLEHRWSILQKHCMKFQACYDQVERRHPSGIPYKEHLIESQELYASTNHNRAFQYVHCWLQVRNNQKFQALNFNAKKSKPSSPFEGTGEEEVEARSKSPITESTQKRPPGRKQAKDKANNEGEYGPYEETLKGLLEIKEREWKFKEERWREIKSLQERKISIEERKLMWEQEKKVMFCDVNTLEGDVKTYVLAMRAKIANAKVAELKRNFGGANSIFGGDLSCGGSTFGGVFAGGTSGFGSEFGGGSGSYGGGDGNGADLS* >Brasy8G091800.1.p pacid=40081001 transcript=Brasy8G091800.1 locus=Brasy8G091800 ID=Brasy8G091800.1.v1.1 annot-version=v1.1 MATPPNPPPPATSAPPFKTDAENPNPNHAPALGASALPAPPPEPAISIGPSYMVLSVRCVISELHARGHLAGLEIPDGELTEAGAPALFVDVLAAFLAEVQVPCALPTLAMPPVFVADRPVDLLRLYLAVRSRGGFAAVSSWPAVAVALGLQPTAYSAIKLVYAKYLSLLEQSIRKPRKQGGVVESSGNADHRSKAKKGKFMSPHKDHASGGSSHLKRKRNVLVEMLNWVRLVAKSPGEHSIVGQSPGSQFSLMLMLRCEMTASPQSSIPSTTTTLCVLLP* >Brasy8G091800.2.p pacid=40081002 transcript=Brasy8G091800.2 locus=Brasy8G091800 ID=Brasy8G091800.2.v1.1 annot-version=v1.1 MATPPNPPPPATSAPPFKTDAENPNPNHAPALGASALPAPPPEPAISIGPSYMVLSVRCVISELHARGHLAGLEIPDGELTEAGAPALFVDVLAAFLAEVQVPCALPTLAMPPVFVADRPVDLLRLYLAVRSRGGFAAVSSWPAVAVALGLQPTAYSAIKLVYAKYLSLLEQSIRKPRKQGGVVESSGNADHRSKAKKGKFMSPHKDHASGGSSHLKRKRNVLVEMLNWVRLVAKSPGEHSIVGQSPGSQFSLMLMLRCEMTASPQRFQQNK* >Brasy8G114700.1.p pacid=40081003 transcript=Brasy8G114700.1 locus=Brasy8G114700 ID=Brasy8G114700.1.v1.1 annot-version=v1.1 MARTLDGWYSAKDVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVVSFFAVDESRFTQTDEVSCMPYFRSRCSWGFFRKRTRLLCRKCGGHIGDSYEDKDSPLYDSLDDTHLSYAGSQKKYVIKINALQPSSDDSGVPFSL* >Brasy8G071900.1.p pacid=40081004 transcript=Brasy8G071900.1 locus=Brasy8G071900 ID=Brasy8G071900.1.v1.1 annot-version=v1.1 MAERRYTAHEEELEIKSLRRIIAAYANYQDAAEKDVERYERSFKRLPPAHKELLFHLGLKYQRLRWCISMNASFIMNMLEAFEPPFDMTQYADGGCHDCPEHMHGQNHVNCAHSSEMVDCSRSSITINTNSLHAQHGCAKEDPKTNESAREFENKKDKEVHMAGCSQPVGYNLGTSQVVDKSCNGDKHASAASNCQDTDCFASSADKNVITGHCMDSPLQLDVPPVDVDKVRCIIRNIVRDWAQEGQNERDECYKPILEELNRLFPNRSRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMMVCSSFILNHTQEAYEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITDGFSMCAGDFVEVYNEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWVNMGPLLYHFADSYGPDDDMSIELSLDDVKRVAYHYGFVMEVEKMIDTTYTANMASMMQNRYRAAFWTMRKDASRSKAQKRQ* >Brasy8G071900.2.p pacid=40081005 transcript=Brasy8G071900.2 locus=Brasy8G071900 ID=Brasy8G071900.2.v1.1 annot-version=v1.1 MAERRYTAHEEELEIKSLRRIIAAYANYQDAAEKDVERYERSFKRLPPAHKELLFHLGLKYQRLRWCISMNASFIMNMLEAFEPPFDMTQYADGGCHDCPEHMHGQNHVNCAHSSEMVDCSRSSITINTNSLHAQHGCAKEDPKTNESAREFENKKDKEVHMAGCSQPVGYNLGTSQVVDKSCNGDKHASAASNCQDTDCFASSADKNVITGHCMDSPLQLDVPPVDVDKVRCIIRNIVRDWAQEGQNERDECYKPILEELNRLFPNRSRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMMVCSSFILNHTQEAYEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITDGFSMCAGDFVEVYNEESQEFYERGHATARS* >Brasy8G249800.1.p pacid=40081006 transcript=Brasy8G249800.1 locus=Brasy8G249800 ID=Brasy8G249800.1.v1.1 annot-version=v1.1 MLSASGKVKELDILMIRQKQVEMHKVKVLNASRSNGEHQLKAILMRKLNDFFRARPLDGGAPQGCGRTHRGEKRSAPPPVVADVLEEVQPPPAVVDVREEVQPPPAVPVLMVAPLLMVEPPPTAVPMVEVQQPHAVESPPMTLDGEPRHQSPPSQELEPPGPDADTTSSPRAQEPHESTGYHRPPPAVADVPEEVQPPPGVIYVREEVQPPLAVPVLMVAPLLMVEPPPTAVPMVEVQQPHAVEAPPMTLHGEPRHGSFLFEVPRTASSSGD* >Brasy8G172300.1.p pacid=40081007 transcript=Brasy8G172300.1 locus=Brasy8G172300 ID=Brasy8G172300.1.v1.1 annot-version=v1.1 MSQAGDAAGSTGAGAGAAAESHPMRWTPATSGFMLRRLVELIGSGVKTDKGFKEVHLNQVARNVSENFGIDVSGTQVYNHLRKWRQRWVRLTKLKDLSGALWDDMNYVIVLEEQHYLGHIKDHPKDVDFLNVPIENYVQMATIFSAGQATGKYVLLF* >Brasy8G297900.1.p pacid=40081008 transcript=Brasy8G297900.1 locus=Brasy8G297900 ID=Brasy8G297900.1.v1.1 annot-version=v1.1 MLLLFRILVLLVPDGWSAIIWVFSSFQPPEFVIFAKALKKLKPGLA* >Brasy8G063800.1.p pacid=40081009 transcript=Brasy8G063800.1 locus=Brasy8G063800 ID=Brasy8G063800.1.v1.1 annot-version=v1.1 MNPDNFTHKTNEALVAAHEAASEAGHVQITPLHLAASLAGDKSGILRQAIAQASGGDPAAGDSFERVLSGALKKLPSQSPAPDSVPASTALIKAIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSASRVRAELEKLRGGDSRKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRVVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVADTISILRGLKEKYEGHHGVRIQDRAIVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALMEIDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGMADRLHTRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDVILSLAYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAANKDELAYRVDRSGGLVNAETGQRSGILIQVPNGAVGGEAAQAVKKMRIMEEDGMDEDV* >Brasy8G040200.1.p pacid=40081010 transcript=Brasy8G040200.1 locus=Brasy8G040200 ID=Brasy8G040200.1.v1.1 annot-version=v1.1 MCLLLQATPEYEYEVICSLSHARTRKEKNWRTSMQNTPRPGPPPSHQRISVDASRAAQITPSPNLVRKSEITAMAAAGGTSSDDAPIIQAENLTSNVRSILYSRTFLSIIGGVVAGIWGFTGLTGFIFYFLIMMVASLGLLAKSKFSVHTYFDSWSRILVEGVFGGLMSFVLFWTFAYDIVHIF* >Brasy8G262700.1.p pacid=40081011 transcript=Brasy8G262700.1 locus=Brasy8G262700 ID=Brasy8G262700.1.v1.1 annot-version=v1.1 MPRYDDRYGKSRLYVGRLSSRTRTRDLEYLFSRYGRIREVELKRDYAFIEYSDPRDADDAQYNLDGRDVDGSRIIVEFAKGIPRGPGGSREREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLRRERSYSRSPSPRRGRARSRSYSRSQSYYSRSRSRSLSESPRGRRREHDERRSRSLSYSRSPRRSLSPEAKEMDRSPTPDGSPSPRRSLSREAKGIVDSPRGRETSRSPSNGYRSPVANGRSPSPRGKDGSLSPTKDNRGSPSPRGNNGSPRPRGNVDGVSPSPRGNGNDDADVRQGSGSPTGNSSP* >Brasy8G046100.1.p pacid=40081012 transcript=Brasy8G046100.1 locus=Brasy8G046100 ID=Brasy8G046100.1.v1.1 annot-version=v1.1 MARRRGSVGSRVKGFDPIAEMAGRLGVAAAVKERAREIFRKMEAARARPRRGLGDRRKVTLYAAACLSVACRDEGSPRTLKELAAAARPSAGAAISRAEIFRMALQIRQRLGEEEGAGGIGVLSASSYLRRFGAQLGLGDSEVARAEEAARRLERSGLDVRHHTESVASGIVYMAAERAGVRVTYKGVAEAVGVTGATVSAVYRKLRPHAQMLFGYSAPAAAAIVAACCVRPPPRGV* >Brasy8G230200.1.p pacid=40081013 transcript=Brasy8G230200.1 locus=Brasy8G230200 ID=Brasy8G230200.1.v1.1 annot-version=v1.1 MEPRRRRCGTGSGREQDRLSGLPDEMLHIILRHLRSFRAAVRTSALSRRWRRVWASDPDPDLVLSGDLRFRCVKGALDAYEAAAGPAAQLRGLEVTNVPTCGVAPWLRFAARRLPGALHLRVPYSCQGEFPRFSELELPPLERAASITLDLGSGFHIRPLPSGVFEALADLEITSSTMEAPALEVLVSTQCPRLRKLSVSVTLVPASGISLRSATLQHLKFHVPTPRLDIAAPALKVLDTLHGFDDAHISAPNLAEVTWGLCDRFDFTDAGRHLRLLDLTLCTCAAMAPLVRRFDLVDELRLPAPLEGDKFWRFFRDNSHDLPMCQNLCQNLLISSLVNRHAFIPCVLRLLRGNGVRKLGMHAYASTCTYCSTSCSCRSPQNAIIFDSLEEIQFDLLGGDEHLEKIMKQLLSMCSLAKLKSVEINRLQKHASSPSDEVYNMIRGMCPPNVSVRLNLFP* >Brasy8G230200.2.p pacid=40081014 transcript=Brasy8G230200.2 locus=Brasy8G230200 ID=Brasy8G230200.2.v1.1 annot-version=v1.1 MEPRRRRCGTGSGREQDRLSGLPDEMLHIILRHLRSFRAAVRTSALSRRWRRVWASDPDPDLVLSGDLRFRCVKGALDAYEAAAGPAAQLRGLEVTNVPTCGVAPWLRFAARRLPGALHLRVPYSCQGEFPRFSELELPPLERAASITLDLGSGFHIRPLPSGVFEALADLEITSSTMEAPALEVLVSTQCPRLRKLSVSVTLVPASGISLRSATLQHLKFHVPTPRLDIAAPALKVLDTLHGFDDAHISAPNLAEVTWGLCDRFDFTDAGRHLRLLDLTLCTCAAMAPLVRRFDLVDELRLPAPLEGDKFWRFFRDNSHDLPMCQNLCQNLLISSLVNRHAFIPCVLRLLRGNGVRKLGMHAYASTWG* >Brasy8G230200.3.p pacid=40081015 transcript=Brasy8G230200.3 locus=Brasy8G230200 ID=Brasy8G230200.3.v1.1 annot-version=v1.1 MEPRRRRCGTGSGREQDRLSGLPDEMLHIILRHLRSFRAAVRTSALSRRWRRVWASDPDPDLVLSGDLRFRCVKGALDAYEAAAGPAAQLRGLEVTNVPTCGVAPWLRFAARRLPGALHLRVPYSCQGEFPRFSELELPPLERAASITLDLGSGFHIRPLPSGVFEALADLEITSSTMEAPALEVLVSTQCPRLRKLSVSVTLVPASGISLRSATLQHLKFHVPTPRLDIAAPALKVLDTLHGFDDAHISAPNLAEVTWGLCDRKETNSGDSSGTTAMIFQCARTCARTC* >Brasy8G230200.4.p pacid=40081016 transcript=Brasy8G230200.4 locus=Brasy8G230200 ID=Brasy8G230200.4.v1.1 annot-version=v1.1 MEPRRRRCGTGSGREQDRLSGLPDEMLHIILRHLRSFRAAVRTSALSRRWRRVWASDPDPDLVLSGDLRFRCVKGALDAYEAAAGPAAQLRGLEVTNVPTCGVAPWLRFAARRLPGALHLRVPYSCQGEFPRFSELELPPLERAASITLDLGSGFHIRPLPSGVFEALADLEITSSTMEAPALEVLVSTQCPRLRKLSVSVTLVPASGISLRSATLQHLKFHVPTPRLDIAAPALKVLDTLHGFDDAHISAPNLAEVTWGLCDRKETNSGDSSGTTAMIFQCARTCARTC* >Brasy8G267700.1.p pacid=40081017 transcript=Brasy8G267700.1 locus=Brasy8G267700 ID=Brasy8G267700.1.v1.1 annot-version=v1.1 MAMASPAAVLPAEEGAVGENFGEGRGSAMGRPTRLRAVRPDVAEHLQRGSPRPRRPETTKEKQAQGERARYGCAFEEEDGGKFAPPRLVWAKVKGHPWWPGQVFDPVDASALALDERHRRCQGSTLVACFWDKTFAWVPPPSSSSSSAPALRPFRDGFPRLAAVHSHVHVRGRTGAATMTFLGSAVDAALGEVARRVDSGLSCFCAACDGVARKQAVENAGVREGAHGAVVDAAFARDALRGDAFVGYLSALAVAPLAGADKLDLTVATAQLRAFTRWRGARGLPVYTAAFGIDDLGDGGATKATRRASNAAKRRRATARDRDACVAKWKMSRRSSSRARDDDDDDDDAMELDGFDPMPQPLSHQTITTTKMGKLMSRAARQMSRSPAATRRADCNDHDNDDDDDDDDPVNSGLMMMARCTSTMAAADHTMTAPSVKLRNGGLKDDPPVAGLVLNFTSPSAVPSMMDLAKIFSQFGPIKEIRIENSAAVVVIFKRRADAETAFSGTTKICALSANLISFRLTYSLLSGATPIGSPETPVSTDEEDHLEAAQ* >Brasy8G259400.1.p pacid=40081018 transcript=Brasy8G259400.1 locus=Brasy8G259400 ID=Brasy8G259400.1.v1.1 annot-version=v1.1 MTLCSRWRLQFRAAASAGEDDVASMEASALIGLSVFRYCFLAQIQKFCHF* >Brasy8G094800.1.p pacid=40081019 transcript=Brasy8G094800.1 locus=Brasy8G094800 ID=Brasy8G094800.1.v1.1 annot-version=v1.1 MEIAVLAPQQANPTQAAGAQTKNAAAMAAMRKLGAAAARRKTLCDITNLRRLPSAAAAEGQQDDGSKCAGADTAHVQLVKENSDLVRLLEERDKFIQLAGTELHNLRFSNWQLAQANSQMLAELNLGKNRLKSLQHELACSRAALKLKSSELEEAKKELKGSRHLQQKGPSEMARQSTSDRAAAAAAQLKEGDAEPAPEVSGAASARKLAGNASRKRLLRSRSLGPVETSRKPVAASKERESVQRRKSMRAPQPSGRREELFEIEDVQLAIGGGNDRNGTTSELAAQFPRRSSLGRPIRRATEMVTSYKEMPVNIKLRRS* >Brasy8G145500.1.p pacid=40081020 transcript=Brasy8G145500.1 locus=Brasy8G145500 ID=Brasy8G145500.1.v1.1 annot-version=v1.1 MAYGGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFFPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDITRRQTFDSVGRWLNELHTHSDMNVVTILIGNKTDLKHAREVSTAEGKALAEAQGLFFMETSALDSSNVTAAFQTVVKEIYSILSRKVFQSQAQKRSDLQSLSNGKAVVLQSDAPKEANSGRGWCCSS* >Brasy8G016200.1.p pacid=40081021 transcript=Brasy8G016200.1 locus=Brasy8G016200 ID=Brasy8G016200.1.v1.1 annot-version=v1.1 MAQNTGHFKNTSITCSDLKSTLKLTSLVGACAAAAGSSTGGKKCGARRGPTAPGGSPSQRGEGRDSRGGRRSQSRDTMVPAGEGKEEGEGGGGGRGGQRRYTGGRSRRHPQEKGRRRGGRRGGTRGGGGGGARRGREGGGGSVRG* >Brasy8G060900.1.p pacid=40081022 transcript=Brasy8G060900.1 locus=Brasy8G060900 ID=Brasy8G060900.1.v1.1 annot-version=v1.1 MYRVAGSHLRSLKHHGASRFASTSIVKQSSGGLLSWLFGGNSSQLPPLDVPLSGITIPPPLPDFVELSKTKITTLPNGIKIASETSPGSAASVGLYIDCGSVYETPASSGASHLLERMAFRSTTNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKSYAPEMVEVLLDSVRNPAFLEWEVKEQLQKIRSEIAEVSSDPQGLLLEALHSAGYSGALAKPLMATESAINKLDISTLEQFIHENYTASRMVLAASGVEHDVLVSIAEPLLSDLPSVRRLEEPKSVYVGGDYRCQADSPNTHIALAFEVPGGWRQEKTAMIVTVLQMLMGGGGSFSVGGPGKGMHSRLYLRVLNQYEQIESFSAFNSIYNNSGLFGIHAATGPDFASKAVDLAAGELLEVATPGKVTQEQLDRAKEATKAAVLMNLESRIVASEDIGRQVLTYGERKPIEYFVKAVEQTTLNDISSIAQKIISSPLTLASWGDVIHVPSYETVSRKFHSK* >Brasy8G261400.1.p pacid=40081023 transcript=Brasy8G261400.1 locus=Brasy8G261400 ID=Brasy8G261400.1.v1.1 annot-version=v1.1 MATGSPGHSGGGGGGGDGGVGVAIVDVHGAVAAGREREKRGARRRSWIRMDASTGSCEVLELAQSDLMRRLDLPARDLRLLDTLLTYPPSLLSRDRAIVCSIEQIRCIIAADEAFVVNSLDQHVVTLLAKVQGRLAERAAPDDDLPFEFIVLEIALEEVSKSMDSEVSELETEAYPVVDAMRTKLSSINLERLRRLKIRMVELTRRIQRVRDTIEQLMDNDDDLAECCLTEKKRMVEASLPVEQGLQGFGHNVFDPSITVPVSPPPAPGKLVKTSSFTRSRHSHFKSSDSSQYSTEELEMLLETYLMVNDGSLDKIASMYIDDTEAYIKIQLDSTRNKLLKFELLLGTAAFVVGMFAVIPGVFGMNFEGVKLYKVRHAFEGTIGITGACSLVIFGCFMWYLKRRMSF* >Brasy8G261400.4.p pacid=40081024 transcript=Brasy8G261400.4 locus=Brasy8G261400 ID=Brasy8G261400.4.v1.1 annot-version=v1.1 MATGSPGHSGGGGGGGDGGVGVAIVDVHGAVAAGREREKRGARRRSWIRMDASTGSCEVLELAQSDLMRRLDLPARDLRLLDTLLTYPPSLLSRDRAIVCSIEQIRCIIAADEAFVVNSLDQHVVTLLAKVQGRLAERAAPDDDLPFEFIVLEIALEEVSKSMDSEVSELETEAYPVVDAMRTKLSSINLERLRRLKIRMVELTRRIQRVRDTIEQLMDNDDDLAECCLTEKKRMVEASLPVEQGLQGFGHNVFDPSITVPVSPPPAPGKLVKTSSFTRSRHSHFKSSDSSQYSTEELEMLLETYLMVNDGSLDKIASDSTRNKLLKFELLLGTAAFVVGMFAVIPGVFGMNFEGVKLYKVRHAFEGTIGITGACSLVIFGCFMWYLKRRMSF* >Brasy8G261400.2.p pacid=40081025 transcript=Brasy8G261400.2 locus=Brasy8G261400 ID=Brasy8G261400.2.v1.1 annot-version=v1.1 MATGSPGHSGGGGGGGDGGVGVAIVDVHGAVAAGREREKRGARRRSWIRMDASTGSCEVLELAQSDLMRRLDLPARDLRLLDTLLTYPPSLLSRDRAIVCSIEQIRCIIAADEAFVVNSLDQHVVTLLAKVQGRLAERAAPDDDLPFEFIVLEIALEEVSKSMDSEVSELETEAYPVVDAMRTKLSSINLERLRRLKIRMVELTRRIQRVRDTIEQLMDNDDDLAECCLTEKKRMVEASLPVEQGLQGFGHNVFDPSITVPVSPPPAPGKLVKTSSFTRSRHSHFKSSDSSQYSTEELEMLLETYLMVNDGSLDKIASMYIDDTEAYIKIQLLVCTITRRSCALHQNFIPVHASLCLSCWWLVMGKHHRCDVSL* >Brasy8G261400.3.p pacid=40081026 transcript=Brasy8G261400.3 locus=Brasy8G261400 ID=Brasy8G261400.3.v1.1 annot-version=v1.1 MATGSPGHSGGGGGGGDGGVGVAIVDVHGAVAAGREREKRGARRRSWIRMDASTGSCEVLELAQSDLMRRLDLPARDLRLLDTLLTYPPSLLSRDRAIVCSIEQIRCIIAADEAFVVNSLDQHVVTLLAKVQGRLAERAAPDDDLPFEFIVLEIALEEVSKSMDSEVSELETEAYPVVDAMRTKLSSINLERLRRLKIRMVELTRRIQRVRDTIEQLMDNDDDLAECCLTEKKRMVEASLPVEQGLQGFGHNVFDPSITVPVSPPPAPGKLVKTSSFTRSRHSHFKSSDSSQYSTEELEMLLETYLMVNDGSLDKIASLILLIY* >Brasy8G261400.5.p pacid=40081027 transcript=Brasy8G261400.5 locus=Brasy8G261400 ID=Brasy8G261400.5.v1.1 annot-version=v1.1 MATGSPGHSGGGGGGGDGGVGVAIVDVHGAVAAGREREKRGARRRSWIRMDASTGSCEVLELAQSDLMRRLDLPARDLRLLDTLLTYPPSLLSRDRAIVCSIEQIRCIIAADEAFVVNSLDQHVVTLLAKVQGRLAERAAPDDDLPFEFIVLEIALEEVSKSMDSEVSELETEAYPVVDAMRTKLSSINLERLRRLKIRMVELTRRIQRDSTRNKLLKFELLLGTAAFVVGMFAVIPGVFGMNFEGVKLYKVRHAFEGTIGITGACSLVIFGCFMWYLKRRMSF* >Brasy8G127600.1.p pacid=40081028 transcript=Brasy8G127600.1 locus=Brasy8G127600 ID=Brasy8G127600.1.v1.1 annot-version=v1.1 MPFRVKFLRSARKRAASPRRAGRRPCRCSAEEEVLASAPLSPRAVAAALSVVPKLRGVPATAMANMLRR* >Brasy8G246500.1.p pacid=40081029 transcript=Brasy8G246500.1 locus=Brasy8G246500 ID=Brasy8G246500.1.v1.1 annot-version=v1.1 MDGEGQQDSSDLNVELEFSDDTNPGEETDDVSYEPSTDDDDADAAAANEASNAGAGAAAAAARRTAPRSSKRHRREQIQKLEAVFQQCPHPDEQLRLDLSKELGMGLMQVKFWFQNRRSAKKNKMEQQEGKRLREENEMLLAENKAIKAAIQSKTCIGCGGPRTMHIHPDRDTPEKQRLRTENAMLKDHLMRTKAFVSLLAGKEAAAAYSPYGLNNNAGRPLVINPAAVPASAARSNAAASQITLLDHVIGACEEFKMLASMNEPMWLRTSDGDDVLNNQAYNNATYPGILGICPQGFAVDGTRTTGVVLGNATDLTNIFMDPARWSEMFPGIIVAGVTSSAIINSSASQPRLVQLVQMNAELKVLAPRAPVVKVRFMRQCMRLQPRLWAIVDVSVDGFIGQNDGKTLDLYQTTAALPTMYTAYRLLPSGCIIEEMDDGHCKVTCIVHAEYDESNIQPLYHPLLRSGQAFGARRWLASLQRQSEYFAVLRSDLVLNLNNTGAAMQPAGKKGVLELAQRMTMNFYVAMCGLPAGQPWNDWNGGIGAGSERFELAVHFVTLDHQGVLSATTTVWLPGVPAGHVFHYLCDGSRRGEWDSLASGGPMKEVACVATGKLYGNSVSVLRPSVYNGTTNKNDKLILQEAYADASCMFMAYAPIDKQSMHQVMNGGGHTSFSLSPCGFVVLPDGQGQQSVQTISAASSSSAGVSAIGAGSIVSALYQTELSGPLQQNVAIGLMDDIGNLLCHAIKKIKDAVQAKLVVPA* >Brasy8G019600.1.p pacid=40081030 transcript=Brasy8G019600.1 locus=Brasy8G019600 ID=Brasy8G019600.1.v1.1 annot-version=v1.1 MQQEQPTRRRGPADDAGGGWAGVTTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHTEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLLEQQASEISTFELKVARLNQQIFTCQIYVDKEGVRQQQMMTANMKHHKHYILPSTGYKRTQAVAHLGTSTNQESKPRPYPSAKTLSWHLASDNSALANGAHKSTFALGDTISYKIASDGMCLLGMEMPASPMRRPLQLDRNTKSDVPQKVGAKDQSGVKHMATFSSFDRPEGREIQKAPIGTKSMLTALFIKHKSAKMKKMSAR* >Brasy8G019600.2.p pacid=40081031 transcript=Brasy8G019600.2 locus=Brasy8G019600 ID=Brasy8G019600.2.v1.1 annot-version=v1.1 MQQEQPTRRRGPADDAGGGWAGVTTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHTEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLLEQQASEISTFELKVARLNQQIFTCQIYVDKEGVRQQQMMTANMKHHKHYILPSTGYKRTQAVAHLGTSTNQESKPRPYPSAKTLSWHLASDNSALANGAHKSTFALGDTISYKIASDGMEMPASPMRRPLQLDRNTKSDVPQKVGAKDQSGVKHMATFSSFDRPEGREIQKAPIGTKSMLTALFIKHKSAKMKKMSAR* >Brasy8G254000.1.p pacid=40081032 transcript=Brasy8G254000.1 locus=Brasy8G254000 ID=Brasy8G254000.1.v1.1 annot-version=v1.1 MAMKLNAGALALSLFLLLAVFAGQPAAAGKGDGGKKDGDGGKKGGGDGGKKGGKKDVDVEGTVKMVVGKAMEGSNSHRVGAALVRLLFHDCWVHGCDGSVLLDTVPYPYGSGAGEKDAKNNIGLEGFDVIDDIKAELVRLGADDVSCADILVLAARDATAIVSRGKISYNVKRGRKDGVISSAADADAVLPPSTFKIKQLKDNFAARGFDTRELVALSGAHAIGVAHLSSFADRLNPATQSPISRDYQNALRNHTEAQKTAQGTKDPIEFNNIRDMDGEFQTAAGYVTTGVDVTAVGVLDNSYYKANLQNRVLFRSDWELRNDTDTGADNAGIALGEFGGNAGNWFLAFGQAMAKLSELPADGPRFEIRKNCRKIN* >Brasy8G299700.1.p pacid=40081033 transcript=Brasy8G299700.1 locus=Brasy8G299700 ID=Brasy8G299700.1.v1.1 annot-version=v1.1 MEHHGLEHHAVCLFCDQAPESMQHLLIDCTFSRITSHEVLSWVRSTAVPPTADEDLAHRNACVFDKSRPHGNLLLRSSQDEAIAWALAGAPGFRLVIPRWDVA* >Brasy8G136200.1.p pacid=40081034 transcript=Brasy8G136200.1 locus=Brasy8G136200 ID=Brasy8G136200.1.v1.1 annot-version=v1.1 MTRRRLFRRNVERVYVSGGGVRSSDAEKNPTGNLFTAYDANPFAEFNVFGDPFAAYQVLHSGVPVTLVPLDATNTIPITEEFFSVFRRRQSTYEAQYSFKSLDQVLRRRRRPGPHLHGSTGDYMWDSFAAGVALSSMRNGEADGGNEFAEMEYMNITVITSNKPYGVRDGSNPFFDARKTPRFGLKEGGVHSGHVQTGIRDSFCLVPGSNSGRCEDGYTKEVSGPEAVRVRVATSAKPNTDKNSTLDREFFKSFLKVLNLPKQTGRFNISTQFPYYREVLYKPDFMNVSRGKPVIFDMDMSPGDFVSLIYLLKAPRELIDLKGILVNGNGWANIASIDIVYDILHMMGRDDIPVGRGNTTAMDTPTLGCNNSYAIPQGSGGFIDSDTLYGLARSLPRSPRRYSPESSDYPVRPQPLAFEVWQSVKKQLDPGAKITVLTSGPLTNLANISLSDADASSVIERVYVVGGLIRDGGHEKGNVFTVPSNQYAEFNMFLDPLAAKTVMESNLNITLIPLPAQRKAASFGAVLEALEKTRKTPESTFVHGLFSLLKELQSKQKLYHHVDIFLGEVLGAVYMVQGSDLQSSVKLEPISVIANTTKSTDGQILISKQSTKLVKVLSDFNGKIYYNRLANSLSNKKQSAIIGSFEEQKAIWSRPPNNSGPGHTKFL* >Brasy8G011400.1.p pacid=40081035 transcript=Brasy8G011400.1 locus=Brasy8G011400 ID=Brasy8G011400.1.v1.1 annot-version=v1.1 MSWTEEAERVRLRAAALALDGGDKPDSKKDVFADLGSPVSPLRMRATPSSSSSSAGSANNAAEAAGGGARGGGGVRRTHSGELAAESNPPRPPGHRRSGSGPLIFSGGVSSSSSGVAGSGGGGSSTASSPLPNAPPTRSICSSGRLAAAPRPRPRPDVLGSGTGHYGHGSIMRGGGGGAMATPARSSVDSSRYPASFPLESLQEVTRAGNEWYKQGRYGEALRHYDRALALCPDSAACRGNRAAALAGLGRLAEALRESEEAVRLDPASGRTHGRLASLCLRFGMVEKARRQLTLAGNVNGSDTAEWQKLHEVESHLGKCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTMTSLVKLDTASLSSMSTKLSGMVADSYIHVVQAQVNIAFGRFDVAVAMAEKARLVDPGNAEVGMVLNSMKLVARARAQGNDLFKAGKFAEASIAYGEGLKYEPSNSVLYCNRAACWLMLGRWAKSVEDCNEALKIRPNYTKALSRRAASYTKLECWADCVRDYEVLCKEFPSDPEFAESLFHAQVALKRTRGEEVSNMMFGGEVETVTSIEQVRAAVHSPGVTVLYFMATMNQQCTQITPSVDALCAEWPSVNFLKVNVDENPMVAKAENVRVVPTFKIYKDSARVKEMICPSLHILRYSVRHYAVSNS* >Brasy8G300000.1.p pacid=40081036 transcript=Brasy8G300000.1 locus=Brasy8G300000 ID=Brasy8G300000.1.v1.1 annot-version=v1.1 MLVISLISRNLVLPLSLSHTHTHTSSYRTLVASGASRRLVATMEKFLVSASTGVMGSVLKKLGAILSDEYKLLKNVRRDVEFLKDELEAMHAFLIMMADVQEPDPQAKLRANAVRELSYEIEDKIDKFMLLVDKESSSSSDGFKELFSKSMKKIADLKTRHKIAKDVKDIKSQVNEMAARYARYKIDESSRPRNEKVDPRILAVYKDALELVGIDGPADELLKWMSSEKGELAHQLKVVSVVGCGGLGKTTLARQVYNKLGMNFECRAFVSISRSPDMTKILSSILSQLRNQDNAHAGAGDLQLTIDQIRDFLKDKRYFIIIDDIWDKKTWQTLDCALFKNSRGSVIMTTTRIHDVAQSCCSSHGDLVYKIQPLGVADSKKLFFKRIFGCEEKCPPNLKEASEGILKRCGGLPLAISAISSLLATGKTKGEWERVRCSIGAQGRNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDFEVERERLVHRWISEGFIHGEDGEDLVELGDMYFHELINRSLIQPVLIEYDGKAWGCQVHDTIFDFLIYKSTEENFCTFLSNHSKPGSRIRRVSLMGNEVQGSVEQLDLSYARSLGAFGKTEQLHSLVKSKALRVLDLENCSELKNRHVKDIGRLFQLRYLNINQYGISELPRQIGDLVYLETLDVSSSNLVELPESVTRLKRLERLFVRGSTKLPDCIGNVEKLQELGCRINIFEQSVKFVEELGKLINLRKLGVYLNYDDSDNARYKKKEMLVSSLRKLDTCKLHTLSIAFSFGEKDAGTLIEGPPFFLPALKSIREIELSSGRLCWITKWMLSLANLETLTVWGEPIGQQDVEMVGSIPSLIEFTGPYFIEPAIISSNSSSGGFQRLQAVTFSLHVREFMFEAGAMPNVRKLSLLIFVKEMNSASGGRCGFDDIGIQHLSSLAELVVGIYCGGLRAADVEAMEVGFKSIAEAHPNHPSLALWRLSLCSSSSIKTIN* >Brasy8G300000.2.p pacid=40081037 transcript=Brasy8G300000.2 locus=Brasy8G300000 ID=Brasy8G300000.2.v1.1 annot-version=v1.1 MLVISLISRNLVLPLSLSHTHTHTSSYRTLVASGASRRLVATMEKFLVSASTGVMGSVLKKLGAILSDEYKLLKNVRRDVEFLKDELEAMHAFLIMMADVQEPDPQAKLRANAVRELSYEIEDKIDKFMLLVDKESSSSSDGFKELFSKSMKKIADLKTRHKIAKDVKDIKSQVNEMAARYARYKIDESSRPRNEKVDPRILAVYKDALELVGIDGPADELLKWMSSEKGELAHQLKVVSVVGCGGLGKTTLARQVYNKLGMNFECRAFVSISRSPDMTKILSSILSQLRNQDNAHAGAGDLQLTIDQIRDFLKDKRYFIIIDDIWDKKTWQTLDCALFKNSRGSVIMTTTRIHDVAQSCCSSHGDLVYKIQPLGVADSKKLFFKRIFGCEEKCPPNLKEASEGILKRCGGLPLAISAISSLLATGKTKGEWERVRCSIGAQGRNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDFEVERERLVHRWISEGFIHGEDGEDLVELGDMYFHELINRSLIQPVLIEYDGKAWGCQVHDTIFDFLIYKSTEENFCTFLSNHSKPGSRIRRVSLMGNEVQGSVEQLDLSYARSLGAFGKTEQLHSLVKSKALRVLDLENCSELKNRHVKDIGRLFQLRYLNINQYGISELPRQIGDLVYLETLDVSSSNLVELPESVTRLKRLERLFVRGSTKLPDCIGNVEKLQELGCRINIFEQSVKFVEELGKLINLRKLGVYLNYDDSDNARYKKKEMLVSSLRKLDTCKLHTLSIAFSFGEKDAGTLIEGPPFFLPALKSIREIELSSGRLCWITKWMLSLANLETLTVWGEPIGQQDVEMVGSIPSLIEFTGPYFIEPAIISSNSSSGGFQRLQAVTFSLHVREFMFEAGAMPNVRKLSLLIFVKEMNSASGGRCGFDDIGIQHLSSLAELVVGIYCGGLRAADVEAMEVGFKSIAEAHPNHPSLALWRLSLCSSSSIKTIN* >Brasy8G201000.1.p pacid=40081038 transcript=Brasy8G201000.1 locus=Brasy8G201000 ID=Brasy8G201000.1.v1.1 annot-version=v1.1 MATTEHREVLSRSGFGPGQQQAIGCSTREESDRHEGERRPGPAAARGSSGGRRWPAMMAR* >Brasy8G000600.1.p pacid=40081039 transcript=Brasy8G000600.1 locus=Brasy8G000600 ID=Brasy8G000600.1.v1.1 annot-version=v1.1 MVAAVDSPPPAPPPAAARPHPSYKEMITQALRQRGGCNRLAIATYIADHFSGLPASHDLLLSAHLRRLLSEGVLRMSGYYFVLASSSGTNPQDPQIPAPEISTTPTPAPTEKRGRGRPRKYPRPDVPPSAQPPLKRGRGRPRKNKNVSGVKLGTDEPVLGVKRGRGRPRKNPQFLTTAQPGRLHMLAASVAAIASRREATVTDPAMPNVKRGRGRPRKRIASTGAVLGSSDNAVAGADVVGASQGLGQSMTYAHSSIATPAMPLLGKRKRGRPRKERPSQPGSVLSGDASATKRGRGRPRKENAPEVVPTEAGGAVVTEMTEGGDKAEGVSSAQERGPGSPRKEITPEVVPTEAGGAVATEMTEGGGKAEDVCSAQKRGRGRPRKASTLVVGPISSAQKRGRGRPRKESRVEVGPTEAGGAAVTEMTEGGVKAEGVSSAQKRWPGTPGKENTAEVGPTEAEGSVATDMTEGGDNAEGVSSAQERGTGSPRKENTPEVVPTEAGGAVATEMTEGGGKVEDVSSAQKRGRGRPRKASTLVVGPISSAQKRGRGRPRKESRVEVGPTEAGDAAATEMTEGGVKAEGVSSAQKRWPGTPGKENTTEVGPTEAGGSVATEMTEGGGKAEGVVSSAQKRGRGRPRKEKPSGTAPAEIGVAALVEKRGRGRPRKQKPSERVGMLVESSDDRSPRIKRGCGRPTMERPSVVERREHAASTGIKRGRGRPRKDISFGFVEAAGKLSRDLAEASPGEDEDLVSREKTQTEDVAFGDLIQTRLADASCVLVSGENAASAPLEAGSAIDSNLGPVNL* >Brasy8G000600.2.p pacid=40081040 transcript=Brasy8G000600.2 locus=Brasy8G000600 ID=Brasy8G000600.2.v1.1 annot-version=v1.1 MVAAVDSPPPAPPPAAARPHPSYKEMITQALRQRGGCNRLAIATYIADHFSGLPASHDLLLSAHLRRLLSEGVLRMSGYYFVLASSSGTNPQDPQIPAPEISTTPTPAPTEKRGRGRPRKYPRPDVPPSAQPPLKRGRGRPRKNKNVSGVKLGTDEPVLGVKRGRGRPRKNPQFLTTAQPGRLHMLAASVAAIASRREATVTDPAMPNVKRGRGRPRKRIASTGAVLGSSDNAVAGADVVGASQGLGQSMTYAHSSIATPAMPLLGKRKRGRPRKERPSQPGSVLSGDASATKRGRGRPRKENAPEVVPTEAGGAVVTEMTEGGDKAEGVSSAQERGPGSPRKEITPEVVPTEAGGAVATEMTEGGGKAEDVCSAQKRGRGRPRKASTLVVGPISSAQKRGRGRPRKESRVEVGPTEAGGAAVTEMTEGGVKAEGVSSAQKRWPGTPGKENTAEVGPTEAEGSVATDMTEGGDNAEGVSSAQERGTGSPRKENTPEVVPTEAGGAVATEMTEGGGKVEDVSSAQKRGRGRPRKASTLVVGPISSAQKRGRGRPRKESRVEVGPTEAGDAAATEMTEGGVKAEGVSSAQKRWPGTPGKENTTEVGPTEAGGSVATEMTEGGGKAEGVVSSAQKRGRGRPRKEKPSGTAPAEIGVAALVEKRGRGRPRKQKPSERVGMLVESSDDRSPRIKRGCGRPTMERPSVVERREHAASTGIKRGRGRPRKDISFGFVEAAGKLSRDLAEASPGEDEDLVSREKTQTEDVAFGDLIQTRLADASCVLVSGENAASAPLEAGSAIDSNLGPVNL* >Brasy8G190700.1.p pacid=40081041 transcript=Brasy8G190700.1 locus=Brasy8G190700 ID=Brasy8G190700.1.v1.1 annot-version=v1.1 MAKILLHGTLHVTVFEAEGLSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSNIYATVSLGQCRIGRTRTLSDESTSPRWFESFHIYCAHLASDVLFTIKAKSAIGAAVVGTGFLPVRDIFPGDEVERWLPLCDDARNPMDGGKVHVKLQYFDISKDRAWGRGIRSPKHPGVPYTFFSQRQGCKVTLYQDAHIPDGFIPRIPLDDGRCYEPHRCWEDIFDAVSNAKHLIYMTGWSVYTEVTLLRDAARPMPAGGGVTLGELLKKKAGEGVKVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQDTDVHCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHGMPNGAASGSRRRRRIVSFVGGLDLCDGRYDTPSHSLFGTLDGAHRDDFHQPNFATAAVGKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLANLRTELAEEIVPPSPVVFPEDREAWSVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYICAIRRAKSFIYIENQYFLGSSYCWKSGDGGVSPDDVGALHLIPKELSMKIVSKIEAGERFAVYVVVPMWPEGIPASGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDAKPKDYLTFFCLGNREAKKAGEYSPPEEAEPDSDYLKAQQNRRFMIYVHTKSMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPGHLAASRPARGQVHGFRMALWYEHLGMVDEAFQRPESLECVHKVNAMADRYWSLYAGDDGADRDLPGHLLTYPVGVTADGAVTQLPGVEFFPDTQARILGAKSDYLPPILTT* >Brasy8G106500.1.p pacid=40081042 transcript=Brasy8G106500.1 locus=Brasy8G106500 ID=Brasy8G106500.1.v1.1 annot-version=v1.1 MVAGFTVTPSSFICRVCIPTACRLEARGDKGPAPRFLHNRKQAKRQSAKAWSLKAGLWDSLKSGFLKTNNNTETVEPPSTPLEEEEPVPEELVLLERTLPDGSAEQIIFSSAGDIDVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSIIKSPGTEGEERKQLIGMARATSDHAFNATIWDVLIDPSYQGQGLGKTLMEKVIRTLLQRDISNITLFADSKVVDFYKSMGFEVDPQGIKGMFWYPRV* >Brasy8G130800.1.p pacid=40081043 transcript=Brasy8G130800.1 locus=Brasy8G130800 ID=Brasy8G130800.1.v1.1 annot-version=v1.1 MKSSTLKAILVLQAVLVMGILAEVNAVGYWPKCCDNCRSFSGAQVCDDPMAKCHPSCVNCRVVQEKPVKTYRCADGIADDGTCGRKPCKRH* >Brasy8G208200.1.p pacid=40081044 transcript=Brasy8G208200.1 locus=Brasy8G208200 ID=Brasy8G208200.1.v1.1 annot-version=v1.1 MSQVVTLVRPSKQMGPTVRLRKVGDSMLPTSLSPEHHPETISDSVPGARSLVPPAAAGLYTSPGTQGRAAGVEIKDSVEMPVSKLPKAKRERDCKRNTDKAKAQPTECDVCAICDEGGSLICCDGGCLRSFHPTRKVGKESKCTTLGLTEEQWQTLESSDEKYICQNCKSKQHQCFSCGLLGSSDLTSGTEVFQCKDKQCARFYHPKCVAEVLYPHGKSQALGFGNDVASGLEFHCPMHRCSLCKEAENRDDKDMRLAVCGRCPTAYHRKCLPREISFKENKEKGIQKRAWDDVLPDQDFIYCMKHKMEKDLRTPRRDHIVFPDTRNPCAAKRPRGQETLKEDMIDRPSPSRSPQKTEAGCDRIKPAVIGSICYIYNDDVAGDGAPTRWILRRNVWFHHLKVQDSDEPEMKNMCRPEQKCI* >Brasy8G208200.4.p pacid=40081045 transcript=Brasy8G208200.4 locus=Brasy8G208200 ID=Brasy8G208200.4.v1.1 annot-version=v1.1 MSQVVTLVRPSKQMGPTVRLRKVGDSMLPTSLSPEHHPETISDSVPGARSLVPPAAAGLYTSPGTQGRAAGVEIKDSVEMPVSKLPKAKRERDCKRNTDKAKAQPTECDVCAICDEGGSLICCDGGCLRSFHPTRKVGKESKCTTLGLTEEQWQTLESSDEKYICQNCKSKQHQCFSCGLLGSSDLTSGTEVFQCKDKQCARFYHPKCVAEVLYPHGKSQALGFGNDVASGLEFHCPMHRCSLCKEAENRDDKDMRLAVCGRCPTAYHRKCLPREISFKENKEKGIQKRAWDDVLPDQDFIYCMKHKMEKDLRTPRRDHIVFPDTRNPCAAKRPRGQETLKEDMIDRPSPSRSPQKTEAGCDRVKKTIDSFAPRHLFPRPHPGSCGWLDD* >Brasy8G208200.3.p pacid=40081046 transcript=Brasy8G208200.3 locus=Brasy8G208200 ID=Brasy8G208200.3.v1.1 annot-version=v1.1 MAVIKMPVSKLPKAKRERDCKRNTDKAKAQPTECDVCAICDEGGSLICCDGGCLRSFHPTRKVGKESKCTTLGLTEEQWQTLESSDEKYICQNCKSKQHQCFSCGLLGSSDLTSGTEVFQCKDKQCARFYHPKCVAEVLYPHGKSQALGFGNDVASGLEFHCPMHRCSLCKEAENRDDKDMRLAVCGRCPTAYHRKCLPREISFKENKEKGIQKRAWDDVLPDQDFIYCMKHKMEKDLRTPRRDHIVFPDTRNPCAAKRPRGQETLKEDMIDRPSPSRSPQKTEAGCDRIKPAVIGSICYIYNDDVAGDGAPTRWILRRNVWFHHLKVQDSDEPEMKNMCRPEQKCI* >Brasy8G208200.5.p pacid=40081047 transcript=Brasy8G208200.5 locus=Brasy8G208200 ID=Brasy8G208200.5.v1.1 annot-version=v1.1 MAVIKMPVSKLPKAKRERDCKRNTDKAKAQPTECDVCAICDEGGSLICCDGGCLRSFHPTRKVGKESKCTTLGLTEEQWQTLESSDEKYICQNCKSKQHQCFSCGLLGSSDLTSGTEVFQCKDKQCARFYHPKCVAEVLYPHGKSQALGFGNDVASGLEFHCPMHRCSLCKEAENRDDKDMRLAVCGRCPTAYHRKCLPREISFKENKEKGIQKRAWDDVLPDQDFIYCMKHKMEKDLRTPRRDHIVFPDTRNPCAAKRPRGQETLKEDMIDRPSPSRSPQKTEAGCDRVKKTIDSFAPRHLFPRPHPGSCGWLDD* >Brasy8G208200.2.p pacid=40081048 transcript=Brasy8G208200.2 locus=Brasy8G208200 ID=Brasy8G208200.2.v1.1 annot-version=v1.1 MAVIKMPVSKLPKAKRERDCKRNTDKAKAQPTECDVCAICDEGGSLICCDGGCLRSFHPTRKVGKESKCTTLGLTEEQWQTLESSDEKYICQNCKSKQHQCFSCGLLGSSDLTSGTEVFQCKDKQCARFYHPKCVAEVLYPHGKSQALGFGNDVASGLEFHCPMHRCSLCKEAENRDDKDMRLAVCGRCPTAYHRKCLPREISFKENKEKGIQKRAWDDVLPDQDFIYCMKHKMEKDLRTPRRDHIVFPDTRNPCAAKRPRGQETLKEDMIDRPSPSRSPQKTEAGCDRVKKTIDSFAPRHLFPRPHPGSCGWLDD* >Brasy8G121300.1.p pacid=40081049 transcript=Brasy8G121300.1 locus=Brasy8G121300 ID=Brasy8G121300.1.v1.1 annot-version=v1.1 MTETIDLTGDGGVLKTVVRKAKDDAISPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDLALRTMKVGEVAKITCKPEYAYGSAGSPPEIPANATLIFEVELLACKPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK* >Brasy8G118200.1.p pacid=40081050 transcript=Brasy8G118200.1 locus=Brasy8G118200 ID=Brasy8G118200.1.v1.1 annot-version=v1.1 MSLPDLPADLVAARISAHADLARFRSACPSWRSASDPPPRPLPPAFRDIGNNIVLRDMVWYRSPRGDVIARGKGAPCAFFCRPAGDGGSTTRWSPVECPQLTEVSSITYCDDDGRETFYLFDGETRNTAAMDGATFAVAAVIEPPVMPMPSKHLRRGAAAWDWRKPEFTSTLVVSPGQLLVIVTTRPLHRPGGSNGSGELFKAFRLDRRRRPPAPTERWAEIPDIGERAVNCVYVASSHEDATRDGRYAVDVLNLVDVTAERLSLGNLGKYRCASRFWQWPSWSMPNLH* >Brasy8G110600.1.p pacid=40081051 transcript=Brasy8G110600.1 locus=Brasy8G110600 ID=Brasy8G110600.1.v1.1 annot-version=v1.1 MASAKAMAQEKQDHFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAEYGIEKEVGKGLKAAMETGISRKDLFVTSKIWCTNLVPDRVRPALENTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMERVWKEMENLVKDGLVKDIGVCNYTVAKLNRLLRSANVTPAVCQMEMHPGWKNDKIFEACKKNGIHVTAYSPLGSSEKNLAHDPVVDKVAKKLNKTPGQVLIKWALQRGTSVIPKSSKDERIKENIQVFGWEIPEEDFQVLCSIKDEKRVLTGEELFVNKTHGPYKSASDIWDHEN* >Brasy8G248900.1.p pacid=40081052 transcript=Brasy8G248900.1 locus=Brasy8G248900 ID=Brasy8G248900.1.v1.1 annot-version=v1.1 MKTVSLGTPTRSSTVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPASFVPQMLQSIQGQLAEFRSYEGQEMQVKEKIMPLKIDLRVNNTVVRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAKVSKKRRAPEFASNSKAMNNAVDMFKYFGSKGSVIRKRKEWYLYAPVVDVVHNEEAVVVDTTEGA* >Brasy8G248900.2.p pacid=40081053 transcript=Brasy8G248900.2 locus=Brasy8G248900 ID=Brasy8G248900.2.v1.1 annot-version=v1.1 MKTVSLGTPTRSSTVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPASFVPQMLQSIQGQLAEFRSYEGQEMQVKEKIMPLKIDLRVNNTVVRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGIASQSVSAMREAKVSKKRRAPEFASNSKAMNNAVDMFKYFGSKGSVIRKRKEWYLYAPVVDVVHNEEAVVVDTTEGA* >Brasy8G049200.1.p pacid=40081054 transcript=Brasy8G049200.1 locus=Brasy8G049200 ID=Brasy8G049200.1.v1.1 annot-version=v1.1 MPYSLLPECVTAEGSGRRIVYICRDPKDALVSMWLFTKKKMASIVAGSEDGDKPPTITIEEAIELFCDGRCIGGPQWRHVVGYWEESHKRPEKVFFLRYEEMLRDPVGNVRKLAEFMGCAFSGEEETAGVVQQIVELCSIDVLKNMEVNKNGTQDLVKNESFFRKGVAGDWSNHMTPVMAARLDKIVEDALQGSGFTFLAESV* >Brasy8G157200.1.p pacid=40081055 transcript=Brasy8G157200.1 locus=Brasy8G157200 ID=Brasy8G157200.1.v1.1 annot-version=v1.1 MFNDPMGSENKMFMITGRDGRSDYSEEPDDANDTELEETLYDHAVFDSEENNAETHHVSIACENLSAMTDRTQPADAGCTNKSIDSENLSVITDGAQQPDTGRTQRYEKQPATGCAPAVEHLSMEDIENYLQNETVLASQSCSQEVRGQHVPHLNMSFCSAVEAFAFYNTYASIVGFSAKKAGNYHCKGAVTNKTTRYTYRCNRAGKIVDKEVLEERRKRREENMKNKNKTRLPCAVQIKKPRNRNTIEITGCHATMVVTLKGDKWVVTNIELQHNHVLSPPGEAKFLRSHKYMTDEEKLLIRTMNSVKLPSRKIMAILAGFRGGVSALPYTKKDVSNYRTAIRNESNQNDMMMVIDFFRKRQMEDPRFYYAFRVDGENKVQNIFWADGYSRRFYDLYGDCISFDTTYKTNKYNLPFAPFVCITGHANNCLFACAILQNETVETFTWLFEEFLQCMGGKMPLTIITDQDVAMKQAIPKVFTETKHRNCLFHIKKKAEEKDEFETKQTLHIEFNDIINKSQTEDEFETLWLAMMKKYKVENIKYFQHMWEIRKNFVPVYFKKDFFPVHTLNSQK* >Brasy8G218100.1.p pacid=40081056 transcript=Brasy8G218100.1 locus=Brasy8G218100 ID=Brasy8G218100.1.v1.1 annot-version=v1.1 MAAAEEGPEPLMYQTLALKVSIHCEGCKKKVKRVLQSIEGVYKTDIDVHQHKVTVTGNVSLDALLKKLAKTGKHAEQWPEPAAPPPPPSAAANPGTGKKKKKKNRNKSKPADHPPTPPECGGAGGGPPENHDHAGTCDEASDGEQPPNPEAAGGGGGVHPVAATVNFNGGGGGGGVGKKKKKKGRGSGGSGNGADGGGGAVAEASPPHDAPPNPDAGGGGAYPAPGAAMGMSYPGPGYYTGGGGGGGGHMAAAAAPYGMSYSTAHPLRSSAYYHPMIGAAGYAGPGGYFYSTAAAAPAPGSYYMFSEENANACTVM* >Brasy8G218100.2.p pacid=40081057 transcript=Brasy8G218100.2 locus=Brasy8G218100 ID=Brasy8G218100.2.v1.1 annot-version=v1.1 MYQTLALKVSIHCEGCKKKVKRVLQSIEGVYKTDIDVHQHKVTVTGNVSLDALLKKLAKTGKHAEQWPEPAAPPPPPSAAANPGTGKKKKKKNRNKSKPADHPPTPPECGGAGGGPPENHDHAGTCDEASDGEQPPNPEAAGGGGGVHPVAATVNFNGGGGGGGVGKKKKKKGRGSGGSGNGADGGGGAVAEASPPHDAPPNPDAGGGGAYPAPGAAMGMSYPGPGYYTGGGGGGGGHMAAAAAPYGMSYSTAHPLRSSAYYHPMIGAAGYAGPGGYFYSTAAAAPAPGSYYMFSEENANACTVM* >Brasy8G034300.1.p pacid=40081058 transcript=Brasy8G034300.1 locus=Brasy8G034300 ID=Brasy8G034300.1.v1.1 annot-version=v1.1 MESRRAGSSGWTRAGRIRRQGGLKRPDPGPTRRRFPVLLHLLRCGLEMEALLHLKREGSRAAGGEKGWRALLHLSRDGRRAGWRREGREGEGCHRRRGGAVEESEGGRWDREGDGRERGSGGGGG* >Brasy8G289300.1.p pacid=40081059 transcript=Brasy8G289300.1 locus=Brasy8G289300 ID=Brasy8G289300.1.v1.1 annot-version=v1.1 MDVAAGAMTPLLEKLGRLLVDEYNLEDRVKKGVVSLRSELQMMHAALRKVGDKPREELDEQVIIWADKVRELSYSMEDAVDAFMVRVEDDHGCERGPNNVKNRVKKFLKRTKKLFSRGKALHEISDAMDEARELAKELGDLRKRYMLEAQTKSTIDPRLKAVYTDVSNLVGIEDRREELIKMLTDGHEKQQVKTVSIVGFGGLGKTTLAKAAYDKIKVQFGCGAFVSVSRSPDIKRIFKKMLHQLDRNKYAAIHEAVRDEGDLIDELIMFLQDKRYLVVIDDIWDEEAWGVIKCAFSQSSLGSTVITTTRNISVSKACTISGDDIIYKMKPLTEDDSKRLFYRRIFPQGSVCPDELEQVSKNILKKCGGVPLAIITVASLLSSSDQQIKPKYQWENLLNSIGRGLAEGGSVKDMQRILSFSYYDLPSHLKTCLLYLSIFPEDFEIMIDRLIWRWIAEGFVQGGKHETRLYELGESYFNELANRNLIQPLYVDLEGKALACRVHDMVLDLICSLSSEENFVNILDGTQKSIPNSPNKVHRLSFQNSMSDLTTHWIDATSMSQVRSVTLFRTDVDLIHTALSCFQILRVLDLEGCNFGESNHKIDLKSIENLLHLRYLGLQDTCVGVLPVEIGKLKFLETLDLRSGSKELLIVPSSVVQLRHLMCLHLDGKNTKIPIGMGNLTSLEELTGLWADGSSAIEKELGQLQELRVLELYLDGDDESVCSSLVVSLGNLQNLQSLTIRLACTSKLPRWINSGSLPLLSSLTLGVDRVCLEVDIQILGKLPALCFLNLWTTKDQCTRVERFIVGADAFPCLRKCVFRRFQTGPCMFPRGAMPRLEHLWFFARASHIAGGKLDVSMEHLPSLQRVTVFLWPEKAAGGTSDEFEEAKAAVRLAADAHPNRPTLGVHHLSTPLESEEEEHVDAGDVTGPGPTEKAS* >Brasy8G289300.2.p pacid=40081060 transcript=Brasy8G289300.2 locus=Brasy8G289300 ID=Brasy8G289300.2.v1.1 annot-version=v1.1 MDVAAGAMNPLLDKLGRLLVDEYNLEDRVKKGVISLRSELQMMHAALRKVGDKPREELDEQVIIWADKVRELSYSMEDAVDTFMVRVENDNGRECGPNNVKNRVKKFVKRTKKLFSRGKALHEISGAMDEARELAKELGDLRQRYMLDVQAKSTIDPRLKAVYRDVSELVGIEDRRDELIKMLTYGHEKQQVKTLSIVGFGGLGKTTLAKAAYDKIKGQFDCGAFVSVSRNPDIKRIFKKMLHQLDRNKYAAIHEAVRDEGDLIDELIMFLQDKRYLVVIDDIWDEEAWGVIKCAFSQSSLGSTVITTTRNISVSKACTISGDDIIYKMKPLTEDDSKRLFYRRIFPQGSVCPDELEQVSKNILKKCGGVPLAIITVASLLSSSDQQIKPKYQWENLLNSIGRGLAEGGSVKDMQRILSFSYYDLPSHLKTCLLYLSIFPEDFEIMIDRLIWRWIAEGFVQGGKHETRLYELGESYFNELANRNLIQPLYVDLEGKALACRVHDMVLDLICSLSSEENFVNILDGTQKSIPNSPNKVHRLSFQNSMSDLTTHWIDATSMSQVRSVTLFRTDVDLIHTALSCFQILRVLDLEGCNFGESNHKIDLKSIENLLHLRYLGLQDTCVGVLPVEIGKLKFLETLDLRSGSKELLIVPSSVVQLRHLMCLHLDGKNTKIPIGMGNLTSLEELTGLWADGSSAIEKELGQLQELRVLELYLDGDDESVCSSLVVSLGNLQNLQSLTIRLACTSKLPRWINSGSLPLLSSLTLGVDRVCLEVDIQILGKLPALCFLNLWTTKDQCTRVERFIVGADAFPCLRKCVFRRFQTGPCMFPRGAMPRLEHLWFFARASHIAGGKLDVSMEHLPSLQRVTVFLWPEKAAGGTSDEFEEAKAAVRLAADAHPNRPTLGVHHLSTPLESEEEEHVDAGDVTGPGPTEKAS* >Brasy8G101600.1.p pacid=40081061 transcript=Brasy8G101600.1 locus=Brasy8G101600 ID=Brasy8G101600.1.v1.1 annot-version=v1.1 MAEPARSPQAAISIAQYAAAAAVAPTSTGQEVSVKIPDAQHTASADGGSVLHAGDQMMAVAQLVPSFSLDSSGDEVAVSTLPVAGDKSMQASAGSTSTMGNHGSISIGTMLTGSARTSSPTKVQIHVLVCANKIMIC* >Brasy8G288300.1.p pacid=40081062 transcript=Brasy8G288300.1 locus=Brasy8G288300 ID=Brasy8G288300.1.v1.1 annot-version=v1.1 MVAVPAPAVIGFVGVAWTCRGIVSVARGFVGRRGVVGRKECPPGLSFAPSAPRELDAPRRTRRGRPKTRRLPRSRRQDGATARIELRLRECSLRRRVSGKKREPPLASGKVARETCIEGFLWAYTSRYRAAK* >Brasy8G160900.1.p pacid=40081063 transcript=Brasy8G160900.1 locus=Brasy8G160900 ID=Brasy8G160900.1.v1.1 annot-version=v1.1 MGSWWSPASVEPRSVQLLLLGVALVAASFYAGTLFQSSASPALILPPSGSRSRDSSNPQVADAPEFANRVGVTYCTTPISVPDHGLDVCPLEYNEYVPCHDAAYVSKLSNLDRTRHEDLEDICPPREKRLFCLVPPPNDYKIPIRWPTSRDYIWRSNVNHSRLSEVKGGQNWVHEHGKLWWFPGGGTHFKHGALEYIQRLGNMTTNSTGDLSSAGVVQVLDVGCGVASFSAYLLSLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPIIWEKLINITTSMCWKLIAKHVQTAIWLKPEDESCRQKNADTKLLNICDPNVSSSSSWKAPLLNCVRLNKDQSKMQKLPPRPDRLTFYSRNLEMIGVTPEKFENNNQFWRDQVRKYWSFLGVEKTSIRNVMDMSANYGGFAMALSNDPVWIMNIVPHTTVNTLPVIYDRGLIGSYHDWCEPFSTYPRSYELLHAFHLFSHYQDRTDGCSMEDIMLEIDRIIRPRGFIIIRDDNTTHSRIIDLASKFLWDVTTHSLENEENRPEQVLICRKKFWAIV* >Brasy8G099600.1.p pacid=40081064 transcript=Brasy8G099600.1 locus=Brasy8G099600 ID=Brasy8G099600.1.v1.1 annot-version=v1.1 MGAPTFTHCLLAICLLSCAAHAQLSADFYADCCPSLESIVRAEMIKAISRERRIAAKLLRVFFHDCFVQGCDGSVLLDAPGEKTAMPNNNSLMGYEVIDTIKASVEAACPGVVSCADILALTARDGTFLLGGPSWSVLLGRRDSRGGNPSLANDNLPGPDSNLTVLISLFGRQGLSPAEMTTLSGAHTIGFSQCLNFRDRIYNDTNISPSFAALRRQACPRVGGNTTLAPIDVQTPAAFDTDYYQNLLTRRGLFRSDQALFNGGSQDALVRQYSFNPTLFRRDFAAAMIKMGNICPLTGDDGEIRANCHVANS* >Brasy8G210500.1.p pacid=40081065 transcript=Brasy8G210500.1 locus=Brasy8G210500 ID=Brasy8G210500.1.v1.1 annot-version=v1.1 MADQCTYSKKELLHYFKAKQPLCTCWICSKYLRKRTCTNATKEARMYRQDRDRITNESMTRVNKDWINLP* >Brasy8G097700.1.p pacid=40081066 transcript=Brasy8G097700.1 locus=Brasy8G097700 ID=Brasy8G097700.1.v1.1 annot-version=v1.1 MGMGTEWTEPAPVPAAQRKVAGGRAALKLLLSVLLAGLALRLLADRSASYHLPPTASPEEAAALAVTAPAPAREADGEISPKGAGRCDLFHGEWVPDSSGPAYNNASCRFIEIPQNCMTNGRPDDGYLYWRWKPYGCDIVPFDGKTFLEGMRGKHWALVGDLLLRNHVQSLLCLLSKVEDPTEVYHDRTYKSRRWHFPSHNFTLSLVWAPFLIKADIFEDDNGVSSAAPQLHFGNLETNWMSQWDSFDYVVISTGQWFFKIAVYLENGSAIGCHYCQDKNLREITTGDSFRRALRDTFQFITKSPHKPVVFYRTWSPSHFENGEWSSGGTCNRTVPFKPRQSGDRAMDNFMWRIERAEFAKAVADDGTSNAGRLKLLDTFELSLLRPDGHAGPYRTYDPFGKGSTGKVEYDCLGWCLPGPIDTWNDIIMQMLGNDLKPPPRTREHDQVI* >Brasy8G217500.1.p pacid=40081067 transcript=Brasy8G217500.1 locus=Brasy8G217500 ID=Brasy8G217500.1.v1.1 annot-version=v1.1 MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVQSILMQRQILVDGKVRTDKTYPAGFMDIISIPKTGENYRLLYDTKGRFRLHSVRDEDSKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGTFETIHVEDAQGHQFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEQRKRDAAAQAAAKA* >Brasy8G183200.1.p pacid=40081068 transcript=Brasy8G183200.1 locus=Brasy8G183200 ID=Brasy8G183200.1.v1.1 annot-version=v1.1 MAGHQSEAKTEPEPEPQPPAAEGRCWQGLATAEAKRLARLAVPMVASCFLQNAVNIVSLMFVGHLGELHLAGASLAVSITSATGLNIITGMAFALDTLCGQAFGAGQYHLLGIYKQRAMLVIGLACAPFALLWVHAGQILVFLRQDRAIAAEAGAYARWLVPSILLFVPLQCHIRFLQTQSLVLPVMASSGATALCHVAACWALVHKAGLGSKGAALSNAVSYGVNLAILALYVRLSSACRRTWNGFSMDGFKELRQFASLAVPSAFMICVEFWAFEIIVLLYGLLPNPQLETSVLSICLNTSILLFMVPLGLSYSVSTHVSNELGAGQPQAAKLAMRVVMCMALSSGFLLALAMILLRGVWGHVYSNEKEVVAYIAKMMPVLAISFFIDGIHGSLSGVLTGCGEQKIGAITNLGAFYLAGIPMAVLLAFVFHMNGMGLWLGMVVCGSLTKVLLFASVAWFIDWNKEAVKAKDRVFSSSLPVT* >Brasy8G295500.1.p pacid=40081069 transcript=Brasy8G295500.1 locus=Brasy8G295500 ID=Brasy8G295500.1.v1.1 annot-version=v1.1 MALSSVKWNIESEVAGVQSSSKRRRRWRASCSGESGREEYGAGGRESSSCEWRWGRGSVAAGGWSGGGIPTACGREGRRDPHGARPRVAAGGDCGWRLEEGGRGGGEERRDLRWRVAAGRLGVAGGGWVTGSRGGGEE* >Brasy8G177500.1.p pacid=40081070 transcript=Brasy8G177500.1 locus=Brasy8G177500 ID=Brasy8G177500.1.v1.1 annot-version=v1.1 MLVRLSQLKSISLPCSSRCHLPPQRRALCSPHATDTLPCLTLRSHCPAGPRSSSKQEVNKGMGSKVLFMLFVSVVMGNRSLAFNDAAVEKTLNENTLSHTTRGSPQLCQLCEQFATEALFYLKENETQIEIIDTLHQVCLKFKSLKLECTQLVDYYAALFFTKISSLSPDEFCVSASLCEEVTFIRLPIHEHACTLCHQVVNKVLTGLEDPETELKITEILLKGCNNAENFVQKCKMLIIQNAPVILEHIKKFLKKRDFCNSIHVCGSKAVHARGDLLRAVSTA* >Brasy8G177500.2.p pacid=40081071 transcript=Brasy8G177500.2 locus=Brasy8G177500 ID=Brasy8G177500.2.v1.1 annot-version=v1.1 MGSKVLFMLFVSVVMGNRSLAFNDAAVEKTLNENTLSHTTRGSPQLCQLCEQFATEALFYLKENETQIEIIDTLHQVCLKFKSLKLECTQLVDYYAALFFTKISSLSPDEFCVSASLCEEVTFIRLPIHEHACTLCHQVVNKVLTGLEDPETELKITEILLKGCNNAENFVQKCKMLIIQNAPVILEHIKKFLKKRDFCNSIHVCGSKAVHARGDLLRAVSTA* >Brasy8G017900.1.p pacid=40081072 transcript=Brasy8G017900.1 locus=Brasy8G017900 ID=Brasy8G017900.1.v1.1 annot-version=v1.1 MAPAPPPLQLLALAAAVLLLLAGAAASLAASVVFPKEALPTSSGYLSIAAAAGGANASLFYAYYEATAPVTPLPSTPLLLWLQGGPGCSGLLGNFFELGPYLLVSSSPNSGNSNNTSLSRNPFAWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSAIAAQLLAALQSFFALSPPLFRARPFFLTGESYAGKYIPAAGAHILAENRALPAPQRVNLQGAAIGNGLTHPVAQVAAHADSAYFSGLINGRQRRELVALQASAVALAVAGRWTEAADARGKVLSWLQNATGLATLYDAAKQKPYATAAVAPFLNAAETKAALGARGDVVWEECNRAVGKAMHADVMKSVKPEVETMLRESQSSMRVLLYQGIRDLRDGVVTTEAWLAELDWAGLRAFQEAQRDVWRIPGAGDGEDELGGYVQRSGKLTHVVVFGAGHLVPADNGRAAQEMIEGWVLQKEVFARARNGVRRAA* >Brasy8G034400.1.p pacid=40081073 transcript=Brasy8G034400.1 locus=Brasy8G034400 ID=Brasy8G034400.1.v1.1 annot-version=v1.1 MDPKAAARSKRSHTVHGRRTHQTPAAAAAQKQKRAAAAAAAGGSSSAPRSRNLPSNWDRYEDEPEADDPAAAAEWTGEVAPRSKGADFAFLLEQARAQPREERGLGASGQLASQNSPFDFMQASTSMLEAKGDGIMSWFEDDNFILEDDLAPDFEVPFLSMDLDALATQLLKLKLSQRLFIEEDLLPEDLAFASEDNEILIQCDTLLDNGAKESLVQSNFKDVKPGKDPISHDHASSILSDDQMKTDHQLVHSDTEEDKNYTRITDTVTSSGHGKQSKLELVGPEEELDMLLNSLGGTHLSSSNLGKSVGNSSTLEGTKVSESNEKVKASSSSKSLALAPLDDALDALLSETSLPVEIEGFAASSVTSRSTFDSDNNTDFRCAKQIDVTTSIDDSVDDLLADTSFFLNDQKQTTLVQGQQNISNVSVPPHSGSSNVSADFDSWFDSL* >Brasy8G206200.1.p pacid=40081074 transcript=Brasy8G206200.1 locus=Brasy8G206200 ID=Brasy8G206200.1.v1.1 annot-version=v1.1 MAMETQLAGEDARQRRRRRQHGMISRKELQPQEQKNASSSSYFSTEAFLMLACVAVTLLVLPLLLPPLPPPPALLLLVPVCMLVLLVVLAFMPSDVRTMASSYL* >Brasy8G206200.2.p pacid=40081075 transcript=Brasy8G206200.2 locus=Brasy8G206200 ID=Brasy8G206200.2.v1.1 annot-version=v1.1 MAMETQLAGEDARQRRRRRQHGMISRKELQPQEQKNASSSSYFSTEAFLMLACVAVTLLVLPLLLPPLPPPPALLLLVPVCMLVLLVVLAFMPSDVRTMASSYL* >Brasy8G023400.1.p pacid=40081076 transcript=Brasy8G023400.1 locus=Brasy8G023400 ID=Brasy8G023400.1.v1.1 annot-version=v1.1 MQSLDAPSLARSGAVCTSWRSAYAAFRTLRLPSPAQGPCMLYAADNGSDGGAMYMYCPSTNAKFRVPFFHGGGPGFVFSASGCRVFTTDEAGDPYLLNPLTGARAALPPVRTLPGLDAFFDAQGNSTGPGLPVRAKDWAFRRVAISSDDDRAPLVLVVHMLNETLAFARPGDARWTPLSGAGPLVGQHRRCFSDVLYHRSRRLFYALRRDGSVYSVDLHGGRRAPEVRKIMRDASVVSSDVKYLAVAPRDVDGGGGGELLQVWRMWHHTHGPVTRTSRPTRSNAYGATLPIDMGVWSFEWRRMTGHGGAKWPEELRSRTPRTECWPGPVPAPVWITPSLW* >Brasy8G084500.1.p pacid=40081077 transcript=Brasy8G084500.1 locus=Brasy8G084500 ID=Brasy8G084500.1.v1.1 annot-version=v1.1 MDDGVDLPSQFLFSQPDPEMPAGTFDDLLSNASTSSCTHTHTCNAPGPSAAMHTHTCQHTHTSQVFATGSEDDDGDGDPAAKTRRPLGNREAVRKYREKKKARAAFLEEEVRKLRAANQQLLRRLQGHATLEAEAVRMRSLLLDVRAKIDAEVSVPAAAVTPLQKMPLPCSVGSVVCSDRPALCFNGNSEAGAWEESSRPAAADCRFEEDGNGGASREIDVLEQVHSMDVTDLCFHS* >Brasy8G188200.1.p pacid=40081078 transcript=Brasy8G188200.1 locus=Brasy8G188200 ID=Brasy8G188200.1.v1.1 annot-version=v1.1 MEPKKTQFKGVIEDFRGRAACYKQDWHNGFSSGFRILAPTLYIFFASALPVIAFGEQLSKDTDGTLTTVETLASTAICGIIHSVMGGQPLLIVGVAEPTIIMYTYIYNFAKNQPSLGERLFLAWAGWVCVWTAVMLFLMAMFNAAVALNRFTRFAGELFGMLITILFMQEAVKGMLSEFSAPEGQDHNLPIYQFQWVYINGLLGIIFSMGLLYTALRSRAARSSLYGTGWQRSLIADYGVPIMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLSVLICGLLGIPPSNGVLPQSPMHTRSLAVLRRQALRKKMIQTAKEGMMNNANSSEVYGKMQEVFIEMDDKSNEVSVHKELRDLKDAVIPEGNGAGNVPEVFDPEKHLEAYLPVRVNEQRVSNLLQSLLVAGCVGVMPIIQKIPTSVLWGYFAYMSIDSLPGNQFWERFQLLFVAPQRRYKVLEGTHASFLESVPFNKIFAFTLFQLFYLLIVWGMTWIPVAGILFPLLFFFLVLIRQHFLPKFFDPRHLWELDASEYEECEGVRRDPSISEADNESIAHGGEAPPEYAHDILEEFTTHRGELKHRAPSFRDERLIWLNSVQMTRQFSRIASLAPERP* >Brasy8G188200.2.p pacid=40081079 transcript=Brasy8G188200.2 locus=Brasy8G188200 ID=Brasy8G188200.2.v1.1 annot-version=v1.1 MEPKKTQFKGVIEDFRGRAACYKQDWHNGFSSGFRILAPTLYIFFASALPVIAFGEQLSKDTDGTLTTVETLASTAICGIIHSVMGGQPLLIVGVAEPTIIMYTYIYNFAKNQPSLGERLFLAWAGWVCVWTAVMLFLMAMFNAAVALNRFTRFAGELFGMLITILFMQEAVKGMLSEFSAPEGQDHNLPIYQFQWVYINGLLGIIFSMGLLYTALRSRAARSSLYGTGWQRSLIADYGVPIMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLSVLICGLLGIPPSNGVLPQSPMHTRSLAVLRRQALRKKMIQTAKEGMMNNANSSEVYGKMQEVFIEMDDKSNEVSVHKELRDLKDAVIPEGNGAGNVPEVFDPEKHLEAYLPVRVNEQRVSNLLQSLLVAGCVGVMPIIQKIPTSVLWGYFAYMSIDSLPGNQFWERFQLLFVAPQRRYKVLEGTHASFLESVPFNKIFAFTLFQLFYLLIVWGMTWIPVAGILFPLLFFFLVLIRQHFLPKFFDPRHLWELDASEYEECEGVRRDPSISEADNESIAHGGEAPPEYAHDILEEFTTHRGELKHRAPSFRDERLIWLNSVQMTRQFSRIASLAPERP* >Brasy8G240900.1.p pacid=40081080 transcript=Brasy8G240900.1 locus=Brasy8G240900 ID=Brasy8G240900.1.v1.1 annot-version=v1.1 MSVSPSHDHPRDFGSCRLPPLSAPRDAARTPTGSRAALDPSTPMGSGSRWSQWPWPFSVGHCKGGGGGWGLGGPLVAKAIVWILFVGVSFRVLCSFSSSSSSSLSPKIKEGKCNLFNGEWIPNPSGPAYTNESCRFIDDHQNCMMNGRPDIGYLHWRWKPYECGLPPFDEVRFLGAMRNKAWGLIGDSILRNQVQSLICVLSKADEPVEVYHDKEFKNRRWHFQSYNFTVSILWAPFLVKSELFENENGDSTSEIQLHIDMLDPTWTSQYESFDYVVIAGGQWFLKTAVYWEKGTVIGCHHCQDKNLTELGFEHLYRRTFQELFRFISLADHKPVVLFRTWAPDHFENGEWSSGGTCKRVMPYKNGEYSGKGMEHVMRTIELEEFDKAIVAANSSGDVVRLKLLDTYRLSSMRPDGHVGPYRMPYPFAEGDEDAASVQNDCLHWCVPGPIDAWNDLIMKMVLN* >Brasy8G085300.1.p pacid=40081081 transcript=Brasy8G085300.1 locus=Brasy8G085300 ID=Brasy8G085300.1.v1.1 annot-version=v1.1 MASRDSFLEKASPYIAMITLRFGYAGLSIISKLSLNSGMSHYVLVVYRHAFATLAMAPFALILERKVRPKMTLSVFSQIFLLALLGPVIDHNFYYLGLKFTGAAFVGALINILPATTFVMAVIFRMEKIELRKVRCQAKIFGTVVTGAGAMLMTLYKGPLMEMAWTRQAHAGGAGPPAVGPTSREMLLGSTFIIITTLVCFTGTLQAVAVAFVMERRVSVWAIGFDTNLFAAAYAGIVASGIAYYVQGLVIEKRGPVFASAFTPLGLIVVAVTSSCFLGEKIYLGGVLGGLVIMVGLCAVLWGKHKEMQEMEADAKAALPVATKADDPSVETIFGAGAGDDDTECKHVPSNGKVGGASAV* >Brasy8G134200.1.p pacid=40081082 transcript=Brasy8G134200.1 locus=Brasy8G134200 ID=Brasy8G134200.1.v1.1 annot-version=v1.1 MVTPGKVAGKRKVPLTRWPAKSWHVPALLGMLRGRKLQPWLWQNGRCLRQREKKICRRRRRRRRRCKHTARRRLDNMESVRTELPHVRRSAVRRKYDLTWPKLSDLMIFLLSR* >Brasy8G048700.1.p pacid=40081083 transcript=Brasy8G048700.1 locus=Brasy8G048700 ID=Brasy8G048700.1.v1.1 annot-version=v1.1 MASGRSFRGLCLLALSLCSALASSVDGGASRRFWIEDDAFCKDGERFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAHELEMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSAYLSLVERWWKVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKDYLHYLVLLARRYLGNDIILYTTDGGTIGTLKNGSIHQDDVFAAVDFSTGDDPWPIFRLQKEYNFPGKSAPLTAEFYTGWLTHWGESIATTDASSTAKALKSILCRNGSAVLYMAHGGTNFGFYNGANTGQNESAYKADLTSYDYDAPIKEHGDVHNPKYEALRSVIHECTGTPLRPLPANIERSNYGLVKLQKVASLFDIFDKICDPLKVAVSEQPLSMEQTGQMFGFLLYSSEYEGKGPYSILSIPKVHDRAQVFVSCSLDGVRNRRYAGIIERWSKKTLQIPSLSCSSKTSLYILVENMGRVNYGPYIFDQKGILSSVEIDGVTVRHWKMYPLSFDALGELSKFQPIQQITDVRASKVPIHSYLQKKFRDSSFYQNGISEGPEFYEGHFDIGSKNAIKDTFISFRGWNKGVAFVNNFNIGRFWPAMGPQCALYVPAPILKSGDNVVVIFELHSPNPEHTINLVKDPDFTCGPNQ* >Brasy8G048700.2.p pacid=40081084 transcript=Brasy8G048700.2 locus=Brasy8G048700 ID=Brasy8G048700.2.v1.1 annot-version=v1.1 MASGRSFRGLCLLALSLCSALASSVDGGASRRFWIEDDAFCKDGERFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAHELEMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSAYLSLVERWWKVLLPKVAPLLYSNGGPIIMVQIENEFGSFGDDKDYLHYLVLLARRYLGNDIILYTTDGGTIGTLKNGSIHQDDVFAAVDFSTGDDPWPIFRLQKEYNFPGKSAPLTAEFYTGWLTHWGESIATTDASSTAKALKSILCRNGSAVLYMAHGGTNFGFYNGANTGQNESAYKADLTSYDYDAPIKEHGDVHNPKYEALRSVIHECTGTPLRPLPANIERSNYGLVKLQKVASLFDIFDKICDPLKVAVSEQPLSMEQTGQMFGFLLYSSEYEGKGPYSILSIPKVHDRAQVFVSCSLDGVRNRRYAGIIERWSKKTLQIPSLSCSSKTSLYILVENMGRVNYGPYIFDQKGILSSVEIDGVTVRHWKMYPLSFDALGELSKFQPIQQITDVRASKVPIHSYLQKKFRDSSFYQNEGPEFYEGHFDIGSKNAIKDTFISFRGWNKGVAFVNNFNIGRFWPAMGPQCALYVPAPILKSGDNVVVIFELHSPNPEHTINLVKDPDFTCGPNQ* >Brasy8G211000.1.p pacid=40081085 transcript=Brasy8G211000.1 locus=Brasy8G211000 ID=Brasy8G211000.1.v1.1 annot-version=v1.1 MDSPHHKVIGVAAAAAEGGRMCCVECRTTTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDNKQLQSQPQQQQQQQEQQQHQQQEQQQQQQEQQQHQQQEQQQQQQEDHSEPTSVVKDGSSGSSSKSSKLQVVKKRRVSMGVEEAASLLMALSSSSTPTLPHG* >Brasy8G211000.2.p pacid=40081086 transcript=Brasy8G211000.2 locus=Brasy8G211000 ID=Brasy8G211000.2.v1.1 annot-version=v1.1 MTVFRFLSLCNACGIRYRKKRRQELGLDNKQLQSQPQQQQQQQEQQQHQQQEQQQQQQEQQQHQQQEQQQQQQEDHSEPTSVVKDGSSGSSSKSSKLQVVKKRRVSMGVEEAASLLMALSSSSTPTLPHG* >Brasy8G211300.1.p pacid=40081087 transcript=Brasy8G211300.1 locus=Brasy8G211300 ID=Brasy8G211300.1.v1.1 annot-version=v1.1 MERRPTGQPLKAQLSRIKEPTEQYPIPWAILKAHNKPKGPTSQNPSTRSTPLSRLPIYTFHAPPLSFPAGGGQGKKKKPRRNPSAAAAAAMGIDLVAGGRNKKTKRTAPKSDDVYLRLLVKLYRFLVRRTKSKFNAVILKRLFMSKTNRPPLSMRRLSNFMKGKDEKSIAVIVGTITDDKRIQEIPAMKVTALRFTETARARIINAGGECLTFDQLALRAPMGENTILLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV* >Brasy8G103800.1.p pacid=40081088 transcript=Brasy8G103800.1 locus=Brasy8G103800 ID=Brasy8G103800.1.v1.1 annot-version=v1.1 MKGASVPAVVIMPSPIFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREMWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMILLSPFDMFYLSSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDQWVSFYRPLWLISAVINSLYSFYWDIKRDWDLSVLTRIFMFKSPSTWTNLLYGRTWVYYWVLGSNLILRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLESSSHTSSEGEGYT* >Brasy8G103800.2.p pacid=40081089 transcript=Brasy8G103800.2 locus=Brasy8G103800 ID=Brasy8G103800.2.v1.1 annot-version=v1.1 MKGASVPAVVIMPSPIFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREMWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMILLSPFDMFYLSSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDQWVSFYRPLWLISAVINSLYSFYWDIKRDWDLSVLTRIFMFKSPSTWTNLLYGRTWVYYWVLGSNLILRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLESSSHTV* >Brasy8G103800.3.p pacid=40081090 transcript=Brasy8G103800.3 locus=Brasy8G103800 ID=Brasy8G103800.3.v1.1 annot-version=v1.1 MKGASVPAVVIMPSPIFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREMWRVLLYAILLMILLSPFDMFYLSSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDQWVSFYRPLWLISAVINSLYSFYWDIKRDWDLSVLTRIFMFKSPSTWTNLLYGRTWVYYWVLGSNLILRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLESSSHTSSEGEGYT* >Brasy8G103800.4.p pacid=40081091 transcript=Brasy8G103800.4 locus=Brasy8G103800 ID=Brasy8G103800.4.v1.1 annot-version=v1.1 MKGASVPAVVIMPSPIFLWRFKAILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREMWRVLLYAILLMILLSPFDMFYLSSRFYFLRTVWRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDQWVSFYRPLWLISAVINSLYSFYWDIKRDWDLSVLTRIFMFKSPSTWTNLLYGRTWVYYWVLGSNLILRCTWTYKLSAHLRHNYLTVFVITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEGDRLLESSSHTV* >Brasy8G121500.1.p pacid=40081092 transcript=Brasy8G121500.1 locus=Brasy8G121500 ID=Brasy8G121500.1.v1.1 annot-version=v1.1 MLASSASARARGSAVSVVAELEGAVLRDACTFPYFMLVAFEASSLPRFFALLALWPALRLLELLMPGERGRGMATRCAAFVATAGVPRAELEAVARAVLPKFMADDVDPAAWAAFAGACTGTGTTRRVVVTRMPRVMVEWFAREHLGAHEVVGCELIEYSRLGRSTGLVKGGGDEAIAGRLRALFRDGDRPDLGLGSRSEMARAFLPFCKEQLQLPFLVDDVTNQPKCPPFRPVIFHDGRLVRRPTPLTSLVILLWLPLGVLLAFIRITIGLIIPNEAIPHVMPFFGATIITHGHPPPALATDGKGTPAPGILFVCAHRTLVDPVVLACVLGRRRLAAATYSVSRFSETIVPIPTVRLTRDRGVDASRMRAELARGDVVVCPEGTTCREPVLLRFSALFAELSERIVPVATNYRAELFHPTTARGWKAMDPVFLFMNPKPVYEVTFLEQLPPDATCAAGRSPIDVANHVQRMLADTLGFECTSFTRKDKYRVLAGNDGVVNAKPAAAPTTKPGWQRRIKGVLRFLLG* >Brasy8G273500.1.p pacid=40081093 transcript=Brasy8G273500.1 locus=Brasy8G273500 ID=Brasy8G273500.1.v1.1 annot-version=v1.1 MCSSHEASMEEAVEVTGLVALLQPWMRFQASLVYEQSRQRWTMGLFSFPIFFLPCLLLPVPCVPREPPLPPRVATPPLGPRPLAPPLLAARPTPPLLLPCRAAPAAPASPCCRRRPVKPPGPRAAATGSHPARAAGPRAAATGCRHATAGRPDLAAPRAAAAAPPRGRRSPAGGRIRRPCGSPARCPRRIR* >Brasy8G080800.1.p pacid=40081094 transcript=Brasy8G080800.1 locus=Brasy8G080800 ID=Brasy8G080800.1.v1.1 annot-version=v1.1 MARSGGCPRRPPPQAMSPPRSKEPGAHAAAARSPASRPRPRRRLRVQSPSLASARRAAAAPPATPPLRWPGDAVPPRGVGAAASVRKIAAALWRVHPPQAPPPLPLPPRESGEARRRFEPSPKPLHTPDRCNYYKAVLEGRTGSKPIGNGIKHEVGAYSPSPRIEREVATKWDRRCLDTFRDADYDSFDHYPVAADAEIAALKAELMQAHNRVHELEVESRSVKKKLDHMLRNLSEEKASWRSREHDKVRDIFDGVKEALNRERKNRQRVEIINSKLANELSELKSAARRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSELQSVIEAFLSFHGGNSVDKETVRDAERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELKQREDTDEKEIGQCNGDTPASHATKIHTVSPETDIFLEKPAKKYTHQPCARNEEEDDSGWETVSHVDERGSSNSLDGSEPSVNGCCGGNGASVSGTDCGDNCENCRSNSETSEVCSTTAEKYRKKGSSFSRLWRSSNDKSRNRTGSGLLNGRLSSGRMSNAALSPDLNRSGVCTVSPSVGEWSPELVNPHVVRAMKGRVEWTQGSQKHNQNNLKFKLLDSSIDGRKVQLRQALKQKI* >Brasy8G001900.1.p pacid=40081095 transcript=Brasy8G001900.1 locus=Brasy8G001900 ID=Brasy8G001900.1.v1.1 annot-version=v1.1 MSSSSSSALAKGKFKFEAKGGGGGSRSSISLASSKAKIASAKRAAPTRGKAAKKVFSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPEKARKAYDRKQKRQQQIRSGTPIKSTSTVTKHKPAETWKKPITSHNVDSSSSKAKRRVDYSDDDDFIVKLKRSNSRG* >Brasy8G137900.1.p pacid=40081096 transcript=Brasy8G137900.1 locus=Brasy8G137900 ID=Brasy8G137900.1.v1.1 annot-version=v1.1 MAVQQQGPESGSSWMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALFLERRRNHKARPAMSLWAFLQIFVLALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVLAVIFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRAVEMIWSSHVSHLHAGPHQDAAAAAKDWFRGSVFLIIATLAWASLFILQAATLKRYNAPLTLTTLICFVGTLQAIVVTLAMEHTVDVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIVVAIMGSFILAENIYLGGILGSALIVAGLYSVLWGKHKENVEKKEAEAMEIPVAIKGVDQCNGRIMDIVELDEVQLEKQKAQANGKAVTVSVTAEEARTQREDAN* >Brasy8G059800.1.p pacid=40081097 transcript=Brasy8G059800.1 locus=Brasy8G059800 ID=Brasy8G059800.1.v1.1 annot-version=v1.1 MPPTAAEGGSPSEAATATACSSPASSDFLANAGWHIRWKQVPVRAAMAMNPLVAFFSPLD* >Brasy8G272000.1.p pacid=40081098 transcript=Brasy8G272000.1 locus=Brasy8G272000 ID=Brasy8G272000.1.v1.1 annot-version=v1.1 MAEEEAAAGAGSGGWPEPVVRVQSLSESGAATIPARYIKPAHDRPNSSSSAATAISIPVVDLSSSSSSSSTAAAVSAACREWGFFQAVNHGVPPDLLRRIRATWRAFFSGLPLEAKQRYANSPDTYEGYGSRLGVRPGASLDWGDYFFLHLQPPRAASPSDKWPHFPPDLRETTEEYGREVARLCGRLMAAMSAGLGVGEGRMEEEFGGEDEAGACVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLADEHVKGLQVRCPGGAGDGGEEWVTVDPLPGALIVNVGDQIQVLTNAEYRSVEHRVAVSAGAERLSVALFYNPRSNIPLAPMPELVSLPDRPPLYEPMTYDEYRLYIRRKGPQGKAQVDSLKAASSSSQQGHDDGPYPR* >Brasy8G272000.3.p pacid=40081099 transcript=Brasy8G272000.3 locus=Brasy8G272000 ID=Brasy8G272000.3.v1.1 annot-version=v1.1 MAEEEAAAGAGSGGWPEPVVRVQSLSESGAATIPARYIKPAHDRPNSSSSAATAISIPVVDLSSSSSSSSTAAAVSAACREWGFFQAVNHGVPPDLLRRIRATWRAFFSGLPLEAKQRYANSPDTYEGYGSRLGVRPGASLDWGDYFFLHLQPPRAASPSDKWPHFPPDLRETTEEYGREVARLCGRLMAAMSAGLGVGEGRMEEEFGGEDEAGACVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLADEHVKGLQVRCPGGAGDGGEEWVTVDPLPGALIVNVGDQIQGYFRENGKVVWGCRTECACCRFPARQGKEGART* >Brasy8G272000.2.p pacid=40081100 transcript=Brasy8G272000.2 locus=Brasy8G272000 ID=Brasy8G272000.2.v1.1 annot-version=v1.1 MAEEEAAAGAGSGGWPEPVVRVQSLSESGAATIPARYIKPAHDRPNSSSSAATAISIPVVDLSSSSSSSSTAAAVSAACREWGFFQAVNHGVPPDLLRRIRATWRAFFSGLPLEAKQRYANSPDTYEGYGSRLGVRPGASLDWGDYFFLHLQPPRAASPSDKWPHFPPDLRETTEEYGREVARLCGRLMAAMSAGLGVGEGRMEEEFGGEDEAGACVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLADEHVKGLQVRCPGGAGDGGEEWVTVDPLPGALIVNVGDQIQGYFRENGKVVWGCRTECACCRFPARQGKEGART* >Brasy8G284500.1.p pacid=40081101 transcript=Brasy8G284500.1 locus=Brasy8G284500 ID=Brasy8G284500.1.v1.1 annot-version=v1.1 MKDSTRRSPLRLRRARHQPSLPHFVAGQITPTFVPPPPSGVPPRYEGSRRQHGGSGPDAATDREGSTAATVAAAQGHAPRQFATAARRPGQLRGDGGSAAAGVARGGRWGGTRSRWRPGQLAAARAAGEARGGAGGGGSTEAGTARGGGSAPAGPACGGWTSARRRCRGRPAMGRPGQPAEEAGAARGGGRGGARGSPRRRRRRRRRRQPSKAAPRTARGGGWGGARSLRRHGQVARGEEQQLVGRGARR* >Brasy8G107800.1.p pacid=40081102 transcript=Brasy8G107800.1 locus=Brasy8G107800 ID=Brasy8G107800.1.v1.1 annot-version=v1.1 MARQGVASMFALALLLGTFVSIPKSVESIGVCYGMSANNLPSPSTVVNMFKSNGISSIRLYAPDHAALDALAGTGINVVVGAPNDVLPTLAASPAAASAWVRDNIQAHPAVSFRYVVVGNEVAGGLTWNLGPAMDNMHGALAAMGLGHIMVTTSVSQAILGVYSPPSAGKFTTEADYFMRYVIPILARTGAPLMANIYPYLAWAWNPSAMDVNYALFTAPGTVVQDGGYGYQNLFDTTVDAFYAAMARYEGGWGVKLIVSESGWPSAGGVAASPENARIYNQNLISHVGHGTPRHPGAIETYIFSMFNEDQKEEGVEQHWGLFYPNTQPVYPISFW* >Brasy8G127400.1.p pacid=40081103 transcript=Brasy8G127400.1 locus=Brasy8G127400 ID=Brasy8G127400.1.v1.1 annot-version=v1.1 MPEEKEYVAVPAGQAPEAADPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVIFPIAVTFFITWWFIRFFDGFFSPLYAKLGVDIFGLGFVTSLVFIFIVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTAAFKEVAIISHPRAGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVITSLGPAPHKIQSTRLNRMMTA* >Brasy8G127400.2.p pacid=40081104 transcript=Brasy8G127400.2 locus=Brasy8G127400 ID=Brasy8G127400.2.v1.1 annot-version=v1.1 MPEEKEYVAVPAGQAPEAADPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVIFPIAVTFFITWWFIRFFDGFFSPLYAKLGVDIFGLGFVTSLVFIFIVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTAAFKEVAIISHPRAGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVITSLGPAPHKIQSTRLNRMMTA* >Brasy8G127400.3.p pacid=40081105 transcript=Brasy8G127400.3 locus=Brasy8G127400 ID=Brasy8G127400.3.v1.1 annot-version=v1.1 MPEEKEYVAVPAGQAPEAADPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVIFPIAVTFFITWWFIRFFDGFFSPLYAKLGVDIFGLGFVTSLVFIFIVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTAAFKEVAIISHPRAGEYAFGFITSTMILQGCQRLGRSLWRNGAWPAQREEGRNSWRHRISHGEDCQQLPET* >Brasy8G156500.1.p pacid=40081106 transcript=Brasy8G156500.1 locus=Brasy8G156500 ID=Brasy8G156500.1.v1.1 annot-version=v1.1 MGRSRAKDGAVKSSFPSPASSSSASSSEFEFTVTQSPASKQRSAAQLCPADDLFYKGQLLPLHLSPRISMVRTLLLASASTSSASASDSTSRDSNGSTSSSFSADCASAADDLLLLPDSAPSSSRPSSAAADDGRHFLRGTAAASFTGGLPAAASRRTGKQYLSSFATRFSSVFLHRGGAPAPASGKKPSSSNKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNHHQPQPQPQGFKKPFSFSIRKKRGDEDHAALVTPSMAVAADQAGGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPNHSGLLSFGGAGGVGFLDVPAAAAAAMAGGISGGRPAVSLSTASSSSMEELQSAIEGAIAHCKYSMGGVVSLCPRKGAAPAGEICAF* >Brasy8G181000.1.p pacid=40081107 transcript=Brasy8G181000.1 locus=Brasy8G181000 ID=Brasy8G181000.1.v1.1 annot-version=v1.1 MTGSMDLPAKGGFSFDLCRRNAMLEKKGLKVPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLHLHRYATSRESRVVTALTLLKTHLFNYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAICAGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYASSKGYSFTKGHTEVLSTKIIPLKQKAEVAEGDAMEE* >Brasy8G131600.1.p pacid=40081108 transcript=Brasy8G131600.1 locus=Brasy8G131600 ID=Brasy8G131600.1.v1.1 annot-version=v1.1 MTAGAEMGENGVGRQPYYPAMEVSGVENGHTAGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLSDCYRSGDETTGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHAKGRVNPCHISSTPYMIIFGAAQIFFSQIPDFDQISWLSIVAAIMSFTYSTIGLGLGIVQVVANRGVKGSLTGISVGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVATTTLFYMLCGCMGYAAFGDEAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSRFITGEIQVSFGFKVNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKKIPRWGSQWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFSTSD* >Brasy8G131600.2.p pacid=40081109 transcript=Brasy8G131600.2 locus=Brasy8G131600 ID=Brasy8G131600.2.v1.1 annot-version=v1.1 MGENGVGRQPYYPAMEVSGVENGHTAGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLSDCYRSGDETTGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHAKGRVNPCHISSTPYMIIFGAAQIFFSQIPDFDQISWLSIVAAIMSFTYSTIGLGLGIVQVVANRGVKGSLTGISVGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVATTTLFYMLCGCMGYAAFGDEAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSRFITGEIQVSFGFKVNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYIVQKKIPRWGSQWVCLQLLSLACLIITVASAAGSVAGIMSDLKVYKPFSTSD* >Brasy8G030300.1.p pacid=40081110 transcript=Brasy8G030300.1 locus=Brasy8G030300 ID=Brasy8G030300.1.v1.1 annot-version=v1.1 MSSGSSRSSSPGSNSAWSKKEDKMFEDALAYYGVGTPNLWDKVASAMGGSKSAEEVRCHYEDLYDDVKLIESGRVPFPKYRTQGFWT* >Brasy8G242800.1.p pacid=40081111 transcript=Brasy8G242800.1 locus=Brasy8G242800 ID=Brasy8G242800.1.v1.1 annot-version=v1.1 MTSDKGRTTKKPAEVSACALPPSDEEASSAVQKRRLPNGRRTGPARRSTKGNWTLEEDDILRKAVQTYNGKNWKKIAECFRDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIEMVNEYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINRDAWTQEEEIRLIQAHQAYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQLKGLPPVVYPTSLTVDSSSAMTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGEVPNSSVFTDSQASKELFQHTQVISMLPTVSGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G242800.2.p pacid=40081112 transcript=Brasy8G242800.2 locus=Brasy8G242800 ID=Brasy8G242800.2.v1.1 annot-version=v1.1 MTSDKGRTTKKPAEVSACALPPSDEEASSAVQKRRLPNGRRTGPARRSTKGNWTLEEDDILRKAVQTYNGKNWKKIAECFRDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIEMVNEYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINRDAWTQEEEIRLIQAHQAYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQLKGLPPVVYPTSLTVDSSSAMTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGEVPNSSVFTDSQASKELFQHTQVISMLPTVSGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G242800.4.p pacid=40081113 transcript=Brasy8G242800.4 locus=Brasy8G242800 ID=Brasy8G242800.4.v1.1 annot-version=v1.1 MTSDKGRTTKKPAEVSACALPPSDEEASSAVQKRRLPNGRRTGPARRSTKGNWTLEEDDILRKAVQTYNGKNWKKIAECFRDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIEMVNEYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINRDAWTQEEEIRLIQAHQAYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQLKGLPPVVYPTSLTVDSSSAMTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGEVPNSSVFTDSQASKELFQHTQVISMLPTVSGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G242800.3.p pacid=40081114 transcript=Brasy8G242800.3 locus=Brasy8G242800 ID=Brasy8G242800.3.v1.1 annot-version=v1.1 MTSDKGRTTKKPAEVSACALPPSDEEASSAVQKRRLPNGRRTGPARRSTKGNWTLEEDDILRKAVQTYNGKNWKKIAECFRDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIEMVNEYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINRDAWTQEEEIRLIQAHQAYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQLKGLPPVVYPTSLTVDSSSAMTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G242800.5.p pacid=40081115 transcript=Brasy8G242800.5 locus=Brasy8G242800 ID=Brasy8G242800.5.v1.1 annot-version=v1.1 MPECFRDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIEMVNEYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINRDAWTQEEEIRLIQAHQAYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQLKGLPPVVYPTSLTVDSSSAMTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGEVPNSSVFTDSQASKELFQHTQVISMLPTVSGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G242800.6.p pacid=40081116 transcript=Brasy8G242800.6 locus=Brasy8G242800 ID=Brasy8G242800.6.v1.1 annot-version=v1.1 MTQQNSEGSGLNAVLEVEDSSEFSQSSLANVSCSQVEHVDAALGCHLQVNFCDERADDSYSLGQETCYTNTNNVASALPETHHQLSISENDLDKHLPQDFSEEMDLDIGEVPNSSVFTDSQASKELFQHTQVISMLPTVSGYEHNTNATSEADFDNKKGLQSELWEDISFQGILSAPDSISADSFSSPNCQRGLYSLEAAKHFEESLYPLHTFNSSSMTSTAHLQSSAISLPPSFISSGSASCTPGAKFETSGIPVSLESITCQDVPSDMPIPESRKQQPTDVEQPSLEHTIMGREASPIHGESVAGQKKHSEALCYEPPCFPSVEVPFVSCDLLSSSDLPEYSPLGIRELMRSSMNFSTPIRLWSSPTRDTSSDAVLKSAAKSFICTPSIMKKRQRELSSPTPDIKFAKKIGTEKDCGNSGMSSIRTERSFMDVIEDELLDFISHQNEENLKETTHQGINEENTKRNTLEEGKRCSTMDKDTTCNVLSTGILTESNANSLSPPKHVQNPGTQKLNTTAKSLSKDIISSRSKPTNLVVEKSSPYINADYQYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPAGRTFDALGLVKQINEQNAPALEEAHEVLACGRAQNPCSEENSNKENVEHTIIREHVTSNQLSKVMAEARILDFNEFTTPVKKKEECSIFERSPTSSYLLKNVR* >Brasy8G179100.1.p pacid=40081117 transcript=Brasy8G179100.1 locus=Brasy8G179100 ID=Brasy8G179100.1.v1.1 annot-version=v1.1 MDPPAGGSADRRGAVSGASAGAASSGLRRYGLNFSASSLLQAPLAALLEYSGVVPSGPPSQTVHQAVPSSSSSEADGLLSAAAAGDGEVSIRIQGGPNDSDAAGATSTGASPGDSIEATAAIDVDPASAAGRGAGADAEAGGGGSGGATGNGAGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILKKQTALKSERRAAALVGITLIFMIHVFGVYWWYRNDDLLRPLFMLPPKDIPPFWHAIFIIMVNDTMVRQVAMAAKCILLMYYKNSRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLSAVKALSRKDVHYGSYATAEQTIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF* >Brasy8G118700.1.p pacid=40081118 transcript=Brasy8G118700.1 locus=Brasy8G118700 ID=Brasy8G118700.1.v1.1 annot-version=v1.1 MAAPNGSTPNLCRSSASGYSKRRGFKCPFCGEVWSTHQALGGHMSKRACIDEPHRYQLPPPPPTILPASPIPGASGWLAPNPYFWEEYRRGGRRPVEINFLGIPRSLALVPANGNVSGTQVGATRKDKEGADGGSGSIRTWTSSASSGGSIRTWTSSASL* >Brasy8G164100.1.p pacid=40081119 transcript=Brasy8G164100.1 locus=Brasy8G164100 ID=Brasy8G164100.1.v1.1 annot-version=v1.1 MEDVMDEAELVRKFDSMVEKESKEMEPMSVFDGWSDDDKIFFMKQLRVSLSEHTEAPNLGQMDVPDSVVFDITSDENAYSNYISSIGHYRSWQYVGSDKRYQFGSDRKRVFVTRSSGVFVFYYRYQNLVVGLVVDGQTGWVEGAITRDLIHDREVVLQFRRISLMSTDYIRSPRTHTLKYDGNYTKAGKTYEAKLGSWPIRNAVKAIASYTPGVTKSEPDPIHWQILILFSTEAGRLNHVFCCTSESLSFDKGPYAISKLHPGGEQFIQKYLKLSFCAIETIEGRPFVLVKGTSPRNLYQIVLEILILRRLKVHPTCFHLPDALPVKYNRNEPHQGKGPLTREETLEIENAT >Brasy8G240500.1.p pacid=40081120 transcript=Brasy8G240500.1 locus=Brasy8G240500 ID=Brasy8G240500.1.v1.1 annot-version=v1.1 MVGLVDGSARGWRLGDEAATSGAGRGAGGGGVTAAADHMRRLHSHALGEHQCSSALLKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSLREVNVKTGLPATTSTERLEQLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ* >Brasy8G134500.1.p pacid=40081121 transcript=Brasy8G134500.1 locus=Brasy8G134500 ID=Brasy8G134500.1.v1.1 annot-version=v1.1 MERASNSTTPEARDRRGIMTSLPSPRPERASNSTTPEARDRRGAMNSLRIPSPRPDIFMRAASPRPDIFMRASSPRPDIVMDAAADMDIGVRAPLLRQLTFRRSFKRSKSLVPRIDEENQTEAPPPVDDEKEKPSSKAPFVVLGFECLESTAFNGISTNLVVYLETVLRSSNVSSASNVTTWFGTSYLTPIIGAVVADSFWGNYNTIVVSLAVYLLGMLLVTFSAFLPVAAAASPLFVSTKTLAFVGLYLVALGSGGIRSSLLPFGAEQFDDTSAADRENKAAFFSWFYLCVDFGPIVSGLFIVWIQENISWGLGFGISTACIALALGAFLLATPMYKRSTPTGTPLKSLCQVVVAACRKVSLRLPSDPGLLYEVSDKAGLQPRIAHTGEFRFLDRAAIFSELDGPEQRLADATGASASWKLCTVTQVEELKILLRLLPVWATSIVVSAAYSQMNTTFIQQGSAMDMKVLSFSVPAAALLSFEVLFVIAWVVLYGSAIVPALGAFSAEEPSQLRRMGAGRVLMAVSMSLAALVETSRLGSAARGEEIAIAWQLPQYFFLAGGEVFCYIAQLEFFYNEAPDAMKSTCTSLALLTIALGSYMSSLIYGVIELFTATADSPGWISDDLNKGHLDYFFWIMAAISMVNFFVYTAFAKNYKLKTVLS* >Brasy8G029200.1.p pacid=40081122 transcript=Brasy8G029200.1 locus=Brasy8G029200 ID=Brasy8G029200.1.v1.1 annot-version=v1.1 MPRRAMAEEDDGGGGPAGAESLREDPEVTAAASEDEEEEEKEEEGVGFTFAAGGVFGGGCVGPMYYPVFGRPRSPPPPLEEEEETPETATVRVPLAQLLLAERGSSSSSSTPQQRGQDDGELEGVPAETYCLWSPGSSPRAAHPSSSPAAAAWCQKSGSTGSVLRWRQRLVGLGRSHSDGKEKFVFLAAAAGSFPGRKEGAGVSPGRKGISGGGGGGHGWSSYYGRGSSTRGGSGSRRSTSSSSSFLPYKQDLLGLFANAGALRRSYHPF* >Brasy8G205500.1.p pacid=40081123 transcript=Brasy8G205500.1 locus=Brasy8G205500 ID=Brasy8G205500.1.v1.1 annot-version=v1.1 MVVLSGAHSVGASHCSSFVPGGRLEGPGRSDMDAGLAAVLKKQCVGNQNPLVPQDAVSPNALDSQYYRNVLAHKVLLPSDAALLAAPATEKMVRDNAFVPGKWEGKFAEALVKMAAIGVKTGQQGEIRKNCRVVN* >Brasy8G022000.1.p pacid=40081124 transcript=Brasy8G022000.1 locus=Brasy8G022000 ID=Brasy8G022000.1.v1.1 annot-version=v1.1 MAMQEALLHPAHTDGVHSAVVLGSKPLGFGDGLLSSPTGDGLFYGGYSPFTPSPRPSPRTTLLSPWSSSSSSGSHVDDGDGKAATEHRLRLARLALQYQDAANRYELCLSHLADAAREAAALRLENHELRVANNDLAGRFAMLGGSQSSAITLADELRRLQLAAAQTVPSPPMPMPPVSPAEKHAGLPKSISIRSSGYVKTTQTGGKHRAGKPMNVGSQQHVFVGVDGAKPAAELKGGSGGGEKGEVVKGGLEFEVYSQGMYKTELCNKWEETGACLYGDQCQFAHGIAELRPIIRHPRYKTEVCRMVLGRGLCPYGHRCHFRHSITPADAGHRLLRP* >Brasy8G022000.2.p pacid=40081125 transcript=Brasy8G022000.2 locus=Brasy8G022000 ID=Brasy8G022000.2.v1.1 annot-version=v1.1 MAMQEALLHPAHTDGVHSAVVLGSKPLGFGDGLLSSPTGDGLFYGGYSPFTPSPRPSPRTTLLSPWSSSSSSGSHVDDGDGKAATEHRLRLARLALQYQDAANRYELCLSHLADAAREAAALRLENHELRVANNDLAGRFAMLGGSQSSAITLADELRRLQLAAAQTVPSPPMPMPPVSPAEKHAGLPKSISIRSSGYVKTTQTGGKHRAGKPMNVGSQHVFVGVDGAKPAAELKGGSGGGEKGEVVKGGLEFEVYSQGMYKTELCNKWEETGACLYGDQCQFAHGIAELRPIIRHPRYKTEVCRMVLGRGLCPYGHRCHFRHSITPADAGHRLLRP* >Brasy8G214000.1.p pacid=40081126 transcript=Brasy8G214000.1 locus=Brasy8G214000 ID=Brasy8G214000.1.v1.1 annot-version=v1.1 MPKRLPPCLGPFTVCRSATGRTTANLVAARDSNGYSLPFPVERIKPLVEALYDKLPWIWEVSLSRYWDRLLDLLDLIATYGRALKGYKQGFANKKGNS* >Brasy8G047000.1.p pacid=40081127 transcript=Brasy8G047000.1 locus=Brasy8G047000 ID=Brasy8G047000.1.v1.1 annot-version=v1.1 MAMAASSGGRWKRVRTLGRGASGAEVFLAADDASGELFAVKSASAAMGAAATLRREQGIMAGLRSPNVLSCIGGRGGRDGSYQLFLEFAPGGSLADSGRQLEERAVRAYAADVAAGLAYLHGAGLVHGDVKPRNVVIGRDGRAKLADFGCSRKAESHGPILGGTPAFMAPEVARGEEQGPAADVWALGCTVVQMATGRAPWNGTGMDDDVLAALHRIGYTDAVPQVPQWLSADAKDFLARCLIRRPGDRCTAAQLLEHPFLSAAGKEARREWVSPKSTLDAAFWETDTDEEEEEEMPMSGSRTAERIRALACPASSLPEDWDSDEGWIDVLISTQPEASEAASMPTLIEAGLDQSEESSAEASSLVLDATVDSSDVGLAEIVSIGYDRILPFPSVENSVCHQVVSCRLLLCDVNNSTNLALAQSFRFPLLHCVTYNFSINATQQ* >Brasy8G107400.1.p pacid=40081128 transcript=Brasy8G107400.1 locus=Brasy8G107400 ID=Brasy8G107400.1.v1.1 annot-version=v1.1 MQGGDTETRFGRCPYCRAMIRQNPSAAVYYCSKCRTPIRGKNTDPTGEADAEQAPSRLEILSADGSASVFSDEPDASSSTQASVIDVDGDQPPFSSGYNSCSNSDAAPNIHDNTAAAVPSPSKNGEQQDEGGSVNNRVNELRPSSRRTRRASSTSDSGVLRRGDFSVSKDLGTSASMSGLGLESSNVGVTASPLTDPAFHRDLLRALDKLRGMVAAIELQPRPASTRRESRLFRRMESRLSQQAEHGTPRRENNASAGSSWSWSSSNEQPAARLRKKQHCLPVFGGAPFAVCGECSELLRVPALAMVPLRRRVASARLRCGGCEGVLELAIPAAAGAVAGRAQGPPNRSGASSAGTASGSDELEREVSRSGGEQQVPALLLLHHALGYDSPSPLLQSRRY* >Brasy8G107400.2.p pacid=40081129 transcript=Brasy8G107400.2 locus=Brasy8G107400 ID=Brasy8G107400.2.v1.1 annot-version=v1.1 MQGGDTETRFGRCPYCRAMIRQNPSAAVYYCSKCRTPIRGKNTDPTGEADAEQAPSRLEILSADGSASVFSDEPDASSSTQASVIDVDGDQPPFSSGYNSCSNSDAAPNIHDNTAAAVPSPSKNGEQQDEGGSVNNRVNELRPSSRRTRRASSTSDSGVLRRGDFSVSKDLGTSASMSGLGLESSNVGVTASPLTDPAFHRDLLRALDKLRGMVAAIELQPRPASTRRESRLFRRMESRLSQQAEHGTPRRENNASAGSSWSWSSSNEQPAARLRKKQHCLPVFGGAPFAVCGECSELLRVPALAMVPLRRRVASARLRCGGCEGVLELAIPAAAGAVAGRAQGPPNRSGASSAGTASGSDELEREVSRSGGEQQVPALLLLHHALGYDSPSPLLQSRRY* >Brasy8G107400.3.p pacid=40081130 transcript=Brasy8G107400.3 locus=Brasy8G107400 ID=Brasy8G107400.3.v1.1 annot-version=v1.1 MQGGDTETRFGRCPYCRAMIRQNPSAAVYYCSKCRTPIRGKNTDPTGEADAEQAPSRLEILSADGSASVFSDEPDASSSTQASVIDVDGDQPPFSSGYNSCSNSDAAPNIHDNTAAAVPSPSKNGEQQDEGGSVNNRVNELRPSSRRTRRASSTSDSGVLRRGDFSVSKDLGTSASMSGLGLESSNVGVTASPLTDPAFHRDLLRALDKLRGMVAAIELQPRPASTRRESRLFRRMESRLSQQAEHGTPRRENNASAGSSWSWSSSNEQPAARLRKKQHCLPVFGGAPFAVCGECSELLRVPALAMVPLRRRVASARLRCGGCEGVLELAIPAAAGAVAGRAQGPPNRSGASSAGTASGSDELEREVSRSGGEQQVPALLLLHHALGYDSPSPLLQSRRY* >Brasy8G168200.1.p pacid=40081131 transcript=Brasy8G168200.1 locus=Brasy8G168200 ID=Brasy8G168200.1.v1.1 annot-version=v1.1 MPADDAAASDRTPPRRLRAARPILRAPAQDGPAHARRSLTPARDRCPLLAKPPARRRFRRLGRARRRFRRPGPSPPTRLLRSLLIPPARDHGPPARRRLLRAVDSGGQGAWRLS* >Brasy8G254500.1.p pacid=40081132 transcript=Brasy8G254500.1 locus=Brasy8G254500 ID=Brasy8G254500.1.v1.1 annot-version=v1.1 MTKRGGGLSFCVLVAMAAAVVLLAAQAKPAVAGGYYSPAIEDVVREEVQKGIKAYPGVGPGLIRLLFHDCWVKGCDGSVLLERVDGKAEKDAAASIGLRGFEVIDAIKANLSASHGVTCTDAVIYAAREATILLSHGKIKYGVAGPGRRDGLVSTAEYANEFLPLPTASFADLVENFKAKGFDATELVVLSGAHAVGVANYTSFKHRLRLPAVGKIDPFYQLQLGVQTAKDGVTTPNPNENNNVRDMGGLFRQNSGYRTAPEHVEKGVLDNSYYTANLQNMVLFNSDWALTQDETARDAMELYKKDAGTWYGLFGKAMAKLSELPMDEGAGWEVRKNCRTTNDARY* >Brasy8G123500.1.p pacid=40081133 transcript=Brasy8G123500.1 locus=Brasy8G123500 ID=Brasy8G123500.1.v1.1 annot-version=v1.1 MFPPTGGGGIGGAMTGGNREQSAIAAEVKDLEQGRAGEFMDDEEEEEGSQYFTDAEDRSWPSHSRHESAAYEDCISPCASARASSCGGGGGEDCGRSSCVSECSLDDVDLEAGLAEIIKGSPEKAEKNCRICHLGLESAAAESGVGITLGCSCKGDLSYSHKQCAETWFKIRGNKTCEICSSTACNVVCIGDLEFVEWNESNSTTTVQALPAETPRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG* >Brasy8G123500.3.p pacid=40081134 transcript=Brasy8G123500.3 locus=Brasy8G123500 ID=Brasy8G123500.3.v1.1 annot-version=v1.1 MFPPTGGGGIGGAMTGGNREQSAIAAEVKDLEQGRAGEFMDDEEEEEGSQYFTDAEDRSWPSHSRHESAAYEDCISPCASARASSCGGGGGEDCGRSSCVSECSLDDVDLEAGLAEIIKGSPEKAEKNCRICHLGLESAAAESGVGITLGCSCKGDLSYSHKQCAETWFKIRGNKTCEICSSTACNVVCIGDLEFVEWNESNSTTTVQALPAETPRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG* >Brasy8G123500.2.p pacid=40081135 transcript=Brasy8G123500.2 locus=Brasy8G123500 ID=Brasy8G123500.2.v1.1 annot-version=v1.1 MFPPTGGGGIGGAMTGGNREQSAIAAEVKDLEQGRAGEFMDDEEEEEGSQYFTDAEDRSWPSHSRHESAAYEDCISPCASARASSCGGGGGEDCGRSSCVSECSLDDVDLEAGLAEIIKGSPEKAEKNCRICHLGLESAAAESGVGITLGCSCKGDLSYSHKQCAETWFKIRGNKTCEICSSTACNVVCIGDLEFVEWNESNSTTTVQALPAETPRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG* >Brasy8G211600.1.p pacid=40081136 transcript=Brasy8G211600.1 locus=Brasy8G211600 ID=Brasy8G211600.1.v1.1 annot-version=v1.1 MSGEAAAGGGGGEANGVQPNVTIYINNLNEKTKLEELKKSLNAVFSQFGKILEVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMKIQYAKTKSDVVAKADGTFVPRERRKRTDEKPEKKQKREQHHDVSQANLGMNAYPGAYGAPPLSQLPFGGGPKVMMPEIIVPNNILFVQNLPHDTTPMMLQMLFCQYQGFKEARMIEAKPGIAFVEYGDEGQATAAMSALQNFKISKDNLMLITYAKK* >Brasy8G153500.1.p pacid=40081137 transcript=Brasy8G153500.1 locus=Brasy8G153500 ID=Brasy8G153500.1.v1.1 annot-version=v1.1 MKQHHKEQEVKATRCHLLACSAASRNDTAEATMAPAITKGCATFWVALLGEAREEYGYSHQGAVVVPCGAERFQRAVDAARAENRHHHHHHFGLPHLAGCFRPSHVVA* >Brasy8G126000.1.p pacid=40081138 transcript=Brasy8G126000.1 locus=Brasy8G126000 ID=Brasy8G126000.1.v1.1 annot-version=v1.1 MQAGISVITSSLHTARFAAYILSSGSALAQKHAIKQTATERSYPAADRAPISVKHSAISGARTKNRSACSHACAKDLFDSEIISALPERRSRTTIR* >Brasy8G081100.1.p pacid=40081139 transcript=Brasy8G081100.1 locus=Brasy8G081100 ID=Brasy8G081100.1.v1.1 annot-version=v1.1 MEPAGQDTPAELTPAQKVVLSLGYLSTFCLLAAPCKTVRAIKEAGAVGQETAAMYLCVLCNCLVWLLYGVGAGDKAIMGINGIGVLVQAYFLYVFIRASTDRFRQCGCVATVVVIVCALASIFFRSIPDPKNRKQAFGELGIASGAIMYGGSMGKFMRVWWGGNVVGGLDLNVVKASLLNGIGWTIYAMLIDDNLLLMSRPVILRSAVEIHTNTTQNVAFVDDLQWLFERDK* >Brasy8G271600.1.p pacid=40081140 transcript=Brasy8G271600.1 locus=Brasy8G271600 ID=Brasy8G271600.1.v1.1 annot-version=v1.1 MTTYRVCCFMRRFRPASGDPSAAIAAVFQSYAAAAGDGAVLGEDALKRFLREVQGEDEDGARGVEALAREIMAFAAEHKLLKKGGGIAAEGFHRWLCSDANAALHPRRGVHQDMGQPLSHYYIYTGHNSYLTGNQLSSGSSVAPIVKSLRDGVRVIELDLWPNAGKDDVEVLHGRTWTSPVELGECLDAVREHAFVSSPYPVILTLEDHLTPHLQAKVAKMIKETFGDMLHISESETMAEFPSPDDLKGKIIISTKPPKEYLQTKSGKEEPQNGDKEDEESVWGEEIPDNKAQAAVARQISEQDNGQYVEEEEDMEKKAQPGVDGEYKRLIAIQLTRRKHDMDEDLKVDPEKVSRMSLGEKAYEKATITHGADIIRFTQRNLLRIFPRTTRITSSNYNPLMGWRYGAQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDFLMNSDPDKMFDPRSKLPVKTTLKVTLYMGDGWRFDFHKTHFDKFSPPDFYARVGIAGMAADTRMEESKVVMDNWIPTWDHEFVFPLAVPELALLRVEVHEADNHQKDDFAGQTCLPVWELRQGIRSVRLCARDGEPMKSVKLLMRFEFV* >Brasy8G002400.1.p pacid=40081141 transcript=Brasy8G002400.1 locus=Brasy8G002400 ID=Brasy8G002400.1.v1.1 annot-version=v1.1 MPCARRVAVDLSVWVVSSLRVPARRPHLRTTFFRTLSLFAAMGASPVFVIDGPPSPLKSRFFRYRSPAASASSSSSSSPAPAPHANNNNNGGGRNAAFGRYVSECVELLRHLGMPVLCAGGEAEALCAQLNRDGHVHACLTADSDAFLFGARTSQTPKHLSSATTWRTSSPCSASRATTWWPWRSSSAATTTAEACAASAALRFVKSFHEHHVLDKLHEIGRGKYPGPRLFLSKPPHTRNGDWQANNDVCKRLAAETNFPNDDIIKLYLCSDHNLDAENGTVPAALRWDEPDVDAVVDFLGYTQNWPPSYVRRHMLPMLSSTIYLRRRLAAASSSSPPPCQNLLLCDRYEWLQRSPLVPPSGGVLVFGKKHIKIDDANKVAVSDDDYDDDLEEEDEPPQLVGDDSDDDDNQMFVMTEEDIQLVTAAFPDEARRFQEQERRLREEKSRPPRKKRKLNDQAKASSSRLETRSKWRNSSSAVDLSNNNKHPNSAARRRLIFD* >Brasy8G002400.2.p pacid=40081142 transcript=Brasy8G002400.2 locus=Brasy8G002400 ID=Brasy8G002400.2.v1.1 annot-version=v1.1 MPCARRVAVDLSVWVVSSLRVPARRPHLRTTFFRTLSLFAAMGASPVFVIDGPPSPLKSRFFRYRSPAASASSSSSSSPAPAPHANNNNNGGGRNAAFGRYVSECVELLRHLGMPVLCAGGEAEALCAQLNRDGHVHACLTADSDAFLFGARTSQTPKHLSSATTWRTSSPCSASRATTWWPWRSSSAATTTAEACAASAALRFVKSFHEHHVLDKLHEIGRGKYPGPRLFLSKPPHTRNGDWQANNDVCKRLAAETNFPNDDIIKLYLCSDHNLDAENGTVPAALRWDEPDVDAVVDFLGYTQNWPPSYVRRHMLPMLSSTIYLRRRLAAASSSSPPPCQNLLLCDRWLQRSPLVPPSGGVLVFGKKHIKIDDANKVAVSDDDYDDDLEEEDEPPQLVGDDSDDDDNQMFVMTEEDIQLVTAAFPDEARRFQEQERRLREEKSRPPRKKRKLNDQAKASSSRLETRSKWRNSSSAVDLSNNNKHPNSAARRRLIFD* >Brasy8G160800.1.p pacid=40081143 transcript=Brasy8G160800.1 locus=Brasy8G160800 ID=Brasy8G160800.1.v1.1 annot-version=v1.1 MAAPATPRLLLSPTSKDLINGSSFASLPSPTSTDPASPLDAFASDPVLSTFLSPSFSPADFSSAALSSGLAASRAEQLQDAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSNLRLLFSHLSLLSSHLSAPRSHLALSSSSLSSLLATADLLLHSHRLVRLSSRLLSSSPAPDLARQAELHREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVGKCRATGAKSVTVALDMKAISMAGGGGGPGGVQRSGTPQLGGSKRAADALWERMRQCMEELHRAVNAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSVFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKGTLPALTPEGKDHMVAAVEIFQTAFLALSQSRLSDYINNIFPMSNRGSIPSKDQISRLISRIQEEIEVVRTHGHLLLLVLREIGKILLLLAQRAEYQISTGPEARQVTGTVTPAQLKNFALCLHLHEVHTRISSILSTLPNVASEVLSPSLGVIYGVSCDSVTSLFQAMLDRLESCILQMHEQDFGAHGMDGAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSASRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGTPYRALRAFRPVLFLETSQLEKSPLLKDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSAVYPLMLQIGSALSQATL* >Brasy8G283500.1.p pacid=40081144 transcript=Brasy8G283500.1 locus=Brasy8G283500 ID=Brasy8G283500.1.v1.1 annot-version=v1.1 MEATVVSVGKAVLDGALGYARSKAAEEVALQLGVEGDVSFIADELEMMQSFLMTADEERGQHKVLATWVKQVRDVAYNVEDSLMDFALYSEKKASWWCSLHTMGDRRRIAKEVKELRAKVEDVSNRNLRYHLIKENSGSKPSAAGEQASIASTAMFGINEARLADLGKEESKADLWQLITSEEEELRVISVWGTSGDLGKTSAILEVYDDPKVLKKFGFCAWIRLMRPFNPQEFLRSLVRQFYENSNDEVGNWEKETTVGANVLAKMEKMDQSDLVQVFNAQLCSNSYLVVLNDLSTIEEWHCLKKYFPDNKKQSRIIVSTQQVEIASLCTEKPYKVSELKQLSCDQTIYLFHKKNSEERASMASASAEMLNINKQNKATIEEKLKAVHASCSAEPISDSNEVSNSEKNTAMPASEILEEDQEPNSESEDKVSNSTARKKFDRSRTLALVDEVICGRETEKSLVIKLIGQPDIEDNNQGCKVISVWGMGGLGKTTLVRSVYRSQQLGGWKRAWATALRPFNAVALLRDLVLQLQKSIQEDPAEATNKAQNKIISVMKLQEVKDELARLLKIQKCLVVLDDISSSSEWELIKGCLDNAGIVIVTTREKNIAKHCSREYKNMYSLQALKDEAALDLFIKKVFKDNSKKIDLLPSMVEQARLILKKCDGLPLAISTIGGFLSTKPKTTIEWRKMYDCISSELEINPELRTIKSVLMRSYDGLPYHLKSAFLYLSIFPEDHKIRWGRLVKRWIAEGYLRDMHGMTGKELGRRYFDELLDRSMILPGDEVNHYSGKINSCQLHDMIREICITKAREENLVFTLEEGFCLSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISGKMRFLRVLDLEDTIGLRDHHLDKIGQLHHLMYLSLRECTNIYCLPNSLGNLRHLQTLEVRGTRIFEVPETTTRLRKLQHLRTTDLSKGRSNVKGENYIAANYRERTTFVSSKFSRACLLLLYAGPVCLKPQVLDAGLNRLDIVNIYRFCMVSLAEGVVVGVEVPGGIGKLKALQTLGVVNIARGKGKSTVNELKELTQLRKLGVTGVSDKNSKEVWSAIASHNQLRSLSAQVVELEDELDGSWPRVCCHQASWRASSCTAS* >Brasy8G293500.1.p pacid=40081145 transcript=Brasy8G293500.1 locus=Brasy8G293500 ID=Brasy8G293500.1.v1.1 annot-version=v1.1 MRADDTWRRHLAEKAAVTEEIDALWDEFRADVAAQLAEKGYVEVDVDYFEERARSEAWVREQWATLDWSKFDVYKDGDELEGFMRDYEEGMVVDYGIQDAEPGEEEDM* >Brasy8G249400.1.p pacid=40081146 transcript=Brasy8G249400.1 locus=Brasy8G249400 ID=Brasy8G249400.1.v1.1 annot-version=v1.1 MARGVVRMEYIEDDSKRKTTMKRRLKSLVKKVSELSILCNVMALLVVYRPSERQPAAVWPPTAAETIEVAEEYRSLNSEKLKMPLDRVGFARQQVEKERTRLLNFQRANETRRIIFGLFFRYDGLNLQGLTEIPEFEGQLGNLTPHGVVDVQCKLQSTLRAVSDRLKDSGSTSAPPVAPQLPVVPLPLPPPPMALMEMDPPHNDADDASMGMIFDVLNAYDGAGNSGVLPTPEEVRDALVRTGILAPPPPNGDSSSNP* >Brasy8G164000.1.p pacid=40081147 transcript=Brasy8G164000.1 locus=Brasy8G164000 ID=Brasy8G164000.1.v1.1 annot-version=v1.1 MDAASPSKKAKTMAAAADSVAGTPQKLKKAGLFADQISTPEKPAQKLVAAEDLIWTPEKVESTRRARNGTVALSVKEVRRAALGLRRADKGPAEAAAEDPLESVERELGVGAGAGRSPVKRKPEVKLPESYEMLCEFFNCFESSTRLLRMKGSKATFPNICASIQNLSERRFTYSNLAQLKYIMPEAIVINKILLRDEKTCCMKPDLQVNLLVDAIEGSATQKGETRYSALRRIFRQRLVDFFRSHPEGDDIPEHELPHPFTQTKSSVAQFPPRVPQVAPAVPSPCHAEQQPVAMSHMSHSFKRRFSQRSSTSPATASGTSPLAKVEPTTAQSPLSRKSLLSSDSGGIDQEAQVRVKSGNNVALKFGVSEGTPSKFASTPVRLMAATPGLHTPKRPISATVCDTPPLMMAKRSARAKLFMTPTKNASSMEQVNQSTSTSAIDDDELLSFLPKSLLQSVKEKEKRVLEEKETGFADQVQRQKLIASLPSTFDIILLIYQSKQRSVMTKQELIHKIIASNPKIVDRGEVEEQLRLLQEFVPEWISEKTARTGDVLCCVDTELSQAEIRQRLYGVE* >Brasy8G220500.1.p pacid=40081148 transcript=Brasy8G220500.1 locus=Brasy8G220500 ID=Brasy8G220500.1.v1.1 annot-version=v1.1 MPRSKGAAARRRKAAAARKAAGPPAAAAAAAAVAQPDADAGRPCPSLSLDVLANIHDRLPFLDRLAFASVFGEICYDVFQPGPPCLLLPGRTPETARLFSLADRRATAGARVPDPAMRAHVVLGSNRGWVATADESGQIYLANPTTGAQHALPHIATMGVFVPETYYRCFSLVIKDFLAARYGAGPPFLHFWGPEGYGTFTHSAAQMRMWFYRKVVLSDSPRPGTYAAMLILEPSFGAPAFATADDPTWRLAQSPDGVEDAVFHQNGRFYSVSYTGLVEYWERDAETGAFRSAPAAPRLSLPAVDKGGPPRRKYLAVAPGGRLAVVLKYADATKEQSYDQGKWRCRFEVRVLGDGGAWEETTDIGDAALFVGANNSMCVPRKGRPGIMAGCVYYTDDEVGQAALRQDSRRSSYSYRDDESADLRGVRVFSLKRGSLKGLDLGPHYVSGSWPPPAWITPSIP* >Brasy8G264000.1.p pacid=40081149 transcript=Brasy8G264000.1 locus=Brasy8G264000 ID=Brasy8G264000.1.v1.1 annot-version=v1.1 MAIVRSSGGGGARVPRLDGGGGEEEEEEGGGSMEATEDEEEQGSPVTVSEESETAGFSGQEENGGEEQEELAAEEEEDDSGMGSDELEITQLGEPGAELCQVGDQSVAMPLELYDLAGLGGVLSLDVWNGLLSEEDRVRLAAFLPDMDQETFARTLVELLAGENFHFGSPLAALFDRLKGGLCDPRIVLYRRGARFAERRKHYYRLQSYHNSMVRGLWEIKDCWKSCGGCSLDERLRALDSLKARREQKDRGLNHRSGSETDSESRESAEQLLTRLKPDKMGLKKSGKLGKERSKGLLRLGGSKGLDDEYIGGAGRDAAVALSELSRQDNAYGYDSGVVSRGTLRMRGRDSEDMGHDRDLPRIRPQKPLLKPGKKKEFVMGYDCNPYGNNYRDNQTGSPYYHGRNANANQGVTLAASFEPPYAETARRVKYSERDWNYGGKGAQSKALKREEMDWPSHVDNLNDWQGGQSTGDYRSRKTQGGHGLKVKSYKSIEQQFNGAQFRSDSRGKISQGKSKGKSCSPYDRVGHKDSRSKAVYARSEETESDSSEQFEDGVDMNLVEQQPQLHHSEFQRPASGSKKSSKLGKAVKINYPAATADFEPYQNQSKGVHRGKVADPDYLRDVHVEVAEQISEVMRPSAARSERKRKGMANLDMRGHGTSELHDRNEKPDELFRSPESDRLVSRAGYAVQDTIGDFDGSERVTSKLVTCSSGSKKQKGRVEVTGLDEPGEYAPSGPNVAENSSSLKKKGKKKPDIITDAATVEEPAPVVPEINVVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVKKAMVTPTEDAPVAAMVTPTEDTPAAEKQPDGEESRKWFNNEEPSKTPQEPSATEQAQQGNEAGDTSAAEQTAPSNVPALTVQELVNRIRSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKSLVSYDKSNKSWFWVGPLPSGSSYSDPNEETSAEAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPNPANLDLKERFKDLRAQKSLNTISPSSEEAKAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPGRTGTRADVCTLLKDSQYLNHEESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSSDPAEPGAGNDDLEDDGTPSAKKQKSELDPTASGEDKDGGDHAIQDPSNGGLEGDPDLDVIPSTNDKEAPELDARPDVGSSRPSVDATAGSMADGNSARATEQNNNMSLPEDSISKDFQEGLDREGSKVFMDATLQ* >Brasy8G078000.1.p pacid=40081150 transcript=Brasy8G078000.1 locus=Brasy8G078000 ID=Brasy8G078000.1.v1.1 annot-version=v1.1 MNRDDHAAPDAEEEEVALAPVVLADEEILSDDQEAGSDGGEEEAESEEEEPNADDDEDESDDDDDVDVPLKDPCDDIRAFIAKTGTLRPLLRRCVILQGLLRDPAPRFASAGRIAGVLRVYTEEENLEDQGEDQQQRQILLLYRYARFLVSDGSAVQRRTAKLHELRFVVPDDGDAGDSLRRLVSDVRVPPRAARVYLRADVGILRRSHYTPRRMLQVYYELGGMKGQTWPGSAYHVAMELRLPEPVVLRRPCEDEGEDKEECPVCLEELESGLAKWPGCSQPHVFHGECLELSLKESEKCPICRRRLSAPVDG* >Brasy8G233000.1.p pacid=40081151 transcript=Brasy8G233000.1 locus=Brasy8G233000 ID=Brasy8G233000.1.v1.1 annot-version=v1.1 MQAGRSAMEATKEAAANVGASAYSGMEKTKATVQGQVEKATAHDASGKAAAEARRQERVRGAEEEKQNAMLANAAAKERASGAGTNYHPSQGAPGIAQGGRPAGGHVEDGVAESG* >Brasy8G152300.1.p pacid=40081152 transcript=Brasy8G152300.1 locus=Brasy8G152300 ID=Brasy8G152300.1.v1.1 annot-version=v1.1 MASARRPCTPATAFAPLPVRVQIPTAYAYLFAGLGGIRSAAPTSARSGPATVYPDPRAGSHKALRPSPAAWATTAAHPARIRCAAARIRNIQPESVEKGILLPPCGLPTPPSVRSGAADTLGRPADPASTRIRPASARIRPRRLLQPRRERRCLLPRLGVPTRHRSRGCRRARSRRRPGTPTRPPPRSPAAGKHRKEATSSPSPSATTSVAPDLSSRVPPPGHGQGSHRSSRPRSWRPSPSSAAAATSARP* >Brasy8G103200.1.p pacid=40081153 transcript=Brasy8G103200.1 locus=Brasy8G103200 ID=Brasy8G103200.1.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKVDFSPNMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNVISQLRQELDISRVSHERHIQELKTVALQENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEGEMGQHLADPELAGFGYADSEERLSDISDSGLSMGTETDGSVSSVVEFALFPEQKKISSTQKEQENAHNAPKDQLRKVATRIQKTTTPKTTPSSSLWPKSRDSSPRSPVSTSTRRSTIIQATSSPGISNTPKRWN* >Brasy8G103200.3.p pacid=40081154 transcript=Brasy8G103200.3 locus=Brasy8G103200 ID=Brasy8G103200.3.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNVISQLRQELDISRVSHERHIQELKTVALQENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEGEMGQHLADPELAGFGYADSEERLSDISDSGLSMGTETDGSVSSVVEFALFPEQKKISSTQKEQENAHNAPKDQLRKVATRIQKTTTPKTTPSSSLWPKSRDSSPRSPVSTSTRRSTIIQATSSPGISNTPKRWN* >Brasy8G103200.2.p pacid=40081155 transcript=Brasy8G103200.2 locus=Brasy8G103200 ID=Brasy8G103200.2.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNVISQLRQELDISRVSHERHIQELKTVALQENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEGEMGQHLADPELAGFGYADSEERLSDISDSGLSMGTETDGSVSSVVEFALFPEQKKISSTQKEQENAHNAPKDQLRKVATRIQKTTTPKTTPSSSLWPKSRDSSPRSPANYPW* >Brasy8G103200.7.p pacid=40081156 transcript=Brasy8G103200.7 locus=Brasy8G103200 ID=Brasy8G103200.7.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEGEMGQHLADPELAGFGYADSEERLSDISDSGLSMGTETDGSVSSVVEFALFPEQKKISSTQKEQENAHNAPKDQLRKVATRIQKTTTPKTTPSSSLWPKSRDSSPRSPVSTSTRRSTIIQATSSPGISNTPKRWN* >Brasy8G103200.4.p pacid=40081157 transcript=Brasy8G103200.4 locus=Brasy8G103200 ID=Brasy8G103200.4.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNVISQLRQELDISRVSHERHIQELKTVALQENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEDVRWPLESRRQQHQKQLHHLVCGPNQGILPLDLQCQQAREEAQSYKQLPHQESQILRSDGINSFDNFCFNWFCFLYSIFRKHLLA* >Brasy8G103200.8.p pacid=40081158 transcript=Brasy8G103200.8 locus=Brasy8G103200 ID=Brasy8G103200.8.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKMEKDKFEEKKRLVEEDLTKVIKDTEDVTRLTKDKEEMARLLNDKEDIIRLMKEKEEMVRLIKEKEHMVIMKKGKDENRKADEHIAKPIMYKDALIRLTKEKENNKYTITKLNLELEAMKSSYEESHSLLESKKEYVLKLLKDKENSGNENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEDVRWPLESRRQQHQKQLHHLVCGPNQGILPLDLQCQQAREEAQSYKQLPHQESQILRSDGINSFDNFCFNWFCFLYSIFRKHLLA* >Brasy8G103200.5.p pacid=40081159 transcript=Brasy8G103200.5 locus=Brasy8G103200 ID=Brasy8G103200.5.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEGEMGQHLADPELAGFGYADSEERLSDISDSGLSMGTETDGSVSSVVEFALFPEQKKISSTQKEQENAHNAPKDQLRKVATRIQKTTTPKTTPSSSLWPKSRDSSPRSPVSTSTRRSTIIQATSSPGISNTPKRWN* >Brasy8G103200.6.p pacid=40081160 transcript=Brasy8G103200.6 locus=Brasy8G103200 ID=Brasy8G103200.6.v1.1 annot-version=v1.1 MGLPSFTASDLETGSMSAVVACLLELRDQFVSRAGEGWISSLSENGSVNSMEFPIKENSKAREESKQMEMLLQMVSKSPAMSEPSSPISSISRHTGSNFHEVFQLRLGGYFDLPSSRISDMMKSTSLNNAPTQSLLSVVNGILDETIERKNGEIPYNLACLLRKIVLEIERRISTQAEHIRNQNNLMKAREEKYRSRIRVLEALASGTRGQNQIQIGADPADQMKENMEFEQRIKEVELMLEDSTKRGRYLEELLESRIQTWEQKETMLQRFVSLQIHNIQDLRLSSISIRNETKNCQKRWSEELTCLGKRLKVLTNTAEKYHATLEENRKLFNEVQELKGNIRVYCRIRPFLPKEAHKSSTIEFIGDNGELSLANPAKLGKEGSKLFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEIATEKELGVNFRALNDLFLISHNRRDTIMYEVNLQMIEIYNEQIHDLLGRNGSEKKIGILNASKLHGLAVPDATMRPVNSTADVIELMRTGLENRAVGATALNERSSRSHSVVTVHIQGVDLKSRATLHGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKTAHIPYRNSKLTQVLQSSLGGHAKTLMFVQINPEVSSFSETLSTLRFAERVSGVELGAAKANKEGKDIREFKEQLSLLKDKIAKKDEEINQLQTHSPRTRTPKHADSLLKHSSSSPGISSLGSKIRHRRTASSGKAMSIRAVSDADNFSEISDMHSEAGSMQSVDDMQQQRGIMVASKYSEDVRWPLESRRQQHQKQLHHLVCGPNQGILPLDLQCQQAREEAQSYKQLPHQESQILRSDGINSFDNFCFNWFCFLYSIFRKHLLA* >Brasy8G217200.1.p pacid=40081161 transcript=Brasy8G217200.1 locus=Brasy8G217200 ID=Brasy8G217200.1.v1.1 annot-version=v1.1 MSTTDGRVAPVADELPPAAADGGEVAAAEGSKSVGAAAVEVGDGGEVEEARFEGTGKSFGGSEGENGVVEAGDGEGVEAPVDAKDESAIEVAEEAAEDKMEGGDLESAVGDVKAASLAHETVVVESENGELGEGDASLSTPDAPVGEEKGELSDELERSATLEVEDVGKVPADAELSEEKPVGEKAADVAAEGGEDGDSGTRKEAMVSAGSTEAAEPEDKVAPLAEANGKLGGEAESSAEMVAVGDEEAPEASLEKELDVEDKAANPEPESDASPMAIDGGSFENHTNAVSKSKPENDESPVVIDDSSLENHANLEDEAAKTELEIAASPVVIDDSSLENHVNVEDKAAKPEPDFEASPMVTDGDGLGSLEKLAHPSGDIVYVESTEKAQNAEGQVVANEKADDIDGENPTEDQSVLAGGADVTLSRELTPEPIKGNNVVEENNGAAETVSHEVDEKVVAAASDVQKVIAAANDENLGDEEYEDDIETFDRDIHVVDDEIVLAAVGEDGGDNEADEDYDEASSDRSPARVAIIENSEAAKQIMKELGEGSSSGSPVSGLSSTREYTNSMDGQIVLDDSEDEDDDDDNDDDDEKGFDSAALAALLKAATGASPDGNITVASQDGSRIFSMDRPAGLGSSAPSLRPTAPRQPARSNLFNPSELAMTAEPNEEMTEEEKKLHEKVELIRVKFLRLVYKLGATPDETVAAQVLYRLSLAEGIRQGRQTNRAFSLENARRKALQLEAEGKEDLSFSCNILVLGKIGVGKSATINSIFGEERSKTDAFGAATTSVREISGNVDGVQIRIIDTPGLRPNVMDQGTNRKILASVKKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIVQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPSPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIELDEYSDIEQDEDEEEYDQLPPFKPLTKAQLARLRKDQKNAYFDEYDYRVKLLQKKQWKDELRRLKEMKRRGKSDLDAYGYASIAGDNDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEESLALLSKFPAAVAVQVTKDKKEFSIHLDSSVSAKHGEDASSLAGFDIQTVGRQLAYILRGETKFKSIKKNKTTGGFSVTFLGDIVASGLKVEDQLSVGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVIASIYRSLRPGEPTFAY* >Brasy8G217200.2.p pacid=40081162 transcript=Brasy8G217200.2 locus=Brasy8G217200 ID=Brasy8G217200.2.v1.1 annot-version=v1.1 MSTTDGRVAPVADELPPAAADGGEVAAAEGSKSVGAAAVEVGDGGEVEEARFEGTGKSFGGSEGENGVVEAGDGEGVEAPVDAKDESAIEVAEEAAEDKMEGGDLESAVGDVKAASLAHETVVVESENGELGEGDASLSTPDAPVGEEKGELSDELERSATLEVEDVGKVPADAELSEEKPVGEKAADVAAEGGEDGDSGTRKEAMVSAGSTEAAEPEDKVAPLAEANGKLGGEAESSAEMVAVGDEEAPEASLEKELDVEDKAANPEPESDASPMVIDDSSLENHANLEDEAAKTELEIAASPVVIDDSSLENHVNVEDKAAKPEPDFEASPMVTDGDGLGSLEKLAHPSGDIVYVESTEKAQNAEGQVVANEKADDIDGENPTEDQSVLAGGADVTLSRELTPEPIKGNNVVEENNGAAETVSHEVDEKVVAAASDVQKVIAAANDENLGDEEYEDDIETFDRDIHVVDDEIVLAAVGEDGGDNEADEDYDEASSDRSPARVAIIENSEAAKQIMKELGEGSSSGSPVSGLSSTREYTNSMDGQIVLDDSEDEDDDDDNDDDDEKGFDSAALAALLKAATGASPDGNITVASQDGSRIFSMDRPAGLGSSAPSLRPTAPRQPARSNLFNPSELAMTAEPNEEMTEEEKKLHEKVELIRVKFLRLVYKLGATPDETVAAQVLYRLSLAEGIRQGRQTNRAFSLENARRKALQLEAEGKEDLSFSCNILVLGKIGVGKSATINSIFGEERSKTDAFGAATTSVREISGNVDGVQIRIIDTPGLRPNVMDQGTNRKILASVKKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIVQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPSPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIELDEYSDIEQDEDEEEYDQLPPFKPLTKAQLARLRKDQKNAYFDEYDYRVKLLQKKQWKDELRRLKEMKRRGKSDLDAYGYASIAGDNDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEESLALLSKFPAAVAVQVTKDKKEFSIHLDSSVSAKHGEDASSLAGFDIQTVGRQLAYILRGETKFKSIKKNKTTGGFSVTFLGDIVASGLKVEDQLSVGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVIASIYRSLRPGEPTFAY* >Brasy8G020700.1.p pacid=40081163 transcript=Brasy8G020700.1 locus=Brasy8G020700 ID=Brasy8G020700.1.v1.1 annot-version=v1.1 MRDITARSPQDTLSFSRRHFKWPVLGKSRSSSHGGMSGDEYYMKSSEAEEEEEEEEEEGAMAFSSACPSFHSDGFLSPPPLPQKPPRAKADQAQPAPQKKQKQRKVKTAVARIRSALSAAVSGRRRQVGMGARLAGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTAALVREMASGLVRIALECERGKPKGGAGTGDEGGRRLVEETVWRAYVNGRSCGFAARRECGAADWRVLRALEPVSMGAGVIPAASGGGIEGDVMYMRARFERVVGSRDSEAFYMMNPDSGGGGGNNGGPELSVYLLRV* >Brasy8G012800.1.p pacid=40081164 transcript=Brasy8G012800.1 locus=Brasy8G012800 ID=Brasy8G012800.1.v1.1 annot-version=v1.1 MAAAAGHVHTGGSPFLVSPSSGHAATPSSSISIVSSGSGKKKPETGDAGAAFVLESKGTWLHAGFHLTTAMVGPTVLTLPYALRGMGWALGLSALTAVAAVTFYTYFLMSRVLDRCEAQGRRHIRFRELAADVLGSGWVFYMVVTVQTLINAGITIGSILLAGNCLQIMYSSLAPNGSLKLYHFIIAVAVVLSCLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGVSSDAPAKDYSLSASGSERAFDAFLSISILATVFGNGILPEIQATLAPPAAGKMVKALVMCYAVAFFTFYLPAITGYWAFGSKVQSNALQSLMPDVGPPLAPTWLLGLAVVLVLLQLLAIGLVYSQVAYEIMEKGAADAARGRFSCRNLAPRVALRTGYVAACALVAAALPFFGDVVGVVGAVGFIPLDFVLPVVMYNVVFSPPRRSPVYLVNVVVMVVFVGVGVVGAFASVRKLVLDAGQFKLFSDHVVD* >Brasy8G290100.1.p pacid=40081165 transcript=Brasy8G290100.1 locus=Brasy8G290100 ID=Brasy8G290100.1.v1.1 annot-version=v1.1 MAELVASMVVGPLVSMVKAKASSYLLDQYDVMDGMEEQHKILKRKLPSILDVITDAEEQAVDREGAKAWLEELKMVAYEANELFDEFKYEALRREARKKGHYSKLGFDAVKLFPSHNRIMFRYKMSRRLRRVVQSIEVLVTEMNAFGFKYQRQALLSKGWRQTDSYIFDPVNIAGRSREQDKKNIVDILLGQASNPDLTVVPIVAMGGLGKTTLAQLVYNEPDIQKHFQLLIWVCVSDNFEVDSLAKSIVEAAPKKKGHTEAAQMKKDDTEAVSKKMPLHRLQAVVSGQRYLLVLDDVWNRDSDRWESLKACLQHGGMGSAVLTTTRDEQAAKIMGTVKSYNLTSLKNTFIKEIIKTRAFCLHEEKPELVDMVDEIVNRCVGSPLAATALGSVLSTKTSVEEWKAVSSRSSICTEESGILPILKLSYDDLPAYMKQCFAFCAVFPKDYEIDVDKLIRLWIANGFIQEQKHVRLETIGKQVFNELASRSFFQDVKQTRTRSTCKIHDLMHDVAMSTMDKECAVITEKPSQSDWLPNTARHLFLSCEKPETILNDSLKKRCPAIQTLLCDSDMESSLQHLSKYSSVQALQLSLDSKPFPLKSKHLHHLRYLDLSGSDIEALPEDISILYNLQTLDLSYCFNLDRLPKQMKYMTALRHIYTHGCGNLKSMPPDIGQLTSLYTLTWFIVGIGSDCSSVGELQHLNIGGQLELRQLENVLEADARVANLRKMKELRELILRWTSVSEDEQRHCFKVLEGLEPHAGLQALSIYSYGGTIFPKWMGMLQNTVEIHLSHCRKLQCLVSRGTSFTFPKLKELTLEFLPDFEKWLEINERQGEQIIFPQLEKLYIYCCEKLTALPAPQLLCEPCGEFTIPGSAFPALKILELKDLKSFQRWEATEGTQGKYIMFPQLEELTIQKCPVLKALPDATLSQEPCGDYIMARSAFPTLKVLKLENLMSFQRWEAVQGTQEVQTMFPQLEKLSVQYCPELIALPKASLLEKLCGGGNEITGQSEIEEIEEVQLDCLKSYQTWEVIEGTYSEQLTFPMSDSAFPALKVLELKGLKNFRRWAAAEGTQGKYIMFPQLEELSIQECPVLEALPEAALIGEPCGDYIMARSAFPILKVLKLDDLMSFQRWEAAERTQGKYTMFPQLEELSVQDCPVLKALPDATLSREPCGDYIMARSAFPTLKVLRLENLMSFQRWEAVQGTQGVQTMFPRLEKLSVRYCPELIALPKAPLLGKLSGGNEITGRSAFPELKELQLDCLDRFNSWEVMEGTYSEQLTFPNLESFHIRDCPELTTLPEAPKLNALQIERGKQEVSKWIARYTSLNSLTLWLEETETNENSSIELMDSMEKCNQKFPLIALNLFHCNIFFHAGATVLWKFFAQLRDLEICSCDALVHWPDFQSLVYLRRLKIMKCNGLTGYAEPTRRQNPPHLESLEIKECASLVELFNVPASIKEMNFEECPKLEFESIFRKQQCKSALVEGICSEGIASSAVSELSSSEAVHFLPCIEFLRIHMCASLAGVLNLPPSLKQIKIGYCRNIQVLSCQLDRFQTSVADPSAEPTEHFLPACLVSLEINWCYSLTELLNIPPSLKKLRISGCSYLQFISGQLDALKKIDIAFCCCLKSLVSQSGALPSLKQLDLLDCQILASIPNGPLSYSSLKYLAIEDCPSLKKLPKCLQQKLGSLESKDLDAHHEVMLMKPKTWKYAICRGQ* >Brasy8G290100.3.p pacid=40081166 transcript=Brasy8G290100.3 locus=Brasy8G290100 ID=Brasy8G290100.3.v1.1 annot-version=v1.1 MAELVASMVVGPLVSMVKAKASSYLLDQYDVMDGMEEQHKILKRKLPSILDVITDAEEQAVDREGAKAWLEELKMVAYEANELFDEFKYEALRREARKKGHYSKLGFDAVKLFPSHNRIMFRYKMSRRLRRVVQSIEVLVTEMNAFGFKYQRQALLSKGWRQTDSYIFDPVNIAGRSREQDKKNIVDILLGQASNPDLTVVPIVAMGGLGKTTLAQLVYNEPDIQKHFQLLIWVCVSDNFEVDSLAKSIVEAAPKKKGHTEAAQMKKDDTEAVSKKMPLHRLQAVVSGQRYLLVLDDVWNRDSDRWESLKACLQHGGMGSAVLTTTRDEQAAKIMGTVKSYNLTSLKNTFIKEIIKTRAFCLHEEKPELVDMVDEIVNRCVGSPLAATALGSVLSTKTSVEEWKAVSSRSSICTEESGILPILKLSYDDLPAYMKQCFAFCAVFPKDYEIDVDKLIRLWIANGFIQEQKHVRLETIGKQVFNELASRSFFQDVKQTRTRSTCKIHDLMHDVAMSTMDKECAVITEKPSQSDWLPNTARHLFLSCEKPETILNDSLKKRCPAIQTLLCDSDMESSLQHLSKYSSVQALQLSLDSKPFPLKSKHLHHLRYLDLSGSDIEALPEDISILYNLQTLDLSYCFNLDRLPKQMKYMTALRHIYTHGCGNLKSMPPDIGQLTSLYTLTWFIVGIGSDCSSVGELQHLNIGGQLELRQLENVLEADARVANLRKMKELRELILRWTSVSEDEQRHCFKVLEGLEPHAGLQALSIYSYGGTIFPKWMGMLQNTVEIHLSHCRKLQCLVSRGTSFTFPKLKELTLEFLPDFEKWLEINERQGEQIIFPQLEKLYIYCCEKLTALPAPQLLCEPCGEFTIPGSAFPALKILELKDLKSFQRWEATEGTQGKYIMFPQLEELTIQKCPVLKALPDATLSQEPCGDYIMARSAFPTLKVLKLENLMSFQRWEAVQGTQEVQTMFPQLEKLSVQYCPELIALPKASLLEKLCGGGNEITGQSEIEEIEEVQLDCLKSYQTWEVIEGTYSEQLTFPMSDSAFPALKVLELKGLKNFRRWAAAEGTQGKYIMFPQLEELSIQECPVLEALPEAALIGEPCGDYIMARSAFPILKVLKLDDLMSFQRWEAAERTQGKYTMFPQLEELSVQDCPVLKALPDATLSREPCGDYIMARSAFPTLKVLRLENLMSFQRWEAVQGTQGVQTMFPRLEKLSVRYCPELIALPKAPLLGKLSGGNEITGRSAFPELKELQLDCLDRFNSWEVMEGTYSEQLTFPNLESFHIRDCPELTTLPEAPKLNALQIERGKQEVSKWIARYTSLNSLTLWLEETETNENSSIELMDSMEKCNQKFPLIALNLFHCNIFFHAGATVLWKFFAQLRDLEICSCDALVHWPDFQSLVYLRRLKIMKCNGLTGYAEPTRRQNPPHLESLEIKECASLVELFNVPASIKEMNFEECPKLEFESIFRKQQCKSALVEGICSEGIASSAVSELSSSEAVHFLPCIEFLRIHMCASLAGVLNLPPSLKQIKIGYCRNIQVLSCQLDRFQTSVADPSAEPTEHFLPACLVSLEINWCYSLTELLNIPPSLKKLRISGCSYLQFISGQLDALKKIDIAFCCCLKSLVSQSGALPSLKQLDLLDCQILASIPNGPLSYSSLKYLAIEDCPSLKKLPKCLQQKLGSLESKDLDAHHEVMLMKPKTWKYAICRGQ* >Brasy8G290100.2.p pacid=40081167 transcript=Brasy8G290100.2 locus=Brasy8G290100 ID=Brasy8G290100.2.v1.1 annot-version=v1.1 MAELVASMVVGPLVSMVKAKASSYLLDQYDVMDGMEEQHKILKRKLPSILDVITDAEEQAVDREGAKAWLEELKMVAYEANELFDEFKYEALRREARKKGHYSKLGFDASIEVLVTEMNAFGFKYQRQALLSKGWRQTDSYIFDPVNIAGRSREQDKKNIVDILLGQASNPDLTVVPIVAMGGLGKTTLAQLVYNEPDIQKHFQLLIWVCVSDNFEVDSLAKSIVEAAPKKKGHTEAAQMKKDDTEAVSKKMPLHRLQAVVSGQRYLLVLDDVWNRDSDRWESLKACLQHGGMGSAVLTTTRDEQAAKIMGTVKSYNLTSLKNTFIKEIIKTRAFCLHEEKPELVDMVDEIVNRCVGSPLAATALGSVLSTKTSVEEWKAVSSRSSICTEESGILPILKLSYDDLPAYMKQCFAFCAVFPKDYEIDVDKLIRLWIANGFIQEQKHVRLETIGKQVFNELASRSFFQDVKQTRTRSTCKIHDLMHDVAMSTMDKECAVITEKPSQSDWLPNTARHLFLSCEKPETILNDSLKKRCPAIQTLLCDSDMESSLQHLSKYSSVQALQLSLDSKPFPLKSKHLHHLRYLDLSGSDIEALPEDISILYNLQTLDLSYCFNLDRLPKQMKYMTALRHIYTHGCGNLKSMPPDIGQLTSLYTLTWFIVGIGSDCSSVGELQHLNIGGQLELRQLENVLEADARVANLRKMKELRELILRWTSVSEDEQRHCFKVLEGLEPHAGLQALSIYSYGGTIFPKWMGMLQNTVEIHLSHCRKLQCLVSRGTSFTFPKLKELTLEFLPDFEKWLEINERQGEQIIFPQLEKLYIYCCEKLTALPAPQLLCEPCGEFTIPGSAFPALKILELKDLKSFQRWEATEGTQGKYIMFPQLEELTIQKCPVLKALPDATLSQEPCGDYIMARSAFPTLKVLKLENLMSFQRWEAVQGTQEVQTMFPQLEKLSVQYCPELIALPKASLLEKLCGGGNEITGQSEIEEIEEVQLDCLKSYQTWEVIEGTYSEQLTFPMSDSAFPALKVLELKGLKNFRRWAAAEGTQGKYIMFPQLEELSIQECPVLEALPEAALIGEPCGDYIMARSAFPILKVLKLDDLMSFQRWEAAERTQGKYTMFPQLEELSVQDCPVLKALPDATLSREPCGDYIMARSAFPTLKVLRLENLMSFQRWEAVQGTQGVQTMFPRLEKLSVRYCPELIALPKAPLLGKLSGGNEITGRSAFPELKELQLDCLDRFNSWEVMEGTYSEQLTFPNLESFHIRDCPELTTLPEAPKLNALQIERGKQEVSKWIARYTSLNSLTLWLEETETNENSSIELMDSMEKCNQKFPLIALNLFHCNIFFHAGATVLWKFFAQLRDLEICSCDALVHWPDFQSLVYLRRLKIMKCNGLTGYAEPTRRQNPPHLESLEIKECASLVELFNVPASIKEMNFEECPKLEFESIFRKQQCKSALVEGICSEGIASSAVSELSSSEAVHFLPCIEFLRIHMCASLAGVLNLPPSLKQIKIGYCRNIQVLSCQLDRFQTSVADPSAEPTEHFLPACLVSLEINWCYSLTELLNIPPSLKKLRISGCSYLQFISGQLDALKKIDIAFCCCLKSLVSQSGALPSLKQLDLLDCQILASIPNGPLSYSSLKYLAIEDCPSLKKLPKCLQQKLGSLESKDLDAHHEVMLMKPKTWKYAICRGQ* >Brasy8G196900.1.p pacid=40081168 transcript=Brasy8G196900.1 locus=Brasy8G196900 ID=Brasy8G196900.1.v1.1 annot-version=v1.1 MPQYDDRYDRYGDRYDRYSDRYDRYDRYGDNTKLYVGRLSTRTRTQDVEYLFGRYGRVRNVELKHDYGFVEFSDPRDADDARYELDGRDFDGSRIIVEFAKGTPRGPGGSFNYVGRSLPPGAGRCFNCGIEGHWARDCKAGNWKNKCYRCGEMGHIEKNCQNSPKNLKRGGRYSRSPSPQHGRSRSRSYSRGHKGYSQSPSPRRDVLEEMPSPGRDDRGAGLKERRPRSSSYSPRRSASPSGYNRSPTSNGMSHPQRKQAEGNGSYHSARGDSQSPAHDRRPATNGRSPSP* >Brasy8G270800.1.p pacid=40081169 transcript=Brasy8G270800.1 locus=Brasy8G270800 ID=Brasy8G270800.1.v1.1 annot-version=v1.1 MHNALPFHFAHHFLIPFEQDKLIRMLLLIRKRVEASGSGITCSVARGREPDASRNTFFFLYRLNCL* >Brasy8G071500.1.p pacid=40081170 transcript=Brasy8G071500.1 locus=Brasy8G071500 ID=Brasy8G071500.1.v1.1 annot-version=v1.1 MSNNKILTRDNLGKRRHVEDPSCLFCNEGETVNHLFFDCVVARNIWGLVADILGITIGADFESVGRWWISNTKHAAHNVVSSSVLWAIWTHRNAICFQGKSWRSTLNIWDMASASCRRWSLLCRKESLALLENFFRAMEHRRSELLRIAWY* >Brasy8G297500.1.p pacid=40081171 transcript=Brasy8G297500.1 locus=Brasy8G297500 ID=Brasy8G297500.1.v1.1 annot-version=v1.1 MQAGARRGAAAAAAAAASFHSTAAALSKSTPHIRFNVREKRSDAKSALKKILLNGGPCQERGNKQTRQQKGGGKSKVCSGSGNNPHSKSKCWQDWRNFDEDDCSDTPSGSYGGKTSFTWYWPGENDDDLGNSSGFQWREEPRSTKSRERVWNESDVDEEEESCGDDLRSYRITLGLPALGPLKLDHIKSAFRTSALKWHPDKHQGSSQPQAEEKFRRCVEAYNALAGAFKSSS* >Brasy8G100100.1.p pacid=40081172 transcript=Brasy8G100100.1 locus=Brasy8G100100 ID=Brasy8G100100.1.v1.1 annot-version=v1.1 MAMPRATAAICRPRRAGAAYLQLPVARSMASWFGHVEAAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLQCVREAERRIAGNMNMEYLPMGGSANMIEESLRLAYGEDSEFIKDKRITAVQTLSGTGACRLFADFQKRFLPDSHIYIPTPTWANHHNIWRDAQVPQRTFAYYHPESRGLDFAGLMNDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISYQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLENGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPLHGAVIVSTILGDPALKSLWLKEVKVMADRIIGMRNSLKESLEKLGSPLSWEHITNQIGMFCYSGMIPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVTYLANAIHEVTKSN* >Brasy8G146800.1.p pacid=40081173 transcript=Brasy8G146800.1 locus=Brasy8G146800 ID=Brasy8G146800.1.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTGGAMINDNMLMLAWEVWGTLPPILTELLLACVLF* >Brasy8G146800.8.p pacid=40081174 transcript=Brasy8G146800.8 locus=Brasy8G146800 ID=Brasy8G146800.8.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTGGAVTTWMVW* >Brasy8G146800.5.p pacid=40081175 transcript=Brasy8G146800.5 locus=Brasy8G146800 ID=Brasy8G146800.5.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTGNYLDGLVK* >Brasy8G146800.4.p pacid=40081176 transcript=Brasy8G146800.4 locus=Brasy8G146800 ID=Brasy8G146800.4.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTGFWAYI* >Brasy8G146800.2.p pacid=40081177 transcript=Brasy8G146800.2 locus=Brasy8G146800 ID=Brasy8G146800.2.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTDDK* >Brasy8G146800.3.p pacid=40081178 transcript=Brasy8G146800.3 locus=Brasy8G146800 ID=Brasy8G146800.3.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVCDFSLSGMKNNTFLSSRSTDDK* >Brasy8G146800.9.p pacid=40081179 transcript=Brasy8G146800.9 locus=Brasy8G146800 ID=Brasy8G146800.9.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVCDFSLSGMKNNTFLSSRSTGNYLDGLVK* >Brasy8G146800.10.p pacid=40081180 transcript=Brasy8G146800.10 locus=Brasy8G146800 ID=Brasy8G146800.10.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVCDFSLSGMKNNTFLSSRSTGFWAYI* >Brasy8G146800.6.p pacid=40081181 transcript=Brasy8G146800.6 locus=Brasy8G146800 ID=Brasy8G146800.6.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVCDFSLSGMKNNTFLSSRSTDDK* >Brasy8G146800.7.p pacid=40081182 transcript=Brasy8G146800.7 locus=Brasy8G146800 ID=Brasy8G146800.7.v1.1 annot-version=v1.1 MVMLGAVRRAPHPTLRRCPHAPRTSCLPRPLPIQIKHERLFPFAARRGVAALLPPPSRATLPPPPRLPARRRDSDMGRVTSTIAARFAFFPPTLPSYTVVADAATGRLLIPEISRVPARRRRRYGGGDSSSGAAQEEDGMEVARLRTRRRNEIVVVYVQNARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVCDFSLSGMKNNTFLSSRSTDDK* >Brasy8G236600.1.p pacid=40081183 transcript=Brasy8G236600.1 locus=Brasy8G236600 ID=Brasy8G236600.1.v1.1 annot-version=v1.1 MLNMIQLVHLLFRLNLNLLCCRFNSIKYFAEYILLTLLFPWIYLDFRYPSLRHAWAGVVHLHMNPTHHTV* >Brasy8G078300.1.p pacid=40081184 transcript=Brasy8G078300.1 locus=Brasy8G078300 ID=Brasy8G078300.1.v1.1 annot-version=v1.1 MPPNNFRQVYFTSFVKKKLSNYFPILSPNLAAPRIAPKIPVPSRAIGIPPQQPAWSLPTRQRTTRYLLEGRPCSCVARSPASRRYSTTGAETSGQASEAARRGIARSAAAAGLVEEREGELRREAARARGGQDSGKMARWRTRHAVASDGTRWQIGKGRRRDSGEDRAERQRRGEEGVYPRREAGGERRVAGGDRDGVSAPGGGGAVDCGGKRVDWSWEEESPVWRASA* >Brasy8G152100.1.p pacid=40081185 transcript=Brasy8G152100.1 locus=Brasy8G152100 ID=Brasy8G152100.1.v1.1 annot-version=v1.1 MGGSSSSRRRRDDYYPAPPLHHYSSYPPPPPPPPHHHHHHHPPPPPPPHHHHHGPPPPPPPHHGPSPSAAGYYYHHHHPPPPHAYHGPWHPAPQPPQPQPPALMGPPPEFVGHQQALKVKNDINLRKDTIRLVPDANDPDRRLVSFTFDAVTDGSLTIYYFAKEGKDCGFSSVYPDLQTPTKIPFQKGLDQKYVQPSGSGIDLGFFSLDELSDTTGEVFPLVVYAEACPSQEEGDDPVKSTRAQITLAVIEKHNNDLQVKVVKQILWIAGVRYELKEIFGIVNSTEADVPDADDDGMGKECVICLTEPRDTAVFPCRHLCMCSECAQALRLQSNKCPICRQPVEKLIEIKVRSSEP* >Brasy8G090300.1.p pacid=40081186 transcript=Brasy8G090300.1 locus=Brasy8G090300 ID=Brasy8G090300.1.v1.1 annot-version=v1.1 MSGSLNSNISGDSTGRPFASTFSGQSGSFPGFHHSGLHNIHGNLNLASVAPRNSSMTGSPSPGVQQPGGSISGGRFPSNNLPASMSQIPHGHSGISNRGASSVLGNLGPRITNSVGNIVGGSSIGRSISSAGLSMPGIAPRMNLSGNSGSGSVNIPGSNRMSSIHQASPQFMNMLGGSYTTPGGTLSQNQVQAGNSSLGSSGMLHDGNSGDNSPFDINDFPQLTGRPNSAGGGQGQYGSLRKQGVSVNTIVQQNQEFSIQNEDFPALPGYKGSSSDYGMDLHHKDHLHENANMMQSQNYPMGKSSGFNLGGSYPPRQQQQNANSVQNGLDNIGLRPTNSPSPSSNSGSYEQLIQQYNQPETQSSIRLQTLSGPQSYKDQSLKSVQGTQPAPDPYTLLGLLNVIKMKEPGPTSLALGIDLTTLGLSLNSPDNLYKTFGSPWSNEPAKGEPDFQIPACFSAEQPPALQPLNFTKFHPMTLFYIFYSMPKDVAQLYAANELYNKGWLYHREYRVWLTRTPNVAPLVKTASYERGSYICFDPNIWDTIQKDNFVLQYESVEKRPVLPTSSQSVRL* >Brasy8G090300.2.p pacid=40081187 transcript=Brasy8G090300.2 locus=Brasy8G090300 ID=Brasy8G090300.2.v1.1 annot-version=v1.1 MTGSPSPGVQQPGGSISGGRFPSNNLPASMSQIPHGHSGISNRGASSVLGNLGPRITNSVGNIVGGSSIGRSISSAGLSMPGIAPRMNLSGNSGSGSVNIPGSNRMSSIHQASPQFMNMLGGSYTTPGGTLSQNQVQAGNSSLGSSGMLHDGNSGDNSPFDINDFPQLTGRPNSAGGGQGQYGSLRKQGVSVNTIVQQNQEFSIQNEDFPALPGYKGSSSDYGMDLHHKDHLHENANMMQSQNYPMGKSSGFNLGGSYPPRQQQQNANSVQNGLDNIGLRPTNSPSPSSNSGSYEQLIQQYNQPETQSSIRLQTLSGPQSYKDQSLKSVQGTQPAPDPYTLLGLLNVIKMKEPGPTSLALGIDLTTLGLSLNSPDNLYKTFGSPWSNEPAKGEPDFQIPACFSAEQPPALQPLNFTKFHPMTLFYIFYSMPKDVAQLYAANELYNKGWLYHREYRVWLTRTPNVAPLVKTASYERGSYICFDPNIWDTIQKDNFVLQYESVEKRPVLPTSSQSVRL* >Brasy8G225500.1.p pacid=40081188 transcript=Brasy8G225500.1 locus=Brasy8G225500 ID=Brasy8G225500.1.v1.1 annot-version=v1.1 MGGAASRLAAPIKQRRVEKDLDNKVTEALRERARARKKTFKSVNSITMRLPRFKDGLRDIRDVFDHYDVDSNGTIDNEELRSCMSKLQVQMSEKEVDDVHRYCDVDSRKGIQFQEFVVLLCLMYLLFGPGVTRQVSEFESAKLNYVFDELIDAFLFFNKDGDGKMRRKDVTQRMNEASHQERTPSHVTTQLFKEMDLNKNGSVNLKEFLFSMIRWAGIETEDEGSNETSP* >Brasy8G225500.2.p pacid=40081189 transcript=Brasy8G225500.2 locus=Brasy8G225500 ID=Brasy8G225500.2.v1.1 annot-version=v1.1 MGGAASRLAAPIKQRRVEKDLDNKVTEALRERARARKKTFKSVNSITMRLPRFKDGLRDIRDVFDHYDVDSNGTIDNEELRSCMSKLQVQMSEKEVDDVHRYCDVDSRKGIQFQEFVVLLCLMYLLFGPGVTRQVSEFESAKLNYVFDELIDAFLFFNKDGDGKMRRKDVTQRMNEASHQERTPSHVTTQLFNGPEQEWQREPEGIPLLHDQVGRDRNRG* >Brasy8G069100.1.p pacid=40081190 transcript=Brasy8G069100.1 locus=Brasy8G069100 ID=Brasy8G069100.1.v1.1 annot-version=v1.1 MAATPPSSRDPSPQRPRPSSAAGHGNGKRGGLLLGRYELGRVLGHGTFAKVYHARHADTGETVAIKVLDKEKALRRGLVPQIKREITILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLTSLLNRLLDTNPETRITVAQVMESRWFQKGFRPVRFYVEDDQLHSVVDGDNDELGLEPTEPPPPPPLPPPPQQEEDESGWESDSSVASCPATLSSEERQRPAGRLTRPASLNAFDIISFSKGFDLSGLFEERGNEMRFISAEPMQTIISKLEEIAKVKSFSIRRKDWRVSLEGTREGEKGPLTIGAEIFELTPSLLVVEVKKKAGDKEEYDDFCNRELKPGMEDLVHHHTGSVPNLPPHTG* >Brasy8G213100.1.p pacid=40081191 transcript=Brasy8G213100.1 locus=Brasy8G213100 ID=Brasy8G213100.1.v1.1 annot-version=v1.1 MKTMTSGHYPLALALAAALLALAAVAAASEDHAADRIARLPGQPAVDFDMYSGYITVDKAAGRSLFYLLQEAPEEAQPAPLVLWLNGGPGCSSIAYGASEELGAFRIRPDGASLVLNQYRWNKVANILFLDSPAGVGFSYTNTSSDLYTSGDNRTAHDSYTFLVNWFEKFPHYKYRDFYIAGESYAGHYVPQLSQLVHQKNKGMNKPIINFKGFMVGNGLIDDYHDNMGTFEFWWNHGLISNNTYRLLKASCLHDSIIHPSPACVAAQDTATAEQGNIDMYSLYTPVCNQTASVSRPRPKGRYPRMSGSYDPCTERYSTVYYNRPKVQRALHANVTGINYTWATCSDTINNHWGDAPRSMLPIYKELIQAGLRIWVFSGDTDAVVPLTATRYSIDALDLPTTIGWYPWSDNKEVGGWSQVYKGLTLVTIRGAGHEVPLHRPRQALIMFQNFLQGMPLPRQASNGTMA* >Brasy8G039300.1.p pacid=40081192 transcript=Brasy8G039300.1 locus=Brasy8G039300 ID=Brasy8G039300.1.v1.1 annot-version=v1.1 MNWAGLQPTKPNSRFIALSCLAHQKKKLRCGSSPLESGPLPPLSAAGPHFSRRAPLALRPFQCDASETVALMVSVAVSLVPVALLPLGSAARRMRGGAAIN* >Brasy8G231800.1.p pacid=40081193 transcript=Brasy8G231800.1 locus=Brasy8G231800 ID=Brasy8G231800.1.v1.1 annot-version=v1.1 MLPRAPARVSSGRASSPPPSLASAPLLLLLHLLRRPTSPASSSKPSQPLPAIAAARTHLRLLLRAPAARANLNKFRILQNWL* >Brasy8G245600.1.p pacid=40081194 transcript=Brasy8G245600.1 locus=Brasy8G245600 ID=Brasy8G245600.1.v1.1 annot-version=v1.1 MDIDSVECLSLPDASMDVDDVDSHHNHHHHHGIPLHAVHLAASGAAGGRAFPKVNAGGAPAAAGGAGAIVGAAGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQPNPDGTCIPNLCS* >Brasy8G215300.1.p pacid=40081195 transcript=Brasy8G215300.1 locus=Brasy8G215300 ID=Brasy8G215300.1.v1.1 annot-version=v1.1 MVAAAGGRQKMGHEKLIIRREKVRLIDILSMLILRRPLTSYAFVDASDQTARDLGDAPAGFLFALGQFIVYLLGKAYYPVMLIGAALEFLFNLVALNGGLLGIVWNTFRCRLVIPNREAPNYRTMTKMIDGRTELRPTSPGLAADGGLSGLNALNASLFGKAADHDFESGGGHDAAVQAPLVLQQFSILELTVMAAEMAYENAARVEDVVKNSWKFNFVGFYNGWNKYLNDDYWHTDTTQAFVMTDTAEDANILLLAFRGTEILNTRDWMTDFDVSLKGMGDMGTVHLGFLKALGLQDEHATDAFHAFPREAPPAPEGKHFSYYQLREVLRDLLAKHPKAEIVVTGHSLGGALAVIFPALLAMHEEKDILDRLAVVVTYGQPRVGDDKFTEFFQAKVVKATGAQYGRVVYRYDIVPRVPFDAPPLSMFKHGGECVYFNGWYDGKVLAGDAPNPNYVNPLYLLSKYGNALGDLVKAAFVWKTAGSEYRESFASLLYRCVGLIIPGVASHSLRDYTNAVRLGGGASSKQV* >Brasy8G215300.2.p pacid=40081196 transcript=Brasy8G215300.2 locus=Brasy8G215300 ID=Brasy8G215300.2.v1.1 annot-version=v1.1 MVAAAGGRQKMGHEKLIIRREKVRLIDILSMLILRRPLTSYAFVDASDQTARDLGDAPAGFLFALGQFIVYLLGKAYYPVMLIGAALEFLFNLVALNGGLLGIVWNTFRCRLVIPNREAPNYRTMTKMIDGRTELRPTSPGLAADGGLSGLNALNASLFGKAADHDFESGGGHDAAVQAPLVLQQFSILELTVMAAEMAYENAARVEDVVKNSWKFNFVGFYNGWNNTTQAFVMTDTAEDANILLLAFRGTEILNTRDWMTDFDVSLKGMGDMGTVHLGFLKALGLQDEHATDAFHAFPREAPPAPEGKHFSYYQLREVLRDLLAKHPKAEIVVTGHSLGGALAVIFPALLAMHEEKDILDRLAVVVTYGQPRVGDDKFTEFFQAKVVKATGAQYGRVVYRYDIVPRVPFDAPPLSMFKHGGECVYFNGWYDGKVLAGDAPNPNYVNPLYLLSKYGNALGDLVKAAFVWKTAGSEYRESFASLLYRCVGLIIPGVASHSLRDYTNAVRLGGGASSKQV* >Brasy8G113000.1.p pacid=40081197 transcript=Brasy8G113000.1 locus=Brasy8G113000 ID=Brasy8G113000.1.v1.1 annot-version=v1.1 MRIRLGARRDPAGRGAPAGWRRRRRSSRGAWCGAGRGAGRSQGGRAGGTWRRTMGLSRRVAGEGGSGWLARGGSRWLEEAAPAEAWLAAAADGESGEEEERPNGIGNLGSGLDGNG* >Brasy8G205100.1.p pacid=40081198 transcript=Brasy8G205100.1 locus=Brasy8G205100 ID=Brasy8G205100.1.v1.1 annot-version=v1.1 MGTMVEGCVGPSELHLRKELTALRKARFLQDPETCSTWRSPLSSRSLVATSNIIHGSGVGGSLASKHTESSSAQPKSEKKCKKVYLYNWRQYSNKSSESGIKLDEELPAEFKETPCNSNGVRSKRDTCLDIPTSIYSNQSSTSCTPVKRVARRRRGLSSQKGTIRNPATSELLDLHIKSGNRTEDTENCNSKSQELFREGGLFSHPASPLFAACGCVSSSNPSKLLKMDRRDGSSFSCTPVSTSSYYRHGRGNNSTVGSWTATSFDGDESNQSALWRRQRSRVPCNSSKRGKHKGSRGSNYSPSLSDTLRRKGSSLLCGSHTLRSKKRSSALTKWVHAKKSAQGMPLLGDSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGIEIAVHAADQAMSDKRSLSQKYRPRIFSEIVGQDIVVQSLSNSIIRERIAPAYLLQGPRGTGKTSVARIFSAALSCAATGDNKPCGTCKECTEFFSGNGINLIEVDASNRKSINRIKHLLENIPQSATSSRYKVFVVDECHMVSSKAWSAFVKFLDEQLPRVVFIFITIDPNNLPRAVISRCQKYIFSKIKDIDIVCRLRKICMKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRQLMDSGIDPIALMSQLAGLIMDIIAGTYKLADSTCNGVGIGGRSLTAGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHSSDMNQPRNSTGEHPKSANDAVTEAGRESSSSRTASNSLSAFGVSKKTLDPKISSGQSSPQALSSYSSRPRLNGISAYGECRSVDRIRQDYTEMNICSDQRALVTGNSDNLAQIWMRCIENCHSKILQQLLFDHGKLVSTRQCEGRTIAFIAFEDRGIMSRVQRFLSSITNSMETVLRCNVEVRVGLLHELISGGLILEAAPKLRRAESDVLSCSSNSDRLRGALNTSRRSLDYSDEVKRELGLQSASRSVNSVRGLEPTQISKTSMVDEQRLESAWLQAVEKYTPGMINKSRPDKDQVLMQGVGSPYQRKSSMALVVPSSQADEDLAHEIKALKIVDSYGSRKDHREQYQNGYTISPSKLHGIDYMENCDNESMCSEPGRPGCHGLFRCWRTQKPKRLQVKRQTRVKYPYSGREDIGNNMASPGPGNGRRISNVHELQSGI* >Brasy8G205100.3.p pacid=40081199 transcript=Brasy8G205100.3 locus=Brasy8G205100 ID=Brasy8G205100.3.v1.1 annot-version=v1.1 MGTMVEGCVGPSELHLRKELTALRKARFLQDPETCSTWRSPLSSRSLVATSNIIHGSGVGGSLASKHTESSSAQPKSEKKCKKVYLYNWRQYSNKSSESGIKLDEELPAEFKETPCNSNGVRSKRDTCLDIPTSIYSNQSSTSCTPVKRVARRRRGLSSQKGTIRNPATSELLDLHIKSGNRTEDTENCNSKSQELFREGGLFSHPASPLFAACGCVSSSNPSKLLKMDRRDGSSFSCTPVSTSSYYRHGRGNNSTVGSWTATSFDGDESNQSALWRRQRSRVPCNSSKRGKHKGSRGSNYSPSLSDTLRRKGSSLLCGSHTLRSKKRSSALTKWVHAKKSAQGMPLLGDSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGIEIAVHAADQAMSDKRSLSQKYRPRIFSEIVGQDIVVQSLSNSIIRERIAPAYLLQGPRGTGKTSVARIFSAALSCAATGDNKPCGTCKECTEFFSGNGINLIEVDASNRKSINRIKHLLENIPQSATSSRYKVFVVDECHMVSSKAWSAFVKFLDEQLPRVVFIFITIDPNNLPRAVISRCQKYIFSKIKDIDIVCRLRKICMKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRQLMDSGIDPIALMSQLAGLIMDIIAGTYKLADSTCNGVGIGGRSLTAGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHSSDMNQPRNSTGEHPKSANDAVTEAGRESSSSRTASNSLSAFGVSKKTLDPKISSGQSSPQALSSYSSRPRLNGISAYGECRSVDRIRQDYTEMNICSDQRALVTGNSDNLAQIWMRCIENCHSKILQQLLFDHGKLVSTRQCEGRTIAFIAFEDRGIMSRVQRFLSSITNSMETVLRCNVEVRVGLLHELISGGLILEAAPKLRRAESDVLSCSSNSDRLRGALNTSRRSLDYSDEVKRELGLQSASRSVNSVRGLEPTQISKTSMVDEQRLESAWLQAVEKYTPGMINKSRPDKDQVLMQGVGSPYQRKSSMALVVPSSQADEDLAHEIKALKIVDSYGSRKDHREQYQNGYTISPSKLHGIDYMENCDNESMCSEPGRPGCHGLFRCWRTQKPKRLQVKRQTRVKYPYSGREDIGNNMASPGPGNGRRISNVHELQSGI* >Brasy8G205100.2.p pacid=40081200 transcript=Brasy8G205100.2 locus=Brasy8G205100 ID=Brasy8G205100.2.v1.1 annot-version=v1.1 MGTMVEGCVGPSELHLRKELTALRKARFLQDPETCSTWRSPLSSRSLVATSNIIHGSGVGGSLASKHTESSSAQPKSEKKCKKVYLYNWRQYSNKSSESGIKLDEELPAEFKETPCNSNGVRSKRDTCLDIPTSIYSNQSSTSCTPVKRVARRRRGLSSQKGTIRNPATSELLDLHIKSGNRTEDTENCNSKSQELFREGGLFSHPASPLFAACGCVSSSNPSKLLKMDRRDGSSFSCTPVSTSSYYRHGRGNNSTVGSWTATSFDGDESNQSALWRRQRSRVPCNSSKRGKHKGSRGSNYSPSLSDTLRRKGSSLLCGSHTLRSKKRSSALTKWVHAKKSAQGMPLLGDSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGIEIAVHAADQAMSDKRSLSQKYRPRIFSEIVGQDIVVQSLSNSIIRERIAPAYLLQGPRGTGKTSVARIFSAALSCAATGDNKPCGTCKECTEFFSGNGINLIEVDASNRKSINRIKHLLENIPQSATSSRYKVFVVDECHMVSSKAWSAFVKFLDEQLPRVVFIFITIDPNNLPRAVISRCQKYIFSKIKDIDIVCRLRKICMKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRQLMDSGIDPIALMSQLAGLIMDIIAGTYKLADSTCNGVGIGGRSLTAGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHSSDMNQPRNSTGEHPKSANDAVTEAGRESSSSRTASNSLSAFGVSKKTLDPKISSGQSSPQALSSYSSRPRLNGISAYGECRSVDRIRQDYTEMNICSDQRALVTGNSDNLAQIWMRCIENCHSKILQQLLFDHGKLVSTRQCEGRTIAFIAFEDRGIMSRVQRFLSSITNSMETVLRCNVEVRVGLLHELISGGLILEAAPKLRRAESDVLSCSSNSDRLRGALNTSRRSLDYSDEVKRELGLQSASRSVNSVRGLEPTQISKTSMVDEQRLESAWLQAVEKYTPGMINKSRPDKDQVLMQGVGSPYQRKSSMALVVPSSQADEDLAHEIKALKIVDSYGSRKDHREQYQNGYTISPSKLHGIDYMENCDNESMCSEPGRPGCHGLFRCWRTQKPKRLQVKRQTRVKYPYSGREDIGNNMASPGPGNGRRISNVHELQSGI* >Brasy8G035600.1.p pacid=40081201 transcript=Brasy8G035600.1 locus=Brasy8G035600 ID=Brasy8G035600.1.v1.1 annot-version=v1.1 MRARAAEAARTSSPTSGTTSSCSSSRSAASRPSPPPSRTSSRCRTPSSPTRPLHLRHLRRRAGSGNPAASAILDAPGPSTSPSPRSSLLRPAHRLPRFESRRRFAASYDLFLADRALLPMLPRILGKAFYSTKKAPIAVNLARAGWPEQVSKVLNSTFLYLRTGTCSGIKVGRLDMEETEIVDNVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPEIGMKIEVPVGQLEGGVGSGEVIDAAEVETGRKRKDKKMKALKNADANDGAEVVKEETVKYKRKRNKKEQSGDVVMEEVQRPTEKRSKRESAPPVDVSADEGLKVLKKGKDKKRALEKEVEDASLKEGKGKKSEHALKEAGSKKRRCKEGCKHTHDKEEKKSKGKKSSGDEMKKRTRARV* >Brasy8G080100.1.p pacid=40081202 transcript=Brasy8G080100.1 locus=Brasy8G080100 ID=Brasy8G080100.1.v1.1 annot-version=v1.1 MGRCRAPPSPSPPATCAAADITISFTSGHVRRRRPHHSSSPAPCAAADHANSLVACRGRRRRPRHLPHRRPQAPPQTSPSPSSQAMGADLAISLVSGRRRRHLPRRRPQTTPSLPPPTTAAAPPPPTSPDGSAAALSAASDLTGRLRASPGHLRHLAGRLRPRLLPALRPREAARVREPRESADLAGSRGTA* >Brasy8G121200.1.p pacid=40081203 transcript=Brasy8G121200.1 locus=Brasy8G121200 ID=Brasy8G121200.1.v1.1 annot-version=v1.1 MRQRPRVPTCGVPCPVAPAPARCLPACAEKKKGRNRARTPGPTPPTSSSQPLSRTKSKCPHPIPRILWSNLRLPARAPCAPAPRPLALTRVPTPTATAYPARYRARSCACCFNRAAASRRVVACLRLRPIPRVTGLARAPAALIGPPRRRVPCRAPSGRRPNGPCRASPSGLALKLRRGTGRAGGPARPV* >Brasy8G090100.1.p pacid=40081204 transcript=Brasy8G090100.1 locus=Brasy8G090100 ID=Brasy8G090100.1.v1.1 annot-version=v1.1 MEEIDSSSMPPSALPPSSTRALSPPVPQPRQDHLEAPTSPSVLLSTAHPRRSGDRSRRFLVNHFPVHIDYPLYGLLRGGSEKTREERGRRAGRAGNTPSSYVVKCSSRSLVASPSTGEQQRRCCTPVHFHDHILFLGYLRFQYILWRPTPLSGNSSQRN* >Brasy8G104400.1.p pacid=40081205 transcript=Brasy8G104400.1 locus=Brasy8G104400 ID=Brasy8G104400.1.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELERNNGIEVLSKAHAGVNSDGSQKPSMRSASIGGTLGGRWW* >Brasy8G104400.6.p pacid=40081206 transcript=Brasy8G104400.6 locus=Brasy8G104400 ID=Brasy8G104400.6.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELERNNGIEVLSKAHAGVNSDGSQKPSMRVSLTSTA* >Brasy8G104400.2.p pacid=40081207 transcript=Brasy8G104400.2 locus=Brasy8G104400 ID=Brasy8G104400.2.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELELMQV* >Brasy8G104400.5.p pacid=40081208 transcript=Brasy8G104400.5 locus=Brasy8G104400 ID=Brasy8G104400.5.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELELMQV* >Brasy8G104400.3.p pacid=40081209 transcript=Brasy8G104400.3 locus=Brasy8G104400 ID=Brasy8G104400.3.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELEV* >Brasy8G104400.4.p pacid=40081210 transcript=Brasy8G104400.4 locus=Brasy8G104400 ID=Brasy8G104400.4.v1.1 annot-version=v1.1 MTTANKPLSLAGPVDADIQRTAELNKFLVEAGLYESAHESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEDANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWPALFESFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRNVGVKIEEGQQFDIRGTVDEFRHDINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHQQQADKNDTENGNMTGSPDGQHKRKHDSAGTDDTEPCRSVKRASVSPVHPKHDSAGTDDTEPCRSVKRASVSPVHPTTSSPCSGNVSDEATCNNQKKMSSNASGGSQNSHGSGNLEQANCSSSSPASEKSSDSIASDSKCVKGETVCSGDVTNDLVTCISPVNNSTPTVAVCTTLKRVAEKVVSELVGSESLGGNNPELLEIAEKDMGNVLVENVHFGGNGVTQSGLHEELEV* >Brasy8G044100.1.p pacid=40081211 transcript=Brasy8G044100.1 locus=Brasy8G044100 ID=Brasy8G044100.1.v1.1 annot-version=v1.1 MRLGRLPQHAPPPARPQRAAPRRPAFAEICAHIASSSAPPPPPPAYQNCAPTGADATATADVPVLARLRLCRRTSRRPPRTRRVPPAPPPATAEVAAAARHCVAPAFPRETEREREGEVRVLGASDMGKIPSFLEMKTGAASGASDAAQAFIASESSGWEEDRLGRLGLYLGSSRFRN* >Brasy8G073800.1.p pacid=40081212 transcript=Brasy8G073800.1 locus=Brasy8G073800 ID=Brasy8G073800.1.v1.1 annot-version=v1.1 MAAACVLVDSSAYIDTNNSTNDSTAQGFTSKGNLIAVSLWPAHPPIPSRVSVHGLRLHPDEDFFEEPSILCAVDCFFVLRIAIGRSPPPRNITQKMSDYFIYRSASSTGSSLKLLPHPHRRLFRDNEVGVLPRGDHFTIAVLSQPLYYNFVLYLLKSEDWDWTSKKVLVDPPQIPFPMPLPDDGRRHFQHVTNSVITIGSRGTVGWVDLWHGILLYDVLSDNDDKIHLVPLPVRLGSHGGMALECCPRPYRSVAVMGDCLKFVELEASGDRLPGKDPETRGPKLRIDDWELTTYTNRDITGAPEDWKVDFTVNASAIRIDRTMRSGLLRCGMLRKTDHNSEAADRNLQNLWTCQPVLSLNDEGTVVYLITRVKYLHPKAWLLAVDMANNQVRAMAMIETERSTVLEPEYFPCRISSPAA* >Brasy8G187000.1.p pacid=40081213 transcript=Brasy8G187000.1 locus=Brasy8G187000 ID=Brasy8G187000.1.v1.1 annot-version=v1.1 MIMFRSATRIKAAYMHACLHCVAASAATRPASAAWRPSVAAANLARCKTSAASGGLGRSSQPCRILFHASEQALLLV* >Brasy8G146600.1.p pacid=40081214 transcript=Brasy8G146600.1 locus=Brasy8G146600 ID=Brasy8G146600.1.v1.1 annot-version=v1.1 MAMVKVESTAAEGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRRVAGQPQPVPIIAEVDLYKFNPWDLPERALFGRREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVSPKGSGGRTVGIKKALVFYSGRAPRGVKTDWIMHEYRLAEADRSPGKKGSHKLDEWVLCRLYNKKNNWEKVKVEQDMAAVQRQNGEVMDAPAATDTMSDSFQTHDSEIDNASGLQLHGFRDMAQGQARDGIVTVKEDNDWFTDLNLDELQACYMNMQGQMVNPLAAAVPGLDGNGYLQSMNSPHMRMWQTILPPF* >Brasy8G007000.1.p pacid=40081215 transcript=Brasy8G007000.1 locus=Brasy8G007000 ID=Brasy8G007000.1.v1.1 annot-version=v1.1 MVAAARLSTRCFFFFCCCCSWMAMEGMEEEEEEEEGGRRRSGANRVVGGRTGAALGCRPSNKSWGRFIDRGHLWAVRPWETRWAVQRSRRWAGETGPALSIWFGLVWWPDFDARPRGSEPACCSQRGRPCNAVQRGIPRRNQPSSPARGPPSPDPPRLPPPSPGAGKRAVAEPDAREPATPSRATSSDAPRLPPPSPGAGERVSCGGGRCEGARDGRASHLPYATRLPPSSPARASERRRRPTRGSPRRNRELPPPTRTATTFFSGLGKDASSYGRKVHMAKKWV* >Brasy8G064600.1.p pacid=40081216 transcript=Brasy8G064600.1 locus=Brasy8G064600 ID=Brasy8G064600.1.v1.1 annot-version=v1.1 MARRRNNRRSRCQPPRGPTQKKKTQLHILPSELTRKHVARRSGEKKGSTTYSKSLATPPPPRRQHSRIFPIALPSPSLPHRAPLSVSPPPPAALRSPLPAALPSPISLFFLSPSPRSRRTTRTETTGSGGWEPRSGGREPDPVDGSLDPVDGSPDRVVFEGGGGGAWTAAATARGGGEGAAKEEEGLRDPAAASSDPTAATRRGGGAAATRRSSSTQTKRKPL* >Brasy8G095000.1.p pacid=40081217 transcript=Brasy8G095000.1 locus=Brasy8G095000 ID=Brasy8G095000.1.v1.1 annot-version=v1.1 MEKALDRQRILLRHLDPAAGPNPGASAISASACAAGGCFADDVVIVAAYRTAICKSKRGGFKDTLPEDLLVPVFKALVDKTKLDPSEIGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLRTVNRQCSSGLQAVADVAAAIKAGMYDIGIAAGLESMTVNKVSLEGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRVEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIIVSADDGIRSNTTLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDVATQKGLPILGIYRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQINDVDLFEINEAFASQFVYCAKKLELDPAKINVNGGAMALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVNELTNARGTPSLNLLSKDAM* >Brasy8G100500.1.p pacid=40081218 transcript=Brasy8G100500.1 locus=Brasy8G100500 ID=Brasy8G100500.1.v1.1 annot-version=v1.1 MELQFQHPQPQECQHQLTQQPAKGARRSSSTSSSSKCKFVGVRQRPSGRWVAEIKDTTHKIRVWLGTFETAEDAARAYDEAACLLRGSNTRTNFAVASSPAPAASPRQPDSPLASRIRTLLTHKKLKKHHAAPRPPVAFVTPPYAGNRNDASAGNSSSASSSISFAVTGNGADLARRAPNPCSHQRGAEESYRTCQLINHFDHPPSWAAALNPAAPPVAGGNAHCLEMPQGRMKAEKQEGSASASPDGAAAMSTGFARAQQQVDGFDIGNDPCDSLWDLPPICHLSCRSLMY* >Brasy8G008500.1.p pacid=40081219 transcript=Brasy8G008500.1 locus=Brasy8G008500 ID=Brasy8G008500.1.v1.1 annot-version=v1.1 MAAAILKMPLFLGALMIMAMVLSSQGQVLYKLGCFAGKPNCFDDDCQIQCEKQNLGVPNSNLVGRCESDPNPNNPYTSIDCCCFTFKNS* >Brasy8G207000.1.p pacid=40081220 transcript=Brasy8G207000.1 locus=Brasy8G207000 ID=Brasy8G207000.1.v1.1 annot-version=v1.1 MASCRSAAMALLLIGGTLVLVTLQMASTAVLQEAGFVASRPSAEEEDAAGTTGTSFASRRWLTALGCCFGHRGEVFGRRSHPSCPRPTLCRLPGTP* >Brasy8G239500.1.p pacid=40081221 transcript=Brasy8G239500.1 locus=Brasy8G239500 ID=Brasy8G239500.1.v1.1 annot-version=v1.1 MGRLPPWCILLMCLAAAATVAAADPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEALGLPLLPPSANKGTNFSQGANFAVMGATALDLKFFRDNNVWSIPPFNTSMSCQLEWFQEVKRTICSSPQECKELFGKALFVFGEFGGNDYSFAWKADWTNEQVKGMVPKVVASMIGGIEKVLDEGARHVVVPGNLPAGCIPITLTVYATEDAGEYDPRTGCLKRFNSVALYHNALLRIELDRLQRRRPESRIIYADYYTPYIHFARTPHLYGYKRGALRVCCGGGGPYNYNMSASCGLPGATVCEDPDAHVSWDGVHLTEAPYRFIANTWLKGPYAHPPLASIIRDDMVY* >Brasy8G188300.1.p pacid=40081222 transcript=Brasy8G188300.1 locus=Brasy8G188300 ID=Brasy8G188300.1.v1.1 annot-version=v1.1 MALLQMSTEQQKTTPASASIGVTKKQTRSRRGTKRAQDPYASVYGVVPWKMFGGRSWIKPEKLDGATVGKDVVVFGHVLRLRSLSRTRTVVVLHNNASTVRCVIDASPGKGITKQMARFAATMRRGTLIDVEGVVSVPTSEMHLLGTTQQVEILVRKLHTIGTMQDGTLLDATSQSDLNRRTENPVGGDVELPTIGQMSMEQKKTIPASATAAIGVTKKHTRSRGRAKRAQDPYASVYGVVPWKMFGGRSWIKPEKLDEAIVGKYVHFFGHVLRLRSVSKMRTIVVLHNNASTVRCMIVVNANEGITTQMVRFVATMRRGTLIDVEGIVSVPRSEMHLLGTTQQVEILVRKLHTIGTLQDGTVLGATP* >Brasy8G210300.1.p pacid=40081223 transcript=Brasy8G210300.1 locus=Brasy8G210300 ID=Brasy8G210300.1.v1.1 annot-version=v1.1 MNDQDIAVLNWNVRGLNCPDRRTTVHATISTSSCHIACLQETKLQNVDADMAAYLGGFKLKGFAQRPAIGTRGGILLLWNEDIIQVSDILLGTFCISVMINHLHDGSSFQLTSVPGNSPCV* >Brasy8G277300.1.p pacid=40081224 transcript=Brasy8G277300.1 locus=Brasy8G277300 ID=Brasy8G277300.1.v1.1 annot-version=v1.1 MARRNRPLAGAGAGAGADIAVHVERLARSLMQKQADAAVEEQHRATVSSHRLSRVPAHLRDNNANGYTPGFVAIGPLHNRENRRLRPAERLKVAYLNSLISRGHPDPAQHLTVIQEYIRIVAAREKEARALYVAEKVVDIGADDFIQALVLDGCFIIEHLVNFAIGREEPSLHATPFGPTQLSVDLILAENQIPFFFLADLIAATKLPEFESTGYPPPVLLVKLVLFYHAGEKGRDMSEALPAAEGISHILHLLHAMVTTAKTSWEPPPRTIQDGAVLDMAQEVGRLLRRIPLLVLVPLRIFPRGPRRRAQVDVPPSASDMERIRMQFQKASWRRATSMGSWSR* >Brasy8G270100.1.p pacid=40081225 transcript=Brasy8G270100.1 locus=Brasy8G270100 ID=Brasy8G270100.1.v1.1 annot-version=v1.1 MQRWLPALLRCAAGAGGGSPARRSLASCSSLLFDDTQEQFKESVHRFAQEHIAPRAAAIDASNYFPKEVNLWKLMGDFNLHGLTSPEEYGGLGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKEKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVVLPYVRQREQFGRPIGEFQFVQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALEAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED* >Brasy8G052500.1.p pacid=40081226 transcript=Brasy8G052500.1 locus=Brasy8G052500 ID=Brasy8G052500.1.v1.1 annot-version=v1.1 MGMSGDGARITFGSASTATRSSSVKELTARERARWRRRGQRVRLVAGAARGCGDIARRGAARRGLGQWRRSAARTAVAGPAGGGYNARLGHHGGTRRGEAAARARSGGSARSGQRGGGGGDRAAREGDSSGAQRRQRPAGAARDAATEGSTQQRRGQGSARETGGTAGTALRTGTALGAGAARRRRASREETVEQTRG* >Brasy8G040500.1.p pacid=40081227 transcript=Brasy8G040500.1 locus=Brasy8G040500 ID=Brasy8G040500.1.v1.1 annot-version=v1.1 MSAVNITNVAVLDNPTAFLNPFRFEISYECLVPLDDDLEWKLTYVGSAEDETYDQQLESVLVGPVNVGTYRFILQADPPDPSKIREEDIIGVTVLLLTCSYVGQEFMRVGYYVNNDYDDEQLREEPPAKLLLDRVQRNILADKPRVTKFPINFHPEPGTSAEQQPQQQEPQAASPEPQASSLENHTVEESKPSADAVV* >Brasy8G155600.1.p pacid=40081228 transcript=Brasy8G155600.1 locus=Brasy8G155600 ID=Brasy8G155600.1.v1.1 annot-version=v1.1 MASGGDDDAGVKRVADRYLKREVLGEGTYGVVFKAVDTKTGSIVAIKRIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLVVCHKKWVLHRDMKPNNLLIGAEGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKASQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSKMFTYDPKARITAQQALEHRYFSSVPAPTIPFKLPRPQPKGDSGNNKIPDLNLQGGPVVLSPPRKLRRVSAHEGTDRAEKAEEQPTGARRMDEMSSQSARIPMSVDVGVVFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYAE* >Brasy8G129600.1.p pacid=40081229 transcript=Brasy8G129600.1 locus=Brasy8G129600 ID=Brasy8G129600.1.v1.1 annot-version=v1.1 MCRAKSAGLPGFSKKPAPIATYTLPPPPLRAGAAAASPPPPPPPTRVRVRVRVLPRPPPPPTHPRPRPRPRPASPAPPPPWAPPPASCPSNLRPPPSRVPTVSTPRRGPARCRRAPARPALLPSCAAAAHAPTSDVLVPERCRGGTEDATTGTCFSWAPLLTVRRKVNPHVALPPPTGNRSALRPLPV* >Brasy8G084700.1.p pacid=40081230 transcript=Brasy8G084700.1 locus=Brasy8G084700 ID=Brasy8G084700.1.v1.1 annot-version=v1.1 MGGAGEFLIIGEYGPTHSPHTKKIIWLTFCQTPCGCGGESRAKRRRRALTHHPGRRGPSSSSSPPGRRPPRLLSRREQLFRHGAAPAASWREEGAGARGARRGRGSAPWCIGAGGKTAPALEMPRRIVDEGSDRPVELRRRGALEVRGVLAQHGREQSGRTPFSGGGGGFFFFVSLSRSR* >Brasy8G042000.1.p pacid=40081231 transcript=Brasy8G042000.1 locus=Brasy8G042000 ID=Brasy8G042000.1.v1.1 annot-version=v1.1 MQTDSEGITSSLLQKDNRSADNTKPDQLATLSMKGSSIYNKENRLQSGNVNQYFPSKAAISSKQELCTPGTEIRDLDPLPFQLSRVRNQGPNGAIRLLPCTEPTDRWLKRLRHDVADPPAPGSKRPKIRDCHPAGGASGMSAPRDGRSDTEVDDHDAARSFHSWFGRCLRDGGDPDLQEDPDRRKRAGATLDLASGELEGRFPSIRAMAMMGRMMSKVRPFDHQRKGPWVMWKTDET* >Brasy8G121700.1.p pacid=40081232 transcript=Brasy8G121700.1 locus=Brasy8G121700 ID=Brasy8G121700.1.v1.1 annot-version=v1.1 MNSIRSALARAISAPKPRPPRARHYAAVGDTQPERVAAEMARYALGGARHQSSPEDAMRILEQGASNLQGGAEGSAEAVGRLMLAMSTLLYRSGRRQEAMEKLQATQQVAPSAAFRVAAWEALMGLRMEAGQDLSSSMSPNDSIDLSMKDEDIKWSNQDHLKFRVDAIKGLVALLNGEIESAQTLFGGSKDYFAGVGNNQTENAIVSYGEYLHCAGDFPLATQMYEKVLEAASTEDISGNLLAAGNMVPEEVSLGATCSYGQLLSHSGKFGEAEDYLTRALQKAEDQFGSNHPKVGIVLTCIARMYKLKAKLEGSSSIMVQEGLYRKALEVLKAPAINSEGTRRQIDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEDAWKNRRLTLAQALEFSELSKPTVVDTRIGRVI* >Brasy8G180100.1.p pacid=40081233 transcript=Brasy8G180100.1 locus=Brasy8G180100 ID=Brasy8G180100.1.v1.1 annot-version=v1.1 MEDDPAVLSRVATGTPLDLAARASTPLDLAVPAITCTDGDCFSGEKSPLVQDGGVSAERRAHVRGGGFCYERAHSCETWTSPSSCSHSEAWWV* >Brasy8G137700.1.p pacid=40081234 transcript=Brasy8G137700.1 locus=Brasy8G137700 ID=Brasy8G137700.1.v1.1 annot-version=v1.1 MLRPGGAVLLASVLLLAAAAVPGAAGFHLGGDESGLVRGMLAAIREQSEAEDAARFAVAEHNKNLGSALEFARVVSAKRQVVAGTLHDLMLEVVDAGKKSLYKAKVWVKPWQDFKAVLEFRHAGDSQSESPIASDGSTWQAVPKFSLQTHLAPKTHSENNENNGLDVGSSFSSQTYTV* >Brasy8G075800.1.p pacid=40081235 transcript=Brasy8G075800.1 locus=Brasy8G075800 ID=Brasy8G075800.1.v1.1 annot-version=v1.1 MHMQQLAALAAVLLLALLEPAGGGRLKFKLNPSDLDSEAALWDLYQRWGAHYGCNVSRDSRFLTFKGTAVRVASRAHVVRAPGGLNGLADRSAQELDVQYKCRRAERRRNQRAGVKRRGGGGGLPLPVAWDWRHKTYGDQPCLGPVKHQRTCGACWAFSATGAMEAKHAIVGARNKVKPVVLSEQELVDCDKISDGCRGGEAVDAFRYMAENGIASSVHSSHRAPREDLVMRGYEETEYNDEYALLTAVTYGPVVVSIGVGDNNTDFEDYDGGLYGEDRQCASVNDHQLLLVGYNSDSYILKNSWGDIWGEDGYLFLKRPDTRCGIMREPGYYPFIGVD* >Brasy8G214900.1.p pacid=40081236 transcript=Brasy8G214900.1 locus=Brasy8G214900 ID=Brasy8G214900.1.v1.1 annot-version=v1.1 MVAAGGHPKMGEEKLIIRSEKVRFIDILSLLFLRRPLTSYAFVDAGDQTVLDAGNTPGDIFVALTEIIQKALAAAYWPARIIGAAIEILLNFFALNGGLLGIIWNIFRCRLVIPLNREAPNFRTMIGMIDGRTELKPAPANMAAGDMRQLQVLDVVVSGETADLESGCRRAAEAEPLVLRQYLVLEVTAMAAKLAYENAAYIENVVKNVWKFNFVGFFNGWNKYLNLDGTQAFVMTDQAVDANVVVLAFRGTEPFNMQDWSTDVNLSWIGMGRMGFVHAGFLKALGLQEEDGKSVDRAFPKDVPNGAAPAGKHLAYYQLRDTLRKQLKDHPNARLIVTGHSLGGALAVVFPALLALYDEKDVVDRIGAVQTYGQPRVGNETFVNFFKTEVEKNTAVPFHRVVYRYDIVPRVPFDLAKLAEFRHGGTCVYYNGWYDGKVLAGDAPNPNYFDPRFVLSMYGNAMGDLVKGAFLWAKAGKDYREGAVSLLYRASGLLVPGLASHSPRDYVNAVRLGRIEPKQV* >Brasy8G214900.2.p pacid=40081237 transcript=Brasy8G214900.2 locus=Brasy8G214900 ID=Brasy8G214900.2.v1.1 annot-version=v1.1 MVAAGGHPKMGEEKLIIRSEKVRIIGAAIEILLNFFALNGGLLGIIWNIFRCRLVIPLNREAPNFRTMIGMIDGRTELKPAPANMAAGDMRQLQVLDVVVSGETADLESGCRRAAEAEPLVLRQYLVLEVTAMAAKLAYENAAYIENVVKNVWKFNFVGFFNGWNKYLNLDGTQAFVMTDQAVDANVVVLAFRGTEPFNMQDWSTDVNLSWIGMGRMGFVHAGFLKALGLQEEDGKSVDRAFPKDVPNGAAPAGKHLAYYQLRDTLRKQLKDHPNARLIVTGHSLGGALAVVFPALLALYDEKDVVDRIGAVQTYGQPRVGNETFVNFFKTEVEKNTAVPFHRVVYRYDIVPRVPFDLAKLAEFRHGGTCVYYNGWYDGKVLAGDAPNPNYFDPRFVLSMYGNAMGDLVKGAFLWAKAGKDYREGAVSLLYRASGLLVPGLASHSPRDYVNAVRLGRIEPKQV* >Brasy8G014100.1.p pacid=40081238 transcript=Brasy8G014100.1 locus=Brasy8G014100 ID=Brasy8G014100.1.v1.1 annot-version=v1.1 MATENYDPCYPDQPVVHRYLPVWAKLPAFADKPAFIWADDHGATTDISYTALTYSQLDAAVERMASGLLGKLRRGETVLVLAAPGLRLVKLLFACQRAGLTAVPVVPPDLSRPGPAQAHLMRAVSQTKPDAAVADKLFVDAIARSDGRLAATLSGLRWLPVDELEISDDTNTKATSMAMGCGPGDAYLVQYTSGATGPPKPVVVTAGSAAHNVRAARRAYDLGPNSMVVSWLPQYHDCGLMFLLLTVVSGATCVLASPDAFLRRPRLWLELVTEFAATCTPVPSFALPLVLKRGGPGRRPVQLGSLRNLILINEPIYKASVDEFVMAFSVATGLRAESISPSYGLAENCTFVSTAWKADTTSGVLPSHHKLLPSARLPSAVAREAPEIEIVVVDEESGEPARDGVEGEVWVSSPSNASGYLAHPSATREAFCARLPGRAGRCFLRTGDRGVVVAVNGPDRYLYIVGRCADLVLVDGGARRMHAHYIEAATFGIVTDQLLRGGCIAAFATPMPASPLHVVVVVAELQKGRGAAADHRRLCDGIRRAVWEGESVKVGRVVLVQSRTVPRTTSGKVRRGAAREKLAAKKFPVVFEARYDHDDDREGSVRQVGDEEGEIEERCAGEEGVAAMATALGSASPRPRLQSFL* >Brasy8G187100.1.p pacid=40081239 transcript=Brasy8G187100.1 locus=Brasy8G187100 ID=Brasy8G187100.1.v1.1 annot-version=v1.1 MLLLQLQEALWHVAMPRPDGRPVPSPNTQELESSCPRHFQAAARARAEHPRTTTMKPPSSSPAQQCPSSPSPRAAVEPAGGARHSPPDNEASVVINDVDAFARTVAAIRSKPAPDDSLASVLSHYAARWLPDVASSSSSTSSPSGRLQFPQQHPPESPTATWLKKRLLLESLVAALPPEEPEPGAGAGDGDGGGIACDFLLRLLRAGSMVGADRALLGDLEARAARRLDQASLGAVMIPAFGLGQAQGQGGPARDRHPSTLLDVGLVLRLVRGFLREGAKAGAAAKVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARAADDGLYRAVDTYLKVHPQASKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFSSEHGSKLSRLADWSAAGSFRSLHSTRCPAALDLSSSASAARCPSKRELVAQQQHHELRRLREDVARLQVQCHALQAQVDRLGSDRRRRGLFKWGAFLFGGGGMGAVEDSDSALERTPLSGTKKARAAAAAAAAGSTPATGTPTVARWRRSHS* >Brasy8G034200.1.p pacid=40081240 transcript=Brasy8G034200.1 locus=Brasy8G034200 ID=Brasy8G034200.1.v1.1 annot-version=v1.1 MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLGDPSLPFADALRFPGAHAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLQRAPRLAQLHWNCPAQLAQLASARRFDLVVAADVVYVQESVPHLVAAMDALADADRGVVLLGYQIRSPEAHRAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVFILRRRPRQ* >Brasy8G034200.2.p pacid=40081241 transcript=Brasy8G034200.2 locus=Brasy8G034200 ID=Brasy8G034200.2.v1.1 annot-version=v1.1 MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLGDPSLPFADALRFPGAHAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLQRAPRLAQLHWNCPAQLAQLASARRFDLVVAADVVYVQESVPHLVAAMDALADADRGVVLLGYQIRSPEAHRAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVFILRRRPRQ* >Brasy8G034200.3.p pacid=40081242 transcript=Brasy8G034200.3 locus=Brasy8G034200 ID=Brasy8G034200.3.v1.1 annot-version=v1.1 MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLGDPSLPFADALRFPGAHAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLQRAPRLAQLHWNCPAQLAQLASARRFDLVVAADVVYVQESVPHLVAAMDALADADRGVVLLGYQIRSPEAHRAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVFILRRRPRQ* >Brasy8G034200.4.p pacid=40081243 transcript=Brasy8G034200.4 locus=Brasy8G034200 ID=Brasy8G034200.4.v1.1 annot-version=v1.1 MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLGDPSLPFADALRFPGAHAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLQRAPRLAQLHWNCPAQLAQLASARRFDLVVAADVVYVQESVPHLVAAMDALADADRGVVLLGYQIRSPEAHRAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVFILRRRPRQ* >Brasy8G205000.1.p pacid=40081244 transcript=Brasy8G205000.1 locus=Brasy8G205000 ID=Brasy8G205000.1.v1.1 annot-version=v1.1 MKIGCDACGRAAAAVLCCADEAALCRRCDAAVHSANRLAGRHQRLHLLSSSPPATCSSSLGAGDGAAAGTHPSCDICQEKTGYFFCVEDRALLCRSCDVAVHTATPQASSHRRFLITGVRVGGAAQHDHITNDAAIVVSPSSSSANGSSSSRTRSLANIAHDDRRSAQAAARLLGVGGEDDDEEAAGQQQWPWSDIFAVDDDRQCYNGLLSEPAGSSGLTG* >Brasy8G222800.1.p pacid=40081245 transcript=Brasy8G222800.1 locus=Brasy8G222800 ID=Brasy8G222800.1.v1.1 annot-version=v1.1 MGLDLGALALRYTGLSVSDHDSIVAINIFVALLCGCIVFGHLLEGNRWVNESTTALLMGLLTGFVILLVTNGVNSRILVFSEDIFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIITLGAMGLFSKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDEAPLLYSLVFGEGVVNDATSVVLFNAIQNIDINHFDALVLLQFIGKFLYLFFTSTILGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSAVIMGLVMVGRAAFVFPLSFLSNLSKKESRVKISFKQQVIIWWAGLMRGAVSIALAYNKFTTSGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLINLLIPPRPGMAADISSQSFLDPLLGSLLGSDFDIGQVPPQTNLQLLLTMPTRSVHRVWRKFDDSFMRPMFGGRGFVPFVPGSPVERSIQGPSLGTVTEAEDHS* >Brasy8G222800.2.p pacid=40081246 transcript=Brasy8G222800.2 locus=Brasy8G222800 ID=Brasy8G222800.2.v1.1 annot-version=v1.1 MGLDLGALALRYTGLSVSDHDSIVAINIFVALLCGCIVFGHLLEGNRWVNESTTALLMGLLTGFVILLVTNGVNSRILVFSEDIFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIITLAIGAIFSATDSVCTLQVLNQDEAPLLYSLVFGEGVVNDATSVVLFNAIQNIDINHFDALVLLQFIGKFLYLFFTSTILGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSAVIMGLVMVGRAAFVFPLSFLSNLSKKESRVKISFKQQVIIWWAGLMRGAVSIALAYNKFTTSGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLINLLIPPRPGMAADISSQSFLDPLLGSLLGSDFDIGQVPPQTNLQLLLTMPTRSVHRVWRKFDDSFMRPMFGGRGFVPFVPGSPVERSIQGPSLGTVTEAEDHS* >Brasy8G187200.1.p pacid=40081247 transcript=Brasy8G187200.1 locus=Brasy8G187200 ID=Brasy8G187200.1.v1.1 annot-version=v1.1 MCKGSLASMMDEWSPQWRNPLVMVCVFNLKAESASTLNICAYSYNICIVVVLFMVWTSGKWGATKRLLCMFHKE* >Brasy8G036600.1.p pacid=40081248 transcript=Brasy8G036600.1 locus=Brasy8G036600 ID=Brasy8G036600.1.v1.1 annot-version=v1.1 MRFLDPADIVRCASTCRRWGRVVADASAVLCRSSRPLPPLPSFFLGFFHGDAASDVARRRKRKRHDDADIGNHGPRFVPIHSGARLLGSPDGISSGDLLPAAFHGIVGHGFFEHSRPVASHDGRLVLELQGAGAGLELCLCVCNPMTGHMVLLPPLPGSGPYICALLTADDPAFFRVLLVYNRSQGTRTFLLAYSSDTARWSAEVRRTPAIKSEKLRKMGQAIVLRGVAYWPLGSTAMGVRLDDDDTPVEVPMPPPYGIGETPHKRRLLCVTRDGRLADAAAAICRDRFSVSISVLEPPRPSVGDPGRWETVRPAGINRSIVVDESMTLRGVAGIHLRWFCQRTGKLLFTLGEGSTRVGTFALDVDTRDAEELIGGLHCASWKNFVGYEMDAAAYLASIVPSH* >Brasy8G054800.1.p pacid=40081249 transcript=Brasy8G054800.1 locus=Brasy8G054800 ID=Brasy8G054800.1.v1.1 annot-version=v1.1 MQRSQGAATKSAARQRPLRVLSGNRAPHRPPGSLRRKPAASPSPYPAAAAAAAAANTAAGPALDRLLLARSDLAGLVSQIDELVSSALQCQTISTRGEQEIASFSCFLSDTNSSLKQWSSRLKEALEASRDKSEDDLKHTVGTCSASAAKGNDKLFPDDSMLPHAGPAIMPSHNLVCTNSNLPEDDLIVSPSPLVSWRPGSCMVESGKQLFLLTPLPKTRACSSRCPSSSKTELKATAGMEELNLPNLPVWKLTISDDNRPDLEQSVEVKETRVGVATPHLTSAKKGSSEDSLFSPFSFSIQKSRRALPSPCLKTALPGKRHVFSPISEGSTKEDILSSGPTESHKPSGGSDDMLSDEKDLATRYPDLYGFNQPTANTCRRREADEALDWFLSPLKACVLMDSSPTDDKPIPTPARHDNKLEGRQELSDDSLIQTSAVHSKALIGTPWRGLENKSLKGGQGLPDDKPIQTPAVNSKALLGTPWKGLESTNLKGRHAGETTLKRELWTRFEAVSTNELHFDKSLFQKSNGTSFIDMLEDAS* >Brasy8G114800.1.p pacid=40081250 transcript=Brasy8G114800.1 locus=Brasy8G114800 ID=Brasy8G114800.1.v1.1 annot-version=v1.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG* >Brasy8G222700.1.p pacid=40081251 transcript=Brasy8G222700.1 locus=Brasy8G222700 ID=Brasy8G222700.1.v1.1 annot-version=v1.1 MAGDTASASASAPASASAPPTAELAAVSIADLEPATSRTRIRAILDAGDALAGKRVVVGGWVKTGRQQGKGEFAFLEVNDGSCPGNLQVMVDKDVHPLPRLTPTGTSVLVEGVLKKPPEEAKQRIELKVEKVIEVGEVDAAAYPLPKTRITLETLRDFVHLRARTNTIGAVARIRHQLAFATHSFFDENGFLYIHTPIITTSDCEGAGEMFQVTSLFSQAEKVEKELRENPAPSEADIEAAKLVVKEKGDAVAQLKASKASKQEITAGVSELNKAKENVSRLEERSKLKPGIPHKDDGTIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAESYVQYLCKWLLKHCREDMEFMVKNYDKTAIERLELVSSTPFERISYTKAVEILKGTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDVLKERILAADLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL* >Brasy8G292600.1.p pacid=40081252 transcript=Brasy8G292600.1 locus=Brasy8G292600 ID=Brasy8G292600.1.v1.1 annot-version=v1.1 MSSREEKKPQGGAGEGGVAPASISDPNKLKSWPELVGKSVDEAKKVILRDMPEAKIEVLPVDAMVTEDLQYDRVRIFVAVADVPRVG* >Brasy8G111700.1.p pacid=40081253 transcript=Brasy8G111700.1 locus=Brasy8G111700 ID=Brasy8G111700.1.v1.1 annot-version=v1.1 MSTISDQKRQTLEAIQQRYAAAKAKQLQGEQLKLQQNKDSTPKPKFDPQRKLETPESTPCLTSAQLPMLKAQATSNHKQKPSASSGEETNPIYSELSFALHGNLSQDDISDLNSTDVVQSVLYDIIQKGGAGKITKGAKKLKLEKGILLDNYVQRGPRLVDAQSRSLLIHSKRSKRHMSLKQHKKCGSFHLHDTFHRFDLYKPMHEMWKEYMRELTKSTPKKQLAESLLSSDLHGALLIVAQCKAALYQGVSGIMIRDTAETFGIISEDNHFRVVPKAGSVFVLQADCWKVTLMGDKLSPKETLKENQRQQRAESLIR* >Brasy8G185800.1.p pacid=40081254 transcript=Brasy8G185800.1 locus=Brasy8G185800 ID=Brasy8G185800.1.v1.1 annot-version=v1.1 MNARVFGKGGETLVLAHGYGGSRFIWDDVVPSLAEKFRVVVFDWSFSGAAADRHNDVGGDGCSYHGFADELVALMDELELKSVVFVGHSMAGMIGCIASVARPDLFSRLVLVGASPRYINEEGYEGGFERAEVDAMLGAIEADFAAWAPLFAEAAVGAGDDGGAAVAKFAKQLGTMRPRAALRVMRAVLTCDVRGVLPSVAAPCTVVHCARDAVAPLAVARYMQRAIGAGGGRGADTVVIEASGHFPQLSAPKEFVRVLEAILLDR* >Brasy8G266600.1.p pacid=40081255 transcript=Brasy8G266600.1 locus=Brasy8G266600 ID=Brasy8G266600.1.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQFCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.2.p pacid=40081256 transcript=Brasy8G266600.2 locus=Brasy8G266600 ID=Brasy8G266600.2.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQFCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.7.p pacid=40081257 transcript=Brasy8G266600.7 locus=Brasy8G266600 ID=Brasy8G266600.7.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQFCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKRENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.8.p pacid=40081258 transcript=Brasy8G266600.8 locus=Brasy8G266600 ID=Brasy8G266600.8.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.3.p pacid=40081259 transcript=Brasy8G266600.3 locus=Brasy8G266600 ID=Brasy8G266600.3.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.9.p pacid=40081260 transcript=Brasy8G266600.9 locus=Brasy8G266600 ID=Brasy8G266600.9.v1.1 annot-version=v1.1 MANKLVSEMQLPPHLAHLLAARRLTTAKDVLSLPEVELMCLLDAGIGVARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLATTLRGLDEALGGGIPVGKLTEVVGPSGIGKTQMAGRILVMRPTSLSDFTKRENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.4.p pacid=40081261 transcript=Brasy8G266600.4 locus=Brasy8G266600 ID=Brasy8G266600.4.v1.1 annot-version=v1.1 MRRWVEVSPWGSSPRSSGPLGLARRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.5.p pacid=40081262 transcript=Brasy8G266600.5 locus=Brasy8G266600 ID=Brasy8G266600.5.v1.1 annot-version=v1.1 MRRWVEVSPWGSSPRSSGPLGLARRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSMAALMSTSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G266600.6.p pacid=40081263 transcript=Brasy8G266600.6 locus=Brasy8G266600 ID=Brasy8G266600.6.v1.1 annot-version=v1.1 MRRWVEVSPWGSSPRSSGPLGLARRRMIEIGQKSIPQIFRQEGLAQKMAGRILVMRPTSLSDFTKRENERGTSDRTQHPLRWALSFLKSIAEFSRIPVIVTNQVRSQSNDDGYHYLFEVKKKGDSHGTERLESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVEFPFIVESSGITLLSDEGIDVSGPEITSIRFQGQNILGL* >Brasy8G178700.1.p pacid=40081264 transcript=Brasy8G178700.1 locus=Brasy8G178700 ID=Brasy8G178700.1.v1.1 annot-version=v1.1 MTGCLWPCVAAAVAASVTASGSGGGRRGGGLFRSRVKGPAEVVQHARELLVYITENQEGSSSSRKCESKCDHKAQKDVTQVIANLQRRKVDSRMVASDYLEANQDLLDILMSGYENMEIAIHYSTMLRDCIRHQVAARYVLDSEHMKNFFEYIQFPDFNIASDAFRTFKELLTRHKSSVAEFFSRNYDWFFAEFNSKLLSSSNYIIRRQAIQLLGDILMERSNMTVMVWYVSSKEHLIILMNLLREQSKAIQVEAFHVFKLFAANQNKPPEIVGILATNKNKLLRFLADFTLDKEDKQFEADKAQVITEISAL* >Brasy8G178700.2.p pacid=40081265 transcript=Brasy8G178700.2 locus=Brasy8G178700 ID=Brasy8G178700.2.v1.1 annot-version=v1.1 MQESFLSTSLRIKKAQKDVTQVIANLQRRKVDSRMVASDYLEANQDLLDILMSGYENMEIAIHYSTMLRDCIRHQVAARYVLDSEHMKNFFEYIQFPDFNIASDAFRTFKELLTRHKSSVAEFFSRNYDWFFAEFNSKLLSSSNYIIRRQAIQLLGDILMERSNMTVMVWYVSSKEHLIILMNLLREQSKAIQVEAFHVFKLFAANQNKPPEIVGILATNKNKLLRFLADFTLDKEDKQFEADKAQVITEISAL* >Brasy8G178700.3.p pacid=40081266 transcript=Brasy8G178700.3 locus=Brasy8G178700 ID=Brasy8G178700.3.v1.1 annot-version=v1.1 MRLIIICLPYLDLEAQKDVTQVIANLQRRKVDSRMVASDYLEANQDLLDILMSGYENMEIAIHYSTMLRDCIRHQVAARYVLDSEHMKNFFEYIQFPDFNIASDAFRTFKELLTRHKSSVAEFFSRNYDWFFAEFNSKLLSSSNYIIRRQAIQLLGDILMERSNMTVMVWYVSSKEHLIILMNLLREQSKAIQVEAFHVFKLFAANQNKPPEIVGILATNKNKLLRFLADFTLDKEDKQFEADKAQVITEISAL* >Brasy8G006300.1.p pacid=40081267 transcript=Brasy8G006300.1 locus=Brasy8G006300 ID=Brasy8G006300.1.v1.1 annot-version=v1.1 MGGMVDYGVSVSTKSSPRSAAIEKAQEELRHQYDCREERRRELDFLEKGGNPLDFKFGYVESVSLQSTSLTDQIAEQNGISEAKGSFAFAASTHGDSVESSGKPGSSLCRETNTADNLMLFGGDNNGITKEKIVKRGSRRTNAGQLRQSSDGHNNAKRAEGSGLSRLGVKSQAYVRRNRSKPSRDSANVTSITSPVVPAIASEPKDAKDTTKEKQTDDLGVLYGSSLNRAGPKCEKEPNAASDEHMAMELSGTRTIHEGHCTVKHEARQGDHNNSVATEAVLNDVNGQQQPDGCGEIAAAGASIETPDATSKPVLRSSYSSLSTHDERETCADEKVDNDHLDEDMAHIHVGEPGNISKSPVCAVEAATLHKNAMDSRCEDMTITIGNHADDSNLVPMKIDRKSHEDLDISGISSKDVNEGGQLEGLSMLSSVREKSCSEQPELSTTVLVKDEMEISDDAIVEQKDTSCPEPSHLINNKETPDLENNSSHGDSNSAHPTVVGPALNTFLDSTPSSKTYGSNVVSEIKKCGENLSTMANKEYEDSILRKACLIEVGLKRACERSPCNISLEKRRKGHWDFVLEEMAWMANDFMQERLWRITAASQVCHWIASDGLAKFDQASIYRKQKTVIRSLAKGVMSFWRSAEALLTAAGTAKVMQKDDSDMLGETKPTGIKADNKQGNESMETEHTIRPLRSQIQDYAVRFLEYNSQASDSVFTEAPPTPDRLNEFGILKVSTQLSQVSLFYAVPPGAMLAYRESVESLFMYHKNIGTGLKYDYEASVCDSAADLAQDNAYDEDEGETCTYLLAEAYDGGLLPKMGHKKKHIMRQRINSARPYEIGTDVSYEPCLERKSGNQQFFSNGKRPSSFVGIPTKRIRTAARQRVVSPFPISVGGTPHVKTDASSGDTDSYQDDQSSLHGGSLSWKNADYESTVDFDRQMPYDGSEAWTKSNKKKKHKNTGYQTAQNTANSYASAAVKGRIYDQRSQVDMITQYEQKDYLKKRSETQQFYSNVANGGQHAFKKLKMMKQGIDISQEASPVASQMSNMANPAKIIKIITNRDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINNIVQFKSVHRQPKECKERHKVLVDKSSGDGADSAEDSGSSQHYQFTIPGIPKGSARQLFQRLQGPFEEENLKSHFEKIALLMPQVQSRRRQVNSRELKPIIQPHSSHVAALSQACPNNLSGSTLMPLDLCDAISPNLDAITPGSGYQGSHANGLTLSNHHGSIGTPSPTPHSRLQGSPGMVLGSNLSSPATLSAPSRDSQKYGAPRSTSLQVDDEQQKIQYNQVVSGKNPQQAGVAAPGTCPAGVDRGAHVMPAVNGIGMTAGVNRGPAVRPGFPRVSSPGMLNITSTGNMSPKSVQGVPNAVNVHSGAMSSPGNSVLRPRDPMQMLRPGQHMDEHRRMISQGSSRAAHFSSMNPPFSKAAAPSPVHQTQKLHQMSQPSHVLGNPHNPRTQGTNHSSSHQQSYAVHFAKERQFPQRMVPQQHNDPSGASAVPSVQNGPHIQQQNKAPVASSTPPQPLHQQQPPIQNPPDSFTPPNQPASTTEPKQKKQQGQQQVRQNQQQRNQASQQAKLMKSLGRGNMLIPQTSAVDAAPASAVSTSSKKQASDKNLMQHGQGSSPGNKASTSAIPQPGNQHMLYPSLPQSPKQLPDTSSQGLMQGSPSHTLLAAQQPPVHSKPPSTMQQRQINPSQNSIQRMMMQQNLQMNSDCRMDTQIDQVQHNPVIPTTSIPHGTESSSPGLPCMNQQKHEASAHDLTSVTSTSKLICSPKDNLVGNGASLPSSSQELLQRKISGGLPMHGQDIGGQWHQQQSMQHLQPPHHQQTQHQQRPVVQGSLYAPSNSGSG* >Brasy8G006300.3.p pacid=40081268 transcript=Brasy8G006300.3 locus=Brasy8G006300 ID=Brasy8G006300.3.v1.1 annot-version=v1.1 MGGMVDYGVSVSTKSSPRSAAIEKAQEELRHQYDCREERRRELDFLEKGGNPLDFKFGYVESVSLQSTSLTDQIAEQNGISEAKGSFAFAASTHGDSVESSGKPGSSLCRETNTADNLMLFGGDNNGITKEKIVKRGSRRTNAGQLRQSSDGHNNAKRAEGSGLSRLGVKSQAYVRRNRSKPSRDSANVTSITSPVVPAIASEPKDAKDTTKEKQTDDLGVLYGSSLNRAGPKCEKEPNAASDEHMAMELSGTRTIHEGHCTVKHEARQGDHNNSVATEAVLNDVNGQQQPDGCGEIAAAGASIETPDATSKPVLRSSYSSLSTHDERETCADEKVDNDHLDEDMAHIHVGEPGNISKSPVCAVEAATLHKNAMDSRCEDMTITIGNHADDSNLVPMKIDRKSHEDLDISGISSKDVNEGGQLEGLSMLSSVREKSCSEQPELSTTVLVKDEMEISDDAIVEQKDTSCPEPSHLINNKETPDLENNSSHGDSNSAHPTVVGPALNTFLDSTPSSKTYGSNVVSEIKKCGENLSTMANKEYEDSILRKACLIEVGLKRACERSPCNISLEKRRKGHWDFVLEEMAWMANDFMQERLWRITAASQVCHWIASDGLAKFDQASIYRKQKTVIRSLAKGVMSFWRSAEALLTAAGTAKVMQKDDSDMLGETKPTGIKADNKQGNESMETEHTIRPLRSQIQDYAVRFLEYNSQASDSVFTEAPPTPDRLNEFGILKVSTQLSQVSLFYAVPPGAMLAYRESVESLFMYHKNIGTGLKYDYEASVCDSAADLAQDNAYDEDEGETCTYLLAEAYDGGLLPKMGHKKKHIMRQRINSARPYEIGTDVSYEPCLERKSGNQQFFSNGKRPSSFVGIPTKRIRTAARQRVVSPFPISVGGTPHVKTDASSGDTDSYQDDQSSLHGGSLSWKNADYESTVDFDRQMPYDGSEAWTKSNKKKKHKNTGYQTAQNTANSYASAAVKGRIYDQRSQVDMITQYEQKDYLKKRSETQQFYSNVANGGQHAFKKLKMMKQGIDISQEASPVASQMSNMANPAKIIKIITNRDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINNIVQFKSVHRQPKECKERHKVLVDKSSGDGADSAEDSGSSQHYQFTIPGIPKGSARQLFQRLQGPFEEENLKSHFEKIALLMPQVQSRRRQVNSRELKPIIQPHSSHVAALSQACPNNLSGSTLMPLDLCDAISPNLDAITPGSGYQGSHANGLTLSNHHGSIGTPSPTPHSRLQGSPGMVLGSNLSSPATLSAPSRDSQKYGAPRSTSLQVDDEQQKIQYNQVVSGKNPQQAGVAAPGTCPAGVDRGAHVMPAVNGIGMTAGVNRGPAVRPGFPRVSSPGMLNITSTGNMSPKSVQGVPNAVNVHSGAMSSPGNSVLRPRDPMQMLRPGQHMDEHRRMISQGSSRAAHFSSMNPPFSKAAAPSPVHQTQKLHQMSQPSHVLGNPHNPRTQGTNHSSSHQQSYAVHFAKERQFPQRMVPQQHNDPSGASAVPSVQNGPHIQQQNKAPVASSTPPQPLHQQQPPIQNPPDSFTPPNQPASTTEPKQKKQQGQQQVRQNQQQRNQASQQAKLMKSLGRGNMLIPQTSAVDAAPASAVSTSSKKQASDKNLMQHGQGSSPGNKASTSAIPQPGNQHMLYPSLPQSPKQLPDTSSQGLMQGSPSHTLLAAQQPPVHSKPPSTMQQRQINPSQNSIQRMMMQQNLQMNSDCRMDTQIDQVQHNPVIPTTSIPHGTESSSPGLPCMNQQKHEASAHDLTSVTSTSKLICSPKDNLVGNGASLPSSSQELLQRKISGGLPMHGQDIGGQWHQQQSMQHLQPPHHQQTQHQQRPVVQGSLYAPSNSGSG* >Brasy8G006300.4.p pacid=40081269 transcript=Brasy8G006300.4 locus=Brasy8G006300 ID=Brasy8G006300.4.v1.1 annot-version=v1.1 MGGMVDYGVSVSTKSSPRSAAIEKAQEELRHQYDCREERRRELDFLEKGGNPLDFKFGYVESVSLQSTSLTDQIAEQNGISEAKGSFAFAASTHGDSVESSGKPGSSLCRETNTADNLMLFGGDNNGITKEKIVKRGSRRTNAGQLRQSSDGHNNAKRAEGSGLSRLGVKSQAYVRRNRSKPSRDSANVTSITSPVVPAIASEPKDAKDTTKEKQTDDLGVLYGSSLNRAGPKCEKEPNAASDEHMAMELSGTRTIHEGHCTVKHEARQGDHNNSVATEAVLNDVNGQQQPDGCGEIAAAGASIETPDATSKPVLRSSYSSLSTHDERETCADEKVDNDHLDEDMAHIHVGEPGNISKSPVCAVEAATLHKNAMDSRCEDMTITIGNHADDSNLVPMKIDRKSHEDLDISGISSKDVNEGGQLEGLSMLSSVREKSCSEQPELSTTVLVKDEMEISDDAIVEQKDTSCPEPSHLINNKETPDLENNSSHGDSNSAHPTVVGPALNTFLDSTPSSKTYGSNVVSEIKKCGENLSTMANKEYEDSILRKACLIEVGLKRACERSPCNISLEKRRKGHWDFVLEEMAWMANDFMQERLWRITAASQVCHWIASDGLAKFDQASIYRKQKTVIRSLAKGVMSFWRSAEALLTAAGTAKVMQKDDSDMLGETKPTGIKADNKQGNESMETEHTIRPLRSQIQDYAVRFLEYNSQASDSVFTEAPPTPDRLNEFGILKVSTQLSQVSLFYAVPPGAMLAYRESVESLFMYHKNIGTGLKYDYEASVCDSAADLAQDNAYDEDEGETCTYLLAEAYDGGLLPKMGHKKKHIMRQRINSARPYEIGTDVSYEPCLERKSGNQQFFSNGKRPSSFVGIPTKRIRTAARQRVVSPFPISVGGTPHVKTDASSGDTDSYQDDQSSLHGGSLSWKNADYESTVDFDRQMPYDGSEAWTKSNKKKKHKNTGYQTAQNTANSYASAAVKGRIYDQRSQVDMITQYEQKDYLKKRSETQQFYSNVANGGQHAFKKLKMMKQGIDISQEASPVASQMSNMANPAKIIKIITNRDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINNIVQFKSVHRQPKECKERHKVLVDKSSGDGADSAEDSGSSQHYQFTIPGIPKGSARQLFQRLQGPFEEENLKSHFEKIALLMPQVQSRRRQVNSRELKPIIQPHSSHVAALSQACPNNLSGSTLMPLDLCDAISPNLDAITPGSGYQGSHANGLTLSNHHGSIGTPSPTPHSRLQGSPGMVLGSNLSSPATLSAPSRDSQKYGAPRSTSLQVDDEQQKIQYNQVVSGKNPQQAGVAAPGTCPAGVDRGAHVMPAVNGIGMTAGVNRGPAVRPGFPRVSSPGMLNITSTGNMSPKSVQGVPNAVNVHSGAMSSPGNSVLRPRDPMQMLRDRPCTAFPFYRCIETQRFCVLSNKKILQAMDVFFVQVHQVLLAIYDCTSLVSIWTSIDG* >Brasy8G006300.2.p pacid=40081270 transcript=Brasy8G006300.2 locus=Brasy8G006300 ID=Brasy8G006300.2.v1.1 annot-version=v1.1 MGGMVDYGVSVSTKSSPRSAAIEKAQEELRHQYDCREERRRELDFLEKGGNPLDFKFGYVESVSLQSTSLTDQIAEQNGISEAKGSFAFAASTHGDSVESSGKPGSSLCRETNTADNLMLFGGDNNGITKEKIVKRGSRRTNAGQLRQSSDGHNNAKRAEGSGLSRLGVKSQAYVRRNRSKPSRDSANVTSITSPVVPAIASEPKDAKDTTKEKQTDDLGVLYGSSLNRAGPKCEKEPNAASDEHMAMELSGTRTIHEGHCTVKHEARQGDHNNSVATEAVLNDVNGQQQPDGCGEIAAAGASIETPDATSKPVLRSSYSSLSTHDERETCADEKVDNDHLDEDMAHIHVGEPGNISKSPVCAVEAATLHKNAMDSRCEDMTITIGNHADDSNLVPMKIDRKSHEDLDISGISSKDVNEGGQLEGLSMLSSVREKSCSEQPELSTTVLVKDEMEISDDAIVEQKDTSCPEPSHLINNKETPDLENNSSHGDSNSAHPTVVGPALNTFLDSTPSSKTYGSNVVSEIKKCGENLSTMANKEYEDSILRKACLIEVGLKRACERSPCNISLEKRRKGHWDFVLEEMAWMANDFMQERLWRITAASQVCHWIASDGLAKFDQASIYRKQKTVIRSLAKGVMSFWRSAEALLTAAGTAKVMQKDDSDMLGETKPTGIKADNKQGNESMETEHTIRPLRSQIQDYAVRFLEYNSQASDSVFTEAPPTPDRLNEFGILKVSTQLSQVSLFYAVPPGAMLAYRESVESLFMYHKNIGTGLKYDYEASVCDSAADLAQDNAYDEDEGETCTYLLAEAYDGGLLPKMGHKKKHIMRQRINSARPYEIGTDVSYEPCLERKSGNQQFFSNGKRPSSFVGIPTKRIRTAARQRVVSPFPISVGGTPHVKTDASSGDTDSYQDDQSSLHGGSLSWKNADYESTVDFDRQMPYDGSEAWTKSNKKKKHKNTGYQTAQNTANSYASAAVKGRIYDQRSQVDMITQYEQKDYLKKRSETQQFYSNVANGGQHAFKKLKMMKQGIDISQEASPVASQMSNMANPAKIIKIITNRDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINNIVQFKSVHRQPKECKERHKVLVDKSSGDGADSAEDSGSSQHYQFTIPGIPKGSARQLFQRLQGPFEEENLKSHFEKIALLMPQVQSRRRQVNSRELKPIIQPHSSHVAALSQACPNNLSGSTLMPLDLCDAISPNLDAITPGSGYQGSHANGLTLSNHHGSIGTPSPTPHSRLQGSPGMVLGSNLSSPATLSAPSRDSQKYGAPRSTSLQVDDEQQKIQYNQVVSGKNPQQAGVAAPGTCPAGVDRGAHVMPAVNGIGMTAGVNRGPAVRPGFPRVSSPGMLNITSTGNMSPKSVQGVPNAVNVHSGAMSSPGNSVLRPRDPMQMLRDRPCTAFPFYRCIETQRFCVLSNKKILQAMDVFFVQVHQVLLAIYDCTSLVSIWTSIDG* >Brasy8G287000.1.p pacid=40081271 transcript=Brasy8G287000.1 locus=Brasy8G287000 ID=Brasy8G287000.1.v1.1 annot-version=v1.1 KIGELLLDEYKLEDRVKKGVVSLHSELQMMHATLRKVGDKPPEELDEQVLMWSGKVRELSYNMEYAVDAFMVRVEDDNGHGRGPNNIKNRVKKSISDAMDEARELAKELGDLRQRYMLDAQANTTIDPRIKAVYRDVSELTTMRSNKQVKTVSIVGFGGLGKTTLAKAVYDKIKGQFNCGAFVSVSRNPDIKRIFKKMLHQLDRNKYAAIYEAIRDEGELIDELRMFLKDKRYIIIIDDICDEDAWGIIKCAFSESSLGSTVITTTRNINVSKACRLSGDDMIYKMKPLTEDDSKRLFYKRIFTQGSGCPHELEQVSTNILKKCAGVTLAIITVASRLASNDQQIKTKYQWETLLNYIGRGLAEGGSVKDMQRILSFSYYDVPSHLKTCLLYLSIFPEDFNIEKDRLIWRWIAEGFVQRGKHETRLYELGENYFNELGNRNLIQLVDFDEKGNAGACRVHDMENFGTILDGTQKSIPNSHNKVHRLSFQNSMLELTTHLIDATSMLQLRSVTLFRTDVDLIHTALSFFQLLRVLDLEGCNFGESRHKIDLRYIENLLHLRYLGLQDTCVGILPIEIGRLKFLETLDLRTRSKEPLVLPSSNTKVPIGMGNLASLEELTGLCVDGSSTIDKELGRLLQLRVLDLSLKGDDKNVCTSLVMSLGNLQNLQSLSIENGGKARFDVCWNSLVPPPYLHSINFYQCTSTLAMWVNSVSLPLLSYMTIFVDRVCLEVDFEILGKLPALCVLHLRTTKTQYTQVKRLSIGADAFPCLRGCYFRDFQTGPSIFPRGVMPRLEFLWFFARASLITGGDLDVSMEHLPLRTNLEAVAALRLAADAHPNRPTLGIIPHGKYTLFL* >Brasy8G092900.1.p pacid=40081272 transcript=Brasy8G092900.1 locus=Brasy8G092900 ID=Brasy8G092900.1.v1.1 annot-version=v1.1 MATSTKLVALGFVALLSIGLTDAARMLASSSSASGGGGGGGGGGGGGATGGSGWGGGSGQGGASGYGESFKNSGSQYNFAQAAGGGGGNGAGGGSKGGFGSGTGSGYGTGSGASSASAPTGSGYAGADGTGSGGGGGGGSDGTSGAGSGGGLGQGSGESGVAKAPAPSTGDGVSYSDAGGSGNGGGGGSGGAGAPSVGGGSGYGAGQAGSDSTTGSGSASGGGRGEGGGSAAGDAYAPSVGVGSGMGSGGGQTGTSGSNGSGYASGSGGGVAGGGGSSSNGGSGSGGGSASGSGSGGFN* >Brasy8G067000.1.p pacid=40081273 transcript=Brasy8G067000.1 locus=Brasy8G067000 ID=Brasy8G067000.1.v1.1 annot-version=v1.1 MSVPAPGASPSPPTDPPVVPSPPTDPPVVPSPPVDPPVVPSPPVDPPVVPSPPVDPPPVPRCPCPLPNPPPAPAADPTPPPPSDPVPLPPPVIPTPTPSPPADTAPSIPPPAPLLPPPATPVTPPEPLPPTPSAPPSTDPSPPAPVVPIPTPPVAPADPIPPPQTTPPPSAAPVVPTAPPISTPPPAPQTPVAPLPSSPPPLPPVMPASPPADSAPPVTPADPSPPAPSAPPPLTPVAPADPPPSAAPPAPSATPVTPAAPPAPSTTPVTPAVPPAASTPPLTPAVPPAASTPPVTPAVPPAASTPPVTPAVPPADSTPPATPAVPPAASTPPVTPVTPAAPPVPSTTPVMPAVPPASSTPPATPAAPPVPSTLPVTPTAPPAPSTAPMTPAAPPTSSTPPATPAAPPASPAAPITPPAPDTPAPSIPSPSAPVTPALPTPSPAAPAPATPSPVPPITPALPTPSPPSPEAPAAPTPTPPSDPANPAAPPTPSPPSPAMPAPPMPSPVAPAPPIPSPVIPAPPTSSPPSPVGPAPPLPSPVNPAPPLPSPVGPTPLVPLPPSPVLPAPPATSPPAPVLPAPPLPSPEGPTPLVPSPPSPVLPVPPATSPPAPVLPVPPATSPPAPVLPVPPATSPPSPVLPVPPATSPPSPVLPAPPTLSPPSPVNPAPPTLLPPFPAVPAPPMPSPINPAPPSPATPAPATTPPMPAPTLPSLPTPAVPAPTTLPSPLPPLTPAAPTTPPSPGLPPVTPPPAFPGTGAPSMPLPSPPSTARPSPVVVTPAPPGVTPAPPNGTQDPLAVLPPSPSPLPAPSHHSNKALIGFTVTVSSLIVLGLVAGLVYCFLRKRRRRRRRRQRPAPRPLGDPYDQSLPVTSPPHNQPSHQRSSSAPLSTIPPTYPAPPPPHPAALSSWQSTGTTTAHGLASAPLHHQQQPHPPSPPAVTGGTVSYADLSAATGGFSDANLLGQGGFGHVYRGALGERQVAIKRLRPGSGQGDREFRAEVESIGRVHHRNLVSLVGYCIHGDQRLLVYEHVPNKTLELHLHGSEDMPTLDWERRWRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDDNFEPKVADFGLAKIQHGEDTHVSTRVMGTFGYMAPEYTNTGKITDRSDVFSFGVVLLEIITGRRPVLSPEPDIDETLAFWARPLLTKAIEEEQISDELIDPKLEANYDAHEMQRLIACAAAAVRHTARSRPRMSQIVRYLEGELSVEALNAGVAPGQSDTQSLDGTAEQLSRMRKMAFLRDPVTDDTLVSEATSEYGLYASSSSGEGDGKEAGRHSGEAGPSEKMSKRTRPGKPPLAMSP* >Brasy8G241700.1.p pacid=40081274 transcript=Brasy8G241700.1 locus=Brasy8G241700 ID=Brasy8G241700.1.v1.1 annot-version=v1.1 MASHWIIRPANLFSHILPSKYHLEKTEEALKLDDKLKMPLFMWIRL* >Brasy8G169700.1.p pacid=40081275 transcript=Brasy8G169700.1 locus=Brasy8G169700 ID=Brasy8G169700.1.v1.1 annot-version=v1.1 MPPPTMPLASAGLLLQLAAAALRRRGPRRGRRRRRSQPVILQRTAAALRATRRRGPRSSPVGLLQRRRGPSRNSPSRPSQRPPAPTSSAAADLSAARCRGPRRGRRGPSCNSPSRSSQRPRRPPPACCPPPSAQLAVAGLTASYAVLNPARRRRPLPATSV* >Brasy8G011700.1.p pacid=40081276 transcript=Brasy8G011700.1 locus=Brasy8G011700 ID=Brasy8G011700.1.v1.1 annot-version=v1.1 MVYDGAVKDQQESESSRPVLSSSAAEAGAVTVVAEGTAAAGAGEEQVGEREREGEEGTVVGERPGSDKRLGVRHPLKYRRFRARGKTMVEPGGIIPPSQAVDEEGAGEVEEEEASPEREVQAGVVEVEVPLAPVEMEVEVEVESPAAAVSVGQSELDGPSVEDEDEEFTSYFLAKEAARKKGIVAAVPVRSVEVPKDQDQEKERKEKERQRERERVDEVGYMSGGCKSDDGILSCGYSSFRGKRASMEDFFDIKSSKIDDKNINLFGIFDGHGGSRAAEYLKEHLFMNLMKHPEFMKDTKLAISETYKKTDSDFLDSESNTHRDDGSTASTAVLVGNHLYVGNVGDSRAVISKAGKAIALSDDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQYVVAEPEIQEQEIDDESEFLILASDGLWDVVPNEDAVSLVKMEEEPEAAARKLTETAFARGSGDNITCIVVKFQHDKTSGSGDCSSSPPGNNA* >Brasy8G243500.1.p pacid=40081277 transcript=Brasy8G243500.1 locus=Brasy8G243500 ID=Brasy8G243500.1.v1.1 annot-version=v1.1 MTLCAPVTARPISAGSEKLKKPKKEMTKEARAMESKKRGKRRVRSRAREAEAVVALAKEREAMERPLEETAALAKEREDALRSLLLGNLAVQGAPAAVVAAVAASMESSVVSRPPRTRLTTPAVQVAPPVGSSSWSYSPETANWAPSALGGDGIDLNASPAATTPVLPSKHPEYFMDPDRIMEDIIGNNEGKGEEDLSKQWGEDTQDGVNIDSEPLYANALEHAARLQKKGKSKRTGAYVECEDKLLCEAWLEIGQDPICGAEQKGQAYFFHEQRRFPPHSFASDRGELFLQKRWGFIQQECNKFAGANNHAFQALEYFKEIHGNPFTMPHRWRILKEAPKWQKLYVSMKKAGSKREVSTIDLEASGHSEAASRVVRRRGRTNSKGDAKHDTSNLAFEETIKKILADKEAGTEKFQQKKEEQMNNYLELQKRILLRRDARLLLGTRLRVLHWVMPPARAGA* >Brasy8G211900.1.p pacid=40081278 transcript=Brasy8G211900.1 locus=Brasy8G211900 ID=Brasy8G211900.1.v1.1 annot-version=v1.1 MLFPTSPRLPIPCPPPPFAPPAAGKMMMTRASMGAMEGSAVDEVVRRLVEGGRSGRQVQLSEAEIRQLCVDAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELNDLDQIKDIERPAEIPDYGLLCDLLWSDPSPHGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNVGALLSIDENLMCSFQILKPTETGLSRVRRSIPNKPACGESS* >Brasy8G087100.1.p pacid=40081279 transcript=Brasy8G087100.1 locus=Brasy8G087100 ID=Brasy8G087100.1.v1.1 annot-version=v1.1 MSPVSSSPLYIADRACLPSGPRGPASLFFFFFPHTASLSPDTTTCRFPLPFHSHRSLARPDPSSPDWPGTTAAAAAAAFGSLPAPSTRTCEPLSHSIPAPGRGRRRLRSSSVLVSSGSELLYRWSLAEFPCASGEELAGVPPWRFFCLFVRMEITAQRGDSRRLAVELCVDAGGWRSSASFSCQWCA* >Brasy8G113100.1.p pacid=40081280 transcript=Brasy8G113100.1 locus=Brasy8G113100 ID=Brasy8G113100.1.v1.1 annot-version=v1.1 MAAQEGAAGSPGMRVRYGADMDDSNFALHGRAVPLLVGLLCLVVVSVAVSLYLRWACRRRQRRRYNDPEATSSSSSSAPAPTMPGLDADAINRLPVKLYSPPPSAVRKKGGEEEQEAASAAECSICIVELVAGDKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRTLLVATAAKPAAVDGADDSPV* >Brasy8G201400.1.p pacid=40081281 transcript=Brasy8G201400.1 locus=Brasy8G201400 ID=Brasy8G201400.1.v1.1 annot-version=v1.1 MLHSVKFLCSVAAAVARLVHALLMSLCSPRTSPAPLQVAIIKAKVRSPMIAVAVDDDEKPPPPVLVLPALFKQGEKGAKAAARGRPSRLVIPPPVAGRANPFGEAAEADRVAAEVEEQGKGFCVASRRGMRHAMEDAYGVIADEIRGDSRMAFYGVYDGHGGRAAVDLVAERLGKNVVAAAATASRGDELGVMAAIREGYLTTDNEFLSQGARGGCCAATALLKDGDLYVANVGDCRAVLGTRGGTAIALTSDHTPARDDERRRIESSGGYVSKGSGGVWRVQDTLAVSRALGDADMRAWGVTGVPEVHAARRVTPECAFLVLASDGVWSKVSDQEAVDAVVNRSSSCTAEKTTSVECCKALVALARSRGSRDDITAMVVDLQRFLLPR* >Brasy8G276300.1.p pacid=40081282 transcript=Brasy8G276300.1 locus=Brasy8G276300 ID=Brasy8G276300.1.v1.1 annot-version=v1.1 MGQKYLTSPARPSPVKHHLAPVQKFPIHPQPFSFPYAASAFSRRPPSASSSPPAARGCSPPAGHWPRALLLPCFASPRWSRLPSRRGAAAAPSRRLPRQCTIPRRVSSPRRSPLRCGRPGTPSPSRAHMTVAWCCQARRARQELLPSRLDRTWARFGWARVHPDGVEPDPLRRLLSELDELEVDKV* >Brasy8G234900.1.p pacid=40081283 transcript=Brasy8G234900.1 locus=Brasy8G234900 ID=Brasy8G234900.1.v1.1 annot-version=v1.1 MARSMKALHPRPHRRHRPPRVRGSGGGERQASSSSYGAPQLGRVAAGNSGQRARSSCGDEHRRRRGAAVATGSSRVVAAGLGEIGGRHGIGKVDESGVSGRQARSCAKLVAERRPGGSMMERHGHSGSSGGQRQWGGGDGRAGSSGASGGERRSARWRRDKGDGGIE* >Brasy8G089200.1.p pacid=40081284 transcript=Brasy8G089200.1 locus=Brasy8G089200 ID=Brasy8G089200.1.v1.1 annot-version=v1.1 MARPRAGAHPSGLVPLLLSALLLLLARDGAAGSGGRGSSVYPASVVYPHHSRQISWKPRVFLYQHFLSDDEANHVLSLARAELKRSAVADNTSGKSTLSEVRTSYGTFISKGKDPIVAGIEDKIAAWTFLPKENGEDMQVLRYKRGEKYEPQFDFFTDNVNTIRGGHRVATVLLYLTDVAEGGETVFPLAKDFTDTGLHDKDTTLSECAQKGIAVKPRKGDALLFFNLRPDAATDPLSLHGGCAVIKGEKWTATKWIRVASLDKVYHTQGNCSDNNDSCVRWAALGECIKNPPYMIGTAALPGHCRRSCNMC* >Brasy8G023000.1.p pacid=40081285 transcript=Brasy8G023000.1 locus=Brasy8G023000 ID=Brasy8G023000.1.v1.1 annot-version=v1.1 MKAAGDDVCAATCPEWSLLPCDVLVDIFLELEIPDLLRSGAVCTSWSWHSAYSAFRRLRLPSPKQSPYLLYASAACGPDYAALYFPSTGLTFRVPTPGARLRSLWPIGSADGWVVAADEIGNLHLLNPLSGGRIELPPVTAMYHVKSSFDEEGGLVYDVDENNPRYPGPTRVPALEMRDCMYYRAVLSCGPSAGAACVVLLLHMPNGQLSYARPGDERWTWVSPDDSTGLRWRDRYRDAAYSKEDGLFYVVRTDESVQTLDLNGPSPAARMILCARDPLREMPHRYLVHSPWGDLLHAWRYRTQIDPDDLLESTDDDIRDLRSDSELITTEIQLYRADLHGQQLERLASLGDHALFLGCNSSLCLPVKDFPGLKPTRAYITDDSFKNSKREVGAWSIESQRLEVIRDATHGEDPWLNWPPPIWIVPSLL* >Brasy8G203400.1.p pacid=40081286 transcript=Brasy8G203400.1 locus=Brasy8G203400 ID=Brasy8G203400.1.v1.1 annot-version=v1.1 MALISSSTNHFTNRPNNSTSTPRHACTATAAAASTRTPLQSPFLGAHLLLAALASTCYAADGRAAAMCHPHQLRRGGLPRGPNDDPPDRPAGQRTLIGLEPRACPSATD* >Brasy8G225800.1.p pacid=40081287 transcript=Brasy8G225800.1 locus=Brasy8G225800 ID=Brasy8G225800.1.v1.1 annot-version=v1.1 MGLLKNSLLLQGQLRHATAAAAAAGVGRRGVATSTKEYMRRNYANNVSEYNTVIGSLVQQRRPYLLRDAYDDMILDGVQPVRDTFHNLIVGTMKGSRMQDAFYFRDQMREMGLQPDVNLYNFLISTCGKCQNSDAAILLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKTPASEDTTAKILEFVQQSKGWQYVERVANDSAENIMMNVSEEELYNLPTAEYAHRRGFIFKQLTIYHVAVHACADLRSKETLEALLDMLTKDGFTYDAFIVMHAVRCYLRCGDIDSAVKMFEEFSSSKPTPAELYVTLAEGAMIGYTPRGMQLAQETLEKMTKRNFFLNPKMGTDLLLAASGEKTGGYTTANYVWDLLQARKIIPALPAVEAYHKGLKEREIPSDDPRLLNVARTLDNLQLRFGPRRNTQ* >Brasy8G031000.1.p pacid=40081288 transcript=Brasy8G031000.1 locus=Brasy8G031000 ID=Brasy8G031000.1.v1.1 annot-version=v1.1 MEEAEAAALRASKRCRSISDDDQEKLPERGSSLDDPRSLEDLISNLPDAILGTIISFLPTKDGVRTQVLSHRWLPLWRSAPLNLVVDHHLANIPDVVSKILSEHQSPARCFSLHLAILARRRGEIDGWFYSQSLDNLQEIKVANKAAAHYILPPAVLRFAPTVCTVNLERCQFPNLVVPPSPNFPLLKQLILYEVIISEDSLYNLLSECTTLDSLSLHFVNFGRLCISSLTIRIIIFNASWDTSQELVIENAPCLERLISSNLSVSSTSVRFIQAVDPLRSPTTVRGKVAASLTTKMHTMKILFLDSVGPKFDVVVDFLRCFPFLERLYVSSYPEKGMNNLRKYDPWDPVECLELHLKKVVLRNYNGNNKAAVDFAKFLILNAKVLKEMKIEVISNRSDKRLQYHRRQLQVENRASQDARVELNRWGPRFMHSRYCHDFSRADPWDTVE* >Brasy8G127900.1.p pacid=40081289 transcript=Brasy8G127900.1 locus=Brasy8G127900 ID=Brasy8G127900.1.v1.1 annot-version=v1.1 MSAHARAMSHPPPPPLLTNELSRRTAVLGLRLWVLVGIAIGAAFLLLLLALVTVHLAAAARRRRPSKKKMAAHAPPLASSPATTIPPVSKEIQEVAVHVGSLRHYLEMGTAFLKDKDKESTQDGGDSLCGSVAHGSQRVHIEAGKGRRMVAYADGAGPSPVVVGPASEAGAPSASAHEVSHLGWGHWYTLRELDEATAGFAPERVVGEGGYGIVYQGVFADGHQVAVKNLLNDTRGQAEREFTVEVEAIGRVRHKNLVRLLGYCNEGAHRILVYEYVDNGNLEQWLHGDVGPLSPLSWDTRMNIVLGTAKGITYLHDGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIISGRSPVDYARPPGEVNLVEWLKKMVSNRDYEAVLDPRLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHAIHMLEVDDFPYRDDRRTLRPCQGSPLEKARVPGKPLTESGHSSCYEGNSSTAATTPSRFRES* >Brasy8G161900.1.p pacid=40081290 transcript=Brasy8G161900.1 locus=Brasy8G161900 ID=Brasy8G161900.1.v1.1 annot-version=v1.1 MCTHWVSKCISTWSPHVYPYDKIPLVLTNFIDWRMWTCIVQQPRKRKLGPHLESNT* >Brasy8G208400.1.p pacid=40081291 transcript=Brasy8G208400.1 locus=Brasy8G208400 ID=Brasy8G208400.1.v1.1 annot-version=v1.1 MAAPALLSAPQPMEGDGVVAPHAAPSPTQAPPERGILSRRPPIRVTSEFDSESKLFSHRISCRVLDGLAKLRLRVHQGAAGGVGPGPEVALVARNFSVVVDTASRGAVLRGAADLAGSLSLRASHNTKEGLGEVAVTTNLGDSPCKMEISSLVSPDGLPRATFIFPNGEVSVKEKKLDEGEKILSVNGIVKSHVLNGVCTALYNDNAMNIKYRYKDDELSFIPSLSLPSNSLSFAFKRQLTPSDKFSYRYHFDTNYWSAVYKQKASKHVKWKAGYESDERLGWASLWVGDAGGKTKEAPLKSKIQLMLKVPQDNIQNSVVVFRVKKRWDF* >Brasy8G208400.3.p pacid=40081292 transcript=Brasy8G208400.3 locus=Brasy8G208400 ID=Brasy8G208400.3.v1.1 annot-version=v1.1 MSCDYQYDSSVVSCVHVDRYFQLKYDSRKEGLGEVAVTTNLGDSPCKMEISSLVSPDGLPRATFIFPNGEVSVKEKKLDEGEKILSVNGIVKSHVLNGVCTALYNDNAMNIKYRYKDDELSFIPSLSLPSNSLSFAFKRQLTPSDKFSYRYHFDTNYWSAVYKQKASKHVKWKAGYESDERLGWASLWVGDAGGKTKEAPLKSKIQLMLKVPQDNIQNSVVVFRVKKRWDF* >Brasy8G208400.2.p pacid=40081293 transcript=Brasy8G208400.2 locus=Brasy8G208400 ID=Brasy8G208400.2.v1.1 annot-version=v1.1 MEISSLVSPDGLPRATFIFPNGEVSVKEKKLDEGEKILSVNGIVKSHVLNGVCTALYNDNAMNIKYRYKDDELSFIPSLSLPSNSLSFAFKRQLTPSDKFSYRYHFDTNYWSAVYKQKASKHVKWKAGYESDERLGWASLWVGDAGGKTKEAPLKSKIQLMLKVPQDNIQNSVVVFRVKKRWDF* >Brasy8G085700.1.p pacid=40081294 transcript=Brasy8G085700.1 locus=Brasy8G085700 ID=Brasy8G085700.1.v1.1 annot-version=v1.1 MDAASLLPFVFALVAIPISLALLNRLRLGRLPPGPRPWPVLGNLRQIKPVRCRCFQEWAERYGPILSVWFGSSLTVVVSTSELAREVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTQKRLEALRPIREDEVTAMVESVHRVATDPAPGNEGKPLTVRNHLAMVSFNNITRLAFGKRFVNANGDVDEEGQEFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYNTHNERRDRLTKKIIDEHATALKESGAKQHFVDALFTLREQYDLSDDTVFGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDSVVGRDRVMSETDFQNLPYLLAVVKESLRLHPPTPLMLPHKASTSVKVGGYNIPKGANVMVNVWAVARDPKVWNNPLEFRPERFLEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLLEGTRPEDVNMMESPGLVTFMGTPLQAVAKPRLEKEELYKRIPVEM* >Brasy8G299900.1.p pacid=40081295 transcript=Brasy8G299900.1 locus=Brasy8G299900 ID=Brasy8G299900.1.v1.1 annot-version=v1.1 MEKFLVSASTGVMGSVLKKLGAILSNEYKLLKNVRRDVDFLKDELEVLHVFLLDMADVQEPGNQDKHRANAVRELSYDIEDKIDKFMLLVNNESSHSSDGFRDLFSKSMKKIADLKTRHKIAKDVKDIKSQVNEMAARYARYKIDESSRPRNEKVDPRILAVYKDASELVGIDGPTDELVKWMSSEKGESAHQLKVVCVVGSGGLDNVHAGAGNLQLNIDQIRNFLKDKRYFIIIDDIWDKETWRTLDCALIKNSCASIIMTTTRIHDVAQSCCSSHGDLVYKIQPLSAADSKKLFLKRIFGCEEKCPPDLKEASEGILKRCGGLPLAINAISSLLATGKTKGEWEHVRCSVGFAQGRNPDIDAMNYILSLSYFDLPLYLRSCLLYLTMFPEDYKIERQRLIHMWISEGFIHGEDVEDLVELGDTYFHELINRSIIQAVGIEYNGKAFACRVHDTVLDFLICKSTENFSTDLSNRSKPDRRIRRLSLMGKEDRHTVEQLDLLHARSLGAFCYAEKFPSLGKSNALRVLDLQNCYGLENHHVRDIGRLFQLRYLNISSISISELPTQIGDLVYLETLALSSSYLVELPESVTRLKRLARLFVPGSTKLPDCIGNVEKLQELGNSINIFEQSVKFVEELGKLINLRKLSIVWNSIDSDKASYKKKEMLVSSLRKLDTCKLHTLSIEFWFGGEDAGTLREGHPCFIPALRSIREITLCRGQLCWITKWMVSLANLEKLTLWGQQDVEMVGSIPVIISNSSNGGFQQLQMFRFSLFVREFMFEVGAMPNVRKLTLNIWVKEMKSASGGCGGFDDIGIHHLSSLAEFHVCIRCTGVRAADVEAMELAVKSMAEAHPNRPSLDMWRYRADKMLKDNAE* >Brasy8G019400.1.p pacid=40081296 transcript=Brasy8G019400.1 locus=Brasy8G019400 ID=Brasy8G019400.1.v1.1 annot-version=v1.1 MPPHTLSLALLAPLGLMISPVLVQSGPTDSAINLACANAMQPQPCLDVLHANLDVRTPEVNGVADMSLQVAAKIAKEAGEFAAAANKMKSPPSKCLMNCAGDIDKVAKEMGELPAVIKAGDEAGVLSFIEGFKRACGDDCPAATERSADERATWEKFNGVMKALGVVQDLFMTKKDKREGHYLEKSPDY* >Brasy8G125300.1.p pacid=40081297 transcript=Brasy8G125300.1 locus=Brasy8G125300 ID=Brasy8G125300.1.v1.1 annot-version=v1.1 MAIGVEEIKYFSQAKQQLGRRWRRPARGFRLSPNRVSVRRLRAKLWTMLGLLGRYVRNVRLPLITSSSSSPASAAAKKGGLHRQQQAASAKAPSTGKNNNNNNNGKPRRPPCMRSNSFYTRAVAECLDFIKGSNGGAGPVVEDNRGVDRRGHEQQQKVLKATK* >Brasy8G223900.1.p pacid=40081298 transcript=Brasy8G223900.1 locus=Brasy8G223900 ID=Brasy8G223900.1.v1.1 annot-version=v1.1 MLGKRGFRIVHKGVLPDRKQIAVKRLCQSSRQGIGELKSELVLVAKLGHRNLVSLIGVCLEEQEKIVVYEFMPNEALKIKDPDWGRRFKIINVVARGLQYLHEDTQLKIAHRDLKASNILLDFDYKPKRDQSEAFTSRIAGTYGYMSPEYFGVFVLEIITGRRNNPSCNSEQYISLKSCKNLRTNVRCVWKHWTTGDVIRLIDPSLGNHPPPIDQQLKCIQIGLLSASVRLPSVSLPAFYDGLNGYCDNSKMVSSNGMTITKLDP* >Brasy8G012200.1.p pacid=40081299 transcript=Brasy8G012200.1 locus=Brasy8G012200 ID=Brasy8G012200.1.v1.1 annot-version=v1.1 MASTVTTPSRPLPSPAGIISHHRRRCCFCCYRPAAVLALAGGGAAAKPPQRRASPAALASPEKQSTAAAAKLKLPPARRSTAATAPEDYGEVAAALETLYQLSPTVVEEDDVERDESSRKEAEKKKKQRRKTKALPAMKTTVVVRSRRRGRRMDLGKRVEMRESAACGGGDEAAEFEDALLREHGVSTDMGSLDWRRTKIPPVLSAAHSSRLFKLMQPVKEIFQVKENLESELGRDPTDAELAEAMNMPVPRLRRHRDVGRAARNKLIKHNLRLVLYAINRYYPDMATGGGDGGKFEEVCQAGANGLITAIDRFEPRRGFRLSTYALFWVRYLLVLSKAV* >Brasy8G098100.1.p pacid=40081300 transcript=Brasy8G098100.1 locus=Brasy8G098100 ID=Brasy8G098100.1.v1.1 annot-version=v1.1 MQWYFVAALLTVLTSSQGIWTTLSQSNGKYKYDYATIPFLAEFLKLLVSSFLLWKECQSPSPPRMTKEWKSMRLYLVPSVIYLIHNNVQFATLTYVDPSTYQILGNLKIVTTGILFRLVLKRKLSNLQWMAIILLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYMFGVIFNMGWLVYGDFKAGFEMGPWWQRLFNGYSITTWIVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATVQLFLGIIICIISLQMYFMPVHMLVELPQTLPATSK* >Brasy8G098100.2.p pacid=40081301 transcript=Brasy8G098100.2 locus=Brasy8G098100 ID=Brasy8G098100.2.v1.1 annot-version=v1.1 MTKEWKSMRLYLVPSVIYLIHNNVQFATLTYVDPSTYQILGNLKIVTTGILFRLVLKRKLSNLQWMAIILLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYMFGVIFNMGWLVYGDFKAGFEMGPWWQRLFNGYSITTWIVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATVQLFLGIIICIISLQMYFMPVHMLVELPQTLPATSK* >Brasy8G098100.3.p pacid=40081302 transcript=Brasy8G098100.3 locus=Brasy8G098100 ID=Brasy8G098100.3.v1.1 annot-version=v1.1 MTKEWKSMRLYLVPSVIYLIHNNVQFATLTYVDPSTYQILGNLKIVTTGILFRLVLKRKLSNLQWMAIILLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYMFGVIFNMGWLVYGDFKAGFEMGPWWQRLFNGYSITTWIVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATVQLFLGIIICIISLQMYFMPVHMLVELPQTLPATSK* >Brasy8G079700.1.p pacid=40081303 transcript=Brasy8G079700.1 locus=Brasy8G079700 ID=Brasy8G079700.1.v1.1 annot-version=v1.1 MASAAPERSKKLQILLIPFFATSHIGPHADFAVRLAAARPGAVEPTIAVTPANLPVARSALERHGPAASSAVKIATYPFPDVDGLPPGVENLSSAAGSGDAWRVDAAAIDEALTRPAQEALIRARSPDAVVSDVHFFWNSAVAQELGVPCVTFSVIGSFSTLAMRHLGGGGTLGSDDGREVTVPGFPGPEIRIPRTELPEFLRCQQTHDGFKPLVAAQGSCFGLVVNTFLDLEQQYCEFYARQGYVKRAYFVGPLSLPLLPQAGASTTGESPSIRWLGSMPTCSVVYVCFGTYASISADQLRELALGLEASGNPFLWVLRAAADGWAPPEGWEERVGKRGMLVREWAPQAAILSHPAVGAFLTHCGSSSLLEAAAAGVPMLTWPVVFDQFIGERLVTDVLKIGERVWDGPRSTRYEEKETVPAEAVARAVARFLEPGGTGEAARGRARELAVKARAAVAEGGSSYRDLRRLIDDLVEARAANGGAAATSYP* >Brasy8G033800.1.p pacid=40081304 transcript=Brasy8G033800.1 locus=Brasy8G033800 ID=Brasy8G033800.1.v1.1 annot-version=v1.1 MLLQQPDPFFHQATTNSVPNHCRLADEQQDGSVESLPNETEPEWAQFPRRPATPSSLRTGLVFFDLKVAEHKDVKNDNVVDHCDGHQAKAESGCANSVTAGWDHRRHLCLREDIIGISHKARKILKWYFSHQKSTCLIYGPIFLLPNLWSHHSDITSHWPSERGNGRPPSFKDYLLWVVDRVHAIGDVECGGRPCGDCTFVTFMIVVHAITFFLNVGGRVMVMLKHLVGRNQPLKKSC* >Brasy8G159500.1.p pacid=40081305 transcript=Brasy8G159500.1 locus=Brasy8G159500 ID=Brasy8G159500.1.v1.1 annot-version=v1.1 MASVGGSGGGASCNDCGNQAKKDCPYQRCRTCCKSQGFDCTTHVRSTWIPAASRRRDRQNPAGPNGDDSASGLSPSSSSKKARRLLSCQQTTTTNSRTQSTSSRSFDATSTQQEGPYRESLPRYVRAPAVFRCVRVTSVDDGGGDDELAYQASVTINGHMFRGFLYDQGRGNVSVDGSSSSHAAAAVRSISDLHLGTAVSSSAVPPGVYDAVGGALILGGLSYGNTIN* >Brasy8G135700.1.p pacid=40081306 transcript=Brasy8G135700.1 locus=Brasy8G135700 ID=Brasy8G135700.1.v1.1 annot-version=v1.1 MNGGGRRRYSSEQLLFDVPANAAAAGGVGRWTQRGAVRRGDGEIFVSVDPATPARLRGGDGAAVGSPGQRQQFSPGLLDLHAFDTELIPDFQVQGMYDGAQKFTSANGVGFDDSDVSFATNKQISKSTVFAESNYLAAFPEKEKVAPVAKIKVVVRKRPLNKKEISKREEDIIDIEQSSNSLTVHETKLKVDLTEYVEKHGFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMNHTYRNQGFQLFFSFFEIYGGKLFDLLNERNKLCMREDGKQKVCIVGLQEHRVSDLETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRRVDGNGSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNSKKDVPLASAPLRESSPSPLHSVVPSFSAAEVMNDITERNNFGWPKQQYAKEQPAPSFVDRMPKARENSLSNGGYFNGQGAKGASATGIAVVPDTMYQQGRQQARKGRDPTSENNMRNSIAYPVRRTAVDEDDHLNDLLQEEEDLVSAHRKQVEETLDIIKEEMNLLVEADQPGNQLDDYVTRLSSILSQKAAGIVDLQDRLAQFQRRLSENNVLLCSESP* >Brasy8G195600.1.p pacid=40081307 transcript=Brasy8G195600.1 locus=Brasy8G195600 ID=Brasy8G195600.1.v1.1 annot-version=v1.1 METQTRSMAAPMILVLLCLSAAAPSAHAQTPAATAPAPAAAAATPKTIKAVLTKAGQFTKFLQLLQSTQEDSQIDNQLKGKSSSGGLTVFAPPDNAFSSLKSGTLNALSDSQKTSLVQFHVVSQLIPMAQFDTASNPLRTQAGETRPGKYPLNVTADGQQVNISTGVVNASVSGTVYTGDRLVVYQVDKVLLPWALYGTPLPPAPAPSPVEKEKEKGKVGPKAAAADAPAADAATASEAAALVRPGGVWGQGGVFGVALAVAAVWFVVV* >Brasy8G239300.1.p pacid=40081308 transcript=Brasy8G239300.1 locus=Brasy8G239300 ID=Brasy8G239300.1.v1.1 annot-version=v1.1 MATMRAATVLAVVLLLCAAQHGAAQRYEAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGETFFKRPTGRCSDGRVIIDFLAEHFGLPLLPASKAAGGDFKKGANMAIIGATTMDFDFFKSIGLSDSIWNNGPLDTQIRWFRQLLPSACGSGCRRHLSRSLFVVGEFGGNDYNAALFSGRSMADVRGYVPRVVSHIIRGLETMIRLGAMDIVVPGVLPIGCFPIYLTLYGTSNAGDYDGDGCLKSYNGLSYYHNSLLKRSIAKLQRTYPHTRIMYADFYTQVIQMIRGPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMAGASACSDPQNYLIWDGIHLTEAAYRSIANGWLKGPYCSPRILH* >Brasy8G291300.1.p pacid=40081309 transcript=Brasy8G291300.1 locus=Brasy8G291300 ID=Brasy8G291300.1.v1.1 annot-version=v1.1 MYILASKLLLEPRDLLGSLVLVPMTTLQTTNPLRCIFLETIYKGKKKKAPKKGRLVHPIGLLERGRIFSVPWRRRLPCRRAPSCTPSRSNAARTAAQARSSSPNNPPPAPPPLPPPLPLHL* >Brasy8G043700.1.p pacid=40081310 transcript=Brasy8G043700.1 locus=Brasy8G043700 ID=Brasy8G043700.1.v1.1 annot-version=v1.1 MEIAKMEQNSGGGWSESVGDGMSIFVQSVDVRRPVAGKGRRRAAAQRTLSSGMEQVAISGKDRLHRRPEKENLRAVLAEPEVAKKAATMAEKLERQVEGKARPELLGTRARSGRRRKGGVVFVAAGSAGPRESSTGMTYAEVTQELERVKRELAELQREVKAAREAKAERGLASASTSSTETMMSSGSFAAAAANGKEAKGTEEEAASRWTVSQTMGLRRDMTTTRSRTSVSSELEAWLSAASSDEGLRHHGGDDKSEASAATTRRHEVRDDGSPWTLQAAAEAELDMARTELESFKGEESLVLRSAERAHGETARVAEEIGRIEEQEKKAGAQMQQLNARLVEARSRLAVVRAADKMAGEMLSDLKAELQKLDEETEAAEKEKALTEEENRCAVDNAESVESEIAAAEQRIKVAVRELEAVRASEAMETGKLRAVVESVMLSRLSGASLRSGNVTIPRFEYEYLTSRVEVVRAVADKKVAAAEAWVEARRAGEKEMTMRAEAIERELGEAESFAEAVDEESAAAEVQQIRPRHGPHPPKRPMRENGTPVVTPRRKRAMPVSPVPRNLRASSSKTIHVRNKKSRVLIPNYLKLISGKCAGRN* >Brasy8G068000.1.p pacid=40081311 transcript=Brasy8G068000.1 locus=Brasy8G068000 ID=Brasy8G068000.1.v1.1 annot-version=v1.1 MAVTGEEDKQLTMSTEDMLQGHAELCTHAFAYVRSMALKCAVELGIPDAIHRSHGGAATLGELATRVALPPSRLPRLRRLMRVLTVSNVFTVDARQPDDPVYGLTRASRLLVTLSPGSGSLSRLVSLMCDPNLAAPFFGMSAWFLADDDQRSPARSSIFEMHHGADLWDMAARDAALSKSVGDGMDSDSRFIAEVLLLRNDGGGNHHAREVFDGLSSLVDVGGGTGAVASAVAAAFPHVQCTVLDLPHVVADAASADGAVRFVAGDMFERIPAADAVLLKSVMHDWKDDECVRILRRCKEAIPPREAGGKVIIINMVVGSGKAGGEAMLEEAQVVYDLFLMVFEGREREEHEWEKIFLEAGFSGYKVMPVLGIRSIIEVYP* >Brasy8G032200.1.p pacid=40081312 transcript=Brasy8G032200.1 locus=Brasy8G032200 ID=Brasy8G032200.1.v1.1 annot-version=v1.1 MAPLVKNDAKAVFLAAILATAVAMSSCHAANDDACYDLLPCTANTCSVYCKQIGYKEPRTGCWRTRNPKVGNYYDTCCCNQHPVQAIHGPAPAPVARSISRRSNGLVGLIFFK* >Brasy8G103400.1.p pacid=40081313 transcript=Brasy8G103400.1 locus=Brasy8G103400 ID=Brasy8G103400.1.v1.1 annot-version=v1.1 MADSKATAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKDRLSKVYEVKDPNCIFVFKFRTHFGGGKSSGFGLIYDNLEAAKKFEPKYRLVRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGDAKKK* >Brasy8G078800.1.p pacid=40081314 transcript=Brasy8G078800.1 locus=Brasy8G078800 ID=Brasy8G078800.1.v1.1 annot-version=v1.1 MAPRRVFLQRSTVGWNGISCSIGKSYFARTSIGGHIREIICKPQYKDTFYVDMRSGEHRSLTSFWCLCLVLVPIYHVVTILLWMLHG* >Brasy8G169200.1.p pacid=40081315 transcript=Brasy8G169200.1 locus=Brasy8G169200 ID=Brasy8G169200.1.v1.1 annot-version=v1.1 MEERRTVATPLFPFPTFANETEPQHHFSDYGFDPQLLCFSQQTEAKRAAARRHQQPLEPARFKLQKPISKKHQHRQQQSKSKQHRRWWSSAASAALLFFKRQPSDPTARATSAASSFSYNAATAFGASRPLYLADDDDATGCTCWAWAPPPMRAAHMAAAEVGVASAMLPYVSLRDVNVDCGAGGVTPSMPIYLVT* >Brasy8G209400.1.p pacid=40081316 transcript=Brasy8G209400.1 locus=Brasy8G209400 ID=Brasy8G209400.1.v1.1 annot-version=v1.1 MADQVSNRSVILKRYVTGFPTEDDMELVTSSFSLAVPPGNPTAVLVKNLYLSCDPYMRNRMSKHEDDSATYIEDFVPGEVLAGFAVSKVVESGNPDFKEGDLVWGLAGCEEYSLIAHPEYLFKISHPELPFSYYTGVLGMPGLTAYAGFLEVSKPKKGDYVFVSAASGAVGQLVGQLAKISGCYVVGSAGSDEKVNLLKDKFGFDDAFNYKKEHDLKAALKRCFPHGIDIYFESVGGAMLDAVLLNMRKHSRIAVSGLISQYNLEKPEGAPNLFCLVAKRIRMEGFIVGDYFGRYHKFEEEMAGYLKEGKITYVEDIAEGIEKVPAALIGLFSGRNVGKQLVAIACE* >Brasy8G177400.1.p pacid=40081317 transcript=Brasy8G177400.1 locus=Brasy8G177400 ID=Brasy8G177400.1.v1.1 annot-version=v1.1 MGMPPPDDSRPAAADTYSKVLQGRYELGRVIGRGASSKVYRARVVRTGVHVAVKAIRKPHHQYPSSCSPEAATAARRSVEREVAALRLAQGHPHVVRLLDLLASRSTVYLVLELARGGSLLSALDARRGPRSDDDERAARRLFAQLASALAHLHSRGVFHRDVKPQNLLLDDRGDLKLTDFGLCLLRRRSSSLADAHDGQAALTATLCGSPAYVAPEILRKRRYDAGKADVWSSGITLFSLVAGYLPFNDGNLMAMYRKICSGRYRCPKWFSPELRCLIGRMLDPEPDTRIEIGDVLDHHWLRQQDGTTSSLGTMVRSVPVDLDPEVLKWEAETEQARELNAFDIVAFASGCDLSGLVGPLPDRVRFVVAGVDVASVLDKAEELGRAEGFAVRRKGQVECGGVLFQATQGKFVARIRLRDGLPNLLVLFFEPRTAPAVPASPVFSGRHPPPWRAKLQCSTATLDQPFALLPSASVRHPLPPPVLAAGALPTTRSTAHHPH* >Brasy8G179900.1.p pacid=40081318 transcript=Brasy8G179900.1 locus=Brasy8G179900 ID=Brasy8G179900.1.v1.1 annot-version=v1.1 MDILTIYTAVALTSLQPAGEGPTRPPYPGIFSLPISPVLFGPLSLHLDLSTAIFASAAWGWGLGGAGRRRREARRGGRGSVVEGGGRSTSSPSIPRRGLAHPPTSFRGCVSTSGGGAPSSAGLTSTTISPPIPRQK* >Brasy8G257600.1.p pacid=40081319 transcript=Brasy8G257600.1 locus=Brasy8G257600 ID=Brasy8G257600.1.v1.1 annot-version=v1.1 MDQLVVSLNISLYKYSLGYQSVSGFKYLSACIRCFLLSLERNHQHTYSVVGNKCNCSFRSKVMERMVLEPVLINVEINETAIDLEPWTNSLMLIVKSMLILVAVIYLF* >Brasy8G201600.1.p pacid=40081320 transcript=Brasy8G201600.1 locus=Brasy8G201600 ID=Brasy8G201600.1.v1.1 annot-version=v1.1 MSKNLQMVLSDAQGAAVAGSAIAASYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPVLQLEYSNGRVVTTTEAKVADAINAATSEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIARIIKQYISPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDRNPEGLLEKVTIDDVNIGLGYVCVRNRIGEETYDQARVEEEQLFKYDPLLSKIDKGMVGIPVLAQRLMQIQATIIAKCLPDIVKQINDRLSRNNTELDQMPPDLTTVADAVKEFVRIVKQVCGSLEKLLVRGEFNEFPDDGHFHGTARVAEMLNGYAKKLPADVPRRANDLFLMEEIRLLEETKGIKLPNFLPRSAFLVLLKMKVETVTQIPHEFANQVWEYVEDLVMKIVQQHTDNYPQVQSSCRRAVQILIEKARARSTQHVKELIEMELVADYTANPDYMKTWHEIMEGHDNFMEAVVDSSKPAVVNIVGFGEVDVSHLRGKSDIAGQAFDLSARLTAYWKSIALRLVDGLALHVLRGVKRLVDSDLETELANELLGNKLAGVERMLLPSPGTGTKRERIKKSIELLRQSKEVVANIIDRISAAGEV* >Brasy8G281700.1.p pacid=40081321 transcript=Brasy8G281700.1 locus=Brasy8G281700 ID=Brasy8G281700.1.v1.1 annot-version=v1.1 MAAKGSLLVLLALAAVLVAAQLKPAVAGVDGGYTPAIQEKVRKIVQDYIYDAPGLVRMVFHDCFVTGCDASVLLNIAEGQNEIHAVQNGGLRGMEVIQAIKDALAANDYKYNNDYVKVSCADAIVFAAREAIFLLSKEKIAYDVDGPGRKDSSTSVASNAAALPGPFDNFATLVNTYKNNNFDVTDLVVLSGAHAIGRAHRPTFAPRLDKAVSSPPRNEISPKYQAKVDEISKPSPNAVANNVRDMVSPWEAIAMGYDVSDAAAKSFAGKDTLDNSYYTANLRNLVLFNSDWVLRTDAVAAKKLEDYKNNATLWYGLFGDAMYRLSKLTPDAGRTDFVLGGAREYCDRI* >Brasy8G077200.1.p pacid=40081322 transcript=Brasy8G077200.1 locus=Brasy8G077200 ID=Brasy8G077200.1.v1.1 annot-version=v1.1 MHPLEGAGRGNCVVYRGPGVREYIPLLIPVGQAAHHKDPGDDGLSAVRSAGISRSLSLLNSACISLKPSLGSDPFPAPAMSAAVGGAGFHGAAQLPRSRMLGRPVRVAPPPAATPAGGGGLSASSIRAVSAPLKKDATEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDNAVQLIKFHGSYQQTDRAVRGQKNYSFMLRTKNPSGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKHVISTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLAPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVGGDNSVDILTNDIGVVVVSDSAGEPVGFNLYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLLDRWGIDKFRAEVEKYYGKKFEISRPLPEWEFKSYLGWQEQGDGKLFYGVHVDNGRLGGQAKKTLREIIEKYNLDVSITPNQNLILCGVDQAWRTPITAALTQAGLLEPKDVDLLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDQESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQTTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQDGESFGSFTNRMGFEQLKEVVNKWEESASAA* >Brasy8G105500.1.p pacid=40081323 transcript=Brasy8G105500.1 locus=Brasy8G105500 ID=Brasy8G105500.1.v1.1 annot-version=v1.1 MLMRRRRLLALLLLLPLAAALAFDVAAGDAGDEERVLVGNEERAVRAAAAVARGEGLDIALGAAEAGGEAVQGNATTKDGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNRKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRAVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGTLVLQDCAIGLLFALLPILSGASGLLHGVASMTKSLVLLISFLAILSILSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLINVHFLWNHVDILLAAVILVITIKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDINQVELGLKGEGLRTDSGKRINLIVQGPHDS* >Brasy8G105500.2.p pacid=40081324 transcript=Brasy8G105500.2 locus=Brasy8G105500 ID=Brasy8G105500.2.v1.1 annot-version=v1.1 MLMRRRRLLALLLLLPLAAALAFDVAAGDAGDEERVLVGNEERAVRAAAAVARGEGLDIALGAAEAGGEAVQGNATTKDGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNRKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRAVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGTLVLQDCAIGLLFALLPILSGASGLLHGVASMTKSLVLLISFLAILSILSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLINVHFLWNHVDILLAAVILVITIKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDINQLGLKGEGLRTDSGKRINLIVQGPHDS* >Brasy8G211800.1.p pacid=40081325 transcript=Brasy8G211800.1 locus=Brasy8G211800 ID=Brasy8G211800.1.v1.1 annot-version=v1.1 KSTSKKNGSPPRRTAPTQALFKSPPTPPSSSKPASPPLPRPRSSKAHPHRRGPGSLRHPHRPLPLVPVAHRPRGSSAPRLLLFVARFLFLPFHRSGQEQEGLRRWSPVPPARSRPPPRSSLPASSPGLSPSTGKPASFPGSSPSTDIGATREEVKEEKGVDVALLS* >Brasy8G023900.1.p pacid=40081326 transcript=Brasy8G023900.1 locus=Brasy8G023900 ID=Brasy8G023900.1.v1.1 annot-version=v1.1 MRCAVTGPSTASTSMAMAAVARRLPARITSSVWSRSICATAPPPRNLNQQQLLLYRTPISRNPRPHANLAFRSTVTPRRSSLQRAALPRVPDRAGLRQSRRLRHDPGHPPTISGRPACCLR* >Brasy8G039800.1.p pacid=40081327 transcript=Brasy8G039800.1 locus=Brasy8G039800 ID=Brasy8G039800.1.v1.1 annot-version=v1.1 MLQVYTLEIIVYDPHHPGKLDISGFVAIRDFRDGQRNYIFNRGMSDPFMTISDNGVLLLPTLSPRRAISSTILLEFDLKCQSTLQVGLIGPELTSSPFDLMTTAQSQRWATVDLRALKLPSQGIDLRCVARTGWIGDDIVLFGGRYGGNDPPLQFVVATELRGNMEIHLEGVFEGVSKRWSVGFVPKFHALFSEVVDLSFAQISLSVAWSEESNFPTYCPPELLLLAYLTKCCLVPKTHLSYGPTYGSPDL* >Brasy8G173000.1.p pacid=40081328 transcript=Brasy8G173000.1 locus=Brasy8G173000 ID=Brasy8G173000.1.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGLNSRGGGSMENQTNTDLNMGKIPQLGLEFGTVD* >Brasy8G173000.2.p pacid=40081329 transcript=Brasy8G173000.2 locus=Brasy8G173000 ID=Brasy8G173000.2.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGLNSRGGGSMENQTNTDLNMGKIPQLGLEFGTVD* >Brasy8G173000.10.p pacid=40081330 transcript=Brasy8G173000.10 locus=Brasy8G173000 ID=Brasy8G173000.10.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGLNSRGGGSMENQTNTDLNMGKIPQLGLEFGTVD* >Brasy8G173000.11.p pacid=40081331 transcript=Brasy8G173000.11 locus=Brasy8G173000 ID=Brasy8G173000.11.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGLNSRGGGSMENQTNTDLNMGKIPQLGLEFGTVD* >Brasy8G173000.3.p pacid=40081332 transcript=Brasy8G173000.3 locus=Brasy8G173000 ID=Brasy8G173000.3.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGLNSRGGGSMENQTNTDLNMGKIPQLGLEFGTVD* >Brasy8G173000.12.p pacid=40081333 transcript=Brasy8G173000.12 locus=Brasy8G173000 ID=Brasy8G173000.12.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.4.p pacid=40081334 transcript=Brasy8G173000.4 locus=Brasy8G173000 ID=Brasy8G173000.4.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.5.p pacid=40081335 transcript=Brasy8G173000.5 locus=Brasy8G173000 ID=Brasy8G173000.5.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.13.p pacid=40081336 transcript=Brasy8G173000.13 locus=Brasy8G173000 ID=Brasy8G173000.13.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.14.p pacid=40081337 transcript=Brasy8G173000.14 locus=Brasy8G173000 ID=Brasy8G173000.14.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.15.p pacid=40081338 transcript=Brasy8G173000.15 locus=Brasy8G173000 ID=Brasy8G173000.15.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRTASWPGEYHMTGGRFLNLAWNLARWISIRKRTH* >Brasy8G173000.7.p pacid=40081339 transcript=Brasy8G173000.7 locus=Brasy8G173000 ID=Brasy8G173000.7.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.6.p pacid=40081340 transcript=Brasy8G173000.6 locus=Brasy8G173000 ID=Brasy8G173000.6.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.16.p pacid=40081341 transcript=Brasy8G173000.16 locus=Brasy8G173000 ID=Brasy8G173000.16.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.17.p pacid=40081342 transcript=Brasy8G173000.17 locus=Brasy8G173000 ID=Brasy8G173000.17.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.8.p pacid=40081343 transcript=Brasy8G173000.8 locus=Brasy8G173000 ID=Brasy8G173000.8.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.18.p pacid=40081344 transcript=Brasy8G173000.18 locus=Brasy8G173000 ID=Brasy8G173000.18.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G173000.9.p pacid=40081345 transcript=Brasy8G173000.9 locus=Brasy8G173000 ID=Brasy8G173000.9.v1.1 annot-version=v1.1 MAQSAQPSIYTPLTLTPSGHSPSLSHAASLPSSHRSPASTQPVCTGTSPPSPLQARPLHSADAGSASAKSPPPQQLRAGLLPGLRRSAAYRSTSEFSGALARRSHASTSSRPRHGALPSVSHLRRHPPTPASTPTVPRGKIPQLGLEFGTVD* >Brasy8G271400.1.p pacid=40081346 transcript=Brasy8G271400.1 locus=Brasy8G271400 ID=Brasy8G271400.1.v1.1 annot-version=v1.1 MGRKNRERVGSAEEMHPIWMDDGWEWAVLLIIAAHVATDPIILSFTVSSSARLLLSTPDFK* >Brasy8G219300.1.p pacid=40081347 transcript=Brasy8G219300.1 locus=Brasy8G219300 ID=Brasy8G219300.1.v1.1 annot-version=v1.1 MCELSVARARFCAGSIADTNLQEKLTGGANVDNLKGMLDKAMVDSDAVSVLRGVYNHLLKFRDILAWEAAVAMAVIEMDSLIQKPQASVENGTGSSLEKKQAGGKEGKGDKKSKKKTLGKRTSDVLMLLRDHATGGKDVPCVNSALIADWGIELSILFDPKCPKLESLVEKVKGIVESNETGRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAVALDTPVFELRETLMGKYGEDSKLIYDLVDQGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLDKLDIGMYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKLTFEEVKKELVEEKGISNETAEKIGNLVKTRGPPLEVLLKLRKEGNKFLENDGSIVALNELDILFKALEKANAIDRISFDLSLARGLDYYYGVIYEAVFKGATQVGSIAAGGRYDNLVGSFIKGEKKQVPAVGVSLGIERVFAIMEQQQQDKEKNQVIRATETEVLVSILGKDLILAAELVSELWSVGIKAEFKLTTRVQNHIKYAMQSGIPWMVLVGESELKDGKVKLKDIRANQEEEVLRKDYVQVLKQRLASS* >Brasy8G105800.1.p pacid=40081348 transcript=Brasy8G105800.1 locus=Brasy8G105800 ID=Brasy8G105800.1.v1.1 annot-version=v1.1 MPMRSISRAELRRRLSSSAESPSPPPHHLPCEGFSGKRVLIGARRRRFYSLPYSGVARGRLQRR* >Brasy8G045800.1.p pacid=40081349 transcript=Brasy8G045800.1 locus=Brasy8G045800 ID=Brasy8G045800.1.v1.1 annot-version=v1.1 MGETTTARAVKGGYQEEENGTEKKLAAKVGKVPFHDLFKNADAMDVVLMLVGTVGSIAAGMSQVVMTIVFGRMVDAFGGATPSTVLPRVNRVVLEFVYLGIGTLPACFLQISCWTVTGERQANRIRSLYLESVLRQDMEFFDMETKSGQVVSGICADTIIIQDAMGEKVGKFLHLFTTFLGGFVVAFIKGWLLTLVMLSTIPPIIFAAGILSKMMSKVSSEGLESYSDAGDIVEQTIGSIRTVASFNGEKKAMTLYNNYIKKAYKGTVKEGTIQGFGMGFLTFATFSGIGLILWYGSKLTLSGGYSGADIMSILFSVMIAARSLGDATPCIAAFEEGRVAAYRLFTTINRKPKIDYDDTTSVVLVDIKGDIELRDVFFSYPSRPEQLIFAGFSMHVSTGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFKLDWIRGKIGLVNQEPMLFMTSIRENITYGKEDATLEEIKRAAELANAARFIENLPNGYDTAVGEHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQEALNKIMVGRTTVIVAHRLSTVRNAHCISVVSEGKLIEQGHHDKLVKDPSGAYSQLIRLQEAHQDTGGHLDAGLPGSLSKRSQSLKRSTSRSAAGTSHHSLSPLDSLHGPTGLIDYDGADSDNTNGKVSKKGPMGRLISLNKPEMAFLIFGSLAAAIDGTVYPMIGYVMATSAKTFYELPADKRQKDSTFWGLLCVGLGAMSMISKLANSFLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCVDALNVRRLVGGNLALMVQCTSTLLCGIVIAMSADWKLSLVILIVIPLIGLEGYAQVKLLQGFSQDAKTMYEEASQVATEAVSNIRTVSSFCVEERVMTKYLKKCRASKNQGIRTGIVGGLGFGFSYMVLYSTCALCYYVGAKFVSQGNSNFGNVYKAFFALAVAMIGATQTSTMASCSTKANDSATSIFTILDRKSQIDSSSVEGSTMDLVKGDIDFMHISFKYPSRPDVQIFSDFTLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGVILFDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKHGEVTEDEIVVAAKAANAHEFISSMPQGYNTNVGDRGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDRMMVGRTTVIVAHRLSTIQGADIIAVLKDGTIVEKGRHETLMGISGGAYASLVELRPSATQYKDFGNGINLDSSI* >Brasy8G045800.2.p pacid=40081350 transcript=Brasy8G045800.2 locus=Brasy8G045800 ID=Brasy8G045800.2.v1.1 annot-version=v1.1 MGETTTARAVKGGYQEEENGTEKKLAAKVGKVPFHDLFKNADAMDVVLMLVGTVGSIAAGMSQVVMTIVFGRMVDAFGGATPSTVLPRVNRVVLEFVYLGIGTLPACFLQISCWTVTGERQANRIRSLYLESVLRQDMEFFDMETKSGQVVSGICADTIIIQDAMGEKVGKFLHLFTTFLGGFVVAFIKGWLLTLVMLSTIPPIIFAAGILSKMMSKVSSEGLESYSDAGDIVEQTIGSIRTVASFNGEKKAMTLYNNYIKKAYKGTVKEGTIQGFGMGFLTFATFSGIGLILWYGSKLTLSGGYSGADIMSILFSVMIAASYPSRPEQLIFAGFSMHVSTGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFKLDWIRGKIGLVNQEPMLFMTSIRENITYGKEDATLEEIKRAAELANAARFIENLPNGYDTAVGEHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQEALNKIMVGRTTVIVAHRLSTVRNAHCISVVSEGKLIEQGHHDKLVKDPSGAYSQLIRLQEAHQDTGGHLDAGLPGSLSKRSQSLKRSTSRSAAGTSHHSLSPLDSLHGPTGLIDYDGADSDNTNGKVSKKGPMGRLISLNKPEMAFLIFGSLAAAIDGTVYPMIGYVMATSAKTFYELPADKRQKDSTFWGLLCVGLGAMSMISKLANSFLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCVDALNVRRLVGGNLALMVQCTSTLLCGIVIAMSADWKLSLVILIVIPLIGLEGYAQVKLLQGFSQDAKTMYEEASQVATEAVSNIRTVSSFCVEERVMTKYLKKCRASKNQGIRTGIVGGLGFGFSYMVLYSTCALCYYVGAKFVSQGNSNFGNVYKAFFALAVAMIGATQTSTMASCSTKANDSATSIFTILDRKSQIDSSSVEGSTMDLVKGDIDFMHISFKYPSRPDVQIFSDFTLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGVILFDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKHGEVTEDEIVVAAKAANAHEFISSMPQGYNTNVGDRGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDRMMVGRTTVIVAHRLSTIQGADIIAVLKDGTIVEKGRHETLMGISGGAYASLVELRPSATQYKDFGNGINLDSSI* >Brasy8G045800.3.p pacid=40081351 transcript=Brasy8G045800.3 locus=Brasy8G045800 ID=Brasy8G045800.3.v1.1 annot-version=v1.1 MEFFDMETKSGQVVSGICADTIIIQDAMGEKVGKFLHLFTTFLGGFVVAFIKGWLLTLVMLSTIPPIIFAAGILSKMMSKVSSEGLESYSDAGDIVEQTIGSIRTVASFNGEKKAMTLYNNYIKKAYKGTVKEGTIQGFGMGFLTFATFSGIGLILWYGSKLTLSGGYSGADIMSILFSVMIAARSLGDATPCIAAFEEGRVAAYRLFTTINRKPKIDYDDTTSVVLVDIKGDIELRDVFFSYPSRPEQLIFAGFSMHVSTGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFKLDWIRGKIGLVNQEPMLFMTSIRENITYGKEDATLEEIKRAAELANAARFIENLPNGYDTAVGEHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQEALNKIMVGRTTVIVAHRLSTVRNAHCISVVSEGKLIEQGHHDKLVKDPSGAYSQLIRLQEAHQDTGGHLDAGLPGSLSKRSQSLKRSTSRSAAGTSHHSLSPLDSLHGPTGLIDYDGADSDNTNGKVSKKGPMGRLISLNKPEMAFLIFGSLAAAIDGTVYPMIGYVMATSAKTFYELPADKRQKDSTFWGLLCVGLGAMSMISKLANSFLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCVDALNVRRLVGGNLALMVQCTSTLLCGIVIAMSADWKLSLVILIVIPLIGLEGYAQVKLLQGFSQDAKTMYEEASQVATEAVSNIRTVSSFCVEERVMTKYLKKCRASKNQGIRTGIVGGLGFGFSYMVLYSTCALCYYVGAKFVSQGNSNFGNVYKAFFALAVAMIGATQTSTMASCSTKANDSATSIFTILDRKSQIDSSSVEGSTMDLVKGDIDFMHISFKYPSRPDVQIFSDFTLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGVILFDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKHGEVTEDEIVVAAKAANAHEFISSMPQGYNTNVGDRGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDRMMVGRTTVIVAHRLSTIQGADIIAVLKDGTIVEKGRHETLMGISGGAYASLVELRPSATQYKDFGNGINLDSSI* >Brasy8G045800.4.p pacid=40081352 transcript=Brasy8G045800.4 locus=Brasy8G045800 ID=Brasy8G045800.4.v1.1 annot-version=v1.1 MEFFDMETKSGQVVSGICADTIIIQDAMGEKVGKFLHLFTTFLGGFVVAFIKGWLLTLVMLSTIPPIIFAAGILSKMMSKVSSEGLESYSDAGDIVEQTIGSIRTVASFNGEKKAMTLYNNYIKKAYKGTVKEGTIQGFGMGFLTFATFSGIGLILWYGSKLTLSGGYSGADIMSILFSVMIAASYPSRPEQLIFAGFSMHVSTGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFKLDWIRGKIGLVNQEPMLFMTSIRENITYGKEDATLEEIKRAAELANAARFIENLPNGYDTAVGEHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQEALNKIMVGRTTVIVAHRLSTVRNAHCISVVSEGKLIEQGHHDKLVKDPSGAYSQLIRLQEAHQDTGGHLDAGLPGSLSKRSQSLKRSTSRSAAGTSHHSLSPLDSLHGPTGLIDYDGADSDNTNGKVSKKGPMGRLISLNKPEMAFLIFGSLAAAIDGTVYPMIGYVMATSAKTFYELPADKRQKDSTFWGLLCVGLGAMSMISKLANSFLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCVDALNVRRLVGGNLALMVQCTSTLLCGIVIAMSADWKLSLVILIVIPLIGLEGYAQVKLLQGFSQDAKTMYEEASQVATEAVSNIRTVSSFCVEERVMTKYLKKCRASKNQGIRTGIVGGLGFGFSYMVLYSTCALCYYVGAKFVSQGNSNFGNVYKAFFALAVAMIGATQTSTMASCSTKANDSATSIFTILDRKSQIDSSSVEGSTMDLVKGDIDFMHISFKYPSRPDVQIFSDFTLSIPSGKTVALVGESGSGKSTAIALLERFYDPDSGVILFDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKHGEVTEDEIVVAAKAANAHEFISSMPQGYNTNVGDRGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQDALDRMMVGRTTVIVAHRLSTIQGADIIAVLKDGTIVEKGRHETLMGISGGAYASLVELRPSATQYKDFGNGINLDSSI* >Brasy8G233500.1.p pacid=40081353 transcript=Brasy8G233500.1 locus=Brasy8G233500 ID=Brasy8G233500.1.v1.1 annot-version=v1.1 MAVLGGFRRNIGLFLSKSNGFMAASLSAASCAEEVEKAEGAACRDDAAALRLKWVAMAAILVAGVLGVGLPLVGRKRRAVRTGSAVFVAAKAFAAGVILATGFVHMLHDAEHALSNPCLPAAPWRRFPFPGFVAMLAALATLVLDFVVTRFYEHKHRAEVARVKADAAAALAASSSVTASDEDIIVVTVADDERKAPLLQTHSHSQSHAHSHSHGHELVQPDGREGDLSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAAMMASFFAITTPTGIAAGAGLASFYNANSPRALVVEGILDSVSAGILIYMALVDLIAADFLGGKMTGTPRQQVMAYVALFLGALSMSSLAIWA* >Brasy8G233500.2.p pacid=40081354 transcript=Brasy8G233500.2 locus=Brasy8G233500 ID=Brasy8G233500.2.v1.1 annot-version=v1.1 MAVLGGFRRNIGLFLSKSNGFMAASLSAASCAEEVEKAEGAACRDDAAALRLKWVAMAAILVAGVLGVGLPLVGRKRRAVARVKADAAAALAASSSVTASDEDIIVVTVADDERKAPLLQTHSHSQSHAHSHSHGHELVQPDGREGDLSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAAMMASFFAITTPTGIAAGAGLASFYNANSPRALVVEGILDSVSAGILIYMALVDLIAADFLGGKMTGTPRQQVMAYVALFLGALSMSSLAIWA* >Brasy8G223700.1.p pacid=40081355 transcript=Brasy8G223700.1 locus=Brasy8G223700 ID=Brasy8G223700.1.v1.1 annot-version=v1.1 MSRSMAPAAAGTTMSVLGVDLLQEIFIHLPAPGDLLRSAAACKPFLRAVRSARFLRRFRRRHSSTFPPLLGCFLLRRSHTRSDQEDDPVYLLTPSAAAHRVVEGGDFALSFLPHRRLRCGAPWQVLDCRNGRLMVCSPVSRELVVADPLTRHWVSLPVLPALRPIGYGLVTDYGEYSVFQAVCISRSGTSPGLRAFLLSSGELQWVEVAGIGNQFNFADSRAMQANRSLYWKLQGGDRMLAFNTTTMEFSVLELPLFLREFRFDVIEKGEDDTSGLYIVTMRDFCIEIWAGVEDDTGGLGWTMVEKSVRFRRVLNANEQDVIGVVAGVLFLQDGVGLVSIDLNTMMLRRFPPMDNCPLSLIYPYTMAWPPLCLNPTEEDA* >Brasy8G223700.2.p pacid=40081356 transcript=Brasy8G223700.2 locus=Brasy8G223700 ID=Brasy8G223700.2.v1.1 annot-version=v1.1 MSRSMAPAAAGTTMSVLGVDLLQEIFIHLPAPGDLLRSAAACKPFLRAVRSARFLRRFRRRHSSTFPPLLGCFLLRRSHTRSDQEDDPVYLLTPSAAAHRVVEGGDFALSFLPHRRLRCGAPWQVLDCRNGRLMVCSPVSRELVVADPLTRHWVSLPVLPALRPIGYGLVTDYGEYSVFQAVCISRSGTSPGLRAFLLSSGELQWVEVAGIGNQFNFADSRAMQANRSLYWKLQGGDRMLAFNTTTMEFSVLELPLFLREFRFDVIEKGEDDTSGLYIVTMRDFCIEIWAGVEDDTGGLGWTMVEKSVRFRRVLNANEQDVIGVVAGVLFLQDGVGLVSIDLNTMMLRRFPPMDNCPLSLIYPYTMAWPPLCLNPTEEDA* >Brasy8G241400.1.p pacid=40081357 transcript=Brasy8G241400.1 locus=Brasy8G241400 ID=Brasy8G241400.1.v1.1 annot-version=v1.1 MPPPPPPPPRLDFPAFPFTPYPIQSEFMSFLYAALSSGPRALALLESPTGTGKTLSIICSALQWLVDHREAAARGHFDQDNGSATVAGGGVGDDDEPDWMRDFTPLLPKPESRKKTKPHPARRQEPRKAKDLEKSEGIGGDDSEEEFFLVEYESDDEEGMRRQSGKRAHCGGGSSSESEDDEGEEEEAEVTPKVYFTSRTHSQLSQFVGELKKTQFSAKLRTVCLGSRTNLCINNDVRKLGSANRINERCLELQKNKKSSKIKVDGDNQKARKAKTSYQCPMLRKRSLHKEFRSKVSANGALDIEDLAQIGKKIGTCPYYGVRDMVPAADLVVLPYQSLLLKSAREALGLNLKNSVIIIDEAHNLADSLTSMYNSKVTCPQLRAALSHLEAYLDRFQNVLGAGNRRYIQTLIVLTRSFQRVLMNGEDGSCTVTSVTVNNFLFSLDIDNINIVKLCQYLKESNIIYKVSGYANKLIITQDGASNLNHKQQHDEGSTISSFQAVANFLRSLLNSNVDGRIIVARQKPCGQPEDAYLKFIMLCAEKIFSEVTEDAHAVIMAGGTLQPIEETRLRLFPSLSTSDVKFFSCNHIVPAESILPIAVTRGPSGMEFDFSFSSRRTPAMIEELGRFLCNIVTIVPDGVVMFFTSYEYEKQVYDAWMASGTISKISKKKCVFREPKNSVNVEAILNKYKEAIQSCSEHSQGTGVNGALLLAVVGGKISEGINFSDGMGRCVLMVGLPYPSPDDVELMETIKHIGNHSSSSMVGDNKSLSIQYDHECKLEPGFDTLRRSGKSGREYYENLCMKAVNQSIGRAIRHVNDYAAMLLVDSRYSSTSSSRSLSCPAEKLPQWIKRRLTCAKNYGEVHWLLLQFFKINKQMH* >Brasy8G210200.1.p pacid=40081358 transcript=Brasy8G210200.1 locus=Brasy8G210200 ID=Brasy8G210200.1.v1.1 annot-version=v1.1 MGSFSSIVAVVAVLAALVAGASCFAVVPPGPNITTSYGDHWLHAKATWYGSPTGAGPPDNGGACGIKETNLPPYNSLTSCGNLPIFKDGKGCGSCFKIKCRNKACSRKPVTVFITDMNYDQFAPYHFDLSGTAFGALASPGRESELRHYGIIDLQFRRVRCRLAPGTKIAFHVEEGSNPEYLAVLVKFVAGDGGIVRVDLKQEGGWPEWKPMRESWGAIWRMDVDYHTPLQGPFSIRLASESGEVLVARHVIPADWKPKTVYQSNVQF* >Brasy8G251700.1.p pacid=40081359 transcript=Brasy8G251700.1 locus=Brasy8G251700 ID=Brasy8G251700.1.v1.1 annot-version=v1.1 MAKRRRLFFLLLVLCLLAGSRIAAADTNPQDAAALKSLMKKWSNVPASWRQKSNDPCGEKWDGIACDNTSRVTSLNLFGMNMRGTLGDDIGSLTELRVLDLSSNRDLGGPLTPAIGKLIQLKNLALIGCSFSGAIPSELGNLAQLEFFGLNSNKFTGTIPPSLGKLSKVKWLDLADNNLIGPLPNSRDNGTGLDQLLIAEHFHLNQNGLEGPIPEYMFNSNMSLKHILLDRNKFSGSIPASIGVLPRLEVLRLNDNFFTDQVPDMKNLTILHVLMLSNNKLRGLMPNLTGMNGLQNVDLSNNSFTSSGVPSWFTDLPNLITLTMQSVAISGKLPQKLFSLPNLQHVILNDNQLNDTLDMGNNIRKDLELVDIRNNKITSLTVYSSLDSKILKLEGNPLCSGSLLSGTMLCTDRLTEPPPVPSSFDVQCANPFVETMVFRSPSFADVIKYLPELHSNLSTTLSSCTPNKLGLVPYSEGTYLNVDIRACPVNSKRFNYSQVLNCFNLTLQTYKPPETFGPYYVHAHPYPFHDKASRAVLIGAVTGSVLLVVGLALIGVYAARQKKRAQKLVSINNPFASWGSTEEDIGEAPKLKSARCFTLEELKLSTNDFREINAIGAGGYGTVYRGKLMDGQLIAIKRSKKGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFISNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDAKMTAKVADFGLSLLVSDSEEGELCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHEKKYIVREVKTALDMEDSMYCGLKDVMDPVLQKMGGTPGFPGFLKMALQCVEEVGPDRPSMNNIVREIEMIMQDNGLTPDSMSASSSFSVDSTVKKFAPRYPYSNMSTSSTTFEMNSRAFEYSGGFPSQG* >Brasy8G188000.1.p pacid=40081360 transcript=Brasy8G188000.1 locus=Brasy8G188000 ID=Brasy8G188000.1.v1.1 annot-version=v1.1 MVVGREREMGSGCSYRAGREAEGDGDGRGREMGRPGEEEGDGDGRKEMGVARSEREKVMGWWPDLREKQREREREREMGRGRGRGSGCSYRAGREAEGDGDGRGREMGWPGEEEGEGDGAAGGGTGRWGRPEGDGGGQI* >Brasy8G177800.1.p pacid=40081361 transcript=Brasy8G177800.1 locus=Brasy8G177800 ID=Brasy8G177800.1.v1.1 annot-version=v1.1 MGSSSASPMEEEGRPPRRQKRRMRCRTASPEPGSTASTSQDLCSSRRCEEDGGGTATTHGKWNPYESHRPEIDDAPVFTPTEEEFEDPIGYITSIRPQAEKYGICRIVPPPSWRPPCPLKEKSFWDCTEFNTRVQEVDKLQNREPTKKRTQPRVQKKRKRRKRLRFGMSRRRPSANASENADSGEKFGFQSGSDFTLEEFQKYADEFKQRYFGMKGSDEISLSEIKNRKEIWRPSVEEIEGEYWRIVVCPDDEVEVDYGADLDTATFGSGFPKLSLSDANKQDPYCLSCWNLNNLRRQHGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEQKVWYGVRGDDAVKLEEAMKRNLPRLFEEQPDLLHELVTQLSPSVLKSEGIPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTAQRALRQLWINLGNCRSGQTEYLWLDTCGKNGMLTSAVKTRVKMEGAAREMNVVLQCKKMDQDYDLTDRECFSCFYDLHLSAVSCKCSPDRFACLNHANLLCSCESGRKYLLYRYSMEELNALVAALEGDSAAVYRWIQFDQDFVCQSGSRQQNNMDFSKSKELRESAIDLNIGCGFDDCHDLDKIAGNQKEKKVQNKCADVNMVNKSSPGIKNELVRSSDTSNAACFSSSSCTASEKHDKDKIAIDSESTVTTISPPVSNSQFSQSSKCLSELSCPKGNSTPSSKTTKKLFGVDIECNVAKTSDSQVSQLVKPSTSQLDEVSRPTILWSTVEPLEYGTVMVGKNWCNHQAIFPKGFRSRVTFHSVLDPTKTCGYISEVLDAGLLGPLFKVTVEDLPEISFTHTSPMECWNSVRDRVNEEIEKQHRVGKSGGRAILSTNSVNGLEMFGFSFPPIIQAIEALDPNHRCLEYWLSKHMPPVKELPSGSVNGMNNSPIRLPGIDITSNESEHSSFRYNSCGEEVKLGRLLKKAKLPEEPELIDTSKVFSSQEHSCRNWSGSRHSAG* >Brasy8G061400.1.p pacid=40081362 transcript=Brasy8G061400.1 locus=Brasy8G061400 ID=Brasy8G061400.1.v1.1 annot-version=v1.1 MSWQTYVDEHLMCEIEGHHLGSAAILGHDGTVWAQSAAFPAFEPKEMTDIMKDFDEPGHLAPTGMFLGGAKYMVIAGEPGAVIRGKKGSGGITIKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGM* >Brasy8G239200.1.p pacid=40081363 transcript=Brasy8G239200.1 locus=Brasy8G239200 ID=Brasy8G239200.1.v1.1 annot-version=v1.1 MLAVRNATLLWKTTCSMKESFLFSKVIKFWSLYYLCIKPNQKKNVFSSQENNSNIFRALNNASLHIIVLPCAKITADKHGASKLKEQCLQLSIARAEPYIYQLQTILSR* >Brasy8G266900.1.p pacid=40081364 transcript=Brasy8G266900.1 locus=Brasy8G266900 ID=Brasy8G266900.1.v1.1 annot-version=v1.1 ITITGGCFPSTEEQGNSTVPWTFGIHPELFPPSEDPTSLPKLILSYHSSHVWAPVDGQYYGADVTLDVYGFDLQPGQVSTAAIWIVDGSSHTSIEAGWHISPSLYKDSHTHFYTAWTNGDPEGGCVNLNCPGFQKISSQIAPGDVINPAVSSVHGQKRYMRLRVFKEKSSGNWYVRVYGVNGEFSNPVGYFPKSLVPGLADKSVMIIFGGFVAHMKRKPSPPMGSGYVQASGNAASVNNLKLIDADGNDHMVTTHLGSFVDGKGCYNPSPVDPSARFFYGGPGCAD* >Brasy8G042300.1.p pacid=40081365 transcript=Brasy8G042300.1 locus=Brasy8G042300 ID=Brasy8G042300.1.v1.1 annot-version=v1.1 MACSSKRKSTIQSCVETAKMMADVFPSNKRKKTIVSVCELLLPDDMILEVLLRLPIKSILCFRAVCRSWAALLSSKDFCSLHMAISKGLQPPTPKLLLVSPTAKLYLSSPSGPREDLLFTLDCARPNSVEIVMPKPCCGLTLLYEAPERAYHVCNAATRAVTHLPPYTGPGLFSTAGLGFDAQTREYKVLRLITQHFYDKEVIRCDVYTPGASCWRPAAQGVPFSLLHFATSAITHAEMNSVPPVFANGFLHWLINPSLVVRRPRAAVLTFSLAKETFGCLRSPPFWTPGVLRSWEESEKEHLAEMDNQLCIVRDLRDGIPSASTLEIWKLLDYSNGDWSLSHRINLSGRVGRNLRDPQLVRVIRSIGSCRSGNKKIVIATSKHLVHEKFQKKLHTYDPGCQVLETILSVTEAHTVKYNRPGSKVSLFEESLVPVHKTDE* >Brasy8G096200.1.p pacid=40081366 transcript=Brasy8G096200.1 locus=Brasy8G096200 ID=Brasy8G096200.1.v1.1 annot-version=v1.1 MGNCLNAACKQQHREMRRRHVAPEDRSEEEAACRSIGQMLLHHEEEEEEEEEEAVAETAAGGMKVKVVLTRAELEWLMAQLKSGEQRLEDVLHQMGTARAADDKPHHRDANAWRPRLECILECPEPNAGVDAT* >Brasy8G128200.1.p pacid=40081367 transcript=Brasy8G128200.1 locus=Brasy8G128200 ID=Brasy8G128200.1.v1.1 annot-version=v1.1 MWPWQALVLVALCVPAAASSADSTAVEASSYIVHMDKSAMPRAFSSHLSWYESTLAAAAPGADMFYVYDHAMHGFAARLPADELEKLRRSPGFVSSYRDDARAVTRDTTHTPEFLGVSAPGGVWEAAQYGEDVILGVVDTGVWPESASYRDDGLPPVPARWKGFCESGTAFDGAKVCNRKLVGARKFNKGVFANSNVTIAMNSPRDTDGHGTHTSSTAAGSPVSGASYFGYALGTARGMAPRARVAVYKALWDEGTYSSDILAAMDQAIADGVDVLSLSLGLNNVPLYKDPIAIGAFAAMQRGVFVSTSAGNAGPELGLLHNGTPWVLTVASGTVDREFSGVVKLGDGTTVIGESLYLGGSPAGTLPSAALVYLGACDKATLLSVNRDKVVLCEAPDDSLGSAISAVQTAKVRAALFLSNDSFRELYEHLEFPGVILSPQDAPALLHYIQRSRAPKASIKFKVTVVDTKPAPAVATYSSRGPSGSCPTVLKPDLLAPGSLILASWSENATVGTVGSQTLYGKFNIISGTSMSCPHASGVAALLRAVHPEWSPAAVRSAMMTTATAADNTFTPIKDMGRDNRAATPLAMGSGHIDPNRAVDPGLVYDAGPEDYIKLMCAMNYTAEQIKTVVKSSSSSSSPVDCSGATLDLNYPSFIAYFDPSGAPGERTFSRVVTNVGDAPASYSAKVKGLSGLAVSVVPNRLVFGGGKHEKQRYTVVIRGQMKDDVVLHGSLTWVDDARKHTVRSPIVAMIASSSQL* >Brasy8G214100.1.p pacid=40081368 transcript=Brasy8G214100.1 locus=Brasy8G214100 ID=Brasy8G214100.1.v1.1 annot-version=v1.1 MDDVDFDATLSETGEHEDEDMSTPTVSLSPEPVEHRLEDSTPTPTPTPSLSPSPDANPSTISRPPVPIPPSGSGRLGYLLGVPPTSRKMAAGGSGSGSSSSRRPAAARAVARVRAMARSPSPLGGAGRGRGSGAATSFGTASKQSAKGKGKSPCDTNCNSQAATRDGLSESVDEDCVVVLDKEDVVKELNRATKRRLTAKCWKEMEKKEINGEWKAICNYCHKHLSAASNSGTTHLNDHLKIYTQRMLKTKGKNMSQSTLRMNAQDDGKV* >Brasy8G206700.1.p pacid=40081369 transcript=Brasy8G206700.1 locus=Brasy8G206700 ID=Brasy8G206700.1.v1.1 annot-version=v1.1 MALGRRSSVAALLALSFLAATASATAEYGLTVFWGRNKDEGSLGEACDAGIYNTVIISFYSVFGHGRYWGDLSGHPLHDIGADIQRCQRKGIVVLLSIGGGGSEYSLPTSQSAADVADNLWNAHLGGGRRGVFRPFGNAVVDGIDFFIDQGAPDHYAELARRLSGYNTRYRGAPGVRLTATPRCVFPDWRVGQALDTGLFERIHVRFYGDDRCSYPHVYKDGVMAQWNRWTARYPRSKIYLGLPAANAPGRNDVVGLMSMNYDLMPSVKQAPNYGGVMLWDRYHDKLTGYGRDIYNAGVI* >Brasy8G194700.1.p pacid=40081370 transcript=Brasy8G194700.1 locus=Brasy8G194700 ID=Brasy8G194700.1.v1.1 annot-version=v1.1 MHSPQPWRRPPDLLHADGGPLSHPRGQQPPRGEACPSSWSSPPRGPQAWRDPHLLLPMAARSAAAQYCTAAEEPMAAAVEAGTYPRPPKERTSAPLRGRADEQTGAPLCGRADARVLNGRGVRRIEEEGGGTSLCIATTSGPARREGTCRAVLGRSGGKAEPLHLLPQSLAVGREGADRDARRRAGRQRIRDRRHLPRTRPAADQTATTSHGLDPSRGWRRARRGWGWGRPATAEMGACRSQLGRKEACGGAGVGARLRRRVGRRRANGRRHGRERAGGGPLQFSTPFHSTAFSSSVLCAGLWHAPPPRRHLPPPATPTNFQRPPSYPTDRRRRRIRRPDHAAAAHRTAGRSYLALRASPLSFPGLERAPRPRRRPSRSRPPRAAVAVVCGDAAPSAASDRLRQAPPCLLVLLHAPLYHLHSQTAAGGARPQVQPDLAIPAAGSKFRPLPPPPPPPTLFSVPVVLSPLPPNAGFGANLTGVGIEAWCPPRGEEKLLGDEDLRNQTATAMQQRGR* >Brasy8G129800.1.p pacid=40081371 transcript=Brasy8G129800.1 locus=Brasy8G129800 ID=Brasy8G129800.1.v1.1 annot-version=v1.1 MSASSGSASDPLLARADEAAMAEDSAVAAVDHRGRPASRASTGRWRSALFIIAVEIAERFAFYGVSANLISYLTGPLGEGNAKAASAINAWNGVAQLLPLLGAALADSWLGRYRTIVIASLLYVLALGVLALSTLLSSGGHKCSDATVCPPSGLQMVFFYISLYMVAVAQGGHKPCVQAFGADQFDASDPRESVSRSSFFNWWYFGMCAGTAVTLVVLSYIQDNIGWGLGFGIPCVVMAAALAVFLLGTRTYRYYYVSGAKQQSLFARAGEAFEEWRRSRRKSTAPLAVSDSHERHQAPEFSGQVVDVEEQDQVVGKADLVEETKRVLRLFPIWATCLIYAVAFAQSSTFFTKQAATLDRRVGHHGLQVPPAALQSFISVTIVVFMPVYDRAIVPLARRYTGVPSGITMLQRIGAGMLLSLVSMVVAALVETRRLRVAMDAGLADKPRVPVPMSLWWMVPQYVLFGAADVFAMVGLQEFFYDQVPDKLRSVGLALYLSIFGVGSFISSGLVSGIDRVTAAKGHSWFSNNLNRGHLDYFYWLVAALSALELLAYVFFAATFKYKKNKAAAIETTAG* >Brasy8G162400.1.p pacid=40081372 transcript=Brasy8G162400.1 locus=Brasy8G162400 ID=Brasy8G162400.1.v1.1 annot-version=v1.1 MLLKGFEEHIQYALQQEGEKICTWAHSDFDITTWPVEIVEGIPKQKDCTSCGLYLLMNILQWTGSKLSKEYDQVDINVFRRKMAVDLVNSPHKKIHRDQRI* >Brasy8G162400.2.p pacid=40081373 transcript=Brasy8G162400.2 locus=Brasy8G162400 ID=Brasy8G162400.2.v1.1 annot-version=v1.1 MLLKGFEEHIQYALQQEGEKICTWAHSDFDITTWPVEIVEGIPKQKDCTSCGLYLLMNILQWTGSKLSKEYDQVDINVFRRKMAVDLVNSPHKKIHRDQRI* >Brasy8G086900.1.p pacid=40081374 transcript=Brasy8G086900.1 locus=Brasy8G086900 ID=Brasy8G086900.1.v1.1 annot-version=v1.1 MGNCCPGSTEAEPSDGGNPGEGSSSNSGAPSVSASSAPAQNKPPAPIGPVLGRPMEDVKSIYNVGKELGRGQFGVTSLCTHKATGHKFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAAALLRTIVEIIHTCHSMGVIHRDLKPENFLLLNKDENAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRNYGPEADIWSVGVMFYILLCGVPPFWAESEHGIFNSILRGQVDFASDPWSRISSGAKDLVRKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFTAMNQFKKAALRVIAGCLSEEEIRGLKEMFKGMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLLDGRDIKDIISEVDADNDGRINYTEFVAMMRKGTPEAANPKKRRDVVL* >Brasy8G042400.1.p pacid=40081375 transcript=Brasy8G042400.1 locus=Brasy8G042400 ID=Brasy8G042400.1.v1.1 annot-version=v1.1 MAAAGHRLHRAAKSSIPSPAATATATAKASAPSRRLHGFSFPTLSWGAHRLLRCSKDGAPATAPAPVSPPQNPKTPSLKEKPAPTLPMPTPQQDPAGDGSRPQRPMNLRPRRYYSATAAAAPASRSDGGAAAAQSGPAAPLPLPRKRSFAVALTREEIAEDFAAIRCPRPARRGKKRPKQNEIDKLFPGTMLLNVDPDAYKIGER* >Brasy8G160100.1.p pacid=40081376 transcript=Brasy8G160100.1 locus=Brasy8G160100 ID=Brasy8G160100.1.v1.1 annot-version=v1.1 MALSKRNATIVCLVALMVVMATVQQSCDAEAVVDGVACSVLPNCEERCGTVCSVSGHPSSGAHCQNGHCCCG* >Brasy8G041400.1.p pacid=40081377 transcript=Brasy8G041400.1 locus=Brasy8G041400 ID=Brasy8G041400.1.v1.1 annot-version=v1.1 MSQQEQAAAAPAAAAMPVQTPPPHVLLVAYPLQGHVNPLVRLGRRLASRGLHVTFTTFLFFPQAAAAGLRSMPAHGALVHGVRFDYLDATGAPDNDPPLSLEDMLRHVTGAGPAALSGLVRRLMQPPVTCVVNTTFVPWALDVAADLGVPRRATLWTQSCAVLSLYHHFYNNDGSNASVFPTAAEPDAQVELPGLPKMSMDELPLMVRPEHAHNAWGDALRAQLTEIRLQDKATAPWVLVNSFDALERPAIDALRARAGVPVTPVGPLLELDAEVHAHAHDEADGITAWLDAHPPCSVVYVAFGSLVNIGRAEMSALAEGLASTGRPSLWVLRDDDVTGGNLRGHLPPSELCKTVPWCAQGRVLRHASIGCFVTHCGWNSACEALATGVPAVGYPWWSDQFTNARFVAEEFRAGVRLQASVTAHGLAACVEAVMGRGPGAAAIRARAAAWKAEAAAAVEEGGSSDESLKAFVEFLRSPVGVEARGVDAVRISEADEPTLGSAGPLV* >Brasy8G132500.1.p pacid=40081378 transcript=Brasy8G132500.1 locus=Brasy8G132500 ID=Brasy8G132500.1.v1.1 annot-version=v1.1 MSGGGGPGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPISVPIIAEVDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRSAGAGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARRKNSLRLDDWVLCRIYNKKGIPEKPGVGTKERSPTHAAVGSPPEQKPALLQPPPFSELAAYYDVRPSDSMPRAHADSSCSEHHVALTASSCGGGERPEVQSQPPKMSDWERTFATAGADPGGAGSMLGQLDPGAAGDPLLQDILMYWGKPF* >Brasy8G224600.1.p pacid=40081379 transcript=Brasy8G224600.1 locus=Brasy8G224600 ID=Brasy8G224600.1.v1.1 annot-version=v1.1 MKTAEKSKTTASCLVAPRLPCRRRRPGVLPLPDGAHALFGSSFPGADAVLFSPLLGSSSSRARPELHQGPSRASYPGLKQFRAEPGSARNLRAFGELGPSGARLGSCPGRPRCKAAAGMILY* >Brasy8G267500.1.p pacid=40081380 transcript=Brasy8G267500.1 locus=Brasy8G267500 ID=Brasy8G267500.1.v1.1 annot-version=v1.1 MIDKRKKLSSSSDVLLGEDASQAQDWICQGAYIDEEIDPIAGLSYNIIDEAMGQPKLWSLVGVQFHEVEEFVSDDDNESDHGMDMEDDIDFEPDDDGVMMATKDDDDEDHPQP* >Brasy8G247900.1.p pacid=40081381 transcript=Brasy8G247900.1 locus=Brasy8G247900 ID=Brasy8G247900.1.v1.1 annot-version=v1.1 MEHSDGEIASEQHNLPTGGDGGSEDRLSALPDDVLIHILTKLDACVAGRTSVLSSRWRRLSAFLPELRFPRALNPHRIRAALAAHEAPTLRGLTVGFVGATAESLAPWLPIAARRLSGDLFLINMLPRDEMENQGERGGTFVLPCFEDATSICLDLGHLGLSAPNDGVFARLTYLDLARVHLHGPCMLGEVVSSPRCPSLRKLFVSDAWGLGNCTIHSDSLLVMEMTNLWQDSATGLGNLTIHSESLLQIKLVKLHGLQQLSVMAPSLIQLTVAACFASNGVILSHSRPVANISTPQLVSLDWRDAYDPSSIHLGEMAHLQLLGTNFFFVYGDENFTHEHNSCCNRLLRHFQHIRSLNLTLAYRPDISDHQYLMEDITRLPDITFMGLDVLSYGHSCGASVFHVLRICTGVRKLSIKVFDTTRLPEVTHL* >Brasy8G244100.1.p pacid=40081382 transcript=Brasy8G244100.1 locus=Brasy8G244100 ID=Brasy8G244100.1.v1.1 annot-version=v1.1 MNPGSRKRGPPPPEPVTLLLPGFVADRSEAAARVERLLRYQFHDRGLLEEALTHQSFGDGSVSYQRLEFVGDAALGNAFSNFLYLTNPTIGPGALSTLRSANISTEKLARVAVRHDLYPLLRRTCPRLDLLVGQFIKSVKQELEDDLGAAPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVSTLHELCQKHGKIPQFNIWQKGGTTVANVFVSGELVGMGSSEQRTIAKLNAARNALGNLLGGAKQQVLTTGVGHISRVEVGELRECKQKLNEQCSRKHWPKPIFKLEKEDGPAHERKFIYSVQVETDGGTFVTIGDPMSKVKDAENSGAQKMLEVLLKL* >Brasy8G242600.1.p pacid=40081383 transcript=Brasy8G242600.1 locus=Brasy8G242600 ID=Brasy8G242600.1.v1.1 annot-version=v1.1 MTRRTNPTRPVNPVTLPQEDGVSINGSGSSDLAKRRRRRAGRRWVLAGRQGRRRAGRRGKGRGGGARAGGGGKAGAAPHGQAAGARGRAGARGQAGTRAGRQGRRARAVRRRRREGRRHASSRRQRSYGTRGRAERRPRARVGAVARSSHPSCIWPKTMGTTKKKHKELRWRARRRWTDITG* >Brasy8G156300.1.p pacid=40081384 transcript=Brasy8G156300.1 locus=Brasy8G156300 ID=Brasy8G156300.1.v1.1 annot-version=v1.1 MSLLTGRLGRRPTLAAASFLSGQPPPRRRLRDPTAKSGRPSRTAVAGRLLLRGTSGRQRWRFGRAARKMVAAGGLPTALVGGRGWCCDGLRGLKGSGGLLLRPGGSGALGPFLRPGSRRRPWTALQRSGCGSASSGGLDPAGSCPGRAWLRPGSSGSRRGACWMTTGVFSVQRQTAVFVGSRFPSSRGSAASANAAELPPGGGEYQPLPGEASREHVFPGTLPSRELPLRPTRPALVDPRGHWCDSSPRAWAGNGLPRITFPWSVAGLRPSDAWVPGSLEPGHVGRSPRSAFASRNGQERKFCPCSPRFRREL* >Brasy8G103600.1.p pacid=40081385 transcript=Brasy8G103600.1 locus=Brasy8G103600 ID=Brasy8G103600.1.v1.1 annot-version=v1.1 MIRLNEVMHDTAFSKRLPLAGANLSISTTRILDRKPLFGCSSWLFLAESNHFLFTRDMLTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFAAITYYTSGLLADCYRTGDPVTGKRNYTYMDAVASYLSGWQVWACGVFQYVNLVGTAIGYTITASISAAAINKANCYHKNGRAADCGVYDSMYMVVFGVVQIFFSQVPNFHDLWWLSILAAIMSFTYASIAVGLSLAQTISGPTGKTTLTGTEVGVDVDSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNGAKGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETYAAARWPNAGFIVREHRVSSKRFGSFSLNLFRLTWRTAFVVVSTVLAILMPFFNDILGFLGAIGFWPLTVYFPVEMYIRQRRIQRYTTRWVALQTLSFLCFLVSLAAAVASVQGVTESLKNYVPFKTKS* >Brasy8G103600.2.p pacid=40081386 transcript=Brasy8G103600.2 locus=Brasy8G103600 ID=Brasy8G103600.2.v1.1 annot-version=v1.1 MAKDVEMAARNGNGNGAFAGEDFAYQLPGGDADFDDDGKPRRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFAAITYYTSGLLADCYRTGDPVTGKRNYTYMDAVASYLSGWQVWACGVFQYVNLVGTAIGYTITASISAAAINKANCYHKNGRAADCGVYDSMYMVVFGVVQIFFSQVPNFHDLWWLSILAAIMSFTYASIAVGLSLAQTISGPTGKTTLTGTEVGVDVDSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNGAKGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETYAAARWPNAGFIVREHRVSSKRFGSFSLNLFRLTWRTAFVVVSTVLAILMPFFNDILGFLGAIGFWPLTVYFPVEMYIRQRRIQRYTTRWVALQTLSFLCFLVSLAAAVASVQGVTESLKNYVPFKTKS* >Brasy8G103600.3.p pacid=40081387 transcript=Brasy8G103600.3 locus=Brasy8G103600 ID=Brasy8G103600.3.v1.1 annot-version=v1.1 MLFAAITYYTSGLLADCYRTGDPVTGKRNYTYMDAVASYLSGWQVWACGVFQYVNLVGTAIGYTITASISAAAINKANCYHKNGRAADCGVYDSMYMVVFGVVQIFFSQVPNFHDLWWLSILAAIMSFTYASIAVGLSLAQTISGPTGKTTLTGTEVGVDVDSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNGAKGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETYAAARWPNAGFIVREHRVSSKRFGSFSLNLFRLTWRTAFVVVSTVLAILMPFFNDILGFLGAIGFWPLTVYFPVEMYIRQRRIQRYTTRWVALQTLSFLCFLVSLAAAVASVQGVTESLKNYVPFKTKS* >Brasy8G189500.1.p pacid=40081388 transcript=Brasy8G189500.1 locus=Brasy8G189500 ID=Brasy8G189500.1.v1.1 annot-version=v1.1 MDNKSKVKKEIDGSVVPAPRKGGLKFAPKKPPKKPAKIVPKTEPVEEIKDEAIDKELLMKLKTSRITDAFGRRLKIEKKEEIHTQVAFGQGNSSYARSFPTRHYSAAPKAPKEYVDPWDYTHSDYPVTLPLRKPYSGDPEILDEEEFGESSASRAQDKLTAAEELGLMDRSDTHTPQLLFFQLPSSLPLPKQAQSVEEPNTGSEENAEPASINSKLTREKTRPSSLTGSKIKDLPGGFMGKILVYNSGKVKMKIGDALFDVSPGANCKFAQEVTAINTRENQCCALGDISKRAVVTPDVEYLLDSIDKMEE* >Brasy8G070000.1.p pacid=40081389 transcript=Brasy8G070000.1 locus=Brasy8G070000 ID=Brasy8G070000.1.v1.1 annot-version=v1.1 MAMARVVLARSRALLRRAAALSSPSFSTAVSSSSSRAAAVRRVAAAPSSSHAAAAASSAAAAAPGAGGETAAAAAPAARKRWGLLKFGAFAALAGTLGGAGYVSYAYTLAEVDQKTTEFRMNMVAPPVVAEGASEFEKFRALAYSTAKKAPVAAIELYLDIRSTIEGHVTGFTEPTSDKLLPDLPPQQQHVFTLVLDLNETLIHSDWQRGRGWRTFKRPGVDAFLDHMANFYEVVVYSDQIPTSLEPIIDRLDTKGCISARLSRPATKYQDGKHYRDLSKLNRNPAQVLYVSAHALESCLQLENCVTIKPWKLENDDTQLLDLIPFLEYLAMASPPDVRAVLASYQGCDVAKEFAKRSKEIERLKQERQQHKSIWRR* >Brasy8G290500.1.p pacid=40081390 transcript=Brasy8G290500.1 locus=Brasy8G290500 ID=Brasy8G290500.1.v1.1 annot-version=v1.1 MAAKRKLGKSPAPAPTKAKAKEEDAEGLFSSRSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHLLVKAATGTGKTLAYLAPIIHLLQMREPRVERTHGTFALVIVPTHELCLQVYGIAQQLVHRFHWLVPGYVMGGESRSKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLRWIVFDEADSILELGFGKAVEDILGLLGSRNDASDQNKSKSDNMQRQNLLLSATLNEKVNRLANISLKNPVMIGLDEQKNPSERSSALGKKHTSLLSDDEEDILEKRNDIVEHSVDDFKLPAQLVQRYVKVSCGSRLVVLLTILKSQFERQVSQKVVVFLSTCDSVDFHHTVLSQLEWSRGLPLDTDKKQKFLNCKVFRLHGNMDQDDRKKSYLGFSLEKSAILVCTDVAARGLDIPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPVEIDYLRDLELHGVSLTEYPFQKVLDGFPVDGQKPLKRKPISLDMHPWILSVQRTLENYVASEATANKLARDAFCSWLRAYTAHRGELKKIFMVKKLHLGHVAKSFGLKEQPSLVGRAHQVQLKKRKKEQKHERPTKRRKHPAKK* >Brasy8G241100.1.p pacid=40081391 transcript=Brasy8G241100.1 locus=Brasy8G241100 ID=Brasy8G241100.1.v1.1 annot-version=v1.1 MSSPTAAPAPTTPPAPPANATAPPPATPASPPPAIPAPSPPAPANPPPASVPPPAAPAASPPAPSAPPATPSAPSPSPPGAPVTPTTPSDTPSPPSSGGRSPPSSGGGGKSPPTPSHNNPSPKAPSHSPSSGNSGLSTSVVIGVAVGGFVLLLLATFVCLCCLRKKRRRQPPPPHYGYPPPPPRQYKEDPYGGTYQSWQQNAPPPPPDHVVKMHPSPPPAYANRPPQAPPPPPPPMINSSGGSGSNYSGGEILPPPSPGTALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHKGVLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVTNNTLEFHLHGKGRPVMEWPTRLRIALGAAKGLAYIHEDCHPKIIHRDIKSSNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSAQTYMDDSLVDWARPLLMRALEDGNYDELVDARLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFKKMAFTTNDHTSSQYSAPTSEYGQIPSASSSEGQQTQEIETGTTKKSGHGGYSSGYSGPS* >Brasy8G202000.1.p pacid=40081392 transcript=Brasy8G202000.1 locus=Brasy8G202000 ID=Brasy8G202000.1.v1.1 annot-version=v1.1 MPGEAAADGGDPPPAPMAQHQQHESADSGGDDKEESLFTMEAEPAGAMEPYKLPGRVFQMLYRHQQEGLRWLWALHCKATGGILADEMGLGKTMLVSGFLAGLFHSGSIKRSLIVAPKSFLTHWVKELSVVGLEDKIRDYTGTSINDRSHQLQCIFKEGGILLTTYDIVRNNSSLIRGNYCGDTNNDDEETPWDYVILDEGHIMRNLKTQRAQSLFQIRSAHRIVITGTPYQNNLMEMWALFYFCCPDILGDKDEFKVRYEKPLLCGNDKNATDEEKHLSLKAANEFKERIKPYFLRRLESEVFGNSFEEKDKILPQKNELTIWLKLTSCQRQLYEAFLKLVHSQTEALKVSSLEAITILKTICDHPLLLTQRAAEDIREGMGTIWNNQAMCLVERILEDELHVHNVLQVVRDVSCKIGFILPLLKNLVAEGHNVLIFSQSRKMLNAIQEAILSEGHRLLRIDGNTKVSERAKIVKDFQDGSGAPILLLSSQVGGLGNTLTKANRVIIVDPSWNPSTDNQSVSRAYRIGQRKDVIVYRLVTCGTIEEKIYKLQVFKGGLFKTAVEHTEQPQYFNQEDMREYLSSPTEGFDVSPTQHQLQEEHSQQLVMDESLRKHIQFLEQQGIAGVSHHSLLFQRPVIIPTLDDSDVLDRKPKDILVRRCFAERGRALAPEQTIDDDMLKNMKTGRANEINEIRKEMANLEETRRHIRGLEHEYVRELVEMPDRTSWDRRHLEKTREEIDGLHREYAARFDEMTERIRSRELRIKIKQTTTCDMAEKMAREMMELDQELIADFDEMVETMMQTSKLDGEVMRSLAFICE* >Brasy8G118500.1.p pacid=40081393 transcript=Brasy8G118500.1 locus=Brasy8G118500 ID=Brasy8G118500.1.v1.1 annot-version=v1.1 MGAGGRMTEKEREKQDVLSKRASGGAVVQRSPTDKPPFTLGQIKKAIPPHCFERSVIKSFSYVVHDLVILAALLYVALAWIPTLPSALQLGAWPLYWVVQGCVMTGVWVIAHECGHHAFSDYSLLDDIVGLVLHSWLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKEALAWYTPYIYNNPVGRLVHIVVQLTLGWPLYLALNASGRPYPRFACHFDPYGPIYNDRERAQIFISDVGVAAVSLALLKLASAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPQDHRKGVFWYSNKF* >Brasy8G118500.2.p pacid=40081394 transcript=Brasy8G118500.2 locus=Brasy8G118500 ID=Brasy8G118500.2.v1.1 annot-version=v1.1 MGAGGRMTEKEREKQDVLSKRASGGAVVQRSPTDKPPFTLGQIKKAIPPHCFERSVIKSFSYVVHDLVILAALLYVALAWIPTLPSALQLGAWPLYWVVQGCVMTGVWVIAHECGHHAFSDYSLLDDIVGLVLHSWLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKEALAWYTPYIYNNPVGRLVHIVVQLTLGWPLYLALNASGRPYPRFACHFDPYGPIYNDRERAQIFISDVGVAAVSLALLKLASAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPQDHRKGVFWYSNKF* >Brasy8G142700.1.p pacid=40081395 transcript=Brasy8G142700.1 locus=Brasy8G142700 ID=Brasy8G142700.1.v1.1 annot-version=v1.1 MELYGITGAEDLVPFDLTLDDDGVPVVTMGRRVIRQPLGYDGYDPWPPIQRRRQRQSNDNNDDDDDDDDDDDDDDDDDDDDDDDDDDDDEEEEEEEEGGGGCVDVFEANASSGTWMPLSGGLGGGRALFISMNFSKSVAAPCGEVEEDTIYFIVTGDVFNLKSGTSSSSEFCRSFKAQT* >Brasy8G075200.1.p pacid=40081396 transcript=Brasy8G075200.1 locus=Brasy8G075200 ID=Brasy8G075200.1.v1.1 annot-version=v1.1 MPEGDAVSAPAWPRPVPPGGAQHALDSRLVLLHHDSSVGKNGVRREAGAFQFTKSGNGKSPIVVGPWGGTGGYPWDDGVYSTIRQLVITHGAAIDSIRIEYDLKGNSVWSKTHGSNDEGSETDKVKLDFPEEILVSVSGHYGSVCGTPVIIRSLTFQSNHTKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLSSVGFYLKQVHVPNASSYPASPQNQRLTAAYKNGYSVAESGTEHEMVLAVRDRGDSYAVYTSNYPKQQYTNPSPDYNAGALWNKMVYFPSSYRERGSATMNSPETHGPWGGSGGTIFDDGIYTGVWQINLTRAVGVTSMKVLYDRHGQAVWGNKHGFSGGVPADKIAFDFPSEILTHITGYYGPTMIMGPTVVRSLTFHTNKRRYGPYGDEYGTYFSTSFTNGRIVGFHGRDGWYIDGIGVHVQEGKVAAHRASRRVTGSSSPYSMVAQAPSDIDDEVPCSVMVKEPVPMGPGPWGGEGGMPWDDGVYTGVKQVYVTRGAFIGSIQIQYERGDQQSVWSARHGTSGHITHRVKLDSPHEVLTSVRGYYNAGGEDGGPRVLRSITFVSNRGRYGPFGDEVGVYFSSPATAEGKVVGFHGRSGQHLDAIGVHMQHWLGDARGPAPKYVLSKYLF* >Brasy8G104000.1.p pacid=40081397 transcript=Brasy8G104000.1 locus=Brasy8G104000 ID=Brasy8G104000.1.v1.1 annot-version=v1.1 MPDRRGLLSPRRRPFDRRHRGVIRRSDESCRAARDPQRQWTTPAGVEAGPGGVGREEGQKNTTADACAAASTSPASAPDSLAASAALPLEAASPPPLVAAGTRSFLFSPPWRLPLPDA* >Brasy8G246300.1.p pacid=40081398 transcript=Brasy8G246300.1 locus=Brasy8G246300 ID=Brasy8G246300.1.v1.1 annot-version=v1.1 MCLRRAVGGHPALLPIPKYLLTPDHTGAGQTRASAARRPGCRRRRRAGSWYSAGRRWWWPGRSSCTGCHSPDLEKDGSKLRIGQAKESGGRCRAGGRMRAALVRWQLDRWRACLALAGAREEGGGMIWVEKGKKAERKENGEKQSTLARHAGLTVGHLCQFRA* >Brasy8G208100.1.p pacid=40081399 transcript=Brasy8G208100.1 locus=Brasy8G208100 ID=Brasy8G208100.1.v1.1 annot-version=v1.1 MKNCVYCSENESIQHLFFECVVATKTIWGDLSLFFKKHLGHDLVSVTQFWIANKKHSVMNVVCAANGVSGHRGIVWYLITSWSDIKQTWWLILRSIKKWEVLFKEEMLQQVREFQQHVSGILSSPYLLP* >Brasy8G248700.1.p pacid=40081400 transcript=Brasy8G248700.1 locus=Brasy8G248700 ID=Brasy8G248700.1.v1.1 annot-version=v1.1 MPLWKILVDVWMRFSSKANEDELERELKLLAALERKRVRTSEREKRVREGEGRLTSGRPWRRRRIRGGDGAGGDQIRGAVADDRRILSGEKTVARGKPWPGRRRRWRGARRTGRVPQRPASMTAAREGEGPMTAGSGGGGVRAAGSGGGGREGGRIQAAVAREGGEEGAAAVAGEEGQSVGERSRLR* >Brasy8G289800.1.p pacid=40081401 transcript=Brasy8G289800.1 locus=Brasy8G289800 ID=Brasy8G289800.1.v1.1 annot-version=v1.1 MAGGDSSSSSIAAEGGKRRADARQRKKQKQQEEKEGEGLKNPLDPRYSDYDPKQGKHVFTRFRNRNIDPDAESPAGPMRHTDRIFEEGFELSNLVNVVSVRIASSDYGYPFNVYGTVIARDSLDRQCVYLFRRDKDNSQLIRSKNDSLILTGPKRALMIYDSIFFEIDLKVTDVSGRKVKDERLSKGLMVVDGIFRLSFAPKHRVETEALVSMHSTLDLNYTFIRNAVEGTVEVRILEGPVHFHGKILARTTNVPCDILLHDSNLTGVLTAGDNGVLQTARRVVGVSVDEMLLLTVAAAAGDVSTVEFTPRRNYYGEEEITCGNYVMLVKLTWSIAFFDVNF* >Brasy8G207500.1.p pacid=40081402 transcript=Brasy8G207500.1 locus=Brasy8G207500 ID=Brasy8G207500.1.v1.1 annot-version=v1.1 MATRLWDAIQINPMNLDFEELWFLPPPAHLPKELWPSMLLAILWRIWKSRNAKVFSNEDVPPPIAIGSIIQDITLWSFN* >Brasy8G097600.1.p pacid=40081403 transcript=Brasy8G097600.1 locus=Brasy8G097600 ID=Brasy8G097600.1.v1.1 annot-version=v1.1 MASQEKPTTRSPSSPPPPQSLAPEEAGRIRGFGGGAAGGGYPNPPDAAVPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRGEPRAPNDDNANEKK* >Brasy8G061500.1.p pacid=40081404 transcript=Brasy8G061500.1 locus=Brasy8G061500 ID=Brasy8G061500.1.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWSAFISTAKRLRVMRRSELPRGLIGAVSGRVADC* >Brasy8G061500.2.p pacid=40081405 transcript=Brasy8G061500.2 locus=Brasy8G061500 ID=Brasy8G061500.2.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWSAFISTAKRLRVMRRSELPRGLIGAVSGRVADC* >Brasy8G061500.3.p pacid=40081406 transcript=Brasy8G061500.3 locus=Brasy8G061500 ID=Brasy8G061500.3.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWSAFISTAKRLRVMRRSELPRGLIGAVSGRVADC* >Brasy8G061500.5.p pacid=40081407 transcript=Brasy8G061500.5 locus=Brasy8G061500 ID=Brasy8G061500.5.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWSAFISTAKRLRVMRRSELPRGLIGAVSGRVADC* >Brasy8G061500.6.p pacid=40081408 transcript=Brasy8G061500.6 locus=Brasy8G061500 ID=Brasy8G061500.6.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWRLEPSLGE* >Brasy8G061500.4.p pacid=40081409 transcript=Brasy8G061500.4 locus=Brasy8G061500 ID=Brasy8G061500.4.v1.1 annot-version=v1.1 MGERSKERGLPPQLLNLIPDEREWKVKGAGGGSRGISFDAEEDNKLELKLGLPVPGVQQDQGAAGSWEQKIHQQQLQAESCSELSLGCFPAHSSKLPNTGAKRGFFDTVVAKPEGCSLQDTEGCGNELIELRLGGENMSGERKKGCCPPPSHGSAAAAAPVHNSSSSSPQGRAAVLPAVGWPPVRSFRRNLAHGSSSKQSPERQNNEDDGKAKLICKKSPLVKINMDGIPIGRKLDLLAYDSYQKLSSAIEELFRGFLEAQKDLSCAESGEQGAEVKLFSGLLDGTGEYALVYEDNEGNRMLIRDIPWRLEPSLGE* >Brasy8G280100.1.p pacid=40081410 transcript=Brasy8G280100.1 locus=Brasy8G280100 ID=Brasy8G280100.1.v1.1 annot-version=v1.1 MDTTQQLKALVENMFRTGLLDSEFNKLKLLQDSNNPNAVSEGDWNQPSVDYDRVSTFAHKIMGASASVGAKRVKNTCTLLCDFCMDKNNRGCLNALDSLQNEFYDLGNKFHTMIQVNHLIC* >Brasy8G260200.1.p pacid=40081411 transcript=Brasy8G260200.1 locus=Brasy8G260200 ID=Brasy8G260200.1.v1.1 annot-version=v1.1 MANPDDGGAAAGKKFWRSASWSASRVGEAAKEGALGGGGPARLVPPPPPLTPRSKGRSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKAGCGGPASAKPGEGLRLDLSTLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNREILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQSGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHMASLIYVWVGLRCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQPEFWEAFSSAPLHSDSNVKLSKEQIDSASRTCLGNRRVESYDSDFELVYKAITGGVVPAFSSSGAGDETHLPARESSWSVLRRKFVTRSLSRVYSDSALIRDLDPRVQHLAAEASISPPFLSPSSFSSDSSISSKYSSDSPSLSPSASSPPSLGLSPASSNLPHALVPSSRSPLRQSSSEEPSKPVLGSLCSPSKVSSIAERRGGFSPLKLPSLPKDLVLPPRAPPSSHTAGEAMDKSNTNGVKQLTAVSHPEKCTGNNSTADGETRLVEYTDSNSEACNCVQLLVYRWPCMEKLTTFARKDLDPKTVFIFVTPDASSSAEAVRMLCIWVGGEYESSKGVDAIDWQQVAGDFLNQKGFSNSLPVKIFKEHETDKLLEVLDAR* >Brasy8G260200.2.p pacid=40081412 transcript=Brasy8G260200.2 locus=Brasy8G260200 ID=Brasy8G260200.2.v1.1 annot-version=v1.1 MANPDDGGAAAGKKFWRSASWSASRVGEAAKEGALGGGGPARLVPPPPPLTPRSKGRSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKAGCGGPASAKPGEGLRLDLSTLRSQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNREILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQSGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHMASLIYVWVGLRCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQPEFWEAFSSAPLHSDSNVKLSKEQIDSASRTCLGNRRVESYDSDFELVYKAITGGVVPAFSSSGAGDETHLPARESSWSVLRRKFVTRSLSRVYSDSALIRDLDPRVQHLAAEASISPPFLSPSSFSSDSSISSKSPLRQSSSEEPSKPVLGSLCSPSKVSSIAERRGGFSPLKLPSLPKDLVLPPRAPPSSHTAGEAMDKSNTNGVKQLTAVSHPEKCTGNNSTADGETRLVEYTDSNSEACNCVQLLVYRWPCMEKLTTFARKDLDPKTVFIFVTPDASSSAEAVRMLCIWVGGEYESSKGVDAIDWQQVAGDFLNQKGFSNSLPVKIFKEHETDKLLEVLDAR* >Brasy8G104100.1.p pacid=40081413 transcript=Brasy8G104100.1 locus=Brasy8G104100 ID=Brasy8G104100.1.v1.1 annot-version=v1.1 MGAKIAATALALLLLAFCVEAQNCPGDRPSKTFKGHCLREIDPACTAACAAEKFPGGRCEGENATCYCTCLDGGYPAKSRT* >Brasy8G100700.1.p pacid=40081414 transcript=Brasy8G100700.1 locus=Brasy8G100700 ID=Brasy8G100700.1.v1.1 annot-version=v1.1 MQLTRANRQGEGSSIGMHFCSSVLEFCSPLPEIMGGSDVQSEEEEFSPHSDPDDSIKALVLL* >Brasy8G133000.1.p pacid=40081415 transcript=Brasy8G133000.1 locus=Brasy8G133000 ID=Brasy8G133000.1.v1.1 annot-version=v1.1 MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSSANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLISYLKEATS* >Brasy8G090700.1.p pacid=40081416 transcript=Brasy8G090700.1 locus=Brasy8G090700 ID=Brasy8G090700.1.v1.1 annot-version=v1.1 MINRLMSGRVVSDMHHHDSSLGLMQFHDPHQYMYSGSSSTGGSFLPPHHHAAFIKDVAPAEPLVQILEEAPAGAGAPAVQEERKVVDEAAEEEEGGEEAAEEAHGVRMIALLMECAVAMSVGNLADANGALLELSQMASPYAASCGERLVAYFARALSARLMSSWVGVCAPLQQPDDAGSGIHAAFRAFYNVAPFARAAYLACNQAVLDAFRGQRVVHIVDLDVVPGGALQWLSLLPALAARPGGPPALLRVTGFGVSAALLHDAGNQLAGLAGKLGLPFEFYAVAKRPGDAAAAVSSGLLLPGKRPGEAVAVHWLRHALYDAAGDEAAAIRLARWLEPRVMTVVDHERSLSSSSSSGAAADDGGSFLDRFVSALHHYSAAFDSLGAARPAGDDASRHLAENGLLGREIGNVLAIAGPSRSGRAGVLGSWQAELARHGFLRARWGSGGARAQMLAGACPAGLGYTVADDGHDGTVRLGWKGTPLYAVSTWTWCATTTHGHVRG* >Brasy8G149200.1.p pacid=40081417 transcript=Brasy8G149200.1 locus=Brasy8G149200 ID=Brasy8G149200.1.v1.1 annot-version=v1.1 MFKFLKDVVSGSGSGLKDFPYTVGEPHASAWGSWTHHRGASKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVADGPAVKHTIYIVTEPVTPLSEKVKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLSSVVVTQTLDWKLHAFDVLSEFDANNEIPGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGGKLTRTEDLRNIASIPKSLLPDYQRLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALTVLLKMGSWLPSDQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLAAQTVDEQVFPHVATGFSDTSSFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNISSYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNTGDNRPAEGTGVQLKPGNAGLLGWAMSSLTQKGKASDHGPVSTANASNSQVSASPSAASDTQSAPVAYAPSTSNSVDHTAPASESSSLDGWGELENDNLQEENGSDKEGWDDVDPFEKSPQSLLSNIQAAQKRPVVQPKQPVSNSSRSNPPMAPKADDDALWGPMAVPAPKSALKSADIKPSTSHNADDDLWGSIAAPQPKSSGKPLKPAAANNDDLWGAIAAPPPVTKARPLASSGRGRGAKPAPKLGAQRIGRTSSTGGL* >Brasy8G149200.2.p pacid=40081418 transcript=Brasy8G149200.2 locus=Brasy8G149200 ID=Brasy8G149200.2.v1.1 annot-version=v1.1 MFKFLKDVVSGSGSGLKDFPYTVGEPHASAWGSWTHHRGASKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVADGPAVKHTIYIVTEPVTPLSEKVKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLSSVVVTQTLDWKLHAFDVLSEFDANNEIPGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGGKLTRTEDLRNIASIPKSLLPDYQRLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALTVLLKMGSWLPSDQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLAAQTVDEQVFPHVATGFSDTSSFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNISSYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNTGDNRPAEGTGVQLKPGNAGLLGWAMSSLTQKGKASDHGPVSTANASNSQVSASPSAASDTQSAPVAYAPSTSNSVDHTAPASESSSLDGWGELENDNLQEENGSDKEGWDDVDPFEKSPQSLLSNIQAAQKRPVVQPKQPVSNSSRSNPPMAPKADDDALWGPMAVPAPKSALKSADIKPSTSHNADDDLWGSIAAPQPKSSGKPLKPAAANNDDLWGAIAAPPPVTKARPLASSGRGRGAKPAPKLGAQRIGRTSSTGGL* >Brasy8G033300.1.p pacid=40081419 transcript=Brasy8G033300.1 locus=Brasy8G033300 ID=Brasy8G033300.1.v1.1 annot-version=v1.1 MASSNKHWPSMFRANPACGFPHQPQPDLMNNDNPHSHGSSSSSLLSGSKPSLLSSGMDNQEGSGRSTETKARWSPRPEQIRILEAIFNAGVVNPPRDEIRRIRARLQEFGPVADANVFYWFQNRKSRTKHKLRQAAAAANAAAARASSSSSPAPAPPVTPPPRKQQQNLVLGSGNSSSSSSSDRSSGTSSGGGKAASVVQPPRAASMAADLFAPPAPLSGCSQLYYQYNPMPTAAREMGIGSPEPQPAPSLTLQWPPPQGQQYYYLPATELGGVVLGSGGHATMAPSAAGALGQQDNIIVDGMSSYAAAKGPCSVNGQQYSINAAAAEPVGVSEAMMSAVFTEEEEKASWLGGSGLLNYGLGAAAPTVAAATSDVISTAAPPSVNAAASSALTDQQQLQGMY* >Brasy8G237400.1.p pacid=40081420 transcript=Brasy8G237400.1 locus=Brasy8G237400 ID=Brasy8G237400.1.v1.1 annot-version=v1.1 METLMAAEVPQQKAGGLARRLARLVRRKRSPAGSGVAYSVASDVYDESLDSSINSLSKLKLSGNMAAAYSFDAFFNNAAEKKKQTTKGARAQQQYQSSSDAHAFVAGLFAGVSAVKASYAQVQLAQHPYDADAIQSADAALVAELGKLSDHKRRYVRDPAAAARDAAAAGPAAIADEQRHLVRTYEITARKLEAELRARDAEARRARGELAAELRAARAMEERVHPGSTLAALDDLHLSGLNAAHFLTALRHAVRSVRSFARTMLDEMRLAGWDPAAAAAAVHPGPGGGGVLCRPGDAKFALESYVALKMFANFHRRDFGLQQLQSRGSYDRRNFFEEFAELKSAPVAAFLDQRTSSRWGALGEFLRDRYLSLVHERMEAAFFGRTAAQAQRGVVKAGAGFFPETAWFAEFAEMARRVWLLHCLFFAFDGEGEASASIFQARAGERFSEVYMESVSDGMDGEDGARMALAPACNRVVGFTVVPGFRVGRSVMQCRVYLSRTGRHP* >Brasy8G105900.1.p pacid=40081421 transcript=Brasy8G105900.1 locus=Brasy8G105900 ID=Brasy8G105900.1.v1.1 annot-version=v1.1 MGGQGRLQGSGLLLFRLRANRRLLARVVREGGGGGGDLASLRAAASTSLPLCCWEIMAEMVASAVVGETVGRISTFLVGQPDQKSRRRDDTEKLEMAHIKIEAALHISSRWQITDVPMLRWRSKLKRAAQECDDKLRRRKQRALEDEEFKRSSPFPRRVAQAAKSLISSPFTSHSKGVDDESSSADEIKRFERFAEGTIQFLKFVELGGTPRWPHTFFNPLIGDLLAGKALHYQALRGGRFFCYIGIWPTSFEERGVEAMVGFAYQDFKEPAKGLNVRLVLRLSESSDVFGIIVKCMQSAATLPHFTVAAEGVRRELVQLPTQDFSRGTSFSRGVKEYWQNVHTTLTCWLRPDPLCCAEHENDLAISSKNNDNAPSSSRPRRLASTFPEQVIAVYLQCHVSLSDQHRSWQDLGAGHGTRSSTSSGSAPLKLGVLFIPHDTPEGIEPLAESYAFEVIDGEEQEMVHTDASLQDVDEKLLPKAIDHLCQNYESKMYQMCLRSRHGTTAHLCVEKTEAEVRTAGRTGTARSQSNVRNKRVDKKREEYDIAGWVDVSRDLLKYWVVRASDELHGSMRSWIVNSPLQN* >Brasy8G118300.1.p pacid=40081422 transcript=Brasy8G118300.1 locus=Brasy8G118300 ID=Brasy8G118300.1.v1.1 annot-version=v1.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY* >Brasy8G110500.1.p pacid=40081423 transcript=Brasy8G110500.1 locus=Brasy8G110500 ID=Brasy8G110500.1.v1.1 annot-version=v1.1 MGNSLRCCLACMLPCGALDVVRIVHLSGHVDEFTCPLIAADVLAAHPSHALTAAGSAGATRRIAIVPPDSELRRGRIYFLIPTTACPAAAPKAKAAAELKRNHSGARPPSKTKRRHGPGHHRKVAAAASTAEQDNYLRELLSEKREAAAGHRRRRSSSGRAGVWRPRLESIAEEPSD* >Brasy8G115300.1.p pacid=40081424 transcript=Brasy8G115300.1 locus=Brasy8G115300 ID=Brasy8G115300.1.v1.1 annot-version=v1.1 MVSTYFWLVVLLVLSSGLGSDGCKSRESQTWDGNMCVEHGTCNVPCKAEGFDSGHCKDLTLCVCYRKCNGPSVAGS* >Brasy8G114900.1.p pacid=40081425 transcript=Brasy8G114900.1 locus=Brasy8G114900 ID=Brasy8G114900.1.v1.1 annot-version=v1.1 MFNPSSSSVPTYSDLSMHHAVSFSTASLPTAPTEIPRRSSGFFHDNGALISPSNVAASAPPPYPSSLPSYYVHSTQSFPFHLQYPDALNGNATFSCQSPSACQVPPPPASSSPSSSSGGFLEFCPGSMRRVFSAGDLQGKNVSPPPLPPPQFSGDNCSQEVVEPFLEKVGRYSTEERKERIERYRTKRQQRNFQKKITYACRKTLADSRPRVQGRFARNVETEGGEAVADFERDVGSDISYEYCSYNDLSSNSYDSQSQHRETGSSMTFNDNKWWWGMPVAANEHQQQQQPQQLGFNLDDEDQLWASLADMCSGT* >Brasy8G035000.1.p pacid=40081426 transcript=Brasy8G035000.1 locus=Brasy8G035000 ID=Brasy8G035000.1.v1.1 annot-version=v1.1 MATARRVRLAVLLSLALLPAALSQKAAPMAPAPFKASTPLNVTAVLEKAGLHTKFMRLMKSTQQDTALNSQLNGSNAGFTVFAPTDTAFDSLKPGTIESLPQQKQVSLVQAHIIPSFFSMESLGTASNPVRTQASGSTVNVTAASNGQVKVSTGLMSTVVGAALRAVKPLAVYSVDKVLLQNDLFRPEPSAPAPGTKGPAGALASAAAGAVVGASGWSLLAACVL* >Brasy8G084000.1.p pacid=40081427 transcript=Brasy8G084000.1 locus=Brasy8G084000 ID=Brasy8G084000.1.v1.1 annot-version=v1.1 MTHLCILDARRTRLKKIAQPIFEPQTGEKPERPTGAIAESTFNIHTLLSDKADTQDSVADRTTKWSYDIVTGLLYAYLDYGIEPNTVTFGLEIDAPPTCLNVRPSRFGKDPWSTGSFGPAPSPTAAYAIVDWISRAPLSTLARNWVSHAQPRLLEIDGTYIRQQIIGSQPLSHEMCVLLVRRLCQIDVSSTKDAAGMQWRKFIEPDFSVYALANLDPMKLVSTHNIFNADASNFLVTSCRMYYLMAQLEDGWTAYGFDMQQKIIHVLDPVAGTQGFSNSRVEIHTICSTRLITQLFRTIKAFYDNWSCGTDGWQRRFPHLMTEDFRR* >Brasy8G259000.1.p pacid=40081428 transcript=Brasy8G259000.1 locus=Brasy8G259000 ID=Brasy8G259000.1.v1.1 annot-version=v1.1 MVIKGLLPLHVAVDNTCMHKYLEDGLCPDQEYWDYSEADANYIFKLIHLLCLPEMKIFLDTTRLIAKYTGNLVDELWNYIKEGKLAQTAVLLLAAQEQIRMGTPAKIHGKSKTDGFAIILDHILDKQITLQSEKGQEVEAKIKLNNMTMMLVHVISKAGEGLDSYIRKHPEVPYFMQEPHLEVLECVSAILKDSGFCPTPECINIGDLCPYDDVLHIDDIPRKRGMRVC* >Brasy8G259000.2.p pacid=40081429 transcript=Brasy8G259000.2 locus=Brasy8G259000 ID=Brasy8G259000.2.v1.1 annot-version=v1.1 MVIKGLLPLHVAVDNTCMHKYLEDGLCPDQEYWDYSEADANYIFKLIHLLCLPEMKIFLDTTRLIAKYTGNLVDELWNYIKEGKLAQTAVLLLAAQEQIRMGTPAKIHGKSKTDGFAIILDHILDKQITLQSEKGQEVEAKIKLNNMTMMLVHVISKAGEGLDSYIRKHPEVPYFMQEPHLEVLECVSAILKDSGFCPTPECINIGDLCPYDDVLHIDDIPRKRGMRVC* >Brasy8G234800.1.p pacid=40081430 transcript=Brasy8G234800.1 locus=Brasy8G234800 ID=Brasy8G234800.1.v1.1 annot-version=v1.1 MVQPLRSSPSRAPSSHASVQPLRPPAPPPRRPVLPPSPPSLPLLQKARGGAGLRARGSVPSSGAGQRAKGSGPFYELQRRRSCSSVGATRRGSIGGVGQGGEAAAGQFLLPI* >Brasy8G085200.1.p pacid=40081431 transcript=Brasy8G085200.1 locus=Brasy8G085200 ID=Brasy8G085200.1.v1.1 annot-version=v1.1 MAGGGGAGGMSESVLRKVLLSYCYVAVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMSFCSSLAVGLVRVLRVVEPPSSPPMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWIFVELPRLRAVGTFQPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHIKLQALKAKEAQKKSAQADEEAGSLLQEREHSDRKSDNQA* >Brasy8G135600.1.p pacid=40081432 transcript=Brasy8G135600.1 locus=Brasy8G135600 ID=Brasy8G135600.1.v1.1 annot-version=v1.1 MLIVRLRLRRGWTAEEDARLERLAKENRFRHWHRVARQMPGRSHKLCRDRWRDHLARDVYNRAFTAADDAELARLHRRHGGRWKDISRHVHARTTRVLKRRWRELLRKNGAEEMEASSPTTLQRPLVDVLAAGLSSCSLGGGLVADPRLGSLALGFACMAV* >Brasy8G163600.1.p pacid=40081433 transcript=Brasy8G163600.1 locus=Brasy8G163600 ID=Brasy8G163600.1.v1.1 annot-version=v1.1 MPASSFPVLLLQAAPQRRGIPPGAAPLRSTASASPMSRLGGIAAARRPRPTASYHSRPSCAPCEFSKSKVSVPEILKVFTDFGKIPSKALAGWTICDGRWPSSVEDRCSGGALGAARPAACRRPPCCPRQDPEDRIGGARIGGSADDPAVAFSLPDSCGERRREAVAAVGGGSRRIPDDGLHRFGTRRGGSRGGVRRRQLARSREAAAACAEEEGGAGRPARRLQHGGEGGRRVQREERGLGGLGVFFACSALWLCCVWRGLDE* >Brasy8G224100.1.p pacid=40081434 transcript=Brasy8G224100.1 locus=Brasy8G224100 ID=Brasy8G224100.1.v1.1 annot-version=v1.1 MAGLVALFASPALPFPSTSSSSPSCSCRFRPAVACAPRHQPAPRRVTRRYDELEGASKKRRGVIGGGGGGSLASSTRKDKGLAVDFKESQVADFEELEEDKFFNAVVKVYCTHIRPDYGLPWQKQRQNSSTGSAFMIGDGKLLTNAHCVEHDTQIKVKRRGDDKKYVAKVVARGTECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGVQIDAAINAGNSGGPAFNEQGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYRKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGILVRRVEPTAPASCVLRKGDVIASFDGVPVGCEGTVPFRSTERIAFRYLTSQKYSGDVAELGIIREGNFMKVQTTLHPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLSTFEGEQIVIVSQVLANDVNIGYEHMGNQQVIKLNGTMVKNIHHLAHLVDTCQDKFLTFEFEDDFLVVLDREEAMAASSDIQKEHAIPSVRSSDLSEPYVDTNHEVQKTSEDFGDSPVTNFEMGVDCLLWA* >Brasy8G039200.1.p pacid=40081435 transcript=Brasy8G039200.1 locus=Brasy8G039200 ID=Brasy8G039200.1.v1.1 annot-version=v1.1 MNWAGLQPTKPNSRFIALSCLAHQKKKLRCGSSPLESGPLPPLSAAGPHFSRRAPLALRPFQCDASETVALMVSVAVSLVPVALLPLGSAARRMRGGAAIN* >Brasy8G028100.1.p pacid=40081436 transcript=Brasy8G028100.1 locus=Brasy8G028100 ID=Brasy8G028100.1.v1.1 annot-version=v1.1 MDGLLRADHLEDTSSIASEVSELAVLGTQHAAQAAPLVDLEDEIEIAAPDDAAGEESCKDLTAQDEVEEARTAKKSAEAKASAMVNAWNPTANKELMDKLSSELKLRVDTFEKAVEDFFNNVPSFDHVPDKYEGMPGVILRVSDITDSSEQDFFLYVKQDLELLQKLVNAEELDKTVLAETIEKVLTRWEKLFSTHISEGMEKMTEALKELRALLAGTDATLPPPDADGVSAYQGRVEEALKEAAAIQEAIRSILSQFDASEAIAQKKRDALATTRKQQEKKIVDLRASLKLAEEKLVETQTQETELEAFFKDSGVTRHDCYNLSINVKKMADRGELVKAEAEKHMEYAGENLKSSQPKPVRSLLAYMQSSSCEE* >Brasy8G194500.1.p pacid=40081437 transcript=Brasy8G194500.1 locus=Brasy8G194500 ID=Brasy8G194500.1.v1.1 annot-version=v1.1 MGTSGSKGMDGVGGAGAAAADGGAGIQDEDGVGGAGMESWHGGAQLYVSLKMENARIIGDLVPHVYGSEPIVGSWDPARALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAETPCVIEEGPTRLLTGGMLEGDVRVANFRLNGDDEVLEFRVFNKADIVSPLDLAASWRVYKENFQPSKVRGIPDVSINVAPAHATEDGSGSSLELDLEHYVVPTPTAPPTEYAANLAATPASLIQPGALWTNDMLLSDGIQSPSSASADFRDHSYHNKDIEASVADSSKKLQVCGMVESKSVGTLIPLQKQDRQKGLYVDTGVVSPRLGKSFSACALASGLSFASTKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETKHFNVGKYRRLKHGANQSADFFRADNQQGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDRNIIERNVRLKIQQSPDYADQPDYEAGLQDFLERLTNYEKVYEPVEEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLAPRPILLTRHGESLHNVRGRVGGDTVLSENGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTATPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLREVPDMEMPLHTIIEIQMGVTGVEEKRYKLMD* >Brasy8G065600.1.p pacid=40081438 transcript=Brasy8G065600.1 locus=Brasy8G065600 ID=Brasy8G065600.1.v1.1 annot-version=v1.1 MSAVDPLVVAHVIQDVLDPFTPTTPLRIAYNNRLLLPGTELRPSAVVSKPRVDIGGNDMRVLYTLVLVDPDAPSPSHPSLREYLHWMVADIPGTTGVNFGQELVIYERPEPRSGIHRMVFVLFQQLGRGTVFAPDMRHNFSCRSFAHQYHLNIVAATYFNCQREGGSGGRRFRPESSQGE* >Brasy8G171600.1.p pacid=40081439 transcript=Brasy8G171600.1 locus=Brasy8G171600 ID=Brasy8G171600.1.v1.1 annot-version=v1.1 MMNRRHCNRSDSSSCKLCPLNVLEDCDHLFFTCPFSVSCWGSLDIYWDMSMDIRDRVRAAKASFSGPSFIMIFLCAAWHIWKQRNSYIFDRSPPSLSSWFAGFKQELFLLSHRIKENHRSILLVWLESLVIPS* >Brasy8G298400.1.p pacid=40081440 transcript=Brasy8G298400.1 locus=Brasy8G298400 ID=Brasy8G298400.1.v1.1 annot-version=v1.1 MKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSIEQGDHRPGSEQHEDQGRCSA* >Brasy8G274900.1.p pacid=40081441 transcript=Brasy8G274900.1 locus=Brasy8G274900 ID=Brasy8G274900.1.v1.1 annot-version=v1.1 MAAAATGEGDSVAPETTRVEAASSPQPGASPGSTARAMPYEECVAGIRSALKHPTVRFLKERLEKAGCPVFPGIIKAATCSTSGSYVGREGVKVCCNHMLYQDSIEQTIIHELIHAYDDCVTKNIDWKNCAHHACSEIRANHLSGNCHYKRELLKGFMKIRGHEPECVKRRALESLGSNPYCSETAAKDAVEAVWDICYNDTRPFDRAP* >Brasy8G273000.1.p pacid=40081442 transcript=Brasy8G273000.1 locus=Brasy8G273000 ID=Brasy8G273000.1.v1.1 annot-version=v1.1 MAVSPVVMAAAPLILLLLASLAGAVVEPAEEIGVPNCNITCGDMKVPYPFGMGLPSCYWPGFKLTCNSTGKTPQLLLGTGTGTLQVEDLYLQIPYLRVRRTGSIKIDAADKGALGGGFDGVPYMLGTGINELTLTGCNVRATLKKGNIIMASCSSMCSTPTHGARTPEILMRDSLPCSGSRCCQADVISRQNQVDVTSYDVEVTYLGSNRSTDEAQVPTRVFVSKKGWFEQVWLATDHPGQPNRTPSEDAIMPVPIWLQWGVSGDGIQPVDPNSVVLECPREAVRRVCKSKNSTCSKGAAAYTCRCDDDFEGNPYVTDGCKERCYYGDTATCVCPLGTQGDPYRFGGCTGLVT >Brasy8G196000.1.p pacid=40081443 transcript=Brasy8G196000.1 locus=Brasy8G196000 ID=Brasy8G196000.1.v1.1 annot-version=v1.1 MSRHGIIARCSACNQPGHNKRKCPELGRATAADAQQAQDDAAQQAQDDVAQAQAGSKKSKLPVKRKIIPTDAPEVTRKKKQILKKNLSSSC* >Brasy8G256200.1.p pacid=40081444 transcript=Brasy8G256200.1 locus=Brasy8G256200 ID=Brasy8G256200.1.v1.1 annot-version=v1.1 MAAVVVSASLGAFGPLIGKLTNLLADECGRLRGVRREIRSLRSELTSMHGALKKYTRLEDPDDQVMEWMSLVRELAYDTDRGLFRQRLQGRKTARRLKTLGARHGIANQIADLKEVKELKTSYRLDDIVCSTSGHTSHLTQHGSSVGNTKKLIRLRQNLILEEGNRSGYIIIIDDVWSILAWNAIKCAFPENNCSSRIITTTRIFAVAKFCCPDLDDKIYEMTPLSNLHSERLFFKRTFGSEDCCPDMLNQVSNEILKKCGGLPLAIISISCLLANKPRVKEEWEKVKRSIGSDLNKSQSLEGMKNILSLSYNDLPPNLKTCLLYLSNFPEDYVIERERLVRRWIAEGFISEERGQSCQDVAENYFYELINKSMVQPVDIGYDGKVRACRVHDMMLELIISKSTEENFITVVSGNQTVWEKSQCFIRRLSIQHIDQQLASELAKKDLRHVRSLTVTSLSCIKYLPSLVEFEALRVLDFQGCGGLKEYDMNSMDKLFQLRYLSLRDTDISEVPSGIVMLHDLETLDLRNTTTKGLPAGIVQLVKLQHLLTGYREEIVIPIGIGNMTNLREFSCFNITMSSVGAVEELGNLINLNVLHVRFIMKDEESESHKYQRHTEMLVSSLCKLGSYKLQSMCINRGNSTPLRLLDSSSPLPSCLQRFEMYADYSSSKLPKWIAPALTSLTYLAINLSEVTQEDLGILGELPALLSLVLSTDKCLKEFVFEPFDEGTGTFLFEEGALPKLEKLQLMFCVSMAKANGFYLGIEQLRCLKDFVVFLHKEGATTSECKAAAAAIRNEASLHPNHPRLTLTGEVKETDTDEVDENQSDADKES* >Brasy8G132800.1.p pacid=40081445 transcript=Brasy8G132800.1 locus=Brasy8G132800 ID=Brasy8G132800.1.v1.1 annot-version=v1.1 MGKRKGRGPAVTPPSGEDGSGSKPPPEWTSEEDLCFVCKDGGLLRLCERRNCHKAYHPECVGKDDDFLNSDEKFFCGWHTCFICKGSSYYQCFCCPGMSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.2.p pacid=40081446 transcript=Brasy8G132800.2 locus=Brasy8G132800 ID=Brasy8G132800.2.v1.1 annot-version=v1.1 MGKRKGRGPAVTPPSGEDGSGSKPPPEWTSEEDLCFVCKDGGLLRLCERRNCHKAYHPECVGKDDDFLNSDEKFFCGWHTCFICKGSSYYQCFCCPGMSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.3.p pacid=40081447 transcript=Brasy8G132800.3 locus=Brasy8G132800 ID=Brasy8G132800.3.v1.1 annot-version=v1.1 MGKRKGRGPAVTPPSGEDGSGSKPPPEWTSEEDLCFVCKDGGLLRLCERRNCHKAYHPECVGKDDDFLNSDEKFFCGWHTCFICKGSSYYQCFCCPGMSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.4.p pacid=40081448 transcript=Brasy8G132800.4 locus=Brasy8G132800 ID=Brasy8G132800.4.v1.1 annot-version=v1.1 MGKRKGRGPAVTPPSGEDGSGSKPPPEWTSEEDLCFVCKDGGLLRLCERRNCHKAYHPECVGKDDDFLNSDEKFFCGWHTCFICKGSSYYQCFCCPGMSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.5.p pacid=40081449 transcript=Brasy8G132800.5 locus=Brasy8G132800 ID=Brasy8G132800.5.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.10.p pacid=40081450 transcript=Brasy8G132800.10 locus=Brasy8G132800 ID=Brasy8G132800.10.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.6.p pacid=40081451 transcript=Brasy8G132800.6 locus=Brasy8G132800 ID=Brasy8G132800.6.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.11.p pacid=40081452 transcript=Brasy8G132800.11 locus=Brasy8G132800 ID=Brasy8G132800.11.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEENAFCMKSCSEDKFKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.9.p pacid=40081453 transcript=Brasy8G132800.9 locus=Brasy8G132800 ID=Brasy8G132800.9.v1.1 annot-version=v1.1 MGKRKGRGPAVTPPSGEDGSGSKPPPEWTSEEDLCFVCKDGGLLRLCERRNCHKAYHPECVGKDDDFLNSDEKFFCGWHTCFICKGSSYYQCFCCPGMSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGKGHDHVALHGS* >Brasy8G132800.12.p pacid=40081454 transcript=Brasy8G132800.12 locus=Brasy8G132800 ID=Brasy8G132800.12.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.7.p pacid=40081455 transcript=Brasy8G132800.7 locus=Brasy8G132800 ID=Brasy8G132800.7.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNEGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.8.p pacid=40081456 transcript=Brasy8G132800.8 locus=Brasy8G132800 ID=Brasy8G132800.8.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G132800.13.p pacid=40081457 transcript=Brasy8G132800.13 locus=Brasy8G132800 ID=Brasy8G132800.13.v1.1 annot-version=v1.1 MSVCRGCLTQADFVQVRSQTKGFCTDCLRLAIMIEKEVEVDSDGEKVDFDDRETYEFLFKEYWEIVRGKEGLTLDNLQEADAYLKNQVSDSENLPEEEHNSDDNFLGDSDDEPIRLSNVKGTSNRVKTSLKQGKSKKNVYVGWGSKELIGFLASIGKDTTKSLDQFGAAEAVREYIRQKDLLQKDKKKLVICDDKLCSLFSKSKFKYNKIYSLLEKHISANAISDDETSASSEDNSDSPVKKKTHTMISESCTPKRISERDKRCFAALVRDNIKLIYLKRSLVMDLLKQPETFENKIIGCFVRVKNDPKDYSYNKHKKLYQLGQVTGIRKSSEEYKIRDTSTDMLLCISSSSAEVKISMLSDEDFEEEECEDLRLLAQKEHCKRHTVAELEEKVRSVQRDIVSHWINKELQRLEKLIDLANEKGWRYEMRKYIDKRQLLRTPSERQRLLEEVPRVIPDSEDNEDTELLVAASDKPLQKNTSVLQGANGEKTVCLESCSEENFRGAKREKDVCLRHCSKQKPKGANEDNAVCLKICSEEKAKDTKAHAVGDTITPRTDVQNQGSEVNVAGGKDNVDGGKPGMHVQKQGNVAGITAQVIIIDDDEGANITVDQNADESWYAHVMQHKVKDTTMWHYTDPDGDAQGPFPIERLRSWKKAGYFDDDFRVWRAGESCDKAILLTDALRLKG* >Brasy8G142400.1.p pacid=40081458 transcript=Brasy8G142400.1 locus=Brasy8G142400 ID=Brasy8G142400.1.v1.1 annot-version=v1.1 MAAAPSGSRTRMVTLISKGGRHFKMPEAVALVSSRSCREALDYIEYRGNDTVTIELPDIDPKPVSMLVNFCNHMAAAATSAASDDAAQRMREWEERFLGDDDVDQALLYDLLSAAISVQADGLIDLVCKRVARMIKGKTPQEIRTVLGIQDDLTPDQRDEIRTDNSWIDL* >Brasy8G093300.1.p pacid=40081459 transcript=Brasy8G093300.1 locus=Brasy8G093300 ID=Brasy8G093300.1.v1.1 annot-version=v1.1 MAGTKLVALAFIVFLGIGLVNATRVARFSSSEATGTGKGEGGALVDGAGSGTGNAVGSAENGKHGLSVHGSGEGEGIGGGGGPSGGSGFGSGSALGSGSTQIGTDPFGGHSSASGNGGGGGRGRASGNSASRGHGAGEGTGSGSSSSNNGGWPGGAFANANAFGNGGGNGHGQNGGSGSGGGGGGGSADAHP* >Brasy8G292300.1.p pacid=40081460 transcript=Brasy8G292300.1 locus=Brasy8G292300 ID=Brasy8G292300.1.v1.1 annot-version=v1.1 MSSSSVDEVTKSWPEVVGLSIKEAKKVILKDKPDADIIVLPVGEPVTGDFLPNRVRIFVDTVAEIPRAG* >Brasy8G193000.1.p pacid=40081461 transcript=Brasy8G193000.1 locus=Brasy8G193000 ID=Brasy8G193000.1.v1.1 annot-version=v1.1 MMGPGRNIGSSPGKTRRFGPPVMRDYRMRTGSYIAPKALRGSCRGGRAAQRSRREPGRRGVAAVGAGGRRGGATRHSGDLTGGGAGAGQGLRRGGAVQGLASGTEERHDGIPHGRRVRELHRLGRGRRCQPAMAGSLDGD* >Brasy8G072000.1.p pacid=40081462 transcript=Brasy8G072000.1 locus=Brasy8G072000 ID=Brasy8G072000.1.v1.1 annot-version=v1.1 MDSARSWFQKFQPRDKSKSPAVPASHGKDPGKPPMDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEEEAKFYIAETVLAIESIHKHNYIHRDIKPDNLLLDLSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSGKGAKPLPDNTSPLTNSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPETKDLISKLLCNVEQRLGTKGAHEIKAHSWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGAQVQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKTSKSKRPTIKTLFESMDEDEPVHGSFLNMLPHKEGQPSSHSSVPPEQYQPRRK* >Brasy8G021700.1.p pacid=40081463 transcript=Brasy8G021700.1 locus=Brasy8G021700 ID=Brasy8G021700.1.v1.1 annot-version=v1.1 MADRHGGEGLAPVRLSGGRHHGHTSNYHNRHNTSSTTPLLQRLLQTTHSPTSTQLVGFLTLLLAGAALLVLAGLTVTGAVVGLIFLGPIALLTSPIWVPFAVAIFLLAAAFLSAVGFAVAALAGATWAYRYFTGRHPVGADRVDYARSRIADTATHVKDYAREYGGYLHGRAKDAAPGA* >Brasy8G192500.1.p pacid=40081464 transcript=Brasy8G192500.1 locus=Brasy8G192500 ID=Brasy8G192500.1.v1.1 annot-version=v1.1 MPPTDQQLVLLQGTQEEQAVAQQEEARTAVCEICGSSEYQEVMVNCTKCNACNHCYCLEVVAFEIRGEWCCYECRKNPNGDPEPIQDADIGCESGSKSLHHHIDMPVIINSSVEYARRPHPEAVCWTRCFLVSGGENINLGEFKAYHLSRVSSKVRNIAKMSNNLHLEIQPRMNNWPKAF* >Brasy8G232200.1.p pacid=40081465 transcript=Brasy8G232200.1 locus=Brasy8G232200 ID=Brasy8G232200.1.v1.1 annot-version=v1.1 MVARQGCMQGVPILLASSREANANLPWELASPCFQMAPLALIDLANLILLAIYLLSLAIAAWTRQFRVIRPRELPLLCALASPCSALLGVACFCLGAWSSSTQKAELLVRGLVWVSVSVSLFLRPTRFSGALAMAWWAVDAVLITVYSVEKIVTGRNLGVLDVVSWIVSFLLLLCAIRVCRGRRLGNNNTAAAGEESEPLLQAAGGGERPATAFGEAGFLSRLLFTWMDSLLRLGYSKPLDLGDIPPLDADDASAEACRAFLAEWHRRRDQSPSPSPQKTSSSLLFLVLGECHKKELLFTALYTLLRTLSFAASPVMLYCFVSYSDGPDRDPGAGAALIAGLVAMKLVESLSQRHWFFGSRRLGMRMRSALMAAVFAKQLRLSSESRRRHSAGEIANYIAVDAYRLGEFPFWLHLAWSMPVQLLLAVGILFWTVGLGALPGLAPVAVCGVLNVPFAKMLQRYQSLFMRAQDERQRATAEVLGAMKVVKLQSWEDKFRAAVQQLRDVEVRWLAETQVKKAYGSALYWVSPTVISAVILAGTAALGTAPLDAGVVFTILAAMRVVSEPMRMLPEVLSVLIQVKVSLDRIGKFLAEDEFQEDSVDRMPPASADTSLAIRNGVFSWEPSKAAAVAATLRDINITATRGQKIAVCGPVGSGKSSLLCATLGEIPRTSGSVAVSGTVAYVSQTSWIQSGTVRDNILFGKPMRHEAYERAIKCCALDEDMESFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTAATLFNDGVMAALEEKTVILVTHQVEFLSKVDSILVMEKGEITQEGTYEELLQSGTAFEQLVNAHRDSKTTLDTQDHGDVPQESAMIKHHQIPMIQQRRSEGEISTGNLPSVQLTREEKRELGEAGLRPYKDYVQVSKGRFLLVLIILAQCAFVALQCLATYWLAVSVQSRHRFGVAVVVGVYAVMATVSCLFAYVRSLLAAHFGLKASKEFFSGFMDSVFRAPMLFFDSTPTGRIMTRASSDLCILDFDIPFTMTFVISGTIEVAATVVIMVVVTWQVVLVALPVVFAVLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFSATNRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVTSSILLVMLPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSNLENSIISVERIKQFMHLPSEPPAVISDTRPPPSWPYEGRIDLENLRVKYRPNAPTVLRGITCTFAAGTKIGVVGRTGSGKTTLLSALFRLLDPSDGRILIDGLDICTLGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGVYTDEDIWEALDKCQLKKTISGLPALLESPVSDDGDNWSAGQRQLFCLARVLLRRNRILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLVEYDRPSRLMENEDSAFCKLVAEYWSNYK* >Brasy8G070200.1.p pacid=40081466 transcript=Brasy8G070200.1 locus=Brasy8G070200 ID=Brasy8G070200.1.v1.1 annot-version=v1.1 MAVPLLLMLLLGMFTRSDAAFCLCRSDANPVAMQKAIDYACSKVDCSQIGPNGACYGPVSVVTHCSYACNSYYQKNAAIGATCDFTGVATLSTTDPSTGSCKYPASASGVGTGTGGGMGTGTGTGVGTGTGGGMGAGTGTGTSTGGGMGTGTGTGTVLAPPGSTTTGMPGSPFGAGAYGPSGGMNTDYNAAVMGRCVAAGSLFVAAAAPLFLHLV* >Brasy8G149600.1.p pacid=40081467 transcript=Brasy8G149600.1 locus=Brasy8G149600 ID=Brasy8G149600.1.v1.1 annot-version=v1.1 MASGCAARVPHPPCAAPQSQWESSRVSVRPPARSSASRRRAAVCAVSFRPCIDIHKGKVKQIVGSTLRDASDDGSALVTNFESDKSPAEFANIYKEDGLVGGHVIMLGADPASSSAALEALHAYPGGLQVGGGINLENAMSYLNEGASHVIVTSYVFSDGKMNIKRLTQLVELVGKQRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDGPTLEHLAAYADEFLVHGVDVEGKRLGIDEELVALLGLHSPIPTTYAGGVSTMEDLERIKKAGKGRVDVTVGSALDIFGGDLPYKHVVLWHEEQNIWANLRQEFRIGGRVFFRA* >Brasy8G059600.1.p pacid=40081468 transcript=Brasy8G059600.1 locus=Brasy8G059600 ID=Brasy8G059600.1.v1.1 annot-version=v1.1 MTPSDETDGNSNMRVACGTPNSSVKQSPFQKLQRLPVGCHPDFDHDHLCSINHLREFWYRSHGGVLVDDKERVTKTILFTMSVWPEVCKPFLIVTPPPSLSLWEDQFKSLAPFINVVVYDGGKDKLKLIQDLEFYDNRGCTMLQVLLSHPDAILEDIQPIARIGWEAIIFDCYQNSASTYLEQLKKLSADFRLFLLSSPIKDNLSEYMKLLPFLTGEQENDNYADTTDDLLMSEARFRGRIAYERQADPLKYLEHWAPANIARLQLETYCSVLLANSSTLQSRTETDSVGAHRRIIMSLSKCCDDPTLVGELNHKQTETIDATETIDARVHSCGKLLLLENMLKEIRNNRLRVIVLFQSGGAAGNPIGDILEGVVHHRFGRESYERVEDSSAISSKKAAVDMFNDKSKGRFVFLIESRSCLSNIELSSIDAIIIYNSDWNPLNDLKALQRIKIESQFNYASIFRLYTPFTIEEKRLVLAKEGIPITNIQDITCSLSHSLISWGPSFLFTALDELQQDNYATKSSERDTKLMDKVISEFVTRLPTNVEHNNKINSAAISRANMSGEDYSRNITLIGEKERIPSLDGDPSKFWLHILDGKSPCWSYMSEPSQSSCRTLKNREEPANVPAEEAGEARRKRIKIDGNHAISSLAPQLLDDTEQNLGVKKLMGTPKNLHVKLKQELSEVIKVLQLPDNVRVMAEQFLNYMLKNHLVLSEPVGILHAFNIALCWRAASFLKHQLDQKESLALAEQFLKYECNEGLIAFIYGKLRTLKKMFPRRAGETSIRCTSDGIGLPRAGCSSSVIPANDDSIGQESLTGECRNTEHVERDNIANPSMLLGGTISVVKRVSASNDGTLYADQVCLELPILASSIPTRDDQPAEAEGAGILGAVAAQDLQPEIQPSTSMQDIPSESILVHQSAKPSLDPHAGVESAHTSGVVRAHDLQAEIQPSVSMQDRPAEAGPYVLGTTSTQGLQPEMRSSTTKQQVPHERTRSEERRQEGFLPNLAPRPGQPTQLSQVTTPVSKNPRMENELLDWLNHQHTLLSKDHDQKRSRLLEEYNQEIDKVFGKKEEIDKVNLKYGLLVLEETNTFLQGKKELDDIYRKVFLNQSVAENFPMMFTPSSASQGGVMEQQLDSSSATQTSVSPVISSLDIPLPAGPYVRPSLVAQPSSSQTIQPQPTIPSNLYGASTSSHFVPASVLHGSFVAQPRVVQPQPILPGNLYRTMSPPSMMTSYGSARAQLRAPAPHLQQFGMRPPYVSTLANQQHLSATPAGEQYGAGIMGATSRQAGGILTSMTPSSVHHHTISSASRLRPGLPALPAASSLPYGRRESSMANILRSYSSNPTFMAATQQSSDPNIVLGSTTALLNAAPGSQHAGARIAGGVNQPGSQSALPNAHLPARLGLTSSSAGTGQGPSTSHVPV* >Brasy8G256100.1.p pacid=40081469 transcript=Brasy8G256100.1 locus=Brasy8G256100 ID=Brasy8G256100.1.v1.1 annot-version=v1.1 MSPSSSSASPKKTMTSCCSLLLLCLVLSQAAADEAFKVVTHLPGFQGPLPFYLETGYVEVDEQHDGGSMFYYFIESERDPAEDPLVVWLTGGPGCSGLSALLYEIGPLSFNMQSRSSTVPTLAYRADSWTKVSNIIFIDAPINAGFSYCRKGDAYHSSDTQLASQILEFLRKWLDNHNSFKNNPLYIAGDSYAGLIVPVVASEIAKEDEFSNMPFFNLKGYIVGNPVTDDNFETNARVPFAHGMGLISDELYESAKRSCGGVYLDNKNFECQKNIQSFDECIKDINKFHILEADYPLDSTKSGELSARVRRELSVTEENAEVISSAVSTIPSRSRYFGYLLSPLWANSDAVRQSLGIREGSISKWKRCKRYDASWYTRDIESAVPYHLNLTTRGYRALVYSGDHDMVVPYLATQAWIRHLDFSIVDEWRPWYVTGQVAGYTRMYSNDLTFATVKGAGHTAPEFRPKECFAMFQRWLDQYAL* >Brasy8G256100.2.p pacid=40081470 transcript=Brasy8G256100.2 locus=Brasy8G256100 ID=Brasy8G256100.2.v1.1 annot-version=v1.1 MQRRTPFRHCLQRRGPEGAGGGHSQPSPPVELIVSFTFCPLSFNMQSRSSTVPTLAYRADSWTKVSNIIFIDAPINAGFSYCRKGDAYHSSDTQLASQILEFLRKWLDNHNSFKNNPLYIAGDSYAGLIVPVVASEIAKEDEFSNMPFFNLKGYIVGNPVTDDNFETNARVPFAHGMGLISDELYESAKRSCGGVYLDNKNFECQKNIQSFDECIKDINKFHILEADYPLDSTKSGELSARVRRELSVTEENAEVISSAVSTIPSRSRYFGYLLSPLWANSDAVRQSLGIREGSISKWKRCKRYDASWYTRDIESAVPYHLNLTTRGYRALVYSGDHDMVVPYLATQAWIRHLDFSIVDEWRPWYVTGQVAGYTRMYSNDLTFATVKGAGHTAPEFRPKECFAMFQRWLDQYAL* >Brasy8G256100.3.p pacid=40081471 transcript=Brasy8G256100.3 locus=Brasy8G256100 ID=Brasy8G256100.3.v1.1 annot-version=v1.1 MQRRTPFRHCLQRRGPLSFNMQSRSSTVPTLAYRADSWTKVSNIIFIDAPINAGFSYCRKGDAYHSSDTQLASQILEFLRKWLDNHNSFKNNPLYIAGDSYAGLIVPVVASEIAKEDEFSNMPFFNLKGYIVGNPVTDDNFETNARVPFAHGMGLISDELYESAKRSCGGVYLDNKNFECQKNIQSFDECIKDINKFHILEADYPLDSTKSGELSARVRRELSVTEENAEVISSAVSTIPSRSRYFGYLLSPLWANSDAVRQSLGIREGSISKWKRCKRYDASWYTRDIESAVPYHLNLTTRGYRALVYSGDHDMVVPYLATQAWIRHLDFSIVDEWRPWYVTGQVAGYTRMYSNDLTFATVKGAGHTAPEFRPKECFAMFQRWLDQYAL* >Brasy8G256100.4.p pacid=40081472 transcript=Brasy8G256100.4 locus=Brasy8G256100 ID=Brasy8G256100.4.v1.1 annot-version=v1.1 MQSRSSTVPTLAYRADSWTKVSNIIFIDAPINAGFSYCRKGDAYHSSDTQLASQILEFLRKWLDNHNSFKNNPLYIAGDSYAGLIVPVVASEIAKEDEFSNMPFFNLKGYIVGNPVTDDNFETNARVPFAHGMGLISDELYESAKRSCGGVYLDNKNFECQKNIQSFDECIKDINKFHILEADYPLDSTKSGELSARVRRELSVTEENAEVISSAVSTIPSRSRYFGYLLSPLWANSDAVRQSLGIREGSISKWKRCKRYDASWYTRDIESAVPYHLNLTTRGYRALVYSGDHDMVVPYLATQAWIRHLDFSIVDEWRPWYVTGQVAGYTRMYSNDLTFATVKGAGHTAPEFRPKECFAMFQRWLDQYAL* >Brasy8G178400.1.p pacid=40081473 transcript=Brasy8G178400.1 locus=Brasy8G178400 ID=Brasy8G178400.1.v1.1 annot-version=v1.1 MPTAAPSSSSLCKHHAAASRRRTRVCVVSSLAFLLLLAAAAGFLAITALRPHPADTTISSIRLTSVSLSPGPGRISLNVTLDAVLSIRNPSRVASFAHSAAGHAVVYYRGAVAAEASVPPGRVAAGGSESVTVSLAVLADRLLLAPRLYDDVVGGAGDLPLAVRTTLPGTVTVLGLLRRRVVVFTACDVTVSVRRPAEQSSSSSSCRYRTKF* >Brasy8G119700.1.p pacid=40081474 transcript=Brasy8G119700.1 locus=Brasy8G119700 ID=Brasy8G119700.1.v1.1 annot-version=v1.1 MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQHKGEEKQFAAEEISSMVLIKMREIAEAYLGNSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLGADDKKKVEDAIEGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGAAPDMGGGMGMDEDAPAGGSGAGPKIEEVD* >Brasy8G293800.1.p pacid=40081475 transcript=Brasy8G293800.1 locus=Brasy8G293800 ID=Brasy8G293800.1.v1.1 annot-version=v1.1 MEVEAHHGFLLTGSQHLHGHPHHQAAGDDEYWEYFPCPFCYTEVEVPFLCDHLQEEHCFDMKNAVCPICADNLGTNTDEHFRNQHSHLMTRRKSSSSSKPSQEAADKDTYEEDDDSYFEAPSYIMGKPVPDYSPDPLLSEFICSVVPPIDSEPGEAEEDHSSPSLVDVRLNQVVMDDASKEDVKERLRRTEFVKQMVMTTISADGD* >Brasy8G178500.1.p pacid=40081476 transcript=Brasy8G178500.1 locus=Brasy8G178500 ID=Brasy8G178500.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGATFPLIKCSCCQVRKVMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIEEYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQPLTLAAIASHREMADSVAMKEKQGIEEKKQDAIVKKLGSEEMLMELNVNLGVLVGIGQKLLGVCVLLLAVNLYAALKN* >Brasy8G045100.1.p pacid=40081477 transcript=Brasy8G045100.1 locus=Brasy8G045100 ID=Brasy8G045100.1.v1.1 annot-version=v1.1 MAKTQPRASAGEPLLPSASPPPYLDHHSPVGAGADSYVYLVPVRLRRLRRGCRCHWLAPLLASLTLLALGFLLWPADPDVSVARLRLAHISVVARPAVALNISAALKVRVRNPDLFALDYSRLDIAIGYRGAPLGTVTSGGGRVPTSPEPA* >Brasy8G015400.1.p pacid=40081478 transcript=Brasy8G015400.1 locus=Brasy8G015400 ID=Brasy8G015400.1.v1.1 annot-version=v1.1 MAMEHGDMLVDILRHLPPFALAVSRSVCKAWRAVVDDHRLLRADLLPLSLDGVIYDKNDSNMALFARRPTVNAVTVRMDYTSDDPHYADDTGDEVLDCCNGLILLRTLVVGNIATRQWAKLPDPRSCSCPWGRPSCHVNGYLAYDPAVSPHYEVLFVPRAPRDLPAAKARATEWPPSRYAIHVFSSETKCWTERSFVRQGDDAPGTVHSCRNSDRDLYCAAYWQGSLYLPFVQKRANFVLRVNLTNDKYQIFELPNGCQRCGYRIGKSKKGVYCVTHYPRCKFQIWLLYEESCGTFEWVFKDEINLESISRKYPKTCHEDGPWIMQESDDMDWLLKTDVDLKFINEYNQALAKDDFQWDSDDENAVAVEDCTEKCPRRYELFCLGLHPYKEIVLFHDESYTNSVFAYHLNSSKVRYIGKMNDPPTNNDIEVSFPYSPCWTMDLPGSN* >Brasy8G295800.1.p pacid=40081479 transcript=Brasy8G295800.1 locus=Brasy8G295800 ID=Brasy8G295800.1.v1.1 annot-version=v1.1 MESFSWGKWPDFERGKWREDLRDKDFWLNRDSRPYLYLPRGGRYICWSVGVGPVASFTKAISISAVERLRHDYGPFKHVIKVDLKPAPTADGGGIMSIKHQLAIAAARELGLLGQEYNRLKEQYEALHYYSYGSHYAVADASSKLEHYVKNKLVPPIVGKLSAENCLLAVENLQWPIELGSLTVDAGLPPPMWTDSRWFISTTSQDVYNRSKSGNDGVISIDRHNQVVLLALNALHDSSEHIFNMIRQENKEYWHHIALLCFHYAMVIFTKQSQVVPATSDELIHQWAAQGILPRMPINEEKEETSTCSCTSSSRCAYMHRVGRVILEAFQKYSLLQLPYSPASDADGATGTAAQFFAYHGLITEDITVDALFENKKNWISFVDAHGWHVSREWLSQEETRGPTALILRGCSQQSLILSKLDHFLLKLCFLRILDLSYTPLKSLPSSIGSLLNLRLLSLRGCHDLKTLFSSSTTSATDSSTNTGSYSPLSTLFQLEILDTNGVPFSHLTQDVGNKKSNLIYLDMSYSEVTIFAPNFFKDMLNLEELILVNCSNLVEVPPSMAALSSLKTLEIRGTQIQYIPHTIFEAMQKLKSLKLIDNKKLISFAQPISRANGSIDVHTEGYETTMLEKIKLEGHPTLISFLLIGAPHIRRLSLRGCRKLESVEVMHLDALEELDLSGTAIKELSAGIPNLPQLSRLLLVGVSSLRRFPWHMLERLPNVFYLDHCAEGNGNHPDQVAQVCVSDPRFFYSFNDTSVRFVKDGRFFQRFYVRVASCITNCKQLQDEGMIDSKLQVLVQKQSTYVDVCRSCFAEKVVIASALTIPLHRTERHVEITGMQSSLGGLHHLLNVTKSISVTCDASIKFFGHLSRLSNFVDLEECDLRWCPKMEGVFFSSVEGLKNLRNVHVRNIRSLVWFCSEYRSIAFSSLAHLHLEDCPRLEHVLTDRTTLPCLKTLDILFCYNLKTIFIIRNAYHRQAADICELPSLQRIRLQELPLLQHFHDDATTLTAPMWKELHVRGCWSLQRLPRLDVQPTEKVKVSGERRWWSKLQWGSPSHRNGYEAKLPPKFASFDEHAVMTSYLR* >Brasy8G295800.2.p pacid=40081480 transcript=Brasy8G295800.2 locus=Brasy8G295800 ID=Brasy8G295800.2.v1.1 annot-version=v1.1 MESFSWGKWPDFERGKWREDLRDKDFWLNRDSRPYLYLPRGGRYICWSVGVGPVASFTKAISISAVERLRHDYGPFKHVIKVDLKPAPTADGGGIMSIKHQLAIAAARELGLLGQEYNRLKEQYEALHYYSYGSHYAVADASSKLEHYVKNKLVPPIVGKLSAENCLLAVENLQWPIELGSLTVDAGLPPPMWTDSRWFISTTSQDVYNRSKSGNDGVISIDRHNQVVLLALNALHDSSEHIFNMIRQENKEYWHHIALLCFHYAMVIFTKQSQVVPATSDELIHQWAAQGILPRMPINEEKEETSTCSCTSSSRCAYMHRVGRVILEAFQKYSLLQLPYSPASDADGATGTAAQFFAYHGLITEDITVDALFENKKNWISFVDAHGWHVSREWLSQEETRGPTALILRGCSQQSLILSKLDHFLLKLCFLRILDLSYTPLKSLPSSIGSLLNLRLLSLRGCHDLKTLFSSSTTSATDSSTNTGSYSPLSTLFQLEILDTNGVPFSHLTQDVGNKKSNLIYLDMSYSEVTIFAPNFFKDMLNLEELILVNCSNLVEVPPSMAALSSLKTLEIRGTQIQYIPHTIFEAMQKLKSLKLIDNKKLISFAQPISRANGSIDVHTEGYETTMLEKIKLEGHPTLISFLLIGAPHIRRLSLRGCRKLESVEVMHLDALEELDLSGTAIKELSAGIPNLPQLSRLLLVGVSSLRRFPWHMLERLPNVFYLDHCAEGNGNHPDQVAQVCVSDPRFFYSFNDTSVRFVKDGRFFQRFYVRVASCITNCKQLQDEGMIDSKLQVLVQKQSTYVDVCRSCFAEKVVIASALTIPLHRTERHVEITGMQSSLGGLHHLLNVTKSISVTCDASIKFFGHLSRLSNFVDLEECDLRWCPKMEGVFFSSVEGLKNLRNVHVRNIRSLVWFCSEYRSIAFSSLAHLHLEDCPRLEHVLTDRTTLPCLKTLDILFCYNLKTIFIIRNAYHRQAADICELPSLQRIRLQELPLLQHFHDDATTLTAPMWKELHVRGCWSLQRLPRLDVQPTEKVKVSGERRWWSKLQWGSPSHRNGYEAKLPPKFASFDEHAVMTSYLR* >Brasy8G295800.3.p pacid=40081481 transcript=Brasy8G295800.3 locus=Brasy8G295800 ID=Brasy8G295800.3.v1.1 annot-version=v1.1 MESFSWGKWPDFERGKWREDLRDKDFWLNRDSRPYLYLPRGGRYICWSVGVGPVASFTKAISISAVERLRHDYGPFKHVIKVDLKPAPTADGGGIMSIKHQLAIAAARELGLLGQEYNRLKEQYEALHYYSYGSHYAVADASSKLEHYVKNKLVPPIVGKLSAENCLLAVENLQWPIELGSLTVDAGLPPPMWTDSRWFISTTSQDVYNRSKSGNDGVISIDRHNQVVLLALNALHDSSEHIFNMIRQENKEYWHHIALLCFHYAMVIFTKQSQVVPATSDELIHQWAAQGILPRMPINEEKEETSTCSCTSSSRCAYMHRVGRVILEAFQKYSLLQLPYSPASDADGATGTAAQFFAYHGLITEDITVDALFENKKNWISFVDAHGWHVSREWLSQEETRGPTALILRGCSQQSLILSKLDHFLLKLCFLRILDLSYTPLKSLPSSIGSLLNLRLLSLRGCHDLKTLFSSSTTSATDSSTNTGSYSPLSTLFQLEILDTNGVPFSHLTQDVGNKKSNLIYLDMSYSEVTIFAPNFFKDMLNLEELILVNCSNLVEVPPSMAALSSLKTLEIRGTQIQYIPHTIFEAMQKLKSLKLIDNKKLISFAQPISRANGSIDVHTEGYETTMLEKIKLEGHPTLISFLLIGAPHIRRLSLRGCRKLESVEVMHLDALEELDLSGTAIKELSAGIPNLPQLSRLLLVGVSSLRRFPWHMLERLPNVFYLDHCAEGNGNHPDQVAQVCVSDPRFFYSFNDTSVRFVKDGRFFQRFYVRVASCITNCKQLQDEGMIDSKLQVLVQKQSTYVDVCRSCFAEKVVIASALTIPLHRTERHVEITGMQSSLGGLHHLLNVTKSISVTCDASIKFFGHLSRLSNFVDLEECDLRWCPKMEGVFFSSVEGLKNLRNVHVRNIRSLVWFCSEYRSIAFSSLAHLHLEDCPRLEHVLTDRTTLPCLKTLDILFCYNLKTIFIIRNAYHRQAADICELPSLQRIRLQELPLLQHFHDDATTLTAPMWKELHVRGCWSLQRLPRLDVQPTEKVKVSGERRWWSKLQWGSPSHRNGYEAKLPPKFASFDEHAVMTSYLR* >Brasy8G027200.1.p pacid=40081482 transcript=Brasy8G027200.1 locus=Brasy8G027200 ID=Brasy8G027200.1.v1.1 annot-version=v1.1 MSMGRYAGSADPRAPEPVEVVKDWNGVAHALLRSPRGATARVSLHGGQVVSWKNDRGEELLFTSSKAILKPPKAMRGGIPICFPQFGNTGTLERHGFARNKIWALDDEPPQLNHSDNGSKAFVDLILRPSDEDLRCWPHCFEFRLRVSLSKDGDLSLVSRVRNVNCKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERHTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDTVVWNPWEKKSKTIADFGDEEYKQTLCVDAAAAERSITLKPGEEWRGKLELSAVPSTNCSDHLDQPGIM* >Brasy8G253300.1.p pacid=40081483 transcript=Brasy8G253300.1 locus=Brasy8G253300 ID=Brasy8G253300.1.v1.1 annot-version=v1.1 MSSSDALEVMRTRLKYAERQVVIARDEIAVLESKLRDASSREDFLLGELVKLSHDLRGMSDSSCCRIVAEPHAQAERVKNRLNRILYSGPAAPIFWSNHDRGYTLALLQDRVARAVTCLESCQDCLTTEHNILFSELTSPKDLRGLIKRFNGGDLVKGVLLEQTVEGAVVALAFVRLRYPSLNLDMLHVPPPSVPEDLPPGPLYDTVELVARQLIAAVILE* >Brasy8G291800.1.p pacid=40081484 transcript=Brasy8G291800.1 locus=Brasy8G291800 ID=Brasy8G291800.1.v1.1 annot-version=v1.1 MEVVTGAMGSLLPKLAELLKEEYNLHKRVKKDVRFLQRELESMHAALLKVGDVPRDQLDKQVKLWAGEVRELSYNMEDVVDKFLVRVEGPETDANADGLKGLAKKMVGLFKKGKNHHRISDAIKEIKEQLQEVSARRDRNKVDGIVANPPAATTIDPRLDAMYSDTTKLVGIYGKRDLELMRLLAMDDDDMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGGFDCKAFVPVGRNPDVKKVFRDILIDLGNSNPDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWKDINLAFSNRNNIASRLITTTRKVSVSTACCSLADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIITIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASIEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAACEFGESSWKLKDVGNLFHLRYLGLSRTGIRELPAEIGNLQFLQVLDLEGNYGLKKCPLTVCKLRRLMFLGFNVDCEMPPGVLGNLTSIEVLKTILASLNIVQQLSSLARLRELVIWFPDKRFDLYGPFVESLCNLKHLENVFIGSDSEPSPGLMDLLEEHSWVPPPSLRKFVSYLPSELSTLPAWIKRDPSGLSNLSELRLEVKGVQQEDMQILGGLPALGRLEIFCACQTERRLVISADGYRRVVWFILECGSGAQIIFEAGALPRAETVEFSVGVRVAKDDGNGNFDLGLQGNLLSLKSVVVAIYRGGATVGEAKEAEAAVRRELQEHPNHPYSFGIYFQPRIPADAHDDDICEDETEE* >Brasy8G291800.3.p pacid=40081485 transcript=Brasy8G291800.3 locus=Brasy8G291800 ID=Brasy8G291800.3.v1.1 annot-version=v1.1 MEVVTGAMGSLLPKLAELLKEEYNLHKRVKKDVRFLQRELESMHAALLKVGDVPRDQLDKQVKLWAGEVRELSYNMEDVVDKFLVRVEGPETDANADGLKGLAKKMVGLFKKGKNHHRISDAIKEIKEQLQEVSARRDRNKVDGIVANPPAATTIDPRLDAMYSDTTKLVGIYGKRDLELMRLLAMDDDDMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGGFDCKAFVPVGRNPDVKKVFRDILIDLGNSNPDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWKDINLAFSNRNNIASRLITTTRKVSVSTACCSLADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIITIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASIEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAACEFGESSWKLKDVGNLFHLRYLGLSRTGIRELPAEIGNLQFLQVLDLEGNYGLKKCPLTVCKLRRLMFLGFNVDCEMPPGVLGNLTSIEVLKTILASLNIVQQLSSLARLRELVIWFPDKRFDLYGPFVESLCNLKHLENVFIGSDSEPSPGLMDLLEEHSWVPPPSLRKFVSYLPSELSTLPAWIKRDPSGLSNLSELRLEVKGVQQEDMQILGGLPALGRLEIFCACQTERRLVISADGYRRVVWFILECGSGAQIIFEAGALPRAETVEFSVGVRVAKDDGNGNFDLGLQGNLLSLKSVVVAIYRGGATVGEAKEAEAAVRRELQEHPNHPYSFGIYFQPRIPADAHDDDICEDETEE* >Brasy8G291800.4.p pacid=40081486 transcript=Brasy8G291800.4 locus=Brasy8G291800 ID=Brasy8G291800.4.v1.1 annot-version=v1.1 MEVVTGAMGSLLPKLAELLKEEYNLHKRVKKDVRFLQRELESMHAALLKVGDVPRDQLDKQVKLWAGEVRELSYNMEDVVDKFLVRVEGPETDANADGLKGLAKKMVGLFKKGKNHHRISDAIKEIKEQLQEVSARRDRNKVDGIVANPPAATTIDPRLDAMYSDTTKLVGIYGKRDLELMRLLAMDDDDMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGGFDCKAFVPVGRNPDVKKVFRDILIDLGNSNPDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWKDINLAFSNRNNIASRLITTTRKVSVSTACCSLADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIITIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASIEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAACEFGESSWKLKDVGNLFHLRYLGLSRTGIRELPAEIGNLQFLQVLDLEGNYGLKKCPLTVCKLRRLMFLGFNVDCEMPPGVLGNLTSIEVLKTILASLNIVQQLSSLARLRELVIWFPDKRFDLYGPFVESLCNLKHLENVFIGSDSEPSPGLMDLLEEHSWVPPPSLRKFVSYLPSELSTLPAWIKRDPSGLSNLSELRLEVKGVQQEDMQILGGLPALGRLEIFCACQTERRLVISADGYRRVVWFILECGSGAQIIFEAGALPRAETVEFSVGVRVAKDDGNGNFDLGLQGNLLSLKSVVVAIYRGGATVGEAKEAEAAVRRELQEHPNHPYSFGIYFQPRIPADAHDDDICEDETEE* >Brasy8G291800.2.p pacid=40081487 transcript=Brasy8G291800.2 locus=Brasy8G291800 ID=Brasy8G291800.2.v1.1 annot-version=v1.1 MEVVTGAMGSLLPKLAELLKEEYNLHKRVKKDVRFLQRELESMHAALLKVGDVPRDQLDKQVKLWAGEVRELSYNMEDVVDKFLVRVEGPETDANADGLKGLAKKMVGLFKKGKNHHRISDAIKEIKEQLQEVSARRDRNKVDGIVANPPAATTIDPRLDAMYSDTTKLVGIYGKRDLELMRLLAMDDDDMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGGFDCKAFVPVGRNPDVKKVFRDILIDLGNSNPDLPMLDERQLIDRLRLFLDNKRYLIIIDDIWDEKLWKDINLAFSNRNNIASRLITTTRKVSVSTACCSLADDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIITIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASIEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYLGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAACEFGESSWKLKDVGNLFHLRYLGLSRTGIRELPAEIGNLQFLQVLDLEGNYGLKKCPLTVCKLRRLMFLGFNVDCEMPPGVLGNLTSIEVLKTILASLNIVQQLSSLARLRELVIWFPDKRFDLYGPFVESLCNLKHLENVFIGSDSEPSPGLMDLLEEHSWVPPPSLRKFVSYLPSELSTLPAWIKRDPSGLSNLSELRLEVKGVQQEDMQILGGLPALGRLEIFCACQTERRLVISADGYRRVVWFILECGSGAQIIFEAGALPRAETVEFSVGVRVAKDDGNGNFDLGLQGNLLSLKSVVVAIYRGGATVGEAKEAEAAVRRELQEHPNHPYSFGIYFQPRIPADAHDDDICEDETEE* >Brasy8G165600.1.p pacid=40081488 transcript=Brasy8G165600.1 locus=Brasy8G165600 ID=Brasy8G165600.1.v1.1 annot-version=v1.1 MSLQTLMHSACSWSGLATLVIIMTTLKLVILLLKNRRVVSSQQCERFIQLPPGPPTLPIIGNTHQMIWNKQPAVFRWIHRLLREMNTEILCLRLGSTHVIVVACPEMACEVLRKNDDVFASRPATFASGLFSFGYKGSSMSPHGEQWKKMRRVLTNEILAPSTEQKMHHLRKEEYDHLLRYIHNNSTSSSSSNMNFVDVRQVAQHFCGDMIRRLVFGKRYFNDLLPASSTSGPGQDEVLHVAALFTAVNHLYSFCISDYFPALVGLDLEGHETVAKDVMAILNRLHDPLIEERMHEIRSTTPEKGKSEKKEARDLLDVLVYHEDAEGKPLLSFQEIRAQIAEMMFAIVDNPSNVVEWALAEMMNRPEVLQKATDEIDAIVGKDRLVQESDIPQLRYLKSCIREAFRIHPYHPFNMPHVAMADSTVAGYTIPKDSHVILSRTGLGRNPKVWIEPLEFRPERHLNTTANVHLNDPDLRFVSFSSGRRGCPGISLGTSVTMMLFARMLQGFTWTKPPGVSTISLEEGKASLALAQPLVLQAKPRLAAHLYESIK* >Brasy8G003700.1.p pacid=40081489 transcript=Brasy8G003700.1 locus=Brasy8G003700 ID=Brasy8G003700.1.v1.1 annot-version=v1.1 MAHCDGLVLLPTNTKAYVFNPAMGDVIALPESQRNMSMKESCLPVGFGLDASTGSYKVARSFYRSRRYKPLEIISMGMEVFTIGGGGENDSDCSWRQTLTDPPYPILSSQTGTYCMGYLFFLINKSNQEQPPNGVLRFSLQDETFGVTLFPANIEHTVDDGDIRVFELDGELCCTYFSKSSQKQLIWMTGDALDPQWDCRYTINHPQLCYPMASRGSAGILFRGGGFFFRYDFEVNGIIEDEIFNMMNDVRYFGPTSEGSLRHWWKNACWFDVISYSESLIPITRACRPKIC* >Brasy8G178800.1.p pacid=40081490 transcript=Brasy8G178800.1 locus=Brasy8G178800 ID=Brasy8G178800.1.v1.1 annot-version=v1.1 MALRRFARATASTSIFQSFTTVAPPAAEPASPSPSPSPSPSFLAHHLLDEFSRPRDTRDAARLRRLAAHLTAPSVESVLVRLPSWRHALDFFRWADEQPGFRHSCYSFNAMASLLPRHQAAHLDRLAADALATRCPMTPGALGFLLRCLGAAGLPDTALLVFDGARTRLSCTPNSYTFNCLLDALAKVGRADDAEARLQEMVARCGKESVDKYTLTSLLQCYCNAGRPDDANGVFERMSQRGWVDEHVLTMLAVAFSKWGKVDGAVELLGRMELLGMRPSEKTLSVLVHGFAKQLRLDKAMEMFDKMGSCGFVAGLPMYSVLIEGLCQNKEIGKAVKLFEEMKRGEVAPDARLLKKMLEAFCREGDFAIAGLFINENARQLKPSGVLALFNVVLEGLVNHGQVEAAYQLLTSMIHGGQGISDGVTVGAHVVDISEDVKPNSDSFNIVVCGLCKVKKLDNALALIRDMTGIGCKGKLLMFNDLIHELCSLDRLDEGYKMFNLMKDLGLIPSEFTYNSLFYGICRRKDPNCALDLLRDMRTNGHRPWIKNCTEMVQQLCISGRITEALQFLDEMLKMGFLPDIVTYSAAMNGLCKTGEVDNALGIFRDISSKYYLPDVVAHNILINGFRISGKLNEAQEVMEEMLEKGLFPSIVTYNLMIDVWCKSGNIEKAIACLNKMIDEEESPTVVTYTSLIDGLCSTGRPDEAIALWHKMRDKGCAPNKIAYTAFVNGLCKCARVDAALTYYEEMMTKGFELDTFSCLYFINFLISTGNATKGCELLKEVLQKDITHSGDLKMAALINKAVEELSKDGSTSPDISILVQKGLVSRAQTMHKKDENN* >Brasy8G036300.1.p pacid=40081491 transcript=Brasy8G036300.1 locus=Brasy8G036300 ID=Brasy8G036300.1.v1.1 annot-version=v1.1 MEPTAADTEALLPAAADFASYPGVHGDDTVRQFLEQFPLPKLLGVLQSQADVPGVDETLAACLDKVFSSRYGASLLPSYGEFIQAGLLTNSKIIRQLACKAVLNLLDKAGDSAVALDTFVQHNLYPLLINCLIEGDEEVSAIVLDVLKRLAEIPKGTDIIFPPDGQGSLQLNKVAAQSSSMARIRILSLIAKLFAVSSSTATAIRDSNLLRLFEDEIKDRKDMLKTLSALEVLYELAEHPNSNIFLLKNSLLQHITDVINDSAADSVIRSRASLISGRLLSSADAFMTIDQSCVTNLLLAIDKILGMEESQNTDEIESTLEALGLIGATTQGACFLFTDSSNVAKHVVQLSFNRQGRGKQLAALHAFGSICGVDRQEDQMKLGDQAEERLKRLVYTVASDNPKLTPSALLLSVLQQDPDIRIAGYRVISGLVVREWCLREVCSKSEIIRVVTDPKMETTKLGMEARYNCCVAINKALSSSHLLNESSLSELIGKLNDAVRRGPYLSDRKRVEAQPVVDTAERF* >Brasy8G136100.1.p pacid=40081492 transcript=Brasy8G136100.1 locus=Brasy8G136100 ID=Brasy8G136100.1.v1.1 annot-version=v1.1 MAEEGEEAPAVEFGLPRELAAVLPADPFEQLDVARKITSIALASRVGRLESEAARLRAQLAERDDAAEDLRERVEQLDSALALATDRLRLAEEEKETLLKENTTLSNTVNKLNRDVAKLEVFKKTLMQSLQEDDDNPKIAPRAKLTEASSFNSAPSVGDEHSAFPTSKSSQLSETASSVSEGSSHAEPDVPTPPRSHVYLPSYNSTPKLTPPGSPPRAYAPLSPPRRHSISVASMNRLDDRSSVFSSNHSSMTSPFEAASQTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAHKQTREDTLRKADEIFGPENSDLYTIFESLITRSHH* >Brasy8G136100.2.p pacid=40081493 transcript=Brasy8G136100.2 locus=Brasy8G136100 ID=Brasy8G136100.2.v1.1 annot-version=v1.1 MAEEGEEAPAVEFGLPRELAAVLPADPFEQLDVARKITSIALASRVGRLESEAARLRAQLAERDDAAEDLRERVEQLDSALALATDRLRLAEEEKETLLKENTTLSNTVNKLNRDVAKLEVFKKTLMQSLQEDDDNPKIAPRAKLTEASSFNSAPSVGDEHSAFPTSKSSQLSETASSVSEGSSHAEPDVPTPPRSHVYLPSYNSTPKLTPPGSPPRAYAPLSPPRRHSISVASMNRLDDRSSVFSSNHSSMTSPFEAASQTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAHKQTREDTLRKADEIFGPENSDLYTIFESLITRSHH* >Brasy8G136100.3.p pacid=40081494 transcript=Brasy8G136100.3 locus=Brasy8G136100 ID=Brasy8G136100.3.v1.1 annot-version=v1.1 MFLCILVVNIQETLLKENTTLSNTVNKLNRDVAKLEVFKKTLMQSLQEDDDNPKIAPRAKLTEASSFNSAPSVGDEHSAFPTSKSSQLSETASSVSEGSSHAEPDVPTPPRSHVYLPSYNSTPKLTPPGSPPRAYAPLSPPRRHSISVASMNRLDDRSSVFSSNHSSMTSPFEAASQTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAHKQTREDTLRKADEIFGPENSDLYTIFESLITRSHH* >Brasy8G091100.1.p pacid=40081495 transcript=Brasy8G091100.1 locus=Brasy8G091100 ID=Brasy8G091100.1.v1.1 annot-version=v1.1 MDMTVQGEKVAAAAGLGALVGDVLAGEMEFEARTDVKGRVTFLGFVKRSAEARSVCRIVVGVVDVKVRRQECHTDL* >Brasy8G144600.1.p pacid=40081496 transcript=Brasy8G144600.1 locus=Brasy8G144600 ID=Brasy8G144600.1.v1.1 annot-version=v1.1 MASASEMQPLAPSGYRRAPEMKEKVEASSVDLEAGNGEMLYPGISRGENALRWGFIRKVYGILCAQLLLTTIVSAVTVLHPTLKATLSSSPVLALVLAVLPFVLMIPLYHYQHRHPHNFVFLGLFTLCLSFSIGVACANTEGTIVLEALVLTSAVVASLTAYTFWASKKGKEFGYLGPFLFSALTILVVTSFIQIFFPFGPASNAVIGGFGALVFSGFIVYDTENLIKRHTYDEYIWASVGLYLDILNLFLTILNMLRQNDN* >Brasy8G192300.1.p pacid=40081497 transcript=Brasy8G192300.1 locus=Brasy8G192300 ID=Brasy8G192300.1.v1.1 annot-version=v1.1 MASNQAASLVASAAGRIGMVASVAINLAALALYLRGRFLGNREKKAVVAALPSSGKPQVTPDSIVNLDHGDPTMFEEFWRGAMGERATLVIPGWQTMSYFSDLSGLCWFLEPGLEREVRRLHRLVGNAATEGYHVLVGTGSMQLFQAALYALCSPAPEDGSHGPVSVVSPAPYYSSYPSVTDFLNSGLYRWAGDANTFDGDSYIELVCSPNNPDGGIRESVLKSGKGKAIHDLAYYWPQYTPITGMLAHDIMLFTVSKSTGHAGTRIGWALVKDREVAQRMSKYIELNTIGVSKDSQLRAAKIIAAVADGYERRPPAATGDAASLLFHFALRQMTRRWKALRAAVAASGIFSLPDEVAGFCTFTEDTMTANPPFAWLRCEKEGVEDLEGFLRQHKIITRSGTKFGADRKVVRISMVDTNEAFSVFIDRLAAMK* >Brasy8G171200.1.p pacid=40081498 transcript=Brasy8G171200.1 locus=Brasy8G171200 ID=Brasy8G171200.1.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVDNENSYAPDVVVSIMASLEKCTYDSLGSDFQKYNQKMRKLEFNIKNYPVLRTRLMNKELDPPVLLTMSPDELKAGLTPAEKTSEPEESRRLQMTDARCERCTEKKVGISDIIHAGHGDRYQLECISCGHTWFSSRDAISSLTVDAPSTGGNVGTAPWATAKFDVLQKQLASPRDQPDNKPGTDALQKSTAPSMPKLGKQKSFSKPKPEEPSAPTMEKQISFTKSKLDEPFVPTTLIKQKSFPKPKSQEPSARSADHE* >Brasy8G171200.2.p pacid=40081499 transcript=Brasy8G171200.2 locus=Brasy8G171200 ID=Brasy8G171200.2.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVDNENSYAPDVVVSIMASLEKCTYDSLGSDFQKYNQKMRKLEFNIKNYPVLRTRLMNKELDPPVLLTMSPDELKMTDARCERCTEKKVGISDIIHAGHGDRYQLECISCGHTWFSSRDAISSLTVDAPSTGGNVGTAPWATAKFDVLQKQLASPRDQPDNKPGTDALQKSTAPSMPKLGKQKSFSKPKPEEPSAPTMEKQISFTKSKLDEPFVPTTLIKQKSFPKPKSQEPSARSADHE* >Brasy8G171200.3.p pacid=40081500 transcript=Brasy8G171200.3 locus=Brasy8G171200 ID=Brasy8G171200.3.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVNYPVLRTRLMNKELDPPVLLTMSPDELKAGLTPAEKTSEPEESRRLQMTDARCERCTEKKVGISDIIHAGHGDRYQLECISCGHTWFSSRDAISSLTVDAPSTGGNVGTAPWATAKFDVLQKQLASPRDQPDNKPGTDALQKSTAPSMPKLGKQKSFSKPKPEEPSAPTMEKQISFTKSKLDEPFVPTTLIKQKSFPKPKSQEPSARSADHE* >Brasy8G171200.4.p pacid=40081501 transcript=Brasy8G171200.4 locus=Brasy8G171200 ID=Brasy8G171200.4.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVNYPVLRTRLMNKELDPPVLLTMSPDELKMTDARCERCTEKKVGISDIIHAGHGDRYQLECISCGHTWFSSRDAISSLTVDAPSTGGNVGTAPWATAKFDVLQKQLASPRDQPDNKPGTDALQKSTAPSMPKLGKQKSFSKPKPEEPSAPTMEKQISFTKSKLDEPFVPTTLIKQKSFPKPKSQEPSARSADHE* >Brasy8G171200.5.p pacid=40081502 transcript=Brasy8G171200.5 locus=Brasy8G171200 ID=Brasy8G171200.5.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVDNENSYAPDVVVSIMASLEKCTYDSLGSDFQKYNQKMRKLEFNIKNYPVLRTRLMNKELDPPVLLTMSPDELKQINSVLHRAYG* >Brasy8G171200.6.p pacid=40081503 transcript=Brasy8G171200.6 locus=Brasy8G171200 ID=Brasy8G171200.6.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVDNENSYAPDVVVSIMASLEKCTYDSLGSDFQKYNQKMRKLEFNIKNYPVLRTRLMNKELDPPVLLTMSPDELKINSVLHRAYG* >Brasy8G171200.9.p pacid=40081504 transcript=Brasy8G171200.9 locus=Brasy8G171200 ID=Brasy8G171200.9.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVDNENSYAPDVVVSIMASLEKCTYDSLGSDFQKYNQKMRKLEFNIKVCVSLKPKHGLKVERNLIASAWS* >Brasy8G171200.7.p pacid=40081505 transcript=Brasy8G171200.7 locus=Brasy8G171200 ID=Brasy8G171200.7.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVNYPVLRTRLMNKELDPPVLLTMSPDELKQINSVLHRAYG* >Brasy8G171200.8.p pacid=40081506 transcript=Brasy8G171200.8 locus=Brasy8G171200 ID=Brasy8G171200.8.v1.1 annot-version=v1.1 MGRRRRFTQQSTSDDDDDKAAAEPPKTAKPAVPSSSGSKKQPRRHADEEEEDEEDELELEDEEDDEKDLEEMRRNEEEERREETRTRLRRGRKPKRAVEESDEEPEEKKAESEEEEEEEVREEDSTEAVPVGDPVKVTGKGKKQRKHYASFEYEGNTFELEDPVLLTPEQKNEKPYVAIIKDITEYDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTMDRIGELPDREPTDTPGDSTDQFPNKRGLRKRPMNPIDVSRDATGKSEHFVKPETPGSDDLKHYAILAKSKVLTTATYRDKWLDKLLDTIPLTSKEGAGAAHADPVSVAKISNNGSSALDTSSVNYPVLRTRLMNKELDPPVLLTMSPDELKINSVLHRAYG* >Brasy8G253400.1.p pacid=40081507 transcript=Brasy8G253400.1 locus=Brasy8G253400 ID=Brasy8G253400.1.v1.1 annot-version=v1.1 MDGQFQTDAGYDATGVNTTAVGVLDNNYYKANLQNRVLFRSDWELRNDTDAATPNTGNACMFGGNWEPASIPPHSLLHREQPPFPDRLPPPVCAPPSSPSRTRRPGSGLPQPRSCRIPTPPAAAPSPLPRAKMAAAVAELWQPAASRIRGT* >Brasy8G152000.1.p pacid=40081508 transcript=Brasy8G152000.1 locus=Brasy8G152000 ID=Brasy8G152000.1.v1.1 annot-version=v1.1 MASRAIIRRRKHLLDQVNSPILSSSSSTFHHGTFAIKVEPRIAQRYLEQSLGDSKSGEDKEQCSVNLSKADLLGLGDGFLRRPAHVISLSHYRTGSDVLSLGARGLFQSVRRASTAAAGQPKLDIEDQNEDRNQNKKKKEASPEECDQAVEGLSTAKAKAKSKQVQDSLKVDQSIVRKFWARLLGIGPALRAVASMSRADWAGKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEETLKRKLKARMEYARFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGERVSNDEILSFAKLFNDELTLDNMSRARLVNMCKYMGIRPFGTDHYLRFMLRKKLRDIKNDDKMIQAEGVESLSEDELRQACRERGHLGLRSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTLSGRMKPEDAVVATLSSLPDEVVDTVGTVLPSEDPVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQKKEEKAKLKEPEAAEEDLALKEMTEATAREGEPIKAKQHDNEKFCNISRALAVLSSASSVSKERQEFLSLVNKEIELYHSMLEKEGTEGKEEAKKAYMAAREESDDASEVATEEKVSSALIDKVDAMLQELEKEIDDVDAKIGNRLQLLDRDHDGKVTPEEVAAAAAYLKDTIAKEGVQELVNQLSKDKEGKIRVEDIVKLASQTEENNEDDEGARH* >Brasy8G139100.1.p pacid=40081509 transcript=Brasy8G139100.1 locus=Brasy8G139100 ID=Brasy8G139100.1.v1.1 annot-version=v1.1 MRKKEKGRPAPSEAVQGPQPGRRSGPNKKNSEEDGKGQDGSTQGVRSNDRCPAPVPIAPRARPCLLRARQEHSDRVRPRHGMPPRSARSRTTVVRQKAPRHRRDPSWPHGPLAPDTRRSLMLHVPRLRPRSPRRVATLRRAPRGHGHATPDAPLPSPARAACVVTAPTPSHTRAKGVTGPCRLHSNRASDAPGTPSSRPPSAQCNHQNSYLPRVRLPSPVVEARTVPNDGSRKTTTVAHLDKGSGAPGHRSSSAQTANSDDTSRSRELVETVSPTRA* >Brasy8G152900.1.p pacid=40081510 transcript=Brasy8G152900.1 locus=Brasy8G152900 ID=Brasy8G152900.1.v1.1 annot-version=v1.1 MRPVVPVNLFKGDASMTGDPVLDGLVEVFPEVNFSTLIEVAISFKGDVDAAADYVIHNVLQNIIPDDNNANTNEDLYIHGQYQGSGDTDTNIVIPSVGNDSLSESVQIDATNEYSDENRESTEQLMQLPAAASTSGQAGLPEESNTDSLVASAENSVVDHEVTHPENQQKEMNFSDHLIGDQGNEETECSFSERKQGMPTPENKLTLKDDGSPDMNMGSNYSISPESLDDSISAENYKKNTLLSNVAAISEMLEEVELSEAETKHIVSEASQAGSDILVKVAKLKALSTLAAEENNEVAAEVLAEKSILASEAKGLQFRLNTISEERNRFVFIIDEMHQTLQRRFDSAEADRAAAEAEIIERETMAQQMLKEQELLLDAAKEKSKKLEQQAQENAKLRELLMDRGHVVDALHGEMLGIFNNIAQLQYRVDMGVPVEEPQKLASSSMSSSVDSTTFYTYKCPVEEPAQLASSNLPSPVKSAPSKLTYVDEPLERSSLSLAGSVDSAPSKLIFVGGSLPILSSSVKSAASESSWSSATESNSNFNGDEEIDDLDDSWDVVEEDEKCLC* >Brasy8G152900.2.p pacid=40081511 transcript=Brasy8G152900.2 locus=Brasy8G152900 ID=Brasy8G152900.2.v1.1 annot-version=v1.1 MQLPAAASTSGQAGLPEESNTDSLVASAENSVVDHEVTHPENQQKEMNFSDHLIGDQGNEETECSFSERKQGMPTPENKLTLKDDGSPDMNMGSNYSISPESLDDSISAENYKKNTLLSNVAAISEMLEEVELSEAETKHIVSEASQAGSDILVKVAKLKALSTLAAEENNEVAAEVLAEKSILASEAKGLQFRLNTISEERNRFVFIIDEMHQTLQRRFDSAEADRAAAEAEIIERETMAQQMLKEQELLLDAAKEKSKKLEQQAQENAKLRELLMDRGHVVDALHGEMLGIFNNIAQLQYRVDMGVPVEEPQKLASSSMSSSVDSTTFYTYKCPVEEPAQLASSNLPSPVKSAPSKLTYVDEPLERSSLSLAGSVDSAPSKLIFVGGSLPILSSSVKSAASESSWSSATESNSNFNGDEEIDDLDDSWDVVEEDEKCLC* >Brasy8G266300.1.p pacid=40081512 transcript=Brasy8G266300.1 locus=Brasy8G266300 ID=Brasy8G266300.1.v1.1 annot-version=v1.1 MESVQLEHENVTQLVLVSLRDGVEEGRRLSNEEKDPELTEEPYAVKISCTVL* >Brasy8G154100.1.p pacid=40081513 transcript=Brasy8G154100.1 locus=Brasy8G154100 ID=Brasy8G154100.1.v1.1 annot-version=v1.1 MPYLSPRFRRLLLVRSLSSTHLPDHHFFLRFAALAKELSDQPPPLPPPTRPRSPHPYDYNRLMSAHAAFGAGDGAGAGADRALQLLDEMRSLLQRRPDAACFTTVTAAFSSASRPGAALAVLEAMAADGVAPDTVTCTVLVGVYACRLQQFDAAYEVVRWMTDNGVAPDVVTYSTLICGLCRARRVAEALGVLDLMLEEGCHPNAHTYTPIMHAYCTTGMIHEAKKLLDSMITAGCAPSTATYNVLIEALCKDGAFEEVDVLLEESSSKGWIPDTITYSTYMDGLCRSGRLDKSFALVDRMLSNGLHPNEVTLNILLDGVCRSSTAWAAKCLLECSAELGWDASVINYNTVMRRLCDERRWLAVVKLFTDMSKKGIAPNSWTFNIVVHSLCKLGKLHNALCLMGSEGFVANAITYNTLIRHLSLSDKANEVYLLLHDMIEEGIAPNETTYSLVLDCLCREEKFLVALSCFYRSLEDGFSPSAASSIVRGLIIGGKLGELHTLIGWVLGQGFAIDVYMYREVIIAFCKKGYCQGKEMYKVCHVLERMLSLR* >Brasy8G213400.1.p pacid=40081514 transcript=Brasy8G213400.1 locus=Brasy8G213400 ID=Brasy8G213400.1.v1.1 annot-version=v1.1 MDYKLDPPMAESMAKGKALAEEEAGGKEKDAAEKEQILFLCNLLLPHSGLSVCFHPHWWSTRSLGSTGPPSSADISSPLPISLSSPLTPVLSLLRPPRSLPPPAATAPRPRARPLSPTSARTSLAQASHGSGLGAARSGAPAVVAPPSPPLRSLPLLGPPSTAAPTLSSGRPLPLLLRPSPPASSCPSYYDHCPVPTPPPTAIDSTGEGK* >Brasy8G020300.1.p pacid=40081515 transcript=Brasy8G020300.1 locus=Brasy8G020300 ID=Brasy8G020300.1.v1.1 annot-version=v1.1 MKEAGGGEGAAGPGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEGDKDAKQQQQPRRREGWEADPTRLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQEVTAVRAAFSQEVTVWHKLDHPNVTKFIGAIMGARDLNIQTENGNIGMPTNVCCVVVEYLPGGALKTFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARHEAANPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSYGICLWEVYCCDMPYADLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQPAGCFSCFRQHRGP* >Brasy8G020300.2.p pacid=40081516 transcript=Brasy8G020300.2 locus=Brasy8G020300 ID=Brasy8G020300.2.v1.1 annot-version=v1.1 MGGRPHQARRQGRHRPRHLRHRPPRRLRRPRRRSYVSVKLLDWGEDGHRSEQEVTAVRAAFSQEVTVWHKLDHPNVTKFIGAIMGARDLNIQTENGNIGMPTNVCCVVVEYLPGGALKTFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARHEAANPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSYGICLWEVYCCDMPYADLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQPAGCFSCFRQHRGP* >Brasy8G053600.1.p pacid=40081517 transcript=Brasy8G053600.1 locus=Brasy8G053600 ID=Brasy8G053600.1.v1.1 annot-version=v1.1 MWCRPGPFIVRLGGPGPGLYSPAAVPHLPLPPPPAPPTPPSPAASLLTRRKEEATLLSPHPSPNPRPGRSPLTTPPLLQPTQHAPPPPLTRLARHGSSRRPSCSRRSRSRSSSEQGGERSARVRKEVARGCGRSRLGRWGSLPLAPYLSYSRRNTQPWSRLASTTTAQLSSPPPLPTKSPPPWGIKDVRLSARGTTNCHFLFPRFGL* >Brasy8G186600.1.p pacid=40081518 transcript=Brasy8G186600.1 locus=Brasy8G186600 ID=Brasy8G186600.1.v1.1 annot-version=v1.1 MAAPPVESETGSPTDNHPLLMDHLENTSHREIAIDSPRDDDASSSTSSRDNNSGLDRMPRISENSQETTTPSNSQNAPLARRDDNRGRHQQSPLNSSCWISVELIVTVSQIIAAISVLSLSRNEHPHAPLLEWVIGYTVGCIATLPLLYWRYIHRNRLTTGQESASQNFPPNSIPEANSFTESSASRISEAGHVTGTNGVSQNNTITRNPRVQAYADHLRMALDCFFAVWFVVGNVWIFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMSFREDLNQNKGATAEAINALRTYKFKLKKARNGEGSEGGGILAAGTDKERIVSAEDAVCCICLARYVNNDDLRELPCTHFFHKECVDKWLKINALCPLCKAEIDGGSTSSSALGFGRRHSDNRVVNDIESQV* >Brasy8G186600.3.p pacid=40081519 transcript=Brasy8G186600.3 locus=Brasy8G186600 ID=Brasy8G186600.3.v1.1 annot-version=v1.1 MAAPPVESETGSPTDNHPLLMDHLENTSHREIAIDSPRDDDASSSTSSRDNNSGLDRMPRISENSQETTTPSNSQNAPLARRDDNRGRHQQSPLNSSCWISVELIVTVSQIIAAISVLSLSRNEHPHAPLLEWVIGYTVGCIATLPLLYWRYIHRNRLTTGQESASQNFPPNSIPEANSFTESSASRISEAGHVTGTNGVSQNNTITRNPRVQAYADHLRMALDCFFAVWFVVGNVWIFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMSFREDLNQNKGATAEAINALRTYKFKLKKARNGEGSEGGGILAAGTDKERIVSAEDAVCCICLARYVNNDDLRELPCTHFFHKECVDKWLKINALCPLCKAEIDGGSTSSSALGFGRRHSDNRVVNDIESQV* >Brasy8G186600.2.p pacid=40081520 transcript=Brasy8G186600.2 locus=Brasy8G186600 ID=Brasy8G186600.2.v1.1 annot-version=v1.1 MAAPPVESETGSPTDNHPLLMDHLENTSHREIAIDSPRDDDASSSTSSRDNNSGLDRMPRISENSQETTTPSNSQNAPLARRDDNRGRHQQSPLNSSCWISVELIVTVSQIIAAISVLSLSRNEHPHAPLLEWVIGYTVGCIATLPLLYWRYIHRNRLTTGQESASQNFPPNSIPEANSFTESSASRISEAGHVTGTNGVSQNNTITRNPRVQAYADHLRMALDCFFAVWFVVGNVWIFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMSFREDLNQNKGATAEAINALRTYKFKLKKARNGEGSEGGGILAAGTDKERIVSAEDAVCCICLARYVNNDDLRELPCTHFFHKECVDKWLKINALCPLCKAEIDGGSTSSSALGFGRRHSDNRVVNDIESQV* >Brasy8G186600.4.p pacid=40081521 transcript=Brasy8G186600.4 locus=Brasy8G186600 ID=Brasy8G186600.4.v1.1 annot-version=v1.1 MAAPPVESETGSPTDNHPLLMDHLENTSHREIAIDSPRDDDASSSTSSRDNNSGLDRMPRISENSQETTTPSNSQNAPLARRDDNRGRHQQSPLNSSCWISVELIVTVSQIIAAISVLSLSRNEHPHAPLLEWVIGYTVGCIATLPLLYWRYIHRNRLTTGQESASQNFPPNSIPEANSFTESSASRISEAGHVTGTNGVSQNNTITRNPRVQAYADHLRMALDCFFAVWFVVGNVWIFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMSFREDLNQNKGATAEAINALRTYKFKLKKARNGEGSEGGGILAAGTDKERIVSAEDAVCCICLARYVNNDDLRELPCTHFFHKECVDKWLKINALCPLCKAEIDGGSTSSSALGFGRRHSDNRVVNDIESQV* >Brasy8G139500.1.p pacid=40081522 transcript=Brasy8G139500.1 locus=Brasy8G139500 ID=Brasy8G139500.1.v1.1 annot-version=v1.1 MDKTAAENAGNVAAVATPPLKLFGSWASSYTHRVQLAMRLKGLSFEYAEEDLGNKSEALLRANPVHKKVPVLVLHDGRALAESAIILHYLDDAFPDTRPLLPADPFDRAVARFWCHFGDDKLGPAVGAVFATTGEEQAAAVRQVHENLALLEAELREGAFKGRRFFGGEEVGFVDVVLGCGSYWLAVFEEVTGVQLVDAEAFPLFHAWLRDFEAQEEVRETIPSIDRLLAYARGLRQMLLALAAGAGGAGDSSAPVAAAPSAAAPPVATADIAVDI* >Brasy8G278000.1.p pacid=40081523 transcript=Brasy8G278000.1 locus=Brasy8G278000 ID=Brasy8G278000.1.v1.1 annot-version=v1.1 MRKAGVEPNVYTYNILIKALCQNDRVGAARRMLDEMARKGCPPDEVSHTTIVSALCKLGRLDEARGILAEMTPVGTSYNAVVHALCGQFRMREVFLVVDDMVHRGLRPDTVAYTSIVGAFCKARELRMACAILARMVTEGCVPNVQTFTVLVKGFFDDGKVHDALGMWNWMVAEGWAPSTISYNVLIRGLCHIGDLKRALSVFSCMGKSVCFPDVRTYSSLIDGFSKAGDLDGAMSIWNDMTNAGCKPNVVVYTNMVDVLCKKVMFDQAENLIDKMSLENCPPNTLTFNTLIRSLCDLGRAGRALNVFHGMRRYGCPPNDRTYNELLHGLFREGNCEDALRMLTEMLNHGFELSLVSYNTTISGLCQMRMIKEAMILLGRMIIQGIQPDAFTFNAIIHAYCKEGNVRAAAWMLGRMDAVNCPRNVVAYTSLMSGLCSQHKLDDAMVYLLKMLYEGICPNEATWNVLVRGIFTHLGTIGPMHLNDHIIEDL* >Brasy8G012400.1.p pacid=40081524 transcript=Brasy8G012400.1 locus=Brasy8G012400 ID=Brasy8G012400.1.v1.1 annot-version=v1.1 MPQRLDRCIPRLCPTPPAARAGALAIGFLKARGNEKRGSRDGAREEIGRRRSRGRAKQGVYAKSAFKSWVESHLIWTTRFKSNGLRAKFAPKEGFRWIFPHVIYAVYLHYVLVYPRQRSIYYCYLLNWSW* >Brasy8G039500.1.p pacid=40081525 transcript=Brasy8G039500.1 locus=Brasy8G039500 ID=Brasy8G039500.1.v1.1 annot-version=v1.1 MYGLFVELSRRLRGLSWLLSSLDGVIQNELATRNLHYINGVHLIKCRHQVPAFVFATCKYLKPVGFLVRVMVISCKLFS* >Brasy8G164300.1.p pacid=40081526 transcript=Brasy8G164300.1 locus=Brasy8G164300 ID=Brasy8G164300.1.v1.1 annot-version=v1.1 MCSASPPTAPLQQATGRRPRAGPTPQLPTRCAPRLPPGACASAAPPLLLPAPTPASRRPHINSHRGSPWRCYPCASPCPLPPRGPTLARPSPPGAGSPARDRGRWWVTDSMTGT* >Brasy8G297100.1.p pacid=40081527 transcript=Brasy8G297100.1 locus=Brasy8G297100 ID=Brasy8G297100.1.v1.1 annot-version=v1.1 MAASILVSASTGAMGSLLGKLGTMMSDEFKLLKGVRGDIKSLEVELKRMQAFLIVMADVEKPDPQAKLWVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFMKLLSKSMETIKNIKTRHKIGKEVKGIMSQVKEIGDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELVNWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGANYECRAFVSISLSPNMTMVLSSILSQLRNQDYAHGGDPALIIPQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSGEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASGDILKKCGGLPLAINAISSLLATGKTEEEWNQVRRSIGFAQGKNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDYDIGREKLVHRWISEGFIHGEDGEDLVELGMTYFYELVNRSLIQPTRISYDGTASGCRVHDTILDFLTYKSTEENFGTLLNNRLKSGSRVCRLSLMGKEDQESVDKLDLSHARSLVAFGDSQEYLPSLVKSTALRVLDVSERTGLGNHHVRDIGRLLQLRYLNICGCTKITELPREIGDLEYLETISTSVCVTGLHELPESVTRLKRLARLFVSPKVKLPDSIGNMENLQDLRCIDTSVQSVKFLEELRKLTNLRALIICWDDREVDKASCKREKLVSTLCKLDACKLRNLELRFHLREDGGFIGPASFPALSSIRGITMRHGQLRWVTKWLLSLVNLEILYVCDEVKIEQPDVELVGSIPTLLEFYVYNPSAGPIITSNSGVGFQQLQMLVLFLDVTWLTFEAGTMPNLKELYLNIQGRHYRSAAAGGFDDFGLQHLSSLSYVHVRIDCSGARAADVKTAEVSVKSMVKAHPNRPTLRMDRIRAEGMLQDEDEIAI* >Brasy8G297100.2.p pacid=40081528 transcript=Brasy8G297100.2 locus=Brasy8G297100 ID=Brasy8G297100.2.v1.1 annot-version=v1.1 MTMVLSSILSQLRNQDYAHGGDPALIIPQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMITTRLHDVAKSCCPSGEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKEASGDILKKCGGLPLAINAISSLLATGKTEEEWNQVRRSIGFAQGKNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDYDIGREKLVHRWISEGFIHGEDGEDLVELGMTYFYELVNRSLIQPTRISYDGTASGCRVHDTILDFLTYKSTEENFGTLLNNRLKSGSRVCRLSLMGKEDQESVDKLDLSHARSLVAFGDSQEYLPSLVKSTALRVLDVSERTGLGNHHVRDIGRLLQLRYLNICGCTKITELPREIGDLEYLETISTSVCVTGLHELPESVTRLKRLARLFVSPKVKLPDSIGNMENLQDLRCIDTSVQSVKFLEELRKLTNLRALIICWDDREVDKASCKREKLVSTLCKLDACKLRNLELRFHLREDGGFIGPASFPALSSIRGITMRHGQLRWVTKWLLSLVNLEILYVCDEVKIEQPDVELVGSIPTLLEFYVYNPSAGPIITSNSGVGFQQLQMLVLFLDVTWLTFEAGTMPNLKELYLNIQGRHYRSAAAGGFDDFGLQHLSSLSYVHVRIDCSGARAADVKTAEVSVKSMVKAHPNRPTLRMDRIRAEGMLQDEDEIAI* >Brasy8G115900.1.p pacid=40081529 transcript=Brasy8G115900.1 locus=Brasy8G115900 ID=Brasy8G115900.1.v1.1 annot-version=v1.1 MDRCRKRPDSDPGASGEAEPPADKRPCTAEETSTSAAVAVAAAAAAEHAASDMDTSSSGHAPAGAGAGDGDGDGDDGDGDDGDGDGGSSCESDGGESPRVCAGGGKFHRMVAAVAADSAGEGTLVASLTELCEALSFCTEDAGSYFPTEAAVRALVRLAGGGEGGVASPDEMLLSLRAITYLCDAMPRAADAVVRHGLLPILCSRLLAIEYLDVAEQCLQAFEKISRRQPTACLQADMITAVLTYIDFFSANIQRVAVSAIANACKKVPPDCSQYVMDSVPMLCNLLQSEDKMVLEKVATCLISIVDSFSSSVELLDQLCHQGVVEKVLPLINTNGLTSLSPSTCSNLIGLLAKLACSSLVAVKSLFELNIGSTIRGILVTSDLSHGMPYLPSENQNNQVNEALKLAIQLIPSVARDIEDTCMVLAKEKIIVDEPGYLCRFSGDILPVLIKAVNSGANSYICYGCSTIVNNICYFSKPEMLQGLLKETNISSFLAGLLSRKDHHVLISSLKIIEILMQKLPDAYLGSFIKEGVVYAVDTLLMQEDCSKSSPCLPDDTQQSENQPVIRNKPACFCYAFDSRRSESTETKTCRIGQGNLFNFARHVKTTYFTAEAVSSEMGLTEILQKLKTCCAVLNDSADKSLNKDGLQNEEHLSNILSEVMMELHGGETMTTFEFLESGLVKSLLNYLSNGKYLQGEDNLKDHNADHFCAVLKRFQSFARISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPIRHSTISPCIRVRFKKDEDETSLSSYDNAVNLEISSSLQSIEEYLWPKVSIDTSNQSTESSPSSVAFESKSAEEDSQERDSSPESSPPSEGIILRENQNASVEPCLKQGTSSSAGGQPGRNKSIGTEHAVQPKLVFSLKGKELDRSVTLYQSILQDLINAGADIILDNQFWRNVHDVTFRTAPANPEKDDSPKNSSNAAMSTDDSKTGLMWQTLPFFSSLLFGKIACKLDRSSPSYDILFMLKVLEGLNRYSFHLMSNERNHAFAEGRIKLDDLKPSVSSVPHQEFVSAKLTDKLEQQMHDPLVSRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSMSMQHGHMIDVSGNHAAIERGLSFSRKKFKVDRDDILVSTAKIMQSNARSNAVLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELPCKAVTDSAHVGPVTVAAPNGLFPRPWSPSVDCASFLEVNKRFHLLGQVVAKAIKDGRILDIPFSRAFYKLMLGQELNIYDINSFDPELAMTLMEFKALTCQRKYIESCSTRDRQSTSDLSYRGCRIEDLAIGFAVPGYPEFVPSSKSSSDNVTHENLEEYVSFVVEATVKSGIARQLEAFKSGFSEVFPLSTLRVFSEDELERFLCGEQDNWDFVKLVDHVKFDHGYTSSSPAVINFLEIIQEFECHERRAFLQFITGSPRLPPGGLAALNPNLTVVRKHNNDADDDLPSVMTCANYLKLPSYSSKEKMREKLIYAITEGQGSFHLS* >Brasy8G115900.2.p pacid=40081530 transcript=Brasy8G115900.2 locus=Brasy8G115900 ID=Brasy8G115900.2.v1.1 annot-version=v1.1 MDRCRKRPDSDPGASGEAEPPADKRPCTAEETSTSAAVAVAAAAAAEHAASDMDTSSSGHAPAGAGAGDGDGDGDDGDGDDGDGDGGSSCESDGGESPRVCAGGGKFHRMVAAVAADSAGEGTLVASLTELCEALSFCTEDAGSYFPTEAAVRALVRLAGGGEGGVASPDEMLLSLRAITYLCDAMPRAADAVVRHGLLPILCSRLLAIEYLDVAEQCLQAFEKISRRQPTACLQADMITAVLTYIDFFSANIQRVAVSAIANACKKVPPDCSQYVMDSVPMLCNLLQSEDKMVLEKVATCLISIVDSFSSSVELLDQLCHQGVVEKVLPLINTNGLTSLSPSTCSNLIGLLAKLACSSLVAVKSLFELNIGSTIRGILVTSDLSHGMPYLPSENQNNQVNEALKLAIQLIPSVARDIEDTCMVLAKEKIIVDEPGYLCRFSGDILPVLIKAVNSGANSYICYGCSTIVNNICYFSKPEMLQGLLKETNISSFLAGLLSRKDHHVLISSLKIIEILMQKLPDAYLGSFIKEGVVYAVDTLLMQEDCSKSSPCLPDDTQQSENQPVIRNKPACFCYAFDSRRSESTETKTCRIGQGNLFNFARHVKTTYFTAEAVSSEMGLTEILQKLKTCCAVLNDSADKSLNKDGLQNEEHLSNILSEVMMELHGGETMTTFEFLESGLVKSLLNYLSNGKYLQGEDNLKDHNADHFCAVLKRFQSFARISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPIRHSTISPCIRVRFKKDEDETSLSSYDNAVNLEISSSLQSIEEYLWPKVSIDTSNQSTESSPSSVAFESKSAEEDSQERDSSPESSPPSEGIILRENQNASVEPCLKQGTSSSAGGQPGRNKSIGTEHAVQPKLVFSLKGKELDRSVTLYQSILQDLINAGADIILDNQFWRNVHDVTFRTAPANPEKDDSPKNSSNAAMSTDDSKTGLMWQTLPFFSSLLFGKIACKLDRSSPSYDILFMLKVLEGLNRYSFHLMSNERNHAFAEGRIKLDDLKPSVSSVPHQEFVSAKLTDKLEQQMHDPLVSRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSMSMQHGHMIDVSGNHAAIERGLSFSRKKFKVDRDDILVSTAKIMQSNARSNAVLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELPCKAVTDSAHVGPVTVAAPNGLFPRPWSPSVDCASFLEVNKRFHLLGQVVAKAIKDGRILDIPFSRAFYKLMLGQELNIYDINSFDPELAMTLMEFKALTCQRKYIESCSTRDRQSTSDLSYRGCRIEDLAIGFAVPGYPEFVPSSKSSSDNVTHENLEEYVSFVVEATVKSGIARQLEAFKSGFSEVFPLSTLRVFSEDELERFLCGEQDNWDFVKLVDHVKFDHGYTSSSPAVINAVWRH* >Brasy8G168700.1.p pacid=40081531 transcript=Brasy8G168700.1 locus=Brasy8G168700 ID=Brasy8G168700.1.v1.1 annot-version=v1.1 MADGSGHDTLSFLDLHPATIFETCKSAGEEEALCLNVLASNPAAKTTPVDTLALAHAALPLAGENVTKTEAQLDKLVDSVDVKNKSLYIQRCVEDCNERYASAAEFLNKSSSKLDTGSFDEASVLIGAFGGAQSVIKLCQRTCQSVPKGELTVCNQCIDAICSVAAVAAAITRLLLQH* >Brasy8G188900.1.p pacid=40081532 transcript=Brasy8G188900.1 locus=Brasy8G188900 ID=Brasy8G188900.1.v1.1 annot-version=v1.1 MGSACWLCKLQRGKAARCQTPIRSAAAAMMLSARRAARPLLRLTGAVGAPPVRAEFTRSFLDFFKKGNTEAIDEAKAKAKARLKDEMSRGYFEDISEIRKNAGKIATANKIIIPEVAAVKFPDLALESPDAGALQLPLVAALPGEDNCEAGTTVVPDASLVCLSFRASSQKMAESWSLPFLDAFGAGGRVQAYEVSFIDSWLLSSSPVRRACLKMMRKSSNPQRHVVYAFGDNYDFRKKLQIENLLTGYIYLVDRLGRIRWQGFGSATQEELPSLTACTSTLLDEK* >Brasy8G188900.2.p pacid=40081533 transcript=Brasy8G188900.2 locus=Brasy8G188900 ID=Brasy8G188900.2.v1.1 annot-version=v1.1 MSRGYFEDISEIRKNAGKIATANKIIIPEVAAVKFPDLALESPDAGALQLPLVAALPGEDNCEAGTTVVPDASLVCLSFRASSQKMAESWSLPFLDAFGAGGRVQAYEVSFIDSWLLSSSPVRRACLKMMRKSSNPQRHVVYAFGDNYDFRKKLQIENLLTGYIYLVDRLGRIRWQGFGSATQEELPSLTACTSTLLDEK* >Brasy8G241800.1.p pacid=40081534 transcript=Brasy8G241800.1 locus=Brasy8G241800 ID=Brasy8G241800.1.v1.1 annot-version=v1.1 MRQLYHLAALLLAASALAAGANAQGYSKPGFLVTGRVYCDTCRAGFETNASHSIPGAVVQMECRHFETNELHHKAEATTDAQGWYKMEVGEDHQEEICEVALLRSPEKDCAEIEKSRDRCRVPLTRNNGIRQSGVRYANPIAFFRKEPLANCGDVLRKYDLYDETSENS* >Brasy8G267600.1.p pacid=40081535 transcript=Brasy8G267600.1 locus=Brasy8G267600 ID=Brasy8G267600.1.v1.1 annot-version=v1.1 MDAAPALCTLLQSSDKTILESALSCLAMIAAAARGNAVQMDKLCDSKVVEVAMRLLENDGWKSLEDQTLYDILGLLKNLSSVSMKAVKSLFELRVCDLLKQMITYYSHSHSDSYELQMLVEFIYQVMQPLETSDHRTGLKNAIIIEQSAYIDQLASIVTLIIQVAKCGAVSSVCYRCIVVIGNIVELSTPTFLVELQKTANISSFLTCLLARKNRHVVLETLKVSKTLLKKHHHFFFEAFAKEGVKQTIAAIHAQENHKLKKDNSMQETCVCFDRDSDVSSEDGCKIENNSILNLAEEIKKSFLLVKASNKSPHRFGCVIKSIRDFFARLNGHTITTPTRNPDLCKELSDVSRRLLSDQLPSTSTFTFVKSGSTKHLADYLSNGAYFNSNLNNCQSFVEQLKEVQIRLQKFTHLALMMSNESSEKPLGILVEKLLDALHMCYDSFPVMLSDYEPRTRDSTMIPLRHSGNEESGSLYIIFVRACREKELHNYNDVLPVDLYSKPDDIEAVLWPEICESTEDGQSSSRLMFSYKGTKLQPSATFFESLVRLMNKGQSDVMIDPSFWDEEHKISYKRRKVSREISSWSFYNTQLSAMHDKLEQSWLKDPAFCTIFLGKLPGDVDESDPSYDLLFTLKVLEGLNRFSYQLSMDEQISKFAEGCLQDLDDLKVTISPIPQHHFLSSLLTNKLELQMQESLFEDGLIPSWCVYLVETCPFLLSFSARWKYFCLTVHRSFMADKTSTPPDEASPDTGEANIAVDVASTPADEASSDTDEASTDADEASTAKRTNKHKVMRGNILEDAASMMTTHASSNETLEVVFEGEVGTGRGPTFEFYTTVSHELQRVGTGMWRGDNARKPEGETVFLHATFGLFPQPWSSVSSSSRGIEFSDVVKKFKLLGHLVARAVLDGRILDIPLSKAFYKIMLGQELDIYDIPTFDPELGKTVLEFQALVKRKKFLETSSERASNPSAYLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEYVYLVVDATLKSGIAKQIQAFKSAINEILALKTLGMFNEEEIERILCGEQDAWASSKLEDHIQFDHGYESNSQPIICFLEILREFGREEQRAFIQFTTGAPQLPLGGLASLDPKLTVVRKKCDGNVDNELPSVNTCRHFIKLPPYSSKEIMRNKLKYALAEGLGSFHLS* >Brasy8G219000.1.p pacid=40081536 transcript=Brasy8G219000.1 locus=Brasy8G219000 ID=Brasy8G219000.1.v1.1 annot-version=v1.1 MDPRRPPWPAPTVFPPSPTPPTKLRPHPSPQSAPSGILCPPPVNPHPAQATGRNCRFF* >Brasy8G072800.1.p pacid=40081537 transcript=Brasy8G072800.1 locus=Brasy8G072800 ID=Brasy8G072800.1.v1.1 annot-version=v1.1 MAPTAQPPSPPPPRRPRLGPPPGLKNLGNTCYLNSVLQCLASTPPLANFCLNSRHSNLCQKVFPNRDKECAFCMLERQIARMLRAEAGALDSPGKIIRCLPLFAEHFRWGRQEDAHEFLRHVIDACHTACLRVRKRLPVASANGDCGQEEGRGQGNCMLMRETFGGALLSQVKCLLCKGESNKTDEIMDISLDLLGSSSVGDALACFFRPELLEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGINGGKINRNIGFKESLILSDFMYNKNQDSQPVYNLFASIVHSGFSPESGHYYAYVKDSIGRWYCCNDSHVSLSSSQDVLSEKVYILFYILSSKTQKHCTNGYSSSAVKSSNTNGNGISSATCSEPLKIQLVKQNGPCSIKGIAPLPPKNGKIAPGAHVKPIHLKNTGTEKVSSNGKQDLNLKNKLKVNGNVTLPESNGCDPGKSNGIISCDKMDVDSQRMLQDADGNGHPIHSADLQETTIDKATCAEKYPEQPSNDATSTLDKNIQSSENSKGSVLHHDEVPVNSVKEVAASAKDSALKHHLGEGKFKEMLAESASSELRSCGWVDDVYQFMRSTKRRCIENTGIAQDNDAIRKQLITDSGRVFKSKVPEALRERLIQTLKSYYEDKF* >Brasy8G046900.1.p pacid=40081538 transcript=Brasy8G046900.1 locus=Brasy8G046900 ID=Brasy8G046900.1.v1.1 annot-version=v1.1 MADDAAGMSGRRLTRLRTLGRGASGAVVSLFAADGELLAVKSAATGGAAQLRREGGILASLRSPYVLPCLGSRAAAGGEYQLLLEFAPGGSLADEVARNGGRLEEPAVRAYAGHVARGLAYLHGESMVHGDVKARNVVIGADGWAKLADFGCARRCPGPGQGPILGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWADMAGCGGADVISAVRRIGYTDAVPEAPERMSPDAKDFLDKCLRRPAGERWTAAQLLEHPFLLEPVEQLKARWVSPKSTLAAAMWEESDDADEDASLDDDRTAERMKALAASCSVLPDWESDEDGWIDVWSNSSLSEFTDSPAPEKTASSGDLWDKVLEEAEIVAVQAQVFDQVAAPANERLLLEAEIGVVVQASVFDAAMPSENDAGFRDEGLVGLLAEMDDGAGAFDTDRRVVGDKLVQNVGVAYMDGQQHNVGVASVDQQQEDSRASSDSASDPVLVVRVNDDICDEGIVKSSPMPVFVYTSFASVKLLLSRIHSLNLHFYSIRFCCDS* >Brasy8G241600.1.p pacid=40081539 transcript=Brasy8G241600.1 locus=Brasy8G241600 ID=Brasy8G241600.1.v1.1 annot-version=v1.1 MHLCAVALHPSSVSLVFSVTCPCQSCVCRRQLLPQDVSLQKLRGYKERIEQTKRHVAHPSITDSSVESWGSRRSTKEKERKNRKGRIIFFK* >Brasy8G044700.1.p pacid=40081540 transcript=Brasy8G044700.1 locus=Brasy8G044700 ID=Brasy8G044700.1.v1.1 annot-version=v1.1 MRLGKRAPMVIYAATLSHPPDDQRCVAAAIVEMLPVGTGSPLLTFWRMGQPVGAKVTRSGNEREDIIHREGAFLVLSRAETLLAYTPRFHGFKDDLLIDCDVVPFLRGGRDYGDQRVHGRYLVESRGELLMVVRLAPPRPLAPTSAFRVFQMDQTRALSSGNVGHTWRELPALDGRMLFVHRGCSRSYEVSDYPGFEDEVYFLDDGVDVCDPCFNNGKWSAPPSMSTAASRPGKSCRSTGLRFGSSLEIAGFGNGCSA* >Brasy8G079800.1.p pacid=40081541 transcript=Brasy8G079800.1 locus=Brasy8G079800 ID=Brasy8G079800.1.v1.1 annot-version=v1.1 MSGDADLCSQWFLSGILGGSIFVSWLRSREVVILHGFRVRRPEETVLLKAPGSGEESTTTMLWPCAGACRSSAVQRKDKGLTGCADVDQTAGIPLPFAGRVIPAVRPWVPHFHVTGPAPPHAHDTTSQSAPNRHPQRRMIRPRRLVVPDSPIHRTVLPSPPRLRRPRPRPRRSLLSAFLRAQTLAPARRLAPLGLLMDPAPPLPAASAPTDADADAETNGDAVAYVDAAEFADAEAGGEDAEPRVTAGGDEPPKELPEELAKGVVCLECATSAQAVEAGERGTCRVYVVGTAHVSQESCDQVKAVINYLKPQAVFLELCSSRVSILTPQNLQVPTMNEMMDMWKKKKMNTFGILYSWFLAQVASQLDVLPGAEFRVAFEEAMNYGGKVILGDRPVQITLRRTWGKMSLWHRAKFLFYIVSQSLFLPSPEDLNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIEVQTLLELPVVKQGASRMKILASVGVLSGIVIASGIYIWGKK* >Brasy8G258300.1.p pacid=40081542 transcript=Brasy8G258300.1 locus=Brasy8G258300 ID=Brasy8G258300.1.v1.1 annot-version=v1.1 MANAASGMAVDDECKLKFQQLKAKRTHRFIIYKIDEKKKMVVVEKVGEPALNYEDFAAALPADECRYAIFDYDFVTEENCQKSKIFFVAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDPEEVGFDVIQGRAN* >Brasy8G218500.1.p pacid=40081543 transcript=Brasy8G218500.1 locus=Brasy8G218500 ID=Brasy8G218500.1.v1.1 annot-version=v1.1 MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGESFESPTFCSTLRQAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPEYTTIRSGPGHTPMFTCTVELAGRIFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEAASSSPLDHDDEEQEQVIVARTLESLNQTNEGKSAHQKEKQQSNNHPPSRRSHPKPYVSFQRSRLQNQTYSNVSPEQAMYPMWRQVQPTQQKPHFPMVPAMGNTRFPSPPTMLSMYPPPPRGQFPVPASQDNLALLPCFREAAPVLPQYFSPYPASYVSRSPLPVTVHAMHGERQGCTQMVELPDAVVFSRYAASVSSSTSEKGAPSKVQELPENGKDAYTESGAAPEEENRAPQTSSSSTSRSVSQKLEPNKNEESNKPAEQPPKSSSTRVSSSVVQRPIQRECYPSSIRHVEPIHRSNLPFSMATSPELWSPHMQAPATPMNTSGLFYEQRPHWYAAPVTVRTAIPVCSARPNAGSSSPGARPAVRILSRNEPEAHRNTRDTSEAATASSELNKLHI* >Brasy8G053800.1.p pacid=40081544 transcript=Brasy8G053800.1 locus=Brasy8G053800 ID=Brasy8G053800.1.v1.1 annot-version=v1.1 MPCLLSTIYSCSFDGAGCSFLIRMGARYPLVLLILLLLHGANAALDPRVPEWLTLDGGPPLVVARGGFSGLFPESTKFAYRFAVEASLPDVVLYCDLQFSKNGVGFCRRDVRLENSTLISREYPDRASTYNVNGEDLYGWFSVDFGSNELYSVNVIQDDYARSNIFDGILRMWSLGDLVDQIQHPHIWVNVEYDLFYLEHGLSTEDYILGLPEEYSVTYVSSPEVALLKSLSGKLRSKTKLILRFLREDVVEPSTRKTYGELLKDLKSIKAYASGILVHKHQIWPQNKDKYLEPSTSLVKDAHAIGLEVHAYGFANDDPKLSHNYSFDPSAEYLQFIDNSDFSVDGLLTDFSPTASEAVACFAHTKNNSYVLPPPGNAKKTRPLIITHNGASGIFSDSTDLAYQQAVKDGADIIDCWVRMSKDGVPFCLGSTDLNCSTTAGNTFAAKSKIVNEIQNQSGIFSFDLSWSEIQTLKPNLIGPFSGEHLDRNPAAKNAGKLLTLAEFLDYAKTSNVSGILIGIEHAPYLATIGLDVVGAISDALANSGYDKETKQHVLIQSEETPVLAAFKKFPKFKRVLTIEFDIGDVSKPSVVEIKEFAHAVKLRRSSATRINGYFLSGFTDALVKRLHDAKMEVYVGVLKNEFMNLAFDYWADPMIEIATDTWSVVADGLVTEFPGTAAAYFKSPCSDVERNLSFIIHPASAGELVKLAAVGLVPPAPPPAPMLQPADILDPPLPLCTSQPLFRTSRCRLAPVAKAKADYTANLAAEG* >Brasy8G133500.1.p pacid=40081545 transcript=Brasy8G133500.1 locus=Brasy8G133500 ID=Brasy8G133500.1.v1.1 annot-version=v1.1 MAPHTVITWAAGLCLLVFLASPQPTSLAAAARATPGNATAADPTEGFTAVTLSEQNFLLQRPYDESIRARYSFDGTVRRLWVLASDKPHARQSHTSPRTEMRMKGYDYSSGVWQFEGYGYVPSGTSGVSVMQVFGGGETATTLMLHVYGGALRYYDRQVVEDGIYDRWFRLNVVHDVEASVLTVFVDGVEKLRAPGRGGDMHYFKFGVYAQNHDSSRMESRWKDIKILKKD* >Brasy8G280300.1.p pacid=40081546 transcript=Brasy8G280300.1 locus=Brasy8G280300 ID=Brasy8G280300.1.v1.1 annot-version=v1.1 MAGQAMNELNNLLTGMFSAGLLDEKFQDLLNFEDSSTPDIVREVVTYYSEDAEQMIGQLTKLMDKPSLDYVRIFETAHTLKGCSSSFGAHRVEKICIQICELCKEKNKHGCLEKLDTLKDEFYDLQNKLQTILQLEQQIRAGLP* >Brasy8G280700.1.p pacid=40081547 transcript=Brasy8G280700.1 locus=Brasy8G280700 ID=Brasy8G280700.1.v1.1 annot-version=v1.1 MMKAAAVLLLMVAAAAEAMGGELKVGYYEKSCKGVENVVKYHVAKAIKANRKNGAALVRLIFHDCFVRGCDASVLLDPTPENPSTEKTAPINIGLAAFELIDEIKAAVEERCPGTVSCSDILIYAARDASSLLSNGHVTFPVLAGRLDGVHSHAAEAQQDLPDSTFTIAELIQNFARKNFTVEELVILSGAHAVGVGHCSSFRARLTAPPAQILPAYRGLLAGKCAAGPDPLVPNNIRDEDKAAVAAAIPGFVPKLRKVSDFLDNSYYHNNLARIVTFNSDWELLTEKEALGHVKEYAENGTLWDEDFSDSLVKLSKLPMPHGSQGEIRKQCRYVNHHY* >Brasy8G101900.1.p pacid=40081548 transcript=Brasy8G101900.1 locus=Brasy8G101900 ID=Brasy8G101900.1.v1.1 annot-version=v1.1 MEGADHLYPSIEPYDLEPPQVGIAAAGETRVCDQTVRWDEEPKEGTDLFEQGTKDNPDTNNVEDCLGGVDIYECNDETITMKECGEDISRDCTLREQTGIWIPPSVPPMIKHDHEEWQKGFGSNGGYFPEEEYQWDIDEEIREMTMWDVLAEMAVAGKDKLISIASYDFGRHSMSLISHFLLQAALEDEAQTLAEASAGSEHALLEAEPTKWLPDSAASSCMLCGARFHPIICTRHHCRFCGGIFCGGCSKGRSLMPSKFGTSDPQRVCDVCGVRLECIQPHLMNKISRACQLPTKDLTDLSTLRSWINIPWAHTMEYEIYKAANSIHGYCKIGKLNPEKSIPDSILRQAKGLAIITVVKVGVMITYKIGTGLVIARRADGSWSPPSAISTCGIGYGAQVGGELTDFIIVLRNTDAIKTFSGNAHLSVGAGIGASAAHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRNSENARFYGGPIKASEILLGSLAKPPAAATLYKALSILFEKIKI* >Brasy8G101900.2.p pacid=40081549 transcript=Brasy8G101900.2 locus=Brasy8G101900 ID=Brasy8G101900.2.v1.1 annot-version=v1.1 MKECGEDISRDCTLREQTGIWIPPSVPPMIKHDHEEWQKGFGSNGGYFPEEEYQWDIDEEIREMTMWDVLAEMAVAGKDKLISIASYDFGRHSMSLISHFLLQAALEDEAQTLAEASAGSEHALLEAEPTKWLPDSAASSCMLCGARFHPIICTRHHCRFCGGIFCGGCSKGRSLMPSKFGTSDPQRVCDVCGVRLECIQPHLMNKISRACQLPTKDLTDLSTLRSWINIPWAHTMEYEIYKAANSIHGYCKIGKLNPEKSIPDSILRQAKGLAIITVVKVGVMITYKIGTGLVIARRADGSWSPPSAISTCGIGYGAQVGGELTDFIIVLRNTDAIKTFSGNAHLSVGAGIGASAAHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRNSENARFYGGPIKASEILLGSLAKPPAAATLYKALSILFEKIKI* >Brasy8G030000.1.p pacid=40081550 transcript=Brasy8G030000.1 locus=Brasy8G030000 ID=Brasy8G030000.1.v1.1 annot-version=v1.1 MNGNSGGGRGGYESGSDQDGGMRKPLLVNTGSWYRMGMGSRQSSLTAGTSSMAVMRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTIGILLAYLLGMFVPWRMLAVIGILPCTILIPGLFFIPESPRWLAKMNKMEDFETSLQVLRGFETDITSEVNDIKRAVTSANKRTTIRFHELNQKKFRTPLILGIGLLVLQQLSGINAILFYASSIFKAAGLTNSDLATCALGAIQVVATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFFKDNVSQDSHMYYILSMTSLIAIVAYVIAFSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWLTSFAMTMTANLLLSWSAGGTFVSYMIVSAFTLVFVVLWVPETKGRTLEEIQWSFR* >Brasy8G140300.1.p pacid=40081551 transcript=Brasy8G140300.1 locus=Brasy8G140300 ID=Brasy8G140300.1.v1.1 annot-version=v1.1 MSVLCFWALPLYFQTINFRYLWEHQEGCAWWMILWRFYNLYFPDSFICGHPITEIKIMTHSARPLSISRRILNSLTPWERPTANKKCILHIRH* >Brasy8G264700.1.p pacid=40081552 transcript=Brasy8G264700.1 locus=Brasy8G264700 ID=Brasy8G264700.1.v1.1 annot-version=v1.1 MENIKKYTDCSVFPKYNYPSPCSPNLPNFPLPHLLTPCARSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.6.p pacid=40081553 transcript=Brasy8G264700.6 locus=Brasy8G264700 ID=Brasy8G264700.6.v1.1 annot-version=v1.1 MENIKKYTDCSVFPKYNYPSPCSPNLPNFPLPHLLTPCARSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.4.p pacid=40081554 transcript=Brasy8G264700.4 locus=Brasy8G264700 ID=Brasy8G264700.4.v1.1 annot-version=v1.1 MGKCKACMEFERSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.5.p pacid=40081555 transcript=Brasy8G264700.5 locus=Brasy8G264700 ID=Brasy8G264700.5.v1.1 annot-version=v1.1 MGKCKACMEFERSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.2.p pacid=40081556 transcript=Brasy8G264700.2 locus=Brasy8G264700 ID=Brasy8G264700.2.v1.1 annot-version=v1.1 MGKCKACMEFERSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.3.p pacid=40081557 transcript=Brasy8G264700.3 locus=Brasy8G264700 ID=Brasy8G264700.3.v1.1 annot-version=v1.1 MGKCKACMEFERSGCEAPAARRGSSMSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.8.p pacid=40081558 transcript=Brasy8G264700.8 locus=Brasy8G264700 ID=Brasy8G264700.8.v1.1 annot-version=v1.1 MSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G264700.7.p pacid=40081559 transcript=Brasy8G264700.7 locus=Brasy8G264700 ID=Brasy8G264700.7.v1.1 annot-version=v1.1 MSSPVEDPGLRPLQRLECRIAVFRVLQLVVSGGRFFIVVGLISGRQRLEKFGLSALRLCFLLSKCGKAASRHRDL* >Brasy8G201100.1.p pacid=40081560 transcript=Brasy8G201100.1 locus=Brasy8G201100 ID=Brasy8G201100.1.v1.1 annot-version=v1.1 MSGDRRGGGPPGNGDSSGSSWETVGNKRNSRQAAGRGQWAQWSSSSNAPPNTARQAWNGNGSSRPSGNNWAQPSDRRPAARGNPRPPSQPEFQSQNSAVAPPLANGWQWASRSRPSGTESSMDDVPSCGINPETDNAEGDDTSDEDQDDDDDISDEYDSDASEKSFETRKMNKWFKSFFEVFGTLSVEQIHENTRQWHCPACKNGPGAIDWFKGLQPLVTHARTKGSKRVKLHRELAALLEEEMSRRGSSVVPSGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWLGMGNQELLDYFSEYSASKARHAYGPSGHRGMSVLIFESSAVGYMEAERLHKHFVAQRTDRDAWQLRRVSFLPGGKRQLYGFLASKEDMETFNIHCQGKSRLKYEMRSHNEMVVEQMKQMSEDNQQLNYLKNKVVKTEQHSKAVEETLGNVTQKLRETMQENIFVRRKAKEKHSEYEEEMKSQENFFHLQIENIHKATEEKESKFEKLLQEERAKARQCDVDSGTTENRRLRKEQIQWFIDSQVKDVEEFEAERDELIKAHEEKKVKLKKEYMEKELELEKELDAAVTSLMEKHKPGTFGASSS* >Brasy8G134800.1.p pacid=40081561 transcript=Brasy8G134800.1 locus=Brasy8G134800 ID=Brasy8G134800.1.v1.1 annot-version=v1.1 MYDPAGFSMGMEYGRDDVQIQMTGGQMNEQTSREALPALLIQVPSRSIAGFDCVGDATASLQYEQHKETVISIPAPATPPPAAHVPVYDAIPHSLSLSMPASPSGFHFSQFRTASAHREDAPPAEAKPGVQPVGQGPELLKQTRFHSQPILHASLQQNNEGLRRADSTRDKRFDPFKTFSGRLERQLSNLRGRPLDPIDLESSQSKISEETETETDQVPGADRYFDALEGPELDTLRATEVAVLPSDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVTHVLWYISLALMGLVSSIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPTPVAEVHHGVWYVLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYTVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGRFDNGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNLLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKELVLAVSKSPNNSDSDTSVSGFTTDTDPSVTKGKAEP* >Brasy8G134800.3.p pacid=40081562 transcript=Brasy8G134800.3 locus=Brasy8G134800 ID=Brasy8G134800.3.v1.1 annot-version=v1.1 MGMEYGRDDVQIQMTGGQMNEQTSREALPALLIQVPSRSIAGFDCVGDATASLQYEQHKETVISIPAPATPPPAAHVPVYDAIPHSLSLSMPASPSGFHFSQFRTASAHREDAPPAEAKPGVQPVGQGPELLKQTRFHSQPILHASLQQNNEGLRRADSTRDKRFDPFKTFSGRLERQLSNLRGRPLDPIDLESSQSKISEETETETDQVPGADRYFDALEGPELDTLRATEVAVLPSDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVTHVLWYISLALMGLVSSIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPTPVAEVHHGVWYVLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYTVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGRFDNGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNLLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKELVLAVSKSPNNSDSDTSVSGFTTDTDPSVTKGKAEP* >Brasy8G134800.2.p pacid=40081563 transcript=Brasy8G134800.2 locus=Brasy8G134800 ID=Brasy8G134800.2.v1.1 annot-version=v1.1 MGMEYGRDDVQIQMTGGQMNEQTSREALPALLIQVPSRSIAGFDCVGDATASLQYEQHKETVISIPAPATPPPAAHVPVYDAIPHSLSLSMPASPSGFHFSQFRTASAHREDAPPAEAKPGVQPVGQGPELLKQTRFHSQPILHASLQQNNEGLRRADSTRDKRFDPFKTFSGRLERQLSNLRGRPLDPIDLESSQSKISEETETETDQVPGADRYFDALEGPELDTLRATEVAVLPSDEKWPFLLRFPISAFGMCLGVSSQAILWKTLASAPPTAFLHVSPVVTHVLWYISLALMGLVSSIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPTPVAEVHHGVWYVLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYTVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGRFDNGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNLLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKELVLAVSKSPNNSDSDTSVSGFTTDTDPSVTKGKAEP* >Brasy8G047800.1.p pacid=40081564 transcript=Brasy8G047800.1 locus=Brasy8G047800 ID=Brasy8G047800.1.v1.1 annot-version=v1.1 MARVWKLFDRIIFDDEPFDRINEAEVNQVRQLQGAPWPLQNGYVNCPFCNRCVYPGFMAVFQHSRYYEPADPDAENKKAKHVGLCWYLKANAPAEYADHVGRCEEMK* >Brasy8G075300.1.p pacid=40081565 transcript=Brasy8G075300.1 locus=Brasy8G075300 ID=Brasy8G075300.1.v1.1 annot-version=v1.1 MASANYLPALLLLLLSASAAAAAAATGVEREENLSAAYEPLFEAWCAEHGKAYASPGERAARLAAFADNAAFVAAHNAAAAAGAGGSNAAAPSYTLALNAFADLTHAEFRAARLGRLAVGGGRAPPSSGGFVGVGAVPEALDWRQSGAVTKVKDQGSCGACWSFSATGAIEGINKIKTGSLISLSEQELIDCDRSYNAGCGGGLMDYAYRFVIKNGGIDTEDDYPYREADGTCNKNKLKRHVVTIDGYSDVPANKEDSLLQAVAQQPISVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMHMHRNTGSSSGICGINMMASFPTKTSPNPPPSPGPGPTKCSVFTSCPEGSTCCCSWRALGFCLSWSCCELDNAVCCKDNRSCCPHDYPICDTDRGQCLKANGNISSVAGIKRKQAFSKVPSWNGLLELLGQ* >Brasy8G087900.1.p pacid=40081566 transcript=Brasy8G087900.1 locus=Brasy8G087900 ID=Brasy8G087900.1.v1.1 annot-version=v1.1 MAAATISLCGSRCLGPGLRPEARLASQLLVERASGRGRPSKRRPVAVAATEGSAKSSGEADEQVPSWARPGSDEPPPWARDEGGGDPAAVQVPFYAYLLASAITAIAAIGSIFEYTNGRPVFGIVGADSPLYAPILGFFAVTGVPTSAFLWYKAVQTANKDAEEQDRRDGFL* >Brasy8G006400.1.p pacid=40081567 transcript=Brasy8G006400.1 locus=Brasy8G006400 ID=Brasy8G006400.1.v1.1 annot-version=v1.1 MAAHGKPKPGAPAPPPPPPPSAEARKSFMRRMFPFLLAANLFVGAYVVVRAYYKDSGKKDAQTDHPAAATVSTPSAIAADKSPESAAAPSKVFPPIPEDEQRQLYRWMLEEKRKVKPRDVAEKKKLDEEKALLKEIIRAECLPNL* >Brasy8G231300.1.p pacid=40081568 transcript=Brasy8G231300.1 locus=Brasy8G231300 ID=Brasy8G231300.1.v1.1 annot-version=v1.1 MEPLGRRRRPGSESGREEDRISGLPDELLHEILRHLRSSPDATRTSALSRRWRRVWASVPDLVLGGDLPIRHGASFLDAVDGALDSYAAAADPAVHLHGLKITMPFSLFHELELPPLERATSITLALGSRILLRPPPSGVFAALADLEIRLGNMEARALELLVSTQCPRLRKLSVTTVFLVDGASEIPDVSLRSATLQHLKFHVPARRLNIAAPALKVLDTFQGIADAHIAAPNLAEVVASGCCDRFVFADAGRHLRLLDLTWCAFTAMELLAPRFDSVDELRLGAELSGKGGDVLGRFLEDRKYNLPKCQTLWVASYMTPLCSEPCVLRLLTRGNGISNGIRKLGMIAHPAKGTYCSPSCSCRSPQSTMLDAIIFDSLEEIELDLLRGYENL* >Brasy8G102100.1.p pacid=40081569 transcript=Brasy8G102100.1 locus=Brasy8G102100 ID=Brasy8G102100.1.v1.1 annot-version=v1.1 MANDSLTRAQIIGDVLDPFTSSVPLTVMYDGRPVFNGMEFRSPAVSLKPRVEIGGDDFRVAYTLVMMDPDAPNPSNPTLREYLHWMVTDVPASTNDSFGREIVTYESPNPTMGIHRMVLVLYQQLGRGTVFAPQVRHNFNSRSFARRFNLGKPVAAIYFNCQRPTGTGGRRFT* >Brasy8G076800.1.p pacid=40081570 transcript=Brasy8G076800.1 locus=Brasy8G076800 ID=Brasy8G076800.1.v1.1 annot-version=v1.1 MWLRRTSTARLAVFAQREEAAAVGPGYCAATPGERASSRTSRRRERKRGGEPSAPRPPRRRVSRRGPGRPCAVAWGERERVPGLGPGLGPCPEHPPWGTARTSRTKSGERRRSGRRERRRGEKRREGESEVCIYIGFTKF* >Brasy8G070300.1.p pacid=40081571 transcript=Brasy8G070300.1 locus=Brasy8G070300 ID=Brasy8G070300.1.v1.1 annot-version=v1.1 MAAAADEEQEGLSAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGIHRHFVLYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPDDDEKDSFSQAEFSLPDKFFKKEKKEE* >Brasy8G116100.1.p pacid=40081572 transcript=Brasy8G116100.1 locus=Brasy8G116100 ID=Brasy8G116100.1.v1.1 annot-version=v1.1 MSSSPVAFVASDPGGDSGRSERKQDLRQEAVDAARASSGPAGDSDVAMAEASEEVVAGVKVEGAAVARRVGALTAGVVLDAAAATDPLYATESAGMVISEGCGDESIGEAQGRDGETEAGASTGDPERKLVAAGDVAVTGEVVVAPGSAATEHAGAESSELEENHVNASHTAGNTGNDNGEAHFGEETQNGPANFAQCARYCLPLPVKDGFQVSDLVWGKVKSHPWWPGEIFDHSDASELALKHQKKGNRLVAYFGDNSFAWCDESQLKPFVTNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCACLPEELTDNGMSYMVENAGLKDGVTCSAVNRSEILACFSPASLLHYVRSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWAENDMDTSPTKNVIVEEVVASEVLPSNDKPKRGRGRPRKQKPEDSQESTGKKPASSLSTNTAYGAPMERRVVRDDFDDLSNKKKRSLDLFDDAETKSSTPAFGGSFKIGECIRRAASQLTGSSSIVKSQNELAVYKNPTEADNAEFDVSSDDDDNEITVEKRAKRRRMHKHHTADPMELFSQLCSIATEPMNRYNFSAMIISYFSDYRNYVVSTTTEANIIEKSTAKRGRKRRVLPSPELETTDHMQDSYWSGLSLHNHPIHSLKGTGTNTRPRRRRRSSQETDGPTVQHLETLSPKKQIQVIERSIIHVDEKMVDEWKPTALVLSFGKSTDLPSETDLIKKFGRYGPLKESETEVQKSTNTVKIVFKKRADAERAFTVAGKYGTLGPSLRSYRLVNMPFSLGSSEANNSEACVRDEAPLKDQSEIVLSGAATGAVQVVGATEQAKEERPNEGAKYVTEANTENKVPEETVVPMESQAQSCAEKTVRQDATEQTMEFQAPNEASAEIPSDVKLEVNAFTEKSVGQVATEQAKLPVKESAAVLSNPAYADTSEVQGSEVRPEAVQFQAACKTSVEQVSTKAEDDTAEVLTKASVQESGPNKEIVESNTAVEVAHEQVCCVEKTVQAGDGTDAAIEPIDVGKRTAEDIAMAEVMVKGAVESKGEAPVEETVDDKTATETQAGETTQGETTTAEKTADDATVAVPDERNPEAKNIIDNATVKAADETAKFAKKTEEDGIVEALDEKATAVERTVDPVEALDEKATAIERTVEDDTVAAVDEKVTTTEKPVEDAMVEAPCEKATTDENPAEDATVDAPDQTATTANNIVEDAKVEAPDEKATTAKKTVEDATVEGPDEKAIAGADAEAMVEARDDKATATERTIEDDTVAPLDEKVATTEKSIEDEAPSEKATTDEKPVEDATVDAPGQTATTTANKIVEDATAEAPKATTAGKTVEDATVEGPDDKAIAADEEAMADAADGQD* >Brasy8G116100.2.p pacid=40081573 transcript=Brasy8G116100.2 locus=Brasy8G116100 ID=Brasy8G116100.2.v1.1 annot-version=v1.1 MSSSPVAFVASDPGGDSGRSERKQDLRQEAVDAARASSGPAGDSDVAMAEASEEVVAGVKVEGAAVARRVGALTAGVVLDAAAATDPLYATESAGMVISEGCGDESIGEAQGRDGETEAGASTGDPERKLVAAGDVAVTGEVVVAPGSAATEHAGAESSELEENHVNASHTAGNTGNDNGEAHFGEETQNGPANFAQCARYCLPLPVKDGFQVSDLVWGKVKSHPWWPGEIFDHSDASELALKHQKKGNRLVAYFGDNSFAWCDESQLKPFVTNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCACLPEELTDNGMSYMVENAGLKDGVTCSAVNRSEILACFSPASLLHYVRSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWAENDMDTSPTKNVIVEEVVASEVLPSNDKPKRGRGRPRKQKPEDSQESTGKKPASSLSTNTAYGAPMERRVVRDDFDDLSNKKKRSLDLFDDAETKSSTPAFGGSFKIGECIRRAASQLTGSSSIVKSQNELAVYKNPTEADNAEFDVSSDDDDNEITVEKRAKRRRMHKHHTADPMELFSQLCSIATEPMNRYNFSAMIISYFSDYRNYVVSTTTEANIIEKSTAKRGRKRRVLPSPELETTDHMQDSYWSGLSLHNHPIHSLKGTGTNTRPRRRRRSSQETDGPTVQHLETLSPKKQIQVIERSIIHVDEKMVDEWKPTALVLSFGKSTDLPSETDLIKKFGRYGPLKESETEVQKSTNTVKIVFKKRADAERAFTVAGKYGTLGPSLRSYRLVNMPFSLGSSEANNSEACVRDEAPLKDQSEIVLSGAATGAVQVVGATEQAKEERPNEGAKYVTEANTENKVPEETVVPMESQAQSCAEKTVRQDATEQTMEFQAPNEASAEIPSDVKLEVNAFTEKSVGQVATEQAKLPVKESAAVLSNPAYADTSEVQGSEVRPEAVQFQAACKTSVEQVSTKAEDDTAEVLTKASVQESGPNKEIVESNTAVEVAHEQVCCVEKTVQAGDGTDAAIEPIDVGKRTAEDIAMAEVMVKGAVESKGEAPVEETVDDKTATETQAGETTQGETTTAEKTADDATVAVPDERNPEAKNIIDNATVKAADETAKFAKKTEEDGIVEALDEKATAVERTVDPVEALDEKATAIERTVEDDTVAAVDEKVTTTEKPVEDAMVEAPCEKATTDENPAEDATVDAPDQTATTANNIVEDAKVEAPDEKATTAKKTVEDATVEGPDEKAIAGADAEAMVEARDDKATATERTIEDDTVAPLDEKVATTEKSIEDEAPSEKATTDEKPVEDATVDAPGQTATTTANKIVEDATAEAPKATTAGKTVEDATVEGPDDKAIAADEEAMADAADGQD* >Brasy8G138500.1.p pacid=40081574 transcript=Brasy8G138500.1 locus=Brasy8G138500 ID=Brasy8G138500.1.v1.1 annot-version=v1.1 MATSEGRRRRGKSKPKKTKQVGPTTVQDIPDHLFEHILLRLGPSPCLLGCYHAVKGRALFVPSSSSPVAVDGRHFSLDFLPDSGDSWDLADSRGSLLLLSKKRACPQTWPGHHYVCRCSADLIVCEPLTGRYQGIVWPADLDGIGRLGVFLLDGADRLMISMSNFRVIAAVDKAVACVFSTGGDGGWRLVHSAATSDADLPSTLGPENFVGRANGTLYWGVEGNATDVLALDETTANFSISVFPESIWRPSPQKTSRVVGGEDGVLRVIRVIGNELKVFARWHSGSEDDDEWVLEKLLRLPEATVGLPGREESFFQQEAMIVAADTRKVLLTPSEKRWTFSVDLDTLAVERQWNKYAGAVFPYRLPVPTALPDHSIGRR* >Brasy8G224000.1.p pacid=40081575 transcript=Brasy8G224000.1 locus=Brasy8G224000 ID=Brasy8G224000.1.v1.1 annot-version=v1.1 MAVPALLNYAYLSGLLSRCGRAADHRLGAALHATIVKNPAHFLLCPLRPSLRHVLVAWNALVSMYARCGRQDDAARVFDEMRVRDSVSWNSLMASSGSEDALSQFRRMLRSASSPGGGVSCDHATFTTVLSACAREASLPTCAMVHGLVVSRGFEAEVSVGNALVTAYFECGSPGSAERAFCGMAERNVITWTAMISGMARAELYEDSIVLFQQMRRTVDANSATYSSSLLACAGSLALKEGQQIHGLVVKAGLETDLHVESGLMDLYSKCGLMEDTLSVFRLCRQPDEISLTVILVGFAQNGLEEKAFELFAEMAGEGISIDTNMVSAVLGAFGASAPFALGKQIHALVIKKCFGRNIYVCNGLINMYSKCGELEESIQVFDETPSKNSISWNSIIAAFARHGQGSEVFKLFESMKADGANPTDVTFLSLLHGCSHVGSAKKGLEILNSMSSEYAILPRVEHYACVVDMLGRAGLLEDAKSFIEDGPFKDSALLWQALMGACSFRGNSEIGKYAAEKLLHLDPDSPAAYVLLSNIYSSEGRWDDRARVMKNMREVGLRKDTGKSWIELEKEVHSFVVGSPASRPDSATMDDMMLQLSAVAGDHQDDLMEGNAW* >Brasy8G110800.1.p pacid=40081576 transcript=Brasy8G110800.1 locus=Brasy8G110800 ID=Brasy8G110800.1.v1.1 annot-version=v1.1 MASAPTPPPLLPVTNPTAAGSAPASGGGGGGSDAPIATPAFRLFLGRISDTARRSLSDRRPWGEMVDRSAFSRPDSVSDATSRLRRNLTYFRVNYTAIVAFALAASLLAHPFSLLILLGVLSAWCFLYLFRASDQPVTLFGRTFSDRETLLGLVGASFVAFFFTSVASLIISGMLVGAGIVAAHGAFRMPEDLFLDDTDAASGNSAAQGLLSFLGAPGSRV* >Brasy8G187600.1.p pacid=40081577 transcript=Brasy8G187600.1 locus=Brasy8G187600 ID=Brasy8G187600.1.v1.1 annot-version=v1.1 MAAPLHFLLVPLPAQGHVIPMMDLARLLAGRGARVTVVLTAVTAARSRAVLEQARRAGLPVDVAELAFPGPTVGLPFGFECLDQITSFPQMKLLYEAVWLLAGPLESYLRALPRRPDCLVADSCSPWCADVARRLGLPRLVFNCPSAFFLLASHNITKHGVHGRATTDLEPFEVPGFPVRVVTNRAKSLGFFQLPGLERFRRDTIEAEATADGLVLNTCLALEAPFVDRYGQALGNKNVWTVGPLCLLDNNADAETTGGRGGGSSDAVRVVSWLEAMPPQSVLYVSFGSIARLMPPQVAELAAGLEASNRPFVWVAKENDGIDAGFDARVAGRGLVVRGWAPQMTILAHPSVGGFLTHCGWNSTLESLCHGVPLLTWPQFADQFLTEALVVDVLGAGVRVGAELSSETPPAMKLVGRDDVARAVVELMEEGTAMRARATELAVKASEAMASGGSSYIDSLDLVRHVAEVMRRKDKEQGCQD* >Brasy8G072200.1.p pacid=40081578 transcript=Brasy8G072200.1 locus=Brasy8G072200 ID=Brasy8G072200.1.v1.1 annot-version=v1.1 MQSSLARPPPPPPPPLIRAGPGSVSYRARPRSAQVVRCHGEASPAPAAVGWAPPGPYTGRDPEAKKPAWLRQRAAQGEKYAKLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAVAVASWGVDYVVLTSVDRDDIPDGGSGHFAETVRALKELKPEILVECLTSDFRGDLEAVASLANSGLDVYAHNIETVRSLQRVVRDPRAGYDQSLAVLKHAKAFKEGMVTKSSIMLGLGETDEEVKQTMADLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFVFVASGPLVRSSYRAGELFVQNLVRRKKTELVSAA* >Brasy8G060100.1.p pacid=40081579 transcript=Brasy8G060100.1 locus=Brasy8G060100 ID=Brasy8G060100.1.v1.1 annot-version=v1.1 MPMRRRLPHQRLVERRQETGIVKRYKEMGIAAALSRPWDYPTACGELAELLRRGYAGLPKAAQALVASDVLTAFRLLPDVHTGYALPAANGLLQAVEVSLPKQKKSQAISEFKCSVVAHKRRARVQQEPGPPHIPHDVLVHIFSFLDMRSLVAAGLVCWSWNSSANDNQLWKMNYSIFFSICDVSSNSIPVSSGVQNSHGLLVQNSTNPVFGDSSLNWKEVFHNKRAEYMLWSSASNRAVCRQCQSIIWLSSLTCAAPHQCPKNEKDGVKLTPLLPSTVTGYILNSHGRLSSSSDSDDTDSDSEDNSSRRFWSCHLD* >Brasy8G164800.1.p pacid=40081580 transcript=Brasy8G164800.1 locus=Brasy8G164800 ID=Brasy8G164800.1.v1.1 annot-version=v1.1 MSLQTLMHSACSWSGLATLVIIMTTLKLVILLLKNRRVVSSQQCERFIQLPPGPPTLPIIGNTHQMIWNKQPAVFRWIHRLLREMNTEILCLRLGSTHVIVVACPEMACEVLRKNDDVFASRPATFASGLFSFGYKGSSMSPHGEQWKKMRRVLTNEILAPSTEQKMHHLRKEEYDHLLRYIHNNSTSSSSSNMNFVDVRQVAQHFCGDMIRRLVFGKRYFNDLLPASSTSGPGQDEVLHVDALFTAVNHLYSFCISDYFPALVGLDLEGHETVAKDVMAILNRLHDPLIEERMHEIRSTTPEKGKSEKKEARDLLDVLVYHEDAEGKPLLSFQEIRAQIAEMMFAIVDNPSNVVEWALAEMMNRPEVLQKATDEIDAIVGKDRLVQESDIPQLRYLKSCIREAFRIHPYHPFNMPHVAMADSTVAGYTIPKDSHVILSRTGLGRNPKVWIEPLEFRPERHLNTTANVHLNDPDLRFVSFSSGRRGCPGISLGTSVTMMLFARMLQGFTWTKPPGVSTISLEEGKASLALAQPLVLQAKPRLATHLYESIK* >Brasy8G192800.1.p pacid=40081581 transcript=Brasy8G192800.1 locus=Brasy8G192800 ID=Brasy8G192800.1.v1.1 annot-version=v1.1 MDQPPPPPPPAVSEPGGYARFSPAGLIHAPLSALLEYSSGLLRDQAAGGGGAHRGEAADREVSIRIVGPDGDAGPGAGEVPPAADEEAPAVRGDEAGAGAGSGRAESPYQGYDVQRVARWLGHALPFCLLLLGVFIRQHLQGFFVMIWIAAVMFKSNDILRKQTALKGERKMPMLIGIVVVFIVHVFGVYWWYRNDDLVRPLMMLPPKEIPPFWHAIFFIAVNDTMVRQAAMVVKCVLLMYYKNSKGRHYRRQGQMLTVVEYSLLLYRALLPAPVWYRFFLNKEYGGLFSSLTTGLYLTFKVASLVEKVRWLLASLRALSHKDLHYGAHATTEQVLAAGDLCAICQEKMHSPILLQCKHIFCEDCASEWLERERTCPLCRALVKPGDIRSFSDGSTTLFFQLF* >Brasy8G055300.1.p pacid=40081582 transcript=Brasy8G055300.1 locus=Brasy8G055300 ID=Brasy8G055300.1.v1.1 annot-version=v1.1 MPMTAPVRPKQRCCSARSWPVDVPRAALVLRRWEEAAGEEEEDSNNEGSGEKRAIIVPPHLLVSGRWRSDGESSAAAYYSATLRSGRQRDLRWLRNSVLRMTGFIEG* >Brasy8G263600.1.p pacid=40081583 transcript=Brasy8G263600.1 locus=Brasy8G263600 ID=Brasy8G263600.1.v1.1 annot-version=v1.1 MENQSYNGYTDLLQSDVGLDDLHWSGGHGEQHIDLEGHEEHHINLEETQEATPPVKASAKKARASKASAPKSGSSKRQKNFSQAEDLTLCDAYLEITQDPIIGVDQSRDCYWKRINAYFHANKTEDSGRTQGSLQHRWAVIQEQVNKFSACYAQVMNRNQSGMTHENKLAQALVKYASDEGNKPFGLMHCFNKLEDTEKWKSRPKIKKHKTSSMDTPGTSSSVFEDEAIAKHPCVEGTIHSKIVFVETLKAFRKFALKRMSSWK* >Brasy8G107300.1.p pacid=40081584 transcript=Brasy8G107300.1 locus=Brasy8G107300 ID=Brasy8G107300.1.v1.1 annot-version=v1.1 MASSLLPPPTFANKHRSLLHPHSATAKHPAPRLTRCGAAGGAVPDELLGALHLGRQSDTVVATVGVSDDTGSDGWMDLLDEIKGALQADAPDPAATASDAAVVPDVLLSSPPVSVDAANAAAGMAVAVPDEALTSAADTSSSTLDAVVASGGDASRFIPEELLGALHMDASSPPVRAAAGALARLDALAASLTEPERWAAAGLLAVVWLYLTARPGVLSGAVDTYLLAPLQLALDSALGRRSLKMSDFVVGERIGEGSFGVVYSGAVVPKGGAAVEERVGKAKTKLQLDDRYKEKVILKKIKVATPGAKECGDYEEWFNYRVARAAPESCADFMGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYVTDKSFPSNLEPLMFGRALRGADSLTRGALVVKQVMRQLITSLRRIHATGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQLNSPDLFDMYSAGVVLMQMAIPTLRSPSGLKNFNSELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLIAQRGQGRLSAAAALRHPYFLLGGDRAAAVLSKLQLSR* >Brasy8G061100.1.p pacid=40081585 transcript=Brasy8G061100.1 locus=Brasy8G061100 ID=Brasy8G061100.1.v1.1 annot-version=v1.1 MAGGGDDAPRPADHDETAKTSWPELVGRDDVDAGFAILKERPDVRIQFYEFGQETAPPEFDPKRVVLYSDDSNVVVLTPKIG* >Brasy8G014400.1.p pacid=40081586 transcript=Brasy8G014400.1 locus=Brasy8G014400 ID=Brasy8G014400.1.v1.1 annot-version=v1.1 MAAGWTERRNKQFEQALAVHDRDTPDRWHKVARAVGGGVSADEVRRYYEMLVEDVGDIEAGKVPFPPYRPPNNAAAAAAAAGGRRQGQAPLAGFEADRLRQLRI* >Brasy8G020000.1.p pacid=40081587 transcript=Brasy8G020000.1 locus=Brasy8G020000 ID=Brasy8G020000.1.v1.1 annot-version=v1.1 MGSFGSKPQGEWKPEPKPEKVVVPPLFDAPHNAARTRMLVPGYEQAFGKPALRGLFEDYFDLAGSVKAGVVLKLLEDPHFDLSANISPAGGEAQLRLQSEDNPDTFVDFLVSTSKLKMKLRSSIYSPMYGIGAFGALPLHPENSMGSEEYGVLGLRYDSENLSIGASFVPSTCNSSDEVPYGAWLVGRIGGLSAGAQYKPLGGSDHRMPFEDLNNWNFAVSYGLGSTSLLSPSFNFSLELLRDTQLIASFYQHQVVQRKVKLRGEGDKITNYIDFGLELETRVDKDKPTNNADSSSLQLAANWQANKNFLIKGKLGPSKSSVALAFKSWWKPMFTFSVTAVNDHSKNTRAYGFGIHVNDLVKEPIF* >Brasy8G020000.4.p pacid=40081588 transcript=Brasy8G020000.4 locus=Brasy8G020000 ID=Brasy8G020000.4.v1.1 annot-version=v1.1 MGSFGSKPQGEWKPEPKPEKVVVPPLFDAPHNAARTRMLVPGYEQAFGKPALRGLFEDYFDLAGSVKAGVVLKLLEDPHFDLSANISPAGGEAQLRLQSEDNPDTFVDFLVSTSKLKMKLRSSIYSPMYGIGAFGALPLHPENSMGSEEYGVLGLRYDSENLSIGASFVPSTCNCGSDHRMPFEDLNNWNFAVSYGLGSTSLLSPSFNFSLELLRDTQLIASFYQHQVVQRKVKLRGEGDKITNYIDFGLELETRVDKDKPTNNADSSSLQLAANWQANKNFLIKGKLGPSKSSVALAFKSWWKPMFTFSVTAVNDHSKNTRAYGFGIHVNDLVKEPIF* >Brasy8G020000.2.p pacid=40081589 transcript=Brasy8G020000.2 locus=Brasy8G020000 ID=Brasy8G020000.2.v1.1 annot-version=v1.1 MLVPGYEQAFGKPALRGLFEDYFDLAGSVKAGVVLKLLEDPHFDLSANISPAGGEAQLRLQSEDNPDTFVDFLVSTSKLKMKLRSSIYSPMYGIGAFGALPLHPENSMGSEEYGVLGLRYDSENLSIGASFVPSTCNSSDEVPYGAWLVGRIGGLSAGAQYKPLGGSDHRMPFEDLNNWNFAVSYGLGSTSLLSPSFNFSLELLRDTQLIASFYQHQVVQRKVKLRGEGDKITNYIDFGLELETRVDKDKPTNNADSSSLQLAANWQANKNFLIKGKLGPSKSSVALAFKSWWKPMFTFSVTAVNDHSKNTRAYGFGIHVNDLVKEPIF* >Brasy8G020000.5.p pacid=40081590 transcript=Brasy8G020000.5 locus=Brasy8G020000 ID=Brasy8G020000.5.v1.1 annot-version=v1.1 MGSFGSKPQGEWKPEPKPEKVVVPPLFDAPHNAARTRMLVPGYEQAFGKPALRGLFEDYFDLAGSVKAGVVLKLLEDPHFDLSANISPAGGEAQLRLQSEDNPDTFVDFLVSTSKLKMKLRSSIYSPMYGIGAFGALPLHPENSMGSEEYGVLGLRYDSENLSIGASFVPSTCNSSDEVPYGAWLVGRIGGLSAGAQYKPLGGSDHRMPFEDLNNWNFAVSYGLGSTSLLSPSFNFSLELLRDTQLIASFYQHQVVQRKVKLRGEGDKITNYIDFGLELETSNTDVFYIFSMSTWPYIILEDTIFHEVN* >Brasy8G020000.3.p pacid=40081591 transcript=Brasy8G020000.3 locus=Brasy8G020000 ID=Brasy8G020000.3.v1.1 annot-version=v1.1 MLVPGYEQAFGKPALRGLFEDYFDLAGSVKAGVVLKLLEDPHFDLSANISPAGGEAQLRLQSEDNPDTFVDFLVSTSKLKMKLRSSIYSPMYGIGAFGALPLHPENSMGSEEYGVLGLRYDSENLSIGASFVPSTCNSSDEVPYGAWLVGRIGGLSAGAQYKPLGGSDHRMPFEDLNNWNFAVSYGLGSTSLLSPSFNFSLELLRDTQLIASFYQHQVVQRKVKLRGEGDKITNYIDFGLELETSNTDVFYIFSMSTWPYIILEDTIFHEVN* >Brasy8G195400.1.p pacid=40081592 transcript=Brasy8G195400.1 locus=Brasy8G195400 ID=Brasy8G195400.1.v1.1 annot-version=v1.1 MNYIYTTHLLMTQQGIQPSFAMPPIAILFVFLLPTLHTPACSAASTISAGEALTGSGKLVSHNGKFALSFFQPGSKYSSQQTPSHWYLGIWIPKLTPIWVANRESPIIDLDNSELKISDDGNLVILNRATKSTIWSTHANITANNTTVILLDNGNLIIGDASNSSKIIWQSFDYPTDGTPPGAKQGLDKVTGFTRQLVSKKSLIDPAPGRYCVELDTTGPGQFLYKLCNSSIVYWSTGEWNGHYFNSMPEMAGRILFSSEFVNNDKEEYFIRHLLDDKLISVSMLDISGQEKQLIWVESSQDWVIVFTQPKDQCDVYATCGPFTICSSNKSPVCDCMKGFSIRSPKDWVLEDRSGGCTRNMPLDCGSRNQSRNATTDVFYSMTGIKLPTMARVIEAVGNTDHCAQACLDNCSCIAYSYGTRCSLWYDDLLNIKHDNKAITGDGEVLYLRISAKEAESGRNKKGGEKGAIRASTATGVVALGLLTAFVIWRSKRKQATVMYNHVQGGNGIVAFRYIDLEHATKKFSEKLGGGSFGSVFKGLLTDSTAIAVKRLDGVRQGEKEFRAEVSSIGIIQHINLVKLIGFCCEGDRRLLVYEYMPNLSLDAHLFQSNTAVLNWNARYQIALGIARGLAYLHESCRDCIIHCDIKPQNILLDTSFVPKVADFGLAKFLGRDFSRALTTFRGTIGYLAPEWISGVAITPKIDVYSYGMMLLEIVSGQNNTG* >Brasy8G276700.1.p pacid=40081593 transcript=Brasy8G276700.1 locus=Brasy8G276700 ID=Brasy8G276700.1.v1.1 annot-version=v1.1 MADVIHRKQHPKRPHRLLLLLLHLRPQSLAPVFSTKAMPDASSLSLSRLLLLDLGRRRLSSSSTTSLPSAFWSPIAVFAAATERVRAGTLTTEDAHHLFDELLRQTIPVPERSLNGFLTALARAPSSAACRDGPSLAVALFNRICREEAGHRVALPSVCTYNILMDCCCRARRPTVGFALFGRFIKTGLKTDVIVANILLKCLCHAKRSDDAVNVLLHRMPELGVEPDTTSYNTVLKGLCEDSRSQRALDLLHTMVKKSDGCSPNMVSYNTVIHGFFREGEVSKACNLFHDMMQQGVMPNVVTYTSIIDALCKARAMDKAELVLRQMISNGFEPDKVTYTCMIHGYSILGQWKETAGMFREMTSRGLKPNIVTCNSYMSSLCKHGKSKEAAEFFDSMAAKGHKPNVVTYSILLHGYATEGCFVDMLNLFTSMEGNGIVADQHVFNILINAYGKHGMMDEAMLIFTQMREQGVSPDACTYGTVIAALSRIGRLADAMDNFNQMIAMGLKPDTIVYQSLIQGFCMHGNLGRVKELVCEMMRRGIPRPNIAFFTSLINSLCKEGRVMDAQDIFDLVIHIGERPDVITFTSLIDGYGLVGEMEKAFGVLDAMISAGVEPNVITYNTLIDGYCRNGRICDGLILFREMSSKGVKPATDTYSIILNGLFHAGRTVDAKKMCHEMIESGTPTDISTYGVILGGLCRNNCEDEAIALFKKSGAMNVKFDIGIINTMIDAMYKVRRREEANELFAAISATGLVPNASTYGVMIRNLLKEGSVEEADNMFLLMEKSGCAPSSRLLNEIIRMLLEKGEISKAGNYLSKVDGKRISLEASTVLLLIALFSREGKYWEDVKLLPAKYQFFGGDTLDVNLNPRKYS* >Brasy8G259300.1.p pacid=40081594 transcript=Brasy8G259300.1 locus=Brasy8G259300 ID=Brasy8G259300.1.v1.1 annot-version=v1.1 MAATTASGWRYGDGVRRQGTEEAAASVDYLRPELPARKTARAGQQQSAIPEAAARRRREEQHCVRTVGAAVREGAGGAARAAVAAVRGAGGRRRVCGGACAAAAAREGKGCVTGARAGR* >Brasy8G270000.1.p pacid=40081595 transcript=Brasy8G270000.1 locus=Brasy8G270000 ID=Brasy8G270000.1.v1.1 annot-version=v1.1 MNCFLCFKPEKKMALRRTESRAGPVANTAASMGSGAPLQESESKEQSSEIRANGEPAESSAMAKAAKAFTFRELATATKNFRFDCLIGEGGFGKVYKGQLENGQVVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGEQRLLVYEYMPLGSLADHLLDLTSDQIPLSWHKRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVGEKTHISTRVMGTYGYCAPEYIKTGQLTIKTDVYSFGVFLLELITGKRAVDSARPGHDQILINWAKPMIRDRRRYHELVDPLLRGEYAERDLSQAVGVAAMCLEEEASVRPYMSDAVVTLGFLAEAPSGCEETNSTVPQNKLVEDHSLTDSIKPDESTFDRQRAVAEAIEWGAMRQKQKAQIQGKTTQSQCITDPTEPDRM* >Brasy8G100300.1.p pacid=40081596 transcript=Brasy8G100300.1 locus=Brasy8G100300 ID=Brasy8G100300.1.v1.1 annot-version=v1.1 MARSRAFALVLLLCALSSSCHVAFSYPPVLAPKEDFLACLVKEIPPRLLYAKSSPAYPTVLSATIRNSRWSSPQNVKPLYIVTPTNVSHIQSAVVCGRRHGVRIRVRSGGHDYEGLSYRSERAESFAVVDLNMMRAVSVDANARTAWVESGAQIGELYYAISKASPSLAFPAGVCPSIGVGGHFSGGGFGMLLRKFGIAADNVLDAKLVDASGKLHDRKSMGEDHFWAIRGGGGQSFGIVVGWEVKLLPVPPVVTAFKVSKTLKDGAIDIVNKWQTVAPALPGDLMIRILATAQQATFEGMYLGTCNNLLPLITSKFPELGFNRGQCNEMPWAQTIPFIHLGNRDLGDLINRNNNFRPFAEYKSDYVYQPIPKNVWEQIFGWLTKPGAGIMIMDPYGATISSTPETATPFPHRKGVLFNIQYVNYWFAEGAGAAPLQWSKDMYKFMEPYVSKNPRQAYANYRDIDLGRNEVVNDVSTYSSGKVWGEKYFKGNFQRLAMIKAKVDPQDYFRNEQSIPPLLTKY* >Brasy8G250100.1.p pacid=40081597 transcript=Brasy8G250100.1 locus=Brasy8G250100 ID=Brasy8G250100.1.v1.1 annot-version=v1.1 MTKAAAAEVSLAGAAAPVPATTKTGAGAVTAAKRGASGGSRRRRRRVRARADLISSLPDAILGTIISLLPTKDGARTQAIARRWRPLWLSAPLNLDATGLSFGDSNGFAIISKILSDHPGPARRFHFPSIHVFNDEDYSAQIQSWFNSRGLTNLQELDISFNLFAKFRLFGRTRQLTEPFPLPSSVLRFASTLLVARIGYCKIAHPLNLPVLKQLTLKFVSISEDVFYGLISGCHALETLFLDTICGVACLRVSSPTLKTIGLCSWHLREGELVIEDAPHLERLLLPHPGSGCKIIRVIRAPRLEIIGPLSPLVSEIGIAYQVFQRTIPVSLENPICTVKVLALQFSGPDLNAVLDILRRFPCLEILYVIWEKYRQTDLKNLSHYEPPDPVKCLETHLKKLVLENYEGSEQYANFAKFFVLNAKVLKEIKFGVDQKINNVWVADQHRLLEVETRASQDAQLEFRLGSSSMYMDAHDLSMADPFSIALW* >Brasy8G250100.2.p pacid=40081598 transcript=Brasy8G250100.2 locus=Brasy8G250100 ID=Brasy8G250100.2.v1.1 annot-version=v1.1 MTKAAAAEVSLAGAAAPVPATTKTGAGAVTAAKRGASGGSRRRRRRVRARADLISSLPDAILGTIISLLPTKDGARTQAIARRWRPLWLSAPLNLDATGLSFGDSNGFAIISKILSDHPGPARRFHFPSIHVFNDEDYSAQIQSWFNSRGLTNLQELDISFNLFAKFRLFGRTRQLTEPFPLPSSVLRFASTLLVARIGYCKIAHPLNLPVLKQLTLKFVSISEDVFYGLISGCHALETLFLDTICGVACLRVSSPTLKTIGLCSWHLREGELVIEDAPHLERLLLPHPGSGCKIIRVIRAPRLEIIGPLSPLVSEIGIAYQVFQRTIPVSLENPICTVKVLALQFSGPDLNAVLDILRRFPCLEILYVIWEKYRQTDLKNLSHYEPPDPVKCLETHLKKLVLENYEGSEQYANFAKFFVLNAKVLKEIKFGVDQKINNVWVADQHRLLEVETRASQDAQLEFRLGSSSMYMDAHDLSMADPFSIALW* >Brasy8G250100.3.p pacid=40081599 transcript=Brasy8G250100.3 locus=Brasy8G250100 ID=Brasy8G250100.3.v1.1 annot-version=v1.1 MTKAAAAEVSLAGAAAPVPATTKTGAGAVTAAKRGASGGSRRRRRRVRARADLISSLPDAILGTIISLLPTKDGARTQAIARRWRPLWLSAPLNLDATGLSFGDSNGFAIISKILSDHPGPARRFHFPSIHVFNDEDYSAQIQSWFNSRGLTNLQELDISFNLFAKFRLFGRTRQLTEPFPLPSSVLRFASTLLVARIGYCKIAHPLNLPVLKQLTLKFVSISEDVFYGLISGCHALETLFLDTICGVACLRVSSPTLKTIGLCSWHLREGELVIEDAPHLERLLLPHPGSGCKIIRVIRAPRLEIIGPLSPLVSEIGIAYQVFQRTIPVSLENPICTVKVLALQFSGPDLNAVLDILRRFPCLEILYVIWEKYRQTDLKNLSHYEPPDPVKCLETHLKKLVLENYEGSEQYANFAKFFVLNAKVLKEIKFGVDQKINNVWVADQHRLLEVETRASQDAQLEFRLGSSSMYMDAHDLSMADPFSIALW* >Brasy8G033500.1.p pacid=40081600 transcript=Brasy8G033500.1 locus=Brasy8G033500 ID=Brasy8G033500.1.v1.1 annot-version=v1.1 MRAKCSTHCFCAGAERKCCRSVGFMVHLDVASMNSVLMAFFLAGSLLVQLDRSMAAEEKSQPSWSAIPLDLAGMVLRLLPGYADRSCFAAVCPQWRAAVRQQQQLLVPRPVPLLALPDGTFYSLPEGKHHRFPGCGFAGYESVCGSCLVFTRDDGCFLVDPFSRDTVTLPALSCVRLRPPSAAAKRTPEVPYAAGKWSEEERTRFAYPYVTWMHICDSDKLHISKIVLCTPNLAAALVGNGHVSQILMCRPGALSWSVRAYDKCRRFQDMSFYQGKLYVLTCDEDLLVVNIGQDLSTGDPQVSRIGRVIKSDPRLSVLFVDNFMICKKHYLVELCGILLMVRRKIWCQLPERGVNNKSVARKNEFEVFEADFEHSRWINVTTTMGDDQVLFLGRRCSRTMSMSQYGMPGGQIFFLDDDDKNRVEYGYAEKNTSLSVYDMRSGVVLHPRISWTRSDEMCLASWLFPQD* >Brasy8G214300.1.p pacid=40081601 transcript=Brasy8G214300.1 locus=Brasy8G214300 ID=Brasy8G214300.1.v1.1 annot-version=v1.1 MHLQVQQRSNRGEQSHRFDGAEDWLLCSDRRAEDLQRSKSRRSGLFVHVTPLKGGDCGVGGCALSVDLREDVRRGWVWLRLPGIPQSGSIGLHGGNVKRFRRL* >Brasy8G123100.1.p pacid=40081602 transcript=Brasy8G123100.1 locus=Brasy8G123100 ID=Brasy8G123100.1.v1.1 annot-version=v1.1 MAGGGDRDGDGERRIGVAMDYSASGKKALEWAAENLLRRGDTLVVLHVLRHGGDEAKHTLWAKSGSPLIPLSEFREPAVMQNYGVRCDADVLDMLDTAARQLELKVVAKLYWGDAREKLCEAVDEQKIDTIVMGSRGLGTIQRILLGSVTNYVLSNASCPVTVVKGD* >Brasy8G086600.1.p pacid=40081603 transcript=Brasy8G086600.1 locus=Brasy8G086600 ID=Brasy8G086600.1.v1.1 annot-version=v1.1 MLTSDGKSGHGWFVCDSVWHVLFFHCHYGRLHRNFGRQQPRMTALTLYYFCEGKLILSYQVHTCPRHEKLRNLPLVGVGHGRRTRYPSLLSFLRPCTSTVHHLKVVPLSNLDSASGQKE* >Brasy8G084300.1.p pacid=40081604 transcript=Brasy8G084300.1 locus=Brasy8G084300 ID=Brasy8G084300.1.v1.1 annot-version=v1.1 MSDQQTAAQHVMARRNSRPPQKLPDAQISPRRTLPTCQAAQRPDPVRIQDPTRPMLSVVRSPKTSGAHGTASATKPCPVRNRLAPGRIRFLLLLHGSTAFARCYKKLPGAPLSSSRSGLFLSSFGPGPRLASSRLRRLFPFSSSLVSLDYFESPSLDLISLRRRPCLPDRRFRRPSPCDLGERSGFDRVVFGLDPVDLELKLRF* >Brasy8G046000.1.p pacid=40081605 transcript=Brasy8G046000.1 locus=Brasy8G046000 ID=Brasy8G046000.1.v1.1 annot-version=v1.1 MYCSAADERVYCKDCHRATDVVLDHATGDTICTDCGMVLDEHYIDEGQEWRIFGDDGAGGEDRDPCRVGSAGDPFLSGDGLLSTCIVHSAKSKSRSRSDPAAALHLPRMLDVGGPAPDTALVDAFRGIADMADRLGLVATIRDSAKQTFKRLDEAKGCPRGARNRDAVYAACLYVACRKEGMPRTYKELASVTAQGAAARKDIGKMTTHIKKLLGEEEGQVLDIGVVHATSYLRRFCSILGLGNQEVLAAQEAVRRLEKELDVRRNPESIAAAIIYMVVQRAGARRSVKDVSAATGVAEGTIKEAHKDLVPHTELLFADRPPAGSSARPAPAAGH* >Brasy8G043000.1.p pacid=40081606 transcript=Brasy8G043000.1 locus=Brasy8G043000 ID=Brasy8G043000.1.v1.1 annot-version=v1.1 MFKEEEQVFPLLITKFSREEQSDLVWQFLCNIPVNMMADFLPWLSSSVSPDEHQDILDCLHEIVPQEKLLQQIVFGWFGGKTVRTEAQDFDNPCSKGSCQFENISYQTDKHGCSHGHCKIGKRKYAESTCSLLSIHPIDEILCWHNAIRTELSDIVEETRRIQQFGDFSDIPAFNLRLQFIADVCIFHSIAEDQVIFPAVDGEVSFEKEHAEQEQRFNKFRCLIEQIQTAGARSTVVDFYSELCSQADQIMEEIEEHFNNEETKVLPQARIKFSPEKQSELLYKSLCIMPLKLLEHVLPWFVSKLDDANARSFLQNMCSAAPSSETALVTLLSGWACKGRLKDTSNPGKFLCLTSGALNCSYDGDELNKCPSFCPCSVSNNVDFSLPQLTSNGSRPVKRGNHAESSTNINRSHCSQTTDVEDAPCNNRTCHVPGLRAESSNVGADSLASANSFRSLCYSYSAPSLYSSLFSWETDAAFSSPDNISRPIDAIFKFHKAIRKDLEFLDVESGKLIDGDKSCLRQFVGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTVLFDLSQLHDDSSHPIDETDEAGNHICSHNETYRFRKHNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVDDQDKIVGRIIGSTGAEVLQSMLPWVTSALSLEEQNNMLDTMKQATKNTMFDEWLNEWWTRSPSSSGPSNETSSSQEENNFQDNLDQNDQMFKPGWKDIFRMNQSELEAEIRKVSQNSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPKSEDHNGSTVIPGCFPLYHDEENQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMRCLKVQPVGSNCQTPSCNGLSMAKYYCSICKFFDDERSVYHCPFCNLCRVGEGLGTDFFHCMKCNCCLGMKLIEHKCREKMLEVNCPICCDFLFTSSAAVRGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPPEYRDRCQDILCNDCGRKGRSRFHWLYHKCGACGSYNTRVIKTDVADCSTSN* >Brasy8G173200.1.p pacid=40081607 transcript=Brasy8G173200.1 locus=Brasy8G173200 ID=Brasy8G173200.1.v1.1 annot-version=v1.1 MAKSKNHTAHNQSYKAHRNGIKKPKRQRQTSTKGMDPKFLRNQRYSRKHNKKVGEAEVEE* >Brasy8G176800.1.p pacid=40081608 transcript=Brasy8G176800.1 locus=Brasy8G176800 ID=Brasy8G176800.1.v1.1 annot-version=v1.1 MVGPRLAGGATGQSTAPHGLPHPRRCPLCDQEEETISHLLVGQVWQQLLTRWGHGDWCPDADPDLRGWWTSRPLQRRWRKDFLTAFNLVMWIFWRHRNDVVFNGASPSVRSVLATVREELDRWCSAGLIGSALATSRLLGSS* >Brasy8G222500.1.p pacid=40081609 transcript=Brasy8G222500.1 locus=Brasy8G222500 ID=Brasy8G222500.1.v1.1 annot-version=v1.1 MAPALKLYGWAMSPFVARALLCLEEAGVEYELVPMSREAGDHRLPDFLSKNPFGQVPVLEDGDLTLFESRAIARHVARKYKPELLCGDGSPASAAMVDVWMEVEAQQHHAPAGEIVIQCIVVELLGGVRDQAIVDENVGKLATLLDVYEARLSVSRYLAGGAIVSLADLCHFPIMRYFMETEYAAMVEERPHVKAWWEDLKARPAARKVIESMPPDFGVGKKKTEE* >Brasy8G218000.1.p pacid=40081610 transcript=Brasy8G218000.1 locus=Brasy8G218000 ID=Brasy8G218000.1.v1.1 annot-version=v1.1 MAQIIDGKAIAAEIRKEIAAEVAALSSAHNIVPGLAVVIVGSRKDSQTYVTMKRKACAEAGIRSVDVDLPEDTSQAALVAEVHRLNADPSVHGILVQLPLPKHINEEHILNEISIEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLSRSGITVKGKQAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPEAIVRQADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFVEASKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIAV* >Brasy8G174800.1.p pacid=40081611 transcript=Brasy8G174800.1 locus=Brasy8G174800 ID=Brasy8G174800.1.v1.1 annot-version=v1.1 MAELLASWAAEERWMYPAFLAMYAAIYFVGQLALFRQWAWRHRLDGASCLISLAHGSAAALAAVAAIAAQPAEERGFAVPNSRLQDHVLDYSVAYFTMDLLHYLAFLPGDILFIAHHLATLFVFITCRYLVHHGAYALLVLLFLAEITSLLQNVWTLAGIWRAEVPAAARVYNALSLPFYVLYTIVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIIVVGTAITVSNLWIWNLWKVMFRERKQSMEKKAT* >Brasy8G038500.1.p pacid=40081612 transcript=Brasy8G038500.1 locus=Brasy8G038500 ID=Brasy8G038500.1.v1.1 annot-version=v1.1 METGTGSAALARSTCAPAAVRARDRRLAPRWVRCRAAVAMPPPPSVRTVAIPFSDLKERGKDLSGKIEEGLGPNGLGIISISDVPDFPVLRKKLLRLAPRVASLSEDVKEELEDPDSRYNFGWSHGKEKLESGKLDTFKGSYYANPILDVPTIDDELVSRYPSYCRPNIWPSDHLPELETAFKALGKLMLEVGLMLAHHCDLYVMRQGVGSYDGESLEQTIARSRCHKGRLLYYFPRQFSKQKEGDSVSSWCGWHTDHGSLTGLTCGLFMRNSIEIPCPDSAAGLYIKTRDNRVVKVTFEEDELAYQIGETTEILSRGRLCATPHCVQAPSSENASNVERSTFAMFMQPDWNQTLKFPSEIPYHQELIPPNGALTFGEYSEKLVNKYYQGKT* >Brasy8G038500.2.p pacid=40081613 transcript=Brasy8G038500.2 locus=Brasy8G038500 ID=Brasy8G038500.2.v1.1 annot-version=v1.1 METGTGSAALARSTCAPAAVRARDRRLAPRWVRCRAAVAMPPPPSVRTVAIPFSDLKERGKDLSGKIEEGLGPNGLGIISISDVPDFPVLRKKLLRLAPRVASLSEDVKEELEDPDSRYNFGWSHGKEKLESGKLDTFKGSYYANPILDVPTIDDELVSRYPSYCRPNIWPSDHLPELETVMRQGVGSYDGESLEQTIARSRCHKGRLLYYFPRQFSKQKEGDSVSSWCGWHTDHGSLTGLTCGLFMRNSIEIPCPDSAAGLYIKTRDNRVVKVTFEEDELAYQIGETTEILSRGRLCATPHCVQAPSSENASNVERSTFAMFMQPDWNQTLKFPSEIPYHQELIPPNGALTFGEYSEKLVNKYYQGKT* >Brasy8G160500.1.p pacid=40081614 transcript=Brasy8G160500.1 locus=Brasy8G160500 ID=Brasy8G160500.1.v1.1 annot-version=v1.1 MASAGGKPTILLLRRLDPSFATALRERFRVLDFHASGEPLAAFLAAAAAAPEPPRASIVVGGGSVRADSSFLDAVPSLRCIFSTGAGVDHIDLAECARRGVSVANSGEVYSTDVADHAVGLLIDVLRRVSAAERYVRSGSWPVQGDYPLGSKLGGKRVGIIGLGNIGSRIAKRLEAFGCVIYYNSRRPKDSVSYKYFPNVHDLAAKSDVLIVACALNKWTRHIVNKDVLGALGKDGVIINIGRGANVDEAELVVALKDGKIAGAGLDVFENEPRVPAELFSMDNVVLTNHVAVFTEQSRADLCAHTISNLEAFFAGQPLLTPVHDDSLVE* >Brasy8G036200.1.p pacid=40081615 transcript=Brasy8G036200.1 locus=Brasy8G036200 ID=Brasy8G036200.1.v1.1 annot-version=v1.1 MRALRYAVVGLDSATVSLSGNDGTRKAKLQEVVLGCTTSYDGLSFKSSDGVLSLGNSNISFASRFGGRFSYCLVDHLAPRNATSFLTFCNGDSSPGDDSTSRTPLLLLEDARTRPFYFVSVDAVTVAGERLDIVPDVWDFRKNGGAILDSGTSLTILATPAYKAVVEAISKQFAGVPRVNMDPFEYCYNWTGISAEIPRMEVRFAGAATLAPPGKSYVIDTAHGVKCIGVLEGAWPGVSVIGNILQQEHLWEFDLANRSLRFKQSRCAH* >Brasy8G151200.1.p pacid=40081616 transcript=Brasy8G151200.1 locus=Brasy8G151200 ID=Brasy8G151200.1.v1.1 annot-version=v1.1 MRWLSWRKLTKSKEGRLGFRDIYSFNMGMLARQGWRLLQNPDSLCSRILKARYFPNSGLMETKPRPGISYTWRSILKGVETLKFGIIWCVGDGKSIKIWEGPWIPRNFTRKLTTPRIGCELQMVSELIDHGGGGWNQDLIGHHFWEEDAEIILKIPVHPMFSDSIAWHYDEKGIFSVKSAYHAFVAAEERKHNRGGDGTSCMQQSQINWQKVWKIQIPNKIKHFLWRLGHNTLAVRSNIRRRGMDVEANCLLCKTKDEDSGHLLFKCKLVKRIWQGLAMEELRHTLADTWLGGCVRQNKHVTDVFAIILGLGEKDQALVANTLWNWWLERNRVREGGSLRPADDLVFIIRNQTNSFLEVAAKPCVKKTRQSKRKNLMATG* >Brasy8G150700.1.p pacid=40081617 transcript=Brasy8G150700.1 locus=Brasy8G150700 ID=Brasy8G150700.1.v1.1 annot-version=v1.1 MASPQCCENRPTLNPAGGEGKVVDSFGGIRAYLAGAQESKAAVILVSDVYGFEAPNLRKIADKVSSSGYFVVVPDFFHGDPYVPESVDKPITEKGVEEAKPVIASLKKQGASSVGAAGYCWGGKVAVELAKANEIQAAVLLHPSFVTVDDIKEVKCPIAILGAEVDQFSPPELVKQFEQVLSSNSGIGHLVKIFPGVSHGWTVRYNSDDAAAVKSAEEALADMTGWFNQILK* >Brasy8G101000.1.p pacid=40081618 transcript=Brasy8G101000.1 locus=Brasy8G101000 ID=Brasy8G101000.1.v1.1 annot-version=v1.1 MDDLERVSIRSQTCHDKTYAADKQVGDGLLFLVTKRAFVRVRQASPLDSVSSAATVPCFNPSKEPAPRRRSRLPNHGRHRIPHKEICIGRLGGVWLILGTMPNHDILDPISQTNVTPKA* >Brasy8G113300.1.p pacid=40081619 transcript=Brasy8G113300.1 locus=Brasy8G113300 ID=Brasy8G113300.1.v1.1 annot-version=v1.1 MATAGAYAANLPAVPEWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQDYLVRRASLSATKHGATPLTAPFYPEATLVLFQFEFAAITLILLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGMFAGSVPWFTMMILHKKSALLMRVDDTLAVFHTHAVAGLLGGLLTGLLATPELMALESPVPGPRGAFYGGGIGQVGKQLAGAAFVIGWNVVVTTLILLGIGLFVPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDAARHDVSRGGGGGDREREMMGATAEQRLAGGMGARGVTIQL* >Brasy8G113300.2.p pacid=40081620 transcript=Brasy8G113300.2 locus=Brasy8G113300 ID=Brasy8G113300.2.v1.1 annot-version=v1.1 MATAGAYAANLPAVPEWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQDYLVRRASLSATKHGATPLTAPFYPEATLVLFQFEFAAITLILLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGMFAGSVPWFTMMILHKKSALLMRVDDTLAVFHTHAVAGLLGGLLTGLLATPELMALESPVPGPRGAFYGGGIGQVGKQLAGAAFVIGWNVVVTTLILLGIGLFVPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDAARHDVSRGGGGGDREREMMGATAEQRLAGGMGARGVTIQL* >Brasy8G119300.1.p pacid=40081621 transcript=Brasy8G119300.1 locus=Brasy8G119300 ID=Brasy8G119300.1.v1.1 annot-version=v1.1 MGKFRKFGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKDGTLDAARRASSFVRGDDVVHKIFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRVPLDPWTRSRASQQWAGPKVCQKNSGAESL* >Brasy8G266000.1.p pacid=40081622 transcript=Brasy8G266000.1 locus=Brasy8G266000 ID=Brasy8G266000.1.v1.1 annot-version=v1.1 MPTKNQLIRQHFSHGMPQEKTTAETDRPGLAGAGSLKLKNFVQSISEEYKQCSRKKKRDFIHVLLMKNKTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGSTYFKKKKKVILSWREGFRGERVRDQSPIMYIHDVTQLPHNGCRLPKKRRVQIVPTIFESPFSLDKKEFRKKSYSEGRLD* >Brasy8G161400.1.p pacid=40081623 transcript=Brasy8G161400.1 locus=Brasy8G161400 ID=Brasy8G161400.1.v1.1 annot-version=v1.1 MGRPRKTKAKAGAEASSPVFSIGNCKVEIHGSGLRCLSTEQDLTISGPKGAKIVIAVDGARSSSDGIGEGSGFIILNPNEVDSRNKSLLQEALTLYRNELPTMDYAADTGKKSGFLEKCIINRKYKTLVLRSRSAAGLEEIIAAVSYQIVPADTQYAEIPLAVVRSPFQRVGFGHLLYKELSQRLQNVGVTTIFCWADKVSEGFWLKQGFVSVGEVGTKGKIRRIPVRADIRRALCFPGSSTLMVTHLKKELQTPPIIPLAKLQTSQLHAMVPDSITPGGTDTAVPSCENLVPQTFGCHKVNKAGKVARNGSFTCTGGCSSSDQQPKKRTSETSSSSLKSKRVRCSGHADYKQNTKQNDICDNTPCTPSMSVHVERNLSGDAKGTICSNGRPSVMLMNIADETKKIRLTEVVEMLGGFVTCEGSSCTHVVTGKARRTMNFCIALSSGAWIVSPNWLKQSFKQGKFVGESEHVLDDEEYKMKYKSEMRDAVMRAQERPCSLFSGYTFCLTKYIQPSPDVLSPIIKSVGGKIISKLDDLDEPSKTIFLACEEDMELAMDAAKRGIMTFSSDWFMSCVMRQELDLEAPLFILSLA* >Brasy8G161400.2.p pacid=40081624 transcript=Brasy8G161400.2 locus=Brasy8G161400 ID=Brasy8G161400.2.v1.1 annot-version=v1.1 MGRPRKTKAKAGAEASSPVFSIGNCKVEIHGSGLRCLSTEQDLTISGPKGAKIVIAVDGARSSSDGIGEGSGFIILNPNEVDSRNKSLLQEALTLYRNELPTMDYAADTGKKSGFLEKCIINRKYKTLVLRSRSAAGLEEIIAAVSYQIVPADTQYAEIPLAVVRSPFQRVGFGHLLYKELSQRLQNVGVTTIFCWADKVSEGFWLKQGFVSVGEVGTKGKIRRIPVRADIRRALCFPGSSTLMVTHLKKELQTPPIIPLAKLQTSQLHAMVPDSITPGGTDTAVPSCENLVPQTFGCHKVNKAGKVARNGSFTCTGGCSSSDQQPKKRTSETSSSSLKSKRVVEMLGGFVTCEGSSCTHVVTGKARRTMNFCIALSSGAWIVSPNWLKQSFKQGKFVGESEHVLDDEEYKMKYKSEMRDAVMRAQERPCSLFSGYTFCLTKYIQPSPDVLSPIIKSVGGKIISKLDDLDEPSKTIFLACEEDMELAMDAAKRGIMTFSSDWFMSCVMRQELDLEAPLFILSLA* >Brasy8G161400.3.p pacid=40081625 transcript=Brasy8G161400.3 locus=Brasy8G161400 ID=Brasy8G161400.3.v1.1 annot-version=v1.1 MGRPRKTKAKAGAEASSPVFSIGNCKVEIHGSGLRCLSTEQDLTISGPKGAKIVIAVDGARSSSDGIGEGSGFIILNPNEVDSRNKSLLQEALTLYRNELPTMDYAADTGKKSGFLEKCIINRKYKTLVLRSRSAAGLEEIIAAVSYQIVPADTQYAEIPLAVVRSPFQRVGFGHLLYKELSQRLQNVGVTTIFCWADKVSEGFWLKQGFVSVGEVGTKGKIRRIPVRADIRRALCFPGSSTLMVTHLKKELQTPPIIPLAKLQTSQLHAMVPDSITPGGTDTAVPSCENLVPQTFGCHKVNKAGKVARNGSFTCTGGCSSSDQQPKKRTSETSSSSLKSKRVVEMLGGFVTCEGSSCTHVVTGKARRTMNFCIALSSG* >Brasy8G296600.1.p pacid=40081626 transcript=Brasy8G296600.1 locus=Brasy8G296600 ID=Brasy8G296600.1.v1.1 annot-version=v1.1 MAALLESASTGAMGSLLGKLGTMLSNEFKLLKGVRDDIKFLKDELEAMHAFLLVMADVEEPDQQAKLRANVVREMSYEIEDSIDKFMVLVESHDSSSMSDGFGKLFNKSMKKITGIKTRHKIAQDLQDIKSQVRDISDRYASLFGVDGPRDMIVNWLSTTEGESTHQPKVVAIVGSGGLGKTTLARQVYEKLGTNYECRAFVSISRSPDMPKVLSSILSQLRSKDYAHGGDPSLIIEQIRNFLQDTLLQKGLSGGAPWRRRRGPAAPGPDPVSPGPDPARLEARPARRLHGGGGVDPWLPVRIRYFIIIDDVWDKQTWQDLNCALVRKDHGSVIMTTTRIHDVAKSCCPSDEDLVHKIEPLGVADSKKLFFKRIFGYEEKCPPNLKEASKDILRKCGEEEWNRVRISIGFAQGKNADIDAMNYILSLSYFDLPLYLRSCLLYLTMFPEDYAINKERLVHAWISEGLIHGEDGDDLVELGMTYFYELINRSLIQPTFIRYNGTTPGCRVHDTILDFLTYKSTEVNFCTLLSNRSKSGGRVRRLSLMGKEDQGSVDKLDLSHARSLSSFGYSPEYLPSLVKLNALRVLDVRDCNGLGNHHIKDIGRLLQLRYLNISQTGINKLPREIGDLKYLETLDVFDTKLHELPESVIQLKQLARLFVSPKVKLPDSIGNMENLQDLGIIDTLVQSVKFLEELGKLTNLRELVICWDDRELDKASCKREKLVSTLCKLDACKLRYLQIRFHLREDDGFIGRKASFPALNSIRVITIGHGQLRWITKWLLSLVNLEKIFVREEVKIEQPDVEMVGSIPTLLELYVYNPSAGPIITSNSGVGFQQLQMLVLFLDVTWLTFEAGTMPNLKELYLNIQGRHYRSAAAGGFDDFGLQHLSSLSYVHVRIDCSGARAADVKTAEVSVKSMVKAHPNRPTLRMDRIRAEGMLQDNDDDE* >Brasy8G261600.1.p pacid=40081627 transcript=Brasy8G261600.1 locus=Brasy8G261600 ID=Brasy8G261600.1.v1.1 annot-version=v1.1 MVVLHVKSATTASSSSPEEEMGMEFLHECAAAAAVADVAAALAGTAGLQARLLSLCRRLRDRCPDASAGGGELERALSEAEAYASKEQVRHNKFLSPRALREHIKIIEKIAANALQESSEALCLQQPKSDEKHDKVQLWWAGKELAMDKKLSDYIGVNDKTKIVVMLRRPMSL* >Brasy8G183000.1.p pacid=40081628 transcript=Brasy8G183000.1 locus=Brasy8G183000 ID=Brasy8G183000.1.v1.1 annot-version=v1.1 MTTFLDSGIPVLFPTTLATFYSRNRAPPPAPQPANKFPKLHRTRHLQTLGLPIPAAKAQATAAAAMVSGATDASIDRVLWTEAEIAARVAEVASELAADLRRLQEPAVVVGVATGAFLFLADLVRRVDVPLSVDFVRAESYGDGTESSGRPRVTADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLQKKGASSISVCTFLDKPARRTVNFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKPEMYNKKTYD* >Brasy8G066800.1.p pacid=40081629 transcript=Brasy8G066800.1 locus=Brasy8G066800 ID=Brasy8G066800.1.v1.1 annot-version=v1.1 MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGLNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRGQGSSGCC* >Brasy8G219100.1.p pacid=40081630 transcript=Brasy8G219100.1 locus=Brasy8G219100 ID=Brasy8G219100.1.v1.1 annot-version=v1.1 MAAAEEGPEPLMYQTLALKVTFHCEGCKKKVKRVLQSIEGVYKTDIDVHQHKVIVTGNVSLDALVKKLVKTGKHAEPWPEPAAPPPPPPSAAANPGTGKKKKKKNRNNNKPADQPPPPECGGSPENHDHAGTFDEASDGEQPPNPEAAGGGVHPVAATVNVGGGAGGGGKKKKKGRGSGGSGNGADGGGAAAEASPPHDAPPNPTPGPGPTRHPARPWA* >Brasy8G033100.1.p pacid=40081631 transcript=Brasy8G033100.1 locus=Brasy8G033100 ID=Brasy8G033100.1.v1.1 annot-version=v1.1 MGGGHDHQDPEAGKAAPPMGQSTSGPLPPSAPPHLQGQDPAQYQYGTFQPPVGYPQPAPPPGFGGGYHQQHQPYAPAETYYAQGYQTAPGYGPVAEGRPIVEGRLMRRRRLPCCGLGMGWLLFIAGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTVAAVIAAIVIPLGVTKGTHDW* >Brasy8G083900.1.p pacid=40081632 transcript=Brasy8G083900.1 locus=Brasy8G083900 ID=Brasy8G083900.1.v1.1 annot-version=v1.1 MFSTPSCSAQLCRSSQNSPEPPGMLAEHSPRRRPQALLPPLCSSGIGRVRDTAAPPGPIGGAPTVGLSAPPVPPELPAARAMDGRRP* >Brasy8G234500.1.p pacid=40081633 transcript=Brasy8G234500.1 locus=Brasy8G234500 ID=Brasy8G234500.1.v1.1 annot-version=v1.1 MDEVTQAVENLKKEWSQVVTQLEVCVAAIESCGKMGKGTEEVSSLPRLNGSAQDSLQLLNALQCRLDLLVEQLPTFEEVQSGQATLGSWKEQYQKLRASLRSANLQAKSSIKKAAQEERELLLGGGEESTIRRRNLQTKTGMTSAAESITESLRRSRQLMVQEVERSANTLSTFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILSIGFLIFFLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDIIPKVQHGPAPANPHIAPPIYDEL* >Brasy8G233400.1.p pacid=40081634 transcript=Brasy8G233400.1 locus=Brasy8G233400 ID=Brasy8G233400.1.v1.1 annot-version=v1.1 MGLYRRAWSEVLGTEISSPRSQGISMVNKIPSPRYEQEKKMTLKTQGHEHVFSKAKLMHSLSFKQWQAGEEATSPVHHKSKPSQINVVDDRRNSDLILASSPKVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLLKSGKAQKLALQHWLEAIDPRHRYGHNLHIYYDVWSRSESTEPFFYWLDIGEGKEINLEKCPRSKLQSQCIKYLGPQERQQYEVVVESGKLAFKQTGVLVHSSDDSKWIFVLSTTKAFYVGQKKKGSFQHSSFLSGGAITSAGRLVVKDGILKAIWPYSGHYLPTEENFREFIRYLQENGVDLTDVKTSPVDRDDEYPLLSKPATQPEQAENKNAAAATEDQTETEHDDVLAGDTDHGMTDYGDMSDGEEDESTSVNSRTADSEEETNNKISEQQRPPVAAGSGHSRNHQTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLAGSPSRKRDPVPSPRPSPEMILSPSLASVGFQPRTVSLTLPDFKRSRLQ* >Brasy8G168300.1.p pacid=40081635 transcript=Brasy8G168300.1 locus=Brasy8G168300 ID=Brasy8G168300.1.v1.1 annot-version=v1.1 MCGGAVIAGFVPDGSSSSSLTGEELTTEKPPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGTRVWLGTYATAEEAAHAYDVAARDIRGAKAKLNFPPAVDDAAGAAVEGAKKRRMKVAAVESSASSSPLPATSAGGAEKLRECMSGLEAFLELENPSGVGEDVERWQAVDLMFD* >Brasy8G065200.1.p pacid=40081636 transcript=Brasy8G065200.1 locus=Brasy8G065200 ID=Brasy8G065200.1.v1.1 annot-version=v1.1 MNPEATGVHHNSNSDAAMPTPSSNSPAASSPFSNDGGGGAPASPNRLERMLRERGRRHLSSTGGASSPDAMDTDVAEPAAASFADFGAARSPDAAAPANMDDAGGGGASGGHAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFKRALEIPGVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSINHALTMTSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQMRTRQVPPVLPSRTAIQQYLHSRNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLRALCEDEHTTVIVLSGSDRTVLDENFGEFNLWLAAEHGMFLRPTDGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDGALVSVDRRQNGRPSNGRSNSRNSQSRTQKSQVAPERSSSSSHGTTGNNHHDWREGSSVLDLNGDNYFSCAVGRKRSNARYLLNSSEDVVSFLKEMAESTTPRAGFLSSAADYMFLDRQ* >Brasy8G031500.1.p pacid=40081637 transcript=Brasy8G031500.1 locus=Brasy8G031500 ID=Brasy8G031500.1.v1.1 annot-version=v1.1 MELSLVVSCVLSVLLLILSAVYVVVYSRTRWRRSGVRLPPSPPGWPVIGHLHLISDMPHHSFAELAKRMRAPLLHVRLGSVSAVVISKPDLARAALTTNDGALASRPQLLATELVSFGISEISLAPAGPYHRMARGLVVSEFLAPRHIATYGSVRASELRRLLTRLATKAAAVPPPSPADLSTCLLNMANDVLCRVAFGRRFTHGEDDRLAVVLAEANSLQAGFTLGDAFPELEPFASAVMGLRRRMNRCHADLLAICTTIVDGHITGTRQRIPGDRDEDLVDVLLRVQKSSGGLTDDNIMGIVLDLFMAGTDTTSGTMEWVMTELVLHPGILGKAQDEVRRAVGGKGRVEESDLRQLRYLRACIKEAFRLHPLVPLLVPRETLAPCSLGGYDIPAKTRVFINTFAMGRDPDIWEDPLAYSPERFFGDGDLETPVELKDNDYRLLPFGGGRRGCPGYTFAQVAVELTLASLLYHFDWALPPGVRAEDVSLHESFGLTTKKKEPLWVAVSNNPDYQFKDLVALD* >Brasy8G111200.1.p pacid=40081638 transcript=Brasy8G111200.1 locus=Brasy8G111200 ID=Brasy8G111200.1.v1.1 annot-version=v1.1 MEQAPATTSSASRKKRAKAKASAKPGVRTPAAHAGKGNLEKVHHKYRGVRQRRWGTWVAEIRDPSASKRQWLGTFATAVDAAMAYDRAAVAIHGPSARLNFPSASPTAAAAAAGSVFVEHAAAASAFGEYGVKPVVAATSVFDEYEVKPMVSASVFDEHAVKPMSAHGGSGDRGVTWHCGASWAAQEEVFADYLDYPDIAWYFNLDPSTEMLAYYPDRKSEDYQIDGFDDDVLSSPLWALGD* >Brasy8G011500.1.p pacid=40081639 transcript=Brasy8G011500.1 locus=Brasy8G011500 ID=Brasy8G011500.1.v1.1 annot-version=v1.1 MASLVVTTALLPCPRPLPASRSAGPAHRLLARPPRAGRPRLRDPSPAPAPPTAVEQSADEAHDYPPLRLLDPPQEEDPYPDEMEAADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITKKHPWMFFPDIIPLGHPIFDVIESTNPETDWDLRLACLLLYAFDVQDNFWQLYSDFLPSGDECTSLLLAPKEDLMELEDQDLSSEMLKLQKRAVDFWQKHWHKAIPLKLKRLAPEHERFLWALSIVQSRSFNLKLRMGAFMQDANILAPYSDMLNHSPDANCFLHWRFKDRMLEVMIKAGHAVKKGDEMTINYMSGVNSMLMQRYGFSSPTNPWELINFSSPAKIHLDSFLSVFNIAGLHDELYHNAALTSGESNFVDGGVVAAARTLPTWSDGDLPAIPSVERKSAQALQEECRKMSESFSTTIQQDEQILDSDEPIRKTREIAIKYRLHRKLLLRKIIDSLEIYQDRILF* >Brasy8G129900.1.p pacid=40081640 transcript=Brasy8G129900.1 locus=Brasy8G129900 ID=Brasy8G129900.1.v1.1 annot-version=v1.1 MASESGCSDPLLPAGSSHRRATTGGWRSALFIIWVEVAERFAYYGISSNLISYLTGPLGESTAAAAAAVNAWAGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGMLALSSMFSSPESQQCNVISADDGRRACPPSSSLQTAFFYASLYLVAIAQSGHKPCVQAFGADQFDTADPSELSSRSSFFNWWYLGICASATVTVALMSYVQDNVSWGLGFGVPCLVMLLALLVFLLGTKTYRFYDGGSRSNGKGASAFSHVGKALRGAWRRKRPHEHGDCTEQEDAVLAEEVRGLARLFPIWATCLLYGVVFAQPPTLFTKQAATLDRRIGSSSSSFFEIPPAALQSFLGVSIVACVVLYDRVLVPVARGATGVATGITTLQRIGTGMALALAALVAAALVETKRLGAARDAGVVDRPADAVVPMGLWWIVPQYVLLGAADAFAMVGMQEFFYDQVPRALKSLGLALYLSVLGVGSFISSFLISVIDGVTKRDGGTSWFNDNLNRAHLDYFYLLLAALTALQILAYLYFSKTYVYKGKIVSVH* >Brasy8G165800.1.p pacid=40081641 transcript=Brasy8G165800.1 locus=Brasy8G165800 ID=Brasy8G165800.1.v1.1 annot-version=v1.1 MTLALVIMATLLVFFLIKKKRGPAVFRWIHRLLKEMDTDILCLRLGATHVIAVACPEIASEVLRKNDGVFASRPSTFTSGSFSFGYKGSILSPHGEQWKKMRRVLTSEILAPSMEQKMHHVRKEEYDHLVRYINNNLARPNNTVDVRHLARHFCGNMIRRLVFGKRHFSELPPASSTSGPGHDEVAHVDTLFRLVNHVYSFCVSDYFPALIGLDLEGHEMISQDIMGILNRLHDPIIEERIRQRFSTLQKGNKTEKKEARDFLDVLVYLEDTQGQPLLSLQEIRAQTAEMMYATIDNPSNAVEWALAEMTQRPEVMRKAIDELDTVVGKDRLVQESDISQLNYLKSCIREVFRLHPYHPFNVPHIAMADTTIAGYTIPKGSHVILSRLGLGRNPKIWVEPLKFQPERHLNTANVLLTDPGLRFISFSSGRRGCPGSLGFHSVALSQ* >Brasy8G205300.1.p pacid=40081642 transcript=Brasy8G205300.1 locus=Brasy8G205300 ID=Brasy8G205300.1.v1.1 annot-version=v1.1 MHARHALAFSVLLALLLTLISILTPLVPSSPSRRRLPFFRTTAPAAAAPPRLVADGGSGGSCDYSDGEWVRDATAAAYGEECPFLDPGFRCASNGRKDTSFRHWRWQPHGTACHLPKFNASEMLERSRNGRIVFAGDSIGRNQWESMLCMLAGAVPPPDANANGTTSRRIYERSGKPLSRHRGYLSMVFADYNLSVEYYRAPFLVLLDRFDNTSSSARARGAVRLDALPRHAARWAGADIIVLNTGHWWNSHKTIKSGNYFMVGDRFNVTTDVGEAFKLSMETVRHWAGTTARLANPNGFVFFRSFSPSHYGGGGGINGTTTKTWDTGGSCAGHRDPAAAATDGGGESDGRSSWMMDAMGVSISAKETAGDIAVLNVTYMTGLRRDGHPSRHREPGTPPGAPEDCSHWCLPGVPDAWNHLLYAQLLSMGYDRRRSSKQGM* >Brasy8G131500.1.p pacid=40081643 transcript=Brasy8G131500.1 locus=Brasy8G131500 ID=Brasy8G131500.1.v1.1 annot-version=v1.1 MDKGRRGIPAVPIYNINMSPNFFYRRTVEREPFDECILFLRPTDATAKRCTNPVSELEVCRVPRKKIHTTPSPQTHGERERERERERAHQRGWERTTSPRGNGGAVVERQDGGDGGLRLGRHGSGRTHEEGGGPVRGGARAVRDRTRAAWAGAVGRRCGGGSGEARERAWGVAGRRGLRAEAARGGGRRWRRGLRAAAALGGGRRWAKLDLGI* >Brasy8G161800.1.p pacid=40081644 transcript=Brasy8G161800.1 locus=Brasy8G161800 ID=Brasy8G161800.1.v1.1 annot-version=v1.1 MISAQVPKDSSILNRTMVKIFKGIPSVFYFLLHHNFPSDIYCHIIIRSYAKLGATCDNVNKRHKQRCKSMCGAVDE* >Brasy8G137100.1.p pacid=40081645 transcript=Brasy8G137100.1 locus=Brasy8G137100 ID=Brasy8G137100.1.v1.1 annot-version=v1.1 MEASHVHSPAASSSETIMAALLTSVPAAQFPWLAQSIAADARRQRCRLASLLLSPPHFSAALSRLRSMPLPAKAALLGRVLLRSLLLLLPALSSPKDGSHSHFLRLPTPDLDAALLLLAMCDSYSPAAETSPVDWHALLRDDMLRGALSISGLGATPWAAVAPCLDAAAKCRRFADVAEAGIGIGIGKDGEGRGAAARAAVLALPALAGDGTPCAICREEMAPAGGSVCGLRPCGHRFHWPCALRWLARRNTCPCCRAELPAEDALAETRRLWRAVERMAAAGRRRQCGLCVTASIG* >Brasy8G128600.1.p pacid=40081646 transcript=Brasy8G128600.1 locus=Brasy8G128600 ID=Brasy8G128600.1.v1.1 annot-version=v1.1 MKTKSPPHLRNSPLIVLFLAAVAAASLVEIAMAAEPEQVPAAPVAAVHIVYVDRPEGAEAEEFHIRTLAPVLGSEEKAKDAVLYHYKHAASGFSAKLTPEQVEDLKKQPGVLQVVASQTYQLHGTDGGHAGATHSIGLM* >Brasy8G022700.1.p pacid=40081647 transcript=Brasy8G022700.1 locus=Brasy8G022700 ID=Brasy8G022700.1.v1.1 annot-version=v1.1 MFETSLSFSYNTESERKVVNPITCGDCIWPTHSLFRINFDAQMSCSCGKCSDNYLHTTIFHILDAGSPQTTKIKSFAELQYILDDQFSVGSTCKHCGTIENVGLFLSNTPHCFTIVLNWASGTESQDTLSDVLAGITCPLNTEFFCRSSHATTNYIVSSMICYADERYVCFARDKGNWLLYDSETVETIDTWENLPESFKDCKLQPEVLFFEVIK* >Brasy8G193600.1.p pacid=40081648 transcript=Brasy8G193600.1 locus=Brasy8G193600 ID=Brasy8G193600.1.v1.1 annot-version=v1.1 MAETTGSLSPSLHVVVFPWLAMGHMIPFLELSKRLAIRGHAVTFVSTPRNAARLGLGSVDPPPGVRVVALDLPAVDGLPEGAESTADVPREKVGLLKKAFDGLAAPFAALLAGAFSRKPDWLVLDFTHHWAWPIAEEHQIPCAMFIIFAPSHMAIAGPEQENEARPRRTTEDYMAPPPWIPSPCPSAYRRGRHEAERMAAAFRPNASGVADVGRLWSGMHRPICRLVLYRSCPEVELEPPPLFPLLTKLFSKPAVPAGLLIPDGIEAAGDESSSSFAPAMRWLDAQPRRSVVYVALGSEAPVTAEQLGELALGLELSGARFLWALPRLRERRLLPEGFEERVAGRGVVSAGWVPQTRALAHGAVGAFLTHCGWGSAVESLRFGGGHPLVMLPFVADQGLVARAMEARGVGVMVPRDEGDESFHRDGVAAAVRRATSEEEGEALARNAAGLREIVADTARQERYVDELVEHLQLYR* >Brasy8G135200.1.p pacid=40081649 transcript=Brasy8G135200.1 locus=Brasy8G135200 ID=Brasy8G135200.1.v1.1 annot-version=v1.1 MEASSCLRYQVHVVGLCRIWLCLLLVLVLQNCSPVLSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQLVNIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYIVYIVTSLGFVRQSFDVIVNGIEQSIVEAHNNLRPGEIYVNKGELLDAGVNRSPSGYLNNPAEERRKYQYNVDTEMTLVKFVDNELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGLPKQTGQANSNEFGSLHLGSVLPRRVSTIIPEPNEITDDLMQLASSYEASGGRRLASSNITKRIRSSQENNAKFVSAFCQSNCGDVSPNVLGTFCIDTHLPCDFNHSTCNGKNELCYGRGPGYPNEFESTRIIGNRQFLKAVDLFNSASEKIQGKIDYRHTYLDFSQLEVSVPTSTGGQKVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDAKGNPFWRLVRNVLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLISGSNGEFDNNIHVVLAGLTNTYSQYITTFEEYEIQRYEGASTLYGPHTLSAYIQEFQKLAIAMVANKEVPTNIQPPDMLDRQIGLLPGVMFDSTPPGVNFGDVSLDVSANSTFRKGSTVNATFYSACPRNDLLTDGTFALIEKLNGNDWIPVYDDDDWSLQFKWSRPSKFSPRSFATLEWTIPEDATSGVYRLRHFGASKPLIGSIKHFTGTSRAFAVR* >Brasy8G135200.2.p pacid=40081650 transcript=Brasy8G135200.2 locus=Brasy8G135200 ID=Brasy8G135200.2.v1.1 annot-version=v1.1 MEASSCLRYQVHVVGLCRIWLCLLLVLVLQNCSPVLSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQLVNIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYIVYIVTSLGFVRQSFDVIVNGIEQSIVEAHNNLRPGEIYVNKGELLDAGVNRSPSGYLNNPAEERRKYQYNVDTEMTLVKFVDNELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGLPKQTGQANSNEFGSLHLGSVLPRRVSTIIPEPNEITDDLMQLASSYEASGGRRLASSNITKRIRSSQENNAKFVSAFCQSNCGDVSPNVLGTFCIDTHLPCDFNHSTCNGKNELCYGRGPGYPNEFESTRIIGNRQFLKAVDLFNSASEKIQGKIDYRHTYLDFSQLEVSVPTSTGGQKVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDAKGNPFWRLVRNVLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLISGSNGEFDNNIHVVLAGLTNTYSQYITTFEEYEIQRYEGASTLYGPHTLSAYIQEFQKLAIAMVANKEVPTNIQPPDMLDRQIGLLPGVMFDSTPPGVNFGDVSLDVSANSTFRKGSTVNATFYSACPRNDLLTDGTFALIEKLNGNDWIPVYDDDDWSLQFKWSRPSKFSPRSFATLEWTIPEDATSGVYRLRHFGASKPLIGSIKHFTGTSRAFAVR* >Brasy8G017800.1.p pacid=40081651 transcript=Brasy8G017800.1 locus=Brasy8G017800 ID=Brasy8G017800.1.v1.1 annot-version=v1.1 MGEPEPVAKVTKKISQLSVSSKPKKVHGQKRSGNKSSGHGWSWPEVVGMDADEAERIIKQGKPDLYVPEGQMMTMCYCTRRVRLVVNKRNRVLQVPHVG* >Brasy8G017800.2.p pacid=40081652 transcript=Brasy8G017800.2 locus=Brasy8G017800 ID=Brasy8G017800.2.v1.1 annot-version=v1.1 MGEPEPVAKVTKKISQLSVSSKPKKVHGQKRSGNKSSGHGWSWPEVVGMDADEAERIIKQGKPDLYVPEGQMMTMCYCTRRVRLVVNKRNRVLQVPHVG* >Brasy8G221200.1.p pacid=40081653 transcript=Brasy8G221200.1 locus=Brasy8G221200 ID=Brasy8G221200.1.v1.1 annot-version=v1.1 MTGQERKTIDLDEGWSFMQRGIVKLINILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYKDSFQEYINAMVLPSLREKHDEFMLRELVQRWANHKVMVRWLSRFFHYLDRYFITRRSLVALKDVGLICFRDLIFQEIKGKVKDAVIALIDQEREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTTDYYSVKAQSWIVEDSCPDYMIKAEECLKREKERVGHYLHINSEPKLLEKVQNELLAQYATQLLEKEHSGCFALLRDDKVEDLSRMYRLFSKVTRGLEPISNMFKKHVTNEGTALVKQAEDSANNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAENAELNPGVDLAVTVLTTGFWPTYKTFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDTKVIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPAGRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMAHTQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANTYRYLA* >Brasy8G221200.2.p pacid=40081654 transcript=Brasy8G221200.2 locus=Brasy8G221200 ID=Brasy8G221200.2.v1.1 annot-version=v1.1 MTGQERKTIDLDEGWSFMQRGIVKLINILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYKDSFQEYINAMVLPSLREKHDEFMLRELVQRWANHKVMVRWLSRFFHYLDRYFITRRSLVALKDVGLICFRDLIFQEIKGKVKDAVIALIDQEREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTTDYYSVKAQSWIVEDSCPDYMIKAEECLKREKERVGHYLHINSEPKLLEKVQNELLAQYATQLLEKEHSGCFALLRDDKVEDLSRMYRLFSKVTRGLEPISNMFKKHVTNEGTALVKQAEDSANNKKVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAENAELNPGVDLAVTVLTTGFWPTYKTFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDTKVIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPAGRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMAHTQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANTYRYLA* >Brasy8G111400.1.p pacid=40081655 transcript=Brasy8G111400.1 locus=Brasy8G111400 ID=Brasy8G111400.1.v1.1 annot-version=v1.1 MVEPYEPERVMRQFGLFQEVPPPPPRGISHDVHTQTNQGRTRYNWRQANQSWIRHWSAALTDTVNESRGYDLRTYEEYLQWYSQSTRVRLTQVPPQRPTELTHEQQHERGMDRAIASRRHDTIDKARSIIFDANYIINENPPIHHRLMTKVKNIMDNAKGIVANWSCGRADDVNLRAQHMPEVRSARPSEARSSRPSDVEFTRTTSMRDETGARLDSTLLRTSSRTPVQRSQSGASRLQTTWEESPPQETAGGTMAGFSYNQGQGSQRHAQFTTTGRTMAGSTYDQSSHGSQLHAQFTTHGEEEEEWSWPTGETHTQVNEQIPFNLFQGYSYGAQSSQAVHGSSYPPPEYTQSTDADFVDYFSEDRDISRSLFHQETPEHNLRTNPPAPSPLTYSADQCARRKQKARKTTTTRGRGRGE* >Brasy8G176100.1.p pacid=40081656 transcript=Brasy8G176100.1 locus=Brasy8G176100 ID=Brasy8G176100.1.v1.1 annot-version=v1.1 MATTTFPTSTPFFVNHGSRRPSVNVRTAAAVYGRGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKAGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVAEESKQVSYRIIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGSFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNSLRDAKLSLKEIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAAEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEGKLVELKDAVAGGTTQTIKDALAALNQEVMQLGQSLYQQQGAPGAGPTPGGDGTADSSGPSEKPGDDGDVIDADFTDSK* >Brasy8G063100.1.p pacid=40081657 transcript=Brasy8G063100.1 locus=Brasy8G063100 ID=Brasy8G063100.1.v1.1 annot-version=v1.1 MEAAAECAAGGAREKKDAGEIFLVDDLLDLPCDEEEAEEGEEAAAAGLDGDCGGGEAGNASGDSSTVTAVDSGNNSLSGLADGDFSGGLCEPYDQLAELEWVSNYMGDDTLPTEDLRKLQLISGFPSSQLPAAAPRAPAPQLAACVGAGAGGALHSEPALVPVPGKARSKRSRVAPCNWSSRLLVLPPAPASPPSPASAVISPSESGTAFPPFPAKKPAKAAKKKDPLPAAAPMTAAAMAAAEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFEASRHSNSHRKVVELRRQKEPPQQQQLLHHHGHQPQPHAGLQLHVPSPLLFDGPLIAGADEFLLRNRIGHHHSYR* >Brasy8G063100.2.p pacid=40081658 transcript=Brasy8G063100.2 locus=Brasy8G063100 ID=Brasy8G063100.2.v1.1 annot-version=v1.1 MEAAAECAAGGAREKKDAGEIFLVDDLLDLPCDEEEAEEGEEAAAAGLDGDCGGGEAGNASGDSSTVTAVDSGNNSLSGLADGDFSGGLCEPYDQLAELEWVSNYMGDDTLPTEDLRKLQLISGFPSSQLPAAAPRAPAPQLAACVGAGAGGALHSEPALVPVPGKARSKRSRVAPCNWSSRLLVLPPAPASPPSPASAVISPSESGTAFPPFPAKKPAKAAKKKDPLPAAAPMTAAAMAAAEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFEASRHSNSHRKVVELRRQKEPPQQQQLLHHHGHQPQPHAGLQLHVPSPLLFDGPLIAGADEFLLRNRIGHHHSYR* >Brasy8G279500.1.p pacid=40081659 transcript=Brasy8G279500.1 locus=Brasy8G279500 ID=Brasy8G279500.1.v1.1 annot-version=v1.1 MPTATSAAAAPISGGLLRHPPSRPRPSPTARLLPSRGPRPLVHLGSAPPRRRPATAARAQSAPAPGFLPDSEFYKVEAILRPWRVSHVSSGLLEMGIRGVTVSDVRGYGAQGGSTERYEGSEFAEDTFIAKVKMEIVVCKEQVEPVIDKIIEKARTGEIGDGKIFLIPVADVVRIRTGERGKLAERMVGGLSDKLAPVIPIV* >Brasy8G059000.1.p pacid=40081660 transcript=Brasy8G059000.1 locus=Brasy8G059000 ID=Brasy8G059000.1.v1.1 annot-version=v1.1 MAPATTFVLYPSLGVGHLIPMVELAKHLLSRGLGVVIAVINPPDNVSADAVARLVAANPSIAFKLLPAPSSPDLGAHPVKQSMDMLRLANPVLREFLRSLPTVDALFLDMFCVDALDVATELAIPAYFFFASGASALAILLSMPYYDPNAPSFKDMGKTLIRFPGMPSIRALDMPVMIQDKESETAKVRLYQFKRIAEGKGILVNSFNWLETKALKALEDGLCVPGRPTPKVYCIGPLVNDGKKTVNGEKHECLSWLDAQPQQSVVFLCFGSKGAFSEAQLKEIACGLESSGQRFLWTVRSPPEEQSKFPEPDLDRLLPAGFLERTRDRGMVVKSWVPQAEVVQHKAIGAFVTHCGWNSTLEAIMSGLPMICWPLYAEQSLNKVFMVEEMKIAVPLEGYEEGLVKAEEVEAKLRLVMETEEGKKLREMLVVARKMALDAIEEGGSSEVAFADFLRDLENSGIENGECT* >Brasy8G295300.1.p pacid=40081661 transcript=Brasy8G295300.1 locus=Brasy8G295300 ID=Brasy8G295300.1.v1.1 annot-version=v1.1 MASSSWDEWPDSHQEMCRHDLRDEDFWLNRDRWFVPRLRFVPRLNRDRWFVPRLNRDRWPDVDLYEGGTPVSWSFGVGPVASFTKAISIGAVEMLHRHYGPFKHVIKVDLEPATTADGGGIMSIKHQLTIAAAKELGLLDEEYNRLKEDYETLQYFSYGSYDIAAVEGASSQLTYYVKKLVPQIGSQLSTQKYLLVIENLQWPIELGSFTLDVGLLPPMWTNSRWFISAASREAYGKLEDDDVIFIGTREEVVSLSLRALHHSSEHMFNMIRHQENKEYWHHIALLCFHYAMVIFAKQSQAAVPVTSDELIHQWAAQGILPRMPINEEAETGTSSSRCAYVHQVGMVILEAFEKYSLLQLPYSPAHDADGATATAAQFFAYHGLITQDIAVDALFENKKYWISFVDDHGWHVSREWLSQEETRGTTALILRGCSRQSFIFSKLDLLLLKLCFLRILDLSYTPLKSLPSSIGSLLNLRLLSLRGCRDLKTLFSSSTTSDSSTNTGSYSPLSTLYQLEILDTNGVPFSHLTQDVGNKKSNLIYLDMSYSEVTIFPANFFQDMLNLEELILVKCSNLVEIPPSMAALSSLTTLEITGTQIQYISHKIFEAMQKLKSLKLIDNKKLISFTRPISRAHGSIDVHTEWYESTMLEEIKLEGHPTLISFLLTGSPHIRRLSLRGCRKLESVEVMHLDALEELDLSGTAIKELSAGIPNLPQLSRLLLVGVSSLRRFPWHMLERLPNVFYLDHCAEGIGNHSDQVAQVCVSDSRFFYSFNDTCVRLVNDGRFFQRFYVRVAPCITNRWQLQDEENMLDSKLQVLVQKQSTYVHVYSMCLAEKVAIASALTVPLHQTERHVEITGMQSALEGLNYLLNVTRSISVTCDSSTKGFHYLSNFVDLEECDLRWCHKMEGVFSDTSDILKNLRNVHVRNIRSLVWFCFEYSSIAFSSLAHLHLEDCPRLEHVLTDRTTLPCLKTLDILFCYNLKAIIIRNDYARQAADIFQLPSLQRIRLQELPLLQHFHDDATTLTAPMWKELHVRGCWSLRRLPRLDVQPAVKVKVSGERRWWSKLQSSHRDSYEAKLPPKFASFDERAVMTSYLR* >Brasy8G112500.1.p pacid=40081662 transcript=Brasy8G112500.1 locus=Brasy8G112500 ID=Brasy8G112500.1.v1.1 annot-version=v1.1 MELTRKASIVSILIICVLLLHSGPVLADNDDCWTPALDFGWCKTAKCRGHCVDRGFVDGWCNWKFPDLGVCECRNPNCKDHSRD* >Brasy8G061900.1.p pacid=40081663 transcript=Brasy8G061900.1 locus=Brasy8G061900 ID=Brasy8G061900.1.v1.1 annot-version=v1.1 MKKQCDERGSIPKYLLHLTGDQCPLPCLHTAAEKSKYHPCTQRARKSTNTTHPGGRSISLAGSFQIGARRGAVWKPETRCWWREL* >Brasy8G187700.1.p pacid=40081664 transcript=Brasy8G187700.1 locus=Brasy8G187700 ID=Brasy8G187700.1.v1.1 annot-version=v1.1 MATARHGRLLNEAILITAAHVLTRLDMWLFFTLSASWRLAERSRRCVFVRQQVFSRCSTYDRMKRFRLTGCTAGVL* >Brasy8G009100.1.p pacid=40081665 transcript=Brasy8G009100.1 locus=Brasy8G009100 ID=Brasy8G009100.1.v1.1 annot-version=v1.1 MGLRMVRGPCCCSRSPSSSSSSPSSSPWMLLQPQLPKHHPQSPCHNLKSLVLVLDAVSGRPVCSESLRVLALHLLLNRRASRSRNLGNDILRASASSSGLLQITEHKSSSSPKIKVDAERTALDRNAQHLDRIYEDMSPWMDTGTASHASSSLDLEYNLLMQNIHALESSLAGQDLVLLKRDILVHIEQLGALQSFNASRSRATVTQTSHESDFALPGSVAEFDPVTPLEEESGRLIVRSGKSQERKLKRIRALEKVSGISVKASSRKQKKSCKSTSSQFIAEWKNYPGRRRSIVREQSALLMTIKECANLEKIRENMVKEGQQVSYDRWAKAAGVDEAVLKSRLQAGYFCRERLLVTTEWLVKYIAKTYTGMGTAFEDLLQAGKMGVLDGAERFDSDKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMESIIRKVKEAKRAIRSSTGRNPIDAEIATFVGVSVSNVRLARKCSRRVVSLYTEIGTGQNAKFMEVTPDTSLEAPDEAIFRRQMRERLLLVLDRLPAREGRVLKLRHGLEDGKCKSLEQIGGIYHVSKEWIRKIEKSAMSKLRNEDVHHELKDFCGF* >Brasy8G009100.2.p pacid=40081666 transcript=Brasy8G009100.2 locus=Brasy8G009100 ID=Brasy8G009100.2.v1.1 annot-version=v1.1 MGLRMVRGPCCCSRSPSSSSSSPSSSPWMLLQPQLPKHHPQSPLSGRPVCSESLRVLALHLLLNRRASRSRNLGNDILRASASSSGLLQITEHKSSSSPKIKVDAERTALDRNAQHLDRIYEDMSPWMDTGTASHASSSLDLEYNLLMQNIHALESSLAGQDLVLLKRDILVHIEQLGALQSFNASRSRATVTQTSHESDFALPGSVAEFDPVTPLEEESGRLIVRSGKSQERKLKRIRALEKVSGISVKASSRKQKKSCKSTSSQFIAEWKNYPGRRRSIVREQSALLMTIKECANLEKIRENMVKEGQQVSYDRWAKAAGVDEAVLKSRLQAGYFCRERLLVTTEWLVKYIAKTYTGMGTAFEDLLQAGKMGVLDGAERFDSDKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMESIIRKVKEAKRAIRSSTGRNPIDAEIATFVGVSVSNVRLARKCSRRVVSLYTEIGTGQNAKFMEVTPDTSLEAPDEAIFRRQMRERLLLVLDRLPAREGRVLKLRHGLEDGKCKSLEQIGGIYHVSKEWIRKIEKSAMSKLRNEDVHHELKDFCGF* >Brasy8G140800.1.p pacid=40081667 transcript=Brasy8G140800.1 locus=Brasy8G140800 ID=Brasy8G140800.1.v1.1 annot-version=v1.1 MASSSASSLPGSVITVASSAAAAALSGGSAGAGATGSPCAACKFLRRKCQPDCVFAPYFPPDNLKKFVHVHRVFGASNVTKLLHELSPFQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELAKYQQQQQQQAAAAAAAAAAADFGGSGGANNNCTQNFINISHHHSTTAIGAGVVGGGFGHGHDQFAAVQMLARSYEGESGAIARLSVNGGSGYDFGYSPGIGPVSGLGPFLKPGTAGGDERHSAAQ* >Brasy8G140800.2.p pacid=40081668 transcript=Brasy8G140800.2 locus=Brasy8G140800 ID=Brasy8G140800.2.v1.1 annot-version=v1.1 MASSSASSLPGSVITVASSAAAAALSGGSAGAGATGSPCAACKFLRRKCQPDCVFAPYFPPDNLKKFVHVHRVFGASNVTKLLHELSPFQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELAKYQQQQQQQAAAAAAAAAAADFGGSGGANNNCTQNFINISHHHSTTAIGAGVVGGGFGHGHDQFAAVQMLARSYEGESGAIARLSVNGGSGYDFGYSPGIGPVSGLGPFLKPGTAGGDERHSAAQ* >Brasy8G140800.3.p pacid=40081669 transcript=Brasy8G140800.3 locus=Brasy8G140800 ID=Brasy8G140800.3.v1.1 annot-version=v1.1 MASSSASSLPGSVITVASSAAAAALSGGSAGAGATGSPCAACKFLRRKCQPDCVFAPYFPPDNLKKFVHVHRVFGASNVTKLLHELSPFQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELAKYQQQQQQQAAAAAAAAAAADFGGSGGANNNCTQNFINISHHHSTTAIGAGVVGGGFGHGHDQFAAVQMLARSYEGESGAIARLSVNGGSGYDFGYSPGIGPVSGLGPFLKPGTAGGDERHSAAQ* >Brasy8G297800.1.p pacid=40081670 transcript=Brasy8G297800.1 locus=Brasy8G297800 ID=Brasy8G297800.1.v1.1 annot-version=v1.1 MAKSKPMLEVLTVEHLDLLHLCGLNCDVDSSCTMSLLHCIADVTYEQHIQMEFDEVFKGTITMKKQYPELVEQAKLILKKCDQLPLAIVTIGGFLATQPKTPLQWRKLSEHISAELEMNPELGTIRTILMRSYDGLPYHLKSCFLYMPIFPEDHRVGRGRLVRRWSAEGYSREVRGKSAEEITDSYFMELISRSMILPSQESILSTKGIDSCQVHDLMREIGISKSMEQNLVFKHDRQTHWLSL* >Brasy8G105400.1.p pacid=40081671 transcript=Brasy8G105400.1 locus=Brasy8G105400 ID=Brasy8G105400.1.v1.1 annot-version=v1.1 MTIIIEQPQFDVAVERKVAGDTAELVLDGGFSVPDSNAFGHTFRNYDAESERKKTVEEFYRSNHINQTYEFVKRMREGYGKLDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAFDECNVHFKYLKENPDYHNPEFNSKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMDLMNEEDKENLKWLHVFNKYDLYSKSSVRIDVEKVKPYYMSLIEKYFPDKLRW* >Brasy8G105400.2.p pacid=40081672 transcript=Brasy8G105400.2 locus=Brasy8G105400 ID=Brasy8G105400.2.v1.1 annot-version=v1.1 MREGYGKLDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAFDECNVHFKYLKENPDYHNPEFNSKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMDLMNEEDKENLKWLHVFNKYDLYSKSSVRIDVEKVKPYYMSLIEKYFPDKLRW* >Brasy8G244700.1.p pacid=40081673 transcript=Brasy8G244700.1 locus=Brasy8G244700 ID=Brasy8G244700.1.v1.1 annot-version=v1.1 MDATIGDPSLAMSVERAFEKQPLAGTWDQVTPRSVVIAVVLSIVFGFVSLKLQMTAGVLPGLNMPITVLSFIFLKWFVGLLRTCGLPAPPCTRQETVLIVTTVITVGNLAITGGFALYITSMTSIVATSLGEEHPDPKNIVDNFPTGQWMLFLFLVGLMAIFTSVPLNQVMVLDYRLLFPTGTAQAHLINSFHTPQGAYLAKMQVASIFKFFIGSFSWAAFSWLYTAGKDCGFSSFPLFGLELYKHRFFFDFSATYVGVGMMVPPMVNISFLSGGMVSWGILFPFLESKNGIWYNASPTSLSGLNGYKIFITVALIVTDGVYTFTVLLITAYNDYRLKRQETDSVVSNYLKKHPSLNYDDRKRIEVFLENRIPVSIPVVGYIICATICAVIIPNLFSQISSYHVITIYTIVPVAAVTNTYVTGLTDWNVAYTYAKFTIFIVAAWVVKPGAIVAGLVACGLVVTSLYISSLTTLDIKTGYMTLTSQRAMVFMQIVGITIGSVLTPCILRAFQRSDKAHVPIGSADSAYPCPYAGLYRAIGVIGTAGVDALPKHCLKFCFGAACFAIAINTISLLSQRKGWAIQAYIPSVTVFALPFTIGSAVGIDLCLGSVVMFIWTKMNVQSAVLLSAAVASGLMCGEGLFTLPSALLSLYSVEPPMCMKFIHSGKQVDLTEAFFRNMGTPTKP* >Brasy8G244700.3.p pacid=40081674 transcript=Brasy8G244700.3 locus=Brasy8G244700 ID=Brasy8G244700.3.v1.1 annot-version=v1.1 MTSSFPSAPGGFALYITSMTSIVATSLGEEHPDPKNIVDNFPTGQWMLFLFLVGLMAIFTSVPLNQVMVLDYRLLFPTGTAQAHLINSFHTPQGAYLAKMQVASIFKFFIGSFSWAAFSWLYTAGKDCGFSSFPLFGLELYKHRFFFDFSATYVGVGMMVPPMVNISFLSGGMVSWGILFPFLESKNGIWYNASPTSLSGLNGYKIFITVALIVTDGVYTFTVLLITAYNDYRLKRQETDSVVSNYLKKHPSLNYDDRKRIEVFLENRIPVSIPVVGYIICATICAVIIPNLFSQISSYHVITIYTIVPVAAVTNTYVTGLTDWNVAYTYAKFTIFIVAAWVVKPGAIVAGLVACGLVVTSLYISSLTTLDIKTGYMTLTSQRAMVFMQIVGITIGSVLTPCILRAFQRSDKAHVPIGSADSAYPCPYAGLYRAIGVIGTAGVDALPKHCLKFCFGAACFAIAINTISLLSQRKGWAIQAYIPSVTVFALPFTIGSAVGIDLCLGSVVMFIWTKMNVQSAVLLSAAVASGLMCGEGLFTLPSALLSLYSVEPPMCMKFIHSGKQVDLTEAFFRNMGTPTKP* >Brasy8G244700.4.p pacid=40081675 transcript=Brasy8G244700.4 locus=Brasy8G244700 ID=Brasy8G244700.4.v1.1 annot-version=v1.1 MTSSFPSAPGGFALYITSMTSIVATSLGEEHPDPKNIVDNFPTGQWMLFLFLVGLMAIFTSVPLNQVMVLDYRLLFPTGTAQAHLINSFHTPQGAYLAKMQVASIFKFFIGSFSWAAFSWLYTAGKDCGFSSFPLFGLELYKHRFFFDFSATYVGVGMMVPPMVNISFLSGGMVSWGILFPFLESKNGIWYNASPTSLSGLNGYKIFITVALIVTDGVYTFTVLLITAYNDYRLKRQETDSVVSNYLKKHPSLNYDDRKRIEVFLENRIPVSIPVVGYIICATICAVIIPNLFSQISSYHVITIYTIVPVAAVTNTYVTGLTDWNVAYTYAKFTIFIVAAWVVKPGAIVAGLVACGLVVTSLYISSLTTLDIKTGYMTLTSQRAMVFMQIVGITIGSVLTPCILRAFQRSDKAHVPIGSADSAYPCPYAGLYRAIGVIGTAGVDALPKHCLKFCFGAACFAIAINTISLLSQRKGWAIQAYIPSVTVFALPFTIGSAVGIDLCLGSVVMFIWTKMNVQSAVLLSAAVASGLMCGEGLFTLPSALLSLYSVEPPMCMKFIHSGKQVDLTEAFFRNMGTPTKP* >Brasy8G244700.2.p pacid=40081676 transcript=Brasy8G244700.2 locus=Brasy8G244700 ID=Brasy8G244700.2.v1.1 annot-version=v1.1 MTSIVATSLGEEHPDPKNIVDNFPTGQWMLFLFLVGLMAIFTSVPLNQVMVLDYRLLFPTGTAQAHLINSFHTPQGAYLAKMQVASIFKFFIGSFSWAAFSWLYTAGKDCGFSSFPLFGLELYKHRFFFDFSATYVGVGMMVPPMVNISFLSGGMVSWGILFPFLESKNGIWYNASPTSLSGLNGYKIFITVALIVTDGVYTFTVLLITAYNDYRLKRQETDSVVSNYLKKHPSLNYDDRKRIEVFLENRIPVSIPVVGYIICATICAVIIPNLFSQISSYHVITIYTIVPVAAVTNTYVTGLTDWNVAYTYAKFTIFIVAAWVVKPGAIVAGLVACGLVVTSLYISSLTTLDIKTGYMTLTSQRAMVFMQIVGITIGSVLTPCILRAFQRSDKAHVPIGSADSAYPCPYAGLYRAIGVIGTAGVDALPKHCLKFCFGAACFAIAINTISLLSQRKGWAIQAYIPSVTVFALPFTIGSAVGIDLCLGSVVMFIWTKMNVQSAVLLSAAVASGLMCGEGLFTLPSALLSLYSVEPPMCMKFIHSGKQVDLTEAFFRNMGTPTKP* >Brasy8G290200.1.p pacid=40081677 transcript=Brasy8G290200.1 locus=Brasy8G290200 ID=Brasy8G290200.1.v1.1 annot-version=v1.1 MAAAAAAAPVAGGNGRLGRPRAPRVGLRVCAAAGAGGEGPSCLYVGPIETASQEMLEALYRQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSAYADAEEDHSMFVALSSIWMLLLLFGSSAFMVPSFYALSMAFGDAFGARFLLYGAKSLDGITRVNDMVLIGLGYLVGYPIASASVGALQGLLTNNVVALKGSCPNCGEQVFAFVKTDKSIKAPHKAECHVCECPLEYRTKVERSLSGPRRRWVYGRVYMVKQGHRRKRRWIKD* >Brasy8G290200.2.p pacid=40081678 transcript=Brasy8G290200.2 locus=Brasy8G290200 ID=Brasy8G290200.2.v1.1 annot-version=v1.1 MFDKVELKLRVYGSPSVVKYPRCSLKRQSAYADAEEDHSMFVALSSIWMLLLLFGSSAFMVPSFYALSMAFGDAFGARFLLYGAKSLDGITRVNDMVLIGLGYLVGYPIASASVGALQGLLTNNVVALKGSCPNCGEQVFAFVKTDKSIKAPHKAECHVCECPLEYRTKVERSLSGPRRRWVYGRVYMVKQGHRRKRRWIKD* >Brasy8G048300.1.p pacid=40081679 transcript=Brasy8G048300.1 locus=Brasy8G048300 ID=Brasy8G048300.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy8G014300.1.p pacid=40081680 transcript=Brasy8G014300.1 locus=Brasy8G014300 ID=Brasy8G014300.1.v1.1 annot-version=v1.1 MAFNERRGSDRMQAWALAGSDKRGQTCPDQSTCVNGTCANGGSMGLTVSFMKKGNPCVVLTSARLIIDRWDISHVTGMLKASNSSSSIDGDLVSLFSWT* >Brasy8G014300.2.p pacid=40081681 transcript=Brasy8G014300.2 locus=Brasy8G014300 ID=Brasy8G014300.2.v1.1 annot-version=v1.1 MAFNERRGSDRMQAWALAGSDKRGQTCPDQSTCVNGTCANGGSMGLTVSFMKKGNPCVVLTSARLIIDRWDISHVTGMLKASNSSSSIDGDLVSLFSWT* >Brasy8G207400.1.p pacid=40081682 transcript=Brasy8G207400.1 locus=Brasy8G207400 ID=Brasy8G207400.1.v1.1 annot-version=v1.1 MDLVGSLLVNMTRWVDPSGIEFFGWLITVGSFGLAALIYGLLRLQREASLYWLKAAAREKKAASKAQRCPCSSHSWTEDCFRGGQPSTCCVCLSSLGSAQGVGSKGPDADVVHRCSVCGVAAHWYCSRGADNDCKCVAQAGASTLLHHWSERWVEMDDNPEISTFCYYCEEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVGEGQAISGVFNSFKEGFVTSRGRIKRQRNKKRMNNQPGAKTNPTPADSSILDSVLEVFAKLQNLNEKDALANPKLSRNSLKETHGSDIPNGGKKKYELVDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVTRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIEEKNGAQGQCTKQVKFMTNYLGVGCDAKVAYDFHTTREERPDKFSSQFVNKLLYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDTEGVIVLNIASYMGGVDLWQNDNEHDDDFISQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRFHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSDVLVNAECNGVIDAAQKRLLLHEIALRLSS* >Brasy8G156100.1.p pacid=40081683 transcript=Brasy8G156100.1 locus=Brasy8G156100 ID=Brasy8G156100.1.v1.1 annot-version=v1.1 MQQPQHHKPKPSLSGSSSWIRRSPPPSPPHKKPWGAGGSRSRYACRFLPLLALTVYSLLTVLRIPSSSLVVNTADSERVERREDVEAFKTHLPSNQNSLEARQETRSPASLPCSAFINGEAGGGQPGEGVLCCDRSHYRSDVCYLRGDARTDPSTSSVLLYNAPRGAAPEKVRPYTRKFEDSIMSTIDEVTILPVPDAANGTSDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITSQRFAGEVVFVVLEYHYWWLGRYGAILERLTNYKIVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSKAAASSSSSAPVPLPLVPLSRPCLDHATTKAAKPKMLIFIRKQNRVILNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGTVLLQIVPVGLDWAAESFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLDSTVVRDPSVISSRGWWEMKKVYMDRQNVTVGIKRFGELLRAARLHLKNATACANGNGKAAAVR* >Brasy8G198400.1.p pacid=40081684 transcript=Brasy8G198400.1 locus=Brasy8G198400 ID=Brasy8G198400.1.v1.1 annot-version=v1.1 MFFSGDPTSRKRVDLGGRSNKERDRQVLLEQTREERKRRLAVRLQNSSATKIQKCFRGKKAFDMARSEVRKKFCSTFGENCQRVDWNCFSTNSDFLRQLLFFFNASEDNDIAILSQVCNLLLQYVKHGDVVNLFAGNDYSAVEPLVSHRVKKLALICVHAVHQKRYDWSSQLLMSVESAAMPFVRLLEAVACLINPKLPWNCKVVGYLQQRKIYCLFRGIIITVPQNASQLEHHTSALEQVLMLVASHVGDHPCSCPIVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACYLPSRADVLPNDVSANHPGYACVLANVLEAATWILSEPKFASDRAADIITISTSLLDALPTITSPTERADDDDDMPMDVDVKSGLDVDLQRQITAAFDSNSRLLEHLVNALFRGTLSTNYSDPSGPSDAEVEAVGSICAFLHVMFNTLPLDVTMTVLAYRTDIVPALWNFIKRCHENQRWPYFSKYISPLPADAPGWLLPVSVFCPIYRYMLKIVDNEEFYEQQKPLSLKDLKSLILILKQALWQLLWIIPSHTSPTQKTLPNLLGLKKMSIENLKSRARTGLSELLTQLQDWNNRLPFTSDSDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTIRVRIFTSQLANSKQSATSHSALTRHRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVAFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETVDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKSNFLNDLPSLDPELYRHLLFLKHYHGDLSDLELYFVIVNNEYGEQSEEELLPGGREMRVTNSNVIMFIHLVANHRLNYQIRGQSTHFLRGFQQLIPKDWIDMFNEHEIQLLISGSLESLDIEDLRLNTNYAGGYHPDHEIIDMFWEVLKSLSSDNQKKFLKFVTGCSRGPLLGFEYLDPKFCIQRAGVPGVEEHGDRLPTSATCMNLLKLPPYRTKEQMQTKLLYAINSEAGFDLS* >Brasy8G198400.2.p pacid=40081685 transcript=Brasy8G198400.2 locus=Brasy8G198400 ID=Brasy8G198400.2.v1.1 annot-version=v1.1 MFFSGDPTSRKRVDLGGRSNKERDRQVLLEQTREERKRRLAVRLQNSSATKIQKCFRGKKAFDMARSEVRKKFCSTFGENCQRVDWNCFSTNSDFLRQLLFFFNASEDNDIAILSQVCNLLLQYVKHGDVVNLFAGNDYSAVEPLVSHRVKKLALICVHAVHQKRYDWSSQLLMSVESAAMPFVRLLEAVACLINPKLPWNCKVVGYLQQRKIYCLFRGIIITVPQNASQLEHHTSALEQVLMLVASHVGDHPCSCPIVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACYLPSRADVLPNDVSANHPGYACVLANVLEAATWILSEPKFASDRAADIITISTSLLDALPTITSPTERADDDDDMPMDVDVKSGLDVDLQRQITAAFDSNSRLLEHLVNALFRGTLSTNYSDPSGPSDAEVEAVGSICAFLHVMFNTLPLDVTMTVLAYRTDIVPALWNFIKRCHENQRWPYFSKYISPLPADAPGWLLPVSVFCPIYRYMLKIVDNEEFYEQQKPLSLKDLKSLILILKQALWQLLWIIPSHTSPTQKTLPNLLGLKKMSIENLKSRARTGLSELLTQLQDWNNRLPFTSDSDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTIRVRIFTSQLANSKQSATSHSALTRHRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVAFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETVDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKSNFLNDLPSLDPELYRHLLFLKHYHGDLSDLELYFVIVNNEYGEQSEEELLPGGREMRVTNSNVIMFIHLVANHRLNYQIRGQSTHFLRGFQQLIPKDWIDMFNEHEIQLLISGSLESLDIEDLRLNTNYAGGYHPDHEIIDMFWEVLKSLSSDNQKKFLKAGVPGVEEHGDRLPTSATCMNLLKLPPYRTKEQMQTKLLYAINSEAGFDLS* >Brasy8G198400.3.p pacid=40081686 transcript=Brasy8G198400.3 locus=Brasy8G198400 ID=Brasy8G198400.3.v1.1 annot-version=v1.1 MARSEVRKKFCSTFGENCQRVDWNCFSTNSDFLRQLLFFFNASEDNDIAILSQVCNLLLQYVKHGDVVNLFAGNDYSAVEPLVSHRVKKLALICVHAVHQKRYDWSSQLLMSVESAAMPFVRLLEAVACLINPKLPWNCKVVGYLQQRKIYCLFRGIIITVPQNASQLEHHTSALEQVLMLVASHVGDHPCSCPIVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACYLPSRADVLPNDVSANHPGYACVLANVLEAATWILSEPKFASDRAADIITISTSLLDALPTITSPTERADDDDDMPMDVDVKSGLDVDLQRQITAAFDSNSRLLEHLVNALFRGTLSTNYSDPSGPSDAEVEAVGSICAFLHVMFNTLPLDVTMTVLAYRTDIVPALWNFIKRCHENQRWPYFSKYISPLPADAPGWLLPVSVFCPIYRYMLKIVDNEEFYEQQKPLSLKDLKSLILILKQALWQLLWIIPSHTSPTQKTLPNLLGLKKMSIENLKSRARTGLSELLTQLQDWNNRLPFTSDSDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTIRVRIFTSQLANSKQSATSHSALTRHRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVAFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETVDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKSNFLNDLPSLDPELYRHLLFLKHYHGDLSDLELYFVIVNNEYGEQSEEELLPGGREMRVTNSNVIMFIHLVANHRLNYQIRGQSTHFLRGFQQLIPKDWIDMFNEHEIQLLISGSLESLDIEDLRLNTNYAGGYHPDHEIIDMFWEVLKSLSSDNQKKFLKFVTGCSRGPLLGFEYLDPKFCIQRAGVPGVEEHGDRLPTSATCMNLLKLPPYRTKEQMQTKLLYAINSEAGFDLS* >Brasy8G258000.1.p pacid=40081687 transcript=Brasy8G258000.1 locus=Brasy8G258000 ID=Brasy8G258000.1.v1.1 annot-version=v1.1 GLDKEIKKKKKTILREVPPSEVKYVMSYKPDSSESCKVEMPENVVRKMPEFVAEFYAEMAIMAEMAERDNPIMLEKQKNYRQQLKTYGKVFYEVEVDDDYKEEGAPSQDQPGGRRRHRPGVVKTQSGWLRLWSEQLMLKEIR* >Brasy8G290400.1.p pacid=40081688 transcript=Brasy8G290400.1 locus=Brasy8G290400 ID=Brasy8G290400.1.v1.1 annot-version=v1.1 MAKAKTKSSSSAAAKKSRAQASGGQHAVQSKLSRYLDPEASWGKDQLLDAVHWIRQAVGLLCGLVWGALPLVGAHWIALFLAISTGIIYWYYAYVLKIDEEEFGGHGALLQEGMFASFTLFLLSWILVYSLAHF* >Brasy8G024200.1.p pacid=40081689 transcript=Brasy8G024200.1 locus=Brasy8G024200 ID=Brasy8G024200.1.v1.1 annot-version=v1.1 MREDGLLISICQRINKFWETCVARTVGPDRPPLRILVFYAAAGGNCSPSRCPSPHPIRLRFHHPCRLCISRRPDSPRPSLPFPFPPSVRVFRMADRHDEEGTELMRKAAKVLAGLRGRMLRRPPDWGAGAEPSLPPPPLWGTMRRANGRRRRADPEKLLARMGSFASTSTSRGGGMEEDGDREEKLEAMDKVTTGAAPSERSSPDTPLDFAAAATGSDADASSSGEGGGDNGCARARADGDRNGQSMADRVELQEGKKTPSDRDNNRDEKGCLLFDLNEDAPLEED* >Brasy8G287500.1.p pacid=40081690 transcript=Brasy8G287500.1 locus=Brasy8G287500 ID=Brasy8G287500.1.v1.1 annot-version=v1.1 MSELSVPTWGPQFLTLIDSYADVANKQSPWSPSLSNSPNVNHTAAIPFLKPHRRRRGFRPCSRPRRFHGRLLCARFAGLCGPATSSCVFLSLLPSRSGGASLPSPSPSRSSIAPLAPPSLKPLASRAAPARWCSTKSTMSSPRSRSVVPNRAGGRPYPSTKPSPPQIPSHGGALSHCRQRSGAAGSPPAAMSSRRHRKEKGWTGPDVKAVPDPWAAASARTSRRCQIRAPLSLSPPTLSLSPPTLSLSPPRSPRFCCTLHLLFAAAAFPSVLRCAPSPSNKKASPFCPRRGSRRIRPRRRRRSSSGSRRRRDEEEQQVAQDGCAMRRSSSGSGRRRNEEWQQRLHGGDDDVEQPLKAAAAATTTTSSSG* >Brasy8G201700.1.p pacid=40081691 transcript=Brasy8G201700.1 locus=Brasy8G201700 ID=Brasy8G201700.1.v1.1 annot-version=v1.1 MTSACVIDAVGQRVSPFPSQVHRLFMARSSSCVRAIRSAHLRVRRSGVSSTTSSVSGASCPISFLAGSEGTGTRATATAARCPRRGIRPLTPAQHRGRLLPVVRQAAREAPSCSSSAAAAAARRLRGIIRDD* >Brasy8G075500.1.p pacid=40081692 transcript=Brasy8G075500.1 locus=Brasy8G075500 ID=Brasy8G075500.1.v1.1 annot-version=v1.1 MEHLQYLELQMNNFHGAIPEEFGDLKNLISLDLFNNSISGPIPASLGKLKSLVFLRLDHNRLTGAVPNELLALDC* >Brasy8G219900.1.p pacid=40081693 transcript=Brasy8G219900.1 locus=Brasy8G219900 ID=Brasy8G219900.1.v1.1 annot-version=v1.1 MAEVEEIMSDIEIEELGNSMKSELENCISSHISYDSGSEFCLIPRIHPHIRMIDRNSYDPIMLSIGPYNNGSSALSSMESEKWNRLDYILKLNCEKGLKDYLTVISRLEKRARMCYAGDIRMDKRKFMQTLLLDGCFVLVSLGGFNEFISAGSQRVMASSSHAEIVEEDLTSGHPNLSTRNQNVIKRTAVEENLVLEIELCSEISGHQTGQCIYQDNTRQIGQWYDIFVTHDLLLLENQIPFFIVEGIYEEVSSNKMTPTITCKSSVARYIEMTLPFYPIAIRESSRPTDFDHLLHLCHMYFRPSSNQEEHEDHTMQYYIHQFLQLGQDYLGHLDLPRDGHLPNRWRRATQYHEVGIEFKRRTYSEHNPHSLLDIKLRHGILEIPFLFVDDQTSVLFRNFIALEQTCPQVGNDVTAYIIFLAKLMSMPDDVALLARKGVIAHHMCTNGDVSQLFTRLTKGVVFDFYGNYYLKHLCLALEVYYQNRLHRWVAWLRHNHLSNPWLVVAALAGVIVLFCTVAQTILTVQSYVNPSFAFLQIIYCNGGTREW* >Brasy8G124300.1.p pacid=40081694 transcript=Brasy8G124300.1 locus=Brasy8G124300 ID=Brasy8G124300.1.v1.1 annot-version=v1.1 MSSGSLAVRLLRRATSSSQLSTTLTRRCAHSSATSRLPAPLTRFHAAAAAASSHSGITTRRFLSSQSPVSSSKVSADENLRRVIDSEIECVVESEEASAQQIDPPEDFPFEIIDNPGDQSVILKREFAGETIKATVYTNFDAEEDLNNDDSDAEKDEESFKPAIQMVVTVEKPEGPILEFDCNFNDDELAIESMRMLNRDNDDAENVYEGPPFSILDDSLQKSLHRYLEVRGIKHSLHDWLHEYMMSKDEKEYVVWLKNMREFIGN* >Brasy8G124300.2.p pacid=40081695 transcript=Brasy8G124300.2 locus=Brasy8G124300 ID=Brasy8G124300.2.v1.1 annot-version=v1.1 MSSGSLAVRLLRRATSSSQLSTTLTRRCAHSSATSRLPAPLTRFHAAAAAASSHSGITTRRFLSSQSPVSSSKVSADENLRRVIDSEIECVVESEEASAQQIDPPEDFPFEIIDNPGDQSVILKREFAGETIKATVYTNFDAEEDLNNDDSDAEKDEESFKPAIQMVVTVEKPEGPILEFDCNFNDDELAIESMRMLNRDNDDAENVYEGPPFSILDDSLQKSLHRYLEVRGIKHSLHDWLHEYMMSKDEKEYVVWLKNMREFIGN* >Brasy8G038100.1.p pacid=40081696 transcript=Brasy8G038100.1 locus=Brasy8G038100 ID=Brasy8G038100.1.v1.1 annot-version=v1.1 MTHGSKRKSIIQSYVESTRMTAEVFRNNDKRKKAVVSVCSLLLPDDLILEVLLRLPVKSILRFRAVCRSWAALFSSKDFLSLYMATSKPVPAPPKLLVVSPTARLDSTAVYSCSPSCPRYDLLLNFDCVGHDSVEVVMPQPCCGLNLLHDADVPAFFVFNATTRAVKRLPPYSFRGRQSSAGLGFDARTREYKVVRLILGYFADNDSTKCEVYTPGADCWRPAAGGLPFSWTQFAASAVTHSEMNKIPPVFANGFLHWLINPCLTVRRPRAAVITFSVAEETFGCVRSPPFWTSEVHLLSWSQSEGEHLVEMENQLCIVRDLRNRIPLRSTLEIWRLLDYSSGDWSLMHRIDLFGHVERILREPQVVRVIGSVGNCGSGKKIIIATSEHKVYDKFQKKLYTYDHIHQVLETIISTIETYNMNTSFLPGSRFSLFEESLVPVHKTVEDRAKSSTLAKGD* >Brasy8G104700.1.p pacid=40081697 transcript=Brasy8G104700.1 locus=Brasy8G104700 ID=Brasy8G104700.1.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.2.p pacid=40081698 transcript=Brasy8G104700.2 locus=Brasy8G104700 ID=Brasy8G104700.2.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.3.p pacid=40081699 transcript=Brasy8G104700.3 locus=Brasy8G104700 ID=Brasy8G104700.3.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.4.p pacid=40081700 transcript=Brasy8G104700.4 locus=Brasy8G104700 ID=Brasy8G104700.4.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.8.p pacid=40081701 transcript=Brasy8G104700.8 locus=Brasy8G104700 ID=Brasy8G104700.8.v1.1 annot-version=v1.1 MKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.9.p pacid=40081702 transcript=Brasy8G104700.9 locus=Brasy8G104700 ID=Brasy8G104700.9.v1.1 annot-version=v1.1 MKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.5.p pacid=40081703 transcript=Brasy8G104700.5 locus=Brasy8G104700 ID=Brasy8G104700.5.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.6.p pacid=40081704 transcript=Brasy8G104700.6 locus=Brasy8G104700 ID=Brasy8G104700.6.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQSVNHQLSFVLTPMVVWLQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.10.p pacid=40081705 transcript=Brasy8G104700.10 locus=Brasy8G104700 ID=Brasy8G104700.10.v1.1 annot-version=v1.1 MKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.11.p pacid=40081706 transcript=Brasy8G104700.11 locus=Brasy8G104700 ID=Brasy8G104700.11.v1.1 annot-version=v1.1 MKGQHKCRLDKSQLFLSVPFDVYSKDDEKNNLRNHMLDVGAGAAAFFVHRLTQTNMKDCMQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G104700.7.p pacid=40081707 transcript=Brasy8G104700.7 locus=Brasy8G104700 ID=Brasy8G104700.7.v1.1 annot-version=v1.1 MGGGDASSLNDVLLVDADPEDYDPYLSGYTMLANTRDWLIPEIDMKGQHKCRLDKSQQDLPRGKILLAKLSSRRMSSFLSSFSRKQHA* >Brasy8G150000.1.p pacid=40081708 transcript=Brasy8G150000.1 locus=Brasy8G150000 ID=Brasy8G150000.1.v1.1 annot-version=v1.1 MGLWDAMYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNVGKRYQDISVLGQRPVEE* >Brasy8G186200.1.p pacid=40081709 transcript=Brasy8G186200.1 locus=Brasy8G186200 ID=Brasy8G186200.1.v1.1 annot-version=v1.1 MEDGALVVDAVAEPEPSFARPIRLVCAEKVSWLLAKLIDPQPLVGGAGDDDEDAVKPEPHDSSRWALILIQFAAAFFAFAVATFYFLLEQYFAAVLLEQFFVAHAIFPTYSLDPSMFNWSHHSGRSRSFLVHR* >Brasy8G270900.1.p pacid=40081710 transcript=Brasy8G270900.1 locus=Brasy8G270900 ID=Brasy8G270900.1.v1.1 annot-version=v1.1 MMMGGMYTPRFSNVMIGYLNLVTLLASIPVIGAGLWLAKGSTTTCSSILQTPLLIIGFVVLLISLAGFVGACFHVAWALWLYLLAVMILIGVLLGLTMFGFAVTAGGGGTQVQGRPYREYHISDYSSWLQKHMQDIKYWKPALACVVGSKACPKISNWTPMDYLQHDLTPSQSGCCKPPTSCTYSGGMPVGAQDEDCFRWNNAPNILCYQCDSCKAGVMEQVRQDWHKISVLNVIVLVFLICVCACGCCAFRNARRSVSEYPYGVNRMSKINPRWDYYWWRWFRDRREQMY* >Brasy8G270900.2.p pacid=40081711 transcript=Brasy8G270900.2 locus=Brasy8G270900 ID=Brasy8G270900.2.v1.1 annot-version=v1.1 MMMGGMYTPRFSNVMIGYLNLVTLLASIPVIGAGLWLAKGSTTTCSSILQTPLLIIGFVVLLISLAGFVGACFHVAWALWLYLLAVMILIGVLLGLTMFGFAVTAGGGGTQVQGRPYREYHISDYSSWLQKHMQDIKYWKPALACVVGSKACPKISNWTPMDYLQHDLTPSQSGCCKPPTSCTYSGGMPVGAQDEDCFRWNNAPNILCYQCDSCKAGVMEQVRQDWHKISVLNVIVLVFLICVCACGCCAFRNARRSVSEYPYGVAMVPR* >Brasy8G093900.1.p pacid=40081712 transcript=Brasy8G093900.1 locus=Brasy8G093900 ID=Brasy8G093900.1.v1.1 annot-version=v1.1 MAGSADLGERAASSSSGSGGAQDGNGGGGRRRGGERNGGRRPASGPSQGGIDAVVAGVRAEAGRRWAWEGGGGTAGPQQREREEGGASQGRRRSARPRRRRETGREERKGREKRTWERNRGREER* >Brasy8G206800.1.p pacid=40081713 transcript=Brasy8G206800.1 locus=Brasy8G206800 ID=Brasy8G206800.1.v1.1 annot-version=v1.1 MALGRRWCVAALLLVFSFLAATAAAAAEHGLTVFWGRNKDEGSLAEACDTGIYNTVIISFYSVFGHGRYWGDLSGHPLHDIGTDIVRCQQKEVVVLLSIGGGGKDYSLPTAQSAVDVADNLWNAHLGGSRRGVFRPFGDDVAVDGIDFFIDQGGAPDHYEELARLLSTYKTRNYGYVRLSATPRCVFPDRHVERALDTGLFERINVRFYGDDRCSYPHVHKDGVIAQWNKWSTRYPRSWIYLGLPAANEPGRNDVVGVMPLKYDLMPGVKQAPNYGGVMLWDRYHDKLTGYGRDIYNAGVI* >Brasy8G136500.1.p pacid=40081714 transcript=Brasy8G136500.1 locus=Brasy8G136500 ID=Brasy8G136500.1.v1.1 annot-version=v1.1 MGYGMSRLEDEYSEHEEQSSDGLSSAQMNNEFSKLHNDIFHMTRLRSGPSESIRKSIGTNKHAVSVTRLLRGREVNSSGTGKFFSDDRAFILGRYLPVDGPEIVDRMNSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWEVHKDIHARSLRWTISDVSLSPDQRFLVYASLAPIIHIVNVGTASKESYANVTDIHDGLDFSQHEDVRYSFGLFSVKFSTDGRELVAGSNDDSIYVYDLQADKLTLRLPAHTSDVNTVAFADETGNLIYSGSDDNLCKVWDRRCLSTREPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADGSENRVPAWDYRYSRYPQQYKEQKHPHDQSLATYRGHSVLRTLIRCYFSPTYSTGQKYIYTGSYDASICFYDVVSGSQVAKLHKGHELAVRDCSWHPFDPMLVSSSWDGRIAKWSRNLF* >Brasy8G136500.2.p pacid=40081715 transcript=Brasy8G136500.2 locus=Brasy8G136500 ID=Brasy8G136500.2.v1.1 annot-version=v1.1 MGYGMSRLEDEYSEHEEQSSDGLSSAQMNNEFSKLHNDIFHMTRLRSGPSESIRKSIGTNKHAVSVTRLLRGREVNSSGTGKFFSDDRAFILGRYLPVDGPEIVDRMNSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWEVHKDIHARSLRWTISDVSLSPDQRFLVYASLAPIIHIVNVGTASKESYANVTDIHDGLDFSQHEDVRYSFGLFSVKFSTDGRELVAGSNDDSIYVYDLQADKLTLRLPAHTSDVNTVAFADETGNLIYSGSDDNLCKVWDRRCLSTREPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADGSENRVPAWDYRYSRYPQQYKEQKHPHDQSLATYRGHSVLRTLIRCYFSPTYSTGQKYIYTGSYDASICFYDVVSGSQVAKLHKGHELAVRDCSWHPFDPMLVSSSWDGRIAKWSRNLF* >Brasy8G004600.1.p pacid=40081716 transcript=Brasy8G004600.1 locus=Brasy8G004600 ID=Brasy8G004600.1.v1.1 annot-version=v1.1 MAAASLIRAPVGQLPCHAPGRSGGVVRCSLQGAVVGGRTEWLSNCAVLSSKVAALGPHSVNGHAAPPVAPAPANGPVLDLIPVSSINGGAKNNNLPVPLKISDLSPAPMHGSELRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRESITRVISHPQALAQCEHTITRMGLNVVREAFDDTAGAAEYVAAHGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANRGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPMTAGSSTTVSDS* >Brasy8G261100.1.p pacid=40081717 transcript=Brasy8G261100.1 locus=Brasy8G261100 ID=Brasy8G261100.1.v1.1 annot-version=v1.1 MGAVHKSVAPAMLLLLIVLQLALSSSPASARIFPQPIIVHSPSPSPAPSPWHTDNFPELRKVPSGPDPITSDSPPPPPLGSDYFTVLQKVPNGPDPITSDSPPPPSLSARNFPVLRKVPSGPDPITSDSPPPPYLSARNFPVLRKVPSGPDPITSDSPPPPSLSATNFQVLRKVPSGPDPITSDSPPPPSLSATNFQVLRKVPSGPDPITSDSPPPPSLSATNFQVLRKVPSGPDPITSDSPPPPSLSASNFPVLRKVPSGPDPITSDSPPPPSLSASNFPVLRKVPRGPDPITPPPPVWGSRA* >Brasy8G220000.1.p pacid=40081718 transcript=Brasy8G220000.1 locus=Brasy8G220000 ID=Brasy8G220000.1.v1.1 annot-version=v1.1 MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALSSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN* >Brasy8G220000.2.p pacid=40081719 transcript=Brasy8G220000.2 locus=Brasy8G220000 ID=Brasy8G220000.2.v1.1 annot-version=v1.1 MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALSSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN* >Brasy8G220000.4.p pacid=40081720 transcript=Brasy8G220000.4 locus=Brasy8G220000 ID=Brasy8G220000.4.v1.1 annot-version=v1.1 MRSENYSLHVMQYQLPEDIEWHFIGNLQSNKAKALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALSSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN* >Brasy8G220000.3.p pacid=40081721 transcript=Brasy8G220000.3 locus=Brasy8G220000 ID=Brasy8G220000.3.v1.1 annot-version=v1.1 MRSENYSLHVMQYQLPEDIEWHFIGNLQSNKAKALLAGVPNLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALSSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN* >Brasy8G213600.1.p pacid=40081722 transcript=Brasy8G213600.1 locus=Brasy8G213600 ID=Brasy8G213600.1.v1.1 annot-version=v1.1 MGHGRSWEKGQNIENLTLSARERSAEAHEHCTVELHGSRRRGRLGNGGQRHGGGGVQDGTAADGGGGGGARDGALRQRRLWRRRRPAEELPVAGGGAERWGRRPRGAACGILDWIQRWGTGEARRGGSERPCRAGGVHGGRSERKERSPWREKRAEVRRRETAHQINPARPCLRPPPVAPLITPPFTVLLVPSIVCVCTTIVVSIAHASASRIERLAASSQIVSHVPVSGRYDEDDWTLD* >Brasy8G010500.1.p pacid=40081723 transcript=Brasy8G010500.1 locus=Brasy8G010500 ID=Brasy8G010500.1.v1.1 annot-version=v1.1 MSAPPAKSAGGGGKVRVVNVWAHNEEEELYLLRAFARAFPIAALATSQQLEEDAAVPSSPPPDNLESSYQAVLASVDRVRSAQLGLALLNKDGELALGGRIWRFHFHPGAGADPYRVCKALYSCSRAAVPEGTWVTMDGARDLAYVVRHLNGGALPPDRHRFLHLCNVFFPHLYDIKVLAEWSTTDATEPPLLDARAPSLFARFLALARNLQFREHMVGYNAFLSGLGAADEPHLVTHKRWRAERLESKRRLREMLRQNGRDEAYLQKTRCYLLL* >Brasy8G296100.1.p pacid=40081724 transcript=Brasy8G296100.1 locus=Brasy8G296100 ID=Brasy8G296100.1.v1.1 annot-version=v1.1 MPAISTSDDLHAFLRRGLRSHAAVLRAHAFLLRRGLLLGHPVPAGLLLSAACSSISSPSPSPAIYILRLLLYHLPPPLPLFSLDAALRALARRRVPFPALLSLFSRLLRSSHCAPSSGFPDIFSFPPLLSAAASAASPRAHLPAALSLHAQLLRRGLLLAPPPHAANALLHFYAGAGRLPSARRLFDEMPSRDIVSHNTMMTAYVTAASSGGIDAARELFDGMLLRNAVSWNVMVTGYVRAKRPEQALEVVRWMAGVGVRGTTTTMVGAATACARLGGLRSGREVHCAFMRRFEEDNLLVWTSLVDMYGKCRKVEAAIKVFDRLRFRNLVCWNAMIVGHCLYGEPGDGIQLFHEMIGRGKNGSDGKLVLRPDEVTFIGILCACTRLGLVDAGKVYFAEMSTLYSLRPTFAHYWCMANLLGSVGHLEEAEGLLKSVPGELKARALGGLLGLCRFRGEWELGERIALRLIELEPSNCAHYALLCSVYASAGRWEDVHRVKAIIKESDERFSPGHRLVDLNEIVHQFKVRERQPENQEIYVILDDLVSKLKFTSREDVQTEPGV* >Brasy8G132700.1.p pacid=40081725 transcript=Brasy8G132700.1 locus=Brasy8G132700 ID=Brasy8G132700.1.v1.1 annot-version=v1.1 MSAVPRHRPTSPSPPDSPPPRFASTPLPHFGPSPTVDVPPPESPPRPDLQSTTATLTASPPAHSNRAAAPTLAGLAAIPNEPQRRPHTIDHRRRRPHGPFERRLRPIRRRSHRSPSAVEQAPSPSDGERPLCLPRRRSKSASSAAKPQALMVAAAFPLNWRGSLFPSPLQALVPLAGGGLIPRRGLD* >Brasy8G144100.1.p pacid=40081726 transcript=Brasy8G144100.1 locus=Brasy8G144100 ID=Brasy8G144100.1.v1.1 annot-version=v1.1 MMKSLFLFSAHPKPPLPLPPSPNLRKLLRLRASSYSSASTSSRPRPARSSPGPARPRQPSSLYARPSLLDMERDRAARRADVNAFLVSLGVDPGELAGLELPATVDVMRERVEFLRSLGLEPEDLAAYPLALGCSVRKNMVPVLDYLGKIGVRRDELPQLLRRYPQVLHASIVVDLAPVVKYLQGMDVRPGDVPRVLERYPELLGFKLEGTMSTSVAYLVGIGVARRQVGAVITRFPEVLGMRVGKIIKPFVEHLQGIGLQRLAVARIIEKKPYILGFGLEERVKSNIEALLEFGVRKEALASIVIQYPDILGIELREKLVVQQSLFESNILVNHDDFGRVIERMPQAVNLGRAAVLKHVNFLTACGFLLSQVSKMVVACPQLLALNMDIMKMNFEYFQNEMERDLEELVEFPAFFTYGLESTVRYRHEIVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGVEEMEAENSFDTNRFVEQVQDEDDEDVDEDSDYDDSDGDEFIE* >Brasy8G040300.1.p pacid=40081727 transcript=Brasy8G040300.1 locus=Brasy8G040300 ID=Brasy8G040300.1.v1.1 annot-version=v1.1 MASSLLRSGHRFLLRHHGRLPAAFSTAAAEELIDVRKLPTDYDPATFDPSAPPSRAPPSDRVWRLVEDVSALTLAEAAELSSLLLRRLDIPSAPPIAILNSAAGLGGGGGGGAAAAGPAGDKAAAAAEKTVFELRLEGFDAASKIKVIKEIRTFTDLGLKEAKELVEKAPAVIKGGLSKEEAQAIVERMKAIGAKVVMD* >Brasy8G235100.1.p pacid=40081728 transcript=Brasy8G235100.1 locus=Brasy8G235100 ID=Brasy8G235100.1.v1.1 annot-version=v1.1 MKRAAAAATTILLAMAATLSFFSPTALARNNVPSMDIDAACLKATTTQQLYQLCRYTLRHADVATGDVTGYAAAAAQLAKLSYDSALGTIDGLLSGGKPLPGPEKAAYQRCRDTYADARRILSDVVNWLLTCRFGAGLRREYVDASADVAACGAALDAFRSSPLYPVNAADRDRALLASQLGALVVGN* >Brasy8G155800.1.p pacid=40081729 transcript=Brasy8G155800.1 locus=Brasy8G155800 ID=Brasy8G155800.1.v1.1 annot-version=v1.1 MDFSEQDVDVFGDDYDARDGAEVEADGGGGGGASSGSSSPSSSSSSSAAASSSSSDASSGRSSSGAGGGGGDEEGADEGDGAEYDSFDVVPARVAGGYGDEERGEEVEEEEEEARDLFGSDNEEYVKTPARSNYLVPVLPSIRSTNNHSRGVFGGRGGRGPPLLPRPGGHPGGRHNFGYGRFSHGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYIGENLNKGFESFTEKRDLGSEGFGDLLACIRNSNLPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEIERRRCFWGYSFENLATENSIDEDGRGIDANVEYCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVERFEKEKLLRFWIQSFLAGVSYVVVGFRNDAGELVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVQPFHRLELIRAQSPCPDAITQHVEQLSGTTN* >Brasy8G155800.3.p pacid=40081730 transcript=Brasy8G155800.3 locus=Brasy8G155800 ID=Brasy8G155800.3.v1.1 annot-version=v1.1 MDFSEQDVDVFGDDYDARDGAEVEADGGGGGGASSGSSSPSSSSSSSAAASSSSSDASSGRSSSGAGGGGGDEEGADEGDGAEYDSFDVVPARVAGGYGDEERGEEVEEEEEEARDLFGSDNEEYVKTPARSNYLVPVLPSIRSTNNHSRGVFGGRGGRGPPLLPRPGGHPGGRHNFGYGRFSHGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYIGENLNKGFESFTEKRDLGSEGFGDLLACIRNSNLPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEIERRRCFWGYSFENLATENSIDEDGRGIDANVEYCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSREIQSFLAGVSYVVVGFRNDAGELVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVQPFHRLELIRAQSPCPDAITQHVEQLSGTTN* >Brasy8G155800.2.p pacid=40081731 transcript=Brasy8G155800.2 locus=Brasy8G155800 ID=Brasy8G155800.2.v1.1 annot-version=v1.1 MDFSEQDVDVFGDDYDARDGAEVEADGGGGGGASSGSSSPSSSSSSSAAASSSSSDASSGRSSSGAGGGGGDEEGADEGDGAEYDSFDVVPARVAGGYGDEERGEEVEEEEEEARDLFGSDNEEYVKTPARSNYLVPVLPSIRSTNNHSRGVFGGRGGRGPPLLPRPGGHPGGRHNFGYGRFSHGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYIGENLNKGFESFTEKRDLGSEGFGDLLACIRNSNLPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEIERRRFNHSLPVYPMLSLVSGMMLVNLYELRD* >Brasy8G094300.1.p pacid=40081732 transcript=Brasy8G094300.1 locus=Brasy8G094300 ID=Brasy8G094300.1.v1.1 annot-version=v1.1 MTEKGRAWGKKTAGPQPAIEHLANQISEQSTPANPIPGFQIVSLFTQIPIPTSEEALFQTFHIPELLIFPPFHLLETVRQDPDDDAARWPSNSKTTPPRLATKENGHGKLSVGSFSSHGSCTHATCNHRPAGKGKQHAMER* >Brasy8G094300.2.p pacid=40081733 transcript=Brasy8G094300.2 locus=Brasy8G094300 ID=Brasy8G094300.2.v1.1 annot-version=v1.1 MTEKGRAWGKKTAGPQPAIEHLANQISEQSTPANPIPGFQIVSLFTQIPIPTSEEALFQTFHIPELLIFPPFHLLETVRQDPDDDAARWPSNSKTTPPRLATKENGHGKLSVGSFSSHGSCTHATCNHRPAGKGKQHAMER* >Brasy8G094300.3.p pacid=40081734 transcript=Brasy8G094300.3 locus=Brasy8G094300 ID=Brasy8G094300.3.v1.1 annot-version=v1.1 MTEKGRAWGKKTAGPQPAIEHLANQISEQSTPANPIPGFQIVSLFTQIPIPTSEEALFQTFHIPELLIFPPFHLLETVRQDPDDDAARWPSNSKTTPPRLATKENGHGKLSVGSFSSHGSCTHATCNHRPAGKGKQHAMER* >Brasy8G005700.1.p pacid=40081735 transcript=Brasy8G005700.1 locus=Brasy8G005700 ID=Brasy8G005700.1.v1.1 annot-version=v1.1 MAALRRLGGSVLQRTQPAVPEGQRGLFLPPRRLYSTESEIQQKKEELYDMISRAEKRTFRNNRMMRLLCVHVNPRRGDPQWQLLRIKKLMTDVVLSCPVFLHLSSQLVVISQDLLDLQGRKLCV* >Brasy8G165900.1.p pacid=40081736 transcript=Brasy8G165900.1 locus=Brasy8G165900 ID=Brasy8G165900.1.v1.1 annot-version=v1.1 METDVVTRLMAGEAIHHSVTIRQLFIGEHVKYDLPNCKLIMLPAYTNFAWACYAIDLIDKRLTVYDPTLTDEGNKDIQGLHLEACGKIKEAMGECARHFFESWEFDWNGLSVSFVSGGDKLSER* >Brasy8G195200.1.p pacid=40081737 transcript=Brasy8G195200.1 locus=Brasy8G195200 ID=Brasy8G195200.1.v1.1 annot-version=v1.1 MGCSRGGAGGEGRSEDGGKVGLPALEVALAFPQATPASLFPPSVSDYYQFDDLLSDEEKTLRKKVRAISEKEIAPIMTEYWEKAEFPFHAIPKLATLGLAGSTTKGYGCPGLSLTASAVSIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSMAQLKTVGCWALTEPDYGSDASSLKTSATKVPGGWRLDGQKRWIGNSTFADVLIILARNADTKQLNGFIVKKGAPGLKATKIQNKIGLRMVQNGDILLNKVFVPEEDRLTGIDSFQDISKVLAMSRIMVAWQPIGIAMGVYDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMILIGWRLCKLYESGKMTPGHASLGKAWTSTKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREITGIASFKPAALAKSRL* >Brasy8G027500.1.p pacid=40081738 transcript=Brasy8G027500.1 locus=Brasy8G027500 ID=Brasy8G027500.1.v1.1 annot-version=v1.1 MSMALPSSLRALAPPVLLLSSPTARLRPGVSHAARELLPLPRRRRGAGLVARAAGAAALPSDAEWLGRLPEKKKPLYTHSLPCIEAWLRSIGFAQSREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLEKDMERRFSYALSREDIENAILGGP* >Brasy8G140400.1.p pacid=40081739 transcript=Brasy8G140400.1 locus=Brasy8G140400 ID=Brasy8G140400.1.v1.1 annot-version=v1.1 MAKHIDDDLAAIPIEVSGRRPAPAMTGLGWPPPPQGPGPWSPFLGSGAAIHGDAASMRSGLENAGRREGWSIDEGEGAGGAPWRGCATSAGTVASPRLALVLHSSGAEPRPPHPPAAPPRPPRPSHLRLHHRCRIRTPSGRRRHALPPLLPVMPAGTARRMRSTEHGPRRSHQWHEVLSIRWSAAGPRSSS* >Brasy8G232400.1.p pacid=40081740 transcript=Brasy8G232400.1 locus=Brasy8G232400 ID=Brasy8G232400.1.v1.1 annot-version=v1.1 MGESGSSGSGSVSVDVERISFGGKEHQVRTRCGSSVSVAVYGDQDKPALVTYPDVALNYMSCFQGLFLCPETASLLLHNFCIYHINPQGHELGAAPVQSDVPVPSVDDLADQVADVLDFFSLGSAMCLGVTAGAYVLTLFATKYHERVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGTRGLVKECLLQRYFSKEIRGNAQCPESYIVQACKTLLGERQGENVWRFLHSMNKRHDLTEALRKLRCRTLIFVGENSQFHEDAVHVTTKLDRRYCALVEVQGCGSLVTEEQPRAMLMPMECFLMGYGLRRPPSYQASSDSSPRGPLSPCRISPELLSPESMGVKLKPIKTRISVDF* >Brasy8G039900.1.p pacid=40081741 transcript=Brasy8G039900.1 locus=Brasy8G039900 ID=Brasy8G039900.1.v1.1 annot-version=v1.1 MKPQFPTEAHTICAAVRLPPSFLHAQLTLRPQNRGRSSSRRQWEPNQPPPAACAYPSGALASPLLCSSFGGQQPLLLLLELAPNSIWGKKEEDRAS* >Brasy8G075700.1.p pacid=40081742 transcript=Brasy8G075700.1 locus=Brasy8G075700 ID=Brasy8G075700.1.v1.1 annot-version=v1.1 MASASPSWVILGKLPRVAAADLQPGADLFLALTATWRVRSSPSHCACSAWAGPRPPWTEKGEQDARGKSSPADRAFWARIRGEKEGERNGGSDGWSGLPTAGLRLPGERTTRGGSGRRSSRGRAALDSGRWREAPGGVREAEALRSELAGAAALPAGVEEETRGEEGARGRGETEVR* >Brasy8G122800.1.p pacid=40081743 transcript=Brasy8G122800.1 locus=Brasy8G122800 ID=Brasy8G122800.1.v1.1 annot-version=v1.1 MGSFLDLWKNTKNRARTDAGALGQGHGKKKRKKRRQGLSGARAGQQRPRALGPRTRQAGRRPVGHARHGQARPGPPASGRPAAGRRGPVGYWLAEAKSGHPVWQSQFPTELKSGTANRSSNKDGVRRRAAVILDARAGGAVRVNRNDAVRVSIRNHTHISAAAADSAGPGRSRTAIGSMAPFGFINQAANRPFLSRPGRVGGYMRTSQKTYSDLRICLLISPLLDLSLMIMEGVHAAAHRARGNLVVIVGDMHSACDVDTAALSAPEEATP* >Brasy8G288700.1.p pacid=40081744 transcript=Brasy8G288700.1 locus=Brasy8G288700 ID=Brasy8G288700.1.v1.1 annot-version=v1.1 MEAPEEAAAGIDPGDKTETVVVKFLPCISKLDDGSEAEITGNLLIHLKIEAEEITVQKFIEVLGEKIAWGSGQEVELSCYDEKGNYERITTNPQLVGAIEEGRTGNDPSEVAIFAELIDASEDSVVGFVPSKAAELINDEAFLSTSDPVLNVADLGQNQMPTPSDLNLQGEGPFVDWSKVYIPEATDFAPEAINEVEMCKLMGIPVDEDVEELSPLPPSQPAQPAPRASDHDEVTRLFKDAANDVDDENDEEICCVYDKEYPVFEVGRMWPNMKELKMSFKTYTVNKGFVFRTAWTDKYRYCVKCKGVDVNKEE* >Brasy8G200300.1.p pacid=40081745 transcript=Brasy8G200300.1 locus=Brasy8G200300 ID=Brasy8G200300.1.v1.1 annot-version=v1.1 MSSEDLQAALNLTPATVTRLTAALVSLGVLKKVPAVRSPRIRNNRQAVVFMDARVEPSAEITGGGRWHHGDGKVDCSNMDATAVALARRACLDQIDRLPGPAATPSMVHAGLVGRGGDPAGGVLLVSVGDVAEVLRAMALGRVLEECRSTGEEGGEFGGVAAGEVCYRRAGAPPRGGAMEEFPCGVCPVIGQCSPDGGLVSPATCVYFNKWLEMDF* >Brasy8G215700.1.p pacid=40081746 transcript=Brasy8G215700.1 locus=Brasy8G215700 ID=Brasy8G215700.1.v1.1 annot-version=v1.1 MSSSTPNMIENMTVVDAGVLECGICASPLKPPVFQCEVGHMVCSRCSETKMGPPGSMKRCHACRRDLPGGYRRCLGVEHILESLLVPCPNAAYGCSAMLPCNNDDQQAHLRRDCRHAPCHCPADNCTFTGSPWDLRAHFYKNHGWPYTISTNATDGMFKLSLNEGFHAIHHYRSSCVEEDSAIPDQLYLFLLNVVREPHGCVVTAFYVHCAVAVDESAVASECRLKLAYESPCGSHRQESTFSVACTDLFGRLPSSDDCFEFVVSKSIHPLYLDTIEISVSIDIND* >Brasy8G287600.1.p pacid=40081747 transcript=Brasy8G287600.1 locus=Brasy8G287600 ID=Brasy8G287600.1.v1.1 annot-version=v1.1 MWRDAIFYQNRQSKHAVLRATSLPLHHRAHVHFTESVRRQNVFTMAALEANNDIGLMSGTISIPDCNAVKSNMRIVHGSEDLFAMSCPLSRPEAPNGEQGLRLVGAAVFNMKGLVIGTVDRIDPYSFDIKYSRKTSSFLKTWERSLKDIDDTISLSKGPDRGFTKGAGTSRHGGSSAGLASKKRGRDDTPSGGTSTKICLSLNQLTINVEVRSEVNLRLHRIPLQGDVDVGRREAMRRELVKLVTRESDDRWTGSPSSPGCKASGDAEAAESVPPGDGLAFQADNAYRYSDPGCGATTPCGTSQGGQAIAGRF* >Brasy8G266700.1.p pacid=40081748 transcript=Brasy8G266700.1 locus=Brasy8G266700 ID=Brasy8G266700.1.v1.1 annot-version=v1.1 MESLSLIDVSAEDDFFLDLASPPPLPDPSPRPRAAAGSSMEGADSDQPAAGYPAAAAKGRVMDPRGATEQVPEATESPKKRKAKGGFNLRKSLAWDSAFFTSEGVLDTEELGIVNSTFRKAQGSRLLPGIAEELRRSGESTTSTLESESFALESVETELFDNVRASIQRTLGKPDKASGVTAASKKIPKATAKAPPAAARKGADRIPQSKIRPPVSTSNSGVGTKQRPQITPKEPTAARVVLPGAAEAKPSSRPPRALPRVATMRAPANTAAISENSEKRSSTGGVANRQAPGKSANASASMQSRPAAGTKASSTSKSVAFSSATVPPSQSNPIGTMTGAKTKSPTQISKNRTAQRIPVRSSAKFDVSKVNPARLSRNRIPTRSHGELVSPIISPSSSVDSMSSVISGASTASTIGKTSYTSESFSTRSSSLSPSIRKSNDCPPTTKLRPPTVSEGQSSVTSCDSPKCNKDTTTQGNGFKPSGLRRPTPKIGYFDAEKSIDRAGGVRVQGQPKNVQFSPSVTPNPRISSTQRTNPASLNFDQHEPKSVGAAPSQTKALPSLPCGVAQIEREPSKLMEHEASQAKALPSLPLGVAQTVVEPSKVMEHEASQTKASLSLPLGVAYAEASKVTEHEAYQTKASPSMLLRAAQTEVKPAKEYEASQIESSPAMALRTAKTEVELSKVAEHEASMHGTSPVVTVDIAKESIPALHHNIQANGDANPSTVELSLSSFDQQESEPMVAPHEESRPSHNKSSPSLPLGVAQMEVEPSEVIEHEACMPQTCPVVTAFDTAKENIPALHQNIQLSGDLSPLKENILVSHQNIQAKGDMTPVTLLTQNMSSISLGAANTTPLTLLNKKRSSVSVVEASPFTLLTQKLSSISLGDATD* >Brasy8G108500.1.p pacid=40081749 transcript=Brasy8G108500.1 locus=Brasy8G108500 ID=Brasy8G108500.1.v1.1 annot-version=v1.1 MPSWPGCKETVSFEGSNIGRRFLGCGDPELVGDCGYVEWIDPEWPSSLKKALASLWEHVAEERCTLEADRDMLYSDRRDLDLDKALATAELLTLNMERESLKAIGMEMKAAAEAAAEQADEALDGSKRKSIIIGYSVEVGATLAFLFLYSLFIHWIKIEGRRL* >Brasy8G122300.1.p pacid=40081750 transcript=Brasy8G122300.1 locus=Brasy8G122300 ID=Brasy8G122300.1.v1.1 annot-version=v1.1 MPSPATAAAAVAGAPLHRRPCAPRSLVPASYSPISPTLAESSRHAAPAPLLVHRPRPSLAVARAASPDAATGARSPVSGGQMLVFVPPHPLIKHWVSVLRNEQTPCPVFRSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLALAENASSILPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAVDLLIDRGVTSKQIKIVSAVAAPPALQKLSNKFPGLHVYAGMIDSEVDEKGYIVPGLGDAGDRSFAT* >Brasy8G064400.1.p pacid=40081751 transcript=Brasy8G064400.1 locus=Brasy8G064400 ID=Brasy8G064400.1.v1.1 annot-version=v1.1 MGGKRTERATRESPGCSTTNENQSPACSPTRALGPALQIRCVFFLLPRFFLPARANFTSQSPNRRAAPNLPSNRPKSLPPKLSNRPQIACAGASARCPVGASARPAAASQAVARIASGAASRRWASTRKGRVRRRLWRIPHRRLNSFGQRPSARSTVVPPPAFSSTHGSGSYSWVSCWYG* >Brasy8G085100.1.p pacid=40081752 transcript=Brasy8G085100.1 locus=Brasy8G085100 ID=Brasy8G085100.1.v1.1 annot-version=v1.1 MSEGTREMRQLRGAAASKGSKSSGSGAAVASDEWDGGGRCIGARIRGVNVGILDEQVLVLVFRALNWDPQALCATARASRRLRAVAERVLWRELCVSRAPRMVSALATTGARVGGGWPALAKLLLFCCGAEPGAVAGHFAPVSRFSKTSGRSFLSRRCGGDLLYVSDPCEHPVPGDADDVVGAYRGVFRGFMRSRTRARLVGARAPLEPRVRCPYCGARVWSMTAAGLAPRSACRRLGAHEGRLEYFVCVSGHLHGSCWLARLSSSSSEGEDEGKGKGKGRRGGGSGVSDSDDDDAFAAAAVDSDEDGGRMEL* >Brasy8G228400.1.p pacid=40081753 transcript=Brasy8G228400.1 locus=Brasy8G228400 ID=Brasy8G228400.1.v1.1 annot-version=v1.1 MSLVQEISVHRVERIEPAAAQLGGVDAAAAEFIEKVRRRLADEAAAAAAATARARARR* >Brasy8G150900.1.p pacid=40081754 transcript=Brasy8G150900.1 locus=Brasy8G150900 ID=Brasy8G150900.1.v1.1 annot-version=v1.1 MASPQCCSNPPTLNPAGGEGKVVDSFGGTRAYVAGAEESEAAVILISDIFGFEALKLRKIADKVASYGYLVVVPDFLHGEPFAHENADRPFPVWIKAHAPEKAFEEAKPIIAALKEHGMSTVGAAGYCWGAKVVAELAKAHEIQAAVMLHPSFVTIDDIKEVKCPTAILGAEIDKMSPPELVKQFKEVLSSNSGIGHFVKIYPGVAHGWTVRYNSDDTAAVKSAGEALADMTDWFNKNLK* >Brasy8G150900.2.p pacid=40081755 transcript=Brasy8G150900.2 locus=Brasy8G150900 ID=Brasy8G150900.2.v1.1 annot-version=v1.1 MASPQCCSNPPTLNPAGGEGKVVDSFGGTRAYVAGAEESEAAVILISDIFGFEALKLRKIADKVASYGYLVVVPDFLHGEPFAHENADRPFPVWIKAHAPEKAFEEAKPIIAALKEHGMSTVGAAGYCWGAKVVAELAKAHEIQAAVMLHPSFVTIDDIKEVKCPTAILGAEIDKMSPPELVKQFKEVLSSNSGIGHFVKIYPGVAHGWTVRYNSDDTAAVKSAGEALADMTDWFNKNLK* >Brasy8G047400.1.p pacid=40081756 transcript=Brasy8G047400.1 locus=Brasy8G047400 ID=Brasy8G047400.1.v1.1 annot-version=v1.1 MLRLDGVASITSKALKLTDGAPQRVGRAFYGRRLDSISSFSTTFVFVISSQSRDLSGPGLAFTLSGTTDSLLDALPFQYLGMFNLENMGNTTNHLFAVELDTWFNSEFRDIDNNHVGIDVNNLVSIDSHTAGYYTSNGTFSPLSLISGEPMQVWVDYDANSHQVNVSLAPYLERKPERPLLSSIVNLTSVLPRSVYVGFASATGRIWSINQIIGWSFNPNGAAKPLDYSVLSEVINDVRREARSPSGISKRLLLLIIAMAVFIVLVMLVALYIYMKKARRSGEWEIDCGSPSFTYKDLVTATRGFNDRMVLGKGGFGKVYKGTLQTSRQNVAIKRISPDSKQGMKEFIAEITILGHLRHRNLVQLLGYCRHRNELLIVYDYMPNGSLDKVLHGQGNQTIDWVHRFNIIKGIASGLLYLHEEWEKVVIHRDIKTSNVLLDNEMNGRLGDFGLARLHNHGTDAQTTHLAGTWGYIAPELAMLGRATKATDVFAFGVFMLEVACGKHPIEVNNSGEPVLLTDWVTDAWEIGSILKTVDPKLEDYVKEEAELVLKLGLLCSHSVHSARPCMRMVMQYLVKDVSLPDFQPRFLSLTTKDEDFDQHILSCTSAATTMTGLSGGR* >Brasy8G145200.1.p pacid=40081757 transcript=Brasy8G145200.1 locus=Brasy8G145200 ID=Brasy8G145200.1.v1.1 annot-version=v1.1 MGLEALMARDHQQQLPRRCRRYHRLRSGELCDDEDQALARARRGPLRLRRKKKAVLSFRMAAAAPRRRGARVARLLMRLVLLAPARRAAALLAELVRRIATAAVMAAECPAAAGIVFSSQWGLPVLSHSPSSSSSRTARLRSFYLDRSLSAGSGSGSSSGAGSPC* >Brasy8G171000.1.p pacid=40081758 transcript=Brasy8G171000.1 locus=Brasy8G171000 ID=Brasy8G171000.1.v1.1 annot-version=v1.1 MVSAPPPIYASPALPESLGCTAPRWALALAPLPNPQAPPPDSTDQRRLHEATSDEPVPTESPDRPSGQRRTARNDSQAQEMKRLTSTTRPNSPKSPRHTESSPTPRTRRQFSICSREQPAYRSGDGSAG* >Brasy8G135100.1.p pacid=40081759 transcript=Brasy8G135100.1 locus=Brasy8G135100 ID=Brasy8G135100.1.v1.1 annot-version=v1.1 MQVLRLLAARRFRRRAVMSTITASATAPATQCSCGYYGYGEDEGPFFDLDLSSCCSAPASSAESGSESEDSSCAAAEADFVISLHRSRSSASHSSSSYERLSFGGCGAPPPVRLKFCASEPNDAAARFSASCRRGKLRTLSFGSAKAAFYGGRASFSRSSSSARSARLFTAAAYGGSPDQEAEEEAKGPPSASRDVIRRYLSKISRRLRRVAPGAAAAADLRLRKSRSASAAQTAAAAVQSLSSPPRRDDSLLEKQDGIASAIAHCKESFHRASVSECDSSLLRSRSDPGT* >Brasy8G014000.1.p pacid=40081760 transcript=Brasy8G014000.1 locus=Brasy8G014000 ID=Brasy8G014000.1.v1.1 annot-version=v1.1 MDDRSLASMLTMVKGIGAWSVHMFMIFSLARPDVLPSADLGVRKGVQLLYGLEDVPRPSQMEKLCERWRPYRSVGAWYMWRLIESKAAQIAPAIPVVPPALPVPGEEFMLQEQEQQHQQQPTAIQMIDQVQMLPGLG* >Brasy8G073700.1.p pacid=40081761 transcript=Brasy8G073700.1 locus=Brasy8G073700 ID=Brasy8G073700.1.v1.1 annot-version=v1.1 MPSPWASPSLAPATIGAMAFAQHSSSSPPPRSGWSTTPSTRCRPFVQPTPSREPHQGENRRPEPAPPPRHTRRPEHRQGVLPRRRGATHGHRCRAEPPTAAAPPGPSARTAVSRRNPSSPPDLQRSGDGDLPHEPARHQLQHATPLPPKLHLAAASRPYSAPRRRKRRQNRSPRRHHPRP* >Brasy8G259200.1.p pacid=40081762 transcript=Brasy8G259200.1 locus=Brasy8G259200 ID=Brasy8G259200.1.v1.1 annot-version=v1.1 MAMEPLPLGFGVGDAAMDASLFSSLWSFQDELQPQESVEELRQSLLAATLELEAAKEELRRKEQSIGKLADLVGHVTKERDEARDHLQNLQIAAAAAAAAKLPSPPAPAAAAAMVTSSLTADSDGSLVSSSPVDPFFLDAVTSSDRRPSPKQQHNQHPQQQRCVGGGAAMDAVLELLAAKKPLPPKGRLLQSVMAAGPLLQNLLVAGPLPRWRNPPPVHALDSLPAGVVHGGAIGAAGGAYGGAGANANFCMKRPAMAMAAAAPGFVVGKRHRLH* >Brasy8G025600.1.p pacid=40081763 transcript=Brasy8G025600.1 locus=Brasy8G025600 ID=Brasy8G025600.1.v1.1 annot-version=v1.1 MRRAVKRLSFGCASSGDRAEAAREVGRLARADERTKRALPELGVVPVLVSMLAADAHSGARVAAAGALLDLARGTHRNKVHIVKAGLLKKLPGLMADDDRALATSQELALLLLSLSSLADTDFPLAPAGELIPFLVAALGAPDAPAETRLACLAALRNLSAKLEHVRDVAAARGAVRVLLALCACAPEPKTKTDMAVAVAEAALCVLGDIAAAGGAAGRKAIAEDEAAPGALVGAMARHGSAACQEHATYLAMALAHGGNNGGSSMRRRMRDLGAVQALLEVSLLGSPLAQRRAAKILQWFKDDDGQGSNSRIRAHSGPRMECASSSSSCRGAGAGDGEDGDGHRAKAGNAVERIVKQSLDMNMRSIMRRATVSVDMTSAHTNKLLVASSSSKSLPC* >Brasy8G038200.1.p pacid=40081764 transcript=Brasy8G038200.1 locus=Brasy8G038200 ID=Brasy8G038200.1.v1.1 annot-version=v1.1 MSSTDLHGQEASRREDAEPTTSALKEEPPKRRKQSQVVVVLEEYLDFRKKHSVKLIEEIKEPKPDEKFSIAACVSTLEEMEGLSDREKGKALRLFKCQQNREIFINTKVPSVRLCWLKEEIDAART* >Brasy8G057100.1.p pacid=40081765 transcript=Brasy8G057100.1 locus=Brasy8G057100 ID=Brasy8G057100.1.v1.1 annot-version=v1.1 MCTLEQRGRVFVLTLTGDGEHRLGHALISSIRSALASAAAAAAAQAGPGACLVTVGEGRFYSNGLDIGWAGTSRARLGELVAALRPVAADLLALPMPTVAALTGHASAAGFLLALCHDYRLMRADRGVLYMSEVDIGLPLPPYFMAVLRAKITAAHALRDVVLRGRKLRAPEAKEMGIVDAVCPGAPETAAEALKLAEQLAARKWDGAVYASIRISMYPDACRSVGIVEESDEEKARNFASSKL* >Brasy8G017100.1.p pacid=40081766 transcript=Brasy8G017100.1 locus=Brasy8G017100 ID=Brasy8G017100.1.v1.1 annot-version=v1.1 MSEAAHCEKLKYIERVTAGAFRRLAPLITYEDVLPDVLRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIEEEMDRRCLLYSLLMPVMSQVVPGLDEGKALNLYFVKAETRTPGGLPARPVLTSFYKSRHFLERPHDPYAVLTSPDEAILCADVQQSMYAQLLCGPLQRAEVVRAGAIFASGFLRAIHFLEKHWRRMCHDIRTGTLDAGMVTDPAVRAAVERVLSRYADPALADAVAAECGTTTSWEGIVRRVWPNTNTYVPTLQFYGGGLPLCSTMYASSECYFGLNLDPMCDPSDVAYTLIPAMCYYEFLPVVPSTEPEPGQQDLVGLADVKLGHEYEIVVTTYSGLYRYRVGDVLRVAGFKNRAPTFNFLRRKNVALSIDYTSYAELAPMPGHYVLFWELRHAGPGAVLPADSVLEACCLAVEESLNSVYRQCRADGYIGPLEIRLVTANSFHALMDLALSKGASVNQYKAPRCVRAGDLLDGRVQGRYFSRQCPKWAPGN* >Brasy8G101200.1.p pacid=40081767 transcript=Brasy8G101200.1 locus=Brasy8G101200 ID=Brasy8G101200.1.v1.1 annot-version=v1.1 MQGDVFPGLPTAPGSTENSTSLVSPLFVIPELDLSAPIRCSIPGPDCHTDRVQGADASQLAEALQTHLPLPFVVPELVTAAPARCSTECSDDSTNRVTSISVLGVSTTTITLPGNIYIKPHMVSNDTTSLNMAKRYKVFVHVLAWTTSVLQPLHVKMLEQQPSLPAAQLEMADNEVVPRPTPRPSFGYCEGNRQLREPWPPPFILLNIGLTPQGTPTPWPPRSTSRKRCYACSRVLGVYQNQGYRLGSNYVQQDVPEAWWY* >Brasy8G015900.1.p pacid=40081768 transcript=Brasy8G015900.1 locus=Brasy8G015900 ID=Brasy8G015900.1.v1.1 annot-version=v1.1 MAEAKGKKGSRGYLTWTPDMDTALLAVLVEHHNNGDHAQNGWKPHVYNACIRHVKDTCGVDITKDNITARCKTFDKHYEVISKILAQSGFGWDWENGKLSIDSEDVWSKYVEANKAAGSYKTKVVMNWDQISTIYSKDHATGEGAKTAAECVQEEDTQVLEESPDIPQNQKRQRTGDAILCMMGDMKAEFQEVLKTTDPLTLPKVTPSAEILAALQIIPDLAECDMLKAYEKLSLSERLFESLMELPMTLRKAWLLSLA* >Brasy8G054500.1.p pacid=40081769 transcript=Brasy8G054500.1 locus=Brasy8G054500 ID=Brasy8G054500.1.v1.1 annot-version=v1.1 MSRWKLLASSEISGTVVPVLVWNKRERARAATSVVRSTNHTLGDGEKGDREARELVAMGGGGGRARQNAIRSGIVVLGAAAFGYLSYRVGFKPYLDRAQEAMDSHNSSPAAAAAAAAHASAAGQPDHPGADGDLAPSRDPAVVLRD* >Brasy8G153600.1.p pacid=40081770 transcript=Brasy8G153600.1 locus=Brasy8G153600 ID=Brasy8G153600.1.v1.1 annot-version=v1.1 MPEVRTSFLKKKVMGKPTQRSIDFVLERKGDDKSEVEVDPMAAATDSLPLLEWEQQQRRHDEEESNGPF* >Brasy8G013800.1.p pacid=40081771 transcript=Brasy8G013800.1 locus=Brasy8G013800 ID=Brasy8G013800.1.v1.1 annot-version=v1.1 MLQHCGGGAMAANTFSLFFPPTPAKAAAGQQWPPAEQAASYEDLSSTVTSPSSPSSDDSSAGSLLVDCTLSLGTPSSRAHRVARPVSGAAEESCYHFDRHHHHQQSMPAAGIGIGIGMGGVWHEQQQLEEDRRCCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAENNGAGGCGGLPSSSHGAPRRRHRSWSGV* >Brasy8G203300.1.p pacid=40081772 transcript=Brasy8G203300.1 locus=Brasy8G203300 ID=Brasy8G203300.1.v1.1 annot-version=v1.1 MSSPVHARKAIHTFSMKAAKLQGFLSLRVLLVAALAAFLLLFSARTLFPTSSSTTKSSSHVLNGGGGEYCGKLPAAVAETLVHYTTTNTTPQQTAAEIGVSLRVLQRRSPCNFLVFGLGLDSPMWAALNHGGRTVFLEEDASWIKSVRAAHPGLDLESYHVRYDTRLTDADDLLALRDHPDCIGADLDAVAAAGPESSSCKLALKGLPAAFREVEWDLIMVDAPTGWTPEAPGRMGAIYTAGMAARARRPGDGATDVFVHDVDRSVEDRFSKAFLCEAYLEEQVGRIRHFVIPSHREKPGTPFCPQN* >Brasy8G212100.1.p pacid=40081773 transcript=Brasy8G212100.1 locus=Brasy8G212100 ID=Brasy8G212100.1.v1.1 annot-version=v1.1 MLQGETAGTARSATRNKDNNSWTGPATRGPYGFRYLADFHRASVYGNDLTMSAAQVRHLQDLLHQGNPTIRYYVCCMNKTFTSSNSKMITSGMLLKQWCGHKNAINCCRSLHMVL* >Brasy8G011900.1.p pacid=40081774 transcript=Brasy8G011900.1 locus=Brasy8G011900 ID=Brasy8G011900.1.v1.1 annot-version=v1.1 MVKISRLAGVHTIPSNDFGMIFTHLVLELLSMIKFSRCILRGFRLHRCPCWIRPSITMSNHNGGTRRSRRRDGVAAERGEAVQARRRCGRHSEGGSEHRRALPQGRRLEDAPRTDRGPLQEGQLKHVQLIGTCSSLFSLCQFCCLWPDYSHMHVI* >Brasy8G011900.2.p pacid=40081775 transcript=Brasy8G011900.2 locus=Brasy8G011900 ID=Brasy8G011900.2.v1.1 annot-version=v1.1 MVKISRLAGVHTIPSNDFGGTRRSRRRDGVAAERGEAVQARRRCGRHSEGGSEHRRALPQGRRLEDAPRTDRGPLQEGQLKHVQLIGTCSSLFSLCQFCCLWPDYSHMHVI* >Brasy8G293900.1.p pacid=40081776 transcript=Brasy8G293900.1 locus=Brasy8G293900 ID=Brasy8G293900.1.v1.1 annot-version=v1.1 MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVTVKGHLHTYRFCDNVWTFILTDAQFKNEETTEQVGKVKIVACDSKLLTQ* >Brasy8G135800.1.p pacid=40081777 transcript=Brasy8G135800.1 locus=Brasy8G135800 ID=Brasy8G135800.1.v1.1 annot-version=v1.1 MDGLHTELALGLIGQLQTAPFVAKTYQMVCEPRTDALIRWGGENNSFVVADVAGFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGSASCSSATIDGGHEPQHVASASTGDELDLDDDEEEEGREAVLLEEVQRLRREQAAIGEQLARMSRRLQATERRPDQLMSFLTRLADEDSSVRILEQAAAEKKRQRMQQMQMQFIPARDFAAPSSPNPIVALRPPPRPPAVIDDAAMVDGVWRWAEEQKPERRSIATSEQPAASSGAQQVPEFEGGGGGGSGVGMGLTDGGATVETPFPFCLLGQCFF* >Brasy8G135800.2.p pacid=40081778 transcript=Brasy8G135800.2 locus=Brasy8G135800 ID=Brasy8G135800.2.v1.1 annot-version=v1.1 MDGLHTELALGLIGQLQTAPFVAKTYQMVCEPRTDALIRWGGENNSFVVADVAGFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGSASCSSATIDGGHEPQHVASASTGDELDLDDDEEEEGREAVLLEEVQRLRREQAAIGEQLARMSRRLQATERRPDQLMSFLTRLADEDSSVRILEQAAAEKKRQRMQQMQMQFIPARDFAAPSSPNPIVALRPPPRPPAVIDDAAMVDGVWRWAEEQKPERRSIATSEQPAASSGAQQVPEFEGGGGGGSGVGMGLTDGGATVETPFPFCLLGQCFF* >Brasy8G162100.1.p pacid=40081779 transcript=Brasy8G162100.1 locus=Brasy8G162100 ID=Brasy8G162100.1.v1.1 annot-version=v1.1 MLYKGRAGLWWLHQQTTPKESFRKTLVLHTHTHHTSKKQPAERVLRSKSKWRAKVLPPCLLSHCSSESSPPSHKVQVLFSVHARVICRGDSSEEEDSVESIGVCYGMSANNLPAANTVVGMFKSNGINAMRLYAPDQAALQAVGGTGVSVAVGAPNDVLSNIASSPAAAASWVRNNIQAYPAVSFRYVVVGNEVAGGATQNLVPAMKNVHSALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEADAFMGPVVQFLASAGSPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGNGVKVVVSESGWPSAGGTAATPANARVYNQYLINHVGRGTPRHPGAIETYVFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF* >Brasy8G162100.2.p pacid=40081780 transcript=Brasy8G162100.2 locus=Brasy8G162100 ID=Brasy8G162100.2.v1.1 annot-version=v1.1 MASQGVASMFALALLLGVFASIPQSVESIGVCYGMSANNLPAANTVVGMFKSNGINAMRLYAPDQAALQAVGGTGVSVAVGAPNDVLSNIASSPAAAASWVRNNIQAYPAVSFRYVVVGNEVAGGATQNLVPAMKNVHSALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEADAFMGPVVQFLASAGSPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGNGVKVVVSESGWPSAGGTAATPANARVYNQYLINHVGRGTPRHPGAIETYVFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF* >Brasy8G162100.3.p pacid=40081781 transcript=Brasy8G162100.3 locus=Brasy8G162100 ID=Brasy8G162100.3.v1.1 annot-version=v1.1 MQESYAGEILQRKKIVRTTNNRVESIGVCYGMSANNLPAANTVVGMFKSNGINAMRLYAPDQAALQAVGGTGVSVAVGAPNDVLSNIASSPAAAASWVRNNIQAYPAVSFRYVVVGNEVAGGATQNLVPAMKNVHSALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEADAFMGPVVQFLASAGSPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGNGVKVVVSESGWPSAGGTAATPANARVYNQYLINHVGRGTPRHPGAIETYVFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF* >Brasy8G112900.1.p pacid=40081782 transcript=Brasy8G112900.1 locus=Brasy8G112900 ID=Brasy8G112900.1.v1.1 annot-version=v1.1 MAADDAARSRRRMDLNLYLGLPRAPRPRRPDLGSDLALGTSMLSSSPSSSAASADAPPPEPEPLHPPYSPTRADLMRPPSDPYDPSAPEAHQPYLPASDAIPELADDLDFSFLHPHQPQLVPSELLGWVDRPSSSTASSTFRPERSDRFRRAMCRQPRFFRPRRFRSDLPPLSSEAPSLDNDAAAPPPHEPMHDTVEENKVIAGGAMVSAEDEPTERGKSSAMFECNICFEMADEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSSSDVEKKVTEDGNVSGPNIPARPHGNRLESFRQQFHHLRPISRRLGEAHGILSSWRRILDQQIMSTVSQFEGPPESSVQEMIDHAHQTGRLSRITTRMRARRLQREAENPTSVAASAPDSGPSGNNASDPPRHSSSPLSSERIDLLQQLTLVGLANTERRMLLLRRAQENLAMQGLQDR* >Brasy8G033000.1.p pacid=40081783 transcript=Brasy8G033000.1 locus=Brasy8G033000 ID=Brasy8G033000.1.v1.1 annot-version=v1.1 MVAHRFHQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFSLCKRDNTKQFHNSKIKFPLVYQKVRPPTRKLKTTYKATRPNLFM* >Brasy8G291500.1.p pacid=40081784 transcript=Brasy8G291500.1 locus=Brasy8G291500 ID=Brasy8G291500.1.v1.1 annot-version=v1.1 MLTRMMSVMITRGIDHHQGFRYRGVIKVMD* >Brasy8G073600.1.p pacid=40081785 transcript=Brasy8G073600.1 locus=Brasy8G073600 ID=Brasy8G073600.1.v1.1 annot-version=v1.1 MLPPAARLAARRLLGLASASAAQSSAHRLFPSPISSPATASTAAAAATGYFSRSFSSALNYHIDSPENSPDMPWKFTEANMEKVKEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAEIVEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEEALLKHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYTKGSDAYTYNYYEDLTPKRVVELVEMLRRGETPPRGTQNPERKNCGPAGGNTTLLGEPKPPPCRDLDAC* >Brasy8G278600.1.p pacid=40081786 transcript=Brasy8G278600.1 locus=Brasy8G278600 ID=Brasy8G278600.1.v1.1 annot-version=v1.1 MESRSSWPKPPLKHNEPVDVEHGDDVHAMAWHLLPATRWRCRHDPHQHRELVAPAASYRGGHGEEGARGGGQGRRPTGRSRRGGGRRAGEEARGGAAGRSCGKEARGGGTGEEVDGGGELGAGRSRGEEARGGGTGEEVDGGGELGAGRRARGGGTGEEVDGGGELGAGRSRGEEGAGRGRGEEARGARRRGGGGRRRGARRRRRGRGGRGGGKGAGSGLWRLGFLSRPRLN* >Brasy8G257900.1.p pacid=40081787 transcript=Brasy8G257900.1 locus=Brasy8G257900 ID=Brasy8G257900.1.v1.1 annot-version=v1.1 MALRNLVTKMRAPAAAALRHLPPPAPSASLPPAGTRRLSTKLARDFEGKHQAKKINLESATPEEIFREAKMLEQMTEESLECVRKADEKLPVLMKDMWGTAKLWFAAGFLAEVLSFTAFLREEKREVEPAK* >Brasy8G167700.1.p pacid=40081788 transcript=Brasy8G167700.1 locus=Brasy8G167700 ID=Brasy8G167700.1.v1.1 annot-version=v1.1 MRGYTGSVLEAVKTADGCTPEARKTGTYDARAAVHEAKPQDVRDPHRRRGSEGGSGEENPWIIIGKTTAADGREGFPAPRRGKYFGKPPASGTRAYGDTTFVPQTLLCIFSPLLDAAAGERNGAGHRIRETHGAAGVPPAEEADGGGPEARPLQDYDYFLFDPSLASSPAPVPEDEAPSSSGADGDHELFIRGNRIIWSTGSRVHKRYASPNTVITACWCRVDAISDALLCVLQVDTLSIYDVTGEVVSIPLPYAVSSIWSLPFGLLLQKSTDGGRMVSSSSSLLNARDLTRPNKEFGLSYNVSCQASTVEAASKSDGAVISSHLILKHPLEDHHLSVSPLNRDLRQTRPECRPSTSAPDLPP* >Brasy8G081800.1.p pacid=40081789 transcript=Brasy8G081800.1 locus=Brasy8G081800 ID=Brasy8G081800.1.v1.1 annot-version=v1.1 MENPPDPHATNIIINPGVAFGTGEHPTTKLCLLFLREVIKGGEHVLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMLLNDLDSNKMLVYLVPTDAGPSSFSSSIDKSEENKPTYHPELMSSRGTYDIVAANILLNPLLELVEDIVGYAKIGGTIAVSGILSEQVAKVDKAYSRYLDNILVSEMDGWACLQGTRRM* >Brasy8G018100.1.p pacid=40081790 transcript=Brasy8G018100.1 locus=Brasy8G018100 ID=Brasy8G018100.1.v1.1 annot-version=v1.1 MHSMSGSAGGGDHGGELFFGRHSSSDQPAAGSFDDMGGIFLSSQSSAPGAGGDVVGGLTPPAHDDDDASITDYLQGFLEHNYPLDDAPLPPGDAAADAVKQPGSSAAAEEQEEDEDEAASADHQSCRVKGLKKKQKKTEEEETKGARRARGRRLAFATKSEVDRLDDGYRWRKYGQKAVKNSSYPRSYYRCTAARCGVKKQVERSQQDPATVITTYEGHHQHPVSPAIACRLPPPLTMLAAPYHSSLAAAQQRQLLHHGFCPDAAYEPAAPLLPQAAPEYYDGTPQGLLPGSMGRHS* >Brasy8G021500.1.p pacid=40081791 transcript=Brasy8G021500.1 locus=Brasy8G021500 ID=Brasy8G021500.1.v1.1 annot-version=v1.1 MGGRARSLIRWLRHHRSRRVSSSSSSHLPSNTTSSSSTSDLRAHSLPQHHQDDHGEVVVEWEDAAEGPDSDPEEEYIVVVLGDDEEESQQGVVAAAAAARAPVRTKPPRVMDPGKKTSESEFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERCAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDGAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLSKIRNEKARRYLSNMRKKPKVPLTKKFPGIDPMALHLLERLLAFDPKDRPSADEALTDPYFTGLANSEREPITQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGGDQMSFMFPSGVDRFKRQFAHLEEGGAKGEKSSPQLRQNASLPRERVIGNKHGDGDHNMKLNVGEKPELASVSDGISKPLMSARSLLKSETMSASKCIGEIKNKDEDALSECVEGTDDDVSQKIAQLKT* >Brasy8G161700.1.p pacid=40081792 transcript=Brasy8G161700.1 locus=Brasy8G161700 ID=Brasy8G161700.1.v1.1 annot-version=v1.1 MAAPTSPPAAAARASAFRSRTDRLALPAALPADGRGDNATTYKELGLFSWKRRIEDAVIRVEVTASSALEWEEARRIKHEEVLQSRNLWDNPAKSHETLSALSDAIRAVDHLKDLLYKAEEAKLISHLAGMDVINGELFKQAYEVSLGASEFLDRYQMHKLLKGPYDKEGACIIVTAGSESVASELWAEKLFGMYASWARRQGCKGGLVEKIMSASGHIQFAAIEIESEYMFGTLYGEKGMHRMIYSSVDNSGTDEALSARVDIIPLFLDRPVNLHLDDDDLEISPSPSGCENRNRRNGATVRIQHIPSGVDAQSSGERSYFANKLKAMSRLKAKLLIVARELRVADAKMAEKQAVEDKCNRETRIYTFGPQESVHDLNTGIQLSDLNSVLEGDIEPFIRGRIFSRQG* >Brasy8G161700.2.p pacid=40081793 transcript=Brasy8G161700.2 locus=Brasy8G161700 ID=Brasy8G161700.2.v1.1 annot-version=v1.1 MHNAEEAKLISHLAGMDVINGELFKQAYEVSLGASEFLDRYQMHKLLKGPYDKEGACIIVTAGSESVASELWAEKLFGMYASWARRQGCKGGLVEKIMSASGHIQFAAIEIESEYMFGTLYGEKGMHRMIYSSVDNSGTDEALSARVDIIPLFLDRPVNLHLDDDDLEISPSPSGCENRNRRNGATVRIQHIPSGVDAQSSGERSYFANKLKAMSRLKAKLLIVARELRVADAKMAEKQAVEDKCNRETRIYTFGPQESVHDLNTGIQLSDLNSVLEGDIEPFIRGRIFSRQG* >Brasy8G161700.3.p pacid=40081794 transcript=Brasy8G161700.3 locus=Brasy8G161700 ID=Brasy8G161700.3.v1.1 annot-version=v1.1 MDVINGELFKQAYEVSLGASEFLDRYQMHKLLKGPYDKEGACIIVTAGSESVASELWAEKLFGMYASWARRQGCKGGLVEKIMSASGHIQFAAIEIESEYMFGTLYGEKGMHRMIYSSVDNSGTDEALSARVDIIPLFLDRPVNLHLDDDDLEISPSPSGCENRNRRNGATVRIQHIPSGVDAQSSGERSYFANKLKAMSRLKAKLLIVARELRVADAKMAEKQAVEDKCNRETRIYTFGPQESVHDLNTGIQLSDLNSVLEGDIEPFIRGRIFSRQG* >Brasy8G213300.1.p pacid=40081795 transcript=Brasy8G213300.1 locus=Brasy8G213300 ID=Brasy8G213300.1.v1.1 annot-version=v1.1 MGATLPERLPGPWVGERSEKAESSYALFVLRSPEDAPPAPAAAVLPTSVPTLIHPAWAKNPRYMKTGRVHAMKIAKVALDYYNKKMKIKFELLDFGPSIHVPSKTFPYMHINFTARSSKEGSQENLFFAELQLCSRRKIPSGYSVLYCEPLGSDSAAGRGGMDNPRCTSTESKNVDSDYCYACGPFMFHPKGTKYVAGHCTVPHIYEAAML* >Brasy8G107700.1.p pacid=40081796 transcript=Brasy8G107700.1 locus=Brasy8G107700 ID=Brasy8G107700.1.v1.1 annot-version=v1.1 MARQGVASMFALALLFGTFVSIPKSVESIGVCYGMSANNLPPPSTVVGMFKSNGITSMRLYAPDHAVLDALGGSGINVVVGAPNDVLPALAGSQAAAAAWVRENIQAHPAVSFRYVVVGNEVAGALTAHLVPAMENMHAALAAAGLGHIMVTTSVSQAILGVYSPPSAGKFTTEADYFMRFVIPFLVRTGAPLMANIYPYLAWAWKPSAMDMRYALFTAPGVVVLDGAYGYQNLFDTTVDAFYAAMGRYNGGWGVKLVVSESGWPSAGGVAASPENARIYNQYLVKHVARGTPRHPGAIETYIFSMFNEDQKEEGVEQHWGLFYPNMQRVYPLSFW* >Brasy8G166200.1.p pacid=40081797 transcript=Brasy8G166200.1 locus=Brasy8G166200 ID=Brasy8G166200.1.v1.1 annot-version=v1.1 MLAGDEDDDSLDDDEFGSSTGPRPIPYAQLAASTGDFSEERKLGQGGSGSVYRGHMEELDDGRRDVAIKVFLRGASLEGRKEYRTEVTVISRLRHRNLVQLIGWCHGRRRLLLVYELVHNGSLDRYLYGSKEETLTWQLRYQIILGLASAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLSRLIDHGMSLQTMTAMAGTPGYLDPECVISGKASTESDVYSFGITLLEIACGRRPMAPPSADSKQVFRLLEWVWDLYGRGAALDAADERLGGAFDRWEMERVVAVGLWSAHPDPKMRPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFGASTMEYGYAGDSNTTVGSSVTMQYSLTSQTTATQSSNSSGPAAGSC* >Brasy8G295000.1.p pacid=40081798 transcript=Brasy8G295000.1 locus=Brasy8G295000 ID=Brasy8G295000.1.v1.1 annot-version=v1.1 MLHCIRHYNGDELEQPLTLKGYTRMQHTFLFELLSIENNNTRLPVPVLKIIGEPKEF* >Brasy8G244400.1.p pacid=40081799 transcript=Brasy8G244400.1 locus=Brasy8G244400 ID=Brasy8G244400.1.v1.1 annot-version=v1.1 MGPRPASAQQQRLGLLVVAAALLSAASLAPVASAKGKGGHVNPQVAGICSHTPFPDVCKCTAGRHAAKYPVIDAQAVLNMQVDAFARRTAQARKHVAKSSRRGTPAQAQALSFCDTMYMNTQDTIGAAQRAISFKDKGTAKIMLQLAVQDFQSCDRPFQLAAIPNPMLKYDQQLNQMANNCMALANMM* >Brasy8G061300.1.p pacid=40081800 transcript=Brasy8G061300.1 locus=Brasy8G061300 ID=Brasy8G061300.1.v1.1 annot-version=v1.1 MSFRRGEDLAAAARHRQEDDDEELFEAASSSGEESADEEDQFPDQETGRRPPAPPQPLRRMNSDSVYDMSGMTAQLPAKKGLSMYYQGKSQSFACMTEVRSLEDLQKKEKKTPRARGGQSSNKMKPCKGYAALGAMASSKKAAGSCANLSQLTAGNGFRAPRIPVNENCCYRQ* >Brasy8G039400.1.p pacid=40081801 transcript=Brasy8G039400.1 locus=Brasy8G039400 ID=Brasy8G039400.1.v1.1 annot-version=v1.1 MNWAGLQPTKPNSRFIALSCLAHQKKKLRCGSSPLESGPLPPLSAAGPHFSRRAPLALRPFQCDASETVALMVSVAVSLVPVALLPLGSAARRMRGGAAIN* >Brasy8G091500.1.p pacid=40081802 transcript=Brasy8G091500.1 locus=Brasy8G091500 ID=Brasy8G091500.1.v1.1 annot-version=v1.1 MRFHWLEAMLPLGIIGGFLCIMGNAQYYIHRAAHGRPKHIGNDMWDVAMERRDKKLVDQPSGN* >Brasy8G279200.1.p pacid=40081803 transcript=Brasy8G279200.1 locus=Brasy8G279200 ID=Brasy8G279200.1.v1.1 annot-version=v1.1 MVKDEDTLLDDQNPRSWTGNIRNIPIYKWREASSIFVVHDHCQQRAAFDFLKRGNVIKMLHMQWKVKAIRAS* >Brasy8G200900.1.p pacid=40081804 transcript=Brasy8G200900.1 locus=Brasy8G200900 ID=Brasy8G200900.1.v1.1 annot-version=v1.1 MMLNFRDWISYRLGSSLLSARPFAISPGASEGDVNDGTTESEFAETVSANRFPSNDSRAASEVTSNAQDAAVSPGPLHPDHDNSKPDPLMQVEALQIKFLRLVHRTGVPPNTNVVAQVLYRLQLANLIKAGESDARRTNLAMNKARVIAAEHEAPGGPDLDLPLRVLLLGKTGVGKSATVNSIFDETKVATDALAPATNRIKMVDGTIKGVRVTVIDTPGLTPHYHSQRRNRKILHAVKRFIKRSPPDIVLYFERLDHINSKYSDYPLLKLITDILGSSIWFNTILVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRQIQVAASNTQLENPIVLIDNHPLCRRNTRGERVLPNGQVWVSELLLFCGATKLLAEANSLLKFQDSFLLSHANTRLPSLPHLLSSLLKPYPSSSSDTIDNEMTELSDEEDEYDQLPPFRVLKKSEYEKLTKEQKTAYLDELDYRETSYLKHQWKEGIRRQKLAEAQSSEASYGVADDYEESTAPEVVHMSDMEIPLSFDSDYPAHRYRHLITNDQLFRPVLDPQGWDHDIGFDGINFESSHELKRNISSSIAGQMRKDKEDMYIQSECSVSYTDQRGYSLMGGMDMQTASKDLVCTVHGDAKYRNFPWNTTGGGISVTKFGSKYFSGAKLEDSIIIGKRVQLVANAGRMVGCGQVAGGGGLEVTVRGKDYPVREGSTTIAATALSFEKDTVISANLQSVFRVGRGSKLSVSANINSRKLGRLSVKTSTSDHVEIALLAAVSLIQFLLRRRSPPTDKGEQQFDADSLEE* >Brasy8G042200.1.p pacid=40081805 transcript=Brasy8G042200.1 locus=Brasy8G042200 ID=Brasy8G042200.1.v1.1 annot-version=v1.1 MARSGGGGSCCCSSSSSSSGGGWIMAAGEEPTAATRLVVIVGATGTGKTKLSIDAAQAVGGEVVNADKIQLYAGLDVTTNKVPPADRRGVPHHLLGALRPENGELSPASFRSLAAAAAAAIAARRLVPVVAGGSNSLIHALLADRFDSTMENEDPFSAAAATENYRPGLRFPCCLLWVDVEEGLLDEYLDRRVDDMVGGGMVEELREYFAATTASERAAHAGGLGKAIGIPELGDFFAGRKSFPDAVDEIKANTRRLAAAQVRKIRRMADAWGWPVRRLDASATVRARLAGAGHAAESAAWERDVRGPGLAAIRGFLAAADQPPPRAAITNGVEEPLMLRMQCCDPMTV* >Brasy8G216100.1.p pacid=40081806 transcript=Brasy8G216100.1 locus=Brasy8G216100 ID=Brasy8G216100.1.v1.1 annot-version=v1.1 MGRSGFTDDGGASMMDWLMDSVVSISTDSEEKRNQGYFDPDYLLVHEHDDDDEQNLGTDVMNKILASYEAQVKEEKMKNQKLQDDMLKLEIELAFQDQVIEELESKISEILNVEVGQVRDFAVASWSVGITLAAVLACFVAWS* >Brasy8G007500.1.p pacid=40081807 transcript=Brasy8G007500.1 locus=Brasy8G007500 ID=Brasy8G007500.1.v1.1 annot-version=v1.1 MPVAQVGGGGGRVAPDAEPRASSAARKTRRRHRRSTSATAAAAALVEPPPPNPMQRLFDTSRDVFSASSPGFVPPPDAVARLAGLLNDLKPQDVGIDPSMPFFKHTDSKGPPPVTYLHFYDCSKFSFGIFCLPKSAVIPLHNHPGMTVFSKMLFGSMHLKSYDWARSNPESDTNSTQTTPDGARLAKINTDAVFDASAETIVLYPENGGNLHCFTALTPCAVLDVMGPPYNRADGRDCAYYNESPYSSTSSEGGRYSWLKEIPSTFEMKGVQMPRRFIV* >Brasy8G209000.1.p pacid=40081808 transcript=Brasy8G209000.1 locus=Brasy8G209000 ID=Brasy8G209000.1.v1.1 annot-version=v1.1 MAAAAAAEVSNRSVTLKQYVTGFPTEDDMELVTAAVTLAVPPGSAAVLVKNLYLSCDPYMRRRMTRHLVPSYIPDFVLGEAIPTFGVSKVVESGHPDFKAGDLVWGMTKCEEYTLVTKPQLIYKINHPEFPLSYYTGVLGMPGITAYAGFFDVSKPKKGEYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNATLKRCFPEGIDIYFENVGGATLDAALLNMRMHGRVTVCGLISQYNLEQHEGVRNLFCVLAKRIRMEGFTSGEYFGTRRKVEEEMAGYLKEGKITCVEDVAEGIENVPKALVGLFHGRNVGTSMPCGNVYWKPEEIGS* >Brasy8G209000.2.p pacid=40081809 transcript=Brasy8G209000.2 locus=Brasy8G209000 ID=Brasy8G209000.2.v1.1 annot-version=v1.1 MAAAAAAEVSNRSVTLKQYVTGFPTEDDMELVTAAVTLAVPPGSAAVLVKNLYLSCDPYMRRRMTRHLVPSYIPDFVLGEAIPTFGVSKVVESGHPDFKAGDLVWGMTKCEEYTLVTKPQLIYKINHPEFPLSYYTGVLGMPGITAYAGFFDVSKPKKGEYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNATLKRCFPEGIDIYFENVGGATLDAALLNMRMHGRVTVCGLISQYNLEQHEGVRNLFCVLAKRIRMEGFTSGEYFGTRRKVEEEMAGYLKEGKITCVEDVAEGIENVPKALVGLFHGRNVGKQLVAVARE* >Brasy8G278500.1.p pacid=40081810 transcript=Brasy8G278500.1 locus=Brasy8G278500 ID=Brasy8G278500.1.v1.1 annot-version=v1.1 MASPDDGGSDEPAEGDLQPSSSSSSFSSRGSSVSTITFTDTEPASLTIRVLQEITDNFSEERALGQGAYGKVYRGMLENGEEIAVKLLYNNMEAIDDEQFRQEFDNLMKLNHPNIVRLVGYCYETQRQHMDFQGRIVLGETTYKALCFEYMHMGSLQRHLSGK* >Brasy8G030800.1.p pacid=40081811 transcript=Brasy8G030800.1 locus=Brasy8G030800 ID=Brasy8G030800.1.v1.1 annot-version=v1.1 MGTRRVTLVLLAAVLLQALLPASEAEGLVRIALKKRPIDQNNRVATRLSGEEGQRLGGANSLGSGDEGDIVALQNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACYFHSRYKAGQSSTYKKNGKPAAIQYGTGSIAGYFSEDSVTVGDLVVKDQEFIEATKEPGVTFMVAKFDGILGLGFQEISVGKAVPVWYKMIEQGLISDPVFSFWFNRHAGEGEGGEIVFGGMDPKHYIGEHTYVPVTQKGYWQFDMGDVLVGGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPKKICSQVGLCTFDGTRGVSAGIRSVVDDEAEKSNGLHSDPMCNACEMAVVWMQNQLSQNKTQDVILNYINQLCDRLPSPMGESSVDCGSLTSMPEIEFTIGGKKFALKPEEYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKLRVGFAKAA* >Brasy8G157400.1.p pacid=40081812 transcript=Brasy8G157400.1 locus=Brasy8G157400 ID=Brasy8G157400.1.v1.1 annot-version=v1.1 MFAASTAITRLLLPRPAGPCRGLSFHPPLLPRHRSRRAVDASSAASGGSGAAKQPPRTLFPGGFKRPEIQVPALVLRVGVDEALGSGDAVASAVARGVGIVVLEAGEEGGGRAYEAARALKAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSESIYLPLVARTIRSSDSARSASSSEGADFLIVNTRTDDFSSAISGVGAQNVRIPIFFTLNDSQSEGSYSDTTSKLLQSGASGIVLSLAGIHHLTDNIIERDFSRVDTTDGVPQATYSSASTSEETNNVMVLSREKTKVAGFTKLDEKVMELIAMEKPILNEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEVDSESMERCERHPDGQFTCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSDELEEATAFIKENARKLLNTEHITLFPVSSRSALEVKLSYSKNNDREHYGEVLLNDPRWRSSKFYDLEHYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKSIDDLTAIKDLVSGANSYAVKIEADSNSWQKQISSLIERAKSRAIMLMESTLQLSNIDLISTYMLTGEKGTSAKATSFVQNDILSPALDDAVNLLGEYSTWLSSSNIREANLYLECFHERWNSLLTQEERLPSDPNELVNEGEKLSIKALNGFSAYAAAKVFEAEIREVATGTFGGLGVAGLSASLLTSVLTTTLEDLLALALCSAGGFFAISNFPGRRKLAIEKVRKAADDLSRKVDEAIQEDISQSASKLVQFVDVVSKPYQDACQRKIDWLQGVQGEMSAVERKLQTFKVDIQNLDGS* >Brasy8G157400.3.p pacid=40081813 transcript=Brasy8G157400.3 locus=Brasy8G157400 ID=Brasy8G157400.3.v1.1 annot-version=v1.1 MFAASTAITRLLLPRPAGPCRGLSFHPPLLPRHRSRRAVDASSAASGGSGAAKQPPRTLFPGGFKRPEIQVPALVLRVGVDEALGSGDAVASAVARGVGIVVLEAGEEGGGRAYEAARALKAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSESIYLPLVARTIRSSDSARSASSSEGADFLIVNTRTDDFSSAISGVGAQNVRIPIFFTLNDSQSEGSYSDTTSKLLQSGASGIVLSLAGIHHLTDNIIERDFSRVDTTDGVPQATYSSASTSEETNNVMVLSREKTKVAGFTKLDEKVMELIAMEKPILNEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEVDSESMERCERHPDGQFTCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSDELEEATAFIKENARKLLNTEHITLFPVSSRSALEVKLSYSKNNDREHYGEVLLNDPRWRSSKFYDLEHYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKSIDDLTAIKDLVSGANSYAVKIEADSNSWQKQISSLIERAKSRAIMLMESTLQLSNIDLISTYMLTGEKGTSAKATSFVQNDILSPALDDAVNLLGEYSTWLSSSNIREANLYLECFHERWNSLLTQEERLPSDPNELVNEGEKLSIKALNGFSAYAAAKVFEAEIREVLYFHDYLSF* >Brasy8G157400.2.p pacid=40081814 transcript=Brasy8G157400.2 locus=Brasy8G157400 ID=Brasy8G157400.2.v1.1 annot-version=v1.1 MEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEVDSESMERCERHPDGQFTCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSDELEEATAFIKENARKLLNTEHITLFPVSSRSALEVKLSYSKNNDREHYGEVLLNDPRWRSSKFYDLEHYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKSIDDLTAIKDLVSGANSYAVKIEADSNSWQKQISSLIERAKSRAIMLMESTLQLSNIDLISTYMLTGEKGTSAKATSFVQNDILSPALDDAVNLLGEYSTWLSSSNIREANLYLECFHERWNSLLTQEERLPSDPNELVNEGEKLSIKALNGFSAYAAAKVFEAEIREVATGTFGGLGVAGLSASLLTSVLTTTLEDLLALALCSAGGFFAISNFPGRRKLAIEKVRKAADDLSRKVDEAIQEDISQSASKLVQFVDVVSKPYQDACQRKIDWLQGVQGEMSAVERKLQTFKVDIQNLDGS* >Brasy8G169000.1.p pacid=40081815 transcript=Brasy8G169000.1 locus=Brasy8G169000 ID=Brasy8G169000.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFLVHKAVGIGHTIELAIQQVVYMCVTLLRTKYERLNKGPFKYLPRGFSTCKNKFVTLPGMPEEKVADDCYDFCNFVTSQEYMVANMREEVEHYRKQLWALVHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy8G048000.1.p pacid=40081816 transcript=Brasy8G048000.1 locus=Brasy8G048000 ID=Brasy8G048000.1.v1.1 annot-version=v1.1 MLHESPILLKALHQLFLLLLKRHENALKRVDRVNQMCMDLMIPETIHNGALYGYQLQGLQWIFDNFKTRKSVILADEMGLGKTMQVVCFLSHIIKGSFTTSPALILAPKSILLQWKRRQGFQKVYPSSSAAPSPTPSSSTSAPTSPTTSSGASA* >Brasy8G048000.3.p pacid=40081817 transcript=Brasy8G048000.3 locus=Brasy8G048000 ID=Brasy8G048000.3.v1.1 annot-version=v1.1 MLHESPILLKALHQLFLLLLKRHENALKRVDRVNQMCMDLMIPETIHNGALYGYQLQGLQWIFDNFKTRKSVILADEMGLGKTMQVVCFLSHIIKGSFTTSPALILAPKSILLQWKRRQGFQKVYPSSSAAPSPTPSSSTSAPTSPTTSSGASA* >Brasy8G048000.4.p pacid=40081818 transcript=Brasy8G048000.4 locus=Brasy8G048000 ID=Brasy8G048000.4.v1.1 annot-version=v1.1 MLHESPILLKALHQLFLLLLKRHENALKRVDRVNQMCMDLMIPETIHNGALYGYQLQGLQWIFDNFKTRKSVILADEMGLGKTMQVVCFLSHIIKGSFTTSPALILAPKSILLQWKRRQGFQKVYPSSSAAPSPTPSSSTSAPTSPTTSSGASA* >Brasy8G048000.2.p pacid=40081819 transcript=Brasy8G048000.2 locus=Brasy8G048000 ID=Brasy8G048000.2.v1.1 annot-version=v1.1 MLHESPILLKALHQLFLLLLKRHENALKRVDRVNQMCMDLMIPETIHNGALYGYQLQGLQWIFDNFKTRKSVILADEMGLGKTMQVVCFLSHIIKGSFTTSPALILAPKSILLQWKRRQGFQKVYPSSSAAPSPTPSSSTSAPTSPTTSSGASA* >Brasy8G215400.1.p pacid=40081820 transcript=Brasy8G215400.1 locus=Brasy8G215400 ID=Brasy8G215400.1.v1.1 annot-version=v1.1 MAAGNEFFMDLELAAAMDRAAAGNYFNNLASSGFGGVAAAQFFPNNSVAAADAGSAAHGGRGAMAAPATFGFGFGFGAAALPAVAAVAAPPPPPPQAAEYVEQLKGTWTQEEDEMLRAAVNQFGERKWAVIAKHLPGRIGKQCRERWINHLHPDIKKDPWSEAEELELVEAHKRCGNKWSVIARRLPGRSENNVKNHWNATRRGLNAKRCLKKRSSQRQPAPGRLSQLAYYISTIHPPPPSSAAASLSPPPPPEQENEEQQLQAPQVAVEEEQDHPAAGNAENVNGGSPIEMLGDEFSYYFSHMQQVPQQQQQVLQQRQMMNYNMSYNNVYPPLTGEDYQIQLGLNKMYAQQQAAQDRGSSSSNNARLDGLLMGNNAVGGGGFSSYGYGGGVGPSGIAGQEVQDGVNVAQFAAEPGQYQVFNMEDHLTRFPSI* >Brasy8G140500.1.p pacid=40081821 transcript=Brasy8G140500.1 locus=Brasy8G140500 ID=Brasy8G140500.1.v1.1 annot-version=v1.1 MALRLVLALALVGCAAAAAGRVDLWPMPASVSRGAKTLYVAKDLKLSAAGSKYADGKAILAEAFERMVAVVQMDHAVNGSYRGLPVLAGVNVVVRSPDDELKFGVDESYKLSVPATGSPVYAQIEAQTVFGALHALETFSQLCHFDFVLRVTGLHSAPWTIMDMPRFPYRGLLIDTARHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPLEIPSYPKLSNGAYSYSEKYTINDALDIVQYAEKRGVNVLAEIDVPGHARSWGVGYPPLWPSASCQQPLDVSNDFTFKVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTTTPRIKSWLVQHGMNESDAYRYFVLKAQKIAISHGYDIINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVSAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLILGGEVCMWGERIDASDIQQTIWPRAAAAAERLWTPVEKLAKDATAVTARLARFRCLLNERGVAAAPLAGYGRTAPLEPGSCVRQ* >Brasy8G140500.2.p pacid=40081822 transcript=Brasy8G140500.2 locus=Brasy8G140500 ID=Brasy8G140500.2.v1.1 annot-version=v1.1 MALRLVLALALVGCAAAAAGRVDLWPMPASVSRGAKTLYVAKDLKLSAAGSKYADGKAILAEAFERMVAVVQMDHAVNGSYRGLPVLAGVNVVVRSPDDELKFGVDESYKLSVPATGSPVYAQIEAQTVFGALHALETFSQLCHFDFVLRVTGLHSAPWTIMDMPRFPYRGLLIDTARHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPLEIPSYPKLSNGAYSYSEKYTINDALDIVQYAEKRGVNVLAEIDVPGHARSWGVGYPPLWPSASCQQPLDVSNDFTFKVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTTTPRIKSWLVQHGMNESDAYRYFVLKAQKIAISHGYDIINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVSAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLILGGEVCMWGERIDASDIQQTIWPRAAAAAERLWTPVEKLAKDATAVTARLARFRCLLNERGVAAAPLAGYGRTAPLEPGSCVRQ* >Brasy8G048500.1.p pacid=40081823 transcript=Brasy8G048500.1 locus=Brasy8G048500 ID=Brasy8G048500.1.v1.1 annot-version=v1.1 MAACSTVLAFFLLALSCVRERRFLAANAAVGVNWGTLSSHRAPPPVVVDLLRANRIGKVKLFDADPGVLSALARSGIQVMVGVTNGELAGIAGSPAAADAWVAQNVSRYVGRAGVDIRYIAVGNEPFLTSYQGQFLSYVIPAMTNIQQSLVKANLANYVKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLATYLSSNGAPFVVNIYPFLSLYQNSDFPEDYAFFEGSTHPLVDGPNVYYNAFDGNFDTLISALSKIGFGQLPIAIGEVGWPTEGASSANLTAARAFNQGLISRVMSNKGTPLRPGVPPADVYLFSLLDEEQKSVLPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAKEVPYLPSRWCVANPAQDLDKASNHLKLACDMADCTTLYHGGLCNGIGEKGNISFAFNSYYQMQKQDAKSCDFDGHGMITYLDPSMGECRFLVGIEDSSSSAVSSCGGCCRVFCGVLAVWVLMYLRMMGSA* >Brasy8G097900.1.p pacid=40081824 transcript=Brasy8G097900.1 locus=Brasy8G097900 ID=Brasy8G097900.1.v1.1 annot-version=v1.1 MEGVEAAEAAAAVARIRVVRCPKCDKFLPELPAYSVYVCGGCGATLQAKKKNSVQALDTSDNGNVKYLEVLESVPETSEATSGAITDYRSVPNRMPPLHSRSVYSRDNSRITGEPSTSNAKTNIRDDVREAKYMRFRNGENGETAHPTTVRGMPDIFPKSPIDSVPPNACRGEGPVDHHLKSRYTYSNREHGNDTDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVNGPDTSATDKRAADASSSYSTHERSSQLRHDPSQLHRKGSHHSPSLNVRSPIPHVYATLPTQQNLHGYAEPIAHARTSSYPAGPYPWRNFDNYFFGQHDPDPILSCHHEGFYHQAACSCSHCYHREFLPVQGTPLGFNDHRAPYLLNSYGAYPVDGPLLGQQRYNSRGANTSLQRNNLRANVSKKPAQICEPIAGGAPFTICYNCYEVLQLPNKRSLLMKEYKLRCGSCSHTIVVKLDGTRLDVSELAPSAHLSPALQNSIGDNMRGNECTTADESLLPPYCFSVGSHQSQGKEMHLNLSESNSKHTPLGTNSQHSSQSSDLPAEHNVVSRTPSLPRHEHCGSSPSEGSGIGSRSTRSEHEKAILLTEGCKQNSIKDVCVANEMQSSDNEFDDPDYTQDILNVPQDSGHTRVTKVGDSFLTNLIKRSFKMNSGMRNGRARVFVNGVPITDRAVRKAEKLAGTIYPGDYWYDYRAGFWGVVGQPCLGMIPPYIPEFNYPMTKNCGGGNTSIYINGRELHQKDLDLLVARGLSDSPERSYIVENSGKVTDEASGEELYGLGKLAPTVEKMRRGFGMRVPKVMVTGQRRTITGGVEARE* >Brasy8G097900.3.p pacid=40081825 transcript=Brasy8G097900.3 locus=Brasy8G097900 ID=Brasy8G097900.3.v1.1 annot-version=v1.1 MEGVEAAEAAAAVARIRVVRCPKCDKFLPELPAYSVYVCGGCGATLQAKKKNSVQALDTSDNGNVKYLEVLESVPETSEATSGAITDYRSVPNRMPPLHSRSVYSRDNSRITGEPSTSNAKTNIRDDVREAKYMRFRNGENGETAHPTTVRGMPDIFPKSPIDSVPPNACRGEGPVDHHLKSRYTYSNREHGNDTDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVNGPDTSATDKRAADASSSYSTHERSSQLRHDPSQLHRKGSHHSPSLNVRSPIPHVYATLPTQQNLHGYAEPIAHARTSSYPAGPYPWRNFDNYFFGQHDPDPILSCHHEGFYHQAACSCSHCYHREFLPVQGTPLGFNDHRAPYLLNSYGAYPVDGPLLGQQRYNSRGANTSLQRNNLRANVSKKPAQICEPIAGGAPFTICYNCYEVLQLPNKRSLLMKEYKLRCGSCSHTIVVKLDGTRLDVSELAPSAHLSPALQNSIGDNMRGNECTTADESLLPPYCFSVGSHQSQGKEMHLNLSESNSKHTPLGTNSQHSSQSSDLPAEHNVVSRTPSLPRHEHCGSSPSEGSGIGSRSTRSEHEKAILLTEGCKQNSIKDVCVANEMQSSDNEFDDPDYTQDILNVPQDSGHTRVTKVGDSFLTNLIKRSFKMNSGMRNGRARVFVNGVPITDRAVRKAEKLAGTIYPGDYCLTSQSLTTL* >Brasy8G097900.2.p pacid=40081826 transcript=Brasy8G097900.2 locus=Brasy8G097900 ID=Brasy8G097900.2.v1.1 annot-version=v1.1 MLDELRDQVQQSCEVNGPDTSATDKRAADASSSYSTHERSSQLRHDPSQLHRKGSHHSPSLNVRSPIPHVYATLPTQQNLHGYAEPIAHARTSSYPAGPYPWRNFDNYFFGQHDPDPILSCHHEGFYHQAACSCSHCYHREFLPVQGTPLGFNDHRAPYLLNSYGAYPVDGPLLGQQRYNSRGANTSLQRNNLRANVSKKPAQICEPIAGGAPFTICYNCYEVLQLPNKRSLLMKEYKLRCGSCSHTIVVKLDGTRLDVSELAPSAHLSPALQNSIGDNMRGNECTTADESLLPPYCFSVGSHQSQGKEMHLNLSESNSKHTPLGTNSQHSSQSSDLPAEHNVVSRTPSLPRHEHCGSSPSEGSGIGSRSTRSEHEKAILLTEGCKQNSIKDVCVANEMQSSDNEFDDPDYTQDILNVPQDSGHTRVTKVGDSFLTNLIKRSFKMNSGMRNGRARVFVNGVPITDRAVRKAEKLAGTIYPGDYWYDYRAGFWGVVGQPCLGMIPPYIPEFNYPMTKNCGGGNTSIYINGRELHQKDLDLLVARGLSDSPERSYIVENSGKVTDEASGEELYGLGKLAPTVEKMRRGFGMRVPKVMVTGQRRTITGGVEARE* >Brasy8G184600.1.p pacid=40081827 transcript=Brasy8G184600.1 locus=Brasy8G184600 ID=Brasy8G184600.1.v1.1 annot-version=v1.1 MSRSPSGSRAPARSAREEVFADAATEEGGESKLSALLFDVSQQVQDGLQSMLKMTGEIEQCGGEIEAEIEQAKEGVADKCRMLEEEKERFQKVALAALNILSGGI* >Brasy8G030200.1.p pacid=40081828 transcript=Brasy8G030200.1 locus=Brasy8G030200 ID=Brasy8G030200.1.v1.1 annot-version=v1.1 MAKTRSAPATPRPTAAAAAPPTAAAARISFRSRKIIKTPPAKPLAAPTAQSPPVLLPALSAPGELAAALRHLAAADPLLSEVISSTEPPTSTFTTTSSSSPSLPAFHSLARSILYQQLATSAAAAIYARFLALLPSPTASPAAVLTLAAADLRAIGVSARKAAYLHDLAARFASGDLSEPAVAAMDEAALLAQLTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYKLKALPKPEEMAGLCERWRPYRSVGAWYMWRLMESKGAAAKKAKKKSNASS* >Brasy8G279000.1.p pacid=40081829 transcript=Brasy8G279000.1 locus=Brasy8G279000 ID=Brasy8G279000.1.v1.1 annot-version=v1.1 MNILLHQIILHTNTNTKSSSQHQSSTMRTFLILALLALAASSTIAQLETSCSSQGMAPKKPWQQVKQWPIQPGEQPCRPQQQQQDEKQLQQGREEEVAPVWKQQQLYACQDFLLQRCTAAAPSQLRSQMLQQSSCQAMQEQCCQQLDLIPVQSRKQAIYQAVKAVFKQQLGQGQQGTLLYPQQQEQTLSTMCNNVYVPPYSPVTTSPFGGDAGH* >Brasy8G022800.1.p pacid=40081830 transcript=Brasy8G022800.1 locus=Brasy8G022800 ID=Brasy8G022800.1.v1.1 annot-version=v1.1 MDGHRAPEEEEGPDDFSVAGCADEQAVRLRARIQAALDGAPADKWPCLRCILEDFKQDGPLEPHVFSRSGLDSHYKQRHRSITRRNDRRCRKCHNYFETPGHLLQHRKSALISNRSVPEPSNGEEFAGRLSSLIGRFLWVGKVSWDFITSEEQDGFLSVRLVDLQMYGTDVSENGHWAARTISDALSFVKKTRSWRFWIFLCKKLPDTYSLLRHMCRKHPAEKDLRKKLVAEILEEKCNERTVILETIKKNLSTEMLSAQSLCNLKNFRDKLLTEALKWNPYSENPWIADILCGIFFAWERYEPYPVFDILTSVKNILCRLADDNSIYEKVGESFSSKTVITILIELHMLETSLSFSSTSESERKVVHPIMCGDCIWPTHSLFRINFDAEMSCSCGKCSDNYLYTTIFHILEAGSPQTTKIKSFAEFQYILDDQFFLGSTCPHGTIENVGLFLQTRRIALQ* >Brasy8G158800.1.p pacid=40081831 transcript=Brasy8G158800.1 locus=Brasy8G158800 ID=Brasy8G158800.1.v1.1 annot-version=v1.1 MEAKMSKFFDSVGSFFTGGDNIPWCDRDIIAGCERDVAEAATEEHKNDSMMRLSWALVHSRQPEDVNRGIGMIEASLDKTTSPLQTREKMYLLAVGHYRNGNYIRSRQLVDRCLEIQPDWRQASSLKKAIEDKISKDGLIGIGIATTAVGLVVGGIAAALARKK* >Brasy8G208800.1.p pacid=40081832 transcript=Brasy8G208800.1 locus=Brasy8G208800 ID=Brasy8G208800.1.v1.1 annot-version=v1.1 MAISSKLFPAVILLLLLATEMGQVQEVAAECQSALCKKCTGSCFDEEECSINCRNEGFDSGDCSGANYHCLCKKGC* >Brasy8G006500.1.p pacid=40081833 transcript=Brasy8G006500.1 locus=Brasy8G006500 ID=Brasy8G006500.1.v1.1 annot-version=v1.1 MPGSIHISAIKPPVTAPLFLQVVLGKREYSGSIGQDGFSFPVTSLRDSTVMMLRNADEELISKTEIKTKEIVELGTMDVVFTLDSGGKIVLQLQFLLSAEDRKRVQEMRNSAMKRKQQELLGNGLYFQDSQLSKEQTEKISDIPSKGDQLTLRKSLSLDDLKESAVLSEISVDSRMKASKDLLLPSVGNTSKLEGPTIGSKKGHGEPESRASSAVKKMISAFESSPPQSLPSITRIKSESSLEVMSVSSETGTNSSDKPSTPGASANASDRTQTGLVAETSGKVTLCSGDKDSSSRSGRQVMFGNKKSNASRQINLSNTYESRRRSSSRRDEPAKQSMGEADLIRSKKRSEDKHRRSIGPYSPEQTNSLVATSSITWIHPHVCITTASRQLKDLVELERLDPMKYVEQNVQEDTDESTSIDEVRHVADSAQRSGGFPVLNGWMINQGVRVVIVIIACGAVFLNNR* >Brasy8G144500.1.p pacid=40081834 transcript=Brasy8G144500.1 locus=Brasy8G144500 ID=Brasy8G144500.1.v1.1 annot-version=v1.1 MTASKAITSLTELLAVWMMHIGANYLGGILKKLSD* >Brasy8G179700.1.p pacid=40081835 transcript=Brasy8G179700.1 locus=Brasy8G179700 ID=Brasy8G179700.1.v1.1 annot-version=v1.1 MPLPPLPLKSSVGHTTMGRAAALRLLLILPRRSGRRVTGRGGWSDGGGGQDNETASVWRSTAASSIHRAHSPSSSSTRRRPGRPAAPAGVQ* >Brasy8G218400.1.p pacid=40081836 transcript=Brasy8G218400.1 locus=Brasy8G218400 ID=Brasy8G218400.1.v1.1 annot-version=v1.1 MGRGVGSRSGGGCGGAAQPPWHSLPDEVWEHAFSFLPAAADRGAAAGACRGWLRAERRSRRRLAVANCYATSPRDAVERFPSVRAAEVKGKPHFADFGLVPPAWGAEAAPWVAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCEGFSTAGLAAITEGCRNLRELDLQENYIEDCSSHWLSSFPESFTSLETLNFSCLEGEVNFAVLERLVTRCHNLKTLKLNNAIPLDKVASLLRKAPHIVELGTGKFSADYHPDLFAKLEAAFAGCKSLRRLSGAWDAVPDYLSAFYGVCEGLTSLNLSYATVRGPELIKFISRCKNLQQLWVMDLIEDHGLAVVASTCSKLQELRVFPSDPFGAGQVLLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPRTPDYVTQQSLDAGFSAIVESCKGLRRLSVSGLLTDLVFKSIGEHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGNKPLLANAAKLETMRSLWMSSCSLTLGACRQLAQKMPRLTVEIMNDPGRTCPLDALPDESPVEKLYVYRTIAGPRSDTPDYVQIV* >Brasy8G295700.1.p pacid=40081837 transcript=Brasy8G295700.1 locus=Brasy8G295700 ID=Brasy8G295700.1.v1.1 annot-version=v1.1 MRCILEFRFHTGAEMLCTGSQSADTSLKALWQHSDPVMCCSLKVVSTTNNIESMAARGWCRPRLQLAWFTLQYQVVANIYELEEHCRTHE* >Brasy8G008600.1.p pacid=40081838 transcript=Brasy8G008600.1 locus=Brasy8G008600 ID=Brasy8G008600.1.v1.1 annot-version=v1.1 MTQSMRRRWYANAREIAGSGGGATVVLAHGYGVNQESCWGKILPSIVSKASKVLLFDWDFTAHQEEEDEEGGCFTFGRFADDLIELMDEKNVRGAVLVGHSMSAMVACVAAKRRPELFAHLVLLCASPRYINSSPLESQEEYVGGFEKSAIHAMLAAMETDFPGWVHGFVPNAAGDAACCVEPLERSFLAMDPAVAVGVARMIFLGDQRDALDAVPVPCTLVQARRDFAAPVVVAEYMRRRMSKAGVAVVDLEVVDSAGHFPQLVAPERVLDIVHDVLRRTSNVGPAVAAEVSEINGGIDVGTPT* >Brasy8G034100.1.p pacid=40081839 transcript=Brasy8G034100.1 locus=Brasy8G034100 ID=Brasy8G034100.1.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTTSPTIVLANMYQRPDMITPGVDAQGHTIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPQHRRDNRDRGGDFRREGRDGYRGGGGDGGYRSGGGDGYRGGDGGYRGGDGGYRGGGGGGRRGGGGRGYDRYDDGGRRRHGGSPPRRARSPVRENSEERRAKIEQWNREREEKK* >Brasy8G034100.2.p pacid=40081840 transcript=Brasy8G034100.2 locus=Brasy8G034100 ID=Brasy8G034100.2.v1.1 annot-version=v1.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTTSPTIVLANMYQRPDMITPGVDAQGHTIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPQHRRDNRDRGGDFRREGRDGYRGGGGDGGYRSGGGDGYRGGDGGYRGGDGGYRGGGGGGRRGGGGRGYDRYDDGGRRRHGGSPPRRARSPVRENSEERRAKIEQWNREREEKK* >Brasy8G068700.1.p pacid=40081841 transcript=Brasy8G068700.1 locus=Brasy8G068700 ID=Brasy8G068700.1.v1.1 annot-version=v1.1 MVEMGGGAAAAGFKLFGKVITRQHQQQPPTRASPDGSGGSSSSGGRGSVEQLEEAARRARAAAGARPLPCPRCRSEDTKFCYFNNYNVNQPRHFCRACHRYWTAGGAIRNVPVGSGRRKNRPVLPPNAADYQVRLAGPASASASAVSTDMAPALGFIPAPEHGWSSLYVVPSPSPPAAGYTGHGAEMEQCWWLVHAERPSLGSSDPAF* >Brasy8G287400.1.p pacid=40081842 transcript=Brasy8G287400.1 locus=Brasy8G287400 ID=Brasy8G287400.1.v1.1 annot-version=v1.1 MFHPPTQISTDTRCALLRLRARYTPPRPRAPTIPFLLIMSRSILAYFLAVLIDLLHHLCRENLHFLFLLLPRYFLLDLTGRPCPLFPLPFLSLLLQTLGCGCFMLRFPSLLPVLLQRLTQEAESLTNDYATWESQNGAAVEWLRRQVPSVHLRLGWEQGPMAGGWEQGPDGLMEAMGMGVGVLAGIKPDPSFSPPPSLYRSTRGALLPRVPNLRIAHQIIVKPSYRAFLCCAIFTFISMELQLGKLPNCLNFCPLQFSDNFLILLFHYDGRVSEWDEFEGSKPAKCPETSKTVSSGAFVLLLLSLHFNLFQIWLDSMFHH* >Brasy8G075000.1.p pacid=40081843 transcript=Brasy8G075000.1 locus=Brasy8G075000 ID=Brasy8G075000.1.v1.1 annot-version=v1.1 MEMPTTSSKAGGGVRIFENLNTVEGRLLRLEVVVVLSALVLAALVLYGSTVRRSSDRLLRGVMWIAYSLSYVVVTYAVGIIQDGPFHGETFVLWAAALLLIQASAYAAPVHSRRDVDQRKKLLLQHVLQTGLVLWLVLNATGANASYRAAIWAFWSLNVLKTAAKIAEMVKASLPDRSVKVVADYMDVEESLAADDEPLPPDPETMRGYKYIFHGEDIMELTTEHRRLSPKEIRLQGSCKSVVTIDQVYRWIHAQDDYSEKEKDMARDFCLAFALFKLLKRRFYGYVPAEARSSKALSLVLNGLIHHQDAVATGTDAAFRVVDAELAFLYDFFYTRNIVLVGVRTYICIAVVVLALTMWAAFYGTLGPAYRRLVIGVKDLDRSVTVVVVVITAALEMCQALAAFSNNWRYTKRVYRCVRDDRPWRRGGGDGDKKRRPGVWRIWWWWNMQNIAPPKTKYWEEKIGEYVLLKRYDHRPLNLLSWMTLYLVEPRRQGQKRGRRKDLPWQVRRAVLDSFKASQGKLSNGVVALGKHGLLPRLAWACVEFPKVTDQILVWHVVTTRCDWICRRRHRHHRGHGDELCGGDDRVVATKLSSYCAYLVAFVPEMLPDPSYNAEQIFDTAVQQTRCHLAECRDKDDILVRLDEIEAEEMDAEAGGGGGAGAAPGTGRAGSRTVIEKAARLGGQLEAAVRGDEARRWSVLAKFWAELVLFLAPSDNVDVHAEMLGAGGEFMTQLWALLSHAGVLERPAAQVTATRQQRRRRD* >Brasy8G207300.1.p pacid=40081844 transcript=Brasy8G207300.1 locus=Brasy8G207300 ID=Brasy8G207300.1.v1.1 annot-version=v1.1 MTVTSRPASSSPSSHTPTRDQPQTKTSSKLSWCAQLSSALSASSYLRPASSSSISLSALLPPEARRVVEESVVSTPSMAEETETMQLQLFSPSDVSPHSSRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHVSASGDATEAFEDVGHSTSAISMMNSYLIGSIEDYVPPNPSDAGTVDGSYMALNSQTMQRNKGSPAPNTFLDYILPLFMLVMAISGWYYLTFVAKS* >Brasy8G172700.1.p pacid=40081845 transcript=Brasy8G172700.1 locus=Brasy8G172700 ID=Brasy8G172700.1.v1.1 annot-version=v1.1 MAMPEMKPISLFLVFLTLSVAPPSIAGEYHRQFSCSGFSNTNLTLDGAASVTPNGLLELTNGTAGGMGHALYPTPLRFRNLSDGAALSFSVSFVFAIVSTYKDLSSNGLTLFIAPSKNSSAAAMPVQYLGLLSNRTNGNQTNHIFAVELDTWQNLEFQDMNDNHIGIDINSLQSIQSHDAGFYKNGTFQSLSLDGQEVMQVWVDYHRDRMQIDATMAPLSMAKPAAPTVSAKYNLSTVLTDVAYMGFSAAQGRLHTKHYVLGWSFGINTPAPAINVTMLPKLPPGPKDQPRRLRVLEILLPVATALLILFVAALVFMLVRRHFRYAELRDDWEVEFGPHRFSYKDLYRATQGFDNRNLLGVGGFGRVYRGELPRCKSKVAVKRVSHGSRQGIKEFIAEIVSIGRLQNPNLVQLLGYCRRNGELLLVYEYMPKRSLDKYLHGGEADCYSTLSWDQRFRIIKGIASALIYLHEEWEKVVVHRDIKASNVLLDDELNGRLGDFGLARLYDHGVEQEGTTRVVGTIGYIAPELARTGKATPLTDVFAFGVFILEVTCGQRPIMQGKQDSQVMLVDWVLEHVQQGSLADAIDARLEGLYHVSEAYLALKLGLLCSHPFANARPSMRQVIQYLDGYTEPPELIPEYKSFQALALMQNEGFDSYIMSYPSSSTIGTVSSISGGR* >Brasy8G299200.1.p pacid=40081846 transcript=Brasy8G299200.1 locus=Brasy8G299200 ID=Brasy8G299200.1.v1.1 annot-version=v1.1 MLLQMTNIEPQQKNSEEMFSISPYGFPPEG* >Brasy8G011200.1.p pacid=40081847 transcript=Brasy8G011200.1 locus=Brasy8G011200 ID=Brasy8G011200.1.v1.1 annot-version=v1.1 MSWTEEAERVRLRAAALALDGGDKPDSKKDVFADLGSPVSPLRMRATPSSSSSSAGSAKSPALCNASAAAGGGARRSHSGELVAESSNPPRRPGHRRSGSVPLIFSGGGSSSSGAGGSGGGGSSTASSPLTNALPTGNICSSGRVAAAPRPRPRPDVLGSGTGHYGHGSIMRGGGGAMPPESLQEVTRAGNELYKKGRYGEALRHYDRALALCPDSAACRGNRAAALSGLGRLAEALRECEEAVRLDPASARAHGRLASLCLRFGMVEKARRQLTLAGNVNGSDPAEWQKLHEVESHLGKCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLKKLEEADSTMTSLLKLDSASLSSVSTKQLGMLADSYVHVVQAQVNMAFGRFDVAVAMAEKARLIDPRNAEVGMIVNSMKLVAQARAQGNDLFKAGKFAEASIAYGEGLKYEPSNSVLYCNRAACWSKLGRWAKSAEDCNEALKIRPNYTKALLRRAASYEKLERWADCVRDYEVLRKALPSDAVVAESLFHAQVALKTTRGEDVSNMKFGGEVES* >Brasy8G088500.1.p pacid=40081848 transcript=Brasy8G088500.1 locus=Brasy8G088500 ID=Brasy8G088500.1.v1.1 annot-version=v1.1 MAPTKKAKKSTENINNKLQLVMKSGKYTLGYKTVLKTLRSSKGKLIILANNCPPLRKSEIEYYAMLAKISVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIINTTPGTQ* >Brasy8G114400.1.p pacid=40081849 transcript=Brasy8G114400.1 locus=Brasy8G114400 ID=Brasy8G114400.1.v1.1 annot-version=v1.1 MGNCCRSPAAAAREDVKSSHFPTSTGKKKPHQARNGGGTGGGGGGGGGGGGEKKRLSVLGEEGRDVSGGIDEKYALDRELGRGEFGVTYLCMDRGSKELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSPSIVTLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTILEVVQLCHHHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPQVSDNAKDLVRQMLQPDPKIRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGDGFIEPGELQEALVEDGTADITEVVKDILQEVDTDKDGKISFEEFIAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE* >Brasy8G158600.1.p pacid=40081850 transcript=Brasy8G158600.1 locus=Brasy8G158600 ID=Brasy8G158600.1.v1.1 annot-version=v1.1 MADEVQALTKAFSGLGGLGVDESTMVSALANWRKQPEKRSGFRKSFRGLFKEQGVMERCEDEYMPRLAAEFARFKDLMVLWTMHPWERDARLAHHVLHQAHPAAIVVEIACTRPAEELLGARKAYQALFHHSLEEDVAYRAKDKPYCSLLVGLVSAYRYEGPKVSGDTAKAEAKALGAALKKKEEAAAVENGEVLRILTTRSKPHLVETFKHYKELHGKHVHEDLGDNETTALLREAVQCLAAPERYFSQVMEAALREGADHHGKEALARVAVTRSDVDMDGIRAAYQEQFGARLEDAVAAGAHGHFKDALLSLIAGGK* >Brasy8G258600.1.p pacid=40081851 transcript=Brasy8G258600.1 locus=Brasy8G258600 ID=Brasy8G258600.1.v1.1 annot-version=v1.1 MAPAIEVVDKLATSPLKAPVLNEGILSSMTRRPGSAHSWHDLEIGPDAPTIFNCVIEIPRGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCDDSDPMDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHFNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATAAYEAIQHSMDLYATYIVEGLRR* >Brasy8G104800.1.p pacid=40081852 transcript=Brasy8G104800.1 locus=Brasy8G104800 ID=Brasy8G104800.1.v1.1 annot-version=v1.1 MEGGEEEIGSSGGHLVPLLSGHGHGDSGGSGLDASLRRRLYVGHFLARWGARMWEFSVGLYMIRIWPDSLLLAAVYGVVESSAVAVFGPMVGALVDRLTYLQVLGLWLLVQSLSFIVAGVSVTALLVYDNLKATSFPVFVALIVVTNVSGALAALSTLAGTILIEREWVVVICSGHPAAVLTKTNSVIRRIDLSCKLLAPVLSGFVISFVSTQASAVALALWNLASVWLQYWLFVSVYHAVPTLSGNVRLRRDTAEAALLPSSEIVAPVADGDQAQRYGHGQDALDWRVKLTKQLSILPCWDSWAVYVRQEVVLPGVALAFLYFNVLSFGTLMTATLDWKGIPAYVISLARGFSAVIGITATLLYPVVHSWVSTLRTGLWSIWMQWCCLLLCVASIWVAGGVASAWVLMAGVAASRLGLWMFDLALMQLMQDRVPDADRCVVGGVQNSLQSMFDLLTYIMGIVISDPKDFSELIVLSFFLVTCAALMYTLHVYRVRKHLFHLEKIFAKMGWIKNS* >Brasy8G158400.1.p pacid=40081853 transcript=Brasy8G158400.1 locus=Brasy8G158400 ID=Brasy8G158400.1.v1.1 annot-version=v1.1 MAVKMASDGLWQGENPLDFALPLLAVQIAVVLVVTQGLAFALKPLHQPRVVAEILGGILLGPSALGRWGAFRRTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRAGPRSVAIAAAGIVPPLLAAPGVVALLDLAVPAPGQRHASFFSLCVFLGAALSVTALPVLACILKELGLLATPFGETAMAAAAVNDVFAWALLALALAVSGGGGGREPKGPPLAPLYILVSGAAFVAFMFFALRPLMAALRARLAGPESDLACSFAVAGALLAGAATDAIGVHPVFGAFVFGLAMPREGGFAERVGDKVTPLVSGLMLPLYFATSGLHTDVDSVRGVAAWGMAALVVAVALLGKFAGTLAVALAATGMARREAAALGVAMSAKGLVELIVLNIGKEKKVLDDTTFAIFVIMALTTTVVATPLMTALYRQPPTATTPEIDGVELKGGDACPA* >Brasy8G089900.1.p pacid=40081854 transcript=Brasy8G089900.1 locus=Brasy8G089900 ID=Brasy8G089900.1.v1.1 annot-version=v1.1 MESCRSRKPLLALILAVALLLILQPASAVPTSRSLHLTRNQQQHPPSLQLSPQEMSLGRAAARMDVEVVNDYPGSGANNRHDPPKGP* >Brasy8G052300.1.p pacid=40081855 transcript=Brasy8G052300.1 locus=Brasy8G052300 ID=Brasy8G052300.1.v1.1 annot-version=v1.1 MPWLHAAAAATVVAALIVAVAVVIPRPAAASGVGVNWGTMMSHPMHPSAVVRMLAANGVDRVKMFDADPWTVSALAGSGVQAMLAVPNDHLASVARDHRRARDWVRDNVTRNLHDGVDIRYVAVGNEPFLKSYNGSFINITFPALKNIQRALDEAGLLVKAVVPLNADVYNSPANNPVPSAGNFRRDINALMVDIVNFLHRNDAPFVVNIYPYLSLYQNANFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEIGWPTDGDKNANVKYAQKFYDGFLKKISKNVGTPLRPGRMEAYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGQNKFLFGVEGVQYLPSQWCAFNKDAKDKYRDLPASVNYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAKIVTTNASQGGCVFPVQILSSSERMVPLRLLAVSLVLSVAPFVLI* >Brasy8G239800.1.p pacid=40081856 transcript=Brasy8G239800.1 locus=Brasy8G239800 ID=Brasy8G239800.1.v1.1 annot-version=v1.1 MPVKKIPKRVPSFSPLIPSSFCRRRRRRPPPAAPSRRRTHARQHPKEGGRGGGFSSAHRAIRCEEGGMRRCAARSVARAGRRTAVARWSARTAAAHAGTRAKEAAPRAGCRAAAATCAGARVRARAAAPGAGLGWRHRGLVQGGGGETRGEREEQ* >Brasy8G094700.1.p pacid=40081857 transcript=Brasy8G094700.1 locus=Brasy8G094700 ID=Brasy8G094700.1.v1.1 annot-version=v1.1 MTGVNQKSLGRAGSKCWLGKALIGRKKPTTPWGYPALGRRTRKRKKYSDSFIFRRRK* >Brasy8G074200.1.p pacid=40081858 transcript=Brasy8G074200.1 locus=Brasy8G074200 ID=Brasy8G074200.1.v1.1 annot-version=v1.1 MAPTQVKHSSQDLLQAQVDLWHHALGFVKSMALKCAMELQIPNTIKHHGGAMTPSELATKIGLHPSKLPRLRRLMRVLTVSGIFVVHEAASADKEAVYGLTPTTCLLVSDEVKSNLFPIVTLMLDSTVITPFFGMHSWFLDEHSASMFKKAHGVTFWEMADQDDTYNQLINNAMVSDSNFLMDIILRECGDVFVGINSLIDVAGGHGGAARAIAKAFPQMKCTVLDLPHVVANAPSDEHVPFISGDMFEYIPPANALFLKWVFHDWGDEDCVKILKKCKEAIPPRDAGGKVIIVDMVVGSGPNEIVTRETQVFFDLFIMYLEGIEREEFEWKKIFMEAGFTDYKIISVLGVRSVIELYP* >Brasy8G056800.1.p pacid=40081859 transcript=Brasy8G056800.1 locus=Brasy8G056800 ID=Brasy8G056800.1.v1.1 annot-version=v1.1 MQVPILFPIKSQPPEIGNFTYGRVLRQPPRRRRQPIPATRSPSEPSPSASEPPRPRSRPESRPASRLPPPATWAAATKLASAGPRFRAAGLPWSTPGTSSPYQ* >Brasy8G299600.1.p pacid=40081860 transcript=Brasy8G299600.1 locus=Brasy8G299600 ID=Brasy8G299600.1.v1.1 annot-version=v1.1 MMKILGSVLSEISNGKEHARSTYQQIIDQIRDFLKDKRYFIIIDDVWDIETWKTLDCALVKNDCGSAIMTTTRIHDVAKSCCSSHGDLIYKIQPLSVTDSKKLFFKRIFGCEEKCPANLKEASEDILKRCGGLPLAINAMSSLLAAGKTKEEWDHVRLSINFAQGKNCDIDAMNYILSLSYFDLLLDLRSCLLYLTMFPEDYVVERQRLVHRWISEGFIHGKGGEDLIELGVAYFHELVNRSLIQPVNIGYDGKAWGCRVHDTVLDFLICKSIEENFCTLLRDHIKPDSRILRLCLIGNEDQGRVEQLDLSHARSLAIFGKAEQMPSLVKSNALRVLDLEDCGGLENHRIKDIGRLFQLRYLNVGRSSIRELPKQIGDLVYLETLVVSSSNLVELPESVTRLKRLARLFVPGSTKLPDRIGNMEKLQELGYHIDIFEQSVKFVEELGKLINLRKLSVKLDYNDSDKASYKKKEMLGSSLCKLDTCKLHTLSIEFWLEEKDAGTLIAGHPFLIPALKSIREITLWSGQLCWITKWMISLANLEKFTLLGQRIGQQDVEMVGSIPSLLEFTGPSFLEPIIINSSSSSGGFQRLQVFVFTLYVREFMFEAGAMPNLRKLFLHIVHIKSAGGGRGGFDDIGIQHLSSLAELDVQIFCYDVKVADVEAVEVAFKSMVEAHPNRPKLRMFRAWGNIC* >Brasy8G080700.1.p pacid=40081861 transcript=Brasy8G080700.1 locus=Brasy8G080700 ID=Brasy8G080700.1.v1.1 annot-version=v1.1 MCSDAFWDRVMINAVWGIGDLIRRVWQSLNFDARVHSLKQEKKYPDKVAAALALLVAGVKNDHIVLTFSDINKLCILILVLSPIHDKCIISSKKLISKDTYYGSDGIYFLELQFCSNRIQ* >Brasy8G227600.1.p pacid=40081862 transcript=Brasy8G227600.1 locus=Brasy8G227600 ID=Brasy8G227600.1.v1.1 annot-version=v1.1 MSSNIPWKRLELAVLCVYALVFYADLIRRSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTVVMGAFMIVANGLRYGYGLKGRGASLSWLILSLSYLCYLHGACVGFILVIAWINYAIVKLFARYKYCTGLIWCFNLAILILNRVYEGYSFSLFGEKLAFLDNYRGTFRWHICFNFVVLRMISFGCDYCWTLCSSHFDHKKHMQKCEVCYSGKTCYFALQEKGLSIEKYTFLTYLCYLTYAPLYIAGPVVSYNAFAAQLDVPQKNYSVGQICCYGLRWILNFLLMEAMTHFFHYNAFVVSRLWRQLTPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILIKSLSNNFQAKSALGLFIQRELSAIAGAVTISCLMVANLVGYVVGPSGIKILISQMAKKDALPALALIFTTFYVGVKLMFHIHDARKKHGSQTS* >Brasy8G227600.2.p pacid=40081863 transcript=Brasy8G227600.2 locus=Brasy8G227600 ID=Brasy8G227600.2.v1.1 annot-version=v1.1 MSSNIPWKRLELAVLCVYALVFYADLIRRSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTVVMGAFMIVANGLRYGYGLKGRGASLSWLILSLSYLCYLHGACVGFILVIAWINYAIVKLFARYKYCTGLIWCFNLAILILNRVYEGYSFSLFGEKLAFLDNYRGTFRWHICFNFVVLRMISFGCDYCWTLCSSHFDHKKHMQKCEVCYSGKTCYFALQEKGLSIEKYTFLTYLCYLTYAPLYIAGPVVSYNAFAAQLDVPQKNYSVGQICCYGLRWILNFLLMEAMTHFFHYNAFVVSRLWRQLTPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRSV* >Brasy8G281300.1.p pacid=40081864 transcript=Brasy8G281300.1 locus=Brasy8G281300 ID=Brasy8G281300.1.v1.1 annot-version=v1.1 MGNRAARLATPCFAAGRRRASKMAARRRCWAARRSMAFCSPNQSTIGASSSSFLDADHLSGGSSSSNSFSFRTLDYCPSPSSSSGTMTAAAARIDEQILADLYATRRRRLRETKLLDRLRRALASLPLSKKKTQQHAMTGNGSVSIENGGSAIENNGCEEEDEGNGGGGRRARWARGEAGEDRVHVAVSSSSEKKEMFVGIYDGFNGPDAADHLAANLYASLSRELNEQSTSNGDPCEVLDGMARALRRTEEGYFAAAEARAAECPELGMSGSCVLAVLVRGADVYAMNVGDSRAVLACRAAADLPGAGAEEEIRRRFDGADGGGKLVAVQLTMDHSTNAYKEVRRIRSEHLDDPACIVNGRVKGLLQVTRAFGAGYLKEPRWSDALLEVFRVDYVGSSSYITCRPFLRHHRLRPRDKFLILASDGLFEYFTNEEAVAQVEAFTARYPDEDPVKYLSHEILLRAANQAGMGFNELLEVQHGDDRRRYHGDVSIIIIISLDGKIWRS* >Brasy8G272100.1.p pacid=40081865 transcript=Brasy8G272100.1 locus=Brasy8G272100 ID=Brasy8G272100.1.v1.1 annot-version=v1.1 MDPFHHLNTAFSNPYHPLLSSSPPHPHYPPPLHPQPPPAAAAEPPERERLPQWSHAETAAFLAIRADLDRSFLSTKRNKALWEAVSARLHDHGGFARTPDQCKSKWKNLVTRFKGTTAHPPPPDSDTPQHHHGDHPASAAARGGGFPFHEEMRRIFDARVERVRALEARKAKGKHHARSGHDHLRPDDADDGEGDEAGEEEDEEAAEMLEDDEDEEGSRAPETTRGATTGPGKKRRRKSTAAGGAANEQGEVEAMLREFMRRQAEMEERWMEAAEARDAERRAREEEWRAAMVALGEERLALVRRWREREDAWRASAEEREERRHRLIAALLAKLGGGDTS* >Brasy8G136400.1.p pacid=40081866 transcript=Brasy8G136400.1 locus=Brasy8G136400 ID=Brasy8G136400.1.v1.1 annot-version=v1.1 MTRGDQRERDRQRAQARKPSTKGKDDGLTPEQRRERDAKALQEKTAKKAAQGAAADAKKKNAGKK* >Brasy8G194900.1.p pacid=40081867 transcript=Brasy8G194900.1 locus=Brasy8G194900 ID=Brasy8G194900.1.v1.1 annot-version=v1.1 MEDSDEADANRSKLADEDLLSALPDDILIHILLKLRSAATAAQTSVLSSRWRRLWVLLPELYLSDGADPHRIHRALVANEAPVLRRLVALNVEAYPDSLRAWLPFAARRISGELIFHISNRNLGGRRVELPCFENSTFIFLSLGSLRLAMPASGAFARLTDLYLERVQLAAECRLGDAVSSLRCPALRVLTVRDARCIGGVDFTIHSDSLLEIELTNVHRLDKFTIRSESLLHMELVNVTKLQHLTVVAPALEKLIVSYFFADFVNQNHGQLAGTASISAPRLLVLKWRDAYHPSSFELGQMAHLRCLKLGRFLAYGPDHLPSNSHILRLLQCFRFRVLHKLQLTLIYQKDLLDKQYLMEDMTRLPDITSLSLAVLPQRHSFGACMFHVLRMCTGVRKLALDRYSNSKFQEACPSDCPCGQPANWKTEELMLDRLQEVEFKNMRGTGHEVAVAERLFSWATVLKQITVTFDRSIAESIATKEFCKKLLSFSRPEIQMEFFVYHHYRGKVLYVPED* >Brasy8G194900.4.p pacid=40081868 transcript=Brasy8G194900.4 locus=Brasy8G194900 ID=Brasy8G194900.4.v1.1 annot-version=v1.1 MEDSDEADANRSKLADEDLLSALPDDILIHILLKLRSAATAAQTSVLSSRWRRLWVLLPELYLSDGADPHRIHRALVANEAPVLRRLVALNVEAYPDSLRAWLPFAARRISGELIFHISNRNLGGRRVELPCFENSTFIFLSLGSLRLAMPASGAFARLTDLYLERVQLAAECRLGDAVSSLRCPALRVLTVRDARCIGGVDFTIHSDSLLEIELTNVHRLDKFTIRSESLLHMELVNVTKLQHLTVVAPALEKLIVSYFFADFVNQNHGQLAGTASISAPRLLVLKWRDAYHPSSFELGQMAHLRCLKLGRFLAYGPDHLPSNSHILRLLQCFRFRVLHKLQLTLIYQKDLLDKQYLMEDMTRLPDITSLSLAVLPQRHSFGACMFHVLRMCTGVRKLALDRYSNSKEACPSDCPCGQPANWKTEELMLDRLQEVEFKNMRGTGHEVAVAERLFSWATVLKQITVTFDRSIAESIATKEFCKKLLSFSRPEIQMEFFVYHHYRGKVLYVPED* >Brasy8G194900.2.p pacid=40081869 transcript=Brasy8G194900.2 locus=Brasy8G194900 ID=Brasy8G194900.2.v1.1 annot-version=v1.1 MEDSDEADANRSKLADEDLLSALPDDILIHILLKLRSAATAAQTSVLSSRWRRLWVLLPELYLSDGADPHRIHRALVANEAPVLRRLVALNVEAYPDSLRAWLPFAARRISGELIFHISNRNLGGRRVELPCFENSTFIFLSLGSLRLAMPASGAFARLTDLYLERVQLAAECRLGDAVSSLRCPALRVLTVRDARCIGGVDFTIHSDSLLEIELTNVHRLDKFTIRSESLLHMELNHGQLAGTASISAPRLLVLKWRDAYHPSSFELGQMAHLRCLKLGRFLAYGPDHLPSNSHILRLLQCFRFRVLHKLQLTLIYQKDLLDKQYLMEDMTRLPDITSLSLAVLPQRHSFGACMFHVLRMCTGVRKLALDRYSNSKFQEACPSDCPCGQPANWKTEELMLDRLQEVEFKNMRGTGHEVAVAERLFSWATVLKQITVTFDRSIAESIATKEFCKKLLSFSRPEIQMEFFVYHHYRGKVLYVPED* >Brasy8G194900.3.p pacid=40081870 transcript=Brasy8G194900.3 locus=Brasy8G194900 ID=Brasy8G194900.3.v1.1 annot-version=v1.1 MEDSDEADANRSKLADEDLLSALPDDILIHILLKLRSAATAAQTSVLSSRWRRLWVLLPELYLSDGADPHRIHRALVANEAPVLRRLVALNVEAYPDSLRAWLPFAARRISGELIFHISNRNLGGRRVELPCFENSTFIFLSLGSLRLAMPASGAFARLTDLYLERVQLAAECRLGDAVSSLRCPALRVLTVRDARCIGGVDFTIHSDSLLEIELTNVHRLDKFTIRSESLLHMELNHGQLAGTASISAPRLLVLKWRDAYHPSSFELGQMAHLRCLKLGRFLAYGPDHLPSNSHILRLLQCFRFRVLHKLQLTLIYQKDLLDKQYLMEDMTRLPDITSLSLAVLPQRHSFGACMFHVLRMCTGVRKLALDRYSNSKEACPSDCPCGQPANWKTEELMLDRLQEVEFKNMRGTGHEVAVAERLFSWATVLKQITVTFDRSIAESIATKEFCKKLLSFSRPEIQMEFFVYHHYRGKVLYVPED* >Brasy8G039100.1.p pacid=40081871 transcript=Brasy8G039100.1 locus=Brasy8G039100 ID=Brasy8G039100.1.v1.1 annot-version=v1.1 MSPPRVARRHPGPSRSRSRAMAIHDAVRTTRRRLRKLQTPASTEGNGGTGQQGDVSQGAQNMRQELGFEKLPEDVLHHIHSVMPVQDAASAACVSRRFLRSWRCYSNLILNEHTLGLTDKKFEEREIYLMDKVEQILENHHVKRVRVMTLKLDLYPCKNINASHLDRWLQITVKSGIKELSLVLSVFMKKIYSFPCSVLSDEAAASSIESLYLGVCAFTPISTLGCLRRLKFLELSLVHITEEGLGHLLSKSFSLERLVIFSCTGIICLRIPCTLKQLKFLVIKRCEMVQVVEIDAPNLCSFHYGGTQVEISVRNSSQLEIVELSSFCPSGSLSYARARLPSIAQNVESLTLISHNENITTPMLPSKLLHLKKLEIRLYFSVVSFAPGYDVFSLVSFLDASPALVSFILRVDDAMRPDSAIGHDVEYVRRKPGFRHNHLRQVMITGFCSSKSLIELTVHILESAPSLERLTLDTTPGYDRRCGTIGKCPAASKIGKCLPMNKSALEEAPRAVEAAGRHIAGRVSSAVEFEVLKPCSRCHTGKR* >Brasy8G258500.1.p pacid=40081872 transcript=Brasy8G258500.1 locus=Brasy8G258500 ID=Brasy8G258500.1.v1.1 annot-version=v1.1 MDGAGGKAKKGAVGKKLGGPRRKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAIRNDEELGRLLGGVTIAHGGVLPNINPLLLPKKSEKASTEKAAAKSPKKPAGKSPKKAAAKA* >Brasy8G004800.1.p pacid=40081873 transcript=Brasy8G004800.1 locus=Brasy8G004800 ID=Brasy8G004800.1.v1.1 annot-version=v1.1 MEKGRRRHVPAFGEWNYYYHYNEPEVPAVPAACYAPEPEPEACSDVWFRYSPPPRKPTPKKMRRPRPESDVAAPAKGGRRARPAFDAGSGVVRSTTTAKAASNSRVVRPVDEDLYQVPPPEFASHRPRRKVRSLWMGCLGLNSCVA* >Brasy8G138900.1.p pacid=40081874 transcript=Brasy8G138900.1 locus=Brasy8G138900 ID=Brasy8G138900.1.v1.1 annot-version=v1.1 MALPGASGIKLPPFSLPPLHPLGANPSRSSLCTTNAFLRRRCGAFAAVVRCAKRTGKRRYPSEKKRLDRRHKEQLRHTAPEEGGVAREAGFWRLSKLAVPASEDPGKDFVGVSPPLLQAIAKALKFPVASMLPEEAFSVIRKSFDARKVLKEPQFIYTVDVDAKKLLDMEPRTWDFIARLEPKLGAVEYMPDEKVATDLISMLDVHYKGSDDEQGISDTVNNGSICPPRKKPRVAVVGSGPSGLFASLVLAELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNADGVQAVMKTLVYFGGPPNILVDGRPHLGTDKLVPLLRNFRHHLRELGVAIRFNTRVDDLIVEGGQVKGVVVSDSRLQPCSVDQKLSFDAVVLAVGHSARDTYSMLLRHNVDITPKNFSVGLRIEHPQELINNIQYSELAAEVRRGRGRIPVADYKIVKSIGEGDAKSDTEQVEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFQSHGSLAGVEFQREYERRAAIMGGGNFVVPAQCVTDFIGNKLSVTSLPPSSYRLGVNPSKLHELFPPYITETLQQSIIMIDKEMPGFISTEALLHGVETRTSSPLQISRHTDSYESTSLQGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLFTGDIESILGKAQKQTGSVKY* >Brasy8G138900.2.p pacid=40081875 transcript=Brasy8G138900.2 locus=Brasy8G138900 ID=Brasy8G138900.2.v1.1 annot-version=v1.1 MALPGASGIKLPPFSLPPLHPLGANPSRSSLCTTNAFLRRRCGAFAAVVRCAKRTGKRRYPSEKKRLDRRHKEQLRHTAPEEGGVAREAGFWRLSKLAVPASEDPGKDFVGVSPPLLQAIAKALKFPVASMLPEEAFSVIRKSFDARKVLKEPQFIYTVDVDAKKLLDMEPRTWDFIARLEPKLGAVEYMPDEKVATDLISMLDVHYKGSDDEQGISDTVNNGSICPPRKKPRVAVVGSGPSGLFASLVLAELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNADGVQAVMKTLVYFGGPPNILVDGRPHLGTDKLVPLLRNFRHHLRELGVAIRFNTRVDDLIVEGGQVKGVVVSDSRLQPCSVDQKLSFDAVVLAVGHSARDTYSMLLRHNVDITPKNFSVGLRIEHPQELINNIQYSELAAEVRRGRGRIPVADYKIVKSIGEGDAKSDTEQVEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFQSHGSLAGVEFQREYERRAAIMGGGNFVVPAQCVTDFIGNKLSDARLYFY* >Brasy8G050600.1.p pacid=40081876 transcript=Brasy8G050600.1 locus=Brasy8G050600 ID=Brasy8G050600.1.v1.1 annot-version=v1.1 MASSTKTGKKSRDLVYKRCYHTDQKFTVTDVGADGAAEYNVLVKEISTLESMVNEEKTENKRLSDEKIKLEIDLDYQDQVIEKFECKTEELKDQLEICKKKNEELTVIAMASGSVAIALGAVLFCILCKMH* >Brasy8G108400.1.p pacid=40081877 transcript=Brasy8G108400.1 locus=Brasy8G108400 ID=Brasy8G108400.1.v1.1 annot-version=v1.1 MTRRTNPTRPVSPVTTHYEDAVSVNGSGSSDLAKRRRRRAGRRWALAGRQGRRRAGKREQAARGPAVGWRAGRPGRARAGSGGGARAGGRRSRAGQGARACGGRVGARGQARTGPLGGRQGRADRRRRREGRRGRAGRRRRRKGRRRASSRRQRSYSTCGRAETAVAGSCSGGCTDKPPELHLAEDHGHDEEEAQGASPESKKTWTDIWG* >Brasy8G217300.1.p pacid=40081878 transcript=Brasy8G217300.1 locus=Brasy8G217300 ID=Brasy8G217300.1.v1.1 annot-version=v1.1 MAQSSNDVAPISTHPAAEEVPVERTAEEQQQEEDRLRYLEFVHQAAAQALLLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVFDRYHAVPLGLLKFLDRKVDESVQELDRRVPPVVKEVPTYARSAAAEVHKSGIVGTATGLAKSAIARAEPKARDLYTRYEPVAEHKAAEAWAALNRLPLVPSVARAVLPTAAQLSAMYNSAVLDGAKRGNSVATYLPLVPTERIARVFANPPADSTPAAAPEMQPIPTQ* >Brasy8G093400.1.p pacid=40081879 transcript=Brasy8G093400.1 locus=Brasy8G093400 ID=Brasy8G093400.1.v1.1 annot-version=v1.1 MFTNPMAGTKLVALGFLVLLSIGGFSNAARVARLSSAEGQGQGVGEGAGSGKGAGSGAGGGSGSADSGVDPSHAHAQASGGGSGAGGGEDGGAGNGSGHGSGSSKSTSQTPARAWFSGGRANAGGAGSGAGAGRGDGSQGSSGHGAGSGSGSGSSKVNSQAFYGAAGSASGAGNGGGGGQGDSGGHGEGRGNGAGYGNGEIP* >Brasy8G013100.1.p pacid=40081880 transcript=Brasy8G013100.1 locus=Brasy8G013100 ID=Brasy8G013100.1.v1.1 annot-version=v1.1 MASEDVVGKSRGDTAVNTIVNLAEEAKLAREGVKGPGHQVLTICKSLFAGGVAGGLSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWGTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASRGILYLYRQQTGDEDAQLSPVLRLGAGATAGIIAMSATYPMDMVRGRITVQTEQSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNTFGLAKDNELHIVTRLGCGAVAGTIGQTVAYPLDVVRRRMQMVGWNHAASIVTGEGKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEAVKDVLGVEMRID* >Brasy8G057500.1.p pacid=40081881 transcript=Brasy8G057500.1 locus=Brasy8G057500 ID=Brasy8G057500.1.v1.1 annot-version=v1.1 MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENVQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL* >Brasy8G057500.2.p pacid=40081882 transcript=Brasy8G057500.2 locus=Brasy8G057500 ID=Brasy8G057500.2.v1.1 annot-version=v1.1 MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENVQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL* >Brasy8G215500.1.p pacid=40081883 transcript=Brasy8G215500.1 locus=Brasy8G215500 ID=Brasy8G215500.1.v1.1 annot-version=v1.1 MATLKGVDDAFLGVGDKPGLDIWCVMGSNLVPIAKSLHGKFYTGNCYIILNTAELKSGTRRHNVHYWVGEEAKQEDCFMASDKAVELDAALGSQAVQYREMQGEESDEFLSYFRPCIIPIQGSFSSHWSRSGDERDRTTMFRCEGEHVPRVREVPFSRSSLDHSAAFIVDTPSKIFLFSGCNSSIQTRAKALDVIKHLRENRHCGRCEIGTIEDGKLVGDSDAGEFWNLFGGYVPIPRDVPGTVNGESMTTSPKKLFWINKRNLVPMEAHLLDREMLNSDRSYILDCGTEIFLWIGMATLVSERKTSITALEDYVHSHGRSSTGHTVVMTEGHEIADFKLHFQHWPKNVVQKLYEAGREKVAAIFKHQGYDVAEIPEDKCPQLISSNGCLKVWLVDRGCATLLCTEEQEQLYNWDCYIIQYSYAEDGKDYHLFLAWSGQNSIQEDRVATVSLLSSMADSVKGRAVVGQMFEGREPELFFLVFKSLVIFKGGRSAAAAYMNSVLEKSDRTEWYQKDGTALFRVQGLRHDCIQAIQVNLATNSLNSSHCYILQDGASFFTWLGSLSSPSDHDLLDRMMNKLWPLKQSLLVREGSEPDHFWKTLGGRSEYSKEKLVKGWPADPHMYTCTFEQCLFKAKEVFSFTQDDLATEETLIVDCSEEIYVWVGLHSGITSKEHALDIGKMFLQAGIAQDGRRSIDTTVYAVAEGEEPAFFTTFFDWDSSKQAAATLGNSFERKLAMLKGLSPKLEESPDSRISLRKSPSRRPPGKPSEPAATPEQQPSARKIAFGSAGRLARERSPSSASGLVSPSSASSSPKSRVSSPSTPAVARRLFSSSSMHAAAEAAAAPHVASPTAAAKGSRRR* >Brasy8G078500.1.p pacid=40081884 transcript=Brasy8G078500.1 locus=Brasy8G078500 ID=Brasy8G078500.1.v1.1 annot-version=v1.1 MYGGTKYGGPTCPRARQRLDAAYVSPRSSSSSSAIKSRTLGGDTAASPPPVPEAVHRRLSSSAPMATSPYFFWPSQQPSSATAAAPDVAGFGAAQGETAPAATDKSLAAPELSESAGRPRLRRQSSGSSGSGRGQQQAGAAPKKPPQRGLGVAELERLRCGGVDPLQDLNAVAAAAAAVNASVQQGNSNSILLQHPDHLPPAFDAPAAIGSRYYSPLLVQPSLAPPAPVRYVHSHSATGVGGGGGQINVAAEQQYFMDRWGLMGGFVPVGNGGHQVQMDVLPGTAAAAEHPSSQNTIWRPASSSSASCFHTGHRCDLCSKTMRALTERGSRTPAAAAMPDYSIYDLAAAMTAGRKETTGEGFLARPEGKKEVREIEFFPTSTNHGAAGDVGPYDSEFSTMRWAPFSSSSSGGGHAAPLDLSLRL* >Brasy8G027700.1.p pacid=40081885 transcript=Brasy8G027700.1 locus=Brasy8G027700 ID=Brasy8G027700.1.v1.1 annot-version=v1.1 MECETVLCDYCESAEHASDVCPLLEAPKPQMVIYGLADEELLLFEMPLTSSFRTKLDSGRVGLVTVSGGTLSVDEVHFPNKAELLRMVRFGNFKVLGSPCMLLFEEWTVMVKPVWTLQDALRDYLALWGLGTLLGRPKEVDMVYTRRHGVLRIRVACTDYRCIPARRVVLIKGEGYDLFFQVETPPVVLQPADEIMDDATDPDGDGKNNDGKFSGEQSGGSGSNSKNSGGPSSSPIVGDVSVPSSSQLAGSPLPNIQFGSFPAGSLSAGVGRWADMVEEEEMAASAPPLVVAGWRRPPTVSDRTVTKGGLVAGASVAAGRSVTSSLVVSGPSAVGTAKAAVARATAVLTSSQRSAGTCTAAAALPVSVAAGRGLAGAQWQAAAGPGLAMPLAVCARQEAEVVRKRLSPVAAPVRVSGGHVNGISSPTQAEVIAFGGIQDAAASGLRSSARLRAQPDAHDTQMDRATRRARSRDELINSDVEVERALTLLHKNIGSSGEDTNATHDLVVSKISDLCVGLDDESAEVEEDHSDHRVRVTRPRKKKVYDFSTVRRSSRVKKHKKNRDERNILE* >Brasy8G140100.1.p pacid=40081886 transcript=Brasy8G140100.1 locus=Brasy8G140100 ID=Brasy8G140100.1.v1.1 annot-version=v1.1 MAEAVAGWLVCPLIRIVVDKAKACAADRIRWLNGGVPDALHQLDRSLTELRAVAGAVERSRGARGGLDRWLLQLKDAVYEADDVVDEFEYQRLLALPDGGGKVGRARSSLVKIGKQLVGADESLNRLKVVVEKLDSVKASSGRLMQAAGLEASWSGELSGGHRLTWDGPVTGSLLEDGEVFGRDAERKDMVSWLVATDQRTAAVPVAAIMGHGGMGKTTLARVLFHDDAVKAAFDLVMWVCPAATYHKVELLKQILQSAEVQVPDDMKNFDWLQRRLKETGLDEYMWSEVLAPLRCGQPGSKIMATTRKKIVANLLNASKQVILDGLPFADVWSLFTRSAFSNDSADKHPALQAIGEQLVPKLKGLPLAAKVVGGMLKSTRNISKWKRISEMEMYDSVSSTLELCYRNLQEHLQPCFAICSIFPKNWPFKRDKLVKIWMALDFIRPADGKKPEDVGKEYFDQLVERSFFHERKEGRRNYYYIHDLMHDLAESVSRIDCARVESVEEKQIPCTIRHLSVASDAVMHLKGRCELKRLRTFIILKDSLSCLSQMPDDILKELKGVRVLGLDGCDMVALSDKIGQLMHLRYLALCKTITILPQSVTKLFLLQTLIIPKRCHLEAFPKDMRNLKYLRHLDMDRASTSKVVGIGKMIHLQGSIEFHVKREKGHTLEDFKQEARKAGLIKKQGIKVLELEWNSTGRSVPSIDAEVLEGLEPHPHVEEIRIRRYHGNTSPRWLGMSFKKDNSPRLLKSLYLTNCKNWEVLPSLGQLPCLKVLHLKEMCSVKQIGSEFRGTNSIAFPCLTELVFDDMPQWVEWTEEEKNIDVFPRLHKLNLLNCPKLVKVPPLSPSVRKVTVENTGFVSHMKLSFSSSSQAFNAALETCSSSILTDGFLWKQQVESIVVLALKRCQDVKFEDLQALTSLKKLQISHLDITDEQLGTCLRCLQSLTSLEIDNCSNIKYLPHVENPSGLTTLHVRQCPELSSLHSLPNFVTLESILIENCSKLTIESFPSDFSSLDSLRKLSIMSCKKMESLPSDFPSSLQVLDLIGCKPELLNQLQLKVGPEWDKVAYVPIKRIH* >Brasy8G262400.1.p pacid=40081887 transcript=Brasy8G262400.1 locus=Brasy8G262400 ID=Brasy8G262400.1.v1.1 annot-version=v1.1 MYACVFGPSPTLDHHNMRLLLALDLLLLLLTGLPRLSCSSNSTQSPLNRQAEALLQWKSSLTTDSLDSWRKGTSPCNWTGVACNTVVPRGRDQGVAALVVSNISLAGSYLVGRLDRLRFADLPHLVYLNLSYNYLSGPIPSSIAAVTELVFLDLSTNNLNGSIPPLVGLRHLAHLDLGGNALSDRIPSSIGALANLSFLDLSRNSIFGNIPPSICNLTKLTSLYLSYNLLSQGSMTCTVGTLGNLKELYLSQNSLTTGPILSGLASLEHLDLSNNHITGSIPRSTGNLTSLESLDLSNNHIKGSIPRSIGNLTSLQFLDLSNNHITGSIPRSIGNLTSLEFLDLSNNHITGSIPRSIGNLTSLRYLDLSNSQIIGYIPLTFSKLIFLTTLALGSNQLNGQLPPVLGSLVLLSHLDLSSNQFVGSIPPQIRHCQSLSSLLISNNLLTGQIPQELGYLSNLYMLDLSRNNLSGAIPVTLSMLYRLDSLNLSYNSLGGRVSYTSIPASLTLISLDHNMDLCGVTYYDLRPCDTAKLDSGPQSSKRRSLVPLLAFVAPLSFCLAIASITVAFWRKKYRKSSSEIKSGDILSVWNFDGKIAFEDILSATENFDEKYCIGVGGYGSVFRVQLEGGIIFAVKLLHSMEENIDEGTFHGEIEVLTKIRHRCIVKLYGFCSHSQCKFLVYDLIERGSLSSILHDHELAKELDWPKRVAVVKDVAQALSYLHHDCDDPIVHRDIKSSNILLDLDFKAHVSDFGMARKLKHGCSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVALEVVMGKHPGNLLLPFFCRTEQMTKLKDILDRRVTAPSTVDEEKDVILLALVAFACLQVCPKARPTMQQVYQALENRSRCPAIILRPLYEIRLQDLHDCCGIIKNI* >Brasy8G262400.2.p pacid=40081888 transcript=Brasy8G262400.2 locus=Brasy8G262400 ID=Brasy8G262400.2.v1.1 annot-version=v1.1 MLLQGLRHLAHLDLGGNALSDRIPSSIGALANLSFLDLSRNSIFGNIPPSICNLTKLTSLYLSYNLLSQGSMTCTVGTLGNLKELYLSQNSLTTGPILSGLASLEHLDLSNNHITGSIPRSTGNLTSLESLDLSNNHIKGSIPRSIGNLTSLQFLDLSNNHITGSIPRSIGNLTSLEFLDLSNNHITGSIPRSIGNLTSLRYLDLSNSQIIGYIPLTFSKLIFLTTLALGSNQLNGQLPPVLGSLVLLSHLDLSSNQFVGSIPPQIRHCQSLSSLLISNNLLTGQIPQELGYLSNLYMLDLSRNNLSGAIPVTLSMLYRLDSLNLSYNSLGGRVSYTSIPASLTLISLDHNMDLCGVTYYDLRPCDTAKLDSGPQSSKRRSLVPLLAFVAPLSFCLAIASITVAFWRKKYRKSSSEIKSGDILSVWNFDGKIAFEDILSATENFDEKYCIGVGGYGSVFRVQLEGGIIFAVKLLHSMEENIDEGTFHGEIEVLTKIRHRCIVKLYGFCSHSQCKFLVYDLIERGSLSSILHDHELAKELDWPKRVAVVKDVAQALSYLHHDCDDPIVHRDIKSSNILLDLDFKAHVSDFGMARKLKHGCSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVALEVVMGKHPGNLLLPFFCRTEQMTKLKDILDRRVTAPSTVDEEKDVILLALVAFACLQVCPKARPTMQQVYQALENRSRCPAIILRPLYEIRLQDLHDCCGIIKNI* >Brasy8G117900.1.p pacid=40081889 transcript=Brasy8G117900.1 locus=Brasy8G117900 ID=Brasy8G117900.1.v1.1 annot-version=v1.1 MSSQRPGCHQRRASQSVFVLPENFADLDDVADDGENRKASPDGGAAERQQQGRPAAGRHHRRAMSMAVASSRDLEMIKEDIGGGGYKIGA* >Brasy8G002100.1.p pacid=40081890 transcript=Brasy8G002100.1 locus=Brasy8G002100 ID=Brasy8G002100.1.v1.1 annot-version=v1.1 MDDVYGRIEIFPQHFLPPKESMEAADGLSTSKNNLDPSPSSHRRSWTPKRVKGAASLLQLLSIPRIRWSSSNEDDDKIELTRAEVESLRSEIADADERESQLKARLENIDEVLRYARLSGYLHIRSRWTQLPGEPPIIDDADVDDWLPRFVVLQGQCIYYYLKSTDLSPQESTLLCDIVEVGRLPNFVPEDGKTRHAFYMLTRQGLRFECSSNCEIQVDSWARAVGSDCRLGGGEVKTGKTGGSW* >Brasy8G147100.1.p pacid=40081891 transcript=Brasy8G147100.1 locus=Brasy8G147100 ID=Brasy8G147100.1.v1.1 annot-version=v1.1 MQWVLLLFLLLAGLQSSFSQTNSQDVAALKALMTNWRNEPQSWTGSTDPCTSWDGISCSNGRVTEMRLSSMNVEGTLSNDIDKLSALTYLDLSNNPSIGGPLTPNIGNLKQLTTLILLGCSFTGSIPEEIGNLRQLTFLALNSNQFTGRIPSALGLLTDLFWLDLSANQLSGQIPVSTSSAPGLDRLVNTKHFHFSENQLTGPMDSLFSAKMTLLHAIFDNNKFTGPIPESLGLVKTLQIIRLDHNQFNGPVPDSIGNLPNLTELSLASNQLNGPVPDLTNATKVNYVDLSNNNFASSPAPRWLSTLTSLNTLFMDNDHLTGTIPSALFSLPQMQQISLAKNAFSGSLNMGGNISPQLRVVNLTNNQIVEANVKPSYTGSLILTGNLVCLDNISFCTLKQKKQVAYSTSPGPCGAIACPNDQFANPETSQNCACTNPFQGLMIFRAPFFSDMTNPGIFQQLELTLAQNLGLAPRLIVLSDVDFSPGAPLVFTLKFFPVSGMSFGRSEVIRISSALVNQIYKAPPEFGPYSFIASKYFTSPSDKKSKMHIGVIVGISVAGFVLIAGLVLVAIYALRQKKLAKEAVERTTNPFASWGAGGQDNGDVPQLKGARYFQFEELKKCTNNFSETHEIGSGGYGKVYRGTLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLMGKGGIHLDWMKRLRIAIGSAKGLAYLHELANPPIIHRDIKSTNILLDESLTAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGKYIVREIRTAVDQYDQEYYGLTSLIDPAIKDSAKLFGFRRFVQLAMECVEESGADRPAMNDVVKELEIIIQNEGPELLNSASLSTEHFGGRDPQEEHLPMKDDSSSSSAFDYNSVYSYSVEPK* >Brasy8G147100.2.p pacid=40081892 transcript=Brasy8G147100.2 locus=Brasy8G147100 ID=Brasy8G147100.2.v1.1 annot-version=v1.1 MQWVLLLFLLLAGLQSSFSQTNSQDVAALKALMTNWRNEPQSWTGSTDPCTSWDGISCSNGRVTEMRLSSMNVEGTLSNDIDKLSALTYLDLSNNPSIGGPLTPNIGNLKQLTTLILLGCSFTGSIPEEIGNLRQLTFLALNSNQFTGRIPSALGLLTDLFWLDLSANQLSGQIPVSTSSAPGLDRLVNTKHFHFSENQLTGPMDSLFSAKMTLLHAIFDNNKFTGPIPESLGLVKTLQIIRLDHNQFNGPVPDSIGNLPNLTELSLASNQLNGPVPDLTNATKVNYVDLSNNNFASSPAPRWLSTLTSLNTLFMDNDHLTGTIPSALFSLPQMQQISLAKNAFSGSLNMGGNISPQLRVVNLTNNQIVEANVKPSYTGSLILTGNLVCLDNISFCTLKQKKQVAYSTSPGPCGAIACPNDQFANPETSQNCACTNPFQGLMIFRAPFFSDMTNPGIFQQLELTLAQNLGLAPRLIVLSDVDFSPGAPLVFTLKFFPVSGMSFGRSEVIRISSALVNQIYKAPPEFGPYSFIASKYFTSPSDKKSKMHIGVIVGISVAGFVLIAGLVLVAIYALRQKKLAKEAVERTTNPFASWGAGGQDNGDVPQLKGARYFQFEELKKCTNNFSETHEIGSGGYGKVYRGTLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLMGKGGIHLDWMKRLRIAIGSAKGLAYLHELANPPIIHRDIKSTNILLDESLTAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGKYIVREIRTAVDQYDQEYYGLTSLIDPAIKDSAKLFGFRRFVQLAMECVEESGADRPAMNDVVKELEIIIQNEGPELLNSASLSTEHFGGRDPQEEHLPMKDDSSSSSAFDYNSVYSYSVEPK* >Brasy8G172400.1.p pacid=40081893 transcript=Brasy8G172400.1 locus=Brasy8G172400 ID=Brasy8G172400.1.v1.1 annot-version=v1.1 MGSNEALGTPSECAESSEQNGPVPMPQFTPEGGVGFGPEGSQAAAQGPSVDASGSSGKRKRSQQDEDWGLMIAMAESVREVAVAINSTVPEKPVDVYDLVMEMPGFEEDDLMDALNHLQEHNEKAKTFVKMSEERRIRWVISYLSRRRG* >Brasy8G108100.1.p pacid=40081894 transcript=Brasy8G108100.1 locus=Brasy8G108100 ID=Brasy8G108100.1.v1.1 annot-version=v1.1 MGSRRFAGLVVLLLAFSLLLDPARARVLLGEKPSPSEGAPAPTITTGGSEKSATSKESGHAAQNPDKQPKQAPSQETTQTPKDSPPPPSGLPEEEGSKPQVSAPPVPSTTVRKESPPPGGPEPSGQTDQGGIPEKPTEESKQVVKCHDPVDKCLVRGELYACLQVSQTVSAGQFVIVQNRGQNTVTVNVKATPDISIDQKLPPLRKGESKRINISYMNPNGGEISLNEHCVLRTRQAVSNWQQQFQQLGAYATSMKPIYGAYFFVFTVVLVGAICACCKFARRRSNDGVTYQQLEMGSQAPDSSGANNTTSTVNGWEDGWDDDWDDDEAPAKSPEKGPAGSVSANGLSLRSQTNSKDGWDVDWDD* >Brasy8G283400.1.p pacid=40081895 transcript=Brasy8G283400.1 locus=Brasy8G283400 ID=Brasy8G283400.1.v1.1 annot-version=v1.1 MEATVVSVGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMTADEERGQHKVLVTWVKHVRDLAYNVEDNLMDFSLLSEKKESWWRCPRTAVERRRIAMEMKKLRTMVEDVSNRNLRYRLTTETAGSKPTAVEEQANIASAAMFGISDANLANMGKEESEADLRQLITSEGEELRVIAVWGTSGDLGKASAIQEVYDDPKVLEKFGFCAWIRLMRPFNPQEFLRGLVRQFYENSSNEVGNWEKETSIGSNVLMKMEKMEQNELVHVFNTQVSRNSYLVVIDDLSTIVEWHCIKKYFPDNKKQSRIIVSSQQVEIASLCSEKPYQVSVLKQLSCDQTIYVFHKKNSEELASMASACAAMLNTNKQKQAASDKEKSKNSEERASMASASAEMLDINKQKQATIEKEKLKAVHASCSAEPIPDSNEVSSTEKNTAMPASEILEEDQEPKNENEDKFSNSTARKKFGRSRTLALVDEVICGRETEKSLVIRLIGQPDNNQGRKVISVWGMGGLGKTTLVRSVYRSQQLGGWKRAWATALRPFNAVALLRDLTLQLQKSIQEDPAEAANKAQNKIIQVMTFQELKDELARLLKIQKCLVVLDDISSSSEWELIKGCLDNAGRIIVTTREKNIAKHCSREYKNMCSLEALEDEAALDLFIKKVFKDNSEIDLLPAMLEQARLILKKCDGLPLAISTIGGFLSTKPKTVIEWRKLYDCIGSELEINPELRTIKSVLMRSYDGLPYHLKSAFLYLSIFLEDHRIRWDRLVRRWIAEGYSRDMNGRTAEEIGLRYFDELFDRCMILPGEEVNHHNGKINSCQLHDMIREICILKAREENLVFTLEEGCCLSSTQGAIRHLVIGSNWKRDKDVLENMLDLSHVRSLTVFGDWRPFFISDKMRFLRVLDLEDTRGLRDHHLDQIRQLCHLVYLSLRECMNIFCLPNSLGNLKHLQTLDVRGTRIFELPATITKLRKLQHLRTTDCLHIAGNVKGEDNIFDKYIYRDGVSLLDNLWGKSCLLLLYTAMLRPRVLDADLNMHDILNMYRFAMLYLAETGNIWDDRVYGLKVPSGIGKLKALDTLGVVNIARSKGKATLKELGELSQLRKLAVTGVSDKNSKELWSAIAGHNQLRSLSVQCDYYAELDGSLGEALWPPSCLVSLKLLGKLVRLSE* >Brasy8G284300.1.p pacid=40081896 transcript=Brasy8G284300.1 locus=Brasy8G284300 ID=Brasy8G284300.1.v1.1 annot-version=v1.1 MEATVVSVGKAVLDGALGYARSKAVEEVALQLGVEGDVAFIADELEMMQSFLMTTDEERSQHLHTMGERRHIAKEVKELRAKVEDVSNRNLRYRLIKQSSGSKPSAAEEQASIASAAMFGINEVRLANLEKEKSKVDLHQLITSEEEELRVISVWGTSGDLGKTSVIQEVYDDPKVLKKFGLLQGADIFMDELDGSLGEAALWPPSCLVSLKLLGKLVRVTSWIHQLQNLSKLTLEQSSLKQVDAIKALGVLPNLAVLRLKWRSFDGKQLRFQGASFPSLVVVELYGLWSPESVLFEEDAMPRLELLQVNWCWNLKEISGLPVLTSLREIQLGASAEVKEEVQRQVAENLKHVRVNPFVFGNFKYLSKSRSSRAQTLKTVGWYPPPVNKCDRALFFASTVVHIGDGRKATFWHDSWLDGVALKVIPPTIFAITRKKNRTVHDDITNGAWIHSLRGKISNEVQLDEFVSLWQRLQVMVLHPDTPDSITWRWTSDGIYTSSSAYKAQFLGSIHAQHINIVWKAKAENKCKFFAWLLAQNKILTADNLTIRGWPDTPGCSLCTEPHETGTHIFLRRPFTRQVWDIVLGHYDLNILQQVKLSDYNDTIAWWIPASKAIDKNRRREFNGVATYIMWNISKERNRHIFQAVAAPSFVVAMMARDAIDSHKLAFSPVTA* >Brasy8G267900.1.p pacid=40081897 transcript=Brasy8G267900.1 locus=Brasy8G267900 ID=Brasy8G267900.1.v1.1 annot-version=v1.1 MNPAQVDRTALSPDVAEFPQSSGVRRRHGRPSRKPAPARKEAEVCEQRRARYGCAFEEKDGGREVCGVAAGVGQGEDPPVVFDAADASELALRHHRCHGGGARAATLVAFFWDMTFAWADAASLRPFRDGFPLLAVNKGNNVAPAVDAALAEVARRVGAGLSCLCPGCDGVGRKQAVENAVVREGARGAAVDDAGFARAAFRGEAFVQYVSALAVAPDAGADRLELTVAMAQLRAFNRWRDGGAPRNIGIVDADGAMEAATATPEEEEKKGEARRRKRRRARRGGAAASGDTSDGNRTAMSRCARGAAITRDDDGGAFRREALVKRLRCMDKDVAKVLMAAANPGHHPRRGLRTSKLPAPAAERAFEPGSDDEGRRFAPPQLAEPEAFTQWRRVPSPLPDLKVASNGAMEAATPSASLAATNKTGGSIGGDDAAAAAAADDDDHAGAWRMMSCDRLTENSADCEIDDHDDALVDHDHDLEPSSPEQISTKVFKRRLEVGWKDYVIVVQFVAILLLVCKLIQVNI* >Brasy8G209300.1.p pacid=40081898 transcript=Brasy8G209300.1 locus=Brasy8G209300 ID=Brasy8G209300.1.v1.1 annot-version=v1.1 MVEDFLSVVWQRSDVLEWKDVMCHAAHGLLALPSFHVYCLRAASELPSVVLSVRYCLDPSIASLLPSTASRISSPSSWSWPPSQDLLLRRQLRQPATAHGGARRRGRRVAVQRRRGRREEMGKALGSGRNGVRAAVGGGLEAGAGRGGGCRWRPGRRAEVGTAPGGSGRQGARGCRRRAEAGTAPGGGGRQGARGCRRRAEAGTAPDGGGRQGSAARVAGAHGCRRRRGGARRRGPPHAAATARTPALGEEKRDRVRKKECVASRVRRNRTGRGRTNRERVAQEDVRERATLALTWKEGRQHHTWQTAQIVRVTKRAASSASREKTCTR* >Brasy8G079100.1.p pacid=40081899 transcript=Brasy8G079100.1 locus=Brasy8G079100 ID=Brasy8G079100.1.v1.1 annot-version=v1.1 MPEAPPTAPMPDAAASASWKDAHSKTLDLIEHLTTYPAETQQLVLKEILQQNAPAEYLRRIVGVSGAAPGAAEDFRRLAPLVTYEDILPFVTRIANGDTSPILSGKPIGEFLTSSGTSGGERKLMPSIPEEMERRSLLYSMLMPVMSKALRGLDKGKAMYLYFVKAESRTPGGLPARPVLTSYYKSRHFRERAHDPFTVHTSPDEAILCVDAHQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIDFLRQHWPRLCHDIRTGAVDAGVITDRAVRGAVERVLRAPDAALADAIEDACAGASWQGIIRKVWPNTKYIDVIVTGAMAQYIPTLEHYGGGLPLACTMYASSECYFGLNLNPICDPAEVAYTLIPTMCFFEFLPVQSNAETGQEPDHRDLVGLADVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPSFNFVRRKNVALSIDADKTDEAELHAAVSAAVQHLEPFGASLVEYTSYADTAGTSPGHYVLFWELRLRASGTPTPMPVPASVFEDCCLAMEESLNSVYRQCRVADRSVGPLEIRVVAAGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVEERYFSPKCPKWNPGNKQWAAANNNAKTISNTS* >Brasy8G258200.1.p pacid=40081900 transcript=Brasy8G258200.1 locus=Brasy8G258200 ID=Brasy8G258200.1.v1.1 annot-version=v1.1 GGRRHGTPNYVLVPQPRWRKTRRRPLTDRERGIDVVCRNGLFALPMEANVADSFVLALNKVALNLYNNVADMKMAKRSCEIEIEDADGECLRLMRLVVIQMNKRLRNLRDLGYEVRQCVLAIEGAKPLNEPRCWDRE* >Brasy8G025300.1.p pacid=40081901 transcript=Brasy8G025300.1 locus=Brasy8G025300 ID=Brasy8G025300.1.v1.1 annot-version=v1.1 MAPKLGSISNRWRELHGASSWAGLLDPLDADLRASVIAYGELAEATYDGFNTERRSPHAGACVYGHADLLAGADVSSPGNYAVTKFLYATCGITQMASTSTKQESTSASKLAKAFLVLPLPELKEEPWCRESNWMGYVAVATDEGVAALGRRDIVVAWRGTLESLEWVNDLDFLPASAAPVLGPAAEEHGNAMVHHGFLTVYTASDEDSKYNKSSSRDQVLEEVKRLMELHKDEVTSITVTGHSLGASLAILNAVDIVSNGVNTPSTSSSSRLPPCPVTAIVFACPHVGNDDFKSAFASLSDLRALHVINARDIVPLYPPIGYVDVATSMLKIDTSRSPYLRSPGTPQTWHNLECYLHGVAGEQGGRGQGFRLEIDRDVALVNKGSDALKDEYPVPANWWVVSNKGMVRGAGGHWKLKDFEEI* >Brasy8G238300.1.p pacid=40081902 transcript=Brasy8G238300.1 locus=Brasy8G238300 ID=Brasy8G238300.1.v1.1 annot-version=v1.1 MEKGTVLMNRYELGRTLGHGTFAKVYHARSLMTNHSVAIKVIDKEKVMRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKTKIYFVMEHVRGGELFSKVAKGRLKEEVARKYFQQLIGAIDFCHSRGVYHRDLKLENLLLDDTDNLKVSDFGLSALRESQRPDGLLHTTCGTPSYVAPEIINNKGYDGVKADVWSCGIILFVLLAGYLPFYDSNIMEMYRKIGKGEFKSPHWFSCDVRRILAKLLDPNPDTRITIEKLVEHPWFTKEYKPAVMLAQPHISKSLKDVQVAFSADHESNKCEEAEQSANAMKPASLNAFDIISLSNGFDLSGLIDMEQKQKVHELFMTQKPASEIVSKLEEIAETEHFNVKKQDGMVKLQGSKEGRKGQLTIDAEIFEVASSCYVVEVKKAAGDTLEYQTFCNKDLRPSLKDICWTSPSEDELQSVSE* >Brasy8G021100.1.p pacid=40081903 transcript=Brasy8G021100.1 locus=Brasy8G021100 ID=Brasy8G021100.1.v1.1 annot-version=v1.1 MVHDLMLKNLLRTREARAQIEGVSHEIVLQCLEWYNSNHPGDEYEPAPGRVTRYTIINNLRWWTHGNFVARRKRSGSGCFSFLPAQRTLFFFELTAGLDCIDQVVTCAPLDEPVTEAYKFLGFRLGCGTRRDGGSDCVCKTCHRQFLLPHPFMTRTCACGGKVERLCHMCYPKCIVLHPIGGEFEFGHHKDNVWKAHLDHA* >Brasy8G061600.1.p pacid=40081904 transcript=Brasy8G061600.1 locus=Brasy8G061600 ID=Brasy8G061600.1.v1.1 annot-version=v1.1 MRRRSQYMDTIRARFSSGKAGTPWGDPGPAAPGACLGRSSLPPPLNAAAVALRGLRPARPTPPLFAATALRAQRRRCSSRTPRMPPLPLPFAAAAPRAPRRRSSRPPPRTPPLPLPFVAAAPRAPHRRQEQSRGGDRGRRRGGGGDREERGGSGEEEIGRVVRLLDLVALISARAAQ* >Brasy8G150200.1.p pacid=40081905 transcript=Brasy8G150200.1 locus=Brasy8G150200 ID=Brasy8G150200.1.v1.1 annot-version=v1.1 MRAPSLATAVLAVLAAALAAAPARAQNCGSQAGGATCADCLCCSRFGFCGSSPEHCGDGCQSQCSGGGGPGPGPTCGSQAGGATCANCLCCSRFGFCGSTPEHCGAGCQSQCSGCGPSPGPAPAPGPSPSPGPGPAPGPTPPGDDGVASILPRDLFERLLLHRNDDVCPARWFYTYDAFLAAAAAFPDFAGAAAGNATVMKREVAAFLGQTSHETTGGWETAPDGPYSWGYCFKVEQQEPKPDYCEPSAEWPCAPGKKYYGRGPIQLSYNYNYGPAGQAPAIGLDLLNNPDLVAADAAVAFKTALWFWMTPRDNKPSSHAVITGKWTPTAEDVAAGRVPGYGVITNIINGGLECGIGQDDRVDDRIGFYRRYCDALGVGYGSNLDCENQRPFSSGFSAWLAEQ* >Brasy8G232000.1.p pacid=40081906 transcript=Brasy8G232000.1 locus=Brasy8G232000 ID=Brasy8G232000.1.v1.1 annot-version=v1.1 MDAAALQYENQKLVQQLEAQKSEMHILEGKFKELRNEQSSYDNTLISLNKTWNQLIDDLVLLGIRVGVDLDNLQALDHEELSEESVESCPSEEIFLCMLLKCKNYRNNDDSSMLKFVEEALALRHRATAALMRSLREGIAAQHARSESLSLALNGQKPNEDVIVALQNHNDHLKEVVDNVREAISIVNGKHKKYLDEIEALKNSYPKELVEIERLSGKLEKTLEDLEESRPKLVVLQLQRHGGSLMNISGPNATNGAILTAKSSDKSMGWPDLKDAVDEAKTLATNRLFELHETQEDNLILSRQLEDLQGQLKDDNYIFTSKPYTILSDQLRHLNTEIERYRGLVEVLQNDKNQFMQREKEMCAKGESVDSIRQSITTHEARIEELEHQILKSIAEKNEIEIKVEETLQDSGKKDFKDEIHVMAAALSKEMEMMENQLNRSKDAASEAHALREEAKSLRTLLVKKTDEQKEISDRYNTQVIEIKSLKALIETLDQEKQELEFIVDMYGKESSDSRTIADIKESESRAHKQAEYLRTSLEEHSLELRVKAANEAETACQRRLSIAEAELEELRTKVDACERDVLELNEAIRIKEAEGDAYISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKTKQASASLLSEKHLLQKQLHQVNSSLESYEQKVARGEEQMKAYVEQAVRTSSENRHHVINIERTMLEVSDAEKELKWLRSSIGSSEKEYELNQKRIAELRMELERERSERMKLEEEYEEVKNEVMELTSENEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLELRHRKCPGCGTPFGQNDVREVKI* >Brasy8G232000.2.p pacid=40081907 transcript=Brasy8G232000.2 locus=Brasy8G232000 ID=Brasy8G232000.2.v1.1 annot-version=v1.1 MDAAALQYENQKLVQQLEAQKSEMHILEGKFKELRNEQSSYDNTLISLNKTWNQLIDDLVLLGIRVGVDLDNLQALDHEELSEESVESCPSEEIFLCMLLKCKNYRNNDDSSMLKFVEEALALRHRATAALMRSLREGIAAQHARSESLSLALNGQKPNEDVIVALQNHNDHLKEVVDNVREAISIVNGKHKKYLDEIEALKNSYPKELVEIERLSGKLEKTLEDLEESRPKLVVLQLQRHGGSLMNISGPNATNGAILTAKSSDKSMGWPDLKDAVDEAKTLATNRLFELHETQEDNLILSRQLEDLQGQLKDDNYIFTSKPYTILSDQLRHLNTEIERYRGLVEVLQNDKNQFMQREKEMCAKGESVDSIRQSITTHEARIEELEHQILKSIAEKNEIEIKVEETLQDSGKKDFKDEIHVMAAALSKEMEMMENQLNRSKDAASEAHALREEAKSLRTLLVKKTDEQKEISDRYNTQVIEIKSLKALIETLDQEKQELEFIVDMYGKESSDSRTIADIKESESRAHKQAEYLRTSLEEHSLELRVKAANEAETACQRRLSIAEAELEELRTKVDACERDVLELNEAIRIKEAEGDAYISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKTKQASASLLSEKHLLQKQLHQVNSSLESYEQKVARGEEQMKAYVEQAVRTSSENRHHVINIERTMLEVSDAEKELKWLRSSIGSSEKEYELNQKRIAELRMELERERSERMKLEEEYEEVKNEVMELTSENEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLELRHRKCPGCGTPFGQNDVREVKI* >Brasy8G003900.1.p pacid=40081908 transcript=Brasy8G003900.1 locus=Brasy8G003900 ID=Brasy8G003900.1.v1.1 annot-version=v1.1 MKDLVSCFSEHAVRISDVACSGSAGANAATAKAKPAEVMSGGAVMSAVTSVYRSRLSASGKELLIDVTWSRSPDGPALSVAVHDAGASRHRAAAAGAPRHLHRRKGSGTFTAGSCVVGVFWDYAAARFHGAGPEPVSGFYVAVVADAEFVLLLGDMSRGYVERLHGGIPVAASRMARRRERFVGGCGCWSTRTRLLGESGAEHEIGVGLELEGEAEASALWVTVDGRKAVQLRRLRLNFRGSHTLFLDGGAPVDMTWDLHGWLDHSSASASSSGAVFTFHTRGASETIRLWTDDDSGGDDAQEHEKPPLAPSPARGQRQKQQGGGTLPGQGFCLLIQGFRSSSSKTTT* >Brasy8G168400.1.p pacid=40081909 transcript=Brasy8G168400.1 locus=Brasy8G168400 ID=Brasy8G168400.1.v1.1 annot-version=v1.1 MVINGDPVAQAAAQAQQQQADQHQAQQQQAAQLQAEQQIEAQATAAAKMQPQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQGTLPAGALDSRALAWDRLPGLATGQIC* >Brasy8G099400.1.p pacid=40081910 transcript=Brasy8G099400.1 locus=Brasy8G099400 ID=Brasy8G099400.1.v1.1 annot-version=v1.1 MDASCVVVGPTGGPAAGGATNIGRLRQQGKARGGAGVSSWHLRVFAAVVGFLGCLLLAASLVMSAVHQVQFRNGAISMSFRGLQKELKQNFARKEQAEQIMHGRLLEMATSDTAKNESDGESFELWEEPYKQARKWKPCAAKHSLADEGPSENNNGFILISANGGLNQQRVAVCNAVVVAKLLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVQIVKDLPPHLQSLDLVAIGSQITDSDIRKEAEPSEFINLALPVLRKNGVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFAPEIQRLGSVLVQRLRGVSAMQTEMDKQLFGGNMLDGATTDGGGPPSRFVALHMRFEVDMVAYSLCEFGGGEEERRELQAFRETHFPALAARLRNTTVSPEEQRSLGRCPLTPEEAGLILSGLGYDHRTFLYVAGSRIYGGATRLRPLTRLYPNLVTKDDILSSDELAPFKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILSEEAGIEWSGFQKRVRIMVDEYKRVRSRPRGRSAYRQPRTPGCMCRAGGDGSIDF* >Brasy8G099400.4.p pacid=40081911 transcript=Brasy8G099400.4 locus=Brasy8G099400 ID=Brasy8G099400.4.v1.1 annot-version=v1.1 MDASCVVVGPTGGPAAGGATNIGRLRQQGKARGGAGVSSWHLRVFAAVVGFLGCLLLAASLVMSAVHQVQFRNGAISMSFRGLQELKQNFARKEQAEQIMHGRLLEMATSDTAKNESDGESFELWEEPYKQARKWKPCAAKHSLADEGPSENNNGFILISANGGLNQQRVAVCNAVVVAKLLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVQIVKDLPPHLQSLDLVAIGSQITDSDIRKEAEPSEFINLALPVLRKNGVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFAPEIQRLGSVLVQRLRGVSAMQTEMDKQLFGGNMLDGATTDGGGPPSRFVALHMRFEVDMVAYSLCEFGGGEEERRELQAFRETHFPALAARLRNTTVSPEEQRSLGRCPLTPEEAGLILSGLGYDHRTFLYVAGSRIYGGATRLRPLTRLYPNLVTKDDILSSDELAPFKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILSEEAGIEWSGFQKRVRIMVDEYKRVRSRPRGRSAYRQPRTPGCMCRAGGDGSIDF* >Brasy8G099400.5.p pacid=40081912 transcript=Brasy8G099400.5 locus=Brasy8G099400 ID=Brasy8G099400.5.v1.1 annot-version=v1.1 MHGRLLEMATSDTAKNESDGESFELWEEPYKQARKWKPCAAKHSLADEGPSENNNGFILISANGGLNQQRVAVCNAVVVAKLLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVQIVKDLPPHLQSLDLVAIGSQITDSDIRKEAEPSEFINLALPVLRKNGVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFAPEIQRLGSVLVQRLRGVSAMQTEMDKQLFGGNMLDGATTDGGGPPSRFVALHMRFEVDMVAYSLCEFGGGEEERRELQAFRETHFPALAARLRNTTVSPEEQRSLGRCPLTPEEAGLILSGLGYDHRTFLYVAGSRIYGGATRLRPLTRLYPNLVTKDDILSSDELAPFKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILSEEAGIEWSGFQKRVRIMVDEYKRVRSRPRGRSAYRQPRTPGCMCRAGGDGSIDF* >Brasy8G099400.2.p pacid=40081913 transcript=Brasy8G099400.2 locus=Brasy8G099400 ID=Brasy8G099400.2.v1.1 annot-version=v1.1 MDASCVVVGPTGGPAAGGATNIGRLRQQGKARGGAGVSSWHLRVFAAVVGFLGCLLLAASLVMSAVHQVQFRNGAISMSFRGLQKELKQNFARKEQAEQIMHGRLLEMATSDTAKNESDGESFELWEEPYKQARKWKPCAAKHSLADEGPSENNNGFILISANGGLNQQRVAVCNAVVVAKLLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVQIVKDLPPHLQSLDLVAIGSQITDSDIRKEAEPSEFINLALPVLRKNGVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFAPEIQRLGSVLVQRLRGVSAMQTEMDKQLFGGNMLDGATTDGGGPPSRFVALHMRFEVDMVAYSLCEFGGGEEERRELQAFRETHFPALAARLRNTTVSPEEQRSLGRCPLTPEEAGLILSGLGYDHRTFLYVAGSRIYGGATRLRPLTRLYPNLVTKDDILSSDELAPFKNFSSRVNRP* >Brasy8G099400.3.p pacid=40081914 transcript=Brasy8G099400.3 locus=Brasy8G099400 ID=Brasy8G099400.3.v1.1 annot-version=v1.1 MDASCVVVGPTGGPAAGGATNIGRLRQQGKARGGAGVSSWHLRVFAAVVGFLGCLLLAASLVMSAVHQVQFRNGAISMSFRGLQELKQNFARKEQAEQIMHGRLLEMATSDTAKNESDGESFELWEEPYKQARKWKPCAAKHSLADEGPSENNNGFILISANGGLNQQRVAVCNAVVVAKLLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVQIVKDLPPHLQSLDLVAIGSQITDSDIRKEAEPSEFINLALPVLRKNGVVHFLGFGNRLGFDSVPAHLQRLRCRCNFHALKFAPEIQRLGSVLVQRLRGVSAMQTEMDKQLFGGNMLDGATTDGGGPPSRFVALHMRFEVDMVAYSLCEFGGGEEERRELQAFRETHFPALAARLRNTTVSPEEQRSLGRCPLTPEEAGLILSGLGYDHRTFLYVAGSRIYGGATRLRPLTRLYPNLVTKDDILSSDELAPFKNFSSRVNRP* >Brasy8G036000.1.p pacid=40081915 transcript=Brasy8G036000.1 locus=Brasy8G036000 ID=Brasy8G036000.1.v1.1 annot-version=v1.1 MEDCFSRILNLAWELRRHLTRFIENVFGCIDWIPQRHEVQFSTCYRGSLPATERCIHGWSPVSSISDAPAQGTSIGHTSAEEQLSGAIIFRNVSSKICQRSYVRSSYHLRGFRLVRRLAFHVRRLCSLLANEFHDRFLHRFWTTLQGSSEDIGWLQRTQMSLYSVDGTSRFKELLHDVRNGIHYLPNTLVYLFIPGLFSNHSPLYFVNTKRFFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAATLSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADRETRRIMELIVCKLIKGDMRALEDLTYGRRKDFISRHKLPVGELPIISFHTEASAAPTVLTTLTRIAHAELLPLLAVAPLPRFLSEHAESLFASLKLPVVMPVSAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVLSTLRRRRRGEADASQVCEALMAMLVEIGRKKESLLISCWADVLSAWTGFSSASLLLS* >Brasy8G036000.2.p pacid=40081916 transcript=Brasy8G036000.2 locus=Brasy8G036000 ID=Brasy8G036000.2.v1.1 annot-version=v1.1 MEDCFSRILNLAWELRRHLTRFIENVFGCIDWIPQRHEVQFSTCYRGSLPATERCIHGWSPVSSISDAPAQGTSIGHTSAEEQLSGAIIFRNVSSKICQRLAFHVRRLCSLLANEFHDRFLHRFWTTLQGSSEDIGWLQRTQMSLYSVDGTSRFKELLHDVRNGIHYLPNTLVYLFIPGLFSNHSPLYFVNTKRFFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAATLSLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADRETRRIMELIVCKLIKGDMRALEDLTYGRRKDFISRHKLPVGELPIISFHTEASAAPTVLTTLTRIAHAELLPLLAVAPLPRFLSEHAESLFASLKLPVVMPVSAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVLSTLRRRRRGEADASQVCEALMAMLVEIGRKKESLLISCWADVLSAWTGFSSASLLLS* >Brasy8G249100.1.p pacid=40081917 transcript=Brasy8G249100.1 locus=Brasy8G249100 ID=Brasy8G249100.1.v1.1 annot-version=v1.1 MAGTANCIDIILAIILPPLGVFLKFGCGHEFWICLLLTFLGYLPGIIYAIYAITKE* >Brasy8G269000.1.p pacid=40081918 transcript=Brasy8G269000.1 locus=Brasy8G269000 ID=Brasy8G269000.1.v1.1 annot-version=v1.1 MLTLRMEWIIQTHIVNIIGGGEKFFEILVFSKEEMGDRERRVVFVTVGTTCFDALVRAVDSEEVKQALLQKGYTDLLIQMGRGTYVPSKDSGKLNLQVDHFTFSPSIADYIREASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERNHLFCSRPQTLQETVEAMDLDALQPYMPGEAKPVVALINKFLGFHV* >Brasy8G124100.1.p pacid=40081919 transcript=Brasy8G124100.1 locus=Brasy8G124100 ID=Brasy8G124100.1.v1.1 annot-version=v1.1 MPPQLRFPSALPNLYPHLLPRSLPFPSSSCSSRLLPLPPPPSLSRSRCRRHPIHRFLPRGMATAAGEDAPASGTSASGEGAGRPPRRTLEELAWDETFVRELPGDPRSDNIPRQVLHACYTKVSPSAPVDNPKLVSWSESVADLLDLDHKEFERPDFPQFFSGATPLVGSVPYAQCYGGHQFGSWAGQLGDGRAVTLGEVLNSRGERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRGKEDLEIVRHLVDYTIRYHYPHLESIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAGLINKDEANYVMERYGTKFMDEYQSIMTRKLGLSKYNKQLISKLLNNLAIDKVDYTNFFRLLSNVKADPDIPENELLVPIKAALLDIGKERKEAWISWVQTYIEELVASGISDEERKTSMNQVNPKYVLRNYLCQTAIDAADLGDYEEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS* >Brasy8G076600.1.p pacid=40081920 transcript=Brasy8G076600.1 locus=Brasy8G076600 ID=Brasy8G076600.1.v1.1 annot-version=v1.1 MGRRPDRSVGALACCRLLVVVVFVLCVGLLVTVVAATTGGRNLGPDAATMSYPGGGGPAGTEDPFRSSKRRIPKGPDPIHNRRAGTTTIAPRRRD* >Brasy8G249200.1.p pacid=40081921 transcript=Brasy8G249200.1 locus=Brasy8G249200 ID=Brasy8G249200.1.v1.1 annot-version=v1.1 MYPEHHLLLPIQLSLLLPLDSNAIPLLPPPRPSPAAPAPLFSFSRWTTPPPLPSSPPPSPAPLPSIACAPPLFSSSLWTAAPPAQSPAPVPLHLCPDPQPAPLFPPLCSLPSPVPLPCARAPLDSSADEQPPQPQSSDASPTDPLRQPRDLAQRRRQPPQPALPLVSDPAGKEEEYLGEARSRGTRGREGEERAGGRSPPRWLPDGGSRARSPI* >Brasy8G176500.1.p pacid=40081922 transcript=Brasy8G176500.1 locus=Brasy8G176500 ID=Brasy8G176500.1.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCSLSSYGAYVRPVPYPNEIGLRMLLGGAAREAAMLGFHITPVFSYYAYHGPIFRAMIQLCHGKEDGISNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPITSCIEVAREIRNLR* >Brasy8G176500.6.p pacid=40081923 transcript=Brasy8G176500.6 locus=Brasy8G176500 ID=Brasy8G176500.6.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCSLSSYGAYVRPVPYPNEIGLRMLLGGAAREAAMLGFHITPVFSYYAYHGPIFRAMIQLCHGKEDGISNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEEGYAACRSHIGANAIKTNCPITSCIEVAREIRNLR* >Brasy8G176500.3.p pacid=40081924 transcript=Brasy8G176500.3 locus=Brasy8G176500 ID=Brasy8G176500.3.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCSLSSYGAYVRPVPYPNEIGLRMLLGGAAREAAMLGFHITPVFSYYAYHGPIFRAMIQLCHGKEDGISNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKLR* >Brasy8G176500.7.p pacid=40081925 transcript=Brasy8G176500.7 locus=Brasy8G176500 ID=Brasy8G176500.7.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPITSCIEVAREIRNLR* >Brasy8G176500.8.p pacid=40081926 transcript=Brasy8G176500.8 locus=Brasy8G176500 ID=Brasy8G176500.8.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEEGYAACRSHIGANAIKTNCPITSCIEVAREIRNLR* >Brasy8G176500.2.p pacid=40081927 transcript=Brasy8G176500.2 locus=Brasy8G176500 ID=Brasy8G176500.2.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCNYGFICHCKSCGQTQTFGFDELGQISCRCADRTDATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTTGNGVTLEKLLDTMIEESDLRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKLR* >Brasy8G176500.4.p pacid=40081928 transcript=Brasy8G176500.4 locus=Brasy8G176500 ID=Brasy8G176500.4.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCSLSSYGAYVRPVPYPNEIGLRMLLGGAAREAAMLGFHITPVFSYYAYHGPIFRAMIQLCHGKEDGISNYGFICHCKSCGQTQTFGFDELGQISCRCADRTVGCHLYHSCRPTLDRSSP* >Brasy8G176500.9.p pacid=40081929 transcript=Brasy8G176500.9 locus=Brasy8G176500 ID=Brasy8G176500.9.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRCSLSSYGAYVRPVPYPNEIGLRMLLGGAAREAAMLGFHITPVFSYYAYHGPIFRAMIQLCHGKEDGISNYGFICHCKSCGQTQTFGFDELGQISCRCADRTVGCHLYHSCRPTLDRSSP* >Brasy8G176500.5.p pacid=40081930 transcript=Brasy8G176500.5 locus=Brasy8G176500 ID=Brasy8G176500.5.v1.1 annot-version=v1.1 MASAAAVSFHQTLPLPLQPRRLGPSPPRCTHSERGVSFDPGSAFYRSESAPGRDLAVLAATVYRRRRLDPSGPFLCLDAMCGCGVRALRYLAQAGADFVWANDASDALRPVVVGNLSRFEPEPLNGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGRWWCCTGSSDVGISHNTSILLLCVSRSYFSSNDTTVPWKRRWYQ* >Brasy8G174900.1.p pacid=40081931 transcript=Brasy8G174900.1 locus=Brasy8G174900 ID=Brasy8G174900.1.v1.1 annot-version=v1.1 MLRHLQNSTSFLPSRLQPPSSSNSSFFFFNLHLLFPPNFLLPPEPYFPHSIAFFFSGTICELLCPIEMLLAAVASWLNIKIICHDVAKELAMVGCSCIEDKCLVMVLLSRGKC* >Brasy8G069800.1.p pacid=40081932 transcript=Brasy8G069800.1 locus=Brasy8G069800 ID=Brasy8G069800.1.v1.1 annot-version=v1.1 MATANQPAGEALAAHISSMSRPEMHDLMAQMKVMIGHDQERVRRMLVENPDVTRALFRAQVVLGMVKTPKSAHSSDLAQPSVAQITPTSVKAPVQDHVNLSQTQPPASQQNLQPSGPFQSGVSNLPSSLDLPTMPANPPQSAQAKGYPMHQMLPASAPQSSQHPSVTMPPHAPPQYSNVPSHMPTVHSQPQQSLQNPGIFNQQLQPPLPQLPRPPSMQPFTHQMHQQVPNSFGQHMLQQPMFHPGGNQQNSFFTGQQQLPSQPPPLPNQPPPQLYQANSHVNSHYNSQSMQVDRSAPWGRVNQEASSAGSHFPGQFPGLPGQMTQGIGGIQTGRSEAPLTPDMEKMLVQQVLSMSPEQINMLPAEQRQQVLQLRDMLRS* >Brasy8G069800.2.p pacid=40081933 transcript=Brasy8G069800.2 locus=Brasy8G069800 ID=Brasy8G069800.2.v1.1 annot-version=v1.1 MATANQPAGEALAAHISSMSRPEMHDLMAQMKAQVVLGMVKTPKSAHSSDLAQPSVAQITPTSVKAPVQDHVNLSQTQPPASQQNLQPSGPFQSGVSNLPSSLDLPTMPANPPQSAQAKGYPMHQMLPASAPQSSQHPSVTMPPHAPPQYSNVPSHMPTVHSQPQQSLQNPGIFNQQLQPPLPQLPRPPSMQPFTHQMHQQVPNSFGQHMLQQPMFHPGGNQQNSFFTGQQQLPSQPPPLPNQPPPQLYQANSHVNSHYNSQSMQVDRSAPWGRVNQEASSAGSHFPGQFPGLPGQMTQGIGGIQTGRSEAPLTPDMEKMLVQQVLSMSPEQINMLPAEQRQQVLQLRDMLRS* >Brasy8G069800.3.p pacid=40081934 transcript=Brasy8G069800.3 locus=Brasy8G069800 ID=Brasy8G069800.3.v1.1 annot-version=v1.1 MATANQPAGEALAAHISSMSRPEMHDLMAQMKVMIGHDQERVRRMLVENPDVTRALFRAQVVLGMVKTPKSAHSSDLAQPSVAQITPTSVKAPVQDHVNLSQTQPPASQQNLQPSGPFQSGVSNLPSSLDLPTMPANPPQSAQAKGYPMHQMLPASAPQSSQHPSVTMPPHAPPQYSNVPSHMPTVHSQPQQSLQNPGIFNQQLQPPLPQLPRPPSMQPFTHQMHQQVPNSFGQHMLQQPMFHVNNNCPANHHRCLISHHHSYIRLIHMLTRTTILKVCKSIDQPHGDVLTKKHHQLAPISLDNSLVYPDR* >Brasy8G226000.1.p pacid=40081935 transcript=Brasy8G226000.1 locus=Brasy8G226000 ID=Brasy8G226000.1.v1.1 annot-version=v1.1 MSKSTTARASKNVELKKQSAAILTIANGHAYKKEAINGDDLVHDAKFASTWVCRNLSCKAIVASEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDRNCCGSSCHIECAFQHKKVGCFDLGKIIHLDGSYSCASCGKVSGILSYWRRQLVIAEAARRVDILCHRIYVSYRLLQGTSHFKELHDIIEDAKGKLEREVGPLDGMSAKTARGIVSRLCGGSDVQKLCTLAIQKADEWLSSPDLHLRDSLPSACRFRFIDITSSSLVIILKETTLAPSDTIKGYKLWYWKSSEQPSTEEPVILSKDQRKILVFNLATCTEYSFRIISFTDDGIVGHSESKCYTRSNESFTKRASQNATATCSQAKRRDRSQASKSTGFKIRDVGKILRKAWAEEGYFEDMYEDSCDRSATEAEQPENSERDQLLAGACRRLQFNAFSVPDLNVEAPMPMDTDSSPEKCYDLNNKLLKSNESGGSEACAAVRSAEPPAVESRRGGKAKQLHGAHDESCEQDRVSVICREKQLLKSPMELDEDYEYCVNVIRWLETQGHIETDFRMKFLTWLSLRSTENEHRVVATFIKTLIKEPSSLAEQLIDSFGETVNCKRPKIGPCKELWH* >Brasy8G031800.1.p pacid=40081936 transcript=Brasy8G031800.1 locus=Brasy8G031800 ID=Brasy8G031800.1.v1.1 annot-version=v1.1 MLVVGDRWVERTTWKFSTRSSFRPCSRETSSTMTMTAPCKSLTAATTTCCYHDGLAVASSTVVPW* >Brasy8G264900.1.p pacid=40081937 transcript=Brasy8G264900.1 locus=Brasy8G264900 ID=Brasy8G264900.1.v1.1 annot-version=v1.1 MELDLNVEEKLPMAAAAAARSESGTSESSVLNGETSAAAEEGSSSTPTPPMRAALEFSILRASASAEGENDVGAAGDEDDEEEEATPSPPWPPLQQQLVTRELFPSAMAAGPPPPQQQQHWAELGFFRPPPPQPVDARLLQHAHAPPPAPPPPAAQPAAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKGLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFNNEVEAARAYDKAAIKCNGREAVTNFEPSTYEGELLTEVTSEGADVDLNLSISQPASQSPKRDKNSLGLQLHHGSLQGSEVKRAKIDAPSELAALPHRQYPLLAEHPPIWPGQSYPIFLNNEGAAREQHIRRPEVGIGGVPSWAWRVSHPPPTLPSPLFSSSSSSSAAASSGFSKTATTTAAPAATPASFRFDPMAPSSSSSYHHR* >Brasy8G264900.2.p pacid=40081938 transcript=Brasy8G264900.2 locus=Brasy8G264900 ID=Brasy8G264900.2.v1.1 annot-version=v1.1 MELDLNVEEKLPMAAAAAARSESGTSESSVLNGETSAAAEEGSSSTPTPPMRAALEFSILRASASAEGENDVGAAGDEDDEEEEATPSPPWPPLQQQLVTRELFPSAMAAGPPPPQQQQHWAELGFFRPPPPQPVDARLLQHAHAPPPAPPPPAAQPAAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKGLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGELLTEVTSEGADVDLNLSISQPASQSPKRDKNSLGLQLHHGSLQGSEVKRAKIDAPSELAALPHRQYPLLAEHPPIWPGQSYPIFLNNEGAAREQHIRRPEVGIGGVPSWAWRVSHPPPTLPSPLFSSSSSSSAAASSGFSKTATTTAAPAATPASFRFDPMAPSSSSSYHHR* >Brasy8G239400.1.p pacid=40081939 transcript=Brasy8G239400.1 locus=Brasy8G239400 ID=Brasy8G239400.1.v1.1 annot-version=v1.1 MGRGLLLPHVKRLMLFARPRGFSASAASTREPLHVCVVGSGPAGFYTAEKMLKGHEGVQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANARCSFFGNVTLGSDVLLAELRGTYDVVVLAYGAESDRSFGIPGEDLRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDRNGVFMAPSDSRRQGRKGLLEILEQKNAHYVPFDGWEKIDSEEKMAGQLKNKPREKITTWDELLKAANGG* >Brasy8G239400.4.p pacid=40081940 transcript=Brasy8G239400.4 locus=Brasy8G239400 ID=Brasy8G239400.4.v1.1 annot-version=v1.1 MGRGLLLPHVKRLMLFARPRGFSASAASTREPLHVCVVGSGPAGFYTAEKMLKGHEGVQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANARCSFFGNVTLGSDVLLAELRGTYDVVVLAYGAESDRSFGIPGEDLRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETNTNGHIFGIRWLASLKMTGTVCSWRRLILEGKAGRDYLRF* >Brasy8G239400.5.p pacid=40081941 transcript=Brasy8G239400.5 locus=Brasy8G239400 ID=Brasy8G239400.5.v1.1 annot-version=v1.1 MGRGLLLPHVKRLMLFARPRGFSASAASTREPLHVCVVGSGPAGFYTAEKMLKGHEGVQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANARCSFFGNVTLGSDVLLAELRGTYDVVVLAYGAESDRSFGIPGEDLRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETNTNGHIFGIRFEGSCLISQYSSWTMVKMFQNFKM* >Brasy8G239400.2.p pacid=40081942 transcript=Brasy8G239400.2 locus=Brasy8G239400 ID=Brasy8G239400.2.v1.1 annot-version=v1.1 MMLSFLLMVQKVIDHLVFLGRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDRNGVFMAPSDSRRQGRKGLLEILEQKNAHYVPFDGWEKIDSEEKMAGQLKNKPREKITTWDELLKAANGG* >Brasy8G239400.3.p pacid=40081943 transcript=Brasy8G239400.3 locus=Brasy8G239400 ID=Brasy8G239400.3.v1.1 annot-version=v1.1 MMLSFLLMVQKVIDHLVFLGRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDRNGVFMAPSDSRRQGRKGLLEILEQKNAHYVPFDGWEKIDSEEKMAGQLKNKPREKITTWDELLKAANGG* >Brasy8G239400.6.p pacid=40081944 transcript=Brasy8G239400.6 locus=Brasy8G239400 ID=Brasy8G239400.6.v1.1 annot-version=v1.1 MMLSFLLMVQKVIDHLVFLGRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETNTNGHIFGIRFEGSCLISQYSSWTMVKMFQNFKM* >Brasy8G239400.7.p pacid=40081945 transcript=Brasy8G239400.7 locus=Brasy8G239400 ID=Brasy8G239400.7.v1.1 annot-version=v1.1 MMLSFLLMVQKVIDHLVFLGRGIHSAREFVWWYNGHPDMHNLAPDLQNTDSAVVLGQGNVALDVARILLRCTTKLASTDIAGHALDALQSSTIRKVYLVGRRGPVQAACTAKELREILGLQNVHVCIKEADLATSPADKEEMRNRRIKRRVHELLSKAATMHKEKNSDDQKELHFVFFRKPTRFLPSEDGSTVGAVELEKTLLKDDGVTGNQVAVGTGEFEDLKCGLVLKSIGYKSLPTEGLPFDNYKGVVPNLRGRVLSSESETTTVEPGLYVVGWLKRGPTGIVATNLHCAEETNTNGHIFGIRFEGSCLISQYSSWTMVKMFQNFKM* >Brasy8G070500.1.p pacid=40081946 transcript=Brasy8G070500.1 locus=Brasy8G070500 ID=Brasy8G070500.1.v1.1 annot-version=v1.1 MGRSWPWTLRVLFLASLLLLCSALPPSPPPPAGRGTEVAAADEALLARMCDPRGSPPAWCHELRLRRRRGRRHHHRRTPPPVPLPPPGPGRDDGGEEIDMRYGVAKRRVPTGPNPLHN* >Brasy8G279900.1.p pacid=40081947 transcript=Brasy8G279900.1 locus=Brasy8G279900 ID=Brasy8G279900.1.v1.1 annot-version=v1.1 MVKTEDQDENEGVSVSVGALRDGTVDPQPDTEHAGVKAEEDGQPSSSSSYSKFIGMGFPPTLVDKMLQKHGDKDFHDILESLLSHSALTKSGSESSSSLGSLFDSDSEENSSHLGSMKEPHEEIKPEPGSFSERRSYLLSAMNFSQQEVDRAFNQLGEEAPLDQLVDTIVTAQVAGFAGGNENIDATTEGKAESLFGVMEKTLHLLQMGFTEEEVSAAIDGSGQEATVQELADSIFARRIASTVEQTKVKIEPDFLGDTENEHSTCHQRLRYYDDEDDKIGVKRAKHIFTDNSSGASSSRPGNQPSLTPWLSGCTGSVSNGYVKEEFDAMASGPRPDVRPEIAKPPYFLYGNVVDIPKGTWHQLSDFLLNVEPEFLNSQYFSAVMRREGYLHNLPMERRHVVVPKSPMTIEDALPFTRQWWPSWDTRKQIGVVTLDVAEIEQMCEKLGKIMTDSRGVLSREKQAHIMQQCKMSNLIWVGKDRLSPLEPHQLEHILGYPRNHTDQFELNPSDRLAAMKYAFQTDTLAYLLSVLKPRYPNGLRLISIYSGVGGAEVALDRLGIPIKCIVSVEESDVNRKILRKWWRRTNQAGELRQFVGIWKLKTNVLEDLVKEFGGFDLIIGGNYSSCRGGTTINATMGMNSNKFFEYARVVKIVRGLHNS* >Brasy8G279900.4.p pacid=40081948 transcript=Brasy8G279900.4 locus=Brasy8G279900 ID=Brasy8G279900.4.v1.1 annot-version=v1.1 MVKTEDQDENEGVSVSVGALRDGTVDPQPDTEHAGVKAEEDGQPSSSSSYSKFIGMGFPPTLVDKMLQKHGDKDFHDILESLLSHSALTKSGSESSSSLGSLFDSDSEENSSHLGSMKEPHEEIKPEPGSFSERRSYLLSAMNFSQQEVDRAFNQLGEEAPLDQLVDTIVTAQVAGFAGGNENIDATTEGKAESLFGVMEKTLHLLQMGFTEEEVSAAIDGSGQEATVQELADSIFARRIASTVEQTKVKIEPDFLGDTENEHSTCHQRLRYYDDEDDKIGVKRAKHIFTDNSSGASSSRPGNQPSLTPWLSGCTGSVSNGYVKEEFDAMASGPRPDVRPEIAKPPYFLYGNVVDIPKGTWHQLSDFLLNVEPEFLNSQYFSAVMRREGYLHNLPMERRHVVVPKSPMTIEDALPFTRQWWPSWDTRKQIGVVTLDVAEIEQMCEKLGKIMTDSRGVLSREKQAHIMQQCKMSNLIWVGKDRLSPLEPHQLEHILGYPRNHTDQFELNPSDRLAAMKYAFQTDTLAYLLSVLKPRYPNGLRLISIYSGVGGAEVALDRLGIPIKCIVSVEESDVNRKILRKWWRRTNQAGELRQFVGIWKLKTNVLEDLVKEFGGFDLIIGGNYSSCRGGTTINATMGMNSNKFFEYARVVKIVRGLHNS* >Brasy8G279900.2.p pacid=40081949 transcript=Brasy8G279900.2 locus=Brasy8G279900 ID=Brasy8G279900.2.v1.1 annot-version=v1.1 MVKTEDQDENEGVSVSVGALRDGTVDPQPDTEHAGVKAEEDGQPSSSSSYSKFIGMGFPPTLVDKMLQKHGDKDFHDILESLLSHSALTKSGSESSSSLGSLFDSDSEENSSHLGSMKEPHEEIKPEPGSFSERRSYLLSAMNFSQQEVDRAFNQLGEEAPLDQLVDTIVTAQVAGFAGGNENIDATTEGKAESLFGVMEKTLHLLQMGFTEEEVSAAIDGSGQEATVQELADSIFARRIASTVEQTKVKIEPDFLGDTENEHSTCHQRLRYYDDEDDKIGVKRAKHIFTDNSSGASSSRPGNQPSLTPWLSGCTGSVSNGYVKEEFDAMASGPRPDVRPEIAKPPYFLYGNVVDIPKGTWHQLSDFLLNVEPEFLNSQYFSAVMRREGYLHNLPMERRHVVVPKSPMTIEDALPFTRQWWPSWDTRKQIGVVTLDVAEIEQMCEKLGKIMTDSRGVLSREKQAHIMQQCKMSNLIWVGKDRLSPLEPHQLEHILGYPRNHTDQFELNPSDRLAAMKYAFQTDTLAYLLSVLKPRYPNGLRLISIYSGVGGAEVALDRLGIPIKCIVSVEESDVNRKILRKWWRRTNQAGELRQFVGIWKLKTNVLEDLVKEFGGFDLIIGGNYSSCRGGTTINATMGMNSNKFFEYARVVKIVRGLHNS* >Brasy8G279900.5.p pacid=40081950 transcript=Brasy8G279900.5 locus=Brasy8G279900 ID=Brasy8G279900.5.v1.1 annot-version=v1.1 MVKTEDQDENEGVSVSVGALRDGTVDPQPDTEHAGVKAEEDGQPSSSSSYSKFIGMGFPPTLVDKMLQKHGDKDFHDILESLLSHSALTKSGSESSSSLGSLFDSDSEENSSHLGSMKEPHEEIKPEPGSFSERRSYLLSAMNFSQQEVDRAFNQLGEEAPLDQLVDTIVTAQVAGFAGGNENIDATTEGKAESLFGVMEKTLHLLQMGFTEEEVSAAIDGSGQEATVQELADSIFARRIASTVEQTKVKIEPDFLGDTENEHSTCHQRLRYYDDEDDKIGVKRAKHIFTDNSSGASSSRPGNQPSLTPWLSGCTGSVSNGYVKEEFDAMASGPRPDVRPEIAKPPYFLYGNVVDIPKGTWHQLSDFLLNVEPEFLNSQYFSAVMRREGYLHNLPMERRHVVVPKSPMTIEDALPFTRQWWPSWDTRKQIGVVTLDVAEIEQMCEKLGKIMTDSRGVLSREKQAHIMQQCIQMV* >Brasy8G279900.3.p pacid=40081951 transcript=Brasy8G279900.3 locus=Brasy8G279900 ID=Brasy8G279900.3.v1.1 annot-version=v1.1 MVKTEDQDENEGVSVSVGALRDGTVDPQPDTEHAGVKAEEDGQPSSSSSYSKFIGMGFPPTLVDKMLQKHGDKDFHDILESLLSHSALTKSGSESSSSLGSLFDSDSEENSSHLGSMKEPHEEIKPEPGSFSERRSYLLSAMNFSQQEVDRAFNQLGEEAPLDQLVDTIVTAQVAGFAGGNENIDATTEGKAESLFGVMEKTLHLLQMGFTEEEVSAAIDGSGQEATVQELADSIFARRIASTVEQTKVKIEPDFLGDTENEHSTCHQRLRYYDDEDDKIGVKRAKHIFTDNSSGASSSRPGNQPSLTPWLSGCTGSVSNGYVKEEFDAMASGPRPDVRPEIAKPPYFLYGNVVDIPKGTWHQLSDFLLNVEPEFLNSQYFSAVMRREGYLHNLPMERRHVVVPKSPMTIEDALPFTRQWWPSWDTRKQIGVVTLDVAEIEQMCEKLGKIMTDSRGVLSREKQAHIMQQCIQMV* >Brasy8G086800.1.p pacid=40081952 transcript=Brasy8G086800.1 locus=Brasy8G086800 ID=Brasy8G086800.1.v1.1 annot-version=v1.1 MDYASPGGTDTSTDPGIGKKNQVFEQGHVAALKAFDSGDKSKVKLGQKTLRRLAQNREAARKSRLRKKAYVQKLESSSLKLAQLEQELQRARQQGYLVSTSGEQPHSANGNGALALDVEYGRWLEEHNKQIDELRAAISARATDGDLQAIVDSIMAHVHEIFRLRSVATKANAFHVLAGAWTTPVERCFLWLGGFRPSELPKLLANQLEPLTEKQLASICSLRQSSQQAEDTLSREMEALLQSAAEIVASGTSPTCWPAGSSGETGQMSAAIGKLGAAESLLLQADELRLRILRDVQRILTTRQSARALIAISGYFSRLRALSSLWIARPSTGIN* >Brasy8G092700.1.p pacid=40081953 transcript=Brasy8G092700.1 locus=Brasy8G092700 ID=Brasy8G092700.1.v1.1 annot-version=v1.1 MATTKLMWSVAVVVLLSIGLANAIRVVRHDGAATADGQGSGGGGGGGRSGPSQGSGFGTGSGSAEAYNDKNYAYASGGGSGSGRYRGRDETASGYGSGGASGIGHGDSSTSDTGSSATNASGGGGGGASGGSKGNGSGSGTARGGGSGIGDSYGPSGSSFANSGGSGGADGSGHDGGFGGGSGGGSGSSSGATTGNSNDGSTP* >Brasy8G189100.1.p pacid=40081954 transcript=Brasy8G189100.1 locus=Brasy8G189100 ID=Brasy8G189100.1.v1.1 annot-version=v1.1 MAAAAPAEEGEDPRWRRSNTDCVFFLVSNFACNKGSKCEYRHCNGARFNPTNCWYWFRGNCVNPSCTFRHPPLENLNRTKSLADQPSLCGSASVKTANPCYFYYNSCCTKGDHCPFLHDPPTPKNVVGVSSEATTFNPAVNENSVGDEMIAVSKDAHANPCQDTSYHSKTCHSKEVPESSNPEFGEVISIAPETSVVTGEYMKCSTLSYQTSGDSAMEHSEQDDCRDSSPGFDVLVDDGGLNKNDLGQQLARKRDVQVLHAKYDIGDPNCYDQDYYDSLYYGQAFGGFDDQDGYFNLGDLEGVQEHDIGTTLGHIPSNRVELVRSTSDEYDKRFLNPRNFISSTDVAFAREHTQTRHTSKRRRENRKGTKGRKGRRKRRRGLEPVVGSQEIESRSSHRKQDSLMEECPQPIVCATFRGLKKGSRGKQRHVLSARDSEHPRTDFAGPKTLSQIKEEKCISKSSFSHSAARMPHERSFSYDF* >Brasy8G096500.1.p pacid=40081955 transcript=Brasy8G096500.1 locus=Brasy8G096500 ID=Brasy8G096500.1.v1.1 annot-version=v1.1 MEGGGARNVSAAAAQTKSGDDGTSKPLPPCCIKAKAAAPESEAKCHATVVSGWFTEPRSRFGKTSKVQYFNNPMWPGEAHSLKVEKILFQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIAKHDSVQTIDICEIDQLVIDVCKDYFPHLYVGYEDPRVRLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNLAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPVNPIEKLEGATVAGKEMRFYNSEIHRAAFILPTFVRKELDAHSICTKKEKPEKPAKKPVQMNIMPDSAILSS* >Brasy8G142300.1.p pacid=40081956 transcript=Brasy8G142300.1 locus=Brasy8G142300 ID=Brasy8G142300.1.v1.1 annot-version=v1.1 MGDATSSNRRRRTPPADDVAAAQRAGGGAARLLQGVRGRGGGPARGPDGGDPEEPPRGLPPQAAPRGAPILRRPRRSLAGGPLLRAPEEDPRLRVGVSSCWFSSSVLVFILPSCKQTWITYIH* >Brasy8G120200.1.p pacid=40081957 transcript=Brasy8G120200.1 locus=Brasy8G120200 ID=Brasy8G120200.1.v1.1 annot-version=v1.1 MAEEKKKKQHKHSKHKEKDKTKAGGTGEAAAHFKPCCDVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSELQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEAMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRQAAGAAGFVCADDLRRILQAVVELKDFLDHTAMLAMPSQKSITLQSRPAVAH* >Brasy8G086200.1.p pacid=40081958 transcript=Brasy8G086200.1 locus=Brasy8G086200 ID=Brasy8G086200.1.v1.1 annot-version=v1.1 MVVLLYKQRQQQQRIIYRCLEKASKLSPHTHMMAEPQCSARARRIARSREEMMGLLADFSADGGGEEDSDRELSFSDLVQAIGPPSAAADHVSKEEKEEDVVASAKRQAAAAAMAGKEVRRLRRRRSNGRGSGGGDGVLLNFYVPGLLSRSMTAPRSGRGALQTAAASSGAGHGAPARASTGKSRMQAPLAIGCWPALWGRGRDHRNKPV* >Brasy8G081600.1.p pacid=40081959 transcript=Brasy8G081600.1 locus=Brasy8G081600 ID=Brasy8G081600.1.v1.1 annot-version=v1.1 MAIKLKHPTMASSSSLFLLAVLLGLALSMATAFDNSPLQDFCVADMKSNVRVNGFPCKDPMAVVADDFFNKAMLDKPRDTMTSKVGSNVTNINIANFPGLNTLGISLARIDYAPLGVNTPHIHPRATELLTVLEGTLYLGFATSNPNRLFSKVVTKGDVFVFPKAMIHFQMNLAHDKPAAALSSLNSQNPGVITIANAVFGSKPPISDDVLAKAFQVEKDLIKWLKSQFWENNHY* >Brasy8G152700.1.p pacid=40081960 transcript=Brasy8G152700.1 locus=Brasy8G152700 ID=Brasy8G152700.1.v1.1 annot-version=v1.1 MKKTFSCDTFCLPVPVLLAPYATPRRRSTCQSRPDWRPAPPRRGDSASDREPEGMLRGRRGSGLGDALPKEIDVGEERCWGVVRE* >Brasy8G289100.1.p pacid=40081961 transcript=Brasy8G289100.1 locus=Brasy8G289100 ID=Brasy8G289100.1.v1.1 annot-version=v1.1 MDITAGAMTPLLEKLGRLLIDEYNLEKRVKKGVKSLLTELEMMHAVLRKVSEVPPEQLDEQVRIWAGKVRELSYNMEDAVDIFIVRVEDDDRHERGPNNLKNRVKKLLKKTTKLFSKGKALHQISDAIDEARELANELADLRQKYMLDAHANGRGDAIDPRLKAVYKVVADLVGIEHTRDELIKKLLSDGDEHFEQSKQQLKTLSIVGFGGLGKTTLAKAVYDKIKGQFDCAAFVSVSRNPDMIKIFKKVLYELDQSRYASINEAARDEQQLINELKMYLQNKRYLVVIDDIWDEEAWGFIKCVFSNNNLSSRVMTTTRIGSVSKACCSSSDDIIYPMKPLNKDDSEKLFYKGIFPQGGGCPQEFEQVSRNILMKCGGVPLAIITVASLLASNNQQIKPKYQWDNLLNSIGRGLAEGGSVKDMQRILSFSYYDLPSHLKTCLLYLSIFPEDFEIRRDRLIWRWIAEGFVQGGKRETRLYELGESYFNELANRNLIQPVSYSDASEVVACRVHDMVLDLICSMSSKDNFVTILDGTQQSKHNSHSMVRRLSFQNSMSELTTHWVDAKSMSQLRSVTLFRTDVDLIQSALSCFQVLRVLDLEGCNFGKSGHMIDLKSIENLLHLRYLGLRDTCVGVLPVEIGKLKFLETLDLRSGSKELLIVPSSVVQLRHLMCLHLDGKNTKIPIGMGNLTSLEELTGLWADGSSAIDKELGQLQELRVLDLDLKGDDESVCSSLVASLGNLKNLQSLTIRNDGNARFDVCWNSLVPPPYLRSIVFYDCTSKLPRWINSASLPLLSSLTLRVDRVCLEVDIQILGKLPALCFLSLWTTKVQCTRVERFIVGADAFPCLRACVFYRFQTGPCMFPRGAMPRLEHLSFDARASHITGGELDVSMEHLPSLQRVRVHLWPAKAAGGTSNEFEEADAALRLAADAHPNRPTLRIGHYILVC* >Brasy8G113400.1.p pacid=40081962 transcript=Brasy8G113400.1 locus=Brasy8G113400 ID=Brasy8G113400.1.v1.1 annot-version=v1.1 MASRPALPPHLRLLSPRLPPLCPARCRRGRSSRLLSALPSPSPSPTPHSRSQQQRATTASLEHAPGPSSLEQQQQQPRRGGPALAAEVARLSAARERLRAARSLDDKLRALDAEPHVAGFFSEATRGGVLGVLKPREVYLLKCLVAAGQDHVLGVELGWAGGSYERHRNGGGGGGSALREALYSLAGLVGKWSSEGAVGDQATSGEMELLRQLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKSKESKHRHGKDKFVDFHVPSGVNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHAHIIEICERLQWFGRGRENFRLFEQPLVPVVNAEDGKWLISKSLLPVGKPGGHGAIWKLACDRGIFQWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGLRHNKKLGFASCERRPGATEGVNVLIEKENSDGLWTYGITCIEYTEFEKYGIPEPTAINGSLQANFPANTNILYVDLQAVEEVGSCKSANSLPGIVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFANMYSYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDKSLHQTPEGSLLDILRNAHDLLSSCRIEVPKVKDNNEYLRSGLPFLIFLHPALGPFWDIIKQKFVGGSISKGSELQIEVAEFLWKDVELDGSLIVLADNIMGSTKRNTHGEQILHYGARSGRCKLQNVKIVNKGINWGSPSNVYWKHDVERSESLKIILHGNAEFEAQDVLLKGNHMFEVPDGHRMCLIQDKAGFAVKLDPISKESMDSGTWYWQYTVDGVQVKLNIVDL* >Brasy8G113400.2.p pacid=40081963 transcript=Brasy8G113400.2 locus=Brasy8G113400 ID=Brasy8G113400.2.v1.1 annot-version=v1.1 MASRPALPPHLRLLSPRLPPLCPARCRRGRSSRLLSALPSPSPSPTPHSRSQQQRATTASLEHAPGPSSLEQQQQQPRRGGPALAAEVARLSAARERLRAARSLDDKLRALDAEPHVAGFFSEATRGGVLGVLKPREVYLLKCLVAAGQDHVLGVELGWAGGSYERHRNGGGGGGSALREALYSLAGLVGKWSSEGAVGDQATSGEMELLRQLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKSKESKHRHGKDKFVDFHVPSGVNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHAHIIEICERLQWFGRGRENFRLFEQPLVPVVNAEDGKWLISKSLLPVGKPGGHGAIWKLACDRGIFQWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGLRHNKKLGFASCERRPGATEGVNVLIEKENSDGLWTYGITCIEYTEFEKYGIPEPTAINGSLQANFPANTNILYVDLQAVEEVGSCKSANSLPGIVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFANMYSYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDKSLHQTPEGSLLDILRNAHDLLSSCRIEVPKVKDNNEYLRSGLPFLIFLHPALGPFWDIIKQKFVGGSISKGSELQIEVAEFLWKDVELDGSLIVLADNIMGSTKRNTHGEQILHYGARSGRCKLQNVKIVNKGINWGSPSNVYWKHDVERSESLKIILHGNAEFEAQDVLLKGNHMFEVPDGHRMCLIQDKAGFAVKLDPISKESMDSGTWYWQYTVDGVQVKLNIVDL* >Brasy8G113400.3.p pacid=40081964 transcript=Brasy8G113400.3 locus=Brasy8G113400 ID=Brasy8G113400.3.v1.1 annot-version=v1.1 MASRPALPPHLRLLSPRLPPLCPARCRRGRSSRLLSALPSPSPSPTPHSRSQQQRATTASLEHAPGPSSLEQQQQQPRRGGPALAAEVARLSAARERLRAARSLDDKLRALDAEPHVAGFFSEATRGGVLGVLKPREVYLLKCLVAAGQDHVLGVELGWAGGSYERHRNGGGGGGSALREALYSLAGLVGKWSSEGAVGDQATSGEMELLRQLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKSKESKHRHGKDKFVDFHVPSGVNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHAHIIEICERLQWFGRGRENFRLFEQPLVPVVNAEDGKWLISKSLLPVGKPGGHGAIWKLACDRGIFQWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGLRHNKKLGFASCERRPGATEGVNVLIEKENSDGLWTYGITCIEYTEFEKYGIPEPTAINGSLQANFPANTNILYVDLQAVEEVGSCKSANSLPGIVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFANMYSYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDKSLHQVKDNNEYLRSGLPFLIFLHPALGPFWDIIKQKFVGGSISKGSELQIEVAEFLWKDVELDGSLIVLADNIMGSTKRNTHGEQILHYGARSGRCKLQNVKIVNKGINWGSPSNVYWKHDVERSESLKIILHGNAEFEAQDVLLKGNHMFEVPDGHRMCLIQDKAGFAVKLDPISKESMDSGTWYWQYTVDGVQVKLNIVDL* >Brasy8G113400.4.p pacid=40081965 transcript=Brasy8G113400.4 locus=Brasy8G113400 ID=Brasy8G113400.4.v1.1 annot-version=v1.1 MASRPALPPHLRLLSPRLPPLCPARCRRGRSSRLLSALPSPSPSPTPHSRSQQQRATTASLEHAPGPSSLEQQQQQPRRGGPALAAEVARLSAARERLRAARSLDDKLRALDAEPHVAGFFSEATRGGVLGVLKPREVYLLKCLVAAGQDHVLGVELGWAGGSYERHRNGGGGGGSALREALYSLAGLVGKWSSEGAVGDQATSGEMELLRQLLKFLGDIEEFYDCIGGIIGYQIMALELLSASKSKESKHRHGKDKFVDFHVPSGVNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHAHIIEICERLQWFGRGRENFRLFEQPLVPVVNAEDGKWLISKSLLPVGKPGGHGAIWKLACDRGIFQWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGLRHNKKLGFASCERRPGATEGVNVLIEKENSDGLWTYGITCIEYTEFEKYGIPEPTAINGSLQANFPANTNILYVDLQAVEEVGSCKSANSLPGIVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFANMYSYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDKSLHQVKDNNEYLRSGLPFLIFLHPALGPFWDIIKQKFVGGSISKGSELQIEVAEFLWKDVELDGSLIVLADNIMGSTKRNTHGEQILHYGARSGRCKLQNVKIVNKGINWGSPSNVYWKHDVERSESLKIILHGNAEFEAQDVLLKGNHMFEVPDGHRMCLIQDKAGFAVKLDPISKESMDSGTWYWQYTVDGVQVKLNIVDL* >Brasy8G297000.1.p pacid=40081966 transcript=Brasy8G297000.1 locus=Brasy8G297000 ID=Brasy8G297000.1.v1.1 annot-version=v1.1 MESTVLSIGKSVLDGALGYAKSALAEEVALQLGVRRDQLFIANELEMMQAFLMTAHDEGDDNKVVKVWVKQVRNVAYDVEDTLQEFAVRLQKQSWWRICCKLLDRRHVAKQMKELRANVEDVSQRNMRYNLIKGSGSKPATTAAEQSSIASAALFGIEEARHAAKQEKSQVDLVQLINEEENNLRVIAVWGTSAGVGQTSIVRAVYENPDVRSKFPCRAWVRLMHPFNPKDLAQSLVEQFHSAAGVGVNVVFETQKTPQELTEEFNEYVSKKRYLIVINELSTIEEWDRVKKYFPNNNMGSRIIVSTSQIEVASLCAGQGSIASELKHFSADQNIYAFHDKGSQDGADLTNSNRPATSTDNSIVPTGEILEDQPKGADGKKVAGERLTRIKTMVAALEESQLVGREREKSEIIKLVTGQATEKFKVISVWGMGGLGKTTLVKDIYQSQELSGTFDKRACVTVMRPFNSEGLVRSLVMQLDRDSSEKKDVVGLMGSTKKKLQLMPLAELIKELARLLDRKKFLIILDDVSSTAEWNMIIPIFRDMGHTSRLIVTTREENIAKHCSEEQENVYRLKNLEYKDARDLFTKKVFKETIDLDKQYPELVEQTKLVLRKCGELPLAIVTIGGFLATQPKTSLEWRKLNDHISAELEMNPQLGTIRTVLMRSYNGLPYHLKSCFLYMPIFPEDHRVGRGRLVRRWSAEGYSKEVRGKSAEEIADSYFMELISRSMMLPSQESIHSTKGINSCQVHDLMREIGISKSTEENLVFTLEEGCSSNSQVTMRHLAINGNWKGDQSEFESIVDMSRIRSLTGFGKWKSFFISDKMRLLRVLDLEDAIGLVDHHLEHIGKFLHLRYLSLRGCGEIYHLPDSLGNLRQLETLDIRGTSMVMLPKATIKLWNLKRLRAGRKPVNEDVSHEELVHGLPPVMRSRMCILPLVSMACCSACCAPQSISDDKNRHDVCTGFCCYAFPFVAMRLDAHGVLLPRGMKKLKTLHTLGVVNIGRHGKVFLQDIKGLTRLNKLGVTGINKENGQEFCSAIVGLSRLESLSIRSEGMPGLSGCLDGMFLFPENLQSLKLYGNLVKLPEWIQGLKNLVKLKLRSSRFSEDTGGVMQALGKLPNLTFLHLLKESFKGEEVCFNFRQETFKSLVVLELDLVVRENVKSVKFEEGATPKLELLLFRNWSTKPKVGLFSGLPSLPSLKEFLLTGQYVDEFVEDLGDQLGRNRNRPVLKRR* >Brasy8G228100.1.p pacid=40081967 transcript=Brasy8G228100.1 locus=Brasy8G228100 ID=Brasy8G228100.1.v1.1 annot-version=v1.1 MVGDLSLLAVASASPVLRAPSKELHGVLPFQGRGAASSAAPVSSASVQLSPQQHILEGAYQPQQQPVHMMVPGLAQAAAASYQAFDMAALIDVQDSHPDSVQLSLGIADQCARQEKILKFLMSGSDVKELDASILAELMGPQTLPINMSSQPYIPDDKLSIYEFGLEEPQQYLPENQLIIPDPLLEFAQSNGSALTMDENGRVVFPGNGDEMRDLLSVFLEFNVTKRESSGCKAAYLVPYFHRKRGRSQSNSASMAVDASKSTANVKAKSSLKKKQKGKNTNERDMHQNNYFHASEAILSILLDKDKSSSTILSLKKAGPEISELLTQCSIGIAGTGLAVLLSVVCKIATGGRTPFAATRLLNTSVGLGLFWLSSAVNGLRDTITSIFRGPSDTNLEDEVAVKIQRSTNDILFRAVTLLAITALKFA* >Brasy8G099500.1.p pacid=40081968 transcript=Brasy8G099500.1 locus=Brasy8G099500 ID=Brasy8G099500.1.v1.1 annot-version=v1.1 MAHLRLLLSHSRCHPHPHRLLSLFRFSSDSSTSAPPLIKPVSYAPKPQAPPEETSAAEAPSASPDSQSPPPRRPQQQQPPPQFTRQEMRFVKDAGPAIAPVSYPSRVVPLPGDHPADEGSGEGAVEEGLRGEGERIEMEAARARRSIFGVQVEEETVPYPTLIPVVKRPQKVAIDLVDALREIKSSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAAEEAKAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENIGAFVNALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNKVQVS* >Brasy8G284000.1.p pacid=40081969 transcript=Brasy8G284000.1 locus=Brasy8G284000 ID=Brasy8G284000.1.v1.1 annot-version=v1.1 MEATVVSIGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMSADEERGQHKVLATWVKQVRDLAYNVEDSLMDFSLLSEKKKSWWRNPRTAAERRRIAMEIKKLRTLVEDVSNRNLRYRLITETAGNKPTAAEEQASIASAAMFGINEASLAELGKEELKVDLHQLITSEEEELRVVAIWGTSGDLGKTSAIQEVYNDPKVLKKFGFFAWIRLTHPFNPKEFIQCLVRQFYENSSNEVGNSEKETSVGANVQMKMEMMEQNELVHVFNAQVSSNSYLVVLNDLSTIEEWHCIKKYFPDNKRQSRIIVSTQQVEIASLCTEKPYQVSELKQLSCDQTIYLFHHKVIPASSSAVPVSDSIKVTTAENDRPLPTNEIEEEDQAPKDAGRGKDSASTDGKKFHRSRTMTLTDEVLTGRVTDKSKVIGLIDPTRGEGDRKVISVWGMGGLGKTTLVRIVYRSQQLSGWKQAWATALRPFNPEVLIRSLVLQLQKDIQEDPAGATDTGKKKENIAVMKLQELKDELNRLLNTQKCLVVLDDISSTAEWDLVKNCLQNARRVIVTTREKNIAKHCSSEYKHMYSLQGLKDDDALKLFKRKVFKDNSENIDLVPDMMKQARLVLKKCDGLPLAISTIGGFLASKPKTVAEWRKMNDRISSELEINPELRTIKTVLMRSYDGLPYHLKSAFLYLAVFPEDYRIRWTRLLKRWVAEGYSRDMHGMSGKELGCRYFDELLDRSMILPGDEVNHYSGKINSCQLHDMIREICITKAREENLVFTLEEGFCLSSTQGAIRHLVVGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMRFLRVLDLEDTIGLRDHHLDQIGQLHHLMYLSLRECANIYCLPNSLGNLRQLQTLDVRGTHIFEVPETTTKLRKLQHLRTTDLLKDRSNIKGEDDIYVKYIKRTAFVSSKLGWACLPLLDVSPVFLRPQGLDAGLNRLDILNIYRFCMALASQGNRFMDGVHVPGGIGKLKALHTLGVVNIAWGKGKATLNELKELTQLRKLGVTGVGDKNSKELWSAIAGHNQLRSLSVAGVKLDGSLGETLSPPSCLESLKLWGKLARVTSWIRQLQNLSKLTLGCSSLKQDDAIHALGVLPNLAVLRLMLRSFDGKQLHFEGTCFPSLVVLELDGLDDLESMLFKEEAMPRLELLQVDWCRGLKGISGLAVLTSLREIRLGRFVPSKVKVEVQRQQVAEDLKLNLL* >Brasy8G025500.1.p pacid=40081970 transcript=Brasy8G025500.1 locus=Brasy8G025500 ID=Brasy8G025500.1.v1.1 annot-version=v1.1 MVAGRVKAAMGFQRSPATPKSSSSRKPAPPPPPPPEPTMKTPTPRRKSSGSPAPPSSGAKAATGSSFARSFGVYFPRSSSSSSSSAQVQPTRTAPAPLPEAGELARLVEELRERESRLRTELLEQKILRETVAIVPFLETELAAKGSELGRCRDAMSRLESENARLREQLAAAMAGGKRKGQRIVELEKEVAELRTRRPPVHEVADCSSSASSGHSSDSAKPAQKSVRPPPPPPPPPPLPVSSKSKSYFSCSSRASPASSSSSTSSTPSCSSSDTAASRSRDPELAKLPPIPPPPPPPPPSMPARGCRSGASSPSTSSGSGSGGGRVCPAPPPPPPPPPLSGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGAEAGPGGSGAAAARDMIGEIENRSSHLLAIRSDVERQGDFIRFLIKEVEGAAFADIDDVVTFVKWLDVELSRLVDERAVLKHFDWPEKKADALREAAFGYRDLKKVETEAAAFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMSRYRGYQIPFEWMQDTGIISQIKIQSVKLARKYLRRVSSELEAIQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQEIKEKASAFQSQRDQQHLQQQKLAASRS* >Brasy8G148900.1.p pacid=40081971 transcript=Brasy8G148900.1 locus=Brasy8G148900 ID=Brasy8G148900.1.v1.1 annot-version=v1.1 MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG* >Brasy8G261700.1.p pacid=40081972 transcript=Brasy8G261700.1 locus=Brasy8G261700 ID=Brasy8G261700.1.v1.1 annot-version=v1.1 MARAPTMVIQEDYIDMDLTPSSPQCSLLEFEFQSASTGGGGASKHSRAAAAAAAYASPADELFYRGKLLPLHLPPRLQLVQKLLQEQQVRVPEIAAAPAASASKAAEAEVGDDDEDEEAKVGGKKYFWSRRLKLMKRWASREYIKSFFFLAKPSDLGSIVNGGCGGGEKSSVLEQDELCSSHRRSFSGIIRRVRLVVATKAAATAPPGTSPLCSSSSSSSSSTPSCGNAEGFFLRARAATPVLKRSSSAGSEEGAIQGAIAHCKRSHQQLQQGRRSASGVVFYSLANTPRISSSSSVAAAANEAAQERQEMMCRG* >Brasy8G271800.1.p pacid=40081973 transcript=Brasy8G271800.1 locus=Brasy8G271800 ID=Brasy8G271800.1.v1.1 annot-version=v1.1 MTRQRSGPSSFKESTEEYTFAQLSAATAGFAPEAKIGEGSFGAVYRGKLPDGREVAIKRAGESPRRFQEKESAFRSELGFLSRLHHKHLVPLVGYCEEDHERLLVYEFMKNGALYDHLHPKLGSSSPSPVVSSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGNWVARVSDFGLSLMGPPETEETTMAAAAPQHYMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKYDSGAADGGAPVSVVDYAQPSILAGELGKVLDGRAPEPTPQEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGSRRGNGAGGGGFGNSSSSASISLTSMELSGRRED* >Brasy8G175300.1.p pacid=40081974 transcript=Brasy8G175300.1 locus=Brasy8G175300 ID=Brasy8G175300.1.v1.1 annot-version=v1.1 MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFENVNRWLRELRDHADSSIVIMMVGNKSDLTHLRSVSEDQGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNKKALAAKEAAAAAAPLPSQGKAISIDSTAGNSKRACCST* >Brasy8G290900.1.p pacid=40081975 transcript=Brasy8G290900.1 locus=Brasy8G290900 ID=Brasy8G290900.1.v1.1 annot-version=v1.1 MAPVVSAALGALVPLLVKLTTLLADECDRLKGVRREIHSLRSELTSMHAALQSYSMLEDPSLQVKAWISLVRELAYDTEDCIDKFIHQLGDGRQQGGIKEVFRNTVRRLKTLRSRRRIASQIGDLKARVIEVQEQKNRYKLDDIPRSTSDHVAVDPRLSALFAEEAHLVGIEGPRDDLAKWMVEEENSPVEHRRSVLSIVGSGGLGKTTLANQIYHKIQGHFQCQAFVSVSQKPDTKKIVKDVISQVPCQDGFTKDIDTWDEKKSIAKLRELLQDKRYLVIIDDIWSIPAWDAIKCAFPENNCSSKIVATTRIFAVARSCCPGDDDRIYEMEPRIFGSENCCPDMFKEVSNEILKKCGGLPLEIISISSLLANRPVVKEEWERVKRSIGSALDKNKGLEGVNSILSLSYNDLPPNLKTCLLYLSHFPEDYVIERERLVRRWIAEGFISEERGQTQQEVAENCFYELINKSMVQPVDIGYDGKASACRVHDIMLELIISKSAEENFITVINGAQAIWENSHVTDSGCIKHLPSIAQFKTLRVLDSEGSVWTKFHLKYLSFRGTGISELPPGTVMLHNLETLDLRNTDIQDLPAGITELVKLKHLLARDTYGELEGNTKIPNGIGNMRNLRAVSGFNITMSSKGTVEELGNLTSLNHLHVELDSGGSEEYKEMLLSSLCKLGASKLQSLELYSNEPTPLEFLDSWSPPLSSLQFKLHALLSLELWLKSDPRERLKVQGFPSLKEFILVCRDHYGGAYVTFVEGAMPKLENLELPFHVSMARAYGFCFGIGHLPCLKEAEVHLYNKGAKYSETKAAAADLP* >Brasy8G041800.1.p pacid=40081976 transcript=Brasy8G041800.1 locus=Brasy8G041800 ID=Brasy8G041800.1.v1.1 annot-version=v1.1 MSPSQYKQLGLGGGSPVSPTHLLLLVLGAGFLALTVFVIHPNDFRLQSFFSPAADCSHQPAATATATATFLPARPSSPPPASKDAAATATSPPPVRVLIGIQTTPAKYERRNLLRTVYALQIREHPHLAAAVDVRFVLCNVTSQTDADLVSLESHLHRDVLLLDCAENMDAGKTYDFFSTVARLAPHPGYDYVMKADDDTYLRLPALLTWLSSAARKDAYLGLQMPCDTENFYPFPPFMSGMGYALSWDLVAWVAESEVSRRDRVGPEDMWTGRWLNVAGKARNRYDGAPRMYNYRGASPANCFRRDFRPDTIAVHMLKDNGRWAETLAYFNASAVMERPG* >Brasy8G066600.1.p pacid=40081977 transcript=Brasy8G066600.1 locus=Brasy8G066600 ID=Brasy8G066600.1.v1.1 annot-version=v1.1 MAFVKKNTHALCFVALVVISSAFLSCPATAGRDITSRDIDPAAAFGLLCFPLSGCLKEDCQKQCKWQGYDESKGYCDKNQWQGYAEFCCCKS* >Brasy8G017400.1.p pacid=40081978 transcript=Brasy8G017400.1 locus=Brasy8G017400 ID=Brasy8G017400.1.v1.1 annot-version=v1.1 MEVFGPVTPGQVSFLLGLFPVLIAWTYAEILEYRKSLSHGKVHSDATLENETTKEDEKAILIEGGQLKSPSVKFRNMSTKANLIRFITMDESFLLENRAVLRAMAEFGVVLVYFYICDRTNIFPESKKNYNRDLFLFLYILLIIASALTSLKKHQEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAFEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDFMLYYICPMHTLFTLMVYGSLGLFNKYNEVPSVMAIKIACCFLSVILIWEIPGVFEILWAPFTFLLGYKDPEPSKSNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSVMAAYLWYEYIYKLDKITYNKYHPYTSWIPITAYICLRNCTQQLRGASLALFAWLGKVTLETYISQIHIWLRSSIPNGQPKWLLSFIPDYPMLNFMLTTTIYLFLSYRVFELTNVLKNAFIPSRDNNRLYQNFIAGIAISVCLYCCSLILLKIPVV* >Brasy8G110400.1.p pacid=40081979 transcript=Brasy8G110400.1 locus=Brasy8G110400 ID=Brasy8G110400.1.v1.1 annot-version=v1.1 MAMTPPSSLPPPSPSSYFNMSAGFLDSPVLLTPSLFPSPTTGAFPSQPFNWMGTPENDNGLQGGVKQDDQRQHYSGFTFQTTARVPAPMSGSTSTAPASFLQSSMPMARLGGDSYNREQQPQQQQQQPWSYQSADTSMDVTTTRPADFTTPFDFEAAPDNMLGNNGGGYSTAPAGTGGFRAQSGRRSSDDGYNWRKYGQKQMKGSENPRSYYKCSAPGCPTKKKVEQAPDGHVTEIVYKGTHNHPKPLQNARRGSSSGSSALPSYAMQAGSSINNEVPTADALSGTPENSSASYGDDDANVNGGEGFEVDEPDSKRWRGGGGEGAMEMCGNNNRTVREPRVVVQTISDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTMAGCPVRKHVERASQDLRAVVTTYEGKHNHDVPAARGSAAAARYRAAPMPMPMPMPAQAASGYLQVQGHSSLRRDGFGAGAPTTQQQHTDGSSPFALSGFGNAPSYSYGSMQQQQNDAMYYAAAKDEPRDDDRFFGHSLMF* >Brasy8G066900.1.p pacid=40081980 transcript=Brasy8G066900.1 locus=Brasy8G066900 ID=Brasy8G066900.1.v1.1 annot-version=v1.1 MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGLNVEEAFQCIVKNALKNEPEEELYVPDTVDVAGGNRGQGSSGCC* >Brasy8G005100.1.p pacid=40081981 transcript=Brasy8G005100.1 locus=Brasy8G005100 ID=Brasy8G005100.1.v1.1 annot-version=v1.1 MASCDDDFGLLGDDAHPAPQPSAQPPPPQQAQTFCFVDAPAAGSGSGPFVPAQEEGNHSSERGKASHHSKRRRERAEEFSDGGEYCSYISSSAGGRKGRGGGGGSSDYRKDREEWTDGAISSLLDAYTERFEQLNRGNLRGRDWEDVAAAVTDGQGKGGAGKSVEQCKNKIDNLKKRYKVECARNGGAGAASVSHWPWYRQMEQIIGNSSSPGTSKPLAPTNDEKPRQLLPNANKRYPSSGTGPPTMVPCSRLTPLSNPKWKRVLLKIGGTALAGEAPHNVDPKVIMLIAREVQVACRHGVEVAIVMGARNIFCGDNWVAATGTDRASTHPVGMMAAVINAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAMRHLQKGRVVIFGGIGACIGNPLFTTDTAAALRASEINADVVLKGIAGDDEYGCPPRNNSNALFEHISFRELAARGISSMDMTAITCCEENNIPVVIFNMLEPGNISRAICGDQVGTLVDQSGRIT* >Brasy8G005100.2.p pacid=40081982 transcript=Brasy8G005100.2 locus=Brasy8G005100 ID=Brasy8G005100.2.v1.1 annot-version=v1.1 MASCDDDFGLLGDDAHPAPQPSAQPPPPQQAQTFCFVDAPAAGSGSGPFVPAQEEGNHSSERGKASHHSKRRRERAEEFSDGGEYCSYISSSAGGRKGRGGGGGSSDYRKDREEWTDGAISSLLDAYTERFEQLNRGNLRGRDWEDVAAAVTDGQGKGGAGKSVEQCKNKIDNLKKRYKVECARNGGAGAASVSHWPWYRQMEQIIGNSSSPGTSKPLAPTNDEKPRQLLPNANKRLTPLSNPKWKRVLLKIGGTALAGEAPHNVDPKVIMLIAREVQVACRHGVEVAIVMGARNIFCGDNWVAATGTDRASTHPVGMMAAVINAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAMRHLQKGRVVIFGGIGACIGNPLFTTDTAAALRASEINADVVLKGIAGDDEYGCPPRNNSNALFEHISFRELAARGISSMDMTAITCCEENNIPVVIFNMLEPGNISRAICGDQVGTLVDQSGRIT* >Brasy8G005100.3.p pacid=40081983 transcript=Brasy8G005100.3 locus=Brasy8G005100 ID=Brasy8G005100.3.v1.1 annot-version=v1.1 MASCDDDFGLLGDDAHPAPQPSAQPPPPQQAQTFCFVDAPAAGSGSGPFVPAQEEGNHSSERGKASHHSKRRRERAEEFSDGGEYCSYISSSAGGRKGRGGGGGSSDYRKDREEWTDGAISSLLDAYTERFEQLNRGNLRGRDWEDVAAAVTDGQGKGGAGKSVEQCKNKIDNLKKRYKVECARNGGAGAASVSHWPWYRQMEQIIGNSSSPGTSKPLAPTNDEKPRQLLPNANKRYPSSGTGPPTMVPCSRLTPLSNPKWKRVLLKIGGTALAGEAPHNVDPKVIMLIAREVQVACRHGVEVAIVMGARNIFCGDNWVAATGTDRASTHPVGMMAAVINAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAMRHLQKGRVVIFGGIGACIGNPLFTTDTAAALRASETVMETKSD* >Brasy8G229100.1.p pacid=40081984 transcript=Brasy8G229100.1 locus=Brasy8G229100 ID=Brasy8G229100.1.v1.1 annot-version=v1.1 MKKKAPTAAATPPASSGRRPRKRARDGDPIGHDDLVPHGDLISELPDAILCTIISLLPTKDGARTQALSRRWRPLWLSTPLNLDASYRICFNDFKRFSIVSQILSDHPGPVRRFHFCSIRLHKAKKRYAEEAALFDSWFHSRGLANLQQLDITFHAYENEKFYPLPLSVFHIAPTLLTARIGSCDFPKEIAHAMNFHLLKQLTLQHVSISDNVFHGMLSGCHVLETLHLEDIRDVRCIRITSSTLRSIGLCDCYLGKAEFIIEDAPRLERLLLSSQGAETIRVIRAPKLEILGPLSPCISEIKIGNLVFQGLIPASLKTPIRTVKILALEISGPDLNVVLDVLRCLPCLETLYVDWDTNLKTQMKNVRQYDPLDPIECLETRLNKLVFRRYEGSEEDSGFGKFFVLNAKVLKEMTFVVRGKVKKKWVANQHMLLEVENKASRDVQFKFRYRPHLYLDIHDLLIADPFNHAFVGQRDALSK* >Brasy8G139800.1.p pacid=40081985 transcript=Brasy8G139800.1 locus=Brasy8G139800 ID=Brasy8G139800.1.v1.1 annot-version=v1.1 MAASAARSLVLFSSASLGLGRASSRLLAVSRSPSSGLLRRQQALAFRGRSSFAATAVVMGKAGTAEAEADPGMDAVQRRLMFEDECILVDEQDNVIGHESKYNCHLMEKIESGNALHRAFSVFLFNSKYELLLQQRSTTKVTFPLVWTNTCCSHPLYRESELIEDKCLGVRNAAQRKLFDELGIQAEDLPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVKLNPNPDEVSDVKYVNRTELKQLIKKADDGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLKEAVDMKTIHKL* >Brasy8G155700.1.p pacid=40081986 transcript=Brasy8G155700.1 locus=Brasy8G155700 ID=Brasy8G155700.1.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMRKFEYPFFFLKGEPKYSFYPVNYVIICFCLRVFFLKREPKYSFYELKTVKFCFHCLPVLIRCSKLQQKYNISIC* >Brasy8G155700.2.p pacid=40081987 transcript=Brasy8G155700.2 locus=Brasy8G155700 ID=Brasy8G155700.2.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMPTPGYQLKWSNDAPQATVERVVLTVDGQLPPDYVLKDPDSNFVYVSYKSDIANGDNFVASGEEKTMTSK* >Brasy8G155700.3.p pacid=40081988 transcript=Brasy8G155700.3 locus=Brasy8G155700 ID=Brasy8G155700.3.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMPTPGYQLKWSNDAPQATVERVVLTVDGQLPPDYVLKDPDSNFVYVSYKSDIANGDNFVASGEEKTMTSK* >Brasy8G155700.9.p pacid=40081989 transcript=Brasy8G155700.9 locus=Brasy8G155700 ID=Brasy8G155700.9.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMNMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMRKFEYPFFFLKGEPKYSFYPVNYVIICFCLRVFFLKREPKYSFYELKTVKFCFHCLPVLIRCSKLQQKYNISIC* >Brasy8G155700.4.p pacid=40081990 transcript=Brasy8G155700.4 locus=Brasy8G155700 ID=Brasy8G155700.4.v1.1 annot-version=v1.1 MSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMPTPGYQLKWSNDAPQATVERVVLTVDGQLPPDYVLKDPDSNFVYVSYKSDIANGDNFVASGEEKTMTSK* >Brasy8G155700.5.p pacid=40081991 transcript=Brasy8G155700.5 locus=Brasy8G155700 ID=Brasy8G155700.5.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMLSDDLDLIY* >Brasy8G155700.6.p pacid=40081992 transcript=Brasy8G155700.6 locus=Brasy8G155700 ID=Brasy8G155700.6.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMLMTLT* >Brasy8G155700.7.p pacid=40081993 transcript=Brasy8G155700.7 locus=Brasy8G155700 ID=Brasy8G155700.7.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMLMTLT* >Brasy8G155700.8.p pacid=40081994 transcript=Brasy8G155700.8 locus=Brasy8G155700 ID=Brasy8G155700.8.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMNMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYMLITYGAPKERVTLLNQVGCHWKVELYIMLMTLT* >Brasy8G155700.10.p pacid=40081995 transcript=Brasy8G155700.10 locus=Brasy8G155700 ID=Brasy8G155700.10.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYI* >Brasy8G155700.11.p pacid=40081996 transcript=Brasy8G155700.11 locus=Brasy8G155700 ID=Brasy8G155700.11.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYI* >Brasy8G155700.12.p pacid=40081997 transcript=Brasy8G155700.12 locus=Brasy8G155700 ID=Brasy8G155700.12.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYI* >Brasy8G155700.13.p pacid=40081998 transcript=Brasy8G155700.13 locus=Brasy8G155700 ID=Brasy8G155700.13.v1.1 annot-version=v1.1 MLGCGNSLLSEDMVKDGYEDIVNIDISSVVIEQMSEKHMDIPQLTYMQLDVRDMSFFEDGSFDCIIDKGTLDAMMCGDDAPHGASRMLAEVARLIRPGGIYI* >Brasy8G135900.1.p pacid=40081999 transcript=Brasy8G135900.1 locus=Brasy8G135900 ID=Brasy8G135900.1.v1.1 annot-version=v1.1 MHTPFMDKLSRRRAGAGGRLKQRLTRLLVRSSCTTNTTKTNTTTSATAFVSLDKANANPYPEHSPRHSPDFCVPCTHHLQHQSPKLEGRSSSRSRLRRRASVVHVSIECTGGASASSGRRSVRSDAPLLQPSSWPTNDAEKQSRSRGKSRRSPSASRRQYCSSCSSFRRARARVRVPPRCSFSSSAVTTDDELAPFSGEDESGEEEEAETRTLFSSLSFSSDSTSEFYHTTNSSSTVSRKSRHENARRRRAPRRALVPEAFKKEEGNAIEKEAGDGLGHGGQAVVKRSSNPYADFRSSMVEMVVERRIASVGKMEELLVSYLSLNSSEHHPSILDAFEDVWVAVFGEP* >Brasy8G025700.1.p pacid=40082000 transcript=Brasy8G025700.1 locus=Brasy8G025700 ID=Brasy8G025700.1.v1.1 annot-version=v1.1 MAASTISFSHPKTLAAAAAVPKTLPTPASSVAFPASLPHPACVLAASPAARRRAVAAMVAAPTTASVGAAMPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLHEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARGAGFSEEKGTLGDIWETISGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSHGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEALAYKSTVEGITGIISKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGEKVRSTRPDGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVTADADFVRPELRQSS* >Brasy8G199500.1.p pacid=40082001 transcript=Brasy8G199500.1 locus=Brasy8G199500 ID=Brasy8G199500.1.v1.1 annot-version=v1.1 MHMCFRGGSGDSSRVARPISAIRGFTKSMKAMSLKAKPGGRSRRRRPEDVNPGAASAKITPAQLHDEADDEDHHHQQKQKQKQKQKQKPEFCDKCCSPLPDDDGREEEDLESGGGGREWVAEPEPGVVMTLAARRDGTNRLRRVRFRAELFDAWAARAWWADNRGRIAELYSVARSDSDDNSDSAMPGTPCHSEDEEEPHTPDHETTTPGPGAEESGSSPSTSAFSRDPSSGSGGGSAVTVGSPVLGLVVTAPNDTFETPPRPTATTESAQHDDDGDDNDEEWVEEYEPGVFLTVRACADQSLQLRHVELSRERFGEVKARVWWQENKDRLRTFYSF* >Brasy8G226800.1.p pacid=40082002 transcript=Brasy8G226800.1 locus=Brasy8G226800 ID=Brasy8G226800.1.v1.1 annot-version=v1.1 MWALQSLRRSLPRGASSSSLHRRCAPSISPPEGFAARVGASEAASAVASVSGALVPAVEGGGGGVCRRLMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIHSMRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLARLSGGIVVNIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARYEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDVQPTAGTTEIKEPHDQQSEDSEQEELDHFIAEIESAAEKQWEEEEAAEKEETSRLQYRYREEMGDRRGFNRSYDNSDADDRNQGRYRRDNNNHNKWTSDSRRWDDDSEIEASGEDVDNHSGFSRRYDNSDREDRSQGRYRRDSKNIKRTTDSRRWDDESEASGEDWDSDDGRDSVVGFDNARDTPDEHPRRFESMSHERSRSTHRQNYMPGASRNSSRTSKNSVSVSDDSGDDHSDTEDDEVWGSDYKEGTNSRDPKVIFPNYHSGSEEDTDDNWKHGGKPGQIKKNTDEDWDSD* >Brasy8G137500.1.p pacid=40082003 transcript=Brasy8G137500.1 locus=Brasy8G137500 ID=Brasy8G137500.1.v1.1 annot-version=v1.1 MGSLVLLVARLLLLLLLPAALLATAGEGAGKRAPPHRILVDTDVDTDDLFAILYLLKHDRAEFDVQAITINTNSWTDAGHAVNQLYDLLYMMGRDDIAVGVGGEGGISDDGRTYPDVGGYFPIIDQEMSTVGGCRYRQSIPQGGGGRLDINANYGVRRAFLPQGKRSYSPLQQPTTQQVMIDTISAGPTNVFLIGTHTNFALFLMSNPHLKENVKHIYIMGGGVRSRNPTGCCPKNDTLCVPRQCGDHGNMFTTYTKNPYAEFNIFGDPFGAYQVFHSGIPVTLVPLDATNTIPITEAFFKAFEEQQSTYEAQYSFQSLKITRDTWFDDQFYASYFMWDSFMSGVALSIIRNGQKPNGENDFAEMELMNITVVTSNEPYGVHDGSNPFFDGHASPKFDLLEGGVHSGHVQTGLDDSFCVLKGSIKGKCQDGYTKEMEGPDSVAVLVAVKAKPNRNVKSPLDREFFENFLEVLNRPEHTGQFNFTDQFPHYKEIMYKPDFKHQIKGKPVIFGMDMSPGDFVALMCLLKAPMAAIDLRGILVSGNGWANPATVDIIYDVLHMMGRDDIPVGLGNITALGSPDLGCEYVKAIPHGSGGFLDTDTLFGLARVLPRSPRRYTAENSVKYGAPRDTAHPELRQPLAFEVWQHIREGLKPTDKITILSNGPLTNIANIILSDSKAEAIIEHIYIVGGHLAGGHGEEGNVFTVPSNKFSEFNFFLDPQAAKVVVESGSNITLIPLRAQRQVASFGKVIRSLNASDKTPESSFVYRLLLLMEKLQKSNRAYRHTDMFLGELLGAVFLVQQSHLNHSITERAISVSSGHVSTDGQTVLQQTNGKLVKVLDHLDPNAYYTEFAKLLNTMKQSAVVGSFDEQKKMWNK* >Brasy8G137500.2.p pacid=40082004 transcript=Brasy8G137500.2 locus=Brasy8G137500 ID=Brasy8G137500.2.v1.1 annot-version=v1.1 MPFCSLPARRRQFFAWLAWSVDGCRETKLLPTPSGSLEAITINTNSWTDAGHAVNQLYDLLYMMGRDDIAVGVGGEGGISDDGRTYPDVGGYFPIIDQEMSTVGGCRYRQSIPQGGGGRLDINANYGVRRAFLPQGKRSYSPLQQPTTQQVMIDTISAGPTNVFLIGTHTNFALFLMSNPHLKENVKHIYIMGGGVRSRNPTGCCPKNDTLCVPRQCGDHGNMFTTYTKNPYAEFNIFGDPFGAYQVFHSGIPVTLVPLDATNTIPITEAFFKAFEEQQSTYEAQYSFQSLKITRDTWFDDQFYASYFMWDSFMSGVALSIIRNGQKPNGENDFAEMELMNITVVTSNEPYGVHDGSNPFFDGHASPKFDLLEGGVHSGHVQTGLDDSFCVLKGSIKGKCQDGYTKEMEGPDSVAVLVAVKAKPNRNVKSPLDREFFENFLEVLNRPEHTGQFNFTDQFPHYKEIMYKPDFKHQIKGKPVIFGMDMSPGDFVALMCLLKAPMAAIDLRGILVSGNGWANPATVDIIYDVLHMMGRDDIPVGLGNITALGSPDLGCEYVKAIPHGSGGFLDTDTLFGLARVLPRSPRRYTAENSVKYGAPRDTAHPELRQPLAFEVWQHIREGLKPTDKITILSNGPLTNIANIILSDSKAEAIIEHIYIVGGHLAGGHGEEGNVFTVPSNKFSEFNFFLDPQAAKVVVESGSNITLIPLRAQRQVASFGKVIRSLNASDKTPESSFVYRLLLLMEKLQKSNRAYRHTDMFLGELLGAVFLVQQSHLNHSITERAISVSSGHVSTDGQTVLQQTNGKLVKVLDHLDPNAYYTEFAKLLNTMKQSAVVGSFDEQKKMWNK* >Brasy8G137500.3.p pacid=40082005 transcript=Brasy8G137500.3 locus=Brasy8G137500 ID=Brasy8G137500.3.v1.1 annot-version=v1.1 MMGRDDIAVGVGGEGGISDDGRTYPDVGGYFPIIDQEMSTVGGCRYRQSIPQGGGGRLDINANYGVRRAFLPQGKRSYSPLQQPTTQQVMIDTISAGPTNVFLIGTHTNFALFLMSNPHLKENVKHIYIMGGGVRSRNPTGCCPKNDTLCVPRQCGDHGNMFTTYTKNPYAEFNIFGDPFGAYQVFHSGIPVTLVPLDATNTIPITEAFFKAFEEQQSTYEAQYSFQSLKITRDTWFDDQFYASYFMWDSFMSGVALSIIRNGQKPNGENDFAEMELMNITVVTSNEPYGVHDGSNPFFDGHASPKFDLLEGGVHSGHVQTGLDDSFCVLKGSIKGKCQDGYTKEMEGPDSVAVLVAVKAKPNRNVKSPLDREFFENFLEVLNRPEHTGQFNFTDQFPHYKEIMYKPDFKHQIKGKPVIFGMDMSPGDFVALMCLLKAPMAAIDLRGILVSGNGWANPATVDIIYDVLHMMGRDDIPVGLGNITALGSPDLGCEYVKAIPHGSGGFLDTDTLFGLARVLPRSPRRYTAENSVKYGAPRDTAHPELRQPLAFEVWQHIREGLKPTDKITILSNGPLTNIANIILSDSKAEAIIEHIYIVGGHLAGGHGEEGNVFTVPSNKFSEFNFFLDPQAAKVVVESGSNITLIPLRAQRQVASFGKVIRSLNASDKTPESSFVYRLLLLMEKLQKSNRAYRHTDMFLGELLGAVFLVQQSHLNHSITERAISVSSGHVSTDGQTVLQQTNGKLVKVLDHLDPNAYYTEFAKLLNTMKQSAVVGSFDEQKKMWNK* >Brasy8G085800.1.p pacid=40082006 transcript=Brasy8G085800.1 locus=Brasy8G085800 ID=Brasy8G085800.1.v1.1 annot-version=v1.1 MAFCSGFLEKAKPYIAMISLQFGYAGMNVLTKVSLNGGMSHYVLVVYRHAFATVAIAPLALILERKVRPKMTRSIFFQIFILALLGPVIDQNFYYLGLKYTGPTFACAMSNILPAMTFVMAVIFRMEKIELKKVRCQAKILGTVVTVAGAMLMTLYKGPLMELAWTNRHGGGAAAAADAPAAAVDAKEWFLGSMFVIIATLAWSGLFILQTHTIKQYSAQLSLTTLICFVGALQAVVVTLVMDRRPSIWAIGFDMNLLAAAYAGIVTSSLAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGAVLGGVVIVVGLYAVLWGKHKETQEMEADAKTALPVAASAKGDVAASMEIIGGAGDENVAGARSFSNGRGRGSAV* >Brasy8G199800.1.p pacid=40082007 transcript=Brasy8G199800.1 locus=Brasy8G199800 ID=Brasy8G199800.1.v1.1 annot-version=v1.1 MGIPKASILAILGCLCFCSSVLAARELNDDLSMVARHESWMLQYGRVYKDAAEKASKFEVFKANAGFIDSFNAGNHKFWLGINQFADITNEEFKATKTNKGFISNKVRAPTGFSYENVSFDALPASIDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIISNGGLTQESSYPYDAEDGKCKSGSKSAGTIKSYEDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGATSDGTKFWLMKNSWGTSWGENGFLRMEKDIADKKGMCGLAMEPSYPTA* >Brasy8G214600.1.p pacid=40082008 transcript=Brasy8G214600.1 locus=Brasy8G214600 ID=Brasy8G214600.1.v1.1 annot-version=v1.1 MHDAYDDSDGGDKAKQNDTDVNQSDPKGKALVPDVNGSSSSTVAGGTAPTGTQLASTVLPAIRFGSFALESTTLVCVSAPRSRWADMVEEEERISLSAPPCAHSHGLRRQSSCSGLLTKLDAPTGGGVAPAFRQELNGPAVGPVQLGVGLQRQVVPAVAPKSHLASPAAVHLRGAAPVCLQQLHGAPGADPVGPSWQVAMGSPPLSPGHAPAPLSPACGPNGSAVGGSSLDAVIAFGGIPDVATTGVRSSARIRAQPDADVTQLERATLRAQARDPLPVPGGLLRDSGCRVGGVSWRLLAH* >Brasy8G290600.1.p pacid=40082009 transcript=Brasy8G290600.1 locus=Brasy8G290600 ID=Brasy8G290600.1.v1.1 annot-version=v1.1 MATAAPATMRVSSPFMAPPLRYPFRRVAPSRRPVSVSAAGGAPPTVLVTGAGGRTGQIVYKKLKERAGEFAARGLVRTQESKDKIGGGPSVFIGDIRDPASVAAAVEGIDALVILTSAVPKMKPGFDPSKGGRPEFYFEDGSDPEQVDWVGQKNQIDAAKSIGVKQIVLVGSMGGTDLNHPLNKLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELIVGKDDEILKTETKTIARPDVAEVCIQALLFEEARFKAFDLASKPEGEGTPTTDFRSLFAQIATRF* >Brasy8G224500.1.p pacid=40082010 transcript=Brasy8G224500.1 locus=Brasy8G224500 ID=Brasy8G224500.1.v1.1 annot-version=v1.1 MACWSSLPNDLVNEISSCLLAADDIDYYMQMRVVCRGWYAAMAAIHPKANPADPRFRLRQWVMLDEHYYPEDDGETPVRLFINTATGRFVFKELPCLKQHHFVASSGGLLVLASKRAPYSASIFNPFTDSSIRFTAPVPDSVRHTKAYIHGVGPSTSFSSSVVNVPPVGWMFFKVDTQNMVIQPMRSIGNRALFLGERHVCVDATKFPSAVSSTLAEEIRIAKALAFTCTMSPKRKRKYVVDFAWATEKYVTPSIIQVLMRYSIDTPWCQLRE* >Brasy8G151800.1.p pacid=40082011 transcript=Brasy8G151800.1 locus=Brasy8G151800 ID=Brasy8G151800.1.v1.1 annot-version=v1.1 MSSALALSLPPWRALPTPPLRLAPKHPSLRAHQCRLLVRTPKRALPATPRRFLIAPRASLSATSPGEPAREGSAGGRKAGGYRNWFLDLARLGAVAEGVAETFFRSEIRRRLAVTAVLIVLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLVDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKIRKEGLDGHEKIKGYIWWLSLGFAIVAACTVSCYSLQYSIYAASYRVKHVVLTSFLLVLGAMSTTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNMYECWPYILGVAGIFMMVTMGAVLVTEGCRKIKLQYYGFKLASGARNENSPATEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGSPFWGNLKEILNPRTSVGGSPWVYYLTYAFLVFVFNIFDICTATPRYHERRARRPS* >Brasy8G002200.1.p pacid=40082012 transcript=Brasy8G002200.1 locus=Brasy8G002200 ID=Brasy8G002200.1.v1.1 annot-version=v1.1 MSASSKLCRSACKAARSLLSSASAPRSSVLADGRNAALATLTNLGRSKLPAAYSYHKAGAGAGAAHGYQWLAAVPAAAYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGFKLVAIKLVVPSKEFAQKHYHDLKERPFFSGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFQPKELVSYTSNEEKWVYGVN* >Brasy8G112200.1.p pacid=40082013 transcript=Brasy8G112200.1 locus=Brasy8G112200 ID=Brasy8G112200.1.v1.1 annot-version=v1.1 MWPPWVKTRAPNSAALAAASTTPSLKDIQSLLQPEPSADPPQAGESSPSPRVFHRLRVAASALRLLRTLQPADHGGRVVLYYTSLRVIRGTYEECRAVRDILRGLGAAVDERDLSMDPCFLSELAALLRRRRGAVTLPQVFVGGRHLGGAEEVRRLHESGELARIVAAPAAAAPVPCGSCAGERYVLCGSCDGSHKRYSRKGGGGFRACACCNENGLVRCPDCSFPVA* >Brasy8G285400.1.p pacid=40082014 transcript=Brasy8G285400.1 locus=Brasy8G285400 ID=Brasy8G285400.1.v1.1 annot-version=v1.1 MEPPRLTEGAVKQLSEHPHGAGTIFPVVQVGDVKIVNTKGTPQQQSERYRMLISDGVNSQQSMLATPLNALVKDGTLRAGSIVHLTETMCNTIQNRRIIIVTKLDVLQSECPMIGKPKMCQMKILPQEQDPNLPANAAQTYSGSHSGNPGMLGSSVAPMVEQAANNLSYGGPYNGVHGTVGSSIGRAVEPVPNNMLSGGSYGTVPAQNTMNANVVQPNSQQPLLSSHQNQRFAIPGAGRGFGPPGNIYGRPAQPSYQQPPPAYRNSGPVAKNEAPPRVTPISALNPYQTTWTIKARVTAKSRVNHFTNASGPGTVFNFDLLDAHGGEIRAKCFKAAVDQFYNLIEVDKVYLISRGAIRPAQKKFNPLNNDYDLTLDVSTSIEICSGDDSSIPRQQFNFRQISEITNMDGGAMVDLLGVVTSVSPTVPLMKKDGNETKKRNLQLKDMSGCSVEITFWGDFCDAEGQQLQSLCDSGSSPMLVLKSGRVNDFNGKSVGTISSSLIKINPDFPDAERLRQWYITEGKNAACTSLSMATMGRTDVRKTIAEIKDESLGQSDKPAWITVIGSIFHIANDPFCYPACTMQVNGRQCNKKVTNNGDGMWHCDKCEQSSPNCEYRYLLNCQMQDHTGSTYCNAFQEAGKDIIGVTAQELFRIKHEEQDDVQFAEIMQRARHQLFLLKLKVKEEIYNDEARVKYTIFKAEKLDDPRFLLGIIDRLLVEDDTGSTPGVNHAAAVNAGFTNSEAGQSVVTSNNTYAMNMGGPNQFGQQASLSAGVPTTSPATRYAQTCSVCGSNGHNAQNCPATMDDMHQPAPSVGFTASSYGSSAGGNASSGLCFKCNQPGHFSRDCPGLATSYGSSAVNANASSNLCFKCNQPGHYSRDCPAQGSSYPSSAGGNSGANLCFKCNQPGHYARDCPAQAAGGPQRPTYGNNAAASGGYNRQYVSNF* >Brasy8G133700.1.p pacid=40082015 transcript=Brasy8G133700.1 locus=Brasy8G133700 ID=Brasy8G133700.1.v1.1 annot-version=v1.1 MKGGFLHKLLVNKLCFVLLALLVVPIVIFLLGTMQEQLRIFSQGFLQQQGLGHLGDNASAPVGFADPAERSITRRNKDCNYAKGKWLADEKRPLYSGNECKQCLSKMWACRMMQRTDFFYETYRWQPHGCEMPEFSGPNFLKRMKNKTLAFVGDSLGRQQFQSMICIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNTTILFYWSAILSELETLNTTNSVTSYALHLDRPVTFLKQYVHSFDVLVLNTGHHWNRGKFVGNHWELYADGKPVGKGRLADLNRAKNLTLYSITRWVDSELASRPQMKAFLRTMSPRHFVNGDWNTGGSCSSTVPLSNGSEVLQDHSSDMPAEHAVKGTRVKLLDITAISQLRDEGHISNRTLRAPTGIHDCLHWCLPGIPDMWNELLFAQL* >Brasy8G133700.2.p pacid=40082016 transcript=Brasy8G133700.2 locus=Brasy8G133700 ID=Brasy8G133700.2.v1.1 annot-version=v1.1 MKGTMQEQLRIFSQGFLQQQGLGHLGDNASAPVGFADPAERSITRRNKDCNYAKGKWLADEKRPLYSGNECKQCLSKMWACRMMQRTDFFYETYRWQPHGCEMPEFSGPNFLKRMKNKTLAFVGDSLGRQQFQSMICIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNTTILFYWSAILSELETLNTTNSVTSYALHLDRPVTFLKQYVHSFDVLVLNTGHHWNRGKFVGNHWELYADGKPVGKGRLADLNRAKNLTLYSITRWVDSELASRPQMKAFLRTMSPRHFVNGDWNTGGSCSSTVPLSNGSEVLQDHSSDMPAEHAVKGTRVKLLDITAISQLRDEGHISNRTLRAPTGIHDCLHWCLPGIPDMWNELLFAQL* >Brasy8G133700.3.p pacid=40082017 transcript=Brasy8G133700.3 locus=Brasy8G133700 ID=Brasy8G133700.3.v1.1 annot-version=v1.1 MKGFLQQQGLGHLGDNASAPVGFADPAERSITRRNKDCNYAKGKWLADEKRPLYSGNECKQCLSKMWACRMMQRTDFFYETYRWQPHGCEMPEFSGPNFLKRMKNKTLAFVGDSLGRQQFQSMICIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNTTILFYWSAILSELETLNTTNSVTSYALHLDRPVTFLKQYVHSFDVLVLNTGHHWNRGKFVGNHWELYADGKPVGKGRLADLNRAKNLTLYSITRWVDSELASRPQMKAFLRTMSPRHFVNGDWNTGGSCSSTVPLSNGSEVLQDHSSDMPAEHAVKGTRVKLLDITAISQLRDEGHISNRTLRAPTGIHDCLHWCLPGIPDMWNELLFAQL* >Brasy8G176900.1.p pacid=40082018 transcript=Brasy8G176900.1 locus=Brasy8G176900 ID=Brasy8G176900.1.v1.1 annot-version=v1.1 MHVLAPYGAGPPLALAEVFRYLAAGRRESRKEAASSIVVHGNNVGEMDEALSQISEAFRLAGELMSELPATQNDPAYLADRCHGIAQAYNAAIRMLQPYGGLGGVDVAGGPLDFLLLRRSAEEAAAGRSQFLGEPPVQQEPFHVPAADMFAGGPLRRLASSSSRSPPPVQPRQGSSRRRRESGQRQTLMVPAQRTGNTELPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDEDPFTYEVTYCGNHSCLTSTTPLLTLPPATGATASTTNSPTAASGSRLAPDLVMAHAEQPHSAAAALSTSIHLGMNWMPASIQGTGVGAGDGSSGAQMNVSAAGRDTDYPVLDLADVMFNSGSSGASSMDGIFPFHHRRDT* >Brasy8G247300.1.p pacid=40082019 transcript=Brasy8G247300.1 locus=Brasy8G247300 ID=Brasy8G247300.1.v1.1 annot-version=v1.1 MDATISDPTMATSVERVFENQTPPGTWGQLTLRSMAISTVLGSLFGFVGLRIMMKAGIIQALNLPINVLSFFFLKWVINLQRACGFPTLPFSRQENVVILTTVTTCLNVAICGGFANYIVAMSSGVAKTLGENPDPRDIVDRVPTGRWMLYLFLISMVGVLGSVPLNQVMIVDYRLLFPTGTVQAHLINSFHTPYGALVAKLQVATIFKYFFGSFSWTVFTWLYTGGNECGFSNFPMFGLELYKHRFKFDFSPTFLGVGMICPHVINLALLFGAIISWGLLYPFLETKRGQWYHTDSPSSLKGINGYKVFICITIIITDGIINFLTIIVTSYIDLKHKREENESGLSTYMKKHPSLNYDDHKRIEVFLENKIPLTVSVGGYIASATISSVVIPWLFDQIRFYHLAALYIIMPVIGFANTYATGLTDWTVGYTYGKFTIFIVAAWIVKAGAVVAGLVACGVVIAAIYISSQTTQDIKTGFMTLTSERAMVIGQIVGVVIGSIVNPCIFLAFEKNEKPGITIGSAQSHFPCPFAGLFRAIGVTGTGGVKELPEYCLAMCLAASFITVFVDALTIASQRKGWRLHNYLPNMTVVALPFFTGPDVAIDMCVGSVLLFLWTKMNRRSAELLSSAVAAGLICGEGLFALPSAILTMFSVQPPMCMRFFPSGKEVDVVDSFLHTLDTASKT* >Brasy8G022100.1.p pacid=40082020 transcript=Brasy8G022100.1 locus=Brasy8G022100 ID=Brasy8G022100.1.v1.1 annot-version=v1.1 MGGETVGSERIEGEINLLWRRSREEEDDGRSAAGWVAALGRGGRRSRERGGWVARQSEGGAMWGRQPASRLMNRRRVCHRKGGSRRAARDLGSVPIWTWRGEGSRWVPSSLSGCWVPRRLYMVGRGGAPVEREHPVGPVRLWLACGV* >Brasy8G148600.1.p pacid=40082021 transcript=Brasy8G148600.1 locus=Brasy8G148600 ID=Brasy8G148600.1.v1.1 annot-version=v1.1 MARFGGFLYAAILVVLCIFHVDVVRAQITHPTEANALRAIKGSLIDPMNNLKNWNRGDPCTSRWAGIICEKIPSDAYLHVTELQLLKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGSIPKEIGNITTLKLITLNGNELSGSLPDEIGSLQNLNRLQIDENQISGPIPKSFANLTSMRHLHLNNNSLSGQIPSELSRLPELLHLLVDSNNLSGPLPPKLAETRSLKILQADNNNFSGSSIPAAYNNIPTLLKLSLRNCSLQGVIPDLSGIPQLGYLDLSWNQLTGSIPTNRLASNITTIDLSHNFLNGTIPANFSGLPHLQFLSIEGNLLDGAVPSAIWSNITFTGNRSLVLDFQNNSLDTIPIAFEPPKAAIVLLFGNPVCDSSNSARAAGLCQPTSVNEAPSGQGPQVSINCAPCPTDKTYEYNPSSPIPCSCAVPLGVGFRLKSPGIADFRSYKEAFEIDSTSELHLRIYQLYIEHYIWEAGPRLNMYLKLFPSNTSLFNMSEVMQLRHLLAGWEVTLSDIFGPYELLNFTLGSYADEFPTVVSSGLNKGALAGILAGTITAAIAVSVVSTIFIMKRRSKRRTVSRRSLLSRFSVKVDGVRCFTFEEMARATNDFDDSAQVGQGGYGKVYKGNLADGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEDEQMLVYEFMPNGTLRDHLSVTSKIPLNFSQRLHIALGASKGILYLHTEADPPIFHRDVKATNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHISTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGIVLLELLTGMKPIQHGKNIVREVNTAYRSGDISGIIDSRVSSSSSECVSRFLSLALKCCQDETDARPYMAEIVRELDAIRSLLPEAEDLVSVTSMEIGSSATLTKSTSNSVTTTTGEHFGSFQTSGSGRTNSGVPSGTVAPR* >Brasy8G295100.1.p pacid=40082022 transcript=Brasy8G295100.1 locus=Brasy8G295100 ID=Brasy8G295100.1.v1.1 annot-version=v1.1 ETEDGTEYDSTEDTQASSGTKSATSRTSAKTLYWIIKKFNEVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNYAIVIDAIRALPFEPIDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGIPGNRISLKEAEKIVLMELTPESTRLQQDSFKMAYVIILIGHMLSPSTKYDHVNPDFLGALRCTEEIGQYNWCAYVLKGIIDAARRLQDDIGQKKVVSAIAGCHIFLQIHYLDNLVLGPLQPPKNIFPRCKAFPTDVLNKLILADTKPGGGYGSKQFNARGTSRQPMTSIPHPEKTPAATTSATTPPALPPVPANSSASVPISAATLPRFLRDKYPALSNSAVAVAFKKYNANMTRAMHERHAAEKTSTLEHNVWLADQVFGLIHSTNQENQPSLPAARTESDSKDCGVESTPKRCSTETNDPAMKKAKSNTSDATRSFFNHMDFDPPSFELGIDAIQTLTERNVTPLAASNDVSIRTPPATTLTPCSPAATKMYNETVMADLIFRTDYLDCPESRVLFGHCSVSPPDKRRTRVGQFAPSPWSDGYIHPKPDIDLMISLMDWCTDAGAQYMNITWLSVEFPRFITVKGSHVRDQLVRSDMLDFEMCDLLVRRLTQLDTYMAPNSCKMRWRHLLESDFSVYVLAAGDVTSALSIQQQFIGNSVQYNMSCIRMFAVPSFVNEYWSAYMFDMKEEIIHVLDPFLEQDSTGKIKDLHVHTSGLIHEKLFDCLNSLFENWNPRKNVWPLHFPVLTADTFEKYGHPSFQL* >Brasy8G018500.1.p pacid=40082023 transcript=Brasy8G018500.1 locus=Brasy8G018500 ID=Brasy8G018500.1.v1.1 annot-version=v1.1 MDSDDWGLGAIVRSCGAGRQDPAVDDIPAGSSDSKAEITAPARPVARRVELAGQPLRTPSMTMASSSLHGVLECLDSHHEQQQPLPRPAPLFSITPSTAMSREQRPPSELVSLFSAASTSSGQLTPATKKLQHDGGRKPGAGRAHRPKRSKISRKSQVKKVVREVPVVADRGVVSGAADDLWAWRKYGQKPIKGSPYPRGYYKCSSLKSCAARKLVERSPDKPEVLIVTYVADHCHAVPTLAGGTTVRQTTSDEATGAARREDSVDGSSSAAADEASNSELRSPVGMHGAGRFLWPLRRRS* >Brasy8G096400.1.p pacid=40082024 transcript=Brasy8G096400.1 locus=Brasy8G096400 ID=Brasy8G096400.1.v1.1 annot-version=v1.1 MAGDGSDAAFQDEAEPTVTLNEYIDGIEAEELEADLVLGGDDGNECTYGGGYLKRQAVFSCITCVPDGVAGICTACCIACHEGHEVVELWTKRNFRCDCGNSKFGGHLCKLNPEKDPENPANSYNHNFKGFYCTCGRPYPDPEAKEQVEMIQCCICEDWFHEDHIGLDSIEKMPRDEEGEPLYEDFICHKCSPICYFLKLYPETIWASSKQSSASQAFTADSNGLEEVSADQADTEKNENGARVDHLSVEKTSVEDNCTEDNAVSEKSILGDNSGGNCKLGMDVNRTSADLEKAMPFFMSKGWREILCRCGTCTKVYAQRGIAHLTDKDDSIEEYEKVAKQKREKKLEQQEGAEANFINSLNHVQKIEILSGINDIKNELHSFLESFDPSKAVTSEDVRSIFENLAKKKQRLS* >Brasy8G151500.1.p pacid=40082025 transcript=Brasy8G151500.1 locus=Brasy8G151500 ID=Brasy8G151500.1.v1.1 annot-version=v1.1 MLATWLLVEQRPAAGSQRRLGSARPQGSSAAARNGQAARGRVVAAPDVGARGGGHGGAAPDNGARDSGGAAPDGGDHGGGWGSAPPAQGGSTQWRGGRTSKMPREKGMGG* >Brasy8G263900.1.p pacid=40082026 transcript=Brasy8G263900.1 locus=Brasy8G263900 ID=Brasy8G263900.1.v1.1 annot-version=v1.1 MAAAAAVADWTVVRRRGRRRGGEPPVTTSYPDAPPPLPLTPIPWSPSDPSLDPARVSRLVDRARAAISRVEASRLYLRLLLPDSSLRRRLSSLAPTRLSLLGVGSFESSPAARLQLALAALLRRDLLPDGASASADLFDPVLSAAECAAAAALGFAVPRLDDGCRRRAAEPTLFYMPHCEAALYDALLAANWEPRAQLRRLCVLGNSFRRYALQAEDSASGPAAKAKLVLAAGRFAWEESVDEAGAVDDDDGFARAFNETSWHFFEVDDEDVDRKEEISSNSLSLEKLSL* >Brasy8G081000.1.p pacid=40082027 transcript=Brasy8G081000.1 locus=Brasy8G081000 ID=Brasy8G081000.1.v1.1 annot-version=v1.1 MSDLDVTLPSAFDPFAEANAEDAGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKELIKIHGF* >Brasy8G195300.1.p pacid=40082028 transcript=Brasy8G195300.1 locus=Brasy8G195300 ID=Brasy8G195300.1.v1.1 annot-version=v1.1 MLPVAILFVFLLPTLHTPACSARSTISAGEALTGDGKLVSHNGKFALGFYQPGSEYSSSQQTPSHWYLGIWFDKIPKLTTIWVANRENPIIDPDNSELKISDDGNLVILNRATKSTIWSTHANITANNTTVLLLDNGNLIIQDASNSSKIIWQSFDYLTDGTPPGAKQGLDKVTGLNRKIVSKKNLIDPAPGRYCMELDTTGPGQFLYKLCNSSITYWSTGEWNGQYFNSMPEMSRHKLFSFEFVNNDKEEYFIRHLLDDKLIAVSLLDISGQGKQLIWHESSQDWLTVFTQPKDQCDVYATCGPYTICNSNKSPVCDCVKGFSIRSPKDWVLEDRSGGCTRNLPLDCRRRNQSRDATTDVFYSMTGITLPTMARVIEAVARTDHCAQACLDNCSCTAYSYGTRCSLWYDDLLNIKHDYTGTTSDGEVLYLRISAKDAESWRNKNRGKKGVIGAITAAGVVALGLLTAFVMWFLVIWRSKRKLATAVHNNVQGGNGIVVFQYVDLQHATKKFSEKLGGGSFGSVFKGLLTDSTAIAVKRLDGVRQGEKEFRAEVSSIGIIQHINLVKLIGFCCEGDRRLLVYEYMPNLSLDAHLFQSNTAVLNWNARYQIALGIARGLAYLHESCRDCIIHCDIKPQNILLDTSFVPKVADFGLAKFLGRDFSRALTTFRGTIGYLAPEWISGVAITPKVDVYSYGMMLLEIVSGQSNTCKGNTTNGDHSAYFPVQVASKLLDGDVASLLDIKLLDVNLDEAERVCKVACWCIQDSETNRPTMGEVVQILEGLLELEVPPMPRLLQAIAGNSP* >Brasy8G269900.1.p pacid=40082029 transcript=Brasy8G269900.1 locus=Brasy8G269900 ID=Brasy8G269900.1.v1.1 annot-version=v1.1 MPPPAAMPAFPILLLLLFLLSLASSTTNSTATAVRFDYATLTLSTLRLLGDAHLNNNTIRLTRDLPVPTSAAGRALYASPVPLLAGFSTSFAFTVATLNRGSVGGGLAFVLAPDALSLGEAGAYLGLAPAADLAAVEFDTLMDAQFADLNGNHVGIDLGGDALMASSAAAADLGDVGVELTSGRTVYAWVEYGKKVMEVFVSYDSKRPAAPVLSAPVDLGVYVKDQAFVGFSASTQGSTEIHAIQWWSFSAPSPPSSPAPAPANSTQRPPAAAPPPAPVGTGGGVNPSSPLLPGVSPPPAAPGNVSSSPPPDGSVVAASAPANSTEGVKRNAAASPSPRAAVAGGATAGAFVAVSFAGLALWALARRRARARRRRPTLSSSAMAATATTKRGHDTNSSLASAAAMARSPREFTYKELSAATRGFDASRVIGHGAFGVVYKGIVPDTGAMVAVKRCTATATANAEARSEFLSELSIIAGLRHRNLLRLQGWCYHDDRGEILLVYDYMRNGSLDRALFDNAASPVVLPWRHRRDILSGVASALAYLHHECDRRVIHRDVKSSNVMLDEHYRARLGDFGLARQADHGASPDATAAAGTMGYLAPEYLLTGRANEGTDVFSFGALVLETACGRRPIGTTEGRCNNLVEWVWSLHGEGRVLDAVDARLGGDYEEGEMRRAMLVGLACSSPDPALRPGMRAVVQVLAGEADPPFVPAARPSMSFSGANRQLLISLQDSVSDYDALGLDLDDDDDSSDSDSSSSLTSTLRKGGHDVGFSSTAGDAR* >Brasy8G087200.1.p pacid=40082030 transcript=Brasy8G087200.1 locus=Brasy8G087200 ID=Brasy8G087200.1.v1.1 annot-version=v1.1 MMYHAKKFSVPFAPQRAQNSEHVSNIGAFGGSNISNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGDSLEGSEERKLSHSPPTLDDYPDSMHPSPKKPRMEDLSPDSARGVSQPRFESHLIGPWDQELCGKNISDPAFQVDEFKANPGLS* >Brasy8G071200.1.p pacid=40082031 transcript=Brasy8G071200.1 locus=Brasy8G071200 ID=Brasy8G071200.1.v1.1 annot-version=v1.1 MLKEFHSRNVNALLQTGPLLKHHCSFPIF* >Brasy8G282600.1.p pacid=40082032 transcript=Brasy8G282600.1 locus=Brasy8G282600 ID=Brasy8G282600.1.v1.1 annot-version=v1.1 MGNRAARLATPCFAAGAAGRAAADDDGGGAINGGIGHILSFDDGGATTIHGVLLPSNQSTIGASSSSFLDADHASSVSGGSSSSNSFSFRTLDYCPSPSSSSGTTMTSSGVLSRQAAARTDEQILADLYATRRRRLRETASGSPKNLLDRLRRAVASLALPRVGRKTKQQHAMTTNGSVSIENGGSAMESNGGEEEDEGNGGRRRAQWARGEAGEDRVHVVVSSSSSSEKKEMFVGIYDGFNGPDAADHLAANLYAALNDHTTPHSGDGDSPREVLDGMARALRRTEEGYFAAAEARAKECPELGMAGSCVLVVLVRGADVYAMNVGDSRAVLARRAAADDLPGAGAEEEIRRRFDGAEDGGELVAMQLTMDHSTNAYKEVRRIRSEHLDDPACIVNGRVKGSLQVTRAFGAGYLKELRWNDALLEVFRVDYVGSSPYITCRPFLRHHRLGPRDKFLILASDGLFEYFTNEEAVAQVEAFTARYPDEDPAKYLSHEILLRAANQAGMGFNELLVVQHGDDRRRYHDDVSIIIVSLGGKIWRS* >Brasy8G228700.1.p pacid=40082033 transcript=Brasy8G228700.1 locus=Brasy8G228700 ID=Brasy8G228700.1.v1.1 annot-version=v1.1 MATAKSISNSEEGVAAEEVRRVRKYFLAALIEHSFNFFFVATLVCYICLMFGALKYYDLMFAVAVIALMSPYFISMLYMLPILKVFYIEKYEAGMKSIDADAASNALDGKI* >Brasy8G262500.1.p pacid=40082034 transcript=Brasy8G262500.1 locus=Brasy8G262500 ID=Brasy8G262500.1.v1.1 annot-version=v1.1 MNLLPLVLAAEEQDDVEERPEEEPKPGRHQQTHPLADVSDGLMRQHVGAGGDAGVRRELRPQRVPNGAEHLHLHRLRQAPDGRPVEHLHAPGEAPGGVPELVLQHGLVRVLDERDVVEPDPVVGDPVAVDEEPREEQEVGQDRHHHRVAQHDVRHDGGEERDEAAAGPERSEDYQSEEAEGRRAAGEPHGEEGGDGEGEREDDERREGDERVGEHVGGAAVGVVRRLPEVDVALLDEHRQRVGADVEHGGHAHGEEPHPFLDALGRVVEPEEDGRQDEAGDHDRGETHPEELREPARTSTASGFAYVGTDDDADDVVDEDDVLPATSLPRKTLSAMASSSDMGLAALAGTSEQCAACHVALKSASGADPWGSRRSSSRMVSSANSAGFSLSGMGSPYSEKKGFSPAGVPAYTVRPRESSMSRPRIARIRALGWWIVITTTLPLCAMVLSTWTTMNALVESRPEVGSSRNSNIGSWTMSTPMDTRRRSPPDTPRWASSPMTAQLLDQGLHAGPLLGFGQRPREAELGGELEGLPDGEHRVEEVVLHHVGGDGAEAAAVERLPVEGDGAPEAVAGDAACQGVDEGGLAGAARAHDREELAADCGAGDAVEEGLRVRGCRRQRGREGGVRGGGQAVAAAGREEAGGAPGLLDAVGEVREGQHVRHRWKRRPSRWWMVPVGDVDGDVAAGGRHGDVVAGFGKDRRTWAAPDREWCVCRIGFGFRSGEVAAAGAGRVPRVFPRNRFRPNNGK* >Brasy8G260600.1.p pacid=40082035 transcript=Brasy8G260600.1 locus=Brasy8G260600 ID=Brasy8G260600.1.v1.1 annot-version=v1.1 MLRGGAGSRGGGGAAAAAAKPPRRPPVPSRRARGTAAARESSKDGGGAASVLEDPVVSSVEESSFTFEFKRGPKRARKGMPPVEAHRGIESWGEGLTAKIHVTKEAPKEVEFTHCAPGIVARLMGLDTVPRSKKVLDRCQSDIQSNMRQKLSGGVQEVARVSCDDRPCRSSADELPELKDVFEVTEMENMAMRKALQSGNEKPRPRSNESDLEFVRQKFLDAKRLSTDEGHRSSKEFSEALEILYSKKDVFLEILQENSTALSGYPGHTLGYSGLQCSPHASNGAGVQLFEQDNFHSMEVESESDHLTPKGSKSSGCSSQIVVLKPNLQRKSMTPVLSSDGTSQFGQWPGTQSLKPSRRSTYKQDILHCTTPNSFQVLESEGDTPELKVIKQTPKRGSRRKPSRGERYLAVGCQRDKVALTSHGETLPISSSAHSAGSSVSRKARKHLSERWQMACQSNVENSVPTDTRTLGEMLELTDRDAAKVTTQKILSDPHVKRNNGQEMPASPLGISSKDGWKAGIYCEDDSRGGTSRNFPRSKSLPASSTPVTKLQGRRRSAPSPNLPILKDILNAPTDESGNGHVRKRSTIRKAKQKNGRVIFHAGKENMLPEKEKEIYVTSEKTRHSVCISDLPRTSNIYNNDHASDVISTEDQKSIDSAIPHDDVQNFQVRIGCTEQKLAESFPELEEDVNPITIHNHGNTALKEGGSQSLESYIAEVDHQTVNSAHIASPECSPCSSPTASLQQDSGEETAYSGIFKSINFGIQELRAQLNMLKMDDQADTCRDYPHTFLSEECNDTDISDYPVKEEQLPIFKDEEDRDYSYVQDMIGTACDSPVYPEEWQFSSSVFLWLENKYIKLLLWSRSDRKLLFDLVNSILSDMSAPGSSSLHSKMMMNCWPEMDQRKLAENIWHAVLLVRRSYQPFDLDSVQPLPLDHCSEIEVFEAEIVEAIYGNVLEEFVAEFMAQDSAVFLL* >Brasy8G217100.1.p pacid=40082036 transcript=Brasy8G217100.1 locus=Brasy8G217100 ID=Brasy8G217100.1.v1.1 annot-version=v1.1 MARKTQQGRPENTWPSSSSVDHPSHVFPIRPCRSFLACTGCSSNFTTTHHLSCHGGSDETGLALYFFFFTTQQKYVLQLVLQPCLRFSSSPPAAVVQCAPRDVPVREKIDWN* >Brasy8G217100.2.p pacid=40082037 transcript=Brasy8G217100.2 locus=Brasy8G217100 ID=Brasy8G217100.2.v1.1 annot-version=v1.1 MARKTQQGRPENTWPSSSSVDHPSHVFPIRPCRSFLACTGCSSNFTTTHHLSCHGGSDETGLALYFFFFTTQQKYVLQLVLQPCLRFSSSPPAAVVQCAPRDVPVREKIDWN* >Brasy8G095800.1.p pacid=40082038 transcript=Brasy8G095800.1 locus=Brasy8G095800 ID=Brasy8G095800.1.v1.1 annot-version=v1.1 MPRIPLIKFPNRNLKLPSSPAAKPADQHATLMARLGAKVEVPPSGEKKNYRFKSDVPSPPSHTAVGGPASQLPKRKPLSEDEIEAIMMGGVF* >Brasy8G042100.1.p pacid=40082039 transcript=Brasy8G042100.1 locus=Brasy8G042100 ID=Brasy8G042100.1.v1.1 annot-version=v1.1 MALSELPLHHSFRLSSRPHLHLLPLRLLSSSRPASSSAAAAASSSSSSGGNRAPPAPSRGAPWMQKWAPADPSAPPPAPSTGPTPTTSIDRIVHRLRNLGLGTDDDEPSDAATPLNGKERLGDLLDRSWARPDRHFAASSFDQAVLPWERDQDSDGARDEDEGGVKRKRVKAPSLAELTMDDAELRRLRGMGMTLRDRITVPKAGVTQAVTEKIHDAWRRSELVRLKFHEDLANDMKTAHELVERRTGGLIIWRAGSVMVVYRGSNYTRPTKSQTLDGTSSPRKGGDNTLFIPDASSPAENDNQGKDLTAQHDNLSRLNIHNTDDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKAPFRLLPTGMRTSLTNAELTNLRKLARSLPCHFALGRNRNHQGLASAIIKLWEKSLVVKIAVKRGIQNTNNELMSDEIKKLTGGTLLLRNKYFIVIYRGKDFLPQSVAVALAEREELTKDIQNVEEQRRCIPIAHSPEDGFDGHALVGTLAEFQEAQARWGRDVTSKEQEEMKEASSRLEKEKIFRRLEHKLSIAQAKIHRAGKLLSKIEASMILANPSDDREMITDEERSVFRRIGLKMKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLAFVNETARLLEYESGGILVAVERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAQLESNMKQMKYDLGMEDYDEEDEDSSDSESDDNTAASASYDEDQDDFDESSDEDEYREYDNKDEGAEIDS* >Brasy8G042100.2.p pacid=40082040 transcript=Brasy8G042100.2 locus=Brasy8G042100 ID=Brasy8G042100.2.v1.1 annot-version=v1.1 MALSELPLHHSFRLSSRPHLHLLPLRLLSSSRPASSSAAAAASSSSSSGGNRAPPAPSRGAPWMQKWAPADPSAPPPAPSTGPTPTTSIDRIVHRLRNLGLGTDDDEPSDAATPLNGKERLGDLLDRSWARPDRHFAASSFDQAVLPWERDQDSDGARDEDEGGVKRKRVKAPSLAELTMDDAELRRLRGMGMTLRDRITVPKAGVTQAVTEKIHDAWRRSELVRLKFHEDLANDMKTAHELVERRTGGLIIWRAGSVMVVYRGSNYTRPTKSQTLDGTSSPRKGGDNTLFIPDASSPAENDNQGKDLTAQHDNLSRLNIHNTDDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKAPFRLLPTGMRTSLTNAELTNLRKLARSLPCHFALGRNRNHQGLASAIIKLWEKSLVVKIAVKRGIQNTNNELMSDEIKKLTGGTLLLRNKYFIVIYRGKDFLPQSVAVALAEREELTKDIQNVEEQRRCIPIAHSPEDGFDGHALVGTLAEFQEAQARWGRDVTSKEQEEMKEASSRLEKEKIFRRLEHKLSIAQAKIHRAGKLLSKIEASMILANPSDDREMITDEERSVFRRIGLKMKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLAFVNETARLLEYESGGILVAVERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAQLESNMKQMKYDLGMEDYDEEDEDSSDSESDDNTAASASYDEDQDDFDESSDEDEYREYDNKDEGAEIDS* >Brasy8G151000.1.p pacid=40082041 transcript=Brasy8G151000.1 locus=Brasy8G151000 ID=Brasy8G151000.1.v1.1 annot-version=v1.1 MADVSSASPPSPPAPSPIPLAADEIAAADARLAPWPQSPWPAPLRHDDGRRPNPLFTILPVSALAIGLLLLVAVAVILVMSRRAKPRLAVDATSSVNGDGKPGAPASSCGSRVVLGNPHNARCYAAAAGCLYGGGRLGPLPLGLSVVQPRRSRGAQVFTYRELERATDGFSEGNVLGRGPCGVVYLGRLGDGTPAAVKRLQLDLRRQGEREFRVEVDLLSRMHSPNLVGLLGYCADQSHRLLVLEFMPNGSLKSHLHPGGDHPQQQQLDWRTRLGIALDCARALEFLHEHSSPAVIHRDFKCSNVLLDHNYRARVSDFGTAKVGSNKANGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNRERLVQMVDPALKGQFIVKDLVQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIVKKSPVMSCSSTPVRPVQHVVYMSPLKRGSSGGDMP* >Brasy8G043500.1.p pacid=40082042 transcript=Brasy8G043500.1 locus=Brasy8G043500 ID=Brasy8G043500.1.v1.1 annot-version=v1.1 MSNINHTPAQEEQQFRSLAMVPHVPSDSESDDDRQPPPTTPRPRPTTTVLRLPRRQRSLHRLLGGQKVADVMLWRDRNRSAAILAGATAAWFLFDVAEYNAVTLLCHASLLGMLLLFACSIVAPLVGRTGPRVPEVIVSEQAFREAALAVHRKLERSVAVLYDIACGKDLKKFLSVHIIRYNIPAYFCYLLPFSSINELLPVNNKLRFSLSMQIQGDRDSVGVGHHRGQLQLHESGIRGVFVCSDIPGVVREVRDGSGPSGGQGWRGPQEVLPEGGLRSAQQDTKGPCQDKSLLGGVSVQCNACRWEVNSGVMFCLQLITSREDRCYIAC* >Brasy8G153400.1.p pacid=40082043 transcript=Brasy8G153400.1 locus=Brasy8G153400 ID=Brasy8G153400.1.v1.1 annot-version=v1.1 MKQQQQEAARCHLLAGTASRHDAADAEAPVVTKGCATIWVGASAEEKGRDRGDQELRRFAVPVALLGHPRILELLGEAREEYGYAHQGAVVVPCGAERFQEAVDAARADQRHRHHHHFGGLPHQLAGCFRPSRVVA* >Brasy8G046600.1.p pacid=40082044 transcript=Brasy8G046600.1 locus=Brasy8G046600 ID=Brasy8G046600.1.v1.1 annot-version=v1.1 MPMAASCGGRWKRVRTLGRGASGAEVFLAADDASGELFAVKSASAAMGAAATLRREQGIMAGLRSPNVLSCIGGRGGRDGSYQLFLEFAPGGSLADAGRLEERAVRAYAADVAAGLAYLHGVGLVHGDVKPRNVVIGRDGRAKLADFGCSRKAADSGGGSMILGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWNGTGMEDDVLAALHRIGYTDDAVPQVSQWLSAEAKDFLSRCLVRRPGDRCTAAQLLEHPFLSAAGKEAKREWVSPKSTLDAAFWETDADEEEEEEVSAESTAERIRALACPASSLPEDWDSDEGWIDVLVSASDDTAPMPTLIEASLDRSQESSGETRSLGLDVTVDSSDTARGDVGEAAIVSVGHDRIPPSLEISSVRQQVLSCKLLCDVNNSMNFLLAQSFRFLFFIASRTISVLINAAQQ* >Brasy8G282200.1.p pacid=40082045 transcript=Brasy8G282200.1 locus=Brasy8G282200 ID=Brasy8G282200.1.v1.1 annot-version=v1.1 MRGALSRGIRRLLTAPDFWLDRRRRSGLGLGGAPHPFQGAACFIGFRENPHGDGASGRRRVPYQKRRRHHALHFVAGGGALSVKHGVGLPFRRCYRYGGTCNGLVLLAAVTDSWSWQPGSSRRLFDAVIVNPATKEEEAFRVSLPAAVPADTPWPWRPVHLCGFWYGPASKAYKALIVERQDDVVRFTVASFGRGGGGGGQEPVATSVKLIKFSGYDMDLRCQGSLAMDGGMVYVLISTASVPVVLAFSVKTSSGATTQRSYLRQAFSAAVAAVESAFPV* >Brasy8G190500.1.p pacid=40082046 transcript=Brasy8G190500.1 locus=Brasy8G190500 ID=Brasy8G190500.1.v1.1 annot-version=v1.1 MSKKSGGVRLQCAEAMSADWSCCFLSLPPPPAPCDVDSNGGFNLGWALHQSFNPPAGLFASVGQKVGVGFPASSSSATSPGNPRDPYMKYAVKTPLLGEGVKKKVVKIKIKVGNSHLKRLISGGIAGAVSRTVVAPLETIRTHLMVGSNGNSSTEVFESIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKSGEEQKIPIPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIVREEGFTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNVQTLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKILIEEEDE* >Brasy8G177100.1.p pacid=40082047 transcript=Brasy8G177100.1 locus=Brasy8G177100 ID=Brasy8G177100.1.v1.1 annot-version=v1.1 MPPEMAGRCYNCLGDDHVAALCRNPTRCRRCFDSGHVARDCRAPRSLTPSPPRVAPPTSRQPAAPLRTAPAPPTAPPPRQAPVAQPRHARAPPPRQAPAARAPPARQALVPQSRLAVVPPSVVPAPSPVSTAVAPFTNLTESRPRLETCIISRTAAVDAAETALSASLVVHVVGGRGGAPASVVRALIQGRCPLAAETFSLHRYWPANFLCICNNVATRDAILAMGVVQASGFSLSFSRWNRQLGAKLRPFRYRVHVEMTGVPAHAWITGTAESILGPSCWVERLGTETANREDMGRFSVVAWTDCPEKIGREFQFGIPEPPVPYDTSEDDHRVPRGQKIPEAVSVLYYPVMVHLLRVEDRESFTDVPSIEGGGSSSGDDSNDPRRDPGGGPSARQPRSHYFNCRRGVVDGANDGGKRGGANTSGGVGWSRVATPTNLILPMAKLQVLPLRDCCPVIVDEAVICMNSWELPCLLPSDPMLLEAAITPLVVYNRKGRTSSALTDLLTDLPVEMHEGVDSLQISACASSLLPDFAGESFVDASDAFPYENSLEVCSEMLRPEMDLFCVSVSSGGTLLSADATPFTPAASTVDGDASHLADFRRGCRRRPVAVLPTPPPRAQAAAKRKVQVACPLRRSSRVANKKAPGSSIKRQQRLLISRLGLACEGEQISEDALEAYTKLFEQVLSQEHIAAILSLFGWEPNVLPFMEEEMVEAGAA* >Brasy8G234700.1.p pacid=40082048 transcript=Brasy8G234700.1 locus=Brasy8G234700 ID=Brasy8G234700.1.v1.1 annot-version=v1.1 MKSSASAFTRSFPPPSSGTTGNGESVTRSGRTPAGLRPRIHATMGDGVAAADTPPATAAGVALPDSTSALIISNARATAPVLPAAAGPAAATALEVEGEEAAAVVLREGRRRGAAELHLPRPPRQGGGGRGRGGDVAAEGPAGELAAAQQEVEVHHQALPAQPAPEHVAHGQHHVPQPPRQPRLHGPPRRRPSQIHNVSYVPKNSKL* >Brasy8G079500.1.p pacid=40082049 transcript=Brasy8G079500.1 locus=Brasy8G079500 ID=Brasy8G079500.1.v1.1 annot-version=v1.1 MGLFSWWRGPRRSSPEPSNQQPAKGEGGAATQVAAAGTHGAMEVRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDELEPCRWELVPAAGEGAPQFRIVF* >Brasy8G169800.1.p pacid=40082050 transcript=Brasy8G169800.1 locus=Brasy8G169800 ID=Brasy8G169800.1.v1.1 annot-version=v1.1 MAARRHAPPPIMRGIASAVTLRPRASPAVRSKSNAPGTSTFHRQPPVPPRLHLRLSPAPEPSQLPISRSHRLMPPPTAAATTSGAGSFTELTSAADFATIASANGRISVIGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFDRGIAIEATKEFSSLSVEQCEGEMIVVTVFEIMEEEVPAFTERENEFRFLAVVPEGLDQVPFPNPAVVCARYSDEEYFQVRCKGSKEIYGQHYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSSFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLNSRYGG* >Brasy8G026600.1.p pacid=40082051 transcript=Brasy8G026600.1 locus=Brasy8G026600 ID=Brasy8G026600.1.v1.1 annot-version=v1.1 MSGGGSGLATELDRLLKMARELEARVERGSPATARDLCAALAASVDNAVRLAGTGTPRGGGAIAAASGKLGRKRGAMEKVRRQVRVSSVHDLAPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHSQGCQATKQVQRAAGDPLLFDVVYHGDHTCAQGSQHLQRHDQRQTDAAAGQEQQQGSPVGTDGMQWPLDMGFEAQLDELLFLDPSEFLQPGFQNL* >Brasy8G057300.1.p pacid=40082052 transcript=Brasy8G057300.1 locus=Brasy8G057300 ID=Brasy8G057300.1.v1.1 annot-version=v1.1 MGDDKSALSLSPMGSRDRDRELLIPVSGGGGGGGSPPRDGDEGMDRAASASAALSSSGREAFHKVVRSWASKKFMTGCVILFPIAITFYFTWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFFVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEAVIIRHPRVGEYAFGFITSSVSLQSYSGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPHTIHSDRSGASRS* >Brasy8G057300.2.p pacid=40082053 transcript=Brasy8G057300.2 locus=Brasy8G057300 ID=Brasy8G057300.2.v1.1 annot-version=v1.1 MTGCVILFPIAITFYFTWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFFVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEAVIIRHPRVGEYAFGFITSSVSLQSYSGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPHTIHSDRSGASRS* >Brasy8G162500.1.p pacid=40082054 transcript=Brasy8G162500.1 locus=Brasy8G162500 ID=Brasy8G162500.1.v1.1 annot-version=v1.1 MLRFCFFSTKGPRSRAKLRSCNAREPTNKDSRDQRSRGSTSRSRRMAAPGADEEVSFEFFPIIRQYKSGRVERFMNFPPIPAGVDPATGVTSKDVVIDKSTGLWARVFLPPGADHGDNKLPVVVYFHGGAYVIGSAADPMTHGYLNGLVAAANVLAVALEYRLAPEHPLPAAYDDAWEGLKWVASQHATASGTAQEPWLADHSDFSRVFLAGGSAGGTIAHVMAVRAGEQNGGLGVGIKGILIVHPYFSGVADIGKEATTGKTEKAKADAFWKFLYPDSPLGLDDPLSNPFSEAATGSAARMAGSVNVKLIKLKYI* >Brasy8G091300.1.p pacid=40082055 transcript=Brasy8G091300.1 locus=Brasy8G091300 ID=Brasy8G091300.1.v1.1 annot-version=v1.1 MKILGWNCRGLNTGAALRAISDLQEQVRVDVAFLSESHLDEVKADSLRRKLGFDSMLVEASNGRAGGLVLFWKNQINVMPQHMTPNFIDVVIVNDDGTDWRFTGLYGEPAWEDRHLTWDCIRDLHGKASLPWLMLGDFNEILYSHEKEGGAPRPDRMMQSFRDCISDCSLEDLGYFGDKFTWRRGTLRERLDRAVCNADWADLFPLAGVVNEDHIHSDHRPVVVDLEYHNGSEIKKSVGRHFEARWLSEEAVQEIVSTAWQQAIVSGEAPSLADRTKAVHEDLHRWDRNVLKAR* >Brasy8G079600.1.p pacid=40082056 transcript=Brasy8G079600.1 locus=Brasy8G079600 ID=Brasy8G079600.1.v1.1 annot-version=v1.1 MASGLLNHPPSKLAFNTPVPPLRKPPFLVFQPHRSPLHLALFLRNPPPRRVVRSSSSPSSPSTDGGVPSPPSSRDEAVAQARSCLATALRKPLNSSLPARNKKPKNKQQRQARFRAEIPVVDESSPGSLARLAFDVFSGLGVSTKGGSPARLLLVWPSSEELSEALREFEKWGDTTLAHADLDSVTTDAAIGSAYDAAVFLAPARSQAEKLRAAASALDPRPVVLFNPAWGFEDEEEWFGGAVAKGFVGSFDVVYSFTGLEVRGLLSKKKGVLLRRVDGGESWVLMVEDEEAPSGFKVVSRLKRRPTIGEVENMMYNLMAANSPVTKSARFLRELVSNVTGKKG* >Brasy8G062500.1.p pacid=40082057 transcript=Brasy8G062500.1 locus=Brasy8G062500 ID=Brasy8G062500.1.v1.1 annot-version=v1.1 MLLAGACPSGQSEIRRVVPGQHEPSDDQSVATLYALHQSSRIPSPQWPAIFEPISHTHRSVGFPPRTSTATTAPSSMAAAAAATMMFRFVVLLALCSLSFLALLRGSYGPEELVAAGPGFSRLLRASSLFVQDITVRIEEEEGGGSGGEKSGLLLYGLPGAPRLLGAPATSSTARARRIVVMPANSHREWVYFLNRGSQIEVDYSVEAGTEPIYPLCIIVAQGKESFTQWTAKPSVHNTTLSWRLVHGIGTVKQSIVSSGDYYFAVRNLNDQNTTVTLDFRIRAAFYDTTGADYACSPAGNSPCTYRLPILGHNAVVLSSGSTVKQRPDSDDEEQVKVKLSYGPRWIVFVTGSTILAVILLLLYEVMSALLGCCNAGGTTSGSGAGDQRRASLLAAASKEGEEASLGSSYDSVSDDGGEDVEGGGGNDEEGRRLCVVCCDARKDCFFLPCGHSATCHACGTRVLEEGDGSCPFCRRKLKKVRRIFSV* >Brasy8G127700.1.p pacid=40082058 transcript=Brasy8G127700.1 locus=Brasy8G127700 ID=Brasy8G127700.1.v1.1 annot-version=v1.1 MAQPQRVYEAWKGNNRFFLGGRFIFGPDAKSLLVSVGLIVVPVFVFCVFVARYLLHRFSVYNAGYAIPAVAIVFMIYVLLLLLITSAQDPGIVPRASHPPEEEFSYGNPLAGETPGRLQFPRVKEVMVNGMPVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMSALHIKFLMDGDYPTVWKAFKHSPACLVLMIYCFIALWFVGGLTGFHSYLISTNQTTYENFRYRSDNRPNVYNQGCLNNFLEVLCSKGKPSKHRFRAYVQEEVRAPVVNFGRQMEEEPTGGSRAKVEDDLEIGSDLLKISQRRNYEDVDVEMGNQDHSEMESMANAKLVMGSESQIPAVGSEVRVRHSSWDQRGGNWDMSSDVMGRSASDVIGRSASVSEVASQRKTH* >Brasy8G144200.1.p pacid=40082059 transcript=Brasy8G144200.1 locus=Brasy8G144200 ID=Brasy8G144200.1.v1.1 annot-version=v1.1 MFPSICRRRLVFLIRQITGDGTSLFQSIPDAIPLARSYSSTAVAGAPNSLLCSAAATASYLVSCGFSTGDAATLTTARNFRIRSPEKADAARALLRSYGFTDADIVRTARSYSMILNADPERIIRPKLDFFAALGFEPRKLATAPFLLARSLDKRIVPCIQFLRSIIASDDLIRLGFSRCPRALMVDPENNMRPAVEALRRCGLPDAAISKLLVIHMGVLMLSPDRIIQIFEDLKAIDMCIEDSRFLYCFRVMGSVKRETWLRKLALYKSLGLSEGEVIKAFKTQPTILLSADETVKKKVRFFVDELKLEISDIVQKAVTLAYSMEKCILPRCAVLSVLMREGKIQRDINLLPALLGSSRAFSARFVSRYADDVPDVVKAYEGKIKFEGFGYHS* >Brasy8G164700.1.p pacid=40082060 transcript=Brasy8G164700.1 locus=Brasy8G164700 ID=Brasy8G164700.1.v1.1 annot-version=v1.1 MLLGHVFWKMEGKDDVALALSCAKFLYFCCMYDLASCLSFWLVNKYAINIICNSFSERLYEAWDVEICNSFHNS* >Brasy8G043600.1.p pacid=40082061 transcript=Brasy8G043600.1 locus=Brasy8G043600 ID=Brasy8G043600.1.v1.1 annot-version=v1.1 MDLDLWIVKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFVTGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDIRTVDQMRIIDRNCEIPHEGPFCDLMWSDPEEIETWGVSPRGAGWLFGSRVTTEFNHVNNLELVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFGENMEREVKFFTETDENNQMRGPRTAVPYFL* >Brasy8G043600.2.p pacid=40082062 transcript=Brasy8G043600.2 locus=Brasy8G043600 ID=Brasy8G043600.2.v1.1 annot-version=v1.1 MVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFVTGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDIRTVDQMRIIDRNCEIPHEGPFCDLMWSDPEEIETWGVSPRGAGWLFGSRVTTEFNHVNNLELVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFGENMEREVKFFTETDENNQMRGPRTAVPYFL* >Brasy8G172800.1.p pacid=40082063 transcript=Brasy8G172800.1 locus=Brasy8G172800 ID=Brasy8G172800.1.v1.1 annot-version=v1.1 QEKAVDPNRSSCHPAGRTSLATFSAFHGGEHHLIQHARAPPHPHPICLRRPATGKTAKLRKPELARWQWRRSATVAEQRASCAGAAMASRRLGRATRTVLQSHVLEWQPLNVELHGNRRKWIMTPTVEFKDAGHARALDLCYRDALLRSFVLDAVSYCVRMKPCCKKGRFPDALKPLEEMRTRETCKTTLQVFIMHADIRSLCTPLV* >Brasy8G172800.4.p pacid=40082064 transcript=Brasy8G172800.4 locus=Brasy8G172800 ID=Brasy8G172800.4.v1.1 annot-version=v1.1 QEKAVDPNRSSCHPAGRTSLATFSAFHGGEHHLIQHARAPPHPHPICLRRPATGKTAKLRKPELARWQWRRSATVAEQRASCAGAAMASRRLGRATRTVLQSHVLEWQPLNVELHGNRRKWIMTPTVEFKDAGHARALDLCYRDALLRSFVLDAVSYCVRMKPCCKKGRFPDALKPLEEMRTRETCKTTLQVFIMHADIRSLCTPLV* >Brasy8G172800.3.p pacid=40082065 transcript=Brasy8G172800.3 locus=Brasy8G172800 ID=Brasy8G172800.3.v1.1 annot-version=v1.1 QEKAVDPNRSSCHPAGRTSLATFSAFHGGEHHLIQHARAPPHPHPICLRRPATGKTAKLRKPELARWQWRRSATVAEQRASCAGAAMASRRLGRATRTVLQSHVLEWQPLNVELHGNRRKWIMTPTVEFKDAGHARALDLCYRDALLRSFVLDAVSYCVRMKPCCKKGRFPDALKPLEEMRTRETCKTTLQVFIMHADIRSLCTPLV* >Brasy8G172800.2.p pacid=40082066 transcript=Brasy8G172800.2 locus=Brasy8G172800 ID=Brasy8G172800.2.v1.1 annot-version=v1.1 QEKAVDPNRSSCHPAGRTSLATFSAFHGGEHHLIQHARAPPHPHPICLRRPATGKTAKLRKPELARWQWRRSATVAEQRASCAGAAMASRRLGRATRTVLQSHVLEWQPLNVELHGNRRKWIMTPTVEFKDAGHARALDLCYRDALLRSFVLDAVSYCVRMKPCCKKGRFPDALKPLEEMRTRETCKTTLQVFIMHADIRSLCTPLV* >Brasy8G233700.1.p pacid=40082067 transcript=Brasy8G233700.1 locus=Brasy8G233700 ID=Brasy8G233700.1.v1.1 annot-version=v1.1 MAERVYPAAKPNPPPAMANGAGASAGAPAAAPKPQMYQRPIYRPQGPGKSRRGRSCRCSFCCCFCWALLVIVLLAIVAAAAGGAFYLLYRPQRPSFSVSSVRLTAFNLSSSATAPVLTDSISLTVTAKNPNKKLVYFYDDFSFSAATAANAVPLGESTAPGFAHAAGNTTVFTATVAAAALTVDPSGASSDLKKSGAFSVAIDAETRAGVKVGGLKTKKIGIQVRCEGIKVTPPSPPPPAPKKTKGKNGTALAAPAPAPALDTAEPATTATVSTAAHACKVRVRVKIWKWTF* >Brasy8G233700.2.p pacid=40082068 transcript=Brasy8G233700.2 locus=Brasy8G233700 ID=Brasy8G233700.2.v1.1 annot-version=v1.1 MAERVYPAAKPNPPPAMANGAGASAGAPAAAPKPQMYQRPIYRPQGPGKSRRGRSCRCSFCCCFCWALLVIVLLAIVAAAAGGAFYLLYRPQRPSFSVSSVRLTAFNLSSSATAPVLTDSISLTVTAKNPNKKLVYFYDDFSFSAATAANAVPLGESTAPGFAHAAGNTTVFTATVAAAALTVDPSGASSDLKKSGAFSVAIDAETRAGVKVGGLKTKKIGIQVRCEGIKVTPPSPPPPAPKKTKGKNGTALAAPAPAPALDTAEPATTATVSTAAHACKVRVRVKIWKWTF* >Brasy8G193900.1.p pacid=40082069 transcript=Brasy8G193900.1 locus=Brasy8G193900 ID=Brasy8G193900.1.v1.1 annot-version=v1.1 MSIASDWQEHELGKKIKRESAAAAEADRMMAARRSSSGQLPAAAAAGAGPGSAPFNTMTPCAACKLLRRRCAQECPFSPFFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVHALEADLAALRAQILHHKQQHPQSLRPPPPTASVPSNVLPSSHASQLLAAAGARAAAIGPSASASSSTTVYAAAAASSSTDYSSITHENVPYFG* >Brasy8G272200.1.p pacid=40082070 transcript=Brasy8G272200.1 locus=Brasy8G272200 ID=Brasy8G272200.1.v1.1 annot-version=v1.1 MEAAALSTMGSRRGLFAAAAAPVPAPAHRRAAAPRHYRRRLRRLRALPAELTEILAPKLVPGSPADTGDVSSLIPISAVMLLFYFVSNWVFPAVIMKGMDPDAQDEAAGAPADEEEEEGASMADGEVRLKVKRRKRKKTAATSTTKS* >Brasy8G136900.1.p pacid=40082071 transcript=Brasy8G136900.1 locus=Brasy8G136900 ID=Brasy8G136900.1.v1.1 annot-version=v1.1 MDASDSGGGSAAADAGDPDWDWNHILEFAVRGDDSLILPWDDTLGTAEAGPSEEAFLPAPPPVLPVEAEPVAPPLPVEAGGSRPGVRKRDPRLVCPNYLAGIVPCACPELDEMAAAAEAEEVASEMLAGPRKKSRPASRGNGVAAGGGGGGSGVAGRGGAVEMKCQVPGCEADIRELKGYHKRHRVCLRCAHATAVMLDGVQQRYCQQCGKFHVLVDFDEDKRSCRRKLERHNKRRRRKPDSKGAVEKEVDEQLDLSADGSGGCELREENTDGTTCEMVETVLINKVLDRETPVGSEDVLSAPTCTQPSLQNEQSKSIVTFAASVEGCLGTEQENAKITNSSMHDTKSVYSSSCPTGRISFKLYDWNPAEFPRRLRNQIFEWLSSMPVELEGYIRPGCTILTVFIAMPQHMWDQLSEDAANLVRDLVNAPSSLLLGKGAFFVHVNNMIFQVLKDGATLMSTRLEVQAPRIHYVHPTWFEAGKPVELLLCGSSLDQPKFRSLLSFDGEYLKHDCCRLTSHETIACVKNAAALDSQHEIFRINITQTKADTHGPGFVEVENMIGLSNFVPILFGSKQLCSELERIQDALCGSNEKYKSVFGEVSGATSDPCGHRELKQTAMSGFLIEIGWLIRKSSPDELKNLLSSANIKRWTSVLKFLIQNDFINVLEIIVKSSDNIIGSEILSNLERGRLEHHVTTFLGYVRHARNIVEDRAKYDKQTQLETRWRGDSAANQPNLGTSVPFAKENTGDGGEYDLHPTNVECQEEERMLLVSPKAVSHMRCCSPEVNARWLNPTLGAPFPGGAMRTRLVTTVVVAAVLCFAACVVVFHPDRVGVLAAPVKRFLFSDSPSS* >Brasy8G183600.1.p pacid=40082072 transcript=Brasy8G183600.1 locus=Brasy8G183600 ID=Brasy8G183600.1.v1.1 annot-version=v1.1 MWQKRRRQREKRGGSSKGEESGARSICFTSGRAVAKEVVQRARKVAEKASLADGSPTSSSHMWCSSSLQAGLAIN* >Brasy8G224400.1.p pacid=40082073 transcript=Brasy8G224400.1 locus=Brasy8G224400 ID=Brasy8G224400.1.v1.1 annot-version=v1.1 MAAIHPKANPADPRFRLRQWVMLDEHYPAEISKDDGDTPVRLFVNTTTGRFVFKELPCLKECYFITSSGGLLVLASKRAPHYTYIFNPFTDSSIRFTAAIPDSVRHTKAYIHGVGHSLNLLLDDGPLPSTAYLAEPNDDLFTMVEYNLLDKVRAMSGLANDHEMIDDIINSITSNIPGDMHYFYPPVYHIVESAGEKLLVIRRERPARGVDVFKVDTQKTVIYPIRSIGNRALFLGERCVFVDASKFPSIDGNCVFYLDRGHPDNEGTGIYMYDLSNEKEVWISSGVVDFSWGTEKYVTSSMIQVLIKYSIDTP* >Brasy8G292500.1.p pacid=40082074 transcript=Brasy8G292500.1 locus=Brasy8G292500 ID=Brasy8G292500.1.v1.1 annot-version=v1.1 MHFPILISSTPAKLARSPVLPILILPKKSWPEVVGLCADEAKKIILKDKPDADIIVLPVGSPVPKDFRPERVFVFVDIVAQTPSVG* >Brasy8G051800.1.p pacid=40082075 transcript=Brasy8G051800.1 locus=Brasy8G051800 ID=Brasy8G051800.1.v1.1 annot-version=v1.1 MPPPSLLSLLPAASITPRSRRARRKAPTASNHLHYSRLPVLNARAPSPPHSLSSTSSGGGGGGGSGDELHLLDKPFPSAPAEEDEDDPEPEPAPALSQGEALAPYLNFFQVKGGDGSEYAGAARSSVADDASEDGDDAGGRRGVVYYDPKPGDLVVGVVVGGDARALDVDVGAGGEPALMLAKEAAPVSAEELGYLACDVASSGDGASEFAAEGRVGVVVSGWEGSVTVGRSGKDKGAPVVGVGMVVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNLPIKVKVFEWNAGGLISRIEGLRAFLPKAEMMRRPRNFTDLKNNVGRQIHVCITRTDERTNELIISEKEAWAMAYLREGALLEGTVRKLFPYGAQIRIGETNRGGLLHISKITHGQLRSVSDVLKVGEKVKALVIKSTAPDRIALSIKDLESEPGLFLSDKEKVFSEAEEMAQRYRDQISETPGSDESASETSCNDDTVPFEDEAESYANWKWLKFIKSDKVNCNPSNTDSGL* >Brasy8G291700.1.p pacid=40082076 transcript=Brasy8G291700.1 locus=Brasy8G291700 ID=Brasy8G291700.1.v1.1 annot-version=v1.1 MNHQIESWPADSDSESCSPMAMAVVTGAMGSLLPKLAELLKEEYNLQKRVKKHVESLSREIESMNAALRKVVDVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNRKKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAASGARAEPPSAARRQPRDLAVHRHHRLAAFGLFLPASRSRACLSLPG* >Brasy8G071700.1.p pacid=40082077 transcript=Brasy8G071700.1 locus=Brasy8G071700 ID=Brasy8G071700.1.v1.1 annot-version=v1.1 MVIMNFIYLGIGAGLASALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDAFLIQDSIGEKVGKCIELFSSFFGGFVIAFVRGWLLALVLLSSIPPIAVAGAVVSRLLTRLSARTQAKYGDAGNIVEQTIGTIRTVVSFNGEKQAITMYNKFVTKARESALHEGVVHGLGLGSIMAILFCSFGLAVWYGSRLIVERGYNGGLVINVLMSVMIGAMSLGQATPAITAFAEGQGAAYRMFKTIERQPIIDVCDTTGIILEDIKGDVELKDVFFSYPTRPEHLVFDGFSLQIPSGTTMALVGESGSGKSTLISLVERFYDPGSGEVLIDGINIRTMKLGWIRGKIGLVSQEPVLFSSTIRENITYGKDDLTLEETKSAVELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARAIVKDPRILLLDEATSALDMGSERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKKSAGAYSELIHLQGTQQGSDDPNIDSDMTITDGLGSTRSMKRKVGSKSMSPVTKGSSSFGSGRRPFTSPLGLSNPMEFSNDQDIQETTDKMYSGWKKAPIGRLFYLNKPEAFILALGCITAAMHGVVFPVYGLLLSSAIKMFYEPPAELLKESKFWASMFVVLGAFILVVIPIEFFLFGAAGGKLVERIRSLTFQSVMHQEINWFDIPQHSSGAIGARLLTDALNVKRLVGDNLALNIQTVSTIITGFTIAMVANWKLALIITVVIPLVGFQTYAQMKFLQGLNKDAKLKYEEASQVATDAVGGIRTVASFCAEQKVIDTFEKKCEAPRRQGMREGVVGGLGFGFSFMVFYFTFALCFYVGAKFVQQGTTSFPEVFRVFFVLLLAASGISRTSALGADSTKANESVISIFEILDRKSKIDSSSEEGAVIAAVRGDIEFQNVFFKFPLRPNVQIFNDLSLSIPSGKTAALVGESGSGKSTVIGLLERFYDPDSGRILLDGVKLQNLKVSWLRLQVGLVAQEPVLFNDTIRANIAYGKQGSALETEIIAAADAANAHRFISGLPDGYDTVVGERGIQLSGGQKQRVAIARAVVKGPRVLLLDEATSALDAESESVVQEALDRVMVGRTTVVVAHRLSTVKGADIISVLKNGTIVEKGRHEELMWIKDGAYASLVELSSTSR* >Brasy8G229500.1.p pacid=40082078 transcript=Brasy8G229500.1 locus=Brasy8G229500 ID=Brasy8G229500.1.v1.1 annot-version=v1.1 MFRRGGRWGRSKTAEASTGNEVPVDGAVRVRKVERIHAYNVMSRPSAVYRAASPPPAAENLAVSVVRVGDVVVEDEAVRVRKVERIHAYNVVSRPSSVYRAASPAAAAENLAVSVVRVGDVVVEGEHESFVSVSIA* >Brasy8G268300.1.p pacid=40082079 transcript=Brasy8G268300.1 locus=Brasy8G268300 ID=Brasy8G268300.1.v1.1 annot-version=v1.1 MLRGGASVLGVVNFVTFLASIPVLGGGIWLASRANSTDCIRFLQWPIIIIGLAVMVVSLAGFAGACYRQTWLLRLYLFAMFFVVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQFSDYNGWLRNRVADPEYWATISACLRDGHACEGMRRRTRDPNTGMLVPEPPYMFFRRNLSPIQSGCCKPPTSCAFTYMNETYWTPNPGVQTVVSDPDCQKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAIINIVMLIILVIVYIAGCAAFRNAKRMDNDESYGMARMTKSQPSRFQF* >Brasy8G275700.1.p pacid=40082080 transcript=Brasy8G275700.1 locus=Brasy8G275700 ID=Brasy8G275700.1.v1.1 annot-version=v1.1 MNSLQSFLAVAPVKPAAAGARLPSPSSSSSRWARVSTCLAAPAPPAPTAAPVGSKRRELSAASLAVMADEAKYLVGTYKRAQVVFVSGRGCKLYDIDGREYLDMSSGIAVNALGYGDADWLDAVKAQAGQLVHASNVAYTVPQTVLAKKLVESSFADRAFLANTGTEANEAAIKFARKYQRVAHPDGGAPTEFMSFTNCFHGRTMGSLALTSKQQYREPFEPVMPGAAFAEYGNLEEAKKVIRSGKIAAVFVEPVQGEGGIHSATKEFLQGLRAACDEAGTLLVFDEVQCGLGRTGSLWAYENFGVVPDMMTLAKPLAGGLPIGAVLLTEKVAAAINYGDHGTTFGGGPFVCAAALVVLDKINKPSFLAEVAKKGDNFKQLLRTKLSGNPHVKEIRGFGLIVGIELDVPAGPLVEACLDAGVFLLTAGKGNVVRLVPPLIISEKELEQAADVIRECLPALDTPAS* >Brasy8G132200.1.p pacid=40082081 transcript=Brasy8G132200.1 locus=Brasy8G132200 ID=Brasy8G132200.1.v1.1 annot-version=v1.1 MEDPAAVLRASAPPASAAEATARAPAMGAVAPPPAPAAPTAAGANGGGRQIFSVELRPGETTIVSWKKLLKEAGVAAALPPSMPAVAAVQPVVEPLAGPSAAAPPTENDPNDPSQSNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLATKHTGYFVNKGKLEQSEYGSVQNAVADGSVQNVGPKKRRRRESSSSYIENNREFAPGSIPVKDPKRNTLETGKNLASSDRSSYSEYQSEGNKPMKNKSNSPGRMQKMKSSDHAIGAEHASYQNISSKDVSLPSEIKDLDKYKIAVPRAVDFAHKSRTNEADGYHYPAYSDKDAPVRLDLQSGKTFNGPKQDLSKKMRRKEKYGVSQFNGLSTANNAYSTQTMQLAANRHIESSGIKAKGTRLERAIRDLQNIVSEYRPQTLDIQDIDPNCQAAVKRRLPQEVKQKLAKVARLSANQGKIPEEELVNRLMGIVGHLVQRRTLKRNMKEMVESGIYAKQEKADKFRQVKVEIYEMIKTRLATGPKVTEQKDDSADDFQGAVNIDERRALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVELADLWPQGYMDKVEIRNAILRSKERRNLLYRQRKARNEERMKRRRLAAASKLRDGNPVVPQYTVAQQVVQVPMKSTQLPMTRTHTEYPSVSYGDNQASRNADKVGAASDGNRSSSTDIKKRKLGSDTGDLRADPSKAPLRHGSEKQKPAKRADEANVSSSLPQTVAAVVGYDPQRPGYS* >Brasy8G132200.2.p pacid=40082082 transcript=Brasy8G132200.2 locus=Brasy8G132200 ID=Brasy8G132200.2.v1.1 annot-version=v1.1 MEDPAAVLRASAPPASAAEATARAPAMGAVAPPPAPAAPTAAGANGGGRQIFSVELRPGETTIVSWKKLLKEAGVAAALPPSMPAVAAVQPVVEPLAGPSAAAPPTENDPNDPSQSNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLATKHTGYFVNKGKLEQSEYGSVQNAVADGSVQNVGPKKRRRRESSSSYIENNREFAPGSIPVKDPKRNTLETGKNLASSDRSSYSEYQSEGNKPMKNKSNSPGRMQKMKSSDHAIGAEHASYQNISSKDVSLPSEIKDLDKYKIAVPRAVDFAHKSRTNEADGYHYPAYSDKDAPVRLDLQSGKTFNGPKQDLSKKMRRKEKYGVSQFNGLSTANNAYSTQTMQLAANRHIESSGIKAKGTRLERAIRDLQNIVSEYRPQTLDIQDIDPNCQAAVKRRLPQEVKQKLAKVARLSANQGKIPEEELVNRLMGIVGHLVQRRTLKRNMKEMVESGIYAKQEKADKFRQVKVEIYEMIKTRLATGPKVTEQKDDSADDFQGAVNIDERRALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVEARNEERMKRRRLAAASKLRDGNPVVPQYTVAQQVVQVPMKSTQLPMTRTHTEYPSVSYGDNQASRNADKVGAASDGNRSSSTDIKKRKLGSDTGDLRADPSKAPLRHGSEKQKPAKRADEANVSSSLPQTVAAVVGYDPQRPGYS* >Brasy8G132200.3.p pacid=40082083 transcript=Brasy8G132200.3 locus=Brasy8G132200 ID=Brasy8G132200.3.v1.1 annot-version=v1.1 MEDPAAVLRASAPPASAAEATARAPAMGAVAPPPAPAAPTAAGANGGGRQIFSVELRPGETTIVSWKKLLKEAGVAAALPPSMPAVAAVQPVVEPLAGPSAAAPPTENDPNDPSQSNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLATKHTGYFVNKGKLEQSEYGSVQNAVADGSVQNVGPKKRRRRESSSSYIENNREFAPGSIPVKDPKRNTLETGKNLASSDRSSYSEYQSEGNKPMKNKSNSPGRMQKMKSSDHAIGAEHASYQNISNGYHYPAYSDKDAPVRLDLQSGKTFNGPKQDLSKKMRRKEKYGVSQFNGLSTANNAYSTQTMQLAANRHIESSGIKAKGTRLERAIRDLQNIVSEYRPQTLDIQDIDPNCQAAVKRRLPQEVKQKLAKVARLSANQGKIPEEELVNRLMGIVGHLVQRRTLKRNMKEMVESGIYAKQEKADKFRQVKVEIYEMIKTRLATGPKVTEQKDDSADDFQGAVNIDERRALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVELADLWPQGYMDKVEIRNAILRSKERRNLLYRQRKARNEERMKRRRLAAASKLRDGNPVVPQYTVAQQVVQVPMKSTQLPMTRTHTEYPSVSYGDNQASRNADKVGAASDGNRSSSTDIKKRKLGSDTGDLRADPSKAPLRHGSEKQKPAKRADEANVSSSLPQTVAAVVGYDPQRPGYS* >Brasy8G132200.4.p pacid=40082084 transcript=Brasy8G132200.4 locus=Brasy8G132200 ID=Brasy8G132200.4.v1.1 annot-version=v1.1 MEDPAAVLRASAPPASAAEATARAPAMGAVAPPPAPAAPTAAGANGGGRQIFSVELRPGETTIVSWKKLLKEAGVAAALPPSMPAVAAVQPVVEPLAGPSAAAPPTENDPNDPSQSNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLATKHTGYFVNKGKLEQSEYGSVQNAVADGSVQNVGPKKRRRRESSSSYIENNREFAPGSIPVKDPKRNTLETGKNLASSDRSSYSEYQSEGNKPMKNKSNSPGRMQKMKSSDHAIGAEHASYQNISNGYHYPAYSDKDAPVRLDLQSGKTFNGPKQDLSKKMRRKEKYGVSQFNGLSTANNAYSTQTMQLAANRHIESSGIKAKGTRLERAIRDLQNIVSEYRPQTLDIQDIDPNCQAAVKRRLPQEVKQKLAKVARLSANQGKIPEEELVNRLMGIVGHLVQRRTLKRNMKEMVESGIYAKQEKADKFRQVKVEIYEMIKTRLATGPKVTEQKDDSADDFQGAVNIDERRALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVEARNEERMKRRRLAAASKLRDGNPVVPQYTVAQQVVQVPMKSTQLPMTRTHTEYPSVSYGDNQASRNADKVGAASDGNRSSSTDIKKRKLGSDTGDLRADPSKAPLRHGSEKQKPAKRADEANVSSSLPQTVAAVVGYDPQRPGYS* >Brasy8G295400.1.p pacid=40082085 transcript=Brasy8G295400.1 locus=Brasy8G295400 ID=Brasy8G295400.1.v1.1 annot-version=v1.1 MWKELHVRGCWSLQCLPRLDVQPAEKVKVSGERRWWSKLHWSPLSRRDSYEPKLPPKFASFDEHAMMTNYLR* >Brasy8G082900.1.p pacid=40082086 transcript=Brasy8G082900.1 locus=Brasy8G082900 ID=Brasy8G082900.1.v1.1 annot-version=v1.1 MASTAAPPAALVAARRAASSAASPLRRAGLAAGCQPARSLAFAAGGGADPRLAIHVASRCRAASSARGTRAVATMAKKSVGDLGPADLEGKRVLVRADLNVPLDDSQNITDDTRIRAAIPTIKYLLSNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKLVAALPNGAVLLLENVRFYKEEEKNEPEFAKKLAALADLYVNDAFGTAHRAHASTEGVTNFLKPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVVIADKFAPDANSQTVAASAIPDGWMGLDIGPDSVATFNAALETTQTIIWNGPMGVFEFDKFAVGTEAVAKKLAELSKKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKQLPGVVALDEAVTVRSMTV* >Brasy8G239000.1.p pacid=40082087 transcript=Brasy8G239000.1 locus=Brasy8G239000 ID=Brasy8G239000.1.v1.1 annot-version=v1.1 MFEGLPGAFPGRREENSKSPRPRLATPGRIPIQRVADRGNEADARDPWHGADEDVEEYYGQLWVLPAACLPRALAKDSTAAVAPLVWIRRDLVAAKSFTVDDCFPAHPSDRFTSEPKIFSFSKDFWSRIHGKETFANILRRSKMEGGRGGGVGGGRDGGGRGFMKKGGYRNPYQYNRSHQAPPPSQSQAQAQSQEQTKIQQQPIQQPQVPAGNTQAQMQQPQIQGQPSPPAYQFQQGQLQNQTPQ* >Brasy8G278400.1.p pacid=40082088 transcript=Brasy8G278400.1 locus=Brasy8G278400 ID=Brasy8G278400.1.v1.1 annot-version=v1.1 METCRKAFVPAAPTPSPETVTILVLVCSLIFSPSRVSIFTSRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEDATFPTYLVTGDDVGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G278400.2.p pacid=40082089 transcript=Brasy8G278400.2 locus=Brasy8G278400 ID=Brasy8G278400.2.v1.1 annot-version=v1.1 MEMASPSSDSDGDLQEGLRPCSSNPISSRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEDATFPTYLVTGDDVGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G278400.6.p pacid=40082090 transcript=Brasy8G278400.6 locus=Brasy8G278400 ID=Brasy8G278400.6.v1.1 annot-version=v1.1 METCRKAFVPAAPTPSPETVTILVLVCSLIFSPSRVSIFTSRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G278400.3.p pacid=40082091 transcript=Brasy8G278400.3 locus=Brasy8G278400 ID=Brasy8G278400.3.v1.1 annot-version=v1.1 MEMASPSSDSDGDLQEGLRPCSSNPISRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEDATFPTYLVTGDDVGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G278400.4.p pacid=40082092 transcript=Brasy8G278400.4 locus=Brasy8G278400 ID=Brasy8G278400.4.v1.1 annot-version=v1.1 MEMASPSSDSDGDLQEGLRPCSSNPISSRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G278400.5.p pacid=40082093 transcript=Brasy8G278400.5 locus=Brasy8G278400 ID=Brasy8G278400.5.v1.1 annot-version=v1.1 MEMASPSSDSDGDLQEGLRPCSSNPISRDSDMDRKLQNAASLTFRLLQEITDNFSERRKIGQGAYGTVYKGVYANGEEIAVKLLHNNMQGTDDGQFKREFENLMRLEHQNVVRLVGYCYETQHKPMLHEGETIFADEISRALCFEYMPNGSLTKHISEECDGLDWNTRYKIIKGACEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQTMITQSLMGTIGYLPPEYLFEHIVSKKLDIFSLGVVITKIITGPRGPSRRAEMTHQEFLDQVHENWRKRLQETWRASRTLEAYCEQVNTCIEIALGCMETDRHNRPNIVHIIHQLNKIETVIKQLKNEQGVETTEDDPRPLPVPSIYGLRITGEAFPGGVLQASGYSISGTTSGVFQWVRHLEDGSVYNIEGTLLAIEVQYLDDQKRKGETVKVYANEKRKIMWENDSLPAIDGLRITGEAFPGGELTAYGYSINGTTHCNFQWVHHLEDGSVRFIKDSTNPTHLVTDEDVDTILAVEVQPLNEWGRKGGIVKVYANEQRKITCDTEMKELIKTSLSIGHVSYEVLLPVRYLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSIQSADGAEYNLKPAENSPSRNSIVQILRSFRMQAVQNSKGRRKGNFFMQSRVERGESVSL* >Brasy8G169400.1.p pacid=40082094 transcript=Brasy8G169400.1 locus=Brasy8G169400 ID=Brasy8G169400.1.v1.1 annot-version=v1.1 MTSFSTWIPPPEHPVTRTASRFAMPRSCRSSQDRRGTRTRGSCIVRDSLGFRQQIADYAPQMARNPSNLTSDAELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.16.p pacid=40082095 transcript=Brasy8G169400.16 locus=Brasy8G169400 ID=Brasy8G169400.16.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.2.p pacid=40082096 transcript=Brasy8G169400.2 locus=Brasy8G169400 ID=Brasy8G169400.2.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.10.p pacid=40082097 transcript=Brasy8G169400.10 locus=Brasy8G169400 ID=Brasy8G169400.10.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.17.p pacid=40082098 transcript=Brasy8G169400.17 locus=Brasy8G169400 ID=Brasy8G169400.17.v1.1 annot-version=v1.1 MLDYAPQMARNPSNLTSDAELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.3.p pacid=40082099 transcript=Brasy8G169400.3 locus=Brasy8G169400 ID=Brasy8G169400.3.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.4.p pacid=40082100 transcript=Brasy8G169400.4 locus=Brasy8G169400 ID=Brasy8G169400.4.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.11.p pacid=40082101 transcript=Brasy8G169400.11 locus=Brasy8G169400 ID=Brasy8G169400.11.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.12.p pacid=40082102 transcript=Brasy8G169400.12 locus=Brasy8G169400 ID=Brasy8G169400.12.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.15.p pacid=40082103 transcript=Brasy8G169400.15 locus=Brasy8G169400 ID=Brasy8G169400.15.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G169400.5.p pacid=40082104 transcript=Brasy8G169400.5 locus=Brasy8G169400 ID=Brasy8G169400.5.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G169400.20.p pacid=40082105 transcript=Brasy8G169400.20 locus=Brasy8G169400 ID=Brasy8G169400.20.v1.1 annot-version=v1.1 MTSFSTWIPPPEHPVTRTASRFAMPRSCRSSQDRRGTRTRGSCIVRDSLGFRQQIADYAPQMARNPSNLTSDAELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGDVLEYS* >Brasy8G169400.6.p pacid=40082106 transcript=Brasy8G169400.6 locus=Brasy8G169400 ID=Brasy8G169400.6.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.13.p pacid=40082107 transcript=Brasy8G169400.13 locus=Brasy8G169400 ID=Brasy8G169400.13.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G169400.21.p pacid=40082108 transcript=Brasy8G169400.21 locus=Brasy8G169400 ID=Brasy8G169400.21.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGDVLEYS* >Brasy8G169400.18.p pacid=40082109 transcript=Brasy8G169400.18 locus=Brasy8G169400 ID=Brasy8G169400.18.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGDVLEYS* >Brasy8G169400.7.p pacid=40082110 transcript=Brasy8G169400.7 locus=Brasy8G169400 ID=Brasy8G169400.7.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G169400.8.p pacid=40082111 transcript=Brasy8G169400.8 locus=Brasy8G169400 ID=Brasy8G169400.8.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G169400.9.p pacid=40082112 transcript=Brasy8G169400.9 locus=Brasy8G169400 ID=Brasy8G169400.9.v1.1 annot-version=v1.1 MMSVLLLKCWTMHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGAQAFAEQSLGRPVSDQMAPQELMQNIISPQELQQNNHGTPAVGVQSLCHSGSEQFTQQMLMQNREVLPPTVAIKGKKTSEVDELNTGSSG* >Brasy8G169400.19.p pacid=40082113 transcript=Brasy8G169400.19 locus=Brasy8G169400 ID=Brasy8G169400.19.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSASSTQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGDVLEYS* >Brasy8G169400.14.p pacid=40082114 transcript=Brasy8G169400.14 locus=Brasy8G169400 ID=Brasy8G169400.14.v1.1 annot-version=v1.1 MHLRWLGIHQILLQMQVIICNCPIQVHILATHQPDSSELRHSATLNQLSSSSSQIPAATFPPKQANSNVDYRHATMVNQLSTSRSQIPAATFPPKQANSNVDYRHATMVNKLSTSGLRTPAATFPPMHGNSNDVLLSASSSRFPTAMFPPTQANSNVEYRHAVMRTQLSAASSGIPAAMFPPIQANSNVDYRHAAMLTHLSAPSSRIPAATFPPMHEYSNDALRHATMHTQLSASKSRIHTATFPPLQANSNGVEYTECQATVKMRPMRSQAHDQRKFLLVCFKKESFLV* >Brasy8G263500.1.p pacid=40082115 transcript=Brasy8G263500.1 locus=Brasy8G263500 ID=Brasy8G263500.1.v1.1 annot-version=v1.1 MTTTATEKKSSTEKSRAEREEGVVAIGGEGVAGGAGPGSGGGGGVRVGPLPLLLQLPDCQIRAAAASRGMEGGGQQAGGGSLSPSCSTRRPSPPAASRRAAAPSPPPAPLVGPLPRRPAGGRRRPLPLLLHLVGPLPALLHLVGPLPALLHLCSSALSPPCSTSSALSPPCSTSARRPYPRPAPPLLVGPLPLLLHPCSSALSPSGSICGLLGACI* >Brasy8G130500.1.p pacid=40082116 transcript=Brasy8G130500.1 locus=Brasy8G130500 ID=Brasy8G130500.1.v1.1 annot-version=v1.1 MGRSPCCEKEHTNKGAWTKEEDQRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFSDHEDELIIRLHGLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGMDPRTHRPLTTPTTAPLQPATAFALARPPPAESSSDGGSSSGGGTGEPPRCPDLNLDLSVGPPADTESAPTSQVCLCYHLGFRGGEACSCRKLQAEDDTSSGFRYFRPLEQGQYI* >Brasy8G124000.1.p pacid=40082117 transcript=Brasy8G124000.1 locus=Brasy8G124000 ID=Brasy8G124000.1.v1.1 annot-version=v1.1 MSMASSSGLRSCSAVGVPSLLAPSSRSGRSGLPFCAFATTSGRVTMSAEWFPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEIYHCRWAMLCVPGVLVPEALGLGNWVKAQEWAALPDGQATYFGVPVPWGNLPTILAIEFLAIAFAEQQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEELKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLGAHLADPWHNNIGDIVVPRNIYGP* >Brasy8G265900.1.p pacid=40082118 transcript=Brasy8G265900.1 locus=Brasy8G265900 ID=Brasy8G265900.1.v1.1 annot-version=v1.1 MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE* >Brasy8G013300.1.p pacid=40082119 transcript=Brasy8G013300.1 locus=Brasy8G013300 ID=Brasy8G013300.1.v1.1 annot-version=v1.1 MRAQPARDMVKRISSAVKTILYGQSKRGGGGQGPFAETLTELPKEIYQGSQVYQLPDGRFVHIPAAATPILGATSPAKTPLGGWSLAGLGYASLVGFAEELGRKAANSKLEIDSGDTPDPMEVPF* >Brasy8G154900.1.p pacid=40082120 transcript=Brasy8G154900.1 locus=Brasy8G154900 ID=Brasy8G154900.1.v1.1 annot-version=v1.1 MLTKAMDVLKALFVNMFPEKVAPATLGGLVEFFSADPEPVEDYSRAKTEASSEAAFMLAMAHGVSQEELQKVATGVPKQPDSQDVSLTPFARPVHKLARKLTTLLERMNAEKALASGEPTGGATEEIIATGEAGPSSGGGYQPKGPPLASAPANRTITEDQSYESTVLRDRLKAIEQQLRVAKGDAAVLRAKAKAATDREEFLLGKLREMNTRLECLRLNPHLEAERVRDRLNALETSNRASFWSDRDRGQVLVLLQDRAGQVQAFVESCRRALELVHRAFFPLKPLPEGLKALLQKLRNGAAMKDFVREQLVRGAKVALAFVRVQYPEVDLVNVHQLPVPAGETIHMRPHFVAVLPTACDIIHLRELLEIALLEAQAEQ* >Brasy8G170800.1.p pacid=40082121 transcript=Brasy8G170800.1 locus=Brasy8G170800 ID=Brasy8G170800.1.v1.1 annot-version=v1.1 MKAPLHSSSLASAAFLCKKARLSPLLLVSLLFMLLFSFLYGEELAALISQQARAWPRLNIHNDDSDHQPVAPPPPAEEEERKKKKWEGRLPFAVNDEDEDEECDLFSGSWVWDEEAHPLYREEECPYIQPQLACQAHGRPDKAYRSWRWQPHDCTLPVFNATQMLETLRDKRMMFVGDSLNRGQFTSMVCLLQSAIPSADAKSFEMSPDQQHTVFTAKGYNATVEFYWAPFLLQSNADDAVVHRISDRTVRNGSIAHHGRHWEGAHVLVFNTYLWWCSGLRFRIMNGPIEEAAKAEATVWVSTEEAYGMAFRDMLQWVRDSMDFNKTRIFFTSMSPTHGKSQDWGGAAGGNCYNETAMIEDAGYWGTDSRRSVMRVIRDILDADGADVPLTFLNITQLSMYRRDAHTSIYKKQWNPLTAEQLADPRTYADCVHWCLPGLQDTWNELLYTKLFYP* >Brasy8G013600.1.p pacid=40082122 transcript=Brasy8G013600.1 locus=Brasy8G013600 ID=Brasy8G013600.1.v1.1 annot-version=v1.1 MGVLRSTQSMEAEVEEMRASLLLPPLRRSGGAAAWSSRSGAGSGGAASKRAHGAEEGAAEARDVCVTGGISFVGLAVVDRLLRHGYTVRLALETQEDLDKLREMEMFRENGRDGVWTVMANVMDPESLHRAFNGCVGVFHTSSLVDPGGISGYTKHMASLEAKAAERVVEACVRTESVRKCVFTSSLLACVWRQSYPQHRRFPTTVDENCWSDETFCRDNKLWFALGKTMAEKAAWRAARGRNLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMVAEGLLATADVEQVAEAHVRVYEAMHGTAGGRYICYNHIVRRAEEFVELERQMGLRARAPPPHDEQAATAIATPSFELCNRKLTRLVSSRRRCTHDAYLPVAYH* >Brasy8G202800.1.p pacid=40082123 transcript=Brasy8G202800.1 locus=Brasy8G202800 ID=Brasy8G202800.1.v1.1 annot-version=v1.1 MCLHTKLKFPKFSCLPKVQILARNTANIGLNLIHSFKKASHVLMGCLCSKGAKDHVDATSEKRTPSRKDGPAAAASVKAASDGSKVTPDVGDNVVVSFDVRISSSNNAELNAGWPAWLINVAPKAVEGWLPRRADSFEKLDKIGQGTYSIVYKARDLETGKIVALKKVRFTNMDPESVRFMAREIHILRRLDHPNIIKLEGIVTSRASQSLYLVFEYMEHDLSGLIASPSLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDSNGTLKIADFGLAITYDPNNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFTGKPIMPGRTEVEQIHKIFKLCGSPMEDYCNKSKVPETAMFKPQQQYRRCVAETFKVFPPSAVVLIDSLLSLEPQVRGTASSALQSDFFRTEPLACDPSSLPNIPPSKEYDVRLRQEEAKRQKNAALGGRGAESFKPGNKNHVTSRAINGAAESKEHTNTSSRCNSVKFNPEDSVPGFRVEPRPSPTTVQAPGYGSAWNTAGFTDHSAVPSRACSSVHVANTAASRAKASSHSHIPQFGTTDLRNAADQATDQNEPPDRPASSHKKNPPEVKDNMNHGRKFRRIHHSGPLVPPGGNMEDMLKEHERHIQEAVRKARLGKVAREL* >Brasy8G088800.1.p pacid=40082124 transcript=Brasy8G088800.1 locus=Brasy8G088800 ID=Brasy8G088800.1.v1.1 annot-version=v1.1 MARTKHPVSRILRQGEQPKKRVQYERSPRWTAPPPMRQYPPPPLPKRKKARRSRPGTAALREIRKLQSSAGLLTAFAPFVRLVREITDFYSSSGSSGVSRWTPQALVALQEAAEYYVVDLFGAANLLAIHAKRVTITQKDIQLARRISGRFL* >Brasy8G146400.1.p pacid=40082125 transcript=Brasy8G146400.1 locus=Brasy8G146400 ID=Brasy8G146400.1.v1.1 annot-version=v1.1 MARFSPDFSRSRSAPLQAATAAHVQSLVALLLKHQAKRRQLLQIHSQLVAHQVFDRRPTPWHALLKAYSHGPFPQEALNLFRDARRNMADDTYAFMFSLRACAGLAWPRTGAQLHGLVIRKGFEFHAYVHTSLINTYVVCGCLVDARMAFDEMPVKNAVSWNVMITGFAGRGEIEYARLLFERMPCRNVVSWTGLIDGYTRSCRSLEAVALLRRMMAEGISPTEITILAVVPAISDIGRILMGEALHGYCEKNGLLVLDVRVGNSLIDLYAKIGSIQSSLRVFHEMLDRRNLVSWTSIISGFAMHGLSTEAVELFAEMRRSGIRPNRVTFLSVLNACSHGGLVEQGVEFFKSMVYEYNINPEIKHFGCIIDMLGRAGRLCEAEQVIGGLPMEVNSTVWRTLLACCSKYGEVEMGERAMKKILHTERESGGDFVVVSNMLTELGRFSDAERSRKLLDERNSVKVPGLALVVYEQIEAPTTYLSNIMLRGLAQSDAPEEATAFYKKARGKGMEPDNLTFPFVLKACARISALKEGKQMHNHVLKLGLLSDIFVSNALIHLYAACADLCCARSVFDEMLVKDVVSWNSLISGYSQCNRLKEVLALFKLMHDGGVKADKVTMVKVVSACTRLRDWSMADCLVRYIEDYCIEVDIYLGNTLIDYYGRRGRLQSAEKVFFNMKDRNIVTLNAMITTYSKGGDLVSARKIFDGIPNKDLISWSSIICGYSQASHFSDALELFRQMQRAKMKPDAIVIASVLSACAHLGVLDLGKWIHDYVRRNKIEADTIMHNSLIDMYAKCGSTEEALQAFKEMKEKDTLSWNSIILGLANNGSGEDALSVFHTMLAEGFQPNGVTFLGVLIACANANLVEEGLNYFDSMRSAHNMEPQMKHYGCVVDLLGRAGQLEKAMRFVTEMPIAPDPVVYRVLLGACKTHGDLAIAEVVTRNLIELDPGNSGDYTLLSNTYASADRWNDAMKIRQQMEDTHARKSPACSVVDG* >Brasy8G286100.1.p pacid=40082126 transcript=Brasy8G286100.1 locus=Brasy8G286100 ID=Brasy8G286100.1.v1.1 annot-version=v1.1 MGVLIKVSVLCVLLLLLMPLLLIIPGSEGKTCDTYSKTYTTDNCDEKPCREHCRADGFTDGVCIFISFPEISTLKCFCRKPC* >Brasy8G118400.1.p pacid=40082127 transcript=Brasy8G118400.1 locus=Brasy8G118400 ID=Brasy8G118400.1.v1.1 annot-version=v1.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDQKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINKTEGGDAPAVSGSACCGS* >Brasy8G173900.1.p pacid=40082128 transcript=Brasy8G173900.1 locus=Brasy8G173900 ID=Brasy8G173900.1.v1.1 annot-version=v1.1 MPPPASAMVPAIGSRRLTVLREFRPHGLAAEEADGGAPEARPLQDYDYFLFDPSLASSPAPVPEDEAPSSSGADGDHELFIRGNRIIWSTGSRVHKRYASPNTVLMACWCRMDAISDALLCVLQVDTLSIYDVTGEVVSIPLPYAVSSIWSLPFGLLLQKSTDGGRMVSSSSSLLNARDLTRPNKEFGLSYNVSCQASTVEAASKSDGAVISSHLILKHPLEEPQATYFEERGRLNVMKDFDEKTLWTSDIIPLMASYHKGKFQHSVWQIDGATYQEAMDENAMLSIPCDISLHKFAFRKIWQGKCSQSAASKVFLATDIDGVPIICFLLHEQKTLLAVRIQVDATNDEVFGDIKPHMSWNIPAFAAAPVVVTRPRARVGVLPFTDILILTPENDLLLYSGKQCLCRYTLPTEFGNGILANYELNSEVAEFYSNLKITSIADAVEGRANVTCSNGLMLRCSLRKNPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDNDAAGMCSSSHVDSEWGSFSYEISKVCAKYGQTSQSKSYISSSTAWEFLISSKYHAQYRKRSLTSDMPMSYSTSSTGSHSFFQDEHSSDVSFYVMFMRETLDALHALYENLKLNSLRNQDLGSLASLLCRVASSLGENGYVDYYCRDFPHNLVEFHPPASATALRTPPCLFRWFENCLYHGCDLSNLDDIPALMRKQKGSAVSWGRKVVSFYSLLLGAERKGKNLSSGVHCEVASGSARNTEELTVLAMVAENFGRQQLDLLPIGVSLVLRHALDKCRESPPDDWPATAYVLVGREDLATAKMGSGRKENGFWNNDNLTSISVPYMLHLQPVTVPTTASDVPTSEVLNSEDTDAVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTSTNPTASDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLTGRLPAQQNATVNLDLSTRSVSEFNSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGMHEHLRVLTMTDAYRYLSQDHDITRLGLLLGLAASHRGTMHPAISKMLYFHVPSRHPSSPLELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGRGSDAFGFMDTFLDRLFQYIGNKVVYHEKHLNAPTGADDQSGNTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPDTHFDLQYVRPDFVMLRVIARNLILWSRIQPSKGWVESQIPETVKFGVSNMSEEGAASDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYNYTVHFLNEIKNISVQTQSILPKGLLRYVDRGTLELCLHLVVLSLSLVMAGSGNLQTFRLLRYLRARSSAEGQVNYGLQMAVSLGIGFLFLGGGTHTFSTSNSAIAALLSTLYPRLPAGPNDNRCHLQAFRHLYVIATEPRRVQTVDVDTGLPVYCPLEVTVAETEFYDETSYCEVTPCLLPERSVLKSVRVCGPRYWPQVIKLTPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSASCSAQLNSASRSSFRVDQLVSTFSANPSLIAFAKLCCESWKDRYNGNFQEFCSQVLYECMSKDRPALLQVYISFYTIIESMWEHLKIGHFPFYDSLFLSSLKVALAYSGALVDGRISNGGIIQTTFLESLMKRVDNIFAELPSLKANLVNYLGRGKWPDAQNDMMLLSWYLQWYSIPPPHVVASAIEKIKPRAPTRVSMLPLLRLLLPTTHLVGLMEIEKLHMPMRP* >Brasy8G183300.1.p pacid=40082129 transcript=Brasy8G183300.1 locus=Brasy8G183300 ID=Brasy8G183300.1.v1.1 annot-version=v1.1 MQEARDPTAKLPSTPHSARPSAPPYQYHQHHYGTFSPPPPPPLVAAAAAYRASVTGCGAQGVVAFPCNVQQQQQIFVEGLPVREPRLPFCGVGIGWTLFLLGFFIAAIPWYIGAFILFFVALDHREKPGLIACTIAGIFALVPFALNGIRMHPLW* >Brasy8G183300.2.p pacid=40082130 transcript=Brasy8G183300.2 locus=Brasy8G183300 ID=Brasy8G183300.2.v1.1 annot-version=v1.1 MQEARDPTAKLPSTPHSARPSAPPYQYHQHHYGTFSPPPPPPLVAAAAAYRASVTGCGAQGVVAFPCNVQQQQQIFVEGLPVREPRLPFCGVGIGWTLFLLGFFIAAIPWYIGAFILFFVALDHREKPGLIACTIAVSAPFLINLLFSVS* >Brasy8G116800.1.p pacid=40082131 transcript=Brasy8G116800.1 locus=Brasy8G116800 ID=Brasy8G116800.1.v1.1 annot-version=v1.1 MASHGAAAAAGSDATSVEVSGMCQTNQPAYPPVPSGDHSWSSSTGTATVSWNYPVDNQSQDAVYYDPQRDVSVSGDNQNVASSVPPVVQSTMGLTSASQSHVPHSNSLQHGYNPPEYTNYYYNNYPQTTNTSAQQGGANQHSGAAYQPLTSFQNSGSYVDPTSNTYYNAGGHQTAPGYGTNNYYYQHDTWNHGSSENNYAQPYQNYSSSDANLVQSSSSVPANSFSYQQQYNQWPYYYNHSVPSSAGNPVAGSSNTDNRSVNTVSSYSYPSSEPPPPGTTSWKSNSGPSVAHPVQAPGVPEPQNQYVKHAQEISGLQNQYGYHAPGAPLSQNYYANQAPVNVNHVPVNNHGDQHKSPNLQGSLTTDTSSENKVQVPVNPRIAPGFSMVIPKSEKKILGADLAKKPAYVSVSVPENDAKAVQHVPDARSLPFSLRNYSMRNLNRCKDEAQRVACQSIIQEITSKAISDGTLLTKNWATEPLFPLPENLLTTPETSSANNSSPLSTSTPRRRLKSRWEPVLEGKVTDKVEPVAKALMNGSTHSVFVASNNMVNNWDAGKFLQSRHTPLNKITQRPTKKQKIGSYSSPMQNGNTSSDSDKEQGLTKYYANATALANSPEEKKRREHRSKRFEKGKDSSSKSRSSAANKDSMAHVHARRAISALVTRNYEDGGSLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEHVLEKALSMVDTSLKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYREGNKGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDGAVKHALAVHSAVSSGNYVVFFKLYKQAPNLNSCLMELYVERMRFEAIKCMSRSYRPTVPVVYVAQILGFLRIDTDGSVLNGDDRSDECEIWLKAHGAILSVDNSGELQIDTKASSTTLYMPEPENAVAHGDASLAVGDFFART* >Brasy8G161000.1.p pacid=40082132 transcript=Brasy8G161000.1 locus=Brasy8G161000 ID=Brasy8G161000.1.v1.1 annot-version=v1.1 MENKNSLSQPPPGYPRVDSDQAAGGKKKCCCCGSRRRRPTKRGETSFIEGCIAALCCCWLCELCCD* >Brasy8G205200.1.p pacid=40082133 transcript=Brasy8G205200.1 locus=Brasy8G205200 ID=Brasy8G205200.1.v1.1 annot-version=v1.1 MDNGGGGGMMRATGQGAVRRTPEDVFRDYRARRAGLIKALTVDVDKFYLMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNDKDWLSLVAAHSDSWLLSVAFYFGARFGFDRDSRKRLFSMINNLSTIFEVVTGSDKIQPKEKTPKNGSKSNKSGSKPARQPEPNPRSSKIPLPEDNEESEGEEEKEQEDHESTLCGACGENYGQEEFWICCDLCEKWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA* >Brasy8G238200.1.p pacid=40082134 transcript=Brasy8G238200.1 locus=Brasy8G238200 ID=Brasy8G238200.1.v1.1 annot-version=v1.1 MRRILLASFTRAPAGEFPHCRCQPATHLLPTLHLTSWARSQKDIWEARNDASNSEAMACPSATIKKIQTYVDMIILHCLKPIQPAVPKLV* >Brasy8G010800.1.p pacid=40082135 transcript=Brasy8G010800.1 locus=Brasy8G010800 ID=Brasy8G010800.1.v1.1 annot-version=v1.1 MVAVRRAFFGGSAAYTPNEWGSPPAPRSSVPSMSFECGAVAPSRVNLACCKSRVSSERAVLQKEIRERNRPICDREFVSFLSSFKAQASKRFGYGMDGRWPHHCSSDRVPLSSAQPLSNKSDMMKQVQGRQPHQPLDLESNVNPTGLPEKEIERRRKIGAANKGKAPWTKGRKLSKEHKQLIKQRTIEALRDPKVKKKMLGHRQLHRQVSKEKISAALRKIWERRIVSVKSRQTVLRIWSNSIARAAKEGVHCQGKLDWDSYGKIKSQMISMFLWNKEKEWIIKKLKRTVTKIVAKKLQAAERMKLQTRRAKKLKPEKLVLQKSDAQPRRVVASTRPKLKERITKWHGRKKELEVVISLRTRKGGAVRKPPVIRRRRAVVERRAEVDLVMEPGVPSGQLKELHLPCKDGLPSADT* >Brasy8G098800.1.p pacid=40082136 transcript=Brasy8G098800.1 locus=Brasy8G098800 ID=Brasy8G098800.1.v1.1 annot-version=v1.1 MSLLVPVLLLLFLACNFAAAQEFTYSGFGGVGGSGNPNLTLNGVTELRPDGILRLTNDTSRLMGHAFYPSPLRLLTPSASGSGSRTSGYTASSFSTAFAFAIVPEYPRLGGHGLAFVAAADPRLPGALPSQYLGLLSAADLGNATNHVFAVEFDTVKDFEFGDIDDNHVAVDLNSLVSNASAPAAPINLKSGDTVLAWVDYDGDAKLLNVSIATASDGKKPSAPLISFRVDLAGIFREQMYVGFSASTGLLASSHYLMGWSFKLGAAGAAPPLDVSSLPRLPRPSSADENGKNKRTTVILASVFSAFVALVALAGAGAYAAYRFKNRDVIEPWELDYGPHRFKYPELKRATRGFRDRELLGCGGFGKVYRGVLEGNNSAGNVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDFMPNGSLDMHLFGDGLRAARLTWALRYRILRNVASALLYLHEEWEHVVLHRDVKASNVLLDGDMAGRLGDFGLAKLYEHGANPGTTRVVGTLGYLAPELTRTGKATTAADVFAFGALVLEVVAGRRPIEPREELVLADWAWERYAAGEAEKVVDARLDGAFDAEEAAVAVKVGLWCSHPVPAARPTMREVARYLDGRDAAEVPPPPPPPPLPPVRSGGVGYDDFVYSFPSSSFERAPAEPHSQTSVATFPFSSLSMRSSHVSV* >Brasy8G092400.1.p pacid=40082137 transcript=Brasy8G092400.1 locus=Brasy8G092400 ID=Brasy8G092400.1.v1.1 annot-version=v1.1 MVLASTPKVVLGCVAFSIFWVLAVFPSVPFLPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFQYLGSMLSWKSRGSKDLLFRVCLVSAAASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVQSGISFGAFLFGIFPAMILGVATNTCILLCYFWKYLSVDDKEKDQEAAAEAVTVDDEVTASHRFTPARMSHASSFNADVGDCIGEPMRRSDSMSRAGNGDAAMAMAMRSRSYNSSEGGDIQVAIRSLRASSLSQEMVEVSTTTVCDGRRDEAGPRKITRTTSHQRSVIIEDAPELELADSDKDKPEEAACRRHKSWKVLVWKAAVYLTTLGMLISLLMGLNMSWTAITAALVLLAIDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHARIDSAKGIALLAVVILVLSNVASNVPTVLLLGTRVAASAGAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFHGYNLTFWSHLRFGVPSTIIVAAIGLLIVVSY* >Brasy8G092400.2.p pacid=40082138 transcript=Brasy8G092400.2 locus=Brasy8G092400 ID=Brasy8G092400.2.v1.1 annot-version=v1.1 MVLASTPKVVLGCVAFSIFWVLAVFPSVPFLPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFQYLGSMLSWKSRGSKDLLFRVCLVSAAASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVQSGISFGAFLFGIFPAMILGVATNTCILLCYFWKYLSVDDKEKDQEAAAEAVTVDDEVTASHRFTPARMSHASSFNADVGDCIGEPMRRSDSMSRAGNGDAAMAMAMRSRSYNSSEGGDIQVAIRSLRASSLSQEMVEVSTTTVCDGRRDEAGPRKITRTTSHQRSVIIEDAPELELADSDKDKPEEAACRRHKSWKVLVWKAAVYLTTLGMLISLLMGLNMSWTAITAALVLLAIDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHARIDSAKGIALLAVVILVLSNVASNVPTVLLLGTRVAASAGAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFHGYNLTFWSHLRFGVPSTIIVAAIGLLIVVSY* >Brasy8G140600.1.p pacid=40082139 transcript=Brasy8G140600.1 locus=Brasy8G140600 ID=Brasy8G140600.1.v1.1 annot-version=v1.1 MDLASSALWISIAICSITTVVTKTGRGRTTLDPTITKSLPPMVNGIAPLAVLRTLFTHGIRATIGNLHTKFGSVFTINLFGSKITFLIGPEVSVHFFQGTESEISQGEFYEFTVPMFGQEVGYGIDITTRNEQNRFCIDALKPSKLRSYVDPMLQELEGYFEKWGQEGIIDLKHELDRVLMLISGRCLLGKEIREKMLDEFYTLFHEVEDSLTFINLFFPYIPIPANRRRDRARIKLKEILSKIVRSRKGHNEVREDALQKLIDSKYKDGRSIAEDEVTGLMIGLVFAGEHTSSISSSWTGIYLLNDAKCLTAAVEEQKQIIKKFGRQIDYHVLLEMYTLHNSIKEALRLHPTAPMLLRKVRKHFTVRSKEGNEYEIPAGQTIVSPTVLNHRIPYIYKDPEVYDPERFGPEREEDKVGGKFSYTSFSGGRHACPGEAYGYMQIKVIWSYLLRNYELKLISPFPKEEWSKFALKPRGKVMVSYKRRQLS* >Brasy8G276600.1.p pacid=40082140 transcript=Brasy8G276600.1 locus=Brasy8G276600 ID=Brasy8G276600.1.v1.1 annot-version=v1.1 MEDLYSIHPGISRGGGGAACSEASGVAGSPPPPAAAPDLTATELTKAQIAAHPRYPSLLSAYIECRKVGAPPDVAALLEEMSRERGPGCGAEAGEIGLDPELDEFMEAYCQVLWRYKEELSRPLDEAASFLATVRTQLSSLCGGTGATSHSDEFVGSSEDEPCSGDGDASEAGVQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARLALMDWWNTHYRWPYPTEDDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGASSSGTTLYFDTGTIGP* >Brasy8G276400.1.p pacid=40082141 transcript=Brasy8G276400.1 locus=Brasy8G276400 ID=Brasy8G276400.1.v1.1 annot-version=v1.1 MDYQGSTVREFLQTNGRVVLERVHNNYGLKSFTQKDIEDITNGYCSVLGEGGFGKVYKGRLLDNQRAVAVKRYKNGTKKEEFAKELIVHSEINHKNVVRLLGCCTEENALAIVMEFICNGNLENILHCCNANGHAPFPLDRRLDIAIESAEALSYMHSMYSPVLHGDIKPANILLDGNLRPKISDFGIARLLSADEAQHTRNVIGSIGYMDPLFCQSGILTPKSDVYSFGVVLLEMITRKKAAGGKDTNLTQSFNEALRKGKKVRQMFDVEIASEKGNIKFLDSIAKLAAECLKMEDKIRPEMVEVADRLRTIRKVLYQRKGRSSIDDRMEITTNFSNDPLMVPTISLAKLKQITRNFRNDTVIGGGLYGNVFFGVLKDGQKSAIMKLDSNSIEETFLEFPVVSRFKHENVIQLLGYCSDRENCVLAYEYAPRGSLHDMLHGRKRAVWGVLSWAQRVKIALSAANGLEFLHQKARPCVIHGAIKSSNILLFDDDVAKIGDIGVSRQAPCWKDNILLDRLAPIYHWEAPEELKTQEKTTKSDVYSFGVVLLELITGRNACAYDPTLPLGQNLVSWARPKLLKSGVHRCVDSRLGGGRYPRKALAKMAAIAYECVHNAPQRRPNMSEVVEALRPLLLSSSSNLPSAAEAS* >Brasy8G215100.1.p pacid=40082142 transcript=Brasy8G215100.1 locus=Brasy8G215100 ID=Brasy8G215100.1.v1.1 annot-version=v1.1 MKYQCSRPASIAVAFARRRGAGSAPTGRREGGGELQQERERVREQERKQRGQRGEGMGPWGAYLVVGGICVGRAESGRIRRPPAQGRPDPAAAGPRMAGSGSGRAGWAEAGRRGSGRRRPVAWGGGGRRRPRSGGGAWRQRQTGGAGREREREREREPGGRQREEGSGV* >Brasy8G009900.1.p pacid=40082143 transcript=Brasy8G009900.1 locus=Brasy8G009900 ID=Brasy8G009900.1.v1.1 annot-version=v1.1 MRAVMMGTECITASLGEDPEPSIPPGFGPFAALALQGIQKDVKPVDAHSSSVHVFQSSEKHLESLECQPHSAQSRNDTLCSTSGSHTCRKSLRNRPPIDYSRFDNISDEDSDVEVAEKGVTSVRRRQQLPKGVIRGCAACSDCQKVIARWDPAGARRPVLDEAPVYHPTEEEFKDTLKYIESIRPSAEPYGICRIVPPPSWKPPCLLKEKSTWESSKFSTRVQKVDKLQNRTSSKKSRRGGMMKKRRKLSEPEENSDLNQSQTGVQQNSERFGFEPGPELTLHKFQKYADYFSEQYFRKDAMNSPPSVEDIEGEYWRIVENPTEEIEVIYGADLETGSFGSGFPKLVPEMKSDVEDKYAQSGWNLNNLPRLQGSVLSFESGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYLHWGAPKMWYGVPGKDAVNLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQAYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITVSHDKLLLGAAREAIRAQWDILFLKRNSADNLRWKSVCGPDSTICKALKARIETELAQRQNLCSSSESRKMDAEFDSTDRECAFCYYDLHLSASGCSCCPEKYACLLHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLSLSSCVKREKASDSKTVRRSTDGPRRSYMSQASTVSLVPSSASSEQKDKGNKMPSLASPETNNACPSAEQMKLGNVSPSKEPCLKNDTACPTNSDANILRYNGRLGDQRSSATILSSQSFSSNVVTRPFNTSSESMKSVHGLAGLKGSGESSLQTGNCRSSLGEHHNRSPTMIHDKTNMNPSLESSNTPHRLIASDSSATLCHSDKDHGLVTPDTNASVMSEKSSSQVRTMPSQQFDKNVARTQGASHEASVSVSVLKPLVDPFAVKNPHRVFTSGNAHHGHLTSVNQQPIDGWLERKSESQSAVEGRARGHPSVLAQPVTEIHNRNGVAQRGPRIANVVHRFKSNVELLEIGHVLSGTSWSSSQAIFPKGFRSRVKYFSIVDPMQMAYYVSEILDAGLQGPLFMVTLENCPGEVFINVSPTKCWNMVRERLNMEIRRQLSMGRPNLPTLQPPGSIDGLEMFGLLLPAIVRAIEAQDRDRICTEYWRSRPHAVIDDRDIHHTLGPSNIALRGLFKRASPEELRALRGLLASNSNLDDSSRQQATHILDEEIAKHWR* >Brasy8G009900.2.p pacid=40082144 transcript=Brasy8G009900.2 locus=Brasy8G009900 ID=Brasy8G009900.2.v1.1 annot-version=v1.1 MRAVMMGTECITASLGEDPEPSIPPGFGPFAALALQGIQKDVKPVDAHSSSVHVFQSSEKHLESLECQPHSAQSRNDTLCSTSGSHTCRKSLRNRPPIDYSRFDNISDEDSDVEVAEKGVTSVRRRQQLPKGVIRGCAACSDCQKVIARWDPAGARRPVLDEAPVYHPTEEEFKDTLKYIESIRPSAEPYGICRIVPPPSWKPPCLLKEKSTWESSKFSTRVQKVDKLQNRTSSKKSRRGGMMKKRRKLSEPEENSDLNQSQTGVQQNSERFGFEPGPELTLHKFQKYADYFSEQYFRKDAMNSPPSVEDIEGEYWRIVENPTEEIEVIYGADLETGSFGSGFPKLVPEMKSDVEDKYAQSGWNLNNLPRLQGSVLSFESGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYLHWGAPKMWYGVPGKDAVNLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQAYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITVSHDKLLLGAAREAIRAQWDILFLKRNSADNLRWKSVCGPDSTICKALKARIETELAQRQNLCSSSESRKMDAEFDSTDRECAFCYYDLHLSASGCSCCPEKYACLLHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLSLSSCVKREKASDSKTVRRSTDGPRRSYMSQASTVSLVPSSASSEQKDKGNKMPSLASPETNNACPSAEQMKLGNVSPSKEPCLKNDTACPTNSDANILRYNGRLGDQRSSATILSSQSFSSNVVTRPFNTSSESMKSVHGLAGLKGSGESSLQTGNCRSSLGEHHNRSPTMIHDKTNMNPSLESSNTPHRLIASDSSATLCHSDKDHGLVTPDTNASVMSEKSSSQVRTMPSQQFDKNVARTQGASHEASVSVSVLKPLVDPFAVKNPHRVFTSGNAHHGHLTSVNQQPIDGWLERKSESQSAVEGRARGHPSVLAQPVTEIHNRNGVAQRGPRIANVVHRFKSNVELLEIGHVLSGTSWSSSQAIFPKGFRSRVKYFSIVDPMQMAYYVSEILDAGLQGPLFMVTLENCPGEVFINVSPTKCWNMVRERLNMEIRRQLSMGRPNLPTLQPPGSIDGLEMFGLLLPAIVRAIEAQDRDRICTEYWRSRPHAVIDDRDIHHTLGPSNIALRGLFKRASPEELRALRGLLASNSNLDDSSRQQATHILDEEIAKHWR* >Brasy8G009900.3.p pacid=40082145 transcript=Brasy8G009900.3 locus=Brasy8G009900 ID=Brasy8G009900.3.v1.1 annot-version=v1.1 MMGTECITASLGEDPEPSIPPGFGPFAALALQGIQKDVKPVDAHSSSVHVFQSSEKHLESLECQPHSAQSRNDTLCSTSGSHTCRKSLRNRPPIDYSRFDNISDEDSDVEVAEKGVTSVRRRQQLPKGVIRGCAACSDCQKVIARWDPAGARRPVLDEAPVYHPTEEEFKDTLKYIESIRPSAEPYGICRIVPPPSWKPPCLLKEKSTWESSKFSTRVQKVDKLQNRTSSKKSRRGGMMKKRRKLSEPEENSDLNQSQTGVQQNSERFGFEPGPELTLHKFQKYADYFSEQYFRKDAMNSPPSVEDIEGEYWRIVENPTEEIEVIYGADLETGSFGSGFPKLVPEMKSDVEDKYAQSGWNLNNLPRLQGSVLSFESGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYLHWGAPKMWYGVPGKDAVNLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQAYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITVSHDKLLLGAAREAIRAQWDILFLKRNSADNLRWKSVCGPDSTICKALKARIETELAQRQNLCSSSESRKMDAEFDSTDRECAFCYYDLHLSASGCSCCPEKYACLLHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLSLSSCVKREKASDSKTVRRSTDGPRRSYMSQASTVSLVPSSASSEQKDKGNKMPSLASPETNNACPSAEQMKLGNVSPSKEPCLKNDTACPTNSDANILRYNGRLGDQRSSATILSSQSFSSNVVTRPFNTSSESMKSVHGLAGLKGSGESSLQTGNCRSSLGEHHNRSPTMIHDKTNMNPSLESSNTPHRLIASDSSATLCHSDKDHGLVTPDTNASVMSEKSSSQVRTMPSQQFDKNVARTQGASHEASVSVSVLKPLVDPFAVKNPHRVFTSGNAHHGHLTSVNQQPIDGWLERKSESQSAVEGRARGHPSVLAQPVTEIHNRNGVAQRGPRIANVVHRFKSNVELLEIGHVLSGTSWSSSQAIFPKGFRSRVKYFSIVDPMQMAYYVSEILDAGLQGPLFMVTLENCPGEVFINVSPTKCWNMVRERLNMEIRRQLSMGRPNLPTLQPPGSIDGLEMFGLLLPAIVRAIEAQDRDRICTEYWRSRPHAVIDDRDIHHTLGPSNIALRGLFKRASPEELRALRGLLASNSNLDDSSRQQATHILDEEIAKHWR* >Brasy8G015600.1.p pacid=40082146 transcript=Brasy8G015600.1 locus=Brasy8G015600 ID=Brasy8G015600.1.v1.1 annot-version=v1.1 MSRMQFSSVLPLEGKACVSPLRRNVESSGGERVRIGDSSSIKHERALRRMCFGARGAASGAQCVLTSDASPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFRGTADAVRKFIWVLEDYYKHKSIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGDDLEAMKVDTSFLNFAIDDPAKFPYIASMGVYVFKRDVLLDLLKSRYAELHDFGSEILPRALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKEAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNAMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARIGRDVIITNSEGVEEADRAEEGYYIRSGIVVILKNATIKDGTVV* >Brasy8G259500.1.p pacid=40082147 transcript=Brasy8G259500.1 locus=Brasy8G259500 ID=Brasy8G259500.1.v1.1 annot-version=v1.1 MRGSRKGRRRPPGEWQRLRNADEAAAASSLQAAAALASLHLPHPGPTATASNCQDQELVGAEGARGKRKGKRKWGFKAGKAGAVDSGRLPPGHFVHRRSTCRGGVGSIDEVLHGEVGIRSRFSAARVRDIMRSLTPRQQGYVAKYGFEHFSRIGEFSVHEPLAEWIMGKINPPFSELRINTDKTIVFNKPLVQKVLGVPAGGRPFVLHGQKTEKIKQLRTLYLNNGLRATIPHAVSLLKNNEDEESFMRTFLLIVLAAVLTPTTGNTIDLDYLWAFEDMSTVHDLDWAGHIAEHLMEEVRKFQYKSREEKMTDFWVGGCLPLLMIAYMDHLDLPRGRIVDREINYSVPRICHVSKDDFQFTAIADLNRQHYKFATFGILPFRDRTPYNDNPIIEDTEIAEDDLHIPSKDYVLSGQWELEAHEERIYELGQGIQPDILAFAEASIDNSPPGRNGIGSNQGSKRFASCERGTSSHCRNTAKAAMTSPSSEESGEDSAQQYESSESDDHSESDDHPTPPKADYGVIFRNCLSGAQIEKVNTLIQKIQPGTVVFVATMRKCDVQLPSPLLIVSKERALAAAARFPHESGTVTLEVPGKSEKWQPRFFVEKDACMLAGNWLDFVCDNQVQEKDICVFVPTKEEEKSKFMVHIISAEATRSRGVKRARPSHDSLVVVDEQTANPGIV* >Brasy8G259500.2.p pacid=40082148 transcript=Brasy8G259500.2 locus=Brasy8G259500 ID=Brasy8G259500.2.v1.1 annot-version=v1.1 MRGSRKGRRRPPGEWQRLRNADEAAAASSLQAAAALASLHLPHPGPTATASNCQDQELVGAEGARGKRKGKRKWGFKAGKAGAVDSGRLPPGHFVHRRSTCRGGVGSIDEVLHGEVGIRSRFSAARVRDIMRSLTPRQQGYVAKYGFEHFSRIGEFSVHEPLAEWIMGKINPPFSELRINTDKTIVFNKPLVQKVLGVPAGGRPFVLHGQKTEKIKQLRTLYLNNGLRATIPHAVSLLKNNEDEESFMRTFLLIVLAAVLTPTTGNTIDLDYLWAFEDMSTVHDLDWAGHIAEHLMEEVRKFQYKSREEKMTDFWVGGCLPLLMIAYMDHLDLPRGRIVDREINYSVPRICHVSKDDFQFTAIADLNRQHYKFATFGILPFRDRTPYNDNPIIEDTEIAEDDLHIPSKDYVLSGQWELEAHEERIYELGQGIQPDILAFAEASIDNSPPGRNGIGSNQGEDSAQQYESSESDDHSESDDHPTPPKADYGVIFRNCLSGAQIEKVNTLIQKIQPGTVVFVATMRKCDVQLPSPLLIVSKERALAAAARFPHESGTVTLEVPGKSEKWQPRFFVEKDACMLAGNWLDFVCDNQVQEKDICVFVPTKEEEKSKFMVHIISAEATRSRGVKRARPSHDSLVVVDEQTANPGIV* >Brasy8G265000.1.p pacid=40082149 transcript=Brasy8G265000.1 locus=Brasy8G265000 ID=Brasy8G265000.1.v1.1 annot-version=v1.1 MEKHLVMYLTRKSIMLLRKYLLVTEFQVSKCGSHIVKIRRDVLYPKRTKYSKYFKCRCSRGREPDGTQLGFGRYGTKSSRAGRLSYRAIEAARRATIGQFHRAMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS* >Brasy8G126500.1.p pacid=40082150 transcript=Brasy8G126500.1 locus=Brasy8G126500 ID=Brasy8G126500.1.v1.1 annot-version=v1.1 MSASPKTPKGEETDPCNPSQRLKYKKLPVRIKKKKESSCMYRPFSFRTMLHLPTGSQVTPDFTGGTSGSRKSRGACLASSSPCAKHPRKDKWCGQVRRVIRNGQIHNGSLDRGLKGCGVLPTSAQLGVKGALVLASPY* >Brasy8G057600.1.p pacid=40082151 transcript=Brasy8G057600.1 locus=Brasy8G057600 ID=Brasy8G057600.1.v1.1 annot-version=v1.1 MRGGGGSGSGSGGGATPSRPRWGSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAAAAAAVASASASVASSSGPSPCSAAPPRWGAEQPHPVGGMSAASSRSRSPAIRRTSSHRLLQFSQELKAEAMARAKQFSQDLTKRFTRTQSRANLVGGAGGELSASASAAPGAPPGIESALAARAARRQRAQLDRTKSGAQRAIRGLRFISGNNSKASNAWIEVQRNFDRLAPDGFLSRADFPQCIGMMESKEFAMELFDTLSRRRQMQMDHITKEELREIWQQITDNSFDSRLQIFFDMVDKDADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKMSSKLSYYLEDNWKRLWVLALWIAIMAGLFIWKFIQYRNRYVFNVMGYCVTIAKGAAETLKLNMALILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLINTSEETYAPLQQYFGDTKPTYLSLVKGVEGVTGVIMVVCMLIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGERLYLILDWYKRTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPNFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPMSGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINNIIKMEEEEEASTDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNFKRVLSKVASKHPYAKIGVFYCGAPVLAQELSKLCHDFNGKCTTKFEFHKEHF* >Brasy8G233300.1.p pacid=40082152 transcript=Brasy8G233300.1 locus=Brasy8G233300 ID=Brasy8G233300.1.v1.1 annot-version=v1.1 MAAKMLLVVATAIALVASGRVHAFDPSPLQDFCVADPTSKVRVNGVACKDPAAVVAEDFFFDGVDKPGGTESKRYGFTAKPVPIPGLNTLGASHARVDVPRGAVFPPHIHPRASETAVVLEGSVYFGFVSSYPDNKLYARVLRKGDVFAVPQGLVHFLYNNGTAPAALYATLSSQNPGLVLLADALFAGALPDDLLAKTLLTDNATVEKIRAKFRPS* >Brasy8G157600.1.p pacid=40082153 transcript=Brasy8G157600.1 locus=Brasy8G157600 ID=Brasy8G157600.1.v1.1 annot-version=v1.1 MASEAQLKTRVSDRLMALLGCSTGTAVQVVIRLARESASAAVLAARLVDLVRFPSSADTVAFAEDVCGMIPRNSAAGGGGASEYQKQMQVATALATKQSTFKLLDDDDDHEDAIAATPSSNTGKKRFRRKAVTQGAEEDDEATVMHDLGRKVRARPDPEECGGGDSDGEEEMVRDQIERAELERHIRERDAASTRKLMDRKPTKAEQDELARRSEAMARDDTSELRRFSRHAYLQKRKEEKVDEARDEIIDHEYLFHGVKLTDAEERDFRRKKEIYQLVSDRAGKDEDAGDCYRMPEAYDAVANVDQEKRWAAARRRYEDPAEARDGKRSGLSEQEAWEEQQMRKSRLQFGSSDHGQRGDGYELVLDDMVDFVKSTALSPDDEVEELAEAIDAKVTLQRELQDERKNLPVYKLKDDLLRAIEEHQVLIIVGETGSGKTTQIPQYLHEAGYTAQGKKIACTQPRRVAAMSVAARVAQEMDVKLGHEVGYSIRFEDCTSDKTVVKYMTDGMLLREFLGEPDLASYSVVIVDEAHERTLSTDILFGLVKDIACFRPDMKLLISSATLNASKFSDFFDLAPIFKIPGRRYKVDVHYTPAPEADYVDAAVVTVLQLHVTQPAGDILLFLTGQEEIETVEEILKQRTKALGSKIAELVICPIYANLPTEIQAKIFLPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLLVAPISKASADQRAGRSGRTGPGKCFRLFTEYNFRKDLEDDTVPEIQRSNLANVVLRLKALGINDLVSFDFMDPPASESLLKALEELYALGALNGRGELTKTGRRMAEFPLDPMLSKAIVASEKYGCSEEVITIAAVLSAGPGSAVFYRPKDKQVHADAARQAFHAGDVGDHVALLNVYNAWKESGYSPQWCRESFVQSRTMKRARDVRDQLEALLERVEIEPRSGAGDLNAIRKAITAGYFRNAARLQKDGSYRAVKSRQTVFVHPSSGMAQVLPRWIVYHELVQTAKEYMRQVTEVKPEWLLEIAPHYYQCKDVDEHEQKKKLAKGAT* >Brasy8G004000.1.p pacid=40082154 transcript=Brasy8G004000.1 locus=Brasy8G004000 ID=Brasy8G004000.1.v1.1 annot-version=v1.1 MATPGSKVTGSKIDDKNLLSSAGSSGDGGDEATPPTPPRLALVPAPSPSHDPMAPQSTSMPPLQPVRQPPPPASKDTNEGSDNTDLGERKDDGDWEMEDVEIVDDEDREGEKVTASSDSKFFCTLFMSGRRNIPHYIFRYDSAPLNKHEYFSLRKSWNQLLDGKRTYLQPCKDRPVLKNVFRRPDTPKKTLGCQKPLLELVHESPNEYIIAEKIKILSQHYVLFRRTRRDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMSREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVNSVANGLSSDQLYEKSLEEIMSLRILSFLRLLTEIEMRTQEVYKSFIPEEMNVLQFCLEEVRPLDAEALVIQMRALTRALGIPLRLEVVDESLVGRAVQVKCLDFFDLSESGKGPLQLTESYHSSSTVRKPLERGGSDGLLSSDGTPLLTLLRRRGHCDILYPQVNKHC* >Brasy8G297200.1.p pacid=40082155 transcript=Brasy8G297200.1 locus=Brasy8G297200 ID=Brasy8G297200.1.v1.1 annot-version=v1.1 MKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF* >Brasy8G296400.1.p pacid=40082156 transcript=Brasy8G296400.1 locus=Brasy8G296400 ID=Brasy8G296400.1.v1.1 annot-version=v1.1 MSFCNHWPPTSYFSRLLSAAAAGLNCRRHQLMETTALSVGKSVLNGALSYAQSAVAEEVALQLGVWRDQVFITNELEMMQAFLMAAHDEGDDDKVVKVWVKQVRDVAYDVEDCLQEFAVRLNKQSWWRIRRTLLDRRRVAKQMKELRANVEDVSQRNTRYSLIKGSSSKAATASDQSAIAGATMSGIEEARRQLEKAKVGLIQLIIKKDDDLRVIAVSGTGAGHLEETSVIRRAYEDPNIMKKFEFHAWIAGLMYPFNLTEFLRSIIEQFHVNFLREARKNEIEIRFLRKMGMMKENDLVHEFMTYLNEKSYLIVLTDIHTVEEWGHIKPCFLNNKKGSRIIVSSEQVGVASLCVGPADAVPECKQLFVDQNLYAFYEKVPQDGTESTESGPSSNMATAGIDSSANGKMLGHTETILAAFKESQLIGREKEKSDIIKLITKKDSQQLEVISVWGMGGLGKTTLVTDVYRSQELSGKFEKRACVTILRPFNVDKLLDAIATQLGCRTANLVRDLEGKRYLIVIDDVLSNTEWDFIKPHFPANETSSRIIVTTRVNGIAMHCSNKKHENIYELPSLRHDDARELFEEKVFGKTTNLDEQDRELVAQANLILKKCNGLPLAIAAIGGFLANQPKSALKWRKLNENISAELELNSELEIIRTVLMKSYDGLPYYLKSCFLYLSIFPEDHKISLKRLLLRWIAEGYATVVRNKSAEEIAESYLMELISRSMLLPIQKSSYSRKGIDSYQVHDIIREIGISKSMEENLVFRLEGGCSLSNTQGIAARHLAINDNWEGDQSEFESMVDMSRVRSLTVFGKWKSFFISEKMSLLRVLDLEDTSDLVDHHLKHVGKLLHLRYLSLRGCDDIYHLPDSLGNLRQLETLDIRGTCIVMLPKTIIKLHKLKSFRAGEVPVDHEDVSCQEYVGGILPKIPAMTGKRPCILPMISMLTCLFWCAPQLLDEDGYMNRRDVYTMFCWFLFPVVAMRLDRHGVLVPRGMKKLKALHTLGVVYIGKWGKAVLQDIKGLVQLRKLAVTGVNKENGQELCSAIVGLRRLESLSIRSEGKPGLFGCLDGKFSFPANLQSLKLYGNLVKLPEWVQGLKHLVKLKLRNSRISESDAAIQVLGGLPKLACLHFLNKSFKGEEVRLNFPPEMFPSLIVLALGLESGFRSIKFEQGATPKLELLKFCRVDIDSDSLSGLASLSGLKEVVLQGTFAPSELEHARAAVAENPNRPVVKRV* >Brasy8G046400.1.p pacid=40082157 transcript=Brasy8G046400.1 locus=Brasy8G046400 ID=Brasy8G046400.1.v1.1 annot-version=v1.1 MDDPELEAIRQRRMQELMGQRGAANPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVILRAAQTGGISEKVSEERLISLLEQINTHTTKQTKVTIQRRRNVLDDDD* >Brasy8G184000.1.p pacid=40082158 transcript=Brasy8G184000.1 locus=Brasy8G184000 ID=Brasy8G184000.1.v1.1 annot-version=v1.1 MLSANPEAPMSIECLMDEKDVRGFIRRDEFEQISGPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDSPGNEAQQTVVFPKGNPIPSIKALTFYRSNTFAVDVLYVDTDDMQITQKISTYTIGPFQSSKGEKAKLKVKVRLNIHGIVSLESATMLEEEEVEVPVTSEVPKDATKMDTDDATGTDVNMQESKGATDTAEGAAENRALDSEEKSVPMDTDTKVEPSKKKVKKNQCSCH* >Brasy8G254100.1.p pacid=40082159 transcript=Brasy8G254100.1 locus=Brasy8G254100 ID=Brasy8G254100.1.v1.1 annot-version=v1.1 MVARPGSTDGSKQKEQGFSRRLLSPWRRRLQPHRRRRCLQPQHGRCGFLSPRPRPPLTSSTSSPPPSSTPLLQFPFPTAAPPSLPTVAVAPVIFCPPPPLLYPMANQATTRVVVAVPHP* >Brasy8G174500.1.p pacid=40082160 transcript=Brasy8G174500.1 locus=Brasy8G174500 ID=Brasy8G174500.1.v1.1 annot-version=v1.1 MKREGFQHGAVRVSRSKLLRIADGEAARAAAAAAEIGVHARAPSKPTNASRSTGKCRRPRCVGCHEHPVTKARDKAKGAHKLRACDVALNHRLVSWRVVDGAGASAAGTGIPDYKGASASAVLAYMAGGNSWHEDDDDDGADPEGNPPAEGGLSDLYDLIVGRIPAPDGLESDLAHAASNIEVADSDDAIEEQEEQDDTTNDDDDDDDEEEEGEMDFCMVGITIALDFSDGEEDWIVVEEIPST* >Brasy8G253200.1.p pacid=40082161 transcript=Brasy8G253200.1 locus=Brasy8G253200 ID=Brasy8G253200.1.v1.1 annot-version=v1.1 MDRRLRKTILALEAENEAMERRSEGGQEEILRLQAVVVMRAHFPEGPQGGASTVRVVPRSSEPEFPASWLWEHRVRTGSPHQSSCARITDHRPKFKGLGEIFAKSKGLATDVAWRCPGSAEGVHLLDCARTEDPVSTASDSMNWNKSGDHQFLVADDVKPAWFTVPEGPWGEEEATRLRTVSVPSLYWI* >Brasy8G067300.1.p pacid=40082162 transcript=Brasy8G067300.1 locus=Brasy8G067300 ID=Brasy8G067300.1.v1.1 annot-version=v1.1 MAEKDMEHTVDRLNRPAYSIIVPTYNERLNVAIIVYLIFKHLPDTNFEIIIVDDGSPDGTQDIIKQLQQVYGEDRVLLRARPKKLGLGTAYLHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVNNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRSVLEDLISSCVSKGYVFQMEMIVRATRKNYHIEEVPITFVDRVFGISKLGGSEIVGYLKGLVYLLVTT* >Brasy8G231100.1.p pacid=40082163 transcript=Brasy8G231100.1 locus=Brasy8G231100 ID=Brasy8G231100.1.v1.1 annot-version=v1.1 MFGWGKRGKNPAPASGGAGEVAVKKVDRIEFDSLVKPPPRFGAGGVISAANPRNPAALPPAVTGTAAARMPDGSPREDINRKASRFIEDTKKRWQLAHKSFRATGTGR* >Brasy8G168100.1.p pacid=40082164 transcript=Brasy8G168100.1 locus=Brasy8G168100 ID=Brasy8G168100.1.v1.1 annot-version=v1.1 MAAGTGPADGDTGRRRWGTEVAALGTAAAARAANTRSGPAARRPTSSSTPGVARGRAAQHDRWLGASSGREGAVALGAAGAQREQHQARAAAGSAAARSRQQRHGGARWRARLRRRPSVAAAGTARAAGTPGRGDGRSIDSGRGVGESRD* >Brasy8G174100.1.p pacid=40082165 transcript=Brasy8G174100.1 locus=Brasy8G174100 ID=Brasy8G174100.1.v1.1 annot-version=v1.1 MLMNYPLECWDIDDVTDAFVPYGKFLVWNKDMSNRARILVKIRAYDVQALPLSLVILSNTNDVGNGESWTCPLFVLSYDMLGALPADEDPLPPNGGNPHPMPMHFHDVWPEPGVIPAQPPFVHNPVDVDPDNDNVIDVEINDAPAQNVDTQPAPQFADVVIPNANVESPITPAQIISSESNLADPVNVLQNLVSTLVANAIIPQLLDTEVVGASCRMVDVSEEGKLKRKCFLQIKTTTKDSPSASQVVITEMDDAENLALPVLADVPIPKR* >Brasy8G212500.1.p pacid=40082166 transcript=Brasy8G212500.1 locus=Brasy8G212500 ID=Brasy8G212500.1.v1.1 annot-version=v1.1 MTSASRPSRFPLRRGSGGLQTKLGDGGSASRPEQAEPRSSSLMGRRKLPPPIAPSVAGRKRKARPSSSPPLSSTWKLRRTHDATSGWASLPDDLIHLVTSRLLLSGDVVDYISFRAVCSGWRASTPSPRDPTLRKPDLRPRAWVALCDGDAVRPDTAREITFFHTRTARRLRVPLPELRGHRIVGFTEGLVILLHKRTFAVRVLNPFTRDAVDLPSLVPVVHEVIPSRHIMLNVNAAVCDSASSPASIAVVAWFPGSTVVLAAEPGRPNWEVLHKRVFFLSVLPCQGRLYATMQHYTEIMQLYPRSARPVLAHVPNGFGDEQRKCTFYLVESGGQVLLAIKHLTVPAPPADPADRLIGIAYNVYAVDVDGGGPLIPVSSLGDRALFLSTDRCLSVSARDLPSLSAGSIYFSLMYDKDPVVVHSLMTGLTERLSTACQIHDGKDRVRPSVRPFTIADHLLTYCHPREWTKGLMFHEYHRIPESFQELRKNIKANDSQVRIPRDARSVFLAMKKHARSPRFAPPSE* >Brasy8G136000.1.p pacid=40082167 transcript=Brasy8G136000.1 locus=Brasy8G136000 ID=Brasy8G136000.1.v1.1 annot-version=v1.1 MKRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSESTEGFRASHPMLLVVAGDETNGLGMVQGGRLSALIRDTNSEPQTGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRVVAVALAAQIYCFDAVTLENKFSVLSYPLQGAPGVNIGYGPMSVGPRWLAYASNGPVLPSTGRLSPQNLTPSPGVSPSTSPSNGSLVARYAMESSKQLAAGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSVKLPSSVHPLEADNVGMVIIKDVTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGSKRYDWTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSARGTCHIFTLSPFGGDSSLQPQNSHSDGPPLAPCQSRPWWSKPSFLMEQQLHPVPSTVTNSVVSRIKNSSSGWLNTVSNAAASASGKLSVPSGAITAIFYNSIYQGSLPVPSKANALEHLLVYSPSGHVIQHELMPSSGSESSDSSPTVGPGAQSQLQDDELHVTAEPVQWWDVCRRTNWPERDQDIANVTFDNQRNSMMAVDTSDCEDSEHSDFTPSNDGISRKEDMRLRERSSWYLSNAEVQISSSRIPIWEKSKICFYVIDHPATELVKTGSVNGGEIEIEKSSLHEVELKRRELLPVFKQFNNSEQTRNLARGQYQKALSDIDNTQYSSAKDNGVYGSKPAPPVSGFYADMRKTENTNGLAGQLFSGPITAVDLLPNGKCNSIESPKAAYLTANQKVDNENISYVSTPTGTIAPTIMAQSREHVDCVPSQIRPLSNYSLLDRPLDDGSLSPASNESCRPEITNNSSVSNGVTADIPNGCLTSVNSGQNETPVSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG* >Brasy8G136000.2.p pacid=40082168 transcript=Brasy8G136000.2 locus=Brasy8G136000 ID=Brasy8G136000.2.v1.1 annot-version=v1.1 MKRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSESTEGFRASHPMLLVVAGDETNGLGMVQGGRLSALIRDTNSEPQTGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRVVAVALAAQIYCFDAVTLENKFSVLSYPLQGAPGVNIGYGPMSVGPRWLAYASNGPVLPSTGRLSPQNLTPSPGVSPSTSPSNGSLVARYAMESSKQLAAGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSVKLPSSVHPLEADNVGMVIIKDVTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGSKRYDWTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSARGTCHIFTLSPFGGDSSLQPQNSHSDGPPLAPCQSRPWWSKPSFLMEQQLHPVPSTVTNSVVSRIKNSSSGWLNTVSNAAASASGKLSVPSGAITAIFYNSIYQGSLPVPSKANALEHLLVYSPSGHVIQHELMPSSGSESSDSSPTVGPGAQSQLQDDELHVTAEPVQWWDVCRRTNWPERDQDIANVTFDNQRNSMMAVDTSDCEDSEHSDFTPSNDGISRKEDMRLRERSSWYLSNAEVQISSSRIPIWEKSKICFYVIDHPATELVKTGSVNGGEIEIEKSSLHEVELKRRELLPVFKQFNNSEQTRNLARGQYQKALSDIDNTQYSSAKDNGVYGSKPAPPVSGFYADMRKTENTNGLAGQLFSGPITAVDLLPNGKCNSIESPKAAYLTANQKVDNENISYVSTPTGTIAPTIMAQSREHVDCVPSQIRPLSNYSLLDRPLDDGSLSPASNESCRPEITNNSSVSNGVTADIPNGCLTSVNSGQNETPVSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG* >Brasy8G136000.3.p pacid=40082169 transcript=Brasy8G136000.3 locus=Brasy8G136000 ID=Brasy8G136000.3.v1.1 annot-version=v1.1 MKRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSESTEGFRASHPMLLVVAGDETNGLGMVQGGRLSALIRDTNSEPQTGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRVVAVALAAQIYCFDAVTLENKFSVLSYPLQGAPGVNIGYGPMSVGPRWLAYASNGPVLPSTGRLSPQNLTPSPGVSPSTSPSNGSLVARYAMESSKQLAAGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSVKLPSSVHPLEADNVGMVIIKDVTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGSKRYDWTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSARGTCHIFTLSPFGGDSSLQPQNSHSDGPPLAPCQSRPWWSKPSFLMEQQLHPVPSTVTNSVVSRIKNSSSGWLNTVSNAAASASGKLSVPSGAITAIFYNSIYQGSESSDSSPTVGPGAQSQLQDDELHVTAEPVQWWDVCRRTNWPERDQDIANVTFDNQRNSMMAVDTSDCEDSEHSDFTPSNDGISRKEDMRLRERSSWYLSNAEVQISSSRIPIWEKSKICFYVIDHPATELVKTGSVNGGEIEIEKSSLHEVELKRRELLPVFKQFNNSEQTRNLARGQYQKALSDIDNTQYSSAKDNGVYGSKPAPPVSGFYADMRKTENTNGLAGQLFSGPITAVDLLPNGKCNSIESPKAAYLTANQKVDNENISYVSTPTGTIAPTIMAQSREHVDCVPSQIRPLSNYSLLDRPLDDGSLSPASNESCRPEITNNSSVSNGVTADIPNGCLTSVNSGQNETPVSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG* >Brasy8G298500.1.p pacid=40082170 transcript=Brasy8G298500.1 locus=Brasy8G298500 ID=Brasy8G298500.1.v1.1 annot-version=v1.1 MNCRALSLPPPASVRRSQPPPPLPFPGFMFRFSSARGSRLLAPPAFPPRRSPSPCCTTSSKLLPVPSPSSPKSIVFLINVFATV* >Brasy8G278800.1.p pacid=40082171 transcript=Brasy8G278800.1 locus=Brasy8G278800 ID=Brasy8G278800.1.v1.1 annot-version=v1.1 MSQIFSSSLPPCNPTDPRSPSPFPLISGSRRFSQAANPSGAGALSLSPPRRGSGGRRRRMALFRKFFYRKPPDGLLEITERVYVFDSCFSTDVFDDDDDRYQHYIGDIVSQLRSHFADASFMVFNFREEPDAAPPQAQPQPQPQSLLASILSGYDMVVMDYPRHYEGVPLLTMETIHHFLRSADSWLSLGQHNVLIMHCERGGWAGLAFMLAALLLYRKQFIGEQRTLEMVYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSSEWPPGDRPLTLDCVILRNIPGFNGEEGCRPIFRIHGQDPLFGTDNTPMVLFSTPKRSKYVRLYKRADCELIKIDIHCHIQGDVVLECISVDADQEQEEMMFRVMFNTAFIRSNILMLNRDEIDIMWDAKDRFPKQFRAEVLLSEMDTADHFDPMEVAGIGEKEGLPVEAFAKVQEMFSFVDWLDPKGDAAVQFFQRLTSSENIQLRHGLVSPDKKDSSIKTETGQWEFGSPTNKEFDTTSNRPSNAEHSPVLALLDPVSISQGKSGNAIVHKEIAHVADISTKRPSSQEKPDEQYGPVQCSSPTMIMSQRFPVSRSSFALSSNSSPRSLSASPRFHSSPSALGITALLEDHAAFGGSENCGSTIIAPTISNLSSATIKIPSKGSLGQHSTTGTPVVTEGTPPPPPPQTSAPALLVASDAIMMSEAASQNRSGSSNIVKDSSPTSPAPHPPPLPTLPSSSSSPSTHCHPAPLVAPTSTLFSPPAQPSSQPPSTPRLAPVKPPALPPPPPYAPTSPVRLFQSPPSAPNSSPSRSPSPPASPLVASTSHVVGPAAPAPPPHPPFASTPSPLPPPASTSSAFRPAAPPPPPPLVSTSSPGPPAAPLPPPSPTSSAIRSSAPPPPPPPPPGIASTAPPPPPPYGQLDISLVSPPGPPVPHAPSFSKGASHQGCGCKGAGSVVPPPAPPGCNANFFGTKGRGPAPPSGPMSKSLQSGQATSRRSNLKPLHWVKVTRAMQGSLWAEGQKAEEASKAPVFDMSELENLFSTVVPNSNARNSDKSGSRASGTKPEKVHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDIILDADQVDNLIKFTPTKEEIELLKGYKGDKQVLGECEQFFMELMKVPRVDSKLRVFSFKIQFRSQVSDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKIQLKSLAEEMQAVNKGLEKVEQELTISENDGPVSEIFRKTLKGFLSGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLHNFVRLFTRSHEENCKQLDLEKKKAQKEAETEKTKKETEIEKAKLSHSIKELDIAQAQKEAETEKAKRETENEKAKLSHSIKELDMALQSQAQTASAK* >Brasy8G245100.1.p pacid=40082172 transcript=Brasy8G245100.1 locus=Brasy8G245100 ID=Brasy8G245100.1.v1.1 annot-version=v1.1 MTMTASVVILYLHGRRTHICESSRAEQSTTQRHPKKLKMARRRSVVHNVSLLVLFFCWLCSLSQAKRTPSSKSPAAASSFRLPVRAVCLGGWLVTEGWILPSLFDGIPNKDLLDGTQLQFKSAAWGVYLAAEQGGGAAVTANRMNASGWETFKLWRINETTFNFKVFGGQFVSVGSDGTVVATAASPGPSEAFQIVRNAGDKNRTRIRAPNGAFLQAKKDGSVTADYGESTSWGDDDPSVFAVTKVGELQGEYQICNGYGTSKAAPVLRNHWSTYIVEEDFRFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLKILDKAFKWAEKYKLGVIVDLHAAPGSQNPWEHSSSRDGTQGWGTTDANIADTVRVIEFLASRYAKSPSFLAMELMNEPLAPKTSFQSLIKYYRDGYDAVRRHSPGAYVVMSNRLGTGGDTTELLGFAGGFAGAVLDVHYYTVFNSMFDNFSVQQNVDFIRTNFSSDLGSITTQNGPLTFVGEWVAEWKVPNAAKEEYQKYAKAQMDVYGQATFGWSYWTLKNVNDHWNMEWMINNGFISLKS* >Brasy8G277900.1.p pacid=40082173 transcript=Brasy8G277900.1 locus=Brasy8G277900 ID=Brasy8G277900.1.v1.1 annot-version=v1.1 MEAQGVKDRDTLIDLESGNNVVIGEYNPGMDVNFAVGLARILPNSVLTGGMCTKDDRNQHMDGSPPSPEAAARNGDDRKSEGEEKLGLLDNSGGEKAKKKRSKKPPRPPRPPTHLPLDASDQKLLNELNELALLKRARIERMKALKKMKNAKQGSSTSNFCPMIITIIFCLVILWQGFCSRQESGVSFHGSPESSVRAHSSLISIRFYKKNHSNIRPHTSTSAAPNNAEAHHRGWRSVAKGERLRHEHIVRA* >Brasy8G277900.4.p pacid=40082174 transcript=Brasy8G277900.4 locus=Brasy8G277900 ID=Brasy8G277900.4.v1.1 annot-version=v1.1 MEAQGVKDRDTLIDLESGNNVVIGEYNPGMDVNFAVGLARILPNSVLTGGMCTKDDRNQHMDGSPPSPEAAARNGDDRKSEGEEKLGLLDNSGGEKAKKKRSKKPPRPPRPPTHLPLDASDQKLLNELNELALLKRARIERMKALKKMKNAKQGSSTSNFCPMIITIIFCLVILWQGFCSRQESGVSFHGSPESSVRAHSSLISIRFYKKNHSNIRPHTSTSAAPNNAEAHHRGWRSVAKGERLRHEHIVRA* >Brasy8G277900.2.p pacid=40082175 transcript=Brasy8G277900.2 locus=Brasy8G277900 ID=Brasy8G277900.2.v1.1 annot-version=v1.1 MEAQGVKDRDTLIDLESGNNVVIGEYNPGMDVNFAVGLARILPNSVLTGGMCTKDDRNQHMDGSPPSPEAAARNGDDRKSEGEEKLGLLDNSGGEKAKKKRSKKPPRPPRPPTHLPLDASDQKLLNELNELALLKRARIERMKALKKMKNAKQGSSTSNFCPMIITIIFCLVILWQGFCSRQESGVSFHGSPESSVRAHSSLISIRFYKKNHSNIRPHTSTSAAPNNAEAHHRGWRSVAKGERLRHEHIVRA* >Brasy8G277900.3.p pacid=40082176 transcript=Brasy8G277900.3 locus=Brasy8G277900 ID=Brasy8G277900.3.v1.1 annot-version=v1.1 MEAQGVKDRDTLIDLESGNNVVIGEYNPGMDVNFAVGLARILPNSVLTGGMCTKDDRNQHMDGSPPSPEAAARNGDDRKSEGEEKLGLLDNSGGEKAKKKRSKKPPRPPRPPTHLPLDASDQKLLNELNELALLKRARIERMKALKKMKNAKQGSSTSNFCPMIITIIFCLVILWQGFCSRQESGVSFHGSPESSVRAHSSLISIRFYKKNHSNIRPHTSTSAAPNNAEAHHRGWRSVAKGERLRHEHIVRA* >Brasy8G250700.1.p pacid=40082177 transcript=Brasy8G250700.1 locus=Brasy8G250700 ID=Brasy8G250700.1.v1.1 annot-version=v1.1 MWGGARTGPAHRLFLSSPAVALPPPPQRHPRSRLSLKATFASTSTRARLAGAGSSMRHETQPRLSEAQSLPPLPLAASAVRRDATTGLAFLLFVLALVMSSLLSVAIFSFSAWRALQQLEIVAHKLSKVVAEEVPGTLSSLKLSLMEINDITSQLKNLRQSFTINRSER* >Brasy8G153200.1.p pacid=40082178 transcript=Brasy8G153200.1 locus=Brasy8G153200 ID=Brasy8G153200.1.v1.1 annot-version=v1.1 MMFAGANFIIIPSTFEPYRLIQLQGMGYGVVPICSLTGGLVDTDEEGATGFHMGSFNVEAQAQGRWPPEAWIRTSSEGCRQTFGNVQMPPEAAGYGWNLG* >Brasy8G204300.1.p pacid=40082179 transcript=Brasy8G204300.1 locus=Brasy8G204300 ID=Brasy8G204300.1.v1.1 annot-version=v1.1 MDPPAADPGSAKAEGAGAQGEMDLPVADPGPVKDEGSLLQCPYCDSEATHKLAQFLLPGLAAVCVDGTTGDLFRKPPVVAVDLRKEMVDYMSQRSETFIADALIESEASQGAESEMPEDPYEIISIFMDDFSSSKRNIIGHVSGWLLSDSRDDKIDDFVQEMEMTKFWPLDRREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDAACLFKILQCEQHCEKRLLRRDMDRHCVTVCDMRPMKCPFGCDSSFPERNLQEHCSEFLQLHLLKVLQVIHKKGFTDDELKDRAVQLEKSDDHGKLAKARDARSLTNIVKDLEAKMKPSS* >Brasy8G056000.1.p pacid=40082180 transcript=Brasy8G056000.1 locus=Brasy8G056000 ID=Brasy8G056000.1.v1.1 annot-version=v1.1 MAGSCNRRGVWASVAMVLLLVFLLRCSPKCEGRKLMADNKEEQGEVMHFEGGLVLRVSLPSGASGEAAAAVAGTARGFSAVSGGRAARLMRSVPSPGVGH* >Brasy8G294100.1.p pacid=40082181 transcript=Brasy8G294100.1 locus=Brasy8G294100 ID=Brasy8G294100.1.v1.1 annot-version=v1.1 MLLVTGPVGLTLEDMQQARPTEFSHLLLHLHGAAGHHKQRSPMRRRLCSSLAGDSSRKSYAERFPSLLHPLPPPPALPHHNHHHHSPPHTEVTTLPNGVRIASQDTPGPLSCVGVAVDAGSAHETPSSSGAAKLLERLAFHETHNRDLGRIALAVEATCGDLGAAAGREMTFYRYSTLRAYLPQAVELLLDCVRNPAFLRGQVRSKVAEAREELLLLENNPEMFLQESLHRVGYSGALGNPLFPTKEALGRIDRGTIRNFYFENYTADRLVFAASGVNHQHLLDIAEPLLSDLPKGFPVHKPKSAYTGGDFRHKTDSETTHVALAFEVPGGWHDEKAATIMNVMKTLLGGGASFSSGGPGKGMHSRLCLRVINEYDSIQSCSAYSTVYADTGLFGIHLATPSNFIAEAVDIAIKELAAIATPGEVTKVELARAKNSTISSILQNHESLKNLTEDIGRQVLINSSGKPSKHLLVHIDEVTLDDITSVAQKMMTSCPTMASWGDVDKVPSHEYVRKRIETPPVDFMWTLKSFFC* >Brasy8G291100.1.p pacid=40082182 transcript=Brasy8G291100.1 locus=Brasy8G291100 ID=Brasy8G291100.1.v1.1 annot-version=v1.1 MEAVVGAANWLLGKLITKLSDDLVAAYVASLELGHNFEEIQRKLLYTQGLLHAAQGRDVSHNPGLQGLLQQLSRKADEAEDALDELHYFIIQDQLDGTHEATIQEPTPEMGDVVRAHARHGRHAVLHTVGNCLRCFSCSPKQDDDCAAISVANNPHNTIKSDNGNDGVHVDKLSFNRVEMSLKIKSVIEDIHSLCGPVSDLLSKIPNNSTTAPLTRPQRGSAIVQDKLYGRGVMFEKTVDDITSCGEALSVLPIVGPGGIGKTTFTQHLYNNARAEGHFSVRVWVCVSTAFDVLELTKQILSCIPASEKEGSHTVNETSNLSKLQEHISERLKSKRFLVVLDDMWKCDSKEDWETLLAPFTKGEAKGSMILITTRFPKLADMMKTIDPIELQGLDSNDFFTFFEECIFGENHKTERYEDDLTGIAREIANKLKGSPLAAKTVGRLLKKNLSLEHWNGVLQKHEWLNQQNNDDIMPSLKISYDYLPFDLKKCFSYCALYPEDYRFDGLEINRLWIAIGIIDSSLQVDRNYLEELVDNGFLMKRGDACDQYYIMHDLMHELCRSVSAHECLNISNLDFKAEHTPPSIRHLSITIRNRYDEKFLEEMGKLKRRIGIANLRTLIIFRGYEECHARILKDIFKEIHGLRVLFTTVESVESLPQNFSKLIHLQYLKIGSFSNDTQVPLPSTLSRFYHLKFLDLKGWYGSSNLPKDFNRLVNLRDFIAKEELHSNVSEVGKMKFLLELKEFHVKKESVGFELRELGQLTELGGTLSICNLENVATNEEASDLKLMLKGNLKEVRLVWGTDQPAIHADVIDLLKPHSDLRALGIKNHVGTSGPSWLCLDIWVKRLETLHLEAVSWVTLPPLGQLPHLKELILKRISAVRRFVPDFGGVTDKSFLYLKTVVFRDMPELVDWGVEPNCHLFPRLESIKCTGCPNLIVIPFLECSFTNLCSLEISQCPKLSLPPMPHTSRLTHIEVARTYSESLSYNGVLLRVRGYSALVFRNLDKVDYINISCVSDISLSDLGIFKSLRGLTVGRCDSLFSEELDDSIVFCQVQHLRLMGSHFTRKALSNMLNCFPALSSFEMSDCSESHEEQLMQFPSSSSLQKVELTRCRGLVLVPAEDAGGIQEDIMSLQSLRARGCGKLFSRWPMGEAAGAQAIKPFPSSLRELDIYIELSMKSMHLFSNLTSLTRLSLVDCKKFAIDGFNPLITANLKEFIVHDYKKDENCPYSIGADMLAEVARSITTMPAGSFQLEILQVDNISRVLVAPICNLFASTLHTLSFWNDYRAENFTEEEEKALQLLTSLKKLEFINCMGLQSFPQGLNLLSSLKELRAGCCRKLRCLPEQGFHTSLQVLDLGECSAELKEQAEELKARNPDLIVMARLATEWN* >Brasy8G051300.1.p pacid=40082183 transcript=Brasy8G051300.1 locus=Brasy8G051300 ID=Brasy8G051300.1.v1.1 annot-version=v1.1 MEESATVRRRGPSRWSTEEKIPKELLADSPAAAAAASLLTDDLIVEILSRLPARSVHRFKCVCKLWRDLIAPPAHRKRLPQTLAGFLYSTCPGDYRHHLAAVSPTAVDFVDPSLSFLRPMNYTKIRLLDTCNGLLLCACYYNMEERFVVCNPATQRWTELPPAPQPQPGTYNWDQRLAFDPAVSPSHFHILDFVDTTNVHHLTGVNIYSSRTGAWIHRDTELLDKVVLADGSVFVGGVLHLPGKLCEDRPCQSRHSIGNSYQENFVLVVVDMEWKAWKIVRTPAYGFSFGAIGWSQGRLHYATPSETPITVSNDDDEVTCREIAVWCLEDYGSKKWALKHIVRIDKLLNVIEMEYSVVGIHPDCDTIFFVTRGAYNGDTRDASSLASWDMRRLEFRTVLDLEKGSWPSYLPYVPLFLESALPDGEVQ* >Brasy8G030400.1.p pacid=40082184 transcript=Brasy8G030400.1 locus=Brasy8G030400 ID=Brasy8G030400.1.v1.1 annot-version=v1.1 MGKAKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARYRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRSSIRKLMDSKGVGAFDVVLHDGSPNVGGAWAQEATTQSALVIDSVRLATMFLAPKGTFVTKVFRSQDYNAIMFCLKQLFEKVEATKPTASRSTSAEIYIICQKYKAPAKIQPELLDIKHLFSVDEEKSMPRDITNPGKKKRERSGYGEGETVLGKAGLASDFIWSEAQTPIEFLGSFGAISFEDPASLPIKNHELTTEEIKHLCEDLYVLDKNSFKHILKWRIRIRKALSASSQVTPKADGTTLDAKVKDDDQLLQEMEELTSVIDRKKRREKKRLSRRRAKDKARKATGMQIDATEDGYCDPDLFSIDAIKGGKGLQALESTELNVEDSIGDSEDEETQTHEDSDEEMDSDEEQQRYDAQLEEMLDEAYERFVTKKGGEVKQERKRAKRINTDADAELLEGGEDDGDDVDMDQVSDEDQDQDEDTNPLLLSLDTEKPTKEQIVKQWYSQDVFTEAGTGGLTEQSDSENEREKPRKNLKKMDSGKETRAKAQMDSGKKEKPAKAQRSQQDEFEIVPAEPVRTEEDSSSSSDESDDEPEENLDDDTKAEVLAYAHKMLRKKQREQILDDAYNKYMFDDVGLPKWFVEDEKRHTQPMKPITREEVAAMKAQFREIDARPSKKVAEAKARKKRVAMKKLEKARQKADIVADQSDINEKSKAKMIDKIYKKAVTTQKPKKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRTSGSGKKGKGSKGGAKGGKGKKGAAGQKKGGGRGKGGKKAGKAPH* >Brasy8G083100.1.p pacid=40082185 transcript=Brasy8G083100.1 locus=Brasy8G083100 ID=Brasy8G083100.1.v1.1 annot-version=v1.1 MAKLVFFAAVIAALVAISVAADRVAVPASSTQCQCQREIQEISLGWCPQFVDMKLDMTEPDFTWLTEERKMWCCQELQRISSGCRAAAIRSLVRKYEQDLEAAQQPQMGGQGSSAAPGEPAKQQPETEGLRYPVPGETAEQQPRQEGGQAHHGCPARRTQTVVRRQPAVGGLLDPVGLPEEIERLTRARQIVAQLPAQCGLECGAFSPGQYY* >Brasy8G204700.1.p pacid=40082186 transcript=Brasy8G204700.1 locus=Brasy8G204700 ID=Brasy8G204700.1.v1.1 annot-version=v1.1 MALAISMDAMEARARELGVDLSAVDLDSITLPADEDFGILSDDEDILQNDDFPELDMGFSNIVVVDNLPVVPTEKYEKLEGVVRKIYSQIGVIKKDGLWMPKDPETQKTYGYCFIEYNTPQEAELAREKTSGYKLDRSHVFAVNIFDDFEKYMKVPDEWKPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTFTEVYWNDARQAMPELVYQKQYWTDSYVQWSPLGSHLATVHRQGAQVWGGDDTFVRLMRFAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRSGKVMRDFKGSADDFTTGGSIGVSGVSWPIFRWGGGRDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFSWSPTDPIISLFVPELGGGNQPAKVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSIYTGFELFRIKERDIPIEVFELDNKNDKIIAFAWEPRGHRFAVIHGDGPKHDISFYSMRTANNNVSRVSKITTLKGKQANALFWSPAGHFIVLAGLKGFNGQLEFYNVDDLETMATGEHFMATDIMWDPTGRFLATAVTSVHEMENGFQIWSFNGKQIYKVSKDHFYQFHWRPRPPSLLTPEKEEEISANLKRYSKKYEQEDQDVFNQVSEQDRKRRKQLQEEWEGWVASWKQQHEEERAYRMALRGGEDSEEEEEYEAKEVEVDEVLGVEEETVAFDLD* >Brasy8G014800.1.p pacid=40082187 transcript=Brasy8G014800.1 locus=Brasy8G014800 ID=Brasy8G014800.1.v1.1 annot-version=v1.1 MAHLLLSCPFTKLVLHEVLSKAGALCCLLRPHEDLTTWLAAGARRLRGKAKALRNACIFKGVRPVGARLAEDILVEADLWRAAGARALDHLPLHTCPPDLISLSTHV* >Brasy8G247800.1.p pacid=40082188 transcript=Brasy8G247800.1 locus=Brasy8G247800 ID=Brasy8G247800.1.v1.1 annot-version=v1.1 MAVARMCREKRVHTEGSGDGAGRGQGKSHWHGPTDSFCEDHLTPPPVSSMEHCDGGDIAAGRSKLPNGGGEDRLSALHDDLLIYILLKLSTPVAVQTCVLSRRWRSLWILLPELRFSPGIDPNSIRPPPEAAPALRELVVGFRDASPESINAWIPVAARSLSGRLLLFNILFQEEEAEDGDGDEEEGACELPCFERSTSICLELGHLRLIAPPNGVFARLTDLCLSCVQLDGPCKLGEAVSSPRCPSLRKLAVHSAYDLGNFTIHSDSLVEMELESLWRDDDIGLGHFKIHSDSLVRLALLHLQGLQELTIVAPALEKLDVSSCFREGFTHNPPVANISAPQLVSLGWRDAYDPSSVQLGKMENLQWLFTNYFLVYGQDQYGHKLFNSYCTRLLQRFDFIQDLSFTLQYPQAQNGCPPGCICDQQPPNWKTEDLAMNNLQQVELRDLTGTEHEAAFVKRVFDWATVLETVTVIFDSSVSESKAKEFYQTLLSFSRPGICMKGGISEDPSEQSCPED* >Brasy8G065500.1.p pacid=40082189 transcript=Brasy8G065500.1 locus=Brasy8G065500 ID=Brasy8G065500.1.v1.1 annot-version=v1.1 MKATGARGKLSRAKAREGASPPPAMSPAAAAGGGARFSIREYAVRARAGAADEGSKCWPFGKAAGPLPPMEVRRFRWWADEAALAAEMEVEEEDEERRKAAMRRKRSIVELFAAVPPVGAGKRKLDKEKLVAAGGLPKKRFKKEKKAPVGAETTAVRKKEKSGNVKVTSLSISQLFQDAIQKRKLKKSPSKKKRNQEVSVLPNKKSMKGSKKSVLPDQKAIKNSCQVQTILKKHLRTEIGAFLKNKDVMCTPNSSCKSKHVTFCDMDGICGLTASQSKDNTEQSQLVQASQQPSQKGNSQVVNGQHNTEETQLVYQQSDAISGTVEEDATSSVPKVGSSGIPCAVPLTTSKEKTILRNSMGVQHFIEMSNRGNRLNSMSSAAVSFQPLAQNFAGADFHLQEGIYLDIGCQAEENHRMAPQGTPVSACLAVGTRPGHPVRTPFPQPSSSRYVGALKEACVRNKSTIMHEKLVANCHLTEVHPSVLRSGKGMVSSISSTGSNKSIDPQTTDSVSACRNISASDDYIGLPVNSHGEFVRVQPGGTLNRKGVLERQCSGEDSVYPIPSRTFSTPNAHSRVNHQALPFSTVANFGIQRGPHLTHIMPTAYGMGLRQFPSSERMQLHNYPVPSNNSCSKQLGSSVQCSCSRCLRHDNQLQKSLEMQSCFPEQNYVQSIQPAVETTMRLMGRTVTLGTSSKQCGGLEKNGPYSSKQIRVEDHYLSGTSTKVFPQLFCGGLVDPPSTFRMSNGERPPSEYASCFSSLPAAELGPGFDTNSFRTSNHSQQPQLAVPNTLFMQPVNRYNESGSGHQRPSVENQVQGTANHLQLGPVHHTHTPSVASMSSYDPNNNFKNFVEPRAGASEFSFFPQRSCNMTQRTPVSPFRSGYYSVQSSPALTTPTKFTSLRPLPPSMISSHVYSSENAQPHGSTPFHPAAPHPRRPEINNAPGDAILTGKKHIEQAPIGSNLESSKQINKSCKRPAEKDDVLLTISKKPCIEVGKDVNMSPLPAEELGFSGSKPDGQPLYMPVRLGNRPEMNLRLVNGPAWSDPVNSVVARPVKLKPGAKHILQPCASASMDQEHSWPVHSVVQLAAENDACTVGSSKKMDAESYRVQPLPEVNASLL* >Brasy8G005200.1.p pacid=40082190 transcript=Brasy8G005200.1 locus=Brasy8G005200 ID=Brasy8G005200.1.v1.1 annot-version=v1.1 MRPRERRCDADGEEDDEVGRSCSTADPNTSTSSSTGASSGARRSGGGAAAGNSPVSGATINLSQEYTLAIQTSSYNEIWAKIHVTVDGQRVDGGAGDEDEEDRCTLAGVLLPEDAVVERALGDAPDTELTRLAADYLRTTHHASLLCLSLRRALHRARALYGPITDVLALIPHAPALTATQCDCAFDAFHLFDQMPNPFLPHAAGFQGMHRSFAGLKNHLDLRLLKARRRRRLLRCAARGSGICLIACATGAAIAGLLIATHAVTALLAAVPACAASRGSCCPAPAWMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATIESDKILVRMGLERGRGQHHTIEEVVRQLRKNHPSLLRQLTDLEEHICLYFAAANRASSYFQQCFHLGCPLMSRRVNYAAACLHKFFCFKQCMMHSSSLAVACHDVYVSVFFIIA* >Brasy8G104600.1.p pacid=40082191 transcript=Brasy8G104600.1 locus=Brasy8G104600 ID=Brasy8G104600.1.v1.1 annot-version=v1.1 MRLLLLVLAAAAVVVAAGAEPVLRLVTDIPSSVSAGGADADALFCDSWRLSVETGNTGPWRAVPARCGPFMREYMEGERYASDSAVAAAESLAFAAQAFASGEGGARPAWVFDVDETLLSNAPYYAVSGWGATQASVKPVLSPHNSQYSNPSSATPSPGAEVSSFLAYGLQEFNETSFDEWVDVAKAPALPSSLKLYNELKGLGFHIILLTGRSELQRNATEENLLFAGYHSWEKIILRQPSDIGKTAVQYKSERRAVMEAEGFKILGNSGDQWSDLIGLPMATRSFKLPNPMYFIS* >Brasy8G288100.1.p pacid=40082192 transcript=Brasy8G288100.1 locus=Brasy8G288100 ID=Brasy8G288100.1.v1.1 annot-version=v1.1 MRESPSSARAAVSKYVNLNMWSYLKFLSWMPAALKMPEDELIDHAGLDYVVYLQIYRTGSAYEYPWILMARNIAAGHPKLRYRHSPYDIDLFCISNHVPVDKHFAITKTKIMRKIEVTRMRDYVMPFDAIEGTSHRDGSIYKHFALLKYHRITEPYETPLEPPTCLPGRERCLVHGICHLMQVFSLKLAKLPTNMGSIQLYGYIAARDVEDSLRNYIVNYSRDDPIIVQQGSPIEMTGPKRGISFVCSVLVEFDMRIKKGKEEDDVQLIDGAVDFNEVTAHPSIPFTTCFDGDYGAVHMTYAMIYGVEATIEVIISKVQSGFNLSLSSFVFMKDSHEKIQLFRGTIGESCGLRRFVIAVEKDTWMHMKLEVGQKSSNLEHYYCSFKTDTHGCACQEIMLDLATISVKAIWSTLPP* >Brasy8G288100.2.p pacid=40082193 transcript=Brasy8G288100.2 locus=Brasy8G288100 ID=Brasy8G288100.2.v1.1 annot-version=v1.1 MQVFSLKLAKLPTNMGSIQLYGYIAARDVEDSLRNYIVNYSRDDPIIVQQGSPIEMTGPKRGISFVCSVLVEFDMRIKKGKEEDDVQLIDGAVDFNEVTAHPSIPFTTCFDGDYGAVHMTYAMIYGVEATIEVIISKVQSGFNLSLSSFVFMKDSHEKIQLFRGTIGESCGLRRFVIAVEKDTWMHMKLEVGQKSSNLEHYYCSFKTDTHGCACQEIMLDLATISVKAIWSTLPP* >Brasy8G107900.1.p pacid=40082194 transcript=Brasy8G107900.1 locus=Brasy8G107900 ID=Brasy8G107900.1.v1.1 annot-version=v1.1 MATRRPKSEMSPLPEPTPSDQRVDAVIEELRKGAQLAEFLRQQVELIPEHGRRDAALANVSDISTALASSLSVLQSEGEQIYSPSSSSSDAGVAAHPASAFGARNGPVARARRAKHRRGSHGQELPIKEILTETPENDEFHWRKYGEKKILNAAFPRLYYRCGYSDEHKCPAKKYVQQQDNGDPPLFMVTLINDHTCDTLFPAEQPPGSSSSSSTNSQVLDFTKASISSPTTMAAASAAVPRLKKEEDVAGMSVTTPSYTYDELSSSSLPLMSPMQWEMEMEMKSLFRRQDASSGS* >Brasy8G123000.1.p pacid=40082195 transcript=Brasy8G123000.1 locus=Brasy8G123000 ID=Brasy8G123000.1.v1.1 annot-version=v1.1 MARGGGGCAAEEEEEMAVSETLTAESADDEERRRRGSSGSSASSEAASSESYCPPDEWQQQAVAIKTCVTDDATAKAKPTPAAKEKPPGAEKHRAPELELMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQTVPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILESFRDAEFWYADQGICDGAASFRKPSFHRRDEKWWLPVPRVSIGGLGEATRRQLEHKRDCANQILKAAMAINSNALAEMDVPESYHDSLPKNGRATLGDIIYRYITSEQFSPDCLLDCLDLSSEYQAVEIANRVEASIYVWRRRGTAAGKSAGTRSSWGMVKDMIMDTEKRGDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLHVDELSKQSDQVPADGSKFIARKAAAMVVPPPAVVPASVTPYLTAYATPSFSPAQLSSPSKIGRALLVDRRPHHHHSKSGVEVKGMLVGSRLAAEL* >Brasy8G262900.1.p pacid=40082196 transcript=Brasy8G262900.1 locus=Brasy8G262900 ID=Brasy8G262900.1.v1.1 annot-version=v1.1 MWVVDMEKKLSDAETLAKVSKWAKHCIFMVPPRFKPMMADGRRDSTVYKPQTVALGPFHHDDEDLKPMEEHKLRAVRYLLARAGKTLDALVAAMEEVVEELEDAYMDLGGEWRGENNRGKFLEMMIKDGCFLLEVMRAADAIANPKQHSSLLVKYEHGDPVFSWHGIQHIKAFVQRDMLMVENQLPLRLLQRIVAMEGKTSPHASSINSMVLNFLLGKEAPHVAGGLGYHPLDIYRKSRLHKPSVAASGMEPPAPVAATTPRQRDPTAEAEAETNTIRPGRTRLRGLFMKPPEKRAAVPRSAWKLSEAGIRLSRSETRCLDDVHFSSGRLEMPSLELDDDTAYKFHNMMVLEAMHAGTSNDVTAYVLFVKDLIGSADDVRLLVRKKILEHDLADDDDGVVRIFNGLTRDVTKYGNSVLCHVWDDVETHYGSNHMRVLFYKSIAYFKKDYLRSPWTLLALLTAIVLLVATIVQAVYAVLGYDPNKGKVKTQ* >Brasy8G274100.1.p pacid=40082197 transcript=Brasy8G274100.1 locus=Brasy8G274100 ID=Brasy8G274100.1.v1.1 annot-version=v1.1 MPRRPSRGSRRTTPTPIPSAASTPTPSPRIPSTASTPTPSPHLLCGGGLYPTAVPRRPPFSSSSPPDAASPRPTRSAECRKASIMADMINDGSQHAHFTYTQEEEEPYTAEDTEEQEWADGAEEPAVAAPKGKKKGAAEKKKSGGGGRGPKWTAKEDECLAEAWKVVSLDPFTGANQSGDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHRWQMIQQACNKWHDIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDGAEFKFIHVFARIETCDKWTETRAGLAKTGAYDPTAAPPAAAEGRPIGHKKAKAMRDAAPATERLYTCIEKCMSDAAAQATKRDELAAKREEVAASRWATVIKKQDDKLEILKANVLAKKRREDLLILTPPAWTTR* >Brasy8G029600.1.p pacid=40082198 transcript=Brasy8G029600.1 locus=Brasy8G029600 ID=Brasy8G029600.1.v1.1 annot-version=v1.1 MLRAAGKRLLGAGTLSPAAAGGGDAPAAAAAAVAARRGYHERVVDHYSNPRNVGAFDKEDADVGTGLVGAPACGDVMKMQIRVDEASGKIVDACFKTFGCGSAIASSSVATEWVKGKQMDEVIAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKVKSSLKADE* >Brasy8G018200.1.p pacid=40082199 transcript=Brasy8G018200.1 locus=Brasy8G018200 ID=Brasy8G018200.1.v1.1 annot-version=v1.1 MAQPPRSSPRPRPKPKARFTLARRRTGTAAAPEADPAERRSAARREWGAWAMKAAKVVGHYGFIPLVIFVGVRNSNPRPSLAQLLNPF* >Brasy8G140000.1.p pacid=40082200 transcript=Brasy8G140000.1 locus=Brasy8G140000 ID=Brasy8G140000.1.v1.1 annot-version=v1.1 MDHGCSPEKICKSAANEDIISNLPEALKDKILCCLPIKEAVRTCLLSRNWRYTWASMTELMFSMDDFASENGNANDGVGRFLKFTDMFLSLHNGPILKFGLNTLRTKIISTGGHIYRWMLMLSRNRIREIQLKTRKTDFYKIPYCFFSCDELEYVYLQACILTGSELPPLSKGFKNLHTLHLQNALVQGNSIGNLVASCPNLEKLAIFELISFGDINIHSTKLKILTIDGQFKHLNLHTPYLASAAIRLIFHVGDNTNARCRFDLPQFIASLLDVETIKLHGRIIECVDHEFLVLKPPKLFNRLTEITLEIHLGNMKEADLALCLFRHAPNLRSIVLKLVSRNTIVSAENFWESVDRQVHVFQNVDAVGMINFTGSCAELGFLKLLLGDAPVLRRVEIRGKGKLGEDTFKNLLKMRRASKDAEIVVR* >Brasy8G191200.1.p pacid=40082201 transcript=Brasy8G191200.1 locus=Brasy8G191200 ID=Brasy8G191200.1.v1.1 annot-version=v1.1 MGRHSTFVGGHVSPFPSSLAAFPDPVPTLPHPGPRSDPHAPTSAARCSGIDPVRSGDEICAVSPSFSHPASPHPHPAASASAARVPSAATLKIRHRHLQDPSPPSSPGLTSAPFVSR* >Brasy8G183500.1.p pacid=40082202 transcript=Brasy8G183500.1 locus=Brasy8G183500 ID=Brasy8G183500.1.v1.1 annot-version=v1.1 MDTRVPPVPMDRAKADELRRRGCDPEKAERVFANVLSYTHYALPDPPVSAAARFIALLPHDDLDRVSRLPDALLRNIVSRLPVDDAARTAALSRRWSEVWRSAPLVLVDADLVPTGTAVARAGARRVTSVVSRILAAHPGPFRCVHLTSSYMEDFPGLLTRWLQQLAVKGIQELVLVNRPWSLDLVLPSTFFGMATLTRLYLGLWKFPDTAGLPRAASFPNLLELGLCCVFLESRDMDFILDRSPVLETLCIQGNMFKMRLRLISHSLRCVQIIGSFFEEISVVDAPLLERLIHFEAWTPDNSCTKVNIGHAPKLHLLGYLELDPGNHVLEVGNNIIKAGTRASPSTMVPSVKILALRLRFGVRNDVKMIPSVLRCFPNVETLHIKSEGTDQPTGKLNIKFWHDSGTIDSIRSRIKLLVFHDFRGERSELAFLKFFFESALVLKKVVIVLANGHFTSMDDVHSKVASLRSMKRASEGSSVLVTGCSDPDEGYIRSFKRGCNFSLRDPFANY* >Brasy8G098600.1.p pacid=40082203 transcript=Brasy8G098600.1 locus=Brasy8G098600 ID=Brasy8G098600.1.v1.1 annot-version=v1.1 MPTLRRRNPEVHVKALEGIVSANTFFTVAVFIGITGTINPSSTVPLNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAAGDDFYGDGEQKPAMSDDCEEMPAWRAAGPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGSTFALSVLVVGGLLFYGCTVAYALTNYLP* >Brasy8G060000.1.p pacid=40082204 transcript=Brasy8G060000.1 locus=Brasy8G060000 ID=Brasy8G060000.1.v1.1 annot-version=v1.1 MAEHKEESVMDKISEKLHGGSSSGSDDESSSAAAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISGGVLAGATAIWLLFEVMEYHLLTLLCHCFILTLGILFLWSNASAFINKSPPNIPEVRIPEDLAVNVARSLRYEINRGFASLREIGQGRDLKKFLIVIAGLWTLSALGSSCNFLTLFYIVFMVLYTVPVLYEKYEDKIDAYGEKAMIELKKYYAIFDEKCLSKIPKGLSKDKKH* >Brasy8G195500.1.p pacid=40082205 transcript=Brasy8G195500.1 locus=Brasy8G195500 ID=Brasy8G195500.1.v1.1 annot-version=v1.1 MLTTDPLYGDLNICRDPGARVQSLLTQPPLFRVTTRVLFTRRLPLSRIAISSPPPLVSPEITRKMDGSYRRAGAGGGGGGSAPRSVEDIFKDYRARRSAILRALTTDVEEFYAQCDPDKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMKRSDWLALVAVHSDSWLVSVAFYYAARLTRNDRKRLFGMMNDFATIYEVVSGMRQSKDRERSGGIDNSSRNKLQAKHTSEAVPPPPPPRVENNVREADEGYDEDDGDHSETLCGTCGGIYSAEEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ* >Brasy8G162300.1.p pacid=40082206 transcript=Brasy8G162300.1 locus=Brasy8G162300 ID=Brasy8G162300.1.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.2.p pacid=40082207 transcript=Brasy8G162300.2 locus=Brasy8G162300 ID=Brasy8G162300.2.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.3.p pacid=40082208 transcript=Brasy8G162300.3 locus=Brasy8G162300 ID=Brasy8G162300.3.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.4.p pacid=40082209 transcript=Brasy8G162300.4 locus=Brasy8G162300 ID=Brasy8G162300.4.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.10.p pacid=40082210 transcript=Brasy8G162300.10 locus=Brasy8G162300 ID=Brasy8G162300.10.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.11.p pacid=40082211 transcript=Brasy8G162300.11 locus=Brasy8G162300 ID=Brasy8G162300.11.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.12.p pacid=40082212 transcript=Brasy8G162300.12 locus=Brasy8G162300 ID=Brasy8G162300.12.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.13.p pacid=40082213 transcript=Brasy8G162300.13 locus=Brasy8G162300 ID=Brasy8G162300.13.v1.1 annot-version=v1.1 MWKGSTWLYQNLNIHVRHGWLVDPKKNPEVFVALRGTELDEHQLSLVEAWQESTRADNLTTYGLEQLKAEAEEGDCFFLFLYGNMSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.8.p pacid=40082214 transcript=Brasy8G162300.8 locus=Brasy8G162300 ID=Brasy8G162300.8.v1.1 annot-version=v1.1 MSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.5.p pacid=40082215 transcript=Brasy8G162300.5 locus=Brasy8G162300 ID=Brasy8G162300.5.v1.1 annot-version=v1.1 MSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.6.p pacid=40082216 transcript=Brasy8G162300.6 locus=Brasy8G162300 ID=Brasy8G162300.6.v1.1 annot-version=v1.1 MSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.7.p pacid=40082217 transcript=Brasy8G162300.7 locus=Brasy8G162300 ID=Brasy8G162300.7.v1.1 annot-version=v1.1 MSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLETEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEGEYLILFQRYMSGHPAFKPPRVISRLCHEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G162300.9.p pacid=40082218 transcript=Brasy8G162300.9 locus=Brasy8G162300 ID=Brasy8G162300.9.v1.1 annot-version=v1.1 MSLAFCYLGELYVLDIRSDTFCSEFMWRKLEVTKGQGAYFTSSFSPSTNQPNANQAEARIIIKGVNDKQLKSKSEPPIAGNSCKQKPRNEVEENQEKSNVVELKTAPHECEFLICEQTEEYKSDTDEIKILPHENETIVFKEDLSPGNQRLPIPHASLIVVPIPSDLGRAPSKGYMEHMIPNLISAPPPTYPNQTKEGSYMLRRCKYWGRHICILCYKGTTDFPNPPPIVAIINYQALTSKSFIPPGGHFNQRMSSRVVTESELCTWWETFLKRTVKSLQGGTPEDTHLYDKILDYNHVMVLRGLIPSNLESGVFREKDESGSFMEAHKGTFQLYPKLSIPVRHGWLVDPEDPEVFEAISSTNKNELQASLANAWKDSTDKDNLTHYGLRKLYAEIKEGDYCLLYRFGYLSLTFHYLGTLYVLANEGDTFSNDHMWKTLEVTEGQGALFTSSFCPTTNQLNATPEDSVIIKGVEDRKRRNGRYFLDDENELVESKSEPPKTGTTCKQKPRNEVEENQEKSNDVELKIAPRECEVLISEQADEYKRDTDEIKIPPHEKETLISQQREESKGNDDEIGIPPRENGRIPFMEYLSLENRRLSIPYVSYDHEGDEIVVPITTVLGRAAGKGYVDDIIANHRAGKSWGGEWRIEDMCVIGEKFQIMKPATKDANSENMYMDFVNLSDELFDLYKCEGLAILPAYFDIFTQDLLERTDKTNKLEVRKVLRSLREQDSLGNRLVPQQLRTRLKNDSKIDQSWSHKADIAWGFNEVYKYNSNTWNRFDETHHYFFLEFLRHSDEHILDYTLVDGKQMIKDILQISLMIGALYEEEVPALIFEMMRSLNIPELATVWWDYEHTEYRKEPDYGIISLQALALDILSKQKACPVTPTPELTEEFLVTSMESKVEECSAKSTVEMVNVNNMQEIIVTCKEKKLSRAAVAELDGHAFLSDDHIDFFCTYFLLKAKNEKLQFVNAGTSQFMQAGSAVDAWAHIGNLKFDSKVTLFPVNDSGAVFKTDAGNHWSLLLLDATGSSPYFIHYDSSGISNRDRARIIAARISKRIYRKEMIPFKAGITPQQPNGYDCGVYVICMAKAITELLNTSTEVIPESLEDLTSTEVGTKSARDLRLDLVNLVNHLINEQADRADGDGQGVAATP* >Brasy8G203700.1.p pacid=40082219 transcript=Brasy8G203700.1 locus=Brasy8G203700 ID=Brasy8G203700.1.v1.1 annot-version=v1.1 MAAQIRTPVPPPRPTPLPTLRALEPTPPSRVCFRFRRLQFAFAASGGGDGGGPPAENGDGKPRAGPSLPALSEIRWGELLAPAPDNAAAVALTAALVWAGASLLLQLVLISASIFAAAVKYSFVAALLLFVLIALL* >Brasy8G203700.2.p pacid=40082220 transcript=Brasy8G203700.2 locus=Brasy8G203700 ID=Brasy8G203700.2.v1.1 annot-version=v1.1 MAAQIRTPVPPPRPTPLPTLRALEPTPPSRVCFRFRRLQFAFAASGGGDGGGPPAENGDGKPRAGPSLPALSEIRWGELLAPAPDNAAAVALTAALVWAGASLLLQLVLISASIFAAAVKYSFVAALLLFVLIALL* >Brasy8G145800.1.p pacid=40082221 transcript=Brasy8G145800.1 locus=Brasy8G145800 ID=Brasy8G145800.1.v1.1 annot-version=v1.1 MGSWVLLALVLGFFLVGAVRGSEPWSNETQVYATNGNSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGSNNWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMEKQLQFTGIMSNRPEENPDFHNWNRVKVRYCDGGSFTGDGADAASGLYFRGQRIWQAAMDDLMSQGMRSASQALLSGCSAGGASTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDVAGRREMREFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVLPNIQTPTFILNTAYDVWQLQQSVAPKTADPQGLWQRCKQNHAFCSGNQLQFLNGFRNEMLDAVKSFSGSRQNGIFINSCFAHCQSERQDTWYSNNSPRLGNRRIAEAVGDWFFERGDAKYTDCTYPCDGTCHHLVFRGRGL* >Brasy8G120900.1.p pacid=40082222 transcript=Brasy8G120900.1 locus=Brasy8G120900 ID=Brasy8G120900.1.v1.1 annot-version=v1.1 MTASLGSPAVGKTTDWRYLLASPQFRRLFCSGSKKNYENYYPNGKKGAPKGDGSNKDSKQDSNTDDQWNFQDAFGKQLQNFLAPLLLLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRTVPQANNQSQSTDTHIITSDAPGRQAPSKYKYFFNIGSVDSFEEKLEEAQETLGIDSHDHVPVTYVTEVNWFQEVMRFAPTAFLVGLLYFMGKRMQSGFNIGGGPGKGSRGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFMSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLTKLKLDNEPIFYSQRLAALTPGFAGADIANVCNEAALIAARTDETKITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMNKPYSNQTASIIDEEVREWVSKAYKKTVELVTEHKEQVAQIAELLLEKEVLHQDDLTRVLGERPFKAVELTNYDLFKQGFQDEDGKSPETAKNAEVPEDDGSPALPDVVVPT* >Brasy8G125900.1.p pacid=40082223 transcript=Brasy8G125900.1 locus=Brasy8G125900 ID=Brasy8G125900.1.v1.1 annot-version=v1.1 METEAHAAAREVRAMKDHIDLESSQSKKTPPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKEEAAAGSKAAAAAMPTSEKHSRPSFLRRSRLRGEEFARGRRSCDTDPRFSLDAARMSVDDVGLSWDGPRASWDGYLFGAGSGIGIGRAPLPMSSRLPPILSALEDSPAGIVERSDGQIPVEDDSQPEPDGDVNTPGGSAQTRDYYMDSSSSRRRRSLDRSSSSARRRSFEVPDPKPAPAAAAAITNAKESPIIGSSEFYHFHHAQDLFDHRFSSNSLAEDFPASLDAAFPGPAAKKPRRLRKAWSLWGFIHRRATGRGRNGGASDRAFSEPWPELRVRGYNARMQRCSSNASARSSFSSNSCGLGSSRRSFADGRCSGNVKRQREECVLERNRSARYSPPVHAADNGMLRFYLTPMRSASGRRTPGPGLPANGGRHLRSHSFARNMLRLY* >Brasy8G268500.1.p pacid=40082224 transcript=Brasy8G268500.1 locus=Brasy8G268500 ID=Brasy8G268500.1.v1.1 annot-version=v1.1 MGTGAAAAAERPKQPQRRGGHLWKRALLHFSLCFVMGFFTGFAPSSSSSWRSSAGGGLHPHGDQLAASRVAVNSNQHLRLPPPSPEGSAAAGGGGATVDLDDEEEEGRGPRRMLIVVTTTRGGGEERRRRRPELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHLAFRPEENFTTPAAEAHAQRNAALAHVEKHRLSGVLHFADAAGVYDARFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVVGWFSRNFNDGTTRTVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLRGIPSDCSQIMVWQYTVPSPIPLKKPAPKTHHRR* >Brasy8G154600.1.p pacid=40082225 transcript=Brasy8G154600.1 locus=Brasy8G154600 ID=Brasy8G154600.1.v1.1 annot-version=v1.1 MAAAAPAPHTFLRPRTSSPFVSSIPLLHRHRPPPSRLHPVQPDDPFSPSQLWIRRSMAKHKNTR* >Brasy8G121600.1.p pacid=40082226 transcript=Brasy8G121600.1 locus=Brasy8G121600 ID=Brasy8G121600.1.v1.1 annot-version=v1.1 MVAMSAASARAGGSAVSVVAELEGALLRDACTFPYFMLVAFEASGLPRFFALLALWPLLRLLELLMPGDRGMAMRCAAFVATAGVPRAELEAVARAVLPKFMADDVDPAAWAAFGACTGRRVVATRMPRVMVEWFAREHLGAHDVVGCELEYSRLRRSTGLVRGGGDEALAARLRALFRDGGRPDLGLGSRSEMARAFLPFCKEQLQAPFSTDHDVAKQPKCAPFRPVIFHDGRLVCRPTPLMSLVLLLWLPLGVFLAFVRITVGLIVPIQILPHIAPYLGGAVITRGQPPPPPPPSHTDTDSPNAASGVLFVCTHRTLMDPVVLAFVLGRRLAAVTYSLSRFSEILSPIPTVRLTRDRATDAARMRAELARGDVAVCPEGTTCREPVLLRFSALFAELSDRIVPVATDYRAQLFHPTTARGWKAMDPVFFFMNPRPVYEVTFLDQLPADATCAAGKSPVDVANHVQRMLAATLGFECTAFTRKDKYRVLAGNDGTVNANKPAPATKPGWQLRVKEVLGFLLH* >Brasy8G135300.1.p pacid=40082227 transcript=Brasy8G135300.1 locus=Brasy8G135300 ID=Brasy8G135300.1.v1.1 annot-version=v1.1 MGTGDEDQRSSGADEDVEDQEAGGGVVASLVGKARGFVAEKIAQIPRPEASLDRVAFKSVSREGITLHSHVDIGNPYAHRIPICELTYTFKSDGKVIASGTMPDPGWIGASGTTQLELPVNVPYDFVMSLMKDLGGDWDIDYVLEVGITIDLPVIGSFTIPVTTQGEMKIPTFRDLF* >Brasy8G035100.1.p pacid=40082228 transcript=Brasy8G035100.1 locus=Brasy8G035100 ID=Brasy8G035100.1.v1.1 annot-version=v1.1 MAGIDLNTVEEDEEESAAAGTGGDCSSSLHSQGSSAATASATPPPPPAQQQARPPSAVCLELWHACAGPVAPMPRKGSVVVYLPQGHLEQLGGDAAAPAAPVPPHVFCRVVDVSLHADASTDEVYAQLSLLPENEEAIRRTREGAEEGSGGETVKQRFSRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELAAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKTGSAFPGLYSQCSNLGTLANVAHAVATKGMFQIYYNPRLSQSEFIVPYWKFTKSLSQPISVGLRFKMRYESEDAAERRYTGIITGTGDADPLWRGSKWKCLLVRWDDDVECRRPNRVSPWEIELTSSASGSHLATPASKRLKPCLPHVNPEYMVPHGGGRPDFVESTQFRKVLQGQELLGYRTHDGTAVATSRPCEARNSQYVDERSCSNDVGNSVLGVPRLGARTPYGNPGFPYHCSGFGESQRFQKVLQGQEVFHAYRGSLVDGRIRSSGIHQQDGPYAPGVANKWHTQLHGCAFRGPPAPVLPSQSASSPPSVLMFQQANSKVSRFEFGHGHLDMNEDDRHARFDSTEGIGRTEQTLSLRPHLAAGEVMDGHVAVENKNVGTNSCKIFGISLAEKVRARDEMGCGDANYPSSIQSLKQQVPKSLGSSCAPVHEQRPVVGRAIDVQQWI* >Brasy8G265100.1.p pacid=40082229 transcript=Brasy8G265100.1 locus=Brasy8G265100 ID=Brasy8G265100.1.v1.1 annot-version=v1.1 MFFDRPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFDLTHQMALSSYL* >Brasy8G262600.1.p pacid=40082230 transcript=Brasy8G262600.1 locus=Brasy8G262600 ID=Brasy8G262600.1.v1.1 annot-version=v1.1 MVEPYGSPCSFAPLVGTYVAAFYTTFIVAVTEQLYKASHSGSTPQHLMFLSARASHENLIQPKLTDEASNTSIYCPCQDETEVLKTDVEAALQALNLAIFHKEWTEKEDRGGTADGHEK* >Brasy8G237500.1.p pacid=40082231 transcript=Brasy8G237500.1 locus=Brasy8G237500 ID=Brasy8G237500.1.v1.1 annot-version=v1.1 MAGNKFSSYHLAAALRREPDPAAALRLFLNPSSAAASPTPTPFRYSLRCYDLIISKLAAARLFPAMESLLSSIRTSSLSPREPLLCCVISSYGRARLPSAARRAFAHPAFPAPRTSRALNTLLHALLVCHTPLDELFSVCRDADVSPDACTYNILMRAAAASGSVDHACLLFDEMVQRRIAPTVVTFGTLVAAFCSCGRLDEAFGLKEMMARQYNVRPNAHVYASLMKGLCQRGDVDTAVRLKEEMAGNAELGLDSAIYATLVRALFRVGRKGEVVGLLEEMKGRGIAVDRVVHNAMIAGFCEDEMDLDAAFVVLDDMQKTGCKADAMSYNTLVAGLCKLGRWRDANELVEDMPRRGCSPDVVTYRMLFDGMCSVGEFHEANQVLDEMVFKGFAPSKDGVKKFVQGIEIEGDVVLLESALCRLAKVNALESSGWEKAVGGVLHEPAELMLDKQLDSVRMDVIHHVGVN* >Brasy8G032000.1.p pacid=40082232 transcript=Brasy8G032000.1 locus=Brasy8G032000 ID=Brasy8G032000.1.v1.1 annot-version=v1.1 MAPELWLGLIVLLCCYVMKYTRRSAGACRGPPGPRPLPIVGNLLQLRGGNLHHNLACLARAHGPVMTLKLGVVSTVIVSSRDAAWEAFDKHDRRIAARTVPDTRHAVAHADHSMVWLPCSDPLWKTLRGMAAAHIFSPRSLAAARGVRERAVQDMLDAFRRRAGQEVDIGHVLYHGMFDLLTKTLFSAAGQDTLRDLLEDIVALLAEPNVSDLYPLLRVLDLQGLRRWTSTHMKRVFHVLDKIIDARLGEGVGRHHQDVLDALLALMTAGKLSRRDLKAMLFDMLAAGTETTKITVQWAMAELVRNPGVMAAVRAEMNGLALQVVTEADVAKLPYLQAAVKESMRLHPVAPLLLPRLVVEDGVEICGYAVPTGATVIFNSWAVMRDPAAWERPEEFVPERFLGKTEVGMGMWGKEAKFIPLGTGRRLCPALPMVEILVPFMVASMLHALEWQLPQGMSPDQVDVTERSTQAAWRSGRCSCKMRCRRVADEHPTLPCKKTNSAHQDVLLWLRSTLTRGFPAQAVDHVRQAIHLW* >Brasy8G067400.1.p pacid=40082233 transcript=Brasy8G067400.1 locus=Brasy8G067400 ID=Brasy8G067400.1.v1.1 annot-version=v1.1 MDMESEPSTPTQSSYLSGCIASPAWLPAVHRSPARFHLLARDDGGRRAWRRLLRRLVRESKSMCSSPRPSRPMAQPTFQYDAASYAKNFDDGRRPSSASAAADGPAAG* >Brasy8G095500.1.p pacid=40082234 transcript=Brasy8G095500.1 locus=Brasy8G095500 ID=Brasy8G095500.1.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASVFTLVPVHFFRHILRPGCIIRLGSS* >Brasy8G095500.3.p pacid=40082235 transcript=Brasy8G095500.3 locus=Brasy8G095500 ID=Brasy8G095500.3.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASVFTLVPVHFFRHILRPGCIIRLGSS* >Brasy8G095500.2.p pacid=40082236 transcript=Brasy8G095500.2 locus=Brasy8G095500 ID=Brasy8G095500.2.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.4.p pacid=40082237 transcript=Brasy8G095500.4 locus=Brasy8G095500 ID=Brasy8G095500.4.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.5.p pacid=40082238 transcript=Brasy8G095500.5 locus=Brasy8G095500 ID=Brasy8G095500.5.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.6.p pacid=40082239 transcript=Brasy8G095500.6 locus=Brasy8G095500 ID=Brasy8G095500.6.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.7.p pacid=40082240 transcript=Brasy8G095500.7 locus=Brasy8G095500 ID=Brasy8G095500.7.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.8.p pacid=40082241 transcript=Brasy8G095500.8 locus=Brasy8G095500 ID=Brasy8G095500.8.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.9.p pacid=40082242 transcript=Brasy8G095500.9 locus=Brasy8G095500 ID=Brasy8G095500.9.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.10.p pacid=40082243 transcript=Brasy8G095500.10 locus=Brasy8G095500 ID=Brasy8G095500.10.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGSVHPGSGPFLQAYLEAGLYN* >Brasy8G095500.11.p pacid=40082244 transcript=Brasy8G095500.11 locus=Brasy8G095500 ID=Brasy8G095500.11.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGGSQQINADTTLQGY* >Brasy8G095500.12.p pacid=40082245 transcript=Brasy8G095500.12 locus=Brasy8G095500 ID=Brasy8G095500.12.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQVLVAVLSPDSCCPVNFQTASGGSQQINADTTLQGY* >Brasy8G095500.13.p pacid=40082246 transcript=Brasy8G095500.13 locus=Brasy8G095500 ID=Brasy8G095500.13.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQAVFTLVPVHFFRHILRPGCIIRLGSS* >Brasy8G095500.14.p pacid=40082247 transcript=Brasy8G095500.14 locus=Brasy8G095500 ID=Brasy8G095500.14.v1.1 annot-version=v1.1 MDRGGFSMPGSIECIRRRGSMENLRRRRRGRVNSDLNQAIKSPVSGGVGSLRSPTADVQAVFTLVPVHFFRHILRPGCIIRLGSS* >Brasy8G203500.1.p pacid=40082248 transcript=Brasy8G203500.1 locus=Brasy8G203500 ID=Brasy8G203500.1.v1.1 annot-version=v1.1 MARRGSANTWLVLLVSLLAVFLAPSCASAAEIKTSPTEHGFRLPLPSGVTGAESLAFNARGQGPFAGVSDGRVLRWGGSAVGWTTFAHHEDYRRIPLCTVPVAPSQETESICGRPLGLAFHQKPGDLYIADAYKGLLRVGSDGGEAEVLATGVDGVPFHFVNGIDVDQATGDVYFTDSSVTYPRRFNTEIMMNADATGRLLKYDARTKRVTVLKDGLPYPNGVAVSHDRTYVVVAHTVPCQAHRYYLKGAKTGQYELMADLPGYPDNVRRDGKGGYWVALNQEKARPDVATAAPVKHLVGVRLDGNGAQVEELTAAKGVTLSEVTERSGRLWLGSVELDYIGLV* >Brasy8G208300.1.p pacid=40082249 transcript=Brasy8G208300.1 locus=Brasy8G208300 ID=Brasy8G208300.1.v1.1 annot-version=v1.1 MPQQEVPDQIAAGWIAVEAPERPGGLQRSGSNSRLNAQAREFVPRIAAVAPSLPPPPPPPQAVIRVFAAPPPPPRAAFFAPPPPPMPFEFFAPVRVGGGFAAEEPEPEPERAAVEPAMDGLTDEKVQKITKQVEYYFSDINLATTEHLMRFISKDPQGYVPISVIAGFKKIKALVHNNSMLAAALRTSSKLVVSDDGKRIKRRQPFTESDMQEFQSRIVVAENLPGDPSYQNLMKIFSVIGSVRAIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYETPEDAEKASLELNDERNWRNGLRVRLLNTCMTKGPGKGKKGGSEGDGNGEEDVSTSNQSNERQPEGSFQLSDVLPEHLFDESSNDEGPKRGKGRGRGGRGRGRGNYHQGINYHQHNNNQYHNHNGSNHHQGSNRNGNHPVGTPPNNQLVKPEQQHQQQQPGANKLPPGPRMPDGTRGFAMGRGKPQPLLAGLCAVEP* >Brasy8G208300.2.p pacid=40082250 transcript=Brasy8G208300.2 locus=Brasy8G208300 ID=Brasy8G208300.2.v1.1 annot-version=v1.1 MPQQEVPDQIAAGWIAVEAPERPGGLQRSGSNSRLNAQAREFVPRIAAVAPSLPPPPPPPQAVIRVFAAPPPPPRAAFFAPPPPPMPFEFFAPVRVGGGFAAEEPEPEPERAAVEPAMDGLTDEKVQKITKQVEYYFSDINLATTEHLMRFISKDPQGYVPISVIAGFKKIKALVHNNSMLAAALRTSSKLVVSDDGKRIKRRQPFTESDMQEFQSRIVVAENLPGDPSYQNLMKIFSVIGSVRAIRTCYPQTPNGTGPATNRSAKLDMLFANKSLELNDERNWRNGLRVRLLNTCMTKGPGKGKKGGSEGDGNGEEDVSTSNQSNERQPEGSFQLSDVLPEHLFDESSNDEGPKRGKGRGRGGRGRGRGNYHQGINYHQHNNNQYHNHNGSNHHQGSNRNGNHPVGTPPNNQLVKPEQQHQQQQPGANKLPPGPRMPDGTRGFAMGRGKPQPLLAGLCAVEP* >Brasy8G046700.1.p pacid=40082251 transcript=Brasy8G046700.1 locus=Brasy8G046700 ID=Brasy8G046700.1.v1.1 annot-version=v1.1 MDANRRQSGIQQLLAAEQEAQQIVNAARAAKLARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETNEKIAQLKQQAATISPEVIQMLLRHVTTVKN* >Brasy8G046700.2.p pacid=40082252 transcript=Brasy8G046700.2 locus=Brasy8G046700 ID=Brasy8G046700.2.v1.1 annot-version=v1.1 MDANRRQSGIQQLLAAEQEAQQIVNAARAAKLARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETNEKIAQLKQQAATISPEVIQMLLRHVTTVKN* >Brasy8G046700.3.p pacid=40082253 transcript=Brasy8G046700.3 locus=Brasy8G046700 ID=Brasy8G046700.3.v1.1 annot-version=v1.1 MDANRRQSGIQQLLAAEQEAQQIVNAARAAKLARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETNEKIAQLKQQAATISPEVIQMLLRHVTTVKN* >Brasy8G031600.1.p pacid=40082254 transcript=Brasy8G031600.1 locus=Brasy8G031600 ID=Brasy8G031600.1.v1.1 annot-version=v1.1 MAAMVAVAAALFAVVFAGSSHAAVVEHTFVVSQVSVRHLCEDTVVTVVNGQLPGPAIEATEGDSVVVHVLNQSPVGVTIHWHGVRQRFNCWADGVGMVTQCPIAPNASFTYRFDVAGHEGTLWWHAHNSALRGTIHGPIIIRPKSGSYPGWFPKTPDVEVPIIIADFFQMDLGDLETDLETTSVAEPAAATINGRVGDPVYNCSGSGVAQDDDDGFVLDVEPGKTYLLRLVNAALFPEFYFKVAGHKLTVVAADANYVLPYTTQDAVAIAPGETLDVLMVADAPPGRNYYMAAQAIQPPKPQHQLPVYITRGQVRYKQQQQQHIKAEEPVMPDQHDQSISFFFRGNLTGRPDLHPLLPQVRGPVDERLFIILGLGTIHDRSLDVAYMNNVSFRLPRPLALLEARYHGVPVETEELPATPPTVFDFIDPALINLYNRSEKLLELEPTRKATTTRRLAYGSAVEVVFQSTSVQQNDPNPMHLHGHDMFLLAQGHGIYDAARDVAAFNLVDPQVRNTVMVPRLGWAAVRFVADNPGTWLLHCHFEFHVAMGMATVFEVANGPTPETALRPPPADFPRCHRGFAYA* >Brasy8G177700.1.p pacid=40082255 transcript=Brasy8G177700.1 locus=Brasy8G177700 ID=Brasy8G177700.1.v1.1 annot-version=v1.1 MASGNVSTVYISVIDDVIAKVRDDFISYGVGDAVLNELQALWEMKMLQCGAISGNIDRARAPAAPGAGGGGAPGAGSTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDMRNGMAMNGADPKTGRPSPYMQPPSPWMNQRPLGVDVNVAYEETREDPDRAVQPPQMTKDFLMMSSGKRKRDEYPGQLPSGSFMPQQDGNADEIVEFVVSKDNAQQVWNSIMNKQESATKASSTQETTMTQVLPQRDGIQDEYNDQFFFTGVPTEDYNTPGESSEYRTPTPAVATPKPRNDTGDDDDDEPPLNEDDDDDDELDDLEHEPDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKASGEFDF* >Brasy8G177700.2.p pacid=40082256 transcript=Brasy8G177700.2 locus=Brasy8G177700 ID=Brasy8G177700.2.v1.1 annot-version=v1.1 MASGNVSTVYISVIDDVIAKVRDDFISYGVGDAVLNELQALWEMKMLQCGAISGNIDRARAPAAPGAGGGGAPGAGSTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDMRNGMAMNGADPKTGRPSPYMQPPSPWMNQRPLGVDVNVAYEETREDPDRAVQPPQMTKDFLMMSSGKRKRDEYPGQLPSGSFMPQQDGNADEIVEFVVSKQFFFTGVPTEDYNTPGESSEYRTPTPAVATPKPRNDTGDDDDDEPPLNEDDDDDDELDDLEHEPDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKASGEFDF* >Brasy8G054400.1.p pacid=40082257 transcript=Brasy8G054400.1 locus=Brasy8G054400 ID=Brasy8G054400.1.v1.1 annot-version=v1.1 MATLNPFDLLGGDDNDDPAQLLAKAAVAAQKAEAKKPAAAAAGKGAQPAAAKLPTKPAPPAQAVMESRGGGAPSRGGFGRGERGFNRGGRGYGQNRDFGSEDTNGYRGGYGARAGGEEGAQDRERGPRPPYQGGRRGGFRDGEFGDDSERPPRRNHERHSGTGRGYEMKREGAGRGNWGTTSDEFLAQETEETLKLDEKAPIPEKQGAPEDAPQAEESKVSKDATANEEEEKEEDKEMTLEEFEKVLEEKRKALLALKSEERKVEIDKDLQAMQQLSTKKGNDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERFYSGRGRGRGRGSDRGGFRGGADGGGYRGPPAAPAIQDQNQFPTLGGK* >Brasy8G179000.1.p pacid=40082258 transcript=Brasy8G179000.1 locus=Brasy8G179000 ID=Brasy8G179000.1.v1.1 annot-version=v1.1 MRKAAAQGPEMETAAAASSCFRRATAGEEEAATLMDRLRQFKDAPRSEHWVCLKNKVRAAGEYAGLMTRQGVSMFGEPKIGPVFQQDAGAKEKVPLPPAASSAE* >Brasy8G003400.1.p pacid=40082259 transcript=Brasy8G003400.1 locus=Brasy8G003400 ID=Brasy8G003400.1.v1.1 annot-version=v1.1 MTHRVHRSVTRLFFSFLFFRAFPSPSSTLSPPTEAALHLCSGEPAHAHPRPLFPRAAPHNIAPVLEPACARGLREALVFPKSGGSVVHIDPPEPGLQRPPSP* >Brasy8G242000.1.p pacid=40082260 transcript=Brasy8G242000.1 locus=Brasy8G242000 ID=Brasy8G242000.1.v1.1 annot-version=v1.1 MSTITKREFDELAQHGSNYLAWATDVEIWLEGKQLLNPIGLGVTGAPAVTSAENAHALHVLRHHLCATLKNEYMAERTQAEAEWARLRFADFRSVGEYNSALHRICTRLQLCGVTVTDSQKIEKTLSTFHPDASSRSYRQVNYKEYSELIDILQVAEAHDEVLKKNFVVQPLGASARPEVQANAFKVRKPLKKKRGKKAEKDKKEAKVPKGPQHVADAYKARKAHEANLTIFGGGGSMAPQAAPPQMIPTPPSLATLQASTTHTTTTMDVEPSGDPPSGMDLGYPAALFTMEDVTENDIVFEVNGLMEEST* >Brasy8G272900.1.p pacid=40082261 transcript=Brasy8G272900.1 locus=Brasy8G272900 ID=Brasy8G272900.1.v1.1 annot-version=v1.1 MAHGPWSTVHGLRLAQPVISQPPEFQRQKEEKKTQPPPLSTPVLRTPSRLPPFTATPPSSPRCGAAPSSFHLATPPPLASSSLT* >Brasy8G259700.1.p pacid=40082262 transcript=Brasy8G259700.1 locus=Brasy8G259700 ID=Brasy8G259700.1.v1.1 annot-version=v1.1 MGSYKPSIQKMDKPSTARPSTAQWVSLLFSALAPPASSGRSVLEKMAGKAAAAKAAAATAAKWAEAKGYPWKDKLAKYKGELSKGVWGYWELGAWKPLGISGRQRARLRKEVLLAGEEWSYDAPRGEMRTKRKGHKVDRIAAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEEAAAAKST* >Brasy8G045900.1.p pacid=40082263 transcript=Brasy8G045900.1 locus=Brasy8G045900 ID=Brasy8G045900.1.v1.1 annot-version=v1.1 MKETTTAGAADGGEENGTKKLDKGGKVPFHDLLKNADATDVVLMLVGTVAAVASGMSQVVMAIIFGRMVDAFGGATPSTILPRVNKVVLEFVYLGVGTWPACFLQISCWAVTGERQAARTRSLYLESVLRQDMAFFDTELKGGHVISGISADTTLIQDAIGEKAGKFLQLLATFLGGLVVAFIKGWLLTLVMLSTIPPLIVAAGIVSKMLSKLSSEGLASYSDAGDIVEETIGSIRTVVSFNGEKKALALYKNLIKKAYKGTVKEGTIQGFGMGFLSFMNFSSFGLIVWYGTKLTLSKGYSGADIMNILFCIMVGARSLGDATPCIAAFEEGRVAAYRLFRTISRKPEIDYDDTTGVVLEDIKGDVELRDVFFSYPSRPEQLIFAGFSMHVSSGTTMAIVGESGSGKSTVINLVERFYDPRAGEVLIDGMNIKNFKLDWMRGKIGLVNQEPMLFMTSIKENITYGKEDATLEEIKRAAELANAARFIENLPNGYETAVGQHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQETLNKIMVGRTTVIVAHRLSTVRNAHCISVVSAGKIVEQGHHDKLVKDPSGVYTQLIRLQEAHQETGYQLDAGLSGPLTKKSQSLKQSISRSSADSALQASNPPVTLPGPIGLLEHVGADDEKHNKTTDGNVSKKAPMVRLISLNKPEMSVLLFGSLAAAIDGALYPMIGLVMASAASTFYEVPADKRQKDSTYWGLLCVGVGAIGLISKLANSLLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCIDAFNVRRLVGGNLSVMVQCTATLLCGITIAMSADWKLTLVILIVIPLMGLQGYAQVKFLQGFSQDAKTMYEEASQVAIDAVGNIRTVSSFCAEKRVMTKYIKKCEASKNQGIRTGIVGGLGFGFSYMMLYVTSALCYYVGAKFISQGNSNFGNVFKAYFALVLAMMGASQTSAMASDSTKANDSATSIFATLDRKSQIDSSSVEGSTMELVRGDIDFMHISFKYPSRPDVQIFSDFTLNITSGQTVALVGQSGSGKSTVIALLERFYDPDSGVILLDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVTEEEVVQAAKAANAHEFISSMPQGYNTTVGGRGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDADSERIVQDALDRIMVGRTTVIVAHRLSTIQGADVIAVLKDGTIVEKGRHDTLMGITGGAYASLAELRPNAT* >Brasy8G045900.2.p pacid=40082264 transcript=Brasy8G045900.2 locus=Brasy8G045900 ID=Brasy8G045900.2.v1.1 annot-version=v1.1 MKETTTAGAADGGEENGTKKLDKGGKVPFHDLLKNADATDVVLMLVGTVAAVASGMSQVVMAIIFGRMVDAFGGATPSTILPRVNKVVLEFVYLGVGTWPACFLQISCWAVTGERQAARTRSLYLESVLRQDMAFFDTELKGGHVISGISADTTLIQDAIGEKAGKFLQLLATFLGGLVVAFIKGWLLTLVMLSTIPPLIVAAGIVSKMLSKLSSEGLASYSDAGDIVEETIGSIRTVVSFNGEKKALALYKNLIKKAYKGTVKEGTIQGFGMGFLSFMNFSSFGLIVWYGTKLTLSKGYSGADIMNILFCIMVGASGTTMAIVGESGSGKSTVINLVERFYDPRAGEVLIDGMNIKNFKLDWMRGKIGLVNQEPMLFMTSIKENITYGKEDATLEEIKRAAELANAARFIENLPNGYETAVGQHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQETLNKIMVGRTTVIVAHRLSTVRNAHCISVVSAGKIVEQGHHDKLVKDPSGVYTQLIRLQEAHQETGYQLDAGLSGPLTKKSQSLKQSISRSSADSALQASNPPVTLPGPIGLLEHVGADDEKHNKTTDGNVSKKAPMVRLISLNKPEMSVLLFGSLAAAIDGALYPMIGLVMASAASTFYEVPADKRQKDSTYWGLLCVGVGAIGLISKLANSLLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCIDAFNVRRLVGGNLSVMVQCTATLLCGITIAMSADWKLTLVILIVIPLMGLQGYAQVKFLQGFSQDAKTMYEEASQVAIDAVGNIRTVSSFCAEKRVMTKYIKKCEASKNQGIRTGIVGGLGFGFSYMMLYVTSALCYYVGAKFISQGNSNFGNVFKAYFALVLAMMGASQTSAMASDSTKANDSATSIFATLDRKSQIDSSSVEGSTMELVRGDIDFMHISFKYPSRPDVQIFSDFTLNITSGQTVALVGQSGSGKSTVIALLERFYDPDSGVILLDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVTEEEVVQAAKAANAHEFISSMPQGYNTTVGGRGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDADSERIVQDALDRIMVGRTTVIVAHRLSTIQGADVIAVLKDGTIVEKGRHDTLMGITGGAYASLAELRPNAT* >Brasy8G045900.3.p pacid=40082265 transcript=Brasy8G045900.3 locus=Brasy8G045900 ID=Brasy8G045900.3.v1.1 annot-version=v1.1 MKETTTAGAADGGEENGTKKLDKGGKVPFHDLLKNADATDVVLMLVGTVAAVASGMSQVVMAIIFGRMVDAFGGATPSTILPRVNKVVLEFVYLGVGTWPACFLQISCWAVTGERQAARTRSLYLESVLRQDMAFFDTELKGGHVISGISADTTLIQDAIGEKAGKFLQLLATFLGGLVVAFIKGWLLTLVMLSTIPPLIVAAGIVSKMLSKLSSEGLASYSDAGDIVEETIGSIRTVVSFNGEKKALALYKNLIKKAYKGTVKEGTIQGFGMGFLSFMNFSSFGLIVWYGTKLTLSKGYSGADIMNILFCIMVGARSLGDATPCIAAFEEGRVAAYRLFRTISRKPEIDYDDTTGVVLEDIKGDVELRDVFFSYPSRPEQLIFAGFSMHVSSGTTMAIVGESGSGKSTVINLVERFYDPRAGEVLIDGMNIKNFKLDWMRGKIGLVNQEPMLFMTSIKENITYGKEDATLEEIKRAAELANAARFIENLPNGYETAVGQHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQETLNKIMVGRTTVIVAHRLSTVRNAHCISVVSAGKIVEQDDEKHNKTTDGNVSKKAPMVRLISLNKPEMSVLLFGSLAAAIDGALYPMIGLVMASAASTFYEVPADKRQKDSTYWGLLCVGVGAIGLISKLANSLLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCIDAFNVRRLVGGNLSVMVQCTATLLCGITIAMSADWKLTLVILIVIPLMGLQGYAQVKFLQGFSQDAKTMYEEASQVAIDAVGNIRTVSSFCAEKRVMTKYIKKCEASKNQGIRTGIVGGLGFGFSYMMLYVTSALCYYVGAKFISQGNSNFGNVFKAYFALVLAMMGASQTSAMASDSTKANDSATSIFATLDRKSQIDSSSVEGSTMELVRGDIDFMHISFKYPSRPDVQIFSDFTLNITSGQTVALVGQSGSGKSTVIALLERFYDPDSGVILLDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVTEEEVVQAAKAANAHEFISSMPQGYNTTVGGRGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDADSERIVQDALDRIMVGRTTVIVAHRLSTIQGADVIAVLKDGTIVEKGRHDTLMGITGGAYASLAELRPNAT* >Brasy8G045900.4.p pacid=40082266 transcript=Brasy8G045900.4 locus=Brasy8G045900 ID=Brasy8G045900.4.v1.1 annot-version=v1.1 MKETTTAGAADGGEENGTKKLDKGGKVPFHDLLKNADATDVVLMLVGTVAAVASGMSQVVMAIIFGRMVDAFGGATPSTILPRVNKVVLEFVYLGVGTWPACFLQISCWAVTGERQAARTRSLYLESVLRQDMAFFDTELKGGHVISGISADTTLIQDAIGEKAGKFLQLLATFLGGLVVAFIKGWLLTLVMLSTIPPLIVAAGIVSKMLSKLSSEGLASYSDAGDIVEETIGSIRTVVSFNGEKKALALYKNLIKKAYKGTVKEGTIQGFGMGFLSFMNFSSFGLIVWYGTKLTLSKGYSGADIMNILFCIMVGASGTTMAIVGESGSGKSTVINLVERFYDPRAGEVLIDGMNIKNFKLDWMRGKIGLVNQEPMLFMTSIKENITYGKEDATLEEIKRAAELANAARFIENLPNGYETAVGQHGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDSESERVLQETLNKIMVGRTTVIVAHRLSTVRNAHCISVVSAGKIVEQDDEKHNKTTDGNVSKKAPMVRLISLNKPEMSVLLFGSLAAAIDGALYPMIGLVMASAASTFYEVPADKRQKDSTYWGLLCVGVGAIGLISKLANSLLFAIAGGKLIERIRVLTFQNIVYQEAAWFDHPANNSGALGGRLCIDAFNVRRLVGGNLSVMVQCTATLLCGITIAMSADWKLTLVILIVIPLMGLQGYAQVKFLQGFSQDAKTMYEEASQVAIDAVGNIRTVSSFCAEKRVMTKYIKKCEASKNQGIRTGIVGGLGFGFSYMMLYVTSALCYYVGAKFISQGNSNFGNVFKAYFALVLAMMGASQTSAMASDSTKANDSATSIFATLDRKSQIDSSSVEGSTMELVRGDIDFMHISFKYPSRPDVQIFSDFTLNITSGQTVALVGQSGSGKSTVIALLERFYDPDSGVILLDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVTEEEVVQAAKAANAHEFISSMPQGYNTTVGGRGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDADSERIVQDALDRIMVGRTTVIVAHRLSTIQGADVIAVLKDGTIVEKGRHDTLMGITGGAYASLAELRPNAT* >Brasy8G127100.1.p pacid=40082267 transcript=Brasy8G127100.1 locus=Brasy8G127100 ID=Brasy8G127100.1.v1.1 annot-version=v1.1 MSHSHPQGDTIPLHPSSAQSDMDEIESLIHAAPTAAAVLPARPSSPPRASIPISNSPPVSAPTSSKPQPVPPFSSAVSSSSPPPRSASVTIPIAADGFGAPPNTLTEPVWDTVQRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAMICLMKDNVVLKIVVVAVTIAWSSWAAYPLMSTAVNPRRKALALYPVFLMYVSVGFLIIAIN* >Brasy8G032100.1.p pacid=40082268 transcript=Brasy8G032100.1 locus=Brasy8G032100 ID=Brasy8G032100.1.v1.1 annot-version=v1.1 MAVVTSSHTAVQSNEAPEQLETYPNTKPFSPNTAFSQEIVPEIPDVTSAKEDKEPNEAVVLGFATPAPVKKGPIISSPGTLNKLYSETGVCFRLMEPEDKININCRSLAEEFTDSPIQKRWCPPSKMEKSPWSKGIAHPTRDPTLTVKFYEWLATTDGPDIERPWIMHTTARYLEVTGTDLKNVFCRGEEMSYDLFDLAIRRLNELDEKMYMTKQVNRWRHIMESDFAMLAIALEDTTDTKSIRNQWIGSCIKHAIHACRMVTVPALVRKTWCAYFWDMKLKRVHVLDPLFKTNEAAYFQELHKQNLKAITHALTSCAKLFFNGWCPEWESWEPCYVAPRLPNVTSNESGITTLRAILEFNGATFVTGTDMDSPEQMNKWMLYEVLCLSGNCAKLPGCFVQCIDD* >Brasy8G002900.1.p pacid=40082269 transcript=Brasy8G002900.1 locus=Brasy8G002900 ID=Brasy8G002900.1.v1.1 annot-version=v1.1 MASAGPTLESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNIGVVGEVDEELDAALDMSKLRAQPLKPVIH* >Brasy8G119400.1.p pacid=40082270 transcript=Brasy8G119400.1 locus=Brasy8G119400 ID=Brasy8G119400.1.v1.1 annot-version=v1.1 MDCVLLSSHLSSHAVVNARIQQGSVNLNNIGFAVIRKGCLKLRCYAIGDAGRLNDPLEENKNGPVLQGLNGSGSSFTTLGAEITQETGDFFVSDAEGDPDKPTEGFSSIEDAINSLREGKFVIAVDDENGDNGDLVMAASLASAESIAFMIRNGSGIISVGMQEEDLERLMIPMMSPVTEIGDISAAASTVTVDARVGISTGVSAADRAKTILALASPDSKPSDLRRPGHIFPLKYRNGGVLKRASHTEASVDLVALAGLRAVSVLSTIIDPNDGSMAGTPALKQMASEHDIPMVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGEQLDLAMRLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDQGSDTVEANVELGLAIDSREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVMSPITKENQKYLETKRTKMGHVYGSDLPGGLLKEFLNPEDIGNNRDD* >Brasy8G291200.1.p pacid=40082271 transcript=Brasy8G291200.1 locus=Brasy8G291200 ID=Brasy8G291200.1.v1.1 annot-version=v1.1 MATISDIGVAATFNIVTAIAFLLVFAFLRLQPINDRVYFPKWYLKGMRESPSSAGAAVSKYVNLNMRSYLKFLSWMPAALKMPEDELIDHAGLDSVVYLRIYRTGLKIFVPITILAFAVLVPVNWTNETLGSMKVFHSDIDKLSISNIPNGSKRFITHLVMAYVFTFWTCYVLMKEYENVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESVSELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMRNWLDYYQLKSERKSKRPTTKTGFLGCFGSEVDAIDYYKSEIEKIGKEEAEERKKVVKDPKSIMPAAFVSFRSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAFVQTLANLEGIEKALPFLKPLIEKKPVKSFIQGFLPGIALKIFLIVLPSILLLMSQFEGLISQSSLERRSASKYYIFLFFNVFLGSIITGSALEQLNTFLHQSANDIPRIIGESIPMKATFFITYVMVDGWTGVAGEILRLRALILFHLKNSFLVKTEKDREEAMDPGSICFYWSEPRIQLYFLLGLVYAVVTPLLLPFILVFFALAYVVYRHQIINVYNQRYESGAQFWPSVHLRIIVALIVSQLLLLGLLSTKDFEEATPALIVLPVITFWFHRYCKNRYEPAFVRNPLQEAMRKDTLERAREPNFDLKAYLADAYLHPVFKSDLDGVDKFYVADDPGAEEVIVATKRQSRRTTPVQSKHDGSSSDRLLLPEFVQER* >Brasy8G154200.1.p pacid=40082272 transcript=Brasy8G154200.1 locus=Brasy8G154200 ID=Brasy8G154200.1.v1.1 annot-version=v1.1 MGSLNDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSIGTVLSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLGFIVLVPVNWTSGTLEKEKDLNYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFYVLYHEYKVITTMRLRFLANQNRRPDQFTVLVRNVPPDPDETVSQHVEHFFTVNHWDHYLSHQIVYNANDLAGLVEKKKGLQNWLVYYENQHAHKPAKKPTMKTGLWGLWGQRVDAIEYYKAAIEELCKQEDEERQKVMTDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPYVELSVRRLIMSVSLFFLTFFFMIPIAFVQSLANLDDIEQRLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPTFLMTMSKIEGHISVSGLDRRTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQPASKIPETVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTEQDREQAMNPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGGLFWPDVQRRIVAALIVSQILLLGLLSTQEAEKSTVALLPLPVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLERANDPTLNLREYLKDAYVHPVFRKNDMYELVAMDEEEKNSLVATRRQSRMNTPVESKFNSSSGTNEGESSRMRPT* >Brasy8G112700.1.p pacid=40082273 transcript=Brasy8G112700.1 locus=Brasy8G112700 ID=Brasy8G112700.1.v1.1 annot-version=v1.1 MEIVTARSCSSGERPQQGRRRKASDGHVVAQLLDSPLPTPRRSCCGSAADTPRSVRGAGGASSPQRTYVPFSWESSPGVPKVASGGNGMPLAGRDVMPPRPPPGRGTGIGMGTPWHYSTRASYHGHITTDATSSVGDDEDTFSDALDRISSSDRLAALSARLSSIDGRGGASRRLSSFIMDRFLPAANAIATTSTEIKKRDKKSPRRRRATPRFSKQEDEEAAAAAWREAHALPSLRREQNYQHADHTQHAWRLEEEEPRGDDETSPVPSPRACGFLVLFPWSVKPVVLCGFPKSPAPARPVRTPRAEASPPRRSTTLGDVLVKESRLRSGIGSGRMSNWYEEKSGSGKEWSSASAGPGLGMSILGTSKRYCADARKALSRLARSATDNGGGGGNSPRIGRERRSGKPADSTLGSTSGRMPQLKPPSESWLSHARGSNAVNNRR* >Brasy8G255900.1.p pacid=40082274 transcript=Brasy8G255900.1 locus=Brasy8G255900 ID=Brasy8G255900.1.v1.1 annot-version=v1.1 MKTFDPWPVFFRREWRRNWPFLAGFAVTGILITKLTAGFTEEDLKNSKFVQEHRR* >Brasy8G220800.1.p pacid=40082275 transcript=Brasy8G220800.1 locus=Brasy8G220800 ID=Brasy8G220800.1.v1.1 annot-version=v1.1 MWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA* >Brasy8G046200.1.p pacid=40082276 transcript=Brasy8G046200.1 locus=Brasy8G046200 ID=Brasy8G046200.1.v1.1 annot-version=v1.1 MARVDVELRLGLRLGPRDRALVEGFEAISAMADRVGIVSAFRERAKVVFREMEEAKACARRGRAYRSSGTIYAACLAVACRSEGPGSANTLKDIAAATRHPAGAGAAMREIRKLTGRIRQRLREEGAAGPGIKAAGVGAVRASSYVRRFGPLLGMGDRDLAVAEEAARRLEESDLDVRHNGQSVAAGIVYLAANAAADHPGRVVVTYKDVAAATRVATTTLHLVCKKLRPHAQMLFG* >Brasy8G294600.1.p pacid=40082277 transcript=Brasy8G294600.1 locus=Brasy8G294600 ID=Brasy8G294600.1.v1.1 annot-version=v1.1 MQLNYLIGAAQKPPATSISPPRLSSSQTQFPSAGTSNTVPPLEDLQGQSQQKLQAILLLTAFFPQFFQTRSRPCCSCTCSLPPQTPPGTYGTQADTCQLRSLQRIRLQELPLLQHFHYNDATFTAPMWKELHVRGCWSLQRLPRLQDQPEKVKVSGERRWWDKLHWDSRSRCNSYEPKLPPSLL* >Brasy8G193800.1.p pacid=40082278 transcript=Brasy8G193800.1 locus=Brasy8G193800 ID=Brasy8G193800.1.v1.1 annot-version=v1.1 MESTRKEAPGQQREEKQQPGGKVYSKMLSKDAAAALAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSAAGAMQPPLTPPPSYYAAAGTNKQHRGGSYSGTRWLAFLRRKRRTSPCSSSASWSSSSTASMSPVFTVQQSSPAARRGRGHHRRAFSACDEMEAAAECERRRRGCGVALAVRNALATVVGRRATGAAA* >Brasy8G158200.1.p pacid=40082279 transcript=Brasy8G158200.1 locus=Brasy8G158200 ID=Brasy8G158200.1.v1.1 annot-version=v1.1 MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA* >Brasy8G036500.1.p pacid=40082280 transcript=Brasy8G036500.1 locus=Brasy8G036500 ID=Brasy8G036500.1.v1.1 annot-version=v1.1 MRFLDPADIVRCASTCRRWGRVVADASAVLCRSSRPLPPLPSFFLGFFHGDAASDVARRRKRKRHDDADIGNHGPRFVPIHSGARLLGSPDGISSGDLLPAAFHGIVGHGFFEHSRPVASHDGRLVLELQGAGAGLELCLCVCNPMTGHMVLLPPLPGSGPYICALLTADDPAFFRVLLVYNRSQGTRTFLRAYSSDTARWSAEVRRTPAIKSEKLRKMGQAIVLRGVAYWPLGFTAMGVRLDDDDTPVEVPMPPPYGIGETPHKRRLLCVTRDGRLADAAAAICRDRFSVSISVLEPPRPSVGDPGRWETVRPAGINRSIVVDESMTLRGVAGIHLRWFCQRTGKLLFTLGEGSTRVGTFALDVDTRDAEELIGGLHCASWKNFVGYEMDAAAYLASIVPSH* >Brasy8G298100.1.p pacid=40082281 transcript=Brasy8G298100.1 locus=Brasy8G298100 ID=Brasy8G298100.1.v1.1 annot-version=v1.1 MVPTKKMKGCKAMANSRGMCIFINNSYKLMHINVQADFAGALDYEEELETARESSSLEKSYEPPDGQVITVGAERFRCTEILFQPAMAGSRSSGIHQTICDSIMKCDIDIRKDLYGNILLTGGTTIFPDLADRLSKKISAIAPSGMKIKVVSPPERKYSVWIGGSILASLSTFQQMWISKAEYDENGPAIVHRKCF* >Brasy8G158300.1.p pacid=40082282 transcript=Brasy8G158300.1 locus=Brasy8G158300 ID=Brasy8G158300.1.v1.1 annot-version=v1.1 MGQATSSPDNYEHRQRAVDEELAAERRAKALWRDQLRKPRGKDLPLLETYREVTFHEACGGSDALLSQLSALDASTPSCVYAKHVTKHEAKLTNGRFSVDADSPGRHIAETFTDRELDNLISDCYESKGREMPVFDRDGRRYDFEFVYFENKWSNGVYRLVGSGEEYKRFMVDNNVVRDFGELGSKMIMQMFAFRSPKLLPKGKGFHGHPDGALGMVILFSESNQPDEDKDDQGNCFSEVPDDDFMTINEMLEHYPVAPEGYTLGYAEC* >Brasy8G037700.1.p pacid=40082283 transcript=Brasy8G037700.1 locus=Brasy8G037700 ID=Brasy8G037700.1.v1.1 annot-version=v1.1 MARAAVVAVALAAVLVSVLAPAAMAQMDSCSGELPPSLVGNYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWMGRKGLPHIRQFALRGKSGSRKDVAVDRGFLVSNDHDHTVVVSQAKIFVAFQLRFPYRLSHQHIILAFGSGIPVNNKLTKHQDKTSFTFDFTTGKTFADGAFPYALRRAHGGLNLFAWGILMPIGAILARYFRRMDPLWFYLHVGIQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQVLAFFLRPNTDSKYRKYWNWYHHWAGRLTLFFAAVNIVLGIHVGGNHSSWQIGYGFNLAILLVAVIALEFMLWTRWSKDSAPTPTY* >Brasy8G037700.2.p pacid=40082284 transcript=Brasy8G037700.2 locus=Brasy8G037700 ID=Brasy8G037700.2.v1.1 annot-version=v1.1 MARAAVVAVALAAVLVSVLAPAAMAQMDSCSGELPPSLVGNYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWMGRKGLPHIRQFALRGKSGSRKDVAVDRGFLVSNDHDHTVVVSQAKIFVAFQLRFPYRLSHQHIILAFGSGIPVNNKLTKHQDKTSFTFDFTTGKTFADGAFPYALRRAHGGLNLFAWGILMPIGAILARYFRRMDPLWFYLHVGIQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQVLAFFLRPNTDSKYRKYWNWYHHWAGRLTLFFAAVNIVLGIHVGGNHSSWQIGYGFNLAILLVAVIALEFMLWTRWSKDSAPTPTY* >Brasy8G294500.1.p pacid=40082285 transcript=Brasy8G294500.1 locus=Brasy8G294500 ID=Brasy8G294500.1.v1.1 annot-version=v1.1 MEPSFWEKWPDLAREKWRKDLRDKDVWLNRDRWSYLNRYVRGPYVWWSFGVGPVASFTKAISIRAVEVLHLLYDGGYGPFKHVIKVDLEPATTADGGGIMSIKHQLTIAAAKELGLLDEEYNRLKQRYEALHYFSYGSYDAVAGVSSDLESYVNDELGPQFGSQLSTKRYLLVVENLQWPIELGSLTVDVGLPPPMWTNSRWFISATSREAYGKSVYDDVIISIGTHEAVVSLSLRALHHSSEHMFNMIRHQENKEYWHHIALLCFHYAMVIFAKQSQAAVPVTSDELIHQWAAQGILPRMPINEEAETGTSSSRCAYVHQVGMVILEAFEKYSLLQLPYSPAHDADGATATAAQFFAYHGLITQDIAVEALFENKKNWISFVDDHGWHVSREWLSQEETRGTTALILRGCSPQSFIFSKLDLLLLKLCFLRILDLSYTPLKSLPSSIGSLLNLRLLSLRGCRDLKTLFSSSTTSDSSTNTGSYSPLSTLYQLEILDTNGVPFSHLTQDVGNKKSNLIYLDMSYSEVTIFPANFFQDMLNLEELILVKCSNLVEIPPSMAALSSLTTLEITGTQIQYMSHKIFEAMQKLKSLKLIDNKKLISFTRPISRAHGSIDVHTEWYESTMLEEIKLEGHPTLISFLLTGSPHIRRLSLRGCRKLESVEVMHLDALEELDLSGTAIKELSAGIPNLPQLSRLLLVGVSSLRRFPWHMLERLPNVFYLDHCAEGIGNHSDQVAQVCVSDSRFFYSFNDTCVRLVNDGRFFQRFYVRVAPCITNCKQLQDEENMLHSKLQVLVQKQSTYVRVYSMCLAEKVVIASALTVPLHRTERHVEITGMQSSLGGLHHLLNVTKSISVTCDASTKVFHSLSNFVDLEECDLRWCHKMEGVFYDTSVKNVRNVHICNLKSLVSVCHKYGSIAFSSLAHLHLEDCPRLEHVLTDRTTLPCLKTLDILFCYNLKTIFIIRHAYARQAADIFQLPSLQRIRLQELPLLQHFHDDATTLTAPMWKELHVRGCWSLRRLPRLDVQPAVKVKVSGERRWWSKLQSSHRDSYEAKLPPKFASFDERAVMTSYLR* >Brasy8G017200.1.p pacid=40082286 transcript=Brasy8G017200.1 locus=Brasy8G017200 ID=Brasy8G017200.1.v1.1 annot-version=v1.1 MDVQAEESSWRPRRLLQAGGAARDMPERDVTTPLVTVPVTNYPTATPAGTPLPVAAPSLAHPAAAMAGSWCVASPSAGATALQVALDYACGPQGGADCSAIQPGGACAIPDTVRDHASYAFNSYYQKNPVQTSCDFAGSAILTTTDPSTSSCKYPATSTGASILNTTNPLTPAFGSPPAGGYYNSPPGYGNSPPIYGSMSPPDYGGSISAAVATAMPGGKKMTALSSLACFIIATVCLNLNL* >Brasy8G049400.1.p pacid=40082287 transcript=Brasy8G049400.1 locus=Brasy8G049400 ID=Brasy8G049400.1.v1.1 annot-version=v1.1 MGAFGGPGGGGIPVFSTPPPRGKIPRPSTSGKYPRLAPGEALDRRAQPSSSSPLWRPGAPPPPPLPPATASDRRLQATLTPPSGDRERPRRLRLPPATASPDASLRRSRGSSPLRRSRIWRARTSE* >Brasy8G186900.1.p pacid=40082288 transcript=Brasy8G186900.1 locus=Brasy8G186900 ID=Brasy8G186900.1.v1.1 annot-version=v1.1 MWKQFLGKLSGKSPKSGSGGAGGWGSPPAKPPTSYGANGVQWDSMRASPPLPAVAGADGETREDVFLQKLNVCCVLFDFSTDRGRDSPERERKRQVLLSLVDCLGAAEEPLTEAMVSACVRMFAINLFRVFPPKVRPGGGGTTEADEDDPFFDPSWYHLQVVYELLLRFVTSPVVDVKVARKYMDNSFISRLLDLLDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVSETDRHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKIVGMYLPQLTYCITQFIDKEPKLSGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMPEFQKCMVPLFRRVAHCLNSSHFQVAERALYLWNNEHLFDLISQNHQVILPIIYPALERNARLHWNQSVLNVTMNVRKMFFDMDQKLLLACEINLQEEEEKQAASEERRRLIWEHLERNAAYHPVTGDINFAVLPTSSLVAPTMT* >Brasy8G048200.1.p pacid=40082289 transcript=Brasy8G048200.1 locus=Brasy8G048200 ID=Brasy8G048200.1.v1.1 annot-version=v1.1 MSEETTAARVGARDGAGRRAWEFKRDLAAGALMGGAVHTVVAPIERVKLLLQTQDGNAALLGRSRRFRGFFDCVGRTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFRSIALTNFVAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDTRQFKGIRHFIQTIYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDTLVPLESPLWQRWATAQAVTSTAGLISYPLDTVRRRMMMQSGMEVQMYSGTLDCWRKVYKAEGVKSFYRGALSNMFRSTGAAAILVLYDEVKKFMNGG* >Brasy8G172900.1.p pacid=40082290 transcript=Brasy8G172900.1 locus=Brasy8G172900 ID=Brasy8G172900.1.v1.1 annot-version=v1.1 MKKRASSLPSLLLPMFGLTVVVATFATGDDQFVFSGFTQSSLKLDGSAVVTQGGLLDMSNGTNNVKGHAIYPTPLHFRDSSSGKVQSFSVSIIFSIVGTYPDVNANGMAFFIGPSKNFSDALPTQFFGILKQKSNDNLLVIEFDTFQNPEMQDTNDNHIGMDINSTFSLQSHMAGFYEDSSGAFKNLTLNSGMELQLWVDYEEEETRINVTLAPVYVATKPLKPLLSATYDLSTVLTETAYIGFSSTAELMNARHYILGWSFGMNRQAPSIDISKLPKLPRVGPKAESKLLVIILPIATAALILCIGSLLILMVRRWRRFREVREDWESEFGPHRFSYKDLFYATQGFKNKNLVGEGGFGKVYKGVLQLSKKEIAVKRMISHESRQGMKEFITEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDYMSNGSLDKYIHCEDQYKPTLSWAQRFQVIRGIATGLLYLHEKWEKVVVHRDIKASNVLLDNEMNGRLGDFGLAKLYDHGTDPQTTHMVGTMGYLAPELARTGKASPYTDVYAFGMFLLEVTCGQKPTIKQDTEVNQVFLVDWVLEHWNNQLLSKTVDTRLHDDYNIGEACLVLKIGLLCLHPFPSSRPCMREVMQYLNGEIPLPELRPTQLSTDMQGLMQDSGFNLSVTSFPQLMSSFSTMSDFSRGR* >Brasy8G136800.1.p pacid=40082291 transcript=Brasy8G136800.1 locus=Brasy8G136800 ID=Brasy8G136800.1.v1.1 annot-version=v1.1 MSRRSGRHFLPLLRRRHLHRSVHLPYTSAPIPPDTIPSTSIPTSPSPPPPALHRARWPRLWYPTSSQLPPFTISHLRAAVSSLAASLLALPDPDPDPSPALHAHSFPTLLAVSPLASLELLSLLRPKPHLGLAVFSFRRTLAPPPTLPEFTLAISLASRARDLATAGILFADASSSCCPDQALYNALMSAYMHSGLVDRCLETFQDLQRDSRCGPPNADSYNILIALFGRSLLVDHMEATLQSLDASGQPRTIGTYNAIIAGYLTAWMWDKMEAMFHEMVESQVDPDTTTHLLMLRGYAHAGMIYKMERAHERAGKIDMVHIRAMLCAYCKFAHVDRIQKIEELLQKLGPEDYRPWLNVLLIRVYANEGLVDGMERRITEALERNTTVTTTHVMRSIITSYFQCDAVDRLAQFVQQAEEAGWKLCRSLYHCKMVMYGKHHRLKEMHGVLEEMEYFRFDRTKKTFWIMHKAYVSCGRRSEADTILGMMWKAGFGYPFVQSHDSSAPLVGKISNFAF* >Brasy8G274200.1.p pacid=40082292 transcript=Brasy8G274200.1 locus=Brasy8G274200 ID=Brasy8G274200.1.v1.1 annot-version=v1.1 MVPGERAWKWMEKLQSGRRRRPRPWPPSAAAEQIGEGRGRRTARPSPRPPTAAAAAMAEPTARVELLEEEEEEEEEAQPSTMLLEEEEEEEEAHPSTELLEEEEEEERSWVSISDGDGGGGCPLPSGDLSCSREPPSLCRRVLQGGSGKLLHLRASWRELPGSGGAAISGHRGCGEDGGARGGEKTRLGHGEEIFPAAAAATSSFSAHRPWRLGRREGKGADGTQWKTSATGADGRRRRGQQQVGSSGSGKSTVEQDVGNKGNRVRGGRARGKSCGPGTDKGQTDTPFLSQIWGKFGGYVRTKRPLCLFGVAHWDLFFEP* >Brasy8G171800.1.p pacid=40082293 transcript=Brasy8G171800.1 locus=Brasy8G171800 ID=Brasy8G171800.1.v1.1 annot-version=v1.1 MTVDVSTSPATATSPPSASSSHHHHHHQSATSLRALSNAVAGASAGVIAATFVCPLDVIKTRFQVHGWPKLAPGTIGGSVIIGSLQQIARREGFRGLYRGLSPTVLALLPNWAVYFTVYEQLKSLLSSDEGSHQLSVGANVIAASCAGAATTIVTNPLWVVKTRFQTQGIRSGVMIPYKGTVGALTRIAREEGIRGLYSGLVPALAGITHVAIQFPVYEKMKAYLAERDNTTVEALSFGDVAVASSLAKLAASTLTYPHEVVRSRLQEQGAHSEARYRGVIDCVRKVYHGEGIAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDLCPPESEQHPQPLKH* >Brasy8G081500.1.p pacid=40082294 transcript=Brasy8G081500.1 locus=Brasy8G081500 ID=Brasy8G081500.1.v1.1 annot-version=v1.1 MRAGTNLLGALLLILLCVSARFRGACSAGGGGGGGGGGGGRGGGGGAISGGGGRGGGGAIAGGGGGGAGKGAGGAIAGGGGAGGGSGSGAKGGGSGGAAIVGSSGNTAATAGGSQGGHYGAGSQRSSAADGRGVWMVSGVAVAALAAAALVWR* >Brasy8G162800.1.p pacid=40082295 transcript=Brasy8G162800.1 locus=Brasy8G162800 ID=Brasy8G162800.1.v1.1 annot-version=v1.1 MNPVPIPAGVDPATGVTGHHAAAAGSKLPVVVYYHGGAFVIGSAAAPTTHGYLNGLVAAANVLAVAVEYRLAPEHPLPAVFDDSWEGLEWVASHATATGDAGCEPAGGTIAHAMAVRAGAGVGISIRGVLVMHPYFCCVAYIGKETTTGKAWTRTRSGDSGSLLRRWGSTTRWPTRSRRRPGGGAARIAGDRVLVCVAENDTLRDRGVWYYEGLKASGYGGEVDLFESMGEGHVFHCMNPGSEKAIEMQERVELPQQ* >Brasy8G286500.1.p pacid=40082296 transcript=Brasy8G286500.1 locus=Brasy8G286500 ID=Brasy8G286500.1.v1.1 annot-version=v1.1 MHLPDMNKDHQGMELNEEAVHEKGEGRNSDDTAAQDTEDDEELQFQRFLTSDMERCFEMDFSHLLTEETMLSVINKPKR* >Brasy8G281800.1.p pacid=40082297 transcript=Brasy8G281800.1 locus=Brasy8G281800 ID=Brasy8G281800.1.v1.1 annot-version=v1.1 MAKRGEKGSVLVLLAAFAAAILLLAAQVKPAVADGYNTDIEGKVRGIVKARIYDAPGLIRLVFHDCWVKGCDASVLLKRADGLAEMDAVQNGGLRGLDVIQAIKDALTKDYPAVTCADAVVYAAREACYILSYGKIAYPVDGPGYHKDAVGSFKDDAAALPPPFGPSRPASRTSPRTSAASRASARGIS* >Brasy8G005300.1.p pacid=40082298 transcript=Brasy8G005300.1 locus=Brasy8G005300 ID=Brasy8G005300.1.v1.1 annot-version=v1.1 MIRVASPRPPSAVQLRGCGGAAAASSSARLYRGSGSCFAATNGSRRTRNRRSAISCCSSEDREGPRGAASPTPAPAPAAPSEGSIQLYSQIERVITEAAKQSSEGWGSTGDWTEIEGAWVLRPKSSDPSFVVHFIGGIFVGAAPQVTYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNLVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRNGNILMAFNNKEASQAVPLFSPVIVPMAQSFGPILSQLTSSPTIRFGAEAAIKQLENLGPPVVKQLLPLIQQLPPLYMDLAKGREEFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSESSISSLLDMSIRSLPGDHGLPLQQVLPDVPPGMVDAVNRGGELLANLTTGTPWEAVAKEVGTTFGTDSGILRTQIPEDVNALVDVIVSWIASNSGPKLLRS* >Brasy8G100900.1.p pacid=40082299 transcript=Brasy8G100900.1 locus=Brasy8G100900 ID=Brasy8G100900.1.v1.1 annot-version=v1.1 MERQEAAAAEEAIALYDAYWFHRLVLHSYSPSAAPLPPPERDQPEPEEAAAGESESGQEPLLERAPSGLRHRRTRSDEAKAAAFDALEPLKIPNGHRARLETILSGKDGLALAAPQPLPERRRPRPEVRRRRRGRRGRSLSELEFEEVKGLQDLGFTFSDADVDAELASIVPGLRRIRAEEDARKAKAEEEEAWSRNRAAAAARPRRPYLSEAWEDEEAEVRRMLNNFRIPAAEEGADLKEHLRLWAHSVASAVR* >Brasy8G025800.1.p pacid=40082300 transcript=Brasy8G025800.1 locus=Brasy8G025800 ID=Brasy8G025800.1.v1.1 annot-version=v1.1 MSDAVGTPEEGGGGAGAREQERFLPIANIGRIMRRGVPENGKIAKDAKESIQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLKLYREVSLSSSPLCPLPKLVLLLLAALANFAQLACFAHVL* >Brasy8G022600.1.p pacid=40082301 transcript=Brasy8G022600.1 locus=Brasy8G022600 ID=Brasy8G022600.1.v1.1 annot-version=v1.1 MASLAPATTAKPVALLPAPIRGRGIRSARPGLAAAASRLRVAASSSASDVPDFLSSDWLETHKKKPLGPRLNYNAEEAVEYQLEALKYNDRPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRIFHHSTYRVLLAHKERKILSSLWVEENQYKQRVWVRGARPEEEEIFQFTMIQRVGGSWDGYWLTESLTNDDGDTFSGGVAY* >Brasy8G053000.1.p pacid=40082302 transcript=Brasy8G053000.1 locus=Brasy8G053000 ID=Brasy8G053000.1.v1.1 annot-version=v1.1 MQIFLPLNQSTHWYLSVVNPRLKEIHILDSLNNPAASKESIGTDRKELSEVLQGMERYMELLDTTEDNMAQTNAIWTDFKVATWKRKFIRGLPQQRDGSSCGLFMLKFMENWLGEKLQDRFTQRQIDKFRKELPCTLLRCNLNKVPYMDNPPEGNSPQISNDDLSDVQEVDANGNPKITNSTSAE* >Brasy8G235500.1.p pacid=40082303 transcript=Brasy8G235500.1 locus=Brasy8G235500 ID=Brasy8G235500.1.v1.1 annot-version=v1.1 MAYIAPRKPKLRAVADRLQLSHQGLHEPPRRRPKRGTTWVCHRRLIRRADKGFPPVLEAGKNGQRHDDASKEVTAPAGVAIVSFTQEFLPTRQPRRPSRNKEPPFGLTAVEKRDPRHRRSRRLRTISPPPGRGLGGEDIAHRCLAGRRHHDGAGASTSGSTRSWSSAKISRPPRDEMGPERARSSRPPTQGRLRPGETAAASADAVPRLLPSSAAPRRPTRRSMRHRRQIGGSRRTRERSPSPPSPPGPRVA* >Brasy8G091200.1.p pacid=40082304 transcript=Brasy8G091200.1 locus=Brasy8G091200 ID=Brasy8G091200.1.v1.1 annot-version=v1.1 MVWSYCFVSSSLMAAVVRYSCCAVLAAAAAYACVAAWLSSWPRRVGEAFRRQGIDGPPPSSVLSGNLPEMQARAAAGARNDDFRRDGFDDYCKRIFPYFDKWRRAYGDTYLYWLRRRPALYVTDPELISEIGRCVSLDLGKPTYLQKGQEPLFGAGVLKTNGACWARQRKVIAPEFYMSKVKNMVGLMVDAAQPLLRSWDAAVDGANKGGMAAAVVDVDGDIRSFSFDVISRACFGGDYSRGREIFLRLRALSGLMSEPSVIFAVPSLRYVPTAKNRRVWRLTKEIRSLILELARARARRGAGCRAENENDEPRDFLGSIIHNSSGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPDWQDRARAEVLDVLGTAGAGDPPAPDFEAVARMKTLGNVVQETLRLFPPSSFVVREAFRDMSLGARRVPRGTYIFVPVSAMHHDAASWGPTARRFDPGRFRDGVAAACKHPQASFMPFGLGARTCLGQNLAIVEVKTLLAVVLARFQFALSPDYRHSPAFRLIIEPEFGLRLLVRRVGSGTTDASHDDDGGCEN* >Brasy8G227000.1.p pacid=40082305 transcript=Brasy8G227000.1 locus=Brasy8G227000 ID=Brasy8G227000.1.v1.1 annot-version=v1.1 MKFDLEGLTVHFPYAAIYPEQHAYMGDLKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLLYCTRTVHEMEKTLAELRLLFSHLPPAAARSLLALGLSSRKNLCVHPQASASAARDSVDTACRRLTASWVRNKAASDPESTPLCDFFESFDRAAAAGDLASFMPPGVYTLADLRSLGRERRICPYYLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPSLPDDILKEAVPGNIRRAEHFLAVLKRLVRFLDGRLETENVENEMPVSFVASIHSQAGIDQRMLRFCYDRLQSLLLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDDRMPEIRDPVIQLSCHDASLAIQPVFDRFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNNWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILRARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHTAREFLRRMAQPYDKTGSGGKKTLLTEEDLQDMARDAMEM* >Brasy8G293300.1.p pacid=40082306 transcript=Brasy8G293300.1 locus=Brasy8G293300 ID=Brasy8G293300.1.v1.1 annot-version=v1.1 MQRLSSSRGGGGGDQSTATSTAAPPSSSCDIIAGLDEVIPANGQLMKPGKAAAATASVYRAKLAGHARVVTLSWSRHAFSVSVSGADGSSASCRVDLRRSPWQYFWNLRQSRRSTSSGAHRLDLGDGSTPLRVLWDLRRARWHHHGQHGEPCGGSYYVALEEESVAGGEVVFLVSGGSDSNKIAALAPVARREHVFGRRGRFAAKARFGEQSTEHEIAIEIDGGGGGGGDEPEMEEMRIGIDGEEAAVVVKRLRWKFRGNQSVTFGKAKVEVYWDVHDWLFSEGAGVVRPALFIFRPIVLCSSGDGAAPANAAAGAGFCLYLYAWKLD* >Brasy8G103500.1.p pacid=40082307 transcript=Brasy8G103500.1 locus=Brasy8G103500 ID=Brasy8G103500.1.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALGLHKPLRPLWISQNTRIWLNEVPEHELWEFTPIILVSASASGAVATQRMSSEFSWHYIPGAGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQVADDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G103500.2.p pacid=40082308 transcript=Brasy8G103500.2 locus=Brasy8G103500 ID=Brasy8G103500.2.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALGLHKPLRPLWISQNTRIWLNEVPEHELWEFTPIILVSASASGAVATQRMSSEFSWHYIPGAGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQDDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G103500.3.p pacid=40082309 transcript=Brasy8G103500.3 locus=Brasy8G103500 ID=Brasy8G103500.3.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALVSASASGAVATQRMSSEFSWHYIPGAGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQVADDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G103500.4.p pacid=40082310 transcript=Brasy8G103500.4 locus=Brasy8G103500 ID=Brasy8G103500.4.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALVSASASGAVATQRMSSEFSWHYIPGAGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQDDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G103500.5.p pacid=40082311 transcript=Brasy8G103500.5 locus=Brasy8G103500 ID=Brasy8G103500.5.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQVADDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G103500.6.p pacid=40082312 transcript=Brasy8G103500.6 locus=Brasy8G103500 ID=Brasy8G103500.6.v1.1 annot-version=v1.1 MAAAEAEAEPSAEEGTLSIYKAARRIKRRGSTLYNALRSVAEDAAFVAEIAALWPALPLVANLRCGLWYTQPRTLAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCSVLNRAIQRHRLRASNQGSRTNSEMSAAAPNGHGEKNYGSSNWDSSVHLPVWVLDTEKNAIEGRIEEWTDRFESCGADIHSLALGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEQDRVYRAQRGEHSPQITVNHLKCSGDDSPYFYEEHTIITKPMNSDPSTTPIDTPCSNNSHLVFWIGTSNLAVSSTIQDDLADVDCILNCDSTSRLPSSSSENSYLEIAIVGSKNDRFSLLKNLPKAINFAQRNLIARRKILLCCQTGEDISICVALAIMTRLFNDSGCFDDGDSFVKRDITKLEMRKRLVFICKYAINARPSRGNLRQVYGFLCNEKAKLCC* >Brasy8G148100.1.p pacid=40082313 transcript=Brasy8G148100.1 locus=Brasy8G148100 ID=Brasy8G148100.1.v1.1 annot-version=v1.1 MMERRSLLEALVTAAQGGSSQTSVLSMLKYAVMPIAKVFTVCFMGFLMATKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGSAITIEKLLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCSQDGNAYISFGQWVGAIIVYTYVFKMLSPPPGETFDGEEEKLPVMAAGENTLPELGKYPTSTRNSTVPENEPLLSVEGDTKGATSLGSKIISYVRCVVKFLKDKQLLQPPIIASVFAIGIGVVPFLKGLIFTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGMRTTIAIIFARLVLVPIAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAAWIIFYLTLLF* >Brasy8G148100.2.p pacid=40082314 transcript=Brasy8G148100.2 locus=Brasy8G148100 ID=Brasy8G148100.2.v1.1 annot-version=v1.1 MMERRSLLEALVTAAQGGSSQTSVLSMLKYAVMPIAKVFTVCFMGFLMATKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGSAITIEKLLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCSQDGNAYISFGQWVGAIIVYTYVFKMLSPPPGETFDGEEEKLPVMAAGENTLPELGKYPTSTRNSTVPENEPLLSVEGDTKGATSLGSKVFAIGIGVVPFLKGLIFTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGMRTTIAIIFARLVLVPIAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAAWIIFYLTLLF* >Brasy8G074000.1.p pacid=40082315 transcript=Brasy8G074000.1 locus=Brasy8G074000 ID=Brasy8G074000.1.v1.1 annot-version=v1.1 MMVCSAWEEETRARTTEQARIAFTRSQQAADLGRGGHFGVGAGGGPLHGLADELTRPWPAALELSAGGVRRKEEEGGGTSARLRGNVRTRPMVREEEGEAGVGRRGWGQGAGLERAGDGGWGCGGGGGGRGQGAAAAAWGGGASAAAGSKEVCGGGRDAGKEREGNERWIRFTEDKK* >Brasy8G030500.1.p pacid=40082316 transcript=Brasy8G030500.1 locus=Brasy8G030500 ID=Brasy8G030500.1.v1.1 annot-version=v1.1 MELGLALRLLAPPACRSRRAVLTPPCGSSVRAARRERWAGVVSSSGSLTYSAVEEEVEEEEEEEGETEVMRPRLELIEKPDRSLALLDEYESEELGTSQCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGNADCVLVVVDACKVPEKIDDMLEEGVGNKDTPVPVVLILNKKDMIRPGEIAKRLEWYQKFTTVDDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPSAKDFIQVEILVERESQRSIILGKDGKAIKTLATASRLDIEDFLQKKVYLEIDVKVKENWRQDERLLKRYGYGGEIQAL* >Brasy8G203900.1.p pacid=40082317 transcript=Brasy8G203900.1 locus=Brasy8G203900 ID=Brasy8G203900.1.v1.1 annot-version=v1.1 MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQEAAKLRHQIQMLQNTNKHLVGDSVDHLSLKELKQLESRLEKGIAKIRARKNELLAGEINYMAKREMELQSDNMDLRTKVQIAEGEQQLQQVTIARPAAVPELNPFAALDMKCFFPANLFDAAVHAQAQAQAQAQAQAQASLQLNLGYQLAPPGAGDQAHHF* >Brasy8G211700.1.p pacid=40082318 transcript=Brasy8G211700.1 locus=Brasy8G211700 ID=Brasy8G211700.1.v1.1 annot-version=v1.1 MESDLIRLLTRQMKHRLKEMGNIQVDKGVQILVDIPVILSVPQTYQGSLTLQDSGDHRFLTAEDLRVSVSSNVSWMRRNQILALLKDAEIFQLTFSELPDFKLLRRRHVITIATEALGRVAITDEYSSTRKILIERGPRKLKIAGVTIDCYEVKGDQWGRRRYTIDGTVHVLEWTAKRIPQEGQQAQVQRTVRTRSTPYARLVESNESVIESNARLMESNASVVASSASLVASNERLETAMTLLLECGRDLIDRLGRSQQK* >Brasy8G132000.1.p pacid=40082319 transcript=Brasy8G132000.1 locus=Brasy8G132000 ID=Brasy8G132000.1.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEVRTDVDRPEQTDNNHNIISEHVMDGPRSHNLNNQDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQNLTHEGAHMPWIPKVAASNPITVDD* >Brasy8G132000.4.p pacid=40082320 transcript=Brasy8G132000.4 locus=Brasy8G132000 ID=Brasy8G132000.4.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQNLTHEGAHMPWIPKVAASNPITVDD* >Brasy8G132000.2.p pacid=40082321 transcript=Brasy8G132000.2 locus=Brasy8G132000 ID=Brasy8G132000.2.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQNLTHEGAHMPWIPKVAASNPITVDD* >Brasy8G132000.7.p pacid=40082322 transcript=Brasy8G132000.7 locus=Brasy8G132000 ID=Brasy8G132000.7.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQYCSFGRGKRT* >Brasy8G132000.8.p pacid=40082323 transcript=Brasy8G132000.8 locus=Brasy8G132000 ID=Brasy8G132000.8.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQYCSFGRGKRT* >Brasy8G132000.5.p pacid=40082324 transcript=Brasy8G132000.5 locus=Brasy8G132000 ID=Brasy8G132000.5.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQNLTHEGAHMPWIPKVAASNPITVDD* >Brasy8G132000.6.p pacid=40082325 transcript=Brasy8G132000.6 locus=Brasy8G132000 ID=Brasy8G132000.6.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQNLTHEGAHMPWIPKVAASNPITVDD* >Brasy8G132000.3.p pacid=40082326 transcript=Brasy8G132000.3 locus=Brasy8G132000 ID=Brasy8G132000.3.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQ* >Brasy8G132000.11.p pacid=40082327 transcript=Brasy8G132000.11 locus=Brasy8G132000 ID=Brasy8G132000.11.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEDLICTCEHELVPHTEYSDFNACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQ* >Brasy8G132000.9.p pacid=40082328 transcript=Brasy8G132000.9 locus=Brasy8G132000 ID=Brasy8G132000.9.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQYCSFGRGKRT* >Brasy8G132000.10.p pacid=40082329 transcript=Brasy8G132000.10 locus=Brasy8G132000 ID=Brasy8G132000.10.v1.1 annot-version=v1.1 MATRSSRNKNKVSDTLYGEDVDPAYKMFLDHLSIDGDSFVLHVPNGDHGMPVTVRYEVAKGKDGTDVPNISPCRSQGGANVRRPGVTLAGAANISVAQSIPPRTSSLENKTSEIDESYAKFLSLTKIVDGFMVTEIEPGVTIVYGQEEETPAGYDGLRTVSSTKERVPLMTALENMEEEDEACEDDHGAALVLPSGVTSTFDEKLDSFLSRPYDRNEFEELLRKATARKPVTRQRHLRNASKSYATEVPGLSYLDEYPDLATQIGSADCDERRLNLLRKFFFWLQYCSFGRGKRT* >Brasy8G254600.1.p pacid=40082330 transcript=Brasy8G254600.1 locus=Brasy8G254600 ID=Brasy8G254600.1.v1.1 annot-version=v1.1 MAKRNEKGPLSVLLALAVAVVFLAAQVKPAVASGYTDPMQAEVRKIVGGRLYDAPGLIRLLFHDCWVQGCDGSVLLTETPYKSKDGKTEQDGPANIGLRGLDMIQAIKDKLYAHDEAVTCADAVVYAAREATYLLSNKQIIYDVAGPGSHRDGLESSADKTNVLPGPTSSFQDLLENFRKNGGFDYEDLVALSGAHGIGKAHLSTFADRFGPAADNEINHAYRDALKKRIPKEASDDALGLHNLGLLSFDPAAKNGAGQMPSNPTVENNVRDMDDVRSESGYDATGVSLAPKGALDNSYYTANLQNMVLFKSDWALTTNDTAKAKMVDYKKDAKAWYTRFGKAMEKLSKLKDNTGRYENGPRTKCSATNKKIY* >Brasy8G282900.1.p pacid=40082331 transcript=Brasy8G282900.1 locus=Brasy8G282900 ID=Brasy8G282900.1.v1.1 annot-version=v1.1 MFDTQVNDSSYLIVIDDLSTIVDWSRIKRFFPDNKKHSRIIVSTQQVEIASFCAEKPYQASELKQLSSDQTIYLFHKSSEEQASMASASAAMLNINEAKLAAVKKEKFKAMQASCSADPFLTQTKLVILRKTQQRPPVKYCRRPKNLRMKVETKLVTQLLERSLIAAGLRHLLMK* >Brasy8G054900.1.p pacid=40082332 transcript=Brasy8G054900.1 locus=Brasy8G054900 ID=Brasy8G054900.1.v1.1 annot-version=v1.1 MSGAASLGLRTGSCGSLAAAGGRKAGARGWGWRGEKERLQLLNRALRLVGRRGAGLLLLLAVASAALFCSLFAVAKDDNTSSIIIASNYEVTNAIQNSVYPSTTRPLMMSQDQYSASGVNETEHPNRLRLSSANLTNHPCEGFAVPPTLFDKKRTGPRPCPVCYVSVDQAFALMPLQASPSPVLENLNYVAEDSTTANFSNRGSAFGGYLSLEQRNKSFDITNSMTVHCGFVRGKKPGQGTGFDINNDDLLEMEQCRGLVVASAIFGNYDMIQHPRNVSELAKENACFYMFVDEETNAYVKNSSSLYRDNKIGIWRLVVVRNLPYKDPRRTGKIPKLLLHRLFPNVRYSVWIDAKLQLIVDPYLLLERFLWRKNASFAISRHYRRFDVFEEAEANKAAGKYDNSSIDEQIEFYRNEGLTHYSTAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTARDQISFSTVRDKIRAKVGWMPQMFLDCERRNFVVQAYHRELLEQMIASGRNAPPIAGEPSRKLRLGSRKAPPSKKPLLKRKKRRRVQKPIALTIDAM* >Brasy8G053700.1.p pacid=40082333 transcript=Brasy8G053700.1 locus=Brasy8G053700 ID=Brasy8G053700.1.v1.1 annot-version=v1.1 MSDALALGGDRRSAEAAARAGPASLSAPGEERRKWRESLGGGSRGMSEGKTHGEVEKIHCHRIPATGRRRGRRRGEAVVIGGG* >Brasy8G275100.1.p pacid=40082334 transcript=Brasy8G275100.1 locus=Brasy8G275100 ID=Brasy8G275100.1.v1.1 annot-version=v1.1 MGEASIKAYVSSNLSLGDRHLAAQFQEIPLDRKVLLSETVEFEMLKSVVVEKLPNDLEGMESSMQKLYALIDEIYKYVDDAVVWNCRVALDNKIGRFIADTVSSVPKLSPSAFERVFNDRIQDNLALVYLSSITRTKIAVAEKLNTAAQVL* >Brasy8G201300.1.p pacid=40082335 transcript=Brasy8G201300.1 locus=Brasy8G201300 ID=Brasy8G201300.1.v1.1 annot-version=v1.1 MLQKPTPPLSSKSKAASQSARRGRTQSNPKNKPGALTHPPLQSPMAPTNKATHPLLFLLLTIAAAAASPASAAAGDTPTAYEMLERYDFPRGILPEGVEGYELRPDGGFEVYFPRECEFLLSRQWLVKYDTRIAGDASAGKLSSLEGIYVKVLFLWIPVAEVDRDGDRLSFYIGPVSTSFPLGDFADSPHCRGYDDQRRGQEGRQVDGGDDRYAS* >Brasy8G080200.1.p pacid=40082336 transcript=Brasy8G080200.1 locus=Brasy8G080200 ID=Brasy8G080200.1.v1.1 annot-version=v1.1 MASRAVMMQALLLAALAVGSARAQLHEKFYSESCPSVEAIVRKELVSALSAAPNLAAALLRMHFHDCFVRGCDGSVLLDSANKTAEKDAVPNQTLRGFGFVDRVKAAVEKACPDTVSCADVLAILARDSVWLAKGPFWEVPLGRRDGSVSISNETDQLPPPTANFTVLTQLFAAKNLDAKDLVVLSAGHTIGISHCFSFTDRLFNFTGKVNPTDIDPTLDTEYMAKLRGKCRSLNDNTTVVEMDPGSFKTFDLDYFTVVAKRRGLFHSDGALLTNDFTRAYVQRHAGGAFKEEFFADFAASMIKMGNADVLTGSQGEIRKKCSVPNH* >Brasy8G268700.1.p pacid=40082337 transcript=Brasy8G268700.1 locus=Brasy8G268700 ID=Brasy8G268700.1.v1.1 annot-version=v1.1 MAEAVVGSLIVKLGAALAKEAAIFGASLLWKEASALKDLFGKIREFQSELESMQAYLLEAERFKDTDKTTGIFVKEIRGFAFQIEDVVDEFTYKLLGDKHGGFAAKMKKRLKHIRTWRRLAARLQEIGLELQDAKRRKKDYAIPKELGRSASKSTNQALHFTRDEYLVGIEENRERLVRWLKGGDDYLEQRSKITTVWGMPGVGKTTLVSHVYNTVKLDFDAAAWVTVSESYCLEDLLKKIAAEFGIAVDGVNTEMRSLAESINEHLHGKKYILVLDDVWIPRVWSEIRNVFPAKCVGRFVITSRNHEVSLLATRECAIHLEPLQAHHSWVLFCNGAFWNNDDKECPFELLDLASKFLRKCQGLPIAIACISRLLSCKPPTPAEWDNVYRRLDSQLAKDVIPDVDMILMASLEDLPYDLKNCFLQCALFPEDYAIKRRRIMRHWIAAGFIREKEDNKTLEEVAERYLTELVNRSLLQVVKRNHAGRLKCCRLHDVIRLLARNKAKEECFGKVCNGSHGVFSIEGTRRLSVQSENLEQLSQSGGSHLRALHVFGSYINVDRLHLLKPILTSSKLLSTLDLQGTCIKMLPNEVFNLFNLLYLSLRGTGIESLPEAVGRLQNLEVLDAFNSKLSCLPNNVVQLQNLRYLYACTVGTMDIGGVGGVKVPNGLRQLEGLRALQCVKASPEILLEVEALTELRTFSVCNVRCDHSADLSNAITKMVHLVHLEIFAAADNEVLRLEGMYLPPTLSWLSL* >Brasy8G238500.1.p pacid=40082338 transcript=Brasy8G238500.1 locus=Brasy8G238500 ID=Brasy8G238500.1.v1.1 annot-version=v1.1 MVVLAKGELEQIALPAREKEAAMMAPAVAGSPPFGYASKRIGSNGDLGWVEYLLLGVTAAGAPLPAPSSSASLCAFRGLLDEYTVAVRRMACEVLELMAEGLGMEKDEFTRLVLEEDSDSMLRVNHYPPRPELKQQQQLQHGGNGGRVTGFGEHTDPQIISVLRSNATSGLEIALRDGTWVSVPADQTSFFVNVGDALQVLTNGRFRSVRHRVMVNSVRSRVSVIFFGGPAPGKTLAPLPRLVGEGGSSRYREFTWREYKASAYRTKLAENRLCHFETTS* >Brasy8G238500.2.p pacid=40082339 transcript=Brasy8G238500.2 locus=Brasy8G238500 ID=Brasy8G238500.2.v1.1 annot-version=v1.1 MMAPAVAGSPPFGYASKRIGSNGDLGWVEYLLLGVTAAGAPLPAPSSSASLCAFRGLLDEYTVAVRRMACEVLELMAEGLGMEKDEFTRLVLEEDSDSMLRVNHYPPRPELKQQQQLQHGGNGGRVTGFGEHTDPQIISVLRSNATSGLEIALRDGTWVSVPADQTSFFVNVGDALQVLTNGRFRSVRHRVMVNSVRSRVSVIFFGGPAPGKTLAPLPRLVGEGGSSRYREFTWREYKASAYRTKLAENRLCHFETTS* >Brasy8G019900.1.p pacid=40082340 transcript=Brasy8G019900.1 locus=Brasy8G019900 ID=Brasy8G019900.1.v1.1 annot-version=v1.1 MADREGQGSSKSVHNSLQHTKEQEDIKGEATVATASEPMREELVQSAIIFLKHPKVVASSDVQRRSFLENKGLSVDEIDEAFRRLLSLPSNSVNSDTCTPQGVSDHSCTTTREAEIDTERVDVSETPNPETVTPVLPHHPKSYMEVMEMIQRGERPDDIQDINDEPPNPDQPISEPRMAPKPKPWEKHGQQSPSWDLKAHPRNSIESRSEVHNDSTDQATGSNNSSNHGDLSLMVEPVTGSEAPADDAASPKQ* >Brasy8G220700.1.p pacid=40082341 transcript=Brasy8G220700.1 locus=Brasy8G220700 ID=Brasy8G220700.1.v1.1 annot-version=v1.1 MAQRRWPSAGWALILLWETIPQTGSPSVVLFLGFHGDVGSRSLGLLRGSLVRSLQPHTATLLSPPMALKLFFVL* >Brasy8G249900.1.p pacid=40082342 transcript=Brasy8G249900.1 locus=Brasy8G249900 ID=Brasy8G249900.1.v1.1 annot-version=v1.1 MVPRSVLRLHSCCQRLSKEWNCVDFCHRIKHGAAQWGGREEQFKFPAITTWQAQIQVYWWFSSS* >Brasy8G193500.1.p pacid=40082343 transcript=Brasy8G193500.1 locus=Brasy8G193500 ID=Brasy8G193500.1.v1.1 annot-version=v1.1 MESCSFRTATSPSPFPSAPSSSSSSSYARSPCLNIRFARTRNGRQMGMRRRASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPTAAHIKKSLLHGSADHVLRKVLYWAKEDGQMEKLKARLIELYYESLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMAISKYFKAIVTDEDDMESIAHRFLSAAVKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVARYNELSVINLRRLFAHKGISFMDMQKQIIERSPPKRKLTVDTIF* >Brasy8G193500.2.p pacid=40082344 transcript=Brasy8G193500.2 locus=Brasy8G193500 ID=Brasy8G193500.2.v1.1 annot-version=v1.1 MESCSFRTATSPSPFPSAPSSSSSSSYARSPCLNIRFARTRNGRQMGMRRRASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVILDAWRQLALEEGKDIPTAAHIKKSLLHGSADHVLRKVLYWAKEDGQMEKLKARLIELYYESLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMAISKYFKAIVTDEDDMESIAHRFLSAAVKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVARYNELSVINLRRLFAHKGISFMDMQKQIIERSPPKRKLTVDTIF* >Brasy8G193500.3.p pacid=40082345 transcript=Brasy8G193500.3 locus=Brasy8G193500 ID=Brasy8G193500.3.v1.1 annot-version=v1.1 MESCSFRTATSPSPFPSAPSSSSSSSYARSPCLNIRFARTRNGRQMGMRRRASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPTAAHIKKSLLHGSADHVLRKVLYWAKEDGQMEKLKARLIELYYESLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMAISKYFKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVARYNELSVINLRRLFAHKGISFMDMQKQIIERSPPKRKLTVDTIF* >Brasy8G193500.4.p pacid=40082346 transcript=Brasy8G193500.4 locus=Brasy8G193500 ID=Brasy8G193500.4.v1.1 annot-version=v1.1 MESCSFRTATSPSPFPSAPSSSSSSSYARSPCLNIRFARTRNGRQMGMRRRASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVILDAWRQLALEEGKDIPTAAHIKKSLLHGSADHVLRKVLYWAKEDGQMEKLKARLIELYYESLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMAISKYFKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVARYNELSVINLRRLFAHKGISFMDMQKQIIERSPPKRKLTVDTIF* >Brasy8G067600.1.p pacid=40082347 transcript=Brasy8G067600.1 locus=Brasy8G067600 ID=Brasy8G067600.1.v1.1 annot-version=v1.1 MALTMNKAVCLMALLLFVSSAVLPHADAAGRAIGTEAQWDKYCVVFPSCKNNPGPQTDGNCGQTCKFFGYEPSKSKCQVLDNEGICCCLA* >Brasy8G271900.1.p pacid=40082348 transcript=Brasy8G271900.1 locus=Brasy8G271900 ID=Brasy8G271900.1.v1.1 annot-version=v1.1 MGVDYYKVLGVGRGATDDELKKAYRRLVMKYHPDKNPSPQADSLFKQVSEAYDVLSDPQKRAVYDQYGEDGLKAGVPPPSASAVPPHAHGGPGFRFSPRSADEIFHEMFGGSFYGPGPGRPAPSPGFQFQGFGSSAASCGSVSPRSGETSGASARKSPAIERQLACSLEDLHKGATKKMKISRDVLDSSGKPTSVEEILTIDIKPGWKKGTKITFPEKGNETRNVIPSDLVFIIEERAHPKFKRDGNDLVYTHKISLVEALTGCVVQLTTLDGRSLAIPVKSVVSPTYEEVVQGEGMPITKEPSKKGNLRIKFQIKFPTNLTADQKAGVQQLLS* >Brasy8G090500.1.p pacid=40082349 transcript=Brasy8G090500.1 locus=Brasy8G090500 ID=Brasy8G090500.1.v1.1 annot-version=v1.1 MEAATRRRALSSLLLLLLVASTVPAGLCATNAQDVSALRSLMGQWSNIPSSWSATAGDPCGAAWDGLMCEADGRVTSLRLSSVNLQGTLSNSVGQLSQLMFLDLSFNIGLEGTMPASIGNLAQLTTLILAGCSFTGSIPQELGNLQKMTFLALNSNKFSGGIPASLGLLSKLFWLDLADNQLTGPVPISTATTPGLNLLTGTKHFHFNKNQLSGTLTGLFNSNMTLIHILFDSNQFSGSIPAEIGGISSLQVLRLDRNKLVGAVPNITNLVKLNELNLASNRLTGLLPDLSTMSVLNVVDLSKNAFDAQVAPNWFTTLTSLTSVSISSGKLSGVVPKAVFTLPQLQEVVLGNNQFNGTLEISGSISKQLQTVDLQFNSIFDTATTSYKKALVLVGNPVCADAAFSGQPFCSVQQENTIAYTTSTSKCSLTSTCRSDQSMNPANCGCAYSYNGKMVFRAPFFKDLTNSDTFQQLETSLWTQLKLRDGAVSLSKIHFNSDNYLQVQVNLFPSSGALFNVSELISIGFDLSNQTYKPPANFGPYYFIADPYVPLSVSVDDGKKSKFSTGAIAGIAAAGGLLVIALIFVGLFALRQKRRAKELAERTDPFASWGAAQKDSGGAPQLKGARFFSFEELKSCTDNFSDSQEIGAGGYGKVYKGTLVDGMRVAIKRAQSGSMQGAPEFKNEIELLSRVHHRNLVSLIGFCYEQKEQMLVYEFISNGTLRENLVVRGSYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGKYIVREVRQAIDPADRDHYGLRAIVDPAIRDAARTAGFRRFVQLAMQCVDESAAARPGMGTVVKEVEAMLLNEPGDGGVSSAGSSATEFEGAGRGAPAHPYSDVEITRGSYGGGGDTASDYMPYFEVKPK* >Brasy8G288600.1.p pacid=40082350 transcript=Brasy8G288600.1 locus=Brasy8G288600 ID=Brasy8G288600.1.v1.1 annot-version=v1.1 MKGGEVKGKDSNHRCKRCQELGHRAGSVFCVFTPEKLKRVHGKKKAEVPQASGEPQGPQATEETQAIEET* >Brasy8G072100.1.p pacid=40082351 transcript=Brasy8G072100.1 locus=Brasy8G072100 ID=Brasy8G072100.1.v1.1 annot-version=v1.1 MNETEVDRVAHKPSQPRRKKEKEVSKTEGGASLLCLSFLAPSDPKPKHIPRNPNPSTLLALALAPPVEMRGLRAHGGGGGGTSSSSSTAENSRFDAAQYSFFGKAPLDGLELGGLVDDGGVEGYGGGFGGHDDGAYQLSPVGEEIDCMSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRESSLTNDWVPEADFPNWVDPDMLNNDEFQDSKQLCAQPQYLPQFGESKPLSRTSSYPLEPLQHCSTEPILGHISPSFTSYPPPGGGGLSYPAQGLTRHSSIPSPGAGHQMGSPSSSLSGSPYNMTSLPHGLRYGRSMSYTAADLSANKFLQNEWPNQAGPHTFEHFNQRPSLLQQQLSFPGSSMSSLLFSQQQQRSPLVPPSHHNYLNMQPQFYHHHSPEMIGKFDHVPNLPSPRDKRSRSGRGKHSIRFSQQPSDAAGQNVDNGGIKFRSKYMSSEEIESILRMQHSTSHSSDPYIDDYYHQACIAKRSASSQQKINFSPMSIKDFPSKSRSGGDQHSYLQVDAVGRVSFSSIRRPRPLLEVDIPASGDHKSSARPLEKEPMLAARITVEDGICLLLNVNDIDRFLQSSQAQDSSFQLRRRRQVLLEGLATSLELVDPFGPNKPGSSSGLAPTDDLIFLRIVSLPKGRKLLARYLRLLVPGSELTRIVCMAIFRHLRSLFGGLPSDYGAAETTVGLAKTVSSCVQHMELSALSACLAAVVCSSQQPPLRPFGSSAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDAFFGLLTKYCDSKYGSILQMFSMQGSNSMARSEASKAVSREMPVELLRTSLPHTNEQQRQMLLDFARKSMPVTGFNHSGASSGHFTSESVAG* >Brasy8G072100.2.p pacid=40082352 transcript=Brasy8G072100.2 locus=Brasy8G072100 ID=Brasy8G072100.2.v1.1 annot-version=v1.1 MRGLRAHGGGGGGTSSSSSTAENSRFDAAQYSFFGKAPLDGLELGGLVDDGGVEGYGGGFGGHDDGAYQLSPVGEEIDCMSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRESSLTNDWVPEADFPNWVDPDMLNNDEFQDSKQLCAQPQYLPQFGESKPLSRTSSYPLEPLQHCSTEPILGHISPSFTSYPPPGGGGLSYPAQGLTRHSSIPSPGAGHQMGSPSSSLSGSPYNMTSLPHGLRYGRSMSYTAADLSANKFLQNEWPNQAGPHTFEHFNQRPSLLQQQLSFPGSSMSSLLFSQQQQRSPLVPPSHHNYLNMQPQFYHHHSPEMIGKFDHVPNLPSPRDKRSRSGRGKHSIRFSQQPSDAAGQNVDNGGIKFRSKYMSSEEIESILRMQHSTSHSSDPYIDDYYHQACIAKRSASSQQKINFSPMSIKDFPSKSRSGGDQHSYLQVDAVGRVSFSSIRRPRPLLEVDIPASGDHKSSARPLEKEPMLAARITVEDGICLLLNVNDIDRFLQSSQAQDSSFQLRRRRQVLLEGLATSLELVDPFGPNKPGSSSGLAPTDDLIFLRIVSLPKGRKLLARYLRLLVPGSELTRIVCMAIFRHLRSLFGGLPSDYGAAETTVGLAKTVSSCVQHMELSALSACLAAVVCSSQQPPLRPFGSSAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDAFFGLLTKYCDSKYGSILQMFSMQGSNSMARSEASKAVSREMPVELLRTSLPHTNEQQRQMLLDFARKSMPVTGFNHSGASSGHFTSESVAG* >Brasy8G055200.1.p pacid=40082353 transcript=Brasy8G055200.1 locus=Brasy8G055200 ID=Brasy8G055200.1.v1.1 annot-version=v1.1 MDDLQELQEADVLWPGSGCSEDGDVASSVSPVLLLPGGVSPPRTPELSAPVAVPRARKRRRLSRSCASEDYEEEDDGCCTNDAKRGAVLLPPHVIVDRRRRIGGIRTAAYSMCAGKGRTLKGRDLRDVRDRVLRMTGFIEE* >Brasy8G280000.1.p pacid=40082354 transcript=Brasy8G280000.1 locus=Brasy8G280000 ID=Brasy8G280000.1.v1.1 annot-version=v1.1 MASVGAVRPSMGFQNDTSTSGDADRLPNEMSNMSIRDDKDIEDIVVNGNGTEPGHIIVTSIEGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYYKMNQRMPLIYAKLYMYQICRSLAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPSLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPMDILVKLVPEHARKQCTFVGW* >Brasy8G280000.2.p pacid=40082355 transcript=Brasy8G280000.2 locus=Brasy8G280000 ID=Brasy8G280000.2.v1.1 annot-version=v1.1 MASVGAVRPSMGFQNDTSTSGDADRLPNEMSNMSIRDDKDIEDIVVNGNGTEPGHIIVTSIEGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYYKMNQRMPLIYAKLYMYQICRSLAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLEALIHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPMDILVKLVPEHARKQCTFVGW* >Brasy8G090600.1.p pacid=40082356 transcript=Brasy8G090600.1 locus=Brasy8G090600 ID=Brasy8G090600.1.v1.1 annot-version=v1.1 MAMTKSMRGGGGGGGGDATTVLVLLLLMLASSAPAPARAEDPYVFFEWHVTYGTKTLIGAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWHGMQQRKNSWQDGMPGTNCPVAPGTNYTFKWQAKDQIGSFFYFPSIGMQRAAGGYGLISVVSRLLIPVPFDPPADDLQVLIGDWYTKDHAVMARLLDEGRSFGRPAGVLINGRGGKEAADPPLFTFEAGKTYRLRICNVGIKSTLNFRIQGHDMKLVEMDGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYVVASTRFITDKSSVSAVIRYAGSSTPPAPNVPEPPAGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLLVTRGHLEGKLKYGFNGVSHVDADTPLKLLEYFNVSGPVFKYNQMADVPPAVMGPLQVVPNVITAEFRTFIEVVFENPEKSMDSVHIDGYAFFAVGMGPGKWTPELRKTYNLLDAVSRHSIQVYPRSWSAVMLTFDNAGMWNVRSNVWERYYTGEQFYISVTSPARSLRDEYNMPDTSLRCGRVVGLPMPPSYLPA* >Brasy8G167800.1.p pacid=40082357 transcript=Brasy8G167800.1 locus=Brasy8G167800 ID=Brasy8G167800.1.v1.1 annot-version=v1.1 MARVATSCAAFVAQRRGFSAAITAIDVSAKVQEKAVKLGTAAVEDTTEDKTAFWEPDPKTGDYRPVTGTKEVDAADLRAELLKQRVLQQ* >Brasy8G058400.1.p pacid=40082358 transcript=Brasy8G058400.1 locus=Brasy8G058400 ID=Brasy8G058400.1.v1.1 annot-version=v1.1 MHGGGGGQQGDGRGGRGRGVGRGRVGAPMLGGVGGHGQDRGTSNGGHGGGGFVADKSDTTRPQSSHDSDSRKEDKEGFVIPFTLKRMQMVEVMDVGKRGKLEMMKPSDIFPSHEMEVFLHVESSASLFCLEIMQTVLHRNILHLEGLVEQVPQNAVAAFVLSYSGLLSELLLKRISLANRLHLVPSNELLDIVSQLVEGLDELRMKNYYHGNFRLSTTYYQINEDTGQIIVKLANFKQKGSITLTQAQIEDWNDLGTALQTISQNARRQNHDCILIDHLAQKLKAFSQPEQLGDFKQQVLNEVFFWNKQRRTEFAIYTIPKRLSDPDFRSKVLADYKCNTPWDTSNYCGLKKAVNQYLKDKWNTTYDGANKFHHLQYTSACYTHEDKIKGSMTHNLSVDEAFQLCDPGVFVNANDIA* >Brasy8G168600.1.p pacid=40082359 transcript=Brasy8G168600.1 locus=Brasy8G168600 ID=Brasy8G168600.1.v1.1 annot-version=v1.1 MATSSHIAAFVAVLCCFVAVSADFSVTASSPAASPSGGQVRVKCGSAGLDAVLCLGTANPDTSGTARAFVLLAKENATETAAHLPRKYDSGAFEYKAFELQRCLEVCKKRYEAAVAYLGDAAAALDKSKFDDAGLLLGTAQAQVKLCQKACPAVPQEELFERNRKVVSLCNVAAATIRKLPRHPKLPAML* >Brasy8G063400.1.p pacid=40082360 transcript=Brasy8G063400.1 locus=Brasy8G063400 ID=Brasy8G063400.1.v1.1 annot-version=v1.1 MTKTMVVSDLFRRLPLGHYTTNLLHCNVLHPRGHECSQKFQASQGHRKQIP* >Brasy8G270300.1.p pacid=40082361 transcript=Brasy8G270300.1 locus=Brasy8G270300 ID=Brasy8G270300.1.v1.1 annot-version=v1.1 MSGLRLCRSSSSSTTPMSRLQLLPRRSSSSTSPPSRCWDPQVAFVAAITRIRAGTFSTDDAHHLFDALLHQGTPVHGRALNGFLSALARAPDSVSCSDVPALVLALFNRICREEAGPRVAPLTVHTYGILMDCCCRARRPDLGPAFFARLLRAGLKTQTIEANTFLKCLCYAKRTDEAVNMLLHRMSDLGCVPDAISYNTVIKSLCGDSRSQEALDMLLRMGKEGGGCSPNVVSYTTVIHGFFKEGEVSKACNLFNEMVQKGVVPNVVTYSSVIHALCKARAMDKAELVLGQMVDKGIQPNEVTYTVMIHGYCTSGRWKEAAKMFREMIREGLKPNTVTFNSFMSSLCKHGRSKDAEEIFHYMTTKGHKPNIVSYSVLLHGYATEGRFADMNNLFHSMADNGIVANCHIFTILIDAYAKRGMMDEAMLIFTEMRGEGVSPNVFTYATVIAAFCSMGRLADAMEKFSEMISIGVGPNTVAYNSLIQGFCTHGDLVKAKELVSQMMKKGLPRPNIVFFNSIIQSLCNEGRVMDAHDVFNLVIHIGGRPDIFTFTLLIDGYCLVGKMEKAFGVLDSMVSMGIEPDVVTYSALVNGYCKSGRIDDGLVLFREMLRKRVKPTTVTYSLVLDGLLRAGRTSAAKKMFHEMIESGTTVSIDTYKILLLGLCRNDCTNEAISLFQKLGAMNLKFDITILNTMINALYKVRRIEEANNLFAAISTSGLVPNVSTYGVMIQNLLKEGSVEEADAMFSSMEKSGCAPSSHFLNDFIRMLLQKGEIVKAGYYMSKVDGTIISLEASTISLLVSLFSSEGKHRGQIKFLPAKYQFFYGAS* >Brasy8G133200.1.p pacid=40082362 transcript=Brasy8G133200.1 locus=Brasy8G133200 ID=Brasy8G133200.1.v1.1 annot-version=v1.1 MDRRAACGDDPRRGRYKGVRRRRWGKWVSEIRVPGTRERLWLGSYATAEAAAVAHDAAVRLLRKGNPGGQVNFPGGAAGAYACTYGGQQQLSPRSVQRVASDAGMAADARLVELRDRVVISAPPPGPQEAAASAGIGREQGAGAGYGNWRSCSSSGSGGGGEQLVYGELSVDDMEILML* >Brasy8G277000.1.p pacid=40082363 transcript=Brasy8G277000.1 locus=Brasy8G277000 ID=Brasy8G277000.1.v1.1 annot-version=v1.1 MVELQEVGWDSQKPGYEGLIEVANRLMIKGKSALETEQSAVRVLRALFPPLLLVLFKALLAPLANGQLASMMVARATALSCQWLMGTCSVNSVTLPDGRSLSSGCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDIDKALKEPCLDICTYARRRRELGSNGGPDGLCPQV* >Brasy8G222400.1.p pacid=40082364 transcript=Brasy8G222400.1 locus=Brasy8G222400 ID=Brasy8G222400.1.v1.1 annot-version=v1.1 MEAGTASTTFSSESSPMDRRLLEAATSGDARLMKDIVSHYPSILLGTTPQGNTCLHISSIYGHEQFCKDVLALDESLLSNVNLDSETPLLAAVTSGHASLASVLLRQCEEVGLRGAILKQDKDGCNALHHAIRRGHRDLAVELIEAEPSLSQSVNKYNESSMIIAVRRDFTDVFEKLLQIPDSAHSGEYGYNALHAAIRSGNTAIAQKIMEARPSMAREENNRGRTPMEMAIRRNKKDILRVLLERDWSLGYVGNKGNDTPLLVAAALRGHVGVAAELLKHCPDSPFCGKNGWTCLHQAVDAGHTEFVKFILETPQLQKLVNIRDSKGKTALHYAVSKCNPRIVASLLCQKSIDFTMYDYRGTTAVWELRTAKDHAKTLNWNEVSMLMLKADPTNRTSHYNLHRRIKEIVINESRADVKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYTSDGGSEGTPIMARKLAFQAFLISDTLAMCSSLAVAFVCIIARWGDLEFLIHYTSYTKKLMWFAYIATTTAFATGLYTVLAPRLQWLAISICFLPVLLPILTKLLGEWPVWKLRFRLGEAFESNLLDMV* >Brasy8G072600.1.p pacid=40082365 transcript=Brasy8G072600.1 locus=Brasy8G072600 ID=Brasy8G072600.1.v1.1 annot-version=v1.1 MADMGSLEKMGRELKCPICLSLLRSAVSVTCNHIFCNDCLTESMKSASSCPVCKVPFRRREIRPAPHMDNLVSILKSMEVETGTNAVSTQVTSAVEVAGGTEHANSGRRSKRLQKSKGQKKVPSKKEANTTKPTAASASCPTKKPSFSTNKRIHVTPFPESETPMRPQKIMKPEEPKNKLNGDAEHGKDAMASDKPGCFSLSPFFWLREGEDEEGGTAETLSDPISLDTPLRHNAPCYSDIQDSDDKTPPVRTPNSKAEVPEVFDSEIFEWTQRPCSPELYSTPLKKQGSVKNIQDQIAENDDWEDLHLGGSFDKLGDASNAVPLVDAKEMKQKGKKARVRNSKSTKASMKETDANQQDSNCTKGLAGNSKNTKLPNRPMVSIKEADVNQQDSNSTRTLAAKLCEKNSIATKKNTSSTSSRRYKAFSNINLLPCSNDNSLKTFLPEEDKETEAHDDGISKKRTQKKGEKGQQKCARKLGIIGNSTVEATESNPEPRSKRVRRMTGGVNAENIRVIAGSGSETEIPQLHSIIKGCTRNKPREKSKLSGEMKSKIGLESLKQNIGGNGSNLLPGKCQGSETTQAASSAISASVKNTSAKGTEQSDCSRMKNFRKLQACNGRSTFLKKCDTVSKVSCAFCQSDDTTEESGEMVHYHNGKRVPEEFRAGANVTHAHKNCLEWAPNVYFEDDSVFNLTAELTRSKRIKCACCGIKGAALGCFDESCRKSFHFTCAKLIPECRWDTENFVMLCPLHQSSKLPNESSGRQKQSKRIVTPKGPSEVRSSQDCSNNWKWASGPQKWVLCCSALSTSEKGIVSEFAKLTGVPISTSWSPSVTHVIASTDLSGACKRTLKFLMAILYGKWIVSMDWVKACMDRMEPVDEQKFEVTTDVHGSSEGPRLGRQRVTNKQPKLFNGMQFYLHGNYTKSYRGYLQDLVVAAGGTVLHRKPVSRDHQMLLDNRCPVVIVYSVESQEKAKLAADDRRRQADDARALACASGGKVASSAWMIDSIAACSLQPL* >Brasy8G072600.2.p pacid=40082366 transcript=Brasy8G072600.2 locus=Brasy8G072600 ID=Brasy8G072600.2.v1.1 annot-version=v1.1 MADMGSLEKMGRELKCPICLSLLRSAVSVTCNHIFCNDCLTESMKSASSCPVCKVPFRRREIRPAPHMDNLVSILKSMEVETGTNAVSTQVTSAVEVAGGTEHANSGRRSKRLQKSKGQKKVPSKKEANTTKPTAASASCPTKKPSFSTNKRIHVTPFPESETPMRPQKIMKPEEPKNKLNGDAEHGKDAMASDKPGCFSLSPFFWLREGEDEEGGTAETLSDPISLDTPLRHNAPCYSDIQDSDDKTPPVRTPNSKAEVPEVFDSEIFEWTQRPCSPELYSTPLKKQGSVKNIQDQIAENDDWEDLHLGGSFDKLGDASNAVPLVDAKEMKQKGKKARVRNSKSTKASMKETDANQQDSNCTKGLAGNSKNTKLPNRPMVSIKEADVNQQDSNSTRTLAAKLCEKNSIATKKNTSSTSSRRYKAFSNINLLPCSNDNSLKTFLPEEDKETEAHDDGISKKRTQKKGEKGQQKCARKLGIIGNSTVEATESNPEPRSKRVRRMTGGVNAENIRVIAGSGSETEIPQLHSIIKGCTRNKPREKSKLSGEMKSKIGLESLKQNIGGNGSNLLPGKCQGSETTQAASSAISASVKNTSAKGTEQSDCSRMKNFRKLQACNGRSTFLKKCDTVSKVSCAFCQSDDTTEESGEMVHYHNGKRVPEEFRAGANVTHAHKNCLEWAPNVYFEDDSVFNLTAELTRSKRIKCACCGIKGAALGCFDESCRKSFHFTCAKLIPECRWDTENFVMLCPLHQSSKLPNESSGRQKQSKRIVTPKGPSEVRSSQDCSNNWKWASGPQKWVLCCSALSTSEKGLRLAWIAWNLLMSRNLKSLQMFMGVVRVPD* >Brasy8G253100.1.p pacid=40082367 transcript=Brasy8G253100.1 locus=Brasy8G253100 ID=Brasy8G253100.1.v1.1 annot-version=v1.1 MDSKAGVANARRVSSVLNAMVLLAWACSSLLGGADAQAQAKLQVGYYNGSCPDAEDLVTTIVHASIRMDPGNGPGLIRLFFHDCFVRGCDASVLLDDPTGNSTAEKDAPPNFPSLRGFGVIDRAKRVVERRCPGTVSCADIVAFAARDASRIMGGIKYSVPAGRLDGRVSRSAEALASLPPASSNVTQLVSLFASKNLTADDMATLSGAHSIGRSHCSSFTSRLYPRIDATLNNTLGKALRAGKCPAATGRLDRVVQLDHVTPLMLDTQYYVNVGNHEVLFGSDQALTDRADTARLVAAYAGSRKLWSRRFGEAMVQMGFADVLTGPPGEIRKVCSRVN* >Brasy8G006600.1.p pacid=40082368 transcript=Brasy8G006600.1 locus=Brasy8G006600 ID=Brasy8G006600.1.v1.1 annot-version=v1.1 MAALLGRRLRGSALQPTPVGLHFLPRLSHTHAHAQRQIEKKKEELYDMISNAERFTFQNSKMMRHLSVQIKPRPDDPKWHRYRIKKWITDVVTVSGVVTLGLAFTGHLRIEARKARKKEEDLRAKNKLEG* >Brasy8G047900.1.p pacid=40082369 transcript=Brasy8G047900.1 locus=Brasy8G047900 ID=Brasy8G047900.1.v1.1 annot-version=v1.1 MACWWKHQPDIEMPVAVPSVADATAAAEAAAETAREAATSCVAAVRRALVALAEREAPREAGAGHGVAHLHAAVREASVLCSEEALAAQEAAAPCAAAALAAREAAALWDAEGGDEAPKLLLTVKWQRAPLQLLLLLPEAAARCVAARDNAAAATSVARKAANARDVARRRKAAAREAAQGHRVAQDIAAAAALAAREAGVRCAAECRHAVAAASAARKVAANCAAAGGDGGAVSSARDVADLCVAVLEDAANDADREAAAKLAVPRADAAALAAREACPTADDNDFLVTMRGWLMTVATLFVSMAFQANVNRPSWISDISLDSPSEIEKWKRVALPRALLHCRPPCRVRCSFPAPPALRATACAPRGSMARKLRAISGTTFTPPTASAMKTSAQERKYPQLKVEEDEGMRKKQEKDKGRAPPWVLKRKRGTNMLN* >Brasy8G220600.1.p pacid=40082370 transcript=Brasy8G220600.1 locus=Brasy8G220600 ID=Brasy8G220600.1.v1.1 annot-version=v1.1 MEAARESMAALLDAGLFESAHTLGCFLVSSGGGSNEAGMSMKAESLVLHGDALYGEKEFRRALSAYKQAIQYSKSIPRQTTSATRISVSTTGRSPSPNSSNLMPFNENEVKSKIALCHSALHEYREALQEMEGIPSKVRSLKMNLMLGKLYRISRNNRVAAVCYKECLRQCPYIFEAVTALAEMGLSSKEFSLLFSQAPNRGGKPHGDFLDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVETIIGRNDEAIMNFEKVRLIDPNIITYMDEYAILLKAKSDYLKLNKLVHDMLHIDPARPETCVALAALWERKDDTKALTYAEKSLRVDDRHITGYIMKGNLHLSSNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSNGREKARKFYESAIRLEPGFLGAALALADLHFAEGRNKEAVLLLERYLRQWADDSLHIKLAQVFAATNLLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEDDDENEADDVDADQDDAELL* >Brasy8G086500.1.p pacid=40082371 transcript=Brasy8G086500.1 locus=Brasy8G086500 ID=Brasy8G086500.1.v1.1 annot-version=v1.1 MLRYLNLSGTGIARLPSSIGRLFSLQILKLQNCHELDYLPASITNLINLRCLEARTELITGIARIGKLICLQQLEEFVVRTDKGYKISELKAMKGIRGHICIRNIESVASADEASEALLSDKALINTLDLVWSSSRNLTSEEANQDKEILEVLQPHHELNELTIKAFAGSSLPNWLNSLPHLHTIHLSDCIKCSILPALGELPQLKYLDIGGFPSIIEISEEFSGTSKVKGFPSLKELVFEDLSNLKRWTSVQGGEFLPSLAELAMIDCPQVTELPPLPSTLVKLKISEAGFSILPEIHIPNSQFSSSLACLQIHQCPNLTTLQDGLLSQQLMSLEQLTITQCSDLIHLPVEGFRSLTKLKSLHIYDCPRLAPSGQHSLLPSMLEDLRISSCSDLINSLLRELNDLSLLRNLAASDCASLHSFPVKLPATLQKLEILHCSNLSYLPDGLEEIPRLTKSCQENSGKDWCKIAHVPIIEIDDDTTLPNRSIRRRLS* >Brasy8G119900.1.p pacid=40082372 transcript=Brasy8G119900.1 locus=Brasy8G119900 ID=Brasy8G119900.1.v1.1 annot-version=v1.1 MRGQIPPVPRLVLLLVALSAAAALLLPPAAAVDVAAVLAPFPDLAGFARLLASSPVGRELAGRSSLTLLAVPDRDLPQSPSAFAAAAGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRASADLGAVNVTSTTGPSSSTLAVVRSPAPFPASNATVQGSITAVPYNLSVLSVSGLIVPSGFSLSASESRPAPAVNITRVLSDARGFNVAASMLEASGVAEEFEGDERGAGITVFVPTDDAFASLPAGDRLQSLPADRKAVVLRYHVLHSYYPLGSLESIVNPLQPTLATEFSSQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRSAADPADIVAAGVAAIAPPPPAAGSMPPESARTPPTKLSSPPGMRGGDGNLTALPSSAIGTRATIGWWCIALLCCF* >Brasy8G160300.1.p pacid=40082373 transcript=Brasy8G160300.1 locus=Brasy8G160300 ID=Brasy8G160300.1.v1.1 annot-version=v1.1 MLLIISPFGRSMKSIFDVGGMRSRGAGANTIPWRLHMALLILYGQFGDRSDSIAMKQIGLLMMHGQLGEGRQSFP* >Brasy8G288900.1.p pacid=40082374 transcript=Brasy8G288900.1 locus=Brasy8G288900 ID=Brasy8G288900.1.v1.1 annot-version=v1.1 MEVTAGAMTPLIRKLGDLIAREFSLEKRVRKGVKSLLTELEIMHAVLRKVGNIPPDQFDEPVRIWVGKVRELSYNMEDAVDGFFVRVEDGCERGPNNMKNRVKKFLKRTIKLFRKGKALHQISDAIEEAQVLAKELGELRQRYMIEAHASSAGHTIDPRLKAVYKDVTELVGIDHTRDELIEKIFNGDDQGSEQQLKTISIVGFGGLGKTTLAKSVYDKIIKQFNCGAFVSVSQNPDTKKIFKKILYQLDGNKYAGINEAIRDEEQLIDELKMVLRDKRYLIIIDDIWDVKAWEVIKCAFSKNSQGSQLMTTTRIMTIAEACCSSSDDTIYEMKPLSDLDSARLFYKKIFGQESGCPHELEQVSGDILKKCGGVPLAIITIGSLLVSDQQVKPKGQWLDLLKTIGRGLTEDPSLDEMQRILSFSYYNLPSHLKTCLLYLSVFPEDYEISRHRLIWRWIAEGFIQSVKQETSLYELGESYFHELINRNLIQPLFIDGTGRAGYCRVHDMVLDLICSLSSEENFVNILHGAEKSTSNSGINNVRRLSFHNSMPELSTPQVDTTNMSQVRSVTLFRTIVDLIQSLPSFRFLRVLDLEGCYLGESSLKIHIRHVANLLHLRYLGLRSTRVRDLPMEIRKLQFLETLDLRGNDLVVPSSIVLLGCLMCLKVEDYRRMPVGMDKLVCLEELTGVRVDGSHALQKELSHLIKLRMLDFSLHRSNESVCKSLVESLGNLLKLHTLYIQNEDCTRFDGCWDSWVPPPHLRDLWFEKCTSRLPKCISSSLLPLLQFIHIVVDEVYGEDIEILGKLPALRSLRLVTTESQHTCVEMPTIGADTFPCLINCDFHNFATAPSLFPRGALPKLEYLQFYALASCIASEELDVTMEHLPSLQQVSVCVFPEKDRPSWVEKARAVLVLAADANPNRPTISIGLVEESEEN* >Brasy8G096900.1.p pacid=40082375 transcript=Brasy8G096900.1 locus=Brasy8G096900 ID=Brasy8G096900.1.v1.1 annot-version=v1.1 MVYCEMCGVFWESFVKSAKDGLIKGSINGVSSDSGTHAVSSSHCSVRQTFVVIPRKSMLAHPKDLDIHTLSLRY* >Brasy8G168500.1.p pacid=40082376 transcript=Brasy8G168500.1 locus=Brasy8G168500 ID=Brasy8G168500.1.v1.1 annot-version=v1.1 MTVSYSAALSVVLLLSLLSHSRSSDAPASTPVSPSTACNATTDPAFCRTVLPPHGTSDLYTYGRFSVAKSLASATKFVGLVDRYLARHRRLSRSAVGALQDCRLLAGLNVDFLSATGATLNNTTADDSTLLDTRGADDVQTLLSAILTNQETCIDGLQAASGAWSDRGGDGLASPIANGTKLYSLSLSLFTRAWVKEEPAEKRHHGHKGHRTKPPSPRTRRGLFDARDEVMVRRMAIEGPQGTVAVSRAVTVDKGGAGNYTTVGDAVAAAPTNLNGSGGYYVIYVLAGVYEENVVVPKKMKYIMMMGEGIGETVITGNRSVVDGWTTFQSATVAVHGQGFVAMNMTFRNTAGPANHQAVALRSSADLSTFYSCSFEAYQDTLYTHSLRQFYRGCEIYGTVDYVFGNAAVVFQDCDFYSRLPMQGQSNTVTAQGRTNPDQNTGTSIQGCRLAAATELAANAAFTTVTYLGRPWKNFSRTVVMESYLGALVDGTGWMPWSGDFALDTLYYAEYNNSGPGADTSRRVKWPGYHVLGDGADAGNFTVSNMVLGDNWLPQTGVPFTSGFNY* >Brasy8G241900.1.p pacid=40082377 transcript=Brasy8G241900.1 locus=Brasy8G241900 ID=Brasy8G241900.1.v1.1 annot-version=v1.1 MDGYGCRPAAPPAWQTSAWRADEPEELGVFTAERYFNGAEDALWCDRSSSAFSTVLKTWQHDGGSAPTPTAATSSSEASWNSRSALLPNGRCPVVAASAAAVEEKEKPNSSVTESELKIGRKPPHSSSSHLRRWLLGMAVCGCACRGVDGQESVSADDEEAEAGFVDGDTKGRTTEADATLPLPNASYGERRRREFLESLHPAPASAAGQGSSRPVPSANQSSAFTIVAGGNNTTTRGGACRSPPRGSSGVDDDDDDAAPSELGCMYPPSEASVVWSVVTAEGPASVGNFSSAASGCYYYFNDDGGHGEAFRGIKTSKRGSRNNGGLLPGCMSRRAVDAVVPPRAWAYRPEVVEPSPVTRVSGRPEVTGRW* >Brasy8G292800.1.p pacid=40082378 transcript=Brasy8G292800.1 locus=Brasy8G292800 ID=Brasy8G292800.1.v1.1 annot-version=v1.1 MGRFLGRFGPVLAAPLPAAAGRASARRGRRRRFSRRPCPPLLAARRAPAGRPLRHPCPPPAPPSLPAARSAAPARPCSKLLAAPLPASAAAAGRDSVRCWPRLCPPRAPPLPASAVACCARRRRRRWPRLCPLLAAPLPAAGAAPARLRRCLLRAPPPPPLAATLSAAGRASARRGHRRRFSRRPCSKLRAGAPREAAAAPAAGRGAASSGGQGRGENRPEPA* >Brasy8G208000.1.p pacid=40082379 transcript=Brasy8G208000.1 locus=Brasy8G208000 ID=Brasy8G208000.1.v1.1 annot-version=v1.1 MKQGEGATSSVKLMRKEGEGGTSQVKPKRKLRRIGDLAGAIILRTQGVEQEHPPPRLAANRREDGLSDEWDACAICDDGGELIWCEGGCLRSFHPTKICGQDSMCTSLGLTEEHWQTLQANKQEKYICKNCENKQHQCFACGLLGSSDLISGSEVFKCKEKICGHFYHPKCVAELLYPDSKDQALYFEKHVASELEFQCPMHRCSFCKEAENRDDTYMQLAVCRRCPTAYHQKCLPSDISFIEDNGEGTQQRAWDNILPDQILIYCMKHEIDKDLGTPKRDHIVFPDDRPLSEPPQSSQTAEMGSDQVKAIDSFAPKRLFLHPQPGSCGWIDD* >Brasy8G076400.1.p pacid=40082380 transcript=Brasy8G076400.1 locus=Brasy8G076400 ID=Brasy8G076400.1.v1.1 annot-version=v1.1 MELFRNARSVRLKSHLGTYLCAPDDGAVSHGYRRNPRGAVWAVEPAGEDCVRLQGHKGLYLGATELPAALDSGGRGSRSCCRVVLGSPSCANDNAFLWSPLREGKNAFLTLSGPYGRLLRARFGRRPSKDNAVTVDLGADPEESSWEVEIVAMANPPPPLPRAPPGVLARFHSTKESKGNKVSPEEEEEEAWSEEELLPKPSAARRIFYNTARDDGGVDGFDEGTWKDFMFDSQSLVALRRRLEEETRRTDFVVCRRMGARLFPVVLDLPPGNKGMEFILVLQSSRVANGLV* >Brasy8G138000.1.p pacid=40082381 transcript=Brasy8G138000.1 locus=Brasy8G138000 ID=Brasy8G138000.1.v1.1 annot-version=v1.1 MPSPTTLASFNALVASLARSGRPAQALCTFRDMLGRGFTPDHFTLPPVIRSCALTGSAALASSSHALSVKIGAHGNLFVGSALVLCYASLSNLPDARRLFDGMRQRDSVLWTSMLSAYAQGGKPEEALRFFEGMVVAEVQLDAVVMVSLLLACGQLGWRRHGRSVHACCVRMFLGMPLSLGNALVDMYVKCGELAFAEQVFSMMPRRDVISWSALIVGHGLSGRSDVALRLFDEMGAKGVEQNSVTFLGALSACAHSGMVDKAYAIFEQMKQQGIKPELKHYSCMADALGRAGRVVEAVNLIEEMPCKPDEAMLGGVLAACRVHGEMDAAEWISKRLMGMSPAKSGYYMSLANIYSDAGRYGDAERIRGFMKEVKVDKLPGYSSVELDVSISESQFV* >Brasy8G237100.1.p pacid=40082382 transcript=Brasy8G237100.1 locus=Brasy8G237100 ID=Brasy8G237100.1.v1.1 annot-version=v1.1 MASSQAIVLLCLLVLASSMHSLVQARMMPSGQEQVHAKKTVSSSATSSSSSSSDLLKVFMAPPPPMPYAAYRKMKIAGAKRRRASVVVQGSVPSPGIGHH* >Brasy8G255000.1.p pacid=40082383 transcript=Brasy8G255000.1 locus=Brasy8G255000 ID=Brasy8G255000.1.v1.1 annot-version=v1.1 MPPRRKANLGRQKIELKQIENPEARQVTFSKRRLGLFKKASELSVLCGAELAAVVFSPGGKAFSFGSPSADAVVNRLLATFFANNNNNAANAHAAGGGGGSSSSAPAAAAEALVELNKAYEELRAMMEQEKLRKERAEEEMKREREAAGCPAAVWLDADLAELCEAELVEFQAALMEVNDAVGLLADEVLRETFASAAPAPAPRRAMPRPMPRARGFANSVYEVGGSSGGNNNGGRVATEEMFQMDNMLQQLDLMGQIPPPPPPPVMGQIPPPLPGMGQVPPFPETMNLPPPPGMGQLPPFPETRDLPPPDFGPDGGFFLPDGGFFGPPPY* >Brasy8G072400.1.p pacid=40082384 transcript=Brasy8G072400.1 locus=Brasy8G072400 ID=Brasy8G072400.1.v1.1 annot-version=v1.1 MATAYTVDAKVRGLLEKSYSRATQIINTHMNILNKLTHLLIEETGRGGVLGPQRAGRSVRGLRRLTASVIVTYTRIQLVVGTTLI* >Brasy8G158700.1.p pacid=40082385 transcript=Brasy8G158700.1 locus=Brasy8G158700 ID=Brasy8G158700.1.v1.1 annot-version=v1.1 MCCWCCCLECIHNIPPINLIFHHFSDSLPSSAPGREEEEEVEAPVTSMASISVPDPVPAPTEDAENIRKAVEGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYDQPLIGRLQDELSGHFRGAMMLWTMDPAARDAKLAYKALRKKGGDRHAWVLIEVACASSPDHLVAVRKAYCSAYESSLEEDVAACSLYKDPLKQFLVRLVSSYRYAGGERVDEELARAEAAELHGAVVTRKQPLHGDVVRVISSRSKPQLKATFQHYKQHHGKAFDEVLEGNRNDQLSAMLKTAVWCLTTPERHFAEVIRSSIVGLGTDEESLTRGIVSRAEIDMKKVKEEYKARYKTTVTDDVIGDTSGYYKDILLTLVGPE* >Brasy8G158700.2.p pacid=40082386 transcript=Brasy8G158700.2 locus=Brasy8G158700 ID=Brasy8G158700.2.v1.1 annot-version=v1.1 MCCWCCCLECIHNIPPINLIFHHFSDSLPSSAPGREEEEEVEAPVTSMASISVPDPVPAPTEDAENIRKAVEGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYDQPLIGRLQDELSGHFRGAMMLWTMDPAARDAKLAYKALRKKGGDRHAWVLIEVACASSPDHLVAVRKAYCSAYESSLEEDVAACSLYKDPLKQASPRLLGAAGELVPVRRRRARGRGAGEGGGGRAARRGGDQEAAAARGRGPRHQLQEQAAAQGHVPALQATPRQGLRRGPRRESQRPALGDAENRGLVPDNA* >Brasy8G284100.1.p pacid=40082387 transcript=Brasy8G284100.1 locus=Brasy8G284100 ID=Brasy8G284100.1.v1.1 annot-version=v1.1 MSATSPTMSRTASWTSPSSRRRRSLGGAARELSIKKLRTMVEEVSDRNLRYRLINENAGSKPTAAEEQASIASAAMFGIKEASLGSLQKVESKADLLQLITSGEEELRVIAMWGTSGDLGKTSAIQEVYDDPKVLKKFGFRAWIRLMHPFNPQELIQSLKMDQNELVHVFNTQVSSNGYLIVVNNLSTIEEWHCIKEYFPDNKKQSRIIVSTQQVEIASLCTEKPYQVSELKQVSCDQTIYLFHKKNSEARASMASASAAMLNINKQKQAASEKEKLKLQKSIQEDPAAATATGAQKKSIAVMELTELKEELARLLKIQNCLVVPDDISTIKEWEDVEKCLGNARRIIVTTREKNIAEKCSRECKKNIYSLEGLKDEAALDLFIKKIFKDNTDKNDLAPAMMEQARLILKKCDGLPLAISTIGGFLSTKPRTAIEWRKMYDCISSELEINPELRTIKTILMRSYDGLPYHLKSAFLYLSIFPEDHRIRWDRLVKRWIAEGYSRDMHVITGKELGRRYFDELLDRSMILPGDEVSHYSGKINSCQLHDMIREICLAKAREENLVFTLEEGFCLSSTQGAIRHLVIGSNWKRDKDVLESMVDLSHLRSLTVFGEWRPFFISDKMRFLRVLDLEDTLGLRDHHLDQIGQLHHLIYLSLRECVNIFCLPDRLGNLRHLQTLDVRGTHIFELPATITKLRKLQHVRTSECLKTGNIKGEPDIFYNYYKRGVNVPLLTDLLGKSCSLFLSTSPLFLRPEVLDAGLNMHDILNLYRFAMLRLSETASFWDQEVYMVLKFLEGLVS* >Brasy8G119800.1.p pacid=40082388 transcript=Brasy8G119800.1 locus=Brasy8G119800 ID=Brasy8G119800.1.v1.1 annot-version=v1.1 MFTRRKGTCVSYIIPFSPLGFLLRRRRFTSPRQRKASSMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKGTKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGTGTDKKK* >Brasy8G057900.1.p pacid=40082389 transcript=Brasy8G057900.1 locus=Brasy8G057900 ID=Brasy8G057900.1.v1.1 annot-version=v1.1 MWSDDQKDSYLRHIIQGNINMMAMSVKASKVDWPLDEHGVLQPMLTAIITHDKVASYNTSEPLDYIRLCRNVLKKFDVPTDVANEIKDGAGFLRKMEEWTPGIWPLLYESIGKY* >Brasy8G177300.1.p pacid=40082390 transcript=Brasy8G177300.1 locus=Brasy8G177300 ID=Brasy8G177300.1.v1.1 annot-version=v1.1 MANPIIPTSGGNGENEATTKLGRLNASVERSWVGRRFRLSARGTTFTTELRAGTATFLTMAYILAVNASILSDSGATCTVDDCHAPSPRCKFPPVDPGYDACLSRVRRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISVVGLRSKLAQFIPKPVRISSSAGIGLFLAFIGLQSSQGMGLVGFSSSTLVTLGACPVSQRASVAPVMTFPNGTVALIPGGTVSGDILCLSGRMTSPTFWLAVVGFIIIALCLMRNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFSYFKRVFDVHRIRSTAGALDFSGATHGYFWEALFTFLYVDILDTTGGLYSMARFAGFMDDATGEFEGQYFAFMSDATAIVFGSLLGTSPVTTFIESCAGIREGGRTGLTALTAAGYFMAALLVTPLLASIPSWAVGPPLVLVGVMMMRAVAEIDWADMRQAVPAFMTLALMPLTYSIAYGLIGGIGAYLVLHSWDLACDAAAKVGWRRKMGCGETARAETETSSTGGGNVEPVKDGELRP* >Brasy8G132400.1.p pacid=40082391 transcript=Brasy8G132400.1 locus=Brasy8G132400 ID=Brasy8G132400.1.v1.1 annot-version=v1.1 MVDYQLSNSGEPNATTPIAAMDQVVQNPLLLFGPADDSKNAILPWRQSKQIPASFVWPHADTHPPSSSSTTTSTELDVPVVDLAAALRDAAGMRAAAAQAAAACASHGFFLVTGHGVDPALARAALDGAAGFFRLPLATKQRARRVPGSVAGYAAAHADRFAANLPWKETFSFRHQHHDDGNAVLDYFTSTLGDDFRPLGEVYQEYCGAMEAASLAIMEVLGTSLGVGRRHYRDFFADGSSVMRCNYYPPCPEPERTLGTGPHCDPSALTILMQDGGVDGLQVHVDGGWRPVRPKPGQLVVNIGDTFMALSNGRYKSCLHRAVVHRERERRSLAYFLCPRKDRVVRPPPSPAPRLYPDFTWADLSRFTQRHYRADARTLDAFVRWLGPAKAQEIV* >Brasy8G175700.1.p pacid=40082392 transcript=Brasy8G175700.1 locus=Brasy8G175700 ID=Brasy8G175700.1.v1.1 annot-version=v1.1 MPHIPLRCGGCDNLGHDVMQCTERKPWDYVGPYYGSPEFGQGFYSIPVHRASVAVKEKMFYGMVTVIKEPVGARDIEAELRGLAGPDCTWLSYFPSVTMRSVRDALMKVEKWRNDIQPKAQLQVAWFRVGGIPSSFRTKEIAFFVGNLVGKTKGVDRSSLFNEAYVRVQIACKDVSLIPATKEGEIEEGIYEFTYARELPGEPLRETNEIQISGIRNC* >Brasy8G288200.1.p pacid=40082393 transcript=Brasy8G288200.1 locus=Brasy8G288200 ID=Brasy8G288200.1.v1.1 annot-version=v1.1 MSSPRSRSVVPNRAGGRPYPSTKPSPPQIPSHGGALSHCRQRSGAAGSPPAAMSSRRHRKEKGRIFIPATPAASARTSRRCQIRAPLSLSPPTLSLSPPTLSLSPPRSPRFCCALHLLFAAAAFPSVLRCAPSNKKASPFCPRRGSRRIRWLRGRIW* >Brasy8G266200.1.p pacid=40082394 transcript=Brasy8G266200.1 locus=Brasy8G266200 ID=Brasy8G266200.1.v1.1 annot-version=v1.1 MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKIRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMALKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR* >Brasy8G020900.1.p pacid=40082395 transcript=Brasy8G020900.1 locus=Brasy8G020900 ID=Brasy8G020900.1.v1.1 annot-version=v1.1 MGNARAICPAPGLVQLSPEMRPAHASDWDSAEDTTRAHDGTGAGPLLLYGRGFLAGIDRRQQKKAAANAAAIHKKPAASDHDDDLDGTRLEYRRHGTAKALSEMTERDWRILREDFDISYKGSRSGVPPRPMRTWAESALGDPLLRAVAMAGYATPTPIQMAAVPLGLQRRDVIGVAQTGSGKTAAFVLPMLAYIMNRLTSQPPMMSSREADDDHDPQGPYAVVMAPTRELAQQIERETTKLAAAACHGSIKVVSVVGGQPIEEQAFRIRRGCEVIVATPGRLLDCLERRHLVLNRCSYVVLDEADRMVDMGFEPQVASALDAMPTSNLKPENEAEELDEQKKVYRTTHMFSATMPAAVERLARKYLRNPVVVTVGGSAGKATELVTQNVTMVKDSEKMPRLKRILTQLGDNNTTIVFCNTKSSVDSCAKDLDRAGFKVATLHGGKSQDQREASLDGFRNRRFNVLVATDIAGRGIDVPDVAHVINFEMPGAVDAYTHRIGRTGRAGKKGLATSFLTLRDSEIFFELRQMLVQSNSHVPPELARHEASRFKPGSVPDRHPRRNDTVHASR* >Brasy8G100000.1.p pacid=40082396 transcript=Brasy8G100000.1 locus=Brasy8G100000 ID=Brasy8G100000.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKWLSRASECFAGHEDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy8G175200.1.p pacid=40082397 transcript=Brasy8G175200.1 locus=Brasy8G175200 ID=Brasy8G175200.1.v1.1 annot-version=v1.1 GQANYQAFLRAEGLRVSDGIVPVNNLVDSPMTAWNDMLSGASSGSGEFVLAEGAQRSLEMPNVICSFAFLPEFTTFAKLDGGGHISLLNSVLHSLFKPNLFCGAKQLRKFYGPPFSFVRTSFKTSFKRSADHVPSRRVVRQLCFEGEASVSLAPVLEVFQPPTPVKRGRKLRSATPVVTSGLRRSPRSNIYKGFKVIQPSDCRKNNSKVKGKVVVDMSEASSTVPALISVEDLQKIGKNVCAIPDDEITSEKLLAESSED* >Brasy8G217600.1.p pacid=40082398 transcript=Brasy8G217600.1 locus=Brasy8G217600 ID=Brasy8G217600.1.v1.1 annot-version=v1.1 MRCDRDRGVGVASRSTMHGRRGAYAWAAGRRPPACGRRRGGKGGTSSQLRGKLHIHAAVVLYNYYHRKLSPQLAFADANRFFMCASLPVGEDLLTFLYTVNECENNSGEDVLYATDKAAMEACEIAEALDASKHFPDMSSWPIAKVAVLLLNPTRKKCLIEYSSDTKGVWSIIEKEFDAAASNSQSCNQSAGQESMNKGTFGALDGPYMLQQLAFTEVERRTGMKRSNLRLLDEDLTYSLSTKRTATKLFIMEYEQTLKSNHVEKPLEELICSMSGPLFVNDLFPRTSSVIEYFHILPYKQILLQLLHRKWPSDSALNEQSCQHGNVEEQANSKSKMRKRTTKMSTPKQNRQAIKSIDTNSNNNCSTSKHRKNNKRIYKASRNTTSVNMEGQDDESPLRQNYSLIVVDAETSKLATKSRNTKEIAGGTSGGNIVIQTCVQMDKKKRQKQSICGDIIPDVFSTKAPSFDPVMENSALEGQNMGVSEKSGGITENKNDQMYDSLQSIQKIRDDLLRKQHILEERSAQCEMDIQTILSEGKMTPSVISIIDKYKKTSSNMAEVANSSCYGDGGQTLTKRMRLREALRLRNKCHELDEICRGSNWILPRYSVIPSVADGMFDACVHLRGLDFDMRINGGRRMTPYEARCSAAANMIIELQKKAKEE* >Brasy8G217600.3.p pacid=40082399 transcript=Brasy8G217600.3 locus=Brasy8G217600 ID=Brasy8G217600.3.v1.1 annot-version=v1.1 MRCDRDRGVGVASRSTMHGRRGAYAWAAGRRPPACGRRRGGKGGTSSQLRGKLHIHAAVVLYNYYHRKLSPQLAFADANRFFMCASLPVGEDLLTFLYTVNECENNSGEDVLYATDKAAMEACEIAEALDASKHFPDMSSWPIAKVAVLLLNPTRKKCLIEYSSDTKGVWSIIEKEFDAAASNSQSCNQSAGQESMNKGTFGALDGPYMLQQLAFTEVERRTDLTYSLSTKRTATKLFIMEYEQTLKSNHVEKPLEELICSMSGPLFVNDLFPRTSSVIEYFHILPYKQILLQLLHRKWPSDSALNEQSCQHGNVEEQANSKSKMRKRTTKMSTPKQNRQAIKSIDTNSNNNCSTSKHRKNNKRIYKASRNTTSVNMEGQDDESPLRQNYSLIVVDAETSKLATKSRNTKEIAGGTSGGNIVIQTCVQMDKKKRQKQSICGDIIPDVFSTKAPSFDPVMENSALEGQNMGVSEKSGGITENKNDQMYDSLQSIQKIRDDLLRKQHILEERSAQCEMDIQTILSEGKMTPSVISIIDKYKKTSSNMAEVANSSCYGDGGQTLTKRMRLREALRLRNKCHELDEICRGSNWILPRYSVIPSVADGMFDACVHLRGLDFDMRINGGRRMTPYEARCSAAANMIIELQKKAKEE* >Brasy8G217600.2.p pacid=40082400 transcript=Brasy8G217600.2 locus=Brasy8G217600 ID=Brasy8G217600.2.v1.1 annot-version=v1.1 MCASLPVGEDLLTFLYTVNECENNSGEDVLYATDKAAMEACEIAEALDASKHFPDMSSWPIAKVAVLLLNPTRKKCLIEYSSDTKGVWSIIEKEFDAAASNSQSCNQSAGQESMNKGTFGALDGPYMLQQLAFTEVERRTGMKRSNLRLLDEDLTYSLSTKRTATKLFIMEYEQTLKSNHVEKPLEELICSMSGPLFVNDLFPRTSSVIEYFHILPYKQILLQLLHRKWPSDSALNEQSCQHGNVEEQANSKSKMRKRTTKMSTPKQNRQAIKSIDTNSNNNCSTSKHRKNNKRIYKASRNTTSVNMEGQDDESPLRQNYSLIVVDAETSKLATKSRNTKEIAGGTSGGNIVIQTCVQMDKKKRQKQSICGDIIPDVFSTKAPSFDPVMENSALEGQNMGVSEKSGGITENKNDQMYDSLQSIQKIRDDLLRKQHILEERSAQCEMDIQTILSEGKMTPSVISIIDKYKKTSSNMAEVANSSCYGDGGQTLTKRMRLREALRLRNKCHELDEICRGSNWILPRYSVIPSVADGMFDACVHLRGLDFDMRINGGRRMTPYEARCSAAANMIIELQKKAKEE* >Brasy8G217600.4.p pacid=40082401 transcript=Brasy8G217600.4 locus=Brasy8G217600 ID=Brasy8G217600.4.v1.1 annot-version=v1.1 MCASLPVGEDLLTFLYTVNECENNSGEDVLYATDKAAMEACEIAEALDASKHFPDMSSWPIAKVAVLLLNPTRKKCLIEYSSDTKGVWSIIEKEFDAAASNSQSCNQSAGQESMNKGTFGALDGPYMLQQLAFTEVERRTGMKRSNLRLLDEDLTYSLSTKRTATKLFIMEYEQTLKSNHVEKPLEELICSMSGPLFVNDLFPRTSSVIEYFHILPYKQILLQLLHRKWPSDSALNEQSCQHGNVEEQANSKSKMRKRTTKMSTPKQNRQAIKSIDTNSNNNCSTSKHRKNNKRIYKASRNTTSVNMEGQDDESPLRQNYSLIVVDAETSKLATKSRNTKEIAGGTSGGNIVIQTCVQMDKKKRQKQSICGDIIPDVFSTKAPSFDPVMENSALEGQNMGVSEKSGGITENKNDQMYDSLQSIQKIRDDLLRKQHILEERSAQCEMDIQTILSEGKMTPSVISIIDKYKKTSSNMAEVANSSCYGDGGQTLTKRMRLREALRLRNKCHELDEICRGSNWILPRYSVIPSVADGMFDACVHLRGLDFDMRINGGRRMTPYEARCSAAANMIIELQKKAKEE* >Brasy8G259800.1.p pacid=40082402 transcript=Brasy8G259800.1 locus=Brasy8G259800 ID=Brasy8G259800.1.v1.1 annot-version=v1.1 MVLARKKLKQKLRTLVPPGGEAEAGDDAEAQKIKVRLVSSKRHRPKRPKKPSPEEPPPAPAAEEEELEDKVEEEEEPVVEEEDLEEQAEEEPAVDAAALALQAEVERRREERRKEKKEKRRVRRLLEAEAAEKQKLEVAVADPAVSEDSEQNLNITKVEGEENKSKSEVAGQGVGSDNPIVSEDREQSIKKVYVGGIPYYSSEDDIRSFFQGCGSITALDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKVQPYKANREKVKSNDEKEDFAPKMIEGYNRIYVGNLAWDITEDDLRKFFSDCKISSIRFGTDKETGDFKGFAHIDFSDGTSLAVAMKLDQNVIKGRPVRIRCAVPRKESQKPDDNANSDSSKNKIRTCFECGTPGHLSFACPNKKPSEDISTETMAATDSAEAPSKKRRTCYECGVPGHLSSACPNRKASEVVSDQNKANIDSITAASKKRRTCYECGIPGHLSSACPNKRAAEVVSNNMQSVDEPKSAPSMAFEQSKVADVSNSAPSKRRKCYECGISGHLSSACPNKKDSQINSNETKPNGNSNAVPPAISSEKKASDDTNPAPPKKKKRRTCYECGIAGHLSSECPNKAAAQCK* >Brasy8G044900.1.p pacid=40082403 transcript=Brasy8G044900.1 locus=Brasy8G044900 ID=Brasy8G044900.1.v1.1 annot-version=v1.1 MATSSSLGLSTSFLPGHDTRRRRRPPRGPAASFRPVTAELGAEVGRQLVEAVGVGLPCTVMACGDVIYRSTLPHNDGLTITAPGVALALAAASYLWATPGVAPGFFDMFVLAFAERLFRPTFRKDDFVPGKKLGEGAFGVVYKASLSDPKAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFVYGFRESKPKGKGADDYWLIWRFEGEATLSALMQSKEFPYNAETKILGNVQDLPKGIARENRIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEESRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPALRTDSSLIQFNRQLKRCNYDLEAWRDLVEPRATPDLRRGFDILDLDGGIGWELLTSMVRYKARQRTSAKAALAHPYFNSEGLLGLSVMQNLRLQLFRATQKDYSEAARWVVGLMAKSGTEAGGGFTEAQLQELREIKPKKDSAQRNVLASMLRVQRKIVRTINESMDELTSQRKSIWWSRWIPREE* >Brasy8G120400.1.p pacid=40082404 transcript=Brasy8G120400.1 locus=Brasy8G120400 ID=Brasy8G120400.1.v1.1 annot-version=v1.1 MALSGGAGRGVGRNPRRAGGPKKSELSLCFCPQGLSVAPHRGFGCVLGGDEGEEDTAAGGGFQKTSPSPPSLCSYYEWIDHEQPAWAKYDIEYDHKVVWEKFHAATRREEAAEKMKRWQEEQRQKKEEKEREEKELREAERERKRERARRAQEDAADGKGKGKWPRWTQ* >Brasy8G089300.1.p pacid=40082405 transcript=Brasy8G089300.1 locus=Brasy8G089300 ID=Brasy8G089300.1.v1.1 annot-version=v1.1 MMTFPDDEKMKGCRPKIFRAKDKKATKKIDRPSSSTVKSGPSSSTAQPSSSFKTLSEVRSIRLSHFLARSPSATKTEPFRVFVSTWNVGGNTPTAELNLDDFIPADDHSDIFVLGFQEIVPLNAGNVLVMEDNEPAARWLALINRTLNRPVYGDADIFQHKPSLSLDSTSSRSSSNLDASFSNRSSSAIFQMSSLKSIRKPYMPTQRKLLKLCNCSVEMTRKSYKDACFGCPQSYINETDSSEEDEVDDRPNNAYGYVVDGVTSTVSASRDQLKYNLVSCKRMVGIFVTVWAKKELVPHIGHVRTSCVGRGVMGYLGNKGCISVSMTLHQTSFCFICSHLASGEKEGDELRRNSDVLEILRVTQFPRICRRAGQRIPEKIIDHDRVIWLGDLNYRISLSYEDTKELLTENNWDALFQKDQLNIERNSGRVFKGWSEEKIYFAPTYKYTFNSDSYAGETETSKKKRRTPAWCDRILWHGDGIAQLSYFRGESKFSDHRPVCGSFNVEVDLLDSKSKKRASNTNIRIGAEELLPTSKNKVNKGAGIKIT* >Brasy8G277100.1.p pacid=40082406 transcript=Brasy8G277100.1 locus=Brasy8G277100 ID=Brasy8G277100.1.v1.1 annot-version=v1.1 MPDASPLPLSRLLLLHLGRRRLSSSSTTSPPRRLWSPIAAFAAATERVRSGTLSTEDAHHLFDELLHQTTPVPVRALNGFLTSLARAPSSAACRDGPSLAVALFNRMCGEEAGHRVAMPTVCTYTILMDCCCRARRPTVGFALFGRFLKTGLKMDVIVASILLKCLCHAKRSDDAVNVLLQRMPELAVVPDTISYTTVLKTLCEDSRSQRALDLLHTMVKKSGGCSPDVVSYSTVIHGFFREGEVSKACNLFHEMMQQGVVPNVVTYTSIIDALCKARAMDKAELVLRQMISNGFEPDKVTYTCMIHGYSILGQWKETAGMFREMTSRGLMPDIVTCTSYMSSLCKHGKSKEAAEFFDSMGAKGHKPDLATYSVLLHGYATEGCFVDMLNLFNSMERNGIVAHQHVYNILIDAYGKRGMMDEAMLIFTQMQEQGVNPDACSYRTLISALSRMGRLADAMDKFNQMLAMGLKPNGIVYHSLIQGFCMHGNLVKAKELVSEMMSRGIPCPNIAFFSSIINSLCKEGRVMDAQEIFDLVIHIGERPNVIAFSSLIDGYGLVGKMEKAFGVLEAMASAGVEPDVVTYNTLIDGYCRNGRINDGLILFREMSSKGVKPTTITYSIVLHGLFNDGRTVDAKKMCHEMIESGTTMDISTCGIILGGLCRNNCDDEAIALFKKLGAMNVKFNIAIINTIIDAMYKVRKREEANELFDAISATGLVPNASTYGVMITNLLKEGSVEEADNMVSLMEKSGCAPSSRLLNDIIRMLLEKGQISEAGNYLSKVDGKTISLEASTASLMLSFFSRKGKYREHIKSLPAVYQFFDEFG* >Brasy8G267400.1.p pacid=40082407 transcript=Brasy8G267400.1 locus=Brasy8G267400 ID=Brasy8G267400.1.v1.1 annot-version=v1.1 MFYSHQLLARKAPLGQIWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLVDINEAWRIKPVVDHTVLPKGKAQAKYKAVTLPENMMDMEVEQPMRFSDTNTARFRGMSLDDLGEQYFNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDDNTVNITPDEHPQAPSTLAPSPPRQEDPPQQQEQYYAAPSPNQEEPQQGDPSKDQEEQKMKERQPNRPSKRKARGKGPQVTMDNQTMIPGNIYQTWLKDPLSLISKRRRVSSKINIIQAIKIGDLMELPPVALIILSEAAYAALLKSFLQFIQPCIRLSCNAFCFFWQ* >Brasy8G267400.2.p pacid=40082408 transcript=Brasy8G267400.2 locus=Brasy8G267400 ID=Brasy8G267400.2.v1.1 annot-version=v1.1 MAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLVDINEAWRIKPVVDHTVLPKGKAQAKYKAVTLPENMMDMEVEQPMRFSDTNTARFRGMSLDDLGEQYFNVNLDDDDFSRAEHHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDDNTVNITPDEHPQAPSTLAPSPPRQEDPPQQQEQYYAAPSPNQEEPQQGDPSKDQEEQKMKERQPNRPSKRKARGKGPQVTMDNQTMIPGNIYQTWLKDPLSLISKRRRVSSKINIIQAIKIGDLMELPPVALIILSEAAYAALLKSFLQFIQPCIRLSCNAFCFFWQ* >Brasy8G178100.1.p pacid=40082409 transcript=Brasy8G178100.1 locus=Brasy8G178100 ID=Brasy8G178100.1.v1.1 annot-version=v1.1 MTPFYLARSASKVLRRITSETSVELKILSQKWRLLLAGVLFQYIHGLAARGVHYLHRPGPTLQDLGFMVLPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSPLARLPPPQNVAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFIKVLAWCIAIAQSLLIVASRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSAVSASALPLSVKDIKLKEESTRLLNGNSVEAPDRRPRTQINGKPLENGNHLDTETTIT* >Brasy8G232100.1.p pacid=40082410 transcript=Brasy8G232100.1 locus=Brasy8G232100 ID=Brasy8G232100.1.v1.1 annot-version=v1.1 MGEPRRGLADQGRRGRRAATNWSGSGERTETENRAQAMVASAKDEKSTGSGRSSTGELNGAVVGVVFLHGDEGEHTVRRPHRPRREN* >Brasy8G079300.1.p pacid=40082411 transcript=Brasy8G079300.1 locus=Brasy8G079300 ID=Brasy8G079300.1.v1.1 annot-version=v1.1 MEQELRSGGPALPRAHSSGSGGSICFSGAAPLVDGPRIQQLLLHCAAALESKDVTLAQQAMWVLNNIVSSSHGDTPNSRLTSALLRGLVARACRTCVSPGSVAAGPGPSRAGGNGMSATALAEYVDLNPWHRFGFTASNGAILRAAAGRPALHVVDLSVTHCMQWPTLIDALSKRAGGPPALRISVPRARPAVPPLLAAPDAALLGPRLANFAKSRGVHLEFHVMDVKDELASVLSDREALGLRDGEALVVNCQSWLRHVAPGSRDGLLDAVRALEPCLVTVTDEDADLDSPSLASRIAGCLEFHWILFDALDTCAPRDSPRRAEQEAALAQKIESVVAADGYDGAAAERSECGARLSERMRRRGFDGVGFGEEVAAEVRRLLGEHANGWGVKTEEDMMVLTWKGHGAVFTTAWAPS* >Brasy8G148800.1.p pacid=40082412 transcript=Brasy8G148800.1 locus=Brasy8G148800 ID=Brasy8G148800.1.v1.1 annot-version=v1.1 MQHLILAALSAAVLSACLLHAESADLNSDKQALLAFAASLPHGRKLNWSSTTPLCTSWVGVTCTPDNSRVHTLRLPAVGLFGPIPSDTLSKLDALEVLSLRSNRLTVDLPPDVGSMPSLHSLFLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLRVQNLTGLTAILLQNNSLSGPIPDLQLPKLKHLNVSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLESCPGTAPSPSPTSPSSMPSKTKKSFWRRIRTGVLIAVAAAGGVLLLILIIVLLVCIFKRKKHTEPTTTSSSKGKAIAGGRVENPKEDYSSSVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTVVVVKRLKEVVVGKKDFEQQMEIVGRIGQHQNVVPLRAYYYSKDEKLLVYDYIPTGSLAAVLHGNKTTGRAPLDWETRVKISLGVARGIAHLHAEGSGKFTHGNLKSSNILLSQNLDGCASEFGLAQLMSNVPAPARLIGYRAPEVMETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSVGDLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQLLQVAMACVAIPPDQRPKMEEVVGRITEIRNSYSGAMTPPEETAPVPP* >Brasy8G184900.1.p pacid=40082413 transcript=Brasy8G184900.1 locus=Brasy8G184900 ID=Brasy8G184900.1.v1.1 annot-version=v1.1 MVGIFKPQAVRLSTEVSSLHPSASDEYKQVDVTLPSHPVDRTATTRNGPPCPWQPLWSQPSVVQSTAMLKLKSVWPWAVAAVQRNPSVKVSLGRLKGGGGDKSAVSSGSDVLEASQDGRCPMELTVAAAEPAVGEDAAPRLADGGGADEVLWLIRRKAEEYLLEELVQQHRRRRRHGVAAETSVLGGWGLAKSASTEQSRRVPVLDATGRASPAAAWRNGGGEGFGRVNLVTST* >Brasy8G116600.1.p pacid=40082414 transcript=Brasy8G116600.1 locus=Brasy8G116600 ID=Brasy8G116600.1.v1.1 annot-version=v1.1 MTHENIAHQWPHAVLFLQAYRRHDNHLVHCLGQVCLFDERIDQPISSGP* >Brasy8G069900.1.p pacid=40082415 transcript=Brasy8G069900.1 locus=Brasy8G069900 ID=Brasy8G069900.1.v1.1 annot-version=v1.1 MAPPPNARATVLLLLLLTALLALASAPGGVVSASGVFKVRRRFARPGGEGGGGNLTAHLAHDGDRHGRLLAAADVPLGGLGLPTGTGLYYTKIEIGTPPKPFHVQVDTGSDILWVNCISCDKCPTKSGLGIDLALYDPKGSSSGSAVSCDNKFCAATYGGGDKLPGCTAGKPCEYRAEYGDGSSTAGSFVSDSLQYNQLSGNAQTRHAKANVIFGCGAQQGGDLESTNQALDGIIGFGQSNTSTLSQLASAGEVKKIFSHCLDTIKGGGIFAIGEVVQPKVKSTPLLPNMSHYNVNLQSIDVAGNALQLPPHIFETSEKRGAIIDSGTTLTYLPELVYKDILAAVFQKHQGITFRTIQGFLCFEYSESVDDGFPKITFHFEDDLGLNVYPHDYFFQNGDNLYCLGFQNGGLQSKDAKDMVLLGDLVLSNKVVVYDLEKQVIGWTDYNCSSSIKVKDDKTGATYTVDAQDIHSSSSGWRSQWQESWIQLLVTMVCGYLIF* >Brasy8G069900.2.p pacid=40082416 transcript=Brasy8G069900.2 locus=Brasy8G069900 ID=Brasy8G069900.2.v1.1 annot-version=v1.1 MAPPPNARATVLLLLLLTALLALASAPGGVVSASGVFKVRRRFARPGGEGGGGNLTAHLAHDGDRHGRLLAAADVPLGGLGLPTGTGLYYTKIEIGTPPKPFHVQVDTGSDILWVNCISCDKCPTKSGLGIDLALYDPKGSSSGSAVSCDNKFCAATYGGGDKLPGCTAGKPCEYRAEYGDGSSTAGSFVSDSLQYNQLSGNAQTRHAKANVIFGCGAQQGGDLESTNQALDGIIGFGQSNTSTLSQLASAGEVKKIFSHCLDTIKGGGIFAIGEVVQPKVKSTPLLPNMSHYNVNLQSIDVAGNALQLPPHIFETSEKRGAIIDSGTTLTYLPELVYKDILAADNLYCLGFQNGGLQSKDAKDMVLLGDLVLSNKVVVYDLEKQVIGWTDYNCSSSIKVKDDKTGATYTVDAQDIHSSSSGWRSQWQESWIQLLVTMVCGYLIF* >Brasy8G157900.1.p pacid=40082417 transcript=Brasy8G157900.1 locus=Brasy8G157900 ID=Brasy8G157900.1.v1.1 annot-version=v1.1 MGSGSSKGALEVASSSSGGGEAKKRNGKWRGRSLLPLPSSSCFRGFAPECDASSTPPPPPPPPRVESSKGGDTASLPSVPHIDKSDDDAPTVPKSHPSEGRTVPSSDSDRDQDDDILQNATTTSIVVEEYQSPNPSDRSRPCFGVNFGLSRAVSLGSSVACSILSSGLSTSVNPVGGRGNVDHSSVANISQQSGSSTSGIDSTLDMLRDSVTTQARAAHQARRTLMESEDASLRYSYRRMGSQESSEGSVRFSRTLSVGRLRDRVLRRTPLSDGLFTPSLLYDRPVWPAGNASARQDSSVMQRTNSDRRSELQSDSSTSMNTPGSGTIRETSNRDLLERRSAFLERRRRIRSQVRALQRLGGRFENLSGHERSCILSGQHRTGNCNCRTSNRPGNSDEETGTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFPSIGSVPAPKEIVECLPVKVCRKPLKQQADEAAQCYICLVEYEEGDCVRVLPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCKSDSSSMGKLS* >Brasy8G009600.1.p pacid=40082418 transcript=Brasy8G009600.1 locus=Brasy8G009600 ID=Brasy8G009600.1.v1.1 annot-version=v1.1 MAAASSPETALSGAGGPKHDEVEEEEEEECRICRLPSEPDRPLRHPCACRGSIRFVHDDCLLRWLATRQKLRCEVCKRDISTRPLYASDAPAKLPISDFMLGLPNKLIGLLPLVFAIYVVRDFVIHLTALWAWRLAFARTFTQVRYMFSLRLTTTSIPALFVLWIALARRVAPFAIGPFARWIGRLETRHPRFGGIDGMQVLALRVVEGFIMVFIADIALAFVVGFLPFSMGRIILRCLLCFNLGNMDETDSHTSTTSILLTGYGFIFSGGVAFAGLNTLCQYLRGERLMLAIFFRWLLDIFVRGIADLIIVGNICLNLLTAVIIYPLFFGWLLDICTSKMFGATMPQRFELLFASSFASVALHWHIGLIFFKLGSRLFRPFCQILRPRVAFPSVHQDIFAPVYKFHSKKLGGLFVGVIFMIMVISIPIQIANILVPELFPLDITYFRGTDDRSFWQAPQNYADSVFGLLLLRFFICHTQTLIYLEWSVKKIMRYSFVTIWPDGASGHEVGSSVVPKDQYGSTDEAKDKWRPVALNVALVWLTVVVFNVAMLTLPISVGRALLSTLSRLPLARSWKSNDLCALVVGFGIMSTIIAAFKDSVAYMTSGRTHVLSLKRSLIMFLWLVIIPFLMGLLVDLSLISPFAGRDNEDRVLDFFYSWFLGQLLLRVWLGLVHRSRAIPFLVYFIGERWDSKLTEAKADWVSGAISVCWFFQHLFMPMATKLLTMLVVPYVFATGLFPSFGYSAAVNTAVYHFIWLGNLTFYVFCYLAKVSYAILHDSIRDDLYIIGQRLEDVAD* >Brasy8G009600.2.p pacid=40082419 transcript=Brasy8G009600.2 locus=Brasy8G009600 ID=Brasy8G009600.2.v1.1 annot-version=v1.1 MLVGVRSQFGSLCAGKFFTHSLSTLRRRLCRIRPDRLEGRSFLFMVCKRDISTRPLYASDAPAKLPISDFMLGLPNKLIGLLPLVFAIYVVRDFVIHLTALWAWRLAFARTFTQVRYMFSLRLTTTSIPALFVLWIALARRVAPFAIGPFARWIGRLETRHPRFGGIDGMQVLALRVVEGFIMVFIADIALAFVVGFLPFSMGRIILRCLLCFNLGNMDETDSHTSTTSILLTGYGFIFSGGVAFAGLNTLCQYLRGERLMLAIFFRWLLDIFVRGIADLIIVGNICLNLLTAVIIYPLFFGWLLDICTSKMFGATMPQRFELLFASSFASVALHWHIGLIFFKLGSRLFRPFCQILRPRVAFPSVHQDIFAPVYKFHSKKLGGLFVGVIFMIMVISIPIQIANILVPELFPLDITYFRGTDDRSFWQAPQNYADSVFGLLLLRFFICHTQTLIYLEWSVKKIMRYSFVTIWPDGASGHEVGSSVVPKDQYGSTDEAKDKWRPVALNVALVWLTVVVFNVAMLTLPISVGRALLSTLSRLPLARSWKSNDLCALVVGFGIMSTIIAAFKDSVAYMTSGRTHVLSLKRSLIMFLWLVIIPFLMGLLVDLSLISPFAGRDNEDRVLDFFYSWFLGQLLLRVWLGLVHRSRAIPFLVYFIGERWDSKLTEAKADWVSGAISVCWFFQHLFMPMATKLLTMLVVPYVFATGLFPSFGYSAAVNTAVYHFIWLGNLTFYVFCYLAKVSYAILHDSIRDDLYIIGQRLEDVAD* >Brasy8G143800.1.p pacid=40082420 transcript=Brasy8G143800.1 locus=Brasy8G143800 ID=Brasy8G143800.1.v1.1 annot-version=v1.1 MHPLLNNPLSGGCAFPPVAAALRLSSASLPVLGRSAGRGKRRRAGLARASSDGSDGAVAGAVTEGEGGVGAVAGQSGASAESAPKTQPAPVDPRIEKELKKAVQKTAATFAPRASTATKNPAVPGSTLYTVFEVQAYASMLVGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFILVPLINVIIPFFVKSFAVVWSADTVAFFMMYAWKLGWLQKSD* >Brasy8G202300.1.p pacid=40082421 transcript=Brasy8G202300.1 locus=Brasy8G202300 ID=Brasy8G202300.1.v1.1 annot-version=v1.1 MNDEESGSEINRKCAVQLISDSSDEDDKCINELERPDDSDNQNEKFFNDVTNMVLKGLKQKRRRKTMRKESRKRSKLGIQIGMGSGDENAPTAYSRCNVHYMHEVIRSFINCERKCGLIRGAGFGHILDFDVCSVPRRFAQYLADNTNTNTEEVCLGQQRIKLSAEFVSLIVGTPSGGTTIQMGGEDGKILFLASMGLDELPPIKFFGNKIIKGEDLPDDMFIRCVLIVVLSTFLCPTSSTFPSTKYLGALVDIDKIKDMDWSKLTHDWMINCIKKYLKQRAKMARASCTLGGCIYSLAVRCLDQLDFGQIEVAQTLPRILVWKGDMIIEFSKLDLRSNGKFGLLPVKDENNTCYSFVKNTESREEMLKDLKVFLDCSLDQSFPLQVKENMASIFDLYMGTEHKECWGKAKSLLTDVLRCITDGCEFKMKAEKEHDNENKAIISGDTEVVGSSQQGSYNCDAVSEANQKARPDSQNYDELSVPGASKPSSSIKSASFNHMLQDTGSGVPPKQSGVPPKQGDRTTACYEETKMKLKTTATDVVFQ >Brasy8G117100.1.p pacid=40082422 transcript=Brasy8G117100.1 locus=Brasy8G117100 ID=Brasy8G117100.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAQLQAQQQIEAQATAAAKMQAQALAAAQEVARSAAAAGVNIDTAGLITDFNKKWVPVILRVEGLAASGF* >Brasy8G224900.1.p pacid=40082423 transcript=Brasy8G224900.1 locus=Brasy8G224900 ID=Brasy8G224900.1.v1.1 annot-version=v1.1 MAGDALFSLKKYLPIGPRITMAKKMEKMRVDLEVITDQHKKFKVMAETNANELKVFDIRETSSMMEAQIIIGRTEEKEKILASLSESVTGEMIILPIYGIGGLGKTTLAKMVYNSSQFKDYSQVWVYVSQTFDLKKIGNSIISQLSEKESQYTGVQMMQSSLSKLLADKKFLIVLDDLWEDMESHFDNLKAMLRLGKGSKVVVIVTTRDEGIAKKMSTTEPHKLAPLTDDMCWPIIKQKSDFESRDDKEELEQIGMDIAMKCKGVALAAQSLGHMLYSVTFGEWESVRNSNIWDVSTSEEAPSTHVLATLRLSYSVMPPYLKLCFAYCAIFPKGCKIVKDDLIHQWVSLGFVEPPDMFSSWQLGERYIRQLLGLSFLQNSKSPSTTEVYLEDNKLLTMHDLVHDLARSVMADEFFVSSKQANVKGSFCHFALINDCSKALESSKIRALRFVDCGETVLQNTAFSSAKSLRVLDLRECTIHSLPDSIGQLKQLRYLNSPRVQHATVPDSITKLLKLIYLKLNESPTILALPESIGDIEGLMYLDLSGCSGIKKLPASLGRLKKLVHLDLSNCSRVGGVSLFLENFTELQYLNLSYCRLIGELPGALGCLSELQYLNLSFSSYLVRCQEAEVLGTFSKLEHLNLSSKYCNLQKLPEALGRCVKIKYLNLKGCQRMKELPASFRNLNNLVHLDLTNCREVNGVPEALGGLTKLQYLNFSCSDYGDKQFLIGLPNVIGNLIELRYLNLSSCMDSINQFDSLMDRISTLSNLEHLDLSRNPDIRGIPASFSNLRKVHTMDFSYCFDLHDIPECIGTMDSLKILYLKGCDLHNQPHLSGSSVTLPRFVVHAGEGESSSNLVLLQHTDPEELELTRLENVQSAEEAQHILLTKKRSMKKLELLWTGDAERFVDDRILLEKLVPPSTVKKLKIEGFNNVSFPFWLMDITHYLPNLVQLVMCDMPNCNVLPPVSQLPNLKWLLLSGMESLDGWNTTYSSGEYVIKDLIIHNCPKLIMNSVPPRAIKLKITNSDNVLSSWGEYTMSQTGASSSYSSVTTELVVTCCKIPLYNWSLLHHLPGLTDLSIIYCSDLTSSPEIIQHLSSVESLLLEDNDKHVLPEWLQELPSLQKLGITRYPWLKQLHENMRPLKNLQTLQVHSCHNMASLPCWLGELTSLKELTIRKCEGIRSLPESIQKLSNLQQLTIGGCCELAMWCRSVENKMKLAHIKEKEFIEW* >Brasy8G062400.1.p pacid=40082424 transcript=Brasy8G062400.1 locus=Brasy8G062400 ID=Brasy8G062400.1.v1.1 annot-version=v1.1 MGSVDGDSEGLQADARRAEVIKWLSASIPEFRLPLDSSDEELRELLSDGTALCHIVNTLIPGVLEGLSDVYASSEQRTGNVKKLLSVVSDMGIPGFSVKDLEEGSMSSVVDCLLVLRDNLNPALVDNLGNVSKTPSRKKWRVLETDGPVVASAAQGKTSSGEDKGNGLPYPKSQQKIPVFNGKKLREIFQLKRGSYADLPSAKISEMLHSNSLDNAPTESLLTVINGILDESIERKKGEIPHRVVYLLRKLVQEIERRFCIQAEHIRNQNTITKTREEKYRSKIKALEVLVNGTNEENQMILNRLEVVKEEKINIEERRKLSEQNVIWLMTEKENAENMVGSLKEEMEEMSRLHQQQLEQFEIKTKQMGEQLTNKVKEFEHFVFQSNRKIEEVETASQLKSQLWNKKENIFQNYMNSQQLYVKGLNLSSRSIRNDMYALQMKWKDEMSNLGSNLKCLVDAAENYHKVLAENQKLFNEMQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGESGELLISNPFKQGKDGHRMFKFNKVFSSFASQAEVYSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSMSKKDWGVNYRALNDLFDISLSRRNVFSYEVGVQMVEIYNEQVRDLLSNNIAQKRLGIWNTSQPNGLVVPDASLHPVKSTSDVLDLMEIGLANRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVSSLKDTISRKDMEIEQLQLVKDKAKSPSFVIDKHGASLPNNFNSNQTSLDILNQQSQLSDRLSYAEVNANVGHTSPTDIAPTRLDEADYEDNVSENGFSVRETDYSIGKTNILNSNSDDTTMHRDRVPSRIAKSTLTKNGQSAMPGSKPRDAVLKTPSHTKVPSNQLAGGSSVRASKRWQK* >Brasy8G182900.1.p pacid=40082425 transcript=Brasy8G182900.1 locus=Brasy8G182900 ID=Brasy8G182900.1.v1.1 annot-version=v1.1 MATSFLSTTAASSLAVPVGFLSLHKPTASSSLPFPSKQRRSPSCSLLPPERRRFSASSSSKTPTTHLFSSITTVSRTLLFLLVASFVSLSGVRPLAALAAPPPPTQQPQETKEPEEPEEGEQEEAEWFEKEEEEVEAAWMQPSDEEGEEEEEEEDDEVQMYLEVLSTDPGDVSALKCVLFARMRRKDWAGALRYAAQLREADPGEVEWRLMEALLHELKGDLAEAERLFQEVLAEKPLLIRALHGLALCMHKRLEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGDLDVASEKLQSLINEDPRDFRPHLCQGIVYALLDKKEEADVQFDTYRSLVPDEFPDKSFINDVILSAKMESKDRLQKKFVSEFLSKK* >Brasy8G032600.1.p pacid=40082426 transcript=Brasy8G032600.1 locus=Brasy8G032600 ID=Brasy8G032600.1.v1.1 annot-version=v1.1 MEEAEAAASAAFGRFPAEVRPGATRVGWVGIGVMGGAMAARLLAAGYAVTAYARAPAKAAPLVAAGARLAGSPASTAAASDVVFTMVGNPGDVRAVVLDAATGALAGLRPGGVLVDCTSSSPALAREVAAAARAAGCHAVDAPVSGGDVGARDGTLAVLAGGDEAVVAWLAPLFAHLGKLNHMGPPGSGQSSKIANQITVAGAVVGLGESLAFAGAAGLDTRLFLGAVAKGAAGSRVMDIFGERALSRDFASGGSVRYIIKDLGMALEVGDGQEEEVGANVLPGAALFRQMFSAMAANGDGDLCLQGLITVVERLNGIRK* >Brasy8G203000.1.p pacid=40082427 transcript=Brasy8G203000.1 locus=Brasy8G203000 ID=Brasy8G203000.1.v1.1 annot-version=v1.1 MDLPASRVTEMIKGDDRSKWMSFSNHNIKGFTEDEMRRITSNYGTVLGKGSFGEVYKGVLQDGSLVAVKRFMSNVEENFIKELKVHCEINHKNVVRLIGYCAEENALMIVTEYISKGSLNDVLHHGGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDIKPANILLDENLNAKISDFGISRLVNTDATLFTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATARIGELGIVECFTQALGTGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVVERLRALRKTQVQGKQRLTIFSWGWKKQPATQNNSQSSSLGHTRTSSKSINVKDHADATSENRTQSRKDDPAAAASAKTASEHEVESRRPSPDLLVAGWPAWLANLAPKAIEGWLPRGAESFEKIDKIGQGTSSNVYKGRDLVTGKIVALKKVQFDSMDPRSLRFVAREIHILRRLDHPNIIKLEAIVTSRLSQSLYLVFEYMEHDLSGLIATPDLKLTEPWIKCFVQQLLHGLDHCHNNGVLHRDIKGSNLLIDSNGTLKIADFGQAISYDPNNPQPLTSRVVTLWYRPPELLLCTTEYGAAVDMWSTGCLIAELFAGKPIMPGGTKVEQLHKIFKLCGSPSDDYWKEIISKVPGLGVFKPQHQYRRSSWNSFLSSWE* >Brasy8G121100.1.p pacid=40082428 transcript=Brasy8G121100.1 locus=Brasy8G121100 ID=Brasy8G121100.1.v1.1 annot-version=v1.1 MEFRAGDSKCRLLSTKRSWKGAMAPAKHGGGKISGGSSSSSFPRRCARLVREQRARFYIARRCVAMLACWRHYS* >Brasy8G038300.1.p pacid=40082429 transcript=Brasy8G038300.1 locus=Brasy8G038300 ID=Brasy8G038300.1.v1.1 annot-version=v1.1 MAWSRAVLAKPMGLILTALLFFPAALSTPTPSLNISMPTEDGLSYGFHDHKCPALLDNVSTAVRAARRSDPGITAGLLRLTFNDCFPQGCDASILLTGPNSEQGILPQNAELQQGALDLIESIRAEVHRACGPTVSCADITNLATREAALQSGLPRYEVPLGRRDSIAPAPRRDVEALPRPDFDAGRLVRSFRSRGLDETDLVALSGAHTIGKAGCGSFENRFSSGEININSFVKRLRDNCTVNVDQIRQDLDVTTPAKFDNKYFTNLYQGIGVLSSDMALLLNVNTRSKVKDFARDEEWFFRQFSNSMSKLAHRQGAKGNNAEIRNHCFKRNGGPFVGVGFKASA* >Brasy8G238400.1.p pacid=40082430 transcript=Brasy8G238400.1 locus=Brasy8G238400 ID=Brasy8G238400.1.v1.1 annot-version=v1.1 PVLEVNPPRLLFAVGAYPWKFPPEDAPAFISSIDDALTRHADVLESLEISLVFRCPFQQYIPSLGKYVTEHPHAALIRDERVRAWLRHGMDRALRSFVLEVPPCPPTKRRQKKSTGIRNAGAAAAGEDREALALPSSALAETMALTLGGATLSLPVSSEAEFLALRDLLLSNARINDGRRLGELLSSPSCPLLRRLRLEHLVGMEELELLQADKLEELTIMGLRRNICKMQELQFDAPSVTYMRELIVDVTGLVMVDFQSILVDDMPELRNITSLTVTISTWKGHSYAAGLAKLIAQCINLEHLSIHVRRGSLQNPSLACLDQDCVCNREDGWKNQQFSLQRLRSLDFTGGQGLKYEKRLGQMIFEAAGVLDKVRLP* >Brasy8G266400.1.p pacid=40082431 transcript=Brasy8G266400.1 locus=Brasy8G266400 ID=Brasy8G266400.1.v1.1 annot-version=v1.1 MDIAARLAIIPHEIQDIENNRLQLEQIPGGIWWPMFLFSVDPGSGYIHNHMLHLQNKIRILENRKKALLEEQQSLIVAAAHHGEIFWKKDLKKKRFS* >Brasy8G229400.1.p pacid=40082432 transcript=Brasy8G229400.1 locus=Brasy8G229400 ID=Brasy8G229400.1.v1.1 annot-version=v1.1 MAASGGTGRRRARARTRAEALSESGTGAGGAGAQATLGRWAEATRERRPGRSAQGHWQGQAALERCGGGPGCGVQATRGGGSPGLWSSGACGPVRRRRDGLGRLKERRRRRCGGNI* >Brasy8G257200.1.p pacid=40082433 transcript=Brasy8G257200.1 locus=Brasy8G257200 ID=Brasy8G257200.1.v1.1 annot-version=v1.1 MLFSECLFMLERCSSFMLIIFYLFTRFFFLREISIHKVAAFSKTAELREGCKSEGNETMESQYGICHDLTEDVVVSGDIRNENCFLQSNIFQVIAGMPLK* >Brasy8G245000.1.p pacid=40082434 transcript=Brasy8G245000.1 locus=Brasy8G245000 ID=Brasy8G245000.1.v1.1 annot-version=v1.1 MAKLHRLVAAVLRLAAAAAAGAAAIIMVTSHETTYLFGLELEAKYSHTPAFIFFVAAYGVACAYSLLVLPVRPGSAGARLVVMADVIMGMVLTGAVAATGAIAEVGRNGNSHAGWLPICGQVQGYCTHVMGALIAGFVALVVYFLIIMYSLHVIADPMCPCH* >Brasy8G225100.1.p pacid=40082435 transcript=Brasy8G225100.1 locus=Brasy8G225100 ID=Brasy8G225100.1.v1.1 annot-version=v1.1 MAELGGMLAAAILKVVGEQIASAIGAQITLQKNFSKDLEKMRMALQSVEAVLKVAERRSITEEPVRLWLKRLKDAMYAISDMIDEFEDDTQAIAQPSAAKVYIFSMV* >Brasy8G260700.1.p pacid=40082436 transcript=Brasy8G260700.1 locus=Brasy8G260700 ID=Brasy8G260700.1.v1.1 annot-version=v1.1 MAGRKVSVMAALLLLALSSVASARTVPTGPNPEPSPSPPSTVFSELRKVPTGPNPEPSPSPPSTVFSVLRKVPTGPNPEPSPSPPSSVFQELRKVPTGPNPEPSPSPPSTAFSVLRKVPTGPNPEPSPSPPSTAFSVLRKVPTGPNPEPSPSPPSTVFSELRKVPTGPNPEPSPSPPSSVLAELRTVPTGPNPETSPPSPSPPAFSELRKVPTGPNPEPSPSPPSSVFSELRKVPTGPNPEPSPSPPSTVFSELRTVPTGPNPETSPPSPSPPAATIFSLHV* >Brasy8G101100.1.p pacid=40082437 transcript=Brasy8G101100.1 locus=Brasy8G101100 ID=Brasy8G101100.1.v1.1 annot-version=v1.1 MGSGPSECCCTGYIRKMEGTLWESKQNFARACYISIHARGELHCNSHPQHSIAFMDLLLLVWEEDSWYRWARKIWICYWICFQIRRMLSRGFDQNLQLNSHCTYHIFVTQNIRENTGEMAHQIYCTKCSLAEDLFRSNTRKRGNRSNSTSKCTALHFLSQVKLG* >Brasy8G241500.1.p pacid=40082438 transcript=Brasy8G241500.1 locus=Brasy8G241500 ID=Brasy8G241500.1.v1.1 annot-version=v1.1 MEGDTSKTGAEVPSLLANVEVSNLPDFDFTTPATSPKNKQPAAVRVQPLDRVTRRSEVPAIPAFDSPFQARPMHPVSISLPASPTFGEAIPAPGVGSQDKQATADGDAARQPEGGPPNKGNNNVRFVKPDKVMFRSQPMPGGVPSHAETMRRMNSRVGNGNRDKRYDTFKTFSGKLERQLTHLAGVGGGGVPNTPEVDEECGRGDAIGNNSRPSASMPKVDRFFAALEGPELDQLKSSEELVLPSDKRWPFLLRFPVSSFGICLGVSSQAILYKTVSTSEPTAFLHVTPKVNLVLWFISVALMCAVTAIYLCKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLVIGMPPSIAAELPPWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKITGEFGLGSRVAYFIAMFLYASLAVRIDFFRGFRFSLAWWAYTFPMTGAAIASIRYATVVDNLFTKTLCLVLSALATLTVTALFATTIVHAFVLGNLFPNDISIAITDRKMKPIMELQEEDHSVSSSNDIEASTAVAPKA* >Brasy8G154300.1.p pacid=40082439 transcript=Brasy8G154300.1 locus=Brasy8G154300 ID=Brasy8G154300.1.v1.1 annot-version=v1.1 MARGGGRPAACCVSRSPGASDLVATLVDLARRLAGGEVGGSCVVVAPLPRWRCLGRWVAGGTAGLPRLCPAARGCRRRFAMGESRWRGVRGCRSPLGGLSWSTSSFSALGSHLRVKA* >Brasy8G141200.1.p pacid=40082440 transcript=Brasy8G141200.1 locus=Brasy8G141200 ID=Brasy8G141200.1.v1.1 annot-version=v1.1 MEIQRKMGRDEHHGIKRLTQPTNGSVERTRADRTHTGTGTHPSFPTNPASSHGLMGSRMEGLIPLLYKAIKDRRSSNRAAYPGRDDPAVDLEDPEQRRRWLEQEVRSPLRAAAPSSSSQGQGQGHGRNLSLEELAGQVGLSPDRRLRVALPKARSVRVFSCIGAGAA* >Brasy8G233200.1.p pacid=40082441 transcript=Brasy8G233200.1 locus=Brasy8G233200 ID=Brasy8G233200.1.v1.1 annot-version=v1.1 METHLPRQRRSGFEPYVQRPVAVGLDNAGSDRPAAFPSTGLLQTAALLRHRRPRESSSPTSTPASAARPSEEGGLKKGEGGRARGSSMATTSGSAEREREKDRERVREGIGDTSREVGSSTATDLRLLDVACACSRRMKRAPLARQGVRGMGGGVAARRARQGCRGRRAGAGGRGRVGRIQRKRGRCSRIQRRRGRSSRIQRGWWSGTPEVGAPRPSSPVAARQGWKGGRHALRRGSRAARRGRQRAARLLRRLDRGE* >Brasy8G283900.1.p pacid=40082442 transcript=Brasy8G283900.1 locus=Brasy8G283900 ID=Brasy8G283900.1.v1.1 annot-version=v1.1 MEATVVSVGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMTADEERGQHKVLVTWVKHVRDLAYNVEDNLMDFSLLSEKKKSWWRSPRTAVERRRIAKEMKKLRTMVEDVSNRNLRYGLITETAGSKPTAAEEQASIASAAMFGINEASLADLEKEESNVNLHQLITSEEEELRVVAIWGTSGDLGKTSIIKEVFDDPNLGSKFGYRAWVGLTHPFNPKEFIHSLVRQFYENFPEKLGETQSLDSWIHLLNPQEFIQTLVRQFCKNSHDEAATPKKETSVGANILATMQKMDQDELVHVFNTQVSSNSYLVVINNLSTIEEWHCIKKYFPDNKKQSRIIVSTQQVEIASLCTENPYQVSEFKQLSCDQTIYLFHKKNSEKQASASAAMLNINKQKQASSENVKLKAMHASSSAKPISDSNEVSNTEKNTAMLASEILDEDQEPKNESEDKVSNSTARKKFDRSRTLELIDEVICGRETEKSLVIKLIGQPDIEDNNQGCKVISVWGMGGLGKTTLVRSVYRSQQLGGWKRAWATALRPFNAVALLRDLALQLQKSIQEDPAEATNKAQNQIISVMKLQEVKDELARLLKIQKCLVVLDDISSSSEWELIKGCLDNAGIVIVTTREKNIAKHCSREYKNIYSLQALKDEAALDLFIKKVFKDNIKKKDLLPSMVEQARLILKKCDGLPLAISTIGGFLSTKPKTTIEWRKMYDCISSELEINPELRTIKSVLMRSYDGLPYHLKSAFLYLSIFPEDHRIRWDRLVKRWIAEGYSRDMHGMTGKELGRRYFDELLDRSMILPGDEVNHYSGKTNSCQLHDMIREICITKAREENLVFTVEEGFCLSITQGAIRHLVVGSNWKRDKDVLESMLDLSRVRSLTVFGEWRTFFISDKMRFLRVLDLEDTIGLRDHHLDQIGQLHHLMYLSLRECRNIYYLPNSLGNLKHLQTLDVRGTRIFELPATIIKLRKLQDLHTTDLCKVRSNVKGEDDIAHNFRKRTYSVSSKFGKAYLLLRYAGPVFLKPQVLDAGLNRLDIHNIYRFCMVLSQGERVVDGVEVPGGFGKLKALHTLGVVNIARGKEKATLKELKVLTQLRKLGVTGVCGKNGKELWSAIAGHNQLRSLSVRGVDYEDELDGGLGEGLSPPSCLESLKLWGKLVRVTSWIHQLQNLSKLTLEHSRFEQDDADAIQALGVLQNLAVLRLKWMSFYGKQLRLQGASFPSLLVLELYGLGYLESVLFEEEAMPRLELLQVDRCGRLKEISGLAVLREIRLGDGVPGKLKEEVQRQQAAEDLKQVGVNLS* >Brasy8G091900.1.p pacid=40082443 transcript=Brasy8G091900.1 locus=Brasy8G091900 ID=Brasy8G091900.1.v1.1 annot-version=v1.1 MQNGLTAEEQTQSNGWDELSADERWDRFSNARIRLCGVADVPEWTGRPSLPYDEPHVLRFLGQPLLPPESNEALDADTIGMGRPDKCNCQLPGSIACIRFHGTEKKIILKRELGSAFYAMGFDRIGEDAALTWTKDEEEKFNDAIQNGLPSSKNSFWDKLDHVLVSKGRKGLVSYYYNVFQLRRRAYQNRLTPNDVDSDDDSI* >Brasy8G072900.1.p pacid=40082444 transcript=Brasy8G072900.1 locus=Brasy8G072900 ID=Brasy8G072900.1.v1.1 annot-version=v1.1 MFDQRVVESADVPKPAQWHRIAVHNEQLGAYAVQKLVKNSAVYIEGDIETRVYNDSINDQVKNIPEICIRRDGKIRLVKSGESASSISLDELREGLF* >Brasy8G216400.1.p pacid=40082445 transcript=Brasy8G216400.1 locus=Brasy8G216400 ID=Brasy8G216400.1.v1.1 annot-version=v1.1 MAAGPRAAESTGVEVRETGSARVASGKGRIRRYGGPGSSPAPRVACRAAAACQSGLRAREGEGAGTPRAREREQGWRREGMRELRDGEEKGSARETRCREGEGRRGGTRVRGRREGRRLGK* >Brasy8G159800.1.p pacid=40082446 transcript=Brasy8G159800.1 locus=Brasy8G159800 ID=Brasy8G159800.1.v1.1 annot-version=v1.1 MSLHQRPHQKPLAADSLPVSTGPASSAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSAPASPWRAYTLQDAAAFAAAAGNGTIVLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLIPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILEMGYSVMYNDVDMVWLADPFPYLVGNHDVYFMDDMTDVKALNHSHELPPPGKKGRPYICSCMIFLQPTEGAKLLMRKWIEELKEQPWSKKVKSNDQPAFNWALLKTVGQVDVYLLPQSAFPTGGLYFKNKAWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGKL* >Brasy8G159800.3.p pacid=40082447 transcript=Brasy8G159800.3 locus=Brasy8G159800 ID=Brasy8G159800.3.v1.1 annot-version=v1.1 MSLHQRPHQKPLAADSLPVSTGPASSAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSAPASPWRAYTLQDAAAFAAAAGNGTIVLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLIPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILEMGYSVMYNDVDMVWLADPFPYLVGNHDVYFMDDMTDVKALNHSHELPPPGKKGRPYICSCMIFLQPTEGAKLLMRKWIEELKEQPWSKKVKSNDQPAFNWALLKTVGQVDVYLLPQSAFPTGGLYFKNKAWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGKL* >Brasy8G159800.4.p pacid=40082448 transcript=Brasy8G159800.4 locus=Brasy8G159800 ID=Brasy8G159800.4.v1.1 annot-version=v1.1 MSLHQRPHQKPLAADSLPVSTGPASSAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSAPASPWRAYTLQDAAAFAAAAGNGTIVLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLIPPAPDAQAAHKFGSQILEMGYSVMYNDVDMVWLADPFPYLVGNHDVYFMDDMTDVKALNHSHELPPPGKKGRPYICSCMIFLQPTEGAKLLMRKWIEELKEQPWSKKVKSNDQPAFNWALLKTVGQVDVYLLPQSAFPTGGLYFKNKAWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGKL* >Brasy8G159800.2.p pacid=40082449 transcript=Brasy8G159800.2 locus=Brasy8G159800 ID=Brasy8G159800.2.v1.1 annot-version=v1.1 MSLHQRPHQKPLAADSLPVSTGPASSAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSAPASPWRAYTLQDAAAFAAAAGNGTIVLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLIPPAPDAQAAHKFGSQILEMGYSVMYNDVDMVWLADPFPYLVGNHDVYFMDDMTDVKALNHSHELPPPGKKGRPYICSCMIFLQPTEGAKLLMRKWIEELKEQPWSKKVKSNDQPAFNWALLKTVGQVDVYLLPQSAFPTGGLYFKNKAWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGKL* >Brasy8G181600.1.p pacid=40082450 transcript=Brasy8G181600.1 locus=Brasy8G181600 ID=Brasy8G181600.1.v1.1 annot-version=v1.1 MLPRSPSPSRFYPWYRYYRMRNTNPTSASSKRNTDLCGTKYRESGVLQFFSLKFSGDFLNGKPVSIYGFVAVRDEVDNLRNYVFNRSRKNAYEICPDSANIPLISPARGISTLHAVLIEYNVMVKMNDSDCAEEDLELIDGCFEFRHSNTNYHEVRDARMYVSLGPVDIRFVSFTCAVEASIDVKIKSAAKVEFDFDLILKFDFGTEDDPPHELTFTAQKYGISEKAIVIGRMLQLEAKVTWLTMGWPDFKF* >Brasy8G217700.1.p pacid=40082451 transcript=Brasy8G217700.1 locus=Brasy8G217700 ID=Brasy8G217700.1.v1.1 annot-version=v1.1 MKGGGVAEEMKTAGGDGGAGEAVVQMEEALSMLVEYLVLPVLPRGTRAADVTPEMEQAVAQQIHAAVILYNYYHRNLSPQLAFADVKRFLLCASLSVGEDLFAFSSIVHEKNPREDVKPSVTDRAVMEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISSMTGPLFVNGPFPKTSSVVQHYHILPYKKIFRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G217700.2.p pacid=40082452 transcript=Brasy8G217700.2 locus=Brasy8G217700 ID=Brasy8G217700.2.v1.1 annot-version=v1.1 MKGGGVAEEMKTAGGDGGAGEAVVQMEEALSMLVEYLVLPVLPRGTRAADVTPEMEQAVAQQIHAAVILYNYYHRNLSPQLAFADVKRFLLCASLSVGEDLFAFSSIVHEKNPREDVKPSVTDRAVMEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G217700.3.p pacid=40082453 transcript=Brasy8G217700.3 locus=Brasy8G217700 ID=Brasy8G217700.3.v1.1 annot-version=v1.1 MSRQLYSVTRLCLSELNQTKLKSPPTNVGEIHAAVILYNYYHRNLSPQLAFADVKRFLLCASLSVGEDLFAFSSIVHEKNPREDVKPSVTDRAVMEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISSMTGPLFVNGPFPKTSSVVQHYHILPYKKIFRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G217700.6.p pacid=40082454 transcript=Brasy8G217700.6 locus=Brasy8G217700 ID=Brasy8G217700.6.v1.1 annot-version=v1.1 MSRQLYSVTRLCLSELNQTKLKSPPTNVGEIHAAVILYNYYHRNLSPQLAFADVKRFLLCASLSVGEDLFAFSSIVHEKNPREDVKPSVTDRAVMEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G217700.4.p pacid=40082455 transcript=Brasy8G217700.4 locus=Brasy8G217700 ID=Brasy8G217700.4.v1.1 annot-version=v1.1 MEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISSMTGPLFVNGPFPKTSSVVQHYHILPYKKIFRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G217700.5.p pacid=40082456 transcript=Brasy8G217700.5 locus=Brasy8G217700 ID=Brasy8G217700.5.v1.1 annot-version=v1.1 MEACVVAEALDGSKDFPDMSKWPIAKVAVLLLDPTRKKCLIEYSSDTKGVWSMIEKKLVASAGNSHSSNQSLESPYMLQQLAFTEVERRTGMKRSNLRLLGEDLTYSLSTERTTAKLFIVEYKQTMNRDLREIPIQELISRKWSSDSALNKIAESVEQHEANCKSKMQKRTRKLSTPKQNKQAIKSVATNSNDSCSTDKGMKNSKRTYEAETAATFNVEGQDGESPVRENDPLLVVDVDTSKLASKPRDTKESAATIAGKNVLQAGIQMDKKKTQKQSICDDITPDAFPTKEASIDCVTKNVVLEGQNTEVSQKSGGNSENNIDRMYYSLQSIQKMRDDLLHKHHILAERTAQCDMDIQTILSEGKMTRTVKSIIEKYKNTSSNMAEVANSSCSGGDGQTLTTKRIKLREAPLLRNKCQELDEISRDSNWILPRYTVLPSEDGMFQASVHLRGVDFDLTIAGALRMTPQEARWSAAANMILELSKQAEEEKSEEESTICRVD* >Brasy8G257700.1.p pacid=40082457 transcript=Brasy8G257700.1 locus=Brasy8G257700 ID=Brasy8G257700.1.v1.1 annot-version=v1.1 MNGDCTKSKLWLMDLAGSDTQGERLKEAQNITSPFLRLEMSYLLPQLSASIYTPGRKEILKKKKKYMTRFFELI* >Brasy8G257700.4.p pacid=40082458 transcript=Brasy8G257700.4 locus=Brasy8G257700 ID=Brasy8G257700.4.v1.1 annot-version=v1.1 MNGDCTKSKLWLMDLAGSDTQGERLKEAQNITSPFLRLEMSYLLPQLSASIYTPADWCERESIV* >Brasy8G257700.2.p pacid=40082459 transcript=Brasy8G257700.2 locus=Brasy8G257700 ID=Brasy8G257700.2.v1.1 annot-version=v1.1 MNGDCTKSKLWLMDLAGSDTQGERLKEAQNITSPFLRLEMSYLLPQLSASIYTPADWCERESIV* >Brasy8G257700.3.p pacid=40082460 transcript=Brasy8G257700.3 locus=Brasy8G257700 ID=Brasy8G257700.3.v1.1 annot-version=v1.1 MNGDCTKSKLWLMDLAGSDTQGERLKEAQNITSPFLRLEMSYLLPQLSASIYTPDWCERESIV* >Brasy8G257700.5.p pacid=40082461 transcript=Brasy8G257700.5 locus=Brasy8G257700 ID=Brasy8G257700.5.v1.1 annot-version=v1.1 MNGDCTKSKLWLMDLAGSDTQGERLKEAQNITSPFLRLEMSYLLPQLSASIYTPDWCERESIV* >Brasy8G149400.1.p pacid=40082462 transcript=Brasy8G149400.1 locus=Brasy8G149400 ID=Brasy8G149400.1.v1.1 annot-version=v1.1 MPMETLLSPSALLSPAALRGSSSKATKLVPAAASCKPAVVSCSVSRKPQQAPVVAWRGGDGSGVGSWVSFLQHGMAAAALSLALTLAPGPAPAVASEFDVLNDGPPADTYVVDDAGVLSRVTKSDVKRLARDLEARKNIRINFVTVRKLTSKADAFEYADQVLEKWYPTVEEGGNKGIVVLVTSQKEGAITGGPAFVQAVGDAILDATVSENLPVLATDEKYNEAIYSTAKRLVAAIDGLPDPGGPAFQESKRESNFKSKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK* >Brasy8G289200.1.p pacid=40082463 transcript=Brasy8G289200.1 locus=Brasy8G289200 ID=Brasy8G289200.1.v1.1 annot-version=v1.1 MDVAAGAMTPLLEKLGRLLVDEYNLEDRVKKGVVSLRSELQMMHAALRKVGDKPREELDEQVIIWADKVRELSYSMEDAVDAFMVRVEDDHGCERGPNNVKNRVKKFLKRTKKLFSRGKALHEISDAMDEARELAKELGDLRKRYMLEAQTKSTIDPRLKAVYTDVSNLVGIEDRREELIKMLTDGHEKQQVKTVSIVGFGGLGKTTLAKAAYDKIKVQFGCGAFVSVSRSPDIKRIFKKMLHQLDRNKYAAIHEAVRDEGELIDELIMFLQDKRYLIVIDDIWDEEAWGIIKCAFSENSLGSTVVTTTRNINVSKACSISGDDIIYKMKPLTEDDSKRLFYRRIFPQGSVCPDELEQVSKNILKKCGGVPLAIITVASLLASSSDQQIKPRYQWENLLNSIGRGLAEGGSVKDMQRILSFSYYDLPSHLKTCLLYLSIFPEDFEITKDRLIWRWIAEGFVQGGKHETRLYELGESYFNELANRNLIQPLYVKVEGKALACRVHDMVLDLICSLSSEENFVNILDGTQKSIPNSPNKVHRLSFQNSMSDLTTHWIDATSMSHLRSVTLFRTDVDLIQSALSCFQVLRVLDLEGCNFEKYGHKIDLKSIENLLHLRYLGLRDTCVGVLPVEIGKLKFLEALDLRSGSKEQLVVPSSAVHLRHLMCLHLDPKSKKIPIGMGSLTSLEELTGLCVDGSSTIEKELSQLLELRVLDLYLEVDDESVCSSLVASLGNLKNLQSLTIQNKGNARFDVCWNSLVPPPYLCSIKFYECTSTLPTWINSASLPLLSSLILGVDRVCLEVDIQILGNLPALCFLSLWTTKDQCTRVERFIVGADAFPYLRECVFEGFQTGPSMFPRGAMPRLEHLWFSARASHITAGELDVSMEHLPSLRKVTVFLWHEKAAGGTSNEFEEADAALRLAADAHPNRPTLWIDHYPCPLESEEDEEEEQADTGVVTSPGPTEKAS* >Brasy8G029800.1.p pacid=40082464 transcript=Brasy8G029800.1 locus=Brasy8G029800 ID=Brasy8G029800.1.v1.1 annot-version=v1.1 MGVIVGIGAYRHRYRHHPLIRFIYLGATTLFLPIVSYVTSNHVAMVVLWIGLVQIVGTNATAIVAGDSREGRSIAPPAVQLVQAIWTSYLTYITIESGLYPSGYVDWSQHSPEPPELFKYYAWYKAKGSLALGHNPRLIVAYMEQLQDGVHHAELGSEHVPPPLIVMREDTVLLKKLQPRATMHGLVTIDRVWQLDGTLLPRPTEYHKDLCFSFALFKLLRCRFASIGYCLCAIICLSVIEGIYGREDSQIYFGLVEAREVASYICSNWTKVSLICGYLLEHWEDKMNQCSILVLRPRTNPAAALRRLAHLPDQKKKVPRVVKAGVVDAVRRYQRSRHQISRGSTWSTLQLQVGDELLWTFHGGSTPPLPDAAFIYIQCFYSSFWLRS* >Brasy8G215200.1.p pacid=40082465 transcript=Brasy8G215200.1 locus=Brasy8G215200 ID=Brasy8G215200.1.v1.1 annot-version=v1.1 MSDFSFPIALLLAADPDLVTRPPAMPPPTMPLASAGLLQITAVALAEAAGAAAASRPSCNAPPRPFVPLAVAVLAAAPSASSSAAAALRSIRGRGPRSGLRRPPLAPLRPSQRPPAPPQPAGHPPTRRRGPSRNSPSRTSQRPRRPPPACCPPPSAQLAVAGLTASYAVLNSARRRSPLPATSVRLLFFVCNS* >Brasy8G244500.1.p pacid=40082466 transcript=Brasy8G244500.1 locus=Brasy8G244500 ID=Brasy8G244500.1.v1.1 annot-version=v1.1 MRPGSSSSARRGGRSASPRGRGNPISPPWWGNGTAAADALLTPDERRRLGARRRGMLRWYYHQDLSEDARRRWDAYLEEEAERAAVGFAPVPAEIQAEEKEEFEVEEDEELDGGDSGAESDDSVTRAVKERSKASLAEDDARRAAGDDDE* >Brasy8G221100.1.p pacid=40082467 transcript=Brasy8G221100.1 locus=Brasy8G221100 ID=Brasy8G221100.1.v1.1 annot-version=v1.1 MLMTVFTKTKGKRTIRCLPRQTILELWYRKILTDLKQIFSLYINPCENYLCSAWIIQENYIDNTTIAQAQQPVVHRGLSR* >Brasy8G199600.1.p pacid=40082468 transcript=Brasy8G199600.1 locus=Brasy8G199600 ID=Brasy8G199600.1.v1.1 annot-version=v1.1 MAIPKASLLVFLGCLCFCSSVLAARELNDDLSMVARHETWMAQYGRVYKDAAEKAQKFEVFKANARFIDSFNTENHKFWLGINQFADLTNEEFKATKTNKGFISNKVRVPTGFKYENLNIEALPTSIDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCEGGLMDDAFQFIIKNGGLTQESSYPYDAEDGKCKSGSKSAGTIKSYQDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGITAIGYGVTSDGTKYWLMKNSWGTSWGENGFLRMEKDISDKKGMCGLAMEPSYPTA* >Brasy8G189800.1.p pacid=40082469 transcript=Brasy8G189800.1 locus=Brasy8G189800 ID=Brasy8G189800.1.v1.1 annot-version=v1.1 MGNAKLAVVAATLVILLQASCDVAVARHHHHGGKRPGPGGHASGGTPAVMTVNGFQKGQEGGGPAACDGTWWWRCPRGGTRGGKRCHRTIRITSRHDGRTVEAKVVDECDSRHGCKDNIVDTSEAVWKALSLDTDVGEVPVTWSDA* >Brasy8G200400.1.p pacid=40082470 transcript=Brasy8G200400.1 locus=Brasy8G200400 ID=Brasy8G200400.1.v1.1 annot-version=v1.1 MEGGGGSTVANSPKHKVQVAVVTGGNKGIGLEVCRQLADNGITVVLTARDEARGTAAVGSLGRLPGDVIFHQLDVTADSSAQRLADFVSTRFGKLDILVNNAAIGGVESLPPDASAPGDDKFKGMDAQQRLEWMRDNCRETYEDAKQGLETNYYGTKRVTEALLSLLLKSSSPGRIVNVSSGFGLLRLFGSEELRRELDDIENLTEARLDELLATFMKDMEAGGVAKAEACGWPAGGFTAYKVGKAAVNAYSRILARRHAPTSLLVNCAHPGYVKTDMTSHSGILTPEEGARNVVAVVMLRDGGPTGAYFHAGPQAPFV* >Brasy8G170000.1.p pacid=40082471 transcript=Brasy8G170000.1 locus=Brasy8G170000 ID=Brasy8G170000.1.v1.1 annot-version=v1.1 MEARPSKQEKTEEFDLISRLRDDILSTVISLLPTNVAARTTVLSTHRRPARRLSLRCICRGSGATVADLPGLLSSPALDNLEVIKIYYYYFELVLPYVGRTSSLPPSALRFAPTLRTTSFGGCRFPEDVALALIFPHLKQLLLKDVEASEDALHGLLFACPALEILLLDWCSGFDQVVIDSPTLRSFGICAEGCLDRLVIQNAPRLERLVAFDSLDIRVIRAPRLQMVGLLDSIETTVLDPWLLT* >Brasy8G104900.1.p pacid=40082472 transcript=Brasy8G104900.1 locus=Brasy8G104900 ID=Brasy8G104900.1.v1.1 annot-version=v1.1 MDGRAADAGGGTSGGGGCGHPIGSRIEEHRKYMSESSCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRSGGGTAAVEAAESHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPPATTAADASAGISSSAAATGSSASSSQQQQQQQMRSETRWHKTGKTRPVAVGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGESEEEREGELVVSKIFYQTQPRQCGAVVSGATDASVVERRRDRAAAAAPAAASHMQASSDIMSIAAAFPGHGGAAGIDEFSFEQFRNSFEEVGKGASDHQVMQARADEGVLHRHQQQHQVGEHDHRRRHQLHYTTGGLLQEQQQRAAAAVACHVSTPTDPISTLIAAPRVHQGSFVLAAPADQPYDHGAPSYHQQEDERPHQTPKFDGRSTSGLEEVIMGCTSRRSKGGESSGGKEGAEWQYPSFWPSDNQDHHG* >Brasy8G045600.1.p pacid=40082473 transcript=Brasy8G045600.1 locus=Brasy8G045600 ID=Brasy8G045600.1.v1.1 annot-version=v1.1 MRGTEMLTTAHGGGAGTGTPPSTPSAADLAPVAGAGGGGNFPLGAALLAFAFANFVNLLSIWLKEKKWDARKFLTSSGVISSLSATVGSLAVAVGQQEGGDSSVFALALVFAAVVMYDASGVRWHTGRQAALLNLIVSDLSPDHPIISTFRPLREPLGHSPFQVFAGALVGCTVSFAMGKSV* >Brasy8G054100.1.p pacid=40082474 transcript=Brasy8G054100.1 locus=Brasy8G054100 ID=Brasy8G054100.1.v1.1 annot-version=v1.1 MSYFLCIRRGLVLAQVVGFDLLLTPFNLHIGGPLGGTGVRYRRWRRGSDSVLKISAHSRGLLDASSVWASSKSSVTMIPASSRILHGFAGGFGFYDGSTRWWQPELRLSSGCSSGQQRPFVAASSATVGALLVCRIHVYPKGLSVILILLYALSVKPCCTVWWNLLVSTCTTL* >Brasy8G197300.1.p pacid=40082475 transcript=Brasy8G197300.1 locus=Brasy8G197300 ID=Brasy8G197300.1.v1.1 annot-version=v1.1 MGNSCVTGKSNGAGFYCDGQPAFKWRIDGLSSLLAKDQGWTCSSVYLQLNPRDTKSGDTDEYVSLRLELSQTSVRSDTVVDTYFKFLIYDQSYGKHHQQNVSHKFQPTSTSSGTSCLIPLTKLKEQSSGFLVNNCCVFGVEFSAVVTVKANGASETMFVQKVNSICSDPKVYTWNIDDFFALKSPNNSPEFELCGHKWFITIYPSGADKDENYLSLFLGMKAPDTLHQNAKLVELSIMIKDQETGKHRKAKGRCQFSKKSPSWGWHKFILLEDFKDSSNGYLVKTKCCIEAQVAIIGSSKME* >Brasy8G276200.1.p pacid=40082476 transcript=Brasy8G276200.1 locus=Brasy8G276200 ID=Brasy8G276200.1.v1.1 annot-version=v1.1 MRSSGRMTWLPPKIGPTCQDMTWLCHLTETPFFSPHSLLCLCSSSRRWPEAVAPPPRVIELIAGRDLLPSLRPLVPPSSRPRRRRRAHPPWPPLSPPPPRPASGARGAHRPQPPPSVRPESRCRPQGISGSLSPHLELGSGVGEDGRLWGAPGVARGCGLVAEVRVDQGNSPARSLNVEEVGDSLASTACAAAGEVDGAGEFGGAAASIEGSSAFVAAAAGGRKPARMFEEAWGGEGVGHRLPRLQPLRRRAPRAPRPALGAAARPPGPACISAQHHGLRPPGPVHPAAPRPPPKAPAEQQRRRRRRAHFEGAREEAALAAPRSLAGAGVRALAAPRLLEHPRRLPATGGCCYRGRGENGGRRRGFL* >Brasy8G189300.1.p pacid=40082477 transcript=Brasy8G189300.1 locus=Brasy8G189300 ID=Brasy8G189300.1.v1.1 annot-version=v1.1 MTPLLDQHATGCCSAAQDDAALAAQVDAALAAQDDAAPLSPKPSKALLGTPVPDTCASRARSLSPPPRQSGGSRSGRSHSSPAADATSRFASPPIHYGRSRTSSSRVLVDAPRPRNLGEFLAAAKSRTDALLENPPTRHRQLPPDFQPRRSSRLAGQASGLNSEQRAQRVLLRKLGIVKEDEVPSTEAIGAYRRLFEVPLEEDMAAAIADLFGWTVATLRGPPPPRSALLGGHLVEA* >Brasy8G144900.1.p pacid=40082478 transcript=Brasy8G144900.1 locus=Brasy8G144900 ID=Brasy8G144900.1.v1.1 annot-version=v1.1 MEVRPSCQGDDGEHGIRLPRPRAGHDHHGSGRPPICGPAPTNRRIRPPSVWPLLDLEGSRSPAWKGSRRSSSGREPPSPSRRLRAPAADRSARPSLAPDAGRSPRCPRAGSGEVAVGSSLL* >Brasy8G298200.1.p pacid=40082479 transcript=Brasy8G298200.1 locus=Brasy8G298200 ID=Brasy8G298200.1.v1.1 annot-version=v1.1 MESTVLSIGKSVLDGALGYAKSALAEEVALQLGVRRDQLFIANELEMMQAFLMTAHDEGDDNKVVKVWVKQVRNVAYDVEDTLQEFAVRLQKQSWWRICCKLLDRRHVAKQMKELRANVEDVSQRNMRYNLIKGSGSKPATTAAEQSSIASAALFGIEEARHAAKQEKSQVDLVQLINEEENNLRVIAVWGTSAGVGQTSIVRAVYENPDVRSKFPCRAWVRLMHPFNPKDLAQSLVEQFHSAAGVGVNVVFETQKTPQELTEEFNEYVSKKRYLIVINELSTIEEWDRVKKYFPNNNMGSRIIVSTSQIEVASLCAGQGSIASELKHFSADQNIYAFHDKGSQDGADLTNSNRPATSTDNSIVPTGEILEDQPKGADGKKVAGERLTRIKTMVAALEESQLVGREREKSEIIKLVTGQATEKFKVISVWGMGGLGKTTLVKDIYQSQELSGTFDKRACVTVMRPFNSEGLVRSLVMQLDRDSSEKKDVVGLMGSTKKKLQLMPLAELIKELARLLDRKKFLIILDDVSSTAEWNMIIPIFRDMGHTSRLIVTTREENIAKHCSEEQENVYRLKNLEYKDARDLFTKKVFKETIDLDKQYPELVEQTKLVLRKCGELPLAIVTIGGFLATQPKTSLEWRKLNDHISAELEMNPQLGTIRTVLMRSYNGLPYHLKSCFLYMPIFPEDHRVGRGRLVRRWSAEGYSKEVRGKSAEEIADSYFMELISRSMMLPSQESIHSTKGINSCQVHDLMREIGISKSTEENLVFTLEEGCSSNSQVTMRHLAINGNWKGDQSEFESIVDMSRIRSLTGFGKWKSFFISDKMRLLRVLDLEDAIGLVDHHLEHIGKFLHLRYLSLRGCGEIYHLPDSLGNLRQLETLDIRGTSMVMLPKATIKLWNLKRLRAGRKPVNEDVSHEELVHGLPPVMRSRMCILPLVSMACCSACCAPQSISDDKNRHDVCTGFCCYAFPFVAMRLDAHGVLLPRGMKKLKTLHTLGVVNIGRHGKVFLQDIKGLTRLNKLGVTGINKENGQEFCSAIVGLSRLESLSIRSEGMPGLSGCLDGMFSFPENLQSLKLYGNLVKLPEWIQGLKNLVKLKLRSSRFSEDTGGVMQALGKLPNLTFLHLLKESFKGEEVCFNFRQETFKSLVVLELDLVVRENVKSVKFEEGATPKLELLLFRNWSTKPKVGLFSGLPSLPSLKEFLLTGQYVDEFVEDLGDQLGRNRNRPVLKRR* >Brasy8G177200.1.p pacid=40082480 transcript=Brasy8G177200.1 locus=Brasy8G177200 ID=Brasy8G177200.1.v1.1 annot-version=v1.1 MATSSRSSACVTTCSLLRPCKRALTRLFRIRAFRFRTLRKAAARMSPARRRHRRRPRRRSFRSVRTVFWPLIPASSRPTPATSLESHTSRRDVAAAEEVIPEAVPVPAPVPSPETPAYAKMVARLRSRTSTSSSTGVGEEEKEEACQSFESCLMEILMEDGKVRDLQDVEELLQCWERLKSPVFVDLVCRFYDELCKDVFGVDDGNADGDEASVLSTPAA* >Brasy8G005900.1.p pacid=40082481 transcript=Brasy8G005900.1 locus=Brasy8G005900 ID=Brasy8G005900.1.v1.1 annot-version=v1.1 MAAAALRQLGRTALRPTARLYQPQPQARLFSSIRPRDCTMHNKKEGVAQYKQILMKEEELYDLMSDFHSKFRITGRRGWRNSELLHSLSAQVEPRHDDSHWRLNRRCQTYRRFFTFLGAIYFLKVFPVGLGWLMGYPEPTDLPLGLFKKPNQ* >Brasy8G005900.2.p pacid=40082482 transcript=Brasy8G005900.2 locus=Brasy8G005900 ID=Brasy8G005900.2.v1.1 annot-version=v1.1 MAAAALRQLGRTALRPTARLYQPQPQARLFSSIRPRDCTMHNKKEGVAQYKQILMKEEELYDLMSDFHSKFRITGRRGWRNSELLHSLSAQVEPRHDDSHWRLNRRCQTYRRFFTFLGAIYFLKVFPVGLGWLMGYPEPTDLPLGLFKKPNQ* >Brasy8G096600.1.p pacid=40082483 transcript=Brasy8G096600.1 locus=Brasy8G096600 ID=Brasy8G096600.1.v1.1 annot-version=v1.1 MMLGREEKYVRFEDWRSEQSINSENIVSPRRHNVFEPLKERIAGCFAFIRDFLHPETLTRSMLDDRKSMTKILHPQGPFLQRWNKIFVLSCIFAVSVDPLFFYIPVINDQKPCWYLDRKLKIAASVLRSFTDIFYILHIIFQFRTGFITSSSTSFGRGVLVEDPHAIAKRYFTTYFLIDVFAVLPLPQVIILVVLPILQGSDVMKAKNTLMLIVICQYVPRLIRIRPLYLQITRSAGIITETAWAGAAFNLVIYILASHVLGAVWYLLSIQRKGACWRQQCLNLRGCNSTYLYCGNIVDDADHGNAFLQTVCLLSDSTNNLPDPIFGIYVPALKNVSQSTNLFVKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFSLLIGNVQTYLQSASLRIEETRVKSRDTDQWMSYRLLPENLKERIRRYEQYRWQETSGVDEENLLTNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQILNALCDRLKPVLYTEGGCIVREGDPVNEMFFIMRGDLMSVTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPNSTSSLPSSTRTVKSMSEVEAFALMAEDLKFVATQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRRCRKKMEDSLRDKEKRLQLAIVNDGSTSLSFGAAIHASRFARNMMRILRRNATRKARLQERVPARLLQKPAEPNFSAEPQ* >Brasy8G096600.2.p pacid=40082484 transcript=Brasy8G096600.2 locus=Brasy8G096600 ID=Brasy8G096600.2.v1.1 annot-version=v1.1 MMLGREEKYVRFEDWRSEQSINSENIVSPRRHNVFEPLKERIAGCFAFIRDFLHPETLTRSMLDDRKSMTKILHPQGPFLQRWNKIFVLSCIFAVSVDPLFFYIPVINDQKPCWYLDRKLKIAASVLRSFTDIFYILHIIFQFRTGFITSSSTSFGRGVLVEDPHAIAKRYFTTYFLIDVFAVLPLPQVIILVVLPILQGSDVMKAKNTLMLIVICQYVPRLIRIRPLYLQITRSAGIITETAWAGAAFNLVIYILASHVLGAVWYLLSIQRKGACWRQQCLNLRGCNSTYLYCGNIVDDADHGNAFLQTVCLLSDSTNNLPDPIFGIYVPALKNVSQSTNLFVKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFSLLIGNVQTYLQSASLRIEETRVKSRDTDQWMSYRLLPENLKERIRRYEQYRWQETSGVDEENLLTNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQILNALCDRLKPVLYTEGGCIVREGDPVNEMFFIMRGDLMSVTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPNSTSSLPSSTRTVKSMSEVEAFALMAEDLKFVATQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRRCRKKMEDSLRDKEKRLQLAIVNDGSTSLSFGAAIHASRFARNMMRILRRNATRKARLQERVPARLLQKPAEPNFSAEPQ* >Brasy8G191100.1.p pacid=40082485 transcript=Brasy8G191100.1 locus=Brasy8G191100 ID=Brasy8G191100.1.v1.1 annot-version=v1.1 MKCVKILILVSLIPLALRGASSLLGDAVHVVPAPSSSEQQQSNSRSSSSSLSVSAAPAARVEQQWRQRRRRTMEAAAVFAPRRFGGGGGFFRDDKRFAPTGSNPLHNL* >Brasy8G275600.1.p pacid=40082486 transcript=Brasy8G275600.1 locus=Brasy8G275600 ID=Brasy8G275600.1.v1.1 annot-version=v1.1 MAVASRLAVARVVAPEGGAGGRRKERPGFAVASLPAAGRKGRKRGGAVAASPPTEEAAQMTEPLTKDDLVAYLVSGCKPKENWRIGTEHEKFGFDVETLRPITYDQISGILNGLSERFEWDKIMEENHVIGLKQGKQNISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGVGFLGIGFQPKWAISDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEKDMIDKFRVGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRSGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKQYIDCTGMSFRDFMVGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYIEMRGADGGPWRRLCALPAFWVGLLYDEEALQSILDMTADWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEDVLKLAKSGLERRGYKEVGFLREVDEVVRTGVTPAEKLLNLYETKWQRSVDPVFQELLY* >Brasy8G193400.1.p pacid=40082487 transcript=Brasy8G193400.1 locus=Brasy8G193400 ID=Brasy8G193400.1.v1.1 annot-version=v1.1 MGHYRLVKVHEASPNLTDSICAPSLLPLPTPATSMATRRSPATTHHRLILLLLPSLLACSSLLPLAYAYRPGDIVPMLRSGQYHGSRSVWYDVIGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKSSQVPMIDFHLKYSGNDLLGVTAKVVDMPHHFVELHPDIKKTFWDQQNWPKNVLVSYTWEEQSEMDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVSDSSLPEGGVAKVE* >Brasy8G166700.1.p pacid=40082488 transcript=Brasy8G166700.1 locus=Brasy8G166700 ID=Brasy8G166700.1.v1.1 annot-version=v1.1 MASAQERSELDRKAREGETVIPGGTGGHNLEAQEHLAEGRSRGGHTRKEQLGEEGYREMGRKGGLSTMDESGGERAAREGIEIDESKFKTKS* >Brasy8G018800.1.p pacid=40082489 transcript=Brasy8G018800.1 locus=Brasy8G018800 ID=Brasy8G018800.1.v1.1 annot-version=v1.1 MKKLSSPFAQLPFFTAPILLVPFLILALIYFHLFPNGFNLQSGLLAPCQCNTCPATTTTTTTPAKLATPAVDLRVLLGVLTVPASYERRALLRLAYKLQPPPTGAVVDVRFVFCNVTEEEDAVLVAMEIIAYNDILVLNCTENMNDGKTFDFFSAIPKLFATEAEPPYDYVGKADDDTYYRLSALADALRGKPRRHDVYHGFLWPCGLENPDPEWQFMVGWGYVVSWDVAAWISSEPGERMFGNYTKGAEDMAFRHWLRLGGKGKNMYGEGPRMYDYLDAEKPDREKSCYRHELVTDTVAVHRLKSRLWWARTLRFFNATEGLQPSKMYHLDL* >Brasy8G188500.1.p pacid=40082490 transcript=Brasy8G188500.1 locus=Brasy8G188500 ID=Brasy8G188500.1.v1.1 annot-version=v1.1 MPLAPTVSAAVSFSARPSVPAVTVRPRAVSICASAGSGRARAGAPEGGKWWAPLVGWSGRADYIKAAAPASLAVVEKDEEEKAGRAFVGALTEAKARQLRARMVETESFHDAMYHSAIASRLARSA* >Brasy8G253900.1.p pacid=40082491 transcript=Brasy8G253900.1 locus=Brasy8G253900 ID=Brasy8G253900.1.v1.1 annot-version=v1.1 MTTNGDHAAQAAAQAQQQQAAAKAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLVTDFNKFINKEQPTQGTLPRRLCVLVPVAPILLGHLELMNSSDTSSPWSK* >Brasy8G202700.1.p pacid=40082492 transcript=Brasy8G202700.1 locus=Brasy8G202700 ID=Brasy8G202700.1.v1.1 annot-version=v1.1 MAMAVASTSSSLAALNHPHFLPSTPLLHSKPFKPLKPVRPPSCALVDADTAAAAGPRTSQAELRPDTKNAHALSSEIRRLVRAGRLRSALSLLDHLSHRGVPASPSAFVALLSACRSLAHARQIHAHLRVHGLDSNEFLLARLVELYLTVGAADDARQVLDGLARPSAFSWNALLHGHVRRGRGEAADAVADGFAEMRAAGAYANEYTYGCVLKSISGSARPSMVMATSTHAMLIKNAFAGAPGMLMTGLMDVYFRCKKVKLAMMVFEEMPERDVVAWGAVISGFSHKGLKREALEHFRWMVNNGVKVNSVVLTSIVPVIGDLRARNLGREIHGLVLKKFRDRKDVAKVHAGLIDMYCKCGDMISGRRLFYSTKKRNAVSWTALMSGYASNGKPDQALRCIVWMQQEGVRPDLIAVATVFPVCTKLKALKEGKELHAYALRRWFLPNVSLCTSLITMYSTCCHLEYSRRVFHAMDKKTVRAWTALVDAYLKNRDPSTAVEVFRLMLLSNRRPDAVAITRILSAFSDIGALRLGKEVHGQVLKLRMESLPLVAAELVKMYGRCEDLKAAQRVFNRTESKGSLTCTAIIEAYAINHRHKEALDLFAWMLSNRFVPNNVTFNVVLRICEAAGFHDEALEIFNSMVKEYNLEASEENYDCIIRILTAADRTSEAQRFADLKAALFS* >Brasy8G147000.1.p pacid=40082493 transcript=Brasy8G147000.1 locus=Brasy8G147000 ID=Brasy8G147000.1.v1.1 annot-version=v1.1 MQSRQATPKDILMKGEEVCTQKDPSASGQTELQANDLFLELYWYDIDEVVEQRLAANLKRCPKGLFLKGNDSLQLPFGKVGQCVGRSKPRLIRSMSLCSDGKYRTCACIMHGLQPQRLKLSNMTPKRPGPVLESDPR* >Brasy8G112100.1.p pacid=40082494 transcript=Brasy8G112100.1 locus=Brasy8G112100 ID=Brasy8G112100.1.v1.1 annot-version=v1.1 MHRCCTCRGSLSLYSQLWRSIADADGAGAFVVRSSSRTDEAVAWSSFCGSNTVARRRRHVCRRRKRRRRRRRRRRRRRRRRRRRRKPTRPRRPAAIHCCLRGGGTSCRIAASRSSSFSPQSCTCAQQASSSGHLPTMSPARREREREGARASRGEDVPGRVSSRTYYEVVVAKPSRRSGGGGGPDERGGTMEKARRG* >Brasy8G010200.1.p pacid=40082495 transcript=Brasy8G010200.1 locus=Brasy8G010200 ID=Brasy8G010200.1.v1.1 annot-version=v1.1 MAVHHGRTATSYAGQLAGRVSSLVARCSRATRRLLRRHRLRTTTGYGSSGRKSSSTHQAAASVAPRPAAAMAMDTGGKIVDVDDGEGIWRRAILMGGRCQPLDFAGAIHYDSFGRRLDRPPTTPRSASSLSCRSSDSLATYLDTPQL* >Brasy8G214500.1.p pacid=40082496 transcript=Brasy8G214500.1 locus=Brasy8G214500 ID=Brasy8G214500.1.v1.1 annot-version=v1.1 MERRTRVDQNLTFWIVRPHTHIPCLFPNCQTPPPRVPLAAAVNLPWPAACTSVLLLGHDLANPMAAEGHPEHPLVILIHPLAILIRFWMF* >Brasy8G012000.1.p pacid=40082497 transcript=Brasy8G012000.1 locus=Brasy8G012000 ID=Brasy8G012000.1.v1.1 annot-version=v1.1 MVKLATAREARRYGPPLAVRRWEYINAGAYAFATLLLFAGLSAASASSSSLAGFRAGMAAAGVALAVVAAVNLHDLGAHLAGLGGFRRVFPLARLDPQLALVELLAPALHSAGCALAIAAGVLALADNNGHAAAAGLMLVGGAGAWILGSALNACQVYERADGRAQLLQSAVQVPLLLGSLLFLVSAAVNAMRPEGKKKTMTVAMACALCGSALWVVGAAFNGVKVVAAHQSGAPRLERLRGGAEERLAWERDGGPPPLLGWRSPPAPAAELR* >Brasy8G281200.1.p pacid=40082498 transcript=Brasy8G281200.1 locus=Brasy8G281200 ID=Brasy8G281200.1.v1.1 annot-version=v1.1 MRGANMVRMLVLCCCCFFFFSSFSSAFQHARVPDSVVVSVTVNGNPTAGAGSTIHAVQGDTVIFTVALHNALPYDNVAVRWHGIGQIGTPTTLSDAFTYKLVVPRSHTMPATSAAASTAPSSWSSTCSELARGATASAWTTAHARLTATATTKLTMACRRLTCMRVVEAYLERVVPVDMIMAANRRDEQGAARSHEEDMKEKLKLWAKAVAQGAKKKLHAEASGAGRPPRTLFRFSVGKPSIEAVAMAGGTVDSSSSDDDMPPYHLRVESLLEDHEVLPLPPPAFLFLGPPSRLDQEPADPRVNVNDRRHTQNRMAMWFNGIMLWVTAAVASSVAAIRRPSRDP* >Brasy8G138600.1.p pacid=40082499 transcript=Brasy8G138600.1 locus=Brasy8G138600 ID=Brasy8G138600.1.v1.1 annot-version=v1.1 MIASTKQDEKAISKVMVIIGGTPLIRNAMIRENAPLHVFHGLVILFRSRSNHFLGSRKWRRRLVNDCQILLGERNYQSGVRPRRFPLPVIEALADGGRTNGLDSSSKHLCIISPSHII* >Brasy8G106900.1.p pacid=40082500 transcript=Brasy8G106900.1 locus=Brasy8G106900 ID=Brasy8G106900.1.v1.1 annot-version=v1.1 MVLFAAGSVSVLKLIFSLAVAIRAAASKARWNRDDCLAIATCACTLDATLSSLNYSVGMPAKAAKHPAVASALESLHLALHRALQAVMDCPEDGTMFRHFNADRVSVELRQVNQVITDRMMDVILVAGLHTNSVVVLDAQQSKHHGDGGSPLRPLPQIQSNHPAAAVLNKFYQAGRRELQDASM* >Brasy8G083300.1.p pacid=40082501 transcript=Brasy8G083300.1 locus=Brasy8G083300 ID=Brasy8G083300.1.v1.1 annot-version=v1.1 MACGGARMSSAAASTGGGAARTVAPWILACSFLLCSSPFLFQGAEGAIGVNYGMIANNLPSPEKVIAMYKANKIGYVRLFHPDTTVLTALRGTGIGVVLGTLNEDLARLATDASFAATWVSTYVKPFAGSVTFRYITAGNEVIPGDLGARVLPAIKNLEAALRAAGVSGVPVTTAVATSMLGVSYPPSQGAFSEASAPVMVPIVAYLSAKKAPLLVNVYPYFAYNADPERVQLGYALLSSSDNAAAAVAAVTDGGLVYDNMFDAILDAVHAAVEKAGGGGEGLELVVSETGWPSGGGATGATVENAAAYNNNVVRHVVAGEGTPRKPGKAVETYLFAMFNENQKPEGTEQHFGLFQPDMSEVYHVNFAGSS* >Brasy8G287800.1.p pacid=40082502 transcript=Brasy8G287800.1 locus=Brasy8G287800 ID=Brasy8G287800.1.v1.1 annot-version=v1.1 MQVTTWALILPLLRKLGALLLDEYKLEDRVKKGVVSLRSELQMMHAALRKVGDKPPEELDEQVLMWSGKVRELSYNMEDAVDAFMVRVEDDDGHGRGPNNIKNRVKKFLKRTKKLFSRGKALHEISDAMDEARELAKELGDLRQRYMLDAQANTTIDPRLKAVYRDVSELVGIEDRRDRLIKMLADGHECPKQQQLKTISIVGFGGLGKTTLAKAVYDKIKGQFHCGAFVSVSRNPDIKRIFKKILHQLDRNKYAAIHEAVRDEGDLIDELRRFLQDKRYLIVIDDIWDEEAWGIIKCAFSESSLGTCSISGDDMIYQMKPLTEDDSKSLFYKRIFPQGTGCPHELEQVSKNILKKCGGVPLAIITVASLLASSDQQIKPKYQWETLLNSIGRGLAEGGSVKEMQRILSFSYYDLPSHLKTCLLYLSIFPEDFDIGKDRLIWRWIAEGFVQGGKHETRLYELGESYFNELANRNLIQLVKFDAEGKVRACRVHDMVLDLICSLSIEENFVTILDDTQKRIPNSQNKVHRLSFQNNMSKLTSRLVDATSMSQLRSLTLFRTNVGLIKTALSCFHLLCVLDLEGCNLGKSSHKIDLSYIENLLHLRYLGLQGTRVAILPKEIGKLKFLETMDLRTESKERLVVPSSVVELRHLMSLHLHSGYTEIPVGMGNLTSLEELAGLWVDGSLAIEKELGKLLELRSVCSSLVVSLSNLQNLQRLRIENGGNARFDVCWNSLVPPPYLRSIGFIRWTSTLPTWINSASLSLLSSQTLSMDRVCLEAVDIQTLGKLPALCFLLLWTTKATCKRFILGADAFPCLQHCCFHGFQTGPSMFPRGAMPRLESLYFFARASHIAGGELDFSMEHLPSLQRVEVRLWHEKAAGSTSNKSEEAEAAVRVAADAHPNRPTLQINHH >Brasy8G230400.1.p pacid=40082503 transcript=Brasy8G230400.1 locus=Brasy8G230400 ID=Brasy8G230400.1.v1.1 annot-version=v1.1 MFGWGKSSKTLAPAAAGGEVAVQKVDRIEFQNLIKPPPRFGGIIGSNPRNPAALPPAVTGTAARMPDGSPREDINRKASRFIEDTKKRWQLAHKSFRATGAGR* >Brasy8G050300.1.p pacid=40082504 transcript=Brasy8G050300.1 locus=Brasy8G050300 ID=Brasy8G050300.1.v1.1 annot-version=v1.1 MRCSGRTNAMASILFMGLLAVTAQGRLEAGRRSYLDGDITNTTRNATVGDGGKVSVVFCGAWSCNTGDCYCCDVLKPQKCWKTLDECKAKCPPCNPKCPPAPFEPEIEQYA* >Brasy8G077500.1.p pacid=40082505 transcript=Brasy8G077500.1 locus=Brasy8G077500 ID=Brasy8G077500.1.v1.1 annot-version=v1.1 MTRHPRPPSPNPPPPPAPADPEQGFDPVAEWLLDLDYGVDFDQAMASEFDKTFGLGDEEAFPLPGEIEPTGSGVAIPVLEDDELVFGSGGFCVDPVKEGIVGEKDELLGLFDAPGGVEFDVGKEDGILSGGLGTQPGPDQLPVLDVSNLVAKQGSLEGAIDADMTTVAAVDAEIPAAVETEIVTAAPAEAKISTVASVHAALSSEESKGEDSESSEDEEDEESDESSSSSDEEEEMTKEDVESSEASSSSDDEVPMTRKQGVTDMEALLEEGELMVGIDDDDEDETRKGPIKSKNEVKELPPVLKIEVQLESHHKALPVGAISAIMGERVIVEGSVQHSPLTEGSILWITESRTPLGIVDELFGPVKNPYYLVRYNTPEEVPTGITAGTTVSFVSEFANHILNMKELYAKGYDESADHDEEADEPEFSDDEKEAEYKRSLRLSKRQTDRQHESKKSFGDKKRVQPRGAGFRKDMPPRVHDSPTLGQQSQHRFHHSDMPPVVTDNVTRRSGPQNFPMSAPTMLPPISMNHSMPSAVQLANQMGSCFINPSQQFSPQQQNMVWPGRLPPPPQPNIGVDGAAFAANIMQNILIGANQYQQYLQNQNFGGFPNGMPMAPPQFMPGSGMPVNPMQFGGPPVNRPFGPGSQNMGQGNFGPASQNMGQGNFGQLPHMAGDQGLPPGFPNAQGYAGIPSLHENGDQPPGFPSTQGYGRLPSPHGDGGQPPGLPSTQGYGRLPSPHGDGGQPPMQFNSGQFNQGNSSFRGRKPQQRGGRQSRGRGGGGRHRK* >Brasy8G077500.2.p pacid=40082506 transcript=Brasy8G077500.2 locus=Brasy8G077500 ID=Brasy8G077500.2.v1.1 annot-version=v1.1 MTKEDVESSEASSSSDDEVPMTRKQGVTDMEALLEEGELMVGIDDDDEDETRKGPIKSKNEVKELPPVLKIEVQLESHHKALPVGAISAIMGERVIVEGSVQHSPLTEGSILWITESRTPLGIVDELFGPVKNPYYLVRYNTPEEVPTGITAGTTVSFVSEFANHILNMKELYAKGYDESADHDEEADEPEFSDDEKEAEYKRSLRLSKRQTDRQHESKKSFGDKKRVQPRGAGFRKDMPPRVHDSPTLGQQSQHRFHHSDMPPVVTDNVTRRSGPQNFPMSAPTMLPPISMNHSMPSAVQLANQMGSCFINPSQQFSPQQQNMVWPGRLPPPPQPNIGVDGAAFAANIMQNILIGANQYQQYLQNQNFGGFPNGMPMAPPQFMPGSGMPVNPMQFGGPPVNRPFGPGSQNMGQGNFGPASQNMGQGNFGQLPHMAGDQGLPPGFPNAQGYAGIPSLHENGDQPPGFPSTQGYGRLPSPHGDGGQPPGLPSTQGYGRLPSPHGDGGQPPMQFNSGQFNQGNSSFRGRKPQQRGGRQSRGRGGGGRHRK* >Brasy8G032700.1.p pacid=40082507 transcript=Brasy8G032700.1 locus=Brasy8G032700 ID=Brasy8G032700.1.v1.1 annot-version=v1.1 MAAPGSLGLLQVQSFTAAKCRPVSASRRAPGVVFAVRASAASAATKDAVLRPFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACDQDLVKLAMELTNLPICVSSVDPSAFHSAVEAGAKMIEIGNYDSFYDMGIEFSSEQILKLTKETRKMLPDITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVRSIAEALGTASRNVTETPRTVHH* >Brasy8G281500.1.p pacid=40082508 transcript=Brasy8G281500.1 locus=Brasy8G281500 ID=Brasy8G281500.1.v1.1 annot-version=v1.1 MQVHACGVDYTNSNRWFRKKCTTLLMQRMKECAFFFFIPPGWMPASFDRFPKQTVWIGSPRLNSLDSCPPPEASSEVQRAHIPGGVWVGMGGGNALGVELTHGAVAAMSRPQEEDDGALGHLLPLRPVLQVYDAPRLASAGAVVGAATERYLLLLSDGAHSQEALLAASVGTNSLVRAGLLRAGSVLRILDYRVCRDRQRRVIIVLQLEILRTECTLIGSPTIYEVNAPQPKTESYSDGLGIHGSMISRAEQGVTNIAYSPRQGFLGSSTAARAEHAVKNLPFPGFYGPMLSQNAIYAKMQQLSLNSHKGQRLAVPWGFGSPGSNTYGHHVHPLYQQTPPMYMNGGPVANNEGPAIPIANLNPYLARWTIKGRVTGKTEVWHFSEGKVFSFDLLDAQGDEIRATCFNSLVDQYYDKIVVGNVYLISRASVKPVLRKKGNPLNHEHELILDASTSVESCSGDDGSIPLQRYNFRQISEIENLDCSSTVDFLGVVTSVSPSVTIARKNGSEAHKRTIQLKDMSGRSVPITLWGNFCDVEGQQLHSQWESGLNPILAVKGGRISHFNGPSVGTTSSSQLKINPDLPAAEKLRRWYAAEQEDDVYQTIAQINDDNFGTLSQPDLATVVATISSVCSGACCYPACDLMFNGEQCKKRVTADGDWWWCNRCLGRSETCEYRYHIVCQIHDHTGSTYATVSQKAARDIVGRKAQEFCTIKDAEQNGKEFEKIMQGILGCQYLLKTSIKKVAEDGIVGMKRVIVEAERLDSSNTSRRVLGAIDKLSKDNSSLSVGDIEI* >Brasy8G011600.1.p pacid=40082509 transcript=Brasy8G011600.1 locus=Brasy8G011600 ID=Brasy8G011600.1.v1.1 annot-version=v1.1 MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQDWIERVAAVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKDKLSQFCHVPAANIFTLYDVSNIWRIPLLLRDQNAHNAILNVLNLDSVVREPKLEEWVARATLYDTLQDKVRIAMVGKYTGLSDSYLSVLKALLHASVFCHRKLVVDWVASTDLEDSTATEAPDAYNTAWSLLRGADGVLVPGGFGDRGVKGKILAAQYARENNVPYLGICLGMQLAVVEFARHVMNFPDADSTEFDPNTKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVTDCKSAKLYGNVNYVDERHRHRYEVNPDMVPIFENAGLKFVGKDETGRRMEIIEMPDHRFFIGVQFHPEFKSTPSKPSAPFVGLIAASSGQLDQVLQDSCNGHTAADKHKPSNASLTPQEHQNGHAKKQANGVANGTCHANGNGMHH* >Brasy8G069300.1.p pacid=40082510 transcript=Brasy8G069300.1 locus=Brasy8G069300 ID=Brasy8G069300.1.v1.1 annot-version=v1.1 MIRPVASSTALLCPIPARLSCPLLRETAVGTRATGNSHRSIRANGRNLRRLRSCSAAAAPTMDLSPRKDLYPHVEPYDTGRLKVSDIHTIYYEQSGNPGGHPVIFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGKSTPHACLEENTTWDLVSDIEKLREHLDIPEWQVFGGSWGSTLALAYSQTQPDKVTGIVIRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDANLQIEAAKRWTMWEMMTAHLIQNHENIKRGNDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFVVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEIGVAAELVSANEKLKSMLRK* >Brasy8G069300.2.p pacid=40082511 transcript=Brasy8G069300.2 locus=Brasy8G069300 ID=Brasy8G069300.2.v1.1 annot-version=v1.1 MIRPVASSTALLCPIPARLSCPLLRETVGTRATGNSHRSIRANGRNLRRLRSCSAAAAPTMDLSPRKDLYPHVEPYDTGRLKVSDIHTIYYEQSGNPGGHPVIFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGKSTPHACLEENTTWDLVSDIEKLREHLDIPEWQVFGGSWGSTLALAYSQTQPDKVTGIVIRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDANLQIEAAKRWTMWEMMTAHLIQNHENIKRGNDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFVVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEIGVAAELVSANEKLKSMLRK* >Brasy8G213700.1.p pacid=40082512 transcript=Brasy8G213700.1 locus=Brasy8G213700 ID=Brasy8G213700.1.v1.1 annot-version=v1.1 MECRSRLGNLTCHAVVTLLLRPCLFGLQLPEKQLELIIGDPAAQLAIRRGERRLRAVDDFRDSGEEGEGEGRARKTPARTGDAGGGGVRTENKEEGERFPAADEDSGGASRRHQRWTHASRRGLQAREGRRRWTAGERRGGGAGSGGGGWRGKSRAAEEVGGAGSGGGGRRRGLRSRRRGQPYEQFGAGRARSSGPAREAPLEVLSASSSITRSAS* >Brasy8G066300.1.p pacid=40082513 transcript=Brasy8G066300.1 locus=Brasy8G066300 ID=Brasy8G066300.1.v1.1 annot-version=v1.1 MGLLKNNPTVVCFVSLLVISAIFLSCDASDRITAPEDNFPAFCFDDKACADSLCIDDCKSRGYATGECKLDEGGVCCCHK* >Brasy8G070400.1.p pacid=40082514 transcript=Brasy8G070400.1 locus=Brasy8G070400 ID=Brasy8G070400.1.v1.1 annot-version=v1.1 MSRHHHHDPPPPAACCSCCGCGCGCSAPAPPCGYYPAPPQPDSGPDHLLHAIAAHLLLNSGAPPTSPQPQQHHQPQPQPQAPPPAPHHANLYSYAAYQSQYQQAPAPPPHQQQPNPPGPDHGQLLLHSLLRRVAALETTLPGCFPSPPPPLHPNHHPRPQHRASAAHQEEAPPSPPPPRRRRAPSETELAARTIQEHFRRFLARRSRTLRQLKELAMLRSKAASLRGSLSGRRRCADPAAVSESVMRLLFHLDGIQGEDPMIREGKHAVSRELCRIIEFVDKVLLKDHQQNNEEYPEGCHGASAMNRATVNKKVSFHCNGAEKEADESCESSSSAEADERKSKNSKKTANGKPGLAAPVPVHMEDF* >Brasy8G124600.1.p pacid=40082515 transcript=Brasy8G124600.1 locus=Brasy8G124600 ID=Brasy8G124600.1.v1.1 annot-version=v1.1 MAPIRVLTALDHARTQYYHFKAIIIAGLGLFTDSYDLFCIAPVLKIIGRVYYPPSSTQPGVTPPAVVSATVGVALLGAVVGNLVFGALGDRVGRRRVYGPCLLLLVCSSLGSGFSVCRTRRCVLSSLCFFRFLLGVGVGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMVVAAVFDRVTGHPAPLDTPEAADLAWRVILMAGAVPAGLTFYWRMAMPETARFTALVQHDVLKATNDFGRVLTDLDLNPIAEEEQTSAIPRTPEPFDHAATAEAQHGLFSRRFLAQHGRNLLGCALAWFLLDIPYYSSTLFQSQIYQPWFPAAGRVNVFQEAYNVARFQAIIAVASTIPGYFAAVLLIDRVGRRRLQMAGFFLMAAFLFALAGPYDGYWRGNAKNAWYLVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKVGALVGSVGFLWASQARDRGQVQAGYGPGIGMMYALVVLGGISLLGLAVTYALTPETMRRSLEENESEPGQRHGHGELTADADGGVNMTRLQEINVTPKSPASMVSSHVSTSPIHPHRFSV* >Brasy8G219400.1.p pacid=40082516 transcript=Brasy8G219400.1 locus=Brasy8G219400 ID=Brasy8G219400.1.v1.1 annot-version=v1.1 MGFEPGGQSAPDGASHDEDIDGHADQGASHDHEDEDIDGHADQGEEGFETSQASNVTRRKDHSNEVRQNVYAMILRRTASNRLCHGVIKQVHQETGIPLRTVQRIWQRGQEGVFATYKDAAHELHVSTTTVWRRVKEGRWRRHTNAVKPVGDMP* >Brasy8G199100.1.p pacid=40082517 transcript=Brasy8G199100.1 locus=Brasy8G199100 ID=Brasy8G199100.1.v1.1 annot-version=v1.1 MKHVHWMAQKAMSSAKLITCLKTILALGEDTCNFPWSFLSNKTGGTLRTLRLSSCTFHPTVPCSMQQLYYLKVAGCKMLQVIEINAPKLSTLIYGPTEMPLSLGDPLQVKIIHICGSFLQDNLVHYARTELLSIMPNVENLQVSSAVEAGMHYFAISTPMVSKFLHLKYLGIYICGSRSLIHSHDFFSLISFLDASPAFETFILRITGFSSAKSIVELTNNILENASSLKCITLDTVCSNDKVFAEIGRCMPMIEEQVIEAERALKATRRYIVGKVPSSVDFKVLEPCSK* >Brasy8G257400.1.p pacid=40082518 transcript=Brasy8G257400.1 locus=Brasy8G257400 ID=Brasy8G257400.1.v1.1 annot-version=v1.1 MPRRGQLHELLFALCMVAARELAAIVLPFGSLIVGDFAKHLYECAMSRSCAFAHHVRVHVHD* >Brasy8G238100.1.p pacid=40082519 transcript=Brasy8G238100.1 locus=Brasy8G238100 ID=Brasy8G238100.1.v1.1 annot-version=v1.1 MADAKQQQAVAPAGVWKTIKPFVNGGASGMLATCVIQPIDMIKVKIQLGEGSAGQVTKKMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRHYKNAFHALYRITADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGEIQTVVGASAISGFFAAACSLPFDYVKTQIQKMQPDAAGKYPYTGSLDCALQTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI* >Brasy8G213000.1.p pacid=40082520 transcript=Brasy8G213000.1 locus=Brasy8G213000 ID=Brasy8G213000.1.v1.1 annot-version=v1.1 MPTCNGWVYFSVSSAWTSGFAACKSRGRLKNNRQLLDLLKLEICFLPVVGETSSSAFPCDGRAQTTRPILCVASDGRNRRQPQRRAKVPRKVL* >Brasy8G204100.1.p pacid=40082521 transcript=Brasy8G204100.1 locus=Brasy8G204100 ID=Brasy8G204100.1.v1.1 annot-version=v1.1 MLRAGTRPDHFTLPHILKACGELPSYKCGITFHGLICCNGFESNVFICNALVAMYARCGSLEEASLVFEEITQRGIDDVISWNSIVAAHVKHSNPWTALDMFSKMAMIVHEKATNERSDIISIVNILPACASLKALPQTRQIHGNAIRNGTFPDAFVGNALIDTYAKCGSMKDAVKVFNMMEFKDVVSWNSMVTGYSQSGNFEAAFELFKNMRTENIPLDVVTWTAVIAGYAQRGCGQEALNVFRQMIFSGSEPNCVTIISLLSACASLGACSQGMETHAYSLKNCLLSLDNHFGGDGDDEDLMVHNALIDMYSKCRTFKAARSIFDSIPPKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISEPHTVAPNAFTISCILMACAHLSALRIGKQVHAYVVRQHQYEASTYFVANCLIDMYSKCGDVDTARYVFDCMPQRNAISWTSIMTGYGMHGRGNEALDIFDKMQKAGFLPDDISFLVVLYACSHSGMIDRGLDYFDSMSRDYGVAASAEHYACVIDLLARAGRLDKAWSIVKDMPMEPTAVVWVALLSACRVHSNVELAEYALNKLVEMNADNDGAYTLISNIYANAKRWKDVARIRNLMKNSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSHQIYALLERLIDRIKSMGYVPETNFALHDVDDEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFMYISKIVDHEIIVRDSSRFHHFKYGSCSCGGYW* >Brasy8G300100.1.p pacid=40082522 transcript=Brasy8G300100.1 locus=Brasy8G300100 ID=Brasy8G300100.1.v1.1 annot-version=v1.1 MEVVTGAMGSLLPKLGELLKDEYNLHKNVKKDIMFLQRELESMHAALVKVGEVPRHQLDKQVKHWAGEVRELSYNMEDVVDKFLVRVEGSENDANAVGLKGLMKQMVGLFKKGKNHRRIADAIKEIKERLQEVSARRDRNKVDGIVANFPATTTIDPRLQAMYADAAKLVGIYGKRDQDLIKLLSEGDSNVSKKKLKMVSIVGFGGLGKTTLAKAVYDKIKGDFDCGAFVSVGRNPDIMKVFKDILIYLDKQKYNNINLTILNDRQFIDELREFLEDKRYLIIIDDIWDQKLWEDINLAFSDKNNQGSRLITTTRNIGVAKACCSSPNDSVYPMEPLSHDDSEMLFRKRIFPDESGCPREFEQVSKEILKKCGGVPLAIITLASVLASGQYVNSKDEWQILLKSIGRGLKEDPSLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDQMIWKQDLICKWVAEGFVQCQNLGTSHFELGETYFNELINRNMIQPIYKDSGELPACRVHDMVLDLICSLSSEENFVTILNGSGDITSSLCSARRLSLQNCNEGHETMPHLKSKSHARSITVFEPATYILSQISWFSVLRVLDLAYHNPRETRRQLNISDIGKLLHLRYICVSNTGIRELPTEIGNLQFLQVLVVNGIEELPPTVCKLRRLMLLSVDPYCKIPPDVLGKLTSLEVLERIGATTNTVQQLGNLTRLSVLNIFFPDGSFELYEDFVESLCKLRHIKRLLLFCNSSEAPCAASPGLLDLLEERWVPPQSLQAFVSDIPSKLYTLPVWIKRDPSCLSSLSKLRLTVKGVQQEDMQILGGLPALHHLAILSTHQTQRRLVISADGYRRVVLFELQCGSRAQIMFEPGALPRVKRVEFSLGVRVAKDDGNGNFDFGLQGNMLSLSECIGVNIYHGGATVGEAKEAEATVRRALQDHPNHPRVLINMVPFIPEDAHDYDFFDERKGYTSKYKKIPVITRRHSR* >Brasy8G169500.1.p pacid=40082523 transcript=Brasy8G169500.1 locus=Brasy8G169500 ID=Brasy8G169500.1.v1.1 annot-version=v1.1 MSSSSPSPINASNNTTTVHRRRTLFGSCGTGNPVDDCWRWDPSWADNRRRLADCGIGFGRNAIGGKNGPTYVVTDPSDEDPSSPAPGTLRYGLTQDGPLWIIFAHDMTIRPKHELVVGSHKTVDGRGANVVVGVGGACFAVDGASNVIIHGVTIRGCRPKPRGPRSRSESDGDGVSVLEARDVWIDRCSFEDCADGLVDVTRASTGVTVSNSLFTNHDKAMLLGHSDSFDDDRAMRVTVALNRFGPGLVQRMPRCRYGVFHVVNNDYVKWGMYAIGGSASPHILSLSNRFSAGENKEVTKREDYTPENDWRNWRWMSVGDLMLNGAFFTASGGPGPEIKAPGFAKSASMVEQMTAEAGALSCNRASLC* >Brasy8G016900.1.p pacid=40082524 transcript=Brasy8G016900.1 locus=Brasy8G016900 ID=Brasy8G016900.1.v1.1 annot-version=v1.1 MRGGGSGRGGGGGRQQQPRAAAVAAGEGAEIPPASRKLVQGLKGILADRTEAEIYATLLDCGMDPDVAVEHLISQDPFHEVRRKRNSKKEVKASQEARSRPFYKSTYRGSKAVSDRSGRSYSGLGADSTGSVKGPSKKETGLIPPENLAASDSVKTSNPTETVSAAGNLADAKPTTFQPPSQAQHGWGGVPGRPSMADIVKMGRPQAKSGSRSAGISAGVPAVAGSVVSNASNPIRKDSHNTVLPSEGDHVTTNKLPNGTVQVHSVPVDDSFVDVLPPGEGSDVPESFGAVSTNAKPAGSIIPEVNEVDFGNDNNFEETKEMSASNANGLTSSGTFSVSDKDVPSSNDLIEKSDNCQSDKDALEHRQNSNDDMSTTSYLLEHLTIHEEKRPKASEDNPAVIIPGHLQVSNADFADLTFGSFVSGTLDVSCSTAPANSDGEVTSVSENHSSDQSDVRIHEYENKEAVIPAANEYIAPATNSNVENTSINSVQQSEVGRAGLMGVTNSTEYNLSPTDYATSSTVQPDSAPQNYLQENRQMQNISPLSSFMQGNMPNGLLPPAMPPFRELDPAFSLLLTNPPLATMLHGTPPSSMSNATVSTQSQENVNAGGLSNPQLTHSQASTSMAPLPHHLALHPYAQATLPLGYTSMMGYPSLPQSYYLPPAAFQQPYMNSGLFHQAAAAAAAAAAPNSNVKYPMPQYKSNVPLASMPQQASLLSNYVGGFGTANGMPGNYALNQSSVPANAAPGFDGTMPSQYKDGNHYISLQQNENPAMWMHGAGSRGMPPLAANTLYGYQGQQGHQGGLRQGQLPSQFGAALGQSQQGLGQEHRNPSDSNLSAAGQANQMWPNSY* >Brasy8G016900.2.p pacid=40082525 transcript=Brasy8G016900.2 locus=Brasy8G016900 ID=Brasy8G016900.2.v1.1 annot-version=v1.1 MRGGGSGRGGGGGRQQQPRAAAVAAGEGAEIPPASRKLVQGLKGILADRTEAEIYATLLDCGMDPDVAVEHLISQDPFHEVRRKRNSKKEVKASQEARSRPFYKSTYRGSKAVSDRSGRSYSGLGDSTGSVKGPSKKETGLIPPENLAASDSVKTSNPTETVSAAGNLADAKPTTFQPPSQAQHGWGGVPGRPSMADIVKMGRPQAKSGSRSAGISAGVPAVAGSVVSNASNPIRKDSHNTVLPSEGDHVTTNKLPNGTVQVHSVPVDDSFVDVLPPGEGSDVPESFGAVSTNAKPAGSIIPEVNEVDFGNDNNFEETKEMSASNANGLTSSGTFSVSDKDVPSSNDLIEKSDNCQSDKDALEHRQNSNDDMSTTSYLLEHLTIHEEKRPKASEDNPAVIIPGHLQVSNADFADLTFGSFVSGTLDVSCSTAPANSDGEVTSVSENHSSDQSDVRIHEYENKEAVIPAANEYIAPATNSNVENTSINSVQQSEVGRAGLMGVTNSTEYNLSPTDYATSSTVQPDSAPQNYLQENRQMQNISPLSSFMQGNMPNGLLPPAMPPFRELDPAFSLLLTNPPLATMLHGTPPSSMSNATVSTQSQENVNAGGLSNPQLTHSQASTSMAPLPHHLALHPYAQATLPLGYTSMMGYPSLPQSYYLPPAAFQQPYMNSGLFHQAAAAAAAAAAPNSNVKYPMPQYKSNVPLASMPQQASLLSNYVGGFGTANGMPGNYALNQSSVPANAAPGFDGTMPSQYKDGNHYISLQQNENPAMWMHGAGSRGMPPLAANTLYGYQGQQGHQGGLRQGQLPSQFGAALGQSQQGLGQEHRNPSDSNLSAAGQANQMWPNSY* >Brasy8G294200.1.p pacid=40082526 transcript=Brasy8G294200.1 locus=Brasy8G294200 ID=Brasy8G294200.1.v1.1 annot-version=v1.1 MGGLRAASPPPPRVAMACVVASEVATVLAVMRRNVRWAGVRYGGDDGAGAEEHVDHPLIAGLKSLRRRAASWGGGGTHNGGVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKILSLDLVPPAAPNVAEAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAATALANHHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPHIDATTIVNEQIAGSKNQGLGAGEMGNGKNDYVCLNSSGDEVGGGLSVVQDKAMMEPFGVLCMVEILQFLCSLLNIAEDMDVSQRMNPIDFDEDVPLFALGLINSAIELSASSIHRHPKLISFVQDELFRNLMQFGLSMSPLILSTVCSTVFTLFYHLRQELKLQVEAFFSCVILRLAQGRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNVFEDLANLLSKSAFPVNSPLSALNVLALDGLVAVIQAIAERTDNAHQHHDQTVPEISEYFPFWQLKCESSNDPDQWVRFVHQQKGIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPCSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPHMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLTELYYSICRNEIKTIPEQGAGCSEMSYSRWVDLMWKSKRTSTYIACDSYPFLDNDIFPIMAGPSVAAISVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSNSDDPVIAFGEDTKARMATEAVFTIATTYGDHIRSGWRNVVDCILRLHKIGLLPGRLTGDTGDDQESSSDSLPSKLAVVPQLVRINTPKKTYGLMGRFSQLLYLDAEVPGSQPTEEQLAAQRNASETVKKCQIGTIFTESKFLQADSLSNLARALVQAAGRPQRITSSLDDEDTSVFCLELLITVTLNNRDRIVLLWQGVYEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLISDSVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGMSVSSSAWLMSFDIVFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQSSFSKLWLEVLDMIEKYTKVRLRGRRTEKLHEAIPELLKNILLVMKASGVLSNTSASGENTLWEATWLQVNKIAPSLQSEVFPDNEGEATAKGEQSMSDSPAQEGQIAVQ* >Brasy8G163900.1.p pacid=40082527 transcript=Brasy8G163900.1 locus=Brasy8G163900 ID=Brasy8G163900.1.v1.1 annot-version=v1.1 MSNPASNPPPPASTPCASAHPLPIDEIPPRASPSSSLPPPLGRISGLRPSAEQIDGGRAPGRWPPVAAYVGAVCPALWTRRPPPHSPLAAGSPPGQIRPIAAWYNRI* >Brasy8G239600.1.p pacid=40082528 transcript=Brasy8G239600.1 locus=Brasy8G239600 ID=Brasy8G239600.1.v1.1 annot-version=v1.1 MKPPPLSLAVVVLISCLLHGTGASGDARPDDNGTRRFHSIFSFGSSYSDTGNFVLQSAGVPSIPFNNSPYGDTFFRHPTGRPSDGRLIIDFIAEALELPLLPPFLSKEAQNMNFSRGANFAIVGGTALDAGFFLRYNPGSVPPSRSSLRAQIAWFRGLLKQQSLICGTTAGCRAHLARSLFVVGEFGVTDYSYILCGGRKNLREAKSLVPRVVQAIARGVERLVEEGARYVVVTGTPPAGCLPMVLAKYGVDQGNETADQYDRRTGCLRRFNGLSQYHNWMLQEAMRRMRAKYPGTTLVYADYHKPVSRLIRRPGKFGFAEDPLRACCGGGGQYNYNPMGAACGLPGATACIDPINHIYWDGIHYTEAANKYIAEGWLNGVYAHPTILSLAQ* >Brasy8G239600.2.p pacid=40082529 transcript=Brasy8G239600.2 locus=Brasy8G239600 ID=Brasy8G239600.2.v1.1 annot-version=v1.1 MKPPPLSLAVVVLISCLLHGTGASGDARPDDNGTRRFHSIFSFGSSYSDTGNFVLQSAGVPSIPFNNSPYGDTFFRHPTGRPSDGRLIIDFIAEALELPLLPPFLSKEAQNMNFSRGANFAIVGGTALDAGFFLRYNPGSVPPSRSSLRAQIAWFRGLLKQQSLICGTTAGCRAHLARSLFVVGEFGVTDYSYILCGGRKNLREAKSLVPRVVQAIARGVERLVEEGARYVVVTGTPPAGCLPMVLAKYGVDQGNETADQYDRRTGCLRRFNGLSQYHNWMLQEAMRRMRAKYPGTTLVYADYHKPVSRLIRRPGKFGCQREG* >Brasy8G273900.1.p pacid=40082530 transcript=Brasy8G273900.1 locus=Brasy8G273900 ID=Brasy8G273900.1.v1.1 annot-version=v1.1 MEAMAWRILWLNSNKLRGPIPPLPTDLETLDISMNSFSGSLPSNFTSRDIETLVMFSNNITGIFPISMCGLQNLADLDLSNNLLEGELPQCFQANEIEYLFSSNNSFSGKFPLFLQKCTELVILDLARNKFSGSLPAWVGGMANLEFLVLNHNMLSGNIPSSITRLGRLQYLDLASNNLSGAIPWYLANFTSMTRKGFAPSSDSVNANPFEQGSYEIPLASQFGEIMCQYSVNIKGQELKYGHGIRIMVSIDLSCNRFTSRVPEEITSLDALVNLNLSLNELTGDIPDKIGAMQSLESLDLSRNKLSGEIPSSLSNLTYLIYLDMSYNNLSGRIPSGHQLDTLNVDSPSSMYIGNSGLCGPPLQKKCPGNNTSMKSELGHVYELNDIYVGFTVGLIVGLCVVFCTLLFKQTWMVAYFHLLDKMYNRIYVFVALNRARLFTGATGN* >Brasy8G085500.1.p pacid=40082531 transcript=Brasy8G085500.1 locus=Brasy8G085500 ID=Brasy8G085500.1.v1.1 annot-version=v1.1 MAEAAHQGRRCGGPMLGGVQDAPAGRENDLEAIELARFAVAEHNSKANAVLEFERLVKVRQQVVSGLMHHFTIEVKEGGAKKTYEAKVWEKAWENFKQLQDFKPAA* >Brasy8G260300.1.p pacid=40082532 transcript=Brasy8G260300.1 locus=Brasy8G260300 ID=Brasy8G260300.1.v1.1 annot-version=v1.1 MLPKLLTYLVLALVAGSGAGAAAARLHRSPATLTASGNPVYIWPLPKNFTSGTRTLAVDPDLALDPQGPGGGAAAVAEAFERYRSLIFAPWAHAARPASAKYDVAKLTVVVASADETLELGVDESYTIYVAASGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVHNAPWYIQDEPRFTFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEIPSYPNLWKGSYSKLERYTVEDAHYIVSYAKKRGIHVMAEIDVPGHGESWGNGYPKLWPSISCTEPLDVSSNFTFEVLSGILSDMRKIFPFGLFHLGGDEVNTGCWNITPHVKQWLDDRNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFGENLNPLTVVHNWLGPGVCPKVVAKGLRCIMSNQGAWYLDHLDVPWEDVYTTEPLAGINDTEQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSPLEAISVQDQTIVLARLHYFRCLLNHRGITAAPVTNYYARRPPIHPGSCFIQ* >Brasy8G260300.2.p pacid=40082533 transcript=Brasy8G260300.2 locus=Brasy8G260300 ID=Brasy8G260300.2.v1.1 annot-version=v1.1 MLPKLLTYLVLALVAGSGAGAAAARLHRSPATLTASGNPVYIWPLPKNFTSGTRTLAVDPDLALDPQGPGGGAAAVAEAFERYRSLIFAPWAHAARPASAKYDVAKLTVVVASADETLELGVDESYTIYVAASGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVHNAPWYIQDEPRFTFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEIPSYPNLWKGSYSKLERYTVEDAHYIVSYAKKRGIHVMAEIDVPGHGESWGNGYPKLWPSISCTEPLDVSSNFTFEVLSGILSDMRKIFPFGLFHLGGDEVNTGCWNITPHVKQWLDDRNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFGENLNPLTVVHNWLGPGVCPKVVAKGLRCIMSNQGAWYLDHLDVPWEDVYTTEPLAGINDTEQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSPLEAISVQDQTIVLARLHYFRCLLNHRGITAAPVTNYYARRPPIHPGSCFIQ* >Brasy8G171500.1.p pacid=40082534 transcript=Brasy8G171500.1 locus=Brasy8G171500 ID=Brasy8G171500.1.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMLISSLRHLKSMESVREDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIDLKDMAHALYGSELDFGDVEKTREHLKQYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLVYLAMKAGYMEKVDELCQRYSLEGYANSLGKLTPMMLLIQQ* >Brasy8G171500.5.p pacid=40082535 transcript=Brasy8G171500.5 locus=Brasy8G171500 ID=Brasy8G171500.5.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMLISSLRHLKSMESVREDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIDLKDMAHALYGSELDFGDVEKTREHLKQYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLVYLAMKAGYMEKVDELCQRYSLEGYANSLGKLTPMMLLIQQ* >Brasy8G171500.2.p pacid=40082536 transcript=Brasy8G171500.2 locus=Brasy8G171500 ID=Brasy8G171500.2.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMLISSLRHLKSMESVREDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIDLKDMAHALYGSELDFGDVEKTREHLKQYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLVYLAMKAGYMEKVDELCQRYSLEGYANSLGKLTPMMLLIQQ* >Brasy8G171500.3.p pacid=40082537 transcript=Brasy8G171500.3 locus=Brasy8G171500 ID=Brasy8G171500.3.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMLISSLRHLKSMESVREDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIDLKDMAHALYGSELDFGDVEKTREHLKQYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLKVNSVD* >Brasy8G171500.4.p pacid=40082538 transcript=Brasy8G171500.4 locus=Brasy8G171500 ID=Brasy8G171500.4.v1.1 annot-version=v1.1 MGCLKAGTQEDSEGSQNSEYRSICLHSFSDLSHVSAATFMYLLKDSYLYGTHKATLKFRILQQQVKSALHNAPQPGPFTYIVQCMYIVPLLGHSHAEGFSHMLISSLRHLKSMESVREDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIDLKDMAHALYGSELDFGDVEKTREHLKQYVQCFMKSESYVAAVALITRFSIQCCDESFLITLMGSNQFKVAEEWAAFMGKEMIVVLIQKYLDIKMLKGANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKETKLMEYLKVNSVD* >Brasy8G254400.1.p pacid=40082539 transcript=Brasy8G254400.1 locus=Brasy8G254400 ID=Brasy8G254400.1.v1.1 annot-version=v1.1 MTMVKLNSGAVVLSLCLGLAFFLAGQPAAAAGGGGSKKDVDVEGTVRKEVAKAIKSNPRVGAALVRLLFHDCWVHGCDGSVLLDSNGSNNRSTEKSAENNIGLAGFDVIDTIKAKLGDNISCADIVVLAARDATDILSRGKIVYAVSTGRKDGVISTAAAADAVLPPSTLNFDQLKANFAAKNFTQRELVVLSGAHAVGVAHLSSFIDRLKPSSSPISGTYQQALRDHAKAQKKVQGTPDPVEMNNIRDMDPTFRNKSGYDPTGVNVTATAVLDNSYYRANLQNMVLFRSDWELRNDSTNAAGDAMEEFMENAAKWFLLFGKAMAKLSELPAEGTRFEIRKNCRKTN* >Brasy8G005500.1.p pacid=40082540 transcript=Brasy8G005500.1 locus=Brasy8G005500 ID=Brasy8G005500.1.v1.1 annot-version=v1.1 MWTRTAIKKQTLVAAILSSPLSLPNPARARLRRTTPPAYLRPRASPPPRVAQLTAGGLPQFLPRTVRPAPLLPPRPPPPLQTLTLAQGSRGNAPPPPSHSPRLVHPPFLSRAIRPFAPVTLSGRRLGMGSLGSWGSGEAQGRGALGGDHALGTAIPLCSRAKELCYRAASGAGTLLKCCRHARMSLQTLLRV* >Brasy8G245300.1.p pacid=40082541 transcript=Brasy8G245300.1 locus=Brasy8G245300 ID=Brasy8G245300.1.v1.1 annot-version=v1.1 MLFQHRGGDGAPEPPPSPYDDDRDHSLVVLLTFGIFFSFVVLYLVAGLVWASAIAAFAVALSVCYLRARRRAALSRFAAGVGGRARLDRSSGGGGSELGLQLRPAALMLPAFAYKRGVGAGGEAAAGSPGWAQCVICIGLVQAGEMVRRLPACKHLFHAECVDTWLRSHSTCPICRAVVVADGRASRPPV* >Brasy8G007100.1.p pacid=40082542 transcript=Brasy8G007100.1 locus=Brasy8G007100 ID=Brasy8G007100.1.v1.1 annot-version=v1.1 MDDDSLGAAPPLDSNGPDPRDDDDDDASASASACGSPCSVASDCSSVASADLDALLLSATAANLALSSPPSLLLLDDAPPAATAEAATSTSTSTSRRTSVFALDAPPLWGLESVCGRRPEMEDAAAVLPRFHRLPLSMLSAPADPGADGLDRASFRLPAHFFAVYDGHGGAQVADHCRDELHHALVRELLAAELHDDDSPSVADPKKRWEKAFVDCFRRVDAEVAAKAADTVGSTAVVAVVCSSHVVVANCGDSRAVLCRGKEPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARARDDECLVLASDGLWDVLSNEEVCDAARKRILLWHKKNAAAADARGSDGGSPDPAAQAAAEYLSKLALQKGSKDNITVLVVDLKAHRKFRSKPDNNNRRQTDSSR* >Brasy8G109200.1.p pacid=40082543 transcript=Brasy8G109200.1 locus=Brasy8G109200 ID=Brasy8G109200.1.v1.1 annot-version=v1.1 MEERSVLMERYEVGRQLGQGTFAKVYYARNLTNGQSVAIKMIDKEKVLRVGLMDQIKREISIMRLVRHPNVLQLFEVMATRSKVYFVLEYAKGGELFNKIAKGKPTEESARKYFHQLICAVEYCHSRGVYHRDLKPENLLLDENEILRVSDFGLSALTESKRQDGLLHTACGTPAYVAPEVISRKGYNGAKADVWSCGVILFVLAANYLPFHERNLIEMYRKISKAEYRCPRYFSAELRELLHGILDPDPNTRMSISRIKRSAWYRKPTEITALKSDKEKTGEATTSGLMGDNGSKGRSSNLARLNAFGIISLSSGFDLSGLFDERYSQREARFTSEQPATTIFVKLKELAQRLKLKVTKKDNGVLKLATTREGKKGILELDAEIFEVAPSLLLVELKKTSGDTMEYQKLLKEDFRPSLKDILWAWHGDAHQQGQLPQHAEQQQQSNLPPLPPQR* >Brasy8G109200.2.p pacid=40082544 transcript=Brasy8G109200.2 locus=Brasy8G109200 ID=Brasy8G109200.2.v1.1 annot-version=v1.1 MEERSVLMERYEVGRQLGQGTFAKVYYARNLTNGQSVAIKMIDKEKVLRVGLMDQIKREISIMRLVRHPNVLQLFEVMATRSKVYFVLEYAKGGELFNKIAKGKPTEESARKYFHQLICAVEYCHSRGVYHRDLKPENLLLDENEILRVSDFGLSALTESKRQDGLLHTACGTPAYVAPEVISRKGYNGAKADVWSCGVILFVLAANYLPFHERNLIEMYRKISKAEYRCPRYFSAELRELLHGILDPDPNTRMSISRIKRSAWYRKPTEITALKSDKEKTGEATTSGLMGDNGSKGRSSNLARLNAFGIISLSSGFDLSGLFDERYSQREARFTSEQPATTIFVKLKELAQRLKLKVTKKDNGVLKLATTREGKKGILELDAEIFEVAPSLLLVELKKTSGDTMEYQKLLKEDFRPSLKDILWAWHGDAHQQGQLPQHAEQQQQSNLPPLPPQR* >Brasy8G242900.1.p pacid=40082545 transcript=Brasy8G242900.1 locus=Brasy8G242900 ID=Brasy8G242900.1.v1.1 annot-version=v1.1 MGDAHSRFLRSAKCKHSLKKKCKSLDQTGRKKKKKRAKADRPAASQVLAEDTFGEGTNTLNMATDAETEEKGSMNVEKRKTKKTFMVPQHQLDEILGYKVRPVPPSLAERAARIENLNKIIVAKQEKCRREYEAQGYATFEAEEDVNPSAPSSIEEDVNPLASSSIEEDATPSAAFPIPQGRRGRKRFRPGVMKHASGIKKLT* >Brasy8G044800.1.p pacid=40082546 transcript=Brasy8G044800.1 locus=Brasy8G044800 ID=Brasy8G044800.1.v1.1 annot-version=v1.1 MNDVRKQEKQKGRGGGGGGRTRAGGGGGGGARLSIILKEQQARLYIIRRCIVMLLCHHD* >Brasy8G033200.1.p pacid=40082547 transcript=Brasy8G033200.1 locus=Brasy8G033200 ID=Brasy8G033200.1.v1.1 annot-version=v1.1 MTPRDIYNPWQLLAHTVLLLLIDQRENLELQRLLVMAIGAPGDRSSILENVWASIMTPTGQAASSPAPASEEKPAAPAILRRLPSLGRWISMGADEWDELLNLDAASSPTFPSSAADDEEEEEHPPLNAPSSALAAPPSSSSSSPAAGKSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATAEEAALAYDAAALRMRGPRASLNHPLHLVQRRLLELEIMAVGPAADQAPSTSGRKRRKKTSHSAAAPPADQARIVGADDDFREITSDQHQDQMVSFAASSAQRDHGIAMQDQYVTSSRSVIELEEIGGEYWDYLFPPDLV* >Brasy8G243200.1.p pacid=40082548 transcript=Brasy8G243200.1 locus=Brasy8G243200 ID=Brasy8G243200.1.v1.1 annot-version=v1.1 MSAAQKNMHEVEHEESKDSSPDEEIRKGRTNWTKKENERLSNSGLKIRKTTPFVSLFHACYIKTKNVYASGQSEEGLMDKTRAMYLNAAKVKRPFALEYWWRVVKEEPKWRNLYMEEDLGGKKHKLDASGAYTSSSAPDSEGADRVREPQPQGTKAAKEARKLKGKGKGKAREIPDFVPFHISEESSELLREGHGRKAAALEKWAEATTAKAGADKEMAEAKKEMAKARNERTNVDIFNTYMELLKVDTSGFNDAQMQRHEKMVENLCNKLD* >Brasy8G051500.1.p pacid=40082549 transcript=Brasy8G051500.1 locus=Brasy8G051500 ID=Brasy8G051500.1.v1.1 annot-version=v1.1 MQYLMVTSHLDFQVPKAMKGPIHIYYQLENFYQNHRRYVKSRSDKQLRFKDYKDPAGVMKSCDPEAVAADGSLIVPCGLIAWSLFNDTYAFSVNKKPVPVNKKNIAWASDKGSKFGSDVFPSNFQKGGLIGGGKLDDKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADMMASDEITVVIQNNYNTYSFGGSKALVLSTASWIGGKNNFIGVAYVAVGGLCLFLAMGFVVLYVVKPRTLGDPSYLSWNKETADYAQ* >Brasy8G051500.2.p pacid=40082550 transcript=Brasy8G051500.2 locus=Brasy8G051500 ID=Brasy8G051500.2.v1.1 annot-version=v1.1 MQYLMVTSHLDFQVPKAMKGPIHIYYQLENFYQNHRRYVKSRSDKQLRFKDYKDPAGVMKSCDPEAVAADGSLIVPCGLIAWSLFNDTYAFSVNKKPVPVNKKNIAWASDKGSKFGSDVFPSNFQKGGLIGGGKLDDKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADMMASDEITVVIQNNYNTYSFGGSKALVLSTASWIGGKNNFIGVAYVAVGGLCLFLAMGFVVLYVVKPRTLGDPSYLSWNKETADYAQ* >Brasy8G073400.1.p pacid=40082551 transcript=Brasy8G073400.1 locus=Brasy8G073400 ID=Brasy8G073400.1.v1.1 annot-version=v1.1 MEATPISMKPPSPAPAPAQPPPTPPPPPPPLIEAVPLAVVEPLPSPSPPPPAVAGGLEADPSAANQLALATTPKRQRVEEAADGNGCKHCACKKSKCLKLYCPCFAGGGYCSEKCGCVPCFNKSDYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAMEDAHNSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCKNPYGKNEGIMTEETKRFIYTGADLDNSEDDHEFVVERSPRMQSPISKESSLQHTPSRNKASSRDTHLFPQGLSQWQPHWQSSKRQSNDRDSGENKNLNNDWQLAKHQEDSYSISRCIQILNSMAELSQVEKSVAPDVFLQPGNREIFVSLGIDVRALWLRRKIQHLT* >Brasy8G267100.1.p pacid=40082552 transcript=Brasy8G267100.1 locus=Brasy8G267100 ID=Brasy8G267100.1.v1.1 annot-version=v1.1 MDPRGDFSNHMSPNSSPHSENINIPASQYPQGYSPPQYAMHLPPSHYPQHSPPSHYFQNLNPYGSPSNFQHHGLRTGSFQGIQQQAFANSPSGILRAAGIRASSHLPPVPPCIGSFATTSSNGPDSVPSDPTHEDQPTQQVESDGSSPEEDGRRGVRCNWDDEENVRLVSSWLANSNDPVEGNAKKSEFYWRSVTEEFNKNRPKNGRTRTAKQCKSHWSTLNKAIASFNGVYERAKSAYSSGQCDSMLKSKTREWYKAENNQKAFTMEYLWDLVKDQPKWRKIYVKEDKSKRTKILESGAYTSSSNQEGEDVGLTREQRPEGQKAAKARRKAKSVINEPCENMRLYHDAMSKKAGGNIAIAEATNERTKLNKMTKYLDLLDKDTSNFNDERLKRHNQALEQLQLELFS* >Brasy8G287900.1.p pacid=40082553 transcript=Brasy8G287900.1 locus=Brasy8G287900 ID=Brasy8G287900.1.v1.1 annot-version=v1.1 MLGEGGFGSVFKGWIDEKTLTPTKPGTGMVIAVKKLNQEGYQGHREWLAEVNYLGQLSHPNLVKLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIAIGAAKGFAFLHSDKAEVIYRDFKTSNILLDASYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTTSDVFSFGVVLLEMLSGRRTVDKNRPNGEHNLVEWARPYLTSKRRIFRVLDARLGGQYSPLPVPKRQRRWRCSASPWSPGTGQAWTKLSRSWNSSKNQTKEGTTLTPRRRRSQPATGAWPARDRR* >Brasy8G123600.1.p pacid=40082554 transcript=Brasy8G123600.1 locus=Brasy8G123600 ID=Brasy8G123600.1.v1.1 annot-version=v1.1 MAPPRSQSSITVGGPMLNMVFQAASDGDLPLLKRLVTKLDMGKGNLKEAVESLRVKDAGMLEGLGVLHVAACRGRLEMCRYLIEELRVDVNGVDKEGRTPLKFAIRCNSVVKYLLDHGADPDRASHDGISPLHDAAQSGDSLTVELLLAKGAYVDPVAFCGTPLHCAATGDHDSTLKILLDHHADYNKMVDGKTPLIAAVDADSRKCMLLLIRAGAESRGALTYAVKNLHSAKMVSSDFLDCILEDAGSDRLISHDEPVAKRKIRAAGFKRLGNAAFKEKDYLSAAGSYTVALALDPDATLLSNRSLCWLLMGEGDKALLDANECRKMRPDWTKACYRQGAALMFLKDYGGASERFLDGLKLEPGNAEIEDALRKAWEAMKISQSGKAE* >Brasy8G123600.2.p pacid=40082555 transcript=Brasy8G123600.2 locus=Brasy8G123600 ID=Brasy8G123600.2.v1.1 annot-version=v1.1 MAPPRSQSSITVGGPMLNMVFQAASDGDLPLLKRLVTKLDMGKGNLKEAVESLRVKDAGMLEGLGVLHVAACRGRLEMCRYLIEELRVDVNGVDKEGRTPLKFAIRCNSVVKYLLDHGADPDRASHDGISPLHDAAQSGDSLTVELLLAKGAYVDPVAFCGTPLHCAATGDHDSTLKILLDHHADAGAESRGALTYAVKNLHSAKMVSSDFLDCILEDAGSDRLISHDEPVAKRKIRAAGFKRLGNAAFKEKDYLSAAGSYTVALALDPDATLLSNRSLCWLLMGEGDKALLDANECRKMRPDWTKACYRQGAALMFLKDYGGASERFLDGLKLEPGNAEIEDALRKAWEAMKISQSGKAE* >Brasy8G123600.3.p pacid=40082556 transcript=Brasy8G123600.3 locus=Brasy8G123600 ID=Brasy8G123600.3.v1.1 annot-version=v1.1 MAPPRSQSSITVGGPMLNMVFQAASDGDLPLLKRLVTKLDMGKGNLKEAVESLRVKDAGMLEGLGVLHVAACRGRLEMCRYLIEELRVDVNGVDKEGRTPLKFAIRCNSVVKYLLDHGADPDRASHDGISPLHDAAQSGDSLTVELLLAKGAYVDPVAFCGTPLHCAATGDHDSTLKILLDHHADYNKMVDGKTPLIAAVDADSRKCMLLLIRAGAESRGALTYAVKNLHSAKMVSSDFLDCILEDAGSDRLISHDEPVAKRKIRAAGFKRLGNAAFKEKDYLSAAGSYTVRIWTS* >Brasy8G019800.1.p pacid=40082557 transcript=Brasy8G019800.1 locus=Brasy8G019800 ID=Brasy8G019800.1.v1.1 annot-version=v1.1 MHKETTSPALNADKIEVPSPKEESNNSTNSEDTEHFEVSDDDDDDRNHKHRRREAIPQSFDEDTEEQAAGRPFKRRAMVSGNGQPSGGADSRGDAQNFVPKFKRHPGPGAHSRAARGNQSFHSASSAARPPMSRGRGRNGAPWTQHDPRFNTLDMIDFASQMASQGPPTHPSLFMGAPLPSSGSGQNGSWGPYGFMPGMPSRMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCSMEHGVNRIVVEDMQSLSQFNLPVSVPNAPGLGIQNEAGTAHVNLTNLGGSKGVPAKDIKSGVTDDALKLNGSTTSAVADADVYDPDQPLWNNEQPEASSAGFAHTNDGVWNAETSSHEAGWKHANQGFAAENSKSSVWGRIASKRKSGPGKTATSTSATENQKSDYYDDMAPSTVQVKPASTKDTNGQSNSRIFGDVGRQSNRASSNKASRTLYVNGIPQESNRWDALLSHFQKFGQVIDIYIPSNSEKAFIQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRITDEGESRISAKSLLTSSAVPQPSSSNRGKDLQSTAPRATSRSSAEASGPGTGHKLLPANNMTSVPPAPKRQESLEMLEELRKKQDMLAQKRDELRRQLETYVNQKSSANSAKQAAVGGKEVLSNAVRKVGDVRSMNTRTEGPQEVAGTLEKKISGDLASSSPKYAPTSTQKSVVAVKQMSPLLAPPQNRFKLDNRTTSFRILPPLPPEIANESVLKDHFASFGELSSVVLEDTEAHNHDMTLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHMLRFMWLTASPGSNNQSRTNKTSISVKTSGISGQTRNMASESPIPVGKISSTVTSCMTTIPHSESVPTEESSKTFPVGISKASSSSSSLSSNVECPPEDATRNAVSKDSDVPQ* >Brasy8G198700.1.p pacid=40082558 transcript=Brasy8G198700.1 locus=Brasy8G198700 ID=Brasy8G198700.1.v1.1 annot-version=v1.1 MVVPSSTTPARQEIATAAAQLKLPSPPLIPTVDMSAPRGLLSRQVARACAEQGFFRAVNHGVLVPGPSAPAARQLDAATAAFFALPAHDKQRARGPAANAGPGPPTSSPLGYGCRTIGFSGDVGELEYLLLHANDPAYKAASIHAHDPKHFSCVVNEYVEAVKQLACDILDLLGEGLGLEDPRSFSKLITEPDSDSLLRINHYPPSCTVHKLDHDDQCKLKGTACRAKAGNGGNPTGGGRIGFGEHSDPQILSLLRANDVDGLQVLLPDVNGKEVWIQVPADSSAFFVNVGDLLQALTNGRLVSVRHRVVASACRPRLSTIYFAAPPLHARISALPGTVTAGSPRRYRSFTWAEYKTTMYSLRLSHSRLDLFRVDDDDDNSSDVSKEK* >Brasy8G092800.1.p pacid=40082559 transcript=Brasy8G092800.1 locus=Brasy8G092800 ID=Brasy8G092800.1.v1.1 annot-version=v1.1 MVCPDMVAECCRGGGGTSSRRCRCAESLNCCNSGTGPSCTEPRPSLRPSRAAPSRQPRIEPSSCPPSAILGMISVAGWI* >Brasy8G010700.1.p pacid=40082560 transcript=Brasy8G010700.1 locus=Brasy8G010700 ID=Brasy8G010700.1.v1.1 annot-version=v1.1 MPPLSLIADAVVQSIWRDNSAEAFQRIKEMLKQRRRHLHIAIDLEYLASGSTDVKLRPVSAMEWYGHLQDFVNNGQVVQLGMAFAVQPLPGEPSVPVAAYEINFQIDLEHGDFNSSGVAFLKQQGHNLSMHQDLGIIPEIVYAGLLCHLPFGQSNVTWIAYHGDRDIAFMLRLLQYGGSGIQLPSSQGTFGYQMKQQFPTYFDVRVLAQLIKPGWNGKLTTLATEEHLSVDRIGGEHFAGSDALLTLSCFAKLLRVAKQEGIVARTGLLSGAEELQLCIRCSRHISASDIVLMEIHNENFDQQSNLISELIACNFDMLGIQVIVPGHRGHVNQQYHSIKSDIEGVTKFDLQICFMNSEGLLGFGRVWLFHLDIEPGETSVRPQKLAALLASSRVTHLDTTAFMTYRGAYGMAVLLQSFIHPGQLPVKLDSYVGTLSAWFPALYDISVLAGVCPGVALSGDETDVAEVAECLGIDAGDCEVVTSMRCYLAMKDKIGSALRGLRCQVD* >Brasy8G084900.1.p pacid=40082561 transcript=Brasy8G084900.1 locus=Brasy8G084900 ID=Brasy8G084900.1.v1.1 annot-version=v1.1 MARSDRASTAGSRPFRRARATTGRCQRCRPRDVTHPRPREPESREGESAHVTATSRAIPTTRVPCPSALAPSARAGTQIGGAEQNRGVGRKFFLEALGPGGAGLIGIPGEMAAVAEEPPSPPAPPPAPEKRGARTEAEEEQGERPEAKRRRARVAALEGVPRAAAAVVAAAAAAASEDDGRDGVSFSFHARSFSGVAETTPKFGSFNPAAAAQFVPFHLTPPPPLVDPDSPAVVGSDDDEEEKGKDGNSH* >Brasy8G134400.1.p pacid=40082562 transcript=Brasy8G134400.1 locus=Brasy8G134400 ID=Brasy8G134400.1.v1.1 annot-version=v1.1 MGNRTSRHRRGAAEQPAPAPSPPPPQPKPEPQQQTLHWPQPKPQPPPAPAVQTGVVAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGQRFACKSIATRKLVHRDDIEDVQREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAVLCREMVSVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKHGEQFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWADNEDGIFKAVLLGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIKEDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSEEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEITQLMEAADVDGNGTIDYSEFVSATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDNDGRINYQEFVAMMRNNSPEIVPNRRRMF* >Brasy8G076700.1.p pacid=40082563 transcript=Brasy8G076700.1 locus=Brasy8G076700 ID=Brasy8G076700.1.v1.1 annot-version=v1.1 MDMVNSSAAPFSIALVFIAAVITKVAWGRITAADPASSCNNNTLRPQPPVVTGITSAIRLVHTLRTKGFRAMLEEQHKKLGSVFTISFFGTKTTFLVGPEVSAHFYQGLESEISHGNILEFTQNRFYLDALKPAKLRCHVAPMLQEVEKYFAKWGQQGRVDLKQELEQLLMLISARCLLGKEVREKMFDEVFSAFHELTENSLQLTSLLFPYAPTPTTRRCDRAHARLSSIFAEIVRSCKSSNRVEEDVLQNLMDSKYKDGRPTTEAEVTGLIIAILFAGKHTSTTTSTWTGARLLSYTECLEAALEEQQQIIKKHGDKIDYDTLLEMSFLHCCIKEALRMHPPAPIFLRKVHKNFTVLTREGYDPLVINHNIPHIYKDPGVYDPHRFSLGREEDRVGGKFTYNAFSGGRHACAGESYAYMQVKVIWSHLLRNFELKLVSSFPETD* >Brasy8G081200.1.p pacid=40082564 transcript=Brasy8G081200.1 locus=Brasy8G081200 ID=Brasy8G081200.1.v1.1 annot-version=v1.1 MRGEARMLCGLLLVLLCVAASFQGAHCRGAGGGGHGGGGRGGGGGGRTGGSSGRGSGGGRARPIIAGAAAGAVAGAGAGFLGARAAGAGRSAAGEPHGRGVWRASGAAATLAAAALVRWL* >Brasy8G229200.1.p pacid=40082565 transcript=Brasy8G229200.1 locus=Brasy8G229200 ID=Brasy8G229200.1.v1.1 annot-version=v1.1 MAPISTTYKGTNLTGKRNPDRRCMLIERPTILGSPHPPPPPPDNHVTSFHSVHEHNNPHILCIGLGGPLPLDCRRRAHPRLPPPVLCCWPAAAGTPLPPTLPTLRWHPLAVFGVWDRSHGRASARCLPWMGSWSRAERRCSRCPCRRVPHPDPPRRWCLLPDPVSTAPPRPYVCTEPN* >Brasy8G128900.1.p pacid=40082566 transcript=Brasy8G128900.1 locus=Brasy8G128900 ID=Brasy8G128900.1.v1.1 annot-version=v1.1 MAAASWIRLPRATLILLLLALHLSLSLAAQFEGFDSDELPSSSAADLASSADDDEELDLDVDLPPPPRISLSTAAPSPPVTTTTAANPNLNPSPTPTPPNPTPSLDFWDEDEFEGIPVPEAATSDESSTPADASPSDPSSEDAAEAAPAPPRRPAELFRAFSIEIACVSFLICFLLNYFTGKRQNEAIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVMVAVARKRAAKAMQKEERDLQKFASVITSAPAGRKWVADDLAVVAESKEVAGDMITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDANNMADMTRLVALVPFYIDLIGRYKLSSHARSKTDAARTKAAQEAFRELQGVRQEALQRKKAEKKKLLEEAEAKLSAEVLRKKEEKERSRQMKKGMPKVKMLRS* >Brasy8G129700.1.p pacid=40082567 transcript=Brasy8G129700.1 locus=Brasy8G129700 ID=Brasy8G129700.1.v1.1 annot-version=v1.1 MFVLFVYFRFLGYFQPKEGSRAPRELESSSKEHNNEPFYDHTRAIATSTPDAHLSTENVINNINPATMASKQSPHLDLPESPKQFVQWVNNADTFWF* >Brasy8G126100.1.p pacid=40082568 transcript=Brasy8G126100.1 locus=Brasy8G126100 ID=Brasy8G126100.1.v1.1 annot-version=v1.1 MMKESWMEVLPLPPAPYFAGQAGGWFLQEQQRDGGGRAWSPEENKLFEEALARVDGDAPGRWERVAALLPGKSVADVMAHYDDLENDVGFIEAGLVPFPHYNGGGGGGSPAASGFTLDWDGGDDPGGLGFKRSCYIVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDHGSNPSPSPPSSVLTPNSSAAAPAISEQFGVLVDSKPQIGRGGHHFIPHLYGNVKLEASNGYHGGRLDDSVLMQMQCGQLQPLG* >Brasy8G283800.1.p pacid=40082569 transcript=Brasy8G283800.1 locus=Brasy8G283800 ID=Brasy8G283800.1.v1.1 annot-version=v1.1 METTVVSLGKAVLDGALGYARSKAAEEVALQLGVEGDVSFIADELEMMQSFLMTADEERGQHKVLATWVKQVRDVAYNVEDSLMDFALYSEKKASWWCSLHTLGDRRRIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSAAEEQASIATAAMFGMNEARLADLEKEKSEMDLHQLITSEEEELRVISVWGTSGDLGKTSAIQEVYDDSKVLEKFGFRAWIRLMHPFNPKEFIQCLVRQFYENFPEKHAETWKRKTTGVNVLMKMENMTESEMVDVFDAQVSENSYLIVIDDLSTIVEWSCIKKFFPDNKKQSRIIVSTQQAEIASLCTEKPYQVSVFKQLSSDQTLYLFHHHKVIPASSSAVPISDSIKATTAKNDRPLPTNEIQEEDQGPKDAGREKDSASTDGKKFHRSRTMTLTDEVLTGRVTEKSKVIGLIDPTKGEEDRKVISVWGMGGLGKTTLVRSVYGSQQLSGWKRAWATALRPFNPEVLIRSLALQLQKDVQEDPTGAAVTGKQNENIAVMKLQELKEELNRLLNTQKCLIVLDDISSTAEWDLVENCLKNARRVVVTTREKNIAKHCSSEYKNMYSLEGLKDDDALELFKRKVFKDNSETIELVPDMMKQARLVLKKCDGLPLAISTVGGFLASRPKTVVEWRKMNDHISSELEINPELRTIKSVLMRSYDGLPYHLKSAFLYLSIFPEDHKIRWDRLVRRWIAEGYSRDMHGMTADELGRKYFDELLDRSMILPVEDKNHYNGQIKSCQLHDIIREICISKAREENLVFTLDEGFRLSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMWFLRVLDLEDTRGLRDHHLDQIGQLYHLVYLSVRECMNIFCLPNSLGNLKHLQTLDVRGTRIFELPATITKLRKLQHLRTTECLEIAGNVKGEYDIFYKYLNHDGVSLLKNLWGMSCLLLPCTSPAFLRPQVLDADLNMHDILNLYRFAMLCLAENGNIWNERIYGAEVPGGIGKLKALQTLGVVNIARGKGKATLIELKELTQLRKLGVTGVSGKNIKELWSAIAGHNQLGSLSVQGVDYKDELDGGLGEALSPPSSLESLKLRGQLVRVTSWIHQLQNLSKLTLEFSSLEQDDTIQALGVLPNLAVLRLKRWSFDGKQLRFQGKSFPSLVVLELNGLWSLESVLFEEEATPRLELLQVDRSFLKEISRLAVLTSLREIRLGAQLPAKVKEEVQRQVTENLKQVRVNLS* >Brasy8G031400.1.p pacid=40082570 transcript=Brasy8G031400.1 locus=Brasy8G031400 ID=Brasy8G031400.1.v1.1 annot-version=v1.1 MYSSADAPLLPASQDEKRWWREAVEESGRLVALAAPMVAVGLLQLTMQLISTMMVGHLGEVALAGAAMANSLTAVSGFSILIGLASGLETICGQAYGAEQYHKLSLYTYRSIIVLLFASVPIAILWVFIPGVLPLIGQEPQIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSLITLSVFIPLCWFMVYKVGMGNAGAALSVSVCDWVEVTVLGLYIKFSPSCEKTRAPLSWEAFKGIGSFMRLAVPSALMICLEWWSMELLVLLSGILPNPALETSVLSICISTVVLLQNLPYGIGTAASVRVSNELGAGNPNGARLVVGVALSIVACSAVLVSITLLALRHFLGIAFSNEEEVINYVTRMVPLLSISFITDSLQAVLSGVARGGGWQHLGAYVNLGAFYLVGIPVALFFGFAMQLRGMGFWIGMIAGGATQVTLLSVITATTKWDKMADKAKERVFDDRLPTQ* >Brasy8G077700.1.p pacid=40082571 transcript=Brasy8G077700.1 locus=Brasy8G077700 ID=Brasy8G077700.1.v1.1 annot-version=v1.1 MASLQLTLLAPSPLCCCSYAPHDPRTTRKISQRFSNGTFPTAKPFLRGEFSGSGGALAWRTAGRRRLGVAGAGKGPFFGGGGRRQGSTGRVVGNLAFVALLTYLAVSGQFRWLLDAIVSIWLLTVLLPILGLGALIFFAQRNILQSNCPNCGKSFRILKTSLKDGPQFCPYCTQPFSVQGNEFVRESARFSSGRTATNAQRAFNELFNRGSKGNTPSGSGTVVDVEAEVTDIE* >Brasy8G212000.1.p pacid=40082572 transcript=Brasy8G212000.1 locus=Brasy8G212000 ID=Brasy8G212000.1.v1.1 annot-version=v1.1 RPRIGPGDRIDYRNMSLINRLLVNRKILSRRINRLTLKQQRLITLAIKQACILSFLPFCNHENEKQFQAESISIITVSRPTKNRHISQLTQKYSSNRNNAKNRLSSDTNIWQIKRNKKQTFPLHCIKIID* >Brasy8G039700.1.p pacid=40082573 transcript=Brasy8G039700.1 locus=Brasy8G039700 ID=Brasy8G039700.1.v1.1 annot-version=v1.1 MWKQFLSKLPRKSSGSGRDDFDSGQCSNGNGNSIQRTSSCGSIPAGRSTSTIKRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLNLCCAVFDFSDPSKSSAEKDIKRQTLLDLVDFVDSSSSRFSEAVIAACSRMFAVNLFRVFPPNYRSGSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSNSLDAKIGKKYFDHSFIVRLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETQRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGVYLQQLTYCVTQFLEKDPKLAGSIIIGLLRYWPITNSQKEVMFLSEIEEILEATSMVEFQKCMVPLFRRIAHCVNSSHFQVAERALFMWNNDHIISLMAQNRQVIMPIIVPALEQNSQNHWNQAVLNLTANVMKMFSEMDEELFSACLTKCKEEDDNQASLEEKRRLTWDKLESAAALQPVTGHTAVLVGRQPSANLIATLI* >Brasy8G138200.1.p pacid=40082574 transcript=Brasy8G138200.1 locus=Brasy8G138200 ID=Brasy8G138200.1.v1.1 annot-version=v1.1 MAATAVDSGDRDGDRKKTKQGGFRTMPFILANEVCDRFATAGFSANLITYLTQQLHLPLVESSNTLTNFSGTASLMPVLGALAADSFAGRFWTIIAGSVFYQLGMLGLVLSALLPSLRPAGPRRANGLQIAVLYTSLFCTSLGSGGIRPCVVAFGADQFDNQQQQIIRDNQEAVAGKKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIAMFASIVVFLAGYPLYVRLKPGGSPFTRLAQVAVAAFRKRDAPLPEDPRMLYQDHELDAPISTNGRLLHTNQLTFFDRAAIVTPGDTAGIKLQNPWRLSTVHRVEELKSIVRMLPIWSAGILLATAASHNNSFAIQQARTMDRHVTPGHGGFQIPPATMSIFMTTAMLVTLGLYDRALVPLARRFMGLPSGITYFQRMGAGLAISILGVAAAALVEAKRRAVAASHGGLLLFDGPQPPDAAVPMSVFWLVPQYAIHGVAEGFSSVGHMEFLYDQAPESMRSTAAALFWLATSLGSYMGTVLVTAVQSATRGRGEWLQDNINRGRLDAYYWLVTCLMVLNLGYYLLCFHFYTMKPLELVEEADRDKELELSSVHKNGGAAAGGLV* >Brasy8G138200.2.p pacid=40082575 transcript=Brasy8G138200.2 locus=Brasy8G138200 ID=Brasy8G138200.2.v1.1 annot-version=v1.1 MCNAANEVCDRFATAGFSANLITYLTQQLHLPLVESSNTLTNFSGTASLMPVLGALAADSFAGRFWTIIAGSVFYQLGMLGLVLSALLPSLRPAGPRRANGLQIAVLYTSLFCTSLGSGGIRPCVVAFGADQFDNQQQQIIRDNQEAVAGKKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIAMFASIVVFLAGYPLYVRLKPGGSPFTRLAQVAVAAFRKRDAPLPEDPRMLYQDHELDAPISTNGRLLHTNQLTFFDRAAIVTPGDTAGIKLQNPWRLSTVHRVEELKSIVRMLPIWSAGILLATAASHNNSFAIQQARTMDRHVTPGHGGFQIPPATMSIFMTTAMLVTLGLYDRALVPLARRFMGLPSGITYFQRMGAGLAISILGVAAAALVEAKRRAVAASHGGLLLFDGPQPPDAAVPMSVFWLVPQYAIHGVAEGFSSVGHMEFLYDQAPESMRSTAAALFWLATSLGSYMGTVLVTAVQSATRGRGEWLQDNINRGRLDAYYWLVTCLMVLNLGYYLLCFHFYTMKPLELVEEADRDKELELSSVHKNGGAAAGGLV* >Brasy8G033700.1.p pacid=40082576 transcript=Brasy8G033700.1 locus=Brasy8G033700 ID=Brasy8G033700.1.v1.1 annot-version=v1.1 MSHRNMAWTHQVADPESERGLIQVQLGTSNDVGSGSSFSNQGAQVGFGVPGNASNTGVRDLRSYYEGTNNQRQHVQNVYQSVGVDPTSVYPSTMYNPSFPMAAANRYVSHTQSVGVGNPLGVGNTLGVGKPLGVGNPLGVGVGNPQHSPLYHQVATGTMGESSSSSNFGDTDREFIKRKNAVAETGHHSVHGFASSSSSAHVPQNPTHGPWNASFESHASPNTASTDGLSRSNPMAAHPTLVHHGNYVVPAGHMATNAIADGIPHWGCHIAANGIADGVPHWAFSVAHPPGQFVHRGTVGMPNGSLQDYQAGPSAIRHGHLPHFSQIPLHSMQTLALLNHIQMQGPQRPSNAVHGVNPSGIGPTLDPRILAFSSSPGLNIGPPIHRFLTNQVNNGSLRMMPYENAASMDLSRLYEARHVIDEHLDMGLDIDNMTYEELVALEEQIGDVNTGLAESYIRENLRLSRYVLGSDCMPDQSPEENDACIICQEEYQAKELMGTLDCGHKYHGACIARWLMVKNLCPICKTTALPTDRRSQ* >Brasy8G272500.1.p pacid=40082577 transcript=Brasy8G272500.1 locus=Brasy8G272500 ID=Brasy8G272500.1.v1.1 annot-version=v1.1 MEACRIAAVCRRWRDVLSKPPTLFHRHLSQLRPPPPLAGHHRPYALVIQPLQKVGRFTHLTLVAVDPGAGRVPVEVPLKPKYVDPPPPPRKTAKPNPDYVRAKPQAPPDLAAAADPAPWEVFFERTVPELDISIAASHGRLLLGRGRSRYYVCDPAANRWAELPPSAVAPSRHTNSGLHYEESTAGGDIAFTVVLLVQRGGRGRTLVETFSSEAGKWEATELDSQGCLGAAYSAGIHVGSCFYWLHLRRVRARGGDGEVSARVLRYDAAAGAASLLGEPPEAERSKGRVARSLGSAGGRLRLCAFDVRDEKSASQLPHGGLEGVHGAWVMEDDGAAATSGGGSSPSWPWRRVHEAVVEDMSTWYFHMLFNHEKPVDFAGACGDFIVVEKEKLLLRYDLGSGTKVELGKLHPSGRLRQLYRRYHVFPLFK* >Brasy8G184800.1.p pacid=40082578 transcript=Brasy8G184800.1 locus=Brasy8G184800 ID=Brasy8G184800.1.v1.1 annot-version=v1.1 METPLGHIIFTGKFPLPPVPQKKKDRLSPPRPRPRRRPPPSPPLAPSARVGASTAAPATPSLWPLSGEELLAALPISPGSAAAASHGPRSAGRSPLVAHCPWPISFQMLYSVDNLEISSVFDS* >Brasy8G147700.1.p pacid=40082579 transcript=Brasy8G147700.1 locus=Brasy8G147700 ID=Brasy8G147700.1.v1.1 annot-version=v1.1 MAKVHPNVLPARCAAAAAGEREAEEPTVLTVWRKSLLFDCKGFTVFDARGDLAYRVDIYASETGDEVVLMDAAGRPAFTVRRRRSFSGRQQWLVFAGEETRRPVYCVRRSGRGKTLAHVTPCCASGSGEPSPSGYEVEGSYAKRSCVVYDGERRAVAEVRPKEEVVGTDVFRLAVHHQQPGSGGVAVALAMAVVVALEQMFAGPSLLRSWSS* >Brasy8G250300.1.p pacid=40082580 transcript=Brasy8G250300.1 locus=Brasy8G250300 ID=Brasy8G250300.1.v1.1 annot-version=v1.1 MAGVLASGLATDMIGIHAIFGAFVFGLTVPKDEGGFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIFRGGGRAFGVVALVIGTACAGKIVGTFAVAMACGMGAKEAVVLGVLMNTKGLVELIVLNIGRERKVLNEEMFAILVIMALVTTFITTPTVMAIYKPARRRLHHRKLHGPTSTTSASAPASPSAAGANAKELRVLACIHGGHEVPALINLIETIRGHTQPRRLVKLYILRLVELTERTSSILMARAARRNGVPAFLSSRRASSNGRGGREMDVAFGTYAQLGHVSVRPMTAVSALHTMHDDVAAVAEDKRVSLVVLPFHKRAGAGGGGGEESLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSDQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQLTVVRFLSQGKPAEVVALRPTSDDQIYTFSAAATANGHMEKEMDEVAVAEFRQRMGATVRFEERVVAGDVVEEVVGIGKSRDYGLVVVGKGRLPSSMVAELAVRRPAEHPELGPVGDALASAGHGVAASVLVVQQHDVNAADEVPVSVVHIDGPAHADHGEP* >Brasy8G216700.1.p pacid=40082581 transcript=Brasy8G216700.1 locus=Brasy8G216700 ID=Brasy8G216700.1.v1.1 annot-version=v1.1 MAAPSKRNALVNVTVEDTDALDCGVCWLPLKPPIFQCQVGHVFCLPCRDKLVQTSARCPVCRGPIVDGGGGYRRNHGMEKLLESIRVPCPNAARGCSAKLAYYDRQSHVQTCPCRPCHCPAKACGFAGSKAKLLQHFTAVHRWPLTKLTHRRWQEIQLRHGFNVLDVGDSYDASKRLICLLLVVSSGRRLGRAIYPVCLDAGVADGCDIDLDVSRNNDADDSGDCQRLVFRVVSTDLSNGLPGLEDRFQFVVPKSVRPDVEGGLSRFQHVLVLCARSPIVFIDIRWCEAEPLRAQFGWE* >Brasy8G028800.1.p pacid=40082582 transcript=Brasy8G028800.1 locus=Brasy8G028800 ID=Brasy8G028800.1.v1.1 annot-version=v1.1 MATASADGSSTELRQPTLLRLKFLNKYGIGELEGTSQTISAFITCVRLSEINRLNTTRTPKLRGIYLPREHYRAALLLFHTPSVYLPVAVYPKSTKDSSGLALC* >Brasy8G089100.1.p pacid=40082583 transcript=Brasy8G089100.1 locus=Brasy8G089100 ID=Brasy8G089100.1.v1.1 annot-version=v1.1 MALVLLRWAAAVSLAALLLLSSACEAAHHVSVPPSPSPSRSRSPPSSSSPPASSPPAAPAPGPAAALDQACLNSLLNMSDCLPYVQAGGGSAKPDKACCPELAGLVDSNPVCLCELLSGAADSYGIAVDYARALALPKVCRVATPPVSTCAALGYNVRLGPSAAPGVSPSAEGPQFPGSSPFASPPAPRSHATRGRFPAAGDLVALAAVVALAAGVI* >Brasy8G089100.2.p pacid=40082584 transcript=Brasy8G089100.2 locus=Brasy8G089100 ID=Brasy8G089100.2.v1.1 annot-version=v1.1 MALVLLRWAAAVSLAALLLLSSACEAAHHVSVPPSPSPSRSRSPPSSSSPPASSPPAAPAPGPAAALDQACLNSLLNMSDCLPYVQAGGGSAKPDKACCPELAGLVDSNPVCLCELLSGAADSYGIAVDYARALALPKVCRVATPPVSTCAALGYNVRLGPSAAPGVSPSAEGPQFPEQGLYGSNS* >Brasy8G089100.3.p pacid=40082585 transcript=Brasy8G089100.3 locus=Brasy8G089100 ID=Brasy8G089100.3.v1.1 annot-version=v1.1 MALVLLRWAAAVSLAALLLLSSACEAAHHVSVPPSPSPSRSRSPPSSSSPPASSPPAAPAPGPAAALDQACLNSLLNMSDCLPYVQAGGGSAKPDKACCPELAGLVDSNPVCLCELLSGAADSYGIAVDYARALALPKVCRVATPPVSTCAALGYNVRLGPSAAPGVSPSAEGPQFPEQGLYGSNS* >Brasy8G284600.1.p pacid=40082586 transcript=Brasy8G284600.1 locus=Brasy8G284600 ID=Brasy8G284600.1.v1.1 annot-version=v1.1 MEATVVSVGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMTADEKLGQHKVLVTWVKHVRDLAYNVEDSLMDFSLLSEKKKSWWRSPRTAVERRRIAMEMKKLRTLVEDVSNRNLRYRLITETAGNKPTAAEEQASIASAAMFGINEASLAELGKEELKVDLHQLITSEEEELRVVAIWGTSGDLGKTSAIQEVYNDPKVLKKFGFFAWIRLTHPFNPKEFIQCLVRQFYENSSNEVGNSEKETSVGANVQMKMEMMEQNELVPVFNAQVSSNSYLVVLNDLSTIEEWHCIKKYFPDNKRQSRIIVSTQQVEIASLCTEKPYQVSELKQLSCDQTIYLFHHKVIPASSSAVPVSDSIKVTTAENDRPLPTNEIEEEDQEPKDAGRGKDSASTDGKKFHRSRTMTLTDEVLTGRVTDKSKVIGLIDPTRGEGDRKVISVWGMGGLGKTTLVRIVYRSQQLSGWKQAWATALRPFNPEVLIRSLVLQLQKDIQEDPAGATATGKKKENIAVMKLQELKEELNRLLNTQKCLVVLDDISSTAEWDLVKNCLQNARRVIVTTREKNIAKHCSSEYMHMYSLQGLKDDDALELFKRKVFKDNSENIDLVPDMMKQAMLVLKKCDGLPLAISTIGGFLASKPKTVAEWRKMNDRISSELEINPELRTIKTVLMRSYDGLPYHLKSAFLYLAIFPEDYRIRWTRLLKRWVAEGYSRDMHGMSGKELGCRYFDELLDRSMILPGDEVNHYSGKINSCQLHDMIREICITKAREENLVFTLEEGFCLSSTQGAIRHLVVGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMRFLRVLDLEDTIGLRDHHLDQIGQLHHLMYLSLRECANIYCLPNSLGNLRQLQTLDVRGTHIFEVPETTTKLRKLQHLRTTDLLKGRSNVKGEDDIAVKYIKRTAFVSSKLGWACLPLLDVSPVFLRPQGLDAGLNRLDILNIYRFCMASQGKRFMDGVHVPGGIGKLKALHTLGVVNIAWGKGKATLNELKELTKLRKLEVTGVSEKNSKELWSAIAGHNQLRSLLVQGVKCEDELDGSLGEGLLPPSCLESLKLWGKLVRVTSWIHQLQNLSKLTLEYSSLKQDDAIQALGVLPNLAGLRLKMQSFDGKQLRFHGASFPSLVVLELYALVNLESVLFEEETMPKLELLQVDWCTKLEDISGLAVLTSLREIRLGRFVPYELTEEVQRQQVAEDLKHVRLNLL* >Brasy8G284600.2.p pacid=40082587 transcript=Brasy8G284600.2 locus=Brasy8G284600 ID=Brasy8G284600.2.v1.1 annot-version=v1.1 MEATVVSVGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMTADEKLGQHKVLVTWVKHVRDLAYNVEDSLMDFSLLSEKKKSWWRSPRTAVERRRIAMEMKKLRTLVEDVSNRNLRYRLITETAGNKPTAAEEQASIASAAMFGINEASLAELGKEELKVDLHQLITSEEEELRVVAIWGTSGDLGKTSAIQEVYNDPKFYENSSNEVGNSEKETSVGANVQMKMEMMEQNELVPVFNAQVSSNSYLVVLNDLSTIEEWHCIKKYFPDNKRQSRIIVSTQQVEIASLCTEKPYQVSELKQLSCDQTIYLFHHKVIPASSSAVPVSDSIKVTTAENDRPLPTNEIEEEDQEPKDAGRGKDSASTDGKKFHRSRTMTLTDEVLTGRVTDKSKVIGLIDPTRGEGDRKVISVWGMGGLGKTTLVRIVYRSQQLSGWKQAWATALRPFNPEVLIRSLVLQLQKDIQEDPAGATATGKKKENIAVMKLQELKEELNRLLNTQKCLVVLDDISSTAEWDLVKNCLQNARRVIVTTREKNIAKHCSSEYMHMYSLQGLKDDDALELFKRKVFKDNSENIDLVPDMMKQAMLVLKKCDGLPLAISTIGGFLASKPKTVAEWRKMNDRISSELEINPELRTIKTVLMRSYDGLPYHLKSAFLYLAIFPEDYRIRWTRLLKRWVAEGYSRDMHGMSGKELGCRYFDELLDRSMILPGDEVNHYSGKINSCQLHDMIREICITKAREENLVFTLEEGFCLSSTQGAIRHLVVGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMRFLRVLDLEDTIGLRDHHLDQIGQLHHLMYLSLRECANIYCLPNSLGNLRQLQTLDVRGTHIFEVPETTTKLRKLQHLRTTDLLKGRSNVKGEDDIAVKYIKRTAFVSSKLGWACLPLLDVSPVFLRPQGLDAGLNRLDILNIYRFCMASQGKRFMDGVHVPGGIGKLKALHTLGVVNIAWGKGKATLNELKELTKLRKLEVTGVSEKNSKELWSAIAGHNQLRSLLVQGVKCEDELDGSLGEGLLPPSCLESLKLWGKLVRVTSWIHQLQNLSKLTLEYSSLKQDDAIQALGVLPNLAGLRLKMQSFDGKQLRFHGASFPSLVVLELYALVNLESVLFEEETMPKLELLQVDWCTKLEDISGLAVLTSLREIRLGRFVPYELTEEVQRQQVAEDLKHVRLNLL* >Brasy8G144800.1.p pacid=40082588 transcript=Brasy8G144800.1 locus=Brasy8G144800 ID=Brasy8G144800.1.v1.1 annot-version=v1.1 MTTPAAGAPGSPAAAAAAPEVAARDAVIGWYRGEFAAANAVIDALCGHLTQIGGGAEYDAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVAESRAAASAAYSEEEAASTVIHEPMEELAAIAVATEPEPEPEPEHEHEPIPQDPATSEEQPHGAVSPVDADQEPDGEDSSGDSSERKASTEDDAVPDGPDHTDQGSQGEHSLPESYPICSDHEECLARPERIKIQKGFMAKESVKGHMVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQMKGNKREIIQLGVPLFQHTTEETNCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETSMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQPPPTAASPGMQQKPPSHGGAAIIGYGPAPQAMLAPAWGMAVRAAPVMMVAPARPMVMAPSSNINKRMGRGGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG* >Brasy8G144800.2.p pacid=40082589 transcript=Brasy8G144800.2 locus=Brasy8G144800 ID=Brasy8G144800.2.v1.1 annot-version=v1.1 MTTPAAGAPGSPAAAAAAPEVAARDAVIGWYRGEFAAANAVIDALCGHLTQIGGGAEYDAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVAESRAAASAAYSEEEAASTVIHEPMEELAAIAVATEPEPEPEPEHEHEPIPQDPATSEEQPHGAVSPVDADQEPDGEDSSGDSSERKASTEDDAVPDGHHTDQGSQGEHSLPESYPICSDHEECLARPERIKIQKGFMAKESVKGHMVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQMKGNKREIIQLGVPLFQHTTEETNCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETSMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQPPPTAASPGMQQKPPSHGGAAIIGYGPAPQAMLAPAWGMAVRAAPVMMVAPARPMVMAPSSNINKRMGRGGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG* >Brasy8G261500.1.p pacid=40082590 transcript=Brasy8G261500.1 locus=Brasy8G261500 ID=Brasy8G261500.1.v1.1 annot-version=v1.1 MASFHGKWKIVFLISILSFAIADPSYYHSSIVSKEEVLYKQHIFDLFMCATLKVGPTVSIEGNISARLGSNANIVANLLGLATGDRIISPKIVLKDERFIKGSSLQVVGNIVANQGGQSDIRGGTGEFTLAQGLLTYNLTAKPKDGTYRWKLHIHVGPFGGYGGVPVDVNTTPQRLKSVRITVTAVIESIEFSYVDQAGNTQSYGPWGGNTGVSVTISLGPSETMTMVSGATGTFEGSTVITIITFKTNMNTYGPIGYVYPNPAPFNLSAYDNESIVGFFGRASSFLDALGAYMLSN* >Brasy8G081900.1.p pacid=40082591 transcript=Brasy8G081900.1 locus=Brasy8G081900 ID=Brasy8G081900.1.v1.1 annot-version=v1.1 MLPLVRAVGRAPLRRLLLSSPRCSPYTISGGGVAPLLLMLSARPFRVGEGSTALRAAAGGASWRGMGFCARAVSVDDEAPSSSTGGGYDLSSPYLSVRIHCRKEDAEVLSESLLCFGASSVTVDDVSDAANVEEICVTSIFAYGENVGSSVSNAASSAGLDYTPVYETSVGKQCDWVAVVQIQ* >Brasy8G222200.1.p pacid=40082592 transcript=Brasy8G222200.1 locus=Brasy8G222200 ID=Brasy8G222200.1.v1.1 annot-version=v1.1 MGGGGVKLYGVAASPFVATVLLCLEEAGVEGYELVDVDMAAREQRTQPYLSRNPFGRIPTLEDGELTLFESRAISRYVLRKYGRISSSSAATAAAEGDLLREPSTLEESAMADVWTEVEAHRYTPAISHIVRECVIMRMIGGERNQAVVDENVAKLGEVLDVYESRLQRSPYLAGASVSLADLAHFGFTYCLVECTEYAALVESRPGVKAWWGRISARPAAKKVAAMMDLVLNPLKNEDAVCLINKSSV* >Brasy8G193300.1.p pacid=40082593 transcript=Brasy8G193300.1 locus=Brasy8G193300 ID=Brasy8G193300.1.v1.1 annot-version=v1.1 MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLAFTFATTLTSWHLLVTFCSLHVALWMKFFEHKAFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTLILETLFFRKKFSRTIQISLSVLLLGVGVATVTDLQLNAMGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCSVEAQPKSAEVSPQQAKESDSAPLISDSLSKVENGGDDDEPLKVPMWSSKYSRA* >Brasy8G193300.2.p pacid=40082594 transcript=Brasy8G193300.2 locus=Brasy8G193300 ID=Brasy8G193300.2.v1.1 annot-version=v1.1 MCFAATTLTSWHLLVTFCSLHVALWMKFFEHKAFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTLILETLFFRKKFSRTIQISLSVLLLGVGVATVTDLQLNAMGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCSVEAQPKSAEVSPQQAKESDSAPLISDSLSKVENGGDDDEPLKVPMWSSKYSRA* >Brasy8G213500.1.p pacid=40082595 transcript=Brasy8G213500.1 locus=Brasy8G213500 ID=Brasy8G213500.1.v1.1 annot-version=v1.1 MGTPAPLLSYSAAAILASPALLCGLAGAVLHSENSFLRSKHTDRCRVARPPPYGSRSPHQAGSFFLPYRVARTLRRRRHPHAARRRRPPPAPPWALLQCASPAILAADARALLQRRRGHPPARCRGPRSGHRRPAPASSAAAAILQRAPALSAANCPGPRSGRQRALAPSSGAAAAILLRTGGVLLLCGPSPPPARRPRPSQRPPPASSTLLQHAARGPPPASSSVPPAALRAARRRGPRCGLRRPPRGGPAQPPSNDLFTFKS* >Brasy8G143700.1.p pacid=40082596 transcript=Brasy8G143700.1 locus=Brasy8G143700 ID=Brasy8G143700.1.v1.1 annot-version=v1.1 MASPPAPVSASPASSAQRKRGSTETIGLYAVQCCECHKWRTVPTKEEFETLRENFTDDPWVCTKRLDCSCKDPGDIEYDSSRIWVIDKPNIPKPPPKTDRLAVMRGDLSKLDIYYVMPNGKRARCTGDVQKFLDANPDYKDRISVESFSFATPKIVEETVSHSSAWKAGKAKKQDKTNASSSKN* >Brasy8G022300.1.p pacid=40082597 transcript=Brasy8G022300.1 locus=Brasy8G022300 ID=Brasy8G022300.1.v1.1 annot-version=v1.1 MVRVQAPSAARWSERRQCPPWRANSLENVVPENLPRPSARRRYNGVGTAAAGERVPAAASPEAALPFLALRSGGMGCFSL* >Brasy8G292400.1.p pacid=40082598 transcript=Brasy8G292400.1 locus=Brasy8G292400 ID=Brasy8G292400.1.v1.1 annot-version=v1.1 MSSSVDAVACGGGGKKTSWPEVVGLSIEEAKRVILKDRPDADIHIVPVGSMVTTDFIPNRVRIYVDTVAEIPRVG* >Brasy8G270600.1.p pacid=40082599 transcript=Brasy8G270600.1 locus=Brasy8G270600 ID=Brasy8G270600.1.v1.1 annot-version=v1.1 MAVATQRASAHYQRTSVRPRHRSSLTGQHDADMARYKATGRRAFWGQPGRTHIACDNEPRLETPPPATAGRHRLLAVGHRRRHEATSASSVEEGGGLGTPPEDERMRHAEEGGGVPGTARGGAGQPGGARGRAPPRPRLAGEGAPCLVRAGAKEGAGRAPATPRHRDPARRRGGGRRRGGRRRLLLAGRPHRHDE* >Brasy8G087400.1.p pacid=40082600 transcript=Brasy8G087400.1 locus=Brasy8G087400 ID=Brasy8G087400.1.v1.1 annot-version=v1.1 MATVEGGSLSVSQLVSLLRLFVPSDQFEWEVSATGDNVFKVQFPSKLELQRMIRFGTFNVPTSECKITFNEWSPKVQPNWLLQDVWVRIAGIPPAVKGDFFALWGLGSLLGKTKEVDMAFTRQHGVLRILIGCVDYTCIPERKDVFIKDGLYRLTFQVEGPSRVEGLVDDVMHDANEGDDEGDKKKEASEKSDLEDRSGGKRAKNVDGGTSTSSAAGGSGGTVAPPSSSPAGGSNVVMLRIGSVETPVPVPVISDPAFCSKSAAPRRLWADLVEEEMPCFGSAPPRVDISRCVDGRPVGGVVSTPLVAAPVSVDVVAGAMDACSDVESPCDARLYAAPADGCSVMHGEVGSAVAKQVAGDGITAAGRVVECGSDGAAVEELQSATAVGTDHKQLAPLLHSPEAGAHVVVSQERQRDVEQIWPRRITMKGKIIWIFCLNV* >Brasy8G075600.1.p pacid=40082601 transcript=Brasy8G075600.1 locus=Brasy8G075600 ID=Brasy8G075600.1.v1.1 annot-version=v1.1 MVHEKHWRQRRPSCGGGGGGRRRPSHGGRRRIPSDPARGGGRRILPDPARDRGGGRTDGGSGVGRRPLREGRRRRGSANRRRPRGGRQRRWAAAVMRRWIPPDLVRGGDGCAEVAATDPARSGWRRRRERWREVSAAAAASRGERERETGVGVGGGVMKMD* >Brasy8G189000.1.p pacid=40082602 transcript=Brasy8G189000.1 locus=Brasy8G189000 ID=Brasy8G189000.1.v1.1 annot-version=v1.1 MAATSQGEAKLMVSYGGKIERGSGEPRYVGGENLLVGVSRTVSLRGFRERLAARAGFSCDDDSSFFVKYGTSGESLDALRDVACEADLRDLLDKVLYRDLCIRLFRDREAPRRLRVFLFRVAAPSPAPAASGDLLAAAPAVMRRSPTAPDFLSAEKNKKPPQPSKAKAVPSSPVRRVATAPAKLADMARPRSSGLPPRPPKRRIASAPSLSAPRKDDTPGSSCPSTVSTTSAVAGTSISSTGQDSGRNAQPVQVVHRLAAPEQRSGLVCPAPVFLLPVSPVIVYQPAIIVLVPAFSCNVVLG* >Brasy8G049500.1.p pacid=40082603 transcript=Brasy8G049500.1 locus=Brasy8G049500 ID=Brasy8G049500.1.v1.1 annot-version=v1.1 MIGEPRGPLQQQRREDLSDTALSGQSPFPTSLAAFFFFFFPFLPSFPFLSFSFPLPGHARAPFHPFPTPPLHASTHLCRAYSYTPHLLPAAAANRACVSFSLCVCIRVVCVSLAAEVLVVWGDEGGGRRVLFLAVEMEAAEAICAEDEAACAGAECAGGIERLDLGDGRAALVAGGKRSVYLMECEPVWGCVATPGRGGEMEDACAAVPRFADVPVRLLARRQDLEGLGLDADALRLPAHLFAVFDGHGGAEVANYCRERLHGVLSKELRRPSKDLWEMSDVDMKEHWEELFTKCFQRVDDEVSGRASRLVDGFPELRSEPIVAENVGSTAVVAVVCSSHVVVANCGDSRIVLSRGKEPVALSIDHKPDRKDERARIEAAGGKVIQWNGSRVSGILAMSRSIGDRYLKPFVISKPEVTVVPRAKDDDCLILASDGLWDVVSNEEACKTARRQIQQWHKNNSVATSLSHEGDGSTDPAAQAAADYLVRLALKKGSGDNISVIVVDLKPRRKAKNNS* >Brasy8G078100.1.p pacid=40082604 transcript=Brasy8G078100.1 locus=Brasy8G078100 ID=Brasy8G078100.1.v1.1 annot-version=v1.1 MDERIAMNRDDPATDAAAVQVLAGYGVLDLATPPPSRFAAAGGEQEDAAVLADGEMEPVDSDDDDDAAGSSSPCPCDWCRSQAILSGEQQPEEESQEARLGEAFYGTRDDEDDDDDEESDGEVDVPLKIDGGDQTASASASGGSKSMPLIPRRFVLEGRNFLGLAPRFASAGSTAGFMRVYAEDETHEEEEGKNKQRKEILVLYRCTRFVSNGRSGGRGVKASGSAKLHELRFVVPESGDAAGSLPWAGSSLAPLIYPARHSRALQALWSRLVSTVRVPPRAARVQVLADVGILRRRDYTPGRMERVRARLERMMAAPSPGYHVAMELQLPEPVLCAHAKAGEEVEENADGGRPAKRRKVVADVAGQECPVCFEMLESGLAAWPGCSLPHVFHGECLELCLKESEMCPICRRKLSAPTDG* >Brasy8G243100.1.p pacid=40082605 transcript=Brasy8G243100.1 locus=Brasy8G243100 ID=Brasy8G243100.1.v1.1 annot-version=v1.1 MERDTKRLQNLHITSHEEETEPVAILESDYMDDEDEEDSEPQVTLGFVQEPEEPLDRHLLLPQHFPDKAGGAPAWLDPVNLPSGKSSSCGFCGDPLRFVLQLNAPVKSKEAAYHRTFFVFMCPSMSCLLRDQHEQGKGWAGNPRRSVKVFRCQLPKNNPFYPVEEPKGCIGTECEAGLHARLCDWCGTWKGEKLCSRCRKARYCSKKHQELHWCASHKNDCCQIPGSFDGSILPCAPKSCGGNSSKQLVVQGQSKTDDITLSLMDQFEADDDNRCWASFLDRISRNPRDRQQVLRYCGEENAKPLWAVSSGSPRSADIPSCIYCNGPLRYEFQVMPQLLHYFHVENERDSLDWATIVVYTCQESCDKNISYKEEFVCVQLSPDTKGTYRKTSSPAGS* >Brasy8G082000.1.p pacid=40082606 transcript=Brasy8G082000.1 locus=Brasy8G082000 ID=Brasy8G082000.1.v1.1 annot-version=v1.1 MPAQKRPLPPSAGGDQDGGNHVEEEAHGAVGSGTEAGGGGGGARSPKVVVVNGGGPAELAKERRDGDSDAAEEALQQVEGGGGGVVDDDDDSESSESDGDMDEFIVVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCKSRRSLRDDPNFDALILALYPDIDKYEEEELAFGEEEKTRNKKIQESIAETFRRQTEALVKKRSTVKAIASSRKTRGNLRAKRRGRTSSPDIVTSDIDDEDKEENGNDGSKESSSVDDRSPDVKLKRARRWPVPRPSPSKTIGSIDGTYEGNDDLGGVRDILTTSPLRGEMPAWGKNGTRSQTRHGNSGGSSGRMVKSGRVAKMVDYLRNADESDSKLYLVLSPLDGQNMPKLEKPYLSCQPTFSVSHLCQFVALQLSRQAKEVEIYIRKNPGNKCFAMKDTDAAEEKLDQFNGLERLKEEESLAELYPALASRQGDLELMYALKTQG* >Brasy8G098500.1.p pacid=40082607 transcript=Brasy8G098500.1 locus=Brasy8G098500 ID=Brasy8G098500.1.v1.1 annot-version=v1.1 MGDRPKFPGRYEQVKLLGEGNFAKVYLARHADTGEEAAIKVMDKEKLIKLGAVQQIKREIAVMRRLRHPNIVRLHKVMACRSRIFVVMEYVRGGPLYRHIAPNGGLRESDARRFFQQLVSALAFCHAQGVYHRDIKPDNLLVDEHGNLKVADFGLSGVAHTARREALLHTVCGTPLYVAPEVFTRRGYDGGKADAWSCGVVLYVLAAGRKPFRDEQFASLYRDICRSDYRCPRSFSPDLVRIVRRLLQPNPAHRITLPQVMETSWFKKDLKEISFYIDSKDCLRSLDGPEEPDLYDSDSDDETAASSSSGSSSSSPAHGMHVSVSAPSLVDLDKTGSNGAQGQQQRMRRIKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGEPVPKIISKLEEIAGQVSFTVRTKDCQVSFEATRNGHKGALAISAKIFQLTPELVMVQVCKKAGDTAEYSQFCHSELKPGLSGLVQGLPEEGLPPALNVA* >Brasy8G272700.1.p pacid=40082608 transcript=Brasy8G272700.1 locus=Brasy8G272700 ID=Brasy8G272700.1.v1.1 annot-version=v1.1 MRRRIAPKTIVYGLTGPPIVFSSDKGLAFSEKKRQGPGSFLGLVSLGPVNQQGAARLLGACSIHSVQRRPELPPPSSAPPPRLRPPSAGLGVPDGTRRRSGWSVPCIFSGMVRSLPVRRRPAAPSLVPNSPSRPAGRPFSGGAY* >Brasy8G257000.1.p pacid=40082609 transcript=Brasy8G257000.1 locus=Brasy8G257000 ID=Brasy8G257000.1.v1.1 annot-version=v1.1 MGPCWKGILGLRHMGRTCQGTIRCGKYLRSRVLRSPPDLESVIHLCVRRERGRRACGRGGTKYDVPTEACARPRGGIPAATGSSWSCGEPRRPRRLRWVARESRRSAAGYAAGRLEPRRRLASTTSPPHRPPPQGPPLPESSVLSTVSSQNSSQRRSEIVEVAQTPTVQITRHLGLLQPLPAAETSSPQPSSLCWIRFHSHLRWLLSLLGCPFVPEGGAHEFAISPRSDDMERAGLGRGCHG* >Brasy8G299400.1.p pacid=40082610 transcript=Brasy8G299400.1 locus=Brasy8G299400 ID=Brasy8G299400.1.v1.1 annot-version=v1.1 MASTGVMGSVLRKLGAILSDEYKLLKNVRRDVEFLKDELKVMHAFLLEMADVQEPLPQAKLRANAVRELSYEIEDKIDKFMLLVDKESSSNSDGKSMKKIMKKSMKKIANIKIRHKIAKDVKDIKSHVKDVTERYARYKMDESSRTRNEKVDPRLCAIYKEASELVGMDGPTDELLKWMSSEKGELAHQVKVASIVGSGGLGKTTLARQVYNKLGANFEYRAFVSISRSPDMTKIFGSILSEISNGKEMLDQRISKLLTRLGIS* >Brasy8G299400.2.p pacid=40082611 transcript=Brasy8G299400.2 locus=Brasy8G299400 ID=Brasy8G299400.2.v1.1 annot-version=v1.1 MASTGVMGSVLRKLGAILSDEYKLLKNVRRDVEFLKDELKVMHAFLLEMADVQEPLPQAKLRANAVRELSYEIEDKIDKFMLLVDKESSSNSDGKSMKKIMKKSMKKIANIKIRHKIAKDVKDIKSHVKDVTERYARYKMDESSRTRNEKVDPRLCAIYKEASELVGMDGPTDELLKWMSSEKGELAHQVKVASIVGSGGLGKTTLARQVYNKLGANFEYRAFVSISRSPDMTKIFGSILSEISNGKEMLDQRISKLLTRLGIS* >Brasy8G006000.1.p pacid=40082612 transcript=Brasy8G006000.1 locus=Brasy8G006000 ID=Brasy8G006000.1.v1.1 annot-version=v1.1 MMLQRQLDVAHSTRIDRCAAALGHCSVAHVAAATLRAILPRCSFAHMARDVHIYPWRRQTTMQRSQVSSLFAPYVRPIT* >Brasy8G030700.1.p pacid=40082613 transcript=Brasy8G030700.1 locus=Brasy8G030700 ID=Brasy8G030700.1.v1.1 annot-version=v1.1 MAPKPWWRKTAHDHEVSSSSGPRRSAPPTSRRSSTAPPPSRSVPPASRRFTIAPPARSDRLGRRNVGVDLAREFWHRLRREGVDLGAAPIAGATAAIAALKKEVAPLPQTAEEEAKMLPKAEEESTLAELAIMRSHRSGGASRRRRARTCTVGGADQPWSPWQRREQGQYPPPEDEEMADQSPPVEC* >Brasy8G095100.1.p pacid=40082614 transcript=Brasy8G095100.1 locus=Brasy8G095100 ID=Brasy8G095100.1.v1.1 annot-version=v1.1 MDGNGKLSVDELKNMEAGHSNGQPGVDIIIIESERDESKVRAETNVGEGPYEDNGEHKCEKTDNGVHEEASTSTDDDSDSDFYFLRESENGQTSESDAEENGIEVPLPEEEVEELVAEFLDVESKAAKAQESLEKESLEKIEAEVRLELSERLQGDPLELAVSTEMEQFKNEWSSELDDLEIHSAVLLEQLDAAGIELPSLYKSIESQVPNVCETQAWKNRTHWVGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKVPIGDDGSVQCHEKSWSSFNELIKSKECAESSFGSNNWSSVYLASTPQEAASLGLQFPGVDEVEEIAEVEGDVDVIKGFDEIELSEEQRRKYKKVREEDDAKTIRRLQRHMKKRTRSCCKENFGLASSSNGFSELPPLSDNGVLGSSSGLLSSEKHKSDKNEVSGDPLKRTREDDVELDHKRPKTVILESDDDMLINSKPALGNQDSDCSSAEVEKVVDIIDLDLLPSESPTFGDKALPKVFKCTVCTEMLNARDVHRHPVLDVTICGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLQSCSSCRMLFCTNCLSKNFGEECLSKAKVTGWQCCCCQPRQLEHLISECDKALSGVESSDLESDSTSGNESDGPVSKHKRKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLSRSNIVTFSGVLSEVSLQDAGDGHIVNVAREEDEEPVRIPSSVSSKLKPHQVSGIRFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCAQLGFRTALIVTPVNVLHNWRKEFTKWRPDELKTLRVYMLEDVARVKRLQLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKYTADEISNALQCGPDILVCDEAHMIKNRRADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIEYGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGASSEKPLQRSGFFAKYQKLAQIWNHPGLLQMAKEQRGIVRREDAVENFLTDESSSDDNPNIENQLPNREKQKSKTDQQSKKSDFVNEESNWWENLLDANTYMEADYSGKMVLLLDILSTCYELGEKVLVFSQNLTTLDLVEFYLSKLQIKGKEGKFWKQGKDWYRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy8G095100.5.p pacid=40082615 transcript=Brasy8G095100.5 locus=Brasy8G095100 ID=Brasy8G095100.5.v1.1 annot-version=v1.1 MDGNGKLSVDELKNMEAGHSNGQPGVDIIIIESERDESKVRAETNVGEGPYEDNGEHKCEKTDNGVHEEASTSTDDDSDSDFYFLRESENGQTSESDAEENGIEVPLPEEEVEELVAEFLDVESKAAKAQESLEKESLEKIEAEVRLELSERLQGDPLELAVSTEMEQFKNEWSSELDDLEIHSAVLLEQLDAAGIELPSLYKSIESQVPNVCETQAWKNRTHWVGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKVPIGDDGSVQCHEKSWSSFNELIKSKECAESSFGSNNWSSVYLASTPQEAASLGLQFPGVDEVEEIAEVEGDVDVIKGFDEIELSEEQRRKYKKVREEDDAKTIRRLQRHMKKRTRSCCKENFGLASSSNGFSELPPLSDNGVLGSSSGLLSSEKHKSDKNEVSGDPLKRTREDDVELDHKRPKTVILESDDDMLINSKPALGNQDSDCSSAEVEKVVDIIDLDLLPSESPTFGDKALPKVFKCTVCTEMLNARDVHRHPVLDVTICGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLQSCSSCRMLFCTNCLSKNFGEECLSKAKVTGWQCCCCQPRQLEHLISECDKALSGVESSDLESDSTSGNESDGPVSKHKRKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLSRSNIVTFSGVLSEVSLQDAGDGHIVNVAREEDEEPVRIPSSVSSKLKPHQVSGIRFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCAQLGFRTALIVTPVNVLHNWRKEFTKWRPDELKTLRVYMLEDVARVKRLQLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKYTADEISNALQCGPDILVCDEAHMIKNRRADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIEYGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGASSEKPLQRSGFFAKYQKLAQIWNHPGLLQMAKEQRGIVRREDAVENFLTDESSSDDNPNIENQLPNREKQKSKTDQQSKKSDFVNEESNWWENLLDANTYMEADYSGKMVLLLDILSTCYELGEKVLVFSQNLTTLDLVEFYLSKLQIKGKEGKFWKQGKDWYRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy8G095100.2.p pacid=40082616 transcript=Brasy8G095100.2 locus=Brasy8G095100 ID=Brasy8G095100.2.v1.1 annot-version=v1.1 MDGNGKLSVDELKNMEAGHSNGQPGVDIIIIESERDESKVRAETNVGEGPYEDNGEHKCEKTDNGVHEEASTSTDDDSDSDFYFLRESENGQTSESDAEENGIEVPLPEEEVEELVAEFLDVESKAAKAQESLEKESLEKIEAEVRLELSERLQGDPLELAVSTEMEQFKNEWSSELDDLEIHSAVLLEQLDAAGIELPSLYKSIESQVPNVCETQAWKNRTHWVGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKVPIGDDGSVQCHEKSWSSFNELIKSKECAESSFGSNNWSSVYLASTPQEAASLGLQFPGVDEVEEIAEVEGDVDVIKGFDEIELSEEQRRKYKKVREEDDAKTIRRLQRHMKKRTRSCCKENFGLASSSNGFSELPPLSDNGVLGSSSGLLSSEKHKSDKNEVSGDPLKRTREDDVELDHKRPKTVILESDDDMLINSKPALGNQDSDCSSAEVEKVVDIIDLDLLPSESPTFGDKALPKVFKCTVCTEMLNARDVHRHPVLDVTICGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLQSCSSCRMLFCTNCLSKNFGEECLSKAKVTGWQCCCCQPRQLEHLISECDKALSGVESSDLESDSTSGNESDGPVSKHKRKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLSRSNIVTFSGVLSEVSLQDAGDGHIVNVAREEDEEPVRIPSSVSSKLKPHQVSGIRFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCAQLGFRTALIVTPVNVLHNWRKEFTKWRPDELKTLRVYMLEDVARVKRLQLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKYTADEISNALQCGPDILVCDEAHMIKNRRADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIEYGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGASSEKPLQRSGFFAKYQKLAQIWNHPGLLQMAKEQRGIVRREDAVENFLTDESSSDDNPNIENQLPNREKQKSKTDQQSKKSDFVNEESNWWENLLDANTYMEADYSGKMVLLLDILSTCYELGEKVLVFSQNLTTLDLVEFYLSKLQIKGKEGKFWKQGKDWYRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy8G095100.4.p pacid=40082617 transcript=Brasy8G095100.4 locus=Brasy8G095100 ID=Brasy8G095100.4.v1.1 annot-version=v1.1 MDGNGKLSVDELKNMEAGHSNGQPGVDIIIIESERDESKVRAETNVGEGPYEDNGEHKCEKTDNGVHEEASTSTDDDSDSDFYFLRESENGQTSESDAEENGIEVPLPEEEVEELVAEFLDVESKAAKAQESLEKESLEKIEAEVRLELSERLQGDPLELAVSTEMEQFKNEWSSELDDLEIHSAVLLEQLDAAGIELPSLYKSIESQVPNVCETQAWKNRTHWVGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKVPIGDDGSVQCHEKSWSSFNELIKSKECAESSFGSNNWSSVYLASTPQEAASLGLQFPGVDEVEEIAEVEGDVDVIKGFDEIELSEEQRRKYKKVREEDDAKTIRRLQRHMKKRTRSCCKENFGLASSSNGFSELPPLSDNGVLGSSSGLLSSEKHKSDKNEVSGDPLKRTREDDVELDHKRPKTVILESDDDMLINSKPALGNQDSDCSSAEVEKVVDIIDLDLLPSESPTFGDKALPKVFKCTVCTEMLNARDVHRHPVLDVTICGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLQSCSSCRMLFCTNCLSKNFGEECLSKAKVTGWQCCCCQPRQLEHLISECDKALSGVESSDLESDSTSGNESDGPVSKHKRKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLSRSNIVTFSGVLSEVSLQDAGDGHIVNVAREEDEEPVRIPSSVSSKLKPHQVSGIRFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCAQLGFRTALIVTPVNVLHNWRKEFTKWRPDELKTLRVYMLEDVARVKRLQLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKYTADEISNALQCGPDILVCDEAHMIKNRRADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIEYGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGASSEKPLQRSGFFAKYQKLAQIWNHPGLLQMAKEQRGIVRREDAVENFLTDESSSDDNPNIENQLPNREKQKSKTDQQSKKSDFVNEESNWWENLLDANTYMEADYSGKMVLLLDILSTCYELGEKVLVFSQNLTTLDLVEFYLSKLQIKGKEGKFWKQGKDWYRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy8G095100.3.p pacid=40082618 transcript=Brasy8G095100.3 locus=Brasy8G095100 ID=Brasy8G095100.3.v1.1 annot-version=v1.1 MKVKLGQKLTWEKARMRTTGSTNVRKRTMVCMKKRPLVQMMIQIVIFIFFGNQRTDKLQSLMLRRTALRTIFKQVPLPEEEVEELVAEFLDVESKAAKAQESLEKESLEKIEAEVRLELSERLQGDPLELAVSTEMEQFKNEWSSELDDLEIHSAVLLEQLDAAGIELPSLYKSIESQVPNVCETQAWKNRTHWVGSQVPEEANQSIRKADEYLQSCRPVRRKHGKLLEEGASGFLAGKVPIGDDGSVQCHEKSWSSFNELIKSKECAESSFGSNNWSSVYLASTPQEAASLGLQFPGVDEVEEIAEVEGDVDVIKGFDEIELSEEQRRKYKKVREEDDAKTIRRLQRHMKKRTRSCCKENFGLASSSNGFSELPPLSDNGVLGSSSGLLSSEKHKSDKNEVSGDPLKRTREDDVELDHKRPKTVILESDDDMLINSKPALGNQDSDCSSAEVEKVVDIIDLDLLPSESPTFGDKALPKVFKCTVCTEMLNARDVHRHPVLDVTICGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLQSCSSCRMLFCTNCLSKNFGEECLSKAKVTGWQCCCCQPRQLEHLISECDKALSGVESSDLESDSTSGNESDGPVSKHKRKKRIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLSRSNIVTFSGVLSEVSLQDAGDGHIVNVAREEDEEPVRIPSSVSSKLKPHQVSGIRFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCAQLGFRTALIVTPVNVLHNWRKEFTKWRPDELKTLRVYMLEDVARVKRLQLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKYTADEISNALQCGPDILVCDEAHMIKNRRADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIEYGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGASSEKPLQRSGFFAKYQKLAQIWNHPGLLQMAKEQRGIVRREDAVENFLTDESSSDDNPNIENQLPNREKQKSKTDQQSKKSDFVNEESNWWENLLDANTYMEADYSGKMVLLLDILSTCYELGEKVLVFSQNLTTLDLVEFYLSKLQIKGKEGKFWKQGKDWYRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy8G015300.1.p pacid=40082619 transcript=Brasy8G015300.1 locus=Brasy8G015300 ID=Brasy8G015300.1.v1.1 annot-version=v1.1 MTPASGNHLATPTTAATALDAGAATAADAVVATFDVKADSTATTDRAQVPDGAALLLGVPMMPTTAAVAAQDAIVAIFDVKANITATCARAQVPAGAAMLLGGPMMPKTAAAAPDVVVAIFDIVAQAVGVIIGLFLTINMKMVISRYFGRKLSIILAVVFVLVFIVTQTKSQEPEEPVIVPIEFEDDWGDEAYNIYFGEVHNALGSNTEEIKWNDKGFPGENHFILPEMGKKIRMWIHVRVKGESSGKYAFLLFRADSAYLLGFTNEYGTYCTKGSSDFFPNGCKELPFKDTYRGLFNKPRPEKHKSPRVCDGDDARDETGGTDDINPFLGTVRLGRLAARNAASVLADYQHDPDSTTELLEPVRKAMLVFVLMTSEAVRFKPISNKCKSSWITGGYLSEEEYQLVFFWKELSIIWCRGDEHSPWSRCGRRIEEVLKLNTLFEIDRTLDIVKMEKNCRNKRKEK* >Brasy8G154700.1.p pacid=40082620 transcript=Brasy8G154700.1 locus=Brasy8G154700 ID=Brasy8G154700.1.v1.1 annot-version=v1.1 MLRPFPSSSHRPAASPPPTSEAAAAAAMAPSSSRSPAGGGHVSFSLSPSSCRHTPSSATLDLLILLLVLFSLAFLLASSLAHVSRSLSPLLASPPAAAALASAAAALPYLAAGLPYLAAGAVLAGAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQTEDAVRAGAGSTVGGADAAMWREIEALPWKGGQSGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGTLKIKRRNKKGTQGSSLDGGVR* >Brasy8G244300.1.p pacid=40082621 transcript=Brasy8G244300.1 locus=Brasy8G244300 ID=Brasy8G244300.1.v1.1 annot-version=v1.1 MAKQIVLLVLLTVLCKLASHGVHGQYSLTSATATFYGGSDGSGTMGGACGYGNLYNTGYGLNNAALSTALFNDGAMCGACYNIYCDTSKSKWCKPGTSITVSATNLCPPNYAKPNDDGGWCNPPRLHFDMSQPAWTTIAIYQAGIVPVNYRRVSCQKQNGIRFGVNGNNYFELVVVTNVGGSGVVAQMWIKGSRTDWMAMSRNWGANWQSNAYLNGQSLSFRVKLDDGRQVTATDVVPYYWYFGATYASWVNFY* >Brasy8G065800.1.p pacid=40082622 transcript=Brasy8G065800.1 locus=Brasy8G065800 ID=Brasy8G065800.1.v1.1 annot-version=v1.1 MGFSEAQEELVLRSWKAMKPDSESIALKFFLRIFEIAPAAKPMFPFLRDAGDDVPLESHPKLKAHAVTVFVMACESATQLRKTGDVKVREATLRRLGVTHVKAGVADAHFEVVKTALLETIEGAVPGMWTAEMKGAWEEAYDQLAAAIKDEMKLAASA* >Brasy8G119100.1.p pacid=40082623 transcript=Brasy8G119100.1 locus=Brasy8G119100 ID=Brasy8G119100.1.v1.1 annot-version=v1.1 MFDSVKVQPSTAGCSSMKATTQEFFFASNITLHVPNSDVISLRGDGFADKKSSQDSAALLMLHELQRRGRLQVQEVGP* >Brasy8G052800.1.p pacid=40082624 transcript=Brasy8G052800.1 locus=Brasy8G052800 ID=Brasy8G052800.1.v1.1 annot-version=v1.1 MRGLRRIGRVLGLGLGCFSSSLAVCASASTPRCCGCLCVRAREEDEDEEAMERKALVMGSSSQGVRLRDLVVEGRSRTLGFHLEPKTVELRVSMHCNGCAKKVQKHISKMEGVTSFEVDLARKKVVVTGDVTPLEVLRSVSKVKLAQLWTNGTVPQLLTSYNNL* >Brasy8G090200.1.p pacid=40082625 transcript=Brasy8G090200.1 locus=Brasy8G090200 ID=Brasy8G090200.1.v1.1 annot-version=v1.1 MEPPRSDRATGESPTLRRSLRARAPPRSTLRGTKSATHSSMVNMETETGGGNVEVLQQSQMQDEASTRQSSRPTKSSLAKHSCTESKVSGKRGTLGDNEDATGSRIMQSPINLFIDECVFCHSFRKSEFHGPMVQYLKGRVVSSDKGNPTDAMYVHHRCMKWAPRVRFNGDTVLNMEKEIIRASRLRCSRCSLPGAALGCCIDKCAKTYHVPCALMIPECHWDVKKRNVWCPNHASEALPCDAMPIMESDISSSDNHNQELDTQGTSVIHNMEDHQVDKLNNSSSSLPQRQFSNKDRISAGYHGEAKEINKPSTLGSCPVDQWVLLGAVLSESEKDSLKEFASLTNATVVDKWEKKVTHVIVGKDGDTECSRSYEVLMAILSGKWLVKAGWIEDCLGELILGQETCFSAKIPGPEISCEVKFFHGSHTSIDGPTKGRARAAEEGPKLFSGLYFCLSAYLDSQDRENIQDLIAAADGQVLEGSNYLHLSRKDLDRNSVKLYFIYNGESPKKFTSSFLLDLQKETEECIQYRDSGAQVISNLKLFDAIASYNTQILEPADHLASDMSE* >Brasy8G035700.1.p pacid=40082626 transcript=Brasy8G035700.1 locus=Brasy8G035700 ID=Brasy8G035700.1.v1.1 annot-version=v1.1 MATHPSKRSYLCAGSSTFDDPDVVEVSPTAAAAAGAPAEKNKQALGYPLDWPKHVKTSVAGDIAGPSTYASKNTAIMGGLKKVIEYDYDDYTFDPFEEDGFFEDDFDGLDIPPGVEAPLPWMQKTAAEMSNKTKPIVIVDDKVDEKYNAFKQFDTVDCHSDHYYSKPELEGFSSPSKDWAKRIQHEWKVLEKRLARYYIRYDEDRMDLLRAVIMGPAGTPYHDGLFSLTFISSSISKYTSINFMQLLTTVLVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALPGYANSANTPSGEKRSLTYNEDTFLLSCRTMLYSLRNPPKHFENFVAGHFRKYGHNVLVACKAYLDGAQVGCLVGNGLFEELLMEFTVKGADCDKFLSEKAKSAAAATRATADTTLRL* >Brasy8G044500.1.p pacid=40082627 transcript=Brasy8G044500.1 locus=Brasy8G044500 ID=Brasy8G044500.1.v1.1 annot-version=v1.1 MGQLVKFLDGLVLLCHWAIQTSSLWQRSAGQRVNDIRSRICCNQVIRVRNRIRQLFRSSERSYKTTYGAGAGMRFLSGIPASASGASTRLALAFPRISFGRSSPRRMAETGPACSASSSSAAAAGGDQKPWLFVGLGNPGRMYKGTRHSVGFEMIDAIAEAEGISISNRQFKAMVGKGLIGDVPVMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVIYDDLDLPFAKLRLLPKGGHGGHNGMRSVIHHFKDNRAFPRLRIGIGRPTGMLGAINFVLRSFSQQEQEELDSTFCKGLQAVRIMLLEGFNKSATFVNTPPPAEMLIK* >Brasy8G180500.1.p pacid=40082628 transcript=Brasy8G180500.1 locus=Brasy8G180500 ID=Brasy8G180500.1.v1.1 annot-version=v1.1 MDGGRKSRDPYKLPEDRRERKSGSGMSGDPKKGGRGGKFTWEGADGYTDEDLHFIGNKGTGSAASAAANRKKAQNNDGGDTSDE* >Brasy8G149000.1.p pacid=40082629 transcript=Brasy8G149000.1 locus=Brasy8G149000 ID=Brasy8G149000.1.v1.1 annot-version=v1.1 MYYSDRSAIKRSVPAAGERTIKPGKHMQSEQVKMRFGRCPYCRAMVYQDPKAVVYLCGKCRTPIRGKEPEPTDENEYALAQLEILSFDTMSVFSDELEPPSSDFDPTSRETVAASASSSSQFRPYGVIRTGPRSGDLDRDEESGSGRRNGGSPMHSRVGELRPASRRTRRPSSADLDEPRPAEESEFDVPRTRSASCYQRRASPLSSQELEAAMDPAAAAAGLAGSPLTDPSFQKDLLQALENLRKLIVAVEEPFRASAGAPRLGSASASAPGHRQTASCNDSAAQKVTRRDSRILRRLESQLAQALPTNSPRQSQDATTSSSSSSSSVSALSSSRRGVSISASASALPSSRRGASTSRNLLCRPILGGTPFVACEKCSELLQLPSALSVKKSAMLQCGGCGEELAVKLPARGGAGSSASTDGPRKIFSAPQPAGFGADDAAAQEYMRASARSRLSGEQMRQGPDEGPLHRVLGYSSVSSVLRSRRYGDDL* >Brasy8G149000.2.p pacid=40082630 transcript=Brasy8G149000.2 locus=Brasy8G149000 ID=Brasy8G149000.2.v1.1 annot-version=v1.1 MQSEQVKMRFGRCPYCRAMVYQDPKAVVYLCGKCRTPIRGKEPEPTDENEYALAQLEILSFDTMSVFSDELEPPSSDFDPTSRETVAASASSSSQFRPYGVIRTGPRSGDLDRDEESGSGRRNGGSPMHSRVGELRPASRRTRRPSSADLDEPRPAEESEFDVPRTRSASCYQRRASPLSSQELEAAMDPAAAAAGLAGSPLTDPSFQKDLLQALENLRKLIVAVEEPFRASAGAPRLGSASASAPGHRQTASCNDSAAQKVTRRDSRILRRLESQLAQALPTNSPRQSQDATTSSSSSSSSVSALSSSRRGVSISASASALPSSRRGASTSRNLLCRPILGGTPFVACEKCSELLQLPSALSVKKSAMLQCGGCGEELAVKLPARGGAGSSASTDGPRKIFSAPQPAGFGADDAAAQEYMRASARSRLSGEQMRQGPDEGPLHRVLGYSSVSSVLRSRRYGDDL* >Brasy8G174600.1.p pacid=40082631 transcript=Brasy8G174600.1 locus=Brasy8G174600 ID=Brasy8G174600.1.v1.1 annot-version=v1.1 MASSPAIAATTSASFPSLPLLPQRYLSRSRRTVITSAFARRFRGINPSPVRSRSKTAPTPTPTPDDGFGALESEIWRLRRRAELRLQRLVAEADEAYSDLRSSVRVVSRDRVVLTFRRSSLRFLASALLWSLALSAAAWALLGLVSQASRRQLWWRGWWDRPESGAVVTKRDRSLGGKEVVVALPSTMTTPASRVREPAREVRRREPQARVPEWWPEMETEVMELGQEAEKWGRLSNRLVRAIIDNRIAGRDYRYDDAIQLRQLCKISGVKVSFDAENSRDSFFRATVNFVLDDCSRTAQDIGAAQINGENPRMFLAGLARHIGLDKIRAATLVCAAVAARTRGCLLQSWALEIQGKRAEALDELMNICRIHYVFPPEENSAEMEMVAGGLKKNLQVAERIHLLSLYRSICTTGNLRTAAEALGLTLPDQ* >Brasy8G046300.1.p pacid=40082632 transcript=Brasy8G046300.1 locus=Brasy8G046300 ID=Brasy8G046300.1.v1.1 annot-version=v1.1 MDNGEETFASPTAAAAEFDFGQALTNGGGLAAAAHPSKAAYDGDEMDALRAAKRDLEEKLAAVSHENSFLSAEARRLEALVAQARKDIAQAEHAAAASEGEAAKLRAEVKRLQDLLVAAEKSDRDAGMSDAPGAGGELATAHQEKLALEEEIRALKASAAAAAAEKKEEETAAPSTVAPKERVVAPHGKVAAAAAGAAAAAAIAVVFLNLRR* >Brasy8G008700.1.p pacid=40082633 transcript=Brasy8G008700.1 locus=Brasy8G008700 ID=Brasy8G008700.1.v1.1 annot-version=v1.1 MPTAESLRAELSSKMPPFGLRLWIVIGISIWVVILCILGFMCFWSIYRRKPKKSVDKIPVSQIPDVSKEIAVDEVRQHAVVENYQVQESHVLTVQEKSQDKDSGKMLGHLVRTKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHSTNRFSKENIIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQHGVLTWEARMKIILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNGKLSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDNSRPTTEVHLVEWLKMMVGSRRAEEVVDRDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREDRRSRRGHAGNTDTESKASSSEFETSGDRRDSGTSARFQS* >Brasy8G253500.1.p pacid=40082634 transcript=Brasy8G253500.1 locus=Brasy8G253500 ID=Brasy8G253500.1.v1.1 annot-version=v1.1 MAYISKRSFRSKVMERMVLEPVLINVEINDTSIDLETWTNNLMLIVKPMLILVAVMYLF* >Brasy8G234200.1.p pacid=40082635 transcript=Brasy8G234200.1 locus=Brasy8G234200 ID=Brasy8G234200.1.v1.1 annot-version=v1.1 MDPATTGTVWAIDQGDVRRCDHTHHFLEDLAPWIKALALDSLNEPTAGHQATVGVVTRDVVAKLNKGLVSRKVNNGGPI* >Brasy8G134700.1.p pacid=40082636 transcript=Brasy8G134700.1 locus=Brasy8G134700 ID=Brasy8G134700.1.v1.1 annot-version=v1.1 MDSALSVLIVVVTLAGAGRWTCRGEFTVVVPDSATAGALVDAPQTGFSDRARTDPAEQRAVQDVMAATGNVWASGIADVCRGRWHGIECVPDRGDVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFSANPQPIPAFLGRLGPAFRSLVLRQNGHVGPIPKEIGSLSALRVLDLHGNHLTSAIPATVQSLKHLQLLDLSYNRLAGQVPSFKFQHLSILDLSHNALQGRVPASLGQCRSLLKIDLGQNRLAGTIPDALGDLSELILLDLSHNALSGPIPAAIGRLSALRSLILGDNRMQSSTVPEDLFTGLKALTTLVLSRMGLEGSLPESIGELGELRVLRLDGNGFTGVIPASFRRLGKASELRVDGNRLVGPIPFGKQMMWRLGKKLRVGGNEGLCYDARQEGLQGVVALAGVADCDSVWSRTTQHLSWDRKNGGTVANVSTPAANSGRNGVGVVRVGISLHVVLGVLVFSWLALL* >Brasy8G034900.1.p pacid=40082637 transcript=Brasy8G034900.1 locus=Brasy8G034900 ID=Brasy8G034900.1.v1.1 annot-version=v1.1 MSSAAMKLTNAADTSSEEAQSLIADMRKAVNTMRSIAVEYEKEKKSDKVKEVEKEMLELLASYEDCAFLAEAVKAVPQIYQPSDQPTDFKKLIEAEVTKIKGNSRVSGHCQQLVRQFREAVWDVHHAGQPMPGDEQEELVMTSTQRNILNIKCPITMKPIIELTDPVRCTECRHIYDKNAILSYIRNNKPPRCPIAGCPKMLHIGNVVCDSMLRVEIDEFRSSGAADSIASDIEDISDLDDDEEEPMDEDNDNE* >Brasy8G006200.1.p pacid=40082638 transcript=Brasy8G006200.1 locus=Brasy8G006200 ID=Brasy8G006200.1.v1.1 annot-version=v1.1 MASGPHCSPNPDLSAPVGSTASTAHCRSDPWFHGLHGAGDGRDRDCMRPPPSRGRELHGAASGRDWTWTRPPPPERERILERGEREKKLERRREETELIEAVASGSGGGGVAMPTHPRAHCCSSTCCCRSSTHVLQPLLVREEGAAGGEGLCGGGRGRRRINGMGEGGEGCGRGREERSGVREIGGGRRRGPGRPPVRQDGVAGREERDCGRGKEERRR* >Brasy8G007200.1.p pacid=40082639 transcript=Brasy8G007200.1 locus=Brasy8G007200 ID=Brasy8G007200.1.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELEFCLLQGCLAKMFPCLCKESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.6.p pacid=40082640 transcript=Brasy8G007200.6 locus=Brasy8G007200 ID=Brasy8G007200.6.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELEFCLLQGCLAKMFPCLCKESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.5.p pacid=40082641 transcript=Brasy8G007200.5 locus=Brasy8G007200 ID=Brasy8G007200.5.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.7.p pacid=40082642 transcript=Brasy8G007200.7 locus=Brasy8G007200 ID=Brasy8G007200.7.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.2.p pacid=40082643 transcript=Brasy8G007200.2 locus=Brasy8G007200 ID=Brasy8G007200.2.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.3.p pacid=40082644 transcript=Brasy8G007200.3 locus=Brasy8G007200 ID=Brasy8G007200.3.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELESCKHIASAYGSTSVPTLPVIARL* >Brasy8G007200.4.p pacid=40082645 transcript=Brasy8G007200.4 locus=Brasy8G007200 ID=Brasy8G007200.4.v1.1 annot-version=v1.1 MEEARDRSGLVRQPPTLGPTSINSTLRNGILIYLVLARCISFFSCSRKICHQTFRFYIQVCSWIELESCKHIASAYGSTSVPTLPVIARL* >Brasy8G078600.1.p pacid=40082646 transcript=Brasy8G078600.1 locus=Brasy8G078600 ID=Brasy8G078600.1.v1.1 annot-version=v1.1 MAATHPASPTAAGDKSSPSPPPPVRLATAVAAIQPSSPRFFFSSLAASSSPHRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDDGEAPAADEPEDARKKREEDFDTFTSTKSQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGAFRRGDKGRLGSVSDYCVHHCVCPVVVVRYPDDAGAAAGVEDELRTVPENEVVYHEAPEGQKES* >Brasy8G298700.1.p pacid=40082647 transcript=Brasy8G298700.1 locus=Brasy8G298700 ID=Brasy8G298700.1.v1.1 annot-version=v1.1 MAEILVSASTGAMGSLLGKLGTMVSDEFKLLRGVRDDIKSLEVELRRMQAFLIVMADVEKPDPQAKLRVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFRKLFSKSMETIKNIKTRHKIGKEVKGIMSQVKEISDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELANWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGRNYECRAFVSVSRSPNMTMVLSSILSQLRNQDYAHAGDPSLIIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHDSVIMITTRQHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKKAPEDILKKCGGLPLVINAISSLLATGKTDEEWNRVRRSIGFSHGKNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDFEIGRETLVHRWISEGFIHGEDGEDLVELGMTYFYELVNRSLIQPIHIRYNGTAWGCRVHDTILDFLTYKSTEENFGMLFNNRLKSGTRVRRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSGRTGLGNHHVKDIGRLLQLRYLDISRSTKITELPREIGDLKYLETLDVCATQLHELPESVTRLKRLARLFVSAEVKLPDSIGNMENLQDLRFTDASVQSVKFLEELGKLTNLRELVICWDDREVDKASCKREKLVSTLCKLDACKLRNLELRFHLREDGGFIGHASFPALSSIRSITIRHGELRWFTKWLLSLVNLEKLYLSYEVKIEQQDVELVGSIPSLLEFYVDNPSAGPIIISNSGVGFQQLRRLALDFNVTGLTFEAGTMPNLTELFLSIQGRHYRSAAGGGLDDFGLQHLSSLSHVLVYINCSGARAADVKTAEVSVKSMVEAHPNRPTLQMERVSAEDMLKDNDEIAM* >Brasy8G298700.2.p pacid=40082648 transcript=Brasy8G298700.2 locus=Brasy8G298700 ID=Brasy8G298700.2.v1.1 annot-version=v1.1 MAEILVSASTGAMGSLLGKLGTMVSDEFKLLRGVRDDIKSLEVELRRMQAFLIVMADVEKPDPQAKLRVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFRKLFSKSMETIKNIKTRHKIGKEVKGIMSQVKEISDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELANWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGRNYECRAFVSVSRSPNMTMVLSSILSQLRNQDYAHAGDPSLIIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHDSVIMITTRQHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKKAPEDILKKCGGLPLVINAISSLLATGKTDEEWNRVRRSIGFSHGKNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDFEIGRETLVHRWISEGFIHGEDGEDLVELGMTYFYELVNRSLIQPIHIRYNGTAWGCRVHDTILDFLTYKSTEENFGMLFNNRLKSGTRVRRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSGRTGLGNHHVKDIGRLLQLRYLDISRSTKITELPREIGDLKYLETLDVCATQLHELPESVTRLKRLARLFVSAEVKLPDSIGNMENLQDLRFTDASVQSVKFLEELGKLTNLRELVICWDDREVDKASCKREKLVSTLCKLDACKLRNLELRFHLREDGGFIGHASFPALSSIRSITIRHGELRWFTKWLLSLVNLEKLYLSYEVKIEQQDVELVGSIPSLLEFYVDNPSAGPIIISNSGVGFQQLRRLALDFNVTGLTFEAGTMPNLTELFLSIQGRHYRSAAGGGLDDFGLQHLSSLSHVLVYINCSGARAADVKTAEVSVKSMVEAHPNRPTLQMERVSAEDMLKDNDEIAM* >Brasy8G298700.3.p pacid=40082649 transcript=Brasy8G298700.3 locus=Brasy8G298700 ID=Brasy8G298700.3.v1.1 annot-version=v1.1 MAEILVSASTGAMGSLLGKLGTMAFLIVMADVEKPDPQAKLRVDEVREMSYEIEDSIDKFMVLLDREPSSMSDGFRKLFSKSMETIKNIKTRHKIGKEVKGIMSQVKEISDSYTRYMNNEYSKPKNERVDPRLRAIYKDASELVGVDGPRDELANWLSNKEGELVNKTKVVSIVGCGGLGKTTLAKQVYDKLGRNYECRAFVSVSRSPNMTMVLSSILSQLRNQDYAHAGDPSLIIEQIRNFLQDKRYFIIIDDVWDKQTWQDLNCALVRKDHDSVIMITTRQHDVAKSCCPSDEDLVHKIQPLGVADSKKLFFERIFGSEEKCPPNLKKAPEDILKKCGGLPLVINAISSLLATGKTDEEWNRVRRSIGFSHGKNSDIDAMNYILSLSYFDLPLCLRSCLLYLTMFPEDFEIGRETLVHRWISEGFIHGEDGEDLVELGMTYFYELVNRSLIQPIHIRYNGTAWGCRVHDTILDFLTYKSTEENFGMLFNNRLKSGTRVRRLSLMGKEDQESVDKLDLSHARSLVAFGYSQEYLPSLVKSTALRVLDVSGRTGLGNHHVKDIGRLLQLRYLDISRSTKITELPREIGDLKYLETLDVCATQLHELPESVTRLKRLARLFVSAEVKLPDSIGNMENLQDLRFTDASVQSVKFLEELGKLTNLRELVICWDDREVDKASCKREKLVSTLCKLDACKLRNLELRFHLREDGGFIGHASFPALSSIRSITIRHGELRWFTKWLLSLVNLEKLYLSYEVKIEQQDVELVGSIPSLLEFYVDNPSAGPIIISNSGVGFQQLRRLALDFNVTGLTFEAGTMPNLTELFLSIQGRHYRSAAGGGLDDFGLQHLSSLSHVLVYINCSGARAADVKTAEVSVKSMVEAHPNRPTLQMERVSAEDMLKDNDEIAM* >Brasy8G002000.1.p pacid=40082650 transcript=Brasy8G002000.1 locus=Brasy8G002000 ID=Brasy8G002000.1.v1.1 annot-version=v1.1 MMRGRRSGVVVVVILVLLLAVVHGEKMDVVDDGSSISLPVTPPTVPESAPERRSHFRAMAAKDLARHRQLAERSSRTRRQLVVAPSEEELTSSTSTATTLEMPVQSGMGIVNVGMYLVSVRIGTPPLAFSMVLDTANDITWINCRLRRRNGKHHGRPSPSSAAMEPEMDAPLVKKTWYRPSLSSSWRRYRCSQRDACGSFPHNTCQSPDHNESCSYEQMYEDGTVTRGIYGRETATVPVSGAGEGLLLLPGLVLGCSTFEAGAAVDAHDGVLTLGNHAVSFGTVAAARFGGRFSFCLLHTMSGRDTFSYLTFGPNPALQANAMPMPMPMEETSLVYSPDGAPAFGAGVTGVFVDGQRLAGIPPEVWDHAVLGGGLNLDTGTSLTGLVDPAFEAVRAAVDRRLGHLQKEEVDGFDVCYRWTFGDGAGDEGVDPAHNVTVPKVAFEFDGGARLEPVARGIVLPEVVPGVACLGFRRRELGPSVLGNVHMQEHVWEFDHLAGKLRFRRDKCTSHGGQPPPSPSPSSSSSSPIPPAT* >Brasy8G219200.1.p pacid=40082651 transcript=Brasy8G219200.1 locus=Brasy8G219200 ID=Brasy8G219200.1.v1.1 annot-version=v1.1 MAQIIAAEVAALSSAHNIVPGLAVVIVGSRKDSQTYVNMKRKACAEAGIRSVDVDLPEDTSQAALVAEVHRLNADPSVHGILVQLPLPKHINEEHILNEISIEKDVDGFHPLNIRELAMKGRDPLFLPCTPKGCMELLSRSGITVKGKQAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPEAIVRQADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSINDPTRKSGYRLVGDVDFVEASKVASHLTPVPGGVGPMTVAMLLKNAVDGAKRGMVL* >Brasy8G160700.1.p pacid=40082652 transcript=Brasy8G160700.1 locus=Brasy8G160700 ID=Brasy8G160700.1.v1.1 annot-version=v1.1 MALPDELVEEIFLRLLPDEPSSLLQASLSSKTWRHQISRPEFRRRIYEIHQNPPLLGFFKTTRLAITSQPCLFIPATAHGLSLVPRSRGRDWSFLDYRHGRVLLYATTDHGYQLLAPVYKSSCTSFSAAVVCASDGCDHHDSHMEPFRVVFVSSCDTPMAPSTWTYEYSSETAVWCELSVVLAGLAVSTRPVTLVGNSLLCFLCTLPYFHERHCVLEYDFTGLSIRKLILPETWTFRSGNVGLMQAKNGGLGVVKVYYSDATEIFSITQWTIDGSVWVRRKIHLENPDPLNALSLSYKLGLQGPRGLFYLHHDFFL* >Brasy8G117000.1.p pacid=40082653 transcript=Brasy8G117000.1 locus=Brasy8G117000 ID=Brasy8G117000.1.v1.1 annot-version=v1.1 MAAGASLLLLLLLAVAGALVPPSAAEIRSESFREDPRQSILFEKFGFSKSGAVRIILTGAAVSSSFARADPKQIGFFLLADESLLQAISESRERPAPEKRAEDPTGADEPDLSGCVLSSPYVKTLFTFHDMEGGHYNKSFPVTHPDEYSLFFANCAPESLVTMNVRTEMYNVNPADGSKDYLPVGQAPLPAIYGFFAFCYAAFLAAWGYLTLVSRDRASASNQIHHLMTALLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIVLVGTGWSFLKPFLQDREKKVLMVVIPLQVMANIAAAVIGETGPFMQGWVTWNQILMFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARNLSKLALFRQFYTVVIGYLYFTRIVVYALRTIASYRYRWASILAEEVATMAFYMFMFYTFRPAERSKYFSLDDDEEEAAEMVLREEEFEL* >Brasy8G088700.1.p pacid=40082654 transcript=Brasy8G088700.1 locus=Brasy8G088700 ID=Brasy8G088700.1.v1.1 annot-version=v1.1 MSTTESRRLSDDYEVVDVLGRGGFSIVRRGVSKSEGNIQVAIKTLRRLGPAMMGMQQGSKGAPSSGLPVWKQVSISDALLTNEILVMRRIVENVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIIGRDRYSEFDAAAVISQIASGLKALHKANIIHRDLKPENCLFLDRKENSTLKIMDFGLSSVEDFSDPIVALFGSVDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQEHTWKTISSSAKDLISSLLSVEPYKRPTASDLLMHPWVIGDCAKQDLMDAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRSLLGTHDLTSEELDNLRLHFSRICADGENATLTEFEQVLKAMKMDSLAPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDTDRSGCISKEELASMLRALPEECLPGDIAEPGKLDEVFDEMDANGDGEVTFDEFKAAMQKDSSLQDVLLSSLRPPAPGHQQ* >Brasy8G122500.1.p pacid=40082655 transcript=Brasy8G122500.1 locus=Brasy8G122500 ID=Brasy8G122500.1.v1.1 annot-version=v1.1 MATADPNRAFMKDVKRIIIKVGTAVITRNDGRLALGRIGALCEQVKDLNSQGYEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQNELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFDNSNFRERLCETVESLLELRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVDGLYSGPPSEPSSKIIHTYIKEIHYQEITFGDKSRVGRGGMTAKVQAASLASGGGVPVVITSGFDSQSIVKVLQGGKIGTLFHKNASLWEPSKDASVREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADIAAAQEAGYETSLVSRLTLKPGKIASLAKSIRTLANMEDPINEILKRTEVADGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITNAIPGNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDMAKRIVLDAKIDYPAACNAMETLLVHKDLAKTPELDDILLALKTAGVNIYSGPVAHKVLGYPKADSFHLEYSSMACTVEFVDDVQLAIDHIHCYGSAHTDCVVTTDDKVADSFLRQVDSAAVFYNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGKGQVVNGDKDVAYTHKNLPLQSGPLLLDQDSVKTL* >Brasy8G121000.1.p pacid=40082656 transcript=Brasy8G121000.1 locus=Brasy8G121000 ID=Brasy8G121000.1.v1.1 annot-version=v1.1 MGAHHRLLRCASPACLLFAFLLAVAPGLTAGLTRRYTFNVTMATVTRLCLTKSIPTVNGQFPGPKISVREGDRLIVNVHNNINNNVTFHWHGVRQLRSGWADGPAYITQCPIRPGQSYVYNFRIVGQRGTLWWHAHFSWLRATLHGPMVILPPRGVPYPFPKPYREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSAKSTYKLKVKPGRTYMLRLINAALNDELFFAVANHTLTVVQADASYVKPFTAATLVISPGQTMDVLLTAAASTASPAFAIAVAPYTNTVGTFDNTTAIAVLEYSPQRPAMLRNLPAPALPLYNDTNAVTKFSSLFRSLDNARYPAQVPMTVDRHFFFTVGLGADPCQSPINGTCQGPNNTRFAASINNVSFIMPKTSLLQAHYQRMYKGVLMANFPTMPVRKFNYTGKPPNNTFVMHGTRVVPLAFNTTVEVVLQDTSIQGAESHPLHLHGYDFYVVGTGFGNYDANNDTAKYNLVDPVKRNTISVPTAGWVAIRFIADNPGVWIMHCHLDVHLSWGLSMAWLVNDGPLPNQKLPPPPADIPKCS* >Brasy8G294700.1.p pacid=40082657 transcript=Brasy8G294700.1 locus=Brasy8G294700 ID=Brasy8G294700.1.v1.1 annot-version=v1.1 MLGYYQFQAKENQYRAEDLSYLIFHDLNEVRPLPDSLEKSRPMITHM* >Brasy8G243000.1.p pacid=40082658 transcript=Brasy8G243000.1 locus=Brasy8G243000 ID=Brasy8G243000.1.v1.1 annot-version=v1.1 MNRGEFQSSLVQQMIWSGTGNTTAGSLKPCHEEQAEASPNMPPLSSPSMLFSQQFPHSSSGLVHMNGGSTPLPSLHDGNAGSQESHMPESWSQLILGGLVGDQERYSTTTALLSKGLENWGDHQQAAAAGSACMVGMKEEGSMAQSGSGAAAPYNFYGSHLAAGDSHEIQAKSQLSQMIMASSPRSCVTTSLGSNMLDFSNSAAVPPPPPPAELRSHHHHHSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYVRFLLGQIEALSYPYMGHGANGTSLQNGPTGEKNPGLFPEYPGQLLNHNNNTGGVQQQAAGQPEPQGAVSDEASKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR* >Brasy8G267000.1.p pacid=40082659 transcript=Brasy8G267000.1 locus=Brasy8G267000 ID=Brasy8G267000.1.v1.1 annot-version=v1.1 MMEPSSGLDVLKPLPLLPSPPAPLLMAPPPPISIWGESMSGVGLGSPGSDLRSVAPSVTHHLQRLPWLQNQQVRLPPTLFAMSTYGVKSMENQMEIYERILRREISVERREARVDGCEVRADKRDDRADKRDIRADEKEVSLAAAKAELDRQWALYEESAKKRAKATNSGSNADSKEGAESKQGHTSSKDKQSSGSHEGQAAATKDKATTGGDFGSGSQEGQAAATKDKATTGGGKSDYFSKALDVVQTGNNISNVLDSMTRIPQRIAKYKETYMKNLELTNTARERFSEVATGALDLAKRAIKEILKSQSDE* >Brasy8G284400.1.p pacid=40082660 transcript=Brasy8G284400.1 locus=Brasy8G284400 ID=Brasy8G284400.1.v1.1 annot-version=v1.1 MEATVVSVGKAVLDGALGYARSKAADEVALQLGVEGDVTFISDELEMMQSFLMTADEERGQHKVLATWVKQVRDVAYNVEDSLMDFSLYPEKKASWWCSLHTVGDRRRIAKEVKELRAMVEDVSNRNLRYRLIKESYGSKPSAAEEQASIATAAMFGINEARLADLEKEKSEMDLHQLITSEEEELRVIAVWGTSGDLGKTSAIQEVYDDPKVLEKFGFCAWIRLMHPFKAKEFIQCLVRQFYENFPEKHAETWKRKTTGVNVLMKMENMTESEMVDVFDAQVSENSYLIVIDDLSTIVEWSCIKKFFPDNKKQSRIIVSTQQAEIASLCTEKPYQVSVFKQLSSDQTLYLFHHHKVIPASSSAVPISDSIKATTAKNDRPLPTNEIQEEDQGPKDAGRGKVSASTDGKKFHRSRTMTLTDEVLTGRVTEKSKVIGLIDPTKGEEDRKVISVWGMGGLGKTTLVRSVYRSQQLSGWKRAWATALRPFNPEVLIRSLALQLQKDVQEDPTGAAVTGKQKENIAVMKLQELKEELNWLLNTQKCLVVLDDILSTTEWDLVENCLKNARRVVVTTREENIAKHCSSDDKNMYSLEGLKDDDALELFKRKLCASVAQPRPMHHRRQPPSLEPCATETCHTSHAHPCGLSQLHGSS* >Brasy8G062900.1.p pacid=40082661 transcript=Brasy8G062900.1 locus=Brasy8G062900 ID=Brasy8G062900.1.v1.1 annot-version=v1.1 MPWRLAREGEPLGEARSVQCECCGMAEECTPTFIGRVREHFQGKYVCGLCTEAVKERQQRDGGHVMTVRAAVEAHAALCQRFNSTVRLNPKLSLASSMRDIARKSCQHRGRRGANSASSSCCTTGANGGTGVIVNKPPACCNGGGRAAGAASRALPYV* >Brasy8G083600.1.p pacid=40082662 transcript=Brasy8G083600.1 locus=Brasy8G083600 ID=Brasy8G083600.1.v1.1 annot-version=v1.1 MGFSPPVPQQDSNWEIRLAVLISLLLQVLLIFVAPVRRRSSSSVPRFIVWSCYLLADWVADLALGLLLNNLGSIGGGGSSSPVSSLACTTTAIGETSVESGTTSPIIFAFWAPFLLLHLGGPDTITSYSLADNELWIRHLVGLLFELTAAAIVFFCALRCNPMILATVLMFVVGIVKYGERTYSLYFASVEPDPGPEYANRRIDIFGGPQGTRRRHGSFEDLEKMSVEAQGYEFFRIFRFLYVNLILSFVSERRISHAFFLTRADSREAFEVVEAELHFLYDVKYTKAPVIHTRTGYALRFLCSCCLGASLLLFVRIDKRGVVLPVDVGITYALLVGAVLLDAAALSMLLASNWTMVFLEGSGRFTWLVGAARRLWRPRRRWSETITQMNLISYSLGRPEKGRGFLSPRLLWRVVNAADLLRVRVIIEDFIFVKREPLSCSSSNGGGQKYIFDFVFARLKEMASKSQSMEEIRKACELRGTGIIDQKLGEHGSMTIRNSVSRGFDESVLLWHVATHLCLAKDPAADDVTGSSARERLIDTTRISKCLSEYMLYLLIKQSDMLSAVAGIGLIRYRDTCSEARLFFESIGVSRSHFLGDEEACRILLSRLNQATEDVEKPSTGVKDKEEDSDESRSVLLDALMLAAELNKLDEETRWQVVAGVWGEMLTFVACRGRGNTHVQQLSHGGELVTMVSFLMNHLGLGNMF* >Brasy8G083600.2.p pacid=40082663 transcript=Brasy8G083600.2 locus=Brasy8G083600 ID=Brasy8G083600.2.v1.1 annot-version=v1.1 MGFSPPVPQQDSNWEIRLAVLISLLLQVLLIFVAPVRRRSSSSVPRFIVWSCYLLADWVADLALGLLLNNLGSIGGGGSSSPVSSLACTTTAIGETSVESGTTSPIIFAFWAPFLLLHLGGPDTITSYSLADNELWIRHLVGLLFELTAAAIVFFCALRCNPMILATVLMFVVGIVKYGERTYSLYFASVEPDPGPEYANRRIDIFGGPQGTRRRHGSFEDLEKMSVEAQGYEFFRIFRFLYVNLILSFVSERRISHAFFLTRADSREAFEVVEAELHFLYDVKYTKAPVIHTRTGYALRFLCSCCLGASLLLFVRIDKRGVVLPVDVGITYALLVGAVLLDAAALSMLLASNWTMVFLEGSGRFTWLVGAARRLWRPRRRWSETITQMNLISYSLGRPEKGRGFLSPRLLWRVVNAADLLRVRVIIEDFIFVKREPLSCSSSNGGGQKYIFDFVFARLKEMASKSQSMEEIRKACELRGTGIIDQKLGEHGSMTIRNSVSRGFDESVLLWHVATHLCLAKDPAADDVTGSSARERLIDTTRISKCLSEYMLYLLIKQSDMLSAVAGIGLIRYRDTCSEARLFFESIGVSRSHFLGDEEACRILLSRLNQATEDVEKPSTGVKDKEEDSDESRSVLLDALMLAAELNKLDEETRWQVVAGVWGEMLTFVACRGRGNTHVQQLSHGGELVTMVSFLMNHLGLGNMF* >Brasy8G218200.1.p pacid=40082664 transcript=Brasy8G218200.1 locus=Brasy8G218200 ID=Brasy8G218200.1.v1.1 annot-version=v1.1 MGWPATRGGEAGGAGSRVGAAGGAGVGLGRLTALGSGRVGAALGLGWGGRRRSMGRPAVLVFGLGRRWALGVGMGRRCGARFGGRLGLGLGWARDGDMVQRELGRRERQMRIICAY* >Brasy8G023800.1.p pacid=40082665 transcript=Brasy8G023800.1 locus=Brasy8G023800 ID=Brasy8G023800.1.v1.1 annot-version=v1.1 MAVGAMDTAGGEEHRYGGRITGVVVMSCATAAMAGAIFGYDLGASGGVSSMEPFLREFFPGVYRRMKSGGGGGVSNYCKFDSQLLTLFTSSLYISGLLTAMLLASWVTATRGRRPSMIIGAIACLAGAAIGSGAVNLYMAILGRALLGVGLGFANQAVLLYLSEMAPARFRGAFSNGFQLSLCLGSLAATVVNYGAEKITAGWGWRLSLALAGVPAILLAVGAIFLPETPNSLVQQGKPRGEVKALLQKIRGVEAVDDELDDIVAAAASSSSRADNNGMRLLVTQRRYRPQLAMAILIPAFTQLTGINAVGFYAPELLRTIGMGESASLLCTVVTVVVFTASTLVFMCFIDRFGRRALLLAGGVQMLLSEALIGVIMAAKLGDEGGLSRQYALALFVLIGVYVAGYSWSWGPLTWLVPSEIFPLGVRSAGQSVAVASGFVFTIFIAQCFLAMLCRMKAWLFFFARWIAVMTTFAYLFLPETKGMPIEQIGKVWEEHWFWKRVVGVEEVQVGEKL* >Brasy8G257800.1.p pacid=40082666 transcript=Brasy8G257800.1 locus=Brasy8G257800 ID=Brasy8G257800.1.v1.1 annot-version=v1.1 MLHVVARLHVCANEKDREQGAGSPSLLGPNPPHGKSPTPATSFFPSLLATPCSWPSTATKDSLRRLEGRSALWRVNRLFWKTPRRTRPDSSARALLDSPLPRCRLGYHALHRAARRRSLLPASFHPLPRRRRASTYASPATSPPLLAGQISAFHLKIEPWRWRCLAIRWRKCQIRWRGRSISRCCPRRKLDRSLHLRPGEARSVAAARSEADDYIFDDRAVVQSCDGCYRLTSCCDNKLRKGCSLLAA* >Brasy8G151400.1.p pacid=40082667 transcript=Brasy8G151400.1 locus=Brasy8G151400 ID=Brasy8G151400.1.v1.1 annot-version=v1.1 MGVTPNLSYLCQTMDTTDASDKHLESYLTLGLTVSQSKRGDTKFPKVLSLLAAYLGRAVQKTEELLDSNKRKESPTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYIERYLQQPHVYMTSFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKQAAAFAPEKPPVQIYCVNGSKDLSYSGSADDFCQSELVRQRYNSQALQRCS* >Brasy8G151400.3.p pacid=40082668 transcript=Brasy8G151400.3 locus=Brasy8G151400 ID=Brasy8G151400.3.v1.1 annot-version=v1.1 MDTTDASDKHLESYLTLGLTVSQSKRGDTKFPKVLSLLAAYLGRAVQKTEELLDSNKRKESPTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYIERYLQQPHVYMTSFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKQAAAFAPEKPPVQIYCVNGSKDLSYSGSADDFCQSELVRQRYNSQALQRCS* >Brasy8G151400.4.p pacid=40082669 transcript=Brasy8G151400.4 locus=Brasy8G151400 ID=Brasy8G151400.4.v1.1 annot-version=v1.1 MDTTDASDKHLESYLTLGLTVSQSKRGDTKFPKVLSLLAAYLGRAVQKTEELLDSNKRKESPTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYIERYLQQPHVYMTSFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKQAAAFAPEKPPVQIYCVNGSKDLSYSGSADDFCQSELVRQRYNSQALQRCS* >Brasy8G151400.2.p pacid=40082670 transcript=Brasy8G151400.2 locus=Brasy8G151400 ID=Brasy8G151400.2.v1.1 annot-version=v1.1 MDTTDASDKHLESYLTLGLTVSQSKRGDTKFPKVLSLLAAYLGRAVQKTEELLDSNKRKESPTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYIERYLQQPHVYMTSFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKQAAAFAPEKPPVQIYCVNGSKDLSYSGSADDFCQSELVRQRYNSQALQRCS* >Brasy8G151400.5.p pacid=40082671 transcript=Brasy8G151400.5 locus=Brasy8G151400 ID=Brasy8G151400.5.v1.1 annot-version=v1.1 MDTTDASDKHLESYLTLGLTVSQSKRGDTKFPKVLSLLAAYLGRAVQKTEELLDSNKRKESPTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYIERYLQQPHVYMTSFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKQAAAFAPEKPPVQIYCVNGSKDLSYSGSADDFCQSELVRQRYNSQALQRCS* >Brasy8G180800.1.p pacid=40082672 transcript=Brasy8G180800.1 locus=Brasy8G180800 ID=Brasy8G180800.1.v1.1 annot-version=v1.1 MDYRATTSNMDYRSSGGRPRCRGEVAVDSPPPSVVRSGGAPPLVDLRGAVARSDLLPKLRRYCLSLLLRLGSYTTTSSWGEMEPRGEREREKGGAAQRRRLFARELLAAVRGEVRGAPPLGRRRRGAAVVARVREEGCCCCSWEEEEEGS* >Brasy8G266800.1.p pacid=40082673 transcript=Brasy8G266800.1 locus=Brasy8G266800 ID=Brasy8G266800.1.v1.1 annot-version=v1.1 MGQQQQGEEGAEGRTLLPTSTAAAGGTSSSSPATARRLRTAALVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACAVFSYAFSISSASSSSRAPAAAAAMSRGQAARVALLGAVFCGSVVAGNVSLRHIPVSFNQAVGATTPFFTAVVAYAVAKRREAKATYAALVPVVAGVVIATGGEPSFHLLGFVMCVGATAGRALKTVLQGILLSSEEEKLNSMDLLRYMAPVAVLLLVPATLVMEPNAVGAAVALAQEDSSFVWMLLFNSSLAYLVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTVVGMLGYGVTIAGVVLYGEAKKRSK* >Brasy8G266800.2.p pacid=40082674 transcript=Brasy8G266800.2 locus=Brasy8G266800 ID=Brasy8G266800.2.v1.1 annot-version=v1.1 MGQQQQGEEGAEGRTLLPTSTAAAGGTSSSSPATARRLRTAALVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACAVFSYAFSISSASSSSRAPAAAAAMSRGQAARVALLGAVFCGSVVAGNVSLRHIPVSFNQAVGATTPFFTAVVAYAVAKRREAKATYAALVPVVAGVVIATGGEAQLHGPAPVHGAGGRAAAGAGDAGHGAQRRRRRRGTCPGGLQLRLDAALQLLAGLLGQPHQLPGHQAHQPAHSPGPWER* >Brasy8G014900.1.p pacid=40082675 transcript=Brasy8G014900.1 locus=Brasy8G014900 ID=Brasy8G014900.1.v1.1 annot-version=v1.1 MEHGDMLVDILRHLPPLGLAVSRSVCKAWRAVVDDHRLLRADLLPLSLDGVIYDKNHSNMALFARRPTVNAVTVRMDYTSDDPHYADDTGDEVLDCCNGLILLRTLVVGNIATRQWAKLPDPRSCSCPWGRPSCHVNGYLAYDPAVSPHYEVLFVPRAPRDLPAAEASATEWPPSRYAIHVFSSETKCWRERSFVRQGDDAPGTVHSCRNSDRDLYCAAYWQGSLYLPFVQKRANFVLRVNLTNDKYQIIELPNRAKRWGSYRIGKSKKGVYCVVDYPRCKFQIWHLYEKTLEWVFKDEIILESISRKYPRTCHDNGPWIMQESDDMDWLLKTDVDLKFINEYNQALAKDDFQWDSDDENAVAVEDCTEKCPRGYELFCLGLHPYKEIVLFHDELDTYSVFAYHLNSSKVRYIGKMNVPATNDDIEVSFPYSPCWTMDLPGSN* >Brasy8G143200.1.p pacid=40082676 transcript=Brasy8G143200.1 locus=Brasy8G143200 ID=Brasy8G143200.1.v1.1 annot-version=v1.1 MLPAASRATSIIRRRLLPASQRPAPRARAGAPPPPRAVSHFPTSAGTVGEREEPWRLPATCSTPSSFFPTVPPPASPAPCRLPLPSPPFVTRPTPMQRQVCGLSPPRLLGFDDGVLRPGRIGDRVSSSFGTMRVGLRSLTSTSSSTNVTYSHRCSSTYSTSCATKPEVLASETPSSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRVANLFVTSGVDKIRLTGGEPTVRKDLEDICLHLSDLKGLKTLAMTTNGIVLSKKLPRLKECGLNALNISLDTLIPAKFEFMTRRKGHSKVMESIDTAIELGYDPVKVNCVVMRGMNDDEICDFVELTRHKPINIRFIEFMPFDGNVWNVKKLVPYAEVLDKVRQHFNGVERLQDHPSDTAKNFKIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIHSGVDDAGLKEIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG* >Brasy8G012500.1.p pacid=40082677 transcript=Brasy8G012500.1 locus=Brasy8G012500 ID=Brasy8G012500.1.v1.1 annot-version=v1.1 MAALRHAARRLVGGQPPLAIHRAVASPLVEEERRHLLPRLIHGRQPALLRPMSATAHPSNGQTPEQPCGKPRPELDEKRKYALRQITEIEQKKHELFYLLADLDNELLPRNKYAVYNRELMHQLFKHVEPDPSDPLWCLNQRRNRINNCVIYGMPTLLAIWMALDWDGWKDLFAYLLGISH* >Brasy8G290000.1.p pacid=40082678 transcript=Brasy8G290000.1 locus=Brasy8G290000 ID=Brasy8G290000.1.v1.1 annot-version=v1.1 MGGDEFSERTVEFTPRRYKYDEEEICLKEPAMGVNPLDPRFSEYDPKQRKYIYTRFFYRSNLDLDQESPVGPMRYTDKEFREGFLSANSANVVSIKIVSSDYGYPLNVFGTIIARDSLDDKCIYLFHRGMDNCQIISSKDNSLILTGPKRGFMVCDAIFFEIDLKVKDVHGRKVKDERLSKGLIEVDGILRLSYSSICELETETLVSMHSILDLSYNESVDQFRGKIVAGTTSIPCEIVLHDSRVNGVLAAGENGVMETALRVVNVSVDEMLLLTVFAASEGGDEFSAGTIVQFTTRRNGYEQKRITYRDYEMQVKVTWSIMYF* >Brasy8G042600.1.p pacid=40082679 transcript=Brasy8G042600.1 locus=Brasy8G042600 ID=Brasy8G042600.1.v1.1 annot-version=v1.1 MESSPPSSPTAAAEAAAEAVAAMQVESPASSAARSRDNRLLFDLPSSWGYRKPMAFCQSAPAAAGEPQPKSSRSPTKAAAAAADEECPRKQSYLLRDRRAGRDFAEEAGETRKLWNKDGGASGSGRFSLQLTKQEIEEDFLRMTGRKPPRRAKRRPKNAQRLINTLCPGESLPEVNRDRYKVNEKGGF* >Brasy8G230100.1.p pacid=40082680 transcript=Brasy8G230100.1 locus=Brasy8G230100 ID=Brasy8G230100.1.v1.1 annot-version=v1.1 MASPPPPLPCFLPFLLLSTSALMPARRGRTAAPEVPWHVVRAGVWRGLAKRRWPTGEAASLASLRWSGAGRRRGCGGGWPELSSVPRQEKL* >Brasy8G251000.1.p pacid=40082681 transcript=Brasy8G251000.1 locus=Brasy8G251000 ID=Brasy8G251000.1.v1.1 annot-version=v1.1 MEKKFTVTRTSKSLVPPSSSSPTPATEDDAAPPLIMRLSTIDRVPGLRHLVLSLHAFDGHGVSAGAGEDGEERRGRPAAVVREALGKALVDYYPFAGRFVVDEEGEVGVKCSDEGAWFVEAKAECSLEEARHLDGNPMEMVIPKEDLLPEPMPGVDPLDVPLIMQVTEFTCGSFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVSPVWARDLIPDPPKMPAPPPKLELLDLRYFTVDLSPDHIAKVKSQYFVSTGHRCSAFDVVVAVTWQSRTRALRLAGVGYDEVHVCFFANTRHLMLHGGTGGFYGNCFYPVRATCGSAEVASADVVGVVKVVRDAKARLAGDVARWAVGGFEQDPYELTFTYDSLFVSDWTRLGFLEADYGWGPPAHVVPFSYHPFMAVAVIGAPPKPKLGSRVMTMCVEEAHLPEFRDQMNAFAFAPGK* >Brasy8G113600.1.p pacid=40082682 transcript=Brasy8G113600.1 locus=Brasy8G113600 ID=Brasy8G113600.1.v1.1 annot-version=v1.1 MERGLQRAYAHLIRQESGKGQLVLQLPAATLVVQCERFDAERILLGDLDKPQPAVADPHAPVLDKLPHLCLSLLVRKHAGSRRLDGAELRPVAERVDADRAPAHVHPPEPAAEVQHLVIHELTPAVDHAEVSGGVPPGRSVAAVGFGEEARGGVVRVLCAVDVREAEELTGDRVADEARHAHRVAGGARVHMVEDLERGGVRHLVHEHAPSPGAVLENTKQEEAVAARGEDAAAEDVDEFAVGAGVRTGGLPGGGDLGQERGRDAGSEGVGEVAAGAGSGRSGVQTEGLLGDGDARGERGRGEREGHGSRGFVRRRDPVNQRPGRARGAGGEGPAKAAGEATERGQHSGDERFRGGRKQGRRGRGFCACGEI* >Brasy8G204200.1.p pacid=40082683 transcript=Brasy8G204200.1 locus=Brasy8G204200 ID=Brasy8G204200.1.v1.1 annot-version=v1.1 MADGGYSGFCLSRCTTAKTKAADEEHKEPKKMKMTEMTAEEEMQLGRRVVDGKTGVEEAAGCPCCLPRSASITTTRTSSSPSGSARSCTTASAVPRTTSAGTSTPRDTLSDGSPTTREKMETELELATSPMLDCWVFSSSKGQFVYPWGLMGNAGIIVQFPFNPLQNRQGIKNPNGA* >Brasy8G199300.1.p pacid=40082684 transcript=Brasy8G199300.1 locus=Brasy8G199300 ID=Brasy8G199300.1.v1.1 annot-version=v1.1 MNDLLTDSFVGAAARAQQDGGASSVEDEKLQAFLAEAEAAKADMAALRDELSRLQSAHYEASNSLLLLRAPADATQAALVRLLGSARRLRARLASMDRRAPAPAAHAAAGLRDRLRDLTAGVQALRRQVSADRRADAAAGEAPTEDQLDRILLVSGAGGGATATDEAMGPSMLSSSEEAEQVEGGLLELQQLFLDMAALVESQGPRLGDIERHVAAAAQDVGTAEAELREARQLQGAARRRKMCLAGGLAAVLLVALAVAAVVVALALARRGGGSNSVGLAGAGVSGLLDLPL* >Brasy8G276100.1.p pacid=40082685 transcript=Brasy8G276100.1 locus=Brasy8G276100 ID=Brasy8G276100.1.v1.1 annot-version=v1.1 MDNNYDLKSFTQKDIEDITNGYRSMLGEGGFGKVYKGRLLHNQRPVAVKRYINGTKKEELAKELIVHSQINHKNVVRLLGCCTEENALAIVMEFICNGNLDNILHCCNANGHVPFPLDRRLDIAIESAEALSYMHSMYSPVLHGDIKPANILLDENLRPKISDFGIARLLSADEAQHTRTVIGCIGYMDPLFCQSGILTPKSDVYSFGVVLLEMLTRKKPAGGTNLTQSFIEALKKGKKVRQMFDGEIAREKGNIKLLEGISKLAAECLILENKKRPEMVEVADRLRTIRKVLHQRKGRNSTGTDTVVLNRSGNAEDVLFPTPISVNATDKIPPSVVPTISMDELKEITRNFSNDTLIRVGSCSKVFFGVLKDGQKSAIKKLDPVVEQTRKINPVKGISLEVSVVSTFKHENVIQLLGYCDDGDNRALAYEYAPGGSLHDMLHG* >Brasy8G284700.1.p pacid=40082686 transcript=Brasy8G284700.1 locus=Brasy8G284700 ID=Brasy8G284700.1.v1.1 annot-version=v1.1 MEWFDHTVWLEKIESVWILFGLWNEQEIGTVLLPPHLLPQLRRAALYLRRPPPPDCRPAALPLLRHRTAPSPPCISGAAAPPAPHRRPSRRNATRRSSGCRDAPTPPAAAPVAITRRHRSPQTGRSARRCSGRNEGAPLPSVASVASLVVRLEEREREREREREREVSVRCCSDRHDAPSWSRGGPPPLLLRPVRRALEVRTAPSLLPGRRRRREERSRREERKGRGREKGRRTGPHISGRTK* >Brasy8G148200.1.p pacid=40082687 transcript=Brasy8G148200.1 locus=Brasy8G148200 ID=Brasy8G148200.1.v1.1 annot-version=v1.1 MTKISIEFCIISARGLGRKSSLLKPQWFSVGWVDPNSKYCTKVDTSGNSDASWGTKFSLSVEEHDLALQQMELTVDVYRREPIFLREHLQGAVTVQMKEYLDKFARSEEHNGVIEDTGSFQLRRKKSDKAHGFVDISIRICKEKDDHGQFSGSGEGLKYPDQVGITLAIEDGPVYSYPPLPSNHYKGHTEDVDHYSNDMPVTPRTRSDPPPSRSSYSYQPPMVPSTLPPPTSYPSFFPPQYTGRDQVPQNYINIPPRKSAGQNGGTNFGMGLGAGALVAGTMIFGETLLPGSSFSAGIDGPSLSVSNHAPL* >Brasy8G123300.1.p pacid=40082688 transcript=Brasy8G123300.1 locus=Brasy8G123300 ID=Brasy8G123300.1.v1.1 annot-version=v1.1 MATEWSDGVDEFLLPDEFLDDNFFSEEEKAAVAARSQSDEECMAGLSCRLAGLLADDAFAAPPPKEEMMAQSPKSTLCGLPKSGQESPEGGASQGNSPPSSPLEQQPTDPWDLLYEAAGQEERIQMTHRNPYGFQDPVVFAPPARQSSPPPPTPPMAGAPIPAGAYYHPFAHFVTQRQILAARFHLLKQQQLLKQQREHQLAAAAAWGVRRNAAVKRAAAAPLDLSPAAFPPLLKTQHAPAPHPGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKKKPSCSTVLVPARVVHALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMLASQKVRAAEPVPSPPQALCHSS* >Brasy8G178600.1.p pacid=40082689 transcript=Brasy8G178600.1 locus=Brasy8G178600 ID=Brasy8G178600.1.v1.1 annot-version=v1.1 MEALVVRQLGDPTLPPGGDDSPFGPISGDQPVPELSSPTSVRVRVAATSLNFANFLQVQGKYQERPPLPFVPGSDYCGVVDAVGPGVSRFRPGDRVCSLASVGSFAEFIIAEEKGLFVVPDGCDLVAAGALPVAFGTSHLALVHRAQLKAGQVLLVLGAAGGVGVSAVQIGKVCGCIVIAVARGIEKLQYLKSIGADHVIDSSKEDVIGNAKSFLKSRGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILLIGFASGDVPVIRANIALVKNWTIHGLYWGSYLIHRPAVLVDSLNELLSWLSKGLITVQISHTYRLPEAHLAFAALRDRKAVGKVMIVMGSSAKSRL* >Brasy8G031200.1.p pacid=40082690 transcript=Brasy8G031200.1 locus=Brasy8G031200 ID=Brasy8G031200.1.v1.1 annot-version=v1.1 MVDTHLAMLDIATITDVVSKILFGHQVPARRISLQPAYLAGRQSEIDGWLHSQSLDNLQEIEVTNIEVAHYKLPPTVPRFAPTLCTVKLNRCRFPNLVVPPCPKFPHLKQLILCEVIISEDSLRNMLSECTILDNLSLRDIIFGRLCISSPTIRSIIFNASWETSQEFVIENAPCLERLISYHPWSSPTAIRFIQGLPPPTAVRGKVAVSLTTKMHTMTILFLDSIGPNFDVVVHFLKCFPCLERLYVNGMNNLRKYNPLDPVECLEFHLKKVVLKSYNGNNKAAVDFAKFIILNAKVLKELKIEYHRRQLQLENRASQDAHVELIRSKPVVMDNRHGHDFSRADPWDIVE* >Brasy8G109500.1.p pacid=40082691 transcript=Brasy8G109500.1 locus=Brasy8G109500 ID=Brasy8G109500.1.v1.1 annot-version=v1.1 MAANKRAFLDFLDQDVGKGLYMQAVRDMVQNKGYRLTIGMDDLRNHNLDLARRVIRNPGEFMQPASDAVTEVARNLDPKFLKEGERVLVGFTGPFGFHRVTPRDLMSSFIWTMVCVEGIVTKCSLVRPKVVKSVHYCPVTGNFLSREYRDITSFVGLPTDSVYPTRLPKMVPSKCVIFANEPEEHATTKALQRRRVLLNTPSVP* >Brasy8G109500.2.p pacid=40082692 transcript=Brasy8G109500.2 locus=Brasy8G109500 ID=Brasy8G109500.2.v1.1 annot-version=v1.1 MAANKRAFLDFLDQDVGKGLYMQAVRDMVQNKGYRLTIGMDDLRNHNLDLARRVIRNPGEFMQPASDAVTEVARNLDPKFLKEGERVLVGFTGPFGFHRVTPRDLMSSFIWTMVCVEGIVTKCSLVRPKVVKSVHYCPVTGNFLSREYRDITSFVGLPTDSVYPTREKLRLFRIHS* >Brasy8G243800.1.p pacid=40082693 transcript=Brasy8G243800.1 locus=Brasy8G243800 ID=Brasy8G243800.1.v1.1 annot-version=v1.1 MRLGAVMGGGDEGAGVADGSNIWSSEGGGGWAKVTGVAYWDPRECLKNNVEVRNGEVKERSIVDNNLKLYTNTVSALEGENLGLTPDCPRRHRRGGNEEAGETKRLGKRR* >Brasy8G264600.1.p pacid=40082694 transcript=Brasy8G264600.1 locus=Brasy8G264600 ID=Brasy8G264600.1.v1.1 annot-version=v1.1 MHLHVQQRSNRGEQSHRFDGAEDWLLCSDRRAEDLQRSKSRRSGLFVHVTPLKGGDCGVGGCALSVDLREDVRRGWVWLRLPGIPRSGSIGLHGGNVKRFRRL* >Brasy8G048600.1.p pacid=40082695 transcript=Brasy8G048600.1 locus=Brasy8G048600 ID=Brasy8G048600.1.v1.1 annot-version=v1.1 MPPEKSPVSPVVCVQRVLISNKHGEKLVGFLHEACSKELVILCHGFRATKDDSILVNLAAAIAKEQINSFRFDFAGNGESEGEFQYGNYRKEADDLQSVVSYLSEQKYEIIALVGHSKGGNAVLLYASMYPDVSAIVNISGRFALEQGMEGRLGKNFMQRIRKDGYIDVKNRKGEFEYRVTEESLQDRLSTDTLLSSRSISKNCRVLTVHGSKDETVPVRDALMFAANIPNHELHIVAGAKHRYAGHEQELSSLVLDFIRPRPRAPPSLHPKL* >Brasy8G101400.1.p pacid=40082696 transcript=Brasy8G101400.1 locus=Brasy8G101400 ID=Brasy8G101400.1.v1.1 annot-version=v1.1 MPSSPSSRSPEITSFSSDSEESAPMIPGGFLVQLVSRDVSDGLLGKFADTSEFDFDYEKSGLWSPLVLRPEVLLLAQAAASARRRQRRWRWRKRRKMLCCCF* >Brasy8G003200.1.p pacid=40082697 transcript=Brasy8G003200.1 locus=Brasy8G003200 ID=Brasy8G003200.1.v1.1 annot-version=v1.1 MTRSCGEKTRSRGSMWTRSWSIKGGASTRHNLVAHMGTNEHGSEHEEQFQYNAEADCYVIKPSWTKNNGVYNLPGSLYRELLPHQIRGIEWLWGIHCQYPGAIVADDMGLGKTLQASMFLSGLYHSNMIKRVIIIAPLSVLDQWPEELEKADLKEKVHMYYKCDDTGLDAVWKNGGIVLTTYETYAKNYTKLMGVTRSPLGLYCFR* >Brasy8G030100.1.p pacid=40082698 transcript=Brasy8G030100.1 locus=Brasy8G030100 ID=Brasy8G030100.1.v1.1 annot-version=v1.1 MNGSGGGDGSGGEDQDVGGGGMRKPLLPNTGSWYRMTGPSSTRQQYSAGSSSMAVLGRESHVSALLCTLIVALGPVQFGFTSGFSSPTQDALIRDLHLSISQFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSAFLYLGRLLEGFGVGVISYTVPVYIAEISPQNTRGALGSVNQLSVTTGIFLAYLLGMFVPWRLLAVLGALPCTLLIPGLFFIPESPRWLAKMNLMDDFETSLQVLRGFESDISMEVNDIKRAVASANKRTTVRFQELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFKAAGFTNGDLATCALGAIQVLATGVTTWLLDKAGRRILLIISSAGMTLSLLAVSVAFFLKDNMSHDSHSEYILSIVSLVALVAFIIAFSFGMGAIPWLIMSEILPVGIKSLAGSFATLANMLTSFAVTMTANFLLSWSAGGTFASYMAVSAFTIVFVVLWVPETKGRTLEEIQWSFR* >Brasy8G234300.1.p pacid=40082699 transcript=Brasy8G234300.1 locus=Brasy8G234300 ID=Brasy8G234300.1.v1.1 annot-version=v1.1 MESLSMGSGVYWPAAVLVAAIVITASVRWRGINSQPTTTEPKARLPRGSLGWPVVGETLAFISAAYSPQPESFVDKRRLLYGKVFRSHLWGSAAVVSSDAEVSRAVLQADASAFVPWYPRSLMELMGGSSILVLGGALQRRVHGLAGAFFKSPALKARLAEDMQRRVRHAMGAWQRRGVVRVQDEAKSIVFEILVKALIGLEPGQEMHYLKQQFREFIAGLISLPIKLPGTQLYRSIQAKKKMAKLIQKIVQYKREKRILGGNDNATCEAPRDMIDVLMRNGSEELTDELISDNMIDFMIPAEDSVPVLITLAVKYLSECPLALEQLEEENMELKKRKSAVGGTLEWTDYMSLTFTQHVITETLRIGNIINGIMRKAVKDVEVKGQLLIPQGWCVFLYFRSVHLDGHIYDDPYAFNPWRWKERDVMAGSSGFTPFGGGQRLCPGVDLARLEASIFLHHLVTSFRWEAEEDTVVNFPTVRLKRGMPIKVTPRT* >Brasy8G268200.1.p pacid=40082700 transcript=Brasy8G268200.1 locus=Brasy8G268200 ID=Brasy8G268200.1.v1.1 annot-version=v1.1 MAEEAAAAATSMATNYEVDLGNLMACDTSHHLAAAQSSREELREECLQKATELAQAVADALFALPATVGRDGPSVRLPPPVHRLPREKHLPRPKPPTKWEQFAKSKGIVKHKKNKREWDEQTASWKRTYGYDRVNDDRDIPIIEAKMTDEPGVDPFAKRRDEKKQRVEKQEKNRLGNLKNAAKVGALPSHIQLAATAVPITGTKADLPKKAKKEDLENVAGMASSATASGGKFDKKLPGEKAPKKAGKNRKFLPVVEGKGMGNLEKQQNDKILNSLLAKNFEEPLDVSKAITMYKVKKDNKRRKEKQSSSGSNKKLKPQKKLQKKSSKKTAA* >Brasy8G082300.1.p pacid=40082701 transcript=Brasy8G082300.1 locus=Brasy8G082300 ID=Brasy8G082300.1.v1.1 annot-version=v1.1 MAPMDSTETNARGRGKNKRKWTIVEDDELIKTMYELSMDPKWKCDGGFKNGYSSVLEAQLAKSLPGHNLTAVPHIESRVRHFRTKFGAIEVMLARSGFTWDDQRKMVQCEKQQYDDHCKTFTEAKGLYGVSFPYYDTLSAIYSKDIATGENVEGFDEAIANLEQEIPIEIDEDDEGSRATGKRPMASQSGATSGKERERAQTRVNQDPMMALFGEVHGELKSVSVHVGTMAQAAIRELEMQEKASSEDPKEKLNKMALEELKRLGFIGSEIVRSSRIFVKEPDEIHMMMAMPENLRREFVLAMLKVREKFEEHYIVSFICFLD* >Brasy8G229600.1.p pacid=40082702 transcript=Brasy8G229600.1 locus=Brasy8G229600 ID=Brasy8G229600.1.v1.1 annot-version=v1.1 MFRRGGGGGRSKTAEASSTGNEVPMDRVMRVRKMEQIHAYNVVSRPSAGNLAVGVVRVGDVVVEDEAVRVRKVERIHAYNVISRLSSVYRAASPAAAAENLAVSVVRDVLVEGEHESFFSVPIA* >Brasy8G227400.1.p pacid=40082703 transcript=Brasy8G227400.1 locus=Brasy8G227400 ID=Brasy8G227400.1.v1.1 annot-version=v1.1 MAASVDAAPLPPPAPPAPAAVAAAEDTGGGGDDAEQARTLIGALNLLSRNLPLPPAVLRAVSDIYHGGEDADAGEEEGDEEEGGKELAVVGDGGGEGEPSGAGGPVEEGALIQELEDAIFTNQMTHMSCSKLTALKEERFNTSIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLELQKKVRSDICAEYWLQKKCAYPERQLYDWGMMRIRYPFAMYGIGDGFSMDSDDIHRKKRFAERISKLEEEEKNQAENRKRKFFAEILNAAREYQLQTSATYKRRKQRNDGVLAWHVRARQRITRLEKSRLQVLKAGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEVSKDSESDEFPGESPSDDDADTHGSADNSKFNAGRRLDSTVHSIEEKVTEQPSALVGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVPGPHLIVAPKAVLPNWSNEFKTWAPSIGTILYDGRPDERKSLRETNFGGQFNVLLTHYDLILKDKKFLKKVHWNYLIVDEGHRLKNHECALARTLVSGYLIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSGNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPSKTQVILKCDFSAWQKAYYEQVTSKGRVALGSGLKSKALQNLSMQLRKCCNHPYLFVENYNMYQREEIVRASGKFELLDRLLPKLRKAGHRVLLFSQMTRLLNVLEVYLQMHSFKYMRLDGSTKTEERGKLLADFNKKDSEYFIFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILKRGTSTLGTDIPSEREINRLAARTEDEFWLFEKMDEERRRRENYKSRLMQGTEVPEWVFANTETLAEKLLAEEAKNPVINTKRRRKEVIYSDSFVDQWMKPEDGSEDIPRMTPRAKRSAYISDIQEVDIQERTKRLKSVEVSADGSSNPTWTPDKGRAGVSSYSRDENEDDGEDEVTTSGLPQGGGFTWNTLRRKRSSHFTNSSSDPKGRSGL* >Brasy8G080400.1.p pacid=40082704 transcript=Brasy8G080400.1 locus=Brasy8G080400 ID=Brasy8G080400.1.v1.1 annot-version=v1.1 MGKFLLFLAAFLVSLSVAQGRGMSSSSEQQGSFGDAQCRLEVQQNPLDACRQILHRHLTGRAPRGLAGVQWAARDRCCEQLQAVSLGCRCAAIRGMVRGYEESMPPPDQGPYYSSPGCMTAEQGQAGRRCGDERRQGEGGFYGGGETARCQQGGEQGLIYGGTAESRPGPGSVIYDWDLMEDEPAEQKVTSVRLIKARQYMAGLATMCQVEPMECSVFSPDDQH* >Brasy8G013200.1.p pacid=40082705 transcript=Brasy8G013200.1 locus=Brasy8G013200 ID=Brasy8G013200.1.v1.1 annot-version=v1.1 MEQLRQVGEALGGITALMGFHHELRVNPRQCRLLADACALAFDAVAAEVRAHLRLDDRWRPLEPPLRELHRAVRDAELYIRHCLLGSGSWWARVAAATHGVDCVEHHLHAILWCVAVVLEAVETSAAETTSDDDLARSSRVMFARDYNRELLDPALFRRSKVGKAYLATQDLAARMDMAWKEDRWLLSQLLDEMKHGSSPSWSKPLSRHEHRLADLLTAPHGTLHPASVLAHDFHVRRRIGGCLKEAHWMGEPFAVKHYVGIDADAEVGTLMSAAAHPNVAGCRFCFHDEEKRELFVVMDDQLMTKDLGSYVKEQASKRRATPMPLVVVVDAMLQIARGMEHLHARKIFHGELSPANVLVRPRHGNAAEAGYLLVKVAGFNREPAVPASPTRKPSSSAPANHANANAGVNPCIWYAPEVLEQETEKRTEKADVYSFAMICFELITGKIPFEDHHLQGEHMSKNIRAGERPLFPFNSHKQLTGLTRRCWHADPAQRPGFGSICRVLRYVKRFLVMNPQPEQQQQPDSPTSSMPMPMPAVDYLDIEALLLRKFPAWDGAAPRVADVPFQMYAYRVMEKERSSSKTTPTMAASAAAMLHIGKMDRSSDSGSDGNSLCGDESVHSAPDGVVDAGSMTSSRATTTPRSLSDRSSGSSRMAAVSTSSTTSSPRRPAARVAFSKGGSPQKSKSMVIRAPPQPTTPRRTPRIKSDGQLQAALIPPSRRRVSSGHASDSELA* >Brasy8G094000.1.p pacid=40082706 transcript=Brasy8G094000.1 locus=Brasy8G094000 ID=Brasy8G094000.1.v1.1 annot-version=v1.1 MEGKYAELRRAAEETPAVDAHAHNLVAAGSSFPFLRCFSEAQGDALAFAPHSLSFKRSLKDIAALYNCEASLEKVEEFRRAEGLSSISSKCFQAANISAILMDDGIVFDKMLELESHKDFVPTVGRVLRIEWLAETIINDDSFSGSSWTLDSFTETFVSKLKSVATKIVGLKSIAAYRSGLEIDPYVSKTDAEDGLRKELTGQRPLRITNKSLIDYLFTCSLEIAVLFHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDERFAQCQLVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYHVLSAACEDGDLSIQDAIEAVDDIFRRNALDLYKMNVADGSIHQKTTIANSRISSSSVEQDVLFVRIVWNDASGQHRCRVVPAGRFYDIARNKGVGLTFASMGMTSFCDGPADGTNLTGVGEIRLVPDMSTLLRLPWSRREQMVMADMQIRPGEPWEYCPRNVLRKVTKVLLDEFNVTMKAGFENEFYLRKKLVSEGHEQWVPYDNSSYCSTSSFDGASSILQEVYSSLKAADIVVEQLHAEAGKGQFEVALKYVLCTLAADNLIYARETIKSVARKHGLIATFLPKPDMNDIGSGSHVHLSLWKDDQNVFMGSNEYNYYGMSNVGEQFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRRGLKLPEPIESNPADYASKLKRLPQDLLESVESLATDKTLHELIGDKLITAVIAVRKAEIDHYSKNPGAFSDLIHRY* >Brasy8G094000.2.p pacid=40082707 transcript=Brasy8G094000.2 locus=Brasy8G094000 ID=Brasy8G094000.2.v1.1 annot-version=v1.1 MFWWWRSAKLSCHHVMWAIWSVLYIQRSLKDIAALYNCEASLEKVEEFRRAEGLSSISSKCFQAANISAILMDDGIVFDKMLELESHKDFVPTVGRVLRIEWLAETIINDDSFSGSSWTLDSFTETFVSKLKSVATKIVGLKSIAAYRSGLEIDPYVSKTDAEDGLRKELTGQRPLRITNKSLIDYLFTCSLEIAVLFHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDERFAQCQLVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYHVLSAACEDGDLSIQDAIEAVDDIFRRNALDLYKMNVADGSIHQKTTIANSRISSSSVEQDVLFVRIVWNDASGQHRCRVVPAGRFYDIARNKGVGLTFASMGMTSFCDGPADGTNLTGVGEIRLVPDMSTLLRLPWSRREQMVMADMQIRPGEPWEYCPRNVLRKVTKVLLDEFNVTMKAGFENEFYLRKKLVSEGHEQWVPYDNSSYCSTSSFDGASSILQEVYSSLKAADIVVEQLHAEAGKGQFEVALKYVLCTLAADNLIYARETIKSVARKHGLIATFLPKPDMNDIGSGSHVHLSLWKDDQNVFMGSNEYNYYGMSNVGEQFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRRGLKLPEPIESNPADYASKLKRLPQDLLESVESLATDKTLHELIGDKLITAVIAVRKAEIDHYSKNPGAFSDLIHRY* >Brasy8G229300.1.p pacid=40082708 transcript=Brasy8G229300.1 locus=Brasy8G229300 ID=Brasy8G229300.1.v1.1 annot-version=v1.1 MAEGRRRRGSGRDRISGLPDELLHEILRHLRSFPAAARTSALSRRWRRVWASVPDLVLGRDLPIRHEASFLDAFDGALESYDSAADPAVRLHGLEISARPGRDGLPASRVAPWLRFAARRLAGALFLRTALCDVHPSPPDELELPPCERATSIRLLLSEPFLLRPPPIGTGTFAALAELEIVRVAMDAWELEFLVSSQCPRLQKLILFITLVDASDVSLRSATLRHLKFHVSKTRRLDVAAPALQVLDVSFVQDGGHIAATDLAEVSLHGRGHIIFADAGRHLQRLEVKHPSSAKASLMQRFDTVNELRISSQFVLETKGFIPNCKTLWVSLPRNSRVCVPCMMRLMRRSNGIRKLVISAHASTGDRGMCD* >Brasy8G291600.1.p pacid=40082709 transcript=Brasy8G291600.1 locus=Brasy8G291600 ID=Brasy8G291600.1.v1.1 annot-version=v1.1 MEDVVDKFLVRVEGPETDANADGLKGLAKKMVGLFKKGKNHHRISDAIKEFKEQLQEVSGRRDRNKVDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQEIMRLLSMEGDRISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSNPDLPMLDERQLIDRLRLFLDNKSILLPS* >Brasy8G097400.1.p pacid=40082710 transcript=Brasy8G097400.1 locus=Brasy8G097400 ID=Brasy8G097400.1.v1.1 annot-version=v1.1 MAITPSQLLPAPHGDFPPAAPSLAPMVTTLWPHLSSLKGGESDRQGTDLNGEGDGGSSGTSFCPDPRYDSCSGSSQLLPAPPMAITPSQLLPAPHGDFPPAAPSLAPMVSGYSVLSPIRTIIFYIMLLL* >Brasy8G097400.4.p pacid=40082711 transcript=Brasy8G097400.4 locus=Brasy8G097400 ID=Brasy8G097400.4.v1.1 annot-version=v1.1 MAITPSQLLPAPHGDFPPAAPSLAPMVTTLWPHLSSLKGGESDRQGTDLNGEGDGGSSGTSFCPDPRYDSCSGSSQLLPAPPMAITPSQLLPAPHGDFPPAAPSLAPMVSGYSVLSPIRTIIFYIMLLL* >Brasy8G097400.2.p pacid=40082712 transcript=Brasy8G097400.2 locus=Brasy8G097400 ID=Brasy8G097400.2.v1.1 annot-version=v1.1 MAITPSQLLPAPHGDFPPAAPSLAPMVTTLWPHLSSLKGGESDRQGTDLNGEGDGGSSGTSFCPDPRYDSCSGSSQLLPAPPMAITPSQLLPAPHGDFPPAAPSLAPMVSGYSVLSPIRTIIFYIMLLL* >Brasy8G097400.3.p pacid=40082713 transcript=Brasy8G097400.3 locus=Brasy8G097400 ID=Brasy8G097400.3.v1.1 annot-version=v1.1 MAITPSQLLPAPHGDFPPAAPSLAPMVTTLWPHLSSLKGGESDRQGTDLNGEGDGGSSGTSFCPDPRYDSCSGSSQLLPAPPMAITPSQLLPAPHGDFPPAAPSLAPMVSGYSVLSPIRTIIFYIMLLL* >Brasy8G191900.1.p pacid=40082714 transcript=Brasy8G191900.1 locus=Brasy8G191900 ID=Brasy8G191900.1.v1.1 annot-version=v1.1 MITGPSQTELGAGPSRAGQNDSAGFRAEPGSASTQNGRHLYMVVCIWELGGSQKRYRAIVRGEEPPPEAVVEEIVLHACIEGDVGGLLEGETSTELEEMESQIESQMRSGTAKVAMACHVRRH* >Brasy8G191900.2.p pacid=40082715 transcript=Brasy8G191900.2 locus=Brasy8G191900 ID=Brasy8G191900.2.v1.1 annot-version=v1.1 MTLRCISIWTGKARHLYMVVCIWELGGSQKRYRAIVRGEEPPPEAVVEEIVLHACIEGDVGGLLEGETSTELEEMESQIESQMRSGTAKVAMACHVRRH* >Brasy8G261000.1.p pacid=40082716 transcript=Brasy8G261000.1 locus=Brasy8G261000 ID=Brasy8G261000.1.v1.1 annot-version=v1.1 MREKNTDSTALPLSRSFPFPWFFVVGRIRLLSSAGAAGRSGRGRRVCALYSLGVMPSAVWRSPDRSSGGSRPWVDVGAALSGKAAGHGFPSHLPQQDSLANKLPADLASPSFFPSVIRRRGGGDATRRKPGGGFLRSALPLFFLWVLCISGIWSLLVFS* >Brasy8G197500.1.p pacid=40082717 transcript=Brasy8G197500.1 locus=Brasy8G197500 ID=Brasy8G197500.1.v1.1 annot-version=v1.1 MALPLLLLSLLLELVITVIFLDFSVIRMDFQKCCLGLLMFINSMFDAALIAVDVTDIVRSWFHLCERFVSLGLATYVGLFENYHYSPLVMLKLDSCWYCFLIVISFRFVSL* >Brasy8G141100.1.p pacid=40082718 transcript=Brasy8G141100.1 locus=Brasy8G141100 ID=Brasy8G141100.1.v1.1 annot-version=v1.1 MPKHNLAVFSSNPKRNTEYEPGENSSVLSSLHPLTIFRPPLFFLGPGACPRQEKRARACLVWPSLLTRARRRERAIWEGDQSGGLLVSQRSARRTTTMDSGRPRRVPNKSCRPDWREELRTNCMTRVKNERVHLLWKIRTQGRLPAKDMKTVESAVRNIISDEVQKLKQCVDGKEAQEIDVIWEYQGPQEARPAEFESEDILLEMERLLYEDLREETIRKEIEDLEEQDAYLAQAIFDHMQLNEEGAEKLWCPVCKQGELRETHNLIRCSLCKLRLDLGEDKINLDFLRERLANVHMEHLDRGCKLSPKFCLQDMFGLSALYIQCEECSTFEVVV* >Brasy8G141100.2.p pacid=40082719 transcript=Brasy8G141100.2 locus=Brasy8G141100 ID=Brasy8G141100.2.v1.1 annot-version=v1.1 MPKHNLAVFSSNPKRNTEYEPGENSSVLSSLHPLTIFRPPLFFLGPGACPRQEKRARACLVWPSLLTRARRRERAIWEGDQSGGLLVSQRSARRTTTMDSGRPRRVPNKSCRPDWREELRTNCMTRVKNERVHLLWKIRTQGRLPAKDMKTVESAVRNIISDEVQKLKQCVDGKEAQEIDVIWEYQGPQEARPAEFESEDILLEMERLLYEDLREETIRKEDLEEQDAYLAQAIFDHMQLNEEGAEKLWCPVCKQGELRETHNLIRCSLCKLRLDLGEDKINLDFLRERLANVHMEHLDRGCKLSPKFCLQDMFGLSALYIQCEECSTFEVVV* >Brasy8G102900.1.p pacid=40082720 transcript=Brasy8G102900.1 locus=Brasy8G102900 ID=Brasy8G102900.1.v1.1 annot-version=v1.1 MDFLNMLHHSNSRHQRNRGSRIKTLVQVILLVGVAVWLVYQVKHSYDKKNEYFNDTEDQLAHDDRSMFQGRKERVGSYGDGNVEKAVEDTDVRSKPEEVKSGETVFDKDNSDSHEDDARNLDRPEAEERQTSGADGNAEAHSGDENTTTGHSAASKHDDESNSSDSERSEVYSTGDVLPQNNNAQEEIAAEANGTSHEEVAQSDGSTNGDQINASSNGSDGEQAEKKEATEFQADSESLSAGTKAGASDEHASETLPDETGNIPSVHNENPQNGASENQGDAAYVTSDPYEHSNGDTVHIEIGSEHEGATTSSGTASGDAEKGNSVESNPSDSISVEEKAGIASGGNEKGLQMGTSNEVSSTKEANSEEGVAVVTEVSIDQAANTQTENSQGASAAEVANGSSEEMKPVEKQTNGTTKSSTNGEQNDIKIETNTSTNDEHQGGDGSSVSNSLNGSGPEQTGKSETQ* >Brasy8G102900.2.p pacid=40082721 transcript=Brasy8G102900.2 locus=Brasy8G102900 ID=Brasy8G102900.2.v1.1 annot-version=v1.1 MLHHSNSRHQRNRGSRIKTLVQVILLVGVAVWLVYQVKHSYDKKNEYFNDTEDQLAHDDRSMFQGRKERVGSYGDGNVEKAVEDTDVRSKPEEVKSGETVFDKDNSDSHEDDARNLDRPEAEERQTSGADGNAEAHSGDENTTTGHSAASKHDDESNSSDSERSEVYSTGDVLPQNNNAQEEIAAEANGTSHEEVAQSDGSTNGDQINASSNGSDGEQAEKKEATEFQADSESLSAGTKAGASDEHASETLPDETGNIPSVHNENPQNGASENQGDAAYVTSDPYEHSNGDTVHIEIGSEHEGATTSSGTASGDAEKGNSVESNPSDSISVEEKAGIASGGNEKGLQMGTSNEVSSTKEANSEEGVAVVTEVSIDQAANTQTENSQGASAAEVANGSSEEMKPVEKQTNGTTKSSTNGEQNDIKIETNTSTNDEHQGGDGSSVSNSLNGSGPEQTGKSETQ* >Brasy8G154800.1.p pacid=40082722 transcript=Brasy8G154800.1 locus=Brasy8G154800 ID=Brasy8G154800.1.v1.1 annot-version=v1.1 MVKDLKTKIEVLRERGLRPSNLVSSWVLPRIQPLQPRVGLLCQRSNTNQGPTWGWTHGHFIGRMKQLVEDMIEDYDNIGCLPPFTVEHQPDEKFWNPNSITTLKVTDACDVEPPLAPYGPDRPREELQRT* >Brasy8G040800.1.p pacid=40082723 transcript=Brasy8G040800.1 locus=Brasy8G040800 ID=Brasy8G040800.1.v1.1 annot-version=v1.1 MATRRALSSLLRSASRVRGASPSPLPRAPPHRPSPAGYLFNRAAAYATSAAAQAAPTATPPPTSAGKTGAGKITDEFTGAGSVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHRGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKENA* >Brasy8G113800.1.p pacid=40082724 transcript=Brasy8G113800.1 locus=Brasy8G113800 ID=Brasy8G113800.1.v1.1 annot-version=v1.1 MSLRRLLGLSAAVSGHYIRRSISTAASRPPWALFEKVTPTTRSALGASVRIVEPPRVSSLVVPALNVKASAAPDPGSGVSQMILIGHVSSTSGDGLLLITIYDTRARTSRNRMGRLVCLLPPLAKTITTRFICNPLTGQLSRLPDIGGPGQFLCPDIGFLTKADRGHGPPDRFAVAELYGNTMLRFLSETGKWDIVVTAPYQLLAEIPELPASDRNFRCARYGSELT* >Brasy8G245400.1.p pacid=40082725 transcript=Brasy8G245400.1 locus=Brasy8G245400 ID=Brasy8G245400.1.v1.1 annot-version=v1.1 MAAVATVLCGGGVAVAVHQRFGGRRAAPFLSASGAAVMNGANLVRGRQLLPALFLAPSIRTLSSSGSRQQLRAPASASSSDSAGQAKTTGFVEKYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVTHLSVGVLYCLISWSIGLLKRAPINSALLKLLLPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQPVPLTLWLSLAPVVLGVSIASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIIEGPQLVQHGFKDAIAKVGLTKLVSNLFLAGLFYHLYNQVATNTLQRVAPLTHAVGNVLKRVFVIGFSIVIFGNKITTQTGIGTCIAIIGVALYSVIKAKIEEEKKQTKSA* >Brasy8G215900.1.p pacid=40082726 transcript=Brasy8G215900.1 locus=Brasy8G215900 ID=Brasy8G215900.1.v1.1 annot-version=v1.1 MASTDGWGVAPSSSRGTEAWSRRGSSAAWGRSAPEVLTNLTLRNPTGALHCRLCFLPLKPPVFQAVYLHFPERSALKLFIPGPSKSGRCVQVDL* >Brasy8G139700.1.p pacid=40082727 transcript=Brasy8G139700.1 locus=Brasy8G139700 ID=Brasy8G139700.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMGSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEADYEEDEEAGQE* >Brasy8G013400.1.p pacid=40082728 transcript=Brasy8G013400.1 locus=Brasy8G013400 ID=Brasy8G013400.1.v1.1 annot-version=v1.1 MACRHEAPPPQLLKDAGPVPNSKIEAARTRAAELLDGIGLVSPSSSSGLATSIPAGMGLDCGADEGAWWREGASGGGRERVVAGGRIRVVAVLHSPAGRRRPDLAAAARLLAAAARIWPPPSSSSSLAGRRPHSPAGRRRPPQTNKNRERRRRRVPAGGARGGGREDRLVLAVDRGRDRAPLQIGEEELWIGDAQMSGMLCGNCWTPDFAFFPLKSLSGRR* >Brasy8G058300.1.p pacid=40082729 transcript=Brasy8G058300.1 locus=Brasy8G058300 ID=Brasy8G058300.1.v1.1 annot-version=v1.1 MPMGNQSPFRTGYPSNWVGGGGDCRQSARGGPCRRTRGQRLEALGRKEGRRGRRRQPEAVGRWPPPTGDPHHVVLCSAVGQLDAVGWRRRQWGSADGSRRQWGSGAAAPAVGRAGSDWRHWGGRKADGGAGGSRRQWGGGHHRREIPITSCSAQPWGSWMQWGGAGDSGAVQTAAGGSGAAAAANESTTACSTPPPQPPSQTIIPIAPSSPLLPSSGISTGRWWRRGSAI* >Brasy8G008000.1.p pacid=40082730 transcript=Brasy8G008000.1 locus=Brasy8G008000 ID=Brasy8G008000.1.v1.1 annot-version=v1.1 MLLAVEGGGFFSSSASGYSHGLALLLLGRKTEEQPVKASPWNHYRLVDREAGHVGQLPSRKEEVPGKCASFTCFGCTPARLEGASPPKLSSSNTAKQLSSLTNRKGTTNGSINGSGRKGCLKSNSRRDSSDRGSIVSDGEEPRESLEEVQTLKAGMERRKVQWTDTCGKELFEIREFETSDEGLSDDEADNDGFRKCECVIQ* >Brasy8G224300.1.p pacid=40082731 transcript=Brasy8G224300.1 locus=Brasy8G224300 ID=Brasy8G224300.1.v1.1 annot-version=v1.1 MATIHPKANPADPRFRLRQWVMLDEQYPAESSNDGDTPVRLFINTATGRFLFKELPCLKEHYFISSSGGLLVLASKRAPHSTCVFNPFTDSSVFFTAPIPDSVRHTKAYIRGVGLSLNLLLDDGPLPNTAYLAEPNDDLFTMVEYNLLAKVSAISGLANDHEMIVGGLIDSITANIPGDRHSFYPPVYHILDSAGEKLLVIRRERPAHGVDVFKVDTQEMVIYPIGSIGNRALFLGESKFPSIDGNCVFYFERGHPDNEGTGIYMYDLTNEKEVWVTSNVVDFSWGTEKSVTSPSMIQVLMKYSIDTAWSQLRD* >Brasy8G000300.1.p pacid=40082732 transcript=Brasy8G000300.1 locus=Brasy8G000300 ID=Brasy8G000300.1.v1.1 annot-version=v1.1 MATKMRRVALLAMVMVGFLLLASASGRKSSDGEGAEGRVVYADMRMAVNEKKSESESESSSSSSEAPVPAPAPAPAPEPSSSD* >Brasy8G133400.1.p pacid=40082733 transcript=Brasy8G133400.1 locus=Brasy8G133400 ID=Brasy8G133400.1.v1.1 annot-version=v1.1 MSLRLLLPTRGGLLHLLSPLRRRLPTPLRTAAAAGVPPRTSSFLAPPIVHAFTGGPGMALAGAPQRTVEVRESVELTEEEERIFRRLLDVVAHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYSKLMGEEQKGIGVIQCNPDQSKHLETARMLIYDIWIDFVNLRSEKYAKNSRIPTVEIGTAKEDALRRDLTINSLFFNINTKSVEDLTGRGLEDLKKGLIVTPLPAKSTFLDDPLRVLRAIRFAARFSFTLAEELKEAASDEKVKSELGTKISRERVGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKLDPPVLDKHDWLCVSHIEVAWNLARSIGRSVLSGGSDSKSQDEQQRLCLYSALFTPVQNMVYMDKKSKKVPVVSYIIRNSLKLKASDADTVHIPEQIVNIHVASEKFAELIVLFESNENLEIVKEKLDDEYLEIPTDLVKRVLAGLILREIKDFWRVALFISTLIHPEVDKPSGSLSQQDELHLRKEKYISVERSITELGLDSVWKMKPLLDGKAIMGAMQVKSGGPLIGKWQQRLVKWQLAHPEGTVEECLEWMKQSQQSKRQKVECGSNS* >Brasy8G133400.2.p pacid=40082734 transcript=Brasy8G133400.2 locus=Brasy8G133400 ID=Brasy8G133400.2.v1.1 annot-version=v1.1 MSLRLLLPTRGGLLHLLSPLRRRLPTPLRTAAAAGVPPRTSSFLAPPIVHAFTGGPGMALAGAPQRTVEVRESVELTEEEERIFRRLLDVVAHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYSKLMGEEQKGIGVIQCNPDQSKHLETARMLIYDIWIDFVNLRSEKYAKNSRIPTVEIGTAKEDALRRDLTINSLFFNINTKSVEDLTGRGLEDLKKGLIVTPLPAKSTFLDDPLRVLRAIRFAARFSFTLAEELKEAASDEKVKSELGTKISRERVGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKLDPPVLDKHDWLCVSHIEVAWNLARSIGRSVLSGGSDSKSQDEQQRLCLYSALFTPVQNMVYMDKKSKKVPVVSYIIRNSLKLKASDADTIVNIHVASEKFAELIVLFESNENLEIVKEKLDDEYLEIPTDLVKRVLAGLILREIKDFWRVALFISTLIHPEVDKPSGSLSQQDELHLRKEKYISVERSITELGLDSVWKMKPLLDGKAIMGAMQVKSGGPLIGKWQQRLVKWQLAHPEGTVEECLEWMKQSQQSKRQKVECGSNS* >Brasy8G006700.1.p pacid=40082735 transcript=Brasy8G006700.1 locus=Brasy8G006700 ID=Brasy8G006700.1.v1.1 annot-version=v1.1 MRGREVAARGDGAGGFRGNGRCSRALRRETARGAVRQQQRRHGKCRAEAHEMDDSPASQIQQKKDELYDLLDKQKTLTFQNGRLMHNLSVQINPRPDDLRWHGFRIRKRLDYVVDAVTHSTIFILFFGAWLSWNEESSAEDGKEEALGVKNTRKSNGEDNV* >Brasy8G188800.1.p pacid=40082736 transcript=Brasy8G188800.1 locus=Brasy8G188800 ID=Brasy8G188800.1.v1.1 annot-version=v1.1 MASSSSSSQFDDPAGRRPPPQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLISELYDAESK* >Brasy8G069400.1.p pacid=40082737 transcript=Brasy8G069400.1 locus=Brasy8G069400 ID=Brasy8G069400.1.v1.1 annot-version=v1.1 MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISSASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREAMVARKKTRRSSGCSIKHLMCGSTCVA* >Brasy8G235300.1.p pacid=40082738 transcript=Brasy8G235300.1 locus=Brasy8G235300 ID=Brasy8G235300.1.v1.1 annot-version=v1.1 MASSAAATMEQEWSGSVSSAVPGVTADEAWELLSDFLAFHRWHPRVAKCRRVSGAGPRAPGCVRYCEGEPPRGDADGAADWAHETLLEHDAARRRLRYEMNDNNMGFRRFFATFGVVEVEPANAGAGCELRWEFECEPVDGTAKEALAARLQAGLDGMARRVQEAVPSSLRAAADAPGAVAPLEAAGGDLKLGTSIAV* >Brasy8G187400.1.p pacid=40082739 transcript=Brasy8G187400.1 locus=Brasy8G187400 ID=Brasy8G187400.1.v1.1 annot-version=v1.1 MRGPCPAVAEAPHPEPLSVITGPAMAAPLHFVLVPLPAQGHVIPMMDLARLLAGRGARATVVLTSVTAARSLSVLEQARGAGLPVDVAELEFPGPAVGLPVGFECLDQISSFPQTKLLEAVWLMAGPLESYLRALPRRPDCLVADSCSPWCAGVARRLGLPRLVFHCPSAAFLLASHNLTTYGVHARAATDLEPFEVPGFPVPLVTNRAKTLGFFQLPGQERFRRDTIEAEATADGLVLNTCLALEAPFVERYGEELGKKVWTVGPLCLLADADAETRAGRGGGSSDAVRVVSWLDAMPRQSVLYVSFGSIARLMPPQAAELAAGLEASKRAFVWVAKETDGIDAGFEARVAGRGLVIRGWAPQMAILAHPAVGGFLTHCGWNSTLESLCHGVPLLTWPHFADQFMTETMVVDMLGAGVRVGAELSSEMPPLALLVGRDDVARAVVELMEEGTAMRASAMELAVKAREAMASGGSSYVDSSDLVRHVAEVMRRRS* >Brasy8G187400.2.p pacid=40082740 transcript=Brasy8G187400.2 locus=Brasy8G187400 ID=Brasy8G187400.2.v1.1 annot-version=v1.1 MAAPLHFVLVPLPAQGHVIPMMDLARLLAGRGARATVVLTSVTAARSLSVLEQARGAGLPVDVAELEFPGPAVGLPVGFECLDQISSFPQTKLLEAVWLMAGPLESYLRALPRRPDCLVADSCSPWCAGVARRLGLPRLVFHCPSAAFLLASHNLTTYGVHARAATDLEPFEVPGFPVPLVTNRAKTLGFFQLPGQERFRRDTIEAEATADGLVLNTCLALEAPFVERYGEELGKKVWTVGPLCLLADADAETRAGRGGGSSDAVRVVSWLDAMPRQSVLYVSFGSIARLMPPQAAELAAGLEASKRAFVWVAKETDGIDAGFEARVAGRGLVIRGWAPQMAILAHPAVGGFLTHCGWNSTLESLCHGVPLLTWPHFADQFMTETMVVDMLGAGVRVGAELSSEMPPLALLVGRDDVARAVVELMEEGTAMRASAMELAVKAREAMASGGSSYVDSSDLVRHVAEVMRRRS* >Brasy8G228500.1.p pacid=40082741 transcript=Brasy8G228500.1 locus=Brasy8G228500 ID=Brasy8G228500.1.v1.1 annot-version=v1.1 MKKKAPTVAVSAAKRRASGGTAGRGPRKRARDGDLIGHADLVTHGDLISDLPDAILCTVISLLPTKDGARTQLLSRRWRPLWRSAPLNLHASYDFCTNDIDRFSVVSKILSDHPGPARRFDFAVIRLQNEEDAKQIENWFRSRALANLQELDFTFGPLEFIYGAYKFYPLPSSVFRFAPTLVMARITFCDFPNEIAHFPLLKQLTLGRVSISDNVFRGMITACHVLETLHLEEIHDACCLRITSSTVRSIGACCLRKAELIIEDAPRLERLLLSVPRDETIRVIRAPKLEILGALSPCISEIKIANLVFQGMIPASLKTPIRTVKVLALRFSIPDLSAVLDVLRCFPCLEKLYVTWNKYLKMEMKNVRQYDPLDPIECLETHLKKVMFRFYQGNEEDSGFAKFFILNTKVLKEMIFGVRGKVNKKWVSAQHRLLKVKNRASQDAQFEFRYGPSECFDTHDLSIADPFDRSYVGLVDALSK* >Brasy8G228500.4.p pacid=40082742 transcript=Brasy8G228500.4 locus=Brasy8G228500 ID=Brasy8G228500.4.v1.1 annot-version=v1.1 MKKKAPTVAVSAAKRRASGGTAGRGPRKRARDGDLIGHADLVTHGDLISDLPDAILCTVISLLPTKDGARTQLLSRRWRPLWRSAPLNLHASYDFCTNDIDRFSVVSKILSDHPGPARRFDFAVIRLQNEEDAKQIENWFRSRALANLQELDFTFGPLEFIYGAYKFYPLPSSVFRFAPTLVMARITFCDFPNEIAHFPLLKQLTLGRVSISDNVFRGMITACHVLETLHLEEIHDACCLRITSSTVRSIGACCLRKAELIIEDAPRLERLLLSVPRDETIRVIRAPKLEILGALSPCISEIKIANLVFQGMIPASLKTPIRTVKVLALRFSIPDLSAVLDVLRCFPCLEKLYVTWNKYLKMEMKNVRQYDPLDPIECLETHLKKVMFRFYQGNEEDSGFAKFFILNTKVLKEMIFGVRGKVNKKWVSAQHRLLKVKNRASQDAQFEFRYGPSECFDTHDLSIADPFDRSYVGLVDALSK* >Brasy8G228500.3.p pacid=40082743 transcript=Brasy8G228500.3 locus=Brasy8G228500 ID=Brasy8G228500.3.v1.1 annot-version=v1.1 MKKKAPTVAVSAAKRRASGGTAGRGPRKRARDGDLIGHADLVTHGDLISDLPDAILCTVISLLPTKDGARTQLLSRRWRPLWRSAPLNLHASYDFCTNDIDRFSVVSKILSDHPGPARRFDFAVIRLQNEEDAKQIENWFRSRALANLQELDFTFGPLEFIYGAYKFYPLPSSVFRFAPTLVMARITFCDFPNEIAHFPLLKQLTLGRVSISDNVFRGMITACHVLETLHLEEIHDACCLRITSSTVRSIGACCLRKAELIIEDAPRLERLLLSVPRDETIRVIRAPKLEILGALSPCISEIKIANLVFQGMIPASLKTPIRTVKVLALRFSIPDLSAVLDVLRCFPCLEKLYVTWNKYLKMEMKNVRQYDPLDPIECLETHLKKVMFRFYQGNEEDSGFAKFFILNTKVLKEMIFGVRGKVNKKWVSAQHRLLKVKNRASQDAQFEFRYGPSECFDTHDLSIADPFDRSYVGLVDALSK* >Brasy8G228500.2.p pacid=40082744 transcript=Brasy8G228500.2 locus=Brasy8G228500 ID=Brasy8G228500.2.v1.1 annot-version=v1.1 MKKKAPTVAVSAAKRRASGGTAGRGPRKRARDGDLIGHADLVTHGDLISDLPDAILCTVISLLPTKDGARTQLLSRRWRPLWRSAPLNLHASYDFCTNDIDRFSVVSKILSDHPGPARRFDFAVIRLQNEEDAKQIENWFRSRALANLQELDFTFGPLEFIYGAYKFYPLPSSVFRFAPTLVMARITFCDFPNEIAHFPLLKQLTLGRVSISDNVFRGMITACHVLETLHLEEIHDACCLRITSSTVRSIGACCLRKAELIIEDAPRLERLLLSVPRDETIRVIRAPKLEILGALSPCISEIKIANLVFQGMIPASLKTPIRTVKVLALRFSIPDLSAVLDVLRCFPCLEKLYVTWNKYLKMEMKNVRQYDPLDPIECLETHLKKVMFRFYQGNEEDSGFAKFFILNTKVLKEMIFGVRGKVNKKWVSAQHRLLKVKNRASQDAQFEFRYGPSECFDTHDLSIADPFDRSYVGLVDALSK* >Brasy8G214800.1.p pacid=40082745 transcript=Brasy8G214800.1 locus=Brasy8G214800 ID=Brasy8G214800.1.v1.1 annot-version=v1.1 MKEKDLKKRKQSTTATTTGTAVGGILSQTESAPPGKKLPPRLGRNLRAGSSQSPYAKVYDNNVLKTTSRLQRASNK* >Brasy8G021200.1.p pacid=40082746 transcript=Brasy8G021200.1 locus=Brasy8G021200 ID=Brasy8G021200.1.v1.1 annot-version=v1.1 MMHTVNDAVHKARNAVSIELWATIFLYFTKQRSIEFSGSGLIQSTYSTAGHVHFLSIFCRLNIRKLLQVTFGRASILC* >Brasy8G037500.1.p pacid=40082747 transcript=Brasy8G037500.1 locus=Brasy8G037500 ID=Brasy8G037500.1.v1.1 annot-version=v1.1 MGRRAPPSLAAAAVPCRFSQPGVPCRFGQPGDGGARRGRIRGNPDRIRDGGARKGRIRGNPERPPLQELEEADSGARGRRREGESGGLRVGSATVAGFATAAGSGLLAAAHGGEGGVEGRRERDGERGGGDLEGEGAPGGGELEGGGAGGARGGAGEKKGVG* >Brasy8G106000.1.p pacid=40082748 transcript=Brasy8G106000.1 locus=Brasy8G106000 ID=Brasy8G106000.1.v1.1 annot-version=v1.1 MCACLWCPVDALKNSDFSSVAFTRGVERNSCGRRRDCSIRPAPAFPFFPCTHPGPLAGVWRDVASHGTVTGQLEQHEV* >Brasy8G280800.1.p pacid=40082749 transcript=Brasy8G280800.1 locus=Brasy8G280800 ID=Brasy8G280800.1.v1.1 annot-version=v1.1 MSRRNEKCSRLVLVALAVVVLLAAQVKPAVAGGYTTYMQNKVRDIVKANRAVAPGFIRLVFHDCWVKGCDGSVLLERADKQAEMDAPQNGGIRGLDVIQTIKDALAASDKNVTCADAVVYAAREACYVLSDKKIEYAVDGPGSHKDALTSSFADAGALPPPFANFTDLVANFNAKGFTARDVVVLSGAHAVGQAHRPTFEARLDKAVSGRGEINGWYRGEVDRVSSASPAKAVHNNVRDLYKAEAEPGVLDNGYYKANLQNTVLFNSDWTLRTDGAAASEMQRYKDNAGAWYALFGEAMARLSRLPAEGATLAVPRTKCSAAN* >Brasy8G246600.1.p pacid=40082750 transcript=Brasy8G246600.1 locus=Brasy8G246600 ID=Brasy8G246600.1.v1.1 annot-version=v1.1 MARSLEPPPQYYAASRYTSSRPSSSSAAGSCVVAALFLLLAAGGAAAALFILFRPRAPAIAVTAVQLPSFSLVNGTAAFTFQQLASVRNPNRAPLAHYDSSLRVGYAGGEVGSMYIPAGQIEGGRTQYMATSFTVPAFPFSSASSAQPDTITVAASGPSPALAALARQQAPQLIEVDSLLRMKGKVTVLGVLTHHVEAAKLCRIGVSPADGRVLGFRC* >Brasy8G255700.1.p pacid=40082751 transcript=Brasy8G255700.1 locus=Brasy8G255700 ID=Brasy8G255700.1.v1.1 annot-version=v1.1 MNSTTRRADADECTLASASIPFPCQFLPWTGSPSPNQSFRLPRSTDPKQDGSRPPHLPSPISVSGEPRAPASPPLARRRTAGSPEALPNLREPTNCRSDVNGSGSSASPLQPPTELGPPPLPDLPPGDGALTLSSAALTSPAAPSCLRCAPSGRRLSLGAFPPALACPPLALPPGTRLSPVRPVELEHPTCKTSTAHLCIFKYY* >Brasy8G003800.1.p pacid=40082752 transcript=Brasy8G003800.1 locus=Brasy8G003800 ID=Brasy8G003800.1.v1.1 annot-version=v1.1 MDIIVEQIQLGKRPRDQTKSWIESAKSIEGESITIANAYERRSKDMFGCSWNCFFNYRIGRAATKKKIEVDELKEKTPRSDGIFTLLPPVGTELPLPPNIVGQDKYMLEIVGYISQGTKSFIGFCGMGGSGKTTLLKQLNNIFSCSAELHEFDHVIYVEIDHQIDYRKVQQSIASQLGLTLGENESTTARSASLYNFLKERKFLLLMDNLWQSLDLVKVGIPQGYTQIGPQNRRVVVITTRDQQICHKMQADSQVIVLQRLEIEEAWSLFDENAGCHRLTNSSVEIREYAQNIVKKCGGLPLALKIVGQAMASKESEHDWKITVMFLQKSQFHKVLDADAEKTYLKYYIWMGHGLLDEDDDINNSYSTGHSVIGSLQRAGLLEEHPRGQNFLRMHDTIRGLALWIVKSKQGDGPNKNWLVRRGKETVKPEEWSTAHRISLLGGEVVIPDSCPCPWLSTLLITSSNIIGKHLDLSETPIQSIPAEFHKLRSLSYLYLVGMRELKTIPDGTISALGMLRIIDLHDTGPFPADKTLAYIKEMESLTSLQSLGFTVRDSESLQRILDPSKASLRSLCVVEELTIGETIVDSDWHFEILDALTLAGLEKLETIVWKGVVPHACLPRLRKLIIGECHSIRAITWIKQLPCLEELYLVDCDSMLQLVADEGGGTIHLGSLWNICDATLAFPCLQRLLVYKCPLLSELPPGLLKPELAPLIFSEQDWWEQLSWEDTGVKSTSLSFFRNLPASFNGNINEVLSSLAHH* >Brasy8G239100.1.p pacid=40082753 transcript=Brasy8G239100.1 locus=Brasy8G239100 ID=Brasy8G239100.1.v1.1 annot-version=v1.1 MRPLLTRLFTPTYIAMAAPSPSPSRRLAHITRHLLASSSSGELSSVGAPAAAAADSPARAPASKGFAAVLVCIFNDPRGDPRVLLTKRASSLNSHSGEVSLPGGKVEEGDADVKATALREAHEEIGLDPALVSIVTVLEPFLSKNGLDVTPVIGVLSDRALFKPVLNKAEVEDIFDAPLEMFLKDDNRTTRERDWMGMTIPVQFFDYQAEGKKYVIWGLTAHILTRSASVVLQRQPSFVELPNRPSNTTVTSKH* >Brasy8G263800.1.p pacid=40082754 transcript=Brasy8G263800.1 locus=Brasy8G263800 ID=Brasy8G263800.1.v1.1 annot-version=v1.1 MIDFIKSSIKIHHEVPHIYFLLLFLIGFSCDLHVSEAHPFKLPGLVASVHSSLCSATGWFSRPSPCVSCCCLLQLPLVQTSAHFLLFLLSCPAPAFAPCGKRLQSLLASSSLISCRMLLLPSTTTTRRCLIGGTVITLHGVTGPGAILHRVETHVQSSCFFHLRAKPMKPALLNRQPRLKPYVCNGSHHFTFLCRLFFFDLHLARCIYDPCDIC* >Brasy8G183700.1.p pacid=40082755 transcript=Brasy8G183700.1 locus=Brasy8G183700 ID=Brasy8G183700.1.v1.1 annot-version=v1.1 MRGGNSDDFCRCQVCLGKYTLLGDEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYYCKYYSRDPRERPGLAASAVLATIFTAATIVALYVLLIMCANKRFLNSCAS* >Brasy8G068200.1.p pacid=40082756 transcript=Brasy8G068200.1 locus=Brasy8G068200 ID=Brasy8G068200.1.v1.1 annot-version=v1.1 MGIDLNTVEEDGDAAAAAASAGAAGVCSELWHACAGPGVSLPRQGSALVYLPQGHLASGGGGGGGEVAGAAPPVPPHVACRVLDVELCADAATDEVYARLTLVAVCEVLLVLERVTSQFLALVLHALGGAPISIFGDLAWTWDCFIKAFRWSQTIGRRSTQMFRQNLGGTAWGEENMECGSEEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQVRPSQELIAKDLHGTRWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGCDSELRLGIRRAVQLRNEDLFKAVNSSDSRQRTLSAVASSLSNRSTFHVCFDPRSGASEFIVPYQKFSKSLNHPLSIGMRFKLSYESEDANERSTGMISGVSEVDPIRWPGSKWKCLLVRWDGNTDCNHQHRVSPWEIERVGGMNSVTHSLSASNSKRTKLSFPESNLDAPVIDGNGYPDSMETKKFHRVLQGQELMGSRTHGVACSQSPDVANIRTSGNRRFSNVRVQGSEFTYQHLGFGESVGFPEVLQGQEMSQAVPLSQGTTFDTHATNGRVGSFSHVRQSAAPTGLPAEAQGYSLRQFALSSAAKVSSPSSVLMFNQATVPQLELEGRTHHLEMASKTDAWPRVQRPVPRETGGHGFDIGGASTLANSGLATRDSGRSNCRLFGFSLTEKILGAGEDAKGGNSNEEADRADPRLLELFGHSHPSPSALHALVAAPLGM* >Brasy8G068200.2.p pacid=40082757 transcript=Brasy8G068200.2 locus=Brasy8G068200 ID=Brasy8G068200.2.v1.1 annot-version=v1.1 MGIDLNTVEEDGDAAAAAASAGAAGVCSELWHACAGPGVSLPRQGSALVYLPQGHLASGGGGGGGEVAGAAPPVPPHVACRVLDVELCADAATDEVYARLTLVAVCETQMFRQNLGGTAWGEENMECGSEEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQVRPSQELIAKDLHGTRWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGCDSELRLGIRRAVQLRNEDLFKAVNSSDSRQRTLSAVASSLSNRSTFHVCFDPRSGASEFIVPYQKFSKSLNHPLSIGMRFKLSYESEDANERSTGMISGVSEVDPIRWPGSKWKCLLVRWDGNTDCNHQHRVSPWEIERVGGMNSVTHSLSASNSKRTKLSFPESNLDAPVIDGNGYPDSMETKKFHRVLQGQELMGSRTHGVACSQSPDVANIRTSGNRRFSNVRVQGSEFTYQHLGFGESVGFPEVLQGQEMSQAVPLSQGTTFDTHATNGRVGSFSHVRQSAAPTGLPAEAQGYSLRQFALSSAAKVSSPSSVLMFNQATVPQLELEGRTHHLEMASKTDAWPRVQRPVPRETGGHGFDIGGASTLANSGLATRDSGRSNCRLFGFSLTEKILGAGEDAKGGNSNEEADRADPRLLELFGHSHPSPSALHALVAAPLGM* >Brasy8G068200.3.p pacid=40082758 transcript=Brasy8G068200.3 locus=Brasy8G068200 ID=Brasy8G068200.3.v1.1 annot-version=v1.1 MGIDLNTVEEDGDAAAAAASAGAAGVCSELWHACAGPGVSLPRQGSALVYLPQGHLASGGGGGGGEVAGAAPPVPPHVACRVLDVELCADAATDEVYARLTLVAVCEMFRQNLGGTAWGEENMECGSEEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQVRPSQELIAKDLHGTRWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGCDSELRLGIRRAVQLRNEDLFKAVNSSDSRQRTLSAVASSLSNRSTFHVCFDPRSGASEFIVPYQKFSKSLNHPLSIGMRFKLSYESEDANERSTGMISGVSEVDPIRWPGSKWKCLLVRWDGNTDCNHQHRVSPWEIERVGGMNSVTHSLSASNSKRTKLSFPESNLDAPVIDGNGYPDSMETKKFHRVLQGQELMGSRTHGVACSQSPDVANIRTSGNRRFSNVRVQGSEFTYQHLGFGESVGFPEVLQGQEMSQAVPLSQGTTFDTHATNGRVGSFSHVRQSAAPTGLPAEAQGYSLRQFALSSAAKVSSPSSVLMFNQATVPQLELEGRTHHLEMASKTDAWPRVQRPVPRETGGHGFDIGGASTLANSGLATRDSGRSNCRLFGFSLTEKILGAGEDAKGGNSNEEADRADPRLLELFGHSHPSPSALHALVAAPLGM* >Brasy8G068200.4.p pacid=40082759 transcript=Brasy8G068200.4 locus=Brasy8G068200 ID=Brasy8G068200.4.v1.1 annot-version=v1.1 MISCALLSMTQMFRQNLGGTAWGEENMECGSEEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQVRPSQELIAKDLHGTRWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGCDSELRLGIRRAVQLRNEDLFKAVNSSDSRQRTLSAVASSLSNRSTFHVCFDPRSGASEFIVPYQKFSKSLNHPLSIGMRFKLSYESEDANERSTGMISGVSEVDPIRWPGSKWKCLLVRWDGNTDCNHQHRVSPWEIERVGGMNSVTHSLSASNSKRTKLSFPESNLDAPVIDGNGYPDSMETKKFHRVLQGQELMGSRTHGVACSQSPDVANIRTSGNRRFSNVRVQGSEFTYQHLGFGESVGFPEVLQGQEMSQAVPLSQGTTFDTHATNGRVGSFSHVRQSAAPTGLPAEAQGYSLRQFALSSAAKVSSPSSVLMFNQATVPQLELEGRTHHLEMASKTDAWPRVQRPVPRETGGHGFDIGGASTLANSGLATRDSGRSNCRLFGFSLTEKILGAGEDAKGGNSNEEADRADPRLLELFGHSHPSPSALHALVAAPLGM* >Brasy8G124400.1.p pacid=40082760 transcript=Brasy8G124400.1 locus=Brasy8G124400 ID=Brasy8G124400.1.v1.1 annot-version=v1.1 MGSTAVDSDYGATRELSALQKERALYRPELPPCLQGTAVRVEYGDATIAADGSDARVISNAFPRTYGQPLAHFLPEAANASDAAIITEHPVVRVGVVFSGRQSPGGHNVIWGLHDALNAHNPSSKLFGFLGGTDGLFAQKTLEITDEVLSSYKNQGGYDMLGRTRDQIRTTEQVKAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKIVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNICTDALSAEKYYYFIRLMGRKASHVALECALESHPNMVILGEEVAASKLTISDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHDKGFSVESISSHLSPWASALFNFLPQFIRKQLLLHPESDDSAQLSQIEIEKLLAQLVETEINKRLEEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKNHLVRWKCGAAPITSMMTVKGWSRGPAATQIGKPAVHTASVDLKGKAYELLRQNSSSFLMEDIYKNPGPLQFEGPGAEAKPISLCVEDRDYMGRIKQLQEYLEKVKCIVKPGCSQDVLKAALSAMAHVTELLTIMSSPSYSGQAATI* >Brasy8G124400.2.p pacid=40082761 transcript=Brasy8G124400.2 locus=Brasy8G124400 ID=Brasy8G124400.2.v1.1 annot-version=v1.1 MGSTAVDSDYGATRELSALQKERALYRPELPPCLQGTAVRVEYGDATIAADGSDARVISNAFPRTYGQPLAHFLPEAANASDAAIITEHPVVRVGVVFSGRQSPGGHNVIWGLHDALNAHNPSSKLFGFLGGTDGLFAQKTLEITDEVLSSYKNQGGYDMLGRTRDQIRTTEQVKAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKIVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNICTDALSAEKVILGEEVAASKLTISDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHDKGFSVESISSHLSPWASALFNFLPQFIRKQLLLHPESDDSAQLSQIEIEKLLAQLVETEINKRLEEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKNHLVRWKCGAAPITSMMTVKGWSRGPAATQIGKPAVHTASVDLKGKAYELLRQNSSSFLMEDIYKNPGPLQFEGPGAEAKPISLCVEDRDYMGRIKQLQEYLEKVKCIVKPGCSQDVLKAALSAMAHVTELLTIMSSPSYSGQAATI* >Brasy8G146200.1.p pacid=40082762 transcript=Brasy8G146200.1 locus=Brasy8G146200 ID=Brasy8G146200.1.v1.1 annot-version=v1.1 MGGASKLLSSLLLTSSPLRLRPSAAAFALILSPPTAASRRQLLLSSPSPLRTLSTSTAASSLPYSSTSATPPPHAPFPEWSHLVDRLTAAGYDARAPSPADELALATGCSLSAEAESTVSSCLAFARDRPDLLRLLPRKDVEVVVANAAPALFKDGEASVQRLQQYLAGKENNVFQSTRAETVDIVRCLLSYAYSSSDSYLEDKELTDSAVRNILAELVNSSGLSRTSMESTVGQSFFSQPKKFSRPPAQSIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCEFHNYGRNMSCLRCDCKRPGTIPPNHASAGAGFGGVAQLVNLANAGRSEIERKLAENDEKAERWLSKVSQLDDSTDLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLASAQYSSNNSSQGATSDSKISETLDRILGRSASTSAPNNQSDTGGVNAGAPKKLTGHLSDIDPVPFVPLSPDLFAKPQNAESNGQVDKDCHIATEANSSTSDGTVTSTERGDGSKSSDTTERWSKKVAELDSANDLSSAVSDKEFPDIMPMRKGENRFVVSKKKDRSLTSPQYKRRSVLEQADDSNFVPFVPFPPDYFAKKNATAETENIPDTGIAPQGSPSADKLPGNLGNSRNNSQVIGRQASNNLNNENWNTNYSQQNLSAGGYLRAESSNYQQHQQPHEVDHRSSGTFNTGTWNTNNSQGRFNDGRGGSGNYQHQTQSHGTGGQSSGTSNSHTSNTNYSHGSFNEGGGVSTHNGASYSAQPPYTSGYSNNNGRNSNKSGSYPDNSTAASGSASANPNQTTGYSSYGGRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPVVSSEPDNDAS* >Brasy8G223400.1.p pacid=40082763 transcript=Brasy8G223400.1 locus=Brasy8G223400 ID=Brasy8G223400.1.v1.1 annot-version=v1.1 MPLPLSYPSTRIPPARRPRSFSGDHTVQWQRRRRPRHPRVRRLRRINQQRIRGAEPIPSPKPSTGIGARRCLGRIRQRWSGPWGDCTTSRYIWAWEPSIPCCIKHAKQATSLTSPRALGDLEDPELMLKFVRDILEITHGRGPTVVNRIIFAAGRYGHIDKSLIIFEELKRDQRCLDVVTFNTVLDMLGKAGRVDQMLHEVKLMEELGHSPDIVTYNTVINCLRWLGRFDLCKSFATEMFDRGICPDLRTYSALIDGFGRAGRITEALEVFDEMKKSHQPSIYVYRALISDLKKAGRIELAQKLLEEMNSRASDLLGPEDFKQKFKGRRITKSG* >Brasy8G223400.2.p pacid=40082764 transcript=Brasy8G223400.2 locus=Brasy8G223400 ID=Brasy8G223400.2.v1.1 annot-version=v1.1 MPLPLSYPSTRIPPARRPRSFSGDHTVQWQRRRRPRHPRVRRLRRINQQRIRGAEPIPSPKPSTGIGARRCLGRIRQRWSGPWGDCTTSRYIWAWEPSIPCCIKHAKQATSLTSPRALGDLEDPELMLKFVRDILEITHGRGPTVVNRIIFAAGRYGHIDKSLIIFEELKRDQRCLDVVTFNTVLDMLGKAGRVDQMLHEVKLMEELGHSPDIVTYNTVINCLRWLGRFDLCKSFATEMFDRGICPDLRTYSALIDGFGRAGRITEALEVFDEMKKSHQPSIYVYRALISDLKKAGRIELAQKLLEEMNSRASDLLGPEDFKQKFKGRRITKSG* >Brasy8G236400.1.p pacid=40082765 transcript=Brasy8G236400.1 locus=Brasy8G236400 ID=Brasy8G236400.1.v1.1 annot-version=v1.1 MVSRTPTKAPAPRPANGSPAKPSPSPTPAARRRKAFRGCGGRRLSATKRSGGSPLKSLAAAPAAVASSFDRSVRFCRRRLLKLFARLTILGSPAKRRAAAAGFQRLRSTPLPPTPKPLLSPRAQRQNRVHATALPPPPEPGKKTLFLDLDETLIHSQTDPVPARYDFTVRPVIGGQAITFYVTKRPGVDEFLRAAAEAFEVVVFTAGLEQYASLVLDRLDPDGAVIAHRLYRGACRDDGDGRLVKDLAATGRALDCAIIVDDNPNAYSLQPENAVPVVPFLDDANDQELQKVMGFLDVAAGFDDTREAIRCYKDLVSPN* >Brasy8G155000.1.p pacid=40082766 transcript=Brasy8G155000.1 locus=Brasy8G155000 ID=Brasy8G155000.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLRTKYERLDKGPFKYLPRSFITCTNNFFTLPGLPDENVANDSYDFCNFVTSQEYMVANMRAEIEHYRKQLWIALGHLSAMVDAGMYENEVRYPPRPAAPEFSKVFKVDGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSP* >Brasy8G076200.1.p pacid=40082767 transcript=Brasy8G076200.1 locus=Brasy8G076200 ID=Brasy8G076200.1.v1.1 annot-version=v1.1 MFLRKLAPSKRVTESSRTPASSRERQAPVVMMGCLRGGLHGVLALSSLLVLASGEVFFEERFEDGWGSRWVKSDWKKSEGKAGAFRHTAGSFSGDPDDKGIQTTLDARHFAISAKYPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPVKKDLTCETDKLTHFYTFILRPDASYSLLIDNREREFGSMYTDWDILPPRKIKQVNAKKPKDWDDREYIEDPDEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGPWKHKKIKNPNYKGKWKAPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARKVVDETWAANKEAEKEAFEGAEKKRKAREDEEAQRAREEGEKRRRERDRHRGRDHYREKHKRRYRRDYMDDYHDEL* >Brasy8G198800.1.p pacid=40082768 transcript=Brasy8G198800.1 locus=Brasy8G198800 ID=Brasy8G198800.1.v1.1 annot-version=v1.1 MASTGPGLYTEIGKKSRDLLYKDYRRTRSSCSPPSPPTEPEIQSQLKGKNVTLDVKVTSESSVIATVTVPELYTPGLKGTLCIPIPYNKSAGPAMTSSAVSTTRSDDSGAVQPKEMFPRPIVPGQR* >Brasy8G175900.1.p pacid=40082769 transcript=Brasy8G175900.1 locus=Brasy8G175900 ID=Brasy8G175900.1.v1.1 annot-version=v1.1 MVQPRAVGEKKTAGKRSIRIQRIENKESRLVTFSKRKSGLWKKGSEIAVLCRVRIALLAISEAGKVFAFGSPSVDAVLGDGAAAVPADDGPGWEAVEALYRETEGKVREVAAESARMDAVGEKVRQAQAQAGKRFWFEVDVEALGAEELPVFAMALQRLRENVGRRIESCLHSAAAAAKVG* >Brasy8G054000.1.p pacid=40082770 transcript=Brasy8G054000.1 locus=Brasy8G054000 ID=Brasy8G054000.1.v1.1 annot-version=v1.1 MGARPDRTALPEAGALASPAGRQGEAVPGDWRRGGEAGPGEQERGRLDARRRRARHRTGGVGVRHGRGPVTAGGSFEAGGAGRAVESGGVEWGGSLGRRAPRACARAEGGGGPNEFGLYLASRRGGGTWKRGPRRQSVSRQWAEPGSEGNQRSPWWQCTGG* >Brasy8G159600.1.p pacid=40082771 transcript=Brasy8G159600.1 locus=Brasy8G159600 ID=Brasy8G159600.1.v1.1 annot-version=v1.1 MPTARKWKTRAPRTPLRRPPGLEYRAKSDGAWYSVRLALQGGSLRVMYEDFLEETDEWYDPPAAGGDLASPRDVAALRARFRAVSPVLEDARCRDLRPGAPLCVGCDIFGGDLKYYDAVLDSVTEAKHETVDGEERCACRFTVRWTDGPRAGGLELVGIDKVCCVQATPTVQDPVLSQFLDVVTTAVSNDEGNAAAASQETGAALPKAGEGETAHLV* >Brasy8G171400.1.p pacid=40082772 transcript=Brasy8G171400.1 locus=Brasy8G171400 ID=Brasy8G171400.1.v1.1 annot-version=v1.1 MQPLMIQKPSHLSSDSSTLRFSRLIRRPFGSSSHAVPLPLLRNSVTEASGGLLMNFPSFLQSSPLPSSSSSQFQFIS* >Brasy8G234400.1.p pacid=40082773 transcript=Brasy8G234400.1 locus=Brasy8G234400 ID=Brasy8G234400.1.v1.1 annot-version=v1.1 MDDVDSVVRSADKADAPPGDDGSSTPLPETIQIGLSPTYKLDRKLGKGGFGQVYVGRRISTPTRTPGANALEVAIKFEHRTSKGCSYGAPYEWQVYNTLSGIHGVPRVHFKGKQADYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLQEKKLFLVDLGLATKWKDTGTGELVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFLEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDEDDQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWERNFYITALAGANNGSSVVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFVDQVVELDFLYPSEGVHRRWDNGYRITATAATWDQTALILSIPRRKPADETQETLRTSAFPSQHVKEKWGKNLYLASICYGRTVS* >Brasy8G234400.2.p pacid=40082774 transcript=Brasy8G234400.2 locus=Brasy8G234400 ID=Brasy8G234400.2.v1.1 annot-version=v1.1 MDDVDSVVRSADKADAPPGDDGSSTPLPETIQIGLSPTYKLDRKLGKGGFGQVYVGRRISTPTRTPGANALEVAIKFEHRTSKGCSYGAPYEWQVYNTLSGIHGVPRVHFKGKQADYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLQEKKLFLVDLGLATKWKDTGTGELVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFLEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDEDDQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWERNFYITALAGANNGSSVVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFVDQVVELDFLYPSEGVHRRWDNGYRITATAATWDQTALILSIPRRKPADETQETLRTSAFPSQHVKEKWGKNLYLASICYGRTVS* >Brasy8G234400.3.p pacid=40082775 transcript=Brasy8G234400.3 locus=Brasy8G234400 ID=Brasy8G234400.3.v1.1 annot-version=v1.1 MDDVDSVVRSADKADAPPGDDGSSTPLPETIQIGLSPTYKLDRKLGKGGFGQVYVGRRISTPTRTPGANALEVAIKFEHRTSKGCSYGAPYEWQVYNTLSGIHGVPRVHFKGKQADYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLQEKKLFLVDLGLATKWKDTGTGELVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFLEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDEDDQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHISKGNEDGLFISSVASCSNLWALIMDAGMDNGAVGEKLLYYCVGRCKQWQFCGGHVKRNTICPAIL* >Brasy8G111800.1.p pacid=40082776 transcript=Brasy8G111800.1 locus=Brasy8G111800 ID=Brasy8G111800.1.v1.1 annot-version=v1.1 MAVPSDRKRRPFLLSLSLFLLVSALLVLLFLFLGSSTQSLPFLPDRLSTPTPSLLGPLPPHQQQSPTPIPSSSDSQPNSPASAAAEAAEKADASRPEPTAAVTGGASKSEASPRSVDSRDAAAVDAEAKADRGVDAAGGGDDLAVADVRWETCKVMRGVSPADYIPCLDNIRAIKALRSRRHMEHRERHCPVAPRPRCLVRTPAGYRLPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKDGVTRYIQFIEQIMPAIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNAFDVVHCARCRVHWYANGGKPLLELNRVLRPGGYFIWSATPVYRKEKRDQDDWNAMVTLTKSICWRTVVKSEDSNGIGVVIYQKVTSSSCYLERKTNEPPLCSKKDGSRFPWYALLDSCILPPAVSSSDETKNSSFSWPGRLTRYASVPDDSATTEKFDADTKYWKQVISEVYFNDFPVNWSSIRNVMDMNAGYGGFAAAIVDQPLWVMNVIPIGQSDTLPVIFSRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIIEVAAEVDRILRPGRWFVLKDTMDMIKKMGPVLRSLHYETVIVKHQYLVATKSFWHPGSKVSRSG* >Brasy8G248100.1.p pacid=40082777 transcript=Brasy8G248100.1 locus=Brasy8G248100 ID=Brasy8G248100.1.v1.1 annot-version=v1.1 MDLIIPFKIGDFAEARSFVAGFTGAWFRCKINDMRVVESGQLEYYLEYIDYTEEAKEWTEVFQNNPRDPACHRRKSSASSHMMLRPPFPQWYQGEQIPEHLLNSRLIASARDTWKVGDWVDWFCEGCYWTVKIIRLLSEDEVKVQLLKPPLGEGGVHRVNPKDLRPALDWSMVDGWTVPLSKARGRSWYAVCLIHPKSDIEENTDGEMTSDDDEEEGQNSLRRASNATNCVSRTSTIMTSTEILKPTSTSKSVGNQQGTCRSSKRPASSMEHVPGTFNGAVSEPNGLSNGAGARPYALRTRKLMNNGSVYQK* >Brasy8G128800.1.p pacid=40082778 transcript=Brasy8G128800.1 locus=Brasy8G128800 ID=Brasy8G128800.1.v1.1 annot-version=v1.1 MEEVQAAVTAHLDQVSGLVQALSSELRRGIGPAADSLLAFVHAVDWTEPWLMCLMAFHASLLLAVVRLRRNANLQFFLLFLAYSGVYLAEKINIYLAEHWKSFASRNYFDHSGVFISVVWSGPLIFISIVTVVSSLITLCQLMVKWKRAELRHRVRLARDKQE* >Brasy8G247200.1.p pacid=40082779 transcript=Brasy8G247200.1 locus=Brasy8G247200 ID=Brasy8G247200.1.v1.1 annot-version=v1.1 MRIINWNVRGLAGTDKKALVFNAIRDSRPEIVCLQETKHSNIDSFKAALFLPNNLRSFLYEPAVNSAGGILVAWNSSRFTCDLVKKDTFSITVSVAATSVFEIVLS* >Brasy8G029000.1.p pacid=40082780 transcript=Brasy8G029000.1 locus=Brasy8G029000 ID=Brasy8G029000.1.v1.1 annot-version=v1.1 MHIVEWLQQLAGLWIRLFPASAEQFEQFPDYSKICKLVIKNEFHSEQSGLDATDYFEDRYWAQQHRTCDAGRYTPPKQPHYNQNKTSEFIIKILKLPVPLTILGLALAIRMYTKSEAA* >Brasy8G274000.1.p pacid=40082781 transcript=Brasy8G274000.1 locus=Brasy8G274000 ID=Brasy8G274000.1.v1.1 annot-version=v1.1 MLHGLASLGKVMGDPSKVKQAVICDDHFDLNSSKEVCVNVVAGYLLNLSGKAMWQNSMRHNKGIRVPPETNFCYEESKEGLNLFVFEAGVNPLHVATMQNDIHIYVIKEGKKTYIATLSSAYPRIQLDVMMSTGMEIHHTSLRKVVYFNACEFKPKIPFSFKSKAELSGLRGLPDAMFERKPAKKSVDPEYEKYVAKKGTAAERRDPNLITISLVSAPLMAARPAMSPAT* >Brasy8G274000.2.p pacid=40082782 transcript=Brasy8G274000.2 locus=Brasy8G274000 ID=Brasy8G274000.2.v1.1 annot-version=v1.1 MTSLGKVMGDPSKVKQAVICDDHFDLNSSKEVCVNVVAGYLLNLSGKAMWQNSMRHNKGIRVPPETNFCYEESKEGLNLFVFEAGVNPLHVATMQNDIHIYVIKEGKKTYIATLSSAYPRIQLDVMMSTGMEIHHTSLRKVVYFNACEFKPKIPFSFKSKAELSGLRGLPDAMFERKPAKKSVDPEYEKYVAKKGTAAERRDPNLITISLVSAPLMAARPAMSPAT* >Brasy8G050900.1.p pacid=40082783 transcript=Brasy8G050900.1 locus=Brasy8G050900 ID=Brasy8G050900.1.v1.1 annot-version=v1.1 MAAMRSGSTHVAIFLAASLMALAVASVQGQGGFVRDSSPPSSSPPAPAPAAAMTPPPPPGGPRRRCPSDVGEFGVCVDMGVLRGVLRSRMDPADRDRCCGRIRGMPSADAARCLCEAALGVRFDAFPIVNAVFDVCGVAPVPGMRCPGRAI* >Brasy8G175800.1.p pacid=40082784 transcript=Brasy8G175800.1 locus=Brasy8G175800 ID=Brasy8G175800.1.v1.1 annot-version=v1.1 MPLQRAATGTTTEANRRTGDVRDGADGTGLTVATRDREGPAAAESRRRRAPSLRCQSRPGLQPPEFLGGGVGLGGTRWGGHRAWEGNEGDGGTGRGGRRNGVVDGVGRPPAPEWRRRRRGP* >Brasy8G038700.1.p pacid=40082785 transcript=Brasy8G038700.1 locus=Brasy8G038700 ID=Brasy8G038700.1.v1.1 annot-version=v1.1 MSSNRSLLLCHRRRSTSAGTISPAPCLPHSTSLRRGHQRSYPQQRQRADQPVGAGARDLGGGRGLRREEGGRCVRREGEARAEREGGGGGSGSGRASGGGGGRRRAPQEWGAAALEAHLGGRWRLAAGRERGGRSRLSVVGLELGRN* >Brasy8G245900.1.p pacid=40082786 transcript=Brasy8G245900.1 locus=Brasy8G245900 ID=Brasy8G245900.1.v1.1 annot-version=v1.1 MCTNYMIEGRLSVVYSARSPPLSICSGEGFRNKLDCVNKRESVDTIQDCGWNSIPRGRGGGRAWQMEWQPIWRNRFCFQIAWFSCSMLL* >Brasy8G003100.1.p pacid=40082787 transcript=Brasy8G003100.1 locus=Brasy8G003100 ID=Brasy8G003100.1.v1.1 annot-version=v1.1 MAPSPLVLVVAAASFLAVALSHLDDHGSGPGYGYTAGSWDGPENWGKLSPKYRLCGEGKKQSPINIVTAQAIPNPNLDTLARVYAASNATLINTGKDITMTFPDNQPARAADGSKKVFTFKVIHWHSPSEHTIDGRRFPLELHLVHVNDNGDIAVIGILYSLGEPDSFYDQIADKLRELKRSESHGVVAAGMVELRSLQKRTGSYFRYSGSLTTPPCTEKVTWNILGKVREISAKQLQLLTGALPGKDNRPAQPLNGRSVAFYNPPNSTVSFQSIA* >Brasy8G282300.1.p pacid=40082788 transcript=Brasy8G282300.1 locus=Brasy8G282300 ID=Brasy8G282300.1.v1.1 annot-version=v1.1 MPKLEFAVDTGNQLLNRTLDGFIKIGAVGACKVAAEDAFDCLHKGDVSTKKLEHTLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRSDWKNALIGGVVSGALISAASNSHRDKIVKDAITGGAIATAVEFINYLT* >Brasy8G282300.2.p pacid=40082789 transcript=Brasy8G282300.2 locus=Brasy8G282300 ID=Brasy8G282300.2.v1.1 annot-version=v1.1 MPKLEFAVDTGNQLLNRTLDGFIKIGAVGACKVAAEDAFDCLHKGDVSTKKLEHTLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRSDWKNALIGGVVSGALISAASNSHRDKIVKDAITGGAIATAVEFINYLT* >Brasy8G269500.1.p pacid=40082790 transcript=Brasy8G269500.1 locus=Brasy8G269500 ID=Brasy8G269500.1.v1.1 annot-version=v1.1 MKQPAASPGRAEKPPQQLPAPPGLARLLLSKSRRGARSRRAPATSPMFVSRPGRTRGPADGGGEPSSPKVTCIGQVRMRNKGKKKKKGAPAPEKTKVSARGYCRCLKKAFLCGGLFGGYFDEHRRRGGGHKQAAPEPAERGRRSPWVFSSRDVAVAAAPKQQPDPSRDGAGEEEEESEMGVFGSAERDETDQPGIDEGCEEGKAAAAAEEEEVLASSSAVPPKNALLLMRCRSAPQNRSSPLTARFAAAPSPAQDAADIAPAAASPSPAPSPRKAPAAVGREHDTWLEAAPVAQKQEEEEVRGEEHEEDEEEEEEEMRCSSARPLVLPRCKSEPATTAAAKMAAGTDAEATPSGCFWANGGGSGRRRHAPPTFAAPVALTGH* >Brasy8G243600.1.p pacid=40082791 transcript=Brasy8G243600.1 locus=Brasy8G243600 ID=Brasy8G243600.1.v1.1 annot-version=v1.1 MSPPLELDYIGLSPPAAATAAAADDLKGTELRLGLPGSESPDRRVAAAAATTLELLPTKGAKRGFSDEVPSPPPAAAAGKGKKVAEEEEEDKKVTATPQPAAKAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGKDALSENRKDGEYVLTFEDKDGDWMLVGDVPWEMFADSCRRLRIMKGSDAIGLAPRAVEKSKNRN* >Brasy8G196500.1.p pacid=40082792 transcript=Brasy8G196500.1 locus=Brasy8G196500 ID=Brasy8G196500.1.v1.1 annot-version=v1.1 MHKAQGYFFRTGRPKRCETGTTLSFPHSPRCPCSLHPTPPPFSPPIQHRRRALPSPAPTTRREAPRHLSPPHRRRSSVEAPVAAPPAPVGKARRTSGCAVQGNGAQLRLAVAAARCGVQFPEPRDGQRRQRLAASTAPLSAAGHDGSSTAVVASSPPRLVTEGWSRQEAGGLRRTCVEPLGTTCRLAGSARPPLRHSLTPQPLLVAAAGSPQQHPAGRSPHRLTSKFYLQAQDLVDIDVSLRVIDSLQNNEVAAALACCAEHKSQLKKSKR* >Brasy8G091700.1.p pacid=40082793 transcript=Brasy8G091700.1 locus=Brasy8G091700 ID=Brasy8G091700.1.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.2.p pacid=40082794 transcript=Brasy8G091700.2 locus=Brasy8G091700 ID=Brasy8G091700.2.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.6.p pacid=40082795 transcript=Brasy8G091700.6 locus=Brasy8G091700 ID=Brasy8G091700.6.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.4.p pacid=40082796 transcript=Brasy8G091700.4 locus=Brasy8G091700 ID=Brasy8G091700.4.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.8.p pacid=40082797 transcript=Brasy8G091700.8 locus=Brasy8G091700 ID=Brasy8G091700.8.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.9.p pacid=40082798 transcript=Brasy8G091700.9 locus=Brasy8G091700 ID=Brasy8G091700.9.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.7.p pacid=40082799 transcript=Brasy8G091700.7 locus=Brasy8G091700 ID=Brasy8G091700.7.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.10.p pacid=40082800 transcript=Brasy8G091700.10 locus=Brasy8G091700 ID=Brasy8G091700.10.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.5.p pacid=40082801 transcript=Brasy8G091700.5 locus=Brasy8G091700 ID=Brasy8G091700.5.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.3.p pacid=40082802 transcript=Brasy8G091700.3 locus=Brasy8G091700 ID=Brasy8G091700.3.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDSEKTWYREFDVTPRQLEEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.11.p pacid=40082803 transcript=Brasy8G091700.11 locus=Brasy8G091700 ID=Brasy8G091700.11.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G091700.12.p pacid=40082804 transcript=Brasy8G091700.12 locus=Brasy8G091700 ID=Brasy8G091700.12.v1.1 annot-version=v1.1 MDMPPSDLSHHGIVENSPYRITKGGNEEAAKVGASWYFSRKEIEDNSPSKRDGIDLKKESYLRKSYCKYLQELGMRLKVPQATIATSIVFCHRFYLRQSHAKNDRREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVAATKKYKISDKGFFQIAWNFVNDGLFTSLCLQFKPHHIAAGALFLAEISNQLLELYEQKRAVQSQPSHGNEAERSSACVPNQRAYIKAQDNSEEAHVIGNHQASKQSDTNHSTSTIVPIHNGAEHSNRDKQIGSQKILQIEKGDHGSDKTSSLSGVKVDTGTDDGLHHDTKSLPGSSIPIDKSDIPTEEQKPLASHGSFSETRDGNLTNSGGPSVSSSMMDAMNKIDKEKVKAALEKQRKLKGDVAMNSDVMDDDDLLESELEHGVELAVEDEKIKKETSHGHLHQKDDQNTDDVAENRDHIEKNVPDTAKEAEVTIDVTEQRSPTKRSDSLEPESQQPHDAPKHSEGHDDAQLVVTDEEHKMPRPEG* >Brasy8G249300.1.p pacid=40082805 transcript=Brasy8G249300.1 locus=Brasy8G249300 ID=Brasy8G249300.1.v1.1 annot-version=v1.1 MDSQDDSMGMYTSLLSERVGIDEQTMPTQIVTPPVRPNNKRTKKFTIEEDQVLVSAWLYASLDPIVGNEQKNATYWKRIHEQYELHKPQESDRNISSLTHRWAVVKEQVGRFCGCFEHITNRNASGKTEQDKVMYLYIISL* >Brasy8G234100.1.p pacid=40082806 transcript=Brasy8G234100.1 locus=Brasy8G234100 ID=Brasy8G234100.1.v1.1 annot-version=v1.1 MSKYGAIPSSSDPPPPPGSSPLDFISRAKARGASALATRRPWRELADPGALAVPRGLGDAYARARANLAHFSMNYAVVVLAVVFVSLLWHPWSLVVFLACMVAWLFLYFLRDVPLQVSGRAVGDGVVLAVLSALTLVLLLLTGATANILGSLLVGLALVLVHALLHRPADSIDEEAGRWYTPVPPPPPY* >Brasy8G202900.1.p pacid=40082807 transcript=Brasy8G202900.1 locus=Brasy8G202900 ID=Brasy8G202900.1.v1.1 annot-version=v1.1 MDLRDSRVTEMIKVDDRSKWISYSNHNIKCFTEDEMRRVTSNYGTILGKGGFGDVYKGVLQDGSMVAVKRFVHNVEENFAKELKVHSEINHKNVVRLIGYCVEENALMIVSEYISKGSLNDVLHHDGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDVKPANILLDDNLNAKISDFGISRLVNTDATLYTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATTRNGEMGIVECFTQALGSGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVAERLRALRKTQVQGKQRLTIFPWGWKKQPATQNNSQSSSLEHAHTSSNIHNVKDHADATSESRTQSIKDDPATAASEKTTSSSNDGTKATPDIEKKVVVPFDVQISGNNAEFKELPRKPLVAGWPAWLANVAPKAIEGWLPRQGDSFDKIEKIRQGTYSNVYKARDRETGRIVALKKLRFDNMDPESVRFMVRQILVLRRLDHPNIIKLEGIATSRMLCSLYLVFEYMEHDLSALIATPDLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRNIKSSNLLIDNYGTLKIADFDWAISYDPNKPQPLTSRVVTLWYRPPELLLGATEYDVAVDMWSTGCIVAELFAGKPIMPGRTEVEQLYKIFKLCGSPAHDYCKKSKVPDFRMVKHLPDYRRCVAETFKVFPPSAVVLIDSLLSLEPQVRGTASSALQSDFFRTEPLACDPSSLPIRPSWEDYDFRPRRNRVTPRRRGAQSFQPGNENHVTSWATNGATKSEEHAHTSSSESNNVKFNTEDSVSLVPELTTSRPGAATPCRRAVA* >Brasy8G202900.2.p pacid=40082808 transcript=Brasy8G202900.2 locus=Brasy8G202900 ID=Brasy8G202900.2.v1.1 annot-version=v1.1 MDLRDSRVTEMIKVDDRSKWISYSNHNIKCFTEDEMRRVTSNYGTILGKGGFGDVYKGVLQDGSMVAVKRFVHNVEENFAKELKVHSEINHKNVVRLIGYCVEENALMIVSEYISKGSLNDVLHHDGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDVKPANILLDDNLNAKISDFGISRLVNTDATLYTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATTRNGEMGIVECFTQALGSGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVAERLRALRKTQVQGKQRLTIFPWGWKKQPATQNNSQSSSLEHAHTSSNIHNVKDHADATSESRTQSIKDDPATAASEKTTSSSNDGTKATPDIEKKVVVPFDVQISGNNAEFKELPRKPLVAGWPAWLANVAPKAIEGWLPRQGDSFDKIEKIRQGTYSNVYKARDRETGRIVALKKLRFDNMDPESVRFMVRQILVLRRLDHPNIIKLEGIATSRMLCSLYLVFEYMEHDLSALIATPDLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRNIKSSNLLIDNYGTLKIADFDWAISYDPNKPQPLTSRVVTLWYRPPELLLGATEYDVAVDMWSTGCIVAELFAGKPIMPGRTEVEQLYKIFKLCGSPAHDYCKKSKVPDFRMVKHLPDYRRCVAETFKVFPPSAVVLIDSLLSLEPQVRGTASSALQSDFFRTEPLACDPSSLPIRPSWEDYDFRPRRNRVTPRRRGAQSFQPGNENHVTSWATNGATKSEEHAHTSSSESNNVKFNTEDSVSLVPELTTSRPGAATPCRRAVA* >Brasy8G202900.3.p pacid=40082809 transcript=Brasy8G202900.3 locus=Brasy8G202900 ID=Brasy8G202900.3.v1.1 annot-version=v1.1 MDLRDSRVTEMIKVDDRSKWISYSNHNIKCFTEDEMRRVTSNYGTILGKGGFGDVYKGVLQDGSMVAVKRFVHNVEENFAKELKVHSEINHKNVVRLIGYCVEENALMIVSEYISKGSLNDVLHHDGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDVKPANILLDDNLNAKISDFGISRLVNTDATLYTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATTRNGEMGIVECFTQALGSGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVAERLRALRKTQVQGKQRLTIFPWGWKKQPATQNNSQSSSLEHAHTSSNIHNVKDHADATSESRTQSIKDDPATAASEKTTSSSNDGTKATPDIEKKVVVPFDVQISGNNAEFKELPRKPLVAGWPAWLANVAPKAIEGWLPRQGDSFDKIEKIRQGTYSNVYKARDRETGRIVALKKLRFDNMDPESVRFMVRQILVLRRLDHPNIIKLEGIATSRMLCSLYLVFEYMEHDLSALIATPDLKLTEPQLLHGLDHCHKNGVLHRNIKSSNLLIDNYGTLKIADFDWAISYDPNKPQPLTSRVVTLWYRPPELLLGATEYDVAVDMWSTGCIVAELFAGKPIMPGRTEVEQLYKIFKLCGSPAHDYCKKSKVPDFRMVKHLPDYRRCVAETFKVFPPSAVVLIDSLLSLEPQVRGTASSALQSDFFRTEPLACDPSSLPIRPSWEDYDFRPRRNRVTPRRRGAQSFQPGNENHVTSWATNGATKSEEHAHTSSSESNNVKFNTEDSVSLVPELTTSRPGAATPCRRAVA* >Brasy8G202900.4.p pacid=40082810 transcript=Brasy8G202900.4 locus=Brasy8G202900 ID=Brasy8G202900.4.v1.1 annot-version=v1.1 MDLRDSRVTEMIKVDDRSKWISYSNHNIKCFTEDEMRRVTSNYGTILGKGGFGDVYKGVLQDGSMVAVKRFVHNVEENFAKELKVHSEINHKNVVRLIGYCVEENALMIVSEYISKGSLNDVLHHDGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDVKPANILLDDNLNAKISDFGISRLVNTDATLYTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATTRNGEMGIVECFTQALGSGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVAERLRALRKTQVQGKQRLTIFPWGWKKQPATQNNSQSSSLEHAHTSSNIHNVKDHADATSESRTQSIKDDPATAASEKTTSSSNDGTKATPDIEKKVVVPFDVQISGNNAEFKELPRKPLVAGWPAWLANVAPKAIEGWLPRQGDSFDKIEKIRQGTYSNVYKARDRETGRIVALKKLRFDNMDPESVRFMVRQILVLRRLDHPNIIKLEGIATSRMLCSLYLVFEYMEHDLSALIATPDLKLTEPQLLHGLDHCHKNGVLHRNIKSSNLLIDNYGTLKIADFDWAISYDPNKPQPLTSRVVTLWYRPPELLLGATEYDVAVDMWSTGCIVAELFAGKPIMPGRTEVEQLYKIFKLCGSPAHDYCKKSKVPDFRMVKHLPDYRRCVAETFKVFPPSAVVLIDSLLSLEPQVRGTASSALQSDFFRTEPLACDPSSLPIRPSWEDYDFRPRRNRVTPRRRGAQSFQPGNENHVTSWATNGATKSEEHAHTSSSESNNVKFNTEDSVSLVPELTTSRPGAATPCRRAVA* >Brasy8G202900.5.p pacid=40082811 transcript=Brasy8G202900.5 locus=Brasy8G202900 ID=Brasy8G202900.5.v1.1 annot-version=v1.1 MDLRDSRVTEMIKVDDRSKWISYSNHNIKCFTEDEMRRVTSNYGTILGKGGFGDVYKGVLQDGSMVAVKRFVHNVEENFAKELKVHSEINHKNVVRLIGYCVEENALMIVSEYISKGSLNDVLHHDGIHIPLDTRLRIAVECSEALCYMHSQMYTQVIHSDVKPANILLDDNLNAKISDFGISRLVNTDATLYTEHVIGSICYMDPLFAKYGRLTPKSDVYSFGIVLLELITKKKATTRNGEMGIVECFTQALGSGIRRVRELFDVEISSQNNMKVLEGVAKLAAECMRMELDRRPEMIDVAERLRALRKTQVQGKQRLTIFPWGWKKQPATQNNSQSSSLEHAHTSSNIHNVKDHADATSESRTQSIKDDPATAASEKTTSSSNDGTKATPDIEKKVVVPFDVQISGNNAEFKELPRKPLVAGWPAWLANVAPKAIEGWLPRQGDSFDKIEKIRQGTYSNVYKARDRETGRIVALKKLRFDNMDPESVRFMVRQILVLRRLDHPNIIKLEGIATSRMLCSLYLVFEYMEHDLSALIATPDLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRNIKSSNLLIDNYGTLKIADFDWAISYDPNKPQPLTSRVVTLWYRPPELLLGATEYDVAVDMWSTGCIVAELFAGKPIMPGRTEVEQLYKIFKLCGSPAHDYCKKSKNHKFVEQLPQLFRVIFSGQSHLLATLQAYQYVHHGKIMILDPGETE* >Brasy8G087300.1.p pacid=40082812 transcript=Brasy8G087300.1 locus=Brasy8G087300 ID=Brasy8G087300.1.v1.1 annot-version=v1.1 MRGKNRRSTNNPATLRIAPASHSFAAPVLTVSIGDVVYEEHHVYTLNFSWPQVACMTQCPIRGSRVVFMSFCDRSKQACLLIQKFAVRFPHLSDAESFLNSVKECSRNTIDILPSRSDYVCEDSSSSEYTASDGLQYRLDDATNFEEPTSYHGTYAPAVSYHEEPDQPVLRSPLATNIDEIYSGFPHCYSEVLTSYSIKNEKDGEEPCLATATDHAPENAYILDSCHDAVSVAGNESIADKGKVGGKEVDASKETCDLLAGMKTYGTDDSFHDMLSKLDKAIDELGGDMLL* >Brasy8G087300.2.p pacid=40082813 transcript=Brasy8G087300.2 locus=Brasy8G087300 ID=Brasy8G087300.2.v1.1 annot-version=v1.1 MRGKNRRSTNNPATLRIAPASHSFAAPVLTVSIGDVVYEEHHVYTLNFSWPQVACMTQCPIRGSRVVFMSFCDRSKQACLLIQKFAVRFPHLSDAESFLNSVKECSRNTIDILPSRSDYVCEDSSSSEYTASDGLQYRLDDATNFEEPTSYHGTYAPAVSYHEEPDQPVLRSPLATNIDEIYSGFPHCYSEVLTSYSIKNEKDGEEPCLATATDHAPENAYILDSCHDVSVAGNESIADKGKVGGKEVDASKETCDLLAGMKTYGTDDSFHDMLSKLDKAIDELGGDMLL* >Brasy8G025400.1.p pacid=40082814 transcript=Brasy8G025400.1 locus=Brasy8G025400 ID=Brasy8G025400.1.v1.1 annot-version=v1.1 MSNTAAAAARWKELHGERSWEGLLRPTLDIELRRTVIWYGEMAQATYDAFNHERVSRNAGLSRFSRGRFFHGAMLPAHAGAYKVTKFLYATSSAPGHAAAFMVRGRGGHVGGGGECRESNWIGYVAVATAEGKAALGRRDIVVAWRGTVESLEWVDDLEFAMVAPRGIVRDGCEDALVHRGWLSMYTSTHPASTHNKDSARDQVLDEVQRLVEMYKEEEVSITVTGHSLGAALATLNAFDIAENGYNTAVRAGAATGLGATAFPVAVFAFASPRIGGAGFKKRFAAMATPLRVLRIRNARDIVPKYPALWYHDVGCELAIDTGASPYLKAPGNERVWHNLESYLHGVAGVPASGAPSSGFELMVARDVALVNKAYDALREEHGVPAGWWVPRNKGMAKGDDGRWRLVDCDEEEGYDNDACCRQSE* >Brasy8G219800.1.p pacid=40082815 transcript=Brasy8G219800.1 locus=Brasy8G219800 ID=Brasy8G219800.1.v1.1 annot-version=v1.1 MKNIALFSAVLLLVVSSVAGRDVAPALPKDNILRNHDILNPPGGKAGASESKVYAITQPIFRPPFNPPCASKAVRASGDSNDEKLC* >Brasy8G167200.1.p pacid=40082816 transcript=Brasy8G167200.1 locus=Brasy8G167200 ID=Brasy8G167200.1.v1.1 annot-version=v1.1 PLPRTSPSSSPPACSRRRSSTPPTLAPFSPASLGEHPCAAPFSISSIAIELRHQAHHAAHQRATEQPSPPACDNRNSYFIHTCWNSINCEETNVII* >Brasy8G088600.1.p pacid=40082817 transcript=Brasy8G088600.1 locus=Brasy8G088600 ID=Brasy8G088600.1.v1.1 annot-version=v1.1 MKGEGEKKRKALDILAGSDDDSDGSAEDNSKIQINEEYARRFEHNKRREALQRLGEQKRKGLVVDSDDEDDDESSDDEDEEVAAASRRVDRRVLQVIRRIRSGDSALFDKEAKVYSSSEDEASEEKEPKEGKAKKERPLYLKDVNARDLLEEGAEFAGQSSRGSKYDKIAYDDHQREGLKEFLEAEKKALGDGDDDDDLFMEKQKGGDGGDSEEEDDEVKQTEELLGEVFGKDEELDDNEKFLKDYILNRPYLEPVMDNKFSLDDIKEVSEEEDEIKKQENYEIGYNYRHEEVAASDTLVTDRVMGHPRVVEGSVRKKESSRKKQRKSKEERNARAKQEQAEELKHLKNLKKKEIAEKLAKIQMVAGIEGDAACKLGADDLEEDFDPEDYDRKMQAMFDDNYYGAEEVDPGFGSGDEFDLGKPDFDKEDELLGLPKEWALGDKKVATATDEKAVDEKKKKKKSKELANSEEVGEKRKGKISLKDKVELEKELEEYYKLDYEDTIGDLKTRFKYKKVQPNNFGLETFEILQSDDRDLNQYVSMKKLAPYREDEWKVTHHKKLSKDLILGGQKIEGKKSKTGKKSRSEEDRSSEKPEKDKLTGEEETDAKTKSEDKKIKTGKKSRSEEAPSAQKPEEDKLTSDQEGTDAKKKSSRSERRNRRRKELKMPKERLEAYGKINPKRHKSN* >Brasy8G233600.1.p pacid=40082818 transcript=Brasy8G233600.1 locus=Brasy8G233600 ID=Brasy8G233600.1.v1.1 annot-version=v1.1 MDQESAGGKKRKRKPGDNAGAPKRKPKNNTGGAWKSNKRRGSGAGEQQLAPAPAAIEKVAECVRLPEEEEEEENYEGITEESIADMMSWLALELAPPPAPPPAPAGGSCVSVQGSSNESCGPSFSGSASTVMASVDFRFPAPVVPWPWPSPPLPEAVPVAVPQGEGTADDDEWVAGLLTDGPTDEGLYY* >Brasy8G293000.1.p pacid=40082819 transcript=Brasy8G293000.1 locus=Brasy8G293000 ID=Brasy8G293000.1.v1.1 annot-version=v1.1 MAAKEALTLQEVAKHNTKDDCWLIIAGKVYDVTKFLNDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMLDEYYVGDVDASTIPARSKYTPPKQPHYNQDKTPEFIIKILQFLIPLVILGLAVAVRMYTKSESA* >Brasy8G056300.1.p pacid=40082820 transcript=Brasy8G056300.1 locus=Brasy8G056300 ID=Brasy8G056300.1.v1.1 annot-version=v1.1 MDTAGRDANPLKGYRIGKTLGIGSFGKVKIAEHIITGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHSWFKARLPRYLAVPPPDTAQQVKKLDDETLNDVIKMGFDKNQLTESLQKRLQNEATVAYYLLLDNKLRTTSGYLGAEYQESMDSSFSQISPETPNSASEARQFGSPAFGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWNPGFVENMMHNNHGFGVESAIIESDDLSEKSTHIVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL* >Brasy8G056300.2.p pacid=40082821 transcript=Brasy8G056300.2 locus=Brasy8G056300 ID=Brasy8G056300.2.v1.1 annot-version=v1.1 MDTAGRDANPLKGYRIGKTLGIGSFGKVKIAEHIITGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKLDDETLNDVIKMGFDKNQLTESLQKRLQNEATVAYYLLLDNKLRTTSGYLGAEYQESMDSSFSQISPETPNSASEARQFGSPAFGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWNPGFVENMMHNNHGFGVESAIIESDDLSEKSTHIVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL* >Brasy8G219500.1.p pacid=40082822 transcript=Brasy8G219500.1 locus=Brasy8G219500 ID=Brasy8G219500.1.v1.1 annot-version=v1.1 MRKEFMHKTVGPDFPIIVTTYRMAMSDKQWIPHYKWRYVVVDQNEDMRAEEERWANLSKLHAILRLFLPREMEQNIENWFTQLIENGVTGSEDHAAIEGASTGAGSCQEVQAEVAFNDGGEGIPKNLQSSQYGLEGNKVKRPRRNDAPAFPLEECNSSFQAPSRSAVVVQVPKPASSELIFKSLKEIPELARCDFLRAYGALIRDDRQFESLMALPMDMRKDWLLMELGNSDPSEAPAEEMDSKAQNSGGEEAEADPARPVRKRRLPTRLAGREWVR* >Brasy8G245500.1.p pacid=40082823 transcript=Brasy8G245500.1 locus=Brasy8G245500 ID=Brasy8G245500.1.v1.1 annot-version=v1.1 MEEYAETVKERRAEEKLKDVDLDAWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMAQLGWGPGVAVIAASFVITLYTLWQLVEMHEMVPGKRFDRYHELGQHAFGDRLGLWIIVPQQLIVEVGTDIVYMVTGGQSLKKFHDLVCDGKCKDIRLTFFIMIFGAVHFVLSQMPNFNSISGVSAAAAVMSLCYSMVAFFTSAVKGHVGAAVDYGLKATTTAGKVFGTLNALGAVAFAFAGHSVVLEIQATIPSTPEQPSKKPMWRGVVVAYAVVALCYFCVAFGGYYAFGNSVDPNVLITLEKPRWLIAAANMMVVVHVIGSYQVFAMPVFDMMETVLVKKLEFAPGLPLRLVARSAYVALTMLAGMTFPFFDGLLGFFGGFAFAPTTYFLPCVIWLMLRKPARYSATWIINWVFIVLGVLLMLLAPIGGLRQIILDAKTFKFYS* >Brasy8G102300.1.p pacid=40082824 transcript=Brasy8G102300.1 locus=Brasy8G102300 ID=Brasy8G102300.1.v1.1 annot-version=v1.1 MAAASVGGGGAAAAPFVWKTYRMVEDPGTDGVIGWGPASNSFVVADPFVFSQTLLPTHFKHNNFSSFVRQLNTYGFRKVDPGRWEFAHGSFLRGQTHLLRNIVRGGGSKRKDAAAADEDMTMVATEVVRLKKEQRTIDDRVAAMWRRVQETERRPKQMLAFLLTVVGDRDTLLRLVSGNDGDDGAGDGEPVEEGGEKRARLRLDGPEAADFAGFYGVGGDAFVNQLAVDVAAGAGSSVGGAAGSSFGFGVDSGY* >Brasy8G291900.1.p pacid=40082825 transcript=Brasy8G291900.1 locus=Brasy8G291900 ID=Brasy8G291900.1.v1.1 annot-version=v1.1 MHARLSLTKGFPIPSTLSSNILLSCSFDRLLLNIGLALAELMAMAVVTGAMGSLLPKLAELLKDEYNLQKSVKKHVESLSREMESMNAALRKVANVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMRLLAMEDDHMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMFDERQLIDRLWLFLDNKRYLIIIDDIWDEKLWKGINLAFSNSNNLGSRLITTTRKVSVSMACCSADDDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIVTIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYFGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAGCEFGESSWKLKDVGNLFHLRYLGLAGTDIRELPAEIGNLQFLQVLDLEDNYDLKELPLTVCKLRRLMFLGFYRSCKMPPGVLGNLTSIEVLKKIKASLNIVQQLSSLARLRELGILFPKKSFDLYGPFVESLCNLKHLESIIIDCDSDPSPGLMDLLEEHSWVPPPSLRKFVSYLPSKLSTLPAWIKRDPSRLSNLSELRLRVKGVQQEDMEILGGLPALWSLEIFSTHQTQRRLVISADGYRGVVWFELLCGLGAQIMFEPGALPRAERVEFSVGVRVAKDDGNGNFALGLQGNLLSLKRVRVAIYPGGATVGEAKEAEAAVRRALQEHPNHPYILGIDMLPEIPADAHDDDICEDETEEQE* >Brasy8G291900.2.p pacid=40082826 transcript=Brasy8G291900.2 locus=Brasy8G291900 ID=Brasy8G291900.2.v1.1 annot-version=v1.1 MHARLSLTKGFPIPSTLSSNILLSCSFDRLLLNIGLALAELMAMAVVTGAMGSLLPKLAELLKDEYNLQKSVKKHVESLSREMESMNAALRKVANVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMRLLAMEDDHMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMFDERQLIDRLWLFLDNKRYLIIIDDIWDEKLWKGINLAFSNSNNLGSRLITTTRKVSVSMACCSADDDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIVTIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYFGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAGCEFGESSWKLKDVGNLFHLRYLGLAGTDIRELPAEIGNLQFLQVLDLEDNYDLKELPLTVCKLRRLMFLGFYRSCKMPPGVLGNLTSIEVLKKIKASLNIVQQLSSLARLRELGILFPKKSFDLYGPFVESLCNLKHLESIIIDCDSDPSPGLMDLLEEHSWVPPPSLRKFVSYLPSKLSTLPAWIKRDPSRLSNLSELRLRVKGVQQEDMEILGGLPALWSLEIFSTHQTQRRLVISADGYRGVVWFELLCGLGAQIMFEPGALPRAERVEFSVGVRVAKDDGNGNFALGLQGNLLSLKRVRVAIYPGGATVGEAKEAEAAVRRALQEHPNHPYILGIDMLPEIPADAHDDDICEDETEEQE* >Brasy8G291900.3.p pacid=40082827 transcript=Brasy8G291900.3 locus=Brasy8G291900 ID=Brasy8G291900.3.v1.1 annot-version=v1.1 MHARLSLTKGFPIPSTLSSNILLSCSFDRLLLNIGLALAELMAMAVVTGAMGSLLPKLAELLKDEYNLQKSVKKHVESLSREMESMNAALRKVANVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMRLLAMEDDHMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMFDERQLIDRLWLFLDNKRYLIIIDDIWDEKLWKGINLAFSNSNNLGSRLITTTRKVSVSMACCSADDDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIVTIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYFGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAGCEFGESSWKLKDVGNLFHLRYLGLAGTDIRELPAEIGNLQFLQVLDLEDNYDLKELPLTVCKLRRLMFLGFYRSCKMPPGVLGNLTSIEVLKKIKASLNIVQQLSSLARLRELGILFPKKSFDLYGPFVESLCNLKHLESIIIDCDSDPSPGLMDLLEEHSWVPPPSLRKFVSYLPSKLSTLPAWIKRDPSRLSNLSELRLRVKGVQQEDMEILGGLPALWSLEIFSTHQTQRRLVISADGYRGVVWFELLCGLGAQIMFEPGALPRAERVEFSVGVRVAKDDGNGNFALGLQGNLLSLKRVRVAIYPGGATVGEAKEAEAAVRRALQEHPNHPYILGIDMLPEIPADAHDDDICEDETEEQE* >Brasy8G291900.4.p pacid=40082828 transcript=Brasy8G291900.4 locus=Brasy8G291900 ID=Brasy8G291900.4.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKDEYNLQKSVKKHVESLSREMESMNAALRKVANVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMRLLAMEDDHMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMFDERQLIDRLWLFLDNKRYLIIIDDIWDEKLWKGINLAFSNSNNLGSRLITTTRKVSVSMACCSADDDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIVTIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYFGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAGCEFGESSWKLKDVGNLFHLRYLGLAGTDIRELPAEIGNLQFLQVLDLEDNYDLKELPLTVCKLRRLMFLGFYRSCKMPPGVLGNLTSIEVLKKIKASLNIVQQLSSLARLRELGILFPKKSFDLYGPFVESLCNLKHLESIIIDCDSDPSPGLMDLLEEHSWVPPPSLRKFVSYLPSKLSTLPAWIKRDPSRLSNLSELRLRVKGVQQEDMEILGGLPALWSLEIFSTHQTQRRLVISADGYRGVVWFELLCGLGAQIMFEPGALPRAERVEFSVGVRVAKDDGNGNFALGLQGNLLSLKRVRVAIYPGGATVGEAKEAEAAVRRALQEHPNHPYILGIDMLPEIPADAHDDDICEDETEEQE* >Brasy8G291900.5.p pacid=40082829 transcript=Brasy8G291900.5 locus=Brasy8G291900 ID=Brasy8G291900.5.v1.1 annot-version=v1.1 MAMAVVTGAMGSLLPKLAELLKDEYNLQKSVKKHVESLSREMESMNAALRKVANVPREQLDEQVKIWANEVRELSYKMEDVVDTFLVRVDGCEEIKPNPNKLKRLVKRMGNVFTHGKARHQIAGAIKDINMEVKEVAARRDRNKIDGIVANPPAATTIDPRLHAMYIDTTKLVGIYGKRDQELMRLLAMEDDHMISTKRLKIVSIVGFGGLGKTTLARAVYDKIKGDFDCKAFVPVGRNPDVKKVFRDILIDLGNSSSDLPMFDERQLIDRLWLFLDNKRYLIIIDDIWDEKLWKGINLAFSNSNNLGSRLITTTRKVSVSMACCSADDDSIYHMKPLSADDSKMLFHKRIFHDRCPAEFEDVSSDILKKCGGVPLAIVTIASLLASSGQHIKPVHEWHALLQSLGLGLTEDASLEEMQRILSFSYYDLPSHLKTCLLYLSIYPEDYYIKRDQLIWKWVTESFVQPGKQGISLFVLGENYFNELINRSMIQPMYNYFGQVEACRIHDMVLDLICSLSREECFVNLLDGSSDSTSCQNNIRRLSLQHRQDHEAKSLINSMRISQVRSVTIFPPAIDIVPALSRFDVLRVLDCAGCEFGESSWKLKDVGNLFHLRYLGLAGTDIRELPAEIGNLQFLQVLDLEDNYDLKELPLTVCKLRRLMFLGFYRSCKMPPGVLGNLTSIEVLKKIKASLNIVQQLSSLARLRELGILFPKKSFDLYGPFVESLCNLKHLESIIIDCDSDPSPGLMDLLEEHSWVPPPSLRKFVSYLPSKLSTLPAWIKRDPSRLSNLSELRLRVKGVQQEDMEILGGLPALWSLEIFSTHQTQRRLVISADGYRGVVWFELLCGLGAQIMFEPGALPRAERVEFSVGVRVAKDDGNGNFALGLQGNLLSLKRVRVAIYPGGATVGEAKEAEAAVRRALQEHPNHPYILGIDMLPEIPADAHDDDICEDETEEQE* >Brasy8G145300.1.p pacid=40082830 transcript=Brasy8G145300.1 locus=Brasy8G145300 ID=Brasy8G145300.1.v1.1 annot-version=v1.1 MRRSSSEGAADSGAPGSSAELPPRRPQNAEGDGRAAAEVTPPPPAPDSSAAPEVRSESLAASAAPALSLPASVATEVRAVSLAASAAPPNLRAESLPATPHPGPRPRPWSWSGIPWMRLLIGGLFLVVLGYVFYKWGLPILSEKVLLPIMRWEATSFGRPVLAIVLVVSLSVFPTVFLPSTPSMWLTGMIFGYGFGFLIIMVGTAIGMSIPYLIGSLFLHRFHGWLERRWPQQIALIKLAGQGGWFQQFRVVVLLRISPFPYALLNYAATITQMKFTPYICGSVVGMVPDAFVNIYSGRLILTLADLKYDRRRMTTVEIIYNVFSAIVAVGIGVGFTVYARRALDGIQSAEVARRHEPVAVPPTTNSASELRDRHGGSSSVLVDVV* >Brasy8G145300.2.p pacid=40082831 transcript=Brasy8G145300.2 locus=Brasy8G145300 ID=Brasy8G145300.2.v1.1 annot-version=v1.1 MRRSSSEGAADSGAPGSSAELPPRRPQNAEGDGRAAAEVTPPPPAPDSSAAPEVRSESLAASAAPALSLPASVATEVRAVSLAASAAPPNLRAESLPATPHPGPRPRPWSWSGIPWMRLLIGGLFLVVLGYVFYKWGLPILSEKVLLPIMRWEATSFGRPVLAIVLVVSLSVFPTVFLPSTPSMWLTGMIFGYGFGFLIIMVGTAIGMSIPYLIGSLFLHRFHGWLERRWPQQIALIKLAGQGGWFQQFRVVVLLRISPFPYALLNYAATITQMKFTPYICGSVVGMVPDAFVNIYSGRLILTLADLKYDRRRMTTVEIIYNVFSAIVAVGIGVGFTVYARRALDGIQSAEVARRHEPVAVPPTTNSASELRDRHGGSSSVLVDVV* >Brasy8G145300.3.p pacid=40082832 transcript=Brasy8G145300.3 locus=Brasy8G145300 ID=Brasy8G145300.3.v1.1 annot-version=v1.1 MRRSSSEGAADSGAPGSSAELPPRRPQNAEGDGRAAAEVTPPPPAPDSSAAPEVRSESLAASAAPALSLPASVATEVRAVSLAASAAPPNLRAESLPATPHPGPRPRPWSWSGIPWMRLLIGGLFLVVLGYVFYKWGLPILSEKGWLERRWPQQIALIKLAGQGGWFQQFRVVVLLRISPFPYALLNYAATITQMKFTPYICGSVVGMVPDAFVNIYSGRLILTLADLKYDRRRMTTVEIIYNVFSAIVAVGIGVGFTVYARRALDGIQSAEVARRHEPVAVPPTTNSASELRDRHGGSSSVLVDVV* >Brasy8G251400.1.p pacid=40082833 transcript=Brasy8G251400.1 locus=Brasy8G251400 ID=Brasy8G251400.1.v1.1 annot-version=v1.1 MAAGDAPTKKSRVERPPGMSAPDWLADCTRRSVENATRRSREKNAKERNTALARQIEARRYWSGGSQRSSSSSPSPSGISLVSHENHGNATPSLSRFSRDYPDTDPLGGFNPNTFAADPLGGFNPNAFASPPLRRGPISYAGSSPSASFQPFPAGCSQDAPNPFGRMSQGESIMADMINDGSQHAHYTYTQEEEAYADEEAKEGAGEGWADGTEEPAVAEPRGKKKAAAEKKKAGGGGRGPKWTSKEDECLVEAWKVVSMNPFTGANQNADTYWRQLKAAFDERRVVDREFAMLTHDRNESACNRWHGIQEEMVAMFTAYREDNDDVECKFIHVFARIALSKSKDGPYDPKAAPSAASEGRPIGHKKAKAARDAAPATERLYTCIEKCMTDTAAQAAKREELAAKREEVAASRWATVIKKQDDKLEILKANVATKKRRYDVQRRLILAEARTPASSTPPLAPDTATPATSMPSAPSPPEEATPATSTPPASSEVPVAPADDEGAE* >Brasy8G026900.1.p pacid=40082834 transcript=Brasy8G026900.1 locus=Brasy8G026900 ID=Brasy8G026900.1.v1.1 annot-version=v1.1 MEGTTAAEVVSGDGAAADAQIKGGKENGQPALQLQRPSQPSGGSEALEMPATPFPIARDLDWSEHFSFFNSAGGFPGSADGARGLASTGLSNSVSRPDSVTQRCLDCTDERVEELTVGNCISSDAQPHVSAGGSSSGGERPAIVRGLWGNFTRMAWRSSDVASRENLAAGRGDIANLRTGDVASRETLAANLGNNMISQNNLWTGNVASRENLAVNLANSIIAQNNLRTGDMVSRENLAVNLGNSMISQNMDASGKEMPFSCGDNANSEFNMSFGNQQQPIPSSRPNQTEQRVERENGLKVSSFSNRIIDQMRNKTATPSSGVQGFPFKTASKGKGVTYQSAREEIQAQANARPRVPMDRISKIPSSTHNSMARLDGTFFNSGGNASESQNEGTSLRELIRPTGQVMSKFEKMQLFKQILDHVDKSHARGLTLQHVRPSYFIVSPPNQVKYTGSYATQDLSAPAKPDIATDDMFNRKRRFDQKTVHQEFNGNGNPNSILKYQKVGEQGSVAVRRPTHTFRTDHRGGNQSEDVDPGASGQGNSSCTVRGRFNFGEPYYGNGSNASYAQRLSNYGNQESVLDLRLLEDSWYRSPEELSQLKGTFPSNIYSLGVILFELFCCCETWELHCAAMSDLRHRILPPNFLSESPKEAGFCLWLLHPDPSSRPKARDILGCDLINEGRDLSLLDNKVPAAVSEEDTESGLLLNFLSQLKEEKEMQASKLSADLAGLQTDIAEVERRHSLRNGFSLEDMGVLASSNDLPGTSSDALRGGSLSGLLPPICRSSIYEQRVMRNLEQLENAYYSMRSTIDTSETNVIKRSDNDALRVRDNFYQLHGDTDAMNEQTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASTGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCVDTIRNVANVCCVQFSPYSSRMLAFGSADYKTYCYDLRHTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTSACSLTLSGHTNEKNFVGLSVHDGYITCGSENNEVYSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSSGSIKVLELV* >Brasy8G197600.1.p pacid=40082835 transcript=Brasy8G197600.1 locus=Brasy8G197600 ID=Brasy8G197600.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQKA* >Brasy8G039600.1.p pacid=40082836 transcript=Brasy8G039600.1 locus=Brasy8G039600 ID=Brasy8G039600.1.v1.1 annot-version=v1.1 MAATTAALFFSVLPLLPTASRTRTTRRRRRPTAATRVRCSSASPDLSPAAPAPAPPKPQIELEFLGPKAGADGAYPVDRAAAASGEKLLRDVMLENKLELYAAYGKLMNCGGGGSCGTCIVEIIEGKELLSERTDAENRYLKKKPESWRLTCQTIVGNKENSGKVVVQRLPQWKK* >Brasy8G039600.2.p pacid=40082837 transcript=Brasy8G039600.2 locus=Brasy8G039600 ID=Brasy8G039600.2.v1.1 annot-version=v1.1 MAATTAALFFSVLPLLPTASRTRTTRRRRRPTAATRVRCSSASPDLSPAAPAPAPPKPQIELEFLGPKAGADGAYPVDRAAAASGEKLLRDVMLENKLELYAAYGKLMNCGGGGSCGTCIVEIIEGKELLSERTDAENRYLKKVVVQRLPQWKK* >Brasy8G082600.1.p pacid=40082838 transcript=Brasy8G082600.1 locus=Brasy8G082600 ID=Brasy8G082600.1.v1.1 annot-version=v1.1 MSSPREDAPLSTKQQSSPGRETNNGSPPRRPDMGTGCALLCFHMPSRPGKKKKKKKKKKKQPGQLAKLGPGSEISARDCCYASEAAASQRVTFLASASLSTWWPSSPSAGGHDGAWRRAPSSSAAEAAARGGGAGARMRALSSSFSYWRRSQASSSRVMPHGAADLRQPASPSFSFPSSPVSVSSCLSTPRISHGCPQE* >Brasy8G231200.1.p pacid=40082839 transcript=Brasy8G231200.1 locus=Brasy8G231200 ID=Brasy8G231200.1.v1.1 annot-version=v1.1 MFGWGKRGKNPAPASGGSREVAVQKVDRIEFHSLVKPPPRFGAGGVISAANPRNPAALPPAVTGTEAARMPDGSPREDINRKASRFIEDTKKGWQLAHKSFRATGAGR* >Brasy8G165700.1.p pacid=40082840 transcript=Brasy8G165700.1 locus=Brasy8G165700 ID=Brasy8G165700.1.v1.1 annot-version=v1.1 MAWQLQATITTSRAHPVRCCSGPARRSANYAPSSWDYDSLLLSLHNNGQLNHPVGRAWSFDRLKASVRERLAAACRSGDGHHQGAKLRLVDTIQRLGIAYHFDDEITDILASIHGCSWDNDDDVASTALRFRLLRANGFPVTPGEHLKNLKHGSESYLTSALRKDDVTELLSLYEASYLAFRDEEPLDQARKPSANALRALLPSMDPHLRHSVVHALELPLHWRSPRIEARWFIDHNARDLSNSDPLLLRFAMMDFDDVQSVHQQELVRLTRWWKETGLGEKMGFARDRLMECFHYANGIVWEPSLGSCREVLVKVANLIVHLDDVYDVYGTLDELILFTDAIGRWEESPSEMLPEYARALYSVMYNTSNEMADNVLKKHGVDVRSLLSKAWHDMAKTFLVEAKWHHGNHRPILKEYLDNGSVSSSAPLLLQHAFPMLNMEVTTKSLDQVRSYPRLVQSASLILRLCNDSATHSAELERGDAPSSIAIHMWENSSSEQESRKAMEDLTIDAWKSINEDTLEHCQFSGSFAKTCVNLARISHCVYQGGDGFGSPDGLKNMQIRELFLEPFMADKR* >Brasy8G269300.1.p pacid=40082841 transcript=Brasy8G269300.1 locus=Brasy8G269300 ID=Brasy8G269300.1.v1.1 annot-version=v1.1 MAAADRLSSLGDGALGRVLSFLPSSKEAARAAALSSRWRDAFSFADAVSMEEPERPLRPSDYEDHGCGCMDCGYGKPVDPNPPPPFATAVTAALLARHRRGPDAPPLRALRVALNCYRREDASQVDHWVSYALKHAAAAGGLELCLRLRRGSVCGRKYSLVARRKAGSLMEEDEDDFDVGREDEEQQDAPRGRTRSRSQSMAEDSDEEAADRRAMPRRRRSVSGDGTDGAAASDYDNHFADSPPPRQPSASRSPDRRSSSNSDGPGAFRGRSPSPHGLSPPRTRGYRSPTPQPPRSPSQTPPPGEDDDVVSDAEDSRTRPEYNRTLEPAWGLPPPPEYTVPRTLFSCAKLRSLAIGPCRLSPPTAISLPLVAACPRLSDLTLEACGAVEALSLLRNPRLRRFALRCCHALATVVVAVGTLRSFEYRGAVPDASLLTFHGHGGGSSLFESCKVDICGEEVSSAKELGNLGKFLRLLASTRQLHLQSARLGSGVDHHAMALPAFTNLRRLELTGRLPHDDDVNAAMAAVSRILCRAPRLEALSLFFDTGPCGDDELLARQSWRDTNEGVLLDSHHLKHNEHEVLDVVAPAAAVPPCLRNRVREMNLFLLCNALALDKLYCGFARGPLWIQTKLRGEIRGWAMNKAENIIFC* >Brasy8G139300.1.p pacid=40082842 transcript=Brasy8G139300.1 locus=Brasy8G139300 ID=Brasy8G139300.1.v1.1 annot-version=v1.1 MREEEETSWFTRWEEQLPAPDELMPLSSSLITRDLAAAFDIPTAHGGGGAGAGAEGAGAAEMNGGGGASSAAGSSGGGGGGGNGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGNGGGGGGGGGSHSSGSGTDAATEHLFATGPSPFLPPGRGAPPGADPYSTPFAPMATHPHHHPHHHHPPPPQIGHFHHPAARPLGHYGGAAGPVGGGGFDHGFLSRAVASGGAPVGPPGMHRMVGGAAMGMMAPSSFADELELGSRGGGGGGGGGGRRELTLFPTSGDH* >Brasy8G074900.1.p pacid=40082843 transcript=Brasy8G074900.1 locus=Brasy8G074900 ID=Brasy8G074900.1.v1.1 annot-version=v1.1 MAGASATDESSASTAGMRDDDRSLSGESLSEWRSCDQGESGSPSTSPPFWDTDCDDDDPAGPKPSGLYGRHVWRIDNFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNMDPKKIKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYTTNIEQIYRRFVEERRNKLTKLIEDKMRWSSFRAFWSAIDPRTRHRMSREKTDTILKGLVKHFFVEKEVTSTLVMDSLYTGLKALEYQCERKKGRTKLAELDELPAPMVHVDMDLFFLAGDVITLLERAALEPLPCQPVSPKDDKCSQSRMKDGSSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEAAGLLENETKGKRNGGVNEKDKRAKKKQAKQKKNNRKVKDKERDEKSEAKILERLDDEITIDDSDGLPKQAEEVTMKVGDSLEEGASDGPDNLDSSVMTCQQDSGNRHSRPMNGKRVSSVEANSSAFLADSSGMNGTHSKENGLPDSKNHLPPNRGKNQRNKGISIISFAEEDDCLPSSSVAGSSDRNSSGCGTAPKLDRDTVLLTLKDRLRELGQRLHEKNIEGRKLFKAHFEAMESQTKTGGSSSSSLEKPPDVPKSPDHSSEVITSVKVNGTANKEVHVANCVPEEAASATPITTSTEAVPGKAPARSTVDPISDKDNESSLTPPADRAPPNCSKSSPVDMDKDVPLPSRSPRINKAAPISSKSPPVDKATTVRPKSPATDKATPVRPKSPVRPKSPVRPSAGKATPVPPKSPPTDKATSVPTKSQPTDKATSVPTKSPPTNKGTSAPAKSPLADKACVVPVKSPATDKAIPVPAKSPSAGKSSLAPSVPAICAKDAPLPSRPQQVDKFTPAPTRVPQADKAAPLASELPQTSHTAYSEAREDTAPIKATPTTVSEVAVITTSRPSSAPVFATPRATAPATPQVQVSRSMSEVAGRSGNEPSPSAPAYVPQTYRNAIIGKPGLGTTSLNLSYQPTTLGQASALSQPLSAYASTTSVMMPPAGRNDLLSARHGFKSELGKSDTRDSWQPWKSDSNINNHLWRDDSPYQRTTNSHAYQQTWKDDAYQQARGAETEILSRFGGLQPPRQTPVSFVMQQPQAPVAEEYQHLDIINDLLDEEQSNDSMPEPIRHDYHAFGLPYSLRGNLADVEMTSVSSPGRFNRGSLADLEMASASSPRQFSRGNMSESEMASGSSPGRFSRGNMADSEMASASSPGRYNSTERYYDEGFSRAYDMSPLQGSRERQFPSMDTYSNGGLPDVNTSKPWPYGLPNPSMNLGVNANGFQQHQMGDYGNLASGVNGGGSLYRRHANGRW* >Brasy8G074900.2.p pacid=40082844 transcript=Brasy8G074900.2 locus=Brasy8G074900 ID=Brasy8G074900.2.v1.1 annot-version=v1.1 MAGASATDESSASTAGMRDDDRSLSGESLSEWRSCDQGESGSPSTSPPFWDTDCDDDDPGPKPSGLYGRHVWRIDNFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNMDPKKIKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYTTNIEQIYRRFVEERRNKLTKLIEDKMRWSSFRAFWSAIDPRTRHRMSREKTDTILKGLVKHFFVEKEVTSTLVMDSLYTGLKALEYQCERKKGRTKLAELDELPAPMVHVDMDLFFLAGDVITLLERAALEPLPCQPVSPKDDKCSQSRMKDGSSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEAAGLLENETKGKRNGGVNEKDKRAKKKQAKQKKNNRKVKDKERDEKSEAKILERLDDEITIDDSDGLPKQAEEVTMKVGDSLEEGASDGPDNLDSSVMTCQQDSGNRHSRPMNGKRVSSVEANSSAFLADSSGMNGTHSKENGLPDSKNHLPPNRGKNQRNKGISIISFAEEDDCLPSSSVAGSSDRNSSGCGTAPKLDRDTVLLTLKDRLRELGQRLHEKNIEGRKLFKAHFEAMESQTKTGGSSSSSLEKPPDVPKSPDHSSEVITSVKVNGTANKEVHVANCVPEEAASATPITTSTEAVPGKAPARSTVDPISDKDNESSLTPPADRAPPNCSKSSPVDMDKDVPLPSRSPRINKAAPISSKSPPVDKATTVRPKSPATDKATPVRPKSPVRPKSPVRPSAGKATPVPPKSPPTDKATSVPTKSQPTDKATSVPTKSPPTNKGTSAPAKSPLADKACVVPVKSPATDKAIPVPAKSPSAGKSSLAPSVPAICAKDAPLPSRPQQVDKFTPAPTRVPQADKAAPLASELPQTSHTAYSEAREDTAPIKATPTTVSEVAVITTSRPSSAPVFATPRATAPATPQVQVSRSMSEVAGRSGNEPSPSAPAYVPQTYRNAIIGKPGLGTTSLNLSYQPTTLGQASALSQPLSAYASTTSVMMPPAGRNDLLSARHGFKSELGKSDTRDSWQPWKSDSNINNHLWRDDSPYQRTTNSHAYQQTWKDDAYQQARGAETEILSRFGGLQPPRQTPVSFVMQQPQAPVAEEYQHLDIINDLLDEEQSNDSMPEPIRHDYHAFGLPYSLRGNLADVEMTSVSSPGRFNRGSLADLEMASASSPRQFSRGNMSESEMASGSSPGRFSRGNMADSEMASASSPGRYNSTERYYDEGFSRAYDMSPLQGSRERQFPSMDTYSNGGLPDVNTSKPWPYGLPNPSMNLGVNANGFQQHQMGDYGNLASGVNGGGSLYRRHANGRW* >Brasy8G067800.1.p pacid=40082845 transcript=Brasy8G067800.1 locus=Brasy8G067800 ID=Brasy8G067800.1.v1.1 annot-version=v1.1 MFHPASKLGRTRCIDPSPPHGASHCPSSRSPPLLRSPRRTSFLAGAAGLCCHLWPPDRAGAEPPRSRRNMRLLVIAPPFAPVGPCAAAAVPRRRSCHSDPADSCT* >Brasy8G152200.1.p pacid=40082846 transcript=Brasy8G152200.1 locus=Brasy8G152200 ID=Brasy8G152200.1.v1.1 annot-version=v1.1 MEVEMQLDDDVFFAELSKRISLLITDDDEADFGSAQFPASLPVPGFSMAPHVPQGASMLAPPAYTLFHHAASYGAATNNNNSAAGDIGRAWQQQQQQCGSKGTGVFIPRSTPGSAHSKRKGRNWSGGSKAAAHKARAAAQTETAAVAAGTSAKRRA* >Brasy8G126300.1.p pacid=40082847 transcript=Brasy8G126300.1 locus=Brasy8G126300 ID=Brasy8G126300.1.v1.1 annot-version=v1.1 MGCRIIYRNITCIDEDIPGSTHSSSSPHEESQLKGETSRKKTYLGPMTRSRAKQIQQEVNALLAAPNDNINENFILPNSSVLLVLRFTTRSHTCCLDHQTTHEQLQNSSPTLLHHCT* >Brasy8G040700.1.p pacid=40082848 transcript=Brasy8G040700.1 locus=Brasy8G040700 ID=Brasy8G040700.1.v1.1 annot-version=v1.1 MGRAPCCDKASVKRGPWSPEEDEQLRRYVRAHGIGGNWIALPHKAGLKRCGKSCRLRWLNYLRPDIRHGGYTDQEDRVICSLYGSIGSRWSIIASRLPGRTDNDVKNYWNTKLKKKPIAIAMATHHATGSGSAFSAPQSQCASPPPSLQPPSALSPAASGSSSTSSAATTSSRAGGDVFFAAAMHQQPWPPQGLLTNLDAQTEPVLPPSPATVALDAVVSGAGGGWAHGAMGDVDVFLPELGATGEQSLLFPYGDLLFSGLLQPDQDQYKGAGVEHFSGSACGYFFPNSNMAEAYNWADHAAHAMPQQQGLCNTLT* >Brasy8G170600.1.p pacid=40082849 transcript=Brasy8G170600.1 locus=Brasy8G170600 ID=Brasy8G170600.1.v1.1 annot-version=v1.1 MAVDDATALSELDSSIRSEEEVAAKPLLSAPSPSPSAASTTTPPVAQERLEELDRRYAPYARRDAYGPMGRGPVGAVEAARLAVAAAVLLPLRVVAGLLVLLAYYLVCRVCTLWVEEEREGGEGEGYARLDGWRREGVVRCGRALSRAMMFVFGFYWINVYDRRFPNAEDEPVDQCKNVERPGAIVSNHVSYVDILYHMSASVPSFVAKRSVARLPLVGLVSKCLGCIFVQRESKASDFKGVSGAVTERIQRANQQKDAPIMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFSPAWDSMSGARHVFLLLCQFANYLEVVHLPVYHPSEQEKEDPKLYANNVRKLMAAEGNLILSDLGLAEKRVYHKALNGNSLPRALHQKDD* >Brasy8G170600.2.p pacid=40082850 transcript=Brasy8G170600.2 locus=Brasy8G170600 ID=Brasy8G170600.2.v1.1 annot-version=v1.1 MAVDDATALSELDSSIRSEEEVAAKPLLSAPSPSPSAASTTTPPVAQERLEELDRRYAPYARRDAYGPMGRGPVGAVEAARLAVAAAVLLPLRVVAGLLVLLAYYLVCRVCTLWVEEEREGGEGEGYARLDGWRREGVVRCGRALSRAMMFVFGFYWINVYDRRFPNAEDEPVDQCKNVERPGAIVSNHVSYVDILYHMSASVPSFVAKRSVARLPLVGLVSKCLGCIFVQRESKASDFKGVSGAVTERIQRANQQKDAPIMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFSPAWDSMSGVSINRKLVHTCSYQFQTPSLRNLFYLELALSSP* >Brasy8G170600.3.p pacid=40082851 transcript=Brasy8G170600.3 locus=Brasy8G170600 ID=Brasy8G170600.3.v1.1 annot-version=v1.1 MAVDDATALSELDSSIRSEEEVAAKPLLSAPSPSPSAASTTTPPVAQERLEELDRRYAPYARRDAYGPMGRGPVGAVEAARLAVAAAVLLPLRVVAGLLVLLAYYLVCRVCTLWVEEEREGGEGEGYARLDGWRREGVVRCGRALSRAMMFVFGFYWINVYDRRFPNAEDEPVDQCKNVERPGAIVSNHVSYVDILYHMSASVPSFVAKRSVARLPLVGLVSKCLGCIFVQRESKASDFKGVSEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFSPAWDSMSGVSINRKLVHTCSYQFQTPSLRNLFYLELALSSP* >Brasy8G139000.1.p pacid=40082852 transcript=Brasy8G139000.1 locus=Brasy8G139000 ID=Brasy8G139000.1.v1.1 annot-version=v1.1 MAEPALLDPSPFDLRHFPPYLFDPDLHLAGDDLPLENFAGVDGCDDLDFDLPVDFSVEDFLLRSPDRGDSGEGSAAGSGPTGSSSSPAASAADSVVADGSCEVKHEESDEGRSGGAPNWGLKRKQASPAVSSDAAKSRRSGDGEVSPSASASRAAVESDDGGTVGEGEDTRRAARLIRNRESAQLSRQRKKRYVEELEEKVKSMNSVINDLNSKISFIVAENATLRQQLSSGGGNCPPPGVYPPAPMPGMHFPWVPGYALRPHGSHVPLVPIPRLKPQQSVFATKVTKKQENKKGVESKSKTKTKKVASVSLIGLLLFVLLFGAFVPGFNHNFGMRGGSDSATFRSFGQPPARVLSVTNHGKGPKGGSNSDMIDVDSGMMMANGDSTEQKHAPNSSETLPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHTASKHNSGQSDIDHKETSVAIARHLSLPGSDMKPQEKSPVDGPLPQWFREGMAGPILNSGMCSEVFQFDISTASTNSGGVIPASPIVNSSSVNATQSIPTPPPAYLGKLKNRRIMYNEAIPLTGKTVNDTEPFNRTSENSKLPDKKPSSSVVVSVLADPREAGDGDRDPRMSPKSLSRIFVVVLLDGVRYVTYSCTLPFKSASPHLVN* >Brasy8G205900.1.p pacid=40082853 transcript=Brasy8G205900.1 locus=Brasy8G205900 ID=Brasy8G205900.1.v1.1 annot-version=v1.1 MRTVQTQFSGVPGAWRKAMQSDRLPSNSPGYSDPPVDETRKWAGRTWMERVAAGSGAGRGGNNSNSRQGAGKGGGNGGFIPPVCCQLCEEEGHTALGCLKRFDRSFHGAGEVVVTSYGVHSNWSTDTWATDHMTSELDKLEVHQGSGIEDNSSSSWQM* >Brasy8G205900.2.p pacid=40082854 transcript=Brasy8G205900.2 locus=Brasy8G205900 ID=Brasy8G205900.2.v1.1 annot-version=v1.1 MRTVQTQFSGVPGAWRKAMQSDRLPSNSPGYSDPPVDETRKWAGRTWMERVAAGSGAGRGGNNSNSRQGAGKGGGNGGFIPPVCCQLCEEEGHTALGCLKRFDRSFHGAGEVVVTSYGVHSNWSTDTWATDHMTSELDKLEVHQGSGIEDNSSSSWQM* >Brasy8G205900.3.p pacid=40082855 transcript=Brasy8G205900.3 locus=Brasy8G205900 ID=Brasy8G205900.3.v1.1 annot-version=v1.1 MRTVQTQFSGVPGAWRKAMQSDRLPSNSPGYSDPPVDETRKWAGRTWMERVAAGSGAGRGGNNSNSRQGAGKGGGNGGFIPPVCCQLCEEEGHTALGCLKRFDRSFHGAGEVVVTSYGVHSNWSTDTWATDHMTSELDKLEVHQGIEDNSSSSWQM* >Brasy8G205900.4.p pacid=40082856 transcript=Brasy8G205900.4 locus=Brasy8G205900 ID=Brasy8G205900.4.v1.1 annot-version=v1.1 MRTVQTQFSGVPGAWRKAMQSDRLPSNSPGYSDPPVDETRKWAGRTWMERVAAGSGAGRGGNNSNSRQGAGKGGGNGGFIPPVCCQLCEEEGHTALGCLKRFDRSFHGAGEVVVTSYGVHSNWSTDTWATDHMTSELDKLEVHQGIEDNSSSSWQM* >Brasy8G229800.1.p pacid=40082857 transcript=Brasy8G229800.1 locus=Brasy8G229800 ID=Brasy8G229800.1.v1.1 annot-version=v1.1 MLWNHLPWWLGRTEGKGAKGSRAGGWGAGEATGGDGGRRDRFPSMGELGVGEDKHTATHSPSASSPRLLRFARAPPTHASFLHPRRRGAPSPCLSRCRRRPPSPGPPTVAGAHPPPTPASRALIPRLPALGPPVRPHPRNPLPPGAPGDYWIVLPSLLLRLRERWRREANGDDLALMETLRAKCMDAGVQRAPSGSARGRRGGLRAQVLRVLRRVQGSHFLLNLTSF* >Brasy8G034700.1.p pacid=40082858 transcript=Brasy8G034700.1 locus=Brasy8G034700 ID=Brasy8G034700.1.v1.1 annot-version=v1.1 MAPPSRVLLLAALLFLAAATMATAQKAKAKPAPAAADGPGAAAADAGPPTNVTSVLEKSGKYGTFLRLLHETRVDTQLNSQLYDSYNGLTLLAPTDAAFEDLPSGTMNGLSSQDQIQMMLYCVLPRFYSLSMLGTLNGKVSTQASGSDGPYEYKIKPSGNNVNVSTGVKGNNMLLSTIVSKEFPLAVYSVDKVPLPYALFGPQPPTPAPAPAPAPSKSKSKKKKKVIADAPESDSDDSTASDTKSAAAGVSASRWVAAVAVLGAAGGVLL* >Brasy8G206600.1.p pacid=40082859 transcript=Brasy8G206600.1 locus=Brasy8G206600 ID=Brasy8G206600.1.v1.1 annot-version=v1.1 MAPTTRAGARRTLFVDESTAHELSDACSRTNEDNGRARRKRRRSPDVFSFTYEQDVILRRRMSKFSAMFACIFSVVMFMFAYGVPLEKMFTYGEEGEEEDDDVSTEEEEEEEDVSTEEEDEDDVCTDIQEEEDDTYLDADLLECSLDCLKSLKARIDHELGPDNVICDEVIDIFAQCMGIDQHELSSAHEMFASKDRKFRSLMALPMDMRRAWLLIHIRKL* >Brasy8G198000.1.p pacid=40082860 transcript=Brasy8G198000.1 locus=Brasy8G198000 ID=Brasy8G198000.1.v1.1 annot-version=v1.1 MDFEADPELVFGEEFCFPATAYYPTPYAPAGTNLPAELYEPQAIWDQYLHYSGQQAEGSSCSYYVVPDYGITHSPIRPYPSEPSVIADGRFVGTQGYLASTADSTYHQPVPTTPYDVHPFAAQWGPATTSQTLTYTDSLFIPSGQDQSIPVAPNKNITWNPSAQSTSVSSKKFQRNAMLPKVQLHSSDPWKQDPATRTMVPAKLRHIPQASRQYLQGRVPSVMSSPQTNPSYNSNASYVGSDLRKMAVAEKFRPSSKPRSCLYGSTGRLSCQNLGNGKTAIGSSEIVVKSYTSSLHIGDPEGKIIIRTDQFNRNDFQVVYPNAKFFVIKSWGEANVHKSVKYGVWSSSIQGNKKLDRAFSDAQLIAASSSTTCPVFLFFSQVNQSNHFCGVAEMVGPVDFRKNMDFWSQDRWVGSFPVRWHIIKNIPNVTLQYILLQNNEYRPVTFSRDTQEIHYGPGTSMLKIFKATRVNECLLDDFTMYEEEEARSIKRTTSKLRGDAPRFIPFPKLYGRGGCVLRQPKADKVLVDRIIRESHNLADRLHHVNLDMHQGSWEKPGNLIRDSATTYAQKDIHCYGIQAPENVVKAVEFQPLASNMLAAFDGELTREKVEITPLGKEYPRTVAKVSPKAPEEYQTEDKITLVHSASAAPDTIYQEKKIIREQCSHAIKSQVSEACSSCSIDDVICIGSMLLPMKMSS* >Brasy8G108800.1.p pacid=40082861 transcript=Brasy8G108800.1 locus=Brasy8G108800 ID=Brasy8G108800.1.v1.1 annot-version=v1.1 MANARNAAAAGSPSSSSSSYSSSAPDAEILRSLHRLARDLAAAEAPAPFLRAVFASVSRRAKLLVAVFDDLLGVVGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQSDELGLADDVADLLALASRQCRRPAPEAAAEQELKASVLRLIQEVEQEIVPERERLEAILDEVAINDPASCSEEIEILEREIGDRLAERWTPAMIALVGLLRYAKCVLFSAATPRPLDSKADLDDGDDGAEPPAPPLDFQCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLTSLELVPNKALKNLISRWCRENGVAMEGSEPGKPEPAPLATANKAAVEAARMTASFLVKKLSVSFSPASDNRVVHEIRQLAKSGTESRAFIGEAGAIPLLVPMLQSEDAALQLNAVTALLNLSILEANKKRIMHAEGAVAALCHVMGSGATWRAKENAAAAVLSLSAVHSYRRRLGRNPRVVEKVLLLVRTGPASTKKDALAALLCLSGERENVGKLVGAGAVEAALSAVSEEETAAAVLASLAKRGGAEAIVNIDGAVARLVAEMRRGSTDWSRECAAAALVLLCRRAGAAAVAQVMAIPGVEWAIWELMGSGSERARRKAASLGRACRRWAVANAEQTTECPTNSTTVTLPVMAAS* >Brasy8G170300.1.p pacid=40082862 transcript=Brasy8G170300.1 locus=Brasy8G170300 ID=Brasy8G170300.1.v1.1 annot-version=v1.1 MCPGPRSAMACRHEAPPPQLLKDAGPVPNSKIEAARTRAAELLDGIGLVSPSSSSGLATSIPAGMGLDCGADEGAWWREGASGGGRERVVAGGRIRVVAVLHSPAGRRRPDLAAAARLLAAAARIWPPPSSSSSLAGRRPHSPAGRRRPPQTNKNRERRRRRVPAGGARGGGREDRR* >Brasy8G025000.1.p pacid=40082863 transcript=Brasy8G025000.1 locus=Brasy8G025000 ID=Brasy8G025000.1.v1.1 annot-version=v1.1 MAAPSLSSCCATSTAARPQLLSLPLPSAGGKSREPCRTSSGRKPKRQLSICAVATAPPSLDYSNNGSGAGAEDVASLKVKLLSAVSGLNRGLAASQEDLDRADAAARQLEAAAAAPVDLARDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSADFDNIVELELGAPWPLPPVELTATLAHKFELTGSASIKIDFDKTTVKTTGNLSQLPPLEVPRIPDGLRPPASNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIS* >Brasy8G025000.2.p pacid=40082864 transcript=Brasy8G025000.2 locus=Brasy8G025000 ID=Brasy8G025000.2.v1.1 annot-version=v1.1 MAAPSLSSCCATSTAARPQLLSLPLPSAGGKSREPCRTSSGRKPKRQLSICAVATAPPSLDYSNNGSGAGAEDVASLKVKLLSAVSGLNRGLAASQEDLDRADAAARQLEAAAAAPVDLARDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSADFDNIVELELGAPWPLPPVELTATLAHKFELTGSASIKIDFDKTTVKTTGNLSQLPPLEVPRIPDGLRPPASNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIS* >Brasy8G198500.1.p pacid=40082865 transcript=Brasy8G198500.1 locus=Brasy8G198500 ID=Brasy8G198500.1.v1.1 annot-version=v1.1 MDSTPPSVRKGLSSLIMLAAWWMWKQRNNAARLWVKAGETGL* >Brasy8G123200.1.p pacid=40082866 transcript=Brasy8G123200.1 locus=Brasy8G123200 ID=Brasy8G123200.1.v1.1 annot-version=v1.1 MWPLCFISDKLFKVAGGDCGEGPGAALPPGSQIPLARRSYRVDVPHVQQAFTWDCGLACVLMVLRTLGVDCCDGIADLGKLCHTTSIWTVDLAYLLHKFPVSFSFFTVTIGANPQYSAETFYREQLQEDIDRVDELFGKALDAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMNDVHDVQQFNEDSDYMGHYVVICGYDADACEFEIRDPASSRKLEMVPMKSLDEARKSFGTDEDILLVSLTGKNGMKLSRKLPDSSL* >Brasy8G263100.1.p pacid=40082867 transcript=Brasy8G263100.1 locus=Brasy8G263100 ID=Brasy8G263100.1.v1.1 annot-version=v1.1 MATSSSSLPLWAVLEKLAKEVDPWAPGFWRDFGIGMLKPAAATAVVAMAVALSFTQRLGVEGEMLYAIGRSFLQLSVIGFVLHFIFSQHNPLLIVLAYLFMVTVAGYTAGQRARRVPRGKYIAGVSILAGTAVTMAILVLLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLHEDVKTQRTLVETALALGATPGQATAGQVRRALVMALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPHFFTKAFQLQDTVFAD* >Brasy8G093800.1.p pacid=40082868 transcript=Brasy8G093800.1 locus=Brasy8G093800 ID=Brasy8G093800.1.v1.1 annot-version=v1.1 MLGRGAAMSAPQWRGGALDVRGALRSGGNLLFALFVAAVLVFTLLAAVHSPDDPLLHPSSHQLTAFLTSSTSNSTFLADDSVLRTGEDFATAGSNSSEAASSTAAVAEALPFIELSDVGSEKTEAVTTEQSITVDTDDNSAAGAAEDKPMVEAVSCDTEAPVDCAGDRDLFNLLMRTAIERFPDLHFYRFGRPVAVPDSTMACDLAWRFRPAEDATGRTTYYKDYRRFTLTRDVSTCSLVVESVGEYHSGTGAKRSGRRKGKKGKKGKRESPTATDFVPAKTRMRLDENAANADTTTAVEPVFVVGEAVNDSLPVVASESEFSRGKYLIYMGGGEKCKSMNHYIWGLLCALGEAQFLNRTLVMDFSVCLNSRYTASGKDENKDFRLYFDFEHLKESASVIDQSQFWTEWGKWHKKDRLKNHYTDDIKVTPMKLRDVKDTLIMRKFGLVEPDNYWSRVCEGETEAMVKRPWHLLWKSRRLMEIVSAIASRMSWDFDSMHIVRGEKAQNTQMWPNLDADTSPENLLVTLNDKVGAGRHLYIATDEPDKSFFDPLKNKYKTHLLDDFKDLWDESSEWYAETKELNNGNPVEFDGYMRVAVDTEVFLRGKRKLETFNDLTRDCKDGVNTCAASS* >Brasy8G007900.1.p pacid=40082869 transcript=Brasy8G007900.1 locus=Brasy8G007900 ID=Brasy8G007900.1.v1.1 annot-version=v1.1 MARKRKTEAPPRLDEADRTLYSTFCGAANSLSQLYSQAMAQQKHSFHAGELHALEKLSQWIMRRQEEESRLTVDDILAHIQHEMDYGGSSDAQTAPRLHQYPQSAGQFANSSGQLYVGSHGQGSGLAPRASNSDQSKNPTLFSNALSSPVCRSLQSYHPTQGAAGIGSRSAEANSTSSNDTTMDMVSDSAANEYC* >Brasy8G064700.1.p pacid=40082870 transcript=Brasy8G064700.1 locus=Brasy8G064700 ID=Brasy8G064700.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAVQAQQQQAAQLQAQQQIETQAKAAATMQAQALAAAQEVARLAATAGVNIDAAGLVTDFNKFINKEQPTQDTLPYVVRAGAAEFHA* >Brasy8G142800.1.p pacid=40082871 transcript=Brasy8G142800.1 locus=Brasy8G142800 ID=Brasy8G142800.1.v1.1 annot-version=v1.1 MAAISILLVAGLLAALAYALRLAHSHLWVPHRLERRLHRQGIRGPPRRLISANAADYGALLAAALSAPLASFHHAIAARATPHYREWPARYGRPFVFWLGPRPQLVVSGPEVAKAVLTDSTGAFSKADSGGGSNPLAWQLIGEGLLGLTGEKWAHHRRVIAPAFNMERVKGWIPEISAITSSMLDKWEVRGETRAEFEIDVNTEFHSLSADIISCVAFGSSYEEGKRVFQLQEEQIKLVILALRTIYIPGFRFIPTKKNRRWHSLNQEIRNSLRKLIEINGRKCEDSKNLLGLMLSASKIDNKLKMGIEEIIDECKTFYFAGKETTANLLTWATLLLALHREWQDKARDEVLQACGKYEHPTAENLSSLKIVNMVLKETLRLYPPAMILNRIVTRDVELGKLNIPAGTQLNLPIVDIHHDSDIWGANAEEFDPSRFADGKSYHLGAYFPFGIGPTICVGQNLAMVEAKLVLAMVLQRFAFDVSPNYVHAPILGMTLQPQYGAQVLVRRV* >Brasy8G181200.1.p pacid=40082872 transcript=Brasy8G181200.1 locus=Brasy8G181200 ID=Brasy8G181200.1.v1.1 annot-version=v1.1 MIREERYLRGGSAMGCKMASGGRLSLDVAMWGHGWQCRATSYQRRSAPRWIQERWCGVCWQPGWRQLHHAKRGERRKGGGGRRAAARRRRQGSSRELAESAAAGGQQRGAAGREAAWNWRRQGSSWEAAAVKCARL* >Brasy8G182100.1.p pacid=40082873 transcript=Brasy8G182100.1 locus=Brasy8G182100 ID=Brasy8G182100.1.v1.1 annot-version=v1.1 MPKAGDGGSGGSGGGRRIGDAKDLPLLLLRVGAAITLSIAGLFLSRLRSQRRSRGLLLPPPSESDDTHGMKGGGGGLKEELRILKNEDTKAKIINGNSVHTTTTTTTTTTTASVALPPKCRNTADDEGFLLPEFNDIVLEEFGRDIGNIATSPAPRVREDASNEHEIYKLRDLVRSLQEREKNLELQLLEFYGLQEQDAAVRELENQLKINSVESKLYALKIESLHSENQRLQTQLLESSKLTSELEAARSKCKLLKKKLRLDAEQAKEKITSLQKMVDSLQCKEIEVEADAEAEKKLKRLEELENEARELRAANSRLQQENSHLTRRLELTRLPPVPKSHNSIEVKASEEADQLRQENDKLEKEVEQLQTDRFADVEELVYLKWINACLRHELKNKDSPGAQTTARDLSKTLSPKSEQTAKQLIMEYANVGADERSLSSIEFGSEYASSRASSGGEPDDASLDMPSMTKHTNPKKKEKKKFFSKLRKLVLGKEKEKNIPTLERRVSISSCSFDDFTGRESHDSYSSFMTEPAISTSQQHDDRSCARHSFGSQRYSHPSPGVKKNTACGSKRFSEHGSQFDSGEATIPEDVEIHKFAEALITSRTGSMSSRRTLSFS* >Brasy8G250500.1.p pacid=40082874 transcript=Brasy8G250500.1 locus=Brasy8G250500 ID=Brasy8G250500.1.v1.1 annot-version=v1.1 MKKTATAEVSMAGVPATTKDGGGHGDLINNLPDAILGTIISLLPTKDGARTQAISRRWRPLWRSAPLNLDATGLSFTDSNGFATVSRILSDHPGPARRFHFPYIRVHNYADYYSSQIESWFLSRGLTNLQELDVSFNLFAKFRLFVYTRKCIEPYPLPSSVLRVASTLLVAKIGSCKIAHPLNVPVLKQLTLQSVSISEDVLHGLISGCHALETLVLDILHDVALLRVRSPTLKSIGLCAWTLREGELVIEDAPCLERLLLPRPGLGCKIIRVIRAPRLEIVGPLSPGDPEINIANQVFQVASAAY* >Brasy8G292700.1.p pacid=40082875 transcript=Brasy8G292700.1 locus=Brasy8G292700 ID=Brasy8G292700.1.v1.1 annot-version=v1.1 MQIKVSSERSLLEIDLINCFCSTLRYIAKMSSTDATTAAAAGAPCAGEQLRSWPELVGLSIEEAKKVILKDMPDANIVVLPVGSATTRDLRRDRVRIFVDTVAEIPRVG* >Brasy8G292700.2.p pacid=40082876 transcript=Brasy8G292700.2 locus=Brasy8G292700 ID=Brasy8G292700.2.v1.1 annot-version=v1.1 MQIKVSSERSLLEIDLINCTLRYIAKMSSTDATTAAAAGAPCAGEQLRSWPELVGLSIEEAKKVILKDMPDANIVVLPVGSATTRDLRRDRVRIFVDTVAEIPRVG* >Brasy8G292700.3.p pacid=40082877 transcript=Brasy8G292700.3 locus=Brasy8G292700 ID=Brasy8G292700.3.v1.1 annot-version=v1.1 MSSTDATTAAAAGAPCAGEQLRSWPELVGLSIEEAKKVILKDMPDANIVVLPVGSATTRDLRRDRVRIFVDTVAEIPRVG* >Brasy8G183900.1.p pacid=40082878 transcript=Brasy8G183900.1 locus=Brasy8G183900 ID=Brasy8G183900.1.v1.1 annot-version=v1.1 MSAAELEKAVEKEYEMALQDRVMEETKDKKNSVEAYVYDMRNKLSEKYNDFVMSEDMEGLRAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEMRYKEWAERGQALEQLVYCIRSFREAALSSDQKFDHIDISEKQKVVNECSNAETWLLEKKQEQDALPKHVNPVLLVSDIKKKAEALDRFCKPIMTKPKPAPKPQTPPPAENPAPEAQTPEQQPSGASEADERANEGASQDQPAAEQMETDRAEPSSA* >Brasy8G111300.1.p pacid=40082879 transcript=Brasy8G111300.1 locus=Brasy8G111300 ID=Brasy8G111300.1.v1.1 annot-version=v1.1 MPYTASRSRPSPAQRSRIGGGGRKTAAAVPEEVARHHEHAAGSGQCCSAVVQESIAAPVEAVWAVVRRFDRPQAYKHFIRSCRLVDGDGDGAVGVGSVREVRVVSGLPATTSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHHAGAAAGTVVVESYVVDVPAGNTADETRTFVDTIVRCNLQSLARTAEQLAAAD* >Brasy8G187500.1.p pacid=40082880 transcript=Brasy8G187500.1 locus=Brasy8G187500 ID=Brasy8G187500.1.v1.1 annot-version=v1.1 MATARHGRLLNEAILITAAHVLTRLDMWLFFTLSASWRLAERSRRCVFVRQQVFSRCSTYDRMKRFRLTGCTAGVL* >Brasy8G283200.1.p pacid=40082881 transcript=Brasy8G283200.1 locus=Brasy8G283200 ID=Brasy8G283200.1.v1.1 annot-version=v1.1 METTVVSLGKAVLDGALGYARSKAAEEVALQLGVEGDVSFIADELEMMQSFLMTADEERTQHRVLVTWVKQVRDVAYNVEDSLMDFALYSEKKASWWCSLHTVGDRRRIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSAAEEQASIAIAAMFGINEARLADLEKEKSEMDLHQLITSEEEELRVIAVWGTSGDLGKTSGIQVVYDDPKVLEKFGFRAWIRLMHPFNPKEFIQCLVRQFYENFPEKHAETWKRKTTGVNVLMKMENMTESEMIDVFDAQVSENSYLIVIDDLSTIVEWSCIKKFFPDNKKQSRIIVSTQQAEIASLCTEKPYQVSVFKQLSSDQTLYLFHHHKEEVRRQQAAEDLKQVGVNLS* >Brasy8G283200.2.p pacid=40082882 transcript=Brasy8G283200.2 locus=Brasy8G283200 ID=Brasy8G283200.2.v1.1 annot-version=v1.1 METTVVSLGKAVLDGALGYARSKAAEEVALQLGVEGDVSFIADELEMMQSFLMTADEERTQHRVLVTWVKQVRDVAYNVEDSLMDFALYSEKKASWWCSLHTVGDRRRIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSAAEEQASIAIAAMFGINEARLADLEKEKSEMDLHQLITSEEEELRVIAVWGTSGDLGKTSGIQVVYDDPKVLEKFGFRAWIRLMHPFNPKEFIQCLVRQFYENFPEKHAETWKRKTTGVNVLMKMENMTESEMIDVFDAQVSENSYLIVIDDLSTIVEWSCIKKFFPDNKKQSRIIVSTQQAEIASLCTEKPYQVSVFKQLSSDQTLYLFHHHKRCGGSRQRRI* >Brasy8G236300.1.p pacid=40082883 transcript=Brasy8G236300.1 locus=Brasy8G236300 ID=Brasy8G236300.1.v1.1 annot-version=v1.1 MPGAEHGATNGLQERAGALEEGRGEGGNQAEDPAKSPNSTGKHPMLSVQFVQKILAEIFGTYFLIFAGCAAVAVNQRTAGTVTFPGICITWGLAVMVMVYSVGHISGAHFNPAVTFAFATCGRFPWKQVPAYAAAQLIGSTAAGLTLRLLFGSEHFIGTVPAGSDVQSLVLEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAMVAGRYKGIWVYIVGPVGGAVAGAWAYNLIRFTNKPLREITRTGSFLRSARMG* >Brasy8G028000.1.p pacid=40082884 transcript=Brasy8G028000.1 locus=Brasy8G028000 ID=Brasy8G028000.1.v1.1 annot-version=v1.1 MSMALPSSLRALAPPALLLSSPTARLRPGVSHATRELLPLPRRRRGAGLVARAAGAAALPSDAEWLARLPEKKKPLYTHSLPCIEAWLRSIGSPRCRSGTRASASTSPTSTSGMTIPFTLLCGMFPLCSPRPSFVSYGLSSPVSWPLGPAKAMAAADPAGELRRCPSSRISPATPPSFAPIPSASGDPSSYRRPPSAPTVIDSGASTFRIGCAGK* >Brasy8G165000.1.p pacid=40082885 transcript=Brasy8G165000.1 locus=Brasy8G165000 ID=Brasy8G165000.1.v1.1 annot-version=v1.1 MDAASPSKKAKTMAAAADSVAGTPQKLKKAGLFADQISTPEKPAQKLVAAEDLIWTPEKVESTRRARNGTVALSVKEVRRAALGLRRADKGPAEAAAEDPLESVERELGVGAGAGRSPVKRKPEVKLPESYEMLCEFFNCFESSTRLLRMKGSKATFPNICASIQNLSERRFTYSNLAQLKYIMPEAIVINKILLRDEKTCCMKPDLQVNLLVDAIEGSATQKGETRYSALRRIFRQRLVDFFRSHPEGDDIPEHELPHPFTQTKSSVAQFPPRVPQVAPAVPSPCHAEQQPVAMSHMSHSFKRRFSQRSSTSPATASGTSPLAKVEPTTAQSPLSRKSLLSSDSGGIDQEAQVRVKSGNNVALKFGVSEGTPSKFASTPVRLMAATPGLHTPKRPISATVCDTPPLMMAKRSARAKLFMTPTKNASSMEQVNQSTSTSAIDDDELLSFLPKSLLQSVKEKEKRVLEEKETGFADQVQRQKLIASLPSTFDIILLIYLSVKAALCNDKAGAHPQDNCK* >Brasy8G016800.1.p pacid=40082886 transcript=Brasy8G016800.1 locus=Brasy8G016800 ID=Brasy8G016800.1.v1.1 annot-version=v1.1 MNSCNQHRCNPPVHLLCAPPPHLRGCAATPPAPLPPQQRCSIPRSPAPLPSTAPGSTATRSPRVCGAAAALPRLALALHPASRPQPHGRAPPLRRSSLSPLLGPVHHTPNFARDPSGPALVRAESIADDPAAAAAFASSRRRKKTRRARSREAGRRPRPTSQQCSGEVAVVASEENDLPVWMCDDDSYVDTSPLEQYLGCAIGWWNSTISMWRRELSSMHCKLVLTWSLLGTCRIRLPPSFCISYSAGPHGITLDHSTR* >Brasy8G205600.1.p pacid=40082887 transcript=Brasy8G205600.1 locus=Brasy8G205600 ID=Brasy8G205600.1.v1.1 annot-version=v1.1 MAAAEAKPVLYSAWISSCSYRVRIALALKGVDYEYRAVTRTDTDYEKINPIKYVPALQDGDILVSDSLAIILYLEDKYPQHPLLPQDLKKKALSLQIANIVCSSIQPLQCYAVVGLVDGKLSSNESLQIVHHYIDKGFRAIEKLLEGCDSKFATGDEVQLADVFLAPQIHAGVTRFQTDMSKYPHLARFYEAYKEIPAFHDARPENQPDAPSS* >Brasy8G063600.1.p pacid=40082888 transcript=Brasy8G063600.1 locus=Brasy8G063600 ID=Brasy8G063600.1.v1.1 annot-version=v1.1 MACTKLQWLPPALLLLLLFPIFSVGLEVPKAASGAHNPVVLLPGNTCSQIEARLTDAYEPPSPRCAAADNNARWSRLWKNTTAPEPDAPCFADQLRLVYDHAADDYRNPPGVLTRALSFGSTRGFLSDTPADKELCMGRLVEALERAGYVDGETLFGAPYDFRHAAAPPGRPNREFSRFRRRLRALVEHASRKNGDKPVVLVSHSQGGYFALEFLSRTPLPWRLVLASTGAGGFVLSMQGLAASNSSGGGGGSTSSPANVLSLRGVSASFASVFDHGAAVAHGVRPGHDAGGDSGE* >Brasy8G020200.1.p pacid=40082889 transcript=Brasy8G020200.1 locus=Brasy8G020200 ID=Brasy8G020200.1.v1.1 annot-version=v1.1 MNAALCTEQRPNSRPFPTPPRPAPLSRPSGPCLLGPAHPPESSRGRDPDLPIPAASFPSSSYCYRRFPTSLPPPPLECYRLPLPPGAAASCGYASAGGGFYFAAAAELFRHGQAACDVCAVPPRLLMLSTVLTVGRDVAVPFLIRNQAPSPRRNRPASKTRRKGRKKSRGRSNQIHAPLGPSPRDTTPSLPCPASALVVSAAAPCARITCMLPLAGPSLAHSRQRQPHTRTGASGSCVSEAARARGG* >Brasy8G101500.1.p pacid=40082890 transcript=Brasy8G101500.1 locus=Brasy8G101500 ID=Brasy8G101500.1.v1.1 annot-version=v1.1 MYPSKLLNLLFTLLVNFSLTKLAINKSFALNVELGTIWRNNPSLLHNNSPDDNFSLRIILHDAPSNISKIRYLESIPSFACGFFCAGPAATCDDYIFSIFVVIAFSYDDSVNLESPKVVWSANRDRPVRENAFVELTELGDLVLYDADGTLVWSTNTADKSVAGMNLTSTGNLVLLNHADMELWSSFEHPTDTLVTGQILQVGQKLMASTSVANWSSGKFYLAILPEGMHAFAGTDTPLAYYRSPTGGTVTTNRSAYIALKNGSLEVFTYFRETAAPDYQIQLPRDNYGSAFVRLDFDGHLRLYQWGNYSWASSDVFDITDPCAYPLACGEYGICSNGQCSCPDAAIGQSGLFEMIDPRELNHGCSPVGSLSCDSARKPRLLSVPNVTHFNGIYNWTTSEERCKLSCLNDCLCKASFFQHSDISTGFCFLVSEIFSMISVNAGSYSSNFSSLAFIKVHGATHNFVLSKGKTAIVSAVGSSTFIALVVAAVLIVLRRKIVEPLEDEDIIDQLQGLPTRFSFVELKSATEDFSKMIGIGGSGSVFEGQICDKQVAVKRLDAEVQTIGSINHIHLVRLIGFCAEKSHRLLVYEYMPNGSLDKWIFQKHKEVPLDWETRLRIITDVAKGLAYLHSDCWQTIVHLDIKPQNILLDVQFTAKVSDFGLAKLIDRDQSSVMTRLRGTPGYLAPEWLTSVINEKVDVYSFGIVVMEILCGRSNLDYSQPEESHHLVSMLQDKAKNDRQMELIDPRSTDMQHHLAQVSRMMNLAIWCLQVDSRRRPSMTVAVKILDGTMVVETELDLDLVNIDLMVANRAIRGEIDATLQIDSVLSGPR* >Brasy8G185000.1.p pacid=40082891 transcript=Brasy8G185000.1 locus=Brasy8G185000 ID=Brasy8G185000.1.v1.1 annot-version=v1.1 MLVHDSSAPLPPVVALTAPPPSRPTPPYHPALASTERRAAVACHGAAAAVALHRPRSRAVTSARAPRRRRPPMPPSPLPSPARRRSPSQLRLAAPSTRPPLLRPPLPSRNRKPWLFVGLGHPTRMYKGTRHNILLWMTTSSLIDN* >Brasy8G106300.1.p pacid=40082892 transcript=Brasy8G106300.1 locus=Brasy8G106300 ID=Brasy8G106300.1.v1.1 annot-version=v1.1 MATEASSAAAGTAYEEERRKRILENLKHLEDLGIAKMSKSLIQAARAQNKSTRASPKSRKKFEATTEVRRSSRTRTSVSYKDDFPEVDHFVRRRRASRSAENGRGYTGRISSYQQQQRAFKRAEKLQDSLDPDNPSFVKTMVRSHVSSCFWLGLPTRFCKDHLPPREFKMVLEDEDGVEFDTVYIGNRTGLSGGWRGFSMHHNLEDGDSLVFELVEPDRFKIYIIKAIDEDANEAKSSRDSTEEEPDQKDSPVSEAPSSPEPLKGAKRRKLRRR* >Brasy8G106300.2.p pacid=40082893 transcript=Brasy8G106300.2 locus=Brasy8G106300 ID=Brasy8G106300.2.v1.1 annot-version=v1.1 MMCFCHSCDMFPEVDHFVRRRRASRSAENGRGYTGRISSYQQQQRAFKRAEKLQDSLDPDNPSFVKTMVRSHVSSCFWLGLPTRFCKDHLPPREFKMVLEDEDGVEFDTVYIGNRTGLSGGWRGFSMHHNLEDGDSLVFELVEPDRFKIYIIKAIDEDANEAKSSRDSTEEEPDQKDSPVSEAPSSPEPLKGAKRRKLRRR* >Brasy8G220200.1.p pacid=40082894 transcript=Brasy8G220200.1 locus=Brasy8G220200 ID=Brasy8G220200.1.v1.1 annot-version=v1.1 MHYILSCPPKPSDSGEETLARRPSEKISGHGYLPSPATGSLVLLLGPRLIYAMVKIVGDDRLGSLPDDILLNILDRLDVRNAASTSVLSKRWRHLSAMLCQLKIYVMDFLPAGKSTCPHREIARINAAVVEAVKSILARRGSTQNTIRSLVIDFFLEDDDMISIGHAVVHSMSTQQIEIAEFTVLIERDDIYCNDDHLIGYGRRFMFFFDACPNAFGGLTHLRLENLRFRELDISNVLNTCKRLQYLRLFNCDSGSSTVLQVEHSRLSELSIVNCRFERVELSSLPKLTRITFEGWITFQDPLSLGYVPLLEALCLSNVCLSFHKMVKLSKFLVDTSLRDLKLGFECEKIWVQPERLTKGWHLCFTN* >Brasy8G105300.1.p pacid=40082895 transcript=Brasy8G105300.1 locus=Brasy8G105300 ID=Brasy8G105300.1.v1.1 annot-version=v1.1 MAKLVVAFVACLCISIALLTQCSAAAAAAGPRSRVSCHGGGRTAGRKTTAKALFVFGSSLVDNGNNNFLNSSGVRADYLPYGVDFPLGPSGRFSNGRNTIDALGDLLHLPRIPPFADPATSGRAALHGVNFASGGSGILDRTGKDTGEVLSLDQQITNFEAATLPDLRALLLGATAAKNRGMKGRDFFDGCYLPKSLFVIGTGGNDYLLNYFSPAKSGDTRPQLSEFTRSLVTKLSLHLQRLYALGARKFVVFSIQPMGCTPVVKASLNVTGAACVEPVNAAALLFNSELRSLVDGARLRMPGARFALVNSYKIIMDVIDHPTKHNMRETYRACCQTTSGVLCHRGGPICRDRTKYVFFDGLHPTDVVNARIARKGYGSESPEEAYPTNVKKLAML* >Brasy8G100400.1.p pacid=40082896 transcript=Brasy8G100400.1 locus=Brasy8G100400 ID=Brasy8G100400.1.v1.1 annot-version=v1.1 MGSDLFWAIRGGGSLSFGIVLSWKVKLVPVPATVTMFSVPKSVDQGAVDILTKWQDVAPALPEDLFIRVLVQKQVADFQSMFLGTCDALLPLMRSRFPELGMNRSHCKEMTWIQSVPYIYLGSSATVEDILNRTASTSSFNKATSDYVRQAIPKDAWTMIFAWLAMPNAGLMILEPYGAKISSFPESATPFPHRGGVLYNIQYMNFWSAATNGGGANQTRWLKDFYAFMEPYVSKNPRQAYVNYRDLDLGKNVIVGNVSSYQAGMVWGQKYYKGNFKRLAIIKGKVDPDDYFRNEQSIPPLVASE* >Brasy8G049300.1.p pacid=40082897 transcript=Brasy8G049300.1 locus=Brasy8G049300 ID=Brasy8G049300.1.v1.1 annot-version=v1.1 MVGAGTAGSGGGEARDGSGTRQGGWPPTICEREGERESTRDGDRSGSGAAAAGGAGSTAVAAGVGVLQAPPQRLLQVRHAAAVAGGREMGRGSERHGTGERKRERGRGRRNLDLGLPGAAGSGDGRRRRAAPTSMAAAALCWPGVLSLWRGLGQRRRSAKGKDERRAES* >Brasy8G064200.1.p pacid=40082898 transcript=Brasy8G064200.1 locus=Brasy8G064200 ID=Brasy8G064200.1.v1.1 annot-version=v1.1 MSGGASLGLRSSGSYGSLQQSNGQLPSASPPLAPRKPAKTSLGGVGRGGERLLFARICMFAGRRQRMLLLLLVAVAVVFCFLFSSLVSKDEVAAPGTDTVLGFSDHFRSFVNPVWASSLSESLNMTLQTGKESDISHVKERGLLRTFPPAIALEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALTLMPGAPSASPVLQSLNYLSEDNLIFKESNSGSLFGGYPSLEQRDRSYDLKDSMAVHCGFVRGKVPGLNTGFDVDEADLSEMQQCHGTVVASAIFGNYDIMQQPENISEFSKYTVCFFMFLDEETEAAIKNTTMVDDTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSLWIDGKLKLVKDPYQLLERFLWRRNVTFAISRHYRRFDVFEEAEANKAGGKYDNASIDNQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQAYHRELLEQRLAALRSQPPPPPPVVLVQQPRKMLPDNAAKELGRASATKKLTGKRTRDRKSSSKRSHRIKLNAGKESIRL* >Brasy8G064200.2.p pacid=40082899 transcript=Brasy8G064200.2 locus=Brasy8G064200 ID=Brasy8G064200.2.v1.1 annot-version=v1.1 MTLQTGKESDISHVKERGLLRTFPPAIALEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALTLMPGAPSASPVLQSLNYLSEDNLIFKESNSGSLFGGYPSLEQRDRSYDLKDSMAVHCGFVRGKVPGLNTGFDVDEADLSEMQQCHGTVVASAIFGNYDIMQQPENISEFSKYTVCFFMFLDEETEAAIKNTTMVDDTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSLWIDGKLKLVKDPYQLLERFLWRRNVTFAISRHYRRFDVFEEAEANKAGGKYDNASIDNQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQAYHRELLEQRLAALRSQPPPPPPVVLVQQPRKMLPDNAAKELGRASATKKLTGKRTRDRKSSSKRSHRIKLNAGKESIRL* >Brasy8G027300.1.p pacid=40082900 transcript=Brasy8G027300.1 locus=Brasy8G027300 ID=Brasy8G027300.1.v1.1 annot-version=v1.1 MGERSSSADAQAREILLYVGARGRRGAVAVRGSWDVYVAEAALSFLPLPPSSSAFLPPTNLQPTNQPTGWHGTHAEISKQSKALCFSSPRPRHGTARPGRRQGISARSVAATAMPLGEEEEEEEEEEEEEEEEEEEEEETTVPRTSAATGYSRLASARRRRRRKGGRKDSRIRGEIRPG* >Brasy8G073000.1.p pacid=40082901 transcript=Brasy8G073000.1 locus=Brasy8G073000 ID=Brasy8G073000.1.v1.1 annot-version=v1.1 MDTESMRCACCDLKEECTQEYIKSVKDNFGGKWLCGLCSEAVRDELTRDRRGQDGIEEAIKAHMAFCRMTLSSPAVRVANGMREMLRRRSRDKGSPSTS* >Brasy8G251600.1.p pacid=40082902 transcript=Brasy8G251600.1 locus=Brasy8G251600 ID=Brasy8G251600.1.v1.1 annot-version=v1.1 MEFTGAAALRRSLPAASAVVPRERRNRGMPASRVSCVGRGGGGGFADEGHLRYYEAAPRKAVEAAARDLTKLRAMGLVAGDAAKERILSEATELLLQELNQMKDTEDDLKKRQKEKAVMKTLKKQQKEAKKAAAAMNCGDGSSESSESECEEEQSMEMSCVATKSMPGIAQGMVMPMSVPQIAASEIGTVPAIEFDKAAMKAMKKMEKEQKKAAKKAMKMKKEEEKRMAKLNSCKDEDSSSCSSESSDSECEGEVVRMSRCATITAPRTPPASTVFPIIVPQIPDSAALGAQISPGPTNAMQCTATSIAVVEKPVLNRIEVCMGGKCKKSGSLAVLQEFEREVGTNGAVVGCKCLGKCGLGPNVRLRSDGLEEGSMQKKNPLCIGVGLEDVGTIVAGFFGDDSDLLGMN* >Brasy8G251600.2.p pacid=40082903 transcript=Brasy8G251600.2 locus=Brasy8G251600 ID=Brasy8G251600.2.v1.1 annot-version=v1.1 MEFTGAAALRRSLPAASAVVPRERRNRGMPASRVSCVGRGGGGGFADEGHLRYYEAAPRKAVEAAARDLTKLRAMGLVAGDAAKERILSEATELLLQELNQMKDTEDDLKKRQKEKAVMKTLKKQQKEAKKAAAAMNCGDGSSESSESECEEEQSMEMSCVATKSMPGIAQGMVMPMSVPQIAASEIGTVPAIEFDKAAMKAMKKMEKEQKKAAKKAMKMKKEEEKRMAKLNSCKDEDSSSCSSESSDSECEGEVVRMSRCATITAPRTPPASTVFPIIVPQIPDSAALGAQISPGPTNAMQCTATSIAVVEKPVLNRIEVCMGGKCKKSGSLAVLQEFEREVGTNGAVVGCKCLGKCGLGPNVRLRSDGLEEGSMQKKNPLCIGVGLEDVGTIVAGFFGDDSDLLGMN* >Brasy8G037800.1.p pacid=40082904 transcript=Brasy8G037800.1 locus=Brasy8G037800 ID=Brasy8G037800.1.v1.1 annot-version=v1.1 MDPRELESTATAASSPPGPSLRKRAAAALTVYTSSSSAPGPRRRRGRERERESKARQEMEEGEAPVSPAGRLFREAHFNCYIVALLGLGAPLDVDAARAGLLATLVRHPRFSSVQVLDEANKTAKPRWVRTTVNLDDHIIFPDLDPAATSADPDKAMEDYVSSLSTRPMDHSRPLWEFQVLDFPTSEARAAVAIRMHHSLGDGVSLISLLMACTRSAADPARLPALPAQPTPPPPGPGMGRVMVATMLAAWALVVLAWNTLVDVARFVATSWFLRDERTPFMGCQGVEFRRKRFLNCTLSLDDVKFVKNAMNCTVNDVLIGVTSAALSRYYFRKTTSSDDTSGDSRKPQKDIRLRAALLVNIRKTPGLHTLAEMMDNSKDNTVKWGNQIGYIVLPFHIATHDDPLEYIRRGKRTADRKKRSLEAVFTYWSGNLVVKLFGIKAAAALCYGMFTNTTMSFSSLAGPAEKVEFYGHPIVYIATSVYGHPHALTVHFQSYTNIMKLVLAVDDEQFPDSHQLLDDFAVSLRLVRQAAASVE* >Brasy8G004300.1.p pacid=40082905 transcript=Brasy8G004300.1 locus=Brasy8G004300 ID=Brasy8G004300.1.v1.1 annot-version=v1.1 MATPGRKETGLKTLSSAGSSGDGGDEATPATPPRLPLVPAPSPSQNPTALSTSTPPLQPVRQPPPSAGKDTNEGSDIEDLGERKDDEDCEVEEGEFIYDEEDWKGYFCTLNISSWGNSIPHYIFRYDSVPLNKHDYLSLRQNWNQLLDGKRTYLRPRKGCLVRKNVFRCPNARPRTLGFQEQLLELVRESSNDYIIQEKIKILSQHYVLFRRTRRDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMCREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVSSVANGLRSDKLYERSLEEIMSLRIISFLRLLTETEIRTKEIYKSFIPEEMNVLKFCLEAVRPLDAEAFVIQMRALTGALGIPLRVEVVDNSLAGRAVEVKHLDFFPPSESGKGPLQLTESYHSSSTATKPLERGRSDDLLSSDGTPLLTLLSRRGQCDILYPQVNKLC* >Brasy8G004300.2.p pacid=40082906 transcript=Brasy8G004300.2 locus=Brasy8G004300 ID=Brasy8G004300.2.v1.1 annot-version=v1.1 MKLPPQHHPGFRWYQHRRPLRILRRFPPQRPRFSRYDSRRLPPARIRMKDQISRIWGKEKMMRIVRWKKGNLYMMRRIGKLLDGKRTYLRPRKGCLVRKNVFRCPNARPRTLGFQEQLLELVRESSNDYIIQEKIKILSQHYVLFRRTRRDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMCREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVSSVANGLRSDKLYERSLEEIMSLRIISFLRLLTETEIRTKEIYKSFIPEEMNVLKFCLEAVRPLDAEAFVIQMRALTGALGIPLRVEVVDNSLAGRAVEVKHLDFFPPSESGKGPLQLTESYHSSSTATKPLERGRSDDLLSSDGTPLLTLLSRRGQCDILYPQVNKLC* >Brasy8G004300.3.p pacid=40082907 transcript=Brasy8G004300.3 locus=Brasy8G004300 ID=Brasy8G004300.3.v1.1 annot-version=v1.1 MATPGRKETGLKTLSSAGSSGDGGDEATPATPPRLPLVPAPSPSQNPTALSTSTPPLQPVRQPPPSAGKDTNEGSDIEDLGERKDDEDCEVEEGEFIYDEEDWKGYFCTLNISSWGNSIPHYIFRYDSVPLNKHDYLSLRQNWNQLLDGKRTYLRPRKGCLVRKNVFRCPNARPRTLGFQEQLLELVRESSNDYIIQEKIKILSQHYVLFRRTRRDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMCREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVSSVANGLRSDKLYERSLEEIMSLRIISFLRLLTETEIRTKEIYKSFIPEEMNVLKITLTIAHIWLYDNSSVWKLCVPSMLKPLLYK* >Brasy8G004300.4.p pacid=40082908 transcript=Brasy8G004300.4 locus=Brasy8G004300 ID=Brasy8G004300.4.v1.1 annot-version=v1.1 MKLPPQHHPGFRWYQHRRPLRILRRFPPQRPRFSRYDSRRLPPARIRMKDQISRIWGKEKMMRIVRWKKGNLYMMRRIGKLLDGKRTYLRPRKGCLVRKNVFRCPNARPRTLGFQEQLLELVRESSNDYIIQEKIKILSQHYVLFRRTRRDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMCREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVSSVANGLRSDKLYERSLEEIMSLRIISFLRLLTETEIRTKEIYKSFIPEEMNVLKITLTIAHIWLYDNSSVWKLCVPSMLKPLLYK* >Brasy8G268800.1.p pacid=40082909 transcript=Brasy8G268800.1 locus=Brasy8G268800 ID=Brasy8G268800.1.v1.1 annot-version=v1.1 MDEEIGADEEELLLDPKEKTPTRSIQQQQRRRTGRPPELAGPRRAGPAGSSEDDSSEEDHLPRPIRRLRSPTGGRGRGRGRGRGRGRASSSSDDSTPGSDDDAVSADDGTFPASSRSWEYTMPRSLFSCAVLRSLTIGACRLSPPPAISLPSLETLLLAGVSDEEQEVQRLIAACPRLADLTLETCRTVTALSLLGNRRLRRLALRCCHRLATVAIAVDGGTLLRSFEYRGAVPATSLLPALRRGGHGNGGPSSFSFESCKVDICGSDVSSREEIARLGRFLRLLAASAKHLHLRSLRLGSVLCHRRETMETTSLPTFSNLLHLELTGCLPHPDAAVSGILRHAPRLEVLTLVFEATRSRCWEDAHELRYNEHEVLAAGAAPAAVPPCLRDRVREINLVHYHGGTAQRTLAKFLLCNALVLDRLYCGFARGPLWIQTKLRDEIQGWAMNKPENRIFS* >Brasy8G066700.1.p pacid=40082910 transcript=Brasy8G066700.1 locus=Brasy8G066700 ID=Brasy8G066700.1.v1.1 annot-version=v1.1 MPMRMARGGAIAAWSAPGARSNHRVLGLGAARTVQFTRRAGWSSDRSAVLCRASGRGGGEWDGRLVDEGMDTLRRRIREARAGAAAVSQDDGEEDEDDDDMGAVNGDDEWFVGERTELERRHHGSCYLAGVGVLRALLMRAPPGMLALLLLLSVPALVLLVSAQLIRAVDSFSAAVLHGRM* >Brasy8G297400.1.p pacid=40082911 transcript=Brasy8G297400.1 locus=Brasy8G297400 ID=Brasy8G297400.1.v1.1 annot-version=v1.1 MLNRTETMLAAFKESELIGREKEKSDIIKLTINEDSQQREVICVWGMGGLGKTTLVRDVYRSQKLGGKFEKRACVTIMRPFNHGSLLKDLATQFGYKDSEQMDRDLKGKKYLIVIDDLLSKDEWDKIKKYFPAEETSSRIIVTTRVKDIADYCSEKHENIYHLKCLGPDNSRDLFVEKVFGKTTNLDEQGPELLEQANLILKKCNGLPLAIVAIGGFLANQPKSAVEWGKLNENISAELEMNPELEIIRTVLMKSYDGLPYYLKSCFLYLSIFPEDHKIGLKRLLQRWIAEGYSSVRHNKAAEEIAESYLMELISRSMLLPIQKSSYGRRGIDCCQVHDMIREIGISKSMEENLVFRLEQGCSLSNTQSMAARHLAINGNWEGDESEFESMVDMSRVRSLTVFGKWKSFFISEKMSLLRVLDLEDATGLVDHHLKHIGKLLHLRYLSLRGCDDIDHLPDSLGNLRELETLDIRDTRILMLPKAIIKLQKLKSLRASIKWKAHQSVRVVCTMFCCYASHGYSHGVLVPRGMKKLKALQTLGFVNIRQRGNVSLQEIKGLTRLRKLEVTGINKNNGQELCAAIVCLSRLESLSIQSYGEPGLCGCLDGTFSFPENLQSLKLYGNLVKLPEWVQGLKNLVKLKLAGSGISEPDAAIRVLGSLPNLAFLHLLYGSFEGEEVRLNFPREMFPSLIVLELELWLSLRAVQFEQGATPMLELLKFCDVRINSDSLSGLASLSGLKEVVLQGPFDPDELEHVRAAVAENPNGPVVKVV* >Brasy8G194800.1.p pacid=40082912 transcript=Brasy8G194800.1 locus=Brasy8G194800 ID=Brasy8G194800.1.v1.1 annot-version=v1.1 MAAAAKMKVGRGGQRRPRQSRGRRGGHQRQRQRSGGRDAVAIGGGGAERAMRWRCRRGRSASARWRGRETGRGHREKCKDGKQRPWRAAGSQEEDALLQNGPSFNSISPCARAPLLQLHLPEPVPPELILRRPRGLPLILLLPPELLPPPEVLCLPISLPNSSLHCLSLDRPPRTASPSRRQRAPPARPAEGRAASPTRTRRIRTGSRWSTPPPPWDASLARGSSRQGSHAGGFGRRGPGSGRHGLHAGGSGDPLSQFSAMALAAARSLPVGTGSGDPLPPACGGAVARGAWSTGGGGRRHRVLEKPAILFFVIC* >Brasy8G264800.1.p pacid=40082913 transcript=Brasy8G264800.1 locus=Brasy8G264800 ID=Brasy8G264800.1.v1.1 annot-version=v1.1 MSILAKFGYMSGPSQNSLMSSISGTKGPSQNSSMTKNNKPHCLHLRFYSTFARQSPRTLQAAPNCSVGVVNYSIQISTWVRQVLACFKAQLLGMLP* >Brasy8G143500.1.p pacid=40082914 transcript=Brasy8G143500.1 locus=Brasy8G143500 ID=Brasy8G143500.1.v1.1 annot-version=v1.1 MENSPPRGAPRDGSSTAEGNAVHSNASPCACFFWKPLSLVRWRRMGPLWVLLPASSRQVLAANLVRLLLER* >Brasy8G134300.1.p pacid=40082915 transcript=Brasy8G134300.1 locus=Brasy8G134300 ID=Brasy8G134300.1.v1.1 annot-version=v1.1 MSPTTTATAGAFLSAAPASSSSTYRRRSRLPAISASLPSSSSEEPLLIRAARGEDGLPRPPAWMMRQAGRYMASYQALSKRHPSFRERSENTDLIVEITLQPWHAFAPDGVILFSDILTPLPAIGVPFDISESKGPVIQSPVRTEEQVRELVPIDLDKLQFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKRMCHTAPNVLRGLLSHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSKIKTKCPHVPLVLYINGNGGLLERMKDIGVDVIGLDWTVDMADGRRRVGDGISVQGNVDPAYLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTIFQGHVTEELQHV* >Brasy8G134300.3.p pacid=40082916 transcript=Brasy8G134300.3 locus=Brasy8G134300 ID=Brasy8G134300.3.v1.1 annot-version=v1.1 MSPTTTATAGAFLSAAPASSSSTYRRRSRLPAISASLPSSSSEEPLLIRAARGEDGLPRPPAWMMRQAGRYMASYQALSKRHPSFRERSENTDLIVEITLQPWHAFAPDGVILFSDILTPLPAIGVPFDISESKGPVIQSPVRTEEQVRELVPIDLDKLQFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKRMCHTAPNVLRGLLSHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSKIKTKCPHVPLVLYINGNGGLLERMKDIGVDVIGLDWTVDMADGRRRVGDGISVQGNVDPAYLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTIFQGHVTEELQHV* >Brasy8G134300.2.p pacid=40082917 transcript=Brasy8G134300.2 locus=Brasy8G134300 ID=Brasy8G134300.2.v1.1 annot-version=v1.1 MSPTTTATAGAFLSAAPASSSSTYRRRSRLPAISASLPSSSSEEPLLIRAARGEDGLPRPPAWMMRQAGRYMASYQALSKRHPSFRERSENTDLIVEITLQPWHAFAPDGVILFSDILTPLPAIGVPFDISESKGPVIQSPVRTEEQVRELVPIDLDKLQFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKRMCHTAPNVLRGLLSHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSKIKTKCPHVPLVLYINGNGGLLERMKDIGVDVIGLDWTVDMADGRRRVGDGISVQGNVDPAYLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTIFQGHVTEELQHV* >Brasy8G018400.1.p pacid=40082918 transcript=Brasy8G018400.1 locus=Brasy8G018400 ID=Brasy8G018400.1.v1.1 annot-version=v1.1 MSEMEKAHKCSAASLTDDLIVEILSRLPVKPLCRFKCVSKAWENLISHPEHRKKLPQTLAGFLYTSLSGLLPALAFTNASPQEERYPTFPSFSFLPEFQDIRILDCCNGLLLCRLWESTDDFDYVLCNPATEKFTTVPPSGNADNLYAARLGFDPAVSSSSFHVFELVEYEEDDPIITGVRVYSSETKEWVHKEVEWSDDALLESRLSRTVFLNGSLHILTDQPAVLAVDTELKTWKKIRLDSFQDEGGFIQKSQGCLHFVNFREFGSVTRLAVYVLEDMAAKTGC* >Brasy8G055800.1.p pacid=40082919 transcript=Brasy8G055800.1 locus=Brasy8G055800 ID=Brasy8G055800.1.v1.1 annot-version=v1.1 MKGPIHIYYQLENFYQNHRRYVKSRSDKQLRFKDYKDPAAVMKSCDPEAVAADGSLIVPCGLIAWSLFNDTYAFSVNKKPVPVNKGSKFGSDVFPSNFQKGGLIGGGKLDDKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADMMASDEITVVIQNNYNTYSFGGSKALVLSTASWIGGRNNFIGVTYVAVGGLCLFLAMGFVVLYVVKPR* >Brasy8G058500.1.p pacid=40082920 transcript=Brasy8G058500.1 locus=Brasy8G058500 ID=Brasy8G058500.1.v1.1 annot-version=v1.1 MVMAARGGMGAFSIVGDQNVTSHALTSRARRRRRGPAHSPPSQDRPTLSRRRRQPPPRPCAWPSSRCRSSAPGRRHRRPTPPPGALPGWSLTRHREPPSLALPRRREPTPSPSDPCTITGGPPRLAPAPVGCRRAAPPRHHLSLTTRRLASP* >Brasy8G079900.1.p pacid=40082921 transcript=Brasy8G079900.1 locus=Brasy8G079900 ID=Brasy8G079900.1.v1.1 annot-version=v1.1 MSREPLLLLVLLIGAALPGGSRAEPAAGLRFGFYNATCPAAEGVVFAAMHAILREDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGTAERDATPNRSMRGYGAIERIKARLESVCPLTVSCADIIAMAARDAVYLSKGPWYAVETGRRDGNETLAEYAETDLAPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCEAFRKRLYNFSADTEQDPSLDAGYAAKLKKLCPPGAHTHGRGRSRATKVPMDPGSGFTFDLSYYRHVVAGRGLFQSDGGLLHDPATKVYVDRMAAASSPDEYFEDFAAAMVKMGRTDVLLGRLGEVRATCGIFVD* >Brasy8G079900.2.p pacid=40082922 transcript=Brasy8G079900.2 locus=Brasy8G079900 ID=Brasy8G079900.2.v1.1 annot-version=v1.1 MLRSRSGTAERDATPNRSMRGYGAIERIKARLESVCPLTVSCADIIAMAARDAVYLSKGPWYAVETGRRDGNETLAEYAETDLAPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCEAFRKRLYNFSADTEQDPSLDAGYAAKLKKLCPPGAHTHGRGRSRATKVPMDPGSGFTFDLSYYRHVVAGRGLFQSDGGLLHDPATKVYVDRMAAASSPDEYFEDFAAAMVKMGRTDVLLGRLGEVRATCGIFVD* >Brasy8G065400.1.p pacid=40082923 transcript=Brasy8G065400.1 locus=Brasy8G065400 ID=Brasy8G065400.1.v1.1 annot-version=v1.1 MGLAWRSLVMLWAVVGSTAARLAGAAPQVPCYFVFGDSLVDNGNNNVIMSMARANYPPYGIDFAGGPTGRFSNGLTTVDVISRLLGFDDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGGRISFSGQVQNYQSAVQQLVSILGDEDAAAAHLSRCIFTVGMGSNDYLNNYFMPAFYNTGSRYSPQQYADDLAARYTELLRVLYGYGARKVALMGVGQVGCSPNELAQGSANGVACVDRIDTAVRMFNRRLTGIVDQFNALPGAHFTYVNIDGIFADILRTPGAHGLKVTNAGCCGVGRNNGQVTCLPFQTPCANRHEYLFWDAFHPTEAANELVGQRAYSARLPSDVHPVDLRTLARL* >Brasy8G058000.1.p pacid=40082924 transcript=Brasy8G058000.1 locus=Brasy8G058000 ID=Brasy8G058000.1.v1.1 annot-version=v1.1 VSIFDSVAKNPDGTEMLLYNCEYSIGPLKSRFMVKKGTKHRPISEKQYQYLVSLNNQVNAVSIENFFRDERDIGCLVIEPIHMSFHCWLRKLRSQPTNKPITDDGRMSPTLRSIIL* >Brasy8G116200.1.p pacid=40082925 transcript=Brasy8G116200.1 locus=Brasy8G116200 ID=Brasy8G116200.1.v1.1 annot-version=v1.1 MISKLDISFLRLIKMVLELLILSGVNYEVILGGLLKPFISNYSQMEKQSKSDAFTISVNHSLRELSSRILSGMSCSCLPEEFCDNGMSYVIENSGLKDGVTCSTVSQDETLKYFSPRSLLRYVKSLALSPGQGGDLLDLVIACSQLMSFNRSKGCFGIASFQTESAWAEYDMDNLSTRNVMLGENLTNEVQPTHFKPKRGKGRSRKRKPEDNLELTKKRPIVKPQNGQTFHNNTDEVECSEFDISSGVVNELSMKKWAKRRRVQRNHHVDSKDLLANVRSAAIEPMNECSFSGMAISYFSDYRNFVVSASTEVSIIGEADGKRSGMQKTLPSPEVETTDHMQDAYWSGLSLHNGPIHSVRGQSGSNRPIRRRRSSRGTSVPSSDLSSLAKYLQPGAFGPNKKIQVIERPIIHVDEKMHHEIKPTALVLSFGWSTALPSERDLIRFFSRYGPLKQTETEVHKSTNTAKVVFKKCVDAERAFSVAGNFNSFGPSLSSFRLVTMPFLLDMTETNSPKLRPEDSGMEVLAPRVSRVPLYSTRVDIVDKGESIKGTSFEQADMVKQAGQHEVTNEESLDDLSDTMQIEIAGKVPNHSLFCVDCVVSASKDTMEVDNTDEA* >Brasy8G116200.4.p pacid=40082926 transcript=Brasy8G116200.4 locus=Brasy8G116200 ID=Brasy8G116200.4.v1.1 annot-version=v1.1 MISKLDISFLRLIKMVLELLILSGVNYEVILGGLLKPFISNYSQMEKQSKSDAFTISVNHSLRELSSRILSGMSCSCLPEEFCDNGMSYVIENSGLKDGVTCSTVSQDETLKYFSPRSLLRYVKSLALSPGQGGDLLDLVIACSQLMSFNRSKGCFGIASFQTESAWAEYDMDNLSTRNVMLGENLTNEVQPTHFKPKRGKGRSRKRKPEDNLELTKKRPIVKPQNGQTFHNNTDEVECSEFDISSGVVNELSMKKWAKRRRVQRNHHVDSKDLLANVRSAAIEPMNECSFSGMAISYFSDYRNFVVSASTEVSIIGEADGKRSGMQKTLPSPEVETTDHMQDAYWSGLSLHNGPIHSVRGQSGSNRPIRRRRSSRGTSVPSSDLSSLAKYLQPGAFGPNKKIQVIERPIIHVDEKMHHEIKPTALVLSFGWSTALPSERDLIRFFSRYGPLKQTETEVHKSTNTAKVVFKKCVDAERAFSVAGNFNSFGPSLSSFRLVTMPFLLDMTETNSPKLRPEDSGMEVLAPRVSRVPLYSTRVDIVDKGESIKGTSFEQADMVKQAGQHEVTNEESLDDLSDTMQIEIAGKVPNHSLFCVDCVVSASKDTMEVDNTDEA* >Brasy8G116200.3.p pacid=40082927 transcript=Brasy8G116200.3 locus=Brasy8G116200 ID=Brasy8G116200.3.v1.1 annot-version=v1.1 MISKLDISFLRLIKMVLELLILSGVNYEVILGGLLKPFISNYSQMEKQSKSDAFTISVNHSLRELSSRILSGMSCSCLPEEFCDNGMSYVIENSGLKDGVTCSTVSQDETLKYFSPRSLLRYVKSLALSPGQGGDLLDLVIACSQLMSFNRSKGCFGIASFQTESAWAEYDMDNLSTRNVMLGENLTNEVQPTHFKPKRGKGRSRKRKPEDNLELTKKRPIVKPQNGQTFHNNTDEVECSEFDISSGVVNELSMKKWAKRRRVQRNHHVDSKDLLANVRSAAIEPMNECSFSGMAISYFSDYRNFVVSASTEVSIIGEADGKRSGMQKTLPSPEVETTDHMQDAYWSGLSLHNGPIHSVRGQSGSNRPIRRRRSSRGTSVPSSDLSSLAKYLQPGAFGPNKKIQVIERPIIHVDEKMHHEIKPTALVLSFGWSTALPSERDLIRFFSRYGPLKQTETEVHKSTNTAKVVFKKCVDAERAFSVAGNFNSFGPSLSSFRLVTMPFLLDMTETNSPKLRPEDSGMEVLAPRVSRVPLYSTRVDIVDKGESIKGTSFEQADMVKQAGQHEVTNEESLDDLSDTMQIEIADEA* >Brasy8G116200.2.p pacid=40082928 transcript=Brasy8G116200.2 locus=Brasy8G116200 ID=Brasy8G116200.2.v1.1 annot-version=v1.1 MISKLDISFLRLIKMVLELLILSGVNYEVILGGLLKPFISNYSQMEKQSKSDAFTISVNHSLRELSSRILSGMSCSCLPEEFCDNGMSYVIENSGLKDGVTCSTVSQDETLKYFSPRSLLRYVKSLALSPGQGGDLLDLVIACSQLMSFNRSKGCFGIASFQTESAWAEYDMDNLSTRNVMLGENLTNEVQPTHFKPKRGKGRSRKRKPEDNLELTKKRPIVKPQNGQTFHNNTDEVECSEFDISSGVVNELSMKKWAKRRRVQRNHHVDSKDLLANVRSAAIEPMNECSFSGMAISYFSDYRNFVVSASTEVSIIGEADGKRSGMQKTLPSPEVETTDHMQDAYWSGLSLHNGPIHSVRGQSGSNRPIRRRRSSRGTSVPSSDLSSLAKYLQPGAFGPNKKIQVIERPIIHVDEKMHHEIKPTALVLSFGWSTALPSERDLIRFFSRYGPLKQTETEVHKSTNTAKVVFKKCVDAERAFSVAGNFNSFGPSLSSFRLVTMPFLLDMTETNSPKLRPEDSGMEVLAPRVSRVPLYSTRVDIVDKGESIKGTSFEQADMVKQAGQHEVTNEESLDDLSDTMQIEIADEA* >Brasy8G203100.1.p pacid=40082929 transcript=Brasy8G203100.1 locus=Brasy8G203100 ID=Brasy8G203100.1.v1.1 annot-version=v1.1 MAASDENEIDLLSLQPDAILGTVISLLPTDEAARTAALSRRWRHLWRSSPLNLDDGRLLKNNRSHPLRPSFPVIYYYLDEYDTPRRTKGGDDLPWRSTPVYLSPNSDRLRTSPISNNPAIAQLTILSDLQGSSAGHRFCTPKSCVDHLGATISKILSEHRDSARRLRLLHAGTSAEPAEMGAWLGYPALGGLEEVEVLFSLHRQTRPAAALRRVPPLLTHPPLRRLRLLPLPLRRRRRPDPPFPHLTVELDALRRVISACSSLDRLLLHCIWRPPGTDDDNNTRRRNSLLIDSPRLRMIESGYLDDDLVIRNAPRLERIVAICGDYRRPSPLAVYDIKAEKMDIFAASYANRVIKDNFTATTRGAVRVLFGCDRDESPAPVECLERSRLRHVVLKRYEGLAPDVRFAGFLVSKARALELMEFGVHDACRDHDWWMADQRKRLMLDSGASPARTAFANEARNHLSAVSCGGAEKLASSSVNEPQCAMDGKLIFRTLVGAATAKFGEGCGGRRALVGAQAAAQLAVVAIDAVLGGVGRGRQ* >Brasy8G016700.1.p pacid=40082930 transcript=Brasy8G016700.1 locus=Brasy8G016700 ID=Brasy8G016700.1.v1.1 annot-version=v1.1 MSLRLPIPQGLPFFRTVGWFEDSKVKSAAKQLLSPKLKLQTDKKVYRPGDSVTATIEICSSTSLKDDAGTGSDEDAPSLLVDGLSFELKGIEKLDSQWFSVPKTLPGSKQRKGEYLFLDCLAPSLVSKVIIASGQTKIYIVRLELPKILPPSYRGISIRYIYYVRSTLLGRLIELGNGEQNKGHVNSAVQLEGRVPLQICVSQKSSNLLNEEGSFPLLFEQLSMFWRENYEGSEWTRANDNTDLEEGYDSSKDEVSSVSSYNPSKSNTEFTRRDSVSTQSISSRLSTSEALHSQGERPTFPSYSAIPRLSVSEISDDHGGGMVSPQRKLNHLLSDHNPSNGQRFSLDSDRLKDDVGLPLTPKHVEPSGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGTRRCVEVSITLETSETINPRVIHPSRRVSPTITKIHSEHHEVVADLHQTSFLFSIPIDGPMSFATSKVSVQWSLRFEFFTTPPGMDPSRYEHPLLVEKREKGDWVLPITVYAPPLRRRATHGRNDKTVLVGNLFNS* >Brasy8G120100.1.p pacid=40082931 transcript=Brasy8G120100.1 locus=Brasy8G120100 ID=Brasy8G120100.1.v1.1 annot-version=v1.1 MSRATAAGASPAQPVRVVLRVRPLLSSEASSTAAPCVSLIDSRPGDEVTVLLKDQYSSRSECYKLDAFFGHEDRVCDIFDKEVSPVISGIFEGVNATIFAYGATGSGKTYTMQGSEDLPGLIPLSVSTILARCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNLQLKSLAWVPVRSMEEFQEVYSIGVQRRKVAHTGLNDVSSRSHAVLSIRVSNDIVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINSSLFALSNVISALKKNEPWIPYRESKLTRILQDSLGGNSLAIMIACLNPVEYQEAVHTVSLSARSGHIVTNMPSASKGQTPKVKVDMEAKLQVWLESKGKTKSTQRMNGLFSPTGCKTPSMSQMKQPASARLSSRAKERNQDGGKIKKVLFDSAVCTPAENIPRLSSQDEVKTIKKAVLPSFSPCEEDKSESPLRKALSPISTNTVSLKQHTADDINCIPSLEPKTPIGACNMVEKIRGGTPLDKFNAVRSNLKESLIEQYLEFLNVANKEELQQLKGIGEKRADYILELREDSPRPFKHLSDLGNIGLSSKQIQDILRKTATGIFK* >Brasy8G228800.1.p pacid=40082932 transcript=Brasy8G228800.1 locus=Brasy8G228800 ID=Brasy8G228800.1.v1.1 annot-version=v1.1 MSTTNNNKTDGGVSASTMTTVGKCGSHIVRINAYSQTKHLSDFSKIESTAFTAGGYTWSILFLPNGIEPAQGHAGGGGLYFSLKLAKTAANSGLVLPVRVRVRFTLVPPQQQKKQAPAPAPAATCLAVTFSHVDEAWTATRPLITRAELQESGYLEGDSFAVRCDVTVFDVLVAADEPAQQAREPERPGVAKDTLCKSQTKTTLLPPPRKGRRRGIKVPFVWDS* >Brasy8G299300.1.p pacid=40082933 transcript=Brasy8G299300.1 locus=Brasy8G299300 ID=Brasy8G299300.1.v1.1 annot-version=v1.1 MAVVVVAVTVVLLSASPPAAAQPWQYCGSSARYSPNSTYQANLESVSAALPRNASSSPALFATAARGGDDRVFALTLCRGDADAAGCLDCVAGAFHHARGSCPLDKEVAVLYDACFLYFSGQDFLATTANVGQISLYNTPQNSSADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAAGGPIGARTAGVRCSYRFENYAFFRGVPMLNMGTPPPPSTQPTAGRRSGKRKKQWIVSIIVPLVGIFLCIFCFGWIRYLRKGRLELQEQPMANLTDVSSLWTIQDTDSEFTMFDFSQIVESTDNFCNENKLGQGGFGAVYKVGQLPNGLEIAVKRLASQSGQGFLEFKNEIHLIAKLQHTNLVRLLGCCIQGEEHILIYEYMQNKSLDFFIFDVTRGRLLTWDKRLNIIEGIAQGLLYLHKLSRLRIIHRDLKASNILLDSDMNPKISDFGLAKIFSSNAIQGNTNRVVGTYGYMAPEYASEGVFSVKTDVFSFGVLLLEIISGKRNAGFHQQGDFFNLLSYAWKLWKEGRWFELLDKSLADHHDQDQALEILKCINIALMCVQENGADRPTMSDVVAMLSMETMSSLPYPKQPAYFNLSSADVDLSTTAPSSVNVVSVCISEGR* >Brasy8G299300.4.p pacid=40082934 transcript=Brasy8G299300.4 locus=Brasy8G299300 ID=Brasy8G299300.4.v1.1 annot-version=v1.1 MAVVVVAVTVVLLSASPPAAAQPWQYCGSSARYSPNSTYQANLESVSAALPRNASSSPALFATAARGGDDRVFALTLCRGDADAAGCLDCVAGAFHHARGSCPLDKEVAVLYDACFLYFSGQDFLATTANVGQISLYNTPQNSSADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAAGGPIGARTAGVRCSYRFENYAFFRGVPMLNMGTPPPPSTQPTAGRRSGKRKKQWIVSIIVPLVGIFLCIFCFGWIRYLRKGRLELQEQPMANLTDVSSLWTIQDTDSEFTMFDFSQIVESTDNFCNENKLGQGGFGAVYKGQLPNGLEIAVKRLASQSGQGFLEFKNEIHLIAKLQHTNLVRLLGCCIQGEEHILIYEYMQNKSLDFFIFDVTRGRLLTWDKRLNIIEGIAQGLLYLHKLSRLRIIHRDLKASNILLDSDMNPKISDFGLAKIFSSNAIQGNTNRVVGTYGYMAPEYASEGVFSVKTDVFSFGVLLLEIISGKRNAGFHQQGDFFNLLSYAWKLWKEGRWFELLDKSLADHHDQDQALEILKCINIALMCVQENGADRPTMSDVVAMLSMETMSSLPYPKQPAYFNLSSADVDLSTTAPSSVNVVSVCISEGR* >Brasy8G299300.5.p pacid=40082935 transcript=Brasy8G299300.5 locus=Brasy8G299300 ID=Brasy8G299300.5.v1.1 annot-version=v1.1 MAVVVVAVTVVLLSASPPAAAQPWQYCGSSARYSPNSTYQANLESVSAALPRNASSSPALFATAARGGDDRVFALTLCRGDADAAGCLDCVAGAFHHARGSCPLDKEVAVLYDACFLYFSGQDFLATTANVGQISLYNTPQNSSADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAAGGPIGARTAGVRCSYRFENYAFFRGVPMLNMGTPPPPSTQPTAGRRSGKRKKQWIVSIIVPLVGIFLCIFCFGWIRYLRKDVTRGRLLTWDKRLNIIEGIAQGLLYLHKLSRLRIIHRDLKASNILLDSDMNPKISDFGLAKIFSSNAIQGNTNRVVGTYGYMAPEYASEGVFSVKTDVFSFGVLLLEIISGKRNAGFHQQGDFFNLLSYAWKLWKEGRWFELLDKSLADHHDQDQALEILKCINIALMCVQENGADRPTMSDVVAMLSMETMSSLPYPKQPAYFNLSSADVDLSTTAPSSVNVVSVCISEGR* >Brasy8G299300.2.p pacid=40082936 transcript=Brasy8G299300.2 locus=Brasy8G299300 ID=Brasy8G299300.2.v1.1 annot-version=v1.1 MAVVVVAVTVVLLSASPPAAAQPWQYCGSSARYSPNSTYQANLESVSAALPRNASSSPALFATAARGGDDRVFALTLCRGDADAAGCLDCVAGAFHHARGSCPLDKEVAVLYDACFLYFSGQDFLATTANVGQISLYNTPQNSSADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAAGGPIGARTAGVRCSYRFENYAFFRGVPMLNMGTPPPPSTQPTAGRRSGKRKKQWIVSIIVPLVGIFLCIFCFGWIRYLRKGRLELQEQPMANLTDVSSLWTIQDTDSEFTMFDFSQIVESTDNFCNENKLGQGGFGAVYKVGQLPNGLEIAVKRLASQSGQGFLEFKNEIHLIAKLQHTNLVRLLGCCIQGEEHILIYEYMQNKSLDFFIFVQM* >Brasy8G299300.3.p pacid=40082937 transcript=Brasy8G299300.3 locus=Brasy8G299300 ID=Brasy8G299300.3.v1.1 annot-version=v1.1 MAVVVVAVTVVLLSASPPAAAQPWQYCGSSARYSPNSTYQANLESVSAALPRNASSSPALFATAARGGDDRVFALTLCRGDADAAGCLDCVAGAFHHARGSCPLDKEVAVLYDACFLYFSGQDFLATTANVGQISLYNTPQNSSADALFTARVRALLNGTARWAAYGSARRFATARIWNGSVAAPVPTMYALAQCTPDLSPADCWGCLEDLVGKAPLAAGGPIGARTAGVRCSYRFENYAFFRGVPMLNMGTPPPPSTQPTAGRRSGKRKKQWIVSIIVPLVGIFLCIFCFGWIRYLRKGRLELQEQPMANLTDVSSLWTIQDTDSEFTMFDFSQIVESTDNFCNENKLGQGGFGAVYKGQLPNGLEIAVKRLASQSGQGFLEFKNEIHLIAKLQHTNLVRLLGCCIQGEEHILIYEYMQNKSLDFFIFVQM* >Brasy8G231600.1.p pacid=40082938 transcript=Brasy8G231600.1 locus=Brasy8G231600 ID=Brasy8G231600.1.v1.1 annot-version=v1.1 MEPQRRRRGTGSGRKQDRLSGLPDELLHEILRHLRSSPTARDGAKVGVPDLVLGDDLPIRHGASFLDAVDAALDSYADPAAHLLHGLEITMPFAGVHVDVPACRVAPWMRFAARKRAVALILRVSFFCTVPPWPLELPPLETATSVTLSLGSRFELRPLPLSGAFAALADLEIKLATMEVRALEVLVSTQCPRLRKLSPFSVTLVDAASSGGVSLRSTTLRYLKFHVPTRRLDVAAPALQVLEATHEIADACVAAPNLAEVVLGRCDSFVFADAGRRLRRLGVTMFTPTVIAPLAQRFDSIDELRLHGSFGGGEWRTFLDDGNYDDLHLPKCETLCISCISTFRPEASVPCLLRRLLRRGNGVRKLEMFAYACTGTYCSPSCPCRSPQSAVADAIILDSLEEIKLELVGGDESLEKFIEQLLSMCSLAKLKRVEINRLRKHGSSPSDKKTIHVMFPPNIRVSFNVCDSYMR* >Brasy8G205800.1.p pacid=40082939 transcript=Brasy8G205800.1 locus=Brasy8G205800 ID=Brasy8G205800.1.v1.1 annot-version=v1.1 MATAKPILYGSWISSCSHRVRIALNLKGLDYEYKAVNPLTDPDYEKINPIKYIPALVDGDIVVSDSLAIILYLEDKYPQHPLLPKDLKTKALDLQIANIVCSSIQPLQGYGVIGLYEGRLSPEESLQVVQRYIDKGFRAIEKLLDGCDSKYSVGDEVHLGDVYLAPQIHAAINRFQIDMTKYPILARFHEAYMEIPAFEAALPQNQPDAPST* >Brasy8G037000.1.p pacid=40082940 transcript=Brasy8G037000.1 locus=Brasy8G037000 ID=Brasy8G037000.1.v1.1 annot-version=v1.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA* >Brasy8G083400.1.p pacid=40082941 transcript=Brasy8G083400.1 locus=Brasy8G083400 ID=Brasy8G083400.1.v1.1 annot-version=v1.1 MGGSYTNGVGGAAANGAAEGKLDELRRLLGKAEGDPLRIVGVGAGAWGSVFCALLQDAYGRDYRDKAQVRVWRRPGRAVDRATAEQLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERLNPPVIISLAKGVEASLDPVPRIITPTQMITNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLSKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEEPEKLSGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLSVMHPETKKHVAPVELCPILKTLYKILIKRDLGTNSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLPKGDTKS* >Brasy8G117500.1.p pacid=40082942 transcript=Brasy8G117500.1 locus=Brasy8G117500 ID=Brasy8G117500.1.v1.1 annot-version=v1.1 MAAAAAGEGGGGEGEELLLRSVEAGDGLCVGDRPWRLNFDGFRRQEAQENPPRGRLHDCLGVLAQGPGDVVAEYYQQQLEMLEGFNEMDTLTDRGCLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLLSDGTEFHLTKEQEMWVVDIMLSVTLVKLLLVIYCRSFTNEIVKAYAQDHFFDVITNIIGLVAALLANYVEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPRDMPLQEAHDIGEALQEKLESLPEIERAFVHLDYEFTHQPEHARSYDT* >Brasy8G117500.2.p pacid=40082943 transcript=Brasy8G117500.2 locus=Brasy8G117500 ID=Brasy8G117500.2.v1.1 annot-version=v1.1 MAAAAAGEGGGGEGEELLLRSVEAGDGLCVGDRPWRLNFDGFRRQEAQENPPRGRLHDCLGVLAQGPGDVVAEYYQQQLEMLEGFNEMDTLTDRGCLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGTEFHLTKEQEMWVVDIMLSVTLVKLLLVIYCRSFTNEIVKAYAQDHFFDVITNIIGLVAALLANYVEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPRDMPLQEAHDIGEALQEKLESLPEIERAFVHLDYEFTHQPEHARSYDT* >Brasy8G242400.1.p pacid=40082944 transcript=Brasy8G242400.1 locus=Brasy8G242400 ID=Brasy8G242400.1.v1.1 annot-version=v1.1 MGEGNGVAWAGALSPAARYAESGGASLTWENLTAVLPGSGGRATKKLLQGLYGYAVPGRIVAIMGPSGSGKSTLLDSLSGRLARNVLQTGKVLLNGKKRRLDFGAVAYVTQENVLLGTLTVRETVTYSALLRLPSSMTKAEVRRVVDDTLDEMGLRECAERPVGTWHLRGVSGGEKKRLCIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRQLARDGGRTVVSSVHQPSSEVFALFDDLCLLSSGESVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVATAMKGSMKLRAEADLDPLLKYSTAEIRERLVEKYRISDYALMVRNTIHEITKIEGVVEEAVKGSQATWCKQLRTLTKRSYKNMYRDFGYYRLRIIIYVLMAICLGTIYYDVGNGYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFSLERQNGHYGVAAYIISNFLSSMPFLFTMSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWKYPVSYIVYGSWGLKGAYKNDLLGLEFEPMTPGEPKLTGEYIITNMMGLSVSYSKWLDLAMIFILLLAYRVTFFFVLKVREAAAPYIRVAYTRFTVKRLERRASFRETLAMTSLSKRHNTPHPMAVQEGLSSPLPY* >Brasy8G110700.1.p pacid=40082945 transcript=Brasy8G110700.1 locus=Brasy8G110700 ID=Brasy8G110700.1.v1.1 annot-version=v1.1 MMAASTSPPAASVCAAGDDLETLALDSASSSPAAVSTDPLLRPPSSPAAAGNHEPFVIDDFLDDDDDDDDIPPSPSPRAPSAPAEAAPEFDRITVSDPKKHAEPVAGAAGVIPGSGSYFSYLVTSSLAGGGGEFRVRRRFRDVVALADRLAATHRGLFVPTRPDKSLVEGQVMQRHDFVNQRCTALQRYLRRLAAHPIVGRSPDLRMFLTQPGAIPAFEGEVPRYWATTANVTTMPVPAKAGRDLFGMFKDLKQTVVNGLVATKPPPVEEETDTEFLSHKARLQDLQQQLTTTSQQAESLVKAQDDLRTTTAHLGMTLIKLSKFEREQATCDSHRRRSSDINNFATSVVKFSRSQAKLNSEIVKRLDNIHEYLEMMISVHHAFSDRANALQHVQSLSADLFFLHTRAGRLESVSSRGIGQEWTRYQKIEGLKETISAAEAAKSQALTEYEGIKENNMIEITRFDKNRRRDFVDMLKGFVIKQVIYADNFANMWGKVAEETQAAVLRLPRSRSRAPKMSNAPSRNAAPFKPLLPSPRSRLRTKPVLTSGVTPSSSPFPRRSVSASAASRRDFLLLVPSLAAASALLRPLPSAAADEEAPPPPPPTPPAQPSTPTPPPRTEEKELDESAMSRVYDATVIGEPQAVGRDAKGQVWEKLAAARVVYLGEAELVPDPDDRVLELEIIRKLAARCTDAQRSLALALEAFPCDLQQQVDQFMDGKIDGSILKSYTFHWPPERWQEYEPLLNFCRDNGIKLVACGTPLQVKRTVQAEGIRGLSKVERKKYAPPAGSGFISGFTSISGRSLIDKISSTRDSPFGPNSYLSAQARVVDDYTMSQIIIKELDARDPSRMLIVVAGASHVIYGSRGIGVPARIAKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGLDLGVVSPEILQNFFDLEKYPVIDELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYTDNGSGQSFEFVKGILGSLPDNAFQKNTLGQNWNVNQRVAAVLIGGLKLAGVGFISSVGAGFSSDLLYAARGVLKPSVNVGAGRKRSPVWKSAAVYSCFLGTSANLRYQIIAGLLEHRLGESLATRYNQPLLAGLLSFVARTANSYLGTQQWVDLARYTGVQKIKEPLPSAEITAPPEISQLEDGRTEVQNSDDSSSNRNQSSGPTK* >Brasy8G110700.2.p pacid=40082946 transcript=Brasy8G110700.2 locus=Brasy8G110700 ID=Brasy8G110700.2.v1.1 annot-version=v1.1 MMAASTSPPAASVCAAGDDLETLALDSASSSPAAVSTDPLLRPPSSPAAAGNHEPFVIDDFLDDDDDDDDIPPSPSPRAPSAPAEAAPEFDRITVSDPKKHAEPVAGAAGVIPGSGSYFSYLVTSSLAGGGGEFRVRRRFRDVVALADRLAATHRGLFVPTRPDKSLVEGQVMQRHDFVNQRCTALQRYLRRLAAHPIVGRSPDLRMFLTQPGAIPAFEGEVPRYWATTANVTTMPVPAKAGRDLFGMFKDLKQTVVNGLVATKPPPVEEETDTEFLSHKARLQDLQQQLTTTSQQAESLVKAQDDLRTTTAHLGMTLIKLSKFEREQATCDSHRRRSSDINNFATSVVKFSRSQAKLNSEIVKRLDNIHEYLEMMISVHHAFSDRANALQHVQSLSADLFFLHTRAGRLESVSSRGIGQEWTRYQKIEGLKETISAAEAAKSQALTEYEGIKENNMIEITRFDKNRRRDFVDMLKGFVIKQVIYADNFANMWGKVAEETQVYANRTN* >Brasy8G110700.3.p pacid=40082947 transcript=Brasy8G110700.3 locus=Brasy8G110700 ID=Brasy8G110700.3.v1.1 annot-version=v1.1 MMAASTSPPAASVCAAGDDLETLALDSASSSPAAVSTDPLLRPPSSPAAAGNHEPFVIDDFLDDDDDDDDIPPSPSPRAPSAPAEAAPEFDRITVSDPKKHAEPVAGAAGVIPGSGSYFSYLVTSSLAGGGGEFRVRRRFRDVVALADRLAATHRGLFVPTRPDKSLVEGQVMQRHDFVNQRCTALQRYLRRLAAHPIVGRSPDLRMFLTQPGAIPAFEGEVPRYWATTANVTTMPVPAKAGRDLFGMFKDLKQTVVNGLVATKPPPVEEETDTEFLSHKARLQDLQQQLTTTSQQAESLVKAQDDLRTTTAHLGMTLIKLSKFEREQATCDSHRRRSSDINNFATSVVKFSRSQAKLNSEIVKRLDNIHEYLEMMISVHHAFSDRANALQHVQSLSADLFFLHTRAGRLESVSSRGIGQEWTRYQKIEGLKETISAAEAAKSQALTEYEGKQHD* >Brasy8G145400.1.p pacid=40082948 transcript=Brasy8G145400.1 locus=Brasy8G145400 ID=Brasy8G145400.1.v1.1 annot-version=v1.1 MILRCSTAKNCIAERICISREREVGWGREGGREKEGVERYPDSTERLVHEHGQRRLGAKWWGRRRGLAEATVWRGGGGGGADPVAEAAARRVGRGAAEADPWRRRRGEVRAGRGWERETRERGKRAGSRRWAQSPCSVERRRGASGGADRDAAAHCRSWRRRPRGEVERGLGDALARPGEGTRENGGRENRSGERGRSSEGEKNRGAVREKIRPRGSRRWLISGVVPGD* >Brasy8G286300.1.p pacid=40082949 transcript=Brasy8G286300.1 locus=Brasy8G286300 ID=Brasy8G286300.1.v1.1 annot-version=v1.1 MDDTTKLSYSPDAGFIDTGTNHNISGEYVMPVPSRQAQNLRSFPNGTRNCYTLRSLVSWLKYLIRAIFVYGNYDGLNRPPALFDLYIGVNLWMAVNMSSSDPEVDGMVITEAIIVVPDDFVQVCLVNTGAGTPFISGLELRPLKRTLYPQATTAQGLVKRARYNTAPTNRSYIARYPDDPHDRIWFPWYDAIMDELSTTERVQSIENDLFEAPSAVMQTAMKPPNGSRFTFYWDADPHPNNPSLGEIAIMHFSELQLLDGNDVRQFFVNFNGKPSYPTTFTPKYLYNGAAYNSFPSCLSLYNISIEATANSTLPPIINAIELFSVIPTTNIGTDWHDASAAMVLKAKYQVQKNWMGDPCLPKNMAWDRMNCSYVIANSSRITSINLSSSGLTGDISPSFAKLKALIYLDLSNNNLTGAIPDALSQLLSVTVIDLSGNQLNGTIPPELLKRIEDGSLDLIRHGNNPDLCTGSNSCELAAKRKNRVVIYIAVLILVILVIVSAAMLVFFLLRPRNQQHGSMKNMTTVKPQNEEPISIPSYGGTDVDSMRIVENRRFTYKELEMISNGFERVLGQGGFGRVYDGFLEDGTQVAVKLRSHASNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYMPQGTLREHIAGSDSHGACLPWRHRLRIALESAEGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSRAFDHDTDPVSTNTIVGTPGYVDPEYKATMQPTTKSDVYSFGVVLLELVTGKPPILSEPEPTNIIHWARQRLARGNIEAVVDARMHGDYDVNSVWKMAEIALKCTAQASAQRPAMADVMAQLQDCVELEKGRAVGFYPSDSSSLDVLDWSYSANGSGQSTDVSSNAAFEMDKRVTRVVTGPAAR* >Brasy8G018600.1.p pacid=40082950 transcript=Brasy8G018600.1 locus=Brasy8G018600 ID=Brasy8G018600.1.v1.1 annot-version=v1.1 MASLMDKAKGFVADKIAHMPKPEATLDSVSFKGVTREALTVHSNVNVTNPYSHRIPICDISFTLKCGGKVVASGTIPDPGWIEEGGEVTKLEVPAKVPYDFLISLMKDLGRDWDIDYELQVGLTIDLPIIGNFTIPLSTAGELKLPTFADFFGGGGAKDDEKAKDKE* >Brasy8G246700.1.p pacid=40082951 transcript=Brasy8G246700.1 locus=Brasy8G246700 ID=Brasy8G246700.1.v1.1 annot-version=v1.1 MEAFGGFFVDEKAARVENIFLEFLKRFKEPDAAEPFYDMEMEQMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRAGENRAPIISDDSPNKDINISFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVDQQFKYTEPIICVNATCQNRTKWALLRQDSKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREGPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRALLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALSPAFSTAELKRYFAFAKSLKPQLSSEAKKVLVESYVVLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERIVLPAHVRMAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPADNDPQQPEEPHQPEEPQQMDAADAGKKKLVITEEHFQRVTQALVMRLRQHEESIMKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTEEVKEEVKCIKAIIERLIQREGHLIVIDEGAAGDAVAAQRRPSENRILAVNPNYVID* >Brasy8G246700.2.p pacid=40082952 transcript=Brasy8G246700.2 locus=Brasy8G246700 ID=Brasy8G246700.2.v1.1 annot-version=v1.1 MEAFGGFFVDEKAARVENIFLEFLKRFKEPDAAEPFYDMEMEQMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRAGENRAPIISDDSPNKDINISFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVDQQFKYTEPIICVNATCQNRTKWALLRQDSKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREGPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRALLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALSPAFSTAELKRYFAFAKSLKPQLSSEAKKVLVESYVVLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERIVLPAHVRMAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPADNDPQQPEEPHQPEEPQQMDADAGKKKLVITEEHFQRVTQALVMRLRQHEESIMKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTEEVKEEVKCIKAIIERLIQREGHLIVIDEGAAGDAVAAQRRPSENRILAVNPNYVID* >Brasy8G066000.1.p pacid=40082953 transcript=Brasy8G066000.1 locus=Brasy8G066000 ID=Brasy8G066000.1.v1.1 annot-version=v1.1 MDTFPVRLLACSKHKPTVQMASPHRLPFLPLLLLLLAPSSAAPTVIHLPSARACAAPPDPAVYDRPVIGIVSHPGDGASGKFSNGTATSYIAASYVKFVESAGGRVIPLIYNEPEERLLEKLSLVNGVLFTGGSQKSGIYFETIKKVFQYVVDKNDEGVVFPLFAQCLGFELISMIVSKDNNILESFSASNQASTLQFPNYSSLEGSVFERFHPDLIKKLSTSCLVMQNHKYGISPKRLRENGGLSSFFRILTTSPDENGEVYVSTVQAQKYPITCTQWHPEKAIFEWGKPMIPHSEDAVQVTQNFANYFVSQARKSPNRPPADKVLDNLIYNYSPTFSGKTSKSFDEVYIFS* >Brasy8G090400.1.p pacid=40082954 transcript=Brasy8G090400.1 locus=Brasy8G090400 ID=Brasy8G090400.1.v1.1 annot-version=v1.1 MASTGRSMLLSLLLFAVTLSLLEMYRASFASSELMTIAGGFISSLLFLLLLTFIGNYQEASGIKTGWGAVVVAELVALIVAGTVHRVCITTCFLFSVGLLYEVDKLSGMILARSESKARRY* >Brasy8G090400.2.p pacid=40082955 transcript=Brasy8G090400.2 locus=Brasy8G090400 ID=Brasy8G090400.2.v1.1 annot-version=v1.1 MASTGRSMLLSLLLFAVTLSLLEMYRASFASSELMTIAGGFISSLLFLLLLTFIGNYQEASGIKTGWGAVVVAELVALIVAGTVHRVCITTCFLFSVGLLYEVDKLSGMILARSESKARRY* >Brasy8G005800.1.p pacid=40082956 transcript=Brasy8G005800.1 locus=Brasy8G005800 ID=Brasy8G005800.1.v1.1 annot-version=v1.1 MRCGEMMATKESGDLPRISGGLISDPPRMVERGRRADLPSTIFMGDALVGVSRQAFRRQVWALADRAEEDPAVLERLAQRSKDQLLPEIEELRQKFVGLVLAELKRRRVGVPKVNSVKSANCMDESTVCLTVEPDQGRNDGVVKSDQAQRSSMSSLVAGTEGDSVPQSFVIQPGRDPIQMDAGSGKTWQPDTEYTTGGYSFSDKLRVLEICCVLALHPSFQASNSGVCWSWGRGVKMEGEVFQEGAAGECAGSPGEETHDGHRWAAAIDA* >Brasy8G146500.1.p pacid=40082957 transcript=Brasy8G146500.1 locus=Brasy8G146500 ID=Brasy8G146500.1.v1.1 annot-version=v1.1 MRRKSLASPRAVLLLVRRYCAARDVARAIAAFRALPSFGFEPGVAQFHGLLSALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNMVCSVREAKRFWTAMEVRGIERDVVSYGSMISCFSKAGSLDSVLKLFNGMKEAGVVPDRKVYNAVVYALAKGRCVDEAKALVRSMEERGTPPDTATYNSLIGPLCKARQVQEATQMFDEMIGRGILASVRTFHALFDVATSPAEVFDVLDKMKTLHCEPEIDTYIMLIRKFCRWRQHDSVEKLWSAMPANGLNPDRSAYIVLIHGLFLNGKLEEAAKYYEEMKAKGFPPEQKTESMIQAWLTGRELAKASASIGSRSGSVSLRLNPRKR* >Brasy8G244900.1.p pacid=40082958 transcript=Brasy8G244900.1 locus=Brasy8G244900 ID=Brasy8G244900.1.v1.1 annot-version=v1.1 MDLDSSDGEAWLLDALATPPLFSAAAPLSWLCGDQHYSSLPMDAVSDLDEIPGTRSGESETVAKKVENMNGKMQVPVSLDDDYSDCSYFMKERLTLALSYFKESTDQHLLVQVWIPTKNRDRYVLTTSGQPFLLAQESIKLVQYRAVSMTYAFSVDGENVRDLGLPGRVYKQRVPEWTPSVQYYSSFEYPRLNHAINHNVHGTVALPVFDPSMKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVSRFSKMEYPLVHYARMFGLAGCFSIYLQSAYTGDDYILEFFLPPDCREDDEQKALLQSIIVLLRQHLRTLQVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.3.p pacid=40082959 transcript=Brasy8G244900.3 locus=Brasy8G244900 ID=Brasy8G244900.3.v1.1 annot-version=v1.1 MDLDSSDGEAWLLDALATPPLFSAAAPLSWLCGDQHYSSLPMDAVSDLDEIPGTRSGESETVAKKVENMNGKMQVPVSLDDDYSDCSYFMKERLTLALSYFKESTDQHLLVQVWIPTKNRDRYVLTTSGQPFLLAQESIKLVQYRAVSMTYAFSVDGENVRDLGLPGRVYKQRVPEWTPSVQYYSSFEYPRLNHAINHNVHGTVALPVFDPSMKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.4.p pacid=40082960 transcript=Brasy8G244900.4 locus=Brasy8G244900 ID=Brasy8G244900.4.v1.1 annot-version=v1.1 MDLDSSDGEAWLLDALATPPLFSAAAPLSWLCGDQHYSSLPMDAVSDLDEIPGTRSGESETVAKKVENMNGKMQVPVSLDDDYSDCSYFMKERLTLALSYFKESTDQHLLVQVWIPTKNRDRYVLTTSGQPFLLAQESIKLVQYRAVSMTYAFSVDGENVRDLGLPGRVYKQRVPEWTPSVQYYSSFEYPRLNHAINHNVHGTVALPVFDPSMKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.7.p pacid=40082961 transcript=Brasy8G244900.7 locus=Brasy8G244900 ID=Brasy8G244900.7.v1.1 annot-version=v1.1 MKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVSRFSKMEYPLVHYARMFGLAGCFSIYLQSAYTGDDYILEFFLPPDCREDDEQKALLQSIIVLLRQHLRTLQVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.6.p pacid=40082962 transcript=Brasy8G244900.6 locus=Brasy8G244900 ID=Brasy8G244900.6.v1.1 annot-version=v1.1 MKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVSRFSKMEYPLVHYARMFGLAGCFSIYLQSAYTGDDYILEFFLPPDCREDDEQKALLQSIIVLLRQHLRTLQVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.2.p pacid=40082963 transcript=Brasy8G244900.2 locus=Brasy8G244900 ID=Brasy8G244900.2.v1.1 annot-version=v1.1 MKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVSRFSKMEYPLVHYARMFGLAGCFSIYLQSAYTGDDYILEFFLPPDCREDDEQKALLQSIIVLLRQHLRTLQVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGVCPTTMKRICRKHGIPHWPSREISKVNKSISKLKEVIESAQASESAFGFRSVTAPPPGPASSSYLLDIDKSRQEKTAEVYIPFGNEHKASSSQKSLQNCSPSILISPQTLLANNGIQIEGDKATNSRSSSGQHSTNSETSEGSYRGSQGNGAFARESIASTFLEPQQNLYNSQGFAKDSVRPTVVSPGRMMLPQNSGIVTVKAHYREDILRFRFPCSGSLSTLKDEVAKRIQIEVISSFYIKYLDDDHEWVNLTCEADLEECMEMYLQSGFNVLRLLVTDNTVILGSSHGSSA* >Brasy8G244900.5.p pacid=40082964 transcript=Brasy8G244900.5 locus=Brasy8G244900 ID=Brasy8G244900.5.v1.1 annot-version=v1.1 MDLDSSDGEAWLLDALATPPLFSAAAPLSWLCGDQHYSSLPMDAVSDLDEIPGTRSGESETVAKKVENMNGKMQVPVSLDDDYSDCSYFMKERLTLALSYFKESTDQHLLVQVWIPTKNRDRYVLTTSGQPFLLAQESIKLVQYRAVSMTYAFSVDGENVRDLGLPGRVYKQRVPEWTPSVQYYSSFEYPRLNHAINHNVHGTVALPVFDPSMKSCIGVVELIMTSKKVNYASEVDKVCKSLEAVNLKSTKIVEHPCVQICNESRQVALVEILEILTVVCEEFKLPLGQTWVPCKYQSLLAHGGVKRSCLSFGGSCMEEVCISTSDVAFHVIDARMWGFRDACVAHHLQKGQGVSGKAFITGRPCFSKDVAGDKGLNEACLQVDAVTVMHNEEIGNTYVQDLSVGGGIHTLLESDMHGDIHESDNRNHKASTMSKNHLLSHDYSGDKPVAIPSGSGTSDSSLLYKNKKNPVRRRGKAEKTISLEVIQQYFSGSLKSAAKSLGGNMGFLTGHHEKSARLTSQFQN* >Brasy8G021800.1.p pacid=40082965 transcript=Brasy8G021800.1 locus=Brasy8G021800 ID=Brasy8G021800.1.v1.1 annot-version=v1.1 MEVEAFPIRFTRGVRAHWRRRKYQRLDSASGSGTRSHATKRLGGGGNGGIRWGVRLRTLLRRVRVVREAPGRLLRRVRDAYVGGMLGVARRASAMALPSAGEALCAKRVPRRKQQLALPSNSASAAGPSEFEKRLVMEIYKSIVASKELTTILHSSTAHLPAAHTPPSASA* >Brasy8G055100.1.p pacid=40082966 transcript=Brasy8G055100.1 locus=Brasy8G055100 ID=Brasy8G055100.1.v1.1 annot-version=v1.1 MVSTTAFKIHGAAGVAAAIAVLLLSTLLLPFPSHASMYEADAHYKYKHVAPAPSPMAMPVYTPEPAPAPAPTVIIVRGVIYCKSCKLANYNSGMDASPLPNATAKLVCETSGRGQRALEMTSTATDGNGFFLFMVYDVAAFTRNSGSCRVYLRSSPTTLCDEPFLPGDASIGISLAKEAEEDAAEHDIYSIQSGALMYKPRAGVSCPAY* >Brasy8G191600.1.p pacid=40082967 transcript=Brasy8G191600.1 locus=Brasy8G191600 ID=Brasy8G191600.1.v1.1 annot-version=v1.1 MRPRRHSYGRGGRSAAAAAVLLVLCLCVTGVFLLLLHGSSPPLEAKEEEEAAAAGGERKQAVVVQAEVEEAPLPPGNARVAFLFIARNRLPLDLVWDAFFRGDNEGRFSIYVHSRPGFVLTRATTRSRFFYNRQVNNSVQVDWGEASMIEAERILLSHALKDPFNERFVFVSDSCVPLYNFNYTYDYIMSASTSFVDSFADTKQGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVYDDVVLPEFRKHCRRRPLPEFWRDWDKPIPAEAWKAHNCIPDEHYVQTLLAQNGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKVSDATPALIKSIKDIDNIYYETEYRKEWCTSNEKPAPCFLFARKFTRGAGLKLLNSSFIAAK* >Brasy8G049000.1.p pacid=40082968 transcript=Brasy8G049000.1 locus=Brasy8G049000 ID=Brasy8G049000.1.v1.1 annot-version=v1.1 MAASPNDDMAKLVIRSLPLETRFPTFRSGTTEASGCPSRSSRVLWPRTRGSSRGRPTSSFPASPSQAPPGSKPFAFATLNRAKNPPCDPDHPLRHRNPHGCVRSLGMAFAASEDEGDVFAELPSPRAFSTHLPYSLLPARVTAEGSGGRIVYVCRDPKDALVSLWLFAEKMAATAARANGNPLPPPTPFTIEEALELFCDGRCVAGLEWQHVVEYWEESRRRPGKVLFLRYEEMLKDPMSNVRKLAEFMGCAFSVEEEAAGVVRHIV* >Brasy8G166100.1.p pacid=40082969 transcript=Brasy8G166100.1 locus=Brasy8G166100 ID=Brasy8G166100.1.v1.1 annot-version=v1.1 MSPLLCLLLLLFSVAGGDPRSSCGGDRCGDLVLPFPFHLNSSSSCISSNSSSLFLMSCVNATYLTLSLGGAAFRVIDFSPSGSGNSLLLDYAPDSPATTPCDPAYAPLNSRPSSSSPAAALDAAAGFLAVSPANVLRLYACDDSSLCRAAACAADACGGKTKTGCCYPLSESDGGVWKHGDGLSVFAAFGCRGFSSWVTSSSSSAPVVTRRGIEVEWAVPRASPLAACAGGAAQVNSTGVRGGVRCACVAPGLAGDGFALGTGCTKLVSCGNGEAGDPSCCQGRFCSKKAVALAGFFVSVFFLAAAVSFWLFLRQPAMSSSSYSRRWDLDPACIPKILAGVSDARQFTHEQLDAATRRFDEAAEKGSCSNFQAMDGANGVRGGVLESGAVVAVQRVGCETPEKLRIILDAMEVSHRNVARVVGFCCCVESSGNGGGTLLLVHESSGNGGTLEDNLRRRAAANPELGWYHRVSIAVELAGALAHLQHAHGIFLHDLRSSDVFFPGAGADHTAKIAGHKLLDSSTADYSYYYGADAAAAREQDVVRNFGGLLIGLLTGIRHQQPLDTVAPKVREGRLHEVLDPALLLSSSASASGKKQNLNQMLPASGEEVRKVLEIAVRCVEVENNGGMMGTVARELVEAVRDGMGSSSKIEISLEETFSSSSLLQMISMSPETMHRHLPC* >Brasy8G294900.1.p pacid=40082970 transcript=Brasy8G294900.1 locus=Brasy8G294900 ID=Brasy8G294900.1.v1.1 annot-version=v1.1 MTGRGRGRRARTNPVISAEIWSPKLFGCQKMSILYDIFSKFNKFKLQLMAEISFHGLSEMPRILLNRQFSLWTLIHTMGDGDPIEFVYSDGTRVPMYPEHVKNILGLRAEGTHISLTEDNVTEGIVNEVRMLLGVQDLTVASVRAIVERSIDENSPKEQHHAFKISVIILSFALMLDCRDRDPRMPKYLFPYITDVEKLNELNYARCVLDCLGAAAMKVHEVKNEGYSTCTVGGCSIVSQIFYLDTIDFGQHKVQSSVFPRLKYYTKAKLDFLISMDKNTHSVNVSHWYGYYKVSSGT* >Brasy8G147200.1.p pacid=40082971 transcript=Brasy8G147200.1 locus=Brasy8G147200 ID=Brasy8G147200.1.v1.1 annot-version=v1.1 MKALWKVLLLFMLLASIPVRYCQTDSQDVAALQSLVSGWQDLPASWKASTDPCGTSTQWDGVTCDKGRVTSLRLSSINIQGTLSNSIGQLSELVYLDLSFNTGLGGPLPAAIGKLSQLTTLILIGCSFTGGIQDLGNLVQLSFLALNSNNFTGTIPASIGLLSNLFWLDLADNQFSGPIPVSSGGSPGLNLLTHTKHLLFDNNQLSGPIPPELVLWAMPLFQHILVAIVDLLCIFCSRLDKNSFTGQVPTNISNLVNLTGLNLANNKLRGKMPDLTSLTKLKVVDLSNNSFDPSVAPDWFLTLKSLASVAIDSGGLSGQVPIGLLTLPQLQQVILRNNALNGTLEMAGNITQQLQTVNLLNNRIVAANITQSYNKTLVLVGNPVCSDPEFSNRFFCSLQQDSLKSYTTSVTQCGSTSCSSDQSLDPATCSCAYPYTGKMVFRAPSFTDLSGSTNFQQLEISLWEELGLRPHAVLLSDVHLNSDDYLQVQVSLFPSNGASFNLSELINLGSDLSKQIYKPPQIFGPYYFIADPYTSFPAGGVSSGRSQMSKRAITGTAVACSFLLLALISMAVFALLKKKRTTQSSGRANPFASWGVAQKDSGGAPQLKGARFFSFDELKNCTNNFSESHEIGSGGYGKVYKGMIADGTTVAIKRAEYGSKQGAVEFKNEIELMSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLQARGIYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDSLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLSARLPIAKGRYIVREFRIAIDPNDNDYYGLQGIIDPAIHDAAKSAAFRRFVQLAMECVEESAARRPTMSLVVKEIEMMLQNEGLSSSSSSVTEFEHAGQATADPYSRAVAENGSNSSGGISEEPSHPETQH* >Brasy8G015100.1.p pacid=40082972 transcript=Brasy8G015100.1 locus=Brasy8G015100 ID=Brasy8G015100.1.v1.1 annot-version=v1.1 MDGPRRDRRHHRKQPGSSSGGADGGAASTAVSRAAYGDVFGGPPRFGSSSPPLDYAEVFGGVAATCSIPYLDLPPAAGGFFSSSSSSSGGGGRAKAADYGEIFGRFDFGDFAVPYEDLFAHPPAAAASSSSGSSRSSMKNESSRLDAELPTLHQHFKQPERSPTSATPDSQEFGMSYYKTNQTRPNDLVEMTTVDSSTDDVVDPCNLSHVPATNHILKMNSGVMANGDKGKKSPSTTFTANMKSPESDFIVNQRQRIPACPPLSGNVSANENNQNSGCHAMPSNGKPSPDYAFMRVSNGNTQTQPKVSLPPLGQQPKVLNKRESAAKGESNMPRAEKRADATSSNAETSISSAAAAMKEAMEYADARLKAAKELMERKGDSFRIRKKPSHHSNSRSVEIKAPVEVCTFEEKLPVKKPAKENNSENFVLDKHQQVSAVRSNHCDDTEKKALPLEKPQQTRQTWTVPCQTSSKLEKLGKWRSGEEFYELTEDDQKCPTDTAAGEEDGCGRSKPVTKSSKGQKGRVEVTALDSDLERYEEVLDVKDSRDMGVKDIDQREDNTVPMEKEEDRMSTILEVPKEAMARKENDNSHFDGLVTTKGSHDDDGSVELPSTSEISAAPDFIKDVPSSFSVAYSSEDHANDLRDLGNSNTRGKSVAGTPEEPKISELNEGHEGLEAACVDEMQYTSGSSNKLQELPEVANVGNVQTSQIKSLISEELEGSYPTKTFPRVKNTAGLDDETYGREKFSFIGESYLHNENDKVFEVPCESLISEVAKVGNEVEVGPVTHSEKSVPGQEAEHPEEIDIASQNNNLVNHDVSTVLNIFEVASKLIKRDLDQEIQGSLGSSEVENRTEATDILVSDNKGKEAEDTPSENNEKTDTEEESAHGNQDDQTTTPSENNEKTDTEEESAHGNQDDQTTSASDVDKGHSNLGAQGSVAVDEVGTAIPSGNEVTMESADDRPTRLTINTKDEPVPCPEMSTGMQHLPQNAESATLQTCNENIPCVNKTKGAGKEAERELSAERSTTFEESVCAFSYKSPL* >Brasy8G184700.1.p pacid=40082973 transcript=Brasy8G184700.1 locus=Brasy8G184700 ID=Brasy8G184700.1.v1.1 annot-version=v1.1 MVVTAFGGAEHRCCEANEAHVRQGDAMLVARHRVPDDEFITLLDVLTSGTEEDAAVAAVHRNPPAEVSPGRSKGGGREESAASSNVLQKSQDGRCPMELVVAAAEAGVGEDAVPRLANRGGADEVLWLVRRKAEEYLLEELVQQHRRRRRHGAAAETRVLGGLGIKERARAQSRVARCGFLCRLLQADLVDESAHHHRWQHGATAAMRVLGGERTVSVCEEQHFIPVPCIYHATLGTELT* >Brasy8G156900.1.p pacid=40082974 transcript=Brasy8G156900.1 locus=Brasy8G156900 ID=Brasy8G156900.1.v1.1 annot-version=v1.1 MDILRGGVGVPMELSSPSSFSTPACGGGPYQHEMASEESGSENFGKCRAQLISDSSDEEDMFINELENPDDSDVQHEKFFNDVTTMVLKGLKRKRRRKTMRKESRKRSKLGIHLAGGKGDEIVPKAYSRCNVHYLHEVIKSFLNCERTCSLIRAAGFGNILEFDGCSVPRSFAQWIADCTDVKNEEVCVGQERIKLGAEYVASIVGTPSGGFRIQTSGEEGEIVFLASIGMAELPPIKFFGNKVMTEDLEDDTFVKYVLVVVLSTFLCPTSSTFPSTKYLGALVDIDKIKHMD* >Brasy8G120500.1.p pacid=40082975 transcript=Brasy8G120500.1 locus=Brasy8G120500 ID=Brasy8G120500.1.v1.1 annot-version=v1.1 MCEMKVEERGGCTESRQHLELSSPSASEGGSYGGYARMSPAVSSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G120500.2.p pacid=40082976 transcript=Brasy8G120500.2 locus=Brasy8G120500 ID=Brasy8G120500.2.v1.1 annot-version=v1.1 MCEMKVEERGGCTESRQHLELSSPSASEGGSYGGYARMSPAVSSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G120500.3.p pacid=40082977 transcript=Brasy8G120500.3 locus=Brasy8G120500 ID=Brasy8G120500.3.v1.1 annot-version=v1.1 MCEMKVEERGGCTESRQHLELSSPSASEGGSYGGYARMSPAVSSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G120500.4.p pacid=40082978 transcript=Brasy8G120500.4 locus=Brasy8G120500 ID=Brasy8G120500.4.v1.1 annot-version=v1.1 MCEMKVEERGGCTESRQHLELSSPSASEGGSYGGYARMSPAVSSPADSISGRRRTSGPVRRAKGGWTPEEDETLRKAVYAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G120500.5.p pacid=40082979 transcript=Brasy8G120500.5 locus=Brasy8G120500 ID=Brasy8G120500.5.v1.1 annot-version=v1.1 MKAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G120500.6.p pacid=40082980 transcript=Brasy8G120500.6 locus=Brasy8G120500 ID=Brasy8G120500.6.v1.1 annot-version=v1.1 MKAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDTIIDMVKKHGPRKWSLIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTTEEEQVLVKAHHLHGNRWAEIAKLLPGRTDNSIKNHWNSSVRKRIDDYSTRTVMPVPLHATHIDLKHAVELPSAENHIDLNKEPSISLKDCPVIVDHSDLIQSPRVCSLKNIKGCSDFLSLSIPTAQPLTLCEASVSDDSAVALAIMGLKMDSGHDKDIELKFVSEKGLEISLSNERGPEIDLITNKVRPSGLGKSEGEISNIGCESPSQNEAGSLGSLCYRIPMLDDTDLAHSPVFSTHHVRENSGIGFQSPTGYTTPSPTDGKKSDQLSVESILKSAAENFPSTPSILRRRKREKPTPAQDCELRIETNSDSFETPIGNFTTDSPHSFKTASFLSFSHLDDQGLPAALGKFDVSPSYRLRSKRMAVLKTIEKHLDFSSDAMDICDTSGVLKSACRNSESISSSTDISSVEDEKMGAGHMIGLETLTNDLHTQES* >Brasy8G017600.1.p pacid=40082981 transcript=Brasy8G017600.1 locus=Brasy8G017600 ID=Brasy8G017600.1.v1.1 annot-version=v1.1 MQQPQQQGQRNKSTDVDFFSEYGDANRYRIQEVIGKGSYGVVCSAMDMQTRQKVAIKKIHNIFDHVSDAARILREIKLLRLLRHPDVVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSKKFPNADPLGLKLLEKLLAFDPKDRPTAEEALTDPYFKSLSKPDREPSCQPIRKVEFDFEHRRMSKDDIRELIFQEILEYHPQLLKNYIDGTEKTTFLYPSAVDQFKKQFSHLEESDGSGPVVPTERKHASLPRSTTVHSTPIPAKEQPLVASSRGRPIANEPCKPWAPGNVPGASQTAHVAQAVGAGRAAGVGSVPPYESGSGKYPYDATSRPAMSSGYPPQQKIPQTYGYHHHQTPGAGQSSQAMGAYACGYTKGTTPPAAAQDMRASPYHHRSAGSKNDPLNRLAAESDIYTTSLNGIVAASASAGTGAHRKVGAVPFGMSGMY* >Brasy8G097200.1.p pacid=40082982 transcript=Brasy8G097200.1 locus=Brasy8G097200 ID=Brasy8G097200.1.v1.1 annot-version=v1.1 MALLAIQGMAMSTAAFPSQHHGAVSSSFGLSTTASFSRSRTSLAATAVSAPPTPVLDVYCGRGDRKTRRGKRFSHSYGNARPRNKKKGTGPARLYAPPAPPRKDQFEDGEIIAIEIDDDIMERME* >Brasy8G138700.1.p pacid=40082983 transcript=Brasy8G138700.1 locus=Brasy8G138700 ID=Brasy8G138700.1.v1.1 annot-version=v1.1 MASAVPADEDGSPKKTKQGGFKTMPFILANEVCDRFATAGFNANLITYLTQQLHLPLVEASNTLTNFMGTAAFTPVFGAIIADSFTGRFWAIAGGGLLYQLGMLGLVVSALTPSLRPGPCPAAPPPGTSCQRANGGQLAMLYVSLLFTALGSGGIRPCVVAFGADQFGVGGRRPGGGQKWSFFNFYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVAGYPLYVRVKPGGSPFVRLVQVVVAAVRKRNEAVPEDAALLYQNKELDAPIAVNGRLLHTNQLRFLDRAAIVTTTDAAAVDSSTGAEPEPDPWRLSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQSRTMDRRLTRHFEIPPASMIIFTTLTMLLSLSLYDRAFVPLARRYTGLPSGITYFQRMGAGLAVSVLGVLAGSLVERKRRAVAAAHGLLDGGGAAAAVPMSVFWLVPQYALHGVSDTLSTVAHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTALVTVVQSASGGEWLQDNINRGRLDYYYWLVTFLMALNLVYYIVCFHFYTLKSFEVDADGDKAQRPEDGADQGPSIEPETALSAGQIGASRHCADPI* >Brasy8G097300.1.p pacid=40082984 transcript=Brasy8G097300.1 locus=Brasy8G097300 ID=Brasy8G097300.1.v1.1 annot-version=v1.1 MTRSGKLTSPVVLLVLLAVLCVAAISVAAAADGGGDVDSYSFPAFDANTTDVLVVATNRSVLQPAELLFRGEQFFPEFNASEGFLLLAATVDVWRSGSGAEDSAGAGVGTRIVTGPVRPAREASFNTSFTVGMSSSASPVSFVILPDRYPTFNNPVGLRGANGPAQATGAEPNATDGLVHVQVGTVRSYEPESPDVGLNVTITPNVTAAAQAQPAGPRRAAVWIKYDAAAHRLRVYVGAGGGESRPPRALLEEPLNLAASTTRDALVGFLAGAVRDAIVGVRDWDLTVDRLDDGDGKKGTSWVVILVSVLGSLAATAGIVSLVVCYFASRRRRRTRNMEPSDS* >Brasy8G192200.1.p pacid=40082985 transcript=Brasy8G192200.1 locus=Brasy8G192200 ID=Brasy8G192200.1.v1.1 annot-version=v1.1 MTPSSPTRGDRVPEEGEEYCIDDFAGLESWSDPTPRPSAMTLQEMNSAWVAAAKHQFSIRPKSPSHEERTKRRLEGERKGLVAALNAYANRNNIKPTELELLEAKERNLVAGFSLTYVHCNFLVKGLDGTPTLFFAEMYPNCTREEDVILCTPLKENDSGHCFGCNYREKTLRHPTSVGYLGGHEDVLFPYGELDSDDDTF* >Brasy8G024400.1.p pacid=40082986 transcript=Brasy8G024400.1 locus=Brasy8G024400 ID=Brasy8G024400.1.v1.1 annot-version=v1.1 MIPVKSVESSFPKGSCLGSQNTSSVYSLRADKHKPRLQISPGELLESMFTVPERSEEEPKGRRYELGASRKRVPDQKPVLKPLEDIFSSSTERPVKITIGSKAPVTHDEEDYQNYRRAAKQHWDMMKQYYEKAVEAFREGNQEEAEYLLKEGKNFYRMAQLSDERSAGEITKSKQESKSELCLDLRSQDAANVANLLRLHLKQLSNIPSIEYLRVILGVDDSTYKMGQRRRKVEKFLEKKSVQWTEDETYPGTILIPINQMKDHRV* >Brasy8G094900.1.p pacid=40082987 transcript=Brasy8G094900.1 locus=Brasy8G094900 ID=Brasy8G094900.1.v1.1 annot-version=v1.1 MAAARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKIGHEGLNNLLKAYEDKSAFAMCIFSLALGPEEEPITFVGKTAGKIVPARGPADFGWDPVFQPDGFEQTYAEMPKPEKNQISHRGKALALVKDHFASAKYTIQGDGSA* >Brasy8G298600.1.p pacid=40082988 transcript=Brasy8G298600.1 locus=Brasy8G298600 ID=Brasy8G298600.1.v1.1 annot-version=v1.1 MERFLVSASTGAMGSLLGKLGTMVSDEYKLLKDVRDDIRFLKDELGAMQAFLLVMADVEKPDHQAKLRADAVREMSYEIEDNIDKFMVLVGREPGSESSGFGKLFSKTVKKITDVKTRHKIAKDVKDIKSQVKEISERYSRYKVDESSGPKHKTVDPRLCTVYKDASELVGIDRPRDELVKWLSNDKGESMHRLKVVSIVGYGGLGKTTLAKQVYDKLGTNYECRAFVSISRSPDMVRILSSILAQLRNQEYVHADAGDEQHIIYQIRNFLEDKRYFIVIDDVWDVQTWKNLDCALVRNGCGSVIMTTTRIHDVAQSCCSSHRDLVHRIQPLGVADSKKLFFKRIFGSEDKCPPNLKEASEDILKKCGGLPLAISAISSLLATGRTNEEWDRIRSSIGFAQGKNSDINAMNYILSLSYFDLPLYLRSCLLYLTMFPEDHEIERHRLVHRWISEGFIHGEEGEDLVELGETYFHELVNRSLTQPVNIGYDGKAQYCRVHDTVLDFLIYKSTEENFCTLLSNNPKGDSRVRRLSMMRTEDQGKANQLDLSHARSLGVFGDSQEFLPSLVKSNALRVLDIAFCLGLGNPHVKDVGKLFQLRYLNISLTNITELPKEIGDLEYLETLNMSETVVELPESITRLKRLARLFVSVRSKLPDGVGKLENLQELGNIDIFLQSVKFQEELGKLTNLRKLRIRWDTSKLDQESDKGEKLVSSLTKLDACKLRSLFITVFLREEDGIMGHPSFPALSSIRVIYLCRGQLCWITKWLVSLVNLEELSVLDGNIEQKDVEMVGRIPTLLQFRVFSGCVWPVIITGSSSFQQLQVLDFFFGVKELTFAAGAMPNIKKFQMKIDLSQFKYALGTDGGFDDFGIQHLSSLAELSIEIDCRDVSVTDVEAAEDAFKSIAKAHSKRPKLEMKRVLADQMLQDG* >Brasy8G298600.2.p pacid=40082989 transcript=Brasy8G298600.2 locus=Brasy8G298600 ID=Brasy8G298600.2.v1.1 annot-version=v1.1 MERFLVSASTGAMGSLLGKLGTMVSDEYKLLKDVRDDIRFLKDELGAMQAFLLVMADVEKPDHQAKLRADAVREMSYEIEDNIDKFMVLVGREPGSESSGFGKLFSKTVKKITDVKTRHKIAKDVKDIKSQVKEISERYSRYKVDESSGPKHKTVDPRLCTVYKDASELVGIDRPRDELVKWLSNDKGESMHRLKVVSIVGYGGLGKTTLAKQVYDKLGTNYECRAFVSISRSPDMVRILSSILAQLRNQEYVHADAGDEQHIIYQIRNFLEDKRIQPLGVADSKKLFFKRIFGSEDKCPPNLKEASEDILKKCGGLPLAISAISSLLATGRTNEEWDRIRSSIGFAQGKNSDINAMNYILSLSYFDLPLYLRSCLLYLTMFPEDHEIERHRLVHRWISEGFIHGEEGEDLVELGETYFHELVNRSLTQPVNIGYDGKAQYCRVHDTVLDFLIYKSTEENFCTLLSNNPKGDSRVRRLSMMRTEDQGKANQLDLSHARSLGVFGDSQEFLPSLVKSNALRVLDIAFCLGLGNPHVKDVGKLFQLRYLNISLTNITELPKEIGDLEYLETLNMSETVVELPESITRLKRLARLFVSVRSKLPDGVGKLENLQELGNIDIFLQSVKFQEELGKLTNLRKLRIRWDTSKLDQESDKGEKLVSSLTKLDACKLRSLFITVFLREEDGIMGHPSFPALSSIRVIYLCRGQLCWITKWLVSLVNLEELSVLDGNIEQKDVEMVGRIPTLLQFRVFSGCVWPVIITGSSSFQQLQVLDFFFGVKELTFAAGAMPNIKKFQMKIDLSQFKYALGTDGGFDDFGIQHLSSLAELSIEIDCRDVSVTDVEAAEDAFKSIAKAHSKRPKLEMKRVLADQMLQDG* >Brasy8G204000.1.p pacid=40082990 transcript=Brasy8G204000.1 locus=Brasy8G204000 ID=Brasy8G204000.1.v1.1 annot-version=v1.1 MPEAEWNDEHTRVICELFAEQVRAGNRPNTHLKNIGYSVVADKFEQRTGLLYTKLQLKNKWDKLKSDYINWTKLLAKGANLGWDNEKRTIAADSDWWKNTFKDLPGAKKFRKAGLRNENYLKVIFEDITSVDQSSAAAGSLPSTSDGNVNVDNLDGSDNNVGDNDGTQPDHDDGALTVRNGGVLLDHDGGVLLDQDGGAQPVHNGGVLLDHDGGAQPVHNGAVQLDHNGITQLEHEPPSIRNKKRTIHVNTKENKKNKTETALLMQAQLKRIVELAAEAQSIFEKFSSQIDSPRSDIQDVMTLVRECGARSGSDEYFIATELFVNAEQRQMFCTMETAEERLEWLRRKYNAKYRA* >Brasy8G204000.2.p pacid=40082991 transcript=Brasy8G204000.2 locus=Brasy8G204000 ID=Brasy8G204000.2.v1.1 annot-version=v1.1 MPEAEWNDEHTRVICELFAEQVRAGNRPNTHLKNIGYSVVADKFEQRTGLLYTKLQLKNKWDKLKSDYINWTKLLAKGANLGWDNEKRTIAADSDWWKNTFKDLPGAKKFRKAGLRNENYLKVIFEDITSVDQSSAAAGSLPSTSDGNVNVDNLDGSDNNVGDNDGTQPDHDDGALTVRNGGVLLDHDGGVLLDQDGGAQPVHNGGVLLDHDGGAQPVHNGAVQLDHNGITQLEHEPPSIRNKKRTIHVNTKENKKNKTETALLMQAQLKRIVELAAEAQSIFEKFSSQIDSPRSDIQDVMTLVRECGARSGSDEYFIATELFVNAEQRQMFCTMETAEERLEWLRRKYNAKYRA* >Brasy8G157300.1.p pacid=40082992 transcript=Brasy8G157300.1 locus=Brasy8G157300 ID=Brasy8G157300.1.v1.1 annot-version=v1.1 MTNSALCSPPQIPAVAAGAWSSLYAPQEQPAKPRDASAVALRPSSSPRKPAYGVKRNLEMCTEALGCETGGFDAAGDEEETDEPAERKRRARKEEKKAEGIRIRRRALPLPPPLTTLAAGVARTRMVHERRDGRLEVYAVRASGMEAQRGGGRLQLRFRPCQGCNNGAAPVSSQRETEDQAEEVDQKQEEEEEEYGVVAKYVRGGRCAEMAEGAATAALRRGEKWEPEQAAAFWVAIT* >Brasy8G256000.1.p pacid=40082993 transcript=Brasy8G256000.1 locus=Brasy8G256000 ID=Brasy8G256000.1.v1.1 annot-version=v1.1 MEAVVSASLGAFGPLLGKLTALVDNECGRLKGVRREIRSLRSELGSMHGALRKYAKLEDPDDQVKEWMSLVRELAYDTEDCFDKFIHQLGDGAHDSGFKEFFRKTARRLKTLGARRGIADQIDDLKARIKEVKDLKSSYKLDDIACGTSSHAAVDPRLSALFAEEAHLVGVDGPRDDLAKWILEEGNISTKHPRKVLSIVGFGGLGKTTLANEVYRKTQGHFHCHAFVSVSQKPDTKKIIKDVISQVSCNDEFTKDLEIWDEKKSIAKLRELLQDKRYLVIIDDIWSILAWNAIKCAFPENNLSSRIIATTRIFEVASSCCPTPDDQIYEMKPLSNPHSEKLFIKRIFGSEDCCPGMLKQVSNDILKKCGGLPLAIISISGLLANRPRVKEEWEKVKRSIGSDLNKSQSLEGMKNILFLSYNDLSPNLKTCLLYLSNFPEDYVIERESLVRRWIAEGFISEERGQNCQDVAEKYFYELINKSMVQPVDISYDGKVRACQVHDMMLELIISKSIEENFITVVSGNQTVWEKSQCFIRRLSIQHIDQQLASELAKKDLSHVRSLTVTSSSCIKYLPSLAEFEALRVLDFQGCLGLKEYDMNSMDKLFQLKYLNFNGTGISELPSGVVMLHDLEMLDLRYTRVKDLPAGIVQLVKLQHLLAGSYYEIAVIPIGIGNMTNLREFSGFNITMSSVGAVEELGNLINLNVLHVIMNDEESHKYPRHAEMLISSICKLGSYKLQSLCIEGGNSTPFEFLDSWSPPPSCLQRFEMVADYSYSKLPKWIVPALTSLAHLNINLSQLTNEYLCILGDLPALLSLILCTNVVQNDRIPVQGRGFQCLKEFAFRPFCGGAGTFLFEEGALPKLEKLYLMFCVSMAKAYGFYLGIEHLQCLKDFIVVLDNKGATSSESKAAAAAIRNEANLHPNHPRVDLHGEIKESGIDDELNEDQSDTDKES* >Brasy8G141000.1.p pacid=40082994 transcript=Brasy8G141000.1 locus=Brasy8G141000 ID=Brasy8G141000.1.v1.1 annot-version=v1.1 MALRCSLPAACSTFCLTGAERPNSHALPARVVSFDSCRWSRPCVGLVHVLDPRARALQASDSKAGRLVIGGRARGDTDSDSEDDDDDGGETGPVRMTDHERKTLRRKIREMMDRMPETRELTDPEEKKAKMRELMTKYELVVEEEDPEWPEDAEDGMGFGLDQFFDKITIKAEKKDDADDEDAGDGTNKEIVWEDDNYIKPIMDVKTKEWDDTVFTDFGPLIVLVHNRYKRPQENEMVRTELVKAIETFWEHDLPSPRCVAVDACAEPDLADALKVSGFPELLFTNAGRILHREKGDNFNVSRRMGGERTFALYELPLQKPHRRALNPNQLPISAVAIAKHYKEKICGGHVESGGG* >Brasy8G141000.2.p pacid=40082995 transcript=Brasy8G141000.2 locus=Brasy8G141000 ID=Brasy8G141000.2.v1.1 annot-version=v1.1 MALRCSLPAACSTFCLTGAERPNSHALPARVVSFDSCRWSRPCVGLVHVLDPRARALQASDSKAGRLVIGGRARGDTDSDSEDDDDDGGETGPVRMTDHERKTLRRKIREMMDRMPETRELTDPEEKKAKMRELMTKYELVVEEEDPEWPEDAEDGMGFGLDQFFDKITIKAEKKDDADDEDAGDGTNKEIVWEDDNYIKPIMDVKTKEWDDTVFTDFGPLIVLVHNRYKRPQENEMVRTELVKAIETFWEHDLPSPRCVAVDACAEPDLADALKVSGFPELLFTNAGRILHREKVVRSAEVLSRLIAFFYYKAARPPCLSETDGHGQEKVPLMS* >Brasy8G070700.1.p pacid=40082996 transcript=Brasy8G070700.1 locus=Brasy8G070700 ID=Brasy8G070700.1.v1.1 annot-version=v1.1 MKQEKGDGGGGGGGGGKKLGRWLGAPVRALSRACDSYVRKMSACAGHMPAQYAGAVGRGGFGGPGSMNAAAFSSRSTRRGDDAEVAELVRAMSQRSAARASPDAAAVPVRSRSVAVGRIDEDAPCEFGADAGRVGLPPPAVRRSRSVAVGGASAGFPPRSGGFGAAAAKKAQGGVGGGAAAVVVHGG* >Brasy8G050200.1.p pacid=40082997 transcript=Brasy8G050200.1 locus=Brasy8G050200 ID=Brasy8G050200.1.v1.1 annot-version=v1.1 MDSVVMVKSEIESYVASSSLAARTSSVGEAEAGVVVRRRRREPLAAAALGPAGGGVGKASALPGIAVKRSSRFRGVSRHRWTGRYEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGATTYTNFPVMDYEKELKIMESLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPPPPVPPLAVNPDHPLLAMHPHTHSVEHDRFIPHVLPPLPPPALSRNPFVLDPDVAGPHEASAISPAGPSGGALRRRDSMSSSSSPTALSLLLKSTMFRQLVERNDPDYSGAGGLLSGDHHHRDIGAEQPAGAQVLLPGEGYEYRDFFHGVSTDACGLLFSSPSSGHGGAGGGVGAGFQGDAVAACYGDHDDDSERMAATRTTWDGPFADMASL* >Brasy8G113700.1.p pacid=40082998 transcript=Brasy8G113700.1 locus=Brasy8G113700 ID=Brasy8G113700.1.v1.1 annot-version=v1.1 MMMDQALALGGRLWWVDLSWGVISADPFSDQPEPRFVELPRGSVLPARTVPDESVETESYRRVGVSEGKLRYAEVWQREPFVLSTFVLNDGEGGGWTMEHRLVLSRVWADGDHPWLPLPEKTTPKISAMDPLNANVIYLTVGENVVAVDMSR* >Brasy8G175000.1.p pacid=40082999 transcript=Brasy8G175000.1 locus=Brasy8G175000 ID=Brasy8G175000.1.v1.1 annot-version=v1.1 MTMRPLILVALAAVLTAGVMLEGGAEAAGECGRSSPDRMALRMAPCISAADDPNSAPTSSCCSAVHTIGKSPSCLCAVMLSNTANMAGIKPEVAITIPKRCNMADRPIGYKCGDYTLP* >Brasy8G078700.1.p pacid=40083000 transcript=Brasy8G078700.1 locus=Brasy8G078700 ID=Brasy8G078700.1.v1.1 annot-version=v1.1 MASRSPRIRLLVLLQLLSLLLLPWASHARDTISPGQPLRGNETLVSAGGGSFALGFFTPPGSNNTYLGVWYARVSVRTVVWVANRAAPIRGPLDRNAGAALSVSADCTLAVSDSSSTVVWSAPRAAGLGAGGDCTARIQDDGNLVVVVVAGGGEGRVSWQGFDHPTDTLLPGMRVGVDFESGTNMTLTAWASPSDPSPGPVVAAMDVAGDPEVFIWNGDEKVWRSGPWDGVQFTGVPDTATYSGFTFRFVNSGKEVTYSFHLAPGATIVSRLALNSTGLLQRWTWVESAGKWNMYWYAPKDQCDAVSPCGANGVCDTNALPVCACLRGFSPRQPEAWAMRENRAGCARATPLDCGRNGNGTSDGFTVVPHAKVPDTTNATVDFGASLDQCRRRCLGNCSCAAYASANLSRAQGQRGCVMWYGGLEDLRVYPNFGQDLYVRLAAADLDSVNKSKKKVQVITAVTVSIGTLAVILALIGFFFWRRKRTKSRLPGPNKWSGISHSRGLQSEGTSHGDDLELPIFDLETIAAATDGFSTDNKLGEGGYGPVYKGKLEDGEEIAVKTLSKASTQGLDEFKNEVMLIAKLQHRNLVRLLGCCICGEEKILIYEYMANKSLDFFLFDKSRSMLLNWQTRYRIIEGIARGLLYLHQDSRYRIVHRDLKTSNILLDEDMIPKISDFGMARIFGGNDSEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGTRNRGVYSYSNHLNLLAHAWSLLNEGNSLDLVDGTLKGSFDSDEVLKCLKAGLLCVQENPEDRPLMSQVLMMLAATDAASLPTPKQPGFAARRAAAATATEDTSSSRPDCSFVDSMTITMVEGR* >Brasy8G179600.1.p pacid=40083001 transcript=Brasy8G179600.1 locus=Brasy8G179600 ID=Brasy8G179600.1.v1.1 annot-version=v1.1 MGFPILLVIILTLLSSILLVVAADNNSTASGHVALNCGASGQNNDVDGRTWDGDTSSKFAPLVKGVTAPASHQDPSLPSTVPYMTARIFTSNYTYSFPVSAGRMFVRLYFYPNDYGNYAVSDAFFSVTTRNLSLLNDFNASQTAQAINVAYLVHEFSLNVSSGSLDLTFAPSKHRNGSYAFLNGIEIVPTPDIFTTADSRFVNGGTPAPFQINTDRGFQTMYRLNVGGQAISPKNDSSFYRSWANDSPYIFGGSGVAFSIDNNLTIKYTSAVPNYTAPIGVYDTARSMGTNAQVNLNYNLTWILPVDAGFFYLLRFHFCEIQYAITKVNQRSFFIYINNQTAQRQMDVIAWSGGIGRTAYTDYVIITTGSGQVDMWVALYPDLSSKPEYFDAILNGLEVFKLHDGKNNLAGPNPPLPQKPDANPNEASSGGKSKGTLAAICGAVGGFAVLFVCFGVCIVCRRNKKISKDSDKSDDGRWIPLADYSRSRSGNSGNTATTGSHASLPSNLCRHFSFAEVQAATNNFDQAFLLGKGGFGNVYLGEIDSGTKLAIKRCNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDKNEMILVYDYMARGTLREHLYKTKNPPLSWKQRLEICIGAARGLHYLHTGVKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLSEKSDVYSFGVVLFEVLCARPALSPSLPKEQVNLADWALHCQKKGILGQIIDPLLQGKISPQCFVKFAETAEKCVADHSIDRPSMGDVLWNLEFALQLQESAEDNSSLTGGMSSSDVSSPLVPTRLQSDEPSTETTTTTASTMSITQQSITSAESDGLTPSTIFSQLMNPDGR* >Brasy8G108600.1.p pacid=40083002 transcript=Brasy8G108600.1 locus=Brasy8G108600 ID=Brasy8G108600.1.v1.1 annot-version=v1.1 MATEGQRRRKNKNKTKAKRASGPAGMHDLPGHVLELVLLRVGSSVDLVRAAFACKHWRRIVAEPRFLSRFRSLHAPHVAGHYHHDADPSNRNAVFLPAPSSRDALGLDPRRFSLDFLPDPARAEYGGGSSYWELADSRGGLLLFHRCETRSDFDSRCYLDDLLVCEPLTRRCQGILVPTGGRFFGLFLLDGASGGISISNFRVVASLYDYADDYSRDVPSALVFHSGTDGSGWREPEKSADIELLGYLDLSFAGRANGSFYWAMEKEPEDEEDGAVLALAEATMKYSLATFPGTGLETTADASTFRVVQGEGSASRVVRLIGNDLTVFAQLQGGGGEWVPERRVDISEATLGLPGREEWHFQGLTAAMIVAANARFVLVAPKKEEPCPWIVSVELDTMEVERADERIGCAGEVYPYELPWPPALQACGRLDVRAGPSPWRAKPTRPELVYFRAEPSPRKWPVNSSGRAEFSSVQLASFGPFTGSGPAPQPTSGLTLLGYPESMYCSVVPQSPHRQRPFPPRAAPPPRRRRLQPRSLPGRLSPDSIPPPPSRQDHHRVVRPPAAPLRRRPPPWIRPPQPPRRRRSGVCAAGLLLAVLLLACCAARRCWPAARRCLCCCLLLGAAGAVPPPLLCAC* >Brasy8G043800.1.p pacid=40083003 transcript=Brasy8G043800.1 locus=Brasy8G043800 ID=Brasy8G043800.1.v1.1 annot-version=v1.1 MARDGASAAAERRRVALRVLLARGGEGSSSSASPLPPVAEEGEEEARRKSKQGLALLRLRGLGCASAAAAGAHAPVAASVEAVRPSSAEEWSGRRRRRKGKERRSARGGVGGGVAAVGGGVASGDVWCTCTPGIPFAAEASSVDCVVARQQTAAGAGAGRRGEAAERRHRERTADQRARRVTMREHISTSFMDSPPRFHMPFHEVDLLHSGRHRHISGFGHSHVRTEEEIMMFRTRLLLGRMGMYDQYQDWRLDVDNMNYEELLALEDRIGYVSTGLREDEIIRGLRMVKHSAFDHKHFPTEAEKSCSICQEEFEASEEMGRLSCGHSYHVPCIKQWLSRKNACPVCKIAVSKT* >Brasy8G208600.1.p pacid=40083004 transcript=Brasy8G208600.1 locus=Brasy8G208600 ID=Brasy8G208600.1.v1.1 annot-version=v1.1 MSFSADFGCADEHPAQLLDPPLAARGGGDELISDYVDCLMSLDTNARSAHNGSLDLGAPLAEASSVSASAAGTTERDAAAPEPAEDPQEPSLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYRKKLPSSSSGEATRKRERMSMREGCNAMMEVVRESNHWVVSKLEKAHNHNLGSCGRVGYLRARGLLDGSSDRIAMMGSDGVPFMRQNVLGEGGDAQGLLDYLKKMQANDPAFFHAIQVDKNCCLMNVFWADSRAKTAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQPVMFGCALLMEETECSFVWLFETWLTAMGGKAPCSLVTDQNRAMKAAIGKVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLRDEIESCVVESETIATFERTWMSILDTYDLRKNTWLQSIYNIRQKWVPLYQMDTFSAEISPVQKLETMNDFYKKYFSMKATLEVFLTQIDLSMASRYEDEAKADVDTFLNMATTKTASPIEKQAARTYTKAVFTKFQEEFTESLGFIIQKTKDGCISKYNIMRDENSSDTFCVTYNASNKMAKCSCKYFEFSGILCRHILGVFIIVDSHVLPPDYFLKRWTRKARYDDLLEDNGGNHHKDASQSITSRYNALCPDAIRCAEKGSGSEAVYKAAKDILQKAYEEIIAYERDPGRVPQRDVININEDVTIDDAMTDDSLPDSGRKVTNLLGQFLGSSWSP* >Brasy8G208600.2.p pacid=40083005 transcript=Brasy8G208600.2 locus=Brasy8G208600 ID=Brasy8G208600.2.v1.1 annot-version=v1.1 MSFSADFGCADEHPAQLLDPPLAARGGGDELISDYVDCLMSLDTNARSAHNGSLDLGAPLAEASSVSASAAGTTERDAAAPEPAEDPQEPSLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYRKKLPSSSSGEATRKRERMSMREGCNAMMEVVRESNHWVVSKLEKAHNHNLGSCGRVGYLRARGLLDGSSDRIAMMGSDGVPFMRQNVLGEGGDAQGLLDYLKKMQANDPAFFHAIQVDKNCCLMNVFWADSRAKTAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQPVMFGCALLMEETECSFVWLFETWLTAMGGKAPCSLVTDQNRAMKAAIGKVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLRDEIESCVVESETIATFERTWMSILDTYDLRKNTWLQSIYNIRQKWVPLYQMDTFSAEISPVQKLETMNDFYKKYFSMKATLEVFLTQIDLSMASRYEDEAKADVDTFLNMATTKTASPIEKQAARTYTKAVFTKFQEEFTESLGFIIQKTKDGCISKYNIMRDENSSDTFCVTYNASNKMAKCSCKYFEFSGILCRHILGVFIIVDSHVLPPDYFLKRWTRKARYDDLLEDNGGNHHKDASQSITSRYNALCPDAIRCAEKGSGSEAVYKAAKDILQKAYEEIIAYERDPGRVPQRDVININEDVTIDDAMTDDSLPDSGRKVTNLLGQFLGSSWSP* >Brasy8G208600.3.p pacid=40083006 transcript=Brasy8G208600.3 locus=Brasy8G208600 ID=Brasy8G208600.3.v1.1 annot-version=v1.1 MSFSADFGCADEHPAQLLDPPLAARGGGDELISDYVDCLMSLDTNARSAHNGSLDLGAPLAEASSVSASAAGTTERDAAAPEPAEDPQEPSLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYRKKLPSSSSGEATRKRERMSMREGCNAMMEVVRESNHWVVSKLEKAHNHNLGSCGRVGYLRARGLLDGSSDRIAMMGSDGVPFMRQNVLGEGGDAQGLLDYLKKMQANDPAFFHAIQVDKNCCLMNVFWADSRAKTAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQPVMFGCALLMEETECSFVWLFETWLTAMGGKAPCSLVTDQNRAMKAAIGKVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLRDEIESCVVESETIATFERTWMSILDTYDLRKNTWLQSIYNIRQKWVPLYQMDTFSAEISPVQKLETMNDFYKKYFSMKATLEVFLTQIDLSMASRYEDEAKADVDTFLNMATTKTASPIEKQAARTYTKAVFTKFQEEFTESLGFIIQKTKDGCISKYNIMRDENSSDTFCVTYNASNKMAKCSCKYFEFSGILCRHILGVFIIVDSHVLPPDYFLKRWTRKARYDDLLEDNGGNHHKDASQSITSRYNALCPDAIRCAEKGSGSEAVYKAAKDILQKAYEEIIAYERDPGRVPQRDVININEDVTIDDAMTDDSLPDSGRKVTNLLGQFLGSSWSP* >Brasy8G208600.4.p pacid=40083007 transcript=Brasy8G208600.4 locus=Brasy8G208600 ID=Brasy8G208600.4.v1.1 annot-version=v1.1 MSFSADFGCADEHPAQLLDPPLAARGGGDELISDYVDCLMSLDTNARSAHNGSLDLGAPLAEASSVSASAAGTTERDAAAPEPAEDPQEPSLGMTFESDEAAKAFYNEYARRLGFPFRVGRSRRSKGTEEVVIMKRFVCSREGMYRKKLPSSSSGEATRKRERMSMREGCNAMMEVVRESNHWVVSKLEKAHNHNLGSCGRVGYLRARGLLDGSSDRIAMMGSDGVPFMRQNVLGEGGDAQGLLDYLKKMQANDPAFFHAIQVDKNCCLMNVFWADSRAKTAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQPVMFGCALLMEETECSFVWLFETWLTAMGGKAPCSLVTDQNRAMKAAIGKVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLRDEIESCVVESETIATFERTWMSILDTYDLRKNTWLQSIYNIRQKWVPLYQMDTFSAEISPVQKLETMNDFYKKYFSMKATLEVFLTQIDLSMASRYEDEAKADVDTFLNMATTKTASPIEKQAARTYTKAVFTKFQEEFTESLGFIIQKTKDGCISKYNIMRDENSSDTFCVTYNASNKMAKCSCKYFEFSGILCRHILGVFIIVDSHVLPPDYFLKRWTRKARYDDLLEDNGGNHHKDASQSITSRYNALCPDAIRCAEKGSGSEAVYKAAKDILQKAYEEIIAYERDPGRVPQRDVININEDVTIDDAMTDDSLPDSGRKVTNLLGQFLGSSWSP* >Brasy8G080300.1.p pacid=40083008 transcript=Brasy8G080300.1 locus=Brasy8G080300 ID=Brasy8G080300.1.v1.1 annot-version=v1.1 MASRGLMALLLAAVVVSCARAQLQEKFYSETCPSVEEVVRKEMVRALSVAPSLAAPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDALPNQTLRGFGFVERVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWEVPLGRRDGSVSISNETDQLPPPTANFTVLTQLFAAKNLDIKDLVVLSAGHTIGTSHCFSFSDRLFNFTGRVNPQDVDPTLDSEYMAKLRGKCASLNDNTTLVEMDPGSFKTFDLDYFTVVAKRRGLFHSDGALLTNAFTRAYVQRHAGGAFKEEFFADFAASMIKMGNADVLTGNQGEIRKKCSVPNQ* >Brasy8G153700.1.p pacid=40083009 transcript=Brasy8G153700.1 locus=Brasy8G153700 ID=Brasy8G153700.1.v1.1 annot-version=v1.1 MATGHLSHRRGLQIGRALLFALAAAISNMLRSGLKLINILECKPDPQFSSEEMLYTCAASFPAPLLSLIRWTVVLACLCFMGTWQSVYPGCIRVL* >Brasy8G145900.1.p pacid=40083010 transcript=Brasy8G145900.1 locus=Brasy8G145900 ID=Brasy8G145900.1.v1.1 annot-version=v1.1 MMGFVWMVGAAMAAAVASWAFNALVYLLWRPQVISRKLRAQGVGGPGYRSFSGNLGEIKRLRAEAAAGPALAVSDHDFVPLVQPHFRKWIPLYGRTFVYWFGAKPSVCVSDVDMVKQVLSDRKGLYPKNIGNPHIARLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCAGSMMSEWEAMLAKGNDVEIELSSQFEELTADVISHTAFGSSFNEGKRVFEAQRELQFLAFSTVFNVQIPGFRYLPTEKNLKIWKLDRSVRSMLTGIIKSRLASKDTMGYGNDLLGLMLEACAPEHGESPLLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQERLREEVLRECGAHEAPNGDMINRLKLVNMFLLETLRLYSPVSIIQRKAGSELELGGVKVPEGTVLSIPIATIHRDEELWGEDAGEFKPLRFENGVMRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILRRFSFSLSPEYVHAPMDVITLRPKFGLPMVLKSLEM* >Brasy8G240300.1.p pacid=40083011 transcript=Brasy8G240300.1 locus=Brasy8G240300 ID=Brasy8G240300.1.v1.1 annot-version=v1.1 MKETSQDIESKGKLQPMVQVCDEGRTEQTNTTVTDEPLGDSCSLSAADDGKKVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWKKLEEENTEFFQAYYIRLKLKKQISLFNSLLEHQYNLMKCPVPQQVPLAPTQNGIHPMPVNNLPMGYPVLQQPGIPAPGQPHVNSMACGPPNYHIANGIPYHAVRMSSGNGLMENEAPEAAHAGTAFGTSEIAMSPSSAMSSNHVPFTPPEISGMDVDASAVNHTFGADVGNVGPLQIGVDVGDGSSLEQQIWDFSLSDLSADLTNLGDLAVLENYSGNPFLPSDSDLLLDSADHGDIVEYFADAVDGPSDEEK* >Brasy8G229900.1.p pacid=40083012 transcript=Brasy8G229900.1 locus=Brasy8G229900 ID=Brasy8G229900.1.v1.1 annot-version=v1.1 MERATLSAATGALKPVLEKLAAFLGDERTLPEGSNRADIELIKSQLERLHSVLPTIWGREDLDAPCKDWMMEARELSYDMEDDIDDFINQRTSQIPLEELKVRVSDVLGRSGEMCRMAETISKSSKPLVDPRSRFLHKDASELVGMNKLMDDLVQLVVGSHEIKTERRGLKKKIVLKVQMRNTRDRPKAMALVARFAGVDSVALAGEFKDQVVVIGIGVDDSGLVKALRKKVGPAQLVQPTEEATVDLDRPTATQFAEMKMVCILGFAGAGKTALAELVYCAAEEKFDCRAFVWVSPNPNVTEILKAILTGVTNAPYAGTEAPGEQYLINEISKFLADKSNAQAKKYLFKNVEWSAAGRASVRAHDLHRGVSLMIMPSRCIVV* >Brasy8G076100.1.p pacid=40083013 transcript=Brasy8G076100.1 locus=Brasy8G076100 ID=Brasy8G076100.1.v1.1 annot-version=v1.1 MAGAGGDKRVELLLQGFRSDGTATGEYRLVPPWEHHRLQPCVAVASVSEDATASLPTPPNPGEAPPVLEKLSLSMARGGNRVASFELVPVACAVDFLKLGCASHLTLRINPMEGRAIVRFYKNEGSSSRWESTWVYSMNAVYLEVTEITKDFGEDHLYFHGPVSEDIPFFQKNFSCGEEIGSGSEGQVYKCRSDFMGPKKFAAVKQVPNTFEYFKNRDLSCETSEVNTLSMLNHDGIVQFYQAWTEKRTIYIGMKLCNKTLENYLKETSQVDLETRKSIFMQITAAVEFMHRKGVVHRDIKPGNVLLDVEKDGLTVRLADFGIAKRLAPHSDFPGGWYGSLPYRAPEIVNSSRRHNEKVDIFSIGMIYYGLFIPGMYKRKMKLDQLSEIIREGIAKYDQEGLIFDLKDHLDGTNILEDWEGDYRILYPMIRSEPSERPSATQILDLLDLEKGLHFMKGVA* >Brasy8G112400.1.p pacid=40083014 transcript=Brasy8G112400.1 locus=Brasy8G112400 ID=Brasy8G112400.1.v1.1 annot-version=v1.1 MELTRKAIVSVLILCLLLVHSGPVTYVLGNDDDCWVQSPSFAWCKTAKCRSHCRDHGFVDGRCDWNFPDLGVCECRNPHCNSRVHSGTGSRS* >Brasy8G272600.1.p pacid=40083015 transcript=Brasy8G272600.1 locus=Brasy8G272600 ID=Brasy8G272600.1.v1.1 annot-version=v1.1 MLLLLLTSLAGAILAGMPNCNITCGNMSVPYPFGMGPPGCYWPGFNLTCSYPTGTGKGETPRLLLGDGTLQVEDLDLANSLLQVTSTGGVTIDAAGNGALGGGVRDGMPYTLLTGANELTLTGCNVRATVKSGNITMASCSAVCDAYDTTMPDILTRDSLPCYGNRCCQAEIIINHQVVAGELVPITSYDVELTYLGWNRSSDEARVPTRVFIAKKGWFEQVWLATDHPDQPNRETSEDLMMPVPIWLQWEVVGDGVEPADRNRTTLECPEEVVRRVCKSKHSYCRKGTIGYTCSCADNFQGNPYITDGCKVFDKCKQKGDHRCYGVCTDTGICTCPPGNNGNPYLPGGCVGSVAGSCSHSCGDVLVPYPFGVGQDHHCYWEGFNLTCHDTGNEPPRLFLDNNMTTQVVEISTRNNTVRTHGASVIRIPRPTDGTMGDGNLTIDLTIDGRREVPYSLSAHNEFILTGCNLMAKLTGDGEPSVVSACASFCSPEDTKKQNDQCNGMGCCKAPISLYNNSMPTGVNYKWFDKGYGDDESPSDAYLLLAEEGWFDQRRVSSELPPERWEFELQTPVLLQWEVLHGFSSMASVDAVKSSHPNCPPEVANSLCKSRHSYCKQGSRGGYSCHCSKGYNANPDSNPYISDGCRGRHKSFTTGIYIGIGVAIGAGLILSFFTASSVLKKLKHRRAQMLKQEFFEKNRGQLLRQLVSQRADIAERMIITLEEIEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKMVVQREINEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHTGVSRSLSWDDRLRIAVETAKSLAYLHSTASIPIIHRDVKSVNILLDDSLTAKVADFGASRYVPVDSSGVTTMVQGTIGYLDPMYVYTQRLTEKSDVYSFGVILVELLTRKKPFSYASPEGDGLVAHFTSLFAEGKLSQILDPQVMEEGGKELEAVATLAVRCVKLRGEDRPAMRQVELTLEALRASNQDSLASPLVEGCEKNVIAVNCPRAEDGISTEESTRQFSMEEELLLSSRYPR* >Brasy8G033400.1.p pacid=40083016 transcript=Brasy8G033400.1 locus=Brasy8G033400 ID=Brasy8G033400.1.v1.1 annot-version=v1.1 MAAGTKPRSQPLPSLADIPLDLAGLVLRLLPSYADRTRFATVCPQWRAAVLQRLVPPPLPLLALPDGTFYSLNYLEPFRFPGCGFSAYKSASGSWLVFPRADGCFLVDPFSRATVTLPALSQVRLRPPNAVAKWSDEGRTRFADPYVTWMHINEPDKLHITKLILCSSNLVAALSFEDLAFYQGKLYALADDENLLVVSIAKDQSTGDPQVSRIGQVIKGDPWYSAAYDEYNIMTCKKLYLVESRGALLMVRRKILVGVIVAEDNKFKVFEADFEHSRWVNVTTVGDDQVLFLGRRCSRAMSVSQYGLSGDRIFFLDDDEENHVEYSYDKEDTSCGTYDMRSGMVSSWKPVISWKRHDEMRLAAWLFPQD* >Brasy8G278200.1.p pacid=40083017 transcript=Brasy8G278200.1 locus=Brasy8G278200 ID=Brasy8G278200.1.v1.1 annot-version=v1.1 MGETAKGGCYLIELDVEFCSDKSVTVVQQQPPNSFLIQKAWPVYGGTVGTEIMVCLNRISYLLLQLTKFTFDAFDVSRQAAQQAVIGLNLA* >Brasy8G215800.1.p pacid=40083018 transcript=Brasy8G215800.1 locus=Brasy8G215800 ID=Brasy8G215800.1.v1.1 annot-version=v1.1 MGPVRRLRLRLMPERLGMLPRAAGIAPVSELKERSRKVSFFREPRKEGTGPETAVQEMVRLERLESAAGMTPVRLVSWKTARLTTRPVEGAHWTPYQSQQGKEGVHEAKW* >Brasy8G248000.1.p pacid=40083019 transcript=Brasy8G248000.1 locus=Brasy8G248000 ID=Brasy8G248000.1.v1.1 annot-version=v1.1 MRALLARAQPSLLLLRLRPTPSHPARTALPATRSLASLSTPPPAAAAANRRSGTRAMASQQFPPQKQDTQPGKEHAMDPRPESLIKHYKPANKLQGKVALVTGGDSGIGRAVCLCYALEGATVAFTYVKGHEDKDAEETLIALRDIKKSHGHNNNNAGDPIAIPADLGYEENCRKVVEQVAKAHGGRIDILVNNAAEQYVRPSLADIGEADLERVFRTNIFSYFLTTKHALQRMPRGGSIINTSSINAYKGNATLLDYTATKGAIVAFTRALALQLAEKGIRVNGVAPGPIWTPLIPSSFPEEKVKQFGNEVPMKRAGQPGEVAPSYVFLASEQDSSYMSGQFLHVNGGAIVNG* >Brasy8G285600.1.p pacid=40083020 transcript=Brasy8G285600.1 locus=Brasy8G285600 ID=Brasy8G285600.1.v1.1 annot-version=v1.1 MEATVVSLGKAVLDGALAYARSKATEEVAMQLGVEGDVAFIADELEMMQSFLMTADEERGQHKVLATWVKQIRDVAYNVEDSLMDFALYSEKKTSWWCSLHTMGDRRRIAKEVKELRTKVEDVSNRNLRYRLITESSGPKSAVREEQVCIASAAMFGINEARLAALEKEKSKVDLHQLITSNDVDLRVIALWGTTGDLGKASTIQEVYNDPKIALKFGFRAWVRLTRPFNPNEFIHSLVRQNYENFPEKLAESRKRETVGSNVLMKMENMSQSDMIDVFDTQVNDSSYLIVIDDLSTIVEWSCIKRFFPDNKKRSRMIVNTQQAEIASLCTEQPYQVSELKQFSSDQTLYLFHKKITLLAMNASCCAEPISDSNEVTTAEGNTGIPTSEIQEEFLQPKNAGENKVCNTTATKKFDRSRTWAPVDEILTGRETEKSIMIKLVGQPDNDQGFKVISVWGMGGLGKTTLVRSVYRSQELGGWKRAWATALRPFNPEVLIRDLVLQLRKSIQEYPAEATATGEQKKSMPKLRELKEELSRLLSGQKCLIVLDDISSIFEWELIKTSLESAGRIIITTREKNIAAHCSREYKNMYSLEGLKDDAALDLFIKKVFKDNTETNDLVPAMMEQARLILKKCDGLPLAVSTIGGFLATKPKTVIEWRKMNDRVSSELEINPELRTIKTILMRSYDGLPYHLKSVFLYMSIFPEDYRIRWGRLVKRWIAEGYSRDIHGMTGEELGRMYFDELLDRSIILPGEELNHTNGNINSCQLHDIIREICISKAREENLVFTLEEGFGLSGTQGAIRHLVISSNWERDKDVFKGRLDLSHVRSLTVFGEWRPFFISDNMRFLRVLDMEDTIGLRDHHLDKIGQLLHLKYLSIRGCRNLCCLPNSFSNLRHLQTLDVRGTQIFELPAATITKLQNLQHLRAADYWETYNVTGEADIIFNYRSYTRPSSSECASCRILVHTGPLFLRPQVLDDDLNRHDIFNLYRLGRMCLEENGNNLYGMLYGVEFPRGIGKLKALRTLGVVNVARGKGKGNAIIKELGELTQLRKLGVLGINNQNSMEFWSTIAGHNQLRSLTLQGCAELDVSRGKCLSPPSCLESLKLCGKLGTKWIHQLQNLSKLTLWFALLEQDDAIQALNVLPNLAVLRLKDQSVLSKKLHFLGPPFPSLVVLELHGLVNLEAVLFKGDTMARLEMLQVDCCESLVEISGLGLVTSLREIRLGSGSGICKRLKEEVQREVAERLKHVRLNTI* >Brasy8G285600.2.p pacid=40083021 transcript=Brasy8G285600.2 locus=Brasy8G285600 ID=Brasy8G285600.2.v1.1 annot-version=v1.1 MEATVVSLGKAVLDGALAYARSKATEEVAMQLGVEGDVAFIADELEMMQSFLMTADEERGQHKVLATWVKQIRDVAYNVEDSLMDFALYSEKKTSWWCSLHTMGDRRRIAKEVKELRTKVEDVSNRNLRYRLITESSGPKSAVREEQVCIASAAMFGINEARLAALEKEKSKVDLHQLITSNDVDLRVIALWGTTGDLGKASTIQEVYNDPKIALKFGFRAWVRLTRPFNPNEFIHSLVRQNYENFPEKLAESRKRETVGSNVLMKMENMSQSDMIDVFDTQVNDSSYLIVIDDLSTIVEWSCIKRFFPDNKKRSRMIVNTQQAEIASLCTEQPYQVSELKQFSSDQTLYLFHKKITLLAMNASCCAEPISDSNEVTTAEGNTGIPTSEIQEEFLQPKNAGENKVCNTTATKKFDRSRTWAPVDEILTGRETEKSIMIKLVGQPDNDQGFKLRKSIQEYPAEATATGEQKKSMPKLRELKEELSRLLSGQKCLIVLDDISSIFEWELIKTSLESAGRIIITTREKNIAAHCSREYKNMYSLEGLKDDAALDLFIKKVFKDNTETNDLVPAMMEQARLILKKCDGLPLAVSTIGGFLATKPKTVIEWRKMNDRVSSELEINPELRTIKTILMRSYDGLPYHLKSVFLYMSIFPEDYRIRWGRLVKRWIAEGYSRDIHGMTGEELGRMYFDELLDRSIILPGEELNHTNGNINSCQLHDIIREICISKAREENLVFTLEEGFGLSGTQGAIRHLVISSNWERDKDVFKGRLDLSHVRSLTVFGEWRPFFISDNMRFLRVLDMEDTIGLRDHHLDKIGQLLHLKYLSIRGCRNLCCLPNSFSNLRHLQTLDVRGTQIFELPAATITKLQNLQHLRAADYWETYNVTGEADIIFNYRSYTRPSSSECASCRILVHTGPLFLRPQVLDDDLNRHDIFNLYRLGRMCLEENGNNLYGMLYGVEFPRGIGKLKALRTLGVVNVARGKGKGNAIIKELGELTQLRKLGVLGINNQNSMEFWSTIAGHNQLRSLTLQGCAELDVSRGKCLSPPSCLESLKLCGKLGTKWIHQLQNLSKLTLWFALLEQDDAIQALNVLPNLAVLRLKDQSVLSKKLHFLGPPFPSLVVLELHGLVNLEAVLFKGDTMARLEMLQVDCCESLVEISGLGLVTSLREIRLGSGSGICKRLKEEVQREVAERLKHVRLNTI* >Brasy8G181700.1.p pacid=40083022 transcript=Brasy8G181700.1 locus=Brasy8G181700 ID=Brasy8G181700.1.v1.1 annot-version=v1.1 MAGGGVEDAYGEDRATEEQLITPWSFSVASGHSLLRDPRHNKGLAFSEAERDAHYLRGLLPPAIASQELQEKKLMHNLRQYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPVVGEACQKFGSIYRRPQGLYISLKDKGKVLEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEYYIGLRQRRATGEEYHELLQEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLASLKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKHTDIPVDDCRKKIWLVDSKGLLVESRKESLQHFKKPFAHEHEPMKTLLEAVQSIKPTVLIGTSGVGRTFTKEVIEAMASFNEKPVIFSLSNPTSHSECTAEEAYTWSKGTAVFASGSPFDPVEYEGKTYVPGQSNNAYVFPGFGLGVVVSGAIRVHDDMLLAASEALAEQVSQENFDKGLIFPPFTNIRKISANIAAKVAAKAYDLGLASRLPRPDDLVKYAESCMYTPLYRSYR* >Brasy8G071100.1.p pacid=40083023 transcript=Brasy8G071100.1 locus=Brasy8G071100 ID=Brasy8G071100.1.v1.1 annot-version=v1.1 MAAEAYKYKAELLVKEYLLADSYVPYAAVFGGILMCKLAYDFTRFISSFHYKGYASLSKMQKIEWNNRGMSTVHAIFITVMSVYLVFFSGLFSDQLDGPVTFRSSSLSNFTLGVSIGYFITDIAMIYWLYPSLGGMEYVIHHVLSLTSAVYAMLFGEAQVYIYMALISETTTPGINLRWFLDIAGMKNSKAYLVNGVAMVVTWLVARIILFMYLFYHMFVHYDQIKQMDTFGYLLVFTAPTVLFVMNMAWFSKILRGLKKTMAKRH* >Brasy8G041300.1.p pacid=40083024 transcript=Brasy8G041300.1 locus=Brasy8G041300 ID=Brasy8G041300.1.v1.1 annot-version=v1.1 MEKGKCGKATWDAVAHRIFLDVCIEEVRANNRPTGCLNPIGYANLISKFNDRTKRKDPVTKTIVASDDWWENEIKRCPEAAKFRHAPLADEDKMREIFDLHSVTNEHARVPPPSQVNLEAEGDSGCELDDESSPAQPRLKKLKKRLCPYSPSPTAAAKIATESSSKMALERMVEIFERRENSRNSVTSQVTVDPVRQELKEMMALVVQDGGVPGSDAHFYASQLFMKKEYRDAFSCLEDAKPEHRVDWLERTWEERKNNN* >Brasy8G164200.1.p pacid=40083025 transcript=Brasy8G164200.1 locus=Brasy8G164200 ID=Brasy8G164200.1.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQEDLHDAPLDHDDVLRFVRSVGSMEPENRARLMRTTLGVPPKPRNLPIDYLLPESSRDRRHVGDLCWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSPKRGMSMQFDCLIEVDIKIKALSGDRDDKTLIDGCVDLVEGRATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWKNLYPFIDEQCDCDSSVASVGKFPRYFVTAVEMDDTLFIDFMDGNMPISFKASIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G164200.2.p pacid=40083026 transcript=Brasy8G164200.2 locus=Brasy8G164200 ID=Brasy8G164200.2.v1.1 annot-version=v1.1 MPALAFSHDDDNQEEEQEDLHDAPLDHDDVLRFVRSVGSMEPENRARLMRTTLGVPPKPRNLPIDYLLPESSRDRRHVGDLCWHDYYEMNKLSETCLPPMRYTYCCDQQAATAGNQRCFHKPSPMLQIFCTRVHTYLADFSNQVEVYGHIAVRDAEDYRRNYLFNRSRDNPLTINSASDYLQLLSPKRGMSMQFDCLIEVDIKIKALSGDRDDKTLIDGCVDLVEGRATFNMFIRSTIEGENGAVVFYLIILRDSVEATIEMNFLEVPGDGFDIKMCGYTASWKNLYPFIDEQCDCDSSVASVGKFPRYFVTAVEMDDTLFIDFMDGNMPISFKASIHGSEEKEYCFCNGAVVSVKVSWSAARY* >Brasy8G139400.1.p pacid=40083027 transcript=Brasy8G139400.1 locus=Brasy8G139400 ID=Brasy8G139400.1.v1.1 annot-version=v1.1 MGPGVGGELRGRGTYQDRTRRDEGGNETMIYLLSWSLVCPHVGMSLALLPLPSPDHISLWNRNRNRVGEGISRAPRVSVPPQRISPWLWLWLCCLLGEGRIVIYGWISYLGARAMTDLDSKDIT* >Brasy8G265400.1.p pacid=40083028 transcript=Brasy8G265400.1 locus=Brasy8G265400 ID=Brasy8G265400.1.v1.1 annot-version=v1.1 MIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILFIAVGSLFKITAVPFRAAVGRTAPYR* >Brasy8G048100.1.p pacid=40083029 transcript=Brasy8G048100.1 locus=Brasy8G048100 ID=Brasy8G048100.1.v1.1 annot-version=v1.1 MIADNDDMIQNQMSCCFDMSHDRYSSKNVQILAYRILGSFAGSHYVRWRSTSFQLFFFYF* >Brasy8G156200.1.p pacid=40083030 transcript=Brasy8G156200.1 locus=Brasy8G156200 ID=Brasy8G156200.1.v1.1 annot-version=v1.1 MSAAEAAAAAVGKRVLDTGWLAARSTEVALTGVELTTTHPPDTSATAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNQAIIDIADSGREHYTFWFFTTFQCAPVGNQHVSLNFRGINYSAEVYLNGHEEVLPKGMFRRHTLDITDVLHPDGNNLLAVLVHPPDHPGTIPPGGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTGIWDEVSISITGPLKITDPHLVATFHDDFKRSYLHCTLQLENKSSWSADCTLKIQVSTDLEGNVCLVEHLQNYAITINPHSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVNGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMIRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPDGPLDHGLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKTLKNDLKLHPMFVSHQRTKAQNKYLSQDSSDPSKYLDGTRVYVQGSMWDGFANGKGGWSDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWNIPIFKKDIDGYIKEIPNPIWDYHKYIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASFFIEVVNTTSNELTNVAVEISIWDLDGASPYYKVTEKMVVPPKKVKQIMEMEYPKMKNAKPVYFLLLKLFRLSDKEVLSRNFYWLHLPGKDYKLLEQYRQKTVPLKIGSEVSVSGRAYTVRMSIENRSKKSTAESATMDLVDGNGSNSISQEAICEGQETGIWSKIRRGIDLARSGDKPRTVEVNGTDSGVAFFLHFSVNNSESSTQGNYRDTRILPVHYSDNYFSLTPGENMTVDLSFEAPEGSKPRVILRGWNYHLNHAVTM* >Brasy8G156200.2.p pacid=40083031 transcript=Brasy8G156200.2 locus=Brasy8G156200 ID=Brasy8G156200.2.v1.1 annot-version=v1.1 MSAAEAAAAAVGKRVLDTGWLAARSTEVALTGVELTTTHPPDTSATAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNQAIIDIADSGREHYTFWFFTTFQCAPVGNQHVSLNFRGINYSAEVYLNGHEEVLPKGMFRRHTLDITDVLHPDGNNLLAVLVHPPDHPGTIPPGGGQGGDHEIGKDVATQYVEGWDWICPIRDRNTGIWDEVSISITGPLKITDPHLVATFHDDFKRSYLHCTLQLENKSSWSADCTLKIQVSTDLEGNVCLVEHLQNYAITINPHSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVNGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMIRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPDGPLDHGLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKTLKNDLKLHPMFVSHQRTKAQNKYLSQDSSDPSKYLDGTRVYVQGSMWDGFANGKGGWSDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWNIPIFKKDIDGYIKEIPNPIWDYHKYIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASFFIEVVNTTSNELTNVAVEISIWDLDGASPYYKVTEKMVVPPKKVKQIMEMEYPKMKNAKPVYFLLLKLFRLSDKEVLSRNFYWLHLPGKDYKLLEQYRQKTVPLKIGSEVSVSGRAYTVRMSIENRSKKSTAESATMDLVDGNGSNSISQEAICEGQETGIWSKIRRGIDLARSGDKPRTVEGHEDPSSPLLR* >Brasy8G156200.3.p pacid=40083032 transcript=Brasy8G156200.3 locus=Brasy8G156200 ID=Brasy8G156200.3.v1.1 annot-version=v1.1 MDTKRYCLKECSEGTPWILLMFFILMVTICLLYLFIPPITLVRFLPGEDRAGIMRDRNTGIWDEVSISITGPLKITDPHLVATFHDDFKRSYLHCTLQLENKSSWSADCTLKIQVSTDLEGNVCLVEHLQNYAITINPHSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVNGFGESDSWSHYFGFRKIESTIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMIRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPDGPLDHGLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKTLKNDLKLHPMFVSHQRTKAQNKYLSQDSSDPSKYLDGTRVYVQGSMWDGFANGKGGWSDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWNIPIFKKDIDGYIKEIPNPIWDYHKYIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASFFIEVVNTTSNELTNVAVEISIWDLDGASPYYKVTEKMVVPPKKVKQIMEMEYPKMKNAKPVYFLLLKLFRLSDKEVLSRNFYWLHLPGKDYKLLEQYRQKTVPLKIGSEVSVSGRAYTVRMSIENRSKKSTAESATMDLVDGNGSNSISQEAICEGQETGIWSKIRRGIDLARSGDKPRTVEVNGTDSGVAFFLHFSVNNSESSTQGNYRDTRILPVHYSDNYFSLTPGENMTVDLSFEAPEGSKPRVILRGWNYHLNHAVTM* >Brasy8G228300.1.p pacid=40083033 transcript=Brasy8G228300.1 locus=Brasy8G228300 ID=Brasy8G228300.1.v1.1 annot-version=v1.1 MPLVGEISVYRVERIEPAAQLDGVDAAAAEFIRKVRERLAEEQAAAAAAAAAARARARR* >Brasy8G008100.1.p pacid=40083034 transcript=Brasy8G008100.1 locus=Brasy8G008100 ID=Brasy8G008100.1.v1.1 annot-version=v1.1 MAAAIVKMNRGALFLGALMIMAMFSCSQGWVQLKREPNCFDDDCKKQCIKANFGIRDNMLEGICDGDPFPHPYNLIDCCCYASY* >Brasy8G108200.1.p pacid=40083035 transcript=Brasy8G108200.1 locus=Brasy8G108200 ID=Brasy8G108200.1.v1.1 annot-version=v1.1 MESSRGSGYMGGNDVDVLPRYSLDVSAGCGARRSTLLDEYERLAFEAQLNSVILRRCYSEPSPARFVQPEADAPPEASHGTPEGEGRREKPAATAGRWSWRLHEVVARWLQMLKPMFRWLVGAWERRRKDRQAAPRGPPTMPARVQLLDYLC* >Brasy8G141900.1.p pacid=40083036 transcript=Brasy8G141900.1 locus=Brasy8G141900 ID=Brasy8G141900.1.v1.1 annot-version=v1.1 MASNALPFLQLQLARALTPHARLLPPLPPPAHPHLRPPSPPGRPYLWPPPTPARLPLPPPPPTFPATGALSPSVLDRGVHGRRRRPLPVLDPLRPTPTTPWLDLSPSQPLMLLDGNPLLGVGDAMAARRTFGAAAPAAQHRLFYLLCWQPMAQRHRSLAAKAIAAMSLQHGHRAATAQWPLSCYVLLQRGTVSIQIRVDIEMSYPI* >Brasy8G210800.1.p pacid=40083037 transcript=Brasy8G210800.1 locus=Brasy8G210800 ID=Brasy8G210800.1.v1.1 annot-version=v1.1 MDATVVAIAGDGDPRRRLLNHASEIHPYPESPSRPQPPGTDAKPERKPHRVASLDVFRGLTVAMMILVDDAGGAWPGINHAPWLGVTVADFVMPAFLFIIGVSAALVFKRTQNKIATSKKAAYRAIKLFILGVILQGGYIHGRHNLTYGVDLDHIRWLGVLQRIAIGYFLAAMSEIWLVNNISVDSPASFAKKYFMEWIMAIMISALYISLVFGLYVPNWEFKVQTSNSTFSNGSNEIGFKTVQCGLRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGALPPNAPDWCLAPFDPEGLLSTLMAAVSCFVGLHFGHVLIHCQNHSQRMLSWLLASTVLTTSGFLLQLSGMPFSKPLYTVSYMLLTGGVSGFLLLLLYYIVDVIEIKKPLILFQWMGMNALIVYVLAACELFPTLLQGFYWRSPENNLVDATESLLQIIFQSKRWGTLAFVLVEIIFWCLAACFLHMKGIYLKL* >Brasy8G196300.1.p pacid=40083038 transcript=Brasy8G196300.1 locus=Brasy8G196300 ID=Brasy8G196300.1.v1.1 annot-version=v1.1 MKANARRRKHLIPVLRFNDKVATTPEDKLELAQDYFANIFATAPRRSCRLNLDMITARKQAPMNLRTDFHTVVILVHWRIWNERNARILQQETCSTDRVFELILEDLRAWRAAGCISAIGYFSLRI* >Brasy8G134600.1.p pacid=40083039 transcript=Brasy8G134600.1 locus=Brasy8G134600 ID=Brasy8G134600.1.v1.1 annot-version=v1.1 MQQPQSWLAAGAGPATGAGLPAPATFEEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVASLNQQVLTCQTYTDKEGLRQQQMIGTATRHHKHYIVPSAGNKRMQAFSEMQTDAELDLRPRPYPSEKTLYWHLASEKNSKTNGVRQCELAHGETKTTKPSTSSGGFHLPGKESSASPLPKRLQSNVSSSDIVIRNSGMKDQPGARPLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVRHRSGKMKNVSVR* >Brasy8G095400.1.p pacid=40083040 transcript=Brasy8G095400.1 locus=Brasy8G095400 ID=Brasy8G095400.1.v1.1 annot-version=v1.1 MAATMELHGERRSDGGAGVKVKFIETQFVSSDAASFKSVVQRLTGKHSQMPPPPSVHRPRPRSSSGRVGAGEERRPGNQLNVAAASPKQAPAAGFEPARMEMEEQLHGLCDFADLFYATPGARREGGGAINGFPY* >Brasy8G212900.1.p pacid=40083041 transcript=Brasy8G212900.1 locus=Brasy8G212900 ID=Brasy8G212900.1.v1.1 annot-version=v1.1 MTDVHHRVTVQMLCQLFCRSGAGDMLCVFERVVDGMYGVEAYVQFHSRWEAARARDALDGRAIYDGCCFLAVDLVPPIYTAITTPSEDKLAPVYFYDDTPYAAWSAALSSAERHEAPACVPGMSLSSASPPATKAAIKPSASVLSANDPSLMVHTTCSIGCTSGDTSTATSPCTHSSVPASSTSTPNSSADVLAVCVTHEAEDMNLTFLAASPVCLSGDANAAASTVLATALAAVVPPSSCDAHEMSVAVAEQHGKSMEDDSAVMKEKDAEKSLVLRDLCFASLDTQLFSSGRAGAGMILRDETGKIIFSSCAGGSRNVPVRLYGAEPRGSPWKQTVQRSLMLGV* >Brasy8G103700.1.p pacid=40083042 transcript=Brasy8G103700.1 locus=Brasy8G103700 ID=Brasy8G103700.1.v1.1 annot-version=v1.1 MGGGRSEAAGAMDVDGRQTYLPRSNGDVDDDGRPSRTGTVWTAAAHIITAVIGSGVLSLAWAMAQLGWVAGPLTLVLFAAITFYTCGLLADCYRVGDPVTGKRNYTYTEAVEAYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAALKKSNCYHWHGHRSDCSQPLSAYIIGFGVVQVIFCQVPNFHKLSWLSMVAAVMSFTYAGIAVGLSLAQTISGPTGKTSLTGTQVGVDVDASQKIWMTFQALGNVAFAYSYSIILIEIQDTLRSPPGENKTMRRATLMGISTTTAFYMLCGCLGYSAFGNGASGNILTGFGFYEPYWLVDLANVCIVVHLVGGFQVFCQPLFAAVEGSVARRAPGLARGQRAALFRLAWRTAFVALITLLALLMPFFNSILGFLGSIAFWPLTVFFPVEMYIRQRQIPRFGAKWAALQSLSFVCFLVTMAACAASIQGVRDSLKTYVPFKTKS* >Brasy8G273100.1.p pacid=40083043 transcript=Brasy8G273100.1 locus=Brasy8G273100 ID=Brasy8G273100.1.v1.1 annot-version=v1.1 MSTEARIKCRTRQRKYGGSSRSRGAFRGSGRELPGVGREEVDTGKLSQTINSFFRTYNSNYMRLAHHLLIRHIIFLR* >Brasy8G092100.1.p pacid=40083044 transcript=Brasy8G092100.1 locus=Brasy8G092100 ID=Brasy8G092100.1.v1.1 annot-version=v1.1 MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDKSSDEEELSEDEDDSGDEDILGKPDP* >Brasy8G053400.1.p pacid=40083045 transcript=Brasy8G053400.1 locus=Brasy8G053400 ID=Brasy8G053400.1.v1.1 annot-version=v1.1 MYAAARRLLSTRARARARARSATLRPPSSYYSAAPSRPPPPRFATPKEIRRGLDQFVVGQDKAKKVLCVAVHNHYKRIYKEPSSNKCSAITSAAGCDVSTSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGEDVESILYKLLAAADFNVEAAERGIIYIDEVDKLTKKVVEQLVGCQEDWRDISGEGVQQALLKIFEGTVINVPRKRNQHSVSHGYVEVDTKNILFICGGAFSDLGKIISERHHRCPFGFGTPKCRELQDYASTNALEESSLLEDIENDDLIAYGLTPEFIGRLPITVGLAHLTEDQLVQVLREPKNAIGKQYKKLFKMNNVKLHLTENALRLIAKQAAARETGARGLRSIMESILTEAMFEIPDAGEGKQKVIAVVVDEESVGPLPCQGCGAKIFRDDGALELYLYKKNIRVPGLIQGNPKRSVICRLCLLVALSSAKLWIYHTFHCFSAIYAWIVLILCKANIFTQ* >Brasy8G053400.2.p pacid=40083046 transcript=Brasy8G053400.2 locus=Brasy8G053400 ID=Brasy8G053400.2.v1.1 annot-version=v1.1 MYAAARRLLSTRARARARARSATLRPPSSYYSAAPSRPPPPRFATPKEIRRGLDQFVVGQDKAKKVLCVAVHNHYKRIYKEPSSNKCSAITSAAGCDVSTSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGEDVESILYKLLAAADFNVEAAERGIIYIDEVDKLTKKVGCQEDWRDISGEGVQQALLKIFEGTVINVPRKRNQHSVSHGYVEVDTKNILFICGGAFSDLGKIISERHHRCPFGFGTPKCRELQDYASTNALEESSLLEDIENDDLIAYGLTPEFIGRLPITVGLAHLTEDQLVQVLREPKNAIGKQYKKLFKMNNVKLHLTENALRLIAKQAAARETGARGLRSIMESILTEAMFEIPDAGEGKQKVIAVVVDEESVGPLPCQGCGAKIFRDDGALELYLYKKNIRVPGLIQGNPKRSVICRLCLLVALSSAKLWIYHTFHCFSAIYAWIVLILCKANIFTQ* >Brasy8G053400.3.p pacid=40083047 transcript=Brasy8G053400.3 locus=Brasy8G053400 ID=Brasy8G053400.3.v1.1 annot-version=v1.1 MYAAARRLLSTRARARARARSATLRPPSSYYSAAPSRPPPPRFATPKEIRRGLDQFVVGQDKAKKVLCVAVHNHYKRIYKEPSSNKCSAITSAAGCDVSTSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGEDVESILYKLLAVGCQEDWRDISGEGVQQALLKIFEGTVINVPRKRNQHSVSHGYVEVDTKNILFICGGAFSDLGKIISERHHRCPFGFGTPKCRELQDYASTNALEESSLLEDIENDDLIAYGLTPEFIGRLPITVGLAHLTEDQLVQVLREPKNAIGKQYKKLFKMNNVKLHLTENALRLIAKQAAARETGARGLRSIMESILTEAMFEIPDAGEGKQKVIAVVVDEESVGPLPCQGCGAKIFRDDGALELYLYKKNIRVPGLIQGNPKRSVICRLCLLVALSSAKLWIYHTFHCFSAIYAWIVLILCKANIFTQ* >Brasy8G211200.1.p pacid=40083048 transcript=Brasy8G211200.1 locus=Brasy8G211200 ID=Brasy8G211200.1.v1.1 annot-version=v1.1 MDGCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFSTYSKTIAVVMTVAKVATAVVSCITALMLVHIIPDLLSVKLRERYLKDKAEELDKEMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLGLAECALWMPSRSGTALHLSHTIHNSTPLGLVVPINLPVVSKVFNSNRAESIPHTSPLASIKTDTSRYVPPEVVAIRVPLLHLTNFQINDWPELSATRFAVMVLMLPPDSARKWRPHELELVEVVSDQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMSAIVSLSSLLLETTLTAEQRLMVETVLKSSDFLATLTNDVLDVSKLGNGSLELEVAPFNLHAIFTDVVNLIKPVAACKRLSVMVSLAPDLPARAVGDRKRLMQIILNVTGNSIKFTKEGHISISASIARPDSLRDPCAPDFHPVPSDGSFYLVVQVKDTGCGIKAQDMPHAFRKFAQNENATSKWHNGNGLGLALSRRFVGLMQGNIWLESEGLGKGCTATFFVKLGVPKKPNANPQRMMQPNQGARGLGPDVHSISIMEGDTGVPRARYQSIA* >Brasy8G122600.1.p pacid=40083049 transcript=Brasy8G122600.1 locus=Brasy8G122600 ID=Brasy8G122600.1.v1.1 annot-version=v1.1 MSCGGGQNGWLLDYGLVDEEIQGSDFIYMVDDPAVSSVILGFDAPRKEDGGGLDNSGAKKRSRPESSAPPGTKACREKLRRDRLNERFNELCAVLEPGKPPKADKVAILGDAARLLNQLRAEAQKLKTSNESLQDNIKSLKSEKSELRDEKTKLKAERERLEQMLKGATAAVAAPPQFVPHPAAPPPHFHPTAAFAQAGKFVPAYTASYPPPAAFWQWIPPTSLDTSKDPAHWPPVA* >Brasy8G005400.1.p pacid=40083050 transcript=Brasy8G005400.1 locus=Brasy8G005400 ID=Brasy8G005400.1.v1.1 annot-version=v1.1 MEEKAAEPAAAAAAAVAAVAGAVAGDQDVAYCSEHPYPPGAAAAAGVALGGSGICAFCLQEKLGMLVSSSKSSPFHPPPSSVTPPSSDPPVPLQASAAASGMPIPSQKAASGASGLRRSKSVAPRPEEPPLASHPSINADSPRKKSFWSFLYSSSSSSSHRSASSSLASGEQGAPSSARRKSVSAASAASLGRRLEAIEEPADQSPSRRSEGSGSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKGALGHHLGGARAGDGDEENYDEYARQHRIKCAGFFGGAAPPASSSYWLSAANGASGGSGGSSRVPGARSHRSWAWALASPMRALRPTSSASSKTIMSASGTMATS* >Brasy8G242300.1.p pacid=40083051 transcript=Brasy8G242300.1 locus=Brasy8G242300 ID=Brasy8G242300.1.v1.1 annot-version=v1.1 MDPIASTLDPCLLREGFLVAADIFHWALPCHRSPCLNPFILQLPCVAVGCLGFERRCCRFPSPQPPLWSPPLLLTLLFSAAAASPAAGRRCCSTITLQSRRSSVANPCLNQPRPKSCSLYCQEKEAPYIRCRARRREEIKERRRCPVVLD* >Brasy8G242300.2.p pacid=40083052 transcript=Brasy8G242300.2 locus=Brasy8G242300 ID=Brasy8G242300.2.v1.1 annot-version=v1.1 MDPIASTLDPCLLREGFLVAADIFHWALPCHRSPCLNPFILQLPCVAVGCLGFERRCCRFPSPQPPLWSPPLLLTLLFSAAAASPAAGRRCCSTITLQSRRSSVANPCLNQPRPKSCSLYCQEKEAPYIRCRARRREEIKERRRCPVVLD* >Brasy8G077900.1.p pacid=40083053 transcript=Brasy8G077900.1 locus=Brasy8G077900 ID=Brasy8G077900.1.v1.1 annot-version=v1.1 MLSEYEQEAESYDGDGEGEVFSEYEEDTDDDDDGYDDYEYDDGDSESDAAVVYDEDSDDGEVDVPLKVDHSHPHAAMASRTIRPLVRRFSVLYGLFRERAPRFAMAANTAGCLRVHYAADEDEEGEQQRNQQRSTILVLYRYTVFDVELGDLVVRRSTKLHQLHFAVPGSPGDAAEALRWAGPALGPLVYPAVHAGPLQELWASWAADVRVPPRAARVHLLADVAILPRRCYTPALMHLVCCSRTLLGRMMAEPWRGYHVAMELQLPEPVVPRRRPCEDEGKGEEKEECPVCLEELESGLVRWPGCLQPHVFHGECLELSLKESDKCPVCRRRLADPIDG* >Brasy8G282100.1.p pacid=40083054 transcript=Brasy8G282100.1 locus=Brasy8G282100 ID=Brasy8G282100.1.v1.1 annot-version=v1.1 MATTGAPAAAAAAAGSEEEDQARMDAIVENLQTREAVRLYNWLSQRCFSDCVTTFYRKALGKGEGDCVRACVRKYLLVSSASAARFAEVTADPYAAVADDED* >Brasy8G181100.1.p pacid=40083055 transcript=Brasy8G181100.1 locus=Brasy8G181100 ID=Brasy8G181100.1.v1.1 annot-version=v1.1 MAWNGSREEEEERGLELSLGLPGYFSGSPGQAEGLEEKGSRRGAGAKGRSSDGFKASRPAGAAAPVVGWPPVRSFRRNIASSSSKPPAAEPRHGGKAGSGSSGSQKQGLFVKINMDGVPIGRKVDLKAHGGYAKLADAVDHLFRGLLAAQRGVNPVMAAGQGTAAAITGLLDGSGEYTLVYEDDEGDQMLVGDVPWDMFVATAKRLRVLRSSDLNASSLRVAVSRKRGATES* >Brasy8G089700.1.p pacid=40083056 transcript=Brasy8G089700.1 locus=Brasy8G089700 ID=Brasy8G089700.1.v1.1 annot-version=v1.1 MYHQHQGPSELFSTRTTFPMERHLFLHGGSTQDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQVNVGTTKNAIGCAVVADSMPATSTPAMTNTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQSVLEQAQESLGKQNLGPANLEDAKIKISELVSQVSNECFSNAVTDIKESSSMHRLEPRQIQFVESSTNNCLTAAEGYINEHRLHSHGVLKAYDDSSIFYRKQSHGHEYQFPLNRSLSERRMGHLHNVKEYHKAELGSESDTEIQQEYITPQKNVRGSTTSSASGTKEGDIEKLYLEEPSCKRRAIDYPSFERPNSGKKLDLNTHNTDDSDQGFRHFDLNGFSWS* >Brasy8G089700.2.p pacid=40083057 transcript=Brasy8G089700.2 locus=Brasy8G089700 ID=Brasy8G089700.2.v1.1 annot-version=v1.1 MYHQHQGPSELFSTRTTFPMERHLFLHGGSTQDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQVNVGTTKNAIGCAVVADSMPATSTPAMTNTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQSVLEQAQESLGKQNLGPANLEDAKIKISELVSQVSNECFSNAVTDIKESSSMHRLEPRQIQFVESSTNNCLTAAEGYINEHRLHSHGVLKAYDDSSIFYRKQSHGHEYQFPLNRSLSERRMGHLHNVKEYHKAELGSESDTEIQQEYITPQKNVRGSTTSSASGTKEGDIEKLYLEEPSCKRRAIDYPSFERPNSGKKLDLNTHNTDDSDQGFRHFDLNGFSWS* >Brasy8G103000.1.p pacid=40083058 transcript=Brasy8G103000.1 locus=Brasy8G103000 ID=Brasy8G103000.1.v1.1 annot-version=v1.1 MEPSTAPRRLWHVVRAVLFMLRKGMSKRKLAMDLQLLLQRGKIAGGKALGKLMSTTHHGHHDEPPAASNYSTAAKHAPQNFSCPARSVHDLRETEFSCSNTPSSYYPSILHQLVPNKRQPRRWNGSRRRHNSHRGANGAEPGWYNYDAADIAKVFEVLNKDEQLLNDVVAAVAATPSPALWSSSSSFGRSSPAPPVRQLRITDSPFPLVADDAQDGGMVDQEADEFIKKFYDQLRTQQQSLAAATPEHGYASPAATGVAY* >Brasy8G173300.1.p pacid=40083059 transcript=Brasy8G173300.1 locus=Brasy8G173300 ID=Brasy8G173300.1.v1.1 annot-version=v1.1 MSCSCGSGCSCGSNCTCGKMYPDFAEKSGGTQQATTMVLGVAPAKAQFEEAGEAGHGCSCGANCKCDPCNC* >Brasy8G117800.1.p pacid=40083060 transcript=Brasy8G117800.1 locus=Brasy8G117800 ID=Brasy8G117800.1.v1.1 annot-version=v1.1 MCSEKEGGLLYIQLCQGLVRTFGGIRAVDVLARRRLGRSLGGLTKFWQEEASCTIYVIDRACVRVGFEAQFGFGSGQEKGKMSDGFHALLVGFEAQFGFGSGQEKGKRNRSISCADSRTDSVQQSFFFRLGLPQSGLRTASSVDACPSGSIRSIRQADSFIRLLSCVLVGLLPCSASNVSFYLQRW* >Brasy8G029400.1.p pacid=40083061 transcript=Brasy8G029400.1 locus=Brasy8G029400 ID=Brasy8G029400.1.v1.1 annot-version=v1.1 MSSPSSSSAAAAGVLLAHARPVLQRPAATSVAGGGSYTAVFVVLGVIAALLVVSCLVGQVCTKKHLRPRPRRDRVAYYDDDLEFGAAKMEAAAPSAVMTTTVVLETPAAASASVEARPVAA* >Brasy8G051700.1.p pacid=40083062 transcript=Brasy8G051700.1 locus=Brasy8G051700 ID=Brasy8G051700.1.v1.1 annot-version=v1.1 MGKSPAKWLKSVLFGKKTSKSGSTKGKDLSKAAGNRGYAATGKDPVFSESSPVISEPVLVTPHNNDTVPEVRKAENSSLQGEVVVPDVNRDLEKQSTVGSDVLSNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRATWLIVKLQAVVRGRNVRLSSDAVQFRWKLVQQNSMGAKPDAWKERLASNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTISRVWKPVYQPKRSAVSDAKAQTRKASYAMETESGKLKRNARKSSAMSVEPAPTTMPLETEKTRRNPRKFTSIPADSVPDSQLTELEKVKRSLRKVTNSMAEASKVSSPATEISDYPEVQFEKPVRTAQEVPVYPEIQEPHNGDLLENAKMDIPVPDLTQLEVTSYPVTSEEKVGELTVVTTTAEVMPLQDIDNEENALVNDIEPRSREEPLSTESLKSGNRRSSFSTKPEYPENGSKNSPSVPSYMAATKSAKAKLRGQISPRLSADSAEKTVYTRRHSLPSPANGKQNSHSPRTQRPAHSGSKEGVKGDKSMLSSRDASERPMMKAEWRR* >Brasy8G051700.2.p pacid=40083063 transcript=Brasy8G051700.2 locus=Brasy8G051700 ID=Brasy8G051700.2.v1.1 annot-version=v1.1 MGKSPAKWLKSVLFGKKTSKSGSTKGKDLSAAGNRGYAATGKDPVFSESSPVISEPVLVTPHNNDTVPEVRKAENSSLQGEVVVPDVNRDLEKQSTVGSDVLSNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRATWLIVKLQAVVRGRNVRLSSDAVQFRWKLVQQNSMGAKPDAWKERLASNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTISRVWKPVYQPKRSAVSDAKAQTRKASYAMETESGKLKRNARKSSAMSVEPAPTTMPLETEKTRRNPRKFTSIPADSVPDSQLTELEKVKRSLRKVTNSMAEASKVSSPATEISDYPEVQFEKPVRTAQEVPVYPEIQEPHNGDLLENAKMDIPVPDLTQLEVTSYPVTSEEKVGELTVVTTTAEVMPLQDIDNEENALVNDIEPRSREEPLSTESLKSGNRRSSFSTKPEYPENGSKNSPSVPSYMAATKSAKAKLRGQISPRLSADSAEKTVYTRRHSLPSPANGKQNSHSPRTQRPAHSGSKEGVKGDKSMLSSRDASERPMMKAEWRR* >Brasy8G147600.1.p pacid=40083064 transcript=Brasy8G147600.1 locus=Brasy8G147600 ID=Brasy8G147600.1.v1.1 annot-version=v1.1 MASTVAPLNADVDLPTNVTTQMHNSTGTKVAGLVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRFLVLLLKPFRQPRVIAEILAGVLLGPSVMGQMEVWANMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVALAGMALPFCIGIATSFIFRHQVSRNVHQSSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVHSTALSSLWVLLAGVSFVLFCFYAVRPGMWWLIRRIPEGEVVSEMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPSGPLGVALIEKLEDFVTGLLLPLFFAISGLRTNIANVRDPITVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKQVLDDESFAVMVLVSVAMTALVTPVVLGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSGSSSALPAATEHIFNAFENYERLTGGVSIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVIPFHKQQTVDGGMEPINPSVRGFNESLLSASPCSVAILVDRGLSAAAARMANEHRVVLFFFGGPDDREALAYAWRMVENPGVWLTILRFLPPDYRARSFSTNSNSSSSSGSYRSAASYDNNVDSRAIAINADGSKTELQMDEEYLGEFRARNHGNDAITYVDKMVANSEETVATIRSMDNTMHELYIVGRRPGEAGSPMTSALDDWMECPELGPIGDMLVSSDFSSTVSVLVVQQYVVAGAPMAAAVTAPAPSSDPVRQYVSNANQRPAAAASGAYRPVPGSAAANSRWASDTVGF* >Brasy8G102000.1.p pacid=40083065 transcript=Brasy8G102000.1 locus=Brasy8G102000 ID=Brasy8G102000.1.v1.1 annot-version=v1.1 MARREDDSYTNGSVSMNDFSVEDGRKEKEVYDHEPEQDGVCGMPVSVPFLQMLLAEFFSTYFLLFAGMGAIVVNNEKDGALTFPGITMVWGLAVMVMIYTVGHISGAHMNPAVTLGFAIAGRMPWKRVPAYMVVQVFAAIIVSVVLRLMFGGRHEFVPVTAPTGSNIQSLVTEFTTTFYLVFVVMAVATDDRAVGSMAGVAVGATITLNALFSGPVTGASMNPARSIGPALVGGKYTSLWVYILGPFAGGAAGAWAYSLMRYTDKPAAVVRDVAKSTDRAAA* >Brasy8G120000.1.p pacid=40083066 transcript=Brasy8G120000.1 locus=Brasy8G120000 ID=Brasy8G120000.1.v1.1 annot-version=v1.1 MLLYMGSLPLDVVPRIIARSAPGSVYRSPQLYARLRAEMDADNYTDALATVWRQTYKGGVWRPCIHNMIKSLPESNGYLYVEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWKDPSKFSDIYDEEHFVKRLQNDVRVVDKVPDYIMERFGHNISNVINFKIKAWSPIKYYKDVVLPKLVEERFIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLIARMKEKSVGSNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFENFSSRMAAIDYSVCAYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVELKRPNESIYTFPCPDCMCRLNRTAPSKPIHPR* >Brasy8G120000.2.p pacid=40083067 transcript=Brasy8G120000.2 locus=Brasy8G120000 ID=Brasy8G120000.2.v1.1 annot-version=v1.1 MLLYMGSLPLDVVPRIIARSAPGSVYRSPQLYARLRAEMDADNYTDALATVWRQTYKGGVWRPCIHNMIKSLPESNGYLYVEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWKDPSKFSDIYDEEHFVKRLQNDVRVVDKVPDYIMERFGHNISNVINFKIKAWSPIKYYKDVVLPKLVEERISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLIARMKEKSVGSNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFENFSSRMAAIDYSVCAYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVELKRPNESIYTFPCPDCMCRLNRTAPSKPIHPR* >Brasy8G120000.3.p pacid=40083068 transcript=Brasy8G120000.3 locus=Brasy8G120000 ID=Brasy8G120000.3.v1.1 annot-version=v1.1 MLLYMGSLPLDVVPRIIARSAPGSVYRSPQLYARLRAEMDADNYTDALATVWRQTYKGGVWRPCIHNMIKSLPESNGYLYVEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWKDPRFIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLIARMKEKSVGSNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFENFSSRMAAIDYSVCAYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVELKRPNESIYTFPCPDCMCRLNRTAPSKPIHPR* >Brasy8G120000.4.p pacid=40083069 transcript=Brasy8G120000.4 locus=Brasy8G120000 ID=Brasy8G120000.4.v1.1 annot-version=v1.1 MIKSLPESNGYLYVEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWKDPSKFSDIYDEEHFVKRLQNDVRVVDKVPDYIMERFGHNISNVINFKIKAWSPIKYYKDVVLPKLVEERFIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLIARMKEKSVGSNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFENFSSRMAAIDYSVCAYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRTHSDAKGVELKRPNESIYTFPCPDCMCRLNRTAPSKPIHPR* >Brasy8G182500.1.p pacid=40083070 transcript=Brasy8G182500.1 locus=Brasy8G182500 ID=Brasy8G182500.1.v1.1 annot-version=v1.1 MSLGRLFQTRGGFAFLTRDTAAQRALPRRRSILAVAPSYRRLRGSRRVLGRPRRVRRGTSSSRGGEGVKLNPGGHSFLPTTPRRPTNPRATPTIPRQVQATSTNPTAAGAGTATISPDSPRSITAPPPVPSRAAAATRAAASALPRRAAAGSLPRRAAAAHARWLRAAAGSLPRRAAAANAQWLPPAPAALPRHRRHHAAPSLSREAEAVKYSADAAAALLRRAKLTPPFRLHQLPHFRCNSCLLFLLSADSIAWTNVSAAVLREADAADPPSATPSLSISATTETWHLQTVDDAASRSNLKWQSGDTGMVPAEQKMQKKILYYNSMNWPRSPG* >Brasy8G279300.1.p pacid=40083071 transcript=Brasy8G279300.1 locus=Brasy8G279300 ID=Brasy8G279300.1.v1.1 annot-version=v1.1 MDLDKEYYMGMMGVEASASAMSPAVSSEPVAKAVAATASEDEGDLRRGPWTAEEDALLVDYVAKHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILDLHSRWGNRWSKIAQRLPGRTDNEIKNYWRTRVQKHARQLRCDVNSRQFRDVVRLVWMPRLIERMHADANAGVPPAPEATAAGAAAAAAQCYGGGHVERQGMSRSEPMIGRAAEAAAMSPDDTSSTLRSSMSTAEMASTMHEGQYRLSPWGAASALTANNADGTAVNCVVRGDEGAMGGDDHAIHGGDNELGGSWSELLAATGLPEFEFGDFDDNLWSLEDIYAN* >Brasy8G199900.1.p pacid=40083072 transcript=Brasy8G199900.1 locus=Brasy8G199900 ID=Brasy8G199900.1.v1.1 annot-version=v1.1 MEVGGATASGGAAGAKNSWPEVVGMLSEEAKKKIIEDKPEASVQVIPAGSFVTMDYNTGRVRLFVDSNDKVSKAPRIG* >Brasy8G031100.1.p pacid=40083073 transcript=Brasy8G031100.1 locus=Brasy8G031100 ID=Brasy8G031100.1.v1.1 annot-version=v1.1 MEEDDHISGLPDDVLGEIISLLPTKAGARTQLLSRRWRPLWRRSAPLNLEYRDQFLDFSEDRTAAVVSSILSAHPGPARRASVSFICFIPDMDTWFRSPSLANLQELEFAYMMRCPGGGGTMYRLPPSALLRFAPTLRVALFRSCQFPDLAPPPPNFPRLKRLALYNVGISDDSLRTLISACTVLETLSLGRVAFHRLCICSQTLRSISVYAPKNTKLGIVTSRELVIEDTPCLERLLPFYPDSTTGPSTIQVIWAPKLKMLGLLSQGISTLDLGRTVFQKMIAVKLMTKMITVKTLALDSVGPNLDAVVDLLRCFPCLDKLYVLLHIQKGMNTVRRKYDSLDPVECLELHLKEVVLKNYSGKRRPHIDFARFFILNAKVLKELEIGFLNKQTDKWACYQRRRLQVENRASRDARIELKNNLPTKFTHNRHIHDLSMADPFESSFVNR* >Brasy8G252500.1.p pacid=40083074 transcript=Brasy8G252500.1 locus=Brasy8G252500 ID=Brasy8G252500.1.v1.1 annot-version=v1.1 MSCFGCCGDEDTKGAPDNRNPYPGSHPARNDAYRTADPTPRGPQPAKVQPIAVPTIPMDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQELLAQVSMVSRLKHENVVELLGYCVDGSIRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAPHAGEHAGH* >Brasy8G000100.1.p pacid=40083075 transcript=Brasy8G000100.1 locus=Brasy8G000100 ID=Brasy8G000100.1.v1.1 annot-version=v1.1 MASAAAILLSAILCAARCFASDTITANSSISGSQTLVSKGGGFELGFFHPAGRSNYYIGIWYKKTVPRAQSTPVWIANRATPLTDPASSHLAIASDGNLVLTDDANRLVWTTNISSVAGTNGTVAVILDSGNLVLQREENGELLWQSMDHPTDTWLPGAHLGLNKVTGEVQMMAAWKNYDDPTPGMFTLGIDTDGTSEYFLCWNKTVRYWRSGEWNGNIFTGVPEMMSHSRYNFDFVSNATVSYFTYSSQDTAAISRLVLDVSGQVRELMWLTGANEWMQIWTEPHQLCDVHAVCGAFAVCDEQNQPFCSCLDGFHATSPGDWERGDHAQGCVRNNPLECGSTNDPKNGKDDIFLPMPGITLPESTTCFVKASAAQDCRLTCLKRCNCSAYSYGDGCALWHGDLLNLQRLKNETAGTNTIYIRLSAMDVTSKGSKRTITLVGSSAAAVMLLSVLVSVLIIWMLKRRKKARFMQQAFEGGNLQAFKYSDVRRATNNFSEKLGGGGFGSVYKGALLPGGAGGVAVKRLEGGLCVGDKQFRNEVRTIGTIHHVNLVRLRGFCSRGTDRLLVYDYMPNGSLEKLLFGPGESSSAVLSWRARYRIALGVARGLLYLHAGCRDCIIHCDVKPDNILLDADLVAKVADFGLAKLVGRDFSRVLTTVRGTIGYLAPEWISGVPVTAKADVYSYGKVLLEIISGRRNTRRWPSASSAGADSSYYFPVVAAKKVHQGEVAELLDERLNGDADVRELDRACRVACWCVQDDEAHRPTMEQVVQALEGVLSIGVPPIPMSLKDEHLYLSDDFSM* >Brasy8G112300.1.p pacid=40083076 transcript=Brasy8G112300.1 locus=Brasy8G112300 ID=Brasy8G112300.1.v1.1 annot-version=v1.1 MELTRKSMVSNLILCLLLVHSGLVPSALGLTDDDDCWTPSSSFAWCRTAKCRGRCRDRGFVDGRCDWSFPNLGVCECRYPNCKHRVAAGPDPSRD* >Brasy8G286700.1.p pacid=40083077 transcript=Brasy8G286700.1 locus=Brasy8G286700 ID=Brasy8G286700.1.v1.1 annot-version=v1.1 MKFGVRKELIALLRYLRLCMLFLKKPYEVFLQFGGYDQSDILIEKSRARCLGMHIVEWLQQLAGLWIRLFPASAEQFEQFPDYSKLVIKNEFHSEQSGLYYVGDVDVSTIPARSKYTPPKQPHYNQNKTSEFIIKILKLPVPLTILGLALAIRMYTKSEAA* >Brasy8G103900.1.p pacid=40083078 transcript=Brasy8G103900.1 locus=Brasy8G103900 ID=Brasy8G103900.1.v1.1 annot-version=v1.1 MSNTYPFPLLPLLSVLLLLAGESLSQPTTGDQATLLAIKNAWGNPSQLASWDPAAHADHCRNWTGVACQGAAVTGLTLPSLNLTGKVPESLCDLASLTRLDLSSNKLSGAFPGAALNGCSKLRFLDLSYNAFDGALPDDIKSLLSPAMEHLNLSNNHFSGVLPPAVAALPALKSLLLDTNQFTGSYPAREISQLSGLQQLTLALNAFAPAPAPVEFARLTNLTYLWMSNMNVTGEIPEAYSSLTELTVLGLSTNNLTGEIPAWVWRHPKLEFVYLFTNGLTGELPRSIAAANWVEFDVSTNLLTGQISEDFGNHKNLTLLFLYKNQLTGTIPASIATLPKLKDIRLFENKLSGELPKELGKHSPLGNLEVCNNNLSGRLPESLCASGKLYDIVVFNNDFSGELPAGLGECVLLNNLMMYNNRFSGEFPAKVWSFPMLTTLMIQNNGFTGALPAHISENLTRIEMGNNKFSGSFPTSATGLHVFKAENNLLSGELPANMSGFANLSDLLIAGNRLSGSIPTSVSLLQKLNSLNMSGNRISGPIPPSSIGLLPSLTLLDLSHNELTGAIPSDFSNLNFNLLDMSSNQLTGEVPLSLQIAAYEHSFLGNVGLCTKHDSGIGLPACGSIARDELSKGLIILFAMLAGIVLIGSVGIAWLLFRRRKDRQDVTDWKMTQFTHVGFTESDVLNNIREENVIGSGGSGKVYRIHLPARGRDEEHGGGGMVAVKKIWNAKKMDAKHDKEFESEVKVLGNIRHNNIVKLLCCISSTDAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPTRLAIAIDSAKGLSYMHHDCAQSIVHRDVKTSNILLDPEFHAKIADFGLARMLVKFGEPESVSAIGGTFGYMAPEYGRRPRMNEKVDVYSFGVVLLELTTGKVANDSGADFCLAEWAWRRHQKGPPLNDAIDEHIRDPAYLPDILAVFTLGVICTGENPSTRPSMKEVLQHLTRCDRMSNAEAQACQLDYVNGGGTPLLEAKKGSRRRSMSSSGRWGDDDGEDSGNFVVHAV* >Brasy8G295600.1.p pacid=40083079 transcript=Brasy8G295600.1 locus=Brasy8G295600 ID=Brasy8G295600.1.v1.1 annot-version=v1.1 MERKNGLERLIWFGNGWPPPFSRSTASNSSPPATTPTTLLHSSPPSGPTPISPTKVRQRDAPLWVQDPPPSCSSARRLPSTVLDLFFLIFVP* >Brasy8G015700.1.p pacid=40083080 transcript=Brasy8G015700.1 locus=Brasy8G015700 ID=Brasy8G015700.1.v1.1 annot-version=v1.1 MMDMEVEQPMLFSDTNTARFRGMSLDDLGEQYFNVNLDDDDFSCAEHHHQAEAVNITLVDNFESGLAETDVFNHFERFDIADDGTTVNITPDEDPQAPSTLAPSPPRQEDPPKQQEQYYAAPSPNQEEPQQGDPSKDQEEQKMKERQPNRPSKRKARGKGPQVTMDNQTMIPGNIYQTWLKDPLSLISKRCRVSSKINFIQAIKIGDLMELPPVALISYSEKSPLELYYPKQLMQLWKECTEVKFPKASSPGGKSPSSQEQQPRNSPPQPQGEYQGEMGAQPMDFTDGIEKIRGNKSGGYERVDDALHGDHSVTPGSPGLSRRSASSSGGSGRGAFLPLDPEIQFHSAGGRSKRRQHSSGRSLGNLDPVEEEFPLEQEVKGFKLRRLSDIGPTPELLEETEPTQTPYQKQPPTDQVTESIHSHLKLHFDAPDAPLSESLSQLTYGMTTARAA* >Brasy8G015700.2.p pacid=40083081 transcript=Brasy8G015700.2 locus=Brasy8G015700 ID=Brasy8G015700.2.v1.1 annot-version=v1.1 MIWVNNILMSTLMMMTSPVPSIITKLRQSTLPWLIILSLGLPKLMSSIILRDDGTTVNITPDEDPQAPSTLAPSPPRQEDPPKQQEQYYAAPSPNQEEPQQGDPSKDQEEQKMKERQPNRPSKRKARGKGPQVTMDNQTMIPGNIYQTWLKDPLSLISKRCRVSSKINFIQAIKIGDLMELPPVALISYSEKSPLELYYPKQLMQLWKECTEVKFPKASSPGGKSPSSQEQQPRNSPPQPQGEYQGEMGAQPMDFTDGIEKIRGNKSGGYERVDDALHGDHSVTPGSPGLSRRSASSSGGSGRGAFLPLDPEIQFHSAGGRSKRRQHSSGRSLGNLDPVEEEFPLEQEVKGFKLRRLSDIGPTPELLEETEPTQTPYQKQPPTDQVTESIHSHLKLHFDAPDAPLSESLSQLTYGMTTARAA* >Brasy8G088400.1.p pacid=40083082 transcript=Brasy8G088400.1 locus=Brasy8G088400 ID=Brasy8G088400.1.v1.1 annot-version=v1.1 MDGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTLVSAVVMLLLFISETSSYLNSATQTKLVVDTSRGERLRVNFDVTFPSIPCTLLSVDTRDISGEQHQDIRHDIEKKRLDSHGNVIESRKEGIGGTKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCDEVREAYKKKGWALTNPDLIDQCAREDFVERVKTQHGEGCSVHGFLDVSKVAGNFHFAPGRGFYESNVDVPELSSLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTNYTDTRGRKIDSNQFSVTEHFRDGNVHSRPQPGVFFFYDFSPIKVIFTEENKSFLHYLTNLCAIVGGIFTVSGIIDSFIYHGQKALKKKMEIGKYR* >Brasy8G000400.1.p pacid=40083083 transcript=Brasy8G000400.1 locus=Brasy8G000400 ID=Brasy8G000400.1.v1.1 annot-version=v1.1 MRSKSFVPLLLLCLAMAAAAPLSSAAAASGSVAAVGGGGILHAPYNSELAHCPSRCGDVAIVYPFGIGPGCFRQGFELTCHNHKLFLGNTTTQIQQLLDYNYVQAFIEHSIDMIPGVDIYNVSWETPVKGVTLYRYNNLLCPVLCHAYRPWMRPLARSLYVAASLVTVIIGFYDLYKNVPLLNSAAARICGPRFGWIETWDMVTWIQYLGTILFLRNLRRCVQGLFALLLQLLVFPFRFAVALAGCAGAVLSNGYNFSKDVWETLSSILELNHMSDAQHSALWDVTTLRTLWNDLFSQIFRALRGILNGILVFFASCNRHRLSIYNHAHSRLRHMLRVVGLARSLHVAASLVTVIIGFYDLYKNVPLLKSAAARICGPLFGWIETWDMVTWIQYLGTILFLRNLRRCLQIFRALRAILNGILVFFASCNRHRLSIYNHAQSRLRHMLRVARLAPQPSSSTNHQNHQDAAVECDVCK* >Brasy8G290300.1.p pacid=40083084 transcript=Brasy8G290300.1 locus=Brasy8G290300 ID=Brasy8G290300.1.v1.1 annot-version=v1.1 MEMAAQRAALDRQAAAVRDRLAADSAAYRAATLSPRSSAHQTLSRREDLDGLRRQLQGLEDDLAQALSIKLAKESKRELARESISSLVATNEQLVNLVDDQRNKRDQYARVISNELEAVEAFEEKNREGEAWVKDIEKAVSWYQKFLGLQVVVEGEGVKFLFDKVDLQSPEKEYSFSLKFDNDEYTLHQCSPPVEDSEELVKDLNLTNDMFKFLRIIRQRFQAATVNETLPVSPVVCPDASSRTISSPVVMPVDSSSRIVADQSHSQRKQVSPELKQADSGLGKQTDP* >Brasy8G241200.1.p pacid=40083085 transcript=Brasy8G241200.1 locus=Brasy8G241200 ID=Brasy8G241200.1.v1.1 annot-version=v1.1 MALLMDASSASPLAANRALKREGGGYARRLRACSPTRAAGEEVGAACPTLPSPEEGLTNGGNSTGEDSRKLDSVGDKGDSSSGAHGRTDDGEGDREGEPRDDAGLSPSREPSSTSSAWASTGATCTSEEGTSCTDWGKAACAGAVGSTATCEEATSGSLSEAACAGEGGATATGTSCTDRGGAATCATEAAAANTGATCPRAPATGASSPGREASSAADSTMAFGEEATCRETGGTQPALAFQPFRKITPEDGAPDLLAGGAEEDSVTILLIIHVLHIIQHILIIIIELVVIIIALIIITNIRLTGRFHLERPLVATRGRFWALDDDASGDEAGCFSTASAASC* >Brasy8G285200.1.p pacid=40083086 transcript=Brasy8G285200.1 locus=Brasy8G285200 ID=Brasy8G285200.1.v1.1 annot-version=v1.1 MRHTPKNPREATPAWLTAGAVEQLAEHPDGPGTVFPVLQVADVQLAGTTKGARRLRSERFRVLLSDGVHAQQSVLATDLNGLVKDGTLRAGSVVHLVESFCNTVENRRTFIVCKLDVLQSECLGRQRCMRWKSHLRNRSLTYHRSNLLLFRWWSRLLTISHMVEHTMI* >Brasy8G216300.1.p pacid=40083087 transcript=Brasy8G216300.1 locus=Brasy8G216300 ID=Brasy8G216300.1.v1.1 annot-version=v1.1 SGLLLPISRCFSHAAGTATHHAAELGPSSPPCPTFLSSTPAPQHIPTTTSTQPWQAARPPSPPSSTGSSRRPASHLCITYRPRIHLPHLPHLTPFPQFLPFSCCPALTSQLLQQDPEPASFWFL* >Brasy8G216300.2.p pacid=40083088 transcript=Brasy8G216300.2 locus=Brasy8G216300 ID=Brasy8G216300.2.v1.1 annot-version=v1.1 SGLLLPISRCFSHAAGTATHHAAELGPSSPPCPTFLSSTPAPQHIPTTTSTQPWQAARPPSPPSSTGSSRRPASHLCITYRPRIHLPHLPHLTPFPQFLPFSCCPALTSQLLQQDPEPASFWFL* >Brasy8G257100.1.p pacid=40083089 transcript=Brasy8G257100.1 locus=Brasy8G257100 ID=Brasy8G257100.1.v1.1 annot-version=v1.1 TRIDALGDAPVRAQHHDVVHRRPAEQQHRQHVGYAADGELEDDASEQRPFARPAGALRIPQPGRDQVGHAARVPHDDGGFGRIKLSIPPFSGTREDPEATMSRFFNGLNIEVQDRVEMVSYYDIQDLVRQVERAEQQFKRRQAVAPANSWHRAQTKAAGSSAKPTPSSRSNHVSPNEALKSGVSKAAPSTHSASSIECFTCGGRGHMRRDCPNTKMVMLTQDGYVSASDDDKVDVPSAVESEDHDNFDVYPEDAPPNCTNLMVQRKRHVLQPMCDKDIKVATFAVKTKKIQQAKSKPRTVSSQVGGDDEGRISTTPAISPTPYILKFGSFCVEVPTKEEVKPNFRTPPVLKCTSV* >Brasy8G138400.1.p pacid=40083090 transcript=Brasy8G138400.1 locus=Brasy8G138400 ID=Brasy8G138400.1.v1.1 annot-version=v1.1 MMKAGGDRPKLVRGLRQESRRFRLLVIVVGFFLVSLTFVVVSKPDAMLFGLNGKLPADQTPTSILIQQKVNAPPVSSRKTSTNTFGVDPKVVDDEAYVKQKGLRAGEEEDSRVLSEPDPASGMMEPKPIPNKDGNGHKSDEEVLGGESKGEGEHGHAEEKHRVTLPTISNYTIHDAEDNENAKQEGTSNSQQGSKPLCDFSNFRANVCEMRGDVRVHPRATSILFMEPDGSQRDEVWKIKPYPRKGDEFCLSHITELTVKSSKVAAECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPLIDFSKDDQVHCFKHAIVGTHAYMEFTIDSSKAPHGLTMVDFNRFMRGAYSLGKDTAVVLGETPKVKPRLLIIKRHRTRMFLNLEEIITMAEELGFEVVIDEANVSSDISGFAKLVNSVDVMMGVHGAGLTNCVFLPHNATLIQIVPWGGLDWISRTDFGNPAEMMGLRYKQYAISVDESSLTDQYPRDHEIFKNPISFHKRGFEFIRRTFMDKQNVKLDCKRFRPVLLEALDNLNP* >Brasy8G074300.1.p pacid=40083091 transcript=Brasy8G074300.1 locus=Brasy8G074300 ID=Brasy8G074300.1.v1.1 annot-version=v1.1 MGFRDLHCFNLAMLSWQCWRLMQEPDSLCAKVLRSKYFPSGDLLNCELKKGSSYTWQSLWQGIQTFKRGHIWRIGDGNSVNIWDDPWIPSCPSRRIRTRRGNIVLTKVAQLIDVESRSWDEALVRDLFWSVDAERILKIPLSTGVLEDFVSWHHNKNDIFSVRSAYHLEEN* >Brasy8G013000.1.p pacid=40083092 transcript=Brasy8G013000.1 locus=Brasy8G013000 ID=Brasy8G013000.1.v1.1 annot-version=v1.1 MTICSCEETINEFEMLTRDAGRVQQDTLKKILEANADADYLRHFGLDGRTDIESYKSCIPLCVHSEVEPFIQRVADGDSPRALTGKPITSLSLSSGTTQGKPKFLPFNDELLETTLQIFRTSYAFRNREYPISSGKALQFVYGSKQVLTKGGILATTATTNLYRSQRYKEGMKGIRSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVYSVSSTFAHSLVHAFQTMEEVWEDLCADIRDGVLSKKVTAPSIREAMSKILKPNPELADSIHKKCVGLSNWYGMIPALWPKAKYVYGIMTGSMEPYLKKLRHYAGPLPLISADYGASEGWVGSNIDPTLPPEQVTYAVLPQTGYFEFIPLEKPTGEEMENSAAIHYIESEPVGLTDVEVGKIYEVVITNFAGLYRYRLGDIVKIAGFHNASPELQFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLEGEKLEIVDFTSIVERSSDPSRYVIFWELSSDASDEVLSSCANALDLAFVDAGYMGSRKIKTIGPLELRILKKGTFKEILVHFLSLGGAVSQFKTPRFVNPSNSRVLQILNRNVVQSYFSTAYGL* >Brasy8G013000.2.p pacid=40083093 transcript=Brasy8G013000.2 locus=Brasy8G013000 ID=Brasy8G013000.2.v1.1 annot-version=v1.1 MTICSCEETINEFEMLTRDAGRVQQDTLKKILEANADADYLRHFGLDGRTDIESYKSCIPLCVHSEVEPFIQRVADGDSPRALTGKPITSLSLSSGTTQGKPKFLPFNDELLETTLQIFRTSYAFRNREYPISSGKALQFVYGSKQVLTKGGILATTATTNLYRSQRYKEGMKGIRSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVYSVSSTFAHSLVHAFQTMEEVWEDLCADIRDGVLSKKVTAPSIREAMSKILKPNPELADSIHKKCVGLSNWYGMIPALWPKAKYVYGIMTGSMEPYLKKLRHYAGPLPLISADYGASEGWVGSNIDPTLPPEQVTYAVLPQTGYFEFIPLEKPTGEEMENSAAIHYIESEPVGLTDVEVGKIYEVVITNFAGLYRYRLGDIVKIAGFHNASPELQFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLEGEKLEIVDFTSIVERSSDPSRYVIFWELSSDASDEVLSSCANALDLAFVDAGYMGSRKIKTIGPLELRILKKGTFKEILVHFLSLGGAVSQFKTPRFVNPSNSRVLQILNRNVVQSYFSTAYGL* >Brasy8G277400.1.p pacid=40083094 transcript=Brasy8G277400.1 locus=Brasy8G277400 ID=Brasy8G277400.1.v1.1 annot-version=v1.1 MPDTSPLPLSRLLLHIGRRRLSSSSTSTTSPPSGFWSPIAAFAAATERARAGTLSTEDAHHLFDELFRQTTPVPERALDGFLSALTRAPSSPACRDGPSLAVALFNRMCGEAGPRVALPTVCTYTILMDCCCRARRPTVGFALFGRLLKTGLKTDVIVASILLKCLCHAKRSHDAVNVLLQRMPNLGVDPDTISYNTVLKTLCEESRSQKALDLLHTMVKKSGGCSPDVVSYSTVIHGFFREGEVSKACNLFHEMMPQGVTPNVVTYTSVIDALCKARAMDKAKFVLRQMISNGFQPNKVTYSCMIHGYSILGQWKETAGMFREMTIRGLMPDIVTCNSYMSSLCKHGKSKEAAEFFDSMAAKGHKPNVVTYSVLLHGYATEGCFVDMLNLFNSMAGNGIVADQRAYSILIDAYGKRGMMDEAMLIFTQMQERGVIPDACTYRTLISAFSRMGRLADAMDKFNQMIAMGLKPNGIVYHSLIQGFCMHGNLVKAKELVSEMKSRGIPCPNIVFFNSIINSLCKEGRVIDAQDIFDLVIHIGERPNVITFNSLIDGYGLVGKMEKAFGVLEAMASAGVEPDVVTYNTLIDGYCRNGRIDDGLILFREMSSKGVKPATITYNIILRGLFHAGGTVDAKKMCHEMIESGTTMDIFTCRIILGGLCRNNCHDEAIALFKELGAMNVKFDIAIINTMIYAMYKVRRREEANELFAAISATGLVPNASTYGVMIRNLLEEGSVEEADNMFSLMEKSGCAPSSRLLNNIIRMLLEKGEIRKAGYYLSKVDGKRISLEASTVSLLIALFSREGKYWEDVKLLPAKYQFFGGDTLDVNLNPRKYS* >Brasy8G123400.1.p pacid=40083095 transcript=Brasy8G123400.1 locus=Brasy8G123400 ID=Brasy8G123400.1.v1.1 annot-version=v1.1 MTIYIAREASKVWRKVTSEITAELPLLREKWQLLLAGLVFQYVHGLAARGVHYLHRPGPLLQDLGFMALPELGQDRSYLSECTFVFMFFSFFMWTFHPFIYHSKRFYTILLWRRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSKMATLPPPNNVLEVLLINFPRGVNFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWLMAIIQSLLIIAARKHYTVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGLPLLPLSTKEKDSRLKDEKDSRLKDEFHKLLNGTHGDPTDRRQRVQMNGRHDEDTNHTGHNTLSDVSANGV* >Brasy8G123400.2.p pacid=40083096 transcript=Brasy8G123400.2 locus=Brasy8G123400 ID=Brasy8G123400.2.v1.1 annot-version=v1.1 MTIYIAREASKVWRKVTSEITAELPLLREKWQLLLAGLVFQYVHGLAARGVHYLHRPGPLLQDLGFMALPELGQDRSYLSECTFVFMFFSFFMASQVLRIITFYSTQLPGPNYHCREGSKMATLPPPNNVLEVLLINFPRGVNFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWLMAIIQSLLIIAARKHYTVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGLPLLPLSTKEKDSRLKDEKDSRLKDEFHKLLNGTHGDPTDRRQRVQMNGRHDEDTNHTGHNTLSDVSANGV* >Brasy8G207600.1.p pacid=40083097 transcript=Brasy8G207600.1 locus=Brasy8G207600 ID=Brasy8G207600.1.v1.1 annot-version=v1.1 MEIFVIVSLVILLALGAFILIPKSQKKDKAKETDSDANGRTSRSYTKDEASKHKTGKDCWIIIKDKVYDVTSYVEEHPGGDAILNNAGDDSTEGFFGPQHGTSL* >Brasy8G078400.1.p pacid=40083098 transcript=Brasy8G078400.1 locus=Brasy8G078400 ID=Brasy8G078400.1.v1.1 annot-version=v1.1 MSSELSPRSSPSLFAPTPSGNIARGDDDGHHHEASTTPLRGQQQPAAETSSVKLRRRWQLGRRVLGEHHAHYTWAVDPRARWVREWERAYLLACAAGLVVDPLFLYAVSVSGPLMCVFLDAWLAAAVTALRCAVDAMHAWNFLTQLRLARAPPKRGVADEEQQAVAADLPDGAGAARSNNNRMPPPYARRSRRGMALDFFVVLPVMQVVVWVAAPAMIRAGSTTAVMTVLLVAFLLEYLPKIYHSVSFLRRMQNQSGHIFGTIWWGIVLNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCFLLQSGCSSSGAAVACANPLYYGAAKSVVGAGGDRLAWANDGRARNTCLGGSGENYQYGAYKWTVMLVANTSRLEKMLLPIFWGLMTLSTFGNLESTTEWLEIVFNIVTITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPQGYRHRVRQFERQRWAATRGVDECQIVRDLPEALRRDIKYHLCLDLVRQVPFFQHMDDLVLENMCDRVKSLIFPKGETIVREGDPVQRMLFIVRGHLECSQALRNGATSSCTLGPGNFSGDELLSWCLRRPFMERLPASSSTLVTMESTEVFGLDAADVKYVTRHFRYTFTNEKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSMGEEKLRLYTAILASPKPNQDDDF* >Brasy8G077800.1.p pacid=40083099 transcript=Brasy8G077800.1 locus=Brasy8G077800 ID=Brasy8G077800.1.v1.1 annot-version=v1.1 MNRNDPAAPVAEEEEEEEEEAPVHADEEILSGEEEAESDEEESESDDDEDSDLDDDDDYDDESDDDGEVDVPLKVEYFNIHAGMAFDELRPLMRRFSVLSGLFNDPLPRFANSGSTAGVLRVYAEEDQEEGERRQKYVLLLYRYTGFAVSRGGGGEVEVRRSTKLHQLRFAVPVGGDAAEALRWAGPALGPLIYPANHAGPLQQLWSIWASDLRVPPRAARVHLLADVAILRRRHYTLPRMEQVCARLGWMSEEPWRGYHVAMELQLPEPVVPRRPCEDQGKGEEKEECPVCLEELESGLAKWPGCLQPHVFHGECLELSLKESDKCPVCRRRLLALIDG* >Brasy8G176600.1.p pacid=40083100 transcript=Brasy8G176600.1 locus=Brasy8G176600 ID=Brasy8G176600.1.v1.1 annot-version=v1.1 MASPPPPLMQVMDDLIKGQEFATRLQGLLRDNPKVGLLMDQILQSFSRAIDAAKAGGEWSSDVQSEVTYGGGKRKSGAGGDSRGACRRRTQQSSVVTKTTSNLDDGQAWRKYGQKYIQNSKHPRAYFRCTHKYDQQCAAQRQVQRCEDDDDKDTFRVTYIGVHTCRGPAAAAAVHVTRTAGCHLISFGPTPTTTTSTTTIAQVQSLKRESGGDQEEVLSSSTPAATPPAPAWPDLQSDVTSARRCCYGGVDFLEDYTQGLEYILPFDLDG* >Brasy8G138300.1.p pacid=40083101 transcript=Brasy8G138300.1 locus=Brasy8G138300 ID=Brasy8G138300.1.v1.1 annot-version=v1.1 MTTMKQPHCCSPALKQPPRHGRRTPARCAAMAAPWTSGERGKTSVAAVDVDDEDAARFSAQQQAPGRRRRTPVGSNGYAMDAERERERERERERERERERERGG* >Brasy8G136600.1.p pacid=40083102 transcript=Brasy8G136600.1 locus=Brasy8G136600 ID=Brasy8G136600.1.v1.1 annot-version=v1.1 MGCPAASPEPRRATSRIPPTEPGSRRSPPSSEPGSESPHRQRPGHEKPSGRIETVERVREEAGGAGIITDKRSLQVLSARRAASPGCQCGKVACSSAHPLLSRHLAGASPSPLPMGVVAPSRRRMLPQPPPRSPPSGAAPLLPRAPLSGAAPPWPCPCRRPEPRRQALPPPGRAPLGPPPRARCALRRCSPAAGCSQVPAASPPPAAAPPRLRAAQAAALSSSAGRCPDRSPEPPVGRCPAAATSPGGGRCSTAPARYPSQP* >Brasy8G260100.1.p pacid=40083103 transcript=Brasy8G260100.1 locus=Brasy8G260100 ID=Brasy8G260100.1.v1.1 annot-version=v1.1 MADEAALPVAAAGREKEREERRRWLARCALAVVGIMSTLLVYGVLQEKLMRVPYGADKEFFKYSLFLVFCNRITTSMVSALVLLSSKKSTDPVAPIQKYCVVSLSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIMMKKRYGGKDYFFAVIVTMGCSLFILFPASMDVSPLNKGRESTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNHLIPAVDFMVRHPDCFYDVIILSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYGKSFSRSKPQKAAPSSQGSIPNSANSS* >Brasy8G107600.1.p pacid=40083104 transcript=Brasy8G107600.1 locus=Brasy8G107600 ID=Brasy8G107600.1.v1.1 annot-version=v1.1 MQLLVSSKRRLQICVGGATYLSCAGFGWHLYLEAWSSCCSSAVHAGASSFVWLVILSSFFS* >Brasy8G020400.1.p pacid=40083105 transcript=Brasy8G020400.1 locus=Brasy8G020400 ID=Brasy8G020400.1.v1.1 annot-version=v1.1 MHGINPPIPFQARIIGSRRIVQLPLQHKQLPGQHFSGWGGAFVNGQTFPCTLYMTVSWSLFVFQP* >Brasy8G200500.1.p pacid=40083106 transcript=Brasy8G200500.1 locus=Brasy8G200500 ID=Brasy8G200500.1.v1.1 annot-version=v1.1 MEMERRSVAYDAEGGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQMGWAVGPLALLGFSCVTYYTSTLLANCYRYPDPVSGTVNREYIDAVRCYLGRKNVLLCGCAQYVNLWGTLVGYTITASTSMIAVRRVNCFHERGYGASGCSTSGSTFMVIFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWLSSPNHGAIHGTLSGAGSFSDNPTTKTFNILLALGNMAFSYTFADVLIEIQDTLKSPPAENKTMKRASFYGLSMTTVFYLFLGCTGYAAFGDAAPGNILTGFAFYEPFWLVDVANVCVIVHLIGAYQVFAQPIFARVESYVSGRYPDAKFINAVYYVRFLPVLPAVPVAPMKLVLRTVIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVAMHVARLKIGRGEGRWWWLQAMSFVCLLISIAASVGSVQDIVHNLKTATPFKTVD* >Brasy8G173700.1.p pacid=40083107 transcript=Brasy8G173700.1 locus=Brasy8G173700 ID=Brasy8G173700.1.v1.1 annot-version=v1.1 MSCFRIATLPAKPPVPPRDLPHRVYQPRVQLLFLIHLPQVISGCFGPSRFSLLCFLTCESHQPSPTLTV* >Brasy8G134000.1.p pacid=40083108 transcript=Brasy8G134000.1 locus=Brasy8G134000 ID=Brasy8G134000.1.v1.1 annot-version=v1.1 MDKTSRGLGFGDSINSLNTSHHQSTVQHSAHLKDTTLESTAYSSFSIANGKAPKRKWSTMAGAEGSGNPLLTLGIGRSPSSSDNSKVSSATAYAMSPSSLKEADEESSVDLSLNFELCLGNDVVHFQKKSSSGLLASSPKLDLQLSLSTGSPESALTYTNMVSPNVHDGLDIPVTNSSPAVIGKGPVLSNWGFEHSVVSSSYASEATCAFPYSKLSRKDEGTLSSPVISPTMLTSLKSPVACTSVVTNTQQRNINTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCLYEGGGVCPKSVHGGTQYCVAHGGGKRCAISNCTKSARGRTEYCVRHGGGKRCRFDGCAKSAQGSTDFCKAHGGGKRCSWGLPDSSFGFGTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVVHQFATDAKPDEMEVASWKIDPASTQPESCSVDPMPEGRVHGGRMLARLSRDGIHASVGNLESGTSVMMAWM* >Brasy8G134000.2.p pacid=40083109 transcript=Brasy8G134000.2 locus=Brasy8G134000 ID=Brasy8G134000.2.v1.1 annot-version=v1.1 MDKTSRGLGFGDSINSLNTSHHQSTVQHSAHLKDTTLESTAYSSFSIANGKAPKRKWSTMAGAEGSGNPLLTLGIGRSPSSSDNSKVSSATAYAMSPSSLKEADEESSVDLSLNFELCLGNDVVHFQKKSSSGLLASSPKLDLQLSLSTGSPESALTYTNMVSPNVHDGLDIPVTNSSPAVIGKGPVLSNWGFEHSVVSSSYASEATCAFPYSKLSRKDEGTLSSPVISPTMLTSLKSPVACTSVVTNTQQRNINTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCLYEGGGVCPKSVHGGTQYCVAHGGGKRCAISNCTKSARGRTEYCVRHGGGKRCRFDGCAKSAQGSTDFCKAHGGGKRCSWGLPDSSFGFGTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVVHQFATDAKPDEMEVASWKIDPASTQPESCSVDPMPEGRVHGGRMLARLSRDGIHASVGNLESGTSVMMAWM* >Brasy8G134000.3.p pacid=40083110 transcript=Brasy8G134000.3 locus=Brasy8G134000 ID=Brasy8G134000.3.v1.1 annot-version=v1.1 MDKTSRGLGFGDSINSLNTSHHQSTVQHSAHLKDTTLESTAYSSFSIANGKAPKRKWSTMAGAEGSGNPLLTLGIGRSPSSSDNSKVSSATAYAMSPSSLKEADEESSVDLSLNFELCLGNDVVHFQKKSSSGLLASSPKLDLQLSLSTGSPESALTYTNMVSPNVHDGLDIPVTNSSPAVIGKGPVLSNWGFEHSVVSSSYASEATCAFPYSKLSRKDEGTLSSPVISPTMLTSLKSPVACTSVVTNTQQRNINTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCLYEGGGVCPKSVHGGTQYCVAHGGGKRCAISNCTKSARGRTEYCVRHGGGKRCRFDGCAKSAQGSTDFCKAHGGGKRCSWGLPDSSFGFGTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVVHQFATDAKPDEMEVASWKIDPASTQPESCSVDPMPEGRVHGGRMLARLSRDGIHASVGNLESGTSVMMAWM* >Brasy8G134000.5.p pacid=40083111 transcript=Brasy8G134000.5 locus=Brasy8G134000 ID=Brasy8G134000.5.v1.1 annot-version=v1.1 MDKTSRGLGFGDSINSLNTSHHQSTVQHSAHLKDTTLESTAYSSFSIANGKAPKRKWSTMAGAEGSGNPLLTLGIGRSPSSSDNSKVSSATAYAMSPSSLKEADEESSVDLSLNFELCLGNDVVHFQKKSSSGLLASSPKLDLQLSLSTGSPESALTYTNMVSPNVHDGLDIPVTNSSPAVIGKGPVLSNWGFEHSVVSSSYASEATCAFPYSKLSRKDEGTLSSPVISPTMLTSLKSPVACTSVVTNTQQRNINTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCLYEGGGVCPKSVHGGTQYCVAHGGGKRCAISNCTKSARGRTEYCVRHGGGKRCRFDGCAKSAQGSTDFCKAHGGGKRCSWGLPDSSFGFGTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVVHQFATDAKPDEMEVASWKIDPASTQPESCSVDPMPEGRVHGGRMLARLSRDGIHASVGNLESGTSVMMAWM* >Brasy8G134000.4.p pacid=40083112 transcript=Brasy8G134000.4 locus=Brasy8G134000 ID=Brasy8G134000.4.v1.1 annot-version=v1.1 MDKTSRGLGFGDSINSLNTSHHQSTVQHSAHLKDTTLESTAYSSFSIANGKAPKRKWSTMAGAEGSGNPLLTLGIGRSPSSSDNSKVSSATAYAMSPSSLKEADEESSVDLSLNFELCLGNDVVHFQKKSSSGLLASSPKLDLQLSLSTGSPESALTYTNMVSPNVHDGLDIPVTNSSPAVIGKGPVLSNWGFEHSVVSSSYASEATCAFPYSKLSRKDEGTLSSPVISPTMLTSLKSPVACTSVVTNTQQRNINTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPFCKGHGGGKRCLYEGGGVCPKSVHGGTQYCVAHGGGKRCAISNCTKSARGRTEYCVRHGGGKRCRFDGCAKSAQGSTDFCKAHGGGKRCSWGLPDSSFGFGTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVVHQFATDAKPDEMEVASWKIDPASTQPESCSVDPMPEGRVHGGRMLARLSRDGIHASVGNLESGTSVMMAWM* >Brasy8G027900.1.p pacid=40083113 transcript=Brasy8G027900.1 locus=Brasy8G027900 ID=Brasy8G027900.1.v1.1 annot-version=v1.1 MARAARNSPNLLLPFAAAAAASHPAALLCPATQRPPTPPPPHGPPLSRLCPARRSPAVAAISSYDPRTPSEARDEAAAASSTTRPSSALPLPATPARQLSPAISTCDPRPPSESPGSSIPCHGESGLLEHRAVLGFLHLILGLVTSETSEGMLQLPRSAC* >Brasy8G119000.1.p pacid=40083114 transcript=Brasy8G119000.1 locus=Brasy8G119000 ID=Brasy8G119000.1.v1.1 annot-version=v1.1 MSREAGDHRLPYFLARKNPFGQVPVLQEADDHLTLFESRAIARHVLRKHKPELLTGDGSPGSAAMVDVWLEVEAQQHHPPAAAIVTQCILSPLLTGRAVVDRAAVDEAVAKLGMVFDVYEVRLSASRYLAGDCVSLADLSHFPLMRYFMETEHAALVEERPCVRAWWEELRARPTARRVAELMPPEFGLGKKADQ* >Brasy8G147400.1.p pacid=40083115 transcript=Brasy8G147400.1 locus=Brasy8G147400 ID=Brasy8G147400.1.v1.1 annot-version=v1.1 MRLANVEALKRRLGDGEVIQYAELLRACEDTGAARTRAEATALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTAEDDPRKEELKQLQTKLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFQSRQRKLIQRQNFNLERYLELQRCCKDPLEKMWGTSHFSSADIAHLHELSVQK* >Brasy8G147400.2.p pacid=40083116 transcript=Brasy8G147400.2 locus=Brasy8G147400 ID=Brasy8G147400.2.v1.1 annot-version=v1.1 MLQRNYCSPLVFCVCDSVRFGSVIRRTYLILSWCSTHAAVVLPDVFENCKACPIRCTQGKIVDLVRKAMPLALTAEDDPRKEELKQLQTKLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFQSRQRKLIQRQNFNLERYLELQRCCKDPLEKMWGTSHFSSADIAHLHELSVQK* >Brasy8G148300.1.p pacid=40083117 transcript=Brasy8G148300.1 locus=Brasy8G148300 ID=Brasy8G148300.1.v1.1 annot-version=v1.1 MAAVRFPLRAIVSHPRPPTRRLLLPLHAHLLTSGRLASSPATLTSLVSLYARVPALHAHLPLLLSPTSPLPCFNAGLSLPHPLALSVFRRLRLVHSPDPFSFPPLVSSAPSPHHLVAIHALSLRCGLAHDLFTASALLRACLRFGLADHAHRLFDELPYRDVVVWNAMLNGFAKLGCFDRAIQCFLQMRREGGVLEISSFTITGVLSACTATADLQRGAAVHGMVVKSGFDHEASVCNALMDLYGKSHKVANAATLFEGMAENDKDLFSWNSMLSALQYSADHVGTMRLFARMRRGALWPDAVTVAAVLPACAQTAALKVGREVHGYIVTSGLACDGALDVFACNALVDMYAKSGALDEACRVFDWMSQQDVASWNIMIDGYASHGRGQEALKLFRQMTEVEGLVPDEVTLLGAMSACSHSGLVEEGKCFLKRMKEEFGLYPQLEHYACVTDMLGRAGRLDEARKVVQEAGDVGAGAWRTYLAACRMHGDKERAQEAARMLMTTQESGSGGWVLLANTFGWEGNFKELEEVRGEMRRQGVQKAAPGCSWIEVGGGNSGSATLMHAFVSGDKAHPEADMIYEMLHALISWMRDCGDLSIINPLYAIECS* >Brasy8G082800.1.p pacid=40083118 transcript=Brasy8G082800.1 locus=Brasy8G082800 ID=Brasy8G082800.1.v1.1 annot-version=v1.1 MGRKRAVLVGINYPGTEGELKGCLNDVARMRRCLVDRFGFDEADIRVLADADPSTPPPTGANIRLELERLVAGARPGDSLFFHYSGHGLQLPAETGLDDDTGYDECIVPSDVNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKKTQQQHRPPSSSSGNLCASLVDAVRAALEYIGIRLPRRATTTATSRSLPLPTFLRMLREQTGKDDVGVGSIRATLLHHFGDDATPKIKKFVQAMAGGMLRHHAAADQAAAAATDTELIPNAKPEGDALGPAVKQELQQSVDEVFAGAVGVPRRPRNGVLISGCQTDETSADLTTAQGASYGALSNAIQAVLAKEKRRKVTNRELVLRARELLSKQGYVQQPGLYCSDEHANVPFIC* >Brasy8G020100.1.p pacid=40083119 transcript=Brasy8G020100.1 locus=Brasy8G020100 ID=Brasy8G020100.1.v1.1 annot-version=v1.1 MGILWSSFRSEPPPPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLPLRNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSAAADKNSGTEVKGDALFRWQKDLDNPHTFVDLLVSTSEPMSQLRSCAYYPEYRIGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGASFVPFPASAEVPYGAWLVGRKGSLSAGAQYKPLSGNKHHMPHMDSKNWNYAISYGVGSTSPLSPSFIFSLELARSTQLIASFYQHMVVQRRVKNPFEDGQVVGITNYIDLGLELATTTDKSKPADSANSSMFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGIRVEDLRKASYQRADPNYVMLTPNKEHLAPGVLHEYGKRPMFQADVDSGNYDHLPTELKPIDKIF* >Brasy8G020100.3.p pacid=40083120 transcript=Brasy8G020100.3 locus=Brasy8G020100 ID=Brasy8G020100.3.v1.1 annot-version=v1.1 MGILWSSFRSEPPPPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLPLRNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSAAADKNSGTEVKGDALFRWQKDLDNPHTFVDLLVSTSEPMSQLRSCAYYPEYRIGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGASFVPFPASAEVPYGAWLVGRKGSLSAGAQYKPLSGNKHHMPHMDSKNWNYAISYGVGSTSPLSPSFIFSLELARSTQLIASFYQHMVVQRRVKNPFEDGQVVGITNYIDLGLELATTTDKSKPADSANSSMFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGIRVEDLRKASYQRADPNYVMLTPNKEHLAPGVLHEYGKRPMFQADVDSGNYDHLPTELKPIDKIF* >Brasy8G020100.2.p pacid=40083121 transcript=Brasy8G020100.2 locus=Brasy8G020100 ID=Brasy8G020100.2.v1.1 annot-version=v1.1 MGILWSSFRSEPPPPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLPLRNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSAAADKNSGTEVKGDALFRWQKDLDNPHTFVDLLVSTSEPMSQLRSCAYYPEYRIGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGASFVPFPASAEVPYGAWLVGRKGSLSAGAQYKPLSGNKHHMPHMDSKNWNYAISYGVGSTSPLSPSFIFSLELARSTQLIASFYQHMVVQRRVKNPFEDGQVVGITNYIDLGLELATTTDKSKPADSANSSMFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGIRVEDLRKASYQRADPNYVMLTPNKEHLAPGVLHEYGKRPMFQADVDSGNYDHLPTELKPIDKIF* >Brasy8G236000.1.p pacid=40083122 transcript=Brasy8G236000.1 locus=Brasy8G236000 ID=Brasy8G236000.1.v1.1 annot-version=v1.1 MATGRPCGGGQGRRWAPGLLFIHSIDPSPAGLNGTGLCVLLHMVARPMHHGCALQVHMDGTESGWCDRSSMRQQCKCRSQGPHGALSSHARVPAWEKSRGFKFGLN* >Brasy8G281600.1.p pacid=40083123 transcript=Brasy8G281600.1 locus=Brasy8G281600 ID=Brasy8G281600.1.v1.1 annot-version=v1.1 MAETAQATPLDIDEVPFSDLLLLLSPEAATGDDEGGRRRRLLTTVGAALGRGGTGLLAVAGVPRAAALRRRLLPLARRLALMDHPSRAHLLKKHGLGSDVPLKKLDRSVSSFARLLRNGDSGKLHLPLPESEEVPPPGVDGFGEHKGDDDDDDDIENLGELFKELGLCMMELGILVARACDIVTGGDQLEQSIADFGSAKARLIHYHSELDNIVIKENSKNRRNMVCDAAGGAATPVSDSMDQRPGSEDGSYIRSEDGTSVSEKGKDCKDMVTQGQSSAVSLVNLWQEWHYDYGVLTVLTAPLFLCSVLGQECSVSKECPPPDGHTYLQLLNKGRLFSVRCSQESFIVQVGEAADILSGGKLRSTLHAVSRPLGLPNISRETFVVFLQPSWDKILPCSGYSSANEDNSSDHEESTFRGDESDGSCSARLLMKGILKKIPPLSSRLKEGMTFAEFSRQTTKQYYGGGGIQQNN* >Brasy8G273200.1.p pacid=40083124 transcript=Brasy8G273200.1 locus=Brasy8G273200 ID=Brasy8G273200.1.v1.1 annot-version=v1.1 MEGILVSAVSGALVAVLDKLAALLGDEYKLQKGLHGEIRFLTNELAAIHAFLLKMSEEEDPDVQDKAWMAAVRELSYDMEDSIDDFMQRVHGKDTKPDGFMDKIKNFLEKMMARREISKEIQDLKKQIIEVGERRPRYETREASSNTKNATADPRALVIYEQASKLVGIDEPKAEIIKLLTAEDGSASTQQHSRKRKTRQQRQQQSKLVSIVGSGGMGKTTLANQVYQELKGQFKCQAFLSVSRNPDMMNILRTILSEVTCQGYANTDAGSIQQLIGKISGFLAGKRYFIVIDDIWDKNIWDVIKCAFPVNSCGSRIITTTRINCVAESCCSSFNGEIYSIRPLNVVHSRQLFNRRLFDSREDCPSDLEEVSAQILEKCGGLPLAIIAISGLLANTERTKHLWNQVKDSIGRALERNSTVEAMMKILSLSYFDLPPYLKTCLLYLSIFPEDSIIEKKKLIRRWIAEGFIQQGRYTVHEIGERCFNELLNRSLIQPAKTYDGSVKEACRVHDTILDFIISKSIEENFVTLVGVPNLTVGTQGKVRRLSIQGKQGNSFIPTGLALFHVRSLSVFGNFLEIPSLDEFRHLRVLNFEGWFQLERHHLVNIGRLFQLRYLNLKRTGISELPEQIGHVRCLEMLDIRDTEVRQLPAAIVNLGKLSRLLTGLNVKFPDGIAKMQALEVLKWVNLPVPPFDIMQDLGQLKNLRKLNLKFASDDGNADRAIVEECHKDVASCLRDLGTQSLCSLTIWRGYSFLRQEGPLCPVPLTLQKLKIVDSEGPRLPQVPKWMGSLVNLQQLYLVVWEVRQEDLCILGALPALLILKLEADYKSNSLKVSGEVGFQCLRHFCYRLWVDLVPGLVFAVGSMPKLEGLELSFRVAEELSPAFDIGIENLPCLITVKCEVRGSDGSFEATKAAIERAASTHPNHPSTEIWRF* >Brasy8G273200.2.p pacid=40083125 transcript=Brasy8G273200.2 locus=Brasy8G273200 ID=Brasy8G273200.2.v1.1 annot-version=v1.1 MEGILVSAVSGALVAVLDKLAALLGDEYKLQKGLHGEIRFLTNELAAIHAFLLKMSEEEDPDVQDKAWMAAVRELSYDMEDSIDDFMQRVHGKDTKPDGFMDKIKNFLEKMMARREISKEIQDLKKQIIEVGERRPRYETREASSNTKNATADPRALVIYEQASKLVGIDEPKAEIIKLLTAEDGSASTQQHSRKRKTRQQRQQQSKLVSIVGSGGMGKTTLANQVYQELKGQFKCQAFLSVSRNPDMMNILRTILSEVTCQGYANTDAGSIQQLIGKISGFLAGKRYFIVIDDIWDKNIWDVIKCAFPVNSCGSRIITTTRINCVAESCCSSFNGEIYSIRPLNVVHSRQLFNRRLFDSREDCPSDLEEVSAQILEKCGGLPLAIIAISGLLANTERTKHLWNQVKDSIGRALERNSTVEAMMKILSLSYFDLPPYLKTCLLYLSIFPEDSIIEKKKLIRRWIAEGFIQQGRYTVHEIGERCFNELLNRSLIQPAKTYDGSVKEACRVHDTILDFIISKSIEENFVTLVGVPNLTVGTQGKVRRLSIQGKQGNSFIPTGLALFHVRSLSVFGNFLEIPSLDEFRHLRVLNFEGWFQLERHHLVNIGRLFQLRYLNLKRTGISELPEQIGHVRCLEMLDIRDTEVRQLPAAIVNLGKLSRLLTGLNVKFPDGIAKMQALEVLKWVNLPVPPFDIMQDLGQLKNLRKLNLKFASDDGNADRAIVEECHKDVASCLRDLGTQSLCSLTIWRGYSFLRQEGPLCPVPLTLQKLKIVDSEGPRLPQVPKWMGSLVNLQQLYLVVWEVRQEDLCILGALPALLILKLEADYKSNSLKVSGEVGFQCLRHFCYRLWVDLVPGLVFAVGSMPKLEGLELSFRVAEELSPAFDIGIENLPCLITVKCEVRGSDGSFEATKAAIERAASTHPNHPSTEIWRF* >Brasy8G273200.3.p pacid=40083126 transcript=Brasy8G273200.3 locus=Brasy8G273200 ID=Brasy8G273200.3.v1.1 annot-version=v1.1 MGKTTLANQVYQELKGQFKCQAFLSVSRNPDMMNILRTILSEVTCQGYANTDAGSIQQLIGKISGFLAGKRYFIVIDDIWDKNIWDVIKCAFPVNSCGSRIITTTRINCVAESCCSSFNGEIYSIRPLNVVHSRQLFNRRLFDSREDCPSDLEEVSAQILEKCGGLPLAIIAISGLLANTERTKHLWNQVKDSIGRALERNSTVEAMMKILSLSYFDLPPYLKTCLLYLSIFPEDSIIEKKKLIRRWIAEGFIQQGRYTVHEIGERCFNELLNRSLIQPAKTYDGSVKEACRVHDTILDFIISKSIEENFVTLVGVPNLTVGTQGKVRRLSIQGKQGNSFIPTGLALFHVRSLSVFGNFLEIPSLDEFRHLRVLNFEGWFQLERHHLVNIGRLFQLRYLNLKRTGISELPEQIGHVRCLEMLDIRDTEVRQLPAAIVNLGKLSRLLTGLNVKFPDGIAKMQALEVLKWVNLPVPPFDIMQDLGQLKNLRKLNLKFASDDGNADRAIVEECHKDVASCLRDLGTQSLCSLTIWRGYSFLRQEGPLCPVPLTLQKLKIVDSEGPRLPQVPKWMGSLVNLQQLYLVVWEVRQEDLCILGALPALLILKLEADYKSNSLKVSGEVGFQCLRHFCYRLWVDLVPGLVFAVGSMPKLEGLELSFRVAEELSPAFDIGIENLPCLITVKCEVRGSDGSFEATKAAIERAASTHPNHPSTEIWRF* >Brasy8G109900.1.p pacid=40083127 transcript=Brasy8G109900.1 locus=Brasy8G109900 ID=Brasy8G109900.1.v1.1 annot-version=v1.1 MASPTSVHWLSLVGSVWLQTINGPNADFPVYSSELKDVKGISQVQLNFLAFASDAGKLLGWFAGVAALHIPLWAVALVGAAFGLAGYGAQFLFLERSGLAYWHLCALTSLAGNGICWTNTVCYLLCMKNFPSGSRSVAVSLATSYLGLSAKFYTTMAETLPRGVASRYSKAKVYLLLNAVVPMLVTLVAVPSLRVTKLVGGEKRSDVGFWAMFAVTLATGACAVVGSIGAKSIGLSSREHMVSLYVLLAVPILIPVVLRVRESLSKIREAKWENRVHDLGSDNQSETAVEMEMEVANKEEEEERVSGHEEQQQEEVGGLRLLRMFDFWLYFLSYMFSGTLGLVFLNNLGQIAESRRLSDPSTLVSLSSSFGFFGRLLPAFLDYYTSKSGYSISRTASMASLMAPMAGAFFLLLDPRDTFLYTSTAVVGTCTGAITSVAVSATSELFGTKNFGVNHNVLVANIPVGSLCFGYLAGFLYQKEARGSSRCIGARCYQDTFLLWGLTCAVGTALCVALYVRSRGAAAKVRLPAAATTPGAGGRFAGLGCDDKKGPEVSGAAGV* >Brasy8G143000.1.p pacid=40083128 transcript=Brasy8G143000.1 locus=Brasy8G143000 ID=Brasy8G143000.1.v1.1 annot-version=v1.1 MEAPLGKRKEREYSSTSEQQQQALLPGKTELRKPFPLSRFAAKPPMPPPPSPQGGSSKLLAGYLAHEYFRFGTLLGERPAAPSRKEPAMALPAPTPTPAPEPAMRYAEASRLLMAGGPRIPGIVNPTQLGRWLRIKE* >Brasy8G169100.1.p pacid=40083129 transcript=Brasy8G169100.1 locus=Brasy8G169100 ID=Brasy8G169100.1.v1.1 annot-version=v1.1 MRAIRPFLSVYSSSFRYGQIRSTAMVDDLSPSPSASAVHVAAGQWAPPHWQLRTWCLVALNVLFVLLIYFFLWPSAPWRFFSRKGEAPESTDASSSSAASSPAVSPRPKAQGPEPAGAGARPSSSQHCPHSSTAPITVTAPRIRSTVECAICIAEFSEGEEGRLLPRCGHRFHARCVDMWFRFHTTCPLCRRSVLETTDSQSSAIGFGYDPHGMPSLMAGRPAIDITMGQGHFQVTLRFAVSRFGRSLFTKKGRKDWQETNAVC* >Brasy8G177600.1.p pacid=40083130 transcript=Brasy8G177600.1 locus=Brasy8G177600 ID=Brasy8G177600.1.v1.1 annot-version=v1.1 MLQKSASQKTLRDVMLDERTTTTMASRLLSPDASSGLSSDPSFRNYYGVASAGSVPFLWESAPGTPKKDAISSAALPPLTPPPSYYSKNTCRSKSSKKLLSSSSSFKPASFVHSMFRRSQTMPSPSRPKDQSQSVDVDQQCSSRKKRLVPSPSPRSSFSSTSRGEDEEGDGAQDGRAASPTSTLCFRARHSGQPGSGGGPARRLLASVLGGHGNAAS* >Brasy8G102200.1.p pacid=40083131 transcript=Brasy8G102200.1 locus=Brasy8G102200 ID=Brasy8G102200.1.v1.1 annot-version=v1.1 MHCPFRRRISTNAAASAFFSGDTPTGKSKSMQRGFRARRQPWRWQVRQVGLVRQPWKPPESEEARRACVRSERARKRVARFKANWVGLDRLLPKTLAWQAMSRSGGGGRRGELLFPPPRSGGGRGGEFRLRSRRRIPAAVEEDDKEEVFNEAIAKSEKTAEEEEACREEEFEAIHRSRAKR* >Brasy8G174400.1.p pacid=40083132 transcript=Brasy8G174400.1 locus=Brasy8G174400 ID=Brasy8G174400.1.v1.1 annot-version=v1.1 MDAGDAMGRGERAPLLRQISYRSFKRKPSRGPRVLDETLEVELLEDKKKMGSKAPAVVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASNVTTWFGTSYLTPIFGAIIADTFWGNYNTILVSLAVYLLGMIVVTLTAFMPAPAEVCTPGSSCVTGTATLPFIGLYLVAIGSGGVRSSLLPFGAEQFDDVSGVEDQEGKRSFFSLFYLCVDFGPIVSGVFIVWIQQNVSWGLGFGISTLCIALAYGGFVYATPMYKRSMPTGTPLKSLCQVVVAAFRKVSLTLPFDADHLYDVSGDSMDPHARIAHTTEFRFLDRAAIISDSELEDRLLDAHSSSWTLCTVTQVEELKILLRLLPIWATSIVISAAYSQMNTTFILQGSAMDINILSVPIPAASLSSFEVLCVLAWVLLYSNLIVPALNNFSSDGHWEPSQLQRMGAGRVLMALAMAVAALVEMKRLDSVATGEVIGIAWQLPQYFLLAGAEVFCYIAQLEFFYGEAPDSMKSMCTSLALLTIALGSYMSSLIYAVLAAITVKPGSPGWISDDLNQGHLDYFFWSMAVMCILNFAVYSAFARNYKLKTILS* >Brasy8G170700.1.p pacid=40083133 transcript=Brasy8G170700.1 locus=Brasy8G170700 ID=Brasy8G170700.1.v1.1 annot-version=v1.1 MATPAYSQPASPPVIPGTVAVAACFAMAVSYVAVLYAPTFLLRLPPATSLRAFFHRRFVCAAFSSTASVLATASLLRLWSISNISKAFSVFGIRNDHLLEAVVIPLLLTSLVYAGSFVARLSVMSSWCGRDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGNLVSPIVAHIFCNMMGLPVFSSTRTRGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G170700.3.p pacid=40083134 transcript=Brasy8G170700.3 locus=Brasy8G170700 ID=Brasy8G170700.3.v1.1 annot-version=v1.1 MATPAYSQPASPPVIPGTVAVAACFAMAVSYVAVLYAPTFLLRLPPATSLRAFFHRRFVCAAFSSTASVLATASLLRLWSISNISKAFSVFGIRNDHLLEAVVIPLLLTSLVYAGSFVARLSVMSSWCGRDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G170700.4.p pacid=40083135 transcript=Brasy8G170700.4 locus=Brasy8G170700 ID=Brasy8G170700.4.v1.1 annot-version=v1.1 MSPFSTPPRSSSASRRPPRSAPSSTAASCAPPSPPPPPSSPLRPCSAYGASATFLRPSQCLASVMITWDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGNLVSPIVAHIFCNMMGLPVFSSTRTRGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G170700.2.p pacid=40083136 transcript=Brasy8G170700.2 locus=Brasy8G170700 ID=Brasy8G170700.2.v1.1 annot-version=v1.1 MSPFSTPPRSSSASRRPPRSAPSSTAASCAPPSPPPPPSSPLRPCSADDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGNLVSPIVAHIFCNMMGLPVFSSTRTRGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G170700.5.p pacid=40083137 transcript=Brasy8G170700.5 locus=Brasy8G170700 ID=Brasy8G170700.5.v1.1 annot-version=v1.1 MSPFSTPPRSSSASRRPPRSAPSSTAASCAPPSPPPPPSSPLRPCSAYGASATFLRPSQCLASVMITWDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G170700.7.p pacid=40083138 transcript=Brasy8G170700.7 locus=Brasy8G170700 ID=Brasy8G170700.7.v1.1 annot-version=v1.1 MATPAYSQPASPPVIPGTVAVAACFAMAVSYVAVLYAPTFLLRLPPATSLRAFFHRRFVCAAFSSTASVLATASLLRLWSISNISKAFSVFGIRNDHLLEAVVIPLLLTSLVYAGSFVARLSVMSSWCGRDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVSS* >Brasy8G170700.8.p pacid=40083139 transcript=Brasy8G170700.8 locus=Brasy8G170700 ID=Brasy8G170700.8.v1.1 annot-version=v1.1 MATPAYSQPASPPVIPGTVAVAACFAMAVSYVAVLYAPTFLLRLPPATSLRAFFHRRFVCAAFSSTASVLATASLLRLWSISNISKAFSVFGIRNDHLLEAVVIPLLLTSLVYAGSFVARLSVMSSWCGRDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVSS* >Brasy8G170700.6.p pacid=40083140 transcript=Brasy8G170700.6 locus=Brasy8G170700 ID=Brasy8G170700.6.v1.1 annot-version=v1.1 MSSWCGRDDGEEGISCTEKLARWMQTSLQDVMVWRNYIVAPFTEELVFRACMIPLLLCGGFKMSNIIFLSPVFFSLAHLNHLFELHQQGCNFVRSLLIVGIQLGYTVIFGWYAAFLFIRTGNLVSPIVAHIFCNMMGLPVFSSTRTRGMASLAFLVGSISFFCVLFPATSPRLYNTQLDGCSCWHGYCRWSSIGTEQHVGNITNFVPVADIK* >Brasy8G122700.1.p pacid=40083141 transcript=Brasy8G122700.1 locus=Brasy8G122700 ID=Brasy8G122700.1.v1.1 annot-version=v1.1 MSSAAGGGYGQGGGGEHHQHQHQLLLGQAAAGQLYHVPQHSRREKLRFPPDHPAADSSSPGSSSWPPPPPPFYSYASSSSSYSPHSPSSLAQAQAQLVAAHGGMPTGAAQIPSQNFALSLSSSSSNPPPRRQLGGGATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGSARAAASPDDGLLDMDAMDDVAHEMDGGDREAVTVSGAEQQWRKTRLISLMEEVCKRYKQYYQQLQAVISSFETVAGLSNAAPFASIALRTMSKHFKYLKGMIQSQLRNTSKQAAGAGKDGLGKEDMASFGLMGGGASAGAALMRGSNVNAFGQQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQGHKSSSVDKNHLGMQQTQHSPDSSGRPSDPSNSQQGQSSSMTRNHSAHGSRHIQNELSPMTHDMPGGQVSFAYNGLAAHHNIALSHPQQVLGVGAGGAGNGGGVSLTLGLHQDNRTYIAEPLPAALPLNLAHRFGIEDVSDAYVMGSFGGQDRHFTKEIGGHLLHDFVG* >Brasy8G101300.1.p pacid=40083142 transcript=Brasy8G101300.1 locus=Brasy8G101300 ID=Brasy8G101300.1.v1.1 annot-version=v1.1 MASGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENAERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVEDAFAEVLTQIYRIVSKRAVEAGEDSASGPGKGEKINMKDDVSAVKKGGCCSS* >Brasy8G212700.1.p pacid=40083143 transcript=Brasy8G212700.1 locus=Brasy8G212700 ID=Brasy8G212700.1.v1.1 annot-version=v1.1 MGAGAEMREEAVEVEAASGKEEKTPVSCSICLDAVVAVGGERSTARLQCGHEFHLDCIGSAFNSKGVMQCPNCRKVEKGNWLYASGSRPSQDINMDEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEHLSVSAAPGGAHPCPYVAYLHPLPSLASSSSSHVPDRSMDGSVYHDHWNHLTGPSDGRPLQAAQHADFHHNHWAHLPHSYAQPNSNNGVTEQLGVSFGTMRPARVDGDSQRRGSVVSPSYFSNGSGSRSRAPNVPPLVPQFMRAHGSINEQFQQNSSSSLFAGAHRSGGLRPTPPPQPENPTFCLFPPGSSGHGSMETDDAGGNRFYAWERDRFAPYPLMPVDCETSWWSSQQSHGASESTTAPAPRRLFGQWIGLGRSSPENRSPEGSSYRQMHTPRM* >Brasy8G218300.1.p pacid=40083144 transcript=Brasy8G218300.1 locus=Brasy8G218300 ID=Brasy8G218300.1.v1.1 annot-version=v1.1 MEVDAVSSDVSADHVIAELLEMGFDFDKISEAIGVVGPSRADVVEFVLNGSGSGQRKPSQEFGRHLSVPSNAGSLAADRRTRFANPRGRFKQSSITDHVSSTTCSKRESRGEEASTSYSCLVDSVDPGLTAAICSKSKPESQSLLENPRGGFDRSDKISAVLQKHFGFSSVKGFQKVALDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDNRVEGKAMAGMYKIVYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSSSNLKFLEHDIPLMALTATATFPVREDIVKSLKMSEDTLIVLTSFFRPNLRFNVKHSKTSASSYGKDFQELTEIYNASKNFGGKGQKILHAVEPDSESSSYESLDDSASDDEDAIAGSTNHGDIKRRKVGMSLVNENAEHELDQYQGVDMAIDTSEVSCGEFLEGSRPESFASPAQSNETVSPESLDQGPTIIYVPTRKETVELANYLCKSGLRAAAYNAKMPRSHLRQVHEQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRTPTLLPNKRSDEQTKAAYRMLRDCFQYALNTSTCRAKILVKYFGEEFGPDGCQMCDICINGPPQMHDFKDEAVVFMNVLQAQTGQATEDMNCNSMSRSKSGRRSFGAIPDFRTAVSYIREKFPRFVTTDKIWWQGLARILEGMGYIQEAAEIPRVVLQHPEPTRAGLNFLSSQPEEEGLYAYPDAATLLLMSNPKSFSSSSEWGRGWADPETRRQRLAGRKTGRRKRKRGSRKQPTGFTTAKERLSAILSKSKRRR* >Brasy8G077100.1.p pacid=40083145 transcript=Brasy8G077100.1 locus=Brasy8G077100 ID=Brasy8G077100.1.v1.1 annot-version=v1.1 MAATLKLRILAVAAAAAVVASSLVGTASAAEGPAPAPASSASVAAPAVAMASLTALVFGYFF* >Brasy8G116400.1.p pacid=40083146 transcript=Brasy8G116400.1 locus=Brasy8G116400 ID=Brasy8G116400.1.v1.1 annot-version=v1.1 MRSRRHDGAADRSSTLDDRRHAVTAAAAPRWSAGRRCHHRRRDRSEDAGGKMSCLPCFGKKKNANGDGDEEEEEETTGPMAPPPAVHAPAPYAPAPAAAPAAMNPTKPPEGDAAGMSEDELRQAIEGKAFAFRELAKATDHFTPYNLVGEGGFFRVYKGQLEKDGQAVAIKQLDKHGFQGNKEFLTEVAKLCKLHHENLVDIIGYCADGDQRLLVYEHLDGGSLEDHLFDLPADKKPVDWTTRMMVAYGAAQGLEYLHEKANPPVVYGDFKASNVLLDASFTPKLSDFGLAQLGQTGGGNMPMAAPMMGSFGCLAPEYDRGGQATMKSDVYSFGVVLLQLISGRRTVDGNRPADEQNVVSWAMPKFKDQKRYHELVDPLINKEYPAKALNQVVAMAAMCLQEEDCVRPMMGDVVMTLGFLTSLPPDPPSVSVPDPSPAPAPAPKKEEESRRSRSSSSSSDDDDDQEEEEEDEEE* >Brasy8G087800.1.p pacid=40083147 transcript=Brasy8G087800.1 locus=Brasy8G087800 ID=Brasy8G087800.1.v1.1 annot-version=v1.1 MAGKLTQAQADECREVFDLFDGDEDGRIAAGELVTALRSLGQNVDEAEARQFLEDAGAGSGASIDFATFLAVAARKANAGVSEKGLATCLDAFDDAQSGAIPAEQLRQVMVTHGDRLTEEEADEMLRKADPRGEGRVLCKEFVKVLMNK* >Brasy8G164400.1.p pacid=40083148 transcript=Brasy8G164400.1 locus=Brasy8G164400 ID=Brasy8G164400.1.v1.1 annot-version=v1.1 MNLKAFLWLFSVLGLLRAYQVLLGCPFLFFREREGERERERGRERERVEAGVHGDTGEQGKRENKNLTGTARYASETKSFHMRDNMKTCLLSCEGFQRHEDRCPKIYIAPRLLYSAAGVCLSENKLQNVLGYDDFLPLVEDPVDEIGLGVVERVGPAEYIKRLMLIKSALGSASTELGIDTNITMLPESSRDYRHSGDLYWHGYYEMSKICPTVLPPMRYTKCAFSTVLRCFHKPHSMLQVFGIKLKSYLEDVGKPLEVYGFVAVRDAEDYRRNYLFNRPRDNPAIVNQTSDYLALMSPTRGISLTIDCLVEIDLRVKSLIEDVTLLDGCFDFVERCCVYDMELECTLDGTNATAILDLIVFEKALEATIELDFTEVPAGGFMMKMCGYTAASKNLYSFVGEQCDCNGFICSTGKHPQYFVAAVPFGDTLFVDFMEGKLSVPFRATSMHGSQEKGYRFYNGATVSVRVSWSSSYY* >Brasy8G235000.1.p pacid=40083149 transcript=Brasy8G235000.1 locus=Brasy8G235000 ID=Brasy8G235000.1.v1.1 annot-version=v1.1 MKTTRAATVVLALAMACTLLVGEACNSVPVMSTDEACHKACPTLYQLCRATLSHAAPSAEATVFAIVAGKYAKLSYEATMDAIDRLLPSLPAGDARAAHGRCRDRYYEARSSMSGVINGLAVCDLAGVGKKYVDATAAVAACGSGLSAFRSSSRVFAMNAGDQDKTRLASQLGALVVGK* >Brasy8G072500.1.p pacid=40083150 transcript=Brasy8G072500.1 locus=Brasy8G072500 ID=Brasy8G072500.1.v1.1 annot-version=v1.1 MMMKRSCDTWVVASPAESSADTSKSEPGLLFFLIRIRPALFFFGAPVILTDSSAAAMPPALLLRSPQLLGRSRTLPPLRRDPGFSLSPLVLLPNQRRLSAAQLADPLAAAGVEDAVVGFVTGKRKATEVAHSVWRSIVRKGDTVVDATCGNGNDTFALLKMVADERGQGRVYGMDIQDSAIHSTSSFLEMAVDSHEMELVKLFSVCHSRMEDIVPKDSPVRLVAFNLGYLPGGDKTIITIPRTTELALQAASRIVSSGGLISVLVYIGHLGGRDELDIVESFASSLPVDTWVSCKFEMINRPVAPVLVLLHKK* >Brasy8G188700.1.p pacid=40083151 transcript=Brasy8G188700.1 locus=Brasy8G188700 ID=Brasy8G188700.1.v1.1 annot-version=v1.1 MSDRRRDKEKPRERGPDRERDRDRERDREVDRHRDRDRGREKERDRDRERDRGHRRDRKRSRSPSADRDRSRRRRSHSHSHSHRSRSPDPSRHKRRRDDSPVADEKEDKKPDPPAVPKGVEEAAAAGNGDMDAEELEMMKMMGIPVGFDSTKGKYVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPPELFEVGYVSAQQSLKC* >Brasy8G188700.3.p pacid=40083152 transcript=Brasy8G188700.3 locus=Brasy8G188700 ID=Brasy8G188700.3.v1.1 annot-version=v1.1 MSDRRRDKEKPRERGPDRERDRDRERDREVDRHRDRDRGREKERDRDRERDRGHRRDRKRSRSPSADRDRSRRRRSHSHSHSHRSRSPDPSRHKRRRDDSPVADEKEDKKPDPPAVPKGVEEAAAAGNGDMDAEELEMMKMMGIPVGFDSTKGKYVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPPEAAF* >Brasy8G188700.2.p pacid=40083153 transcript=Brasy8G188700.2 locus=Brasy8G188700 ID=Brasy8G188700.2.v1.1 annot-version=v1.1 MSDRRRDKEKPRERGPDRERDRDRERDREVDRHRDRDRGREKERDRDRERDRGHRRDRKRSRSPSADRDRSRRRRSHSHSHSHRSRSPDPSRHKRRRDDSPVADEKEDKKPDPPAVPKGVEEAAAAGNGDMDAEELEMMKMMGIPVGFDSTKGKYVPGADVSGVRAVTKRQPRQYMNRRGSFLKWAT* >Brasy8G092500.1.p pacid=40083154 transcript=Brasy8G092500.1 locus=Brasy8G092500 ID=Brasy8G092500.1.v1.1 annot-version=v1.1 MASTKRLALAILVLLSIGMTASARVLLGYGPGGGGGGGGSGGGGGYGGSGSGSGSGYGEGGGSGGGGFGHGGGGGGGGGQGGGSGSGSGSGEGSGYGGGAGGFGGGSGGGGGGGQGGGGGGSGYGHGYGEGSGYGSGVSGGGGGGHGGGGGGGQGGGSGSGSGYGSGSGYGQGGGGYGSGGGGGGGGGGGQGGGSGYGSGSGSGYGYGSGGGYGNGHH* >Brasy8G242700.1.p pacid=40083155 transcript=Brasy8G242700.1 locus=Brasy8G242700 ID=Brasy8G242700.1.v1.1 annot-version=v1.1 MKIAGWNCRGVGNGPAVRGLLDLQKREDPDILFLSEIKCGEGRMKHIKWLLGMRNMVVKESVGKSGGLALLWKEGIKVELHNYSRYHIDVEVIQSDGFRWRLTGVYGEPASDKKEKTWKLMRILNAQLERPWLCLGDFKEILFSHEKEEGCLRTQHQMDRFRETLEFCDLRDLGYIGDKFTWRNHSHTASNYIKERLDRAVASPNWISRFPHFKVINGDPRHSDHRPIIVSMDENTAILGFSGTRCFKFEAKWLEEEECENIISKTWQEAQSSGIQELSQCIKKVANNLKEWDTNVLGDLQKRIRKTRIELEGVRREGISQEQVNREAFLREKLDRLEHQQDTHWRQRAHANWLKAGDRNTSYFHAYASERNRKNTIRRLKKDNGQWRRN* >Brasy8G069200.1.p pacid=40083156 transcript=Brasy8G069200.1 locus=Brasy8G069200 ID=Brasy8G069200.1.v1.1 annot-version=v1.1 MSPSPSPPTASLRSLSISSSPRRPPPPCPSNNKIIHAAGCVSRWSPLPPFSPGSDDAESLRLEPFPCDPIERKTGAKPLVLVPSSPLLEGYSGSAEAAAAAIAGRFLPDLLAAAERAMTHDVDREKEVVKLSLLARVGKVFFQPRKAGEEGSRSQVRKSFYTNMPSERLDDMERSAVKMMGLGVRFFEEHYHVKVFDKHRSDSTISCKCTVQDDGKLVIHKVELKQIRQLVEDITCLSKDLDLRLMLSTKRVLKNLDTEVQSAIETLVSSAIVDPDVKGGLRWPLGKESTGERFSIVGVWHTNYRAFRNKNCKVSNEVTFKLIGISRILQDGDQEADAVKQMLESVVRMIWDSALNYKLAP* >Brasy8G223800.1.p pacid=40083157 transcript=Brasy8G223800.1 locus=Brasy8G223800 ID=Brasy8G223800.1.v1.1 annot-version=v1.1 MAPAAAAPVTVLGDDLLQEVFIRLPAPRDLLRAAAACKPFLSAVRCARFLRRFCRRHASTFPPLLGCLVLSCNRGHLHHQDPYTTHLLAHSAAAGRLADRGDFALSFLPHRTCRHSATWQLVVADPLTRHSVSLPMLPDLRFVGYGLVADHGDSSVFQVVCVSREGASLRAFLLSSGELRWDEVASFANQSNFAGTRAMQANKSLYWKLEGGERMVVFNTVAMEFFVLDLPPFLRQRGFDTIEKGEEDDGRIYILTMRDFYIETWAGVKNSTGGRIWKLLEKSVRFRRVLHGGWHVIIGVVAGVLFLRHRVGLFSIDLDTMRLGRLPQRENGPLGQIYHYTMAWPPSCLNPTEEGA* >Brasy8G170900.1.p pacid=40083158 transcript=Brasy8G170900.1 locus=Brasy8G170900 ID=Brasy8G170900.1.v1.1 annot-version=v1.1 MSQKKAKGASGDDAGGAADHAHLSALPDALLHKVISFLRAWEVARTCVLSRRWRHLWASAPCVDLRVCCKARHRPLPSELAKFAHRFFLEREVSAPVDTVDPSPYPYPYSASPPPKYDDEGEDYSSTDVDIWVRAAINRRARVIQISHHPRDEAFTSLECVRVISCHLKHLKLSSTILSDDTLRQLSYQCPSLEFLELKECYLDGPQISSASLVSLIMVECRIMKDLTIAAPNVVSLRCGTPYHRAPSFENLGSLATGTIILDDSSLHDKFEYKYKDIDEDLFECDSDNSSVSYDDDSGSDADSDATCEYSKLAKDYEDKQMCGHGEDHDHSKDGNNHGYGRKYRFGRPKVLGGQNILRRLANATTLELIADAGEVILNRELETCPIFSNLKALSLGEWCMVPDFDPLVSLLQDAPNLERLFLELKMDHVNRMVTEDNIRPEGRSFACRNLTMVKIHCPKGHVGVHVLAQLFMANGSPVEKIYVSHRQTR* >Brasy8G025900.1.p pacid=40083159 transcript=Brasy8G025900.1 locus=Brasy8G025900 ID=Brasy8G025900.1.v1.1 annot-version=v1.1 MHPCPIDRDVPGRPQSNIYAVGPATRSDRSIADTRASVHPAPSPVSAISTDRPDLLLLLLPKRPPGDGLSRSGGTQRDLYPSRRRSIGPKVYRSIDRRLFHACTSTARTYVRVGWPQTRRNHVPTSTGHRTRSA* >Brasy8G035800.1.p pacid=40083160 transcript=Brasy8G035800.1 locus=Brasy8G035800 ID=Brasy8G035800.1.v1.1 annot-version=v1.1 MDLFAIDSDSESYTGTSDSEDQEECEFTYSDHAQSILSSLDESIGKIDDFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETNSGDIIKDVNSKQLSRVRSFVSGDCVVMGPWIGRVVRAFDLVTIVFSDGAKCEMLLRDSELLKPIPPILFEDAPYFYYPGQRVRIAHPSVSKSGTWLRGSWRASRDEGVVYHVDVGLVHVNWITSVTNVWGNQSASPSNFQDPKNLSLLSCFPYANWQLGDWCTLSACLDGSLETMDTVKSCFSTEDHKCNSHMQIDLGTTRSEYSQTYVVSKTKSTFDVLWQNGNLSLGLEPQTLAPVSTPGDHDFWPGQFVLEKLTAEEAAECQRIGTVRNVDALERTVNVKWTVPVDSDIIRHGSSPTEETVSAYELVEHPDFSFCTGEVVIRSALNIDKSEADLTNGTMTVSRESLDTSSGFLSCIGNVLGYKDEGVEVQWASGAISKVQHFEIIGLERLLDNSLGSMNEVHTSVDDEAEQDETQHESTKNALEESAEDCTGSLRSAFLFPKTAFVFLTNVASSLFGAHGSTSYSSVTADLQYQIVKTAELHPSAEELSEEKQSVELVTQIQKPQLPSENDIKRFDVVVDCSDHHFVKECGHENVKRGWLKKIQQEWTILQNDLPDDIYVRVYEERMDLLRACIIGAVGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWSPEGSTVLQLLLSLQALVLNEKPYFNEAGYDKFVGKADGEKNSITYNENAFLLSCKSMMYILHKPPKHFDKFVKEHFTHRAPHILEACGAYLVGDLVGHARDTAYISDDGCKNCSTGFKIMLGKLLPRLVAAFSEAGITCCE* >Brasy8G209100.1.p pacid=40083161 transcript=Brasy8G209100.1 locus=Brasy8G209100 ID=Brasy8G209100.1.v1.1 annot-version=v1.1 MAEVSNRRVTLKRHVTGFPTEDDMELVVAPGAVALAVPPGSRSVLLKNLYLSCDPYMRSRMTRHLEPSYVPDFVPGEVMATFGVSKVVASGHPDYQAGDLVWGMTACEEYTFVSTPESLFKINHPELPLSYYAGVLGMPGLTAYAGFFEVAKPKKGDYVFVSAASGAVGQLVGQLAKITGCHVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNAALKRCFPEGIDIYFENVGGAMLDAALLNMRNHGRVAVCGLISQYNLEQPEGVRNLFCVVAKHIRVEGFMVTEYLGNYRKFEEKMAGYLKEGKITSVEDVAEGIENVPAALIGLFYGRNVGKQLVAVARE* >Brasy8G238700.1.p pacid=40083162 transcript=Brasy8G238700.1 locus=Brasy8G238700 ID=Brasy8G238700.1.v1.1 annot-version=v1.1 MSGGSAPLPYSMRDVDGGAYNNAKSRHRSRLKMVTQALITKSSKYQCGKFTLGKFLSLLMVSGLIYLVVHKSSEGFVSGELHDKEVGSKHASKSSPNIRTFWRKPPRLPPRLPPNEIYKNSSVLNQSPSSEWTSRQKKVKEAFKHAWSGYQNYAMGYDELMPLSRRGVDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKISEKGQVNLFETTIRVLGGLLSAYHLSGGDQAGGGDSGIPVTPNKTNTDRILEISKDLADRLLVAFTSSPTAIPFSDVVLHDRSAHAAPDGLSSTSEATTLQMEFSYLSRISGDSKYDLETMKVLEHMRKLPTVEGLVPIYINPHSGQFSGENIRLGSRGDSYYEYLLKVWVQHENYRNTSLKYLFEMYTEAMRGVKHLLVQKTTPNGLVFVGELPHGRNGAFSPKMDHLVCFLPGTLALGATKGITKKKAAESNLLTNEDIENLQLAEDLAKTCVQMYFVTSTGLAPEIAYFHTEGNPEGGPDGGNKSSQYISDITIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPLRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPVIRSSIQVSNTV* >Brasy8G290800.1.p pacid=40083163 transcript=Brasy8G290800.1 locus=Brasy8G290800 ID=Brasy8G290800.1.v1.1 annot-version=v1.1 MAAAAATTNAPGLLLPARRPAPLLSMRPARGRRSTTRVGAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFVRKTGATLRTNAARKDEQQIVCANCNGLGKLGQIDKL* >Brasy8G188100.1.p pacid=40083164 transcript=Brasy8G188100.1 locus=Brasy8G188100 ID=Brasy8G188100.1.v1.1 annot-version=v1.1 MASSVSTAALLALPFPTGCSSDDSDDAKPLPPPHASEATSRTLQHQLQRRWQLERECNVAMKALARAGDIDQVLALFAEIRTSGQGQGCTTPPNVLCYNTLVNALAEAGRVAEAHDAFDEMLAAGVAPNVSSLNILVKMYSWRSSRFDLAYKEILRMRELGVEADVGTYSTLVTGLCRAGRTGEAWGVLEWMLEEGCYPMVQTYTPIVQGYCHEGRVEEAMELMATMERAGCPPNVVTYNVLIRALCDAARFDEVKQVLTESRSKDWTPSTVTYNTFMNGLCKKGMAKEALEQLNVMLGEGLDPSDFTLSILLNCLCHDSRVSDAIDLLERSTSLKWYAGVVGYNTVMSRLCGMGNWMGVLKLLTDMVKKGITPNTRTFNIFIRSLCFGGKLSIAKSLLHSQGFVANIVTYNTLIYWFYYYKKLSEVQHLISDMAVEKIAPDEVTYTVIVDGLCRDEKFDTATSCFLESLERGLSRDLLAVLINRLAHSGKIWEIFRVFQGMEREGFLPDNCIFDRTIRSFCRAGFCHDRDIFKLNLILDTMLGKN* >Brasy8G162000.1.p pacid=40083165 transcript=Brasy8G162000.1 locus=Brasy8G162000 ID=Brasy8G162000.1.v1.1 annot-version=v1.1 MHDIQWCSFTWLHITICLPRNISYVDPTGRAYPLTSALIRGWRLDRISLPCLLLLAQIRSRKHDRGH* >Brasy8G039000.1.p pacid=40083166 transcript=Brasy8G039000.1 locus=Brasy8G039000 ID=Brasy8G039000.1.v1.1 annot-version=v1.1 MHYHFVLAVEDVGCINGVREKFDRYDGGGGRYPTGASGGSTGTRGTSQAQHNETRIMKRREYTVRKVWRACEMEDRRLSLSTKSNAREVLEEIANREMEEKTCITTLLWTWWNERNRIRERGKAKEAEEVAWIIKHQTTEFLKILTIEKLSSTTPVPRWKPPEGDVIKINIDGVKYAKSVSPVID* >Brasy8G015500.1.p pacid=40083167 transcript=Brasy8G015500.1 locus=Brasy8G015500 ID=Brasy8G015500.1.v1.1 annot-version=v1.1 MDGPRRDRRHHRKQPGSSSGDGGPATTAASRVAAYGDVFGGPPRFGSSSPPLDYAEVFGGVAATCSIPYLDLPPAAAGFFSSSSSSGGGGRAKAADYGEIFGRFDFGDFAVPYEDLFAHPPAAAASSSSGSSRSSMKNESSRLDAELPTLHQHFKQPERSPTSVTPESQEFGMSYYKTNQTRPNDLVEMTTVDSSTEDVVDPCNLSHVPATNHILKMNNGVMANGDKGKKSPSTTFTANVKSPESDFIVNQRQHIPACPPLSGNVSANANNQNSGCHAKPSNGKPSPDYAFMRVSNDNTQTQPKVSLPPLGQQPKVLNKRESAAKGDTNMHHAEKRADATSSNAETSISSAAAAMKEAMEYADARLKAAKELMERKGDSFRIRKKPSHHSNTRSVEIKAPVEVYTFEEKLPVKKLAKENNSENFVLDKHQQVSAVRSNHCDEKKALPLEKPQQKRQTWTVPCQTSSKLEKLGKWRSGEEFYELTEDDQKCPTDTATGEEDGCGRSKPATKSSEGQKGRVEVTALDSERYEEVLDVKDSRDMGVKDIDQREDNTVPMEKEEDRMSILEVSTQAMARKENDNSHFDGLVTTKGSHDDDGSVELPSISEISAAPDFNKDVPSSFSVAYSSEDHANDLRDLGNSNTRGKSVAGTSEEPKISELNEGLEAACVDEMQYTSGSSNKLQELPEVANVDNVQASKIKSLISEELEGSYPTEAFPRVKNTAGLEDETYGREKFSFIGESHLHNENDKIFELPCESLISEVAKVGNEVEVGPVTHSENSVPGQEAEHPEEIDIASQNNNLVNHDVSTVLNVFEVASKLIKRDLDQEIEGSLGPNKVENRTEATDILVSDNKGKDAEDTPSENNDKTDTEEESAHGNQDDQTTTNEKTDTEEQSAHGNQDDQTTSASDVDKGQCDLGAQGSIAVDEVGTAIRSGNEVTMESADDRPTRLTINTKDEPVPCPEMSTGMQHLPQNAESATLQTCNENIPCVNKTKGAGKEAERELSAERSTTFEEEKSRIIKMGRKDPRESISKAEQKHDQPHLEKTGSVPNSVERPFPVYAEVPRKEAPRVQNTKDRGSINKTEKERENGKEASRRLEEAKERAKLLEKQREDSERKEMEEQERERERKKDKLAVERATREAHERAFADAREKAEKMALERIAAARQRASAEARQKEERASAEARIKAERAAVERATAEARERAIEKAKAEKAAAEVRERRERYRSSSKDKSGNLDIRQDNQFQRATASKVVETESALRHKARIERHQRTTERVSKALAEKNMRDLMAQREQAEKHRLSDFLDPEVKRWSNGKEGNLRALLSTLQYILGPDSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER* >Brasy8G155100.1.p pacid=40083168 transcript=Brasy8G155100.1 locus=Brasy8G155100 ID=Brasy8G155100.1.v1.1 annot-version=v1.1 MPRLLALLAAAALLLLPLAAGQRFRGFSYLLDCGAANTTIDRRGLLWNPDGPYVSAGTPRELPVQGLLDPTLATLRAFPYRPAAKFCYTLPVDRNRRYLLRPTFFYGSSSSPPPPVFDLIVDGTFWTAVDTSADSLAGSASHYEAVFPARGRSMTFCLGVNPNYTDSGPFINALQVIQLDDSVYNATDFGRSAMGLIARTKFGSTGDVERYPDDSFDRYWQPFSDSKHAVSSTHNVTSADLWNLPPPDVFNTALVAEQNAPLVLQWPPMPLQNDSYYVALYFADTLADSSRIFDVYINDYSFFKDLPVTSAGLSVFATQWILSGLTRVILTSSSVLPPLINAGEVFGLFPIGKLTITRDALALESVKRNLQNIPDDWIGDPCMPHGYAWTGVTCDEGEFIRIVSLNFSSMGISGSLSPDIANLTALTNISFANNSLSGPIPDLSKLNKLQRLHLHDNKLNGTIPQTLGTIQALRELLLETTVSQYLSKLPLSPGGAPTVSTRVELLQQQRGSSHLSIANGFGGEGLPV* >Brasy8G155100.2.p pacid=40083169 transcript=Brasy8G155100.2 locus=Brasy8G155100 ID=Brasy8G155100.2.v1.1 annot-version=v1.1 MPRLLALLAAAALLLLPLAAGQRFRGFSYLLDCGAANTTIDRRGLLWNPDGPYVSAGTPRELPVQGLLDPTLATLRAFPYRPAAKFCYTLPVDRNRRYLLRPTFFYGSSSSPPPPVFDLIVDGTFWTAVDTSADSLAGSASHYEAVFPARGRSMTFCLGVNPNYTDSGPFINALQVIQLDDSVYNATDFGRSAMGLIARTKFGSTGDVERYPDDSFDRYWQPFSDSKHAVSSTHNVTSADLWNLPPPDVFNTALVAEQNAPLVLQWPPMPLQNDSYYVALYFADTLADSSRIFDVYINDYSFFKDLPVTSAGLSVFATQWILSGLTRVILTSSSVLPPLINAGEVFGLFPIGKLTITRDALALESVKRNLQNIPDDWIGDPCMPHGYAWTGVTCDEGEFIRIVSLNFSSMGISGSLSPDIANLTALTNISFANNSLSGPIPDLSKLNKLQRLHLHDNKLNGTIPQTLGTIQALRELFLQNNELVGAVPLNLLNNQGLNSQFVPGNQFSPIPPP* >Brasy8G155100.4.p pacid=40083170 transcript=Brasy8G155100.4 locus=Brasy8G155100 ID=Brasy8G155100.4.v1.1 annot-version=v1.1 MPRLLALLAAAALLLLPLAAGQRFRGFSYLLDCGAANTTIDRRGLLWNPDGPYVSAGTPRELPVQGLLDPTLATLRAFPYRPAAKFCYTLPVDRNRRYLLRPTFFYGSSSSPPPPVFDLIVDGTFWTAVDTSADSLAGSASHYEAVFPARGRSMTFCLGVNPNYTDSGPFINALQVIQLDDSVYNATDFGRSAMGLIARTKFGSTGDVESFFKDLPVTSAGLSVFATQWILSGLTRVILTSSSVLPPLINAGEVFGLFPIGKLTITRDALALESVKRNLQNIPDDWIGDPCMPHGYAWTGVTCDEGEFIRIVSLNFSSMGISGSLSPDIANLTALTNISFANNSLSGPIPDLSKLNKLQRLHLHDNKLNGTIPQTLGTIQALRELLLETTVSQYLSKLPLSPGGAPTVSTRVELLQQQRGSSHLSIANGFGGEGLPV* >Brasy8G155100.3.p pacid=40083171 transcript=Brasy8G155100.3 locus=Brasy8G155100 ID=Brasy8G155100.3.v1.1 annot-version=v1.1 MPRLLALLAAAALLLLPLAAGQRFRGFSYLLDCGAANTTIDRRGLLWNPDGPYVSAGTPRELPVQGLLDPTLATLRAFPYRPAAKFCYTLPVDRNRRYLLRPTFFYGSSSSPPPPVFDLIVDGTFWTAVDTSADSLAGSASHYEAVFPARGRSMTFCLGVNPNYTDSGPFINALQVIQLDDSVYNATDFGRSAMGLIARTKFGSTGDVESFFKDLPVTSAGLSVFATQWILSGLTRVILTSSSVLPPLINAGEVFGLFPIGKLTITRDALALESVKRNLQNIPDDWIGDPCMPHGYAWTGVTCDEGEFIRIVSLNFSSMGISGSLSPDIANLTALTNISFANNSLSGPIPDLSKLNKLQRLHLHDNKLNGTIPQTLGTIQALRELFLQNNELVGAVPLNLLNNQGLNSQFVPGNQFSPIPPP* >Brasy8G223200.1.p pacid=40083172 transcript=Brasy8G223200.1 locus=Brasy8G223200 ID=Brasy8G223200.1.v1.1 annot-version=v1.1 MAVDAALWVVSKALAPAADGLLQSWAASSKLGPNVEDLKMELLYAQGVLDSAQGRDIHSPALQELLNKLRQLAYAADDVLDELDYFRIQDIVEGTNHTHADGLLRNAWETGRAAAHKLKLGSRSRDDPASDDEDGAKQGCLSNIRPCGGRGREIGCMPKIGKHFPCHSFPSVCSSDAPAGDQEDGGEQGCLSSVRPCGGREKRPSPSPSTNPGAQEAGCGCLPKVIAGARSTADTIGKHFPCHFFPSVRSNDAAPTVGPESSDMTSGRRFLCGTGPSKENNHVVLPPKWKFNRVQMSQKMTEIVQQLKPLCAKVSTILNLELLGSTQDKKTSRSKTTAEIVEPNLYGRDDKKTEIVDLITDDKYRGDGLTILPIVGPGGIGKTCLIQHIYKELESSFQVPIWICVSLDFNANRLLEEIAKNIPRVNDEEGNTAELIKQRLKSKRFLLVLDDLWTDSRHEWEKLLAPLRNNGGEKGNVVIVTTRKPQVASMVSSTNSPVELERLSEKHLMSFFEVCVFGNQVEPWKVYPDLEDTGIEIVKKLKGFPLAAKTVGRLLRNKLSLDHWTRVAESKEWELETDFDDIMPALKLSYDYLPFHLQQCFSKCALFPEDYEFGKKELVHFWIGLGILHSHEHKRAEDVGQGYLDNLVNHGFFKENKNKAGPCYLIHDLLHELAVKVSSYECLSVRSSNVKSIQIPLTVRHLSVIIDNVDVKDRGTFDDYKNDLARKLGKNLEVQNLRTLMLFGEYHGSFIKTFRALFREARAIRAILLSGASYSVEDMLQNFSKLIHLRYLRIISNIKVSIPSVLFRSYHLEVIDLEKCYGDFGLTWHMSNLIKLRHFLVSEDQLELHSNIIEVGKLKLLEELRRFEVGKKSKGFELSQLGELTELGGSLGVYNLEKVQANKEAKEQRPLRKQYLNELLLEWSNNAAPQEENILECLVPHKNLQHLSMKGHGGANCPSWLGWNLSVKNLKSLCLYDVSWNTLPPLGDLRFINDPSEDFKGLVSSQSFLTLKRLELVKVPKLKRWLKNDACHFFSCVEVVKIKDCSELEELPFSDPARSQAEQEENMRILFPELQTLQILNCPKLSSLPPIPWCPAPCSISIEIEKAGSVFEKLVYSKDYESKLSLKIVGKDGQQGMVWSGLAFHNLPDLEVLTIDNCALLPLIHLEKLKSLKTLSISNMGNTLLWFEGESHKMESRLPVERMGISSCGANGRGLRHVLSHFPKLTYLSIQRCEKITGVVLNVVEHQTMATSPSANKTEQAHRTGHQQQQTTGEEEFAAAREELLLLPPQLQVLQIVSCPNLVLSDSPGFGGGGEFQSLCSLRSLYVHSCDQFFSYSSSASSCSPFPTSLQQLTLWNVGGTETLLPLSNLTSLTSLYVNRCGDLRGEGLWPLVAQGCLTSFLIYETPKFFCGAEPSWPDDEESRSSSSRLESMVIPNFAGVFTPPICRLLSSSLTELICWEDKEVERFTAEREEALQLLTSLEVLTFYDCEKLQLLPASLTKLTNLKRLNIQRCPALRSLPKDGFPSCLEKLHISDCPAIKSLPDHGFPSSLQNLEIYYCPAIKCLPSKLPSSLQELQIHSCPSIKTLHKEGLPSSLRVLAVSKGGNSEELKRQCHKLKGTIPIVNC* >Brasy8G118000.1.p pacid=40083173 transcript=Brasy8G118000.1 locus=Brasy8G118000 ID=Brasy8G118000.1.v1.1 annot-version=v1.1 MEVGSKAKKGGAAGRPRGGPKKKPVSRSVKAGLQFPVGRIGRYLKLGRYAKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKRNRIIPRHVLLAIRNDEELGKLLAGVTIAYGGVLPNINPVLLPKKTAAAAAKEAKPGKEAIAKSPRKATAKSPKKADAS* >Brasy8G281000.1.p pacid=40083174 transcript=Brasy8G281000.1 locus=Brasy8G281000 ID=Brasy8G281000.1.v1.1 annot-version=v1.1 MSKRNENVSLSVLVASTVVAILLAAQVNVKPAMAGDYTIGMQTKVRNIVQQNHAVAPGLIRLVFHDCWVKGCDGSVLLERADKQAEMDAVQNGGIRGLDVVQAIKDALIADDSNVTCADAVVYAAREACNVLSGGQITYAVDGPGSHKDSNVSSMADAGALPAPSSSFASLVANFNGKGFTARDVVVLSGAHAVGLAHRPNFEARLTASSNEINQKYRNDVNAISNASPNKTAHNNVRDLTKLDSEPGVLDNNYYAANLAKKVLFSSDFALTTDGAALNNMTSFKNNGTMWFRLFEDAMARLSRLPAQGADVLQVPRTKCNAPNPGS* >Brasy8G163100.1.p pacid=40083175 transcript=Brasy8G163100.1 locus=Brasy8G163100 ID=Brasy8G163100.1.v1.1 annot-version=v1.1 MDRKQSTTNLSPPPTSQAPHLRGKRRLDSTRGTRERERERERERERTGIPGGGVTSTERIRNRWGRGGGGAASEATGGGSSSRRWNLRAAGPEKMTDGKRHGDDRRRRGGVAAQEGNPSARTRRLIEVPYTASSGTWPPARLLRDRRRCQLLLLPHHAEILGTAAARTPAMPSSSSSPARCHARAAAGAVFKAC* >Brasy8G180000.1.p pacid=40083176 transcript=Brasy8G180000.1 locus=Brasy8G180000 ID=Brasy8G180000.1.v1.1 annot-version=v1.1 MQYAPNYPQPQHHTNSPQSSYGQGISPSNFLQNFHPFASPHNYQQYAQPPASYQGLQHQGRMGYSPHGVFSHAAAGSSPLLQPVSLFGGPGNTSSYGSQVGTPQSGREEPAHVEDLSDSSEEDGRKVVRTNWTDEENTRLGSSWIKHSVDSIDGNGKKAEYYWRQVAEEFNSNRPINGTKRSTTREWYKGENNGKPFTMEVLWDILKEQPKWKNTFVVEKKKRTKISASGAYASSSNPETDVELNKEKRPEGQKKAKERRKAKGKSALQPEDKPSEDMLLFHDAIGKRSTALVQTAEASVERTNLNKMQTYLDALAKDTSGYTQEKLKRHEQALDTLGKQLFPENV* >Brasy8G178300.1.p pacid=40083177 transcript=Brasy8G178300.1 locus=Brasy8G178300 ID=Brasy8G178300.1.v1.1 annot-version=v1.1 MMSESEEHGSLLEKINDKIHEYKDKGSSSSSDSDDDKKKPHKSKKKKLFGRKHPLHHVLGGGKAADLVLWRDKQTSGSILVGVTVFWLLFEAIGYHLLTFLCHSLILFLTVCFVWSNAASFINRSPPKFPDAILSEVQCLRIAHVLRKEINEAFLTLRNVASGKDLKTYLKSIGVLWFISLIGSCFTFLTLSYTIFLMAYTLPMLYEKYEEEVDIVGEKALIEIKKQYAVLDEKVLSKIPMLSDNKQH* >Brasy8G075100.1.p pacid=40083178 transcript=Brasy8G075100.1 locus=Brasy8G075100 ID=Brasy8G075100.1.v1.1 annot-version=v1.1 MAAAAGAAAAAGAAEVIAIHSLEEWTIQIEEANNAKKLVVIDFTASWCPPCRAMAPIFADLAKKFPDVAFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAMKDDLTAKLALHAAQ* >Brasy8G110100.1.p pacid=40083179 transcript=Brasy8G110100.1 locus=Brasy8G110100 ID=Brasy8G110100.1.v1.1 annot-version=v1.1 MYPVATGLPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQNKDPKVQFFTLTLLETVMKNCGEYVHFEVVEQHVLTEMVKIVQKKHDMQVKDKILILLDSWQEAFGGPGGKYPQYYWAYIELKRSGVMFPRRPIDAPPIFTPPATHHSQPYGSPTYPAGSLNDRMASEAETLSLGDLNNIRDAAELLCDMVNALNPADRMAVKDEIVTELVSQSRSNQQKLMGFISSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPSRHEIPREETVLQPSAPPIAHNAAPVEEDEDDEFAQIAKRKNKSVISSDEASSSADHALIPVDEAPSEASSSVASNALVPVESASGTRTKEQDMIDLLSLTLYNPPEASTDSSTQSQNETQHTPTSNGAALPPNYQPASSNEPHYPSNQQVYPTNQGYSTYNNYVAPWAQTEQNAQAAAYPTQAPQYASSYPAPPWAMPTSTNSVNPFQPATYQMPSPPVPSVASTVNYPVLSSPYAAPQMHHAPSPTTKASPMQQHSSLVPQTNNALALAPDVRTNGIQKPKEAPAAAAKPYYMPDNLFGDLIDVKSFGAGGKMSRSTNMPSPKGGGQPMIGGKK* >Brasy8G110100.2.p pacid=40083180 transcript=Brasy8G110100.2 locus=Brasy8G110100 ID=Brasy8G110100.2.v1.1 annot-version=v1.1 MYPVATGLPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQNKDPKVQFFTLTLLETVMKNCGEYVHFEVVEQHVLTEMVKIVQKKRSGVMFPRRPIDAPPIFTPPATHHSQPYGSPTYPAGSLNDRMASEAETLSLGDLNNIRDAAELLCDMVNALNPADRMAVKDEIVTELVSQSRSNQQKLMGFISSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPSRHEIPREETVLQPSAPPIAHNAAPVEEDEDDEFAQIAKRKNKSVISSDEASSSADHALIPVDEAPSEASSSVASNALVPVESASGTRTKEQDMIDLLSLTLYNPPEASTDSSTQSQNETQHTPTSNGAALPPNYQPASSNEPHYPSNQQVYPTNQGYSTYNNYVAPWAQTEQNAQAAAYPTQAPQYASSYPAPPWAMPTSTNSVNPFQPATYQMPSPPVPSVASTVNYPVLSSPYAAPQMHHAPSPTTKASPMQQHSSLVPQTNNALALAPDVRTNGIQKPKEAPAAAAKPYYMPDNLFGDLIDVKSFGAGGKMSRSTNMPSPKGGGQPMIGGKK* >Brasy8G266100.1.p pacid=40083181 transcript=Brasy8G266100.1 locus=Brasy8G266100 ID=Brasy8G266100.1.v1.1 annot-version=v1.1 MSVSLLQPYFFMSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT* >Brasy8G060600.1.p pacid=40083182 transcript=Brasy8G060600.1 locus=Brasy8G060600 ID=Brasy8G060600.1.v1.1 annot-version=v1.1 MELSARGAMAAARPWLILLLGLAGVLKVPGQRAPDSTGFVSIDCGLPPEQGGYLDAATKLPYVPDGAFTDAGANRNISAEYITPSLSRRYLNVRSFPGSPRSCYTLPSTVARGSKYLLRATFIYGNYDGLNKPPVFDLHLGVNFWQTVNITNPGAAQIAEVVAVVHDESVQVCLVDTGSGTPFISGLDLRPLKDTLYPQANATQALVLVDRNNFGVNGLSLVRYPDDPYDRVWIPWSDPEKWSEIATTEPIQEMADPRFQVPQAVMRTAIAARNGSRSPRSIELWWDVVPSRVYPEPGCVGIFYFAELQAVSDGGALRQFDMAINGTLWSKAPYTPRHLASDGFFNGEPHRGFTRFNFTLNATAKSTLPPTINAAEFFSVVSIADVATDAKDVAAVAAIKAKYQAKKRNWAGDPCSPKALAWDGLNCSYAISMPPRITRLNMSFGGLSGDISSYFGNLKAIKYLDLSYNNLTGSIPNVLSELPFLVMLDLTGNQLNGSIPSGLMKRIQDGSLTLRYGKNSNLCNNGTSCQPTKKKSRSMLAVYIAVPIGAVAVAGALAALLLIARKKQGSGKKGSVKPQNEASASQNGDGNSQLLQLENRRFTYRELEAMTSNFQRVLGRGGFGSVYDGFLPDGTEVAVKLRSQSSSQGVREFLTEAQTLTKIHHKNLVSMVGYCKDGECMALVYEHMSEGNLEDKLRGKGHGAGCLTWRQRLRIALESAQGLEYLHKACSPAFVHRDVKTSNILLNANLEAKVADFGLLKAFSQDGDTHVSTARLVGTHGYLAPEYAAALQLTVKSDVYGFGVVLLEVITGQPPIMQCPEPTNIIQWARQRLARGNIEDVVDVRMQGEYDVNGVWKAADVALKCTAQAPTQRPTMTDVVVQLQECLELEEQHCL* >Brasy8G060600.2.p pacid=40083183 transcript=Brasy8G060600.2 locus=Brasy8G060600 ID=Brasy8G060600.2.v1.1 annot-version=v1.1 MELSARGAMAAARPWLILLLGLAGVLKVPGQRAPDSTGFVSIDCGLPPEQGGYLDAATKLPYVPDGAFTDAGANRNISAEYITPSLSRRYLNVRSFPGSPRSCYTLPSTVARGSKYLLRATFIYGNYDGLNKPPVFDLHLGVNFWQTVNITNPGAAQIAEVVAVVHDESVQVCLVDTGSGTPFISGLDLRPLKDTLYPQANATQALVLVDRNNFGVNGLSLVRYPDDPYDRVWIPWSDPEKWSEIATTEPIQEMADPRFQVPQAVMRTAIAARNGSRSPRSIELWWDVVPSRVYPEPGCVGIFYFAELQAVSDGGALRQFDMAINGTLWSKAPYTPRHLASDGFFNGEPHRGFTRFNFTLNATAKSTLPPTINAAEFFSVVSIADVATDAKDVAAVAAIKAKYQAKKRNWAGDPCSPKALAWDGLNCSYAISMPPRITRLNMSFGGLSGDISSYFGNLKAIKYLDLSYNNLTGSIPNVLSELPFLVMLDLTGNQLNGSIPSGLMKRIQDGSLTLRYGKNSNLCNNGTSCQPTKKKSRSMLAVYIAVPIGAVAVAGALAALLLIARKKQGSGKKGSVKPQNEASASQNGDGNSQLLQLENRRFTYRELEAMTSNFQRVLGRGGFGSVYDGFLPDGTEVAVKLRSQSSSQGVREFLTEAQTLTKIHHKNLVSMVGYCKDGECMALVYEHMSEGNLEDKLRGKGHGAGCLTWRQRLRIALESAQDGAMCVQGSSICTRRAALPSCIGT* >Brasy8G271000.1.p pacid=40083184 transcript=Brasy8G271000.1 locus=Brasy8G271000 ID=Brasy8G271000.1.v1.1 annot-version=v1.1 MALLRALRRALPPLSSPAASLLRRAPVPSPLRPPFRPLRLMDPIALRPFSAAAGAAVARAPEMGASLFRGLTETRFPKRRPGFVSRRKRASLRPKGPHYWVKCKPGEPIPSSQPNEGSVQGRKEKKRIKQRKDFIMAEKRKRKAQYSVAVKRKEAERTERKMAAVARDRAWVERLAELKQIEAEKKAAMA* >Brasy8G258400.1.p pacid=40083185 transcript=Brasy8G258400.1 locus=Brasy8G258400 ID=Brasy8G258400.1.v1.1 annot-version=v1.1 MDGGPCGLGRVPAVASEGTSSVDNTDITNILPEKQQPTLLDGASPQRDNANGSLEAGMCLEFSNSVGSGVSKGLQKCATFPSPTVEAGQVDPGCDADDTLKGAHSYQRSMSLPPTVKLISAMKGSRQKNGMSSPTENRHIKWAPDVYDPPVTSVSHSVNNSSQRRSKPRKKDKNKQKQKQKGRSKKKTQSAIQNPGVLQTHGLEDVGPSIGVKAPEDLGKHETEMLDYGIGSQEANCGSSFLRETVAKMHFPTAEAS* >Brasy8G203800.1.p pacid=40083186 transcript=Brasy8G203800.1 locus=Brasy8G203800 ID=Brasy8G203800.1.v1.1 annot-version=v1.1 MVRGKVRMRRIENPAHRRVTFCKRREGLLKKARELSVLCDAEVGVIIFSSQGKLHELATNGNMQSLIERYQSDVVGSQMQNRALQSQVAEPEILLVREEIGHLQHGLRSTYGGGAGDMTLDKLHKLEKGLEQWICQMRSTKMQIMQQEIQLLENKVKEQNELMNMHSVFLGSLYSTHPVTNGNCFFSI* >Brasy8G161300.1.p pacid=40083187 transcript=Brasy8G161300.1 locus=Brasy8G161300 ID=Brasy8G161300.1.v1.1 annot-version=v1.1 MAMAAARRAFLNHLRVPVAQPAAAAAAAGSVSFARLLSSTTEVEKGSFLDKGEVADRVVSVIKNFQKIEPSKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFSFEIPDNEAEKIDSIKTAVDFIASHPQAK* >Brasy8G137800.1.p pacid=40083188 transcript=Brasy8G137800.1 locus=Brasy8G137800 ID=Brasy8G137800.1.v1.1 annot-version=v1.1 MATPTSPPPPTRASCGSFLQELQELWGEIGQDELERDKMTLQLEEDCLNVYRTKVEETRKQKADLLQAMSLGEADINKILSALGERESFSRIEKLGGTLMEQLAKVEPVLDDLRRRRDERVNEFLTVQLRIVRLEAEISGTADHGDPAAPLVDETNLSIRRLTQLKTQLTELQTEKNLRLQKIDFQIKCINEMCSIMSLDLKKTLYEVHPSFVELGKTKSMSISDCTLDRLAGKVHALNQEKKQRLRKLQDLGSTLIELWNLMDTPIDEQKCFDHVTSLISVSPNTVMPQGCLAHDLIEKVKVEVKRLKHMKASKMKELVLKKMTELEEIYKSVHMHMDSDHEWRILTDLIDSGRADLSEMLTGMDDRITEARELALSRKEILEKVEKWTLASEEEGWLGEYERDQNRYNAGRGAHVNLKRAEKARILVSKIPSLLENLTAKIKAWEKEKGIQFMYDKTRLLHSLEEYTSERQQKEEEKRRSRELKKLQEQFAAEQGATYGAKPSPMRPVSARKPLGQSSNVNIIAGTPNSRRVSTPMLRKGGLSSGKTKDAGKTAASIPANYVAITKDCSDNSSL* >Brasy8G137800.2.p pacid=40083189 transcript=Brasy8G137800.2 locus=Brasy8G137800 ID=Brasy8G137800.2.v1.1 annot-version=v1.1 MATPTSPPPPTRASCGSFLQELQELWGEIGQDELERDKMTLQLEEDCLNVYRTKVEETRKQKADLLQAMSLGEADINKILSALGERESFSRIEKLGGTLMEQLAKVEPVLDDLRRRRDERVNEFLTVQLRIVRLEAEISGTADHGDPAAPLVDETNLSIRRLTQLKTQLTELQTEKNLRLQKIDFQIKCINEMCSIMSLDLKKTLYEVHPSFVELGKTKSMSISDCTLDRLAGKVHALNQEKKQRLRKLQDLGSTLIELWNLMDTPIDEQKCFDHVTSLISVSPNTVMPQGCLAHDLIEKVKVEVKRLKHMKASKMKELVLKKMTELEEIYKSVHMHMDSDHEWRILTDLIDSDLSEMLTGMDDRITEARELALSRKEILEKVEKWTLASEEEGWLGEYERDQNRYNAGRGAHVNLKRAEKARILVSKIPSLLENLTAKIKAWEKEKGIQFMYDKTRLLHSLEEYTSERQQKEEEKRRSRELKKLQEQFAAEQGATYGAKPSPMRPVSARKPLGQSSNVNIIAGTPNSRRVSTPMLRKGGLSSGKTKDAGKTAASIPANYVAITKDCSDNSSL* >Brasy8G137800.3.p pacid=40083190 transcript=Brasy8G137800.3 locus=Brasy8G137800 ID=Brasy8G137800.3.v1.1 annot-version=v1.1 MATPTSPPPPTRASCGSFLQELQELWGEIGQDELERDKMTLQLEEDCLNVYRTKVEETRKQKADLLQAMSLGEADINKILSALGERESFSRIEKLGGTLMEQLAKVEPVLDDLRRRRDERVNEFLTVQLRIVRLEAEISGTADHGDPAAPLVDETNLSIRRLTQLKTQLTELQTEKNLRLQKIDFQIKCINEMCSIMSLDLKKTLYEVHPSFVELGKTKSMSISDCTLDRLAGKVHALNQEKKQRLRKLQDLGSTLIELWNLMDTPIDEQKCFDHVTSLISVSPNTVMPQGCLAHDLIEKVKVEVKRLKHMKASKMKELVLKKMTELEEIYKSVHMHMDSDHEWRILTDLIDSGRADLSEMLTGMDDRITEARELALSRKEILEKVEKWTLASEEEGWLGEYERDQNRYNAGRGAHVNLKRAEKARILVSKIPWVGIFC* >Brasy8G124700.1.p pacid=40083191 transcript=Brasy8G124700.1 locus=Brasy8G124700 ID=Brasy8G124700.1.v1.1 annot-version=v1.1 MSDNICPILCWNVRGLNNAARRAAVCEIASAAHAGILCLQETKLAAFTDDLACETAGPSRRSCICLPADGTRGGVALLWDPDIVAISNPTVLRFSITATVTLLRSGIAFVLSTVYGPTDDALKPVFLQEMKDISPRHQEPWIIAGDFNLIYEARDKNNLNLCRRLMGQFRAAIDQAEIFELRCVNRPFSWSSEREQPTLVKLDRIFCNAAWDALFSPCAVHALSTAHSDHCPLLLANFSMPPRKARFRFENFWPRHPGFGDAVLAAWNEDVQSINPLRRLRVKLGRAARALRLWSKGIFSDSRFQLHLAMEIVLRLDEAQDCRPLSSPEFHLRRALKVRILGLAAIERARRRQASRQVWLKEGDANTNLVLVESVHVPLWFLSIVATGLSLEADHLMAMARSNSLTRYLM* >Brasy8G250600.1.p pacid=40083192 transcript=Brasy8G250600.1 locus=Brasy8G250600 ID=Brasy8G250600.1.v1.1 annot-version=v1.1 MAGTGTTGSGFVPDKALHALGFEYTLVTGDEVVGRLAVTDTCCQPFKMLNGGVSALIAESVASIGGYVASGGKRVAGVQLSINHVRAARLGDRVEARAKPIHAGRTIQVWEVQIWLMDPSTSECTTLVSTARVTLSVSKLPMPEQMKSYDEGIKKYARL* >Brasy8G250600.2.p pacid=40083193 transcript=Brasy8G250600.2 locus=Brasy8G250600 ID=Brasy8G250600.2.v1.1 annot-version=v1.1 MLNGGVSALIAESVASIGGYVASGGKRVAGVQLSINHVRAARLGDRVEARAKPIHAGRTIQVWEVQIWLMDPSTSECTTLVSTARVTLSVSKLPMPEQMKSYDEGIKKYARL* >Brasy8G112800.1.p pacid=40083194 transcript=Brasy8G112800.1 locus=Brasy8G112800 ID=Brasy8G112800.1.v1.1 annot-version=v1.1 MAAAAAADPDSAADQNPNAPNTGTHVPEPWVKSDEIAEEEYDDDEEEEEEELDGPAAAAVEREKVQSVFRRLSSDPVGIRVHDVIIKGNSKTREELIEAEVAELLRAAVTVQDLLRAATIASARLRGLDVFDAVNITLDAGPPELPGTTNVVVEVVEAANPVSGTAGVYSKPEARSWSLEGSLKLKNLAGYGDLWDASVAYGWDQTSELGIGVRLPRFKSISTPLMARASLLSQDWLKFSSYKERLLGLSFGLVSTMHHDLSYNLMWRTLTDPTHGASKSIRRQLGHNLLSALKYTYKIDRRDSHLRPTQGYAFLSTSQVGGLWDNKGLRFFRQEFDVRRAVPFGFYNAALNVGLGAGVILPLGRGFMNSSTPVTDRFNLGGHSSPVCSLGGISSLLGFRTRGVGPTEPRRLVPSESENDSAALPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLTAGNLAKLSENEFKNFSFAEFQRTFRSSAGVGIILPTKLFRVEVNYCYILKQSEHDCGKTGIQFSFSSPL* >Brasy8G040400.1.p pacid=40083195 transcript=Brasy8G040400.1 locus=Brasy8G040400 ID=Brasy8G040400.1.v1.1 annot-version=v1.1 MEDPSAPLLPPQGAGTGGGGDEVAEVVKEGRCRGRWWSAEEGRKVAHVALPMAAVSVAQYAVQLASNMMVGHLPGGGVLPLSASAIATSLASVSGFSLLIGMASGLETLCGQAYGAEQYSKLGVQTYRAVVTLTAVSIPISLLWVFMGKLLTIIGQDPLISREAGRYIIWLIPGLFAYAVSQPLTKFLQSQSLIIPMLWSSIATLLLHIPLSWLLVFKTSLGFIGAALAISISYWLNVFMLVGYIRSSTSCKETFSPPTVDAFSGVGVFMRLALPSALMLCFEWWSFEIIILLSGLLPNPELQTSVLSICMTTVTLMYTIAYGLGGAASTRVANELGAGNPEGARLVVRVIMLIAVTEAALITGTLLASQHILGYAYSTDKEVVEYVNAMVPFVCISVASDSLQGVLSGIARGCGCQHLGAYVNLSSFYLFGIPMSLLLGFGLTMGGKGLWMGISSGSLVQFVLLATIVFFSNWQKMSDEARESVFNGTQAKERLMSDGTVAV* >Brasy8G040400.2.p pacid=40083196 transcript=Brasy8G040400.2 locus=Brasy8G040400 ID=Brasy8G040400.2.v1.1 annot-version=v1.1 MEDPSAPLLPPQGAGTGGGGDEVAEVVKEGRCRGRWWSAEEGRKVAHVALPMAAVSVAQYAVQLASNMMVGHLPGGGVLPLSASAIATSLASVSGFSLLIGMASGLETLCGQAYGAEQYSKLGVQTYRAVVTLTAVSIPISLLWVFMGKLLTIIGQDPLISREAGRYIIWLIPGLFAYAVSQPLTKFLQSQSLIIPMLWSSIATLLLHIPLSWLLVFKTSLGFIGAALAISISYWLNVFMLVGYIRSSTSCKETFSPPTVDAFSGVGVFMRLALPSALMLCFEWWSFEIIILLSGLLPNPELQTSVLSICMTTVTLMYTIAYGLGGAASTRVANELGAGNPEGARLVVRVIMLIAVTEAALITGTLLASQHILGYAYSTDKEVVEYVNAMVPFVCISVASDSLQGVLSG* >Brasy8G274300.1.p pacid=40083197 transcript=Brasy8G274300.1 locus=Brasy8G274300 ID=Brasy8G274300.1.v1.1 annot-version=v1.1 MPRRRRAEKPRRRKKPKPEPKKASSKDSPSPSAYLVVGHGVTSPAYSVIKVNPFAADAGAGGTPIPIPPHLARLEAKHCMSFVPVRSIHGPWIVGVGGNRAPDYAPETIVFDTKTEAVIQGPKPLSTKLYPVLVPLGDKIYALARTPAVIGDVNFVPWFEVLDLSQAQVEDGRLVGCEWKDLPRPPFFPWDLTPRQYLFPREFTIKSHVAVAGSYILVSITEKISIHRPSITEQQKGTHMFDVAKNQWAKVDDKDLPFIGGAIPHGPLLFLGLSSATRRITAYKITVCSSTPSLSILEFPISTGCDDAKGEEEELLSTRRFVSLSKPADNPGFCSFRCCSDDPPSALIPELMWKDHTRELVTMTTYTTESQDCCLKSTRSLVVSNKWKQVYLVSDPLRRLTSPCLENIISF* >Brasy8G127800.1.p pacid=40083198 transcript=Brasy8G127800.1 locus=Brasy8G127800 ID=Brasy8G127800.1.v1.1 annot-version=v1.1 MLLLRPSAVRLHVPKRTERLLDDELENGRPSAMRWRRAPVRGVVCGVARRVVSVLDGVEAEDEEGLRLSRGRRRSRRSATTLARPVQPAKLEESQAPNRRLPRHWRRKTWGSLRNADERHACRTSGIPAMSRKMAKTANTVLVATEATNTMLTTAMGKTTAVAIVTKKTEKQRE* >Brasy8G014600.1.p pacid=40083199 transcript=Brasy8G014600.1 locus=Brasy8G014600 ID=Brasy8G014600.1.v1.1 annot-version=v1.1 MNCVYGTHFTMTSDQVQHLQCLLGRSSPDISYYVCAINKTFAASTQRMYFSVHFTKEFLMPYLNPPIERMHIKLGGTSLTY* >Brasy8G270700.1.p pacid=40083200 transcript=Brasy8G270700.1 locus=Brasy8G270700 ID=Brasy8G270700.1.v1.1 annot-version=v1.1 MAPISRLRILRRRSSSSPASPMSGLRIPRRRSSSSTTPMSGLRLFSSSSTPMPRLQLLPRRSSSSTSPPSRCWDPQVAFGAAIARVRAGTFSTDNAHHLFDALLRQDTPVHGRALNGFLAALARAPDSVACSEAPALVLALFNRLRREEAGPRVAPLTVHTYGILMDCCCRARRPELGPAFFAHLLRAGLKTETVEAATFLKCLCYAKRTDEAVDVLLHRMSELGSVPDVISYNTVIKSLCDDSRSQEALDMLLRMGKEGGGCSPDVVSYNTVIHGFFKEGEVSNACNLFNEMVQKGVVPNVVTYSSVIHTLCKARAMDKAELVLRQMVDNGIQPNEVTYTVIIHGYSTLGRWKEAAKMFRGMIREGLKPNTVTFSSFMSSLCKHGRSQEAAEIFHYMTTKGHKPNIVSYSVLLHGYATEGRFADMNNLFHSMADNGIVANSHCFNMLIDAHAKRGMMDEAMIIFTEMRGEGVSPDVFTYATVIAAFCRMGRLADAMEKFSEMISIGVGPNTVVYRSLIQGFCAHGDFVKAKELVSEMMKKGLPRPNIAFFNSIIHSLCNEGRVRDAEDVFNLVIHIGDRPDIVTFNSLIDGYCLVGKMHKAFGILEAMVSVGVEPNVVTYNTLVNGYCKNGRIDDGLILFREMLRKRVKPTTVSYSLVLDGLLRAGRTSAAKQMFHEMIESGTTVDIDTYKILLLGLCRNDCTDEAITLFHKLGAMDCKFDIAIVNTMINALYKVRRREEANDLFAAIATSGLVPNVYTYGVMIHNLLKEGSVEEADAMFSSMEKSGCAPSSRLINDIIRMLLQKGEMVKAGYYLSKVDGTVISLEASTTSLLMSLFSSKGKHREQMKFLPAKYQFFDGAS* >Brasy8G153800.1.p pacid=40083201 transcript=Brasy8G153800.1 locus=Brasy8G153800 ID=Brasy8G153800.1.v1.1 annot-version=v1.1 MYSSYQRRSTSDDEFIYFVLLTLEDSSQSSSSRRPMHTSRLTGACRVHEILTGHESLCKRNFRMEVPIFLALVNKLREKKYLTDTRYVSQKMQPTKHFKIGFNIAQIQYIVISKGFLKQSQISHLSTYVHLLYTRIQY* >Brasy8G151300.1.p pacid=40083202 transcript=Brasy8G151300.1 locus=Brasy8G151300 ID=Brasy8G151300.1.v1.1 annot-version=v1.1 MFGWKKWNRCGFCLVRETDKNVERLFFLLTKLRTAAVTLHCREDDALPAISPNLATPLLLRLPRPARLLRPGALALPSPRAALAPLYSAALAPRGCSALAPCRCRRPAPHSRRSAPPPSPRVAAPPPTSSPCRRPGSTAPAAERSRPAADSAAARREPSLSGSNARIEYRTPSRRWERRRSRCCHGRARAHLAAGTSPACVGEVGEVLSRRGRRRRERRAHRFRIEPTDTSDLEV* >Brasy8G123700.1.p pacid=40083203 transcript=Brasy8G123700.1 locus=Brasy8G123700 ID=Brasy8G123700.1.v1.1 annot-version=v1.1 MFLCLVIWSRRISGRFPIDSFPLSSIDLLYPVLAFRTCPRMSLEGVQRRKNDEALVVKVPVAAQVGDET* >Brasy8G214400.1.p pacid=40083204 transcript=Brasy8G214400.1 locus=Brasy8G214400 ID=Brasy8G214400.1.v1.1 annot-version=v1.1 MGRRRLSDLSDDLLRRILYFAQAKEAASTSALSRRFHTLWLSSGSVNIDSRFSYDQLDRYNKRHVIMRDIEESVVAAHSRVNGCPIKKLTFRYMEGEDDDPDHCHSGSYDDDMYDAKLDMAGRIVALLRSLSVADHVEELRVGLFYGPCTDMPIYLYELDIGTLPSMASLHKLHLSKCMDLPFPDEPTSISPSPFPNLTELRLHLCAVGLYILQAVIDATPLLLATLILDGVVLYLPSPTYSTAPRPLCLRCPDVLTTLVLADFYFQGTAGRNTMEIEAPALRRFAYEGPICSTSLKLPDIVRRVDLRLHVPAQVDHADGGTTCQLFWRFVHSFGNADIFNLSFQLPPRPRLRDHYC* >Brasy8G137600.1.p pacid=40083205 transcript=Brasy8G137600.1 locus=Brasy8G137600 ID=Brasy8G137600.1.v1.1 annot-version=v1.1 MGDHMAIFRSLRELFPQVDPRMLKAVAIEHRKDADSAVLAVLDEVMPSMTGSVGIPSFHHEAAVTMEEFVRNLSANHGATGSSSSAGSDARVNKANGSVDSAQVPSSTEIITDTQGIVLGELDVIPPYKMMSEQLHFPSHHVLELNDEKNALILDELLFKSPSENSLAHLDNPMGYIVQSPMVTSRNDSINLNAAQVQEQDSDITIPVGDCLPQDYSLKKFHCDYADIDNSFWLKIRGGVSNSEVSPEIPSIEKDAFAPLLDFPVPYIRESDVGAGGVLEQKDTNYAGIDYNKQHTEDSVEHGDTFLSSKTDLLPDLNLNPIASMSSTHSSHSISIESLKDSIVDAKNKKNDLLPSLELVTKMIGDVELLEEKAELAKREASSSCTSILTKVEELKEMLNHAKEANDMHAGEVFGERSILTTEARELQSRLQRLSDERNSYLVIIEEIRQTLEERLVAAQQEIEAAEKVKIEKEASAQALLSEQENQMNSIVEESRKLQKEAEENLKLKDFLVERGRIVDTLQGEMAVICEDVSQLKRIVDERLSWSKLQRSTISSLSSSLHSSLHRSGSSLDRTIEAVESTDKHTVAEGASPVEDTDVNERMVEMLADLENEAANPVVKDNSKKRESNEEGWEFC* >Brasy8G035400.1.p pacid=40083206 transcript=Brasy8G035400.1 locus=Brasy8G035400 ID=Brasy8G035400.1.v1.1 annot-version=v1.1 MERICQDPNSLDHIIEYGLCTEAQYPYTDAPSVYDSYCLLSYVKKWLLLKLVPQRVIPRGVASISSVQRVENTDEDLEKALDVSPVAVVMLTNDYLEYEYKGGIIKIDKLPPPTLSEIKKCTLHSVLIIGYGTDPKSGIRYWLIKNSWGHWWGEEGCFRVERDVPHTWGAGTDRHAWLGVGGIFMARGVYFTGYRDPTLGIADRSAKLSSSSAMPRNRQPGRNVSYLHLFKTRPSYGRRDCSPSSPGIAVVLD* >Brasy8G032500.1.p pacid=40083207 transcript=Brasy8G032500.1 locus=Brasy8G032500 ID=Brasy8G032500.1.v1.1 annot-version=v1.1 MAIVEAAAAAATAAVTSETAPMSPAKKKTEASDSAVARRRGWLRKLVTREYLPRRRQRKQLSSESGSAASAATAGGGSSYSYRGLTAALSRSLRWNRLPGLLSLRGGSASASAALDAVAFRVMYVVEAVVLGLALSCFFLCCGCQL* >Brasy8G124500.1.p pacid=40083208 transcript=Brasy8G124500.1 locus=Brasy8G124500 ID=Brasy8G124500.1.v1.1 annot-version=v1.1 MESSSPSVPFPLLQGPVESTYRACTIPYRFPSDNPRKATPVEIQWIELFLKSVPSFKQRAENDPTVPDAPAKAEKFAQRYTDMLEELKKNPESHGGPPDCIHLCRLRELILRELGFNDIFKKVKDEENAKAMSLFEGVVQRNDEIEDNGKRADNLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDVTYQELVEIINKLKDENGKLAGVDVSDLLVANSGNDLPVIDLSSVSPELAYMASDADLVVLEGMGRGIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNET* >Brasy8G124500.2.p pacid=40083209 transcript=Brasy8G124500.2 locus=Brasy8G124500 ID=Brasy8G124500.2.v1.1 annot-version=v1.1 MEDPPIASLRELILRELGFNDIFKKVKDEENAKAMSLFEGVVQRNDEIEDNGKRADNLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDVTYQELVEIINKLKDENGKLAGVDVSDLLVANSGNDLPVIDLSSVSPELAYMASDADLVVLEGMGRGIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNET* >Brasy8G157100.1.p pacid=40083210 transcript=Brasy8G157100.1 locus=Brasy8G157100 ID=Brasy8G157100.1.v1.1 annot-version=v1.1 MQGRLNRPNTKVQQATSSNPITSAHPLGDNNFRDTCNMLTNKSDHMYNLANNTKRVLQPSKWVCSPYETGKVNVRNEEARLYQCIMSLGSSRDYMYRIAVFFDKVTVTFRTYAESFRNEGWVEGYVLNSFCRKLFSDNHPRDSLKHFFFHTMSEYFLEKYTTEAKKEKMKESVMNAFEGAGSAMALHLSNMLYFPSLHMQHWFLFIVDLRDEKFIFLDSLFGPDSILHKETSHLLIKNFIQAWYDHGLPSQRFDEYGILYPRVPKQSNGHDCGIFVMKFMEQWDPRNQPSCSFSKDDIPSIRVKICNQIMFNKYNIQEDAKQFVWNFVPAMYIPGSQ* >Brasy8G226900.1.p pacid=40083211 transcript=Brasy8G226900.1 locus=Brasy8G226900 ID=Brasy8G226900.1.v1.1 annot-version=v1.1 MDRLDSSARLMIVSDLDQTLVDHCDPENSALLRFEALWESEYSQDSLLVFSTGRSPVSYRGLRKEKPLLTPDITIMSVGTVISYGEEMAPDAEWEEFLNNKWDRNVVAMETSKYPQLKPQPERNQGPHKVSFFVDKQGAQEVINSLPRKLEQCGLDVKIIYSSGEALDVLPRGAGKGQALVYLLEKFNSCGKPPNNVLVCGDSGNDADLFSVPSAHGVMVSNAQEELLQWYEEKGKDNSKMIHATERCASGIIQAIGHFKLGPNISARDLEFPYPKVDTIKPADVVVKFYVLYEKWRRGDVQKSPSVMQYLKSITHQNSTLIHPCGRERSIHASIDVLSSCYGDKQGKKFRAWVDRLVTSPIGTSNWLVRFVKCETEGDVRYCCRTTLLLNMKPEAPEGLELTHIHATWLEGYSATNEQTFIL* >Brasy8G226900.2.p pacid=40083212 transcript=Brasy8G226900.2 locus=Brasy8G226900 ID=Brasy8G226900.2.v1.1 annot-version=v1.1 MDRLDSSARLMIVSDLDQTLVDHCDPENSALLRFEALWESEYSQDSLLVFSTGRSPVSYRGLRKEKPLLTPDITIMSVGTVISYGEEMAPDAEWEEFLNNKWDRNVVAMETSKYPQLKPQPERNQGPHKVSFFVDKQGAQEVINSLPRKLEQCGLDVKIIYSSGEALDVLPRGAGKGQALVYLLEKFNSCGKPPNNVLVCGDSGNDADLFSVPSAHGVMVSNAQEELLQWYEEKGKDNSKMIHATERCASGIIQAIGHFKLGPNISARDLEFPYPKVDTIKPADVVVKFYVLYEKWRRGDVQKSPSVMQYLKSITGTVNPCIH* >Brasy8G226900.3.p pacid=40083213 transcript=Brasy8G226900.3 locus=Brasy8G226900 ID=Brasy8G226900.3.v1.1 annot-version=v1.1 MDRLDSSARLMIVSDLDQTLVDHCDPENSALLRFEALWESEYSQDSLLVFSTGRSPVSYRGLRKEKPLLTPDITIMSVGTVISYGEEMAPDAEWEEFLNNKWDRNVVAMETSKYPQLKPQPERNQGPHKVSFFVDKQGAQEVINSLPRKLEQCGLDVKIIYSSGEALDVLPRGAGKGQALVYLLEKFNSCGKPPNNVLVCGDSGNDADLFSVPSAHGVMVSNAQEELLQWYEEKGKDNSKMIHATERCASGIIQAIGHFKLGPNISARDLEFPYPKVDTIKPADVVVKFYVLYEKWRRGDVQKSPSVMQYLKSITVSTFRC* >Brasy8G044400.1.p pacid=40083214 transcript=Brasy8G044400.1 locus=Brasy8G044400 ID=Brasy8G044400.1.v1.1 annot-version=v1.1 MAAAATHASSLSFLLSRPHSSGPHQLRLRRPADHRVRCATDAAAAAPAKHRRAADENIREEAARHPAPNNDFSAWYEPFPPGPSSGDNPDERYSLDEIVYRSSSGGLLDVRHDMDALARFPGSYWRDLFDSRIGRTTWPYGSGVWSKKEFVLPEIDPEHIVSLFEGNSNLFWAERLGRDHLGGMKDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWIIVPGGNLGNIYAFYKGFEMCRVLGLVDRLPRLVCAQAANANPLYRYYKSGWTEFQPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVAEATEEELMNAMSLADRTGMFACPHTGVALAALFKLREQRTIGPNDRTVVVSTAHGLKFSQSKIDYHDRKIENMACKYANPPVSVKADFGAVMDVLKTRLKGKL* >Brasy8G288000.1.p pacid=40083215 transcript=Brasy8G288000.1 locus=Brasy8G288000 ID=Brasy8G288000.1.v1.1 annot-version=v1.1 MEEVAEEAREGFAAYCRRGKGRNRRAASIALAGCSPSSRPEDRGPPGRLLALASSMLRVAPTSSVPCTPPSSARCSSSSASTSTAPIWPVSSLPDLAVLHLNSHRFYGVGALRRRRGWAWGWAAPAAVGEAGGRGEVGRAGGGWGGRGRRHPGSGRGRGLLGRPGKEAPRRRRGGRRRAGVGEGDAREEREGNEGLRGVDVWSVDKVQRG* >Brasy8G278900.1.p pacid=40083216 transcript=Brasy8G278900.1 locus=Brasy8G278900 ID=Brasy8G278900.1.v1.1 annot-version=v1.1 MDYQGSNVREFLRTNGCAVLERVYNNYSLISFTQKDIEDITNGYRFLLGEGGFGKVYKGRLLDNQRPVAVKRYKNGTNKQEFAKELIVHSQINHKNVVRLLGCCTEEDALMIVMEFICNGNLDNILHCCNANGHAPFPLDRRLDIAIESAEALSYMHSMYSPVLHGDIKPANILLDENLRPKISDFGIARLISADEAQHTRNVIGCIGYMDPLFCQSGILTPKSDVYSFGVVLLEMLTRKKAAGGDTNLAQSFTEALRKGKKVRQMFDEEIASEKRDIKLLDGIAKLTAECLKMGDKIRPEMVEVADRLRTIRKVLHQRKGINSRGTNSLVLNGSGKAEDLLSPSPISMDELKEITRYFSNDNLIGVGSCSKVFFGVLKGGQKSAIKKLNPVEGIHLEIPVYSRFKHENVVQLLGYCDDGDNRALAYEYAPQGSLHDILHGKKGVRGAQPGPVLSWAQRVRIALTAASGLEFLHEKAQPCIIHHDIKSSNILLFDGGVAKIGGLGVSKHAPDYIDDTTDHLFDLTTPPRRPGYGPDGAPEHETTMKSNVYSFGVVLLELITGRKAAVVARVQAVNVPTRPVKNLVTWATPRIWESKVHTCVDPRLGGDYPPKALQKMAQIADLCVQYEMEFRPNMSNVVKALRRLLLSSPSDLPGAAELILWQEMKP* >Brasy8G143600.1.p pacid=40083217 transcript=Brasy8G143600.1 locus=Brasy8G143600 ID=Brasy8G143600.1.v1.1 annot-version=v1.1 MTVCPVITDQRRGEKEIEAGDRATNDAGSMQAKKVLAVAAAAALVLAAELALYLRFRLSRPFYLSTAGVLSASAVAALLLLLKTRGFGQSSARRRALEHGEEDYELRGVEYSFFRKVAGLPSKFSLEALALATDNFQCVAGRGSSGTVFRGLLDDGTPVAVKRIDSNNRAGSSGSNSVADREFKAEVAAIAGAQHVNLVRLLGFSLSHPRSRFLVYEFMEHGSLNRWIFPRPLGSSGGGCLPWAQRRQVAVDVAKALAYLHHDCRATVLHLDVKPENVLLDGGFRGILSDFGLSKLVGKEQSRVVTAVRGTTGYLAPEWLLGAGVTAKSDVYSYGIVLLEMVAGRRSMVREEEEGLWSYLPRIAADVAREGRVMELLDKRLVREVSAAEEAAVRRMVHVALWCAQERAGERPTMARVVEMLEGRGVLEEVEAPPPSDMVMVDLLALDRGRGGGGPFGLPARSAASSSVLSECDSFKLSYLTGR* >Brasy8G125800.1.p pacid=40083218 transcript=Brasy8G125800.1 locus=Brasy8G125800 ID=Brasy8G125800.1.v1.1 annot-version=v1.1 MASMRACVSLKPAVSPVKYRSTRVGAASLEPRSLRISSSSSSLGSAAADDCRGVGCAASISGRGDGVAAAVGLVGDGGRARRRGGGRQQQVVTMCSASMEGVRLGGAAVPGSVPAESALPERAKVVALVAAVMLLCNADRVVMSVAVVPLAAQHGWSSSFVGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAVLWSLATFLTPWAASKSAVMLLAVRVLFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVLSFLATPVIMSHIGLTGTFAFFASLGYLWLAVWLLNVESDPIDSRTISKSELQLILAGRSGSKVKGSKFPSLKEVLSRMEMWAIIVANVINNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSIVRVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVIMTVALGLSSCSQAGYFCNVQDIAPKYAGSLHGMTNGIGTVAAIVSTVGAGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD* >Brasy8G089400.1.p pacid=40083219 transcript=Brasy8G089400.1 locus=Brasy8G089400 ID=Brasy8G089400.1.v1.1 annot-version=v1.1 MEFLQGQSKETTVAVAVAVVAVAAGAAFLLLRSKKPKGCLDAENFKEFKLVQKRQISHNVAKFKFALPTPTSVLGLPIGQHISCRGKDATGEEVIKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPNDNTKIHLIYANVTYDDILLQEELDSLAKNYPDRFKIYYVLNQPPEVWDGGVGFVSKDMIQTHCPAPAADIQILRCGPPPMNKAMAAHLDDIGYTKEMQFQF* >Brasy8G243700.1.p pacid=40083220 transcript=Brasy8G243700.1 locus=Brasy8G243700 ID=Brasy8G243700.1.v1.1 annot-version=v1.1 MARLLFPLPIAASSLHLAASRLRLPVVSAARREALFGGRMGVRTPARLATRGVSASADAGGSAARASTVIGPEEALEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGSGFGHFGIAVEDVEKTVELIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKKDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAVGTDDVYKTAEVVRQNGGQITREPGPLPGISTKITACTDPDGWKSVFVDNLDFLKELEE* >Brasy8G247100.1.p pacid=40083221 transcript=Brasy8G247100.1 locus=Brasy8G247100 ID=Brasy8G247100.1.v1.1 annot-version=v1.1 MRARQHLFLAELLLAISWVLVFCDADKYEPTETILVDCGSEKDGQDAQGRKWATDKDSKWLGDGGKSSMTAAADAQDPSLPSPVPYMSARVFTKEAVYTFPVADADRHWVRLHFYPAAYHDLPAEQFFFSVSTASGVTLLRNFSVYITAKALSQAYIVREFTLPPPTAGSISLKFTPTAMNNASYAFVNGIEIISMPNIFAEPAAVVGLDSQTVDLAAGSLQTMYRLNVGGAYVASTNDSGLSREWFDDTPYIYGAATGVTFEPNGTFPIKYPSPEGEFAAPADVYATSRSMGPDGRVNRNNNLTWVFEVDANFTYVLRLHFCGLRVDRVNQVVFDIYVNNKTAQDKADIIGWSSAKDVPVFKDYAVFMPDMPGDKILWLALHPDADSEPQFLDAILNGLEIFKMSDGAGNLAGPNPDPSKMLMESEVEQGKFTAKPSNLQATLIGGAVGGAAAFGIVAAICLVVYQTKKNRALSSSPSHSSGWLPVYGGNSHTNASSGSRSAALNPNITAMCRHFSFPEIKSATKNFDEGLVIGVGGFGKVYKGVVDGDTKVAIKRSNPSSEQGVMEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKSGKPPLPWKQRLEIVIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPTAQNQSHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPGLPREQVSLADHALSCQRKGTLQDIVDPLLKGKIAPDCMKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGGDSAGGGTPPSMADSMAANAAALSLICEDMDEEDIANSVVFSQLVRPTGR* >Brasy8G113900.1.p pacid=40083222 transcript=Brasy8G113900.1 locus=Brasy8G113900 ID=Brasy8G113900.1.v1.1 annot-version=v1.1 MLYRLSGGLRRSLSTAASRPPWALIYKTSVDKSGAPSPGASFHVNEPPLVTNLTVPAHFVHPRPLPDRETGEYGFVSGKTGATSSDGFLLVCFWEARFNTPTIGDGSGSSSSAIRDLSCGELYRLPDIDGTKRTSKHHHLGLLTQSEGGHGPPDRYAVAEMFSADSCEDDGFVMRRFLSETGEWDKVVGLPSPLPPERWMHIDNAVVPFGDRLWWIDESWGAISVDPLSDRPELRFVELPRGSVLPDLDGVVFPRTLGQYRRVGVSEGKMRYVEVSKEKPFVISSFSLDDGGSSWTLDHEVAFTPIWADEHARSVLEKTPAIGAIDPLNGNVVYVLCGDQLLGLDMVKEKITESSRLAVPEAQVPLLPCVLPTWLETSQIPYAGWSKKTTDKRESLPDIDKRWTFDVKISSQIPSAGWPWSKKTTEKMWIFNGEIELETSQIPYAVLPCTLKGWPWSKKTTEKTWIFNGEIELETSQLPYAGWPWSKKTTKKTWIVNGEIELETSQIPYTGWPWSKKTTEKTWIFNVEIERLK* >Brasy8G113900.3.p pacid=40083223 transcript=Brasy8G113900.3 locus=Brasy8G113900 ID=Brasy8G113900.3.v1.1 annot-version=v1.1 MLYRLSGGLRRSLSTAASRPPWALIYKTSVDKSGAPSPGASFHVNEPPLVTNLTVPAHFVHPRPLPDRETGEYGFVSGKTGATSSDGFLLVCFWEARFNTPTIGDGSGSSSSAIRDLSCGELYRLPDIDGTKRTSKHHHLGLLTQSEGGHGPPDRYAVAEMFSADSCEDDGFVMRRFLSETGEWDKVVGLPSPLPPERWMHIDNAVVPFGDRLWWIDESWGAISVDPLSDRPELRFVELPRGSVLPDLDGVVFPRTLGQYRRVGVSEGKMRYVEVSKEKPFVISSFSLDDGGSSWTLDHEVAFTPIWADEHARSVLEKTPAIGAIDPLNGNVVYVLCGDQLLGLDMVKEKITESSRLAVPEAQVPLLPCVLPTWLETSQIPYAGWSKKTTDKRESLPDIDKRWTFDVKISSQIPSAGWPWSKKTTEKMWIFNGEIELETSQIPYAGWPWSKKTTEKTWIFNGEIELETSQLPYAGWPWSKKTTKKTWIVNGEIELETSQIPYTGWPWSKKTTEKTWIFNVEIERLK* >Brasy8G113900.2.p pacid=40083224 transcript=Brasy8G113900.2 locus=Brasy8G113900 ID=Brasy8G113900.2.v1.1 annot-version=v1.1 MLYRLSGGLRRSLSTAASRPPWALIYKTSVDKSGAPSPGASFHVNEPPLVTNLTVPAHFVHPRPLPDRETGEYGFVSGKTGATSSDGFLLVCFWEARFNTPTIGDGSGSSSSAIRDLSCGELYRLPDIDGTKRTSKHHHLGLLTQSEGGHGPPDRYAVAEMFSADSCEDDGFVMRRFLSETGEWDKVVGLPSPLPPERWMHIDNAVVPFGDRLWWIDESWGAISVDPLSDRPELRFVELPRGSVLPDLDGVVFPRTLGQYRRVGVSEGKMRYVEVSKEKPFVISSFSLDDGGSSWTLDHEVAFTPIWADEHARSVLEKTPAIGAIDPLNGNVVYVLCGDQLLGLDMVKEKITESSRLAVPEAQVPLLPCVLPTWLETSQIPYAGWSKKTTDKRESLPDIDKRWTFDVKISSQIPSAGWPWSKKTTEKMWIFNGEIELETSQIPYAGWPWSKKTTEKTWIFNGEIELETSQLPYAGWPWSKKTTKKTWIVNGEIELETSQIPYTGIAKKKSDRVALED* >Brasy8G082400.1.p pacid=40083225 transcript=Brasy8G082400.1 locus=Brasy8G082400 ID=Brasy8G082400.1.v1.1 annot-version=v1.1 MQEIMRGGDQRSGRGGVVVSGGGGAGADGGRPYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVDAALAYDRAAVALRGTKARTNFGSGSGSGTGLLHLHPQHQQHPRPAAPVPAATFGGLDVTHPSPWHFVYYPSRLQDFLTQAPGPVAREVAPSLPSTVLELRTGPSATPTFDLNEPPSLLFGS* >Brasy8G023500.1.p pacid=40083226 transcript=Brasy8G023500.1 locus=Brasy8G023500 ID=Brasy8G023500.1.v1.1 annot-version=v1.1 MASSSVSGERDAAAAARSNVSGEEAAVARAGAAGGGGGGSRRRGGRRRRGLAPARWEEAAAAEGGRGAGQCRSWKSRIRPTRHSTGASPALGFSLPAGRRARSEVPVPAGGGSSQPRGRRSWRGLAVREEEAEASGRERRGRRERDARLEG* >Brasy8G064100.1.p pacid=40083227 transcript=Brasy8G064100.1 locus=Brasy8G064100 ID=Brasy8G064100.1.v1.1 annot-version=v1.1 MAGRNYQGHGGGGGATASMAVVSTPSQELALTNCAYVSPADIRRFPNALAIVGDVLVFALRAHDAVAIGSIALNAIQRRQAKVSAGDSITVSSFAPPDDFKLALLTLELEYTKARSNRAEDLDAVLLAQQLRKRFLDQVMTTGQRVPFEFYGTNYIFTVNQALLEGQESSTPLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSSEFTDIFRRAFASRVFPPHVVSKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKAQGDHSDLHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTSKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFVNGLHEITPAFGASTDDLERCRLRGIVDCGNAHKHIFQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSAMSATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLMVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAAKVLRHLNVFDEGDIDSAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAIYSGEEKIDINHFFSILSDIIRY* >Brasy8G185600.1.p pacid=40083228 transcript=Brasy8G185600.1 locus=Brasy8G185600 ID=Brasy8G185600.1.v1.1 annot-version=v1.1 MRTAAVALLPLLCCFAFAGGAAVWGEEGGRAMAAVEVDPSWRFPSPRLRDAYVALQTWKQRAIFSDPKGLTADWIGPGVCNYTGVFCAPLPSSPGELSVAGIDLNHGDIAGYLPPELGLLADLALLHLNSNRFCGLVPDALRRLRLLHELDLSNNRFVGAFPALVLDLPALRFLDLRYNDFEGGVPRELFDRPLDAIFLNHNRLRFSLPDNFGNSPVSVIVLADNQFGGCLPASLGNMSDTLNEILLINNGLSSCLPPEVGLLREVTVFDVSFNALAGPLPQELTGMRSVEQLDVAHNLLSGSVPEAVCGLPRLKNFTFAYNFFTGEPPSCARVVPADGGDRRNCLPNRPAQRMPQQCAAFYARPPVDCAAFQCKPFVPPPPPPPPAYPGPLPPVYPMPYASPPPPAHYR* >Brasy8G060300.1.p pacid=40083229 transcript=Brasy8G060300.1 locus=Brasy8G060300 ID=Brasy8G060300.1.v1.1 annot-version=v1.1 MAATSWLAFVCLASAAAAGVLQARAQPDSKGFISIDCGLPGETGYVNDTTKLSYATDAGFIDAGTNHNISAEYITPSLVRSWYNVRSFPSPAGTRNCYTHRSIERGLKYLIRARFKYGNYDGLDRPPVFDLYVGVNFWTAVNVTRPEDYVQVCLVNTGAGTRRSSPGSI* >Brasy8G222100.1.p pacid=40083230 transcript=Brasy8G222100.1 locus=Brasy8G222100 ID=Brasy8G222100.1.v1.1 annot-version=v1.1 MIKAAFTALLAILASMGAYTDAAPTASAPTIERTCKAAAALDARVDAEFCEIHLVSYHGAADVPDPWGLAKTSALIGVTLTDDVLYDLKDPVGKKSSRLLPPPRDGTKDPAAAAACAGAYDKAGAAFADAFDDLAARRYPAAKAKMARVPGFLKGCDDALAGVGIKPTRALKRYGADCQQMAFILAAITGLIK* >Brasy8G099000.1.p pacid=40083231 transcript=Brasy8G099000.1 locus=Brasy8G099000 ID=Brasy8G099000.1.v1.1 annot-version=v1.1 MISAQVQKDSSILNRTMVKIFKGIPSVLFCFLLHHNFPSDIYCHIIIRSNAKLDATCDNVNKCHKQRCKSMCGAAHE* >Brasy8G065100.1.p pacid=40083232 transcript=Brasy8G065100.1 locus=Brasy8G065100 ID=Brasy8G065100.1.v1.1 annot-version=v1.1 MDEELQEADVLWPESSTPPPWRYPPELLFYEATAAAAPVISSRESFGSELAAAASSWSSASSSSSSVPPFGAPRSSPDGGGGGFLSGPSTFSDHGHGGGYEAEEEFLEADVLWPEDDENGSGERRRLWWLCCGFGDDAVGHGPSAAGGKREEAWRPCLVSSPIDIPTGVVAARRFRPAAGRAIGMD* >Brasy8G178900.1.p pacid=40083233 transcript=Brasy8G178900.1 locus=Brasy8G178900 ID=Brasy8G178900.1.v1.1 annot-version=v1.1 MRLLAWYSNSLRVGSRPSRSPKSTPKHIAIAAIKCALPRPVGSHRSAAATEMAYRRKPQPQPPFERQQQPTPVSPSSPSQDSLAAQAMRASAAHRDASSLSSAYSSSSAASAAAAARRSHEPSVSTPSPDSSGYGYTSMKSLNEAKYGFWGTLARKAKSFIDEDGSPGQYDSPVRQQPPRDGSSLGVQVKIPRSQQPPAETWKSETPPSQKRSEALASSLNYIGGTIKSVLEEGRTIVENKTTNIIQETRKLNTRRKGAGSDPNEEVAQKFAQRNFPPNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRESQDNGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAAARTGAGLGRRATPKPASSSMSSTSVIPESCSIVSASPKSLSRASSLSK* >Brasy8G178900.3.p pacid=40083234 transcript=Brasy8G178900.3 locus=Brasy8G178900 ID=Brasy8G178900.3.v1.1 annot-version=v1.1 MRLLAWYSNSLRVGSRPSRSPKSTPKHIAIAAIKCALPRPVGSHRSAAATEMAYRRKPQPQPPFERQQQPTPVSPSSPSQDSLAAQAMRASAAHRDASSLSSAYSSSSAASAAAAARRSHEPSVSTPSPDSSGYGYTSMKSLNEAKYGFWGTLARKAKSFIDEDGSPGQYDSPVRQQPPRDGSSLGVQIPRSQQPPAETWKSETPPSQKRSEALASSLNYIGGTIKSVLEEGRTIVENKTTNIIQETRKLNTRRKGAGSDPNEEVAQKFAQRNFPPNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRESQDNGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAAARTGAGLGRRATPKPASSSMSSTSVIPESCSIVSASPKSLSRASSLSK* >Brasy8G178900.2.p pacid=40083235 transcript=Brasy8G178900.2 locus=Brasy8G178900 ID=Brasy8G178900.2.v1.1 annot-version=v1.1 MDSSGYGYTSMKSLNEAKYGFWGTLARKAKSFIDEDGSPGQYDSPVRQQPPRDGSSLGVQIPRSQQPPAETWKSETPPSQKRSEALASSLNYIGGTIKSVLEEGRTIVENKTTNIIQETRKLNTRRKGAGSDPNEEVAQKFAQRNFPPNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRESQDNGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAAARTGAGLGRRATPKPASSSMSSTSVIPESCSIVSASPKSLSRASSLSK* >Brasy8G003000.1.p pacid=40083236 transcript=Brasy8G003000.1 locus=Brasy8G003000 ID=Brasy8G003000.1.v1.1 annot-version=v1.1 MENGSHGSVLCQLVSPEGEHLEAPVYLPHNVGPPQLQDIVNKLLHNEEKLPYAFYIGDEELSLQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGKHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQSPSRRFVSASKDGDARIWDITTRKCVISLAGHTNAVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSSAEEMKEAALARYEKMRGNAPERLVSGSDDFTMFLWEPAISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFIAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVVSGGKDRALKLWMN* >Brasy8G086000.1.p pacid=40083237 transcript=Brasy8G086000.1 locus=Brasy8G086000 ID=Brasy8G086000.1.v1.1 annot-version=v1.1 MASRHQNAAAAPQPANRGAAVPAAKQKAAAAGRPEARNRRALGDIGNLVQPQALDCLKEGINRPITRSFGAQLLKNAQANGAVANKVAIAPARQAAAPKPAKKAPAKAAPQPEKAKITTIPDQAKKPSEAVASSSAQKASRKKVVDTLTKVLTARSKVACGLTGRPKEPVEDIDELDKNNELAVVDYIEDIYKFYMTAQHESRPVEYMGNQPEINPKMRAILADWIVEVTHKFELMPETLYLTIYIVDMFLSVQQVPRRELQLVGVAAMLIACKYEEIWAPEVNDFISISDNAYSRQQILGMEKSILNKMAWNLTVPTPYVFLVRFVKAAGSDKELEHMVFFFAEMALKEYRMVSLCPSLVAASAVYAARCTLKKSPIWTGTLEHHTTFNETQLLEPAKVLVNAHAAAPESKLRAIYKKYATEQFGRVALHPPAVAAQGV* >Brasy8G166800.1.p pacid=40083238 transcript=Brasy8G166800.1 locus=Brasy8G166800 ID=Brasy8G166800.1.v1.1 annot-version=v1.1 MATSHLLAAAVTSSSSAAAFRRPLRLLSPPPPSLTLSRRRPFPVVRAADADAKETTKPKAPEKAPAAGSSFNQLLGIKGAKEESNIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTCLYSIAGLGIAIVNDFKSIEGDRTLGLQSLPVAFGMDTAKWICVGAIDITQLSVAGYLLSTGKLYYALALLGLTIPQVILQFQYFLKDPVKYDVKYQASAQPFFVFGLLVTALATSH* >Brasy8G166800.2.p pacid=40083239 transcript=Brasy8G166800.2 locus=Brasy8G166800 ID=Brasy8G166800.2.v1.1 annot-version=v1.1 MATSHLLAAAVTSSSSAAAFRRPLRLLSPPPPSLTLSRRRPFPVVRAADADAKETTKPKAPEKAPAAGSSFNQLLGIKGAKEESNIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTCLYSIAGLGIAIVNDFKSIEGDRTLGLQATF* >Brasy8G023700.1.p pacid=40083240 transcript=Brasy8G023700.1 locus=Brasy8G023700 ID=Brasy8G023700.1.v1.1 annot-version=v1.1 MAEPVVASLIHGIGSLLSSRVTAHGRRLWAISRDVGWLRDELHSMQPFLHKMEAFSTDGSSVATDAWIDQMRDIMLDSEDAVDIFDAGQVRGVLDKLRSRHDVGARIRRIRAQLSDISRRRLEYAVERPRESTDKWIHGLLASSPLVHDRDIVGLDRDLEVLLQHILDGGLELSVESLVGMGGVGKTTLAKRMYNNPDVKKHFNCCSWIYVSKTMELRGVFCEMVKGLTGIPSAEASSLGERQLQELLLSGLDGKSFLLVFDDVWDRGFWDIIKLVLPRNCSGSRVLLTTRNAVVAGSVIGAKSNVHRLQPLSSEDSWKLFCKKAFLQDGICPDGLKETAKDIVKKCVGLPLAIVAAGSMMSGKEQTDTEWKSVLASIQKDLSNGQMGIQQTLLLSYRDLPDPLKPCFMLLSVIPYDSQISRKKLVRLWIAEGFVKEKSDETLEMTAEKYLMELINRSMIEVATASSSGRVKACRVHDLLHDLAISMSENERFSIICADKVPSVSARRVSLQTSNVSFSNKHKKRLRSVFMFSNSAPTVMKCKVIARSFGLVRILDLEDGNVLKLPKEIGSLLHLRYLGLRGTKLKKLPKTLHKLHHLQTLDIRRTQIKKITFQIKYLENLRHLEMKQNDQSIHVPIGLAQLDKLQMLTGLQASTAVVCEIASLTQLKKLSIKDLNSEDAKELCSSVNNMKELSYLSIFPSDGTRPLDLAMLKPSSCLQKLHLAGSLQALPDWFPQLLNLTKLRLSFSQLQDDPLSVLVRLPNLLFLQLNNAYKGKVMRCCCSGFLKLRIFIITELEELEEWDVDEGAMPCVQEVWIMSCAKLTAIPVGFQSLATLQRLRLVGMPSSFLGRLRDRGDDYFRVKHIPSIQIIQQFG* >Brasy8G128700.1.p pacid=40083241 transcript=Brasy8G128700.1 locus=Brasy8G128700 ID=Brasy8G128700.1.v1.1 annot-version=v1.1 MFIARRGPLIVHSLPKPTKRLTAHVSDRPWQRATSRKLQPARITPLALASQSGDDSSIPMAVAAAADESAGELLLRAAALVPPAHYALAALVLASAFLYRFLELHVLGDLLRGFRGGRVALTFHPDSQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLGIWGRPPSLTYRRQLYTVRDGGTIALDWLLAFDSEAAVVGSCDEIISNDDSTPLVLVIPGLTSDSTAAYVKHLVFSIASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDIREVVNYLHQKYPEAPLFTVGTSIGANILVKYLGEEGESTPVAGAASICSPWDLLVTNRFISRKLVQRFYDRALAIGLKGYAKLHQPVLARLANWEAISTSRSTREFDHHATCVVAKYETVDTFYRRCSSANYIGSVSVPLLCISALDDPLCTREAIPWDECRANKNVVLATTPNGGHLGFFQGLTAGRLWWVEPVSEFLSALHDSPCMHRPKTQEHGMHSSLESSIDKGPYVNFMEDGMVAALTNEDTDIRDSSNNQIVHKIEPSDGIVDVQQNGVTTELQDECHSTVKNRSSSENNVTPAQGPVGSQEQREELSIDKVQDVMAPVKKSINQLIRSQGRSVWWLAYIAVVTSWPLLGALGFILFRKKLRNSLPAKWIRS* >Brasy8G240200.1.p pacid=40083242 transcript=Brasy8G240200.1 locus=Brasy8G240200 ID=Brasy8G240200.1.v1.1 annot-version=v1.1 MARNGGANVFAAFLCLLSLAAMVKLASAGHDYGMALRKSILYFEAQRSGMLPADQKVTWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTVMSWSILEYGKQMAAAGELQNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQNPGSDLAGETAAAMAAASMIFRTTYPGYANLLLEHSKQLFEFADKYRGKYDASIPVARNYYGSFSGYGDELLWAAAWLFEATEERCYLEYLATNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHADALRRYAQKAESFVCSCVGKGAANVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYTTVAGRGAVRCPAGAAWPYEILTFVKSQVNYILGDNPRGTSYMVGYGSSYPRQVHHRGASIVSVKRDPSFVSCQEGYSSWYGSQAGNPNVLEGAVVGGPDEYDDFADERNNYQQTEAATYNSAPLLGVLARLAGACGGLNEDSLPEVFPSPANHTLRPAYHRHRQASSEHLEIAQNVTRTWAARRRTYYRYSVTVTNRSRKTVRGLHLGISELSGRLWGLEKARYGYVPQRWLQAALRPGRSVRFGYMQSGPPANVWVTGYKLV* >Brasy8G056900.1.p pacid=40083243 transcript=Brasy8G056900.1 locus=Brasy8G056900 ID=Brasy8G056900.1.v1.1 annot-version=v1.1 MGCAGSKPRVDESGKKLRKPKPWKHTQPLTPAQLKQMRDEFWDTAPHYGGQKEIWDALRAATEADLPLAQTIVDSAGIIVSNSDLTLCYDERGAKYELPKYVLSEPTNLIPVG* >Brasy8G053900.1.p pacid=40083244 transcript=Brasy8G053900.1 locus=Brasy8G053900 ID=Brasy8G053900.1.v1.1 annot-version=v1.1 MIQNTEKNILLLNQARLQALEHADKILKEKEALQRKITILETRLSETDAQLKLSAEGNFDTEINDSPLVLEFDVLKEENMLLKEDIEFLKTKLIEVAETEESILKLEKERALLDASLRELESRFIAAQADTLKLGPRKYDTWWDKVEKLEDLLENTANQVEHAAMILDHNHDLQDKLDKLQASLRAANISKFSCYLVDLLQQKVKSVEERFQACNHEMHSQIELYEHSIVGFHETLSKLIEESEKRSLENFTGNMPSELWSRISLLIDGWLLEKKISYNDANMLREMAWKRDSRLREAYLSCRGTENGEVMDKFIKMALPGTSSGLHIAHIAAEMAPVAKVGGLADVISGLAKALQKKGHLVEIILPKYDCMQLDQVNNLKALDVLLQSYFEGSMFNNKIWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRFSYFSRAALELLYQSGKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPARDLAWCGLDVEHLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKVHSRKFLGILNGIDTDTWNPSTDRYLKVQYNANDLLGKAANKAALRKQLNLASAYPSQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPHIQKEFEGIADHFQNNNNIRLILKYDDALSHCIYASSDMFIVPSIFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPIEVRNGFTFVKADEQGLSSAMERAFNCYTRKPEVWKQLVQKDMMIDFSWDTSASQYEDIYQRAAARARAAA* >Brasy8G128400.1.p pacid=40083245 transcript=Brasy8G128400.1 locus=Brasy8G128400 ID=Brasy8G128400.1.v1.1 annot-version=v1.1 MAGKMDQTMIIVCAVVGSLGVLSAILGFSAEGTKLTLSDILLLDGACLYPQNPALALGVCASIFLVMAQITVAAVGGCCGCCKSRAMPSETRRIAGVVCAVISWIAAVAAFAMLVEGAAWNANVARDTYPVCYVLKDGIFAGAAVLTLVATALGLTSYVLLRGKPAEAAAAAATAEPKTGEQPGAGAGVAMGQPQFPPPPVPQGYGHGQAPPNYPQYNTSPQGYGQFPPPAQGYGAPNQQFPPQGYGAHAPNQQFPPPAAQGYGSHAPNQQFSTPPAPAQGYGSHAQVPPPPKGHEQV* >Brasy8G247500.1.p pacid=40083246 transcript=Brasy8G247500.1 locus=Brasy8G247500 ID=Brasy8G247500.1.v1.1 annot-version=v1.1 MSSVATQEAASGSASASQEKAASRNKRKYRAEPPSGELGPFGLEYPLTTDCVGFEFMSPEKAAMAAYATASEGANLDFTPSACDGCKAVHGTAEELLECQRHVNWSDPNEAQLEEILLKCLDTTFDNAVSVIISMGYSEAGARAAVVRAAAQYTWRESLAGFSEGAVEVLKSEGDMLPMDGSSLEDMRKIEKAVLGSLVAVVNEAQPFYTTGDAMFCLLMSDMNVAHACAMDYSSASLPPVGAQVVAQPVVGNHEPGPSSDVSVKITNPQTGVTFRGKLTPVPPASLNMPSGKPSISGKMHPLSPNLKHKEHPVAMPDHSEDQPFVAAATQSVKDDKPFSSKRGSSKRDSLHRQKLMSFDKNSRALGSKGSLRSGKHSSSGIAALERKCRPFADATTSSLKGPAKVGKGFAAGMTGSEYSGDLSFTATGTIAPLPSFDTKTTSSTDSASTASTELSLSLPLPLPSSSDVSAPSLSQDSKTEAVDPSSKINFTYDENQKVWIPQEKKDEMVLVLVQRQKELQAHMRDWTEWAMEKVMLVTRRLAKEKEELQSLRKEKEEADRLQEERHCLEESTRKKLLEMESAISRANTQLDKADAAGRRRITENTQLRMQMEAAKRHAAESAANFVELSKKDESSLKRSQHWESERTLLQEELAAGKSKLSRVQQQLQSSKEKKEQLKVRWRQEEAAKAEAIARVTSERKERDQIETSLRSEENFLHLKAENDMQRFKSEIRALEHQITQLELSMDALDEAGVPSDKIRSLSLSEGRKIGNTQILAKVAAAASQDLDLDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHEKQGMKECPSCRTHIQRRVCARPAGC* >Brasy8G051000.1.p pacid=40083247 transcript=Brasy8G051000.1 locus=Brasy8G051000 ID=Brasy8G051000.1.v1.1 annot-version=v1.1 MKTRAMASSARVLFLAAALLALAMASSVEARPQCTLAVTEFAACADLRLQLGPGPMLPDANNNRCCRRIKELPSPEAADCLCLAFQARVRAGDDVKAVFKFCAKELDPAFDNCALI* >Brasy8G032900.1.p pacid=40083248 transcript=Brasy8G032900.1 locus=Brasy8G032900 ID=Brasy8G032900.1.v1.1 annot-version=v1.1 MLLLGRKRLLPALSGCVVLLLVNPTHGASDNLADQNQSDNAVQPLELTPRLSLQLKLHAFLLWSSVGFLMPAGVLLIRVSSNVRSPQTARVLFYCHVASQMVGVILATAGAALSVKNFENAFDNAHQRVGLLLYGLLWLQPLIGFLRPDRGLKVRSVWYFGHWFLGITVCVVGVANVYTGLHAYKERTGRSVKLWTLLLTAEVSAMALVYLVQDRWDHVVRQRQRQRRRQEEGEEEAGGDERSSSEGTVAVAAYPANDHKEVAVVMA* >Brasy8G275900.1.p pacid=40083249 transcript=Brasy8G275900.1 locus=Brasy8G275900 ID=Brasy8G275900.1.v1.1 annot-version=v1.1 MSSVQGDLVQQMVLDHGSVSFGRFAAVQREQQQVLPLKQLSTWWHQTKITRIEDSCAYLHPRVQGLYLSISKFCNELVRNI* >Brasy8G109300.1.p pacid=40083250 transcript=Brasy8G109300.1 locus=Brasy8G109300 ID=Brasy8G109300.1.v1.1 annot-version=v1.1 MDVNEEAMAANKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLTIGMDDLRNHNLDLARRVIRNPGEFMQPASDAVTEVARNLDPKFLKEGERVLVGFTGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPVTGNFLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCDYKDHQTLSMQEVPENAAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGLYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGSYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDAEIDRQISEHVARMHRYCTDDGGARSLDKSGYAEEEDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIFHKELTEMEDREQREMEKQQAEHDADASGGTADGHGGATAGTADGHGSSGNDPMDVDVGNASNGQDVSSPRIEAFEAILGQHVLANHIDQISIDDIEQTVNREAAAPYTRGQVEFILERMQDANRIMIRDGIVRII* >Brasy8G103300.1.p pacid=40083251 transcript=Brasy8G103300.1 locus=Brasy8G103300 ID=Brasy8G103300.1.v1.1 annot-version=v1.1 MESAMEKVWDSGRRMSRSIGRGMGMETWGVDEAFLHGGGGSRRGSRGRAGADDDEEALRWAAIERLPTYSRMRTAILSAEAAAASAADQGDSNKQQQQQQYKEVDVRKLGVGERQEFIERVFRVAEEDNQRFLQKLRDRIDRVGIELPTVEVRFERLTVEARCHVGSRALPTLLNTARNMAEGALGLLGARLGRQATLTILKDVSGAIRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLACSGEVAYNGFPLEEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPEAFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKQRPYRYISVSEFARRFKRFHVGLQLENHLSVPFDKSRSHQAALVFSKHSVSTRELVKASFDKEWLLIKRNSFVYIFKTIQLIIVALIASTVFLRTQMHTRNLDDGFVYIGALLFTLIVNMFNGFAELSLTITRLPVFYKHRDLLFYPAWIFTLPNVVLRIPFSIIESIVWVVVTYYTMGFAPEADRFFKQLLLVFLIQQMAGGLFRAIAGLCRSMIIAQTGGALFLLIFFVLGGFLLPKDFIPKWWIWGYWISPLVYGYNALAVNEFYAPRWMNKFVMDKNGVPKRLGIAMLEGANIFTDKNWFWIGAAGLLGFSIFFNVLFTLSLAYLNPLGKPQAVISEETAKEAEGNGVPKDTVRNGSTKRNGSTKRTSSTKSADGGNNNELREVRLSSRLSNSSSNGIARVMSVGSNEAAPRRGMVLPFSPLSMCFDDVNYYVDMPAEMKQQGVTDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPENIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFADYYKTSDLYKQNKVLVNRLSQPEPGTSDLHFPTEYSQSIIGQFKACLWKHWLTYWRSPDYNLVRFSFTLFTALLLGSIFWKIGTKMGDANTLRMVIGAMYTAVMFVGINNCATVQPIVSIERTVFYRERAAGMYAAMPYAIAQVVMEIPYVFVQASYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWICPLAWTVYGLIVTQYGDLEEIISVPGQSNQTISYYVTHHFGYHRSFMAVVAPVLVLFAVFFAFMYALCIKKLNFQQR* >Brasy8G158900.1.p pacid=40083252 transcript=Brasy8G158900.1 locus=Brasy8G158900 ID=Brasy8G158900.1.v1.1 annot-version=v1.1 MLQCVFLLSDSGEVMVEKQMTAHRVDRAICGWFWEYVLAHAAGDPSKVLQVVVSPTHYLFQVYRHGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAQPNIVSKMLNVVTGKSSAIGNKLPDATASFVPWRTTVVKDASNEAYVSVVEELDACVNREGVLVKCEACGDIVVNSSLPGVPELTLSFANPTIINAVRFHPCVRFRPWESSQILSFVPPDGQFKLMSYRVKKLKTTPIYVKPQLSSDSGNCRVNVMVGIRNDPGKPIDSITVQFQLPPLIASADLTANHGTVDILANQTCLWTIGHIPKDKAPSLSGNLRLEEGLAHLHVFPTFQVKFRIVGVALSGLQIDRLEVKNTPSAPYKGFRAQTQAGRIGGILKIDPLVA* >Brasy8G158900.2.p pacid=40083253 transcript=Brasy8G158900.2 locus=Brasy8G158900 ID=Brasy8G158900.2.v1.1 annot-version=v1.1 MLQCVFLLSDSGEVMVEKQMTAHRVDRAICGWFWEYVLAHAAGDPSKVLQVVVSPTHYLFQVYRHGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAQPNIVSKMLNVVTGKSSAIGNKLPDATASFVPWRTTVVKDASNEAYVSVVEELDACVNREGVLVKCEACGDIVVNSSLPGVPELTLSFANPTIINAVRFHPCVRFRPWESSQILSFVPPDGQFKLMSYRVKKLKTTPIYVKPQLSSDSGNCRVNVMVGIRNDPGKPIDSITVQFQLPPLIASADLTANHGTVDILANQTCLWTIGHIPKDKAPSLSGNLRLEEGLAHLHVFPTFQVKFRIVGVALSGLQIDRLEVKNTPSAPYKGFRAQTQAGRYEVRS* >Brasy8G153100.1.p pacid=40083254 transcript=Brasy8G153100.1 locus=Brasy8G153100 ID=Brasy8G153100.1.v1.1 annot-version=v1.1 MSADDIEIIDLFCLVLLLWNFFSLLFVVRIYLNVSNDWHTAVAYQGRFHSADFELLNLPKCFMPSLDFIDGHVKPVVGRKINRMKAGITECDLVLAVSPRYVKELTSGPAKGVELDSILRTKPLETGIINGMNVHDWNPATNKCISSNTMLQQQVTEARLSIKKCYKLEV* >Brasy8G029700.1.p pacid=40083255 transcript=Brasy8G029700.1 locus=Brasy8G029700 ID=Brasy8G029700.1.v1.1 annot-version=v1.1 MNGGGMAPPAAVAAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLITLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLLLSAVLVFGATVYALTRPRPVYLVDFACYKPPPHLKVSFQEFLRHSGLCGFSDDALDFQRKILERSGLSEETYCPEGMHAIPPEPTMANARAEAESVMFGALDSLFSATGVKPKDVGILVVNCSLFNPTPSLSAMIVNRYKLRGNVRSFNLGGMGCSAGVIAIDLARDMLQVHRSTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGSAVLLSNRGADRRRAKYSLRHVVRTHKGADDKAFNCVYQEQDAEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVSKKLFNAKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGDRIWQIAFGSGFKCNSAVWHALRNVKPAANSPWEDCIDRYPVELVDGFPTHNHKQQ* >Brasy8G054300.1.p pacid=40083256 transcript=Brasy8G054300.1 locus=Brasy8G054300 ID=Brasy8G054300.1.v1.1 annot-version=v1.1 MDSLVSAVFGDLLGRAISFVVDKCREQAAAAEGDPQRLQLLLMRMHTIVEEAEGRSITNQGMIRQVGVMRERMYRGYYLLDAFRCKEEKAGGDDEVSRCLFAPSEYNPAKRLRRVCSINPIESVVVCRESSKELKNAILDIENMVADMKEFAIFLMSYPRMYRQPNNAYLFLEKCMFGRHMEKEQTISFLLQAEPLGSGHLGVLPIVGPAFIGKSTLVEHVCYDERVRNHFSLILLYHGNNLKDETGATFRENCVIKHQNTASDEERLLVIIELLGDVDKGAWKKLLHSSEGFMTCGSKIIITSRSEKVVSLGTTEAVRLKCLSKEAFWYFFKTIVFGSTDPDEHPKLTSIAMELALDMPRSFMCAYVVAALLRAANLSVRSWCKVHTHFREYMRKNTLLFGEFPEDDQPRHIWSMEETQQGSEDLEFLLLGDSYQKGPDAHGKVPQITVVDLVSGARSFRPRGRFEVLFWRSRIPPYYSYASACEFVRHKNTTTA* >Brasy8G054200.1.p pacid=40083257 transcript=Brasy8G054200.1 locus=Brasy8G054200 ID=Brasy8G054200.1.v1.1 annot-version=v1.1 MPAGHPQPQAHEPNGDAAGSASHSNHRSSPSAPHPHPLPLPAEVVPAFPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLASLTAHPSLRAAVVADLLAARARDPACVGFSHCLLNFKGFLAIQAHRVAHVLWAQNRRPLALALQSRVADVFAVDIHPAAVVGKAILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKMVGDRHPKIGDGVLIGAGATILGNVMIGAGAKIGAGSVVLIDVPARSTAVGNPARLIGRRKGEGEKDEAMPGESMDHTSFIRQWSDYTI* >Brasy8G004200.1.p pacid=40083258 transcript=Brasy8G004200.1 locus=Brasy8G004200 ID=Brasy8G004200.1.v1.1 annot-version=v1.1 MATPRSKETELKIDDKNLVSSAGSSGDGGDEPTPPQPPRLPLVPAPSPSHDPTAHSTSTPPLQPVRQPPPPTGKDTNEGSANKDLGARKDDGDWEMEDVEIVDDEDCEGEKRKNWNQLLDGKRTYLRPRKGRPVLKKVFRRPDSRKKTLGCQKPLSELVRESSNNHIIQDKIKILSQHYLLFRRTRKDGSCFYRALLFSYLENLGQMQDSLAEVTRLMECVEMSREKFSRLKWDKAYFLNPEEYLSSVVSEFYHLVSSVANGLSSDKLYERSLEEMMTLRILSFLRLLTEIELRTQEVYKSLFISEGMNVDQFCLEAVRPLDAEALIIQMRALTRALGIPLRVEVVDKSLVAQAVQVKHLDFFPPSESGKGPHHLTESYHSSGTAPKLLERGRKDDLLSSDGTPLLTLLTRRGRCDILYPQVNKLC* >Brasy8G044000.1.p pacid=40083259 transcript=Brasy8G044000.1 locus=Brasy8G044000 ID=Brasy8G044000.1.v1.1 annot-version=v1.1 MASRSKAEVVDMLRKHTYGDELALFFASASSSSAPAPPDYFSPSSSSPAPAAAARREAREQLFEKTVTPSDVGKLNRLVIPKQHAQKHFPLQQLGSGSGESNKGMLLNFEDGGGKAWRFRYSYWNSSQSYVLTKGWSRFVKEKGLCAGDAVGFYRSASGNGSQQQLFIDCKLRSTKADTTTLSPRPAAVRAVRLFGVDLLAAAPAPELETKTSKIRSRDHSEATPDPTHAVVFKRRCIDFALT* >Brasy8G009300.1.p pacid=40083260 transcript=Brasy8G009300.1 locus=Brasy8G009300 ID=Brasy8G009300.1.v1.1 annot-version=v1.1 MRLISWNCRGMGGGLGSKKMEYLARLISSTKAQVSHLEGFNIYSDAAWKPSHLNLEGQAHAGLGIFIESRIPGQRWSAQVQASSPLVSSAIQAEAKGVLLAASILSYLNQQEAKLITDNQCIALACQQADVVSHVGHWSTRHEIIQISSILSRSKSSMIHTPRDNNTVAHHLATDALKFSGCSTVYSCINRNHRATVCPLAQLCNIQSLDLFRLTSVLCC* >Brasy8G005600.1.p pacid=40083261 transcript=Brasy8G005600.1 locus=Brasy8G005600 ID=Brasy8G005600.1.v1.1 annot-version=v1.1 MQLITLCSSSLYTDNLKNAKSAIRFLWIKVLVMGLTVQKTLVHLNTISSPYLAFQRVVPGSCSSDFKDHLRKKILSHILKKLHSSCHKCNPGEDRLTAGSSSRSYSHIVLMLPHYHKHAQTTYLGAL* >Brasy8G049100.1.p pacid=40083262 transcript=Brasy8G049100.1 locus=Brasy8G049100 ID=Brasy8G049100.1.v1.1 annot-version=v1.1 MAELVIPSLPLETRCPPFPLSGGFWMPESVLPGVVATRTRFEPRPSDVFLATFPKSGTTWLKALAFATVNRAEHPPCDQDHPLRRRNPHDCVEFLEVPLARSTDGGDLFVALPSPRVIATHMPYSLLPGHITAQGSGGRIVYICRNPKDAFVSTWLFAKKMEAAAAIKNSMPPRPYTIEEAFELFCDGRCAAGPQWHHVVGYWEEGQRRPEKVLFLRYEEMLLDPSGNVRKLAQFMGCAFSAEEEAAGVLCSLDVLKNVEVNKNGSQEYVQNESFFRKGVAGDWSNHMTPVMAARLDKIVEDALRGSVFTFTVGESDSG* >Brasy8G190900.1.p pacid=40083263 transcript=Brasy8G190900.1 locus=Brasy8G190900 ID=Brasy8G190900.1.v1.1 annot-version=v1.1 MQSVGVSSPAPVPVRTAVGMRRRLTTARWPRRSSSAARRAVVAAASSSPPSSDANSSSNSPGRDEETEEAAARREEGDKAAAALLLRSQKYAMLKQQLAVAAQLEDYKEAARLRDSLRLFEEEEPVLRLRRSLKKAVEEERFADAAKYRDELMILAPHSLLKCSSDATTMGLRVQVRSVYIESRSQPLKGKFFFAYRIRITNNSQRAVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMEGDFEMKCIDKAGSSTFNIAIAPFSLSILGDDNDVPL* >Brasy8G007400.1.p pacid=40083264 transcript=Brasy8G007400.1 locus=Brasy8G007400 ID=Brasy8G007400.1.v1.1 annot-version=v1.1 MSTWNYVVTAHKPTSVSHSCVGNFTSNTQLNLIVAKCTRIEIHLLTPQGIQPLLDVPIYGRIATIELFRPPTEAQDFLFIATERYKFCVLQWDAEKSELITRSVGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCLRPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGILHLLVLTQERERVTGLKIEHLGETSVASSISYLDNGVVYVGSRFGDSQLVKLNLQADATGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSIRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDTFLVVSFISETRFLAMNMEDELEETEIEGFDAQIQTLFCQNAISDLLIQVTANSVRLVSCASRELVDHWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCVDLNPIGENPQYSSLAAIGMWTDISVSLLSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNVSTGELTDKKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNPNSMEESEAHFIRLLDHQTFEFLSTHPLDQYECGCSMISCSFSDDNNFYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMTREDGSHELQSECGHHGHILALFTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMTAVEMIDDDIYVGAENSYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDTEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSILGKFIKGVGSLSHDQWRSFHNEKKTAEARNFLDGDLIESFLDLNRSKMEEVSKGMGVSVENLSKRVEELTRLH* >Brasy8G082200.1.p pacid=40083265 transcript=Brasy8G082200.1 locus=Brasy8G082200 ID=Brasy8G082200.1.v1.1 annot-version=v1.1 MAPRTSDKTATPAAAAGTGLALGVGGGGGAVGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREYRGNKAKTNFPFPSAAAVTGGGGSSNSSTVESLGGESQEAPMQAMPIPPPSLELDLFHRAAAAATGGMRGFPFTSYPLSHPYYFFGQAAAAAAAGCHALKLSPPVTVAATVSPSDSGSSSVVDLAPSPPAVSAKKPVVFGLDLNCPPPMES* >Brasy8G133300.1.p pacid=40083266 transcript=Brasy8G133300.1 locus=Brasy8G133300 ID=Brasy8G133300.1.v1.1 annot-version=v1.1 MWALNMKAGGPCLTPIRPAPAARAGAPLAAAGKTGAWSARRRHGPVLRHLVSMRASGKRAQNGPGDDEEAKSKASSSGSDDASVPTGDSSDGLNEQHDESKPSEPIDISNSNYWRDVRANLVRREQELLVDSSSPVESKTSSGESVHQLPQRWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSSFQDQTTPFGDCSLFFGGPVDMSMFLVRTSDSSRLKGFEEVIPGICFGFRTELEKAGVLMKSGAIRTQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDAVSTDPSCLWTDILQLMGGHYSELSQKPKQDSS* >Brasy8G072300.1.p pacid=40083267 transcript=Brasy8G072300.1 locus=Brasy8G072300 ID=Brasy8G072300.1.v1.1 annot-version=v1.1 MSYLLPHLHSGWAVDQAILAEEERLVMIRFGHDWDETCMQMDEVLAGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY* >Brasy8G146300.1.p pacid=40083268 transcript=Brasy8G146300.1 locus=Brasy8G146300 ID=Brasy8G146300.1.v1.1 annot-version=v1.1 MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQMKGAAQKGAKGPKLGGGGGKR* >Brasy8G146300.2.p pacid=40083269 transcript=Brasy8G146300.2 locus=Brasy8G146300 ID=Brasy8G146300.2.v1.1 annot-version=v1.1 MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQMKGAAQKGAKGPKLGGGGGKR* >Brasy8G149300.1.p pacid=40083270 transcript=Brasy8G149300.1 locus=Brasy8G149300 ID=Brasy8G149300.1.v1.1 annot-version=v1.1 MDFLFVEKLLVGLLASALFAIAVSKIRGRKLKLPPGPLPVPIFGNWLQVGDDLNHRNLAAMARKFGEIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGEGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAFVVDSVRADPRAATDGVVLRRHLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFDYNYGDFIPILRPFLRGYLRLCKEVKETRLKLFKDYFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIIENINVAAIETTLWSIEWAIAELVNHPEIQQKLRDEMDTVLGAGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLQDAKLGGYNIPAESKILVNAWFLANNPEEWKRPDEFRPERFLQEEKHVEANGNDFRFLPFGVGRRSCPGIILALPILGITVGRLVQNFELLPPPGQDKLDTAEKGGQFSLHILKHSNIVAKPRAF* >Brasy8G074700.1.p pacid=40083271 transcript=Brasy8G074700.1 locus=Brasy8G074700 ID=Brasy8G074700.1.v1.1 annot-version=v1.1 MVDFDDATTKVVAAAGTGQPTTKRPFRKFTYRGADLDDILDMFAKDLAELLPARARRRFSRGLLKMKHIRLIRSLRVARQAAAPGEKPGCVKTHLRDMIIVPEMIGSQIAVYNGKCFNQIEIKPEMMGHYLAEFSCSYKPVRHGRPGIGATHSSRFIPLK* >Brasy8G286000.1.p pacid=40083272 transcript=Brasy8G286000.1 locus=Brasy8G286000 ID=Brasy8G286000.1.v1.1 annot-version=v1.1 MAPPSSWPPWLLLLLLCLAAAGILQSDAQPDSKGFISIDCGYAGETSYVDDSTTLSYSPDAGFTDAGTNHNISGEYNRPLLSRRSQNLRSFPDGTRNCYTLRSLVSGLKYLIRASFFYGNYDGLNQPPVSFDLYIGVNFWVAPNMSSWSDPTGGLVTAEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKRTLYPQATVAQGLVMFARLNAAPTNKTYVARYPDDPHDRIWYPWYDAEKWAEMSTTERVQNIENDLFEAPSAVMQTAITPRNASNNIEFYWDAKPKPNDPSPGYIAIMYFTELQLLNGNNVRQFYVNLNGNPWFPTGVTPQYLSNSATYNSSPSRLNRYNISINATSNSTLPPILNAVEVFSVIPTTNIGTDSQDASAAMSIKAKYQVQKNWMGDPCLPKNMAWDRLTCSYAIDNPSRITSMDLSNNSLTGSIPDALSQLPSVTVIDLSGNQLSGSIPPGLLKRIEDGSLDLRRRNQQQGSMNNMTAVKPQDLEAMSTASYGGGDDDSLRIVDNRRFTYKELEMITNGFQRMLGQGGFGRVYDGFLEDGTQVAVKLRSHASSQGVKEFLAEARVLTRIHHKNLVSMVGYCKDGEYMALVYEYMAQGTLREHIADVKATNILLNARLEAKIADFGLSRAFNHDTDPVPTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGMPAVLSDPEPTSIIHWARQRLARGNIEGVVDARMHGAYDVNCVWKVAEIALECTTRASAQRPTMADVVAQLQECIELEKDRAAGFYTGGSSSADDPSWSYGAYASGQFSDVSNNTTFEMERRVPTVVTGPAAR* >Brasy8G011300.1.p pacid=40083273 transcript=Brasy8G011300.1 locus=Brasy8G011300 ID=Brasy8G011300.1.v1.1 annot-version=v1.1 MSWTEEADRVRLRAAALALDGRDKPDSKKDVFADLGSPVSPLRMRATPSSSSSSAGSAKSPALCNASGGGSVRRTHSGELAAESNPPRPPGHRRSGSGPLIFSGGGSSSSGAGGNGGGVSGTASSPLTNAHPTGNICSSGRLAAAPRPRPRPDVLGSGTGHYGHGSIMRGAGVAVATPASLPSESLQEVTRAGNEWYKQGRYGEALRHYDRALALCPDSAACRGNRAAALVGLGRLAEALRESEEAVRLDPASGRAHGRLASLCLRFGMVEKARRQLTLAGNVNGSDPSEWQKLHEVESHLGNCMDARKIGDWKSALREAEAAIANGANSSQLLLALRSEALLRLNKLEEADSTMTSLLKLDSASLSSVSTKLSGMLADSYVHVVQAQVNMAFGRFDVAVALAEKARLIDPRNAEVGMIVNSMKLVARARAQGNDLFKAGKFAEASIAYGEGLKYEPSNSVLYCNRAACWSKLGRWAKSAEDCNEALKIRPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPRNAEVAELLFHAQVASKKNLGEEVSNMKFGGEVETVTSI* >Brasy8G028400.1.p pacid=40083274 transcript=Brasy8G028400.1 locus=Brasy8G028400 ID=Brasy8G028400.1.v1.1 annot-version=v1.1 MRTCRRRILFEIHGLCFMDPKLWIPNFLVLNLLGSCFTCLSSWFVLNTYMSLCSPDLPHPFCLASAAQLVSVNLHLRQQQLNTFFCRVHIFVLLVDPFDGVREDAI* >Brasy8G034800.1.p pacid=40083275 transcript=Brasy8G034800.1 locus=Brasy8G034800 ID=Brasy8G034800.1.v1.1 annot-version=v1.1 MATAARSVLLAVLLSLALPAALSQKPAAAPSPSPASTAPNVTAVLEKAGQYTKFMRLMKSTQQDTQLNSQLNGSDTGFTVFAPTDNAFDSLKAGTLNSLSQQEQVSLVQAHIVPAFFSMESFETASNPVRTQASGADGPYTVNVTATSNGQVNVSTGLVSTMVGTALRKEKPLAVYSVDKVLLPYDLFGPKPPASAPPAPGKKAPAKGGAKAPAGEEDSAPASKAAGGVVGVAWSLLAAMAAACLL* >Brasy8G091600.1.p pacid=40083276 transcript=Brasy8G091600.1 locus=Brasy8G091600 ID=Brasy8G091600.1.v1.1 annot-version=v1.1 MDGLHGADAYFSPGRAMSPQVRPPAGPPDIGSQYLADLLQEHQKLGPFTQVLPICSKLLSQEIMRVSCLLRPHQHGLGEFERLPPMASPNQMPHPSPPMSNFCGNGFGPWNGVHPERVGFSQGPVGWQGAPQSPSSYIVKKILRLEIPTDTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPGKEEQLKGRPGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPSPHPSPHPGSASPFSNGGMKRTKQ* >Brasy8G130400.1.p pacid=40083277 transcript=Brasy8G130400.1 locus=Brasy8G130400 ID=Brasy8G130400.1.v1.1 annot-version=v1.1 MHRLSLLPAAAAASTFIDAASIATAPLLLPSSMPSLRLGSPAALLAARRGPRPPPWLRCGGGGGARRGMFCSVQPARREDGEAEEEKRMGAGARAAAERRLRGGSAGPGSGELLAIPGVGPRNLRKLVDNGFEVVAHLKQLYRDKFFGKSSEKMVEFLQSSVGIIHKNHAESITSFIKESVVQELEDTNSCKPPRKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRSEEGGVSLDYLQGLHEKHESWLFPSKGGGRGVLSVSQLPTHMEGSLPPGIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIQAKRQYAQQVAEFFEFVNNKKEDPSEQTSTEKDRMNPQIMFPNRSGLWVPEGVPPFAGSAMNLHFRRAMSSFSQLKS* >Brasy8G130400.2.p pacid=40083278 transcript=Brasy8G130400.2 locus=Brasy8G130400 ID=Brasy8G130400.2.v1.1 annot-version=v1.1 MLWTSLNVALLTSTDNLVICMHVQFFGKSSEKMVEFLQSSVGIIHKNHAESITSFIKESVVQELEDTNSCKPPRKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRSEEGGVSLDYLQGLHEKHESWLFPSKGGGRGVLSVSQLPTHMEGSLPPGIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIQAKRQYAQQVAEFFEFVNNKKEDPSEQTSTEKDRMNPQIMFPNRSGLWVPEGVPPFAGSAMNLHFRRAMSSFSQLKS* >Brasy8G004700.1.p pacid=40083279 transcript=Brasy8G004700.1 locus=Brasy8G004700 ID=Brasy8G004700.1.v1.1 annot-version=v1.1 MAAASLIMAPIGPTPRMPGHAPCRARCVGAVVISRTEWLTSCAVLSSKVAAQVPHSTNGYVAPAAAAPRGAVLDLVPVSGINGGAKNNLPAPLRIADLCPAPMHGSELRVAYQGVPGAYSEKAAGKAYPGCDAVPCDQFEVAFQAVENWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRESITRVISHPQALAQCEHTITRMGLNNVVREAFDDTAGAAEHVAAHGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPVVPRTDRPFKTSIVFAHGKEGTSVLFKVLSAFAFRDITLTKIESRPHRPIRPVEDANHGTAAKQFEYMFYVDFQASLADPRAQNALAEVQEFTSFLRVLGSYPMDMTPITNN* >Brasy8G107500.1.p pacid=40083280 transcript=Brasy8G107500.1 locus=Brasy8G107500 ID=Brasy8G107500.1.v1.1 annot-version=v1.1 MHAGVESIGICYGMSANNLPPPKTVVSMFKYNKISSMRLYAPDKAALEALGGSGIKVVVGAPNDVLASLGTSPAVAAAWVRNNIQAYPKVSFQYVVVGNEVAGPPTKYLVPAMENVHAALAAARLGHITVTTSVSQAILGVWSPPSKARFTGEAAAFMGYVMRFLSKTKAPLMANIYPYLAWAYKPGAMDIRYTLFTAPGAVIHDGPVAYQNLFDTTVDAFYAAMEKHDGRGVKLVVSESGWPSAGGVAATPENARVYNQHLIDHVGRGTPRHPGPIETYIFSMFNEDLKPKGVEQHWGLFYPNTKHIYPIKF* >Brasy8G007700.1.p pacid=40083281 transcript=Brasy8G007700.1 locus=Brasy8G007700 ID=Brasy8G007700.1.v1.1 annot-version=v1.1 MSLIAPAMAVEPAAAAASFAAAAVGTPAAAVDMGGVASSSSSSAAAVAESSGCVGVGGAAADEGAVAAERRTASSSRFRRICVYCGSAKGRKSSYQDAAVDLGNELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEEARQIIISAPTAKELVMKLEDYVPEYNIGLVWEEQQQNLKPNNNLVPELETRITSSS* >Brasy8G007700.2.p pacid=40083282 transcript=Brasy8G007700.2 locus=Brasy8G007700 ID=Brasy8G007700.2.v1.1 annot-version=v1.1 MSLIAPAMAVEPAAAAASFAAAAVGTPAAAVDMGGVASSSSSSAAAVAESSGCVGVGGAAADEGAVAAERRTASSSRFRRICVYCGSAKGRKSSYQDAAVDLGNELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPLLRNCISGRFIERRWILRPSVIIHRPGCQRGFHHRGGEADHHFGSDGQGVSYEAGGLRPGV* >Brasy8G163200.1.p pacid=40083283 transcript=Brasy8G163200.1 locus=Brasy8G163200 ID=Brasy8G163200.1.v1.1 annot-version=v1.1 MATATARAPAPCPSGESSCVPSFVLLHAHAQIGGNRNNTTASCNTRNGLQIFSSFFPEQPSRPSNLYVDCPGGDLFYAPRLFAMVNDLIIFNVYIGSKIRLVSAKDCDFFIYRADPKRPSLRRLPHPGFRLRQHQVGIVPRGDDHFIIIALADDPCYLSKNMDELKLVVFDSEAQIWTSELVPIKSLRADLPMEIPIRFNYRMMHHSPSCVITLGSGTVGWVHLWRNIIFCDGLAADNHFREIRDVPLPLPLAFNHPTREALGHPRIYRGICFIDDCLWLTEVEWDITEFPGPRDPETKYICSRMEGWTITTWSNTKMTNSYDDWVKHKTLRASEIAFDIGHFSESGMPAVDMHRLFVSDPVFGINNSGIVYLTARLKFMGRDSWIIAVDLENHKVQSVVNSGPLPQGLPCINGSYCNCSIYT* >Brasy8G055900.1.p pacid=40083284 transcript=Brasy8G055900.1 locus=Brasy8G055900 ID=Brasy8G055900.1.v1.1 annot-version=v1.1 VIGAFSLIGIIFVPIGLASLSASQEIVELVDRYDEECVPASDKIGFIQDSKVDKACTRKITVPKPMKGPIHIYYQLENFYQNHRRYVKSRSDKQLRFKDYKDPAAVMKSCDPEAVAADGSLIVPCGLIAWSLFNDTYAFSVNKKPVPVNKNNIACASDKGSKFGSDVFPSNFQKGGLIGGAKLDDKLPQSEQEDLIVWMRTAALPTFRKLYGRIEADMMASDEITVVIQNNYNTYSFGGSKVLVLSTVSWIGGKNNFIGVAYVAVGGLCLFLAMGFVVLYVVKPRTLGDPSYLSWNKETADYAQ* >Brasy8G083200.1.p pacid=40083285 transcript=Brasy8G083200.1 locus=Brasy8G083200 ID=Brasy8G083200.1.v1.1 annot-version=v1.1 MDGKNSGSGQQAQQSKPDAVTDDQGALKHTADSDTGNTSPANSHGSVDMNMEAAISAEDVVRAGGFGAKDDIGSLLPTAMDSTDFEASLRDARDFEGDKEQPSRPGLGYRADETDSGSKPSGLPLQ* >Brasy8G259900.1.p pacid=40083286 transcript=Brasy8G259900.1 locus=Brasy8G259900 ID=Brasy8G259900.1.v1.1 annot-version=v1.1 MMKRLFEEKEMARVLLLVSSRGSQAMPMPMPMPMPVPVCARGDRALGAPAERAFVCKTCDRVFPSFQALGGHRASHKKPRLDGEGGDLAKPKLHGCSVCGLEFAVGQALGGHMRRHRAAAMASPPAPETTKAVEKHRVGIKRALVWLDLNHPPCGEDGDFECDADGGECGCDMAAAAKLTFHQFLDTGTMAVDCVGY* >Brasy8G079000.1.p pacid=40083287 transcript=Brasy8G079000.1 locus=Brasy8G079000 ID=Brasy8G079000.1.v1.1 annot-version=v1.1 MAEEWCSAARTGGDAPACSTTAPAATASTTSRISVASSHACFPIDAVAAASFALADPDMDWTQAFMDGKVAGSEEHPMSFNALLGLQGDASRQFLLDQQAASTPGAHQLFADGTAAAAELLQQSSGVPSLQYADSRPLMTPFCSQQQQLPGGFFISSSGLFGSSPGPPPEHIKSNTGPAAVQVQDACSSSSTKRSATAGSPVASKKPRIDTPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIGYIKFLHDQVASLSSPYLTSCGRALQDQHQLQGSIKVDGKAKEGLRSRGLCLVPVASTYTVANKAEFWNPTFGVGGTFR* >Brasy8G079000.2.p pacid=40083288 transcript=Brasy8G079000.2 locus=Brasy8G079000 ID=Brasy8G079000.2.v1.1 annot-version=v1.1 MAEEWCSAARTGGDAPACSTTAPAATASTTSRISVASSHACFPIDAVAAASFALADPDMDWTQAFMDGKVAGSEEHPMSFNALLGLQGDASRQFLLDQQAASTPGAHQLFADGTAAAAELLQQSSGVPSLQYADSRPLMTPFCSQQQQLPGGFFISSSGLFGSSPGPPPEHIKSNTGPAAVQVQDACSSSSTKRSATAGSPVASKKPRIDTPSPLPTFKVRKEKLGDRITALQQLVSPFGKSLSSPYLTSCGRALQDQHQLQGSIKVDGKAKEGLRSRGLCLVPVASTYTVANKAEFWNPTFGVGGTFR* >Brasy8G278700.1.p pacid=40083289 transcript=Brasy8G278700.1 locus=Brasy8G278700 ID=Brasy8G278700.1.v1.1 annot-version=v1.1 MKNVVAQGTDQSPSTNNLVMSDVSENLEEIGTKILQEPPAEEYDSLPSIRGLMITESKEQSSETRANVEPGESSAMARAAEAFTFLELATATQNFCSDCLLGEGGFGKVYKGQLVNGQLLYFLMHDQVVAVKKLDLDGIQGHREFLLEVLMLNILHHPNLISLVGYCAEGEERLVVYEYMPLGSLADHLLDHTSDQMPLSWHKRMKIAYGTAKALEYLHEKANPPVIYRDLKSHNILLDEEYNPKLSDFGRAKLGPIGEKTYVSTQVIGRYGYCAPEYIKTGQLSIKADVYSFGVFLLELITGRRAVDSSRPGSDQILIDWAEPMIRDRRRYPELVDPLLRGEYIPEGDLSRAVSVAAMCLQEEASVRPYMGRAVVALGFLAEVPAG* >Brasy8G278700.2.p pacid=40083290 transcript=Brasy8G278700.2 locus=Brasy8G278700 ID=Brasy8G278700.2.v1.1 annot-version=v1.1 MKNVVAQGTDQSPSTNNLVMSDVSENLEEIGTKILQEPPAEEYDSLPSIRGLMITESKEQSSETRANVEPGESSAMARAAEAFTFLELATATQNFCSDCLLGEGGFGKVYKGQLVNGQVVAVKKLDLDGIQGHREFLLEVLMLNILHHPNLISLVGYCAEGEERLVVYEYMPLGSLADHLLDHTSDQMPLSWHKRMKIAYGTAKALEYLHEKANPPVIYRDLKSHNILLDEEYNPKLSDFGRAKLGPIGEKTYVSTQVIGRYGYCAPEYIKTGQLSIKADVYSFGVFLLELITGRRAVDSSRPGSDQILIDWAEPMIRDRRRYPELVDPLLRGEYIPEGDLSRAVSVAAMCLQEEASVRPYMGRAVVALGFLAEVPAG* >Brasy8G029300.1.p pacid=40083291 transcript=Brasy8G029300.1 locus=Brasy8G029300 ID=Brasy8G029300.1.v1.1 annot-version=v1.1 MISTSSPRRRKFEVGQEEVEPEAMTAAGCGCRSCAAATVADCVALGCCPCAVVGLLGLALVRAPLAVGRRLARRRRRALRGKRVRDVVIVDQPEGPEATTTAGSEAKGFAKAEEEPAPWLEEMYRAGHWGFGRLDLTVLGGSESPVKPAGGLTPPP* >Brasy8G210000.1.p pacid=40083292 transcript=Brasy8G210000.1 locus=Brasy8G210000 ID=Brasy8G210000.1.v1.1 annot-version=v1.1 MGHADQDLRPDNNDGLSSDDEPWSEQDDDEGSLSFDDSGSDEAAAGESDSSEDEVGPRNTVGQVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEQYLRNADDAKNWRKIYDVYNDEEVQITKDEAKIIIRLLKGKTPHANVDPYPDYVDWFEYDGKSHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNYYLLWGDETDTADNKREGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINVDPESLKPKLPSKKDLRPYPRTYYLEFKGHNGPVKSLSVEATGQWIASDSSDGTIRVWEVETGRCLKVLNVGADVHDIAWNPSPERPILAAIVGHDLLLLDAEVGDEETKMRTKELLCVDEPTPEDDADGKKPAVRWVKHEKFDGITLIHHKDVKTVEWHSKGDYFTTVVPTGESRAVLLHQLSKKRSHHPFRKLPGLPVAATFHPIQKMFFVATKKFVQVYDLQKAEVVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTKPYKTLKTHKKDITSVTFHRRYPLFASSSEDCTAYVFHGMVYSDLNQNLLIVPLEILRGHLSIDRRGVLDCKFHPRQPWLFTAGADSVIRLYCE* >Brasy8G149100.1.p pacid=40083293 transcript=Brasy8G149100.1 locus=Brasy8G149100 ID=Brasy8G149100.1.v1.1 annot-version=v1.1 MMATEPFKSVPTSTAAAAAFAEDANSTEPWSARVRSLTRLGRHRDALALLRDGDPSPPPHALALPAAVISCAALSLPSGVSQIHALGSKRGLLPSSDAYLVSALLSSYSRLGLLPRAHQLLDEMPLASTPSATLCTAFNSLISGCALHALPAACFALFRRMRVAGVRFDAVTLLTLVPAAPPSVVPQLHALAARAGLAAETSVANCIISVYARRGAALARQVFDEMPPASRDLVSWNAVLSAHAQNGLAVDALDLYSRMRGCDGHGVEPDAVTLVSVLSSCAHLGARSVGLGVERYMREKLPGFRTNVQLCNALINFYARCGCLPQAQQLFNEMPRKSIVSWTALITGYGMHGHGEVATNLFQAMVSEGIRPDNVAMVGLLSACSHAGMYDEGRKYFSAMESAYQLRPTLEHYTCMVDLLGRAGRLKEARELISSMPMPADGAVWGALLGACKIHKNVEIGEEAFEHVIEVEPTNVGYYVLMANIYTDTGQLDCVVRVRAMMRERGLKKEPGCSYVEYKGRVHLFMADDHSHPQAKRIYELVLKLEQMVKEKTDGTVGIQGGRMIEGHSEKTAVPLTGFHSEKLAVAFGMLNTVGSEIVVIKNLRVCGNCHSFLKTVSAIANRVFLVRDASRFHRFEDGACSCKDYW* >Brasy8G284200.1.p pacid=40083294 transcript=Brasy8G284200.1 locus=Brasy8G284200 ID=Brasy8G284200.1.v1.1 annot-version=v1.1 MEATVVSVGKAVLDGALGYARSKVAEEVALRLGVEGDVAFIADELEMMQSFLMTADEERGQHKLLATWVKQVRDVAYNVEDSLMDFSLLSEKKKSWWRSPRTAAERRRIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSAAEEQASIATAAMFGINEARLADLEKEKSKVDLHQLITSEEEELRVISVWGTSGDLGKMSVIQEVYDDPEIFKKFGSCAWIRLMHPFNPQEFLRSLVRQFYENSLDGLGKGEEGTSIGANVLMKMEKMQQNELVQVFNTQVSSHSYLIVIDNLSTIVEWHCIKKYFPDNKKHSRIIVSTQQVEIASLCPEKPYQVSELKQLSSDQTLYLFHTKVIPTSGSAVPSSDSIKATTTKSDRAVPTDKIQEEDQETKDAGRDKVSKSDDGKKFHRSRTMTLTEEVLTVRATEKSEVIELIGQTEGEEDRKVISVWGMGGLGKTTLVRSVYRSQQLSGWKRAWATALRPFNPEVLIRSLVLQLLQDVKDDPAGATATHERKEKIAVMKLHALKKELTQLLSSQKCLIVLDDISSTAEWDLVNYSLVNARRVLVTTREKSIAKHCSSEYKNMYSLQGLNDDVALDLFKRKVFKDNSENIDLVPDMMKQARLVLKKCDGLPLAISTIGGFLASRPKTVVEWRKMNDHISSELVINPELRAIKTVLLRSYDGLPYHLKSAFLYLSIFPEDHKIRWDRLVRRWIAEGYSRDMHGMTAEELGRKYFEELLDRSMILSGEEVNRYSGQINACQLHDIIREICISKAREENLVFTLEEGCCVSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGECKPFFISDKMRFLRVLDLEDTIGLRDHDLDQIGELRHLKYLSLRGCHSVFYLPASFGNLRHLQTLDVRGTYIDLPIAITKLLKLQHLHGGYRDVKVHRGIGKLKALQTLGVVNIAPGKGKAPLNELKELTQLRKLGVTGVSGNSSKELWSAIAGHNQLRSLSVRGAYSYDESLDGSLGEGLSPPSSLESLKLRGKLVRVTSWIHQLQNLSKLTLEVSRLEQDDAIQALGVLPNLAVLRLKWRSFSGKQLHFRGTSFPSLVVLELYGLYGLESVSFEEEAVARLELLQVDRCWKLKVISGLAVLTSLREIQLGYYVIDELREEVKHLKHVRLNLSHSSD* >Brasy8G284200.2.p pacid=40083295 transcript=Brasy8G284200.2 locus=Brasy8G284200 ID=Brasy8G284200.2.v1.1 annot-version=v1.1 MEATVVSVGKAVLDGALGYARSKVAEEVALRLGVEGDVAFIADELEMMQSFLMTADEERGQHKLLATWVKQVRDVAYNVEDSLMDFSLLSEKKKSWWRSPRTAAERRRIAKEVKELRAKVEDVSNRNLRYRLIKESSGSKPSAAEEQASIATAAMFGINEARLADLEKEKSKVDLHQLITSEEEELRVISVWGTSGDLGKMSVIQEVYDDPEIFKKFGSCAWIRLMHPFNPQEFLRSLVRQFYENSLDGLGKGEEGTSIGANVLMKMEKMQQNELVQVFNTQVSSHSYLIVIDNLSTIVEWHCIKKYFPDNKKHSRIIVSTQQVEIASLCPEKPYQVSELKQLSSDQTLYLFHTKVIPTSGSAVPSSDSIKATTTKSDRAVPTDKIQEEDQETKDAGRDKVSKSDDGKKFHRSRTMTLTEEVLTVRATEKSEVIELIGQTEGEEDRKVISVWGMGGLGKTTLVRSVYRSQQLSGWKRAWATALRPFNPEVLIRSLVLQLLQDVKDDPAGATATHERKEKIAVMKLHALKKELTQLLSSQKCLIVLDDISSTAEWDLVNYSLVNARRVLVTTREKSIAKHCSSEYKNMYSLQGLNDDVALDLFKRKVFKDNSENIDLVPDMMKQARLVLKKCDGLPLAISTIGGFLASRPKTVVEWRKMNDHISSELVINPELRAIKTVLLRSYDGLPYHLKSAFLYLSIFPEDHKIRWDRLVRRWIAEGYSRDMHGMTAEELGRKYFEELLDRSMILSGEEVNRYSGQINACQLHDIIREICISKAREENLVFTLEEGCCVSSTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGECKPFFISDKMRFLRVLDLEDTIGLRDHDLDQIGELRHLKYLSLRGCHSVFYLPASFGNLRHLQTLDVRGTYIDLPIAITKLLKLQHLHGGYRDVKVHRGIGKLKALQTLGVVNIAPGKGKAPLNELKELTQLRKLGVTGVSGNSSKELWSAIAGHNQLRSLSVRGAYSYDESLDGSLGEGLSPPSSLESLKLRGKLVRVTSWIHQLQNLSKLTLEVSRLEQDDAIQALGVLPNLAVLRLKWRSFSGKQLHFRGTSFPSLVVLELYGLYGLESVSFEEEAVARLELLQVDRCWKLKVISGLAVLTSLREIQLGYYVIDELREEVKHLKHVRLNLS* >Brasy8G063300.1.p pacid=40083296 transcript=Brasy8G063300.1 locus=Brasy8G063300 ID=Brasy8G063300.1.v1.1 annot-version=v1.1 MASSSSSRSPAASRRGGRAARQSPFFRDLASPIPSHRGAASRFASANATPSATPPPPPLFTLDDRFAAADFSPDPTASDLLPVANSPSPRAAAGSRSPSWDHSRGRVSARGSPMDGVVEPARKDLLALPPPSSPCTPPHPPPTAEAQSPVTPATMTARTEPAASEGNVDGEEWVTVFGFSLRDTNLVLREFEKCGVILRHHSGPRDGNWIHILFQHSYDAQKALQKNGIQLSSGVIIGVKHIDPMHRQQLDDRLTGINQGGFMVSLPPKSLALKSTGVSNQLGALPRPYDPKSNANVIRDAGRRATGSVAAPAKSIVTNVMDMIFGI* >Brasy8G024900.1.p pacid=40083297 transcript=Brasy8G024900.1 locus=Brasy8G024900 ID=Brasy8G024900.1.v1.1 annot-version=v1.1 MASQVVEAHRAAAAIVRGDDAACRKKSVEALEELGLPTGILPLEDLEEFGYNREAGFMWMVQRKKKEHTFKKVNQTVSYATEVTAFVEPGKLKKIVGVKTKELFIWLSVVEVYVEASAPGKVTFKTGAAGLSESFDAAAFALGE* >Brasy8G145100.1.p pacid=40083298 transcript=Brasy8G145100.1 locus=Brasy8G145100 ID=Brasy8G145100.1.v1.1 annot-version=v1.1 MQLQRSLPTRLQGYVPPLGSSASAPLLPLPFGPPFFPLLQAYLQRFSQARSKEKIILFCPRCPGIKKGQECPEWTVRFGNGHPFVVGFTFATRSGLLNVVKPAGVPSTGFDLWKTSDAASLVKTALSFTAVLAVHVVLLGHLLMMRLPGAPYLSRQCLAAGPMFLFFITINYFYTYLTHTRYGASTKEWYIFTGFTVLLVVITGWMYLVPIKQETVVKNGKEPEPAAQV* >Brasy8G285000.1.p pacid=40083299 transcript=Brasy8G285000.1 locus=Brasy8G285000 ID=Brasy8G285000.1.v1.1 annot-version=v1.1 MAAWECTLIGSPTIYEVNAPQPKTGSYSDGLGIHGSMISRAEQGVTNIAYSPHQGLLGSSTAARAEHAVKNLPFPGFYGPMLSQNAIYAKMQQLSLNSHKGQRLAVPWAFGFPGNTYGHRVHPLYQQTPPMYMNGGLVTNNEGPAIPIANLNPYLARWTIKGRVTGKTEVRHFGEGKVFSFDLLDAQGDEIRATCFNSLVDQYYDKIVVGNVYLISRASVKPVLRKKSNPLNHEHELIRDASTSVESCTGDDGSIPLQRYNFRQISEIENLDCSSTVDFLGVVTSVSPSVTIARKNGSEAHKRTIQLKDMSGRSVPITLWGNFCDVEGQQLHSQWESGLNPILAVKGGRISHFNGPSVGTPSSSQLKINPDLPTAEKLRRWYAAEQEDDVYQTIAQINDVNFGTLSQPDLATVVATISFVCSGACCYPACNLMFNGEQCKKRVTADGDWWYHIVCQIHDHTGSTYATVSQKAARDIVGRKAQEFYTIKDAEQNGKEFEKIMQGILGCQYLLKMSIKKVAEDGIVGMKRVIVEAERLDSSNTSRRALGAINKLSKDNSSFLLMTL* >Brasy8G287200.1.p pacid=40083300 transcript=Brasy8G287200.1 locus=Brasy8G287200 ID=Brasy8G287200.1.v1.1 annot-version=v1.1 MLHSWRNLDRVYRLSAYEYPWILMARNIAAGHSKLRYRHSPPYAIDLFRASNHVPADKHFAITKRKNVDYIEVTRMRDYVRPTQIIEGTSHRDGSIYKHVAMVELCRITERDETPLEPMRFSEPPNCLPRHERCYVHLASNLMQIFSLKLAKLPANMGSIQLYGYIAARDDEDSLLNYIVNYSRDDPIILQQGSPIEMTGPKRGISFACSVLLEFDMRIKKGKEEDDLQLIDGTIDYSDITMPDSELFTACIEGDSGAVDFTFASVCNAVEATIQVIISKVQSGFNLSLSAFVFIDDSHERIQLFRGTITESCGLRRFVIAVEEDTWMHMKLEVGKKSYYCSFKTDTHGCASQETILDLATISVEATWSILHLI* >Brasy8G186100.1.p pacid=40083301 transcript=Brasy8G186100.1 locus=Brasy8G186100 ID=Brasy8G186100.1.v1.1 annot-version=v1.1 MAATAAAKPVPVERLAQRLVAPAGPTPEGPLRLSWLDRYPTQMALIESLHVFKPDMAREGDSPARAVELALARALVDYYPLAGRLAVSDAGELQVDCRDGGVWFIEAAVRCQLEDVDYLEYPLAVDKDELLPHPRPKPTHEEESKLILLVQVTTFDCGGFVVGFRFSHAVADGPGAAQFMGAVGELARGAGRISVAPAWGRDAIPDPAGALVGGLPEPTGKRLEYLAIDISADYINHFKAQFAAATGGARCSAFEVLIAKAWQSRTRAAGFDEDSPVHLSFAMNARPLLHARLPSGAAGFYGNCYYIMRVSSTAGKVASSSMADVVKIIKEGKKRLPSEFARWAAGEMASVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHDAMVDTN* >Brasy8G036900.1.p pacid=40083302 transcript=Brasy8G036900.1 locus=Brasy8G036900 ID=Brasy8G036900.1.v1.1 annot-version=v1.1 MGAAAGKATVWTTRAEDRLAAAELAIAKRPSSSDGHLTGRDIWDAQATCTNEALLAAVDDVVLLEEIHAFPPASAARRRMEGALRAAMGCLMEEFLGLRVWDASQLEGRTGLRFAADKLSVSMGARGTSSLASLTSGSSSSTVRTATTDELSLGIVDELYESQSAGPDAATVLLDGEFLDELNLICPASLPVLHEISLRVIRAGYTKELLHAFSNAPCDVLDRFLSILQVGCCVETDPWISYENAEWWTAEDMIRRWILVTKLVIKALVAMQRQLHTQSRGAFDRFKDEYLLAIAKRSTTVLLRFAEGFTTTRSPEKLTYVLEMYEVLGSVFVNGLATVFTGQHAELVSGQVNAVLAKLEHALRDMIRGLITKIRTGRGSALDHSKLIRGGRASVHSLTRYTMACVQLLVPHQTALDVILAGTSGEHAGAAAVGVSSSKDLVSELITCLGPNIERISALYGAGVGGGWRQLYLANNTSFILKHVQDVLGDEWAPRLRNQIEQHVRSYIEYSWEPVVACLEAGNGKHPAKILTKFNSAVEKAYDGNANYEVPDPELRAALRKAVKEKVVGAYGAWLLEHPKLERSARYTAESLEGLLSELFEGGAEEGRAS* >Brasy8G028500.1.p pacid=40083303 transcript=Brasy8G028500.1 locus=Brasy8G028500 ID=Brasy8G028500.1.v1.1 annot-version=v1.1 MAAAGAGAATTACCFLAPSPSLPPQRPRHFLKHLARAAASTTRPHTASRSLAAPASPPPPLVAAPAEAARRMLKSAAGTLVVALASAALILGDAGGGAASAFVVATPRKLQADELATVRLFKDNTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKMGHVVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLSIQAPKDKLRPLPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVANGSDLYRILDQCKVGETVTVEVLRGDQKEKIAVILEPKPDES* >Brasy8G216900.1.p pacid=40083304 transcript=Brasy8G216900.1 locus=Brasy8G216900 ID=Brasy8G216900.1.v1.1 annot-version=v1.1 MTPPWAGSGAVADLTAEDDADDVVSLDCGICFLPLHELPLLQCAGGHVICKPCLDMLGVAPDRCHVCRGKAAVRGSRRGHGRDVWPSYYDRRAREGAARGPCRCPATGCGFLGPTAALLCHFTEAHGWPCVTGVFPADRVAVRLQAGVNVVVVDRGSDGTTAGRYLVVMKLAPEPFGWTVVALCVRPRAAEFEGPLFWARDRTLCQISLSYVRNVFRGRGNNDEGCDDDGCGDDDCNDKEGRDDDLIQHYEETTDADVVCTNLCGGCPDLEDCFEAHLLRSVDAAGDEDGVDVMVSIIIK* >Brasy8G069500.1.p pacid=40083305 transcript=Brasy8G069500.1 locus=Brasy8G069500 ID=Brasy8G069500.1.v1.1 annot-version=v1.1 MVARAQASDSDICVLVDADVVLLPETVALLTNLSRIGRDWLLVSMSRNISGFPYNFPENGKQWPHADGQELRSKKLQEILGDEWAADSTDRGLIMAWNNPRNPLLAGVVPSFLYGKGRHSWWLIHEVISSQMRLVFDANSLVLGLYHEDLSTEHVAGSSEDGRLPDGFWEFDVNRHLAAVYGSYCYRLPGRHHSPMLYEVVKHSGDYMLSKVEEPTLSKFVTGKEQNVHAEGQSHWHKKNICLSDYLRNRSSESSEGDRVPYSLGALLQSRADENRSVVLGVAGASYRDMLMSWACRLRHLGVTNFVVCALDHETHEFSILQGLPVFRDPSSLKNVSFDDCHFGTPCFQRVTKVKSRVVLEILRLGYNVLLSDVDVYWFDNPVQFLYSLGPATFAAQSDEYNETGPINMPRRLNSGFYYARSDHATLTAMEMVVKHATKSNSSEQPSFYDVLCGKEGANRRGDNICLEPSTNLTVMFLDRNLFPNGAYRRLWERRDVRSACRELGCFLLHNNWVNGRKKKLRRQMASGLWDYDPGSRMCLHSWGDAGSFRVMGQFHMSDDTDS* >Brasy8G174300.1.p pacid=40083306 transcript=Brasy8G174300.1 locus=Brasy8G174300 ID=Brasy8G174300.1.v1.1 annot-version=v1.1 MLQATCAIAARRRRLAGPHAGDVSSADAGAANDGAGLEAAGSGAARQRHLPPTVWPATEGASSQHAVVKIHGAGWGTPPCGKQGGNYFLFPAGRDRKTTACLLRSRGVTFQSDGGEGGRYFTGPPDRRLARPSYRRGPEPQSSPPRGRPFVFLGRETQESTHAPAVPPP* >Brasy8G091000.1.p pacid=40083307 transcript=Brasy8G091000.1 locus=Brasy8G091000 ID=Brasy8G091000.1.v1.1 annot-version=v1.1 MEPRRISASPRPCSLRRVVASRKRPRQEAVVSSVRKLQRREISTRRERSFAMNTARERFRNIQLQEEFDTHDPKENSLLQPYLTKRSKIIEIVAARDIVFALSQSGVCAAFSRVTNKRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCKTTRVEYIRRGTPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISSPGIMLLIYTRASSSIPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSSSSDSSSEENAGSINISNILTGKCLAKIKADDLGKQNKADELCKPKKAWKFQSTMSEALEDITALYYDEERDEIYTGNRQGLVHVWAN* >Brasy8G091000.2.p pacid=40083308 transcript=Brasy8G091000.2 locus=Brasy8G091000 ID=Brasy8G091000.2.v1.1 annot-version=v1.1 MEPRRISASPRPCSLRRVVASRKRPRQEAVVSSVRKLQRREISTRRERSFAMNTARERFRNIQLQEEFDTHDPKENSLLQPYLTKRSKIIEIVAARDIVFALSQSGVCAAFSRVTNKRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCKTTRVEYIRRGTPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRASSSIPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSSSSDSSSEENAGSINISNILTGKCLAKIKADDLGKQNKADELCKPKKAWKFQSTMSEALEDITALYYDEERDEIYTGNRQGLVHVWAN* >Brasy8G121800.1.p pacid=40083309 transcript=Brasy8G121800.1 locus=Brasy8G121800 ID=Brasy8G121800.1.v1.1 annot-version=v1.1 MALSSEPEPPFRPREKIVEKQRYFQSVHRPTYLKGRYDVITSVAIPLALAASSMFLVGRGIYNMAHGIGRKD* >Brasy8G017500.1.p pacid=40083310 transcript=Brasy8G017500.1 locus=Brasy8G017500 ID=Brasy8G017500.1.v1.1 annot-version=v1.1 MLSLSSPRVYMSRKTLDLGQLASCRCSWGGRVGARQVPRRRTPCVCFVAAPSQTGHAAIDVPADTIASAATTMLPERVSVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELADLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRIAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSIAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQAEPMLREIIDSEFTDTGSLSMAMELVHRSGGIRRAQELAKEKGDLALQNLQCLPRSHFRSALENMVKYNLERID* >Brasy8G273700.1.p pacid=40083311 transcript=Brasy8G273700.1 locus=Brasy8G273700 ID=Brasy8G273700.1.v1.1 annot-version=v1.1 MDLVVNHTAEEPPPPRPLPPPLPRPPPPPPPPRDSLQRAILDMVTSTDDSNCSPPRDLSEKASDYSGQPSQVRVSIDEISLIAVNDVLTTVAWSILPPQPLAVTLKVAISSRDDPSSEVLTPDYEKDTRSSEVLTPDHEKDARSSEVLTPDHEKDAQSSEVLTDPDNQKVHDTGSVLPQSSDASSSSALAEKHSQITVPNKNAAGDPAEQSSADSSSSSALAKQQSQITVPNNGVAKDPAMQSSSSSRSVQPKKFPDMMAVQMGLLRALFDPDQELASKLFHQSPDAVKNHYAPDAELAYTVGRVDPIFNLASGLESRDSVFGSLLHRIKYPGEQPIRIINLKGQVPALSVHPVGSRFITKKLDIATTGEIVMLYNEITPQVPRLVFDVFANSAIMKLLDYGPDTYRNRLIRNLIGHVLALSVHQQGHRVIEKAFEIGHLDHQIEMAKELSTSLLRCVRDQNGNHVVQKCMECVPEQYIHFIYRGIRGKKVLEFCKDPALLYPIAAEIVENVDELSANKFGTYVVQHMVQNGGPSDRQTILMNFVGRFVELSHQKYSSNVVEKLLMYGSYQDRKIIITEFLCAGDGQTADHLVSMMIHETAAYVVQKMIDAADDWEFGVFAEAVRRNADTLDKHALGKRLVAHVNNLLKRTPTTFFAAPPPPPPPPPHFG* >Brasy8G205400.1.p pacid=40083312 transcript=Brasy8G205400.1 locus=Brasy8G205400 ID=Brasy8G205400.1.v1.1 annot-version=v1.1 MVVIEPEPEAEETPRPSSPPPPASESPVGVEAARVEGPEDSFEDALTDEQMREKTRIQANDAKAEGNKLFAAGQFGDALSQYEIALQIAAEMESAEDICSACYSNRAVCFLKLGKYEETIKECTKALDLNPSYLKALLRRGEAHEKLEHYDEAIADMKKVIELDPSNEQAKRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSMSFQNNTG* >Brasy8G228900.1.p pacid=40083313 transcript=Brasy8G228900.1 locus=Brasy8G228900 ID=Brasy8G228900.1.v1.1 annot-version=v1.1 MGVHHLTSPAPPPADLPSPAPPDDLLLPPDLTPRALSPPAPKPATPSPAPPDPEPPASAGPDGPAPAGPDAPAPAPPAPRPLAPAPPDPEPAARLGRAHVHGHHSGRRPRARWLRPSLLPPDPAAPSASGQRSGRSELLCPVPTAAALLSLLCAPAVLISPLKRAPDLLLLQIDPRGRGRPIPRRQPMILPQS* >Brasy8G144300.1.p pacid=40083314 transcript=Brasy8G144300.1 locus=Brasy8G144300 ID=Brasy8G144300.1.v1.1 annot-version=v1.1 MPAPPWTHSTQLSKIRSALTGLLMRSCGLVFPSPVHFGVSPRQATASHSKLYLDSDQAIKPSSPLQAAASHHTAVALQVQGMARPAPLVASTATVLLLFLFSSSCCLAASSSSNSNPSAVVLAVRKDDATGQYEAGGFRQRTPQAPVTAVLDLGGATLWVDCDPGRYASSSYARVPCASKPCRLARTGACATSCAGAPSPGCLNDTCGGFPENTVTRLSTGGNLITDVLSLPTTFRPAPGPLATVPAFLFACGATFLTKGLAAGAAGMASLSRARFALPTQLADTFRFPRKFALCLPPASGAGFVLFGDAPYAFQPGVEISKSSLVYTPLLVNNVSTAGVSGKGDKSTEYFIGVTAIKVNGRAVPRLNATLLAIDGKTGVGGTKLSTVAPYTVLETSIHQAVTDAFAAETAMAKIPRVPSVPPFRLCYDGSKVGSTRVGPAVPTVELVLQSEAASWVVFGANSMVATEGGALCLAVVDGGRAPRTSVVVGGHMMENNLLEFDLQGSRLGFSSSLLFRQTTCNNFRLG* >Brasy8G254700.1.p pacid=40083315 transcript=Brasy8G254700.1 locus=Brasy8G254700 ID=Brasy8G254700.1.v1.1 annot-version=v1.1 MGRHDSDLYIVVDRARELHRSRKKARAKPCFMAHRSNEPSRARIAFHPGCQYHGNTSVGDIDGWRHVSMAAVAQSLPRRRRHQLREEELEAPAMVHGVSCARTGDEQACSARHSSGTSTLWPPSSPAAPPHTTSSPRFTSPQPMAASRRSRMRRIDTSRSYYVHISRRWVRDIAGGLSSRALAEYLNLWDIISDVNLTTGQVDETVWRFSKDGNFSVSSAYHLFFAANTKFACAKPIWKSKAPMKCKFFMWLVVHRRCLTADNLARKGWPHDTICQLCMTENESCTHIFVHCCFCHQIWYRLRVWS* >Brasy8G040900.1.p pacid=40083316 transcript=Brasy8G040900.1 locus=Brasy8G040900 ID=Brasy8G040900.1.v1.1 annot-version=v1.1 MATRRALSSLLRSASRVRGASPSPLPRAPPHRPSPAGYLFNRAAAYATSAAAQAKPTTTPPPTSAGKTGAGKITDEFTGAGSVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHRGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKENA* >Brasy8G220400.1.p pacid=40083317 transcript=Brasy8G220400.1 locus=Brasy8G220400 ID=Brasy8G220400.1.v1.1 annot-version=v1.1 MGSLRGGAGGEGRSEDGGKVGLPALDMVIAFPQATPASLFPPAVSDYYQIDDLLTDEEKALRKKVRAISEKEIAPIMTEYWEKAEFPFHAIPKLATLGLAGGTMKGYGCPGLGLTASAVSIAEVSRVDASCSAFILVHSSLAMSTIALCGSEAQKQKYLPSMAQLKTVGCWALTEPDYGSDASSLKTSATKVPGGWHLDGQKRWIGNSTFADVLIIFARNADTKQLNGFIVKKGAPGLKATKIQNKIGLRMVQNGDILLNEVFVPDEDRLTGIDSFQDINKVLGMSRVMVTWQPIGVAMGVYDMCHRYLGERKQFGAPLAAFQLNQEKLVRMLGDIQAMVLVGWRLCKLYESGKMTPGQASLGKAWTSTKAREVASVGRELLGGNGILADFLVAKAFCDLEPIFTYEGTYDINSLVTGREITGIASFKPAALVKSRL* >Brasy8G061200.1.p pacid=40083318 transcript=Brasy8G061200.1 locus=Brasy8G061200 ID=Brasy8G061200.1.v1.1 annot-version=v1.1 MGDSEMEPMDCWVEECTGVGFTEQADVLEDSVPASPIEANGLVNADQTISPGGFRVSPIGVEQQSNNDSSNRSEAMEEGDLPGSGWKKRVRVGNAPEERKPDPNKPCALEVSIRTYAERKSGVIVNPSVGTSFDSLEEAYRFYNLHSWEIGFGVRFAKSRLNARRKKCMQEIVCGCAGKPLRDNSRSTRCGCTAFIRLLRSSDNGWYVSEHRDKHNHPLFSTCGEKLHWQSHRHIDKYTKELVKHLHENTMNLGNVYSIIDSFFGSVEKIPFSERSLKTLCGKISSEQSDNNAVKTVDDLCKMLDADPDFKYSVQIDDDNCIKTLMWTTERCCEKYVCFGDVLTFDTTYRTNLYDMSFGLFVGVNNHFQSIILGGVLMRDEKEESFKWVFAEFMRMIGGKDKHPRTILTDQARSMELAIAEVLPGTTHRWCKLHVLKKEKESLGALYGKQRDFRADFNRLVSELYTEDEFEKGWASMLDKYSLWKQPYLTQIYEVRHKWAKPYFRNVFCAKMTSTEQSESANHMLKDYVPPSCPMHLFIKQYKKLQFDKDSEESYQEKRTAIAGVVLKANVPIEIHASKVYTRAMFEQFGKELYESGQYVLHELEPKKLYLARHAQAVLKVKWCKVVFRVEVKLEKDEFSCECGYFDHTGMPCAHALKVMVHLGCEKIPTQYIMKRWTRDACDILPPRLLRYQKEQGEPTCATYRHSNLKNTALEIVALADSNRECYDEAMHEMLKLKERLIPMSVEKDGLGVYERENAATKEMNISGISAPVVAEHTNLLSSAEKAAMTVTEPSKKRPAGRPANKRDKASYEEVCMLVGHEITTCPQRGDVPSQARKEPRCSNCGVTGHRKNKCTNPKFSMM* >Brasy8G061200.2.p pacid=40083319 transcript=Brasy8G061200.2 locus=Brasy8G061200 ID=Brasy8G061200.2.v1.1 annot-version=v1.1 MEEGDLPGSGWKKRVRVGNAPEERKPDPNKPCALEVSIRTYAERKSGVIVNPSVGTSFDSLEEAYRFYNLHSWEIGFGVRFAKSRLNARRKKCMQEIVCGCAGKPLRDNSRSTRCGCTAFIRLLRSSDNGWYVSEHRDKHNHPLFSTCGEKLHWQSHRHIDKYTKELVKHLHENTMNLGNVYSIIDSFFGSVEKIPFSERSLKTLCGKISSEQSDNNAVKTVDDLCKMLDADPDFKYSVQIDDDNCIKTLMWTTERCCEKYVCFGDVLTFDTTYRTNLYDMSFGLFVGVNNHFQSIILGGVLMRDEKEESFKWVFAEFMRMIGGKDKHPRTILTDQARSMELAIAEVLPGTTHRWCKLHVLKKEKESLGALYGKQRDFRADFNRLVSELYTEDEFEKGWASMLDKYSLWKQPYLTQIYEVRHKWAKPYFRNVFCAKMTSTEQSESANHMLKDYVPPSCPMHLFIKQYKKLQFDKDSEESYQEKRTAIAGVVLKANVPIEIHASKVYTRAMFEQFGKELYESGQYVLHELEPKKLYLARHAQAVLKVKWCKVVFRVEVKLEKDEFSCECGYFDHTGMPCAHALKVMVHLGCEKIPTQYIMKRWTRDACDILPPRLLRYQKEQGEPTCATYRHSNLKNTALEIVALADSNRECYDEAMHEMLKLKERLIPMSVEKDGLGVYERENAATKEMNISGISAPVVAEHTNLLSSAEKAAMTVTEPSKKRPAGRPANKRDKASYEEVCMLVGHEITTCPQRGDVPSQARKEPRCSNCGVTGHRKNKCTNPKFSMM* >Brasy8G061200.3.p pacid=40083320 transcript=Brasy8G061200.3 locus=Brasy8G061200 ID=Brasy8G061200.3.v1.1 annot-version=v1.1 MGDSEMEPMDCWVEECTGVGFTEQADVLEDSVPASPIEANGLVNADQTISPGGFRVSPIGVEQQSNNDSSNRSEAMEEGDLPGSGWKKRVRVGNAPEERKPDPNKPCALEVSIRTYAERKSGVIVNPSVGTSFDSLEEAYRFYNLHSWEIGFGVRFAKSRLNARRKKCMQEIVCGCAGKPLRDNSRSTRCGCTAFIRLLRSSDNGWYVSEHRDKHNHPLFSTCGEKLHWQSHRHIDKYTKELVKHLHENTMNLGNVYSIIDSFFGSVEKIPFSERSLKTLCGKISSEQSDNNAVKTVDDLCKMLDADPDFKYSVQIDDDNCIKTLMWTTERCCEKYVCFGDVLTFDTTYRTNLYDMSFGLFVGVNNHFQSIILGGVLMRDEKEESFKWVFAEFMRMIGGKDKHPRTILTDQARSMELAIAEVLPGTTHRWCKLHVLKKEKESLGALYGKQRDFRADFNRLVSELYTEDEFEKGWASMLDKYSLWKQPYLTQIYEVRHKWAKPYFRNVFCAKMTSTEQSESANHMLKDYVPPSCPMHLFIKQYKKLQFDKDSEESYQEKRTAIVSVSIVLILTQHRLESC* >Brasy8G271500.1.p pacid=40083321 transcript=Brasy8G271500.1 locus=Brasy8G271500 ID=Brasy8G271500.1.v1.1 annot-version=v1.1 MATSFGRDRASRRRGSGWRGFDARAAGDSWQRRGAPGLRGWVGGAAGGMELRCGGAWGRGAPRRMRRELRGSATVLLEVEVRRGRAGWLAGIWGKEQGRLMARWGRKEEAETTR* >Brasy8G208700.1.p pacid=40083322 transcript=Brasy8G208700.1 locus=Brasy8G208700 ID=Brasy8G208700.1.v1.1 annot-version=v1.1 MILPLHLQRNGLCSLYPSSFLPNQMEAFLSAVAGELFSRFMSSMIRRYTADSPKTAGEKLEQLNMALLRAHAVAEEAAARRVTNGAMLLQLSLLMEAMYRGHYVLDTFKYHRLPQTLSASAGGRKRLSSAAAASGAAAMDELGEVVTGLEAAIGDMDEFLVFLGSYSPARVFSQPYSTYLFVDNFMFGRQTELQRIIAFLLHQQQHLPDQSQTPSDLEVLQVIGRRGSGKRTLVEHACADDRVRARFPVIIRICKQDQADSCSTSAPMAAIWNYQKLQKDKGGSSYQKTLFIVEFDGEESWGNLYGFLCSPASRVASGSKIILTSATEPEPETKKTTMAAATGQVIRLDYLSAEEYWYFFKSRAFGAIDPEDRPELAAIAMAVAVELRRSFMYANMYGGLMRADTRAAFWRALLRGLRGHVRSHVSSSLCGGMSPMDLIGKSRPSYVHPIGNGGSNGSKGGRGREVYLLYNYRSTAAERVAAVPGVSVQDLIVGRGVPDGDEGFEVLGWRSNIPPYYCYLASCEKRRTAASPAPLKKTTTRAAKCEEG* >Brasy8G138800.1.p pacid=40083323 transcript=Brasy8G138800.1 locus=Brasy8G138800 ID=Brasy8G138800.1.v1.1 annot-version=v1.1 MAEDGKEGTKDAAAEKSKTKQGGFRTMPFILANDFCDRLATVGFGSNLISYLTLQLHLPLVEASNMLTNYHGTTNLTPLVGGLIADSFAGRFWTITVGSIIYQLGMVCLTLSAAIPSLRPPPCAKHGAECQRASAYQIAILYLSLLCTSIGSGGTRPCNMAFGADQLELDARRRRGGKAPMWSFFNLYFFGIELAKLTAVTAVVYIQENVGWGWGLGVPTIAMFVAVIGFVSGYSLYVKMPPGGSPLVRLAQVAAAAFKKRKAVVTDPALLYEDKALDAGISTTGRLLHTNQLKFFDKAAIVMDGDVLPSGQPKLWRLSTVHRVEEIKSIVRMLPIWAAGILMVTASSHNSSFAIQQARTMDRGITNNFKIPPASMLIFSNLAMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRAGVGMTIAMLANVAAAAVERRRRSVAAASGMLDAPKGAVLPISVFWLVPQYAIHGIANAFMDVGRMEFLYDQAPESMRSTAAALYWLTISAGSYLGTLLVTIVHERTQRSGQWLQDNLNRGKLDNYYWLVVGLQGLNLIYYFVCVKYYTFKPLEMVDESDKETEPYRGNGNGTKNAKKSASFR* >Brasy8G144000.1.p pacid=40083324 transcript=Brasy8G144000.1 locus=Brasy8G144000 ID=Brasy8G144000.1.v1.1 annot-version=v1.1 MGVQHLLKLRMASHSHRPAAAPAARSLSALSSIFPSPSSAAPSSPRPVSLSCSRAYRSVLRPAADRCCSSRRTAGRRLPGVVAMSSSAPTPGPVQKSEEEWEAVLTPEQFRILRRKGTEYPGTGEYDKFFDEGIYGCAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIKRTADPDGRRVEITCTACDGHLGHVFKGEGFNTPTDERHCVNSISLKFVPASEETDS* >Brasy8G121900.1.p pacid=40083325 transcript=Brasy8G121900.1 locus=Brasy8G121900 ID=Brasy8G121900.1.v1.1 annot-version=v1.1 MRELLGGPGTWGGLWLRAAQVAFAALSIGVMASAAGFAGYTAFCYLIASMGLQALWSLGLAFLDGYALKVKMDLNNAVLVSLFVVGDWVTATLSFAASCSAAGVVVLFERDITFCRSFHHQFITISSSRSIHAPVGASGAPETAAPLRLHRSRLTTSERTAGACRSPRGGGSRMSSATKTTCRRRRGPRKRPVLPSCTAPRPCR* >Brasy8G121900.2.p pacid=40083326 transcript=Brasy8G121900.2 locus=Brasy8G121900 ID=Brasy8G121900.2.v1.1 annot-version=v1.1 MRELLGGPGTWGGLWLRAAQVAFAALSIGVMASAAGFAGYTAFCYLIASMGLQALWSLGLAFLDGYALKVKMDLNNAVLVSLFVVGDWVTATLSFAASCSAAGVVVLFERDITFCRRYYQLPCSRFQLATAFAFLCWSLSATSALIMFWLLASF* >Brasy8G172200.1.p pacid=40083327 transcript=Brasy8G172200.1 locus=Brasy8G172200 ID=Brasy8G172200.1.v1.1 annot-version=v1.1 MACFQLRHLCFTAVLLSVAFSCCTGQGGGSGGGGGGGGSGIGAVVPGTQDSVQIVAQAALCFDNRPVLNECLKAMGINATGTGPNMPPPPGSTAVMCSAPCFGHVTMMMSCVNGIFGNFVSYNPGLMQGVQAVFQMSCGNVNGQGGPGGAAGQGGSAGGANGGVGSGSGTTTNGAGAGMGPTNNIGGAAGGGGGSGGGAAAGGGGMGTSTTNSIGGGAAGGTSGGAAAGNGTTTGGGPVGAIGSINGTNVSPNAGSHVAGSSPGQPASSASRPTFSLKGVVLVIWAGSCLLLF* >Brasy8G064500.1.p pacid=40083328 transcript=Brasy8G064500.1 locus=Brasy8G064500 ID=Brasy8G064500.1.v1.1 annot-version=v1.1 MEVAASNSKQLLLPMAPPRDSNSPSSSTSSSSPSSAAASPSSHPPPPPSPRPSPRIIDTTPFPTTFVQADTASFKQVVQMLTGAADMPPTSSQAQAQRPPAKAHHVGGGGGVPCRAKKPAFKLYERRSGMKNLKMIAPLAMAAAAAAGASPRAAAPELLLSPSVLDFPSLALSPVTPLVPDPFNRSASGSPGEHQQAMAEERAAIARKGFFLHPSPRAAAEPPRLLPLFPVTSPRMAAPSSEL* >Brasy8G219700.1.p pacid=40083329 transcript=Brasy8G219700.1 locus=Brasy8G219700 ID=Brasy8G219700.1.v1.1 annot-version=v1.1 MATGEYDSSYDVDIDELVISMRTDLNRCMSKVEDREKGKRCCLICKIVHRIRQTDSNAYEPSVLSIGPYHHAELPLVAMETEKWVCLDYILKFNREVNLRDYLSLISRLEKEARGYYTEEINMDSREFLQMLLLDSCFILVYLGGVCTQGASTSGEQSKENMVYEMKREVTDLSHMDAVCCQSVSGHSAVDIELNQFGKENEDSRNEGNYSNTVEWYNSSAVYDLLLLENQIPFFIVKTIYQFFSRNLATTSLLTNSISEFMEGIIYHFPKVITEGNRPQDFYHLLHLCHKYLKPSHKLEYDHHQHAAKPHCFQILFDVSRKIFTFGREQNMFHELNWLNSMQQLTSTAADVALLAQKGIMVHQMESDEDVSTLFTKLSEYVAFDFNGEHYLKSLCSAVEAHYQSRINRWMAWLWHNHFSNPWVGFAAIASAFIVLCSIMQTVLAFLAYMG* >Brasy8G049600.1.p pacid=40083330 transcript=Brasy8G049600.1 locus=Brasy8G049600 ID=Brasy8G049600.1.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.2.p pacid=40083331 transcript=Brasy8G049600.2 locus=Brasy8G049600 ID=Brasy8G049600.2.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.3.p pacid=40083332 transcript=Brasy8G049600.3 locus=Brasy8G049600 ID=Brasy8G049600.3.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.4.p pacid=40083333 transcript=Brasy8G049600.4 locus=Brasy8G049600 ID=Brasy8G049600.4.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.5.p pacid=40083334 transcript=Brasy8G049600.5 locus=Brasy8G049600 ID=Brasy8G049600.5.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.6.p pacid=40083335 transcript=Brasy8G049600.6 locus=Brasy8G049600 ID=Brasy8G049600.6.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.7.p pacid=40083336 transcript=Brasy8G049600.7 locus=Brasy8G049600 ID=Brasy8G049600.7.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.8.p pacid=40083337 transcript=Brasy8G049600.8 locus=Brasy8G049600 ID=Brasy8G049600.8.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.11.p pacid=40083338 transcript=Brasy8G049600.11 locus=Brasy8G049600 ID=Brasy8G049600.11.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPLTAARADSWYVLGRYEWHKHHFSRVHVKHESNVA* >Brasy8G049600.9.p pacid=40083339 transcript=Brasy8G049600.9 locus=Brasy8G049600 ID=Brasy8G049600.9.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCIKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHRSPENGHILNGNVPYAEMKSSIGLAETRPSMSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G049600.10.p pacid=40083340 transcript=Brasy8G049600.10 locus=Brasy8G049600 ID=Brasy8G049600.10.v1.1 annot-version=v1.1 MASTLKIVVGSHIWLEDKDLAWIDGEVFRIEGRNAHVRTTNGKTVTASISDIHPKDTEVLSDGIDDMTRLSYLHEPGVLDNLAVRYAKKIIYTYTGNILIAINPFQRLPHLSEPRTMEKYKGANFSELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKELMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLGDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITDQEQEATFRVVAAVLHLGNINFVKGRDADSSALKDEKARFHLNAAAELLMCDREELENVLIKRKINTPEGVITTTVDHNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDANSECLIGVLDIYGFESFKTNSFEQLCINYTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFSQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVLEENTKSSKSSIANRFKGVLEAIRISCAGYPTRKLFRDFIHRFCILAPEHSKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARTVQSRFHTHVARKRFLTLRNTSVSLQSSVRAILALKLRVFLKKQAAALIIQKSLRCYFASKSYSELRCSAITLQTGLRAFGAYNEYVLRKQKKASIHIQAQWRCHKDNSHYIKLKRSVLIYQCAWRRRVARRELRKLKMAARDTEALKVEKEKLEEHVEELTSRLGLEKKLRIDLEKNKAGEISKLQAALREMEHRLEEATAMQERESAKSAVEEALVQEREKITMLTNEIEELQVLLSREREENIGTKSELVITQERSEGLNRKIEVADENIKQLRDTVKRFEENVAELESALMTEKQHNEATRTEIGEARHRVEELIQQLADANGKSAVLQATVQRLEESAIDREASFLLERQESEATKKLLSEAHGEKEELVHKIEVAEKDIAKFQNNIQRLEETATTFETSLLAEKQHGAAIISQLAETQKEIDELQKKFTEANKTNDMLQDSLKRFEENATTKEDLYVAERQEHDQTKQALSKSQERNLELLRKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDSTTKALVEAEKRNRELMKSCEDSDKKITRLEDSVNRLEECTAEKDSLLAIEREENNVTKEELANAQEKIKELEKQLEDIIKRLEQDATARDTLLISEKQTHEATKKTLAEILGRNEELIKKIQDSDKHNLQLQLTVERLQDNASAKEALLLREQEQNNATMKAQAESQERNSQLLKKFEDVDKKIDLLQDTIQRLGDHTTKDTLLLSERREKDDLKKALAETEYKNEELVTKIGETNKEIEHLQNAINMLEENIAAKDAALVAEKQENDAIRKSLVEAQERNDELFKKIRDNEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALTEARGRNEDLIRRNEDLLDRNNDLIKKIEDSGKLVAQLQETIQRLEGKAASLEAENQTLRQQAVATTPSTARSQAAYSKISMIHSSAPDLLSQKDYDSGEKMQRVLSEAYQHQQPQDEQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVSKTGVFDSILQAINSATEAQHDTRSLAYWLSNLSTLSVLLQRSYRTTRTATSTSYRRKFSYDRIFQAGQTSNSGLAYFSGQSLDEPVGLHQIEPKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTNHATQAKASLSPASGLGQYNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRGDVCPSLSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATLHEESNSLSSFSVLLDDDSSIPFSLEDIAKSMPNIEDTVESDLLPFIHENQSLAFVLQRRGGNE* >Brasy8G105600.1.p pacid=40083341 transcript=Brasy8G105600.1 locus=Brasy8G105600 ID=Brasy8G105600.1.v1.1 annot-version=v1.1 MSRNFLLILAYHSCLLGLCMLDWPFSWIYYGQEGGGLAFASPSVQRRLIDCVNVVLLVAYACSLLVACVRGQWDGIRWGRQRQKVAGAAVSVCCVAVSATYAVTGFRDAIDAAASTVRGLVWVAVAASLHVQPTRPARTVVLLWWALFSLLITAYNAEVLVSGHRLDLAEAVAWPVNFLLLLCALDSLLRQSHTHRDSLDNDDGLSEPLINKTVHHTELYRAGLFSQLTFSWLNPLLRLGRSKALELADVPLIGSEDSALQATQKFSEAWNSCWPRKTNSLALVLCKCFLREIMIAGFYAFMRTLAIAVSPALLFAFVRFSYREERDLRVGLSLVGCLLFTKLVESLSQRHWFFDLRRTGLRIRSALMAAIFQKQLKLSNQGRKNHSTGEIINYIAVDAYRLGDALTWLHMAWSSPLQLGFTIGMLFWVLGLGALPGLVPLVIFGFLNVPFAKLLQGYQAKFMVAQDERLRSTSEILNNMKVIKPHSWEDRFQNIIESLRNTEFKWLRETQMKKAYNAIIYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRLLPEILTMMIQYKVSLDRIEKFFVEDEIEDGVERVPHYDNSDIRIHVQDGNFSWNAIRQGEKMAVCGSVGSGKPSLLYALLREIPTRSGSVMAYVSQNSWIQSGTVRDNILFGKTFNKALYEKAVKSCALDDDIANFDNGDLTEIGQTGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAVLFYDCVMTTLSEKTVVLVTHQVEFLIETDRILVMEGGQVKQQGKYAELLESGTIFEKLVSAHQSSITTLDTARQNNQIQGKHVFDNSITPSAVPGTRQASDIEISTKGPSTVQLTEEEDKGIGDLGWMPYKDYIDVSKGILPLCGLCTTQMNASTALLVGSYSGLSIFSCCFAYLKNLFAATLGLKASKAFYTGLMESVFKAPMSFFDATPVGRILTRASSDLSILDFDIPYSMSFVITGGVEVVTIVLVMSIVTWQVLVVAIPIAITMAYVQRYYVASARELARINGITKAPVMNYAAESILGVVTIRAFAATDRFMINNQQLIDNDATLFFHTAAAQEWVLIRAEALQSLTIFTSSLFLILVPPGVISPGFVGLCLSYALSLTSAQVFLTRFYSYLENYIVSVERIKQYMHLQSEPPAVIPDNRPPISWPQEGSIDLQDLKVKYRPNTSLVLKGITCTFPARSRIGVVGTTGSGKSTSTLFRLVDPVGGRILIDKLDVSSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDERYGRSALAKCQLKRSISSTAGLLDTVVSDDGNNWSVGQRQLFCLGRVLLRKNKILVLDEATASIDAATDSILQSVIRKQFNSCTVITIAHRVPTVTDSDKVMVLSYGKVLEYDTPDKLLGDKQSAFAKLVA >Brasy8G058600.1.p pacid=40083342 transcript=Brasy8G058600.1 locus=Brasy8G058600 ID=Brasy8G058600.1.v1.1 annot-version=v1.1 MCDSHPSYLEPLTEPIYYRRVLTTEWVFPSFSSLKAGGLKALSGHQRVSIHAVSVSRSVPSVGFLICKCRVPSLPRMLSPPTEPLSFPSPLTRRPGGTTCGIVEAERTRRSRTRRCEVGGAAWEEEDEEARNDGEGRGRRRGQGPPSPATGSHAGGRSREAQRLGETIAKGHNYELMLNFQSPTRHQGARAFQKSLSLTEESLMRRLL* >Brasy8G110900.1.p pacid=40083343 transcript=Brasy8G110900.1 locus=Brasy8G110900 ID=Brasy8G110900.1.v1.1 annot-version=v1.1 MVIMVKEEEKEMGDERRERGGPAVGGGESKRGAATWSEALTCPVTQDPCFRFPRGHAVSAIGLSIRLIPPSVPGKFTAHPPSVTSYPRDTTTRERGGPCRAVKSSQSTRCRPLPAEATASPRGKSPPAHPFSPQSNHRGPSGEAACGGGGAVVQIGARPP* >Brasy8G055700.1.p pacid=40083344 transcript=Brasy8G055700.1 locus=Brasy8G055700 ID=Brasy8G055700.1.v1.1 annot-version=v1.1 MAPTLLTPPLVAGSLLATRRIFSPVQPPPGCSRRAWPLASAGFVRAGRRDRQGNRSMRGRRGLRIRASTAEAEEYGTEEEDVADDFYSVLGVMPDATTEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFVNEVYTVLSDPVRRAAYDEIIGYTATATNPFFDNNASKDHVFVDEFTCIGCRNCANICPGVFEIEEDFGRSRVYSQSGSTDLIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNPIKE* >Brasy8G148400.1.p pacid=40083345 transcript=Brasy8G148400.1 locus=Brasy8G148400 ID=Brasy8G148400.1.v1.1 annot-version=v1.1 MGGRCDAAFGQKPHPHKHAPHSSNLSPSPEIRPNVLLGGNFSANLPPCLSSFVLSHHYACLSSLSRYLIRVEVFKSDAASKRKNSSPILAQEKQRTNFFCTPEKRKDSPF* >Brasy8G027100.1.p pacid=40083346 transcript=Brasy8G027100.1 locus=Brasy8G027100 ID=Brasy8G027100.1.v1.1 annot-version=v1.1 MASNKGRLSLLLASAVMASLVAGSSAGIYHIVGAAKGWRMAPNRTYYAEWARTRNISIGDKLMFLYRSGVYNIVEVPSRQLFEACSMRNITNRYQNGPTIIELTQPGQRYYFCGVGKHCEEGQKLAINVSAVPPPPPVEDPTEDSAAAPAMAAGSACLMMLLLASSLMLLM* >Brasy8G238600.1.p pacid=40083347 transcript=Brasy8G238600.1 locus=Brasy8G238600 ID=Brasy8G238600.1.v1.1 annot-version=v1.1 MANFVVNPIAFIPDGMAIDNGPVDRLVRAEVMFSGYPPLNNDTCAIAETDREVPFHLKALTRQEVRGILAESNTIDIHHREFAVGRQWPVDDTLIVTFVRHNEAKNRRAPILGRDTWILFLAFTMDYMTTYWLDKACSLFGKLRNWSTPRSNPSHIHSEDDEDPLPPNGEPHPRHGAHMTAAQRFQLRVQQFLQQHGAAPMDGAGGQGGVAAQEEVQRNVIVQDSALILPARGQVNYQSWLRDLGLTVDHGIVPPNNITDCPLTAWTDMLSDSDSENSSDSLFMQATGDELSLEVTLNLPLQPALINPDNSEDGGRFQLAFDAWMNMMKPYLFWGARSLSVGTGAPPSCVILNLNTTADIVPVRRVARKLCIADHPMSSISGAVNVMQPPKSSVVITELQEDDASPVGKKRGRRAKPALPLDPSLLRRSPRTNKYDGFKINTVGASTSRNSKVKTRQQFQATPPATPIPLLQNIAVNLCGVPATEVSEEKLLASADSEDAAAQE* >Brasy8G206000.1.p pacid=40083348 transcript=Brasy8G206000.1 locus=Brasy8G206000 ID=Brasy8G206000.1.v1.1 annot-version=v1.1 MPHCCGCGCGYARCAGTGGCGGGAIVRYGQPVARRQQQQQEQVEEGRRLPRRTEEQCAGRRVASALGTGLLLTCSTRRGRGVRGEGGGAGKERRPEDGRRRRRRGQAGEAGLGRSPTAAAAGGRPTHAAAAGREPVVTTRQWCGGRPAANPSLRRRSGYCGGRRPNQHTVRGARELATGGHHEPATKTMEASCCYARWSVQQRLCLGRRRRSPALASGRWCGKRRQDGRRTQKKFVVLRQATAPPAIPFATSKQRTPYQWIPSSNRHAIPVDSVV* >Brasy8G261300.1.p pacid=40083349 transcript=Brasy8G261300.1 locus=Brasy8G261300 ID=Brasy8G261300.1.v1.1 annot-version=v1.1 MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGLIDFELLHTVSGKEYITSEHLKHEIKMEIKNRGRASLVDLSDILGVDLYHIERQAQKVVTEDPALMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVINILEPRIGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLSSVWNSLQQQLQEMHGANGVSVEGSFFQSIFVSLLKEGAVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYVGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDNAVGDAIENGHWIDSLSVLPSYISGPDATKILSLCPSLQKAVKSSKAVLFGESCVFSNVFIKGIFDRLEKEIDSFGIKHSAVQGTSMNMNPSSEHRAGSVQYSDTKDIGDNDTSSTGVSSERGTKKKRGKATGSAKGGPLEKDDDSEEIIPVKGKKAHRKNKDAGSSGDAKRGGKKASEKSKEENTNIFPDELIEQKVLAVAPELEELGGSDDLNGPIKLLSSHLRPMLIDSWMKKRNTMLSENAERRRSVLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILHKHLLRTMGTPIVDKILLTLDKDNKLKNGVELEDSEEQHVQLSTADRTFLAKDLPGSLSSKAQALVEALEGKRFDSFMDTLRDITEESGLLFKKLDKRLERSMLHAYRKDLTAQVSSESDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVITLLKDKLPASTFKVLTDYHSTTVKLLALQAAATGDEQDCTSDRMLEKKEDLVERLMPELKSLALGTNKE* >Brasy8G065900.1.p pacid=40083350 transcript=Brasy8G065900.1 locus=Brasy8G065900 ID=Brasy8G065900.1.v1.1 annot-version=v1.1 MASPPPHVLPFFLLLAFLAGPSSLTAGDIRLPRGRACAAPADPAAYGRPVIGIVSHPGNGASETAKVSNATATSYIAASYVKFVESAGARVIPLIYNEPEERLLEVLRASSLFSLATFPCPDSGMVSSIR* >Brasy8G016300.1.p pacid=40083351 transcript=Brasy8G016300.1 locus=Brasy8G016300 ID=Brasy8G016300.1.v1.1 annot-version=v1.1 MLSRGREIHAFCAKLGLDATPYVANTLGTLYARCGDVGRALAAVGRMGSRDVAAWTTVISSYVQTGRAKEAIEAFVAMVRNEASNAASPNEYTYAAVLAACADISCVCLGEQLHAQAAQRGFASARSVANSLVTLYARVAGRLSAADAVFRESAAKDVISWSAIISGYAQEGLAGEAFALFTEMRRHHCPRPNEFTLASLLSVCATAATLDAGRQLHALVMAAGLEHHAMIRSALVDMYGKSGSMSDADVVFSNRTKDDVVSWTAMIVGHAEHGQSKRALELFEEMCLVGLKPDHVVFIGVLSACCHAGEVELGLRYLGEMSKIYGLEPAKEHYGCVVDLLGRAGRINEAEELIGRMAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWCEAAQERHMMKQKGVVKGVGWSSITVGGEDRAVGVFVAGDRTHPQNNVIYEMLELIYYGVGMARYVPDQMNLKSEVKLMVIS* >Brasy8G226600.1.p pacid=40083352 transcript=Brasy8G226600.1 locus=Brasy8G226600 ID=Brasy8G226600.1.v1.1 annot-version=v1.1 MPRGSHRDAARAATTRSPRSSRRSGRGPRGDYGFGKRSVREGAGGVGLFMRPARARAGVAPRRVPAAVAVQEVPGRVRVRPDLRDLRRHPGEDPRARGDGGQCCQGRLFSEPHRRRRLE* >Brasy8G292900.1.p pacid=40083353 transcript=Brasy8G292900.1 locus=Brasy8G292900 ID=Brasy8G292900.1.v1.1 annot-version=v1.1 MLMARGFFFPDDVIPVDRVANPFGLGTTERGLKRRVPLPPPVVQPPLPIFLLPSYPAVSPARRRERPLPRLPGQIWPCSLPQPRHRRRLGRRRLLRSVRVSGWGLEARWEEGVRRTARARGRPRSAEACWKHLCGGGGAPRANSTGSGRPRVSSGGYFQEQFVHTPAGEAIGGEGELLEAREAKERGEEGAGEGVGGERELLKRGGAGDEGGERAGEEVSGMRNNRRSFELKTQNTCQRLIGLDL* >Brasy8G067700.1.p pacid=40083354 transcript=Brasy8G067700.1 locus=Brasy8G067700 ID=Brasy8G067700.1.v1.1 annot-version=v1.1 MAGDPTAGAGAGGGSGTGKGPRSSSRQFRDRAKTRVDDLQEIFSGLQSARKESRSADAAVLEEQVHQMLREWRAELNVASPASSLQNSQGNNRAVSDPPSETLRMLQLAVEEEDDATSKLMIPRLPLVMQPSRQSHGLGQNDQNLNPDLQVQGSPVTGGATAPQQSLGQGMQGDCAGEVSDIANALFHEQMYYIDHELSIDDFLHGSNPDSLSNLQGIGQLEHQQFNLPLDLEHPNSYIDANNSVQNTGDVLFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSEECQDYCNPYHAGLALNDDGLQGTRPVMRPRGIDLKDGPLFDALGAKVQGKNVGIPVCEGAATSKSPWNAPELFDLSLLEGESLREWLFFDRPRRAFESGNRKQRSLPDYGGRGWHESRKQVMKDFGGQKKSYYMDPQPSSNYEWHLFEYEINDSNALALYRLEFKSSDAKRSVKSKLASSSLNEIQQQMVKLSADSPVDNKRAARSRTKANQKDSNANTYPTRNAPNQASASNAYETVSRNIPNQANTSNASQAVSQVDEMAFLNENVMYGPHLPYGYPAEKSHFHWNPSDGA* >Brasy8G089800.1.p pacid=40083355 transcript=Brasy8G089800.1 locus=Brasy8G089800 ID=Brasy8G089800.1.v1.1 annot-version=v1.1 MEEGDQPSRTSDHHLIDIAAETNSDAVDDNCEGGEAGRKWLRKLTSATVNKAVMRDLIARTPMLWYLGERSGTILRPCTRRGRARGGAVEAALHAVRAVAIGPFHRADRCLAFPDDAKLPFMRYLQDQCGLDTDRFVAALSADRARLRDEFADDDDDDDGNAELLLEDEEKFLQMLLLDSCFLLVVAMMLSKTGAGDDADSTARAASINREYFILHMAVAQHAEAIKLDMLVLENQIPFSALKLLVASCDRLSLKLRHCVEELVIGCFDDFCPKPRARACHRSDAANAEFHHVLHLFHWSRVPTSKYSILSTPLKLLKIKKESERLFPCSMDLRHSAVWFQNRNASSSSAAAEEDLGDLDMSFWSRTASPVAVMSIPCFHVHEYSAAVLHNLLAFEMRFHWAHGACVTTHVARMEGLVRCTTDAAFLRRRGVISASRMTDAELVCFFRELGEQTVGTRLPDEYVEMLDAVACHRRRKVSWWCGGFVLHFFPSPWVAVSLLAAVALIFVPSMLQTVYTMLGTIRSTG* >Brasy8G209600.1.p pacid=40083356 transcript=Brasy8G209600.1 locus=Brasy8G209600 ID=Brasy8G209600.1.v1.1 annot-version=v1.1 MPRPSTALLLSRLLLAASLLLRFSSAAAAAALLPPPRFEPEAPPTLVTALPGFDGALPFRLHTGYVTVDEENGAELFYYFIESEGDPRRDPLLLWLTGGDRCTVLSALFFEIGPLKFVVEPYDGTRVPRLRYHPYSWTKAASVLFVDSPVGAGFSFSRNPRGYDVGDVSSSLQLKEFLSKWFTEHPDYLANPFYVGGDSYAGKIVPFLAHKISEDIEAGLKPTVNLKGYLVGNPRTGEPIDYDSRVPFLHVVGVISDQLYETIMEKCQGEDYTNPKNVLCAQALDRFNSLRNEISLPHILYKKCVYASNRPNDGTTERKILKEETGLMKHPPPRPHMDCQSYVNYLSYFWANSNITRKMLGIKKGTMDEWVRCHDGDLPYIEDIDSSIKYHRNITSKGYRALIYSGDHDSVVPFLGTQSWVRSLNFAIVDEWRAWHLDGQSAGFTITYRNNMTFATIKGGGHTAPEFQPERCFAMFNRWISNEPL* >Brasy8G133900.1.p pacid=40083357 transcript=Brasy8G133900.1 locus=Brasy8G133900 ID=Brasy8G133900.1.v1.1 annot-version=v1.1 MRPRFFSAEISQPPPASLSLPLSFLQIWWALFAAAGLSRANNLKTLAPSTPATQSGARLDRPRASLGV* >Brasy8G127300.1.p pacid=40083358 transcript=Brasy8G127300.1 locus=Brasy8G127300 ID=Brasy8G127300.1.v1.1 annot-version=v1.1 MAEASKVIHIRNVGHEISEADLLQLLQPFGAVSKIVMLRAKNQALLQMQDIHSSVSALQYYTTVQPSVRGRNIYMQFSSHQELTTDQSSHGRNSDQDSEPNRILLATIHHMIYPITVEVLHQVFKAYGYVEKIVTFNKSAGFQALIQYQSRQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRSSQQGYPDPGGLYAFQQTGAPYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKVLRNKPDHALVEMADGFQAELAVHYLKGAMLFGNKLEVNYSKYPTITPAPDAHEYTNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQDISEDTILTHVSEHGSVVGTKVFEVNGKRQALVLFETEEEATEALVSKHASSLEGNTIRISFSQMQSI* >Brasy8G127300.2.p pacid=40083359 transcript=Brasy8G127300.2 locus=Brasy8G127300 ID=Brasy8G127300.2.v1.1 annot-version=v1.1 MAEASKVIHIRNVGHEISEADLLQLLQPFGAVSKIVMLRAKNQALLQMQDIHSSVSALQYYTTVQPSVRGRNIYMQFSSHQELTTDQSSHGRNSDQDSEPNRILLATIHHMIYPITVEVLHQVFKAYGYVEKIVTFNKSAGFQALIQYQSRQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRSSQQGYPDPGGLYAFQQTGAPYAQMGRAAMIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKVLRNKPDHALVEMADGFQAELAVHYLKGAMLFGNKLEVNYSKYPTITPAPDAHEYTNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQDISEDTILTHVSEHGSVVGTKVFEVNGKRQALVLFETEEEATEALVSKHASSLEGNTIRISFSQMQSI* >Brasy8G010900.1.p pacid=40083360 transcript=Brasy8G010900.1 locus=Brasy8G010900 ID=Brasy8G010900.1.v1.1 annot-version=v1.1 MRSSKANGSARKAGEVNHARGEGASWVLVAGGVLLSTLSVRLGCRLKQMLDVKKQNGSTSTRDNRRPRACELHSNLYRFNDQTNCYCCVSGIADGGVEVKQASASSLSKPDEPALPLARVSGPDSSKENSGVMWTSSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKRRDEMIMEMQAQIADLKNSLSIQVTQTTNLQSQLDAANRDLFESEREIQQLRKIISDHCLAEAASHDKPLQAGHWQSDVTNGHANGYPDSSVDDAELHCVGIQKRNVDLERVEMLKREVGDLKEVIEGKEFLLQSYKEQKVELCSKIRELQERLSAQVPNIL* >Brasy8G010900.2.p pacid=40083361 transcript=Brasy8G010900.2 locus=Brasy8G010900 ID=Brasy8G010900.2.v1.1 annot-version=v1.1 MRSSKANGSARKAGEVNHARGEGASWVLVAGGVLLSTLSVRLGCRLKQMLDVKKQNGSTSTRDNRRPRACELHSNLYRFNDQTNCYCCVSGIADGGVEVKQASASSLSKPDEPALPLARVSGPDSSKENSGVMWTSSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKRRDEMIMEMQAQIADLKNSLSIQVTQTTNLQSQLDAANRDLFESEREIQQLRKIISDHCLAEAASHDKPLQAGHWQSDVTNGHANGYPDSSVDDAELHCVGIQKRNVDLERVEMLKREVGDLKEVIEGKEFLLQSYKEQKVELCSKIRELQERLSAQVPNIL* >Brasy8G161200.1.p pacid=40083362 transcript=Brasy8G161200.1 locus=Brasy8G161200 ID=Brasy8G161200.1.v1.1 annot-version=v1.1 MESNRKEYLQPPPGYPTVDSVAGAGGDGSPRGGRTRQRGRKTSFLDACVAALCCCWLCDLCCA* >Brasy8G102600.1.p pacid=40083363 transcript=Brasy8G102600.1 locus=Brasy8G102600 ID=Brasy8G102600.1.v1.1 annot-version=v1.1 MAQPLVVFFFLLTVTAVAARDAPLVSPVHVGKRYIVGGTNGWRVPRNKDVYVKWASGIQFYVEDSIEFMYKNDSVAKVDKYAYYHCNSTAPAGTSPAKDGSSLFLLDTPGYAYFASTDAKHCKKGQRLMVNVKARQAPAPAPEPEEIPTPPAPAPLSSSPAPAPRVPVMENGAAALASTSSRALVFLAALALMGLIRT* >Brasy8G036700.1.p pacid=40083364 transcript=Brasy8G036700.1 locus=Brasy8G036700 ID=Brasy8G036700.1.v1.1 annot-version=v1.1 MARFVHLLVLVSFVLACGASAAMAAGGAHRRPRSSARLQLERAAPGATLAERAADDRFRHAYISAKLAAASSSSPRRRAAETSPAESSAFAMPLTSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGPSSSPSPAGSPPPQRVFRPADSKSWAPIPCDSDTCKSYVPFSLANCSSPPGPCSYDYRYKDNSSAQGVVGLDSATVSLSGDGRKAKLQEVVLGCTTSYDGLSFKSSDGVLSLGNSNISFASRAASRFGGRFSYCLVDHLAPRNATSFLTFGNGDSSPGGDSSSSRTPLLLLEDARTRPFYFVSVDAVTVAGERLDVVPDVWDFRKNGGAILDSGTSLTILATPAYKAVVEAISKQFAGVPRVNMDPFEYCYNWTGVSAEIPRMELRFAGAATLAPPGKSYVVDTAPGVKCIGVVEGAWPGVSVIGNILQQEHLWEFDLANRWLRFKKSRCAH* >Brasy8G047600.1.p pacid=40083365 transcript=Brasy8G047600.1 locus=Brasy8G047600 ID=Brasy8G047600.1.v1.1 annot-version=v1.1 MGSITSGAGAARRGVRSISDWSAGAGGGRGADAVPGWTSTYARLSARSSGGARRGLQGGRQGSAREDACAVGGRGAGAGGTSGPGRGAWGGDAVGAVQRRQHEQAAWGLGQRAAGSRS* >Brasy8G263000.1.p pacid=40083366 transcript=Brasy8G263000.1 locus=Brasy8G263000 ID=Brasy8G263000.1.v1.1 annot-version=v1.1 MGFIQSTFSLLVGTGCGIYIAQNYEVPNMKKLIWGLVGKAKEVEDSYKKPSSSGNNKN* >Brasy8G238800.1.p pacid=40083367 transcript=Brasy8G238800.1 locus=Brasy8G238800 ID=Brasy8G238800.1.v1.1 annot-version=v1.1 MPSGPSPPLSSSGAAPPGTGAGAGATPTTDSREEATAGCLPADQSCFALVSGAAPYASRRAAARACCTTTSYIVVLGISFGSLLAILVILCVIRWYLVHRSARQQQEEELEAASASAPTPGGLAKKRAAGLDADVIAALPEFVYYLKKEEDGEEDEEAECAVCLAVMAEGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVLVVRPAGGECAKKEHEGSTSRALAAPPPPAPVERDLEAQ* >Brasy8G064900.1.p pacid=40083368 transcript=Brasy8G064900.1 locus=Brasy8G064900 ID=Brasy8G064900.1.v1.1 annot-version=v1.1 MHADWYTWSSVDHYQYSTVQSIDRSYSYPTANGIVPNLNKLDLRQHTYVPVFTTSWAWPCIKSDKIFGLARPEANSNTTGAVEPRSLAAGPKKAIPPAQRPEPREAIDTHLRSPRVALFSVSARFHLAHRTQPSCSAERAVELRRRSSPGGGGGGLRGVPVNLVQLQRAPYFVPIALLVNRVLQSWVSLFTRIE* >Brasy8G269700.1.p pacid=40083369 transcript=Brasy8G269700.1 locus=Brasy8G269700 ID=Brasy8G269700.1.v1.1 annot-version=v1.1 MANTNFSSLSFYFCIFLLCHGSMAQLFSQSLNLWQSPRRGAFRECRFDRLQALEPLRQVRSQGGLTEYFDEQNEQFLCAGVSVIRRVINPRGLLLPRYHNTPGLLYIIRGSGFAGFAFPGCPETFQQFEQARGQSQSQKFSDEHQKVHRFQQGDVIALPLLSEAFAISEQTSQRLQSQNQQRGEIILVDRGLQFVKPAVQSQVGQSTSGTLNGLEENFCDHKPIINIEDPNRADEYNPRAGRITHLNSQKFSILNTVQMSATRVNLYQDAILSPSWNINAHSVVYMIQGHAWVQVANNQGQNVFNGLIRPGQLLIIPQNYVVLRKAEREGSQYIEFKTNANSMVSHIAGKNSILRALPIDVIANAYGISKEEAQNLKNNRGEELGAFTTKFPQASYQRYRAGLEESDSTRKASE* >Brasy8G172600.1.p pacid=40083370 transcript=Brasy8G172600.1 locus=Brasy8G172600 ID=Brasy8G172600.1.v1.1 annot-version=v1.1 MENGEIEGADDGLPVPAPPNGRRYRPVGSDDRAVIQLTSMETDPSSSTSTATDGVVTPQPPRNLKPGANLTIDPSMQEGSSDHDTTSSGSRGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETAPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIWQSLVLVSFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQESVTVVNNTLLNGTATAGTATIATPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSIASLRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLATTAMYLFSVLLFGALSTREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHTATLFTALICIGCVIIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCIVIMFLISWSFTVISLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLILCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSTIDGDYHELAEDAKTACHQLEAYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIVVVRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDNDAEELKTDVKKFLYDLRMHAEVIVVTMKSWESHVESSSSGSQPDDSQEAYTSAQRRISTYLSEMKETTQREGRPQMVDGKRVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPRHH* >Brasy8G274400.1.p pacid=40083371 transcript=Brasy8G274400.1 locus=Brasy8G274400 ID=Brasy8G274400.1.v1.1 annot-version=v1.1 MPMPDKKRKRRMRTAPRLIRVRPAGSKKKMPCSEAAQPVYLVLEHGGDSKPFYSVIEAAGGAAAYPIQNLSDGMSFAAVESARHGPRIVGVGLRSATVFNPIKSREIAAPFLPDRKLQPVLIAHGSKLYALSRVPSVVMGIDFDPWFFVLDLDDSYATTGTVRGTSWLCLPPPPVFPCRLNPLEYRDPPEYRVAAYAVVGDHILLSASVHQQPDKQGTCAFDVDAKEWEMVDDKNLPFIGQAVPLGDHCFLACSEANGGAPTVYYMQVFKPGTSGTGKTELSIIEVPVVCKGIVPGQLLCSMGKGSFSSFDVPTDDPKIDAKRDKARIIHRTYSLIVDADTQSIVIMKQQEHIFKLRDPYNHLDRPTRVVAALAMDKEW* >Brasy8G234000.1.p pacid=40083372 transcript=Brasy8G234000.1 locus=Brasy8G234000 ID=Brasy8G234000.1.v1.1 annot-version=v1.1 MAEAILHHLPRRFVASTATSSSPSSQWVLSPAPRAELRQARLAAAAPPGQPRRLKAHAVVGSETGEQPKWWEKNAGANMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTATDNPNIVFLKVNFDENKPMCKRLNVKVLPFFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTDRCSIGPPVGVGNVLDSSSPQEKPAEATS* >Brasy8G210400.1.p pacid=40083373 transcript=Brasy8G210400.1 locus=Brasy8G210400 ID=Brasy8G210400.1.v1.1 annot-version=v1.1 MDRSGGSGIAGGAVPAGIRPRRLRKEHRPLRVTSSGSSSGRGSRSFSAATTCPLTWSPAC* >Brasy8G176300.1.p pacid=40083374 transcript=Brasy8G176300.1 locus=Brasy8G176300 ID=Brasy8G176300.1.v1.1 annot-version=v1.1 MSRFNLFVKQCGLFNLGYNGTAYTWSNKRFTSSPVYERLDRCLANAEWCTLFPNTNVYNLPIVLSDHAPVLTITDSSFRRPRLNFKFENWWLMEKDFQRTAKNNWETTNGKDFSVRTSNLAGTLRKWCKTKKPLNHQLDSIQEQLNHIQMQHPNLQDHNQEAMLTRQYEETMSKLTEQYRQRAKKHWAKQGDRNTSYFHHSVLKRRRRNRIVCIKDDSSDLHHDPELIANTFVNYFENLFSSSNNTAHIPIAILKDMRRNASPGPDGFNVAFYIAAWSWIGDHVTNLVRQFYDTVRKRCLEDHW* >Brasy8G125500.1.p pacid=40083375 transcript=Brasy8G125500.1 locus=Brasy8G125500 ID=Brasy8G125500.1.v1.1 annot-version=v1.1 MSPEHRRQRATAAAVAALVLGDATEHESLRDKGETAQSLTAKLSGGSERLGDARRCDGVGAVAGVGGDGVDLAVDSVLGSTGSYGASIRNAADLPDMVAEVSGAVGYGGARWRRWWMPIAFGATRARWGKRWALGDAARRGELVGARISENRSPSRSESTARRTAGIRRRRPRGGFGARIAARRAARGSARREQPNKRSGAESGEAEQRQAGGGAGSGKAATQLLGEEGPDGWVPPVSGCWR* >Brasy8G214200.1.p pacid=40083376 transcript=Brasy8G214200.1 locus=Brasy8G214200 ID=Brasy8G214200.1.v1.1 annot-version=v1.1 MPMHERGMGGEGSIGHRRGWRWRWRGRRRARASSWSPRFSCCWQPRRRRTSVWTSWTATGRTSSPGGPAAAGTAGSGSVRASPAGIAGEEEASQHGCYMGLCHVNYQLYDAYM* >Brasy8G173800.1.p pacid=40083377 transcript=Brasy8G173800.1 locus=Brasy8G173800 ID=Brasy8G173800.1.v1.1 annot-version=v1.1 MRIPRRKGGAAGVALGMPSRRAQIAAVFALAVLLGVSVLYDSAHIAASLRRHSGYTRLSATREEEAAAGAPPARGVESAEEGADRSDPPPQQGQGQGKEEEASNTGARADTSTRETGVVKEVAAGGGRGNASCDVYKGRWVYDDKNAPLYKESDCEFLTEQVTCMRNGRRDDEYQKWRWQPDECDLPKFEAKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQSEAPWDKKKLVKNGSLNVFRLEEYNATIEFYWAPFLVESNSDDPDIHSITDRMITPTSITKHAANWIGVDYLIFNTYIWWMNTPKMKIVHDGSFASKPVKYEEMDRVVAYRQILKTWSGWVEEHVDPRRTMVLFMSVSPVHMQSEGWGSPNNIKCFSETQPAINYTKELELGTDWDLFSASHGFTKAMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTKEQQANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPLQQQIEGQSQR* >Brasy8G046800.1.p pacid=40083378 transcript=Brasy8G046800.1 locus=Brasy8G046800 ID=Brasy8G046800.1.v1.1 annot-version=v1.1 MSMAASSGRWKRVRTLGRGASGAEVFLAADDASGELFAVKSAGAAAATLRREQEIMAGLRSPNVLSCIGGRRGHDGSYQLFLEFAPGGSLADAVRSGAGGRLEERAVRAYAADVAAGLAYLHGVGLVHGDVKPRNVVIGGDGCAKLADFGCSRKADSRGPILGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWNGSGMDDGDVLAALHRIGYTDAVPEVPKSLSADAKDFLARCLIRRPSDRCTAAQLLEHPFLSAAGGVDAKREWVSPKSTLDAAFWETDGSEQEDDDAEVSAAAAHSTAERIRALACPASSLPEDWDSDEGWIDVMNSTPTEASDAASAPVPAEETTEPEDGGVRSQGSSAETSLGLGITVDSSDAGRDDAGEADNYSIGHNRILQSLEISVCADEAAVSCKLLCHINNSMDFILPQNFPRPLPPLRSSNQIYKSIKKNLASSVLELPRHATRRSFVPPRQNEKDGPMESTRKAQRQSGRGLEKGSLGKAIPAAVQVLPRGQKGPRWLGLRRSGGQTRSRTSEPAIKKHSFLASKRRVLAPARGCFARWRSIDAVSRVRMKAVPLFSGPCAVRAMDGCLPPGLGIHSRRLPLQFDLEGGVSVRDKQAATILRVRHNTRTSSRASSSMRFRLIDTIGVLLFSHSLSCV* >Brasy8G284800.1.p pacid=40083379 transcript=Brasy8G284800.1 locus=Brasy8G284800 ID=Brasy8G284800.1.v1.1 annot-version=v1.1 MEAKVVSIGKSVLVGALGYARSKAAEEVALQLGVEGDVAFIADELEMMQSFLMSTDEERGQHKVLATWVKQVRDLAYNVEDSLMDFSLLSEKKKSWWRNPRTAAERRRIAMEMKKLRTLVEDVSNRNLRYRLITETAGNKPTAAEEQASIASAAMFGINEASLVELGKEELKVDLHQLITSEEEELRVVAIWGTSGDLGKTSAIQEVYNDPKVLKKFGFFAWIRLTHPFNPKEFIQCLVRQFYENSSNEMKMEMMEQNELVHVFNAQVSSNNYLVVLNDLSTIEEWHCIKKYFPDNKRQSRIIVSTQQVEIASLCTEKPYQVSELKQLSCDQTIYLFHHKVIPASSSAVPVSDSIKVTTAENDRPLPTNEIEEEDQEPKDAGREKDSASTDGKKFHRSRTMTLTDEVLTGRRGRVTDKSKVIGLIDPTRGEGDRKVISVWGMGGLGKTTLARIVYRSQQLSGWKQAWATALRPFNPEVLIRSLVLQLQKDIQEDPAGATATGKKKENIAVMKLQELKEELNRLLNTQKCLVVLDDISSTAEWDLVKNCLQNARRVIVTTREKNIAKHCSSEYMHMYSLQGLKDDDALELFKRKVFKDNSENIDLVPDMMKQARLVLKKCDGLPLAISTIASKPKTVAEWRKMNDRISSELEINPELRTIKTVLMRSYDGLPCHLKSAFLYLAVFPEDYRIRWARLLKRWVAEGYSRDMHGMSGKELGCRYFDELLDRSMILPGDEVNHYSGKINSCQLHDMIREICITKAREENLVFTLEEGFCLSSTQGAIRHLVVGSNWKRDKDVLESMLDLSHVRSLTVFGEWRPFFISDKMRDHHLDQIGQLRHLMYLSLRECANIYCLPNSLGNLRQLQTLDVRGTQIFEVPETTTKLCKLQHLRTTDLSKDRSDVKGEDVIAYNYLERITSVSKFGPACLILLYAGHVFLKPQDLDAGLDRHDLFNIYCFCLASGAKGFVHGVEVPEGIGKLKVLQTLGVVNIARGKGKATLNELKELTQLRKLGVTGVSDKNSKELWSAIACHNQLRSLSVKTVKFVDELDGSLGEGLLPPSCLESLKLCGKLVRVTSWIHQLQNLSKLTLECSRLDQDEAIQALGVLPNLAVLRLKWMSFYGKQLHFQGTSFPSLVVLELYGLFKLESVLFEEDAMRRLELLQVNRCAIVWKISGLAVLTSLREIRLGACVTYELKEEVKRQQVAEHLKHVRLNFS* >BrasyJ049400.1.p pacid=40083380 transcript=BrasyJ049400.1 locus=BrasyJ049400 ID=BrasyJ049400.1.v1.1 annot-version=v1.1 MIKILRSQLSIRFLSLCPIEDAKIFLRDAREAIERSKKQEGLCRSTQLEERQDFDEEAPEKHAGSEGQVHNFDCEDIDDEEQEDKEESDGYDSPPMAEDVRDFSLHDSYTLGEGFPTGYLDGVLRSFPVNEDGQIKLGEALNNTEGSDGEFEIFEQPSDDEE* >BrasyJ049100.1.p pacid=40083381 transcript=BrasyJ049100.1 locus=BrasyJ049100 ID=BrasyJ049100.1.v1.1 annot-version=v1.1 MMTMDLMGGYGRADEQAAIQEAAAAGLRGMEHLILQLSQTGTGAESSPVAAPEQAKGKQQQEVDCREITDMTVSKFKKVISILNRTGHARFRRGPVVAQSQGPEHEQQAPVVVRSSSVTLDFTKAGYGNKDAGLSVSAASSSFLSSVTGDGSVSNGRGGVSSSMMFPPPPSASCGKPPLAAFAGHKRHKCHDHAHSENVAGASGGRCHCSKRRKSRVRRMTRVPAISSKAAEIPADDFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPNEPSMLIVTYEGDHRHAPADQEPPPPLAALSEL* >BrasyJ049300.1.p pacid=40083382 transcript=BrasyJ049300.1 locus=BrasyJ049300 ID=BrasyJ049300.1.v1.1 annot-version=v1.1 MTSPVDPTAAAPQSPSIITNGAVNGLLPGAEAFAVHYPGYPSSPARAAHTLGGLPTIAKVRSSKSRLELRFRPEDPYCHPAFGEPRASTGLLLRLSRPKGGDAPPRAEVVAQVRNAYYFEGMADFQHVVPVQAAKVRKRNRPDCPSSKDDLGSDKVGDLETDDEDAMMLVPPLFSIKDSPTKIALLASSNALSKSMQRGVVRHRWEMDIEPTLALSFDIQAVPKKINWEDHIPKKSPEWGWQMAMCKLFEERPVWPRQSLYERLLDEGVQVSQGQFKSLLFKAGYYFSTGPFGKFWIRKEYDPRKDPESRIYR* >BrasyJ049200.1.p pacid=40083383 transcript=BrasyJ049200.1 locus=BrasyJ049200 ID=BrasyJ049200.1.v1.1 annot-version=v1.1 MSTRGSRCIVSLSLVLLCLGAAAARATRPAPDASLAATTNGTCRSRVEPFGYRCEEHTVTTEDGYILSLQRIPSGRTGGSELGSSGKVPVLLQHGLMMDGLSWLMNSPDESLGFILADNGYDVWIANSRGTVYSSAHTTLSSSDPAYWNWSWDELASNDLSAMLQYVYDQAGQQKMHYVGHSLGTLIALAALSNQQPHVGMLRSAGLLSPIAFLNKVSSPLALAGADVFLAEALYWLGIDEFDPTGEAVHKLLTDICKLPGVDCYDLMSAFTGDNCCLDNSSVQLFLSHEPQATSTKNMVHLAQMIRRGMIAKYDYGDASDNTKHYGQPTPPVYNVSAIPDGFPLFLSSGGRDSLSDVQDVQRLELQALKSHDKDNLTVQYLADYAHADFVFAGNARERVYAPLMAFFRLQEK* >BrasyJ049000.1.p pacid=40083384 transcript=BrasyJ049000.1 locus=BrasyJ049000 ID=BrasyJ049000.1.v1.1 annot-version=v1.1 MTGVWVFEDGILRRAESEAPGSGRAARPGKVLVHVPSSEVVTSYDVLERRLGELGWERYLNDPCLLQFHQRSTVHLISVPRDFARIKLVHMYDIVVKTRNIFQVRDA* >BrasyJ045900.1.p pacid=40083385 transcript=BrasyJ045900.1 locus=BrasyJ045900 ID=BrasyJ045900.1.v1.1 annot-version=v1.1 MFGTPSSSPLFGTPSSAPSFGTPSTTPAFGSAFSAPAFGAPSSAPAFGTPSSTPAFGTPSSTPAFGAPSSTPAFWTPSSSSAFGTPSSMPAFGAAPSPSPSPFGFQQQATPSPSPFGFAGGGGGQITTQMAPVAPLPLSSSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSGRLKLQDEVLVSDTERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGCRVPLTKEEADLYERLIAITKQIKGTGGDLSRRTYNLLSVSRLLASTGGASGTTYIPSSAKVDEQSVTELLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEVVDMADDSVGKRVLKM* >BrasyJ045900.2.p pacid=40083386 transcript=BrasyJ045900.2 locus=BrasyJ045900 ID=BrasyJ045900.2.v1.1 annot-version=v1.1 MFGTPSSSPLFGTPSSAPSFGTPSTTPAFGSAFSAPAFGAPSSAPAFGTPSSTPAFGTPSSTPAFGAPSSTPAFWTPSSSSAFGTPSSMPAFGAAPSPSPSPFGFQQQATPSPSPFGFAGGGGGQITTQMAPVAPLPLSSSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSGRLKLQDEVLVSDTERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGCRVPLTKEEADLYERLIAITKQIKGTGGDLSRRTYNLLSVSRLLASTGGASGTTYIPSSAKVDEQSVTELLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEVVDMADDSVGKRVLKM* >BrasyJ045900.3.p pacid=40083387 transcript=BrasyJ045900.3 locus=BrasyJ045900 ID=BrasyJ045900.3.v1.1 annot-version=v1.1 MFGTPSSSPLFGTPSSAPSFGTPSTTPAFGSAFSAPAFGAPSSAPAFGTPSSTPAFGTPSSTPAFGAPSSTPAFWTPSSSSAFGTPSSMPAFGAAPSPSPSPFGFQQQATPSPSPFGFAGGGGGQITTQMAPVAPLPLSSSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSGRLKLQDEVLVSDTERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGCRVPLTKEEADLYERLIAITKQIKGTGGDLSRRTYNLLSVSRLLASTGGASGTTYIPSSAKVDEQSVTELLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEVVDMADDSVGKRVLKM* >BrasyJ045900.4.p pacid=40083388 transcript=BrasyJ045900.4 locus=BrasyJ045900 ID=BrasyJ045900.4.v1.1 annot-version=v1.1 MFGTPSSSPLFGTPSSAPSFGTPSTTPAFGSAFSAPAFGAPSSAPAFGTPSSTPAFGTPSSTPAFGAPSSTPAFWTPSSSSAFGTPSSMPAFGAAPSPSPSPFGFQQQATPSPSPFGFAGGGGGQITTQMAPVAPLPLSSSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSGRLKLQDEVLVSDTERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGCRVPLTKEEADLYERLIAITKQIKGTGGDLSRRTYNLLSVSRLLASTGGASGTTYIPSSAKVDEQSVTELLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEVVDMADDSVGKRVLKM* >BrasyJ045800.1.p pacid=40083389 transcript=BrasyJ045800.1 locus=BrasyJ045800 ID=BrasyJ045800.1.v1.1 annot-version=v1.1 MTKSATSLLLGAALATAFFLFYTSVRRGLGDGPARSTPPRWTQQKEEDSVRVPAEKRAVAKWEEEEEERKDLVSSSDGSGRDEPMPEQQKEQQIVMPATQQQEDKPQDLADLLQRASTADRTVLMTALNEAWAAPGSFLDLFLESFQHGENTAYLVKHLLVVAMDKKAFDRCNAVHPFCYWFRVEGMDFASEQKYMKGDYLEMMWKRNRFQQTILELGYTFLFTDVDILWFRDPFPHISPTAQLVMSSDFFVGDPNSVGNYPNGGLLYVKSCAGSIGFYKHWQSSRARFPGMHEQYVFDKIVKEGVPAHLGTKVQFLDTSNFGGFCQHGKDLGKICTMHANCCVGLENKLFDLKNVLQDWKTYKARIAVGNTDYFSWRVPGRCIH* >BrasyJ045700.1.p pacid=40083390 transcript=BrasyJ045700.1 locus=BrasyJ045700 ID=BrasyJ045700.1.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ045700.5.p pacid=40083391 transcript=BrasyJ045700.5 locus=BrasyJ045700 ID=BrasyJ045700.5.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ045700.2.p pacid=40083392 transcript=BrasyJ045700.2 locus=BrasyJ045700 ID=BrasyJ045700.2.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ045700.4.p pacid=40083393 transcript=BrasyJ045700.4 locus=BrasyJ045700 ID=BrasyJ045700.4.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ045700.3.p pacid=40083394 transcript=BrasyJ045700.3 locus=BrasyJ045700 ID=BrasyJ045700.3.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ045700.6.p pacid=40083395 transcript=BrasyJ045700.6 locus=BrasyJ045700 ID=BrasyJ045700.6.v1.1 annot-version=v1.1 MSAPAVPTRPDQDDSLRSLLASLSTLTAARVLPFPLLAFSRLRRRLPAAASSDFLLRPIASLLHLHRSDLSLGVQLHGLSLSLGLSRHPLLLPRLLSLYSSQPSLLPYASSLAADSTCPLPYNILISACLRHGFPRHALAAYQQMAKTAVLPDAFTYPSVLRACAEAGDLALGRAVHLHGLTAGVGSHLFFQNALVSMYAKSGDLVAARKVFEAMEQKDVVSWNSMISGYVAVGQWVQAVELFERMRAEEAEVNSVTWNTIAGVYISTRDHRAAVGLIREMVSDGAAVDFVTLVIGLNACSRVGWLRLGKEIHGLAVRMNCDGVESVANALITMYARCKHMDSACLLFKMLACPGVVTWNTMIASFALSDEAEEASRLVREMVGAGVQPNYVTVVTLLALCARVANLQQGQELHNHIVKNGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFDQMIDSGIKPDHISMVAVLSACSHSGLVTEGEKLFDKMTSSYGIKPQMEQYSCMVDLYARSGLLEKAEGMLNESSSPPTSMMWAALVGACHDRGNITIGERAARRLLEMKTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWVDLRNGFAPFLVGDRSNPLAPEIYEVLDELSEQMRNIGTCSDLDIVEELAD* >BrasyJ024500.1.p pacid=40083396 transcript=BrasyJ024500.1 locus=BrasyJ024500 ID=BrasyJ024500.1.v1.1 annot-version=v1.1 MKIFSWNCRGLCSDAAVRDLLDLQKDIRADFCFLSESHLNKDRADAELDLPWLMLGDFNEIMYAHEKDGGNPRPLIMMQKFRESIADCGLEDMGFSGDIFTWRRGDIRERLDRAVCNERWAAMFPMFSVINEAHVRSDHRPIVVDSEFHAGILAPRRSSTKFFEARWLKEDTVETIVNTAWMKAVASGARGVAARTNLVHEDMHIWDRRVLKGPVNRIKKLKQELELLRKGSTSLASIAKQKELQVAIENLQEQEEIYWMQRGRANWLLHGDRNTAFFHHAATQRKKRNHITKLVDDAGS* >BrasyJ024600.1.p pacid=40083397 transcript=BrasyJ024600.1 locus=BrasyJ024600 ID=BrasyJ024600.1.v1.1 annot-version=v1.1 MAYYDRRAESSFLEAFTLSPLPYPVILILLMVTLLLGLSWFFTYEDFIEEAAEQFSWVLLAVPIALVLLIRWISSVDTFEGYFGFYPTESRWRGYQAAPSEGSSPWGVAMVVLLLLVLASFHSTFQDMWRP* >BrasyJ071200.1.p pacid=40083398 transcript=BrasyJ071200.1 locus=BrasyJ071200 ID=BrasyJ071200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWITLGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ046800.1.p pacid=40083399 transcript=BrasyJ046800.1 locus=BrasyJ046800 ID=BrasyJ046800.1.v1.1 annot-version=v1.1 MATAATAAAIATGIPPKPHHQCESRNHHHLPLPATGSRGIHGTRLRCSTVSPTTTTPSPPAAPLRPSAPTEPRKGADILVEALERCGVRDVSGYPGGASMEIHQALTRSPTIRNHLLRHEQGESFAASGYARASGRPGVCVATSGPGATNLVSELADALLDSIPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVQEAFFLASSGRPGPVLVDIPKDVQQQMAVPCWETPIRLPGYSARLPKPPSTDLLEQVIHLVGEARRPILYVGGGCSASADELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHISTCADVKLALQGMNALLELSTEHKSFDFSNWCSELEHKKNEFPLSYKTFGEAIPPQYAIQVLDELTNGEAIIATGVGQHQMWAAQYYTYRRPRHWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELAMIRIENLPVKVMVLNNQHLGMVMQWEDRFYKANRAHTYLGNPENESEIYPDFVTIARGFNIPAVRVVKKSQVRAAIKEMLETPGPYLLDVVVPHQEHVLPMIPSGGAFKDMILDGDGRTRY* >BrasyJ046900.1.p pacid=40083400 transcript=BrasyJ046900.1 locus=BrasyJ046900 ID=BrasyJ046900.1.v1.1 annot-version=v1.1 MSTGGADKSGSGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKQTVTDGA* >BrasyJ002500.1.p pacid=40083401 transcript=BrasyJ002500.1 locus=BrasyJ002500 ID=BrasyJ002500.1.v1.1 annot-version=v1.1 MGCGYVAISMLLCFLFASWYLPLAESTDAGGNLQMNDKKATLLVPTGGAKNQDGERQNITLSTTKPIWFPRRANILDGGDNIISHYAMWRTTTGKYYGFRAEMSIWGSPNQHYSQESGSAIQMYCAEGDRYRLIEAGFHVAPALYHNRDVRFFTYWTKDTNLAGCYNLNCPGFVPARGAALVPGQAIAPTSTYDVQDRYVRLSINEDPHSGDLVVYRHDLERPSFLGHFPRELCPGKSRIQALTGFVNYLLTIKGPPMGSGHFPSTNPKRSGYFKHIKIYDSKGHAWDPHTTPIKKLADKWDCYNQTNLYLERDMGYMFYYGGPSGCVG* >BrasyJ002600.1.p pacid=40083402 transcript=BrasyJ002600.1 locus=BrasyJ002600 ID=BrasyJ002600.1.v1.1 annot-version=v1.1 MTHGTEVFFLPPAIFFPFYFLDLLASRSLRGHRNIPGRRPRALPLERGSGHARWRQARRVHWQESRTEVMGLTEPRWRVQEQQRRRAGRRRSQTTSSRTPVRVIGNGAVTQLPGVEFFPDTQVHADPWR* >BrasyJ114300.1.p pacid=40083403 transcript=BrasyJ114300.1 locus=BrasyJ114300 ID=BrasyJ114300.1.v1.1 annot-version=v1.1 MSNADWVADCTRRNVENAARRGRSGEEGQGKRRRPGPADGGAEGRGEEEAGGLVDSDMRHHRHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTAHLYSIGAITARNSNYGHFYTTGGLGSAINAGG* >BrasyJ114400.1.p pacid=40083404 transcript=BrasyJ114400.1 locus=BrasyJ114400 ID=BrasyJ114400.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPNGVLISCPPIDSGPGCNRPPC* >BrasyJ072400.1.p pacid=40083405 transcript=BrasyJ072400.1 locus=BrasyJ072400 ID=BrasyJ072400.1.v1.1 annot-version=v1.1 MQAKRMESQSDGATSKSDTQIVAEVLRETSSSSTFLANLGFPASLRRPPSASARQIQELQERLHTQERGAREAQERQQGQFSSRLQTQQTEIEDLRRRQQEELEEIRKKHQEEIENIKNSQQEKNGALEKKQQEMDAVLGYLLRQ* >BrasyJ072500.1.p pacid=40083406 transcript=BrasyJ072500.1 locus=BrasyJ072500 ID=BrasyJ072500.1.v1.1 annot-version=v1.1 MAELEAKQAWQANELLREEVDDMRVDTKAQIDVLLEEVKLLRGMVVQSNAGNNKSSMHHIDTEPADSVGESAAANEQYSFHDVNIDEEDIMEQELREAQEQFERKKAEEALLRKKKEAARIQNRDAAQRQKEQQELLQKRKEAEILQKKRKESELRQNEEELQNKETELKKKEAELQKKKKAAEVQKKKEAEAQKKKQQAAITQENHQVFFHLILYFSFINFDMNAKKC* >BrasyJ100100.1.p pacid=40083407 transcript=BrasyJ100100.1 locus=BrasyJ100100 ID=BrasyJ100100.1.v1.1 annot-version=v1.1 MAKPSSQISPSLLPPDLKAAPAEEDAAKRRASAGAAGCRPRRRVNSDGDLISHLPDAILCSIISLLPTKDGVRTQAIARQWRPLWSSAPLNIDTYDLITRSCFGIPIFLNDHLGPPTNGLKSFSIVSKILSDHPGPARRFRLYGADKMYARCNAARIESWFQSRGLANLQELDFSFSPTHSPLRAGVELYPLPSSVPRIASTLIMARICYCDFPKETAESLNFPLLKQLTLKCISISEDAFHGLLSGCHVLETLFLEAIHGVSCLRVSSGTLRSIGLRTGESVIVDAPCLERLLLPNRGLLSKNIHVTGAPKLEILGPLSPFISEIKIANLVFQRMIAAGLKTPVCTVTVLALEFARPDLNAVLEVLRCFPCLEKLYVIWDKYLKIEMKNVSQLYDPPDIIKCLETCLKKLVLKNYKGGVQDADFAKFFVLNAKVLKQIIFGVNEKINKNWVAVQQRLLGVETRASPDTQFEFRSGSSYFQNHLDTHDLSIADPFNHSLVDGVDALSE* >BrasyJ099600.1.p pacid=40083408 transcript=BrasyJ099600.1 locus=BrasyJ099600 ID=BrasyJ099600.1.v1.1 annot-version=v1.1 MRRCRRHGLFVGAAAVEAAGAGTVAVTVTSLHQRERRLPAFTVVGVTPEAATACTPAGEDTLLRLKSQQPRHQRRCCPFVTCSRERISPRTTTRKFCKSRDFMSHLICLPIAMFKSYLHEIFHEVKALFENGCTATVTPFFTAQSVNDLTIIRVQYHPTAAQIDRKLKPQYSRTHLCHI* >BrasyJ099800.1.p pacid=40083409 transcript=BrasyJ099800.1 locus=BrasyJ099800 ID=BrasyJ099800.1.v1.1 annot-version=v1.1 MVLVGNFQDWKFLGKTCPDRSSIEPIVNTLVTQLLLVGNFGALKTTLKHPQVTIW* >BrasyJ099500.1.p pacid=40083410 transcript=BrasyJ099500.1 locus=BrasyJ099500 ID=BrasyJ099500.1.v1.1 annot-version=v1.1 MGRSGYTEDATESMMSWLHALHGGGCSSSSNEFGQSVFCDEDDDPVHDVGEDVTNFSRLQECARCVKLEDEKLKLEFELAPLDIAMEELVKEKELLEKKNSELKLEVEEASKMVYIVAAVVITLVGVLIIVLA* >BrasyJ099500.2.p pacid=40083411 transcript=BrasyJ099500.2 locus=BrasyJ099500 ID=BrasyJ099500.2.v1.1 annot-version=v1.1 MGRSGYTEDATESMMSWLHALHGGGCSSSSNEECARCVKLEDEKLKLEFELAPLDIAMEELVKEKELLEKKNSELKLEVEEASKMVYIVAAVVITLVGVLIIVLA* >BrasyJ099700.1.p pacid=40083412 transcript=BrasyJ099700.1 locus=BrasyJ099700 ID=BrasyJ099700.1.v1.1 annot-version=v1.1 MQAAGFGRRAARAGCVAAGCGDEGCRRQHRWRRLQAESAVARASGGTGRGGGFRRRRWRRARGEVERKRRRGRRECWGMGDALAPPFQPTEMELVVAELRGVRSFTLHRKRLIIALVQVTAAELDPNAMAALAAMEHGEGRRRDGLRAPSMAARAGRRRDAASARRPWRLGRWKRRGNREFGTKGACSPGKKE* >BrasyJ099400.1.p pacid=40083413 transcript=BrasyJ099400.1 locus=BrasyJ099400 ID=BrasyJ099400.1.v1.1 annot-version=v1.1 MENPKFRDHIDIEVNPDQDPTQDPETMVYTMLHENPPARQYDHGPLPEEPNFVVDNRDALPPTRITTAMTAGRGKGKGRGRSRGRGRSSGGGAGTDEQEQSSAPRGRGRPRGRAAAAATGRGRAAAAADEEAGSSAPRGRGRPRGRSRGRPRGRAAAAGTGRGTTGASPAGGLYELMFGRDDAYDVINNTQNAPEEEEDQD* >BrasyJ100000.1.p pacid=40083414 transcript=BrasyJ100000.1 locus=BrasyJ100000 ID=BrasyJ100000.1.v1.1 annot-version=v1.1 MEAVVCTLAAARDRALGRLGHEGILKLVVYASDQSHCTFQKGAKLVGIPPSNFRVIKTSSASGYGLTAELVREAVDADVASGLVPLYLCATVGTTGVGAVDPVRELGELARRHGMWMHVDAAYAGSAAVCPELFHRRNGGDGYLDGAELAESVSMNPHKWFLTNMDCCCLCALHGPGYLNDNFSHGSIGSAAGHQAPVGIDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAHVRRHVAMAGWFERAVEGDERFEVVGPPRRFSLVCFRLIRAPRFLHGGNEAVERAGVHDALRAGRSSSGSRSAGPMTETRHVRDAWKLVQEKASEVLALAAIAS* >BrasyJ099900.1.p pacid=40083415 transcript=BrasyJ099900.1 locus=BrasyJ099900 ID=BrasyJ099900.1.v1.1 annot-version=v1.1 MDNNAAPPADAAAGERAASRSPSPSRRLPDFLQSVRLKYVKLGYHYLITHGMYLLLTPLIVLVAVHLSTLSPGEVADLWAHLRFNLVSVVACSTLLVFLSTVYFLTRPRPVYLVDFACYKPEPERRCTRDTFMRCSKLTGNFTDANLEFQRKILERSGLGEDTYLPPSLVSVPPNPSMDEARKEAQLCMFGAIDDMLAKTGVKPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNIISYNLGGMGCSAGLLSIDLAKDLLQVHPNSYALVVSTENMTLNWYFGNNRSMLVSNCLFRMGAAAILLSNKRSDRWRSKYELVHTVRTHKGADDKCFSCVTQEEDDDGKVGVALSKDLMAVAGDALKTNITTLGPLVLPFSEQLLFMLTLVGKKLLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNMDLTDWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRRRDRIWQIAFGSGFKCNSAVWKALRSVNPAKEKNPWMDEIDMFPVDVPKVSKVSSV* >BrasyJ011400.1.p pacid=40083416 transcript=BrasyJ011400.1 locus=BrasyJ011400 ID=BrasyJ011400.1.v1.1 annot-version=v1.1 MHETRPGFNSKASTRLVVTQKVSSAGRGAGLMGCLPSRVLSCLALSTADTNSSSSNTRALLDGISGSAREGEILAVMGASGSGKSTLVDALAGRISRDSLRGSVTLNGEPLQDDLLYPMLTVRETLLYAAEFRLSGALSPARKRARVDALIAQLGLSAPRTPRTVGCRRGAAPRVDRRRHHPRPDPAVPGRAHLRARLGQRLHGGRGAPDIARSGSVVVMTIHQPSARILGILDRLLLLSRGRTVYAGTPAGSSPSSPASAAPSRTTRNPAEFALDTIRELERLQPDGAAALADFNDTWQAPTLVARSSTASSSVPTFANPLTVEVWGADEAVLHQHVAHVGALRHAAGTIMVTGFILATIFVRLDDTPKGAARLFAMAMSTMFYVCGDALPVFVQERHIYLRETAHNAYRRISYVLANAFVTFPPLIVLSLAFAATTFFAVGLAGGATSFAFFTLVVLASLWAGAASSPSSPQWCRTIPGYWVWFHYMSLVKYPYQAVLQNEFGDATRCFARGVQIATLGTNMTASTCVVTGADVLAQQAVTDLGKWMCLLVTASLGFLFRALFYVVLLVGSKNKRK* >BrasyJ011300.1.p pacid=40083417 transcript=BrasyJ011300.1 locus=BrasyJ011300 ID=BrasyJ011300.1.v1.1 annot-version=v1.1 MERPSDQPPHHHVHGHGKKKPMSRIREDDEHKSSWLVWALVILCTLVAIGVIVAGATVFAVYLLYKPKMPYMVVTGAQLGRLVYGQEDGVIHDLQVSINILARNTNSRADASFSRVNIAVGFHGPTCPPRGPALQGARRRVVPLDLFGKARTRWKMGIFLNLQFWTRISCRLHFNFPGNGTALPIDRDSCRSRSP* >BrasyJ011500.1.p pacid=40083418 transcript=BrasyJ011500.1 locus=BrasyJ011500 ID=BrasyJ011500.1.v1.1 annot-version=v1.1 MARVRSNGRRGGGSSGGGGGGGKGKGKGKWKMPASVARKQQAVMANVDQVTGDRIPKSFVFSRGKLPSTLRHLQQDLRKLMLPYTALKLKEKKRNNLKDFVNVASPLGVTHFLILSNPKSLPHLRFAKSPQGPTYTCQIDEYALAADIANSQKRPRSPAEIFKNSPLVVLSGFNGLGEPFKSFVTFFRHLVPAIDTETVKLSTCQRILLLQYDKEKEIIDFRHYSIKLQPVGVSRKIRKLMQNNQVPDLRDLKDVSDYVTK* >BrasyJ110800.1.p pacid=40083419 transcript=BrasyJ110800.1 locus=BrasyJ110800 ID=BrasyJ110800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVATDSFDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ044900.1.p pacid=40083420 transcript=BrasyJ044900.1 locus=BrasyJ044900 ID=BrasyJ044900.1.v1.1 annot-version=v1.1 MSIVPHTVLRPFGVNPTSHYLRRHASLGLRAGSAKATSQKDDLETESSKKKRTKKKKQAAEESPLEALHDDGFGSVTMKDYLEAARSVMPKDEAGPGPPRWFCPLECGRPVVDKAPLLIFLPGVDGVGMELILHHKSLGKVFEVCCFHIPVNDRTPFEGLLQMVEAYVQYENASSPKRPIYITGDTFGGCLAISVVARNQKIDLVLLLVNPATSSAKSPLQAILPLLEVVPSNLPVTYPDLLRYLIGNPLNVAMVSIQNNRSPQETLQEFSESLTSMLPFVSELADVIRMDTLVWKLKLLKSGAAYANSQLHAVQAEVLLLASGNENLPPSGEADRLFNTLKNCKVRYFRNRGDKLLMEDGFNLLTVIKGASMYRRSRQRDPVTDYLPPTLSEFKRTYGEDFKLFHQLLSPVMLSTMKNGEIVRGLAGVPDKGPVLFVGYHQLLAMEMFALFEGFLGEKKTVIRTAAHQAFFVENFEILRQELSLFDALCMYGAVPVSPINTYKSFERNEFVLLYPGGVREALHRKGEGYQLFWPDQPEFVRMAARFGVTIVPFGCVGEDDFLQIVLDYHDLKNIPYIRDEIKSFNKDFTGLRDTVKGEEGNQTLHMPVVLPKVPGRMYFLFGKPIEMKGMDNVLTDRKEANQVYLQIKSEVENVMSYLKRKREQDPYRSITRRILHQATWGLSAQVPTFEP* >BrasyJ035600.1.p pacid=40083421 transcript=BrasyJ035600.1 locus=BrasyJ035600 ID=BrasyJ035600.1.v1.1 annot-version=v1.1 MRAPGLPERIVRGQIDKSDITFEALVGFKQQLGYSARDYLYYKKRHGRDIATVVPIDFPADAVRMMTELAEEKEIRAILRADEQHDKHVQITPIKRPREGTPDVDDDAPGSDEPIDAYKDWLLERETQVAYKTCAPTEEPTQVDDDSQESNESKDSSTTPPQQWPSHARHNKDKAKGF* >BrasyJ035400.1.p pacid=40083422 transcript=BrasyJ035400.1 locus=BrasyJ035400 ID=BrasyJ035400.1.v1.1 annot-version=v1.1 MPPRGRGRSGSRTGGGRSGSGPSDSKPAGSRRIMREHRSSVKGISGSKSKANEGASQSVRRSVSSSSSSSSSSLPTEAGSDSDTDSSVGIFFNNEFHISSDYGDSDDSDGQVGVFQHNEVGKKFASIVDSLPCHGLMLLLFETPSGFALYTFYGASCYLDSALDNLWLRFGDPHLARMAFRLKGFLSIEDKSSAINADGIDNQLVALINECHRPGMKLCVGKPEYKTIIESVLKIPCLLSQAVLEIMWGIQQQMRILVPLELQSKLTKEDRLPTSQGLMKFLRNCGFDVKPEMVNEKIFFTAFALFCCDDDDKMNLAILRDAGLYIRDISGIACEDWEILKLAIAVKVICRPKEELTDFHEVLAEDVVSKLKGDVPKYKGVAVKVNWLSAYKAVASNHRLRIEKKEMLGSLVGKAKKAYEAEQAEVCQKVKLHGVSQQIFGEAVRRSPRLKRKLYENSGEGTPHKRHKY* >BrasyJ035800.1.p pacid=40083423 transcript=BrasyJ035800.1 locus=BrasyJ035800 ID=BrasyJ035800.1.v1.1 annot-version=v1.1 MKTIDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQPAITAKTQKENRPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNGNLTGLDVPQSQHSRLVIP* >BrasyJ035700.1.p pacid=40083424 transcript=BrasyJ035700.1 locus=BrasyJ035700 ID=BrasyJ035700.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGLSTVVQKPSHLPYFVNI* >BrasyJ035500.1.p pacid=40083425 transcript=BrasyJ035500.1 locus=BrasyJ035500 ID=BrasyJ035500.1.v1.1 annot-version=v1.1 MPEGEDSSNIVADTDADSLVVGVEHMNVQHNQDELTVWRRTDVEGVSGDASVIENARASPMPEPGDEDIADEDLDPDDTYIDDGVVAPVNIQDEDDQDFFV* >BrasyJ030300.1.p pacid=40083426 transcript=BrasyJ030300.1 locus=BrasyJ030300 ID=BrasyJ030300.1.v1.1 annot-version=v1.1 MSTGVHKMERIMKHLKKQTIAMMRQIKRIMMLQRMLRHLKRSFWEVFILATWGIWKVRNAQIFDNTAPTVAAWRICFRTELLLLAHHSTKEKFATKLSQLIHELGF* >BrasyJ052100.1.p pacid=40083427 transcript=BrasyJ052100.1 locus=BrasyJ052100 ID=BrasyJ052100.1.v1.1 annot-version=v1.1 MALSSKRNSATSIACLAALIMVVMAATMLQSCDAQGGDFCVNINDGGCNQQKCVAICAASGYDKNKAFCQKVTNKCCCPMGARS* >BrasyJ051900.1.p pacid=40083428 transcript=BrasyJ051900.1 locus=BrasyJ051900 ID=BrasyJ051900.1.v1.1 annot-version=v1.1 MLVMRSLKYALNLIRCNSFEDLVNTALQAEHGRAQFEDSRKHSRDTGSSSSGNNNAGSQKRRLWVPNNATIRPAYGQRNGGQGFRPPSQAVSLKSYNGQQRPQNQNNATRVCYKCNQPGHIATHCPLNQIQAAPRPPPSQAMVPAQANQQSIV* >BrasyJ052200.1.p pacid=40083429 transcript=BrasyJ052200.1 locus=BrasyJ052200 ID=BrasyJ052200.1.v1.1 annot-version=v1.1 MAGLLGLCGGGVEGEVGPLPATEVLRRRKRSDGEPKGQVRSLPTMEEEEQYRLGPNSVVSSAVASAERRRLFVDLGFQSFLLSLNELNAEFPPVGTPDRQAEPPNDDGTPAFDATPTPSANAVRDNCRDNDDTNAGVAHEPRPPDCTPVLQDTPSQSVDGTEEVTQVWNAGEQLEQYQKAHAARVAKHAEDVRQYYRKFPKRKRDASNVPADQNEDATVPGGSDDDFEAPSSPTVEKKVRVAVAKRKVSCVSSQESLGPVLRRSPRVATPHGAAAAQPARSGGNHEESPGAGHPRRSPRISASPAAVAVHPARSGGKVSGIKRRRTADKSYVPDGEDDAADAGVEVAAAKEMRGGAGCNIKAAGNAGKKRKGGIIKKAKLGNNDDCSDDSPKGRFQQTVRCSLGEVIEAAKLLKEPHRARVRAAGFGCVFDWVLEGNVSRVLLCFLLMNMETTTMKIDCGSGRVLTVNRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFDRTASINTKDLRELLADLVEDETIVDSAVKVFFAILYNKLICPGSAVRLGREAAMLANMDYERMARMDFCQLVVDELKRAAEKYQNPDIPQAGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANYLHEKSLRAIFSQDIIRNGKSNLSKYVFGKLTWKGRNDIAYSYKLRVEELQIESSPEGNGDDEAVPIDSYRHDANAPGISYRGAAAPECSGSTSTKPIAEIDLLLAKVVEMSSFVPTTEDRLSKLAGLFPIGHGPTSDYVKKATDLEVSVIDSLKSALTYVRKGFADMAAKQDLMCAGFEREVVIIEKQIREEGTDRIQVSTEHVIGQDAAAAEEEARLAEESAAADAVAEEGDAHAADHLEEEHQPDVRRSSPSETPPQDYSEETHSGDDEDVSNVVDVPAAAAVVDKEPPTILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQAGNSKGESFTPKSVDHFTMEYVSVPKQGTAHDCGFFMLQILQSWDGESLARRLVALMKEVYGEEEYNKKNQFDWVALAEKCNYVQTPEQGANECAFYILKLATIFDGEKFVENIKPKDKPDVWTPIAAVLCLCAAVRLSSLRVEPPRCCTCCCCRTTYDYIAYVYDYLVYIDYILYIATPHVSKV* >BrasyJ052000.1.p pacid=40083430 transcript=BrasyJ052000.1 locus=BrasyJ052000 ID=BrasyJ052000.1.v1.1 annot-version=v1.1 MCTSPDALHTLLHAQARTSPPWCLQVQNVEHLGPCTSSPPSPFTTCFTKLSSQQSRVSPSKLMSARNPRLQGDAQTRRLQRLNFTCWPAHSRGSASSRRRTKRAASLR* >BrasyJ005600.1.p pacid=40083431 transcript=BrasyJ005600.1 locus=BrasyJ005600 ID=BrasyJ005600.1.v1.1 annot-version=v1.1 MAAAATATLECARASTTPIARHRSSVRVTATAPGTPALRQMVRIQGRRSGVSQSQRNASRDSRDGKVPHPRHGGRGHGVRCPRQLGVEVAEVERPECHHLVRALPLRHAPGSSRSLLRTTSPVRDEAVHDEVPGAALVPLVPPEHALAPEPGLLRHALRCPRPRAPPRRVVHVHERLHARKPLHLAEHRAQRAFDGGRGHARSPVRAGHHVPELPAAALVRGRRQGDQAHGAAGAGAEADGAEPEVREHGVPEVGEEGFRGGVGLPAAEGGDLRVRSPGHHGVDVCEVEAAEDHLHRCAVLPCYGHPRGHGDRIRSRGLA* >BrasyJ005500.1.p pacid=40083432 transcript=BrasyJ005500.1 locus=BrasyJ005500 ID=BrasyJ005500.1.v1.1 annot-version=v1.1 MCSPCLWPASGVGEKLKNVPMIQGMRGHILRNRGREKYGNSSTNHVYVLPFSCPCLTV* >BrasyJ050700.1.p pacid=40083433 transcript=BrasyJ050700.1 locus=BrasyJ050700 ID=BrasyJ050700.1.v1.1 annot-version=v1.1 MRPRGRGDDGDDDEDEEPSPQRSTPEADPDPEPAPAQQPTRAPLSSLVVRPQPPQDNGASSPSAARSSASPLGDAPGRRRGSSLGRRRRYLSPPDFRSRERRRSPPPPARRHPGSPLPTARRRPGSPPPQRRRFSPPGFQPRQPRFCDELQGYGMHTGSSPPHQRGLGSSSFDDPTAPRYTYGYEGSGRGSARFRDGSPPYGRGGRSHGMGYNDPGKEFINIDGEYVHRNDPNLSPREGDWICQNPSCGNLNFARRSHCNNCDKHRYESSRSPHRGYFDSPPRVPPRSLGPSDCGPPRDMARYRPPPRDWGVSDPRDYAARSPPDPVGRFSDALQRERIGFRGDRELRDPVKIQWSAAEYKQRERPHDGLYLDRSHQQSGSPRGNWGSDMRDRSRSPAGNRPMKRAFTGRGRPDLDYAGSYVGRGRPNNFDAGRGRGYRSGGDPYYGEGRGGRRAAPYARNEGHY* >BrasyJ050800.1.p pacid=40083434 transcript=BrasyJ050800.1 locus=BrasyJ050800 ID=BrasyJ050800.1.v1.1 annot-version=v1.1 MAGILGSAVASESVSKIFSVLFGNPREPAGSAEDNAERLELAVLKIHSVVAVSEDWQAQLKCLAKEGDAMLRAYKTRSSMKKASSVRQRVAGAAKRFMPFRRRAADDPNDAAVRRFERLANADEFFRYVQLGGRPKSLTVVCFRIPTEELLAGKTLELSLRNGSEEAHLLLHPCDMVEAGGPKEIVLFLSCDHTTGMAWEKNVKLCVVFQLTEHTDILGIVMSSLELLPPQFGAACVTIRELARTILLTQDSGCRDASAMSVWSGATAWKCHRYSTDKGFLNGKTQLPSPIIRVAVLRITNDSSDRAADHGMPLKLMGHVAPDLVPETYSRQYQQIEVETLQKLLPAVTDEGAVACKGDWWCPRSSTYLSVEPELSMPPPTLKQLYLIENSEGAV* >BrasyJ045600.1.p pacid=40083435 transcript=BrasyJ045600.1 locus=BrasyJ045600 ID=BrasyJ045600.1.v1.1 annot-version=v1.1 MEGGASVVTQPRCRSPPAFPSARQRGPDPDVGFCGVRLAGRGKVQMFLSPALQHAEVGVGDETISSILVQIVFLLKTSTACLGKQEAGRLYYNHNDVLFLLLEEKNTKAKGERKSTNGSVRELCEDEGRPGYTCVS* >BrasyJ036900.1.p pacid=40083436 transcript=BrasyJ036900.1 locus=BrasyJ036900 ID=BrasyJ036900.1.v1.1 annot-version=v1.1 MGVEMELSEDGGGSRPPRPPQISLMGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVQPCVGLSSDKCTSPMGRRRPFILSGCIVICISVTIVGFSSDIGYALGDTKEDCNVYTGPRYRAAAAFILGFWLLDFSNNTVQGPARALMADLSGKHGPSAANAIFVSWMALGNILGYSSGSTNKWHTWFPFLQTRACCEACANLKAAFLVAVLFLGISTMVTMIFAKETPLDPEVAKQSEGEPTGPLAVLKGMKNLPTGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGNPAEVAAFQEGVRQGAFGLLLNSVLLGISSFMIEPLCRKLGARSVWVVSQVLVCIAMALVAVLGSWSLGDFGGNVQDAAATDKGLKASALALFVFLGFPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNIAIVLPQMVIAIGAGPWDELFGKGNIPAFALASVFAFTSAVAGMVMIPKMSKSSFQSVSMGGGH* >BrasyJ077200.1.p pacid=40083437 transcript=BrasyJ077200.1 locus=BrasyJ077200 ID=BrasyJ077200.1.v1.1 annot-version=v1.1 MCVTLLRTKYERVEQRTIQVHPKGLHLVREQVLHISRLARAQEYMVANMRAEVEHYRKQLWIALGHLSTVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPPTAGGSDSFVMLGLLYP* >BrasyJ048600.1.p pacid=40083438 transcript=BrasyJ048600.1 locus=BrasyJ048600 ID=BrasyJ048600.1.v1.1 annot-version=v1.1 MATPTVVLLPVWGAGHLMSMLSAGKRLLARSRGALSLTVLVMQAPTENYLSEVAGHIRREEASGLDIRFHHLPAVDVRNKPRHPHVEPPTDHAGIEEFISRFVQLHAPHVKAAVSGLACPVAALVVDFFATTLFDVSRELAVPAYVYFTASAAAYALFLRLPALQVEVTGEFEELDGMVDVPGLPPSSLPSPLMDKKNPNYTWFVYHGRLEAHGVMVNTAAELEQSVLAAIADGRCTPGVPAPKVYPVGPVISFNPPAEHECLRWLDAQPPASVVLLCFGSGGFSTAPQAHEIAHGLERSGHRFLRELLPEGFLERTKGKGLVWPTKAPQKEILAHAAVGGFVTHGGWNSILESLWFGVPMVPWPLYAEQHLNAFTLVAYMGVAVAMEVDRKRKNFVRASDLERAVVALMGGSDEGRKAREKATEMKAACRSAVEEGGSSYSALESLAEDMIKGVNQRSPHRNGVLLKAA* >BrasyJ048800.1.p pacid=40083439 transcript=BrasyJ048800.1 locus=BrasyJ048800 ID=BrasyJ048800.1.v1.1 annot-version=v1.1 MASAHVLLLPEPGSGHLMSLIEAGKRLLGHSGDDDGHRALTVTVLIIRPATAESASEVDSHVSRVAASGLGVRFHHLPAVDPSKDCDARNVQEFKSRYMQLHAAHVKAAAAELDAAALVIDFFATGVIDAARELALPTYVYFTSTAALLALTLRLPALHEEPSADGGTVHVPGMPPVPAGSVPGFLGDRESPNYAWFVYHGRRFMDADGIIVNTVDGLEPGLLHAIADGQCVPGRRAPRLYPIGPVIDLGGAKESKERCVLWLDAQPPASVVFLCFGSMGWFDVAKAHEVAAGLERSGHRFLWTLRGPPAAAGGSLHPTDADLDELLPEGFLERTKERGLVWPRRAPQKEILAHAAIGCFVTHCGWNSTLESLWHGVPLVPWPLYAEQHLNAFELVSVVGVAVAMEVDRERNNSVEAAELERAVSCLMGGSEEEGRKARKKAAEMKAVCRNAVEVGGSSYAALRRLRDAIRSGAASSGSAVTSSSGEMNVHN* >BrasyJ048200.1.p pacid=40083440 transcript=BrasyJ048200.1 locus=BrasyJ048200 ID=BrasyJ048200.1.v1.1 annot-version=v1.1 MRYPKQTNCPFCPDISPKPAPNLGEKWGVRVRVDVSVLVLSGPRDRDRVRRGWRWGGTRWLRAASPAREMRQQRLGATSPARRRRGLAPAQREEAAAAEGGRGAGQCRSWKSRIRPTRHSTGASPALGFSLPAGRRARSEVPVPAGSGSSQPRGRRSWRGLAVREEEAEASGRERRGRRERDARLEG* >BrasyJ048100.1.p pacid=40083441 transcript=BrasyJ048100.1 locus=BrasyJ048100 ID=BrasyJ048100.1.v1.1 annot-version=v1.1 MASPTIVLIPFCVTGHLTSMVEAGKRLLGSSSSRPLSLTVLVTLMSMDKLTSELADIIRRETESGFDIRFHHLPAVELPQDFHGAEDFISRFVQLHAPGAKAAISGLASPVSAVVMDYFCTTLFDVTRELALPAYVYFTSAASMLALMLRLPSLDKEMAVGFEDLEDGVVDVPGMPPVPAASMPKPMMKKDANHAWFVYHGNRFMDAAGIIVNTVAGLEPAILEAIDGGRCVPGERRVPTVYPIGPVMSFKKPPAAEEPPHECVRWLEAQPPASVVLLCFGSMGNFAPPQVLEIAEGLERSGHRFLWVLRGPPPGNSPYPTDANLEELLPEGFLERTKGKGLVWPKWAPQQEILAHPAVGGFVTHCGWNSTLESLWHGVP >BrasyJ048400.1.p pacid=40083442 transcript=BrasyJ048400.1 locus=BrasyJ048400 ID=BrasyJ048400.1.v1.1 annot-version=v1.1 MKIAGWNCRGVGNGPAVRGLLDLQKREDPDILFLSEIKCGEGRMKHIKWLLGMRNMVVKESVGKSGGLALLWKEGIKVELHNYSRYHIDVEVIESDGFRWRLTGVYGEPASDKKEKTWKLMRILNAQLERPLLCLGDFNEILFSHEKEGGCLRTQHQMDRFRETLEFCDLRDLGYIGDKFTWRNHSHTASNYIKERLDRVVASPNWISRFPHFKVINGDPRHSDHRPIIVSMDENTALLGFSGTRCFKFEAKWLEEEECENIISKTWQEAQSSGIQELSQCIKKVVNNLKEWDTNVLGDLQKRIRKTPDLTMWFSTFGWLADPRRATKE* >BrasyJ048500.1.p pacid=40083443 transcript=BrasyJ048500.1 locus=BrasyJ048500 ID=BrasyJ048500.1.v1.1 annot-version=v1.1 MASEAVEKKLKKLQLTEAEMKVAKLKPSSSSKSKEGNLRAVGKLLSDKLAKEEHFEQSLGKLWCPQEGIECKDLGKNRFLFTFSDPKGRKRATCDGLQCEEDDRRL* >BrasyJ048700.1.p pacid=40083444 transcript=BrasyJ048700.1 locus=BrasyJ048700 ID=BrasyJ048700.1.v1.1 annot-version=v1.1 MATTPTLVLLPEWGAGHLMSMLESCKRVLLSGGRAFSITLLVMRPPTAAATSEVEAHVRREAASGLDIRIHRLPAVDPPADAAGVEEFIARYVELHAPGVRDAVAGMSCPVAALVLDLFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHEAVPVEFEEVEGGVVHVPGLPPVPPEWMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIAEGRAVPGRPAPPVYPIGPVLSLGSSKKDSSEPPHGCVAWLDTQPRASVVLLCFGSMGWFEAAQVVEICAALERCGGHRFLWVLRGPPGADTGAGAPDGSEHPTDADLDELLPEGFLERTAGRVLVWPTWAPQKEILAHGAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKRDNFVEAAELERAVESLMGGGEDGRKAREKAAVMRDVCRKAVGKGGSSEAALQRLSQVLHEGAALPKV* >BrasyJ048300.1.p pacid=40083445 transcript=BrasyJ048300.1 locus=BrasyJ048300 ID=BrasyJ048300.1.v1.1 annot-version=v1.1 MADERTICSLEQMKSLFAEATAVPLRASGGTVEILCVDELRHAGEMAALMDGLIHLGWEFKGGVVLRRLARADSLLASIADGPLNNFCENPHAPNLSREVRRQGWKLSNASRIHGHRARAPGVPVPRLPILSRLGNSLRRLARYTYTRMKTVEYLKRRCRLLIDNDIPTSRASKVVLTYPTLPLPLGATTVSGSSASTSTGSSSSTSGSSTGSLSSCNSNCSDCLEGRPHRTPYHMMTCSSSSTSTDPSSGSSAAADF* >BrasyJ019800.1.p pacid=40083446 transcript=BrasyJ019800.1 locus=BrasyJ019800 ID=BrasyJ019800.1.v1.1 annot-version=v1.1 MDDMARQWLLLAAVAGAGTAFGALSAASLLNFLSRSKRREGYVRNLLESNGGTSGSDGLGTRMRAAIARIFFLMKLSLSSLQGIYNFFGVESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADIHESTTLDQIKEEEPEFYAMVSHVLKRAETEFAL* >BrasyJ019800.2.p pacid=40083447 transcript=BrasyJ019800.2 locus=BrasyJ019800 ID=BrasyJ019800.2.v1.1 annot-version=v1.1 MDDMARQWLLLAAVAGAGTAFGALSAASLLNFLSRSKRREGYVRNLLESNGGTSGSDGLGTRMRAAIARIFFLMKLSLSSLQVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECQIEARVQLYDPSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKDEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLNFQTEPIVNLDLDHYRVLHQRLLEHEELIYGSAEQVLVDAQEVMYVVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADIHESTTLDQIKEEEPEFYAMVSHVLKRAETEFAL* >BrasyJ019900.1.p pacid=40083448 transcript=BrasyJ019900.1 locus=BrasyJ019900 ID=BrasyJ019900.1.v1.1 annot-version=v1.1 MVDQAMARAREASGGRGEMAAAVERAIRCLGRGVDMAGDLRLKHCKEDGGCLVARSGGKAAAVAVPGIGVVADVPADVKCGKGDRTRLRSDVLEFNKMSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASSFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDESLQLLLKGAAEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDALDTGWSPVQSLMLTREDLVACQFSLLAPVHDRGSQLPSTACAVQFSIPLLGYH* >BrasyJ019900.2.p pacid=40083449 transcript=BrasyJ019900.2 locus=BrasyJ019900 ID=BrasyJ019900.2.v1.1 annot-version=v1.1 MVDQAMARAREASGGRGEMAAAVERAIRCLGRGVDMAGDLRLKHCKEDGGCLVARSGGKAAAVAVPGIGVVADVPADVKCGKGDRTRLRSDVLEFNKMSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDESLQLLLKGAAEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDALDTGWSPVQSLMLTREDLVACQFSLLAPVHDRGSQLPSTACAVQFSIPLLGYH* >BrasyJ019900.3.p pacid=40083450 transcript=BrasyJ019900.3 locus=BrasyJ019900 ID=BrasyJ019900.3.v1.1 annot-version=v1.1 MSELFNHRSSLPGKIPSGHFNSCFGLDGGSWAQDVSSTKCLALDGYFISLLDLRLDCRPLALAAHVIQDVPMSWDPSAIASFIEKYGTHIVVGVSMGGQDVVYVKQDKSSLLTQSEIKEHLDKLGDQVFTGTCTLPPSHCKSRDHKIKVPEAFNVFDAQVTQQRLEGMNTQVSCKEGVTVIHSKRGGDTAASSHAEWLLTVPAKPDAINFRVLPLTSLLTGVTGLGFLSHAINIYLRYKPPVADLRYFLDFQYHRLWAPTLSDLPLGLCSNRQDDGQALNFSLVRSKLHVSSNQVIVPKLPITGMRLHLEGKKNNRLGLHLQHLSNTPTFINVRPNKPPIWHGSETISDESLQLLLKGAAEPARVSIDSGVFAGGPPVPVGAQRLLKFVDTAQVTMGPQDALDTGWSPVQSLMLTREDLVACQFSLLAPVHDRGSQLPSTACAVQFSIPLLGYH* >BrasyJ049500.1.p pacid=40083451 transcript=BrasyJ049500.1 locus=BrasyJ049500 ID=BrasyJ049500.1.v1.1 annot-version=v1.1 MIGDFNEILYSSEKEGGNPRRTSMMEDFRNCLAECGLEDLGFQGDPFTWRRGRIRERLDRAVCNADWAGIFTGAVVVHSEHIKSDHRPLVLKTEDGRHRSLTRVPGSKFFEARWLKEETVDEIVRTAWVRASLAPNFAARANSVHVDLHAWDRTILKAPQKRLKELKRELEQNRAGPISDESIFRQKQLQVMIENLMEQEEIYWSQRGRTDWLKHGDRNTNFFHHSATARKKRNHIKRLLDEDGTWKEGTDHLGALIINYFRTLYR* >BrasyJ049800.1.p pacid=40083452 transcript=BrasyJ049800.1 locus=BrasyJ049800 ID=BrasyJ049800.1.v1.1 annot-version=v1.1 MVLMKADPFLSELTNMYERSTEKGSVWVTMKRSSLKCPARLKKMEKKGQTVEYMCLVRATDGKRNISTSLAAKDYSKFQASYALVLKAHMHALKKRERKDRKKTVEAEKVPEKEPKKQKISSSKKSSGSK* >BrasyJ049600.1.p pacid=40083453 transcript=BrasyJ049600.1 locus=BrasyJ049600 ID=BrasyJ049600.1.v1.1 annot-version=v1.1 MARSSFKLEHPLGSSSNREQAEATRIREKYSDRIPVIVEKAEKVIFLTLTRKSKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTVLYLLHAALMSAIYEENKDEDGFLYMTYSGENTFGLLL* >BrasyJ049700.1.p pacid=40083454 transcript=BrasyJ049700.1 locus=BrasyJ049700 ID=BrasyJ049700.1.v1.1 annot-version=v1.1 MGYVKSGCTRRDEFKVVVRGKSYDAVVTKMPFVPTKYYRPLRYVFCTKRMHAAIFLLQLRRRSLFMTGYLKCCDDWNFSVRLSSYGLTFHLINIYASALSPRTA* >BrasyJ045400.1.p pacid=40083455 transcript=BrasyJ045400.1 locus=BrasyJ045400 ID=BrasyJ045400.1.v1.1 annot-version=v1.1 MRKRKAEEPPITHPGVVVGVGEEDGVDRISNLSDDSLRQVISFLPMKDGACTGILASRWRHLWPSTPLNLNCRGLRAGAVAQILDSRLDPVRGLTIFPGSLIDPAPTLVDLLQSPALDKLEELDLWYSVQLAPPKTPPPSAFRFSPTLKVLTVRACCLPADGSIIQGLQFPVLKQLELKMVTLSDDSLRYLVASRCCPALQWLLLDSCSGFRCVLIDSLSLVGIRVCTFRYDGIFMFEELIVQSAPSLKRLLMHGRGMGKRVSVISAPKLEAVRCIVDKEQQPATKISFGSMVIQGLQVDNLIMLAVRTVRILSLDMDPLSLDIVLNWLRCFPCLEKLYIQATGSGGTNLWRRKYKNLNGCLDNIPLKTIAMTTYRGIKSHVSFVTFFLLNVRGLESITLSIKAVHYTEEFLTEQRIKLKLENNDSRVHFTTHSSVRTTWDIMSARDLDLADPFAVI* >BrasyJ054600.1.p pacid=40083456 transcript=BrasyJ054600.1 locus=BrasyJ054600 ID=BrasyJ054600.1.v1.1 annot-version=v1.1 MTYICWPGGNTWVFCDLVFYKTKLYVLVPLSFYLLYDALLLLFVDRGAGLLETNLPDLLPDPRPHQLRAANWMIQRDKGILFRYPTQEMFILHPIVFLWILLAQSPGCSKTLSEVMMAN* >BrasyJ054500.1.p pacid=40083457 transcript=BrasyJ054500.1 locus=BrasyJ054500 ID=BrasyJ054500.1.v1.1 annot-version=v1.1 MPLSSCQSVWSVRAPASIRQPKRLRRGREAATLEPHDGAALTGARNGGSGVGGSVGGLGACLWTQTSWGARGDDGGMGDSGDGGGQRDGTGGSVGGEEAATVRLRFGFGGEQPAEEDSASVKETVAGRTKGASAAALVCCGSGGSEGTAAAGEVTCAGGRKRRIGASAI* >BrasyJ054700.1.p pacid=40083458 transcript=BrasyJ054700.1 locus=BrasyJ054700 ID=BrasyJ054700.1.v1.1 annot-version=v1.1 MPSRPALQPEPTCRPDPRLMGRDTAANAAVNTSGELILNHAAGAAQRAGAGFFVGGDRSSFRLQYIEREREHMRERERDSLRERTKRERGKLTDGRISDGVGGGWAKGGRIRWRRGPGRSDPEAAGAGRPDPEAAGSGRPDPEAAGSGAAGSGGGGVAAGRRGRPVHHGRGRPRGRRRVSTGGTGERESGRMGERIGSSGERRRREQREFGGETYLNQQ* >BrasyJ054800.1.p pacid=40083459 transcript=BrasyJ054800.1 locus=BrasyJ054800 ID=BrasyJ054800.1.v1.1 annot-version=v1.1 MSAAAWRWVWQQPASLSDLWRLTRMAGTASYRCPSASAGLWPRPAAWKDRSFTMPEPARFYYSAASLVRQLGTANQRPAYLSDPGRTPLFSGHPSAQAMAEVAAWRRPTSPTFSSSRGWRRRQTAWKDRIFALRKPARLFLSDLGQARQFSTAKQQQRQTTKPHLYLVLDDYKEGFTIHKMDIDIDLNVDCGSAETPGCLPEPPVLCIHYPSDVNFVQISALGSHIIAICPSARLIESGTGATITFDTKTALFSLSRILPRKLLFGYETAIAVRNRLYVFESSTDINDGTNGLYFGGGLHCLAADPNDDERYKPWRRLFYSSQFSWSWTNYPPVFLFDPKRITGYGVHPRTGTIFLSAFGSDSWGTFSYRLGGSGQWKHRGDWVLPFKGPAHYDKVLGAWVGLHLGSLGRKDADGYLCACCVVSGCHPPKLDVGREKLFLELANWRHVDAKLVYMGEPSKYCLMERLVPDGADRMNIVIRLTTFKVMYGENGELRTMAHRPARFYKAPSYCYKFDVQAFWM* >BrasyJ001700.1.p pacid=40083460 transcript=BrasyJ001700.1 locus=BrasyJ001700 ID=BrasyJ001700.1.v1.1 annot-version=v1.1 MLQWGTRTFGAVRTELRQLRKKLQEQGAAGPLLPATCEAATARILRCSGRSCVFLPSRTTEDTRRPPVLIPSLTYDYETPAAGLPTPASRMAARFPSSRARPNRAPAARGREKMLTMREGGGGEQGPKRDAAHAGARRRNPRRGEPPHEPARQPLERMMAVEKTRGRGRAARGVDGAEQAAGAEHPARPRLAETKQPVRRGGDASGRAVRGWGGATYGSGGAESRGDGAASDRAGRAARGRQRGAAASPVTEQRLVEKEQPPRRSSAPLNPLVATSPISLGFVYVRSNLLNH* >BrasyJ001500.1.p pacid=40083461 transcript=BrasyJ001500.1 locus=BrasyJ001500 ID=BrasyJ001500.1.v1.1 annot-version=v1.1 MLVPFVFLTVADSGENWSVGQRQLLCLGRVILKQNQILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSKLFEQPSLFGAMVQEYADRSANL* >BrasyJ001900.1.p pacid=40083462 transcript=BrasyJ001900.1 locus=BrasyJ001900 ID=BrasyJ001900.1.v1.1 annot-version=v1.1 MDLPVSAGRTGPWAGSVPSASVCRAACIEGNLIKIRRTVRHDARVLAMAKAKSCVAGLKPASLDPLGSDYNAESTDDEVDVKAQEQVLKRKVVDDRRTQSPVSRIGRRTSSP* >BrasyJ001400.1.p pacid=40083463 transcript=BrasyJ001400.1 locus=BrasyJ001400 ID=BrasyJ001400.1.v1.1 annot-version=v1.1 MTTNGDPVAQAAAQAQQQAAQLRQQQIEQQAIAAAQAQAQALAAAQEVAKAAAAAGVNIDAAGLVTDFNKFINKEQSTQGTLPSSTVV* >BrasyJ001800.1.p pacid=40083464 transcript=BrasyJ001800.1 locus=BrasyJ001800 ID=BrasyJ001800.1.v1.1 annot-version=v1.1 METCIVVHPPLLEATVGTSVELPQDILMCIFGTLEIPDLIRAGSVCTSWHLAYTSLRSHGHYNRPQTPCLLYTSESSGERSACLYSLVEKPVYKITLPEPPICTRTLIGSSQGLLVTVDRRSEMQLVNPITGEQIDLPSVITIEQVKPIYDDSGAIHQYEYSWHSGTRVYCPPSIVALEELRHELHHKAFVFSDTCDGYIVVLIHNPYCQLSFARVGDDSWTWLPPYNFYHDCIYGDGMLYALTSKGEIHGFDLSSSVVTMKMIAGRKTDRCLTYDLEPKPGGLVFWNTGKIKVYEVNARGKELKRNNRRDMGILNLDNNTREEIVSPQLWSNCPAPMWITPNLRKMNLL* >BrasyJ001600.1.p pacid=40083465 transcript=BrasyJ001600.1 locus=BrasyJ001600 ID=BrasyJ001600.1.v1.1 annot-version=v1.1 MSTIVAMIVLLLLLMVTSSASATVVAGGELGRGTMPPSTVPFRAPLHRRQPLFRGRAANGCMPRGFRVPPSAPSRYVNYHTLDAGACGGGGARKP* >BrasyJ026600.1.p pacid=40083466 transcript=BrasyJ026600.1 locus=BrasyJ026600 ID=BrasyJ026600.1.v1.1 annot-version=v1.1 MATETTTPRAAVAFSGAAAAAAAREQQARRGAAAAAQQQARRGAAAKRAAAAGAEEPGGGGASRSSSRRGASGRATRLACAQLQLKKGIWLWEQRRGKEGSLVTLSME* >BrasyJ004700.1.p pacid=40083467 transcript=BrasyJ004700.1 locus=BrasyJ004700 ID=BrasyJ004700.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRCPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ102700.1.p pacid=40083468 transcript=BrasyJ102700.1 locus=BrasyJ102700 ID=BrasyJ102700.1.v1.1 annot-version=v1.1 MSKEEFEWRCAQADRVNQRMPQALEKLNSQLQITRGHFIDDPDALKIWDVYENDVRYAFAHNLKGTLMSPLSLPNSVLYEQLMKRKAQSKTFVGMISGGLDKFKQVAARNKRLVGAGVVVPLVATSFALGLAVGRNPLHESKQETKET* >BrasyJ038600.1.p pacid=40083469 transcript=BrasyJ038600.1 locus=BrasyJ038600 ID=BrasyJ038600.1.v1.1 annot-version=v1.1 MESNKVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREQMIETMFEKYNFSGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGLADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITKQEYLEEGVACLRKCGQA* >BrasyJ038600.2.p pacid=40083470 transcript=BrasyJ038600.2 locus=BrasyJ038600 ID=BrasyJ038600.2.v1.1 annot-version=v1.1 MESNKVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREQMIETMFEKYNFSGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGLADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKKSKRA* >BrasyJ038900.1.p pacid=40083471 transcript=BrasyJ038900.1 locus=BrasyJ038900 ID=BrasyJ038900.1.v1.1 annot-version=v1.1 MISTNFARIIANSGRSSGSPRPLQSSGRRPLPPRARLHSAAPTSPHPHRLLRPPPEQFSPPPL* >BrasyJ038700.1.p pacid=40083472 transcript=BrasyJ038700.1 locus=BrasyJ038700 ID=BrasyJ038700.1.v1.1 annot-version=v1.1 ISLRTRIRPPRNSSPRPATALPLLPSTPDPTTPSTTRSVTHRRGDGPVDEASDSRSTPTPSNRGAAGSSPSLVRASRSRSPTGSGWHSSSVPVPSWAPKLLGTS* >BrasyJ038400.1.p pacid=40083473 transcript=BrasyJ038400.1 locus=BrasyJ038400 ID=BrasyJ038400.1.v1.1 annot-version=v1.1 MVGGRKFQGVSFTSIPEDIQQEILARLPSKLVIRCRVVCRAWHRLTSGSRFFHEHHLHQPELPLVATSSNDAYLGISIGVVDLRVSGIHPLAAPPIGYNCRCSIQASCEGSLSLVGTSATLRCVSGRHWGLMSMFRHQLSSEYRVLFWRYKGVSWTPSPVEVHCPIEYCVLIVGCKNPRVVNCLLLPKMREIERELIGSAGAPIFDAPVFIQNRLFLHWRNRAESIRYHRILVFDTLVETIRQMKTPGVKPCDQMQLFDMDGVLAVFSSTYRMREMRIFVMHGHHEQHDESWVFHHLIKLREFDIRRFQEEGDWCAKVVSTDRDLLVSCFRMFLHFDKSGNLVGEFKYDDDIPVEATALVQRVRSLCFSASPWIDSLAHTVSKM* >BrasyJ038500.1.p pacid=40083474 transcript=BrasyJ038500.1 locus=BrasyJ038500 ID=BrasyJ038500.1.v1.1 annot-version=v1.1 MERACKNCLQFNVVCKQPAECLSSFRVQITDSCKSFVVVPENFKERLNDFAGNFIVIRGPDGFKYDVYIDRKANTSVLCGAYWRLFARRNKLEAGDNVLFLAIKDGRSFQAFLDVEEIDFQEDPKMIGLKEVTKAVHKLFHSIIFTDMPSLQNEEVRRILHNLKKNTTDVAIHQEFFVHRVDEHDVAAETLVILEKICYALKVPYSGYATLESAVMKHPMGATFSRNTTGDTVITHGWAYFCKLHGVDSGSVLFCTMDNVNRFTIKIEVIKM* >BrasyJ038800.1.p pacid=40083475 transcript=BrasyJ038800.1 locus=BrasyJ038800 ID=BrasyJ038800.1.v1.1 annot-version=v1.1 MAGASPPGPPSLACPCPGRPIRGALPPLLFPLPRPEAAAKPPPPSAISSARDRRLLQVRRGRLASSDRAPLPNRPTLDVALPPRRLEKGKPEPKTKNRAPAAFFPNSGDATPTNAPSLSSSPALAPPPLRELVSGEISRGHRRLLGFSDPCLSSAPPAASARPSRVPSRGRERQSGVSPARSPPSAAPRRAVPRTGAPNAARVAPRRARA* >BrasyJ039000.1.p pacid=40083476 transcript=BrasyJ039000.1 locus=BrasyJ039000 ID=BrasyJ039000.1.v1.1 annot-version=v1.1 MSPVKVFGHPMLTNVARVLFFLEEVGVEYELVPVDFRAGEHKRPQHLQLNPFAKMPGFQDGDLVLFDGDEFYTESRAIAKYILRKYGGTAGLDLLGENSGIEESAMVDVWTEQYYPAIAPAVFECIINPFIMGTAATNQTVVDESLERLRGVLGIYEARLEKSTYLAGDSISFADLNHIPFTFYFMTTPYASVFDEYPKVKAWWERLMARPAVQRISKIHPRILCPLLLRLPSIPGVASPVVPATPVKIVRDMELDARPRAR* >BrasyJ051200.1.p pacid=40083477 transcript=BrasyJ051200.1 locus=BrasyJ051200 ID=BrasyJ051200.1.v1.1 annot-version=v1.1 MIQAAYDILVRDLAQRVAALGLPRVHHRSSASAFRATGAAFAHLPSVALHTAEGDSIVLGPRKLFMQVSQDMCLAVVPSQLITIIGAMEQVDTRFVYDLAGSKLYFADENCEADTAPHD* >BrasyJ051100.1.p pacid=40083478 transcript=BrasyJ051100.1 locus=BrasyJ051100 ID=BrasyJ051100.1.v1.1 annot-version=v1.1 MWRAAARCEEGGIRRRGLGGWRRRRAGGGRGRRWWRAAAAHAGARRGRRRRGLGVRRRHTLGRGPRPVQRRREGSAGRQRSLRRDSLKFPVTLGRREGSGVRETT* >BrasyJ020400.1.p pacid=40083479 transcript=BrasyJ020400.1 locus=BrasyJ020400 ID=BrasyJ020400.1.v1.1 annot-version=v1.1 MRTSQALLSLVVLVLLSSETCNIVAVASVEEGLSSSTDDYSQYTCTKFFATRKQCYNGACDKFCSQRLSGYGKCFATGCQCSYYCQTPPSK* >BrasyJ020300.1.p pacid=40083480 transcript=BrasyJ020300.1 locus=BrasyJ020300 ID=BrasyJ020300.1.v1.1 annot-version=v1.1 MAIDTNPELLEKTIEQGPSPADLRIPGGQKLKCTKLQLAEEETKQAWRANEALKEQVDDIQHDTQLRIDALTKELSQLKNLIVGNLTSQVIPTERADSVGESVAVEEHIVYNVEQEEQIQREMMEAQEVFEKKKADLLKRKKEAAIREQKEADQLQQKKKQELECLEKKEAALKQNKEAAALQQKKKQELELLENREAALKQNKAAATLQQKKNHELEPSKNKEAAVKQNKAAAAHQ* >BrasyJ020500.1.p pacid=40083481 transcript=BrasyJ020500.1 locus=BrasyJ020500 ID=BrasyJ020500.1.v1.1 annot-version=v1.1 MKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCALIWGACNHAFHLHCILKCQFSNINPPLPHVSSRVAVQGLRVPQDMSAPSVFASPCNKN* >BrasyJ001100.1.p pacid=40083482 transcript=BrasyJ001100.1 locus=BrasyJ001100 ID=BrasyJ001100.1.v1.1 annot-version=v1.1 MKNRAPAAFFPNSGDATTPLQRSPAPPQPPPPTVDSLPSPPVSNAPSLSPSPALAPPPPRELFSGEIFREHRRRLGLLDPCCSSAPSTASVRPSRVPSRGRKRCSGESPATPPLSAAPCRRAAHGRAPNAARIAPRRARARTECRSRSSADRARWWATWAA* >BrasyJ068500.1.p pacid=40083483 transcript=BrasyJ068500.1 locus=BrasyJ068500 ID=BrasyJ068500.1.v1.1 annot-version=v1.1 MADEVNRAAFVELQARMIETKGKIKQLQTQTRSKESEKKRAYLTLEELRQLPDDTNTYKTIGKVFILEPRSVLMNEQEQKLKDSETAIASMQTSEEYLEKQLAEVENNIRELLQQDPGLMRQILSMTVQ* >BrasyJ100900.1.p pacid=40083484 transcript=BrasyJ100900.1 locus=BrasyJ100900 ID=BrasyJ100900.1.v1.1 annot-version=v1.1 MPMAFPASCLLGSLQASCRHAARRNSSPLSKLIEVLLVCCDLLV* >BrasyJ030400.1.p pacid=40083485 transcript=BrasyJ030400.1 locus=BrasyJ030400 ID=BrasyJ030400.1.v1.1 annot-version=v1.1 MEVEMQLDDDVFFAELSKRISLLITDDDEAGLRLRAVPRLPARSGVLHGAPCAAGGFDVGATGLHVVPPRRQLRRRHQQQQQRRRRHWQSVAAAATTVRQQGHRRVHPPVHTRLRALPRGRAGTGAAALRLPPTRRGRRRRRRPPQSQRARLQRDVPDLRPATRKMIKKEKKKKKRQLIN* >BrasyJ056700.1.p pacid=40083486 transcript=BrasyJ056700.1 locus=BrasyJ056700 ID=BrasyJ056700.1.v1.1 annot-version=v1.1 MAAAEGRLSGLPDDLLRRVLRFTPAKVAVSTSLLSRRWRSLWRSSGALNIETLVYEDPRSYGYCGRQSAAFFFRRDLFVSSAKKALAAAAAAAGDEGGGGPVTRLSLRLECESSDLIKTFLHDDGARSSLRHVDVLAGLLSLPAARGVEELQLSAAEDSRRLRHPTNAFGMDSEVGALHIVFPVLGLHFLPSNTLRALDVAGCKALTPATAGFALPRLESLRLSRCGSRLEHLQGFIDAAPALAAVHLESVMLEADNAWQVHLRCSAATTLVLDKCSWAKEEYLSHSSTAVAVVIEAPRLRRFTYKGLLREISLNPQAPDLARVDLHILPRLHPVEDYTTKDLRRAVFWRLIHSFSNVKQELKLRVNHLEEIAVATAAQRAELLRPLNNLRRLELEGVHRPRGSAAAVTIANLLRCCPVIHDLRINLTTAQGDSIRKRDYGTSFLKRKYRNDLDKSVYAYGHNRCGSEHMVSLDPGYYDEVPDLPALSGRVFPCLQSSLRCVSLQFRQEKTDCFGVKLIKFFAEKGMVLEEMRIDGGNGKMSEHVNCKVETWVADSSKKMKAGLRVLPLERSNQQES* >BrasyJ057100.1.p pacid=40083487 transcript=BrasyJ057100.1 locus=BrasyJ057100 ID=BrasyJ057100.1.v1.1 annot-version=v1.1 MEFNPFMLTITSYFGFLLAALTITPALFIGLNKIRLI* >BrasyJ057600.1.p pacid=40083488 transcript=BrasyJ057600.1 locus=BrasyJ057600 ID=BrasyJ057600.1.v1.1 annot-version=v1.1 MGDGDAATMLRTVSPPMGARACVETNLVEARRSEILPASPMHDLLHSDARGAGGWCQDQRPGMFEPEEDQRHLWRMAGALLSSTVLDRHACFGFVQLHIMCKTYV* >BrasyJ056900.1.p pacid=40083489 transcript=BrasyJ056900.1 locus=BrasyJ056900 ID=BrasyJ056900.1.v1.1 annot-version=v1.1 MAAAAGRGRERDDRISKLGDGVLGHILSFLPSSKESARAAALSTRWRDVYASVHTVSLEEPDPRPRHSSILDSNKRRRDDYDSNHARFVAAFTAALLARGRRLGSGSDAAPLRALREAGIPAVDEGVDAEIASTGDDDAEIACTNGDDDDHDYVRVRRPPVYTVPRALFSCAALRTLRIGPCRLSPPAAISLPSLETLLLAGVYDEGAEVQRLVSACPRLADLTLKACHRVTALRTTPLRRLALRFCPNLATVAVDACELRTFEYRGAVPPDASLLTTTIGTGGDGSWQLASIASCKINTYREEDMSEAELTKLREFLGLFAAATERLHLRSFRLGSGIDKAAFATLPEFSTLRRLKLRGCLPRDDAPYAVVAAMAQILRHAPNLEAITVIFDMGPHADLLSRLDHCHGRADELGEVFDDAHLLGYNRHDVLYAPSAAVATNTCRVREINLVHYQGGWAQRSLAKFLLCNAPVIQELYCGLARGPLWNQTQLMREMQGWVMNDMASTLFH* >BrasyJ057500.1.p pacid=40083490 transcript=BrasyJ057500.1 locus=BrasyJ057500 ID=BrasyJ057500.1.v1.1 annot-version=v1.1 LKHQGLSAPLLSLRAALALLLSPPLCLAHAFSSRRLPKLPRAPPLAVDRPRHLLRRPNRSSFWLLPARVSPSRLLTLQAIRDVPLIVKME* >BrasyJ057200.1.p pacid=40083491 transcript=BrasyJ057200.1 locus=BrasyJ057200 ID=BrasyJ057200.1.v1.1 annot-version=v1.1 MGPTILLGRPDRSRVFRGSIKSPTLLSLSPFVLSLSSAPVPKSLPLHELSSLLLLLAASPTPPTLSSFLLPLPARAALLRLRLGSAFSQPPNPHPARDGVHGRLHLAGLPPGLITDGSALPGPRRCRIRPSHASSPPDPAIPRLVLAAQLHRPRPPRPSTSTSARSAASSGRRPPESPPLLPASSGRAR* >BrasyJ057400.1.p pacid=40083492 transcript=BrasyJ057400.1 locus=BrasyJ057400 ID=BrasyJ057400.1.v1.1 annot-version=v1.1 MAEDRISKLGDGVLGHILSFLPSSKESARAAALSTRWRDAYAPYDRDDGYRSRQYRSPDEAVPRLPFTAAVTAALFARHSAAAAAPRPLRALRVVLEGYSRGDSRAVDRWVSYAVRWAAVTGLELDLRLCRAPVCSCPYSIRPVGRGGGGGSFCAVSRPEEQQDESHRSGEEDDRHAKSISDGHSEQDDDYVSEAISEEYSAGEQECDDGVLSAAAANDVSEEVTDEYSDDVGMTSDGEDDIVSSADEEAPRLWPWELPRPEYNVLRGLFSCPALRALRIGPCRLSPPATISLPSLEELLLTHVSDEGVELQRLICACPRLADLTLEACPTLTALSLLDNTRLRRLALRCCHKLASVAIGVSELHVFEYSGAVPDASFLTMPGIDSSPASCKVDIWGEEASSDEELAKFGVFLRLFAHTERLQVQSARLGSGMDKDAFASLPEFRSLRHLEMRGYLPRDDAAAIVGAMSRMLQHAPNLEVLTLIFQTEPEREGDEWLPGGRYGCKERELHGVHHLEHYNPFDVLDAPPSAVIPCLADRVREINLVHYQGGRAHRTLAKFLLCNAPLLDQLYCGFAPGPLWIQAELRREIEGWAMNKPENRIFY* >BrasyJ057300.1.p pacid=40083493 transcript=BrasyJ057300.1 locus=BrasyJ057300 ID=BrasyJ057300.1.v1.1 annot-version=v1.1 MQAVACRVSLVFSLRRTWRRCTARFQQDTTIHRGRPAPTIYKVPLELERCADDGQKRDYRPVAVHIGGFGDLISNRHKWRCVSHLISRNNKHMEPAKTMVLLHECSERLHRVLPRIRDSYGEDISVYDFLVVNMMLLDGCFILHRLLKFASLAANGQFKDEDDDWTQVHGWCWVWQSATRDLLLLENQIPFFVLRELFQELRNDDDPEELLITGSLRLFQTLRPQMLHSSPIDINDVHHLLHLFYLSIGFPSPPPNGAGNDAHVFLPELPQWVPCAKELEEAGVRFRRRKHQDATSFLDIKFDPRRGTLEIPPLQLYDSSSSLFRNLIAVEQAYPGTRCDISTYAVFMDCLIKTPEDMRLLHLHGILVNQMNGQHDDATRFFSTIGAQVHYPTDNYLVGLMREVNRYKERRRHNWRAALVRNYFGNPWIAISVVAAVLLLAMTVLQTFFTVYPYFKPSD* >BrasyJ057000.1.p pacid=40083494 transcript=BrasyJ057000.1 locus=BrasyJ057000 ID=BrasyJ057000.1.v1.1 annot-version=v1.1 MAQRIPSRSTPTMTGGVGRLSELSDDLLRSVLHFAPSKAAASTGALSRRFHSLWRASAAVNLEIRVPDRILDLSKEDRQTTRLLSLRKAFVSGAMAALDAAAGEDPVTRFTFSVDTPSSFLTNEFLYRDDAGRWEEDIDVVADLLSRPAARRVEELRLAVTHCGISSFPREPATSSNIGLCRLALGALTLRVLDLTNCELRTPLPAGGGVAFPRLASLWLLHCVMPLGHLQELVDAAPGLAAVHLERVYLGAKTQGGATEEEEVLRRRCPAATAIGLEQCGWTYHGAPVAVEADAPRLRRFMYKGRPRRLSLHITGGGDRISKLSDGVLGNVLSFLPTQEAARAAALSSRWREAYAAVHTGHRGPQPGAAIRRHRHRRAPCPSPSPSGLWRRHMSAARAPCPQVQLLYRRLAHGRPLALLRADADLELDLRLYRIGLCSYSLRRAVSEEEEEDGEQQKESDISDCNDSLEECSEYDEDNSLGEQDGADTEAISAWEHANDAISSKDDESTSLPEPEYTIPSGLFSCAVLRTLCIGPCRLSPPAAISLPSLQGLLLVYVSDEMDQVQRLISACPRLADLTLEACHTVTALSTTRLRRLALRCCHNLASVSIDASELRAFEYRGAIPDASFLTMPAGDSRPASIASCKVDICAEEVTSEVELTMLREFLQLFVGTKHLHLQSARLGSGINKATFRSLPVFSSLRHLEMSGCLPHDDDAVATMSRILHHAPNLEVLTLVFQTEPPPPRWDQWGWWLPGGHLGYKVRELHDVHRLEHYNPYEVLDAPTAMIPCLADQVRGINLVHYHGGTAQRTLAKFLLCNAPLLDQLYCGFVPGPLRIQTEMRHEIEGWAMNKPENRIFY* >BrasyJ056800.1.p pacid=40083495 transcript=BrasyJ056800.1 locus=BrasyJ056800 ID=BrasyJ056800.1.v1.1 annot-version=v1.1 MEIDHDEGAAAERGPRQQDDRISKLEDCVAGHILSFLPAHEAARAAALSRRWRHALATVHTLSLEEPESPLSVSSDEDDGDDGYSPEYYGRRRPVADPDPPTRFSACAAAAIAARHSHDTAASPAPPLRALHVALEDFTARDFSAVDQCVSYAMNKAGPAGLELGLRLRRSPLCCANPTPQVLYYYPDYAHATAAGLDPPAATDGGAIEVDDDGDDVISDDEFARTRRDVPPTPTPEYTVPSAVFSCAALRTLRVGPCRLSPPAAGISLPSLETLLLDRVSDGQHDAQRLIDACPRLADLTLESCDAVAALSVFGARLRRLALRCCHNLTAVAVDASELDAFEYRGGFLAMPALVSCAVEICGQNGISCPQEMAKLTDFLHRFPSARHLNLKSARLGSGIGNGLLATFPEFWNLRHLQLRGSLLRHDDDRPAVVVDAIAWILLHAPSLGALSLFFETGPEAGCGDDLFPAGRYDCKERELVDAHCLRYSRHSVLNTPTAWIPCLQSCLRKINLVHYRGGTAQRTLAKFLLCNAPVVGELWCQFAEGPLWMQTELMEMKGWVVNKDAKTVFR* >BrasyJ100300.1.p pacid=40083496 transcript=BrasyJ100300.1 locus=BrasyJ100300 ID=BrasyJ100300.1.v1.1 annot-version=v1.1 MEPAPNAPRGGPASTPEEAAGTSSSSASVEKAEKHEQEVQPRERGGQQQQLAVQAGGHLQPQPLSQQPPPLSAPVPAGLSRYESQKRRDWNTFLQYLSNHKPPLTLARCSGAHAIEFLKYLDQFGKTKVHADGCAYFGQPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGSRSGSAGPVAASPPVVPAEATSGGGKDDEDQPSRSAEQRQQTTPVVFATTQTTSSSAGATTATAATKATPTPRGKEPAEGSA* >BrasyJ063500.1.p pacid=40083497 transcript=BrasyJ063500.1 locus=BrasyJ063500 ID=BrasyJ063500.1.v1.1 annot-version=v1.1 MEDKNDDVDFVLSCAKFLYFCCMYDLPYWFVILVGQ* >BrasyJ063400.1.p pacid=40083498 transcript=BrasyJ063400.1 locus=BrasyJ063400 ID=BrasyJ063400.1.v1.1 annot-version=v1.1 MPLFFHPSRRLSSSTRSHPLFFCSQSPPQTGATERTDAAARRAGAPVADPLGRVAATHALTRCCTRRSSSMSSSCHGWQRWQACGINALASDLPCGPRRVRGVAAAPKCGAAGCFGTAAGAPGGSRGVLADAVELVRREIPTGNASPDFTACFHSMVLSYIWIVQSHGL* >BrasyJ087900.1.p pacid=40083499 transcript=BrasyJ087900.1 locus=BrasyJ087900 ID=BrasyJ087900.1.v1.1 annot-version=v1.1 MWLRRTSTARLVVFVQREEAAAVGPGYCAATPGERASSHTSRRRERKRGRGAVRTASAAPEGEQARARRQIEVEREGEGRGATRAATPPPAPRRRPPVCRRVGREGEGAGAGSVPTASAVGRCPDVAHQERGTAAVGAERGETRREASGRRIGSLRIYWLHRILRKSRRS* >BrasyJ087800.1.p pacid=40083500 transcript=BrasyJ087800.1 locus=BrasyJ087800 ID=BrasyJ087800.1.v1.1 annot-version=v1.1 MGSAATKFPTIFEVRLEHATLFKNLVEAISDLFSEAYFNFSSRGLDVQAVDSSGIALVALLLRAKAFNHYHCDRALSVGLSLADMAKAFRCTNNDDTLTIKSVDDRFNIVAFTFESPNGVVWDYDFNRVDIQDGLYEINESPESEYQAIVRMPSAMLMDICNKLSSFGERDSVVIISVDKERVEFFTPGETGIAKAFVDKPKEPILIKMKEEVSLIFELRHMNTFSKASTLSDQVTISLSSKQPVVFEYKIAEMGYVRYYMAPGTSKEDEKQKMQRIAEEDKMQKEGDEMQN* >BrasyJ088000.1.p pacid=40083501 transcript=BrasyJ088000.1 locus=BrasyJ088000 ID=BrasyJ088000.1.v1.1 annot-version=v1.1 MAEHHGGRLSSSLGSQQKGALLQWKSTLLQSSRAHALDSWQEGSSPCSGNWTGIACSVVHVHRRRHAPLAVVTSMSLPEAGISGSLGELNFSALPFLRFINLSYNSLAGGIPPAITSLTALSYLDLTSNSLHGQIPPEISRMGRLRLLWLALNNLTGYIPASLGNLTMLTDLSVLQNNLVGTIPDELGKLTRLEAMELSGTLLSGAIPDSIGNLTRLRLLHLYENQLSGPIPSSLGNLLNLVDLELTGNRLSGGIPVSLANLTQLQLLYLSVNQLTGSIPASLANITGMRELLLFYNKLTGSLPREFYPILTQLDVINLSNNSLSGELPSDVCKGGNNLREFIVTTNMFTGPIPRGLQNCTSLQRLDLSSNKFTGDISDFGPYPHLLK* >BrasyJ087700.1.p pacid=40083502 transcript=BrasyJ087700.1 locus=BrasyJ087700 ID=BrasyJ087700.1.v1.1 annot-version=v1.1 MSVKEGSAATKTPTIFEVRLEHATLFKNLVEAISDLFSEAYFNFSGRGLDVRAVDSSGFTLVALLLRAEAFNYYRCDRALSVGLSLANMAKAVRYANNDDTLTITAVDEWFYVVTFTFESPNGVILDYDFNCEHNDDGPLAISESPGSDYQAIVCMPSAMFMGICNKLSSFGERDTVVISVDKEHVRFFTGGKTGSSSIVCRQTQTVDKPKEPIVMEMKEEVSLTFQLRHMNSFSKASTLSDQVTISLSSKQSAVFEYKIAEMGYIRYYMMPAMEDEMQKMQRIAKEDKMQNEADEMQN* >BrasyJ027400.1.p pacid=40083503 transcript=BrasyJ027400.1 locus=BrasyJ027400 ID=BrasyJ027400.1.v1.1 annot-version=v1.1 MAAPPSPEPDHSLVAENAPAAASKNISLTLDDTMVLYKPDYIDTEFTTKNIRDVNLMVTKMVDPQRDSIYSIDFGGMLKIPQSDKLDRTFSAWLVSGVRVADYVLHDGNSSIALMDADSFGRIIGVPSSGIPIPKRILPQQKEVIMSLLDVPRIMSRAHNKEVLDNFVGKKLSYAENEEFLRDLPWEVVAALADVRTIGSYNWAQYALDFLVEKVAITQKEMKDMKPKITLNGCLLYLQVFYMEMIRDGRDRIDRSISPRMAAYQADKIKAMIMSSKRGSNTCLLARYGRRQFARTTLLEEWTADLQANSDRHIVDIDCHADHRTNQFRNNDNENSDVDSSCTMVCLYQEYCRCTNVIQFYLTAQNIWAD* >BrasyJ027800.1.p pacid=40083504 transcript=BrasyJ027800.1 locus=BrasyJ027800 ID=BrasyJ027800.1.v1.1 annot-version=v1.1 MASNGAGKTPPPASASTLRNAMTLQFARQVITGRWFMVFACLLILSASGATYIFGIYSKELKSTLGYDQRTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLSMGAAMNLAGYLMIYLAVDGKTPRPPVWLMCIYICVGANSQSFTNTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQLYIAIYGDDAKSLVLLVAWLPAAVSIVFVHTVRIMPYRGRGDRGENASGIGTGTGSGSDPFFCFLYISMALAAYLLVMIVVQNQVDFSHAAYSVSAAALLLILFLPLAVVIKQEFRAKQELEASLLLPPTVTVDNQPSSPSPPAAALQLAEPKTELPASPSPSYSSSSCLGSCLKHMFNPPAQGEDYTILQALVSVDMIVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTAGFFSEHVLTRYKFPRPLMLTLVLLLACVGHLLIAFGVPSSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYFYDVEAAKQHGGKLDGAGDKTCIGVQCFKMSFLIITAATVAGALVSLLLVWRTRKFYRSDIYAKFRDGGEPAAAEQRRTKELTESSSVNGRKE* >BrasyJ027700.1.p pacid=40083505 transcript=BrasyJ027700.1 locus=BrasyJ027700 ID=BrasyJ027700.1.v1.1 annot-version=v1.1 MTKFEKIIKQIRNTRYKCRRGAGSAPTGRREAAANCSKRERVREQERKQKDRGGGGGVPPGGPDPRRPGGGLPDPAAAGRPDPGRAAGSRPAVAARPGTRGRWPARGWRSTAGQANRPDPGRAAGSRPAVAARTGGGPRLGEAVAEVPARGWRSTAGQLNRRRWEREGERRSRVEGERRDRERK* >BrasyJ027600.1.p pacid=40083506 transcript=BrasyJ027600.1 locus=BrasyJ027600 ID=BrasyJ027600.1.v1.1 annot-version=v1.1 MAGGGGGQVPSMMVGKVTCAVWVRRRDGGAAASRLLVLYGRAAAASSPPLLDLLAFDASKSALASEEPLPRVVMGEDGADAPRAIAVHPNGDEFVCATAKGCRLFKLVYEEFSINLISRDCPPLQSVGPQRCLTFSTDGAKFAIGGEDGRLRIFHWPSLTVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDGGAPLVNLTRSADEKIECCCFSRDGTKPFLFCTLVKGKDNVTMVLDITNWKRIGYKRLLGKPMSALSVSLDGKYLALGSHDGDCCVVDVKTMQVSHLSKKIHLGSPISSIEFCPTERVVISTSHQWGAEITKLNVPADWKVWQIWLVLLSFFGASAILFYTFFKYTTLL* >BrasyJ027500.1.p pacid=40083507 transcript=BrasyJ027500.1 locus=BrasyJ027500 ID=BrasyJ027500.1.v1.1 annot-version=v1.1 MEKAAAGGDVDLVLRMLDRTTAAAIRQQHARPATQGPGEVSMDLMLPDASNAAAVREQREPPVSLGEGEVSLDLSLSLAPASVISYPRAGRAVAGYGGGDVRRHDWGFKCSYCTRTFPSSQALGGHQNKHRGYRWHPAGSGPGPAIPDPDPDPVPGDRTSPEGLGERAPRAPVRGGGSAAAWRRRGEQGDAAAAGWTRGGGGGEKRQRARRRRRRRRGGLGRTEEAAAALRAREEAAALQRIELGSRDEAATHRGLGRWEPRASEGRRERGAAWRRRGEQGDGAAAGWMRGGGAGARPRREASGGCRSGGNRNRGLGPVRIKRGKQDGGLYLGLEAFWAGPFSSYHYDYTGYTGMRVRVCQYQTRTRQTRRVQKFPIYRPAGLILYQTRMLIGSRPVGYSGLGAPLPSITGASVDVRNADGVSCPP* >BrasyJ061800.1.p pacid=40083508 transcript=BrasyJ061800.1 locus=BrasyJ061800 ID=BrasyJ061800.1.v1.1 annot-version=v1.1 MTCHSTTGLYLQHLVHSCFSGHSNPLSPPPPPPPPPRRYHLYHFTPLAELLLRAKLASRRLACGALLYSTLLCSHLGDMSKKIVVKLELHDNKDKQKALKAVSALVGIDALSMDMAARKMTVVGMVDPVDVVSKLRKAWAASIDSVGPAKEPEKEGEKKKDGEGAKKEGEGDKKDEDKKEGDGEKKPEPMTAEQQQQQLVAELMNQYRSAYYSPYMNTHYVVQSMEENPNSCTIC* >BrasyJ102800.1.p pacid=40083509 transcript=BrasyJ102800.1 locus=BrasyJ102800 ID=BrasyJ102800.1.v1.1 annot-version=v1.1 MAELASGAVSSLLGLLRNEALLLSRVGTDSEFIKEEMESMHSFLEHLARTAPPGGGGGHDGQVHTWMKQVRDLAHDCSNCIDLYLRRGDPAVYRARAGRWRYLWWASWLVQRMVAQHNAAIRLRELKERARDVGNRRLRYGVEIPQKEASSLPSSSQAAATKDEEDDEDDQNQAAVSSDGRPLEPVLEEYCAEKLANWLELQAKTNKGKSVTSIAIVSPDDTEDAGAVVGRDSLTLATANFTCKVWVNLSALHLPWDLPLLPSEILIYILRECEQQQGTEPINPEEVYRYKDEQSYDIWDMVDYEEMSNTINEIQSKFSEVKGKIGGVDRKKGEENKCLGILLRALRLLQFVPDKGVPLSSEEAIEHTACMLKIQMETGESKPKICLDVTQYKDILHKVFPTNKAQQSQKVQEATTSATTMSEDRIKEIIHNHKITLDIILGLLPKPQLLEGTSTKEQATDAAASVIKQDKENSKEISGETTNAAAAAVIKETKEKMKEISGEVEVPNFFAAAIKETTEKLVQIESMIEDQMHMKGMVDKIKPHLENKKTLIILQDDKDYISAKDDEDDENDEDDENDEDDESTWEVTRKALDLLGCAPGSAVIVSTKNSKMAKEFCYPQGEPITCSLVGLYHDMVLQTTKPRMSNGDDGYTPKILLKILTKCHPHEFCMKIFMYALYANPNRSNEELCKLHEDLVPHKTFSSKAKKMIKFSYKDLPREHKTCLLYLAIFPQGHKIKRSTLIGRWVTEGLITKQDWATAVHHAEQCFDTLIKRGLILPHDIGAAGKVKSCVVGDQVHGFITKIANKEHILDARMSDLWACHFSIFSGLRLRASDGIDKFVHKLPKYSPQLPLLKVLDLEGTDCFDKSHYLKDICNKILLLKYLSLRGTNVANLPSEINNLHELEVLDIRQTMVPEHATRNVLLLKLRRFLANRVDSSSGLNVAVQIPCKIEKMENLEVLSSVKVSGDGRELKEISQLWQLRKLGVVIEDRETHIKKLLRAIGDLKDCLQSLSITISTNTRTKSTLFSREQQPIDMHYWLRQTPKHLESLSINGVTQRGQLLKPLAEGSDELAKVTLTGTSLKQDNLMVLAVLPKLYCIRLRSNAYSESNLTFKKEEFPQLKYFLVEGTNMTETDIKFEDGAAAELEKIILSCTNIRFLSGIDNLPKLKELELKGNQFLLSFSQDEASPEQSTERRSLERNSKSRALEQNTKSGSAEQNTEARATEQTSEQNLKRGVPEQNTRSKAPEQNTEGRAPEKNFESRFNFKKGKFQHLKYFRIEDSKMINITFESEAAPELKKIALSLTNNQSELTGVSGLPRLKEIELKGDKFLLSLFDDAHHIAKVTLCHTQLKQGDIQILAKKRNLRCLELSDKSYEDSHLAFNKDEFPKLDLLIVECPTVRSINFTEGSAPNIEKIVWSFDEMKSLSDIDNLPRLKEIECSGDHVPHQVRKDVAAHIAQPVLTHKKPQKQGQAKETSKEEEDDDTRFPPISLFSKIKNRR* >BrasyJ002900.1.p pacid=40083510 transcript=BrasyJ002900.1 locus=BrasyJ002900 ID=BrasyJ002900.1.v1.1 annot-version=v1.1 MQLFSGRSKRNGGSGKSQSDTSTANAKHKDGRAAGCRALCCGASTRLSVSSSSSASCSSCLDAPDQPRGHLPSLAHGMVQARLQSMIDAARQRTRQPVIGAPRNDDDGAGRAPAAGRRAAVRAQAAAAATTRRRRAARQAQAQAGPREESRRAWCWWPWTRGPTRPGRKFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSCKGN* >BrasyJ002700.1.p pacid=40083511 transcript=BrasyJ002700.1 locus=BrasyJ002700 ID=BrasyJ002700.1.v1.1 annot-version=v1.1 MKREDVVPMDLRGVWQAMEECHRLGLAKMIGVSNFTTKKLQELLSIAKIPPAVIQVELNPILHSEILNEIAEARGKSVAQISLRWIHEQGVSMVPMSMKRERLKENIEIFDWELTDEDLFKISQIPQHKKVTVLAILYPEGVSSVDLSEIDVVEM* >BrasyJ002800.1.p pacid=40083512 transcript=BrasyJ002800.1 locus=BrasyJ002800 ID=BrasyJ002800.1.v1.1 annot-version=v1.1 MASVVPEVALRSGNPRPMPAIGMGTAKFPIVPETHQGRRAGGRGARLPATSTRPPCTRRRSRSARPMAEATRRGLVGVPGGGVRHVQAVVHASATRTSCSPPSGKASRTSQMEYVDLYLIHWPISLKPAPPVFPAKPEDARTAATVPPAVNQVEMNPVWQQRTLRKYCAEKGIHVAAYSPLGGQNWTGEGNAVLDSEVLAEIAQARGKSVAQVALRWIYEQGVTPDCEEASARRGSRKTLRSSTGG* >BrasyJ003000.1.p pacid=40083513 transcript=BrasyJ003000.1 locus=BrasyJ003000 ID=BrasyJ003000.1.v1.1 annot-version=v1.1 MAGDAPSSSSPTGADPATSTPLLQLRRRGSYTRSMSHARDELRSFRSCLRWMCVDHSDGASAAASWLVFAALAVAVPVSARVALPRRAYDTQVQASLTLSAALAYVTLTSLIRRRGLRRLLYLDRLRHDSQDVRAGYTVQLAGSFRLLACFVLPCFLADAAYKVFWYCANRPFAVPWWWSAAACALEMASWMYRTAMFFMACVLFRIICYLQILRMTGFARDFGQCADVAAVLRHHRRIRDQLRRISHRYRRFILYCLLLVTASQFSALLGITRPHAKVNIATAGELALCSLSLVTGLLICLHSAAKITHKTQAITSIAAAWHADATINSVDRDQENPYPRTPSKAHLLQVPAASNSSGDESDDDDEMSPSEDSLDTSRFTSLHVTHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS* >BrasyJ112600.1.p pacid=40083514 transcript=BrasyJ112600.1 locus=BrasyJ112600 ID=BrasyJ112600.1.v1.1 annot-version=v1.1 MGVAARLVVLYPFLHLTTLASRSPEAFFLLLSSPCPTSLSTSRLRGPRPRRRLPSLHDAPAGFLAEHLPSLPTFTAHLGLRPPHRPSLPPRPAIAAAPRHSTLGYLLAMRHARDAASVLRWLCSPDSRHRPHFATYDIFVEAFYRLEDPKSALVVLRETAADGARPSEKPRDAMLQDARIEEAARVRRWAAGLAFCGRNPWAEAVRTAGRPRITARRSVAGVVASGSRGCSSSMYRLQRIEDEEERGKRQDKTSSTAAACSSTAQPPPAPTPPPRPAPPLSRLFHRRRGRMAGSSAAIDRQIHPAPLRYGAANGRSTRRFDPLRCGAGTGDPGEAAPLRCGPNAGDQLALVFGSLVGRL* >BrasyJ112900.1.p pacid=40083515 transcript=BrasyJ112900.1 locus=BrasyJ112900 ID=BrasyJ112900.1.v1.1 annot-version=v1.1 MFYQVPHCVKIWVLTGDKMETAINICMQIAKAGPRDLLSSFIGTMVCVEGIITKCFLARSKVVKSVHYCPVTGIFLSHEYRDITSFVGLPTCSVYPSRDENGNLLVDKYWMCGYKDHQTLSMQEVPENVGPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGLYKGSSGEKQRQCQRCLQVTPSASGDSDDLLNPQKRFFERNLSGCASRGKDCIHKEEQIDQLAITVN* >BrasyJ112800.1.p pacid=40083516 transcript=BrasyJ112800.1 locus=BrasyJ112800 ID=BrasyJ112800.1.v1.1 annot-version=v1.1 MEHDGKNLTVTEAVRSVQLLKIDGYCATATMTSTEFIRSGWNIAGHEWEVHFYPDHYEFLEDEDVMPGLDEFVEWVALKLILVSEPQRDKLRVNLSCRLVCPGQHLGPEKSVSHVFHRRSKCSPQVLLVPKYKVPSSGYLVNDSVTVECTITVIGEDEEQPLPVPPPSDLHQHLGELLQSQEGADVTFHVSGESFAAHKVILAARSPVFKAMFFRGMDETSSKSVVIEDMEAAVFRSMLHFIYTDVAIELDGDQEPQAAATMAQHLLAAADRHGLNRLKLICECKLSGTIGIDTAATTLALAEQHHCSLLKAKCLEFVTKSPETLDAVLATDGYAHLLSSCPLVLAELLRAARGRKI* >BrasyJ112500.1.p pacid=40083517 transcript=BrasyJ112500.1 locus=BrasyJ112500 ID=BrasyJ112500.1.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKVQSTPRPSVNLHGIARGDGEHSDTVMDNQQEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNCLIDGKLKWDRMRYLVCYQALKIATGFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEYEICFADIDEKVPEKVAYEMIMEANKNKVPRLLIHYDYVKKKLDVAQEIRLIPPES* >BrasyJ112500.2.p pacid=40083518 transcript=BrasyJ112500.2 locus=BrasyJ112500 ID=BrasyJ112500.2.v1.1 annot-version=v1.1 MEVHKFSVLSPDGYPIGSGKHRGPDRSDLPRSRDGSKSGDYLIDTPSTEVAGNKTRIQITPTSENHGRITLLDALTGEGTKVLNQQQNDPDRSVQSSSHGQSSSQSGEPKEASLADTTSIWTGQKKTKEQQIEGLNWGFGKLKYEISYYGKQLNREPPSSMDSWVYYDEETQLAELNQRLAIHRIIAHEILEGGQPPDDTDQLRHKYPPPALEAEGYFKYYERSFGWYFDPEYCRYDGLQDYQRLVLSKRNSLYLDWDYYRRTNSTYEFDREFVKFYEKLAKETEVIVNCLIDGKLKWDRMRYLVCYQALKIATGFPNMYRPLVYSCFHEYVSRIHSDYSCYNKRANLFFEIWKRVAKRKMRIKKALEQIYEEGIPLSYEMEYKLSGAIEGSCGSIEAEYEICFADIDEKVPEKVAYEMIMEANKNKVPRLLIHYDYVKKKLDVAQEIRLIPPES* >BrasyJ112700.1.p pacid=40083519 transcript=BrasyJ112700.1 locus=BrasyJ112700 ID=BrasyJ112700.1.v1.1 annot-version=v1.1 DNITQFRTKLAVILVDSELNDDNVRNQDDFELDERNTDPDDCVILDSPAKRAKTSDPSSQFDLLSELFPSPIINPTNDDLIELLCMQLEMIDPRLFEISKPYPISLTLRQIRNILYTDYMDADCFNVAVRVLASHPSNFCRDQLVHFMDLKFCTMSKFARDAGCREMLDVDQLAQLFRSWPGCIDEYHISDCDTIYLPYEIYGLYMLFVFNLQKKIVYILNPLPIQSWGEHLFKTMEMDKNLNLALEVANPGWNDDICKWECKVSDVIPRNYHGGLSGHLVFNFMHSYHNERLHYSIPTGDFLLKRRFLAHILKHELNEVVDNISPEERDVLDRIEKWTFTDLIE* >BrasyJ058400.1.p pacid=40083520 transcript=BrasyJ058400.1 locus=BrasyJ058400 ID=BrasyJ058400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNNGPFKYIPRGYITCQNKFVTFPGLPEENVASDSYDFCNFVTSQEYMMANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNEVRYPPRPPAPELTKVFQVEGFTPARGPPIVFKSTYLPRQFLYCRGSDSFVMLGLLYP* >BrasyJ041200.1.p pacid=40083521 transcript=BrasyJ041200.1 locus=BrasyJ041200 ID=BrasyJ041200.1.v1.1 annot-version=v1.1 MVILDFIEVIDDIIDLTSDEENIQEDHTATQRWEALHDGQTMFVVAAEGRQHVQATFALAAAGEGRQEMTESRNAAEATTSSSMTVKTPLDTASSYSSPHSQTAVPYLSPASSTPKATSEGCDAKLLRVKVKRPRKNCHTDTPKRSPRLEEKRKGHNKSVKELAVDRKRSYMLDA* >BrasyJ041400.1.p pacid=40083522 transcript=BrasyJ041400.1 locus=BrasyJ041400 ID=BrasyJ041400.1.v1.1 annot-version=v1.1 MEGGEYCGKKARVEVKREEGAVMQDGGEGGRALAAAEAMAEPQPQIDFRMDAALFHYQACLLPLTPPVFKCGAAGHIVCCYCRRGHGDICSRADTHCGELDIFMGAAKVPCAYKVFGCERYVVYHEAAGHRRACPCAPCSCPEPGCAFLGSRAMLLDHVAADHARPAIGVRYGRSWNLSLPLSRRWHVLVGEEEDDWSVFLVSLGELGVDATAVSLVCVRADDGASATAVAPQFWCKLSVELPGVDKDKLVLMASKVSSSALSAGAPAPGQGMFLAVPRELLPGDMLTLSIRIDLVRPATAAAKSTTPEARTLRKMH* >BrasyJ041500.1.p pacid=40083523 transcript=BrasyJ041500.1 locus=BrasyJ041500 ID=BrasyJ041500.1.v1.1 annot-version=v1.1 MEKYHRDARFAAFKDTTFALCGALGIPNSSFNSMDGFRDFSSIRQARSYASCPLVAGHLKVSPSGSRSLHTSRSLSAPVANRPLSPHLPLKKPQLCATFSISHRIFGVALGAAVISVPLATKFSLMFDV* >BrasyJ041300.1.p pacid=40083524 transcript=BrasyJ041300.1 locus=BrasyJ041300 ID=BrasyJ041300.1.v1.1 annot-version=v1.1 MAASRGELRSGVSPRGSGFCGRGGACGGMAAACRVCRPRASAGGCCAVLGGAWASAASGGGGQGMGAAAAAPRQGWWLWPSGACPVAAAAAVRCLVAGGALGMAAWLHVRGDGGAASAKAGLRSRLGPTMATPVGVVNLLEGIVVLAFLLSPRWPSSEGNLRSSDGSGDGDALCAVSHLGGIAFGVCTCRGTSGL* >BrasyJ054900.1.p pacid=40083525 transcript=BrasyJ054900.1 locus=BrasyJ054900 ID=BrasyJ054900.1.v1.1 annot-version=v1.1 MQHKKDDTNAGVADEPSPPDCTPIWQDTPSQSVDGTEEVTQAWNAGEQLERYEKEHAARADKHAEEVRQYYRKFPKRKRDAPNVPADHTENAPVSAASDDDFEAPAPPTVKNKVRFAVAKRKISSVLSQESPGVVRRSPRIATSPGSVAAHPARSGEKVSGSKRRRTVDKSYVPDSEDDAADAGGEVAAAKEPHRERVRAAGFGCVFDWVLEGNVSRVLLCYLLMNLDTQRMKIDCGSGRVLTVNRDSVHHIFGFPCGGETAPRPSDSGHDAALASLKAELGFERSASINTKDLRKLLADLVKDETRVDSAVKVFFSILYNKLICPGSAVRLGREAAMLVNMDYNNMARMDFCQLVVDELKQAAEKYQNTYIPQVGPEGCGVVPVVMYLDSCHSKSHSVMHRLTPRANFLGRVAMILLIRTICLLKNSRLNLAPSLTQMMDLFLLTALAMTQMLLVFLIGARQLRNAQVPPLRMPLQRLISCWRELWKCRVLCQQLKTVLASCLSPPEDYSEETHERSTGDGDGVDSAQVDAPIAAAALQAESTVAEEPPLNVMEEAVGDDSDGPQGHRDGPAAESAVDNEPPAASEHAATSADAIVDITGGDHPAVGVVETDGQVVDSSSQEVGAEVLTGAPAADVNKQSSDIPDGVVYIELLGCSDVNFFC* >BrasyJ046500.1.p pacid=40083526 transcript=BrasyJ046500.1 locus=BrasyJ046500 ID=BrasyJ046500.1.v1.1 annot-version=v1.1 MYHHLLPVLLLAYPAACSNATCGGQAITYPFWLADSGPSCGYPGLGLFCEENAPILEIEFRQYRVLRIDYANHTISLADVNLWNKTCPRLSYQLSADPSSWLQLTPSNSNVTFLYNCKTNVSLPSAVKLDGGNGCPELNMSWYVFPDDGAVTGEAYRSRYGCEQVLVAPMVDARKTGAPRVNNGSSQREALSGGFEMRYNNSPEQNCGTCEQSGGRCGYESIELHQGTGFTCFCDDGPNELQCHIITSTTCVTLLCLLLFACLLGYKKYGSKRTQRIESFLQKNGTLHPKRYKYAEVKRITKSFTVKLGQGGFGSVYRGNLSDGRQEFINEVASISRTAHVNVVSLLGFCLQGSKRALIYEYMPNGSLDRYAFKNSLVGIARGLEYLHRRCSTRIKHFDIKPHNILLDQELRPKISDFGMANLCINKESIISTGGARGTIGYITPEVFSKQFGAVSSKSDVYSYRMMILEMVVGASAREKNINEKSEPSGQYFPQWIYEHLDDYCVSASEINGEITEIVTKMIVVGLWCIQVSPANRPTMTRVVEMLEGSTSGLELPPKVLLSFSENEVLFS* >BrasyJ046600.1.p pacid=40083527 transcript=BrasyJ046600.1 locus=BrasyJ046600 ID=BrasyJ046600.1.v1.1 annot-version=v1.1 MPMQRKEMLRLPRFVIYCLPLSDPTAKQPARGPEPPCVYPKPSSQRLVPYAQRHTGSGSLGRSGPAMYCLAASAASPPSARPVTRRLFVAAVAPSPWRSVEPHRAVWRSGDAGPRPRTMLRRCSSAGDSRAVGDGSPSSFCIIEGPETIQDFIQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRAAESRGGSSEENEMPEMPSTIPFLPYTSPKTMKQLYLTSFSFISGIIIFGGLIAPILELKLGLGGTSYEDFIRNMYLPLQLSQVDPIVASFSGGAVGVISALMLVEVKNVRQQEKKRCTYCHGTGYLPCARCSASKMLLSTKRFSLSTTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD* >BrasyJ052900.1.p pacid=40083528 transcript=BrasyJ052900.1 locus=BrasyJ052900 ID=BrasyJ052900.1.v1.1 annot-version=v1.1 MASSLNRGLRSGIRLLATGVEASKPASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKLTAWSSVLGAVSIGVGVPVYAVIFQQKKTSSG* >BrasyJ052800.1.p pacid=40083529 transcript=BrasyJ052800.1 locus=BrasyJ052800 ID=BrasyJ052800.1.v1.1 annot-version=v1.1 MRPRGANLLRLPPDSLILFYAKPEGPKSLASILYSSALATLESRAATDHRNHPQGPNAPTITAPAAATRREHHHHEGDGFVAKPRTPLRDPPPATGVEASKPASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKLTAWSSVLGAVSIGVGVPVYAVIFQQKKTSSG* >BrasyJ113300.1.p pacid=40083530 transcript=BrasyJ113300.1 locus=BrasyJ113300 ID=BrasyJ113300.1.v1.1 annot-version=v1.1 MAASLGLIPEADLFSSAYAYSSPFHADADFTSQLHGYPHLEYPAPTTTAPPVFFPGAGEERGEEEKMKTAARKKKRASGGGRIGFRTRSEEVEILEDGFKWRKYGKKAVKNSPNPRNYYRCSAERCGVKKRVERDRDDPRFVVTTYDGVHNHATPVSAAAALHFYC* >BrasyJ113500.1.p pacid=40083531 transcript=BrasyJ113500.1 locus=BrasyJ113500 ID=BrasyJ113500.1.v1.1 annot-version=v1.1 MSTRCISSLALLSPSGSGKSCAVVRAHPALSSAARSRIRTHMSVATGSEQALTAQEQSQEPEYGVVSIHHVGILCENLERSIAFYQDLLGLKVNPARPNDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV* >BrasyJ113400.1.p pacid=40083532 transcript=BrasyJ113400.1 locus=BrasyJ113400 ID=BrasyJ113400.1.v1.1 annot-version=v1.1 MSGGGGGGNSRELDQTPTWAVASVCGIIVLISILLEKGLHKVGEFFAHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIRICITEKSADTMLPCPLKKSTIEAETNNDHHNPAVLAGAAGEHGAAVFVGEHGAAAEGGHGGAAAAAEGEHAKPKEPEHFGLGLGTPFTTAASFAAPHRLLSGGEANMKTKCPPGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRGWKEWEKEAAGQDYDVSSDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLININGAHSMLWISIMPLVIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKQFVVARLCLGAVVQVLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKNWRAGVKKKPAGANSKHGAGSPSGTPRAGSPRADGIALTESKHGDGDTGLQAGAAQPVAKKGDEEFDHVKLEP* >BrasyJ115100.1.p pacid=40083533 transcript=BrasyJ115100.1 locus=BrasyJ115100 ID=BrasyJ115100.1.v1.1 annot-version=v1.1 MGVIVWRGRLISINVSPIWHNFSFFTTAVSLLDLSPPSSNFVCRSGFFVRWSWVVAGSDCTGIAASLGGGGWFPPSKKLIRCSFGGSRAVEFLLTQLGNQEAGVI* >BrasyJ064700.1.p pacid=40083534 transcript=BrasyJ064700.1 locus=BrasyJ064700 ID=BrasyJ064700.1.v1.1 annot-version=v1.1 MVDLVDTSLEAGKRHQGFSLQSVCKKGAPVGPASQTNLGTFRSPARHIGMSSDTDRDSLVLKAKEWAVYLTDERLEAWPDLSQGCPFQSIRKDGFPVARVSQTIPDTLTRPAESSAISSVTKADAPSLLQVLHSLKDPARDYGISPVTNAGAIPSQATSAIWPYPYEHSLVSTVTNAGALTPAMSAIWASPSENSLISTVQNEVQSAGVDD* >BrasyJ065000.1.p pacid=40083535 transcript=BrasyJ065000.1 locus=BrasyJ065000 ID=BrasyJ065000.1.v1.1 annot-version=v1.1 MMYQLRLDAVKMLFEKKGDIIDDSLARGEELTYDEYLESRIPWFPEHAWDAMCRHWTSEEYEAKRKRGQVCRSKGQEVAQNRGGSMPFGMAQQRMADSYGSENASVLDTYAAMKSGFKNLDAHGKPRGAIPRVAQERIDNYVKAAKEVDPDLPDDWVPEEIDGKVLYKSGGGMPHGRLPIADGAVCKDEIIYAGKQAKKSRTSVPLPSRQSHQLQRVIEENGELKVANQGLVQKLELHDRLILEIFKDMNKEPPAWFGTNLLPTPQGTPISSRVVSQGAQSNVGGDLEGLEGLEVMAHKDGGANMVYEEGNHDLVAEQTDGNNRSCGGTKGSNNPVQSPDK* >BrasyJ064600.1.p pacid=40083536 transcript=BrasyJ064600.1 locus=BrasyJ064600 ID=BrasyJ064600.1.v1.1 annot-version=v1.1 MSMQQPTCATLPGAMSLILTAAVGAFAVAAAVVRYNRKYRGLRLPPGPPGWPIVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGVRTLVVISSAELAHEALVQKGQEFASRPAENATRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTSRLREFRPARRRAMDRFVSRMRAEAGDDSSVWVLRNARFAVFCILLDMTFGLLDLDEAHILRIDAVMKRVLLATGVRMDDYLPFLRPFFRRQQRQALAVRREQVDTLLPLIDRRRAILRDRDTRPADPDVAAPFSYLDSLLDLRVEGRDGVASDDELVTLCAELINGGTDTTATAIEWAMARIVDNPAIQARLHEEIMRRVGDARPVDEKDTDGMPYLQAFVKELLRKHPPTYFSLTHAAVKPGSKLAGYDVPADANLDIFLPGISEDPKLWDRPAEFDPDRFLSGGETADMTGSAGIRMIPFGAGRRICPGLSMGTTHIALMVARMLQAFEWQAHPSQPKLDFKDKVEFTVVMEQPLLAMVTPRKIFF* >BrasyJ064900.1.p pacid=40083537 transcript=BrasyJ064900.1 locus=BrasyJ064900 ID=BrasyJ064900.1.v1.1 annot-version=v1.1 MFVALILSSVVFCFISLLLPCFFPYLSRPPPPICPPLPRMTWAPSSPPWTAPSPPAETLLSPAPALSEPNRGRLGFQLPRPQTPSADDVAAAERAGGGSGRAATRRLWTRRRAGAGVRIRRWRFGRVVVMTSSSRSKRRPPRSSRSWKACRPWCRQCSRTTAPCSSRPPRSSGSCSPSCESVSGGGFAWDRGLVHAMELGILRSCCFRWCVP* >BrasyJ064500.1.p pacid=40083538 transcript=BrasyJ064500.1 locus=BrasyJ064500 ID=BrasyJ064500.1.v1.1 annot-version=v1.1 MQGEVAGGGGGEQPMQMVLRVKHPSSLSSGGGGSSEEAAEGEGSSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVESIQRRIDTVNRQLKPLSKNCVKKEKEYKEILEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY* >BrasyJ065200.1.p pacid=40083539 transcript=BrasyJ065200.1 locus=BrasyJ065200 ID=BrasyJ065200.1.v1.1 annot-version=v1.1 MATGGAPPPSAESEPVGGTSGARTDESARPLLRGAGASAWVLMVGDGAREDQAGVQTGMAAAGVQGDTAAVAVGDARPDAGVHTVTAVAAVQAGTATAGVQTGTTVVVGGRASAASGVQIGSEAVAGGRARAATGLQTGMVAAGFQGETAAVAGSGHARAASGVQFGSPAVAGGRARAATGWQSGTGAAGVQAGTAAAGIQTGTTAVVGRWESGMQDMGAGSSTQANLRMMTGVASSSVGALGGSRVSAVALPAEDDQQR* >BrasyJ064200.1.p pacid=40083540 transcript=BrasyJ064200.1 locus=BrasyJ064200 ID=BrasyJ064200.1.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTTVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRRKVMEQDQDRGITIKPDEDAKAGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVLGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKALSESMQDERESDGALATTLDVLKRIHTLFFDSDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >BrasyJ064200.2.p pacid=40083541 transcript=BrasyJ064200.2 locus=BrasyJ064200 ID=BrasyJ064200.2.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTTVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRRKVMEQDQDRGITIKPDEDAKGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVLGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKALSESMQDERESDGALATTLDVLKRIHTLFFDSDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >BrasyJ064200.3.p pacid=40083542 transcript=BrasyJ064200.3 locus=BrasyJ064200 ID=BrasyJ064200.3.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTTVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRRKVMEQDQDRGITIKPDEDAKGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVLGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >BrasyJ065300.1.p pacid=40083543 transcript=BrasyJ065300.1 locus=BrasyJ065300 ID=BrasyJ065300.1.v1.1 annot-version=v1.1 MLWSLLCCTGLRDSLDLGRVRDKASSIIIDLVWRTPELKEHMTNARGPMKPQNILPIGFGFRPRRHVKRGPIPGSVLVRHYLHKRRMLPSSTSSHSEGLSFESLIKAKKWMVDLVDTSLEAGKRRQGFSLQSVCKKGAPVGPASQTNLGTFRSPARHFGMSSETDRDSLVLKAKEWAFYLTDERLEAWPDLSQGYPFQSIRKDGFPVARVSQTIPDTLTRPAESSAISSVTKADAPSLLQVFHSLKDPARDFGISPVTNAGVIPSQATSAIWPYPYEHSLISTVTNAGALTPEAMSAIWASPSENSLISTVKNSGAHKHLSQGHCYKENACQGFCPRKNPRMELTTAGQCSNPKRQRITMESMIEGEAEDHLAKCSRVHN* >BrasyJ065100.1.p pacid=40083544 transcript=BrasyJ065100.1 locus=BrasyJ065100 ID=BrasyJ065100.1.v1.1 annot-version=v1.1 MLLLHSWPPTEERGGGAGRGDGRRKRGEARLAEEMGDGREGRRGWQRRWEAEEMGRGRGRQRRGEAGAGAGAGSPEERGRTTAGSGAAGGARAGGGGATAGMGAAGGARRVGREMRGGTVRGERESARE* >BrasyJ064300.1.p pacid=40083545 transcript=BrasyJ064300.1 locus=BrasyJ064300 ID=BrasyJ064300.1.v1.1 annot-version=v1.1 MGAATACDGNDDYISELPEALLSDILSRLGTAEAARTVVLSTRFRDAWLATPLRLDDLELPAPARGTGFSKEPWAVRADAVTRVLASHPGPVALFRLSRTTFRSRVSAAEAWFRDLAAKRAREVYLCCPPEWCHDALADPLLACPTLETLALGKCSLSDAGASATRLTELTLSETNLSEAALQSVLSGCPALRSVMLKHVHGLHRIRVRSCRSLVLLGVWHYKKLEEITVEDAPCLERVLGSVRLTAAITVVGAPKLTALGYVVVGIPYLFDGETAPQEVGKGLRAPLHSVKILAITVKFSNENDMEKVISLLELFPCLETLHVKSTDNDQECGAVEDDTIGSIYYPKCDPVRCFVSHLKSVRLECKCNHPNHSMLEFASFLLARAHVLQFMRIRSKMSGLSEWVTKQQNLLSQSHRLSLEAEVVFEGIKRRDGFTIEGVNALSDPFNGDIDISGY* >BrasyJ064400.1.p pacid=40083546 transcript=BrasyJ064400.1 locus=BrasyJ064400 ID=BrasyJ064400.1.v1.1 annot-version=v1.1 MAEQFADSANNVIIEEANKGLNPGMIVLLVVATFLLLFFVGNYALYLYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE* >BrasyJ064400.2.p pacid=40083547 transcript=BrasyJ064400.2 locus=BrasyJ064400 ID=BrasyJ064400.2.v1.1 annot-version=v1.1 MAEQFADSANNVIIEEANKGLNPGMIVLLVVATFLLLFFVGNYALYLYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE* >BrasyJ064100.1.p pacid=40083548 transcript=BrasyJ064100.1 locus=BrasyJ064100 ID=BrasyJ064100.1.v1.1 annot-version=v1.1 MTKSERIRDGGTETERETKKKRYLSPSPSGEVHPPLCEPDDRAALQHDRPEMRGVGDDQDELSSFTPKSPISRPYIPDELNDPTAYPGIVAAFNEAHDKYEAKLRRRDDLFTLAHNGELAPSCLYHHPHLHPIRESAKKAVLHAAKSVIRLSSSVDGKPLANCCGLWIKWKEESKTGIILTTSHLIRTKQTENQWEGRDEYNIKANVIVHLLDDTTAEGHYLYHQEHYDLAFFEVRVDEPVQLLSFSGSVHSGQDVFQLGRDDSMTLRITHGRVEYWDPIIIERHHCMYLSHQKEDYPSHKKKQYFLSHQNNDDYLRDCGDDGGSIIDLDGKVAGLVNKHFRKSFVPSSILDKCVDLWSEFRCIPRLHLGMRFTSIKLLDPVHVEKMWRMYKIEDGLIVEEVSKESHAEKHGICLGDVIERFNGECISTTIELENMLLGRCRDHLDQGNQLNVDIDVSIQVFHMEERLRRTINLTVKVSDGGEIVIRRTHPITEATSTSVQSSQHVADDPLLPRQILTWDDLVRRHT* >BrasyJ064100.3.p pacid=40083549 transcript=BrasyJ064100.3 locus=BrasyJ064100 ID=BrasyJ064100.3.v1.1 annot-version=v1.1 MTKSERIRDGGTETERETKKKRYLSPSPSGEVHPPLCEPDDRAALQHDRPEMRGVGDDQDELSSFTPKSPISRPYIPDELNDPTAYPGIVAAFNEAHDKYEAKLRRRDDLFTLAHNGELAPSCLYHHPHLHPIRESAKKAVLHAAKSVIRLSSSVDGKPLANCCGLWIKWKEESKTGIILTTSHLIRTKQTENQWEGRDEYNIKANVIVHLLDDTTAEGHYLYHQEHYDLAFFEVRVDEPVQLLSFSGSVHSGQDVFQLGRDDSMTLRITHGRVEYWDPIIIERHHCMYLSHQKEDYPSHKKKQYFLSHQNNDDYLRDCGDDGGSIIDLDGKVAGLVNKHFRKSFVPSSILDKCVDLWSEFRCIPRLHLGMRFTSIKLLDPVHVEKMWRMYKIEDGLIVEEVSKESHAEKHGICLGDVIERFNGECISTTIELENMLLGRCRDHLDQGNQLNVDIDVSIQVFHMEERLRRTINLTVKVSDGGEIVIRRTHPITEATSTSVQSSQHVADSVP* >BrasyJ064100.2.p pacid=40083550 transcript=BrasyJ064100.2 locus=BrasyJ064100 ID=BrasyJ064100.2.v1.1 annot-version=v1.1 MTKSERIRDGGTETERETKKKRYLSPSPSGEVHPPLCEPDDRAALQHDRPEMRGVGDDQDELSSFTPKSPISRPYIPDELNDPTAYPGIVAAFNEAHDKYEAKLRRRDDLFTLAHNGELAPSCLYHHPHLHPIRESAKKAVLHAAKSVIRLSSSVDGKPLANCCGLWIKWKEESKTGIILTTSHLIRTKQTENQWEGRDEYNIKANVIVHLLDDTTAEGHYLYHQEHYDLAFFEVRVDEPVQLLSFSGSVHSGQDVFQLGRDDSMTLRITHGRVEYWDPIIIERHHCMYLSHQKEDYPSHKKKQYFLSHQNNDDYLRDCGDDGGSIIDLDGKVAGLVNKHFRKSFVPSSILDKCVDLWSEFRCIPRLHLGMRFTSIKLLDPVHVEKMWRMYKIEDGLIVEELENMLLGRCRDHLDQGNQLNVDIDVSIQVFHMEERLRRTINLTVKVSDGGEIVIRRTHPITEATSTSVQSSQHVADDPLLPRQILTWDDLVRRHT* >BrasyJ064800.1.p pacid=40083551 transcript=BrasyJ064800.1 locus=BrasyJ064800 ID=BrasyJ064800.1.v1.1 annot-version=v1.1 MRRLVQIEMSQRKELNRARSWNDILQYACDSSRAALPKEIQENKNSRLG* >BrasyJ073300.1.p pacid=40083552 transcript=BrasyJ073300.1 locus=BrasyJ073300 ID=BrasyJ073300.1.v1.1 annot-version=v1.1 MGNSLRCCLACMLPCGALDVVRIVHLSGHVDEFTCPLIAADVLAAHPSHALTAAGSAGATRRIAIVPPDSELRRGRIYFLIPTTACPAAAPKAKAAAELKRNHSGARPPARRRGATAPATTVAAAASTAEQDNYLRELLSEKREAAAGHRRRRSSSGRAGVWRPRLESIAEEPSD* >BrasyJ080500.1.p pacid=40083553 transcript=BrasyJ080500.1 locus=BrasyJ080500 ID=BrasyJ080500.1.v1.1 annot-version=v1.1 MVDMEEIAYNDWMCGPILSDEDDDLFVPQSPDAASDVTVFSSDDDTSGTPASASWPPAEASFINHVNEARKRRVRSKQELDRAARTHRSSRMSDLEQPSRSDMTS* >BrasyJ080600.1.p pacid=40083554 transcript=BrasyJ080600.1 locus=BrasyJ080600 ID=BrasyJ080600.1.v1.1 annot-version=v1.1 MMDGANGDGVGVERYVSSGHGVLPMAWQSYTASSLAAPARRDMESFAWASVSRSTGDSAAARGGNVFPPEDGLHAPQPAAVYEHFQADSKKKRRRSDEVLGADQAKTSNGAEETERGKDANGEEEAGPAAATGRSKGKGAKEDGERRKEGYVHVRARSGQATNSHSIAEKLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLGVDIESLLAKDVCNHLKLFHPFPFFIRDERIPLTMSMLLDDRFFHFPGLPQLLPWDSHSPRR* >BrasyJ006200.1.p pacid=40083555 transcript=BrasyJ006200.1 locus=BrasyJ006200 ID=BrasyJ006200.1.v1.1 annot-version=v1.1 MEAVVSAGHGKLADLLAGKYGRIQGVHGEIQSLQSEADQHARPLKGYTMLEDPDVQVKAWISLLRELAYDIEDCIDKFIRHRELKTRIKHVKELKDSYKLSDTPCSTTDHTKVDPRLCALFAEEAHLVGIEGPRDDLAKWMVEEGKMHRRVLSIVGFGGLGKTTLANEVRRKIEGHFDCRTFVSVSQKPVIKKIIKDVISKVPCPDGFTNDIDIWDEMTVITKLRELLQDKR* >BrasyJ006100.1.p pacid=40083556 transcript=BrasyJ006100.1 locus=BrasyJ006100 ID=BrasyJ006100.1.v1.1 annot-version=v1.1 MDFVSDAHPGPYLGLTNIKSNGNGSNQVFAVELDTIKNPQFADIDDNHVGIDVNSMVSVNSNTAGYYTSNAGKFSPLRLASGEPMQVWVDYNGISHNINVSLAPYLEREPRRPLLSSSVNLTSMLANNSFYAGFSSSTGLLISRHYIIGWSFNTTGKARSLNYTALSQVIEDVKRKARNRSIIPRAILVPVVTLAALIVLVIPAVIYVLRKKAREDGEWEIEAGPPSFTYKELATATRGFSDTMLLGEGGFGKVYRGVLQNASKQNVAIKRVSPESKQGMKEFIAEIMILGHLRHRNLVQLLGYSRHKNELLLVYDCMPNGSLDRVLYGQDGQAGLDWVYRFNIIKGIASGLFYLHEDWEKVVIHRDIKTSNVLLDTEMNARIGDFGLARLHNHGTDAQPTHLAGTRGYIAPELARLGRATKATDVFAFGVLMLEVACGRHPIEVNDSGEPVLLPDWVLHAWESGAVLTAVDPRLEDYILEEVELVLKLGLLCSHSVASARPSMRLVLQYLEKDAPLRDLQPSFFNLTSRDEDFDQYILSCLSIGPTMSGLSGGR* >BrasyJ006000.1.p pacid=40083557 transcript=BrasyJ006000.1 locus=BrasyJ006000 ID=BrasyJ006000.1.v1.1 annot-version=v1.1 MALTATVSHASGALPRRRHRHHRRAPPPSTRLTTPSLPTASSPATTTLDRVLADLESNPRLLTPALLAPLLAALPLHHAPRRRLAVLRGLLPVSLLRRHPELSLRLLHLHASLGLLAYAHHIFDHLLPEQARRDQAFPWNCLLAGYAHLGRHGDALAVYLQMDEEGVPRDRFSFLCALRSCAGAGAGAAAEIGRAVHRDAVRAGLADDVAVCDALVETYAGCGDLEMARKVFDAMPDRDGVSWNVLLAGFLRHGPLPSVRAMEVWRRMLGEGHDPDPVSLSTMLSLSSVQPGNCGKLGPEVHAWVIRHGLETELSVANALVEMYSKKNELGHAVSVFESMAVRDLVSWNAIISAHRRNFGVLMVFRRMVDSGTRPDETTFAAVLSACEDLGLVEGGTRLFSEMENEYRIQPALEHYTCVVNMLGKAGLVNEAYEFISKRRLPYAWW* >BrasyJ110300.1.p pacid=40083558 transcript=BrasyJ110300.1 locus=BrasyJ110300 ID=BrasyJ110300.1.v1.1 annot-version=v1.1 MTGQIDVTMIIILEMRGRGLGGHLVASCDKPPFCYTCRTSGHKSNKCPHKKGLRVCGHGFRGQQFYSMHIPLSKCNKEGVVLMKIEKGDTSIDIIDTEMVNFFEGEKSWKIKKMDEPKQFMISFPDEAMIRPLTKLKGFKFQTANIEATVLPSNMSYGATHRLHVVWVQVHNVPDFAKLEDCIIHMAHLVGDPIEVDLTSLKACGPVRVKIGYVNPRIELEEPIYMNGDCHIIRFEVEKCDGSNNPPFTRTYLQDDKTNTSTKHTDKKQKKDGDVNEARQGNAKVKHKVGDDQTMGVQMEVTVPKDKAVPEDKATGLVETMHYDGHFSNFYGSIF* >BrasyJ110400.1.p pacid=40083559 transcript=BrasyJ110400.1 locus=BrasyJ110400 ID=BrasyJ110400.1.v1.1 annot-version=v1.1 MRATPGRLVPPFPPPHSRRRHRRLRPKKKAMPPKRYAAPRSTASGDAATKKPREKELPPGMSNADWAADCTRRSVENAVRRGREKKTKEGNAALARQMDAQRVAASVQMAATKAGMIAPRPPTGHHWSSGSQGSSSSSPSPSGISPMSPHMPHDTPSFSVFSPDYPDTDPLGGFNPNTFAVDPLAGFNPNVFASPPLRRGPLSYGGYSPSASFQQFPAGYSQPTPNPFDGMSQGDSIMADMISDGFQHADYTYTQEEEAYAGEDAEEREEWADETEELAVAEPRGKKKAAAEKKKAGGGGRGPKWTSKEDECLVEAWKVMSMDPLTGANQNADTYWSLVKTAYDERRVIDREFVMLAHDRNESGMSHRWKMIQKAWNK* >BrasyJ051000.1.p pacid=40083560 transcript=BrasyJ051000.1 locus=BrasyJ051000 ID=BrasyJ051000.1.v1.1 annot-version=v1.1 MARSSFKLEHPLERRQAEATRIREKYSDRIPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLLL* >BrasyJ050900.1.p pacid=40083561 transcript=BrasyJ050900.1 locus=BrasyJ050900 ID=BrasyJ050900.1.v1.1 annot-version=v1.1 MKIVSWNCRGLASRPATRALLDVQQQWNPDAFFLSETHLNEAKSPTDPDWRLTGLYGEPSWEDRHRTWEYIRDLHARVQMPWVMIGDFNEILYSSEKEGGNPRRTSMMEDFRNCLAECGLEDLGFQGDPFTWRRGRIRERLDRAVCNADWAGIFTGAVVVHSEHIKSDHRPLVLKTEDGEAPSLTRVPGSKFFEARWLKEETVDEIVRTAWVRASLAPNFAARANSVHVDLHAWDRTILKAPQKRLKELKRELEQNRAGPISDESIFRQKQLQVMIENLMEQEEIYWSQRGRTDWLKHGDRNTNFFHHSATARKKRNHIKRLLDEDGTWKEGTDHLGL* >BrasyJ113900.1.p pacid=40083562 transcript=BrasyJ113900.1 locus=BrasyJ113900 ID=BrasyJ113900.1.v1.1 annot-version=v1.1 MTTMTRLYFLLTMLLVIHLVLILTSGSDTPAGKSGELYSKGCTYDPNGVLISCPPIDSGPGCNRPPC* >BrasyJ113800.1.p pacid=40083563 transcript=BrasyJ113800.1 locus=BrasyJ113800 ID=BrasyJ113800.1.v1.1 annot-version=v1.1 MSNADWVADCTRRNVENAARRGRSGEEGQGKRRRPGPADGGAEGRGEEEAGGLVDSDMRHHRHGRRGEGVVRRPAQAHFGRSESAGVGARHSSTAHLYSIGAITARNSNYGHFYTTGGLGSAINAGG* >BrasyJ114000.1.p pacid=40083564 transcript=BrasyJ114000.1 locus=BrasyJ114000 ID=BrasyJ114000.1.v1.1 annot-version=v1.1 MSVIFFFSVSGVAARRTRPHDAAPQACTPPPRELPGRLPRPCSLPVSRRSRLPASAALPLPLAHELPPPLSREPPPLAPKSRPAPVVFPRAAAAACPRAPRPLAREPPRGRKRAPGEKIRRQGQGRIRSSGVGRTKEMAGTWRPCPLSGVMQGRTGIEQEGEGLRVPVHSERNGERNN* >BrasyJ088400.1.p pacid=40083565 transcript=BrasyJ088400.1 locus=BrasyJ088400 ID=BrasyJ088400.1.v1.1 annot-version=v1.1 METNGKGYLNLVNNQDPLICKLYLKIKRSNHNGDKQNYSDYTKRTICTKYGKRNPSDRRKDTHKRTQDPTGGPTNMESYSNKRLQPFRNGRPNSHVKGR* >BrasyJ088300.1.p pacid=40083566 transcript=BrasyJ088300.1 locus=BrasyJ088300 ID=BrasyJ088300.1.v1.1 annot-version=v1.1 MDTVVISSLQEAEGATVGRTINQMSLQIDFETPLKDAADIKCKTRAGTLGFQVVNPELLDCKCMAKNELEKGFDLMLQRWMRDCAQEINAVDLLIATLKANLAIPDENLPQRGPPLAERNRGIHQCIYLQSRCGPHPSYDFGAPPPGFRPPYGTEGERLRAHERDIESQKAWWKLNLSFLEAKKKALETKARVLEKEVRIIMHNAMESRSAVGAGYVNYEFLHT* >BrasyJ088600.1.p pacid=40083567 transcript=BrasyJ088600.1 locus=BrasyJ088600 ID=BrasyJ088600.1.v1.1 annot-version=v1.1 MAKRSLNSEQHMHGTTAKKKRSGLQLSNLPTDILCSIMSKLPLKEAVRTSILSQQWKYRWCCHSNLEFSLTSMLSSHRIGGPITADSGNLMKQEFIERVDAVLKQHSGLGVENFRVWVPFNNENSDQIERWVNFAVASKTKHLTFNFSSSCYVGKPCIFDLRLLDGSDSLHLQYVELSSVFLQLPVGFKGFQNLRRLHLADTTITDEDLQLLMSNCNVLEFLRITDCSMLTRIHISHPSNPLKHLQVHKCRSLQVMELNFGLITLEYSGPSIPLSPPGTLLLTKISMKPWDSCTALEYIFTELPSTVPRLETLTLKCHEVERATLPEKHPKFVYLRHLRLELTSGALGKKVDALDFACLLVAAPFLEKLEFHMWRMVSVNQSYGYGQQLRSIPSQPHCHLRFVDITGFYGQKDQLELALHILRNAAMLEAMKIDPKPSIAAEYGQMEGLFFLDGYQVARDYVLREDKCNVVYIKHVPRKTIEAQFLSGSFRSKLVSEQKAKWASN* >BrasyJ088500.1.p pacid=40083568 transcript=BrasyJ088500.1 locus=BrasyJ088500 ID=BrasyJ088500.1.v1.1 annot-version=v1.1 MDQGNRGTGSGGAQPRRQVLHPRLHAAHQGRRHWGTRGERRAIRLSVAERGRCGGGHESGEGNLDLVEGGTFLRGGRAEVVVVLKSPCRGALWACLDSLHAAGCIAAGLQHAGSRRGGCEQSLQPPVWLPLHPEPSSSSSPRRTPPHQFLRRSPPQAASQEGTRRVALGLRGWRRGGTQRKGRRRGQRSSTSGEEPPVAGFWAGTADGGGQHPAGGEGEARVRWSCCCRHAVATVASSRLDGTHRSTEISLGTRTHHPFKGTH* >BrasyJ088200.1.p pacid=40083569 transcript=BrasyJ088200.1 locus=BrasyJ088200 ID=BrasyJ088200.1.v1.1 annot-version=v1.1 MERASLLSPEIESKHRAARLQVNPRSVEPIVTRTPNVNWMIHPAWVQRLKWSGLLPFARLVEATRAEMVEGERRGTNSFRLQMDHSLLSCLLIILFS* >BrasyJ088100.1.p pacid=40083570 transcript=BrasyJ088100.1 locus=BrasyJ088100 ID=BrasyJ088100.1.v1.1 annot-version=v1.1 MPPPAPCCGHMRSLQLLPKTLVACCDRPRRGTTLLPPTTTADDRPTTRCSLEAISLSYKLHCFLLWQVLLHQTIRLQVQRSFSMEGEELLILPLVSCLCLSAK* >BrasyJ088800.1.p pacid=40083571 transcript=BrasyJ088800.1 locus=BrasyJ088800 ID=BrasyJ088800.1.v1.1 annot-version=v1.1 MTTNGDPAQAQQQQAAAQLQAQQQIEAQAKAAATMQAQALVAAQEVARSAAAAGVNIDAAGLVTDFNKLINKEQPTQDTLPMPGS* >BrasyJ088700.1.p pacid=40083572 transcript=BrasyJ088700.1 locus=BrasyJ088700 ID=BrasyJ088700.1.v1.1 annot-version=v1.1 MFSGVDIGIIFWADGAADVAKDEPDSDGCTGQTYDRQCIERWLADGHRTCPVTMQPLGDAAPIDLVPNRTLRHLIDRWLSAATDHRLPESAADEEEPSLAALKRCLLLQPDAAKVVGALKKILALASESDVGRACMLQLGFLRALLPLVFSHHAECRAEQAELALRCALSLMPTNPAAPELECLNVLKREPCLASFVRLLERGSARARAGLCRILETIATAAATRDDLALLVAASPRVWRALLMPLLASEANPEPEAEAAAVRAVAAVVRASEPARGSAVSHGAVLCHIGSPVSPDMWARLVSSAVKREVCGIWAAKQRLRRIWIWRSAAGQNHRIVGELKSQTEVS* >BrasyJ020200.1.p pacid=40083573 transcript=BrasyJ020200.1 locus=BrasyJ020200 ID=BrasyJ020200.1.v1.1 annot-version=v1.1 MGNSSSAYFVYQKTYRVVNLSSPFKRLGKQLSWYAFWLYMQLKQMYSWLKVCALWSILRILILRSPFRK* >BrasyJ020000.1.p pacid=40083574 transcript=BrasyJ020000.1 locus=BrasyJ020000 ID=BrasyJ020000.1.v1.1 annot-version=v1.1 MDTEFIATAPRRASSALPLSVAFARRPPPPPPPVPRQHPLPCGVPCGRRRRASRSICLRPQGRPRSFLRSRRSPRSRPDRQDRGGNGLSIISISDKEYRQLGCHHLLVDGLLSKEMGAK* >BrasyJ020100.1.p pacid=40083575 transcript=BrasyJ020100.1 locus=BrasyJ020100 ID=BrasyJ020100.1.v1.1 annot-version=v1.1 MIHMHLSLIHTYTFGWSLGKEKLQSEKLGVHHAAGRIYGQLIIFPSSKQNAPVTQDRSADTWADMPHHSIISTQVNQQPEVRDEYILPMKRGHLYLELEREPLVDHNIHRSGVSLYGRDPALSALRPSGMHSVGPLLTQITQTMQIPLTYAEDIIGVKGANITYIRANSGAVVTIQRVWKS* >Brasy4G133500.1.p pacid=40083576 transcript=Brasy4G133500.1 locus=Brasy4G133500 ID=Brasy4G133500.1.v1.1 annot-version=v1.1 MPFMGQRAGSYICSELCVSLVNLRLYSSRVDWKQLRPMILKRIKNRSKDYPIKRMIPVAEEVIRAREILTEGVSTLLRVVPVHSCKFCPEIHVGAEAHQMKTCHGFKCMIKDRPHTWGLGCLNNILVPVEAFHLENMFQDEIKHDQRFDFNRVPAVLELCHQAGAEIPDGVLYRRGELSTTAKSNSQNPAPCHWMNLDDRSENTGSMGKAPIRCYKTPIGLPIQSVRELF* >Brasy4G192100.1.p pacid=40083577 transcript=Brasy4G192100.1 locus=Brasy4G192100 ID=Brasy4G192100.1.v1.1 annot-version=v1.1 MCGVVGWSIENRTWSSLTRVRKFTRGGNPDPDRQFSHSPTPYPDLSLCRLPWLLRRPSLSPPPPLRQVSPSPTPPPPIFLSPIRRSSAAGEGSEIRRRAWLLRPRPDGLICSAAGEGSKIRRRHWFLRPRPDGLLRRPPLPPQLASGEAHEKVDPTGPRMPWQTVRSAAKDGGAGWVEGGGGARWGRTAPRAGSRAVPARAEARARGRGAAPRAGSGRPTLGPGCRRGLGVGWRRRALRRGSGAGRRRHELGEIGAAGWCRAAAARAEARARDRAERLRAGRGRRRRQRSATLRRSAV* >Brasy4G365600.1.p pacid=40083578 transcript=Brasy4G365600.1 locus=Brasy4G365600 ID=Brasy4G365600.1.v1.1 annot-version=v1.1 MSEQKDNVSKSSCSSISTSTQGSEEDVTVGAIVTEPKNNGRSLGKRLSHLDSLPHTPRVNGKIPDLTFASIDHVTLMERLGTYGLAEYQIEGDGNCQFRALADQIFRNANHHKHVRKAVVKQLKEYRKHYEGYVPLDYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRDVAPTRELWLSFWCEVHYNSLYATEDLPTLKAKKKHWLF* >Brasy4G365600.2.p pacid=40083579 transcript=Brasy4G365600.2 locus=Brasy4G365600 ID=Brasy4G365600.2.v1.1 annot-version=v1.1 MSEQKDNVSKSSCSSISTSTQGSEEDVTVGAIVTEPKNNGRSLGKRLSHLDSLPHTPRVNGKIPDLTFASIDHVTLMERLGTYGLAEYQIEGDGNCQFRALADQIFRNANHHKHVRKAVVKQLKEYRKHYEGYVPLDYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRDVAPTRELWLSFWCEVHYNSLYATEDLPTLKAKKKHWLF* >Brasy4G365600.3.p pacid=40083580 transcript=Brasy4G365600.3 locus=Brasy4G365600 ID=Brasy4G365600.3.v1.1 annot-version=v1.1 MSEQKDNVSKSSCSSISTSTQGSEEDVTVGAIVTEPKNNGRSLGKRLSHLDSLPHTPRVNGKIPDLTFASIDHVTLMERLGTYGLAEYQIEGDGNCQFRALADQIFRNANHHKHVRKAVVKQLKEYRKHYEGYVPLDYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRDVAPTRELWLSFWCEVHYNSLYATEDLPTLKAKKKHWLF* >Brasy4G416600.1.p pacid=40083581 transcript=Brasy4G416600.1 locus=Brasy4G416600 ID=Brasy4G416600.1.v1.1 annot-version=v1.1 MKPSAIAIAADEEQHRHPWPVVVHRRGRVAAVLAPLLLFLAAALSFPHSSSLLRPLPAPSSSPSSPTPAVTPGGGGHGGRVAVCLVGGARRFELTGPSIARHVLRPLSSAAGAPVDVFLHCPLDGDAYKLSLLGRATGGGNGATVAGVRVFKPEPVEETPERARVLTAHNSPNGIQGLLQYFQLVEGCLDMIRERESRGNFTYASILRTRVDGFWTASLDPAFPSAASDDGGGNDNNSFYVVPEGSTFGGLNDRLGFGPRAASNAALSRISMLPLLDATGRRELNSEAAFRAQLNLTGVVAVERRMPFCVLSDRRYAFPPTAGYGVPVASIGSKGPLSGAKCRPCRRPVSPCPATRDGAGAGGEDDEEECPVMEGLERGWGWTEWRPSGTMELCDASGEWEEGWQEAFDEVAGKEAAKERRRVAAMGVEECVVEMEAFRARALWWDAPSSEEICRLGLGGSKPASSRRLGPSTPSASSSSSSSETTAKNN* >Brasy4G078600.1.p pacid=40083582 transcript=Brasy4G078600.1 locus=Brasy4G078600 ID=Brasy4G078600.1.v1.1 annot-version=v1.1 MEGEEDEGDDFLQVLRALRDAARRVESGDDCGPALRALLALEASADHLLAGDPELSALRRLLSRIRALSWFEDGGGGGGGGFVGELRARWRRCEKRRAIKRAAGAVAGEIQAWADRELVARLVGALRGDGDAAANARARALLRELEARLLGRFDPRLQDALLRGGVFAAVEARLGDGDPGGVGDGCASAVLALVRFNKDVFVGPTLMGPAVGALVSAASSGSPPPARALNGLVAAVRGPLVDELHARGELPRLVALLCAADPRVRALALELALRIGFYCRREVLDALLADGLVKRLLCLQRSDLGGSLTDPATSCPDSKPGGGPFAALLDWRQQRREEDDDDRLLSSRRPFVSAVARFAVQVEIGQGLSQREKRAAKLEILRRVREAAVSPAEEATVLAEVLWGATP* >Brasy4G209200.1.p pacid=40083583 transcript=Brasy4G209200.1 locus=Brasy4G209200 ID=Brasy4G209200.1.v1.1 annot-version=v1.1 MMASPAFAVVSGAGLGGAPAPRRSGAADRRRRGVQLPSLSLLFGRDKGSCFPHAVRVASSGRRVVMRVGGVSGEVTIPDGKSDGMLSSDGSAQFQSDDLEVPSIDDELSIEGGDEGNESSETDQGTEESNVEGMNKMAKESATGEKLRVIPPPGNGQQIYEIDPTLKAYKYHLEYRYSLYRRVRSDIDQHEGGMDAFSRGYENFGFNRSAEGITYREWAPGALSAALVGDFNNWDPNADRMSKNDFGIWEIFLPNNADGSTPIPHGSRVKVRMDTPSGIKDSIPAWIKYSVQAPGDIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGISSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLVVLMDVVHSHASSNTLDGLNGFDGTDTHYFHGGARGHHWMWDSRVFNYGNWEVIRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFSGDYNEYFGFNTDVDAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQVGGVGFDYRLHMAVADKWIELFKRSDESWEMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPNIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPSGKFIPGNNNSYDKCRRRFDLGDAEFLRYHGMQLFDEAMQHLEEKYGFMTSGHQYISRKHEEDKVTVFEKGELVFVFNFHCRNSYFDYRVGCLKPGKYKVVLDSDAGVFGGFGRIHHTAEHFTSDCQHDNRPHSFSVYTPSRTCVVYAPMN* >Brasy4G311900.1.p pacid=40083584 transcript=Brasy4G311900.1 locus=Brasy4G311900 ID=Brasy4G311900.1.v1.1 annot-version=v1.1 MDQASTAGASDKPAAAASNDSWRRSASGDVPATAGGGCFDCNVCLEFAVEPVVTLCGHLYCWPCIYEWLRRRGYADDRSVSTRQPCPVCKAALTPDSFVPLYGRGGVRPKKPRPCGPAIPRRPAVHREAVEQRSARHVDAESDPPTRPPRDDAPLDVLYPPPVPLGRGMNVMHSAAGGTALAALTWFFRGQVPPPYYSSPYHLAAWENRSPRLRRQHMEVERSLREILFFLLVFVVLCLLLF* >Brasy4G311900.3.p pacid=40083585 transcript=Brasy4G311900.3 locus=Brasy4G311900 ID=Brasy4G311900.3.v1.1 annot-version=v1.1 MDQASTAGASDKPAAAASNDSWRRSASGDVPATAGGGCFDCNVCLEFAVEPVVTLCGHLYCWPCIYEWLRRRGYADDRSVSTRQPCPVCKAALTPDSFVPLYGRGGVRPKKPRPCGPAIPRRPAVHREAVEQRSARHVDAESDPPTRPPRDDAPLDVLYPPPVPLGRGMNVMHSAAGGTALAALTWFFRGQVPPPYYSSPYHLAAWENRSPRLRRQHMEVERSLREILFFLLVFVVLCLLLF* >Brasy4G311900.2.p pacid=40083586 transcript=Brasy4G311900.2 locus=Brasy4G311900 ID=Brasy4G311900.2.v1.1 annot-version=v1.1 MDQASTAGASDKPAAAASNDSWRRSASGDVPATAGGGCFDCNVCLEFAVEPVVTLCGHLYCWPCIYEWLRRRGYADDRSVSTRQPCPVCKAALTPDSFVPLYGRGGVRPKKPRPCGPAIPRRPAVHREAVEQRSARHVDAESDPPTRPPRDDAPLDVLYPPPVPLGRGMNVMHSAAGGTALAALTWFFRGQVPPPYYSSPYHLAAWENRSPRLRRQHMEVERSSVWCT* >Brasy4G114000.1.p pacid=40083587 transcript=Brasy4G114000.1 locus=Brasy4G114000 ID=Brasy4G114000.1.v1.1 annot-version=v1.1 MSRTLDPKSETMETQTDVAAGGGDDLATMREQCRSLEEAIAFRREAQLGLVSSLQHLVPDLVPSLDRSLRLIAAFNNRPFTPTPNPNATNAQNPSLKPQHRRAIPDPARSTRRKTSPGSSPASAAVGGAAPGGLDAVRSMVAACLLELAPFAEIDAAALSRRLQAEPSSASDAERAALSDLATELGGSVHAAVTLALRRIAEDTGGVQIDEAMIGGKQMMMVWAIDRIKLLKELPESVTLLPVQPPATPQATSSETDTSSAIIPRPPPQQQQPPPDMWAHSMPPIFPRPRGMAMAGMQRMPPGLMPLQRPFMSTSGVIQMGGGSGPSPTQQKQRSDEDDLKDLEVLLSKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQVKEYCTNLTKEDCRRQSGSFVPCDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDHTPDIPPMMAGALAPHRPVKPHRAEYCSEIELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQVSGTRLVDEGLRARYKAAYPDFDVQPPSPPRASAPMDVDQSAPSQKPVVSDGEKPA* >Brasy4G113200.1.p pacid=40083588 transcript=Brasy4G113200.1 locus=Brasy4G113200 ID=Brasy4G113200.1.v1.1 annot-version=v1.1 MDAAHWHQGLGLAKPMEEMMMSTGNTNHNAANPNNQPPAPPPSGPESAQRAPAPSAPAAAAAAGGAERKARPQKEKAINCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSAAAAVSTSAAAGTVPAANKNPKLMLQHEAGGGGGAHDLNLAFPHHHGRVLHPSEFAASFPSLESSAVMAAANGGGRGGMHQGAFSAMELLRSTGCYVPLPQGMQLGAMPPEYGFALGPEFRMPPPPHQQQQQQVQNMLGFSLDTGAGGGYGAGAQESAASGRMLFPFEDLKPGVVSAAGGATGGDQFEHSKADQGQGQGQGGNNSGGHETLGFWNNSMIGNGGSNDAGGGGGGGSW* >Brasy4G412100.1.p pacid=40083589 transcript=Brasy4G412100.1 locus=Brasy4G412100 ID=Brasy4G412100.1.v1.1 annot-version=v1.1 MQVHAAGPICSMSEPRSSPSSSGASHHREEDAGALLRHIARSGRRRRLAMRGRWSSRISKAKTVLASSKRGAALGGQGGGGEAQQRRRKRRRRSSGRREARRGFFSCGAESEAGRRCELEVGVGRRSREGESRAGARLRGAGGCPDDGGEEKRRPRCPAWCHDGTDRWGAPVSFTVKTHSTAKSVRGSTSGPKNGGNLK* >Brasy4G331600.1.p pacid=40083590 transcript=Brasy4G331600.1 locus=Brasy4G331600 ID=Brasy4G331600.1.v1.1 annot-version=v1.1 MIHLKQPLTLSAQSGNVGSTPLFATAAATSSQKIRRARRRAAARRTTIRCASTEQAVGVSTVTTTERALTVTATVTAQAPIGDVYVARGIDDLQDLLGKTLLLELVSSELDPKTGMERERVKGFAHMTITDGVYEATMSVPASFGPVGAVVVENEHHKEMFIKDIKLVTGGDESSAVAFDVSSWVHSKFDNPEPRVFFTVRSYLPSQTPPGIEALRRKELETLRGDGTGERKFHERIYDYDTYNDLGDPDKNIEHLRPVLGGKEHPYPRRCRTGRPKTLCSPETEKRSSPVYVPRDEQFSDVKGLTFSATTLRSGLHAILPALSPLINNSRCFSHFPAIDALYNDGIPLPVDVAGTSIDAIGGIIPRIVRMIEDTTEHVLRFEVPQMVERDRFSWFRDEEFARQTLAGLNPICIRLLTEFPIVSKLDPAVYGPAESALTKELLEKMMNGTNAMTVEQAVEAKRLFILDYHDVFLPYVHKVRELPDTTLYGSRTIFFLTDEGTLMPLAIELTRPQSPTKPQWKRAFTHGPDATDSWLWKLAKAHVLTHDTGYHQLVSHWLRTHASVEPYIIATNRQLSRMHPVYRLLHPHFRYTMEINALARESLINAGGIIEEAFWPGRYSIELSSVAYAATWRFDTEALPEDLVARGLAMRGDDRELELTIKDYPYANDGLLIWNSIKQWVSDYVKFYYKSDEDVTGDKEVQAWWEEVRTKGHADKKDEPWWPVCDSRDNLVQILTIIMWVTSGHHAAVNFGQYHYGGYFPNRPTVVRKNIPVEENKEDEMKKFMARPEEVLLQSLPSQMQAIKVMATLDILSSHSPDEEYMGEYAEPAWLAEPMVKAAFEKFSGRLKEVEGTIDERNNNTDNKNRCGAGIVPYELLKPFSGPGVTGRGIPNSISI* >Brasy4G039100.1.p pacid=40083591 transcript=Brasy4G039100.1 locus=Brasy4G039100 ID=Brasy4G039100.1.v1.1 annot-version=v1.1 MIFFFSASVNSPLANSVPKNTAAPPPSKQPFPFRERPPLDDGSGSLGDLSSFPMKPFFLCTEVDGLLSSFSLLSAPACPLHARPPRGPLRRLRVYSRPPSWSPPLVAPLRPSPPMPTRRPSPPARRQPRGLRNDRRGGEGSVDEKVAAPTGGAKEKIRASRSRRRAQGSARVKVDLGRWRHPWRAEVAASQARKAEAVFVLLIFTLPRHRERGERAREATYGRWGFARAGADETYGSRDNHHQLQFNI* >Brasy4G380600.1.p pacid=40083592 transcript=Brasy4G380600.1 locus=Brasy4G380600 ID=Brasy4G380600.1.v1.1 annot-version=v1.1 MNKALLGKWLWRLVNSTGLWQKVLRERYLQKDCVGGVKHKYGDSMFWSGIIHVRDDFFKFCKFRIGNGEGTRFWEDWWVGDKPLKFQFPALYEICFNKKTSVATVIGSRGASEVFRRTLWGDLLLDWQKILEFCNRIKLSGRDDKIWWSLAQNGQFSVSSFYKKL >Brasy4G169500.1.p pacid=40083593 transcript=Brasy4G169500.1 locus=Brasy4G169500 ID=Brasy4G169500.1.v1.1 annot-version=v1.1 MAEPEVEALRKAYAEIMLNTAKESAARVLAAEERAAVLEGRVAAAKEDGVAALVRLKALMEARIKEVESKSLAQVRKINELQDQLHGAQNTVASLQVELQTANTELEQTRTTLAEERINILPTCDEMDSNKNRSSCSKMHIQSRSVSLKNKNTTDDICHVPTSAENGAAENGENVRHRGTDVSSIGRNKKSKLYRYGCTQRIRALKRRSPRADSSEQNGMQASVLNIRSKMGKNNTARNTRRTIMEQILETEFQGNCKRKRGQRRRPSYKHDSSEVHGKTEYTSSDASDKDGCLQLLKALEQDLSPPNMFTRHSGEGLTDRKDDFLIGGKDAPALIDAPALSKMQIVRRKRSKTVRISDDGYSLSKSVPGNTLRTSTNKNAIFKSEQTSESIDNHSDTPARKNASGLSVGTENLMHPSDDTENLLYPSDGTENLTQPSDATENSMHPSDHIENLMQSSDTTESLMHPNVASTGQFESGNSSPLVLQSTKRQIDSEGELRVDHPKCRTPETNSAGWQEVKVDESCNLALDRAGPSAVISLDKEQNAMASGVSVQAGGARRIKYTFNRRKRKSLSLDSTPQGAIPEKGSSLVNLADKQESQALAGKSSNLVNLADKQESPQDDSELVHVAQQLVLLSELKW* >Brasy4G380200.1.p pacid=40083594 transcript=Brasy4G380200.1 locus=Brasy4G380200 ID=Brasy4G380200.1.v1.1 annot-version=v1.1 MDTPPKKKKNPRDGHRSRRRTAATTISSVNRAATQRAKFGRRYFPGRRLLPVWLASCKDYGSHTCKQLQAPSSSACHSLCLGV* >Brasy4G424000.1.p pacid=40083595 transcript=Brasy4G424000.1 locus=Brasy4G424000 ID=Brasy4G424000.1.v1.1 annot-version=v1.1 MAAEWNDDNTRILTELFVIQVHAGNRPSTHLTPNAFEDVAKDFKARTGLEYTKAQLKNKWDKLKIDYNIFKKLKLRETGGGWDIERNTVKQDAEWWRKAKIDIPNCGKFKKWGLRNEDNLEIMFSDITSDGTDHWNPASGSLPKSSEAAASILNVDAIEDLDLDMIEEEAPPSAGKGKRLGRWIDDKSKKPKTCQVMQDQIIKIGDIAERTQTSLESFIKEDDTTSVKYVMTQVVACGAAEGTDEYFIATELFVKREQREMFMQMSEASRFDWLKRKFRIKYGG* >Brasy4G015800.1.p pacid=40083596 transcript=Brasy4G015800.1 locus=Brasy4G015800 ID=Brasy4G015800.1.v1.1 annot-version=v1.1 MIQIFQRVATRITRRVVVSAPNRRSPILHREEFSCWNLTSQGIISTNVSMRSDENLQAQDATLAEGKNHSCSPGVQSTPDIEKKYVHCVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVVLDAGCGNGKYLGFNAECFYVGCDISPQLIEICAGRGHEVFVGDAVNLPYRENVGDAAISIAVLHHLSTEDRRRKAIEELIRVVKRGGLVLITVWAVEQEDKSLLNKWTPLCDKYNEEWVDPSSPPVRNQSATVLDSIEENDEDTGITKQTDDEMKRRYDCLDDKTTALCTNSIDEHDKTQQEYFVPWHLPFHRAEITGASAAALQNGFAKKDDKKGNVVYNRYYHVFVEGELQRLVAGMKNAAIADQFYDKSNWCIVLEKL* >Brasy4G031200.1.p pacid=40083597 transcript=Brasy4G031200.1 locus=Brasy4G031200 ID=Brasy4G031200.1.v1.1 annot-version=v1.1 MESDHKVHAALIRVSATTLNFFPAPASPLLWRHGGYLAAAGLCPLPPSLPCFAAAGGDASEARHAQGRGRRGGLPRLLGGARGGGRRAWRCARGWFRGRRAAAGASPSVALHGGSVEVGAGSVCGRRIRGGKRRIR* >Brasy4G041500.1.p pacid=40083598 transcript=Brasy4G041500.1 locus=Brasy4G041500 ID=Brasy4G041500.1.v1.1 annot-version=v1.1 MKNRKGRRSRNKGLSMQKATCNEAATSNGDRFSTLPDDILLNVLERVETLDALRTCILSKRMLKLPTMLSHIVVDIGSLVYHRRSRGLSFRDLVRINGAVADVTENILSTRSPEIPIRKLKVRFVLRHYDFLSVVRSFARAMATQKVEKAEIEIMTEKVSYDYCTPADLLDSAKQFNTILDACPDAFAGLTRLWLQNMTFGELDIPNILSTCKRLQSFRLTYCDSGTRSVLQIEHDQLIELEIDYGEFETVKLTYLPKLQQVSYKNWCYEDQPLSFGFVPQLSKLSLTKTGVRSDRALELSQVLANFPSISELHLDFESEKIWVLPECPKLLAPVLGKLRLVDLDKLPEGCDIAWTMFILQAAPCLKELCITVWDHWCSMVTDKERRKAEGYCDKVNVEWKPSVSGFRHMNLAKLTIYGFQPDDNFMQYIRCVLEAAVNLEEISLHDKKACKRCKDLDSKNKDSPIRYPQNDMERKHIVEELGTAWPAVVHFRS* >Brasy4G298600.1.p pacid=40083599 transcript=Brasy4G298600.1 locus=Brasy4G298600 ID=Brasy4G298600.1.v1.1 annot-version=v1.1 MKGLGNLNLSFNNFDGEVPNDGIFLDLNAITIEGNHGLCGGIPGMKLPPCSTHTTKKLSLKVILIISVSSAVLLLIVLFALFAFWNSRSKPQQANKDLSLIDDLHIRVSYAELTNATNGFASENLIGVGSFGSVYKGRMIIQDQHVIVAVKVLNLQQRGASQSFVAECETLRCVRHRNLLKILTVCSSMDFQNHDFKALVYEFLPNGNLDQWIHKPPEENGEDKVLNLTRRLSIAIDVASALDYLHQHRPLPVIHCDLKPSNILLDNNMVAHVGDFGLARALHQDQSDLFEKSSGWATMRGTIGYAAPEYGLGNEVSILGDVYSYGVLLLEMFTGKRPTDSKFGEALGLHKYVQMALPDGVINIVDHRLLSEDMDGEERTSNPGRGDRAIACITSVLHIGLSCSKETPTDRMQIGDALKELLTIRDKFRINSLSSDEVASN* >Brasy4G437300.1.p pacid=40083600 transcript=Brasy4G437300.1 locus=Brasy4G437300 ID=Brasy4G437300.1.v1.1 annot-version=v1.1 MATSATFSSSSSSSIPSPPPRRRLAPATFRSSSPCPIPSSSGILCTVFPFSPRARPIQQQQQQQQGRRRPPWNAKRLNHHPFTALLLAARGPRSCTSGDGYAFVEEGDGVAAAGGRVRPETPFSAAGFFSRMTFRWLDPLIVDARRRRPLADADVPALGAADRAGTNYAAFSDALADSPGERPAAVLRAIFGCYKGEIAVSGLFALLKVLSSSAGPLILKAFVDASSSSSPAAAGFGFGRREMCCLLAMALLLCKCLESLAQRQWYFRTRRVGIQLNSLLSAAIYRKQQRLSTLGRTKHSSGQILSYLTVDAYRIGEFPFRFHQTWATVLQLGIALAVLYNMVGPATIASLAVIMLTVLVNAPLAKQQHRFRSELMKAQDMRLKAMSESLANMKVLKLYAWQDHFKKVIQGLRESELRCLSAFQMGKAYTSVVFWASPALVSAATFMACYFVGAPLNPSNVFAFVAALRLVQDPINRMPDVIGATIQARVSFTRITEFLDAPELQDIPSGRKHSGEHDQYSILIKSASFSWENNSDKPTLKDIDLEVKSGEKAAICGEVGSGKSTLLRAVLGDVSMTEGKIKVCGRIAYVSQNAWIQKGTVRDNILFGSAMDKLKYEETVCRCSLIKDLRMLPFGDLTQIGEKGINLSGGQKQRVQLARALYQDADIYLLDDPFSSVDVHTATSLFNEYVMIALAEKTVLLVTHQVEFLHSFNSIQLMCDGGIKLSGSYKELLATSKDFQELVESHKGVSNPISMAYDKRTNHKPAVEISGIHIGRRVDEAMKHAEWDQLIKKEDREISHTGLRPYLQYLFQNKGYVHASLIAVTNLLFMSGQVAQNSWLAANVQNPNVSTLRLVMVYVTIGLGSNIFLLFRALSAVGLGLQTSESLFSHLLSTLFRAPISFFDSTPLGRLLSRVSTDLSIIDLDIPFSLAFSISATLNAYGNLGVLVFVTWQVLLVAVPVLLLSAKLQRYYLIFAKELMRINGTTKSLIANHLGESISGASVIRAFGQEDRFFAKMLELIDNNASPCFHNFAATEWLTLHLKIMSVAILSSSAFAIALLPQGTFTSGVVGMVLSYGLSFNMLLVFSVQSQCSLANQIVCVERLSQYMNVASEAPDIIEDNRPPDDWPSMGTIELVDLKIKYSRDAPLVLHGITCTFRGGDKIGIVGRTGSGKTTLINAFFRLVEPSGGKIIIDGQDITKIGLHDLRSRIGLIPQDPTLFHGSIRYNLDPLGQFTDEQLWEAIGKCHLLEIVHEKKQGLDSLIVEEGSNWSMGQRQLFCLCRVLLRRNRILVLDEATASIDNATDAVVQRTIRAEFRDSTVVTVAHRIPTVMDCDMVLSISDGEMVEYEQPWKLMEREGSLFRELVREYWSLSPGRQLTT* >Brasy4G437300.2.p pacid=40083601 transcript=Brasy4G437300.2 locus=Brasy4G437300 ID=Brasy4G437300.2.v1.1 annot-version=v1.1 MATSATFSSSSSSSIPSPPPRRRLAPATFRSSSPCPIPSSSGILCTVFPFSPRARPIQQQQQQQQGRRRPPWNAKRLNHHPFTALLLAARGPRSCTSGDGYAFVEEGDGVAAAGGRVRPETPFSAAGFFSRMTFRWLDPLIVDARRRRPLADADVPALGAADRAGTNYAAFSDALADSPGERPAAVLRAIFGCYKGEIAVSGLFALLKVLSSSAGPLILKAFVDASSSSSPAAAGFGFGRREMCCLLAMALLLCKCLESLAQRQWYFRTRRVGIQLNSLLSAAIYRKQQRLSTLGRTKHSSGQILSYLTVDAYRIGEFPFRFHQTWATVLQLGIALAVLYNMVGPATIASLAVIMLTVLVNAPLAKQQHRFRSELMKAQDMRLKAMSESLANMKVLKLYAWQDHFKKVIQGLRESELRCLSAFQMGKAYTSVVFWASPALVSAATFMACYFVGAPLNPSNVFAFVAALRLVQDPINRMPDVIGATIQARVSFTRITEFLDAPELQDIPSGRKHSGEHDQYSILIKSASFSWENNSDKPTLKDIDLEVKSGEKAAICGEVGSGKSTLLRAVLGDVSMTEGKIKVCGRIAYVSQNAWIQKGTVRDNILFGSAMDKLKYEETVCRCSLIKDLRMLPFGDLTQIGEKGINLSGGQKQRVQLARALYQDADIYLLDDPFSSVDVHTATSLFNEYVMIALAEKTVLLVTHQVEFLHSFNSIQLMCDGGIKLSGSYKELLATSKDFQELVESHKGVSNPISMAYDKRTNHKPAVEISGIHIGRRVDEAMKHAEWDQLIKKEDREISHTGLRPYLQYLFQNKGYVHASLIAVTNLLFMSGQVAQNSWLAANVQNPNVSTLRLVMVYVTIGLGSNIFLLFRALSAVGLGLQTSESLFSHLLSTLFRAPISFFDSTPLGRLLSRVSTDLSIIDLDIPFSLAFSISATLNAYGNLGVLVFVTWQVLLVAVPVLLLSAKLQRYYLIFAKELMRINGTTKSLIANHLGESISGASVIRAFGQEDRFFAKMLELIDNNASPCFHNFAATEWLTLHLKIMSVAILSSSAFAIALLPQGTFTSGVVGMVLSYGLSFNMLLVFSVQSQCSLANQIVCVERLSQYMNVASEAPDIIEDNRPPDDWPSMGTIELVDLKIKYSRDAPLVLHGITCTFRGGDKIGIVGRTGSGKTTLINAFFRLVEPSGGKIIIDGQDITKIGLHDLRSRIGLIPQDPTLFHGSIRYNLDPLGQFTDEQLWEAIGKCHLLEIVHEKKQGLDSLIVEEGSNWSMGQRQLFCLCRVLLRRNRILVLDEATASIDNATDAVVQRTIRAEFRDSTVVTVAHRIPTVMDCDMVLSISDGEMVEYEQPWKLMEREGSLFRELVREYWSLSPGRQLTT* >Brasy4G054600.1.p pacid=40083602 transcript=Brasy4G054600.1 locus=Brasy4G054600 ID=Brasy4G054600.1.v1.1 annot-version=v1.1 MGVEGTVNGGEEGLAAAPYDSWVLLRPAEGSSRPPARYKHAAEVIQDKLYVVGGSRNGRSLSDVQVFDFRTFKWSVSSPSRDSNQLNLENNAGNQPFPALAGHSLVKWKNNLVVVAGNSRAAASNKVSVWLVDVETNSWSAVDAYGKVPIARSGQSVSLIGSQLIMFGGEDNKRRLLSDLHILDLETMIWEEVKTEKGGPAPRYDHSAAVYADHYLLIFGGSSHSTCFSDMFLLDLQTLEWSQPDTQGAHITPRSGHAGTMIDENWYIVGGGDNASGSTETIVMNASKFVWSVVTSVSIRDSLACEGLTLCSTTIDGEKFLIAFGGYNGKYNNEVFVMKPKPRNFVQPRLLQSPAAAAAAASVTAAYAVVTATDEKTRDIVATDDLDVKRAEPGSSSKQIVAEIDALNGEKGKLESQLAEVRAENSKLKDKLDMVKLSYGELTKELRSVENQLAAEGSRCQKLESQIAATNKRLQSADSLENELEVLQQQISQVEQTMTTAQRRKSGGVWKWVAGSAEVSDNE* >Brasy4G208800.1.p pacid=40083603 transcript=Brasy4G208800.1 locus=Brasy4G208800 ID=Brasy4G208800.1.v1.1 annot-version=v1.1 MGQEGMGYNNGKGGGGGGVLPMTAPRARGASPLSSQHRSRKISRTFNNVKITVICGLVTILVLRGTIGINLSLPSHPSDADALAGAKAVEDIDRILREIRSDSDPSDPADADLDAAGLRFNASALSASEVAAAYAAAVGNYALGPKISGWDQQRRQWLAKNPGFPATVPGGKSRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDKELAGYWAKLPLLRKLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDTWAPMGPKGFIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEDMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFGHKGLESPKIKRIRSPTTRPINDKENLDVKAKMLTTS* >Brasy4G208800.3.p pacid=40083604 transcript=Brasy4G208800.3 locus=Brasy4G208800 ID=Brasy4G208800.3.v1.1 annot-version=v1.1 MGQEGMGYNNGKGGGGGGVLPMTAPRARGASPLSSQHRSRKISRTFNNVKITVICGLVTILVLRGTIGINLSLPSHPSDADALAGAKAVEDIDRILREIRSDSDPSDPADADLDAAGLRFNASALSASEVAAAYAAAVGNYALGPKISGWDQQRRQWLAKNPGFPATVPGGKSRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDKELAGYWAKLPLLRKLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDTWAPMGPKGFIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEDMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFGHKGLESPKIKRIRSPTTRPINDKENLDVKAKMLTTS* >Brasy4G208800.2.p pacid=40083605 transcript=Brasy4G208800.2 locus=Brasy4G208800 ID=Brasy4G208800.2.v1.1 annot-version=v1.1 MGQEGMGYNNGKGGGGGGVLPMTAPRARGASPLSSQHRSRKISRTFNNVKITVICGLVTILVLRGTIGINLSLPSHPSDADALAGAKAVEDIDRILREIRSDSDPSDPADADLDAAGLRFNASALSASEVAAAYAAAVGNYALGPKISGWDQQRRQWLAKNPGFPATVPGGKSRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDKELAGYWAKLPLLRKLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDTWAPMGPKGFIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEDMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFGHKGLESPKIKRIRSPTTRPINDKENLDVKAKMLTTS* >Brasy4G208800.5.p pacid=40083606 transcript=Brasy4G208800.5 locus=Brasy4G208800 ID=Brasy4G208800.5.v1.1 annot-version=v1.1 MGQEGMGYNNGKGGGGGGVLPMTAPRARGASPLSSQHRSRKISRTFNNVKITVICGLVTILVLRGTIGINLSLPSHPSDADALAGAKAVEDIDRILREIRSDSDPSDPADADLDAAGLRFNASALSASEVAAAYAAAVGNYALGPKISGWDQQRRQWLAKNPGFPATVPGGKSRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDKELAGYWAKLPLLRKLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDTWAPMGPKGFIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEDMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFGHKGLESPKIKRIRSPTTRPINDKENLDVKAKMLTTS* >Brasy4G208800.4.p pacid=40083607 transcript=Brasy4G208800.4 locus=Brasy4G208800 ID=Brasy4G208800.4.v1.1 annot-version=v1.1 MGQEGMGYNNGKGGGGGGVLPMTAPRARGASPLSSQHRSRKISRTFNNVKITVICGLVTILVLRGTIGINLSLPSHPSDADALAGAKAVEDIDRILREIRSDSDPSDPADADLDAAGLRFNASALSASEVAAAYAAAVGNYALGPKISGWDQQRRQWLAKNPGFPATVPGGKSRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDKELAGYWAKLPLLRKLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDTWAPMGPKGFIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEDMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFGHKGLESPKIKRIRSPTTRPINDKENLDVKAKMLTTS* >Brasy4G078800.1.p pacid=40083608 transcript=Brasy4G078800.1 locus=Brasy4G078800 ID=Brasy4G078800.1.v1.1 annot-version=v1.1 MGNASSMLTQYDIEEVQEHCSYLFSQQEIVALYERFCQLDRSAKGFVSEDEFLSIPEFSTNPLSQRLLRMVDGLNFKDFVSFLSTFSARASLQQKIELIFKVYDIDGKGKVTFKDLVEVLRDLTGSSMSEKQREEVLTKVLEEAGYTKDSTLSLEDFMTIIDHPGLKMEVEVPID* >Brasy4G003900.1.p pacid=40083609 transcript=Brasy4G003900.1 locus=Brasy4G003900 ID=Brasy4G003900.1.v1.1 annot-version=v1.1 MEIGTRAVQDRKIRPMHRFTLSIYLRRTDE* >Brasy4G154300.1.p pacid=40083610 transcript=Brasy4G154300.1 locus=Brasy4G154300 ID=Brasy4G154300.1.v1.1 annot-version=v1.1 MSISQLLVVATMILICVVRPVPVYATRQIPAGGADGGGRVQISQQDATAAYATLHERAGAGAAATVMAWTAQLPAGPSPKGPGH* >Brasy4G004100.1.p pacid=40083611 transcript=Brasy4G004100.1 locus=Brasy4G004100 ID=Brasy4G004100.1.v1.1 annot-version=v1.1 MAAAAAAAVPSPATSFPSTTRKATVLSLRVSRRLKVAASAAASPGPDPSPAFKSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPSLPPGTVAIAGITSPSRPHLADRLSRDPLRNCAGIAAAAALQALGVSSHAVSLRLAKGLPLGSGLGSSAASAAAAAKAVDALFGSRLRRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLMPLPCPPALRLHFVLVTPDFEAPTSKMRAALPKHVAIKHHVRNSSQAAALVAAVLQGDAGVIGSAMSSDGIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIEGAEKGEEIARRMVDAFLSAGKLKATATIAQLDRAGARVISTSSLE* >Brasy4G028100.1.p pacid=40083612 transcript=Brasy4G028100.1 locus=Brasy4G028100 ID=Brasy4G028100.1.v1.1 annot-version=v1.1 MAAAAGGNVDGDFMELRPDKGGARDLFHLLWSPEVDENAAVDCPAGTRIAEARRRWAVFVSLVAQMLLLWAKRPVALLGRAAEYWMNLLNENGGGVLPLLTNALQGKVKMPDRTSLNYRSCIGLLDTRIELDKKIKPGDCNYHAALSIMAAKLAYENELVIKSVVNNHWQMEFLGFYNCWNEFEGDYTTQAFMLADKPAPNATLAVVAFCGTKPFDTEQWCTDVDFSWYEIPAAGCRVHGGFMKALGLQKHGGGWPKHIPDPTGQDNDKPFAYYAIRERLRAFLAENPGAEFAVAGHSLGGALAVLFPTVLALHREEAVLGRLRGIYTFGQPRVGDVRLGEFMERYLENPRRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGQVTEEEPNKNYFSVLAAAPKYANAVWELVRSFLIGHAEGPEYAEGWLMRLARVAALALPGLPPHAPQDYVNATRLGAASLGQLS* >Brasy4G028100.2.p pacid=40083613 transcript=Brasy4G028100.2 locus=Brasy4G028100 ID=Brasy4G028100.2.v1.1 annot-version=v1.1 MPDRTSLNYRSCIGLLDTRIELDKKIKPGDCNYHAALSIMAAKLAYENELVIKSVVNNHWQMEFLGFYNCWNEFEGDYTTQAFMLADKPAPNATLAVVAFCGTKPFDTEQWCTDVDFSWYEIPAAGCRVHGGFMKALGLQKHGGGWPKHIPDPTGQDNDKPFAYYAIRERLRAFLAENPGAEFAVAGHSLGGALAVLFPTVLALHREEAVLGRLRGIYTFGQPRVGDVRLGEFMERYLENPRRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGQVTEEEPNKNYFSVLAAAPKYANAVWELVRSFLIGHAEGPEYAEGWLMRLARVAALALPGLPPHAPQDYVNATRLGAASLGQLS* >Brasy4G028100.3.p pacid=40083614 transcript=Brasy4G028100.3 locus=Brasy4G028100 ID=Brasy4G028100.3.v1.1 annot-version=v1.1 MPDRTSLNYRSCIGLLDTRIELDKKIKPGDCNYHAALSIMAAKLAYENELVIKSVVNNHWQMEFLGFYNCWNEFEGDYTTQAFMLADKPAPNATLAVVAFCGTKPFDTEQWCTDVDFSWYEIPAAGCRVHGGFMKALGLQKHGGGWPKHIPDPTGQDNDKPFAYYAIRERLRAFLAENPGAEFAVAGHSLGGALAVLFPTVLALHREEAVLGRLRGIYTFGQPRVGDVRLGEFMERYLENPRRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGQVTEEEPNKNYFSVLAAAPKYANAVWELVRSFLIGHAEGPEYAEGWLMRLARVAALALPGLPPHAPQDYVNATRLGAASLGQLS* >Brasy4G028100.4.p pacid=40083615 transcript=Brasy4G028100.4 locus=Brasy4G028100 ID=Brasy4G028100.4.v1.1 annot-version=v1.1 MPDRTSLNYRSCIGLLDTRIELDKKIKPGDCNYHAALSIMAAKLAYENELVIKSVVNNHWQMEFLGFYNCWNEFEGDYTTQAFMLADKPAPNATLAVVAFCGTKPFDTEQWCTDVDFSWYEIPAAGCRVHGGFMKALGLQKHGGGWPKHIPDPTGQDNDKPFAYYAIRERLRAFLAENPGAEFAVAGHSLGGALAVLFPTVLALHREEAVLGRLRGIYTFGQPRVGDVRLGEFMERYLENPRRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGQVGSATLYYLLVTVRFFLCYFHSFLKLILVIIPFSCDCNK* >Brasy4G217700.1.p pacid=40083616 transcript=Brasy4G217700.1 locus=Brasy4G217700 ID=Brasy4G217700.1.v1.1 annot-version=v1.1 MKTLCSKSSKGGKIHPSPISGDVDPTAAALRLLPAAILVLTAALCPEDQQVLAYLVTRSLQGAATPTPEPQVARGRRCRAHPPAIGCGCFDCYTSFWSRWDCSPNRELIHVAIDAFEDHLAAAESAPSTPSSSSKRRDKGKRKAPPTPPPPPPRSLMSPESSVSQSEKVEEPPSAPASPPPPPPPPPVPAATTLKSSDEEKAPEDSSEVKLEVDAEALVENADSGEEEEERKRGWADVMGGMLNLRLWGIWSPAVESAT* >Brasy4G050900.1.p pacid=40083617 transcript=Brasy4G050900.1 locus=Brasy4G050900 ID=Brasy4G050900.1.v1.1 annot-version=v1.1 MVSWKKVCTPKRFGGLGVKNLVAFATALRLRWLWLHWQPEDRPWKGTVLPCTEVDRDLFSACTVISIGNGMLTSFWFDRWIDGAAPLDVAPDLFPLARRKKVSVHEALVNDLWMKGLERLNSAGQLWQFFSLWQAVRSLALSQICDSVVWKFNPNGIYSAKSAYECQFLGRVPSPSLQRVWSIKMEGKVCVASSISRWWKCLTVGGRSPGRNAAMTFGAYVAWNLWKERNRRIFQGKDVSPPRLLEIISAEVEFFRFAKGL* >Brasy4G321700.1.p pacid=40083618 transcript=Brasy4G321700.1 locus=Brasy4G321700 ID=Brasy4G321700.1.v1.1 annot-version=v1.1 MKFRKGGKVEVLQKAEVPFGSWRPAEIVSGNGHTYLVSYDQCLFDTSVVERVPRKAMRPTPPPPDGSICWVPGNILEVFDSYSWKVVEVVKLLGHKFYLVRLLGASMELRVHASSLRTRQLWQDGKWVPLPKDFGGSHRSRTKGVNNTSGDSNLLLKNKNVFEGNMSRGMKRKSSGSSAFPMQRSEVTKRFQISHRDGRCQRIVRGDSLHLMDKVDAVDSPCLMLGEKCMHDSLYNRANGFPKTNLAVVNTNVDYPYPALTTQDSDTDSAASSVGSCNPYGSPYRPAHPQEYDSGDICSTNCDDEASISGRESPLPIKGDLREETHLLELHAYRATMMALYVSGSISWEQEVLMTNLRLTLNISTDEHLSELRNLASSAVCSR* >Brasy4G435800.1.p pacid=40083619 transcript=Brasy4G435800.1 locus=Brasy4G435800 ID=Brasy4G435800.1.v1.1 annot-version=v1.1 MKTILASETMEIPEEVTVKVAAKMITVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITSGNKAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE* >Brasy4G042700.1.p pacid=40083620 transcript=Brasy4G042700.1 locus=Brasy4G042700 ID=Brasy4G042700.1.v1.1 annot-version=v1.1 MGNSEVGHNALGAGRIFAQGAKLVDAALASGKIYEGAGFNYIKESFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASVRGAKRIRLHILTDGRDVLDGSSVGFVETIENDLSQLREKGVDARIASGGGRMNVTMDRYENDWDVVKRGWDAQVLGEAPYKFQSAVEAVKTLRAEPKANDQYLPPFVIVDESGKSLGPIVDGDAVVTFNFRADRMVMLAKALEFADFDKFDRVRVPKIKYAGMLQYDGELPPLIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNEQPKMKALEIAEKTRDTILSGKFDLVVLTCQMVIWWVTLVILRPQLLLVRLLMKP* >Brasy4G201800.1.p pacid=40083621 transcript=Brasy4G201800.1 locus=Brasy4G201800 ID=Brasy4G201800.1.v1.1 annot-version=v1.1 MSSSATSAAQQLPRSESWTLSGHEGAVLAVRFNHDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVNSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNTVVVSAGYDRTARAFDCRSHNSDPIQTIDTFQDSVMSVNVTNTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKTSGEMLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGFVFFWELVDAPIVASFRAHSSVVTSISYHPTKNCMLTSSVDGTVRVWT* >Brasy4G201800.2.p pacid=40083622 transcript=Brasy4G201800.2 locus=Brasy4G201800 ID=Brasy4G201800.2.v1.1 annot-version=v1.1 MSSSATSAAQQLPRSESWTLSGHEGAVLAVRFNHDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVNSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNTVVVSAGYDRTARAFDCRSHNSDPIQTIDTFQDSVMSVNVTNTEIIAGSVDGTVRTFDIRMGRTSGEMLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGFVFFWELVDAPIVASFRAHSSVVTSISYHPTKNCMLTSSVDGTVRVWT* >Brasy4G327200.1.p pacid=40083623 transcript=Brasy4G327200.1 locus=Brasy4G327200 ID=Brasy4G327200.1.v1.1 annot-version=v1.1 MARPPPPQSSRCSVSPRRARCSAGAATPTGPTPAHSTGCCSVPRRPATPRRSTCSTMTTCCAASSWTQFAFYKNVRFLDALVLLDEMRRRENCTSCSSLFWISTQIYVTLPPLPPAAAARPPAATKVRPASPRSPPRLSSAAAVCAAVPHLQRRRDRRPGSPAQPPSGSKEGEGEGGSASTAAPPAPRLTRMGQMVKGSQRNLVVKGK* >Brasy4G206700.1.p pacid=40083624 transcript=Brasy4G206700.1 locus=Brasy4G206700 ID=Brasy4G206700.1.v1.1 annot-version=v1.1 MHKSLKPEALKLGNFCRVHSSGAFSFTKIRRHIFLQRERKD* >Brasy4G060200.1.p pacid=40083625 transcript=Brasy4G060200.1 locus=Brasy4G060200 ID=Brasy4G060200.1.v1.1 annot-version=v1.1 MGCLAFLHGESKEERPAPKSASVRSFSTTSTEREVPSGSDLTSMNISDMSAESIRRTQYPSFTDRPSNLRVFTFSELKNATRNFSRSLMVGEGGFGCVYRGIIKNSDEPNERIEIAVKQLNRKGVQGQKEWLTEMNVLGIVEHPNLVKLIGYCADDDERGMQRLLVYEYMPNGSVDDHLASRSTSTLSWPMRLKVALDAARGLKYLHEEMDFQVIFRDLKTSNILLDENWDAKLSDFGLARHGPQEGLSHVSTAVVGTLGYAAPEYMQTGRLTAKSDMWSYGVLLYELITGRRPIDKNRPKGEQKLLDWVKPYISDVKRFPIIVDPRLEGHYNLKSMTKLAGVANRCLVRLPKSRPKMSEVYEMVQKIVDSIETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC* >Brasy4G202400.1.p pacid=40083626 transcript=Brasy4G202400.1 locus=Brasy4G202400 ID=Brasy4G202400.1.v1.1 annot-version=v1.1 MEGNLPPRTLMPGAPSDVEASFEPHQQQFQFAQPQLHQGMFPVPANPVQELSNGVKTSQSDDDDALHHDRGSKGASQWHRVKWTSNMVKLLVSAVAYIDEDVEMDYGSSRKKKHAMLKRKGKWRLVSMSMNERGFAVSPQQCEDKFNDLNKRYKRLTEILGRGTTCQIVENPQLLEGVSLSGKLKEEARKLLSSKHLHYEEMCSYHNNNRLCLLEDPVLQKSLRLALRSKDGHEKKSSVRDDDEDDEMMLSDDDEEDDEFNDDTEASPEDHHHRSHGTERLQLDYGETWSGSHLSEVAAIDMNKMLCERSGGLSAEKDSPGTHEIQIVKHHLKLKAQMLKFKQRQFKWLRFSKEKDRELEKMRQENEKMRLENERLELELELKGLEMGIKPKIVIGDWKWTARMR* >Brasy4G202400.2.p pacid=40083627 transcript=Brasy4G202400.2 locus=Brasy4G202400 ID=Brasy4G202400.2.v1.1 annot-version=v1.1 MEGNLPPRTLMPGAPSDVEASFEPHQQQFQFAQPQLHQGMFPVPANPVQELSNGVKTSQSDDDDALHHDRGSKGASQWHRVKWTSNMVKLLVSAVAYIDEDVEMDYGSSRKKKHAMLKRKGKWRLVSMSMNERGFAVSPQQCEDKFNDLNKRYKRLTEILGRGTTCQIVENPQLLEGVSLSGKLKEEARKLLSSKHLHYEEMCSYHNNNRLCLLEDPVLQKSLRLALRSKDGHEKKSSVRDDDEDDEMMLSDDDEEDDEFNDDTEASPEDHHHRSHGTERLQLDYGETWSGSHLSEVAAIDMNKMLCERSGGLSAEKDSPGTHEIQIVKHHLKLKAQMLKFKQRQFKWLRFSKEKDRELEKMRQENEKMRLENERLELELELKGLEMGIKPKIVIGDWKWTARMR* >Brasy4G202400.3.p pacid=40083628 transcript=Brasy4G202400.3 locus=Brasy4G202400 ID=Brasy4G202400.3.v1.1 annot-version=v1.1 MEGNLPPRTLMPGAPSDVEASFEPHQQQFQFAQPQLHQGMFPVPANPVQELSNGVKTSQSDDDDALHHDRGSKGASQWHRVKWTSNMVKLLVSAVAYIDEDVEMDYGSSRKKKHAMLKRKGKWRLVSMSMNERGFAVSPQQCEDKFNDLNKRYKRLTEILGRGTTCQIVENPQLLEGVSLSGKLKEEARKLLSSKHLHYEEMCSYHNNNRLCLLEDPVLQKSLRLALRSKDGHEKKSSVRDDDEDDEMMLSDDDEEDDEFNDDTEASPEDHHHRSHGTERLQLDYGETWSGSHLSEVAAIDMNKMLCERSGGLSAEKDSPGTHEIQIVKHHLKLKAQMLKFKQRQFKWLRFSKEKDRELEKMRQENEKMRLENERLELELELKGLEMGIKPKIVIGDWKW* >Brasy4G202400.4.p pacid=40083629 transcript=Brasy4G202400.4 locus=Brasy4G202400 ID=Brasy4G202400.4.v1.1 annot-version=v1.1 MEGNLPPRTLMPGAPSDVEASFEPHQQQFQFAQPQLHQGMFPVPANPVQELSNGVKTSQSDDDDALHHDRGSKGASQWHRVKWTSNMVKLLVSAVAYIDEDVEMDYGSSRKKKHAMLKRKGKWRLVSMSMNERGFAVSPQQCEDKFNDLNKRYKRLTEILGRGTTCQIVENPQLLEGVSLSGKLKEEARKLLSSKHLHYEEMCSYHNNNRLCLLEDPVLQKSLRLALRSKDGHEKKSSVRDDDEDDEMMLSDDDEEDDEFNDDTEASPEDHHHRSHGTERLQLDYGETWSGSHLSEVAAIDMNKMLCERSGGLSAEKDSPGTHEIQIVKHHLKLKAQMLKFKQRQFKWLRFSKEKDRELEKMRQENEKMRLENERLELELELKGLEMGIKPKIVIGDWKW* >Brasy4G171400.1.p pacid=40083630 transcript=Brasy4G171400.1 locus=Brasy4G171400 ID=Brasy4G171400.1.v1.1 annot-version=v1.1 MATLAKHLILCSSATSSNSSPSSRHRPHDGGADKGANAPQSATRRLAVAASTALAATAALSARRPAAPPPAMAAEAAAAAPAPAPPGSVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEPRRPEYVRVANQLVDNMTEFDRFVRTPKVYESYLFYEKTLKSLDEVAEFLA* >Brasy4G335200.1.p pacid=40083631 transcript=Brasy4G335200.1 locus=Brasy4G335200 ID=Brasy4G335200.1.v1.1 annot-version=v1.1 MEAAVGLPEAWSQVRAPVIVPLLRLAVAVCLGMSVLLFLERMYMAVVIVGVKLLGRRPDRRYKCDPISEDDDPELGSAAFPVVLVQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDAVVKEMVRMECERWAHKGINITYQIREDRKGYKAGALKQGMKHGYVRECEYVVIFDADFQPDPDFLHRTIPYLHHNPEIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRISAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLLEIVTNRKVTIWKKFHVIYNFFLVRKIVAHIVTFTFYCIIIPTTIFVPEVHIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRVNEWVVTEKLGNAMKMKSASKASARKSFMRIWDRLNVPELGVGAFLFSVGWYDVAFGKDNFFIYLFFQSMAFFIVGVGYVGTIVPQS* >Brasy4G357200.1.p pacid=40083632 transcript=Brasy4G357200.1 locus=Brasy4G357200 ID=Brasy4G357200.1.v1.1 annot-version=v1.1 MAAPFTLSTAAALLPRPSAAGPSLSFRLQSRRLSRRGQCAATRHDDGCARRLPAAAAEATCGRTRRATAVAAAQGQAEAEKRAEGSLRMVLLSTAVAVCGSFEFGTCVGYSAPAQAGIVSDIGLSSSQYGVFASILAIGAMIGALISGRLADTLGRKMTMRLAAVVGVFGWLTIYLAEGAMMLYFGRVSLGICTGLLSYVVPVFISEIAPKDLRGGLATSNQLFICSGCSAAYISGALLSWRSLALAGLAPCAFLFWGLLFIPESPRWLANTGREKEFRTSLQNLRGENADISDEATEIRDYIETVHHLPKARIQDLLQRKNMFAVIVGTGLMIFQQLGGINAIGFYTSYIFSSAGFSGKLGTILIGVIQIPITLFGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLHRELVPTLALYGILAYYMAYSIGMGPIPWVIMSEIFSIDMKAIAGSLVTLVSWLGSFAISYSFSFLMNWNSAGTFFLFSAASLVTVLFVARLVPETKGRTLEEIQESLMGVGLTD* >Brasy4G357200.2.p pacid=40083633 transcript=Brasy4G357200.2 locus=Brasy4G357200 ID=Brasy4G357200.2.v1.1 annot-version=v1.1 MAAPFTLSTAAALLPRPSAAGPSLSFRLQSRRLSRRGQCAATRHDDGCARRLPAAAAEATCGRTRRATAVAAAQGQAEAEKRAEGSLRMVLLSTAVAVCGSFEFGTCVGYSAPAQAGIVSDIGLSSSQYGVFASILAIGAMIGALISGRLADTLGRKMTMRLAAVVGVFGWLTIYLAEGAMMLYFGRVSLGICTGLLSYVVPVFISEIAPKDLRGGLATSNQLFICSGCSAAYISGALLSWRSLALAGLAPCAFLFWGLLFIPESPRWLANTGREKEFRTSLQNLRGENADISDEATEIRDYIETVHHLPKARIQDLLQRKNMFAVIVGTGLMIFQQLGGINAIGFYTSYIFSSAGFSGKLGTILIGVIQIPITLFGALLMDRSGRRALLLAQGLHRELVPTLALYGILAYYMAYSIGMGPIPWVIMSEIFSIDMKAIAGSLVTLVSWLGSFAISYSFSFLMNWNSAGTFFLFSAASLVTVLFVARLVPETKGRTLEEIQESLMGVGLTD* >Brasy4G357200.3.p pacid=40083634 transcript=Brasy4G357200.3 locus=Brasy4G357200 ID=Brasy4G357200.3.v1.1 annot-version=v1.1 MYGVFASILAIGAMIGALISGRLADTLGRKMTMRLAAVVGVFGWLTIYLAEGAMMLYFGRVSLGICTGLLSYVVPVFISEIAPKDLRGGLATSNQLFICSGCSAAYISGALLSWRSLALAGLAPCAFLFWGLLFIPESPRWLANTGREKEFRTSLQNLRGENADISDEATEIRDYIETVHHLPKARIQDLLQRKNMFAVIVGTGLMIFQQLGGINAIGFYTSYIFSSAGFSGKLGTILIGVIQIPITLFGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLHRELVPTLALYGILAYYMAYSIGMGPIPWVIMSEIFSIDMKAIAGSLVTLVSWLGSFAISYSFSFLMNWNSAGTFFLFSAASLVTVLFVARLVPETKGRTLEEIQESLMGVGLTD* >Brasy4G167700.1.p pacid=40083635 transcript=Brasy4G167700.1 locus=Brasy4G167700 ID=Brasy4G167700.1.v1.1 annot-version=v1.1 MVSNATSVPAGPVPFKDFEDDVHQLVVPVPVPERSPEDDDDALVSSLPSTVLPGGVQLFKLRLYQGFWLHERLVPGAIALQRRFDPRPDDVIIASMPKCGTTWLTALTFATMARRACPPGAADHPLLRLNPHHVAPFMDMFFAQGRDAVLDALPSPRHMFTHMPLAMLPRATPSLASGAGAGGCRVVYICREPKDMAVSLWHFRRARCPELSFADNFESLCAGQSSGPFWDNILGYWLASAATPDNVLFLRYEELLRDPAENVRKLARFEETGVVHAIVELCSLDNMRSLEANRSGHLPYLNFPNKSLFRKGVAGDWANHMTPEMARRMDDIVAEKFRGTGLTFP* >Brasy4G422100.1.p pacid=40083636 transcript=Brasy4G422100.1 locus=Brasy4G422100 ID=Brasy4G422100.1.v1.1 annot-version=v1.1 MANEFQQTNATTREFTLQFLQQITDNFAEEHVIGRGGFGIVYKGKLENGEMIALKKLHPMPGLDDKQFRNEFNHHMRTEHQNITRLVGYCFDQGHQRIKHNGEYIFANVEERVLCFEYLPGGSLDKHISAESCGLDWHTRFNIITGICDGLNYLHNGPQDPIYHLDLKPANILLDDKMIPKIGDFGLARLFPLEQTYITTKITGTRGYMPPEYINGYKITSKFDVYSFGVIVIQIMAGYEGYSKFTDMSSQKFLKHVIENWDKQLQATMSSHTSEQVKTCIEIALRCVEVNRDKRPTMAEIVDELNKVGAEESLPTGKVANFLSKHALNKNSNSDMCVRLRRSNLRSGLMGGSFSYKGIRSGDDGTGTGIISGGDGMGTGGGDGVGIRSDSGGIGGNVCFSWDSSLAFMTFNNGMTMYHSWKDKPPIEAFVVTSCLYLDLIFLLCYLCFCKWSGRMNLFVLDTAFWEVNLPYYYLRLGTAVIVIFILHDTLRSWFLAIVTVVWGFHNLFEEFIAKRRR* >Brasy4G266000.1.p pacid=40083637 transcript=Brasy4G266000.1 locus=Brasy4G266000 ID=Brasy4G266000.1.v1.1 annot-version=v1.1 MRKVFGRKEKPLSSPLQIGSLSSTENNFSPRLCTPVTHAGRLPLVDPPSPAAGRPPPALPATAGPSVAVAPLPLLASTTLAAPNGAARPRKKARRHLGLASPSGAAAVSSALRPPLPCQRRRPPPHRPLPDPATRQRLLGVAPPARRRGQASRQPLRRPGATRRSGSSLCLPWPPRPRCPSSSLTKTTAARNLPEREFFGQCVVYTLHFV* >Brasy4G390600.1.p pacid=40083638 transcript=Brasy4G390600.1 locus=Brasy4G390600 ID=Brasy4G390600.1.v1.1 annot-version=v1.1 MAAEEVGAGGSTVARIFVGGLAEGVTAADLGGVFGSVGRVAGVEFVRTGGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLKLEKAKEHYLVRLKREWEQEAAAAQELAVKNNVEKQEEKPKPDKDALDSKVNIYFPKLRKLKALPFKGCGKHKYSFRNIEVPSYPIHFCDCEEHCGPPEKANDEYAAVLNRVAYEKELNIMNSVMSKLLEKDNEHFDSLEIQKHDVDVNTADTEPSDSENDLPMDETEETPEEALDDLQMEEAEDPSEEELDDDLVINIVPRKAKNSAVQLNSGKQAVSKFQDGQFTKRQQLEETFQQKKRQKSEDLSEPRNKKQSTSLISDRRTTEKKSLPAISGANQNEQKTPLFSGKGTHGDRDKSSYRLQGVEALTGSSTKNEGSQNVLATELKKGSMWTQKSAWRDLVGGMGGASFSISQVLPNTNPAPPEPQNVTESSVSRAESRREVKLDAKSLESSEATTKHSPEQKLASSMGMPSTGTKVGSAGHDTGEHDENSKAEEVRVVPKITISEVCPFMRNRESEQQWSKAKKILTGFIKKGDEKTGLNNAGKGKPPSRRR* >Brasy4G390600.2.p pacid=40083639 transcript=Brasy4G390600.2 locus=Brasy4G390600 ID=Brasy4G390600.2.v1.1 annot-version=v1.1 MAAEEVGAGGSTVARIFVGGLAEGVTAADLGGVFGSVGRVAGVEFVRTGGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLKLEKAKEHYLVRLKREWEQEAAAAQELAVKNNVEKQEEKPKPDKDALDSKVNIYFPKLRKLKALPFKGCGKHKYSFRNIEVPSYPIHFCDCEEHCGPPEKANDEYAAVLNRVAYEKELNIMNSVMSKLLEKDNEHFDSLEIQKHDVDVNTADTEPSDSENDLPMDETEETPEEALDDLQMEEAEDPSEEELDDDLVINIVPRKAKNSAVQLNSGKQAVSKDGQFTKRQQLEETFQQKKRQKSEDLSEPRNKKQSTSLISDRRTTEKKSLPAISGANQNEQKTPLFSGKGTHGDRDKSSYRLQGVEALTGSSTKNEGSQNVLATELKKGSMWTQKSAWRDLVGGMGGASFSISQVLPNTNPAPPEPQNVTESSVSRAESRREVKLDAKSLESSEATTKHSPEQKLASSMGMPSTGTKVGSAGHDTGEHDENSKAEEVRVVPKITISEVCPFMRNRESEQQWSKAKKILTGFIKKGDEKTGLNNAGKGKPPSRRR* >Brasy4G147100.1.p pacid=40083640 transcript=Brasy4G147100.1 locus=Brasy4G147100 ID=Brasy4G147100.1.v1.1 annot-version=v1.1 MGSRQSMDRVIPEQDDPLPPDACNMTREAQILQARSMCGCGRPSPHGGENWRRRTEEERDASIICHVHHALRHYNAKNPGSEFDPVKPLMAVYVGFS* >Brasy4G183400.1.p pacid=40083641 transcript=Brasy4G183400.1 locus=Brasy4G183400 ID=Brasy4G183400.1.v1.1 annot-version=v1.1 MAAARAQRTAVAARCRADHGGRARSGPRRQRGAGRITAAGRAADRGGSVVQGGSRRQGALGRPRRCCGAGRMRRRQRAGAVAAQRLEGGGGSPLGRWRSRAWRAAAAARWRDVASLGRSAPATCSIVEGHAQMLHPLRGLPFTDVPRRGLSFSEVLH* >Brasy4G219200.1.p pacid=40083642 transcript=Brasy4G219200.1 locus=Brasy4G219200 ID=Brasy4G219200.1.v1.1 annot-version=v1.1 MLTLVGTREQFVQPQTCLSAKMLLKAPTRPKLSSCFMPQGECDEHCALIPGLPEDLAKICLALVPRCHFPIMGGVSKRWMSFLESKELIAVRREVGKLEECVYVLTADAEAKGSHWEVLACPGQKHTPLPPMPGPTKAGFGVVVLAGKLFVIAGYAADRGKECVSDEVYQYDSFLNRWTALSKMNVARCDFACAEVNGVIYVAGGFGPGGDSLSSVEVYDPEQNKWRFVESLRRPRWGCFGCSFDGNMYVMGGRSSFTIGNSRFIDIYNTNDHTWGVVKKGCVMVTAHAVLGDKLFCIEWKNQRSLAIFNPEDNSWQKVSVPLTGSSSTRFNLGIHDGKLLLFSLEEEPGYQTLMYDPAAPRGAEWGTSKLKPSGLCLCSVTIKA* >Brasy4G216900.1.p pacid=40083643 transcript=Brasy4G216900.1 locus=Brasy4G216900 ID=Brasy4G216900.1.v1.1 annot-version=v1.1 MAVAEGARRRQDLAGEGQRQLEEAVAAAFQILTSINHELCNPALWSSSSSSHQQEHQPTTVPAAPDSHTSADAEGGGGGAGPGGSLDEARHRYKMAVAALRASITAVSSSSQEIRSPDDKTNKDEIGKLEERASVLRKEIETKNKNVKLLMNQLRDMILDVSMWQSPCPA* >Brasy4G228600.1.p pacid=40083644 transcript=Brasy4G228600.1 locus=Brasy4G228600 ID=Brasy4G228600.1.v1.1 annot-version=v1.1 MPFVRKGAAFRFSSAAAAAAASRRGWAASSASCSPSPSCSTSKSMAAPSRRP* >Brasy4G006700.1.p pacid=40083645 transcript=Brasy4G006700.1 locus=Brasy4G006700 ID=Brasy4G006700.1.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGYKNCCPLRFKFSWEAAHIDLRRKGGRDICFQHRLQHVRWWMSQIYHTLLTLLPVLRSSYLVELNTHHRVLCKKAPIMLHDQPAANY* >Brasy4G006700.3.p pacid=40083646 transcript=Brasy4G006700.3 locus=Brasy4G006700 ID=Brasy4G006700.3.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGYKNCCPLRFKFSWEAAHIDLRRKGGRDICFQHRLQHVRWWMSQIYHTLLTLLPVLRSSYLVELNTHHRYAIFLFCSSN* >Brasy4G006700.2.p pacid=40083647 transcript=Brasy4G006700.2 locus=Brasy4G006700 ID=Brasy4G006700.2.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGMFFPPIDSSVALLAWADCREGKKGKDFVLSLWSSSVDHQDQIWYC* >Brasy4G006700.6.p pacid=40083648 transcript=Brasy4G006700.6 locus=Brasy4G006700 ID=Brasy4G006700.6.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGMFFPPIDSSVALLAWADCREGKKGKDFVLSLWSSSVDHQDQIWYC* >Brasy4G006700.5.p pacid=40083649 transcript=Brasy4G006700.5 locus=Brasy4G006700 ID=Brasy4G006700.5.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGYKNCCPLRFKFSWEAAHIDLRRYTCMVIYLCYIS* >Brasy4G006700.4.p pacid=40083650 transcript=Brasy4G006700.4 locus=Brasy4G006700 ID=Brasy4G006700.4.v1.1 annot-version=v1.1 MWIRYLYFSYCTFVTPVDKSPAQQPRPRRHHQAGWRIYQPVVLMLHAAESGPKNVVCTARPKNLIPAVAPLLPCLLALATVPSPPHRHRLPPPLPPRLPPSGRGSYPATSPSNPNPNPSPPPLRSSSSTPNPCTKPSSRGTRHGWRGRSAYCGAVAVAAVVPADPPVARRLLVAAAGVDLRVPREAVDWNLEGYKNCCPLRFKFSWEAAHIDLRRYT* >Brasy4G210500.1.p pacid=40083651 transcript=Brasy4G210500.1 locus=Brasy4G210500 ID=Brasy4G210500.1.v1.1 annot-version=v1.1 MKLQPMFLENSTATSNTRLQLPEKGTSVSSPEPGGGGGGAPASWAPMLRHSSRARSMLIPEERNPPAERSSATRPSSMWRGATAPAPSPLASLCDSTTALTARSVNRSNTDLITAPPRRTRRACAGLAAAGRSGCASTTALPAPPPPAAPQYRAAGGANLLPTRSPARTDDEVEQQHTSIDRRHYRSVPRFYSVRGVVLLYWYKRGSLQIFQRNEHPALIWCVE* >Brasy4G300100.1.p pacid=40083652 transcript=Brasy4G300100.1 locus=Brasy4G300100 ID=Brasy4G300100.1.v1.1 annot-version=v1.1 MGSLMAGWDSPVLGDDSKARVMRNRSLTKEEVEAFRRQRAKPPAKDGGGGDVVVTSPLASPGRPMEKSLPSGGSQMRDAADGADGGSPSQSRDWWTRSNWAFLNEPPQEEAPGKAHSYTPQFDVATGHA* >Brasy4G297400.1.p pacid=40083653 transcript=Brasy4G297400.1 locus=Brasy4G297400 ID=Brasy4G297400.1.v1.1 annot-version=v1.1 MASVRMSRRNNDSDVVGECIEKLHEKRRAAREDGMKALVAALEGLVPVDELDYRYFTVFDRCCAPLLLRKGASAAEARLAYRAIGLLALTVATAGPDAGCSKDILEKSLPILEKTLQSSSSSDAAAALDCLAAVTLAGARLLEDAAPSMKAVLGVIERTAGEDTSTDRVVLPAAVSAFAVLLTTVGDLLRTCRWGSFREVMIPFGGLAELLESGDPAVRMAAGEVLAVCAELNLTRHASPGDMEALETKVFELAYEDGDNAAQQVLFQKIAAVMSDGEFPEQESMAPPPSSSSGRGVLRTSTLARMVQLNFLKRFLGKGFNKHVQGNPLFKEEDSSVAAADELPTEKIRQRDCRTEKQRSSARRMDRDIGWESKNRFSL* >Brasy4G307400.1.p pacid=40083654 transcript=Brasy4G307400.1 locus=Brasy4G307400 ID=Brasy4G307400.1.v1.1 annot-version=v1.1 MDALVSTALEEVCARLSHGLPVADLWPALRGALEAAGLPLGTPVKRALWARLLALPVISLVAGDGDGSPIAPGDPAGNDLEEAERRGVRLLSSPALRDNFLGMYDRRFAKSELSAVQKATLELVGASRTSGVTQNDLCKKFHMKGNNFYFIVKNLESQRLIVRQSTLIKVKDNGVEGEEASQNKQVINTNSLYLSRYAKDMNMNSHRRIEITKPELLGSYDETNIDVSQEDGAFGVNVKNDISVHDYLPAMKAICDKLENASGKALVVSDIKVDLDYKMAYGHRAWRNVLHRLRDARLVEEFNAKVNDKVVRCLRLLKKFDPIEFQPKRTASDYKVCQKSQATDQVMELPLDNCIYDMIKAQGSKGVTLVELGKRLGHNNSRRLHKRVSSMRQRFNLTWDAEVPDKTSQYRVWTSKNFLDYKSGTALQSFEALLDDHANCSDMWSLVPSKGLDSPSPHGNLLVNNELLSEEECHQVGHCLQSNHEACAGVSQLVTQDKLALDQKKRYRCPPSTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKMMDRKTLTRTLNKLQQQGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGDLSSELVDQIRIRQRNFDTETRSGAAAKMKQNQYTAAIPGLRISRRVKEKKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVTGLPSYCTPFGYAKEGHNDKALNQSSQLFSMAAAINEMPLELFLQVVGSAKKTDNMITKCRLGKVLSEIPTKEYNQLLDTHAKGRLSRLINILDKLKLVQLATELVDDSGVSSDAVPTHSMDLRPYIEEPRPRILPSSHDYGNQHPKIRHDFVLSKQEFVDAYWETLEYCYLTAGLAEPLSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQKRIMDMSGKGRIPFKDCHIIAKELNLSVEQVLCASSCQNRQLHGQPSFSAKQKQQGVNSGLIFQKRKRSADKVTMRFVKQKVESSGSTGKISAESTLDEDLPLRISASSTGKRFLWTYESDRKLLMIYTRFCAAHGPKCLWNSLSGLPAAPHTCRKRMAYLNKNRNVREAVVRICSLLREERRWKERGCHSHISNSSHGNCAESDSETFHWDYFEDPDIKNTLDEALEFIRIEKMNQTRGAGSKNKKSNEHNATEEIPSGHEKLVMQGSASASTADPETRLHEQAKLPRRSNATHASKSMDIPRISHEKVIKINKDEITRRDVCKSLAVANAIELLKLVFLSTSSGSEVQASLAATLQLYSKNEIFTAFSFLNEKKFMVSGNGTKPYTLSGKFFINASHSPFPFGSGKKASELSKWLEGQQKSIMDDRIYIYPDIECGEIIHLFSLVLSGELFISPSLPTEGVCEADEPNSSCPLVEDTSVLSDGTHKRKADTVEDESGETKKHKSTLGYRREKGFPGIRVALNQEGIQASSRVQVLHDKECLILTSAREISSKDVDSQVESPDMLLHLNNSNSCQRHFLSESQLENSYTGWPWDAMKIYAEQLHSLSCNQNESCILSSDLFRHAFCVIRQGGEGGVSLRELSQALHPLGTQLIDVIVDTLKRFHLAMEVNAYDGFQIVDSLHKSKYHITTLAESMHCSCSRPPASQNVETMGTRNLLKEKHAIWPVSSNQGTVKVLGDGHTVTVLSVQSKSSSQMCSQNPGDKETLCAATQDNRDSDCCHTCERHIYHPILPWINGDGSTNSTVYEGLSRRIIGYVMQYPGVVEEDVIHQMDVLNPQTCRTLLEKLILDKHLYVRVFDEPVPMVPIILRSLFSQGTCTGPSKSKRRFFANPTSTFML* >Brasy4G307400.2.p pacid=40083655 transcript=Brasy4G307400.2 locus=Brasy4G307400 ID=Brasy4G307400.2.v1.1 annot-version=v1.1 MDALVSTALEEVCARLSHGLPVADLWPALRGALEAAGLPLGTPVKRALWARLLALPVISLVAGDGDGSPIAPGDPAGNDLEEAERRGVRLLSSPALRDNFLGMYDRRFAKSELSAVQKATLELVGASRTSGVTQNDLCKKFHMKGNNFYFIVKNLESQRLIVRQSTLIKVKDNGVEGEEASQNKQVINTNSLYLSRYAKDMNMNSHRRIEITKPELLGSYDETNIDVSQEDGAFGVNVKNDISVHDYLPAMKAICDKLENASGKALVVSDIKVDLDYKMAYGHRAWRNVLHRLRDARLVEEFNAKVNDKVVRCLRLLKKFDPIEFQPKRTASDYKVCQKSQATDQVMELPLDNCIYDMIKAQGSKGVTLVELGKRLGHNNSRRLHKRVSSMRQRFNLTWDAEVPDKTSQYRVWTSKNFLDYKSGTALQSFEALLDDHANCSDMWSLVPSKGLDSPSPHGNLLVNNELLSEEECHQVGHCLQSNHEACAGVSQLVTQDKLALDQKKRYRCPPSTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKMMDRKTLTRTLNKLQQQGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGDLSSELVDQIRIRQRNFDTETRSGAAAKMKQNQYTAAIPGLRISRRVKEKKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVTGLPSYCTPFGYAKEGHNDKALNQSSQLFSMAAAINEMPLELFLQVVGSAKKTDNMITKCRLGKVLSEIPTKEYNQLLDTHAKGRLSRLINILDKLKLVQLATELVDDSGVSSDAVPTHSMDLRPYIEEPRPRILPSSHDYGNQHPKIRHDFVLSKQEFVDAYWETLEYCYLTAGLAEPLSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQKRIMDMSGKGRIPFKDCHIIAKELNLSVEQVLCASSCQNRQLHGQPSFSAKQKQQGVNSGLIFQKRKRSADKVTMRFVKQKVESSGSTGKISAESTLDEDLPLRISASSTGKRFLWTYESDRKLLMIYTRFCAAHGPKCLWNSLSGLPAAPHTCRKRMAYLNKNRNVREAVVRICSLLREERRWKERGCHSHISNSSHGNCAESDSETFHWDYFEDPDIKNTLDEALEFIRIEKMNQTRGAGSKNKKSNEHNATEEIPSGHEKLVMQGSASASTADPETRLHEQAKLPRRSNATHASKSMDIPRISHEKVIKINKDEITRRDVCKSLAVANAIELLKLVFLSTSSGSEVQASLAATLQLYSKNEIFTAFSFLNEKKFMVSGNGTKPYTLSGKFFINASHSPFPFGSGKKASELSKWLEGQQKSIMDDRIYIYPDIECGEIIHLFSLVLSGELFISPSLPTEGVCEADEPNSSCPLVEDTSVLSDGTHKRKADTVEDESGETKKHKSTLGYRREKGFPGIRVALNQEGIQASSRVQVLHDKECLILTSAREISSKDVDSQVESPDMLLHLNNSNSCQRHFLSESQLENSYTGWPWDAMKIYAEQLHSLSCNQNESCILSSDLFRHAFCVIRQGGEGGVSLRELSQALHPLGQRI* >Brasy4G239100.1.p pacid=40083656 transcript=Brasy4G239100.1 locus=Brasy4G239100 ID=Brasy4G239100.1.v1.1 annot-version=v1.1 MGFPARHQPQLELGHQPPPPRCHQEHDRPRRRVVRSRPRQRHGRALPDLLPPASRRRRHGLATQDQERRPPLADVLRRRAAAGRPAVHPVADHRPVRRRVIRVPAAGLRLRGQPVPHPCRHHAVVRELRLLPPAAGGLVYAAVGVRRHGCRVRAGVLPPGVRVPPVHLAPAERPRPVLGVHTGGRGARGDREHRGDAQEDTAGGRAEDAGGSRQARADTGVRQPEVHDGDGEGRLRRRRGCCRRQGGRDTHGQIGGR* >Brasy4G352800.1.p pacid=40083657 transcript=Brasy4G352800.1 locus=Brasy4G352800 ID=Brasy4G352800.1.v1.1 annot-version=v1.1 MLMNKNKLKKQGDQLLTLSDLRAEEPNANRWSSPIFDQMKLPGVTENFNETESDTHFGAKANNSDSGSKTVELGGAEEDMQDDSIGEQDIENFLENERKAAEEGDNYNIDSDLKPHKGMKFETKEKAQDFFNFYSYVAGFSVAIVSHYRTASRKRNNEIIRVTMKCNKHARSAEKEKGQVVAQRQSTVIARTNCKVEMVICERNAVWEITSLHLEHDHPLSPHSRFFRSHAYISEEEKELIRTLKKTNTPTRGIVAILAYIRGGMKQLPYNKKKVSNYATSINKEEENNDMMEALDFFNKKQAENPGFYYSFELDGDNKVRSMFWADSRSRIYYDVCGDCISFDTTFLTNKYNLPFAPFVGVSPHGNTYLFACAFIVDETSNTFKWLFRQFLTAMGGKHPQSIITDQDKAMQNAIGEVFPNTTHRTCLFHIKKKAEEKIGTCFQANQGLYEEFQDIIDNSLTEDEFETHWQEMIATFEVEHIKYFSDMWKSRHRFIPVYFKTNFFPFLQTTARSEGMNALFKKGVGAKFSITSFLREYQRILDTIHAREDECDHNTSNKKVSEKKYWSKYFIERQAHNLYNLGIFRKFQKKLEDVTRLQLLEQQAGKEYLVFQALNYPIKEHRQRHFLVQVDLGLEEYSCICCMFQKDGILCSHILKVMLHLNVEKIPEKYIIERWRKKDKKIVKTAPPQIDTDSSSLRFNVLSRKFVVVASSGSKTKAKYNYLLQEIPRLEEGMAQLDIQEEANSAEHSNNARTITSETILEHGESSDGTIQMQDPNKAHTKGRPRMMTIRERIKENKFYKCSHCNGNDHTYRKCPNKHLQFDKPRRRRGKNTNPRNTGILCSKKLKTPF* >Brasy4G284700.1.p pacid=40083658 transcript=Brasy4G284700.1 locus=Brasy4G284700 ID=Brasy4G284700.1.v1.1 annot-version=v1.1 MATLPGGTHPASAGAPPDSMQVDQPHAAAAAPLEEKHGAYVIQGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGQTVAIKVLQDKRYKNRELQIMQSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMKQRMPLIYVKLYVYQIFRGLAYIHTVPEVCHRDVKPQNILVDPLTHQVKICDFGSAKMLVKGEANISYICSCYYRAPELIFGATEYTTSVDIWSAGCVLAELLLGQPLFPGESTVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFRK* >Brasy4G322700.1.p pacid=40083659 transcript=Brasy4G322700.1 locus=Brasy4G322700 ID=Brasy4G322700.1.v1.1 annot-version=v1.1 MDVVQTLASATQLVSAMVSAVGALEQAAADAHEAPRRLQVLENFVSDLEVLVQQAKQKHAHKMHGPQLERQFQSLSGLMDQLHVNITKARRAMKKGKGKGLARVMWSSVVGDPLMKYIQLIRDDLNWWLELQKLTQSVSNVIAATAKGTPSLVRVKSENGYPVSEKCDYVREILERDAGHRVVLIVGLSGIGKSCLARQIASAPPGNFVDGAIELSFGRWCSRAACNGSRSEFHKRLVRKICKFLVQIGSMTVNEDISKDLEDVCCLLQTALVSRSMLILLDDVWEQDIVDRFTRLYDNDCRYLVTTRDEAVYEIAEAQKVEISKEDIKKISKGILLYHSLLTVEELPHVADVLLDRCGHHPLTVAVLGKALRKETKVDKWEKAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWEEPIPEACLESIWSVLVQDSLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLENKQSDAVHTLLTDSFPEYAALVAPWLFIFGKDSAKVPAEQKIRSFFSLLEFMEIEILLASTTQALMACKSISEFESGRLGFSKMLGPRIAELISVGSATLIVAVAKTITVVFFQGDYANLSQSLETAGSVDKLIFVLSGHEDSSTVANVSAVLAKVSEHVSATIADEILASIPMDRMAKLLSPENEEWHEIVFTTLASLIKVGKLKAVESMIEAGIDKKLLILLGCGSEISQHHAIITLKTFCELGAPLQECMGPGLLIHLPWQARLSLERFVLTNQNVAPSPKPQYFEVLLHRILQTDNKEIIEAIQGLLPLAERANDPRVQGLLLGSNLCDRLSCLLECREIGNNQVRSQTAFLVMKLACTGGEPYVRRFLDLNIVHELIAMMQCTTDELQDSAYHALNQIVYAKGGTLVLQRFLQLGTIEKLVNLLDRNCAKTKDLVVQLLIDIAAVGTKPCIERMLASQVIEKLVALEKIGGCFSGAVSRYIHGLNMCKNIQSAERAVMKQHILRKVRSAARGDNLEASLVASVEACISEGTKGASSSGRRNK* >Brasy4G247900.1.p pacid=40083660 transcript=Brasy4G247900.1 locus=Brasy4G247900 ID=Brasy4G247900.1.v1.1 annot-version=v1.1 MTSTAYSRPSKLPGGGGGERRLPPRLMRGFTAKIEPKKLGAGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALLQNSPPSSSVPETVEASIPPPEETTLLGPKKDNTAADPVDVAETGPAMQGSQEPGLPEAVSRKDDAAAAATSEPKLSEVKGEELEKSNVAAARDGTAKAKMTCDENGVDEGFPYARPAVCELSGDIRVSPKQKTMYLVNPSGAATGFDEKGEKRLRPYARNDDFLLPGVVEVTVKSVPSVAAAPQCTKQHAVPAVVFSVAGYTDNFFHDNTDALIPLYLTTAHLKGEVQLLITNYKPWWVQKYTPVLRKLSSYDVINFDEDAGVHCFREGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRGVFELRRERPAVLGEEPGMRPRMLIISRSGTRKLLNLDEVAAEASELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPTDAVVLQIVPWGNMDWQATNFYGQPAREMQLRYVEYYVGEEETSLKDKYPRDHMVFKDPKALHKQGWQTLANTIMKQDVQVNITRFRPFLLQAIDKLQP* >Brasy4G305900.1.p pacid=40083661 transcript=Brasy4G305900.1 locus=Brasy4G305900 ID=Brasy4G305900.1.v1.1 annot-version=v1.1 MKNLHFPRRSESAASGSHSTTHLSPPLYAPSRPLPELLGLPPPLLRRLPIPHELRHGPRDVPPEHQHGLVLKQLHGRQARTDGNSDAEHVHDQLLVQELIGEMRPYHHRHAVRHGLHHRGSSRSGSRTRPRRGAPGWPPAAPSRAPRGPALPCASPGPPATLAPLARPPRTPSPPTVTASE* >Brasy4G155700.1.p pacid=40083662 transcript=Brasy4G155700.1 locus=Brasy4G155700 ID=Brasy4G155700.1.v1.1 annot-version=v1.1 MQRNGVTECTVCRSRLVVPSPRSVSRAYDKHHNKISSKFRALKFLLVVGDCMLVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVFFAIVMLIIQSRKQKVGEKPLLARSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQWEALALLLIGISINQLRTAPAGNTAFGLPITAIAYIYTLVFVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTAIFQGPENFNILQGHSRATLFLICNNAAQGVLSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEILELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT* >Brasy4G155700.5.p pacid=40083663 transcript=Brasy4G155700.5 locus=Brasy4G155700 ID=Brasy4G155700.5.v1.1 annot-version=v1.1 MQRNGVTECTVCRSRLVVPSPRSVSRAYDKHHNKISSKFRALKFLLVVGDCMLVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVFFAIVMLIIQSRKQKVGEKPLLARSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQWEALALLLIGISINQLRTAPAGNTAFGLPITAIAYIYTLVFVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTAIFQGPENFNILQGHSRATLFLICNNAAQGVLSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEILELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT* >Brasy4G155700.2.p pacid=40083664 transcript=Brasy4G155700.2 locus=Brasy4G155700 ID=Brasy4G155700.2.v1.1 annot-version=v1.1 MQRNGVTECTVCRSRLVVPSPRSVSRAYDKHHNKISSKFRALKFLLVVGDCMLVGLQSRKQKVGEKPLLARSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQWEALALLLIGISINQLRTAPAGNTAFGLPITAIAYIYTLVFVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTAIFQGPENFNILQGHSRATLFLICNNAAQGVLSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEILELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT* >Brasy4G155700.3.p pacid=40083665 transcript=Brasy4G155700.3 locus=Brasy4G155700 ID=Brasy4G155700.3.v1.1 annot-version=v1.1 MQRNGVTECTVCRSRLVVPSPRSVSRAYDKHHNKISSKFRALKFLLVVGDCMLVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVFFAIVMLIIQSRKQKVGEKPLLARSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTAIFQGPENFNILQGHSRATLFLICNNAAQGVLSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEILELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT* >Brasy4G155700.4.p pacid=40083666 transcript=Brasy4G155700.4 locus=Brasy4G155700 ID=Brasy4G155700.4.v1.1 annot-version=v1.1 MQRNGVTECTVCRSRLVVPSPRSVSRAYDKHHNKISSKFRALKFLLVVGDCMLVGLQSRKQKVGEKPLLARSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTAIFQGPENFNILQGHSRATLFLICNNAAQGVLSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEILELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT* >Brasy4G389800.1.p pacid=40083667 transcript=Brasy4G389800.1 locus=Brasy4G389800 ID=Brasy4G389800.1.v1.1 annot-version=v1.1 MRARVSSEQRVLLFPIPPFPNLILSASCGSRWRRQQRKAQGAAAAAAKGAQGARGGGSGERSAGLRPQRRGCAGRQGRWQRRRERRVRGEAEAAKGAGRLCWWGVAQQLQRGSRARLRQRVATAEEQGMGSLVPSSHQRAVTPRSHSQ* >Brasy4G234300.1.p pacid=40083668 transcript=Brasy4G234300.1 locus=Brasy4G234300 ID=Brasy4G234300.1.v1.1 annot-version=v1.1 MSAAAAAAAEMAVADAAAAAAAGKGKEKVDEPATGSSKGRFLAYPPRMAEHKAVVADAALFRAALERLHAHMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQQYFFGAQGWHEQETDPRSMSCVEVRAETQATQKRKRGNSGPSDPASSSDNVDVDVLIDGKFEHGYIVTVTTGSKSTKAILYNFTEEPALATPAPAIAINNTDLKGGRRRKRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRMLKPEYPGRDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYQAQLAAYREEIRTGQPISNAVPIQQRFPRTEVTIDEVDSNVSKGDMLLSNQGYNNSSDESDDSGGKLVEDEEFNTETSPEPSMETTDSPGQLNPSADGDQFELRRRENPKENEKQNAPPS* >Brasy4G234300.2.p pacid=40083669 transcript=Brasy4G234300.2 locus=Brasy4G234300 ID=Brasy4G234300.2.v1.1 annot-version=v1.1 MSAAAAAAAEMAVADAAAAAAAGKGKEKVDEPATGSSKGRFLAYPPRMAEHKAVVADAALFRAALERLHAHMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQQYFFGAQGWHEQETDPRSMSCVEVRAETQATQKRKRGNSGPSDPASSSDNVDVDVLIDGKFEHGYIVTVTTGSKSTKAILYNFTEEPALATPAPAIAINNTDLKGGRRRKRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRMLKPEYPGRDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYQAQLAAYREEIRTGQPISNAVPIQQRFPRTEVTIDEVDSNVSKGDMLLSNQGYNNSSDESDDSGGKLVEDEEFNTETSPEPSMETTDSPGQLNPSADGDQFELRRRENPKENEKQNAPPS* >Brasy4G265500.1.p pacid=40083670 transcript=Brasy4G265500.1 locus=Brasy4G265500 ID=Brasy4G265500.1.v1.1 annot-version=v1.1 MDLRTAFSCALLLAVTLLPPSANASSKLYIVYLGEKKHDDPTVVTAAHHDVLTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEIIAKFPEVLSVKPNTYHKAHTTQSWDFLGMDYYKPPQQSGLLQKAKYGEDVIIGVLDSGIWPESRSFDDTGYGPVPARWKGTCQTGQAFNARSCDRKIIGARWYSKGLPAELLKGEYMSPRDLGGHGTHVASTIAGGQVRNASYNNLGSGVARGGAPRARLAIYKVLWGGGARGAVADTLAAVDQAIHDGVDVLSLSLGAAGFEYYGTLHAVQRGISVVFAGGNDGPVPQTVFNALPWVTTVAASTIDRSFPTLMTLGNKEKLVGQSLYSVNSSDFQELVVISALSDTTTNVTGKIVLFYAPSESDVKFVMPRLTIRKVFNHTAMSRAKGLIFAQYTENLLSSLDICDGILACVLVDFEIARRIASYSTSTRTPVIKVAPAITIVGEGVLSPRVAAFSSRGPSATFPAILKPDVAAPGVSILAAKGNSYVFMSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVIDHFGAPIEADGIPRKLADPFDFGGGHLDPDRAMDPGLVYDIDGKEYTKFLNCTIRQFDDCGTYMGEMYQLNLPSIAVPDLKDSITVRRTITNVGPVEATYRAVVEAPTGVAVSVEPSVITFTRDTSRSVVFKVRFTAKRRVQGGYTFGSLTWLDGNTHSVRIPMATRIVIQDMMAVSDVS* >Brasy4G265500.2.p pacid=40083671 transcript=Brasy4G265500.2 locus=Brasy4G265500 ID=Brasy4G265500.2.v1.1 annot-version=v1.1 MDLRTAFSCALLLAVTLLPPSANASSKLYIVYLGEKKHDDPTVVTAAHHDVLTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEIIAKFPEVLSVKPNTYHKAHTTQSWDFLGMDYYKPPQQSGLLQKAKYGEDVIIGVLDSGIWPESRSFDDTGYGPVPARWKGTCQTGQAFNARSCDRKIIGARWYSKGLPAELLKGEYMSPRDLGGHGTHVASTIAGGQVRNASYNNLGSGVARGGAPRARLAIYKVLWGGGARGAVADTLAAVDQAIHDGVDVLSLSLGAAGFEYYGTLHAVQRGISVVFAGGNDGPVPQTVFNALPWVTTVAASTIDRSFPTLMTLGNKEKLVGQSLYSVNSSDFQELVVISDTTTNVTGKIVLFYAPSESDVKFVMPRLTIRKVFNHTAMSRAKGLIFAQYTENLLSSLDICDGILACVLVDFEIARRIASYSTSTRTPVIKVAPAITIVGEGVLSPRVAAFSSRGPSATFPAILKPDVAAPGVSILAAKGNSYVFMSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVIDHFGAPIEADGIPRKLADPFDFGGGHLDPDRAMDPGLVYDIDGKEYTKFLNCTIRQFDDCGTYMGEMYQLNLPSIAVPDLKDSITVRRTITNVGPVEATYRAVVEAPTGVAVSVEPSVITFTRDTSRSVVFKVRFTAKRRVQGGYTFGSLTWLDGNTHSVRIPMATRIVIQDMMAVSDVS* >Brasy4G247800.1.p pacid=40083672 transcript=Brasy4G247800.1 locus=Brasy4G247800 ID=Brasy4G247800.1.v1.1 annot-version=v1.1 MTGAKGSSCRTEEGGALPPAVCWSTERMVADLLGMEAAAACTSRERNGKRGFRVQEDFGRLIYDGRSHRDAGLRPVGCLLGLFSLWLRITGPGRVFFGLKIKARARPENCSGWVVGPGCPCPGIFLTHLTSPSWLLFEYRCVSVSDFMNLIIICIV* >Brasy4G308000.1.p pacid=40083673 transcript=Brasy4G308000.1 locus=Brasy4G308000 ID=Brasy4G308000.1.v1.1 annot-version=v1.1 MSLWSSALVSRCLLPHTIIIFSFESIHPATDAERPGDGAPVRSDDVRDRRSPGQERRRVLLLLLRLLLQHPGLPVLRLNLIPMRLLDRW >Brasy4G207600.1.p pacid=40083674 transcript=Brasy4G207600.1 locus=Brasy4G207600 ID=Brasy4G207600.1.v1.1 annot-version=v1.1 MAAAREAPSRAAPSVATAAGVLLLLAVLLTEQVVVCSALSIGVNYGQIANNLPSPGRVSWLLQSIKISKVKLYDADPHVLRAFLGTGVEFVVGIGNEHVPSMVSPAAAHAWLQQHVAPHLRAGARITCVTVGNEVFKGNDTALQSALLPAMRSVHQALGALGLQGRVNVTTAHSLDIMGVSYPPSAGAFRPGAVSQHLRPYLGFLSETGAPFLINCYPFFAYKDDPARVPLEYALFQPNAGVTDPNTGLNYDNMLYAQVDAVYAAIQALGHTDVHVKVSETGWPSRGDPDEIGATPEHAGTYIRNLLQRIEMKQGTPLRPAVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPVESSDNGARRQVFHLLALLLSIASVAFALS* >Brasy4G094700.1.p pacid=40083675 transcript=Brasy4G094700.1 locus=Brasy4G094700 ID=Brasy4G094700.1.v1.1 annot-version=v1.1 MTTRPDGGGGAADKQLVPVAANGNGALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGSGTTPASFSTSSPSSIRSSSHSAAAAAQAQQLGAGGAHHQHHHLLPHAAPFILGKRVRGGEDDDDGGHGSDQGPAAVSMGPAPGFWALPARAADFGQLWSFAAAPEMMVAAAAAPAMAGEASAARVGNYLPMGQGVNLIASLGGPGPAAGPPAGRAEEEAAR* >Brasy4G417200.1.p pacid=40083676 transcript=Brasy4G417200.1 locus=Brasy4G417200 ID=Brasy4G417200.1.v1.1 annot-version=v1.1 MLALLLLLLIIHGVCSSVCCSVIPNDDSTDKLSLLDFKRAITRDPTQALRSWNASIPHCQWEGVTCSLSYRGRVTDLSIPDLGLAGTVSPSLGNMTFLQTLDLTNNSFTGELPPLKRLHRLQRLWLGSNSLQGMISDTLANCSNLEGLYLSSNCLIDLSNNMLEGALPSNIRDGPGSPDL* >Brasy4G352400.1.p pacid=40083677 transcript=Brasy4G352400.1 locus=Brasy4G352400 ID=Brasy4G352400.1.v1.1 annot-version=v1.1 MGSSVPYSRSCLARGLPSDQESTCQTSSGRFLTVPVEQIDMGSKQLSGARGQRACTRSHDCADCTKRVRGNGSRVLCSQENAMQDVHVDESPGAVLLGPFG* >Brasy4G135300.1.p pacid=40083678 transcript=Brasy4G135300.1 locus=Brasy4G135300 ID=Brasy4G135300.1.v1.1 annot-version=v1.1 MAQNAAAGVNHGKGLGMPETSSRCVTERGAHNFEVTNCALLVGMGTGSYVSSSTFCVGGFDWIIRFYPGGASNAPAGYASAFLDYLSETKNVRAKWTMTMLDKDGEVVVNKETLQTRIFSPEISDATNTGWGWPEFVEKLKLEPPPSDDQLGHGVCFTIRCVVTVIKEPPADECKEKPFEIPPPELPGQLQRVLKDGKGADVTILVGGREFSAHRFMLATRSPVFDAQLFGPLAEKDDTRCTAMEVVDMEPAIFEMLLHFIYADSLPPCDGEEGYGAAEMQHLLVAADRYGLDRLKAMCEEKLCKTIDVNTVTSTLALANQHFCERLKNACEEFMSKPGRASMVMLSDGFRRLVAGCIPLVLENK* >Brasy4G409800.1.p pacid=40083679 transcript=Brasy4G409800.1 locus=Brasy4G409800 ID=Brasy4G409800.1.v1.1 annot-version=v1.1 MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHEKKSIRDDTLDAKFHTPIQYERDVTTALGTTKWQLEQFEREVDAAAHTDKSNSRENSILKFRQFIRAITEQISGVEESLKNLRTDFNRTLKYPYSSEQDGDELASFLSGGNKDSRVYYSTDTDEITEIKHDSAPTVNGYHSAQEHTAYELRYSGTDVEGASKLQCSCGESACEGDHNDSSLCSFDADYSAGRSHRYKNKLGRQYRSFLRNLWFTKRGRESFTKRRKDGEVMGGTRNGNILPSFNLHTSGRPMYCWPELIKQRLSTSECSARYNYRQVRVATAVLVALAVLGLLVLHAR* >Brasy4G129000.1.p pacid=40083680 transcript=Brasy4G129000.1 locus=Brasy4G129000 ID=Brasy4G129000.1.v1.1 annot-version=v1.1 MEIKIDEEAPEVVVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVSRKIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHNKPVGLLNVDGYYNPLLAFIEKAVEEGFIKPTAQHIIVLAPTPKELIEKLEEYSPRHEKVVPRMKWEMEQLSYSQNCEIPVAKEGKVIMEAQLGNTVWM* >Brasy4G129000.2.p pacid=40083681 transcript=Brasy4G129000.2 locus=Brasy4G129000 ID=Brasy4G129000.2.v1.1 annot-version=v1.1 MEIKIDEEAPEVVVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVSRKIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARQSDAFIALPELLEVIAWAQLGIHNKPVGLLNVDGYYNPLLAFIEKAVEEGFIKPTAQHIIVLAPTPKELIEKLEEYSPRHEKVVPRMKWEMEQLSYSQNCEIPVAKEGKVIMEAQLGNTVWM* >Brasy4G399500.1.p pacid=40083682 transcript=Brasy4G399500.1 locus=Brasy4G399500 ID=Brasy4G399500.1.v1.1 annot-version=v1.1 MDPAAPHLVDEILEEIFLRLPTPAALARTSMASVSFRSIITERSFLRRYRKRHPPPLLGFVDPGGHHLPLGGVVDPRGFHPAQAHHPSAPLTRAIANAADFTYSFVAKPLDRDGWRPSDVRDGRVLLKAIPRCDIFPILAVCDPLSRRYLLLPLIPEGLAEGEDPHDTVPILAPIREEDEDETSFKVICVSCFETKLVAFLYSSVTRQWCIAASLSYPFDEIMPSSGYMDLSCFYYAHGCFYFPSICMNELFLLDTQRMEFSTVGDPTGYHVQLRHMPHQEDEALDFTGRNRPGQSRYQPCCVVVGREGALEMFSLVGDHSPNGSFHLYHTTLPNNAEGFFFLGATTEDQLDIDDHCPAGLSIVWDVDYFSLEVQTSKLTKVCRRRREFFHYEDVYWYFGFPPSLSKPSI* >Brasy4G138800.1.p pacid=40083683 transcript=Brasy4G138800.1 locus=Brasy4G138800 ID=Brasy4G138800.1.v1.1 annot-version=v1.1 MASNANSAINLAGQSPPKTSSRCVTESSTATHDFELDNYRLLDGMGVGNHVSSGIFGAGGYSWVIKFCPDGLKEDCAGYASAYLKCLNPTEDVRTKFDINLLQEDGEVQLTNYIGEAIHIYSPGKTSLGYTKFVEKSKLKSATQSGSGSLIIRCVLTVIIKHSTEVKRKTNLVVVPHPNLQGHLRQMWKDEQGADVTFNVGGQLFTAHRCLLAARSPVFKAELFGPMKEKSTQLVKIDGIEPPIFEALLHFVYTDSMLDDEHHKEGSTAELQHLLVAADRYGLDRLRVLCESELCESIEVETVAITLVLAEQHHCKDLQEACLEFMAPRNVLRAVMATDGFNHLVESCPLLMKEILEKLSRNE* >Brasy4G067900.1.p pacid=40083684 transcript=Brasy4G067900.1 locus=Brasy4G067900 ID=Brasy4G067900.1.v1.1 annot-version=v1.1 MPPGRWFRDYARAPAPAGYLSTRSGFNNYGGIENLDVIDTCVNDLHEKRRSKREAGIAGLVGALEAFVPMDRIDYRCLTVLEGCKASLLGRKEPALLAYRAIGLLALTVGANRDCSKEILAAVLALPVLSKPRSMSGGTARAALDCLAVVTFGAARCPEDAEPSIGIIWAVIKHNLAGTNASDTNMVLNAAVSAWALLLTTLGGDMRTHRHAWKEAMFPYGDLALAVCAELNLTRHATPKDMQALESKVADLASGSRGVEEEYELTAGQCVMFRQIAAMVKAKQEQGDGWEEELMMRRSASSQRRVLKVSKWARLVQLNFLKRFLDKGFVKHVRHNPLLQENSSNAAGADEASEVPTDGKSKQCRFGREKQWSVDLRRDRMIDGTEAMVQSGCFCPHNPYYRTSAYKPVRV* >Brasy4G182000.1.p pacid=40083685 transcript=Brasy4G182000.1 locus=Brasy4G182000 ID=Brasy4G182000.1.v1.1 annot-version=v1.1 MGNPPKNFSMLIDTGSSIPWVSCQPQGAPELSAQPGLFDPKLSSSSALLACSDSRCKDLIRGGYGMCRRDICEFDLRYGPLSAEYSGTTGYYLSDVVGLESFEGSTSVKTSSAPILFGCSTYRSGELSEDTFDSILGFGPFPLYYYQLNMESISVNGHKLDIDKSVFNPSAKQGTYVDSGTTLAYLAVGAFEPFIDAIAAAVSPSVHRVFKNGDICFVTSSSLDSTFPSLTLNFAGGAAMMLTAKNYLRSINIVLMDKIIVHDLQNMQLGWMDYDCSQSVRVISQPRKKNANSAHVPHGASVLTVVAIVFAHIYAS* >Brasy4G029400.1.p pacid=40083686 transcript=Brasy4G029400.1 locus=Brasy4G029400 ID=Brasy4G029400.1.v1.1 annot-version=v1.1 MATAVTASATAAARFTRLSGIGLLRRGGRCRLPTAVRFQRPGLTTTALLRPTELKPKDLGQPETLDYRVFLVDGAGRKVSPWHDVPLRAGDEVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTAANADVEGALGDNDPVDVVEIGERRANIGDVLRVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIQETNESWEKLVKRNIPAGELSLA* >Brasy4G086100.1.p pacid=40083687 transcript=Brasy4G086100.1 locus=Brasy4G086100 ID=Brasy4G086100.1.v1.1 annot-version=v1.1 MSGWDEGAVFYSDQAQFPRGGDPGGAEITRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELADKIRKAPADYLPLFETAGSDVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLICKNCRSVRTVPCRPGLGGAIVPRSCDHLPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVFQASGTANHKGAVGVKQPYIRIVGLEQSRDDNSNGPSNFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHALLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSNSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPISGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYEQDKRIANHIIKVHASGAATSSKNMEANANEGENWLKRYIEYCRNTCRPRLSEKAAEMLQNKYVEIRQKMRQQSHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHIEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLLDELNRMGMNESIVRRALVIMHQRDEVEYKRERHVIVRKA* >Brasy4G299000.1.p pacid=40083688 transcript=Brasy4G299000.1 locus=Brasy4G299000 ID=Brasy4G299000.1.v1.1 annot-version=v1.1 MDDVDFDATLSETGEHEDEDMSTPTVSLSPEPVKHRLEDSTPTPTPSLSPSLDANPSTISRPPVPIPPSRSGRLGYLLGVPPISRKTAAGGSGSGSSSSRRPAAARAVARVRAMARSPSPLGGAGRGRGSGAATSSGTASKQSAKGKGKSPCDTSCNSQAATRDGLSESVDEDCVVVLDKEDQT* >Brasy4G302200.1.p pacid=40083689 transcript=Brasy4G302200.1 locus=Brasy4G302200 ID=Brasy4G302200.1.v1.1 annot-version=v1.1 MFAASRSLQLLLLLNAGCFLLSILPFDVAAAAAPPFSFSFDFSNSSTYDLQDLHFEGNATHDLDGKLVDLTCNTIDSIRNCTGRISYADPVPFYNNGIVASFATRFTFRVSWLPGQESRKGDGMAFFLTGYPSDMPPDSEGGGLGLMNSDNSIAIGNHRFVAVEFDTHNNSYEYPAPKSLDHIAINLNSVRNSFNMTRLPSFSIQGTMTASINFDGNTRRLVASLRFDDRPTAPPVEVSAQLPEPITALLPPEVAVGFSASTGQLVELHQILSWSFNSTLAPKKQKASTGGGLIIAAIVGGFVVFVVVVWFILAWFMRKRGRDSLMAGTGQRRFGYRDLVNATDNFSEKRKLGKGAFGAVYRGTSLKGHEGQVAVKKIFKGSIGGPQNFLDEINTISKTKHKNLVSLEGWCCSSSGSWNLMCWCCQKQDDHNIFLVYELMPQGNLHDQLHKDDTILPWPTRYKIVKGIGSALLYLHHECDPYILHRDIKPENILLDNDYNAKLADFGLSRIAGHNNATVVTNAVGTRGYMDPQCMKQGKVRFNRSTDLYSFGIVLLEIACKCNKSREGVWNLYGNETAERMVEAVADESLGGNFDRAQMHRVLVLGLWCSLPDGAQRPSIQDVMRILEHDDAPLPDLASSAADSST* >Brasy4G302200.2.p pacid=40083690 transcript=Brasy4G302200.2 locus=Brasy4G302200 ID=Brasy4G302200.2.v1.1 annot-version=v1.1 MFAASRSLQLLLLLNAGCFLLSILPFDVAAAAAPPFSFSFDFSNSSTYDLQDLHFEGNATHDLDGKLVDLTCNTIDSIRNCTGRISYADPVPFYNNGIVASFATRFTFRVSWLPGQESRKGDGMAFFLTGYPSDMPPDSEGGGLGLMNSDNSIAIGNHRFVAVEFDTHNNSYEYPAPKSLDHIAINLNSVRNSFNMTRLPSFSIQGTMTASINFDGNTRRLVASLRFDDRPTAPPVEVSAQLPEPITALLPPEVAVGFSASTGQLVELHQILSWSFNSTLAPKKQKASTGGGLIIAAIVGGFVVFVVVVWFILAWFMRKRGRDSLMAGTGQRRFGYRDLVNATDNFSEKRKLGKGAFGAVYRGTSLKGHEGQVAVKKIFKGSIGGPQNFLDEINTISKTKHKNLVSLEGWCCSSSGSWNLMCWCCQKQDDHNIFLVYELMPQGNLHDQLHKDDTILPWPTRDIKPENILLDNDYNAKLADFGLSRIAGHNNATVVTNAVGTRGYMDPQCMKQGKVRFNRSTDLYSFGIVLLEIACKCNKSREGVWNLYGNETAERMVEAVADESLGGNFDRAQMHRVLVLGLWCSLPDGAQRPSIQDVMRILEHDDAPLPDLASSAADSST* >Brasy4G302200.3.p pacid=40083691 transcript=Brasy4G302200.3 locus=Brasy4G302200 ID=Brasy4G302200.3.v1.1 annot-version=v1.1 MFAASRSLQLLLLLNAGCFLLSILPFDVAAAAAPPFSFSFDFSNSSTYDLQDLHFEGNATHDLDGKLVDLTCNTIDSIRNCTGRISYADPVPFYNNGIVASFATRFTFRVSWLPGQESRKGDGMAFFLTGYPSDMPPDSEGGGLGLMNSDNSIAIGNHRFVAVEFDTHNNSYEYPAPKSLDHIAINLNSVRNSFNMTRLPSFSIQGTMTASINFDGNTRRLVASLRFDDRPTAPPVEVSAQLPEPITALLPPEVAVGFSASTGQLVELHQILSWSFNSTLAPKKQKGGGLIIAAIVGGFVVFVVVVWFILAWFMRKRGRDSLMAGTGQRRFGYRDLVNATDNFSEKRKLGKGAFGAVYRGTSLKGHEGQVAVKKIFKGSIGGPQNFLDEINTISKTKHKNLVSLEGWCCSSSGSWNLMCWCCQKQDDHNIFLVYELMPQGNLHDQLHKDDTILPWPTRDIKPENILLDNDYNAKLADFGLSRIAGHNNATVVTNAVGTRGYMDPQCMKQGKVRFNRSTDLYSFGIVLLEIACKCNKSREGVWNLYGNETAERMVEAVADESLGGNFDRAQMHRVLVLGLWCSLPDGAQRPSIQDVMRILEHDDAPLPDLASSAADSST* >Brasy4G101200.1.p pacid=40083692 transcript=Brasy4G101200.1 locus=Brasy4G101200 ID=Brasy4G101200.1.v1.1 annot-version=v1.1 MAARHLRSGLPLLQAHLAATESAAVSQVSRGFSSQAAKPAGKKIKVPEALYGGTGNYASALFLSAAKANSLDKVESEIRDVVGASKKSPMFSQFIKDSSVPKETRVKAITEIFSEAGFSDITKNFLAVLASNGRLKYVERIAERFVDLTMAHKGEVKVVVRTVIPLPDKEEKELKETLQDILGKDKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMESFLRQPIDI* >Brasy4G047300.1.p pacid=40083693 transcript=Brasy4G047300.1 locus=Brasy4G047300 ID=Brasy4G047300.1.v1.1 annot-version=v1.1 MARALGDALLFSAGAAVATVLLLTASFFFSLPDEYWASVDQLGSSASMSLGVRTFYDDPEVSYTMDRPIAGWDEKRAAWLRAHPELHQHAARGEGERVLMVSGSQPAHGGETTSSRGCSRTSWTTAASKACSCSTTRRCSGPPWAGTGPSSPWSGPPWWPTRRRSGGHNLVVHGWPRLVYDNAAPSWTSLNAGVFLLRNCQWSLDLMDAWAAMGPDSPEYQRWGALLTKTFKDKAFNESDDQSALVYMLLQERSPCRRKVFLEHDYYFQGYWLEIVPRLGNITQRYEAMESQAAPEMRKRRAEREHGRYAKAREAALQGAGLAETGLRGWRRPFVTHFTGCQPCTGHRNENYSEESCDEGMRRALNFADDQVLRAYGFRHAGPLSDDVTPLPFGYPAKQ* >Brasy4G353800.1.p pacid=40083694 transcript=Brasy4G353800.1 locus=Brasy4G353800 ID=Brasy4G353800.1.v1.1 annot-version=v1.1 MGMRVPGWVGGLVEESFFGGCPSHESRKKNERNIFCLACCTAICPHCAPAHRHHPLLQVRRYVYNDVVRLDDLEKLIDCSYVQPYTINSAKVIFLKPRPQSRPFKGSGNICLACDRILQEPFHFCCLSCKVDHVVMQGGDLSDILLYRAGDELPFPRFENLHVGDANAGPATPNSILEDPAHRRGGSGGSSGNAGNDDGGGGEELVVKRKKGGGFLPQIMLSLGSRRKGAPHRSPLA* >Brasy4G336400.1.p pacid=40083695 transcript=Brasy4G336400.1 locus=Brasy4G336400 ID=Brasy4G336400.1.v1.1 annot-version=v1.1 MSDHSNEVMVISDDDGSSDGAGAAEGSPIHPAPAPELVVGEDHPAPELAGLVQVHPVPEGIEVIEIDSDDSNSNSIPDGGSDHSSSVQIVHPVPQIQFHLVYPVPQMEAEAHPVPDQEIQLIILIDSDSDNEHGHGKQHDVIANNDVGDQDLPALPASPAAVEALPEAPVNQDEALSACAVCSEVFAAGELAVWLPCNHYFHGDCIRPWLAIRGTCPVCRGQLPRNDGAGGADQGAAPQA* >Brasy4G038600.1.p pacid=40083696 transcript=Brasy4G038600.1 locus=Brasy4G038600 ID=Brasy4G038600.1.v1.1 annot-version=v1.1 MKPDWSIFSKLDHNGGYLPKFPIDSPISHDMGLGLISQVGTLVESSFQHPRHLCSTGTGAVQEAFSCFHKVAGALFCLSRASNPKILHRLSSIAGSGSRACRSQIKQVTSCMQHFAGLQFGSQGREEHAIQMLLARLSNATVGRLWNEAEERHACNILMLAAATVIPPFENISPKMLIDSMTLGKDGGQIREQVDQPYLDERRPGCACVAVPRTILAEDATEPKTGIKFPTLLEDDSNPTAEVLVGMGFRSMKIMRVKNLNLYAFGLYIQPDSICNKLGPKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGAVRDAFEKSLCFRLQKMNPNTDYRCLKTFGSYFSEDIRIPVGTKIDFRQTSDGQLITEIDGKQIGAVQSRDLCRAFFDMYIGDPPVSVETKQDIAQNVGGLIRRC* >Brasy4G206100.1.p pacid=40083697 transcript=Brasy4G206100.1 locus=Brasy4G206100 ID=Brasy4G206100.1.v1.1 annot-version=v1.1 MKGDTRAPAAWRGAGAGKDGRARRRKSRWGGPSGQGRSLVKFLVDSDTGPAVAMKVLDKATIVNHRMMHQVAALPSFLLNPSALQERKLLVHTTATVSCRTSAVMAWGN* >Brasy4G404100.1.p pacid=40083698 transcript=Brasy4G404100.1 locus=Brasy4G404100 ID=Brasy4G404100.1.v1.1 annot-version=v1.1 MEARVRRRGGMRAMLLLVFMVMSAAAAAERSSDIVGFGVGAGEGEDEAAQDERFLKLWKERAGAGGGDEEEDHLIKWYGDNNDSDDDGDDDEEEYEKLDGIMLGETTARCRAGHSKCRNVVSVDSFGAAGDGTADDTEAFRNAWKKACSLSNAVLVVPAGRRYKVGAMRFMGPCKEKLVVLIQGAIVAPEEPEDWDPASPRSWLLFGGIVGARIQGGGIIDGSGSKWWASSCKIDKSKPCRGAPTAVTIDSSRSVRVRRLRIQNAQQMHLTVSRSRAVSVSGVIISAPRDSPNTDGIHVSESTAVTITSCRIGTGDDCISISNASFAIKMKGIVCDPGHGISIGSLGQGGSYAAVEGVTLDNARISNAQNGVRIKTWQGGTGYVRGVRFSNVLVDGVDHPIIIDQFYCDSRTPCQNQSSNVQVSDVMYRNITGTSTRAEAIKFACSETVPCSDIVLSNINLLREDGAELQTVCNCVMGFDYGPVRPPADCLRNSVCGGGGGQKVGGEEPPPLHTEL* >Brasy4G202200.1.p pacid=40083699 transcript=Brasy4G202200.1 locus=Brasy4G202200 ID=Brasy4G202200.1.v1.1 annot-version=v1.1 MGDAALSNVQCYFSLLTPLLLCSWFLHPSQAFKLHGGGGYGDEEKVPLTYIVPEPSPGLSPLAAPPPVTGADDDGMRPRLPTERWRRGRGEERRGKGEERHAHAPAPAHAPSSSAGPARAPAPSSAEAPAPDSGSGTPFIESSPAVPVPRGVTDTATILPMSAPGVKRQDVGGAAALVRPGMVPVVVGFIMMASLGALC* >Brasy4G139900.1.p pacid=40083700 transcript=Brasy4G139900.1 locus=Brasy4G139900 ID=Brasy4G139900.1.v1.1 annot-version=v1.1 MDGRTLECEVQTRLWRASWASMNRAESGSYLKEGRRRRGRQGGRVWGRMTVLSTEGGGRRRRRAQRNHGGAEALTLAPMGMRPKRKDRGDGGWMDGERRRLQNGEPRPGRRNGGRGEGAVEAPLARGNPSRAAAERG* >Brasy4G288200.1.p pacid=40083701 transcript=Brasy4G288200.1 locus=Brasy4G288200 ID=Brasy4G288200.1.v1.1 annot-version=v1.1 MVSPSTRPSPLLLLIVVFTAVSAIACSSAAAHGAGARRFLATAGGGAGGACNPEIPRACSGGRPVPGQKRQLLKCCGRACVDVLESWNHCGACGARCAFGTACCGGRCVDVARDPENCGLCGRACSAGLRCAFAMCGYA* >Brasy4G166200.1.p pacid=40083702 transcript=Brasy4G166200.1 locus=Brasy4G166200 ID=Brasy4G166200.1.v1.1 annot-version=v1.1 MKGWSLDRLLASTPFLGRPLLLLIVLIAVFSVLDVSPVLTNLGIIRLEQPEISAQGQAVRTTATPSCNASSVDADACAADSRPPIPSPVAAARELDRWTPARRGSVQGVRPALQSPYSIDCNYAKGKWVADKKRPLYSGKECRRWLSLVWACRRMERTDFSYESYRWQPNSCEIPDFSGQNFLKRMRHKTLAFVGDSLGRQQFQSMMCIATGGKPSPEVEDVSRKYGLVKAPNAFSPVGGSAYRFPSTNTTILFYWSATLSELQPLPTTTSSARKKTKTTSYALHLDRPAEFLKQHLRSFDALVLNTGHHWSRQKFIRNHWELHDGGKPVIRKGKAAANFFTDARNAKLHSIARWLDAELLRRPGMKVFLRTMSPDHFVDGGWDTGGRCEDGAPLSGGSEVPGDRSGDLAAEHAVNGTRVKLLDVTAISRLRSEGHISNHSVGAQREKYDCLHWCLPGVPDMWNELLFAQI* >Brasy4G419200.1.p pacid=40083703 transcript=Brasy4G419200.1 locus=Brasy4G419200 ID=Brasy4G419200.1.v1.1 annot-version=v1.1 MVDEKLPKLREAVAGLGQISDKEKSGFISLVSRYLSGDEEHIEWAKIHTPTDEVVVPYDTLESPPEDLEATKALLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYSNSSIEIHTFNQSQYPRVVADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVDEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGMNVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSGIVLKGKVTITAKPGVKLEIPDGAVLENKDINGVEDL* >Brasy4G287800.1.p pacid=40083704 transcript=Brasy4G287800.1 locus=Brasy4G287800 ID=Brasy4G287800.1.v1.1 annot-version=v1.1 MQRVDYKLAKKLPSSWAWRRGHGAGKAVGGARPSGCSGAACFPPSSCRRSDARLKGAAAVRCGRRKELTLGQLPGGWENEPGQPPGGWGGGSGELAPAAGGEASCGGRPSPGSATGPTRAGRGRGEGGGGAGNLWVGTGEGVGADGGHPAAAQARISRRREVVGGSWE* >Brasy4G286100.1.p pacid=40083705 transcript=Brasy4G286100.1 locus=Brasy4G286100 ID=Brasy4G286100.1.v1.1 annot-version=v1.1 MRALPRYKSYPSSISSCSAAATSKTHPNRPSCGGGGGSGQVCLAELGQTSGGGGGAVGRRRRRTSGGEGRERGEEPVDEADPAGTGRRRARAALDLGRAGARSRLVARPGGVGGEGGEQSRRKRFGKIISTQRQF* >Brasy4G335500.1.p pacid=40083706 transcript=Brasy4G335500.1 locus=Brasy4G335500 ID=Brasy4G335500.1.v1.1 annot-version=v1.1 MGRQRRRRSSGERGREGESKQQGKAESSHEQSGGERDFIPGEEGGRERPRPTGAKEKRKGREGHVDGGRGCNESSGRVLGRWPAVDAERVTRAAALGAGQPRARPLGRRSNCCVYRATPKIY* >Brasy4G095600.1.p pacid=40083707 transcript=Brasy4G095600.1 locus=Brasy4G095600 ID=Brasy4G095600.1.v1.1 annot-version=v1.1 MSEKKRAPGPRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPQEGLKGLGTKVVEDED* >Brasy4G382100.1.p pacid=40083708 transcript=Brasy4G382100.1 locus=Brasy4G382100 ID=Brasy4G382100.1.v1.1 annot-version=v1.1 MANTTIKQARTQENEDDGGAQIIQYIPEECLVNAIGLSSPADACRAAAVSSAFRLAADSDAVWERFLPADCDAILDRAVHLVDFNSKKELFLDLCHEHILLADGRISFGLHRSNGAKCYMLSVRELGIAWFGTTLYWRERHDPDSSLIRFSSIAELLRVCWLNIEVHLNSSMLSRSTHYAAYLVYKLTHNAFGLSSPRQKSYVKLDEQVAGSIHRVSLHPCNRSSTCSGDQAHEHDHQEEDEAGQCVYVVVRYPRERMDGWMELEMCDFRTDEALGAGVRIILQELEELQWKNGLIIEGLEMRPRG* >Brasy4G382100.2.p pacid=40083709 transcript=Brasy4G382100.2 locus=Brasy4G382100 ID=Brasy4G382100.2.v1.1 annot-version=v1.1 MANTTIKQARTQENEDDGGAQIIQYIPEECLVNAIGLSSPADACRAAAVSSAFRLAADSDAVWERFLPADCDAILDRAVHLVDFNSKKELFLDLCHEHILLADGRISFGLHRSNGAKCYMLSVRELGIAWFGTTLYWRERHDPDSRFSSIAELLRVCWLNIEVHLNSSMLSRSTHYAAYLVYKLTHNAFGLSSPRQKSYVKLDEQVAGSIHRVSLHPCNRSSTCSGDQAHEHDHQEEDEAGQCVYVVVRYPRERMDGWMELEMCDFRTDEALGAGVRIILQELEELQWKNGLIIEGLEMRPRG* >Brasy4G153600.1.p pacid=40083710 transcript=Brasy4G153600.1 locus=Brasy4G153600 ID=Brasy4G153600.1.v1.1 annot-version=v1.1 MDCSSSKETPPSKPAPWWTGETVAVVTGANRGIGHALAARLAEQGLSVVLTARDEARGEAAAAELRARGFPSVRFRRLDVADPASVAAFASWIRDHVGDLDILVNNAAVSFNEIETNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAGTSRILNISSQLGLLNKVRDPSLRRMLLDEEGKLREEEIEAMASRFLAQVKDGTWAGHGWPAVWTDYAVSKLALNAYSRLLAARLRGAVAVNCFCPGFTRTDMTRGWGKRTAEEAGRVAAGIALMPPAELPTGKFFKWCTPQLYSKL* >Brasy4G404700.1.p pacid=40083711 transcript=Brasy4G404700.1 locus=Brasy4G404700 ID=Brasy4G404700.1.v1.1 annot-version=v1.1 MLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSPQPLLPPPAQFQGAFQVTSVETPALANALNCYAIGTPLDSQTSALQTDDSLSPSSLDSVVVAEQSIKNENYRNSCSANSLNCMGSDQLMRATAVSAGDYTRTPYIPVYASLPMGIINCYCQLVDPEALRAELRHLKSLNVDGVIVDCWWGIVEAWTPQKYEWSGYRDLFGIIKEFRLKVQVVLSFHGSGECESGDVLISLPRWVMEIAQENQDIFFTDREGRKNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRSLSEEGLVSAIEIGLGASGELRYPSYTQKMGWRYPGIGEFQCYDRYMQKNLRQSALKRGHLFWARGPDNAGYYNSRSHETGFFCDGGDYDSYYGRFFLNWYSGILVDHVDQVLSLATLAFDGAEIVVKIPSIYWWYRTASHAAELTSGFYNPTNRDGYSPVFRILKKHSVILKLVCYGPEFTVQEIDEAFADPEGLTWQVMNAAWDHGLSLSVESALPCLDGELYQQILEIAKPRNDPDRHHVSFFAYRQQPPFLLQRDVCFSEVETFIKCMHGEDTQNFID* >Brasy4G404700.2.p pacid=40083712 transcript=Brasy4G404700.2 locus=Brasy4G404700 ID=Brasy4G404700.2.v1.1 annot-version=v1.1 MLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSPQPLLPPPAQFGAFQVTSVETPALANALNCYAIGTPLDSQTSALQTDDSLSPSSLDSVVVAEQSIKNENYRNSCSANSLNCMGSDQLMRATAVSAGDYTRTPYIPVYASLPMGIINCYCQLVDPEALRAELRHLKSLNVDGVIVDCWWGIVEAWTPQKYEWSGYRDLFGIIKEFRLKVQVVLSFHGSGECESGDVLISLPRWVMEIAQENQDIFFTDREGRKNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRSLSEEGLVSAIEIGLGASGELRYPSYTQKMGWRYPGIGEFQCYDRYMQKNLRQSALKRGHLFWARGPDNAGYYNSRSHETGFFCDGGDYDSYYGRFFLNWYSGILVDHVDQVLSLATLAFDGAEIVVKIPSIYWWYRTASHAAELTSGFYNPTNRDGYSPVFRILKKHSVILKLVCYGPEFTVQEIDEAFADPEGLTWQVMNAAWDHGLSLSVESALPCLDGELYQQILEIAKPRNDPDRHHVSFFAYRQQPPFLLQRDVCFSEVETFIKCMHGEDTQNFID* >Brasy4G228000.1.p pacid=40083713 transcript=Brasy4G228000.1 locus=Brasy4G228000 ID=Brasy4G228000.1.v1.1 annot-version=v1.1 MADGDFASASAASPRSPAPPETPSTLRRRQRGLVSRVWKGIFGRREDVEKLLQALSREEEALRSRVTRRARASRQSAHNVLALAAALEIAAVGYAIMTTRSPDISWQMRAARVLPMFLVPALAALVYSAITSLTKMLDNRDQHTLEKLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLKVFLGDESNMDSALSKSNDQHGQTTGPRHRKLAHSGNGSGRIHASELPDGSSIYDGNEGPTSPSQRTVEHFRGHAGNDGGWLARVAALLVGEDPTQCFALICGNCHMHNGLARKEDFAFITYYCPHCNALNNGSRQHEDNETVPNSGKETPTAHSDGSSLQASTNLANSNVSSPVAMNLRAVEELPEESPAASNLPTVEELPAESPIVSNLPPTVEHLPAAAQVSGEKTSSDQPVN* >Brasy4G396800.1.p pacid=40083714 transcript=Brasy4G396800.1 locus=Brasy4G396800 ID=Brasy4G396800.1.v1.1 annot-version=v1.1 MMAAPPASPSSRSRHGNAGGGGSSTATMLPGPASRSNGGCIDLSTSGLLAHGAGSSVVVSDPRSMQLLCVLPMPSPTTPTSLASFVTAVRWAPPASEPSLAAAGEDDDDNRPLRLAAGDRHGRVAVWDARARAVLHLLNLDESRGVAPGTAGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDASPEYLSCLRRDPFDDRHLCALGLRGFLLSAVPRHDSDISLKEHRIVCGAGDVAELQRLEKDIAAPAPAPALAAFPLFAARLCFSPLWRHIIYAMYPRELVIFDLNYSTALSVASLPRGFGKFSDVMADPDLDVLYCTHADGKLSIWKRKEGEQVHLLHAVEELMPSIGTVVPPPAVLATTIWQSESIFHNIEKQSQDLAQMQSSQSIIFDTSSNRNMYQGKMTYLTSISEDGKIWSWDLTFDKSACSKKINLGTSNHSDAGISNPRSSGLDFTIKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVLANAVSVSFAVHSSTVRGLRWLGNSRLVSFSYNQVSDKTGGYNNKLVITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPSQNAASKQSSSSKERSGEATAAESSDETAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLHPQSPGTLVLELDWLSTRTRKDDPLVLCIAGADSSFRLVEVNIDTKNSGPKVLAAKERFRPMPLCLPILFPTAHALALRMILQLGVKPSWLECNNSDKLASNSFNAAQATFGDLRTYMIETSLPPIGDSVVAELLLKVLEPYRKEGCILDDGRARLYSAIVNKGAYARFAFAASIFGDFQEALFWLQLPQALHHFLDKSTSRSSEKGLESSVHPDSEHTSTLNRIASSERSAARNVAKYNANYGQLSTMAFKQEQLWFNANERIPWHDKLDGEDALQKHVHELVSIGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGKYQEACSQLQDAGCWIDAATLAATHLHGSDYARVLQRWADYVLRGEHNMWRALVLYVAAGALPEALETLRKNQRPDTAAMFLLACHEIYAQFTSGSEPAAETPGSAPERSQKLLFPSKNVDDEELIAVSEVFGQYQQKLVHLCMDMEPTVN* >Brasy4G179900.1.p pacid=40083715 transcript=Brasy4G179900.1 locus=Brasy4G179900 ID=Brasy4G179900.1.v1.1 annot-version=v1.1 MAAPPPAAAAAPPPAMSALPTFASSHPYPSLPTPKPLTPNSRLNFAHAGVAASPNAVPHRAVSNDRLRGLVRRGDLEEALRLVESMSGLEPSAAPGPCAALIKKLCASGRTAEARGVLAACEPDVMAYNAMVAGYCVTGQLDAARRLVADMPMEPDSYTYNTLIRGLCGRGRTGNALVVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRAKGCAPDIITYNVVVNGICQEGRVDDAIEFLKSLPSHGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMSQKGYPPNVVTFNMLISFLCRRGLVEPAMEVLDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMAFVELMVSRGCYPDIVSYNTLLTALCRGGEVDAAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVTKGLQPDIITYSTISSGLCREDRIEEAIRAFCKVQDMGIRPNTVLYNAILLGLCKRRETHNAIDLFIYMISNGCMPNESTYTILIEGLTYEGLVKEARELLGELCSRGVVSKGLINKAAIRLLDGTTHT* >Brasy4G179900.4.p pacid=40083716 transcript=Brasy4G179900.4 locus=Brasy4G179900 ID=Brasy4G179900.4.v1.1 annot-version=v1.1 MAAPPPAAAAAPPPAMSALPTFASSHPYPSLPTPKPLTPNSRLNFAHAGVAASPNAVPHRAVSNDRLRGLVRRGDLEEALRLVESMSGLEPSAAPGPCAALIKKLCASGRTAEARGVLAACEPDVMAYNAMVAGYCVTGQLDAARRLVADMPMEPDSYTYNTLIRGLCGRGRTGNALVVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRAKGCAPDIITYNVVVNGICQEGRVDDAIEFLKSLPSHGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMSQKGYPPNVVTFNMLISFLCRRGLVEPAMEVLDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMAFVELMVSRGCYPDIVSYNTLLTALCRGGEVDAAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVTKGLQPDIITYSTISSGLCREDRIEEAIRAFCKVQDMGIRPNTVLYNAILLGLCKRRETHNAIDLFIYMISNGCMPNESTYTILIEGLTYEGLVKEARELLGELCSRGVVSKGLINKAAIRLLDGTTHT* >Brasy4G179900.3.p pacid=40083717 transcript=Brasy4G179900.3 locus=Brasy4G179900 ID=Brasy4G179900.3.v1.1 annot-version=v1.1 MAAPPPAAAAAPPPAMSALPTFASSHPYPSLPTPKPLTPNSRLNFAHAGVAASPNAVPHRAVSNDRLRGLVRRGDLEEALRLVESMSGLEPSAAPGPCAALIKKLCASGRTAEARGVLAACEPDVMAYNAMVAGYCVTGQLDAARRLVADMPMEPDSYTYNTLIRGLCGRGRTGNALVVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRAKGCAPDIITYNVVVNGICQEGRVDDAIEFLKSLPSHGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMSQKGYPPNVVTFNMLISFLCRRGLVEPAMEVLDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMAFVELMVSRGCYPDIVSYNTLLTALCRGGEVDAAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVTKGLQPDIITYSTISSGLCREDRIEEAIRAFCKVQDMGIRPNTVLYNAILLGLCKRRETHNAIDLFIYMISNGCMPNESTYTILIEGLTYEGLVKEARELLGICFCASSSAGGVLHYT* >Brasy4G179900.2.p pacid=40083718 transcript=Brasy4G179900.2 locus=Brasy4G179900 ID=Brasy4G179900.2.v1.1 annot-version=v1.1 MAAPPPAAAAAPPPAMSALPTFASSHPYPSLPTPKPLTPNSRLNFAHAGVAASPNAVPHRAVSNDRLRGLVRRGDLEEALRLVESMSGLEPSAAPGPCAALIKKLCASGRTAEARGVLAACEPDVMAYNAMVAGYCVTGQLDAARRLVADMPMEPDSYTYNTLIRGLCGRGRTGNALVVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRAKGCAPDIITYNVVVNGICQEGRVDDAIEFLKSLPSHGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMSQKGYPPNVVTFNMLISFLCRRGLVEPAMEVLDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMAFVELMVSRGCYPDIVSYNTLLTALCRGGEVDAAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTKEALELLNEMVTKGLQPDIITYSTISSGLCREDRIEEAIRAFCKVQDMGIRPNTVLYNAILLGLCKRRETHNAIDLFIYMISNGCMPNESTYTILIEGLTYEGLVKEARELLGICFCASSSAGGG* >Brasy4G249400.1.p pacid=40083719 transcript=Brasy4G249400.1 locus=Brasy4G249400 ID=Brasy4G249400.1.v1.1 annot-version=v1.1 MEHPLDHRKLSEPANIPSQKTHQVRNIWAWEDPSAAKLNGSSNTVLFSNSLPSVRPVVPGKEREQFAQSMDDMFPITSLLGTDARETDLMDDVAQHLIGDLLPDDEEELLAGVINDFDHVKLRTQFEELEEYDVFRNSGGMELDADPMETINFGTAKASLISGTGSSSNQYGLQNGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRLLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAMRTLQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLRIFGEFGEVREIRETPQKLHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSSIQQFNHDFEPDETRHNKYHLGSPSANSPPSLWSHIGSPTEHNHLNTLNETAFSGGMSPLGSNRLSGLSSGYPPVKSPIGKSSYRNNHADSIFHGSPTFHNSHSFPEHYGGIVSASPLVSSAASSASTASGFTALNGAPFPWGNKNTLRENFQFSALHSPPLKSSPFSSTHTQHQGNMYPNLCGSFGPSEHFPQHHVGSAPSVLPNFGYYPESPDTSYLRHGKFGSMAPSCVGRGLMKNFGTHSHINVPSMQNGLVGFEGLLERGRNQAVGNLGGQEDSRMQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLAVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPAYIVSFYQAFAGRKWEKFNSEKVVSLAYARIQGKVALINHFQNSSLLNEDKRCRPMLFDPKHTESGNQIVLNDVLEERKLHENPMKDSFKRSEIIGIPGSFYGAN* >Brasy4G249400.2.p pacid=40083720 transcript=Brasy4G249400.2 locus=Brasy4G249400 ID=Brasy4G249400.2.v1.1 annot-version=v1.1 MEHPLDHRKLSEPANIPSQKTHQVRNIWAWEDPSAAKLNGSSNTVLFSNSLPSVRPVVPGKEREQFAQSMDDMFPITSLLGTDARETDLMDDVAQHLIGDLLPDDEEELLAGVINDFDHVKLRTQFEELEEYDVFRNSGGMELDADPMETINFGTAKASLISGTGSSSNQYGLQNGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRLLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAMRTLQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLRIFGEFGEVREIRETPQKLHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSSIQQFNHDFEPDETRHNKYHLGSPSANSPPSLWSHIGSPTEHNHLNTLNETAFSGGMSPLGSNRLSGLSSGYPPVKSPIGKSSYRNNHADSIFHGSPTFHNSHSFPEHYGGIVSASPLVSSAASSASTASGFTALNGAPFPWGNKNTLRENFQFSALHSPPLKSSPFSSTHTQHQGNMYPNLCGSFGPSEHFPQHHVGSAPSVLPNFGYYPESPDTSYLRHGKFGSMAPSCVGRGLMKNFGTHSHINVPSMQNGLVGFEGLLERGRNQAVGNLGGQEDSRMQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLAVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPAYIVSFYQAFAGRKWEKFNSEKVVSLAYARIQGKVALINHFQNSSLLNEDKRCRPMLFDPKHTESGNQIVLNDVLEERKLHENPMKDSFKRSEIIGIPGSFYGAN* >Brasy4G249400.3.p pacid=40083721 transcript=Brasy4G249400.3 locus=Brasy4G249400 ID=Brasy4G249400.3.v1.1 annot-version=v1.1 MEHPLDHRKLSEPANIPSQKTHQVRNIWAWEDPSAAKLNGSSNTVLFSNSLPSVRPVGKEREQFAQSMDDMFPITSLLGTDARETDLMDDVAQHLIGDLLPDDEEELLAGVINDFDHVKLRTQFEELEEYDVFRNSGGMELDADPMETINFGTAKASLISGTGSSSNQYGLQNGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRLLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAMRTLQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLRIFGEFGEVREIRETPQKLHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSSIQQFNHDFEPDETRHNKYHLGSPSANSPPSLWSHIGSPTEHNHLNTLNETAFSGGMSPLGSNRLSGLSSGYPPVKSPIGKSSYRNNHADSIFHGSPTFHNSHSFPEHYGGIVSASPLVSSAASSASTASGFTALNGAPFPWGNKNTLRENFQFSALHSPPLKSSPFSSTHTQHQGNMYPNLCGSFGPSEHFPQHHVGSAPSVLPNFGYYPESPDTSYLRHGKFGSMAPSCVGRGLMKNFGTHSHINVPSMQNGLVGFEGLLERGRNQAVGNLGGQEDSRMQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLAVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPAYIVSFYQAFAGRKWEKFNSEKVVSLAYARIQGKVALINHFQNSSLLNEDKRCRPMLFDPKHTESGNQIVLNDVLEERKLHENPMKDSFKRSEIIGIPGSFYGAN* >Brasy4G249400.4.p pacid=40083722 transcript=Brasy4G249400.4 locus=Brasy4G249400 ID=Brasy4G249400.4.v1.1 annot-version=v1.1 MEHPLDHRKLSEPANIPSQKTHQVRNIWAWEDPSAAKLNGSSNTVLFSNSLPSVRPVGKEREQFAQSMDDMFPITSLLGTDARETDLMDDVAQHLIGDLLPDDEEELLAGVINDFDHVKLRTQFEELEEYDVFRNSGGMELDADPMETINFGTAKASLISGTGSSSNQYGLQNGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRLLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAMRTLQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLRIFGEFGEVREIRETPQKLHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSSIQQFNHDFEPDETRHNKYHLGSPSANSPPSLWSHIGSPTEHNHLNTLNETAFSGGMSPLGSNRLSGLSSGYPPVKSPIGKSSYRNNHADSIFHGSPTFHNSHSFPEHYGGIVSASPLVSSAASSASTASGFTALNGAPFPWGNKNTLRENFQFSALHSPPLKSSPFSSTHTQHQGNMYPNLCGSFGPSEHFPQHHVGSAPSVLPNFGYYPESPDTSYLRHGKFGSMAPSCVGRGLMKNFGTHSHINVPSMQNGLVGFEGLLERGRNQAVGNLGGQEDSRMQYQLDLEKIISGKDTRTTLMIKNIPNKYTSNMLLAVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPAYIVSFYQAFAGRKWEKFNSEKVVSLAYARIQGKVALINHFQNSSLLNEDKRCRPMLFDPKHTESGNQIVLNDVLEERKLHENPMKDSFKRSEIIGIPGSFYGAN* >Brasy4G226000.1.p pacid=40083723 transcript=Brasy4G226000.1 locus=Brasy4G226000 ID=Brasy4G226000.1.v1.1 annot-version=v1.1 MLTAASKILNKSALATTTRAMPLFLSTFPSPAAAAPTVPPLRPLPSQNPSPHLCLPSPPRRALASIAAAGPAGAPFRTPGRTMASLATSAAAAASAKVTYLSQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVVEVYKLSEYTRVLIICGPGNNGGDGLVAARHLYHFGYKPSVCYPKRTAKPLYSGLVTQLEALAIPFVPVEDLPQDLSGQFDIVIDAMFGFSFHGTPRPPFDDLIQRLVLLSVIGDSVKRLPIVSVDIPSGWHVEEGDINGGGIKPDMLVSLTAPKLCAKKFNGPHHFLGGRFVPPPILRKYGLELPPYPGTSMCVRVGKALSVDISSLRENYISPELTENQVMPCPFDQFHRWFDEAVTAGLREPNAMALTTVNKAGKPSSRMVLLKGVDKHGFVWYTNYGSQKAHDLSENSNAALLFYWNEMNRQVRVEGSVQKVSLEESEKYFHSRPRGSQLGAIVSKQSTVIAGRDILQQAYKELEQKYSDGSFIPKPDYWGGYRLTPNLFEFWQGQQSRLHDRLQYKQREVDGGTVWHIERLSP* >Brasy4G093400.1.p pacid=40083724 transcript=Brasy4G093400.1 locus=Brasy4G093400 ID=Brasy4G093400.1.v1.1 annot-version=v1.1 MCGLLARFPNVVSLDLSSFTTTALLEEESQEFPLLDHLRTLRLDDCELGVNFHALTSVLRNTPKLETLRLHRCKFLGSTPRRTPSKRRGSTTMTLQCKNLKLIEIKSRQDDEPQILRLLTEVSKGMPMAQWRRVDRVSNVAGLVTVQLHRTEKGTKLV* >Brasy4G044300.1.p pacid=40083725 transcript=Brasy4G044300.1 locus=Brasy4G044300 ID=Brasy4G044300.1.v1.1 annot-version=v1.1 MTTTNNPSRAIRPFIQESGSHTQLRSARLVFEESCGSKTVQPLDTAQAAILYIRRATSISGSRSQSTEDGDRQQQSSERATERGGDERKSLEMDARPPRRSSAPAAGAALRLLLLFPMLLLGSRVAAAQGGDAGGMTELQKHAAFFDSDKDGVVSFSETYNAFRDFGFGITASTLSATFINGLLGPKTRPENETSRLSVYIENIHKGIHGSDSGAYDAQGRFVPEKFDAIFSKHGKTVPDALTSAEVDELISANREPSDYAGWAGASAEWKILYSIGKDKDGLLRKEDARGVYDGSLFARLVQEKRRS* >Brasy4G395100.1.p pacid=40083726 transcript=Brasy4G395100.1 locus=Brasy4G395100 ID=Brasy4G395100.1.v1.1 annot-version=v1.1 MDAVPEVGRDEAARARAFFSVVIVAVPTEPDAYCSGCVVVQSTESENKTLVITSSKFVEGRENDLTIVFWDKKELKASLVRSHGAFSLLATDFKSNCRAIQLLESNVELENLRTFVRVPLNHTTSQFVFTYITCKSLESYSRDAPNILVPNSVDYCMVSCNYLGKTGSGVNRLTGAPVFCTGDAATTGRTVGIILQDCRHEPGCSGAEFKVALTATHLQKVISILTSPQKGKNQKRKHKKEKKDKKKRKVG* >Brasy4G150000.1.p pacid=40083727 transcript=Brasy4G150000.1 locus=Brasy4G150000 ID=Brasy4G150000.1.v1.1 annot-version=v1.1 MRAILSVSKLARRLPISLAAVRAPPPLLLRHLHADSAPPPLPQAPPPFTSRILQTEENPSLSTDLQQDQPAPDPVLDEFLARLVTALRPTLAAAFPTHTRPVLDEMLRLIAEAVLCRLEGADPGADTVELSEDLWATVWEVSASVRDAMRRDQVRADLRNYLHSDDVKEMTRFASDVGIRGSMLRELRFKWAREKLEEVEFYRGLDAMRSQAAAAAGPAALPPPRLTTLPQRKGEVKFKIGGLDMSDPKWAEVAERAAEAEAHFVPEEAKAVDGKAKKAEEQLLAVEPRKGDPSPAMEEWKEELRPKRADWMALLERVKARNVELYLKVAEILLAEETFDATIRDYSKLIDLHSKANHVEDAERILGKMREKGIAPDIITSITLVHMYSKAGNLEQAKESFAFIQKEGLQPDIKLFTSMINAYINAGEPKQAEDLVKQMENLSIKPTREIYMDVMRAYADRGLVDGADRMRNTMTFAGVEPTLECFTLLVEAYGRIGDPDHAYALFEVMRSNGHKPDDRCLAGMISGHMRKNQLDQALKLLLSLEKEGLKPGVKTNLVLLDWLSTLHLVQEAEQLVQKIRKAGEEPIEIHVYLADMYAKSRQEEKARKSLKILEEKKRLLKADHFERVISGLLEGGFAEEANKYFKMMKSRGFVPSETIEVGVKARFGVRGVVRPTGRYRG* >Brasy4G382700.1.p pacid=40083728 transcript=Brasy4G382700.1 locus=Brasy4G382700 ID=Brasy4G382700.1.v1.1 annot-version=v1.1 MAADGAKPRVVVVGGGIGGALLAKTLQPDADVVLLDPKDYLEINWAELRSMVEPSFAERSLIYHRDYLTTATIVTSTAVNITEHAVLTADGQSLAYDYLVVATGHVLTSSGNRTERLTEFHRDNEKIKSSESVLIIGGGPTGVELAAEIAVDYPEKKVTLVHRGSRLLEFIDKKASKKCLDWLTSKKVDVLFQQSVDLDSLSNTEKFYKTSSGETITADCHFVCIGKPLSSSWLHDTILKESLDNKGRIMVEKDLRVKGYNNIFAVGDITDIPEIKQGYLAQKHALLVAKNLKLLMKGSPASKLATYSTGYPLALVSLGRNEGLAQLPFVTLTGCIPGMIKSRDLFVSKTRKQMGLNG* >Brasy4G367500.1.p pacid=40083729 transcript=Brasy4G367500.1 locus=Brasy4G367500 ID=Brasy4G367500.1.v1.1 annot-version=v1.1 MHQYKRCQSSPNAAGIFWTLVGGETSWGVGGGGLRNCWRPPLLHLPTYPPEMCDLRDRALGQSPQIWNPKLAAAAGSVPTSIFDLLPLSIP* >Brasy4G432700.1.p pacid=40083730 transcript=Brasy4G432700.1 locus=Brasy4G432700 ID=Brasy4G432700.1.v1.1 annot-version=v1.1 MLRLRSFVFTRLLSSSPNASPGSPLHRLLSAAAVYANPSFAVEDYLVETCGLTRAQALKASAKLSHLKSPTNPDAVVAFLSGGLDFSSADIAAVVAKDPRFLCAKVDKTLAPVAAGLTGIGLSRAEVATIASSAPCYFRTRSNVASLQNYYLPFLGSSENLLLALKKNSRFLSSDLEGVVKPTVAFLREHGFSDREIVKALVSRSRMFAAKPERFRAMAAWVEEGLGVPPGSGMFKHILLAAARLGVEKTAARMEHLKDTLRWSDAEVSIAVCKAPLVLWISKDLLQRKSEFLILEVGLEPAYIARRPVLLSYSFEGRLRPRYFAVKFLEENGLLDRGRDYYSKVVISEKVFMEKFICPHKAAAPHLAEDYAAARRGEVPARFR* >Brasy4G140100.1.p pacid=40083731 transcript=Brasy4G140100.1 locus=Brasy4G140100 ID=Brasy4G140100.1.v1.1 annot-version=v1.1 MEISTGMPRSTRKPHCNVLRSLGRTRNPSLLHAMRSSARLQRPRRISCQATRGAADGDRVVVDRRDVLLGLGAGAAATIGAPRRALAAPIKAPDLRDCHAPEDLPEATTGATNCCPTYDGTGIVDFELPTSASSGSAPVTRVRPAAQLVDADYVAKYERAVRLMKELPADDPRSFEQQWRVHCAYCDGAYDQAGFPDLEIQIHNCWLFFPWHRFYLYFHERILGKFLGDDSFALPFWNWDAPAGMALPSIYANSASSSPLYDAKRNPAHQPPFTLDLDYSGTDDDATGISKDQQVEENLRIMYRQMVSNAKKPQLFLGQPYRAGDDADPGAGSIENVPHGTVHLWTGDPRQPNQEDMGNFYSAASDPVFFAHHANIDRLWHLWRRQHNNFADRAWLDAAFLFYDEEARLVRVRVRDCLDMASLGYAYQDVPLPWLDARPPKPASPGTPARALDALPATLDKTVRVAVARPRASRSAKEKEEEEEVVIVEGIQVSDCSRFVKFDVLVNVPADDDGDADSGMGAAYCAGSVALTPHAEKKEKGSLKTVARFGVCDLLEDIGADGDETVVVSLVPRCGGELVTVGVVSIGYAN* >Brasy4G298000.1.p pacid=40083732 transcript=Brasy4G298000.1 locus=Brasy4G298000 ID=Brasy4G298000.1.v1.1 annot-version=v1.1 MYLSAFSTSSHSGEPEAGKDSSTIVAVDRDKNSQQAAKWAVDRLLARGSTLQLVHVRTNQSGQNAEAGHGVDADAEMSQLFISYRGYCARKGMHLNEVILDNNDISKAIVDYATSHAITDIVVGASTRNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKGKAIQVKAAKGPAPFTTLPPKQHSQPNIESDAFARSSRDWRKFSQPSSPKSNRSSVDRNRPSVERNRPSVDRLSAYSKAPTKDRHLLSGRQAPQRDFDDYIDFIAPPRPSVTRSSFSDDVDFPMSMELNSMDYGESLELSSYVSIESLSSAGKDVEAEMRRLRLELKQTMEMYNSACKEAVDAKQKAAQLSQMKVEESKKYEELRNSEEEALALVEMEKAKCKAALEAAEAAQKIAELEAQKRLRAEWKAKRETDERRRATDTDLRYRRYSIDDIEAATHKFDRALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEILSSMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGNTKPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKISDVGLARLVPQSIAEVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHQVEDAIERGAFQEVLDQTVTDWPVEEALAFARLALKCAELRKKDRPDLGKEIMPELNRLRNLGQEYEASQVSSTSTAYSSCAPYSFNNDDDVSTP* >Brasy4G298000.2.p pacid=40083733 transcript=Brasy4G298000.2 locus=Brasy4G298000 ID=Brasy4G298000.2.v1.1 annot-version=v1.1 MSGPIKVVRTVAEAGHGVDADAEMSQLFISYRGYCARKGMHLNEVILDNNDISKAIVDYATSHAITDIVVGASTRNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKGKAIQVKAAKGPAPFTTLPPKQHSQPNIESDAFARSSRDWRKFSQPSSPKSNRSSVDRNRPSVERNRPSVDRLSAYSKAPTKDRHLLSGRQAPQRDFDDYIDFIAPPRPSVTRSSFSDDVDFPMSMELNSMDYGESLELSSYVSIESLSSAGKDVEAEMRRLRLELKQTMEMYNSACKEAVDAKQKAAQLSQMKVEESKKYEELRNSEEEALALVEMEKAKCKAALEAAEAAQKIAELEAQKRLRAEWKAKRETDERRRATDTDLRYRRYSIDDIEAATHKFDRALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEILSSMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGNTKPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKISDVGLARLVPQSIAEVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHQVEDAIERGAFQEVLDQTVTDWPVEEALAFARLALKCAELRKKDRPDLGKEIMPELNRLRNLGQEYEASQVSSTSTAYSSCAPYSFNNDDDVSTP* >Brasy4G007900.1.p pacid=40083734 transcript=Brasy4G007900.1 locus=Brasy4G007900 ID=Brasy4G007900.1.v1.1 annot-version=v1.1 MSHPSTPPVKFGLQIEIPQIEIRLKFGLQIEIQTEFFPHRAALRRRPATLTLTETSGAPVGLPPEPAIQSRGDPWRTPASTTRRRFTCSGQQVAAPPPHRPQQLQAAGLCSRPRSPRGRPSAPGQGAPAAFALLQAAGADPGELQARKPLPPSLAWSAPQVPPAAAPPPHRCLRLQSSITELKKEGISGLEEGREQSQD* >Brasy4G193200.1.p pacid=40083735 transcript=Brasy4G193200.1 locus=Brasy4G193200 ID=Brasy4G193200.1.v1.1 annot-version=v1.1 MRSLRGLLALSGHLPGRHAPANGASSSPHSALFARALQILSQPEPVRLEKLSAPDSGIVELRLERPEARNAIGKEMLKGLRSAMDKVEADPTANVLLLASSVPKVFCAGADLKERRLMGPCEVREFVNSLRATFSSFETLSIPTIAVVEGAAFGGGLELALSCDLRICGESATFSLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDATEAVTMGVVNYCVPGGDAYQKALELAREINQKGPLALRMAKKAINQGMEVDLSSALAIEEECYEQVLHTQDRLEGLAAFAEKRKPLYTGK* >Brasy4G193200.2.p pacid=40083736 transcript=Brasy4G193200.2 locus=Brasy4G193200 ID=Brasy4G193200.2.v1.1 annot-version=v1.1 MRSLRGLLALSGHLPGRHAPANGASSSPHSALFARALQILSQPEPVRLEKLSAPDSGIVELRLERPEARNAIGKEMLKGLRSAMDKVEADPTANVLLLASSVPKVFCAGADLKERRLMGPCEVREFVNSLRATFSSFEGRVQHLACQRPALLLFLGVVNYCVPGGDAYQKALELAREINQKGPLALRMAKKAINQGMEVDLSSALAIEEECYEQVLHTQDRLEGLAAFAEKRKPLYTGK* >Brasy4G193200.3.p pacid=40083737 transcript=Brasy4G193200.3 locus=Brasy4G193200 ID=Brasy4G193200.3.v1.1 annot-version=v1.1 MRSLRGLLALSGHLPGRHAPANGASSSPHSALFARALQILSQPEPVRLEKLSAPDSGIVELRLERPEARNAIGKEMLKGLRSAMDKVEADPTANVLLLASSVPKVFCAGADLKERRLMGPCEVREFVNSLRATFSSFETLSIPTIAVVEGAAFGGGLELALSCDLRICGESATFSLPETGLAIIPGGCKLLCSWW* >Brasy4G193200.4.p pacid=40083738 transcript=Brasy4G193200.4 locus=Brasy4G193200 ID=Brasy4G193200.4.v1.1 annot-version=v1.1 MRSLRGLLALSGHLPGRHAPANGASSSPHSALFARALQILSQPEPVRLEKLSAPDSGIVELRLERPEARNAIGKEMLKGLRSAMDKVEADPTANVLLLASSVPKVFCAGADLKERRLMGPCEVREFVNSLRATFSSFEGRVQHLACQRPALLLFLELEEHSVFLGLLEGPEQRS* >Brasy4G424700.1.p pacid=40083739 transcript=Brasy4G424700.1 locus=Brasy4G424700 ID=Brasy4G424700.1.v1.1 annot-version=v1.1 MEVEAGAHGDMAAKSKFSLPVDSEHKAESIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFSAIQKCGSTPFVAWRIAYFVPGLMHVVMGLLVLTMGQDLPDGNLRSLQKKGEMNKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANLVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGMTGAGGNVGAGLTQLLFFTSSKYSTGRGLEYMGIMIMLCTLPVALVHFPQWGSMLFPANAGATEEEYYAAEWSEEEKSKGLHLPGQKFAENCRSERGRRNVVLATSATSPDNTPQHV* >Brasy4G199500.1.p pacid=40083740 transcript=Brasy4G199500.1 locus=Brasy4G199500 ID=Brasy4G199500.1.v1.1 annot-version=v1.1 MSAASSPDPPRSPVTSAAAASLPDLSIPHDLATRGQWQALLAHLAHPSRTHHPHHRLLLSALSALSLAKLRRFPDAAALLASLHPDPACPPPPFLLRLLHALLPLFFPDRPLALDRLYTLLSSVRARPDAQHPEWRRRDALVTSLLASDHLAHREFDVALALIADLAAREPDNPVLLSRLAYAHLQIGNLAAASAVFRHVESVAANDPSHANLLARNRALECIVAKDYAAAVREYERCLEVDPADAIALNNKALCLMYSRDLGDAIKVLEGALERVPTAALNETVVVNLCSMYELAFVNHGEVKRTLADWIARVAPDDFDKSCTRM* >Brasy4G164000.1.p pacid=40083741 transcript=Brasy4G164000.1 locus=Brasy4G164000 ID=Brasy4G164000.1.v1.1 annot-version=v1.1 MSVTCEQIRAALSVTRVLLDGHQPVAHVSLPFFLHARTSQNQVKSSKTLAMQKQTGKSGGSGGSTSAKRGRPFGSTTGGGAAAAAAAAAAAAIGDPAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGLENIGKVYSDTATPSNDEPKTEDSTITKKRSAGFLLDEEGLFNIDDEGRTERQQCAVAASNIIRNFSFMPENETIMVQHRHCLETIFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIQMTEKSAVHAIMGMLASSVKAWHCAAAELIGRLIINPDNESFLLPVISQIYKRLVDLLSVPAFDAQAAAVSALYNVSEVNMDCRLKLACERWAIDRLLKIVKAPHPVPEVCRKATVILESLVSEPQNKMHLLVHENTFAEILTTEGKYSDTFARILYELTARPSNKVTSGQAIWGNIN* >Brasy4G260500.1.p pacid=40083742 transcript=Brasy4G260500.1 locus=Brasy4G260500 ID=Brasy4G260500.1.v1.1 annot-version=v1.1 MIQLLFVVLVAEAAVAVTLLFKTPLRKLAVLGLDRLKRGRRAPVAVKTVAGVVLTLLASTLYSMAEISGRTGDPESGGGGALSPTDQVLFSRHLLEASLMGYSLFLALIIDRLHQYIRELRGLKKNVEAVSKQNKMLEEAKHGRTEETIKYQEEIATLNEEMKKLKLQVQEKTEEVHVAEDKALAIRKQSENLLLEYDRLLEDNQHLREQLQSIDLRLNNSS* >Brasy4G234800.1.p pacid=40083743 transcript=Brasy4G234800.1 locus=Brasy4G234800 ID=Brasy4G234800.1.v1.1 annot-version=v1.1 MAPTTPTAGAHLSPTPTAAAGGVGGNGPSEEAIDITSSSSSSDTESDSGGAGKRPRRRRRVGRAEKRARVLAAVPPGFLEPLPLTRMPPPPLRAVTKQFGKDPAQHSDSGMEHVRVHPRFLHSNATNHKWSLGAFAELLDNSLDEVSNGATFVNIYMLENKKDGSRMLLVQDDGGGMSPEKIRHCMSLGYSAKSKVKNAIGQYGNGFKTSTMRLGADVLVFSRSCSNEERSLTQSIGMLSYTFLKSTGKDDIIVPMTSLEKKGAETLGDWSTSLQTIIQWSPYSNEAELLQENDVPMVMVLRNFLYFECQMVANVTIGFFKDAKHHVPIQGFNVYHRHHLIKHFWRVWTLLGSQGRGSIGVHEVNFVEPAHDKEDFERTNSLSRLEARLILMQKKYWSENCHRIGYGGNRAKKSSGTRDTVIVQLLYYSLRYHTSIDGFLESCEKSNYLQISQDAEILHSESSSKIRKTRMSPLDMSTQFSSRQMAQQTAEKSAKTEKSARSVRRSLSFISDDSDTEIAGTPSRPISNIINTPERTFNNTSFHLLPSNGVTRTERMTTRSKSKQITKPGLFLVVQSLHRTVKNNGGGGTIEDPETIMKQLKDENSSLKERMLKMEEPILKELQAEQEKNKCLLERAANAQAQLETVKKAHEALKNAFSEEIRRREQEEQDLRNKLKEASCTIQDLTEELGAAARRNCRRKS* >Brasy4G187800.1.p pacid=40083744 transcript=Brasy4G187800.1 locus=Brasy4G187800 ID=Brasy4G187800.1.v1.1 annot-version=v1.1 MSCTSPDPPDYCSAVSPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLQMRANNLIRGDNPRLECGIKKEVREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIECIDHWLSKNTTCPLCRVSLLPAPKTVSIEPTDLEAQTVEEDSSLSAQHQAGDENTRQEDQAVEQGSEGLTHQDEEPRSNVEASVRVVFEPQTADAEGSQGAVCLPSKPKK* >Brasy4G187800.2.p pacid=40083745 transcript=Brasy4G187800.2 locus=Brasy4G187800 ID=Brasy4G187800.2.v1.1 annot-version=v1.1 MRANNLIRGDNPRLECGIKKEVREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIECIDHWLSKNTTCPLCRVSLLPAPKTVSIEPTDLEAQTVEEDSSLSAQHQAGDENTRQEDQAVEQGSEGLTHQDEEPRSNVEASVRVVFEPQTADAEGSQGAVCLPSKPKK* >Brasy4G181800.1.p pacid=40083746 transcript=Brasy4G181800.1 locus=Brasy4G181800 ID=Brasy4G181800.1.v1.1 annot-version=v1.1 MVPGRVPPPPMYRAKPMQPPPRRRRSGRGWCCACCLWLTLVLVGLVFLGAIAVGVFYVMYHPQPPTFAVTSLRLAALNVSDADSVTSRIEFTVTARNTNEKIAFAYGDIGSAFAADGTDIGDGTVPGFVHPPGNITVIKGSASATAATVDPVQAASLRSKKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCSGFSAGLAKPAPSPEPIIVAAAPAPIRSKIRLSSSSSSSAGGGTTTTDAKCKLRVKIWIWTF* >Brasy4G001600.1.p pacid=40083747 transcript=Brasy4G001600.1 locus=Brasy4G001600 ID=Brasy4G001600.1.v1.1 annot-version=v1.1 MAISHHDEEERCSSQVGEGAYFMAGLMLINGLMLTVLALSGIFHSALRRLGQPSIISHILAGVVVGPTFLGRAVDLRRLGMEDAGRALGDTIYYLRIVFMFFVGLEMDLRYLRHNLRRSLVLACGGSAVCLLLAFLAGPFFFGLLHPGEGPFHPAKLYASTTLLMLVLSSTASPVLIRIVTELKLTASETGQLAIGAAFATDIASLTAISMMVVNPTSFDKATGKPLPPRIPNAGAPSVQLVVFLWLALVVWAAAALAVRAARLLNRVKRGRRYISMYELCGMLLLIVSLSLSAQHLGYSASMSAYIIGLAMPRDGPMARTLVDRLAYPVHQLIMPLCFGAIGARLDFAQIGRFTASQLTAAVTFATLLGVAGKVAGTVLACRMLGSISAQEALVLGFLLNVKGYSDILAINFGDKSGVWGETAQVVLLLSSIVNTFMAGPASAAIVRQQRRAFTYRSHCLQDLRLDHELRVLVCVHGAGGVHAMLTLAELSKGSAPIAVYLLHLIELKTNSKYAITHLYRDPLDAVDNNKDDRWGYSREMDQVTAAVHAFTNDTAVPVRQMTAISSLVSMDADVRNAMEDARASLLIVPFHKDRRYDGRMVCRQEGRRQLNQRILHKAPCTVGVLVDRNLPSISGDETNDDESPLLEQCTFTVAAVFLGGPDDREAVAYATRLAAHPAVSVTVSRFLLPAQSPEPDTRSSFVSAVEEVVLEEVEEEEEESVAADREFMEEMYARFVEPGHVAYTERYVSNGVETLNSLSSMVGAYSLFVVGKGSGGGAAWTAMTAGIGGLEEEGECSELGPIGELLASDDLLAAGCSCSVLVLQQHTLHRKMKTWRRRHPHSILT* >Brasy4G066300.1.p pacid=40083748 transcript=Brasy4G066300.1 locus=Brasy4G066300 ID=Brasy4G066300.1.v1.1 annot-version=v1.1 GKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRNKGAAAGN* >Brasy4G104100.1.p pacid=40083749 transcript=Brasy4G104100.1 locus=Brasy4G104100 ID=Brasy4G104100.1.v1.1 annot-version=v1.1 MVSPAGEAAGGVTPEAAAPFSSNWKERIMVPAAAAGVFGAGFGLLSRHRARLGALRAAATYAANLSIVAGCYGGGHFGAVKYAVTLAVVGTALDYSALKLSPQWHGWKEHLSLDTKDWLTLPEWSPIRVLDEEALAKKREREEILFAQRALSKLNKDES* >Brasy4G431800.1.p pacid=40083750 transcript=Brasy4G431800.1 locus=Brasy4G431800 ID=Brasy4G431800.1.v1.1 annot-version=v1.1 MSGVVMAASALGDRALGRQVHCQILRLGFGAYAFTGSPLVDMYAKVGLIGDARRVFDEMEGKNVVMCNTMITGLLRCKMVAEARALFEAIEERDSITWTTMVTGLTQNGLESEALDVFRRMRAESVGIDQYTFGSILTACGALAALEEGKQIHAYVTRTCYEDNVFVGSALVDMYSKCRSVRLAEAVFRRMMWKNIISWTAMIVGYGQNGCGEEAVRVFSEMQRDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLRPYVTVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLSKGVKPDGVTFIGVLSACSRSGLVDKGRSYFHSMQQDHGIVPLDDHYTCMIDLYSRSGWLKQTEEFIKQMPRCPDAFGWATLLSACRLRGDMEIGKWAAENLLKLDPQNPASYVLLCSMHASKGEWNDVAKLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSRTIYEKLQWLNSKMVEEGYKPDVSSVLHDVADAEKVHMLSHHSEKLAIAFGLIFVPPEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSNGICSCGDFW* >Brasy4G431800.2.p pacid=40083751 transcript=Brasy4G431800.2 locus=Brasy4G431800 ID=Brasy4G431800.2.v1.1 annot-version=v1.1 MSGVVMAASALGDRALGRQVHCQILRLGFGAYAFTGSPLVDMYAKVGLIGDARRVFDEMEGKNVVMCNTMITGLLRCKMVAEARALFEAIEERDSITWTTMVTGLTQNGLESEALDVFRRMRAESVGIDQYTFGSILTACGALAALEEGKQIHAYVTRTCYEDNVFVGSALVDMYSKCRSVRLAEAVFRRMMWKNIISWTAMIVGYGQNGCGEEAVRVFSEMQRDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLRPYVTVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLSKGVKPDGVTFIGVLSACSRSGLVDKGRSYFHSMQQDHGIVPLDDHYTCMIDLYSRSGWLKQTEEFIKQMPRCPDAFGWATLLSACRLRGDMEIGKWAAENLLKLDPQNPASYVLLCSMHASKGEWNDVAKLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSRTIYEKLQWLNSKMVEEGYKPDVSSVLHDVADAEKVHMLSHHSEKLAIAFGLIFVPPEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSNGICSCGDFW* >Brasy4G115400.1.p pacid=40083752 transcript=Brasy4G115400.1 locus=Brasy4G115400 ID=Brasy4G115400.1.v1.1 annot-version=v1.1 MAQLWAVFLAVGSLAIGMLGVLGVWLCYLFQAVARGPPPAPPPLAPEPRDDDDDDDKNGLSKAELTQLGGVILAEAAAGEEEALCPICLDAMEPGRAVRVLPGCNRAFHQDCVDRWLAISPRCPVCNIWATPQSPRASPVVTKTALGC* >Brasy4G003000.1.p pacid=40083753 transcript=Brasy4G003000.1 locus=Brasy4G003000 ID=Brasy4G003000.1.v1.1 annot-version=v1.1 MGHADQDRQPVNNDGLSSDDESWSDSDEGSLSFEDSGSDDPDEDEAAAGESDSSEDEVGPRNTVGQVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDVYNDEEVQITKDEAKIISRLLKGKTPHANVDPYPDYVDWFEYDGKGHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNYYLLWGDETDTADNKREGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINVDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTVRVWEVETGRCLKVLNVGADVHAIAWNPSPERPILAAIVGHDLLLLDADVGDEETKIRTKELLCVDEPTPEDDADGKKPAVRWVKHEKFDGITLIHHKAVKTVEWHSKGDYFTTVVPTGESRAVLLHQLSKKRSHHPFRKLPGLPVAATFHPTQKMFFVATKKFVQVYDLQKAEVVKKLDSGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTKPYKTLKTHKKDITSVTFHRRYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHLSIDRRGVLDCKFHPRQPWLFTAGADSVIRLYCE* >Brasy4G015100.1.p pacid=40083754 transcript=Brasy4G015100.1 locus=Brasy4G015100 ID=Brasy4G015100.1.v1.1 annot-version=v1.1 MATPSPPRLLLPYPAMLLSPMPILFLAAGPLAAVHHFPARCLLRLRVVPHSAAAATTSSVEVEDYLIASCHLTPPQALKASKNLAHLKSLSNADAVLAFLSGLGFSPKELAAAVASNPRILCARIERSLAPISAELLALGLSRPQIARLAKIAGRYFLCRSFVSKVRFWLPLFGSPERLLQASDWNYWLLSSDLDKVVEPNVAFLRQCGLSARDISKLLVAAPRLVTMHPEYVQDAVRRAAQLGVAPGSQMFRHAISTAGCIGQEKVDAKIAALRETLGWSQEEVSLAISKAPRILVASEERLRRNAEFLVNEAGLQPRYIARRSVLLMYSLERRLMPRHLVLRLLKERRLVEEDRCFFNVVAPTEERFLEKFVAPFVDTVPGLADAYESACARKAPVRAS* >Brasy4G177600.1.p pacid=40083755 transcript=Brasy4G177600.1 locus=Brasy4G177600 ID=Brasy4G177600.1.v1.1 annot-version=v1.1 MELELSLGDSPAPVNATITPAPAPTRTSEVEDHELVLGLGVTANERVEEDNQRTSTPRAETVYGEDDEACHQSESPMEASLSCLLPLVCAQTGSADSEVCRRGLDVNTVPVDDGASTPRSLLPSSMQVEVAVRQTVDQEASEDEENGGGRVRKKLRLSKEQSASLEDSFKEHSTLTLEQKSNLANRLSLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTRENRRLQREVAELRTFRPTPTYPFYNHHHHLAGVSTALPACHSSDDNNKATIYYAPPVVATPASITTVSSPGQRSRPSSTVSSLFARPHFAPFTIHPVLRRQPSAS* >Brasy4G177600.2.p pacid=40083756 transcript=Brasy4G177600.2 locus=Brasy4G177600 ID=Brasy4G177600.2.v1.1 annot-version=v1.1 MELELSLGDSPAPVNATITPAPAPTRTSEVEDHELVLGLGVTANERVEEDNQRTSTPRAETVYGEDDEACHQRSADSEVCRRGLDVNTVPVDDGASTPRSLLPSSMQVEVAVRQTVDQEASEDEENGGGRVRKKLRLSKEQSASLEDSFKEHSTLTLEQKSNLANRLSLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTRENRRLQREVAELRTFRPTPTYPFYNHHHHLAGVSTALPACHSSDDNNKATIYYAPPVVATPASITTVSSPGQRSRPSSTVSSLFARPHFAPFTIHPVLRRQPSAS* >Brasy4G296900.1.p pacid=40083757 transcript=Brasy4G296900.1 locus=Brasy4G296900 ID=Brasy4G296900.1.v1.1 annot-version=v1.1 MTTARFKVPEIALRACVIPLAVASLWEMATNKQADDTYGEISFSNLSGFKYLVFANAITAAYSVASILLSSIKSLARFDWLIFLLDQAAAYLLVTSCSAAAELVYLAREGDKEVSWGEVCSYFGRFCGRATVSVALQAAALLCFVVLSLGSAFRVFSKCDAPCPGAAAGSKQAEEQPVY* >Brasy4G429200.1.p pacid=40083758 transcript=Brasy4G429200.1 locus=Brasy4G429200 ID=Brasy4G429200.1.v1.1 annot-version=v1.1 MAKIARPFRRRKPQARASGEAAGQKVPFRRAPKRTGDPRCPAQIQPSRGSKRKTCCSSTTVGTIPRYWGAKRARSALGDPAESLTRRNKSGSARDWASLADGPAGRIAELALANDVADYVRFRAVCRPWRQCSPDPRAAAGLDGRFLPRRWMMLDKALARHRFLNLSTGECIRMDLPELDEQHTLLALTPDGLLLLLHEPSLVLRLLNPLTHQLNDLPPVTALLTPGQQRERRSGDKLGESIRVSGVGIVADASTVAVCFWHPAVLAVAKPGDESWTVVDHTYHIQSALPFGGRFYCATCHGVMVLNISSDQQTACLRIAVERSGSLINFSSFQDSIHLVDNGGELMLVHRTIWMGDDDDDDDEQDDDNYYKMKYEVYRVDLTAGILNPVKSFNGQAVFMGRGRAISISAEAFPSLAAGTLYLGLDWGEKRWMDGYNLADGSNQPCHYDPWVAERVQPSTLVDCLSYCVQGSGRQLA* >Brasy4G210900.1.p pacid=40083759 transcript=Brasy4G210900.1 locus=Brasy4G210900 ID=Brasy4G210900.1.v1.1 annot-version=v1.1 MTWMEVISKDSKFRKYEGKSFLLFEALDLLYEGNIAQGKLCFTTSQPQSSSSRKHQRNESHSTRNLPPRVDRMTSQRWESSIVDDETHNSRYDVDKMDAAGRDLGYEMPRYFDEEVVAEDGDEVQEIQRSDDHANSRSGVQSTKGKKQKGNSSFSRIEQTMSEYVNLKKEQVIMKEQASKQGQQYSIPRCLEVLNAMDDVSDDIKVLASDVFKDAANRELFLCYDSKLRGLWLKKEVVKLGV* >Brasy4G391100.1.p pacid=40083760 transcript=Brasy4G391100.1 locus=Brasy4G391100 ID=Brasy4G391100.1.v1.1 annot-version=v1.1 MAAERNNTRFSCLLLAALLVMSTVFLSCNAYPPGEFCSPMGECMKPVRPKQQQYCKDYCKGQGYNPNISYCTHDPEPECCCFKN* >Brasy4G008200.1.p pacid=40083761 transcript=Brasy4G008200.1 locus=Brasy4G008200 ID=Brasy4G008200.1.v1.1 annot-version=v1.1 MGRPPCCDNGGAGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPEHTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQTTHPADHSFQQRTTTAPSAASATTSSNYYNPISSSNLEATTQAMAYRDTTPGSEATTIGTVSKLFQTWMAKAPPPAVAQDYKAMIAMQEFQQDQEARPAGFMITGDRSPSEMVTSHGEADHSAATTFSLLENWLLDDMAPGQAAMDGLMDMSAGCCAGPIMF* >Brasy4G115200.1.p pacid=40083762 transcript=Brasy4G115200.1 locus=Brasy4G115200 ID=Brasy4G115200.1.v1.1 annot-version=v1.1 MAVSMAISKALTAGRPNHLRHRLAVTTSSQQAPPPRLPLLPRRPSLTLASRPRMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWIGLVVGVPSYYLAGSLVDLGMSALQGVATVALANAVVLVCLVLTAAPAVTHGLPFPVLARATFGVRGAHVPAVIRALVGCGWFGIESWIGGRAVFLLLPSSLKSYSPLLKPVPGLGAAPLEFACFLAFWAAQLAVIMNGMEGIRKLERYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTGAEFWKVFFPALTANVGFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSSTEAIFGHVISDPIELLGRIGGPATTVLAIFGISLATITTNIAANVVAPANAFVSMSPRRFTFAQGALITALLGIACQPWRLLSSSESFVYTWLLGYSALMGPIGGVVLADHYIVRRTALDVDALYSEARESPYYFQGGFNVAAMVAMAAGVAPIVPGFLRKVGVLPNVSKAFVSAYNNAWFVSFFVAGAVYCLLCRRRGGEVKYRNS* >Brasy4G143300.1.p pacid=40083763 transcript=Brasy4G143300.1 locus=Brasy4G143300 ID=Brasy4G143300.1.v1.1 annot-version=v1.1 MTRIFVQRGSSSNSGRSGSQTPQQQQNQAASSAASAREEELNVQPQLPEPLASDDITEHLLEGSESSSNKPSRLVDPVSESSSCSEERPTREKPPKDDFNVTDPAFLAELTGLQFSDKPEQENSVQSGTGPSQMAGAASHPPPPPAPPPKPSSSNNGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSASGSRPSSPRSLADCEGYNSADEQGPCYTSSYDDSEREHMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPVRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIRAQQDQQIENALLAEGRLYSDLELTEQEIERMVMEASRAEYLNQQQVNFRDSSRSGAEPSSSAAITGSSGSATAADRSNENCFVLPDTVLTRGMQLLLAMGFSYMQVMEAYSIFGEDVDSMICYLVEMGGTGPSAGGSNRRKGKAAE* >Brasy4G323800.1.p pacid=40083764 transcript=Brasy4G323800.1 locus=Brasy4G323800 ID=Brasy4G323800.1.v1.1 annot-version=v1.1 MAAAMAATTMVTRSKGGALAGGDRKSSFRPPLHPEAAFFPRRAPLFASVGLTASLGAPPPPAPREHDAIMKKAADQADNRDAAPRLQLAAASEAAAPEEEEAPEKGKKKQQQEGRKKKGNGMMVRVKIGNPHVRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSRGAEDSVAGVFRWIMRTEGWPGLFRGNDVNVLRVAPSKAIEHFTYDTAKKYLTPKAGEPPKIPIPTPLIAGALAGVASTLCTYPMELVKTRLTIQKGVYENLLDAFIKIARDEGPGELYRGLSPSLMGVVPYAATNFYAYETLRRLYRGVTGKAEVGGVPTLLIGSAAGAIACTATFPLEVARKQMQVGALGGTRQVYKNLLHALYCILRKEGARGLYRGLGPSCIKLMPAAGISFMCYEACKKILGGGERGGACREGS* >Brasy4G111700.1.p pacid=40083765 transcript=Brasy4G111700.1 locus=Brasy4G111700 ID=Brasy4G111700.1.v1.1 annot-version=v1.1 MVSSGSFFVEEEEEDPEERVPATPSPSASPAHPAPVLPRPPLPPASPEFVLAHDGGYGSSDEEFLSGDNDSESDDDAEPVHVFARGSPPPSRPDCTNVFMPHVPLEHFDHLAYAYVFPPAPSPNFFVRRALHAGPAHPDPQLRISGQGSSMVIFNSLMEREFAMLNQPFRGREHTVHLVRHDDTENRFLFYHLNHAALAIDDFPLEHWFPDNIFHSVAPFANPYEIYPICITGDDYSAVLITVKARSITDVPHTLAIYGFYGLGTLANISIIHSQALQPINDNPFLPPSPRSSDGEEDDSDRSSDGSVRGMCALFVEDVPPTVSPPRPAGPVGGDRPVGELAILPPPPEAASEALAELPGGGTMVAVPAPFVSASPIRSRPASIDIKLFPGFFELHVSGSHGERGLYRLPLQKVGEAGMLVANLASCSIGHLAGVSTVGPGKAPAISVDIICRDSSGLEGSARFTDISATPPASPPVEPSPVRRSARLAAVEAPTYVSILDKAAARKRAKLEGAGSSSRPARSSSKLLPSEELLELAAEGLDPLPPSDVRLLASACGIDADDRAVVPASD* >Brasy4G122100.1.p pacid=40083766 transcript=Brasy4G122100.1 locus=Brasy4G122100 ID=Brasy4G122100.1.v1.1 annot-version=v1.1 MPSRPAPSSFLSRIRLLRSAAVSPSSSSVLLPNSRPRLAFPVAPPVPRASAFAAASPLVRPVAAGFALFSMAAAASSASSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGENVAPIYKFLKSSKGSIFGDNIKWNFSKFLIDKEGHVVDRYAPTTSPLSIEKDLKKLLASS* >Brasy4G105700.1.p pacid=40083767 transcript=Brasy4G105700.1 locus=Brasy4G105700 ID=Brasy4G105700.1.v1.1 annot-version=v1.1 MLSEALEVFIMMLEDGVLPNHVTMLSIIQACSLMGHSGLFSPVHALVALLGLEDDVSVVNSLIMMYAKNGFIEEAARLFEDLYLRRGNLCSNSDVLGALTYGCAVSGSMKNGREVHAHLIKTSAFPSISIENCLMGMYARFEEVDSAYLVFKGMQVKDIVSWNTMISCLAKSDYVNEALELFSTLHGGGGGGLVPDFITVLSVVQACSSAGLLLQGQMLHGYTVKYGFVQDVSICNALISMYAKLGRIDSAEQIFKQMDAKDLVSWNSMINSYGVHGDGHSALRYFHQLMDAGTHAPNAITFLSVISACSHSGLISEGYMCFESMRKDHGIEPSMDHYACVVDLFGRSGRFTEAEEFIRDMPVPPNSSIWGPLLAACRLHGNVDLAEKAAKELLVLEPDSDIWRVSLSNIYALAGRWKDSANIRAEMKRVGLRKETGWSFVDVGGVEGFKFVSADTRHRDAEQIYAVWHTMNKHMADVAGDMHQLSLVSVI* >Brasy4G343700.1.p pacid=40083768 transcript=Brasy4G343700.1 locus=Brasy4G343700 ID=Brasy4G343700.1.v1.1 annot-version=v1.1 MVLSSQPNIDALALTDKRTSGSDCQGVGIENSDRISAVDQVNTRFAKETEQIDNLECSDDESEPKSPTQFARDCGLGTQAIDEMNAMDYNVESPENVVPIGTPPAQNEGLGTPKNYADAVRSGQANQGKSPLPVRDGKGKKTIIDDDNRRRSSRNANDDKAALDKAMDRAKLKNLDSHKET* >Brasy4G233200.1.p pacid=40083769 transcript=Brasy4G233200.1 locus=Brasy4G233200 ID=Brasy4G233200.1.v1.1 annot-version=v1.1 MDLPNLAVVLRAALSHVPEERKAAEESLNQFQYTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPDESQKVAESDKSMVRENILGFIVQVPPLLRAQLGESIKTIIHADYPEQWPSLLHWVTHNLESQSQIFGALYVLRVLTRKYEFKSEEDRIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFNPDVFSAWMILFITLLERPVPVEGQPLDPDIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKSESKAFAQMFQKNYAGKILGCHLQLLNAIRTGDYLPDRVTNLILQYLTNSVTKNSMYQLLQPQIDIILFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIHFIVDIFRRYYEAPAEAKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFSSCVGHLRAKAAWVAGQYAHINFSDQDNFRRAMHCIVAGMRDPDLPVRVDSVFALRSFVEACKDLNEIRPIIPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLASAFWKCMASSEADDEADDSGALAAVGCLRAISTILESVSSLPHLFTQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWNLWPLMMEALNDWAIDFFENILVPLDNYVSRGTDHFLACKDPDYQQSLWNALSSIMMEPNMEDSDIEPAPKLIEVVFQNCKGHVDQWVEPYLRLTIDRLRRAQKPYLKCLLVQVIANAFYYNPSLTLATLHQLGVATEIFTLWFGMLQQVKKSGMRANFRREHDKKVCCLGLTSLICLPADHIPVEALERIFKATLELLVAYKDQVTESKKQIDDDDDGDDMDGFDADEDDEEVESDKEMGLDDEDGDEVNSLHLQKLAAEARGFQPADEDDDSDDDFSDDEELQSPIDEVDPFILFVETVKGLQASDPARFQNLMQTLDFRYQALANGIALHAEERRVEIEKEKLEKANAQ* >Brasy4G300800.1.p pacid=40083770 transcript=Brasy4G300800.1 locus=Brasy4G300800 ID=Brasy4G300800.1.v1.1 annot-version=v1.1 MGTSDLDRQIEQLKRCEPLAEGEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVTSFNHSNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL* >Brasy4G300800.2.p pacid=40083771 transcript=Brasy4G300800.2 locus=Brasy4G300800 ID=Brasy4G300800.2.v1.1 annot-version=v1.1 MGTSDLDRQIEQLKRCEPLAEGEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVTSFNHSNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRNQEAFLQRGLRQITFFDTADMTLDTLLFHAP* >Brasy4G100200.1.p pacid=40083772 transcript=Brasy4G100200.1 locus=Brasy4G100200 ID=Brasy4G100200.1.v1.1 annot-version=v1.1 MPSQLHRSLLLLLLYVAAAAAALPDPAPLDPGLFLPSATPAQPGAATIPAFPEQSDAMAGGASTCPLAPSPRLLPAVLSSCDADGALPARLRCCPALAAWLFAAYAPTALAAKPVKPPSAAPVDMPVPPDDSEACAGAAERALRSEGAALPRPPGANGTCDVAFCYCGVRLRRLTCGAQPTGNAGMWSPADAVARRLERDCAKPGVHGCSKCLRALATIKSGAVGKHGDGAPSERDCELMGIMWLLQRNATRYGAAATSVIRVLMAADEASAAGVAAAAGTAACSLSVDDMPLPAQYARLSEASGPLALHGFRLILLLATSCVVYAL* >Brasy4G203500.1.p pacid=40083773 transcript=Brasy4G203500.1 locus=Brasy4G203500 ID=Brasy4G203500.1.v1.1 annot-version=v1.1 MDASSSDRQSPRSRQLQLQGPRPPRLSVSKDSHKIKKPPMVPLPHGAQQQARSRHPQAHHPQQQQQRQPVIIYDASPKVIHTQPSEFMALVQRLTGPAHHSIQAEEPSAVPPQFQPQEFMLSPTAGLSPAARYAAIERSVRPLPPGPAPYAGSWMDLDGLADVLGPGRPGILSPVPSTLPPAASAGLFSPLPFDASSLSWLNDLSPFLASAGARDREALFASSPGSLLLATPTMPSPAMMKFFSEFLPDL* >Brasy4G149600.1.p pacid=40083774 transcript=Brasy4G149600.1 locus=Brasy4G149600 ID=Brasy4G149600.1.v1.1 annot-version=v1.1 MVGVAALAAGVGVLLPFPFYWALWSYPQRWVDLCGRGADPCRRMAQVSHILKALQLLSLASVASFSWPPPPCALILLACGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSIMSLVALLCWVPYQYVLLWCLGYVFMILVEDKEDPATRAKLLS* >Brasy4G308800.1.p pacid=40083775 transcript=Brasy4G308800.1 locus=Brasy4G308800 ID=Brasy4G308800.1.v1.1 annot-version=v1.1 MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGQISANNIEIGVIRADREFKVLTPAEIKDFLEEVE* >Brasy4G156600.1.p pacid=40083776 transcript=Brasy4G156600.1 locus=Brasy4G156600 ID=Brasy4G156600.1.v1.1 annot-version=v1.1 MAYEISEIKKIGIGLVGFGMIFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGMVMELYGSFVLFSGYGAPIQAFLFQIPIIGWILQYPFQLFGLKRKRA* >Brasy4G234700.1.p pacid=40083777 transcript=Brasy4G234700.1 locus=Brasy4G234700 ID=Brasy4G234700.1.v1.1 annot-version=v1.1 MPEPLAVVPCTLLIKKLCAAGRLPDAEGVLRASEAAGTADAVAHNTLVAGYCRAGRLADAERMLRSLATSGAADVVTDVTVTYNTLVAGYCRDGRLDDARLLVASMPPAPNSYTYNTLLKGLCSAKQWGDAEELVEEMIRNDSPPDDLTFGMLIHSFCQSGLVDRAMGILDRMSKCGCTPGVIVYNEIISCFAELGRVEESLDLFSCMPCKPDIFSYNAVLKGLCRAERWENAGELITEMVRKDCPPDEVTFNTVISFLCHKGLVDCALEVVDQMPKYGPKPDNFTYSALINAFSEQGCVEDALELLRSMPCSPNTVCYKSVLKGLCRDGQWEDVGQLIGEMVRNDCAPDEMTFGLIIDCLCQRGLVDCGLEVLQEVPNYGCSPDVVMYNSLLNGFAEYERVDDALKLFKSMTCKPNTVTYNYVLKGLCKVELWEDAGKLIDKMLLNNMSCKADTICYCSALKGLCRAELWEDVGELIVEMFRKDCPPDEVTFSILITNLCQQGFDEYATEYECTPNIVIYSSLINGFSEHGRLEDALKLLRSLCRAKRWKDARELIAEMFREECLVDEAVFSILIDSLCRNGLLDLATEVFEQMPKYRCTPNMVIYNSLVNGFSGQERVDEALKLLNSMS* >Brasy4G125900.1.p pacid=40083778 transcript=Brasy4G125900.1 locus=Brasy4G125900 ID=Brasy4G125900.1.v1.1 annot-version=v1.1 MRPSKKGTKAPQASDIDRFGALPDELLHHVLSFLPAHEVVRTCVLARRWRHLWKSATSLRILGSNTKDVGPVEELRKFVDHLLILRGHADLNTFEIEFSEFEEEDVPYVNLWTRYAVLCKVRVLKLHLDHDESLYLDSLPLVSQHLRTLDLEGVGLQQNFLDFSSCPALEDMKMNACEIIADKISSRSLKHLSITGCRSSLDYRISISAPCLESFTLDGFVGRTPSFECMPLLETAAVKLGCACSDICRNYKQLGFCGAKDASCWNCLAYSDGINDTVLLGGIYNAKHLELISPLGMIIFARDLKRCPTFNKLKTLLLNDYWCVGPDFDALTCILKHSPVLEKLTLQLVSKGQAHKVEMKGSYCSIERSNAISKQLKTIYVKCNGVDERVLQLLKFMCTFNIPSNKSAKICVNGGSTIYQLLKNVRLYDVNML* >Brasy4G125900.2.p pacid=40083779 transcript=Brasy4G125900.2 locus=Brasy4G125900 ID=Brasy4G125900.2.v1.1 annot-version=v1.1 MRPSKKGTKAPQASDIDRFGALPDELLHHVLSFLPAHEVVRTCVLARRWRHLWKSATSLRILGSNTKDVGPVEELRKFVDHLLILRGHADLNTFEIEFSEFEEEDVPYVNLWTRYAVLCKVRVLKLHLDHDESLYLDSLPLVSQHLRTLDLEGVGLQQNFLDFSSCPALEDMKMNACEIIADKISSRSLKHLSITGCRSSLDYRISISAPCLESFTLDGFVGRTPSFECMPLLETAAVKLGCACSDICRNYKQLGFCGAKDASCWNCLAYSDGINDTVLLGGIYNAKHLELISPLGMIIFARDLKRCPTFNKLKTLLLNDYWCVGPDFDALTCILKHSPVLEKLTLQLVSKGQAHKVEMKGSYCSIERSNAISKQLKTIYVKCNGVDERVLQLLKFMCTFNIRFSFE* >Brasy4G125900.3.p pacid=40083780 transcript=Brasy4G125900.3 locus=Brasy4G125900 ID=Brasy4G125900.3.v1.1 annot-version=v1.1 MRPSKKGTKAPQASDIDRFGALPDELLHHVLSFLPAHEVVRTCVLARRWRHLWKSATSLRILGSNTKDVGPVEELRKFVDHLLILRGHADLNTFEIEFSEFEEEDVPYVNLWTRYAVLCKVRVLKLHLDHDESLYLDSLPLVSQHLRTLDLEGVGLQQNFLDFSSCPALEDMKMNACEIIADKISSRSLKHLSITGCRSSLDYRISISAPCLESFTLDGFVGRTPSFECMPLLETAAVKLGCACSDICRNYKQLGFCGAKDASCWNCLAYSDGINDTVLLGGIYNAKHLELISPLGMIIFARDLKRCPTFNKLKTLLLNDYWVKHIKWK* >Brasy4G095900.1.p pacid=40083781 transcript=Brasy4G095900.1 locus=Brasy4G095900 ID=Brasy4G095900.1.v1.1 annot-version=v1.1 MDVPVVDLAPYLQIAGGTGPAEAEAEEGIRALCAAVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSDDSKRLQERPQLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPDEFQPATPKGPDPKWRYMWRVGPRPSNTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLLRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAKEQNRSLWRVSSTLFSHIASDATLKPLGHFAEAPNAHSYPPICAGDYVEQELAAINLKGKNGL* >Brasy4G095900.3.p pacid=40083782 transcript=Brasy4G095900.3 locus=Brasy4G095900 ID=Brasy4G095900.3.v1.1 annot-version=v1.1 MDVPVVDLAPYLQIAGGTGPAEAEAEEGIRALCAAVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSDDSKRLQERPQLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPDEFQPATPKGPDPKWRYMWRVGPRPSNTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLLRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQVNFSTFA* >Brasy4G095900.2.p pacid=40083783 transcript=Brasy4G095900.2 locus=Brasy4G095900 ID=Brasy4G095900.2.v1.1 annot-version=v1.1 MDVPVVDLAPYLQIAGGTGPAEAEAEEGIRALCAAVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSDDSKRLQERPQLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPDEFQPATPKGPDPKWRYMWRVGPRPSNTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSDLLRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQ* >Brasy4G173600.1.p pacid=40083784 transcript=Brasy4G173600.1 locus=Brasy4G173600 ID=Brasy4G173600.1.v1.1 annot-version=v1.1 MDQDVRGWRHPFPETPSAMGHVDSAGAFLDVLEQQEHEQEQEEEEIIDSEPESIEISDLKKRMWKDQMRLMKLEGRAGNRGAVPPVPAGAAGSSAGQDQDEEDSPDVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVIFDRTGPMALAAGDTNSSSGSSPLGLASYLHRLQGIQDNTLGSVLSALIQHCEPPQRNFPLERGLAPPWWPTGKEPWWGTQGEMQAHQGAPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRRLVWQSKRLQQKMSARESETWSKVLRQEEALNRRLKTSLQITPLDDGEDGDTDGLEDVVRGARDKRRCAIVPGGGGSGSGGKWPRIPRGGGEQLAEVQAEESQQQSPINELMELYYNCLQGDDVVPLGVPGGVVDDDAAQQFLLDIIGTCPEADSVE* >Brasy4G116300.1.p pacid=40083785 transcript=Brasy4G116300.1 locus=Brasy4G116300 ID=Brasy4G116300.1.v1.1 annot-version=v1.1 MAQCATQHRAGLKKKKKKKKKKKKKKKKKKKKKMKKKKKKKSKAKRTLDTRRGWAGATATGGAQLFGNGTTCSHVLAPSLQPAVRCAPAARAGPCKIDGSSTRDCIRGKAADGDVPMHDDEHAVFRRGSVPSGFRPAGWGRALCPSMEDGAGIGGDEEGRHGGRLDLGKTTRGAAAAGRAGQIRERGGQREESQIARAGGGQGEADVAPARGGQYGGRECSAPWQG* >Brasy4G161300.1.p pacid=40083786 transcript=Brasy4G161300.1 locus=Brasy4G161300 ID=Brasy4G161300.1.v1.1 annot-version=v1.1 MKKKNSAGDDVELIKAVAQAWYAHSGNPRPSSSRDVDDGAGGGVGARRTRGYRPSRFKLEAAAAAAAQSSSRRRSWDFAQSLWDTYELVTVAKKIESSLAIIDQATATPLPLPRPGAARGGGGGGGKWARESRRSLKSLFLRSSSRRFEESSG* >Brasy4G329000.1.p pacid=40083787 transcript=Brasy4G329000.1 locus=Brasy4G329000 ID=Brasy4G329000.1.v1.1 annot-version=v1.1 MFVRGRVRVGSTKSPSAEKCASAGLKSQLSTLNRSPPPPSLPLAALPAGFRGSRRESRAPVRRQPRRIRRALGAAASSRADPTRARAFARRESRGFLQLWWASGLGVRSRRWAGRNSKRRSWGRGSRAGRGRAQRERFEPPLPPPPPPDRRGVA* >Brasy4G079400.1.p pacid=40083788 transcript=Brasy4G079400.1 locus=Brasy4G079400 ID=Brasy4G079400.1.v1.1 annot-version=v1.1 MALGAGGRRSPGDRARVEGGGRGWGGDGGGEEARDGGRPRVEGGREGRRRAGGARQGGRRRRARVEAASGGWRRHARGWREARREEWRGREGGRRVEGGAAGRGRSGGWLRLGGASTVCSGGGGGSARWGGRKRHLRGNLFSLRA* >Brasy4G047100.1.p pacid=40083789 transcript=Brasy4G047100.1 locus=Brasy4G047100 ID=Brasy4G047100.1.v1.1 annot-version=v1.1 MASPAGNPNPNPNNPNPTFEMNMLFRPPHNPAPTASPIFPGAAGPPPPSGPYSYPPATPPFHRGPYLHYAQDPQPPMPRPAMSFPMANPNLNPSANPGASPAPNPGARLMQLLGNSGGSGHLESAVSLPPPSSEFAATLPPPLPAMPSAPPARMLSSTSSKVPRGRLLGRGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRALLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDAESYHPRICWHSHKQELLFVGIRNCVLRIDTTKVGRGRDFSAEEPIKCHLDQLIDGVRLVGKHDDDVTDLSISQWMTTRLASGSKDGTVKIWDDRKSAPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNQEIKIWASTNEEGWLLPSDSESWNCTQTLELVSSLEPRVEEAFFNQVAVLPQASIILLANAKKNAIYAVHVEYGPDPASTHLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPSDTTGLGRDPAISHVYEASLEGVGAESSMGTSIADSYTVGGSSKQSTSDQSTDLDHKASAPPLAYAEGDGSAHLPSAPLASNMDLAGSGPPLGNSDKDQSDFDYSKNRNIEPVTLTRQDTPMPKPLALTRQDTPMPKPLALTRQDTPMPKPVALTRQDTPMPKDNLGKDELRDNHSDVKMPPNPRMMFKVGGNATHLITPSEIISGALSTADSNQVSKSDGAKIQDGSISSPRIAEVEAKHVDESKPDHVLEPEAVKEAQVCESSKKVQNSLEQTVEMISERSVTTDKYSVEESRSASDKLVPEHTGATGENVSNKTVEIPVKSDYPSASREQSSSYIKEKEKVLHPQASGQSSPSTSAFNSTESSHEPFSSSYPPIDSSPEVADIQGMMQQLVAMQKDMQKQLGTIVTAPIAKEGKRIETSLGRTMEKSVKASIDALWARFLEENTKREKAERERMQQMTTLITSSISKDIPAMLEKSIKKEASSLGPIIARTITPIIEKSLASIVADSVQKAVGDKVVNQLDKSVSAKLEATLARQIQQQFHTSVKQNLQDALRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAVGIQQQVEAAHTPLVLTLKETITSASSITQSVTSELLDGHRKLLALVASGNFKAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLISERKFDESFTVALQRSDVSIVSWLCSQVDLRALCTMVPVPLNQGVLLALLQQLAVDIGTETSRKIQWMTDVAMAINPTDLVIAQHVRPIFDQVYAKLAHHRSLPSTSPSDSSNLRLLMHVINSVLLSYK* >Brasy4G047100.3.p pacid=40083790 transcript=Brasy4G047100.3 locus=Brasy4G047100 ID=Brasy4G047100.3.v1.1 annot-version=v1.1 MASPAGNPNPNPNNPNPTFEMNMLFRPPHNPAPTASPIFPGAAGPPPPSGPYSYPPATPPFHRGPYLHYAQDPQPPMPRPAMSFPMANPNLNPSANPGASPAPNPGARLMQLLGNSGGSGHLESAVSLPPPSSEFAATLPPPLPAMPSAPPARMLSSTSSKVPRGRLLGRGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRALLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDAESYHPRICWHSHKQELLFVGIRNCVLRIDTTKVGRGRDFSAEEPIKCHLDQLIDGVRLVGKHDDDVTDLSISQWMTTRLASGSKDGTVKIWDDRKSAPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNQEIKIWASTNEEGWLLPSDSESWNCTQTLELVSSLEPRVEEAFFNQVAVLPQASIILLANAKKNAIYAVHVEYGPDPASTHLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPSDTTGLGRDPAISHVYEASLEGVGAESSMGTSIADSYTVGGSSKQSTSDQSTDLDHKASAPPLAYAEGDGSAHLPSAPLASNMDLAGSGPPLGNSDKDQSDFDYSKNRNIEPVTLTRQDTPMPKPLALTRQDTPMPKPLALTRQDTPMPKPVALTRQDTPMPKDNLGKDELRDNHSDVKMPPNPRMMFKVGGNATHLITPSEIISGALSTADSNQVSKSDGAKIQDGSISSPRIAEVEAKHVDESKPDHVLEPEAVKEAQVCESSKKVQNSLEQTVEMISERSVTTDKYSVEESRSASDKLVPEHTGATGENVSNKTVEIPVKSDYPSASREQSSSYIKEKEKVLHPQASGQSSPSTSAFNSTESSHEPFSSSYPPIDSSPEVADIQGMMQQLVAMQKDMQKQLGTIVTAPIAKEGKRIETSLGRTMEKSVKASIDALWARFLEENTKREKAERERMQQMTTLITSSISKDIPAMLEKSIKKEASSLGPIIARTITPIIEKSLASIVADSVQKAVGDKVVNQLDKSVSAKLEATLARQIQQQFHTSVKQNLQDALRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAVGIQQQVEAAHTPLVLTLKETITSASSITQSVTSELLDGHRKLLALVASGNFKAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLISERKFDESFTVALQRSDVSIVSWLCSQVDLRALCTMVPVPLNQGVLLALLQQLAVDIGTETSRKIQWMTDVAMAINPTDLVIAQHVRPIFDQVYAKLAHHRSLPSTSPSDSSNLRLLMHVINSVLLSYK* >Brasy4G047100.2.p pacid=40083791 transcript=Brasy4G047100.2 locus=Brasy4G047100 ID=Brasy4G047100.2.v1.1 annot-version=v1.1 MASPAGNPNPNPNNPNPTFEMNMLFRPPHNPAPTASPIFPGAAGPPPPSGPYSYPPATPPFHRGPYLHYAQDPQPPMPRPAMSFPMANPNLNPSANPGASPAPNPGARLMQLLGNSGGSGHLESAVSLPPPSSEFAATLPPPLPAMPSAPPARMLSSTSSKVPRGRLLGRGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRALLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDAESYHPRICWHSHKQELLFVGIRNCVLRIDTTKVGRGRDFSAEEPIKCHLDQLIDGVRLVGKHDDDVTDLSISQWMTTRLASGSKDGTVKIWDDRKSAPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNQEIKIWASTNEEGWLLPSDSESWNCTQTLELVSSLEPRVEEAFFNQVAVLPQASIILLANAKKNAIYAVHVEYGPDPASTHLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPSDTTGLGRDPAISHVYEASLEGVGAESSMGTSIADSYTVGGSSKQSTSDQSTDLDHKASAPPLAYAEGDGSAHLPSAPLASNMDLAGSGPPLGNSDKDQSDFDYSKNRNIEPVTLTRQDTPMPKPLALTRQDTPMPKPLALTRQDTPMPKPVALTRQDTPMPKDNLGKDELRDNHSDVKMPPNPRMMFKVGGNATHLITPSEIISGALSTADSNQVSKSDGAKIQDGSISSPRIAEVEAKHVDESKPDHVLEPEAVKEAQVCESSKKVQNSLEQTVEMISERSVTTDKYSVEESRSASDKLVPEHTGATGENVSNKTVEIPVKSDYPSASREQSSSYIKEKEKVLHPQASGQSSPSTSAFNSTESSHEPFSSSYPPIDSSPEVADIQGMMQQLVAMQKDMQKQLGTIVTAPIAKEGKRIETSLGRTMEKSVKASIDALWARFLEENTKREKAERERMQQMTTLITSSISKDIPAMLEKSIKKEASSLGPIIARTITPIIEKSLASIVADSVQKAVGDKVVNQLDKSVSAKLEATLARQIQQQFHTSVKQNLQDALRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAVGIQQQVEAAHTPLVLTLKETITSASSITQSVTSELLDGHRKLLALVASGNFKAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLISERKFDESFTVALQRSDVSIVSWLCSQVDLRALCTMVPVPLNQGVLLALLQQLAVDIGTETSRKIQWMTDVAMAINPTDLVIAQHVRPIFDQVYAKLAHHRSLPSTSPSDSSNLRLLMHVINSVLLSYK* >Brasy4G398000.1.p pacid=40083792 transcript=Brasy4G398000.1 locus=Brasy4G398000 ID=Brasy4G398000.1.v1.1 annot-version=v1.1 MTTNMPGGRRPAACTAAARAARGEPRGGRGRRIRSGVVGGGPDPAGGEAGGDGARGARGGGGCAGARGAGGWRRAGQRGGSSGVGRGRRQYGLRFWTGFRT* >Brasy4G304200.1.p pacid=40083793 transcript=Brasy4G304200.1 locus=Brasy4G304200 ID=Brasy4G304200.1.v1.1 annot-version=v1.1 MASRVKEDERNERVIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVSALQGGGNERAREIFFKEWDSQRGSYPDSSNVDKLRNFIKHVYVERRYTGERSSDRPPRGKDDKDEPSENRRSDGNRGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYDQSDYKKSPRYFEAVDDRSGKTTPVQRFEDRRFSEPRKPETGSPNYERESNGSSPPVVRPVREILGDDAPQLRIGEPPKPNVAKQIDPPKPNGIRTIEPPPQAQRTSTATSVGSSEGTLEQMKVASPISLIDFSADPEPSASAPPSQTGPAPQQQPVNAQPPQPINAAVQQPVLEQGKSAPSVSGGDWASFDAFGQQQIPQAASSVNPLESVLAELSFSETPSAPNTSTFPISVDPKANDGGQSSMIDQSHSSLFGAPLGISGNQNQASTGMSIQGSSIQQSAVATPMGVLPSQVPSSSQGTSGIQEATSSADDKSSGRKPLPVDFFTSLYPSAAPTMPGWQRAPQFGMGFAMQYPPGMLQGGYPQAAFSQPAYQQTYQQPTYQQPSYQQPAYPQHSYPQPAKASNPFDLGNEPAQAHMPLSGPLGASAGATPATLLGTSRFGVPPQQPQQLYQSSAPQNHYMMQHVPNNMPGQLPNNMLPRQQGGPGSLGIGYDQQAAPRYSQPNTPPSYGAVGGNPFG* >Brasy4G285900.1.p pacid=40083794 transcript=Brasy4G285900.1 locus=Brasy4G285900 ID=Brasy4G285900.1.v1.1 annot-version=v1.1 MRLRSLPPLSLLLLVLLQSEAHAFASPTDNIVRQLSSVVKWPRGSSPHSPKQSSHSQYDGNVALQFESGYFVETLVEGDKLGVTPHTIRVSPLEGGELLAVDSAHSNIVRITPPLSEYSRARLVAGSFQGHAGHIDGKPIDARFKRPTGVAVDDTGNVYIADTANLAIRKIGDSGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDAALLSSDIILVIGAVVAGYLFSVVQHGFGPSSSEKIEAPEDKKQESSTVGKPPLVVESLKEEPSAGWPSFGTLVADLLKLAIEGVGSLLFNIVPSRLRRVKRKTGLTPLKDRLVMPEDREETPIAQKLSSAPMRTETLHAPNTVNETAPKAQKSIKSSSKFRDSTLSSKHRSTKRPEYAEFYGSSETPQASAKVPKDRLRHRHREKSGEVAYGSGHPEPKPAEMKPADYNDPKYDHYNMRNKYGADTAFRY* >Brasy4G379700.1.p pacid=40083795 transcript=Brasy4G379700.1 locus=Brasy4G379700 ID=Brasy4G379700.1.v1.1 annot-version=v1.1 MECVRILVWNVRGLNSRARRCAVNKLVTNQRASVVCLQESKLSAVSAVDVAAVCGASFNKFVFVPADGTCGGLITAWHDDNLSLLQTFSSQNVLATQFKDRSSGCVWWLVNVYGPQAAVDKRAFLQFLKLHFIAVLDPIILAGDFNLIARAADKNNSNINRGLINCFRNFINELALKEMYMHGCIFTWSNEQSSNIRVRLDRAISTNCSDHAPLLLICDADFRPCRRFRFDNYWTAREDFLTTVAKAWEEVPEGSNAFVTVHRKLVATSKALLRWSSDFRAQLDLQSSIVNELIFMLDKAGDFRPLSAGELNFRKILKLLSLGLASVERTIWRQRSRYLWLKVGDTNSKLFHARASSRRRKNNIPVLSKDDVIASSTEQKIAMLHEHFDHIIASSSFSLAVS* >Brasy4G092800.1.p pacid=40083796 transcript=Brasy4G092800.1 locus=Brasy4G092800 ID=Brasy4G092800.1.v1.1 annot-version=v1.1 MAEVQLEEAAASSSAAGGTPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTENGLLLLHDVENGKMLRSIKSHDVAIVCLNWAEDEPLSRTGKDDLLPYEDRTSRFFPPAPVMPRIGGLSSGDTGLADENDEAIQEFSSASCQRFNILCSGDKDGCICFSIFGIFPVGKIDINKVPIHVQSSGKRTSYRLQDASISKVSLSRNLHQLVLLCSGKLIDADNLYGSNDISVGLHCLHLDTSIFSNRKNELHQVSQQASSIQDLAEVVRASISMMSKQWSSAMNLFHEKFSALPSLITAHGMESSSEDEFMSLLFGTHTSPALHQFLVSSLGEAGLKRIAKAIDSAGRELRSVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLMDGVTEKIGMLVVQVERFSRVAATVLYLFQNFFNWVLKCVKILLSEPTDQVPSTNSELVVIFLKFFLDKDPIKQLLETDQIFEWDIDTTRHVEKLVVFGGFTDTQFLERSLVKQFTELEESMKEAFLMPFTTISSQIHCQGLLPLYPTTSSDALSSTCAPASISFYKQVEDSQHSASSYSLTDYICFKIPDGSLNLRNCVGVIKNFCESSTCSRPSLSGFLLRIPDEYECVDLSLYKDNQVVLLLSGRSLSESPVRSWMVMLQADNFSFSPVSRTGLANCYFLQELVALELQLDTDHGKVRSIPHPLSTPLAVSASRGVGCVFSSRRHALVYILDEDEDDDEDEVSDME* >Brasy4G092800.2.p pacid=40083797 transcript=Brasy4G092800.2 locus=Brasy4G092800 ID=Brasy4G092800.2.v1.1 annot-version=v1.1 MAEVQLEEAAASSSAAGGTPFQLQFDKPIPFQIKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTENGLLLLHDVENGKMLRSIKSHDVAIVCLNWAEDEPLSRTGKDDLLPYEDRTSRFFPPAPVMPRIGGLSSGDTGLADENDEAIQEFSSASCQRFNILCSGDKDGCICFSIFGIFPVGKIDINKVPIHVQSSGKRTSYRLQDASISKVSLSRNLHQLVLLCSGKLIDADNLYGSNDISVGLHCLHLDTSIFSNRKNELHQVSQQASSIQDLAEVVRASISMMSKQWSSAMNLFHEKFSALPSLITAHGMESSSEDEFMSLLFGTHTSPALHQFLVSSLGEAGLKRIAKAIDSAGRELRSVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLMDGVTEKIGMLVVQVERFSRVAATVLYLFQNFFNWVLKCVKILLSEPTDQVPSTNSELVVIFLKFFLDKDPIKQLLETDQIFEWDIDTTRHVEKLVVFGGFTDTQFLERSLVKQFTELEESMKEAFLMPFTTISSQIHCQGLLPLYPTTSSDALSSTCAPASISFYKVEDSQHSASSYSLTDYICFKIPDGSLNLRNCVGVIKNFCESSTCSRPSLSGFLLRIPDEYECVDLSLYKDNQVVLLLSGRSLSESPVRSWMVMLQADNFSFSPVSRTGLANCYFLQELVALELQLDTDHGKVRSIPHPLSTPLAVSASRGVGCVFSSRRHALVYILDEDEDDDEDEVSDME* >Brasy4G181900.1.p pacid=40083798 transcript=Brasy4G181900.1 locus=Brasy4G181900 ID=Brasy4G181900.1.v1.1 annot-version=v1.1 MDGSLGLHLQSCAAASPLSRGKGGEDSRRQRQKGRSIPDDGALTLGSGCSSLSSAPRQWTPAATNPRAFDLLWSGEARGWMDSRENAFSRRRSQIMHASSATSCLVAFTSSGILPFFFGSPVHLACILKTCNL* >Brasy4G415900.1.p pacid=40083799 transcript=Brasy4G415900.1 locus=Brasy4G415900 ID=Brasy4G415900.1.v1.1 annot-version=v1.1 MEAEHRAHARVGLLGNPSDVYGGKALSLAVAGLWATVRLRPSAELLIQPHPHHDLVAFPSLPALVERLQGQGYYGGVRLLMAICKVFHSHCSRNGIALKDDNFTLSYETSIPRQAGLSGSSAIVCAALSCLLDFYNVRHLIKVEVRPNLILEAEKELGIVAGLQDRVAQVYGGLVFMDFSQEHMDKLGHGIYTPLDVDLLPPLYLIYAENPSDSGKVHSTVRKRWLDGDEFIISSMKEVAQLAVDGRNALLQKNYTELAKLMNRNFDLRRQMFGDDALGAMNIEMIEVARSVGAASKFTGSGGAAVALCPDGEAQAELLKTACREAGFVVELIEVARSVLTEEETASLSLSSHHQ* >Brasy4G243400.1.p pacid=40083800 transcript=Brasy4G243400.1 locus=Brasy4G243400 ID=Brasy4G243400.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G422500.1.p pacid=40083801 transcript=Brasy4G422500.1 locus=Brasy4G422500 ID=Brasy4G422500.1.v1.1 annot-version=v1.1 MEAFAAAPAAGVFAGPSTAARPAVSLRRRSRAGAGRVRLLRAPRAGSGVDGDGGGELPALDKWDMMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLVASRKDRLDVILREYMDANKQDKASHMEEAISKPMKGDQPLGVSRPVLSKPKLDEPSLTLLRPTGSKPKQDELREPPLTLLRPVGSKPKVNKPSLTLLRPMGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQMEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTADSQASAGSASVATGEDTNEREAGVQPSEQSLTQQIDSSAPDNQSTTDNNFSMQAFLQGRPRKDLSVETLPSQVDAEKKNNSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEESFEVNDKSIEPESSSVSEVPHQDQATLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422500.2.p pacid=40083802 transcript=Brasy4G422500.2 locus=Brasy4G422500 ID=Brasy4G422500.2.v1.1 annot-version=v1.1 MEAFAAAPAAGVFAGPSTAARPAVSLRRRSRAGAGRVRLLRAPRAGSGVDGDGGGELPALDKWDMMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLVASRKDRLDVILREYMDANKQDKASHMEEAISKPMKGDQPLGVSRPVLSKPKLDEPSLTLLRPTGSKPKQDELREPPLTLLRPVGSKPKVNKPSLTLLRPMGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQMEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTADSQASAGSASVATGEDTNEREADSSAPDNQSTTDNNFSMQAFLQGRPRKDLSVETLPSQVDAEKKNNSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEESFEVNDKSIEPESSSVSEVPHQDQATLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G319500.1.p pacid=40083803 transcript=Brasy4G319500.1 locus=Brasy4G319500 ID=Brasy4G319500.1.v1.1 annot-version=v1.1 MADIVLGLTKSVVEGMLSKVQSAIEEEAKLKVRVQHDLVFITGEFQMMQSFLKAVDREQVKDSVVRTWVKQLRDLAYDVEDCIEFVIHVDEKLIWWRRLLPSCMVPSPPLDQAVSDVNQLKARVEDVSKRNMRYNLITDSSSSKPATTQQQPSTIIGTTEFDMLIEARDTARNHCGLCDLTKLISKDDKDLQVISVWGTGSNLGTTSIIRKAFEDPEICQKFRFRGWVKLMYPFDPYKVIWSLLSEFIRNSYRQRGDIVNVEAMLSETEAAADMQGGHVRNFINRVQNNRYLIVLEDLPTMVEWDAIRTYLPDMKNGSRIVVSTQQLEIASLCTGHPRQVSELEKISDDHSVCVFFKEGSQSDEDGKNTMTMASNDQTPVSKRTEALNWVKRFQPVGRKVEKSDLWFMLCQAATIGRKKVISVWGIAGVGKSALVKMVYYGEIDKYDRFDKYGWVNVCRPLNLRDFSRSLLSDLNRESLQANGTSDFGMMGIKDPIDECSNLMKKNKCLVVIDGLQSMEEWDLIKVAFANVPSKSCVVVITDEEDVATHCAVPDDAVFNVKGLEADEALELFIKEVSRETETRIDPDAVKQAKQDPDVMEQAKQDPDIMKQVKLILNKCGGLPQVIVAVARYLATKTNMEVLSNLNDRFINQLEISPGLDSLRGLFTWMHSYFHSCPDSLKPCVFYLSIFPRDRCIRRKRLVRRWITEGYSRDTDINTADTEAEDSFSKLVSLSIIQDPSKAATEIYRSKGMRIALCQVNGFIREYIVSRPMEDNLVFALEGCCSLNSQRTGRHLAIRSSWKRDINVFKSMDFSRLRSLTVFGEWKSFFISDTMRLVRVLDLEDTSDLTNNDLEEIGKLLPRLKFLSLRGCREISHLPSSIGALRQLQTLDVRHTSIARLPPAIIKLRKLQYIRAGRIMALSDGIGMAAEEESSTPSRNMTRTKLSWLSQFRRCRPIGSVKVPIRIGKLTNLHTLGVVNVNVAGGKAILEELKKLTQLRKLGVSGINRKNSQKFCKAISGHSHLESLSVWTKDNHTCLDGIYPHPENLQSLKLYGLADKLPVWIKQLHNLTKMNLEMAILGREDIEVLGDLPNLVMLQIFVKPVQNGQLCFHPKPEKSDSSVFVSLHVLEIACNSSLHVRFIKLAMPHLEMLKVRCCSGSSLQFSGLENLYYLKEVSLEGSYDIELKEDLLQQLDNVPKSNKPVLTWPVAIMSSK* >Brasy4G319500.2.p pacid=40083804 transcript=Brasy4G319500.2 locus=Brasy4G319500 ID=Brasy4G319500.2.v1.1 annot-version=v1.1 MADIVLGLTKSVVEGMLSKVQSAIEEEAKLKVRVQHDLVFITGEFQMMQSFLKAVDREQVKDSVVRTWVKQLRDLAYDVEDCIEFVIHVDEKLIWWRRLLPSCMVPSPPLDQAVSDVNQLKARVEDVSKRNMRYNLITDSSSSKPATTQQQPSTIIGTTEFDMLIEARDTARNHCGLCDLTKLISKDDKDLQVISVWGTGSNLGTTSIIRKAFEDPEICQKFRFRGWVKLMYPFDPYKVIWSLLSEFIRNSYRQRGDIVNVEAMLSETEAAADMQGGHVRNFINRVQNNRYLIVLEDLPTMVEWDAIRTYLPDMKNGSRIVVSTQQLEIASLCTGHPRQVSELEKISDDHSVCVFFKEGSQSDEDGKNTMTMASNDQTPVSKRTEALNWVKRFQPVGRKVEKSDLWFMLCQAATIGRKKVISVWGIAGVGKSALVKMVYYGEIDKYDRFDKYGWVNVCRPLNLRDFSRSLLSDLNRESLQANGTSDFGMMGIKDPIDECSNLMKKNKCLVVIDGLQSMEEWDLIKVAFANVPSKSCVVVITDEEDVATHCAVPDDAVFNVKGLEADEALELFIKEVSRETETRIDPDAVKQAKQDPDVMEQAKQDPDIMKQVKLILNKCGGLPQVIVAVARYLATKTNMEVLSNLNDRFINQLEISPGLDSLRGLFTWMHSYFHSCPDSLKPCVFYLSIFPRDRCIRRKRLVRRWITEGYSRDTDINTADTEAEDSFSKLVSLSIIQDPSKAATEIYRSKGMRIALCQVNGFIREYIVSRPMEDNLVFALEGCCSLNSQRTGRHLAIRSSWKRDINVFKSMDFSRLRSLTVFGEWKSFFISDTMRLVRVLDLEDTSDLTNNDLEEIGKLLPRLKFLSLRGCREISHLPSSIGALRQLQTLDVRHTSIARLPPAIIKLRKLQYIRAGRIMALSDGIGMAAEEESSTPSRNMTRTKLSWLSQFRRCRPIGSVKVPIRIGKLTNLHTLGVVNVNVAGGKAILEELKKLTQLRKLGVSGINRKNSQKFCKAISGHSHLESLSVWTKDNHTCLDGIYPHPENLQSLKLYGLADKLPVWIKQLHNLTKMNLEMAILGREDIEVLGDLPNLVMLQIFVKPVQNGQLCFHPKPEKSDSSVFVSLHVLEIACNSSLHVRFIKLAMPHLEMLKVRCCSGSSLQFSGLENLYYLKEVSLEGSYDIELKEDLLQQLDNVPKSNKPVLTWPVASK* >Brasy4G358200.1.p pacid=40083805 transcript=Brasy4G358200.1 locus=Brasy4G358200 ID=Brasy4G358200.1.v1.1 annot-version=v1.1 MQMLFTELGNEPPAHLLRRRQQVQVPFQSTTWFFTC* >Brasy4G066100.1.p pacid=40083806 transcript=Brasy4G066100.1 locus=Brasy4G066100 ID=Brasy4G066100.1.v1.1 annot-version=v1.1 MGRTKPPPYPLSLPPPPSFPTGPVPLHITSVSPSPSSRRRRLGAMAHSCLSTARTAALRPNPHAAAGPHIHQLHYASVFPRPARASSHHRQRLILAAPVVPVSRRSRRVLAITAMASQEEAAATATAVQEEAAAGQPREEEPVGEAVQEEEQDQGVAVEASSGSVDGYDDGAGTGAAEAASSATKLYFGNLPYNCDSALLAGIVQDHATPEMVEVLYDRTTGRSRGFAFVTMSTLEDCERVIKNLDGTLYSGRTMRVNMADKPKPKEPLYPETEHKLFVGNLSWTVTPEMLTDAFQQCGDVVGARVLYDGETGRSRGYGFVCYSTKEEMDQAIETLNGTAGRSGSTWPLERDTRARSLLHIGEPLQQAHALLASFLQC* >Brasy4G066100.2.p pacid=40083807 transcript=Brasy4G066100.2 locus=Brasy4G066100 ID=Brasy4G066100.2.v1.1 annot-version=v1.1 MGRTKPPPYPLSLPPPPSFPTGPVPLHITSVSPSPSSRRRRLGAMAHSCLSTARTAALRPNPHAAAGPHIHQLHYASVFPRPARASSHHRQRLILAAPVVPVSRRSRRVLAITAMASQEEAAATATAVQEEAAAGQPREEEPVGEAVQEEEQDQGVAVEASSGSVDGYDDGAGTGAAEAASSATKLYFGNLPYNCDSALLAGIVQDHATPEMVEVLYDRTTGRSRGFAFVTMSTLEDCERVIKNLDGTLYSGRTMRVNMADKPKPKEPLYPETEHKLFVGNLSWTVTPEMLTDAFQQCGDVVGARVLYDGETGRSRGYGFVCYSTKEEMDQAIETLNGTEIEGREIRVNLALGKRY* >Brasy4G004300.1.p pacid=40083808 transcript=Brasy4G004300.1 locus=Brasy4G004300 ID=Brasy4G004300.1.v1.1 annot-version=v1.1 MLQAPLAIHSLTQLLPPSCSVCFLLVFVVVVVFFLLPLPFPLSSSSSLTPPFSSASPAGRRGQRYSKRLNQNQIRNLLDQTCRHPRDREQDIMVKQNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKLVNGGKVRSWMCVNFAYKVQESIVRGFCHDLALMCQASGMATRGNHTKIHESINMNCRLYTSVFIWSFTKSVLPPLTTRPDHVERALKARFHDAMIVLGPQHRELDLLIGILPDNNGSLYGDLKRVCETDLGIVSQCCLAKQVFKMNKQILANLALKINVKAGGRNTVLVDALSRCIPLVTDKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKELLISFNKSTGQKPQRIIFYRDGVSEGQFYQVLLFELVGGLTQGRACASLEADYQPTVTFIVVQKRHHTRLFPHNSNDKNSMDRTGNILPGTVVDSKICHPNEFDFYLCSHAGIKGTSRPAHYHVLRDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPHTSDSGSVANAPGGRGPLSGSSTSRGTRAPGGGAVRPLPALKDNVKKVMFYC* >Brasy4G400200.1.p pacid=40083809 transcript=Brasy4G400200.1 locus=Brasy4G400200 ID=Brasy4G400200.1.v1.1 annot-version=v1.1 MERSFKLNPHATPFVPASKSSFTESLKEKKVPEKQVEETADKFAGYELPDSLSFDDYAESLGKINICAESSSKADAAGRAVAPSQYKGSDADYHLAMVQSISLRFPDVSADFILEALKVHAFDAESTIEMLSDLCEADGTDQSAEVPGKPPQQQPHCPSST* >Brasy4G157100.1.p pacid=40083810 transcript=Brasy4G157100.1 locus=Brasy4G157100 ID=Brasy4G157100.1.v1.1 annot-version=v1.1 MINNCRGHVKWSEENLNDIESTKPVRQKIAEPKTPYHPMLDEHEGSVSPKRCIEESGDKSPHVDAITSALMQAVSSGKFSARDSWESCGIEEQAINQGKDFEHHRKAHYDEFRKVKEHLQKGTLSGETDEYWNSKVSINKPSTS* >Brasy4G058900.1.p pacid=40083811 transcript=Brasy4G058900.1 locus=Brasy4G058900 ID=Brasy4G058900.1.v1.1 annot-version=v1.1 MITSFFPPFFYEGIKFFPSWKSILHAMACGLTYLIPTGPGYISQIRFPTVFLLNGPNKAGESNRGEDHPPRERKDNSMASNDLFEGLPPPATAAAAAGEDRAASPTPPPPPPAAPVPRPSALKSALKRDKPSSSLDATSSSPAVTAAPTDAADEGRVPEKRLRFRTTVDASKMQIIEAMQKITSHIGSPSKFSKASKLALQLIEAGSVKPETIGHFFAILEAAMSKPGACNEPSVRADYQALFNAAQGVAECFNQQQKNHFDIWVLHAVVANDLCTDDSFVFSKAVGKIKDAISALPVATMDDDNDEAAALSVAESQSGTTENKADDNKVQSVVSTSLPDDSSTHAAASNSGQESSDPFGLDDLLEHKSKKSERAREKAAEALNRKADEESKRFLTSQREALLKCLEIAARRYRIPWTQTTIDILGRHAYDNTGRFTRRQRDAVEKLWNSIKEQQIRRKQGKSASGKLDVNAFERLQEKYSHEKISIRRAVGGAGDRRATQWLG* >Brasy4G384400.1.p pacid=40083812 transcript=Brasy4G384400.1 locus=Brasy4G384400 ID=Brasy4G384400.1.v1.1 annot-version=v1.1 MAAPPTSNPGPPPEPPFLQVPDELLQEIFLRLPTAADLARASTACAAFRRVIADHKFLRCYRALHPPPLLGVIDSSFSFNPAQPPHPSAAAARAFADFDFSCSSFLPSAAGRSWRPLDFFEGRALLSGSGPVEDESGSQFFGGPEVCHWRYRDFLVRDLAVCDPVHDRYVLLPAVPADVAALIRKPDLLQLETFLAPGKDEHDPLSFSVMCFAQWIMKLVLLVFSSGGHWHALTFDRSNAQTLASLLHFERGLSDRQYVNGSFCWQTRVPNKLLVLDLCVMEFSLVDLPPEQRASCFFIVEAAEGLLGMLSIPYHNGSDDDSEDDTYWLTYSILRNNQWQVEKVIPLLIKRWYLMGVAGGYLLIDALYTTSSEGKLNVGYFSVDIKTLEVELFAGISESIFTGQLYAGFPPSLCAPTI* >Brasy4G384400.2.p pacid=40083813 transcript=Brasy4G384400.2 locus=Brasy4G384400 ID=Brasy4G384400.2.v1.1 annot-version=v1.1 MAAPPTSNPGPPPEPPFLQVPDELLQEIFLRLPTAADLARASTACAAFRRVIADHKFLRCYRALHPPPLLGVIDSSFSFNPAQPPHPSAAAARAFADFDFSCSSFLPSAAGRSWRPLDFFEGRALLSGSGPVEDESGSQFFGGPEVCHWRYRDFLVRDLAVCDPVHDRYVLLPAVPADVAALIRKPDLLQLETFLAPGKDEHDPLSFSVMCFAQWIMKLVLLVFSSGGHWHALTFDRSNAQTLASLLHFERGLSDRQYVNGSFCWQTRVPNKLLVLDLCVMEFSLVDLPPEQRASCFFIVEAAEGLLGMLSIPYHNGSDDDSEDDTYWLTYSILRNNQWQVEKVIPLLIKRWYLMGVAGGYLLIDALYTTSSEGKLNVGYFSVDIKTLEVELFAGISESIFTGQLYAGFPPSLCAPTI* >Brasy4G200100.1.p pacid=40083814 transcript=Brasy4G200100.1 locus=Brasy4G200100 ID=Brasy4G200100.1.v1.1 annot-version=v1.1 MVNTEGEGGDGGGGDPMCIDENTGVVGVMAAGVDQFEGAEPQDDGIGGDGTREGIPNGNEMGRRGYGDEAENEEAATVQGSKEGTEELLRKVVYSEEEAYMLYCDYGHRTGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDANFNDPHTRTNCRAMVRFRVNDHGEWKVIRLVSDHNHNLASPEERHLLRSARSLIAGRSSSVDAVLYGGYQVAGAPSQMAVSTSVTNNPESPKQDLPNSYSGIPKSPAVGTGDLQGLVNHLKNRANEDGMFYWDVQIDRGGRMTNFFWRDGRSRIDYDCFGDVVVFDSTYRLNRQNLICAPFVGVNHHWQTAIYGCALLADESMSSFVWLFKSFLEAMGNRHPRSIFTNQDQVMSKAIEEVFPSTCHRIAHWHIQKNAASRLGALNGSKAFNKMFKKCMQGCDSEAEFEETWAEMLREFKLQDNKWLNKLYKLKQKWSGAFNKCTFDGGVENEPQCDSLSNIFSCIADKLTSLSAIVVSVEKLTEDWREKELDEDTRCCQKPPACIIKHSDILNHAAKLYTHRIYKLFETYFLDGCGATKFKELRCEDGNTHRFEMTMQGRGSRVCTVHLNLSTMQLSCSCSKFETMGLLCPHALKALSIKNVCKIPETYILKRWTKDAKQWVFNPKLYESSYQECMDSEAAYCSHVMRYAYDLVMKSQGQEELRKSLWETLESGEKELEKYLENVAQYALSYAT* >Brasy4G402900.1.p pacid=40083815 transcript=Brasy4G402900.1 locus=Brasy4G402900 ID=Brasy4G402900.1.v1.1 annot-version=v1.1 MAAAGDHAQWRYTWETLAHLPLLRLYLFRPSATALRPTPSDLRADLRLDASLLLLSFTLAGDSVSLRVPVPRVLVDPSAPPECRVAGDHLEVRLTLVLPVDHPVVAAAFPGAHPPAPLSLRDDLKNLSSGDVHLYCKTCSTRLTRQPMREIVEMPSLDWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTTLLDATSIIIEKDYLEMDLVSRVANSVPNIDFVALQEAMSSVSLENDCTTEKIKLNKPQDEACRINEIGSSHVQAPRALEEGPCVNSIENNGCTPWTDKSGSIQLENGCDVNFEKSKGDCFVGNMEQPSLETDSLLVDPCSCSCGSENSGKAEYNSSKMPSSNWKVQSALENQRDYKLTKSISLGSSFIVKESNLLKDVDWLELLCSHCSSSLGSYPSQCSLAPSDGRVRLFKCYTSSDISVGGSDDVFRGHTLGKLFVNLLLEIAEDEISFRTVVRDLKTKTPLLQIVLLSSKAWLFSGYCYENDMDGSHGIAHLQPAVKVLYSNCSNASEADLREIEEWSSKYRAEQLYMMGRQINELTECLSSAMGEFPLSCSSLEGMRLSSLER* >Brasy4G092500.1.p pacid=40083816 transcript=Brasy4G092500.1 locus=Brasy4G092500 ID=Brasy4G092500.1.v1.1 annot-version=v1.1 MEGALVSVATGALKPVIGKLATLLGEEYKRFKGVGGEIKSLSRELAAMDAFLLKMSEEEDPDPQDKVWMNEVRELSYDMEDAIDDFMNHVDDKDTKPHGFINKIKSSLGKMKARRRVGKEIEDLKKQIVEVAERNARYKIREAFSKTINATVDPRALVIFEHAPKLVGIDEPKKEIIKLLTEGNGCAATQEQLKLVSIVRSGGMGKTTLANQVYQELKGKFQCWAFVSVSRNPDKMNILRTTFSEVSGQDYANTEAGSLQQLIGKISGFLADKRYFIVIDDIWDVKTWDVIKCAFPTNSCGSRIITTTRINDVAESCHSSFIGAIYSIRPLNVVHSRELFNRRLFDSREDCPSDLEEISVQILEKCGGLPFAIIAISGLLANTERTERLWNQVKDSIGRALERNPTVEAMMKIFIFPEDSIIEKKDLITRWIVEGFIQKEGRYTVHEIGERCFNELLNRSLIQPMEKRVGNKRTEACRVHDTILDFIISKSTEENFVTLAGVPNLTIGTQGKVRRLSVQVREQGSSCIPRSLALSHVRSVNEFRHLRVLNFGGCYQLVDHHLVNIGRLFQLRYLNLRNTAISELPEQIGHVRCLQMLDIRSTIVRQLPAAIFNLRKLSHLLVGSEVEFPDGIAKMQTLEVLKQVSLPGRPIDLLQVLGQLKNLRKLGIDFGCYDDNGVVAIVEDEGHKAVVSSLRNLGTQSLRSLTIRNGGSFLQQEGPLCTWTGSLVNLQKLCLRGHDVRQEDLCILGALPALLILKLRADFYSDSLKVSAELGFRCLRHFCYYLTDKLVPGLVFVVGSMPKLEGLELSMWVAEELSRSFDIGIENLPCLISHYCQMS >Brasy4G078700.1.p pacid=40083817 transcript=Brasy4G078700.1 locus=Brasy4G078700 ID=Brasy4G078700.1.v1.1 annot-version=v1.1 MAASMASPRGRSIRETVLESVAAYQQQQRMRRRFRKSLSYAGELSSAGRVGGDGGASSSSSASVSSLCGPEEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCLYLGSLASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFTTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAEIDELKPSQIRVFGLPIRPSFCRAVLVKDDLRKELEMDPELPAVLLMGGGEGMGPVKKTAKALGEALFDKELGKPIGQLVVICGRNKTLSSSLQSLEWKMPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETAALVASWFGPGSEERKRMSENALKLAQPEAVFDIVRDIHALSQEQGVISQISSSLTSSFFIQSPETTPIQLM* >Brasy4G078700.2.p pacid=40083818 transcript=Brasy4G078700.2 locus=Brasy4G078700 ID=Brasy4G078700.2.v1.1 annot-version=v1.1 MAASMASPRGRSIRETVLESVAAYQQQQRMRRRFRKSLSYAGELSSAGRVGGDGGASSSSSASVSSLCGPEEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCLYLGSLASFYAKFHADVNRCYCPSEEVAKRAEIDELKPSQIRVFGLPIRPSFCRAVLVKDDLRKELEMDPELPAVLLMGGGEGMGPVKKTAKALGEALFDKELGKPIGQLVVICGRNKTLSSSLQSLEWKMPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETAALVASWFGPGSEERKRMSENALKLAQPEAVFDIVRDIHALSQEQGVISQISSSLTSSFFIQSPETTPIQLM* >Brasy4G078700.3.p pacid=40083819 transcript=Brasy4G078700.3 locus=Brasy4G078700 ID=Brasy4G078700.3.v1.1 annot-version=v1.1 MGSLPLPRLPRLILCQVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFTTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAEIDELKPSQIRVFGLPIRPSFCRAVLVKDDLRKELEMDPELPAVLLMGGGEGMGPVKKTAKALGEALFDKELGKPIGQLVVICGRNKTLSSSLQSLEWKMPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETAALVASWFGPGSEERKRMSENALKLAQPEAVFDIVRDIHALSQEQGVISQISSSLTSSFFIQSPETTPIQLM* >Brasy4G170100.1.p pacid=40083820 transcript=Brasy4G170100.1 locus=Brasy4G170100 ID=Brasy4G170100.1.v1.1 annot-version=v1.1 MGGETVGSERIEGEINLRWRRSREKEDDGRSAAGWVAALGRGGRRSSERGGWFARQTGRGREDRRVRKNYKQFATANPKLTASVPSFFPESLSPSFVPLPIGYSRPPPFSLPSDSCRSRTPPPSGKFRRHAPPTGISCRPRSPSLETPATPPPLAAPFSLGHNSRRPPPQQPPAMAQQSSLVAMLTMYPSCCRVATTGSYRSPSSINFFPSSATGDLSTRRPGHVERPPLLGTTRWG* >Brasy4G369900.1.p pacid=40083821 transcript=Brasy4G369900.1 locus=Brasy4G369900 ID=Brasy4G369900.1.v1.1 annot-version=v1.1 MSSSTFCAGLALACAALMAAVSVAGAAKYSVGGDNGWAVPAAGAESYNTWAEKTGFQIGDQLLFVYPKDKDSVLLVEPAAYNACNTSAYDKAFSDGSTVFDLDRAGAFFFISGVDANCRANEKLIVMVAAAAPAAKGAPPPSQGSSPSPPSADTDAGSATTPSSPNAPAGAKNSTGKGDLNSGAAGLTVAAGLAGSVVACVGYAMLAL* >Brasy4G082700.1.p pacid=40083822 transcript=Brasy4G082700.1 locus=Brasy4G082700 ID=Brasy4G082700.1.v1.1 annot-version=v1.1 MDAHAQAVSLPPMLLRLVFLLCFFFSGLFSVEAAAAPTLVSSLPGYDGALPFRLETGYVAVDEENGSELFYYFIESEGDPRCDPVVLWLTGGDRCTVLSALFFEIGPLKFVAEPYDGTLPRLRYHPYSWTKAASVLFVDSPVGAGFSFSRKPKGYDVGDVSTSLQLRKFITEWFTEHQDYLANPFYVGGDSYGGKIVPFLAQKISEDIEAGLRPTINLKGYLVGNPRTGEEGLDYGSRVPFLHGMGIISDQLYETIMEHCEGDDFTNPKNALCAQASNKVDRLLQEVSRPHILYKKCIYASPRPNDGTSERKILKEEPAGVLKHQPPRPPMYCQNYCNYLLYFWANSNITRATLGIKKGSVEKWLRCHHGDLPYSEDINSSIKYHRNITSKVETMMLWYHFWVHSLGLDRSTSLLWMNGGLGIWMDSLQDSP* >Brasy4G261100.1.p pacid=40083823 transcript=Brasy4G261100.1 locus=Brasy4G261100 ID=Brasy4G261100.1.v1.1 annot-version=v1.1 MQKPEGRRRAWLGAAGRATALRGRGGCGRGGLGERVGRRARRPGREGRAVLGAEEAGAAASEVVGLRRAAAGAGAGRRVAASEVVGLRRAAAGAGAGRRAAAGPARRGGGRARDPATVAAGRGERQVNRAVRAKPPGSPVFTKTGRFARFFPVRLAVRSSTLNRPLGAPVPVFSGRTAGPVRFSKLGLRRLLLRRRRSAAEISHGVG* >Brasy4G387800.1.p pacid=40083824 transcript=Brasy4G387800.1 locus=Brasy4G387800 ID=Brasy4G387800.1.v1.1 annot-version=v1.1 MAGMAPEGSQFDAKHYDTKMTELLNQGETEEFFTSYDEVHESFDDMSLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRSSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL* >Brasy4G176400.1.p pacid=40083825 transcript=Brasy4G176400.1 locus=Brasy4G176400 ID=Brasy4G176400.1.v1.1 annot-version=v1.1 MASNTAPTDGGSNEVEHDHGLVRVYKDGRVERPFVAPPLPAGLDPSTGVDSKDVPLGDYSVRLYLPPAANNNAAESSKQLPVVFYIHGGGFVAESVGSPPGHRFLNSLAAACPAIAVSVEYRLAPEHPLPAAYDDCLSALRWVLSAADPWVAAHGDLARVFLAGDSAGANACHHLALHAQLPGVKLKGAVLIHPWFWGSEAVGEESRHPVARAMGGRLWTFACPGTSGVDDPRMNPLARGAPGLETLACERVMVCVAEGDFLRWRGRAYADAVTAARGGGEQHGVELLETEGEGHVFHLFKPNCDKAKDMFHRIVAFVNAV* >Brasy4G318600.1.p pacid=40083826 transcript=Brasy4G318600.1 locus=Brasy4G318600 ID=Brasy4G318600.1.v1.1 annot-version=v1.1 MNEHGNQVSTLAIFHSHHRQIGMFSDYIPDRVAKISTILARGAGHLRANDK* >Brasy4G138500.1.p pacid=40083827 transcript=Brasy4G138500.1 locus=Brasy4G138500 ID=Brasy4G138500.1.v1.1 annot-version=v1.1 MASNANSAVNPAGPKTSSRCVTESSTGTHDFELPNYRLLDGMGVGNYVSSATFGIGGYNWAIIFYPDGGNNDCAGYASAHIKCLNPADEVRTKSAINMLHEDGEKQVQLTDYDEGHDVYSPGKLRWGYHKFVEKSKMKSATQSGSGSLIIRCVLTVIIKHSTEVTRKTNLVVVPQPNLQGHLRRMWKDEQGADVTFNVGGQLFTAHRCLLAARSPVFKAELFGPMKEKSIQLVKIDDIEAPIFEALLHFVYTDSMPDDEHKKEGSTAELQHLLVAADRYGLDRLRVLCESKLCESIDVKTVATTLALAEQHHCKDLKEACLEFMVPRNVLRAVMATDGFKHLLASCPWVMIQILDKTCRSE* >Brasy4G429100.1.p pacid=40083828 transcript=Brasy4G429100.1 locus=Brasy4G429100 ID=Brasy4G429100.1.v1.1 annot-version=v1.1 MPGLAAADASSSPPAAAVPAPRRMSSPLPRRAPPSPSPSSASRAKPARKSLGPAPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAVPVLERAVAVVTMPPPPTAAAPGPEGDAEQVPPPQQQPGEEETEEQQRKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGFEIQMGALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDVEVATIDVAIGNTYLALARFDESVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGKLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVSKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFGSNAQRAKKDAGGRRWTNFGFRS* >Brasy4G429100.2.p pacid=40083829 transcript=Brasy4G429100.2 locus=Brasy4G429100 ID=Brasy4G429100.2.v1.1 annot-version=v1.1 MPGLAAADASSSPPQQPGEEETEEQQRKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGFEIQMGALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDVEVATIDVAIGNTYLALARFDESVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGKLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVSKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFGSNAQRAKKDAGGRRWTNFGFRS* >Brasy4G050300.1.p pacid=40083830 transcript=Brasy4G050300.1 locus=Brasy4G050300 ID=Brasy4G050300.1.v1.1 annot-version=v1.1 MFMNCNFNCNLLEQEAGGRNFPWARPCDGCHAAPSAVYCRADAAYLCASCDTQVHSANRVASRHERVCVCEICESAPAVLACRADAAALCTTCDAQVHSANPIAQRHQRVPVLPLPALAISAASGFAEVRAAIIHGDKEDGEEVDSWLLLRRNSDDNNCSNSIDRYFNLVGYNPYYDNATCNPGPGEQYRLQEQQVQNRYREKEGSECVVPSQIVMTSEQQESGYGIIGTEQAASMTIGASTYTASISNGISFSSKEVGIVPDNTRPDISNTNILTTSGAMELSVHSLQMPVHFSSMDREARVLRYKEKKQARKFQKTIRYATRKAYAEARPRVKGRFAKRSDIEHEVNHMLSPPVLPESSYGTVPWF* >Brasy4G326400.1.p pacid=40083831 transcript=Brasy4G326400.1 locus=Brasy4G326400 ID=Brasy4G326400.1.v1.1 annot-version=v1.1 MGAVSRPEPGQRSAPMRLCVHVLEARGLPAIYLNGSSDPYVRLQLGRRRAKTTVVKRSLSPLWDEEFGFLVADVAEELVVSVLNEDRYFSTDFLGRVKVPLSAILETEDHSLGTAWYELQPKSRKFSRKRRGEICLRIYLSVREGHSNESQNILMQLINDTPCSSSRSIETSASSLSAVPSSLGLSSSASMDHALYRSGADQLIQRITDQKGTNSIESQPCGNTQQAVLLEPEEDDGDAANASSVVEVLSRYFRKGADTAPSFTSEPEPMDHFQETEINSEFCENGKNSTPEVGLYELLRTMESKDQGCGMPGNLPGGILVDQSYAIAPTELNTMLFSANSDFWPAVAEVQGLSGLQNDPWKLESSENCLKRTLTYTKAASKLVKSVKATEEQTYLKAAGNSFAVLSSVSTPDVPCGNCFKVEILYCIIPGPQLPSKEQTSQLTISWRLNFVQSTMLKGMIENGAKQGLREGYAQFTEVLSQKIKVVELDDANSSKDKILASLQTHEQSNWKLVARFLGSFAFIFSFTVAMYGIAHLRLAKSNNMGLEYFGIDLPDSIGEVVFCAILILQGQNIFKVGRRFLHAWKQRGSDHGVKAHGDGWLLTVALIEGSGIVGAGTPGLPDPYVVFMCNGKRKTSSVKFRTSEPKWNEIFEFDAMDDPPSRLDVVVHDSDGPSDETTIGRTEVNFVKNNLTDLGDMWLPLDGRFAQGSEPKLHVRIFLNNSQGTEVVMNYLEKMGKEVGKKMHLRSAQTNSSFCKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIIGFYSNIFGRKTKFFFLWEDIDDIQVVPPSLSTVGSPSLMIILQKDRGLEARHGAKTQDPQGRLKFHFQTFVSFNDAHRIIMALWKMRLSGLEQKGEVNDKEPEPKQLASDEGSLLGNEDVKMTEVYTAVLSVDVNALMEMFSGGPLEHKVMQKAGCADYSPTEWEPVNRNIYQRQISFRFDKSSSKYGGEATTTQQKYNLQNREGWVLEEVMTLQGVLHEDYTSIQLKYHMMSTALKPNSCSIQVMLGIVWLKGTRHQKKATKNVMSNSANRLKEMFLEVEKELTSRKGIPFSTLDRFRPAANWWGLTLMQENRVD* >Brasy4G326400.2.p pacid=40083832 transcript=Brasy4G326400.2 locus=Brasy4G326400 ID=Brasy4G326400.2.v1.1 annot-version=v1.1 MGAVSRPEPGQRSAPMRLCVHVLEARGLPAIYLNGSSDPYVRLQLGRRRAKTTVVKRSLSPLWDEEFGFLVADVAEELVVSVLNEDRYFSTDFLGRVKVPLSAILETEDHSLGTAWYELQPKSRKFSRKRRGEICLRIYLSVREGHSNESQNILMQLINDTPCSSSRSIETSASSLSAVPSSLGLSSSASMDHALYRSGADQLIQRITDQKGTNSIESQPCGNTQQAVLLEPEEDDGDAANASSVVEVLSRYFRKGADTAPSFTSEPEPMDHFQETEINSEFCENGKNSTPEVGLYELLRTMESKDQGCGMPGNLPGGILVDQSYAIAPTELNTMLFSANSDFWPAVAEVQGLSGLQNDPWKLESSENCLKRTLTYTKAASKLVKSVKATEEQTYLKAAGNSFAVLSSVSTPDVPCGNCFKVEILYCIIPGPQLPSKEQTSQLTISWRLNFVQSTMLKGMIENGAKQGLREGYAQFTEVLSQKIKVVELDDANSSKDKILASLQTHEQSNWKLVARFLGSFAFIFSFTVAMYGIAHLRLAKSNNMGLEYFGIDLPDSIGEVVFCAILILQGQNIFKVGRRFLHAWKQRGSDHGVKAHGDGWLLTVALIEGSGIVGAGTPGLPDPYVVFMCNGKRKTSSVKFRTSEPKWNEIFEFDAMDDPPSRLDVVVHDSDGPSDETTIGRTEVNFVKNNLTDLGDMWLPLDGRFAQGSEPKLHVRIFLNNSQGTEVVMNYLEKMGKEVGKKMHLRSAQTNSSFCKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRIIGFYSNIFGRKTKFFFLWEDIDDIQVVPPSLSTVGSPSLMIILQKDRGLEARHGAKTQDPQGRLKFHFQTFVSFNDAHRIIMALWKMRLSGLEQKGEVNDKEPEPKQLASDEGSLLGNEDVKMTEVYTAVLSVDVNALMEMFSGGPLEHKVMQKAGCADYSPTEWEPVNRNIYQRQISFRFDKSSSKYGGEATTTQQKYNLQNREGWVLEEVMTLQGVLHEDYTSIQLKYHMMSTALKPNSCSIQVMLGIVWLKGTRHQKKATKNVMSNSANRLKEMFLEVEKELTSRKGGG* >Brasy4G331000.1.p pacid=40083833 transcript=Brasy4G331000.1 locus=Brasy4G331000 ID=Brasy4G331000.1.v1.1 annot-version=v1.1 MWASTHNDTLRAKMSSVIDTLYDCQKKMGTGYLSAFPTEFFDRAEALTTVWAPYYTIHKIMQGLLDQYTVAGNSKALEMVVGMADYFSGRVKNVIQKYSIERHWASLNEETGGLNDVLYQLYAITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDVLYKQIATSFMDMINSSHSYATGGTSAGEFWYDPKRLAATLSTENEESCTTYNMLKVSRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVGYHGWGTLYDSFWCCYGTGIESFSKLGDSIYFEEKGHTPALNIIQYIPSTFNWKTAGLTVTQQLESLSSSDLYLRVSLSVSAKIKGQSAALNVRIPTWTSANGTKATLTGKDLGLVTPGTLLSISKQWNSDEHLSLQFPISLRTEAIKDDRPGYASLQAILFGPFVLAGLSSGDWDAKASSAVSDWITAVPSSYNSQLMTFTQESNGKTFVLSSSNGSLTMQERPSIDGTDTAIHATFRVHSQDSTSQQDKYSAAMKGTPVQIEPFDLPGTVITNDLTLSAQKSSGSFFNIVPGLDGKPNSVSLELGTKPGCFMVSGADYSAGTKIQVSCKSSLQSIGGVFEQAASFVQATPLRQYHPISFVAKGVRRNFLLEPLYSLRDEFYTVYFNLVA* >Brasy4G092000.1.p pacid=40083834 transcript=Brasy4G092000.1 locus=Brasy4G092000 ID=Brasy4G092000.1.v1.1 annot-version=v1.1 MGEADDARDVVVEEMDVDGGERHRSKERRERHRREEKDHHASGRRDRDRDKDKDERRRDKDDSKHRDRDRDRERDRDISKHRERDSERDRGRDRDRGKDRERDTERERDKEKERRDRDKERNRNRDKDKEKERPEKEDREREKSRGKDRQDADLSKSDEGDQKKGVDPPREAEQTSTSTLRERIARAKEERLKDKKEGGILDDKDDASEILSWVGKSRKLDEKRQAEQEKALRLARVFEEQDDMLAENSDDDDEEDKHDGDHLSGVKVLHGLDKVIEGGAVVMTLKDQSILADGDINEEADMLENIEIGEQKQRNEAYKASQKKGTYDDKFNDDPLSKKPMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVLKKTEDLTSAAKMASDYFTPDEMLQFKKPKKKKSIRKKEKLDLDALEAEAIASGLGAADLGSRKDGKRQSAREEEQKADAEKRSSAYQIAITKAEEASKALREEKMSGKSAQEEELVFGEDYEDLQKSLEQARKLSLRKQEEAAGSGPLAFAELASANKGQADADAAEGYTQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDTMPLGTLAKDDIRGLPVIKEETIIEDPVKDEEEEVKPNDVVHEAAVGKGLAGALKFLQERGTLNEGTNWGGRTTDKKKSKLVGIEDGPKEIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKLEKRQKKYQDDLKTKQMKSSDTPLMSAEKMREAQARGQTPYLVLSGNAKSGSTGDASGFASVEKAHPGSLTPMLGDKKVEHFLGIKRSAQAGSMPPLPPKKPKN* >Brasy4G270600.1.p pacid=40083835 transcript=Brasy4G270600.1 locus=Brasy4G270600 ID=Brasy4G270600.1.v1.1 annot-version=v1.1 MVKLATARECRAYSLGAGAGGHTPANRRWEHINAGVYVFAAFLLVCGFLAQLWPWAVSRKSGLALAVVGLLGVLGVNAHDLLAHVAGVDYNLGLAGLDTQFALVELAAPAVQLAGAALTLVALVFFEIQMERGYQRSLEKHGLNLLIAGPALWCLGSIHNMCQIYERANGHVQILQKSVQIPLLLGSTLFLVGGVVNRHDVHDRSSPSFTDLLGRSWPWFCLSGSLLFLAGGLLNLLKVIKVQQMDGRGLEKLRGGAQERLSRDREGKVPLILEEGRRRKQQQAGNNADDMWAPAPRHEPRAPPVPPPPEGSYKDALVSGGN* >Brasy4G332800.1.p pacid=40083836 transcript=Brasy4G332800.1 locus=Brasy4G332800 ID=Brasy4G332800.1.v1.1 annot-version=v1.1 MAFFRGLAAVSRLRSRMGQDATTLGGVRWLQMQSASDLDLRSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPAAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELLARSNVPDYVYKAIDALPVTAHPMTQFTTGVMALQVDSEFQKAYDKGMPKTKFWEPTYEDCLNLIARLPQVASYVYRRIFKDGKIISADNTLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKTVMEETGSNITTDQLKEYVWKTLKSGKVVPGYGHGVLRNTDPRYSCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKKAAA* >Brasy4G368600.1.p pacid=40083837 transcript=Brasy4G368600.1 locus=Brasy4G368600 ID=Brasy4G368600.1.v1.1 annot-version=v1.1 MKLSPSAGGGLPDQPGSPEVAEEHKCLNSELWHACAGPLVSLPAVGSRVLYFPQGHSEQVSASTNKEIESQIPNYPNLPPQLICQLHNVTMHADAETDEVCAQMTLQPLSPQELKDPFLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFISAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTIPMYSSPFPMRLKRPWPTGLPSLYGGKEDDLTSSLMWLRDGASPGFQSFNFGGLGMNPWMQPRLDSSLLGLQPDMYQAISAAALQNPAKQVSPAVLQFQQPQNIVGRSLVSSQILQQVQPQFQQMHHQNINDNTIQGHNQSEYLQQQLQRCQSFNGQKTQEQQQQESHQQQQSQCVQTPQHQQMQEQNHSPNFQSVPNALSVFSQISPSTQSPPSIMQTVSTFSQQQNFQDTDINSLSPSNISSMHDTLRPFPSEAGSNLQDVPRTTPVPVSDPWSSKRVAMESVIPSRSHVTSSHIEQLDSAPSNIPQSSSLAPLPGRECVVDQDGNSDHQNHLLFGVNIDSQSLLMQDGIPGLQNDNGCIASLQDDNGSNTIPYSTCNFLSPSQNNFPLNEALTSSGCLDGSGYVPFSENPGQVNRPPATFVKVYKSGAVGRLLDITRFSSYHELRSELGHLFGLEVHLEDPVRSGWQLVFVDRENDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKQGIELLSSAPARRLGNSCDNYVSRQESRNLSTGIAAVGSVEF* >Brasy4G396200.1.p pacid=40083838 transcript=Brasy4G396200.1 locus=Brasy4G396200 ID=Brasy4G396200.1.v1.1 annot-version=v1.1 MDYFLQKHPCLFLSSPCPPLLLPSSPPLFSTKNLTGTAYPRDCERSLLLQAPASRRRPSPSPPTAPTPSFPLRWGRGRAAAPWGTAPRRGATRRTYSRRRRWARARWAWPRPRGSRGGSTNAQTWASAALTDAHTCLDSISASGIGRHRAGHQQRARALHVAGARDGGGREISVGEKRGRQSREMRERGRQLSLGIRKRGRGAGDKGKDGKGNPPAVPISAEPFMEKRGAEQSRKRGGMGKSKNGCRSSTTLLPLALAPSPLQLIRPCHPGASTFVFHHRAPSIHAKPARFTTPL* >Brasy4G390300.1.p pacid=40083839 transcript=Brasy4G390300.1 locus=Brasy4G390300 ID=Brasy4G390300.1.v1.1 annot-version=v1.1 MGAFGGPGGGAPPPPPFPPATASDRRLRATLMPPSGDRERPRRLRLPPATASPDASLRRSRGSSPLRRSRIWRARTSEYMVPYQTWRSWR* >Brasy4G298700.1.p pacid=40083840 transcript=Brasy4G298700.1 locus=Brasy4G298700 ID=Brasy4G298700.1.v1.1 annot-version=v1.1 MLNIQSNNLTGGFPLDMGNTMRNLQAFLVSKNQFHGVIPPSLCNASMLQMIQTVDNFLSGTIPGCLGSRQEMLSAVNFVGNQLEATNDADWGFLTSLTNCSNMILLDVSINRLQGVLPKSIGNLSTQMTYLGISSNSIRGTITEAIGNLINLVELDMDHNLLEGTIPASLGKLEKLNHLDLSNNNLSGSIPLGIGNLTRITILFLSTNTLSGTIPSAISNCPLEALDLSYNHLSGPMPKELFLISTLSSFMYLAHNSLSGTFPSETGNLKNLAELDISDNMISGKIPTTIGECQSLQYLNVSENFLDGTIPLSLGQLRGLLVLDLSQNNLCGSIPNFLCSMKGLASLNLSFNHFEGEVPKDGIFRNATATSIKGNNALCGGIPQLKLKTCSTLTKRKIFPKFVITIIAAGSAILLIILFVLFMLCRRNKLRRTNAQTSLSNEKHIRVSYAELAKATDGFTSENLIGVGSFGAVYKGRMEISGQQVIIAVKVLNLQQAGASRSFDAECEALRCIRHRNLVKVITVCSSIDPRGADFKALVFEFLPNGNLDQWLHEHPEEDGEPKVLDLIERLQIAMDVASALDYLHHHKPFPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHEEQSDKLETPTSRNAIRGTIGYVAPEYGLGSEASIHGDVYSYGILLLEMFTGKRPTSSEFGEELSLHKHVQMALPHQAADVIDQDLLKAASSNGEGTAGDYHNTEDCIISILQVGIPCLKETPTDRIQIGDALRKLQATKDTFYTHGMSDLLGASAGNKPLEHIEGN* >Brasy4G018900.1.p pacid=40083841 transcript=Brasy4G018900.1 locus=Brasy4G018900 ID=Brasy4G018900.1.v1.1 annot-version=v1.1 MGPLQALSLVLLTSLAVSVPSGYRLALTHIDSKGGFTKTELMRRAAHRSRLRALSGYDATSPRLHSVQVEYLMELAIGTPPVPFVALADTGSDLTWTQCQPCKLCFPQDTPVYDPSASSTFSTVPCSSATCLPTWRSRNCSTPSSPCRYGYSYSDGAYSTGILGTETLTLRSSVPGQAVSVGSVAFGCGTDNGGDSLNSTGTVGLGRGTLSLLAQLGVGKFSYCLTDFFNSTLESPFLLGTLAELAPGPGAVQSTPLLQSPLNPSRYIVSLQGISLGDVRLPIPNGTFDLRANGAGGMVVDSGTTFTILAKIGFREVVDRVAQLLGQPLVNASSLDSPCFPSPDGEPFMPDLVLHFAGGADMRLPRENYMSYNEEDSSFCLNIAGSPSTWSMLGNFQQQNIQMLFDMTVGQLSFRPTDCSKL* >Brasy4G438300.1.p pacid=40083842 transcript=Brasy4G438300.1 locus=Brasy4G438300 ID=Brasy4G438300.1.v1.1 annot-version=v1.1 MAAAAMARKLLSSPSRGLLLSRHISSASLMRGGGRSSPLPLMDLLRPAASSSFFLHRLGGPSRGMARRPGGDGYSPARSGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEDEAKKKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARLRENQR* >Brasy4G426500.1.p pacid=40083843 transcript=Brasy4G426500.1 locus=Brasy4G426500 ID=Brasy4G426500.1.v1.1 annot-version=v1.1 MDGVIPWLLFAATLLLASIKSSTASRMAKPGCIETCGNLTIPYPFGIGEGCFREGFDVSCENNTLYRLNSSSGLKIYDINLLRGQVKHTTFIASKCFYNDSYYARSGFAWLNTGRFFTASTKENKLTVVGCNTLAFLGGYNEHRVGAGCFSMCGDKKSADDVGQCSGTGCCQTPIAPKVYFSNITFDDRFNNSEVSSFNPCSYAFVAEQAWFKFKPYYLDGDKFTKEFKDGVPTVLDWVVGNESCNEVVKKMPAYACISKNSQCIDSKNGTGYLCTCNHGFAGNPYLEGGCQDINECSFPDQYPCHGICSNLIGSYSCLCKSGTRSTDPKKQTCNPIGVSERAKLIKVSIGISACAMFLLICIFALLMECQKRKLIKEKKTFFQQNGGLLLYERIMSKHVDTVRIFTREELENATNNFDSGRELGRGGHGTVYKGILKDNREVAIKRSKIMNIAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLHARLRIAQESAEALAYLHSSASPPIIHGDVKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASSFLLAMKENTLQSMLDQNILEFDTELLQEVAQLAKCCLSMRGEERPLMTEVAERLRTIRSRWREQLIQNRSNETECLLENSSSNYDPSSTGQHGSLMSLDLESGR* >Brasy4G426500.2.p pacid=40083844 transcript=Brasy4G426500.2 locus=Brasy4G426500 ID=Brasy4G426500.2.v1.1 annot-version=v1.1 MREQHSLQAQLKQRVEDLRHQSATRTASTKENKLTVVGCNTLAFLGGYNEHRVGAGCFSMCGDKKSADDVGQCSGTGCCQTPIAPKVYFSNITFDDRFNNSEFTKEFKDGVPTVLDWVVGNESCNEVVKKMPAYACISKNSQCIDSKNGTGYLCTCNHGFAGNPYLEGGCQDINECSFPDQYPCHGICSNLIGSYSCLCKSGTRSTDPKKQTCNPIGVSERAKLIKVSIGISACAMFLLICIFALLMECQKRKLIKEKKTFFQQNGGLLLYERIMSKHVDTVRIFTREELENATNNFDSGRELGRGGHGTVYKGILKDNREVAIKRSKIMNIAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLHARLRIAQESAEALAYLHSSASPPIIHGDVKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASSFLLAMKENTLQSMLDQNILEFDTELLQEVAQLAKCCLSMRGEERPLMTEVAERLRTIRSRWREQLIQNRSNETECLLENSSSNYDPSSTGQHGSLMSLDLESGR* >Brasy4G292100.1.p pacid=40083845 transcript=Brasy4G292100.1 locus=Brasy4G292100 ID=Brasy4G292100.1.v1.1 annot-version=v1.1 MAALQAQRLFLAPSSSSTPSSSSSSCTTRPRQQARCRAAVRVPAGLHTAQDNLSLKIDWIEPARVPSASVDGGSPSVSVEKLRAIAEAASDRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPAAPNIVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRELERGVRSQLAHTVVAKADVQDAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPKKKPAVQKSRSFGPARRGSSAGNNGWTQELEEEMRGIVRVIKAKDEQEFLSVGKLVLGLNKGLALAGPALAGTAALATLFIGSSGESSWASGAAVVGGALAAAVNTMEHGGQLGMVFELLRNCAGFYRKIQEDIEAALAEPDVERRESGEVFATKIALKLGRSVSDLKQFRKMASASVRDEDIKIFAGKLF* >Brasy4G106200.1.p pacid=40083846 transcript=Brasy4G106200.1 locus=Brasy4G106200 ID=Brasy4G106200.1.v1.1 annot-version=v1.1 MVRKRQDVVDLGDEDIKDFNVAQKLDFRNMEFTPFLHINTTKLHNKAIDWLASCYDSSARCLLIPGKGRIAMTEESVYNALGCPHGKLPVPYRVDKDIEARLAPQMFPGMDLSKAPLHSQVNSMLKDMTESGDRFKRLALMYIMSTILAPTTSTRISNRCYPVLDDIANVHKYNFCKFVLDQLHENLSKKKLNKGCRLYLLLLYVDSLDISELGLDVPAAPFGVTAWTNSLIDEVLKADTKEDGSFGKLQLISDHAVNYTYFGGPEQFSRWIDMNSHPDIEPKERKKVETLVGQFASGMTCLLGNIVQGWTGLTPPESEEMSRRFATVTGGAPTRSRTARGRFEGYNYPSDTDDEDEHAQDSGDSSDSDDDPPNNPGGGEGEGKKDGDNEDGGMGKGDDHEGQGSGNDGGNNNDGSGHNDNNEATPNDEPGDESGSVGCWGESDASNKGIANVAHREIAKLVVGELNLKRQRYIFC* >Brasy4G153900.1.p pacid=40083847 transcript=Brasy4G153900.1 locus=Brasy4G153900 ID=Brasy4G153900.1.v1.1 annot-version=v1.1 MAFMDRSALELRRAAFSHGGRVQQEVFDAYLRSIGVVLPSLPPVEFAPVHHAADAKIDHSVKPSALSELYAAATDARRAPRDVKAPLLPDPKIGHPKNSKTRPRLCPDYEDDIDANLKMMEKNAGERPSPDYLTTVQGDKISPLTRGALVLWMDKFVRHYELAPGTLHLAVACIDRVLSVRTVRSYGAYELQLLGATAVFTAAKYEDQSTKHKLNTAEIARYCGLETSEEVRETEREMMKALGFHISGPTAHTFVGHFTRYFSQGREELRVQRLAHRIADQSLLSHVCVGFLPSVVAASAIFLARFALNPSDVLPWSAEMQELTGYGSLDLSSCVQIMYSFSQSLICDPPF* >Brasy4G175400.1.p pacid=40083848 transcript=Brasy4G175400.1 locus=Brasy4G175400 ID=Brasy4G175400.1.v1.1 annot-version=v1.1 MLPSRPRSGSWGGGGTTRALPAEASKATPRHGRSRSTPSSSERGSGGMAEEEERTRSVRQLMEREVAAAKETETKMLESLIQQTKELEQAKIALAEAKLEVATLRTGPGPGPVAQAQWSVMDLMFGGVDEEINGLRGKLRAATQAEERSRKEADDLTASLSAVTMEAKQVKAWLSDAQADLERAHDEAGRLQALLHATDAELWAVTEQLDGVTCEWKDAAASWRAREKALLARARAAEDENSELAESRRAAENENGGLRRALEQAVEEANAAGESLEFATGENARLRDAIAGKERALESLRQENEALRASEAAAQARAKDLEDQLSAASKKAAAPEKGAESLALEKWRSEAQGKLSAAFLDSGRVMAASRKDRMFASLSNIAELRSAAAAAAMDDYDYEFDDHFDDGGRRYGELEHAMKHKKRRSVLRKFGDFFRKRSLYTGPTLRQCRATS* >Brasy4G326500.1.p pacid=40083849 transcript=Brasy4G326500.1 locus=Brasy4G326500 ID=Brasy4G326500.1.v1.1 annot-version=v1.1 MLRAVLNSGDLRRRLPSLLPHCRPMSGSSIAAIFSQPSPPADPAAAIQSAGLDLSHPNTIPALLVHPDLASNYRAASSFFSWAASHPKAAALNSKCFNSMLQLAAANGDADHFWSLVSSMRSKGYGVSKTTLEAATGSFRSKGMAKDADMIQAAFAAHARNAAAAEACKILRSDADESSKLDKLNELGVEVTDELVASVVEKVGQFPRQAMVFFTWVEQTAGAGIGWGKVYNALAKIIGREDCIDEFREVLQKMSSKKLGMDKEVYVTLVDRFLKRKMVVDAVDLFRFAMGGTEKPSTEDFLFLLKKVVVSEDMDLKLLMRVVRIYQKAGNEVKCSVFDAVIKSLRSVGKLRESGRVLKAMEEGGFAPDSAVHGKAILAMCDSGELEKAREHLARVEKSGYKLDPRVWAALVQKYSLGDDVDMAVSCFPEMLERRSGNQVGCALEVLVYGLCKKKEAKEAFKVLKNLVSEKAVVPWQTTYKYLIHKLIRQGHLKEAFDVLGLMKDNGFPPFIDPFLAHISKSGTVEDALGLLKAMSSKEFPSRTVYMRMFQGFFREGRHEIAQQLLSVSPGSVRNHADVLNLFYKKKLEESAAVAL* >Brasy4G205600.1.p pacid=40083850 transcript=Brasy4G205600.1 locus=Brasy4G205600 ID=Brasy4G205600.1.v1.1 annot-version=v1.1 MILRSLECRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN* >Brasy4G082900.1.p pacid=40083851 transcript=Brasy4G082900.1 locus=Brasy4G082900 ID=Brasy4G082900.1.v1.1 annot-version=v1.1 MDAQAVSLPLMLLRLVFLLCFFSALPRRRRLFSAEAAAAPTLVSSLPGFDGALPFRLETGYVAVDEENGSELFYYFIESEGDPRRDPVVLWLTGGDRCTVLSALFFEIGPLKFVAEPYDGTLPRLRYHPYSWTKFASVLFVDSPVGAGFSFSEKPKGYDVGDASASLQLRKFITKWFSEHQDFLANPFYVGGDSYGGKIVPILTHKISEDIEAGLRPTINLKGYLVGNPGTGERIDTESRVPFLHGMGIISDQLYETIIGHCQGEDFANPKNALCAQSMDKFNGLLQEVSEPHILYKKCIYVSPRPNDGTTERKILNEEPAGVLKHQPPRPPLDCQDYCNYLLYFWANSNITRATLGIKKGSVEEWVRCHDGDLPYSKDIKSTIKYHRNITSKGYRALVYSGDHDAVVPFVGTQSWVRSLNFPVVDEWRAWHLDGQSAGFTITYANNMTFATVKGGGHTAPEYQPERCLAMFRRWISDEPL* >Brasy4G335300.1.p pacid=40083852 transcript=Brasy4G335300.1 locus=Brasy4G335300 ID=Brasy4G335300.1.v1.1 annot-version=v1.1 MNRRPRTRPITFLQAPPAVHLPTRIYILPTRTDVCIYALLLRSSVSITNKPWHQRQPPPNIGEQLLRLLETKEGADVIFQVGRREFAAHALVLSARSPVLRATLSERKKNTGTLCVVVRVDGVAEAAFEALLHFAYADALPGSGGVRARMEAAGELIAAAERFGMERMRLLCEAVADAETAAATLVLADRLRCQQLKAFCVDYIAEPAKIQGMMGTDAYMSLKESCPLVLVEIMEKVVAALVARNGSSSPAPSKRPEATEYNSPPRFFFLTEPNG* >Brasy4G403700.1.p pacid=40083853 transcript=Brasy4G403700.1 locus=Brasy4G403700 ID=Brasy4G403700.1.v1.1 annot-version=v1.1 MLAGSDGGERLGMRFTAGGSSTRSWRPAATAEDTTELRFWLSWRVALCALWVLCCVAAAAYLVWRHEGPRAHRRPGGAGSAGDGDEAPGKRRRRLAGLLYDDEAWRPCLRDIHPAWLLAYRLVSFFVLFSLLIVIVISDGGDIFYYYTQWTFILVTIYFGLATALSIYGCSKFADWNAVAAVTDAEHGPYPAHGAAAKPYVDGEDDGTREIAGFCGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTMKDYNTNYLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFFWTSLYVVFQWIVHASTTIWWPYPFLDLSANLAPLWYLAVAIMQLPCYLVFRLVMNLKHHLLSKYFPDSTLIRD* >Brasy4G200800.1.p pacid=40083854 transcript=Brasy4G200800.1 locus=Brasy4G200800 ID=Brasy4G200800.1.v1.1 annot-version=v1.1 MEAGRLAAEYLVSTGVLPPSSLHRGSGDAWTVPPPPPPPMSIPPPPLQKQQEPPAFYGRRRYEDVYSNNPGSRPRRNSNTSSSGSRDDYSNGGSYNGRGKRKYGEYRRGYSDWGRDREKERGRSSSNGRRHEEDDDEDGAPGFRRDRRGSGGNDEARSCVTEEMREATPLMAKDVVDMEMEDIRSNAVSSSDDVRKDADALSVVHTENEEGEMKENKVSSSESEVAEQRVDTNGDVSKVMEAETKLLLDGNVVDEKAEDNGKVLCETSLDHITLDDDATNLENGLHVDRRNLLQHCGFAKAPTRPRSVRAHRNIVTETAERVFSGEASQMAVNEVGEEKLLTNIQSDSREDEFHQENNGSSAACKMVAPMPLQENRTSDVTENMREDKKNAQLHLVQQHKEETDVSSLMTAQKDSFMQENDLSPLTASCEDVMIEETNLSMLTDTQEDSLIEETNLSPKIKFQSHSLIEETNLSPLIDATDQHKDSFIKETDLCPLTASHKVSMMQETALPPIMSLDEHNLNLQFKEGSQICDIEILPQDVDLIELSHQRDIACAELLSIVEGEDIIKMEEEKLDQSSSFEIHNNPGLGQSSAQGSSADPHKLLQGDFGASAGDNVTCTDDLCQASLDSKAVQVIGIEDETQIEVAGFDSSKVKNEMICSSMDDLLHPGIHTDELPVIEDGYNFTLSDYLGDDIPCYTSMQPDLQAGICSNDSEGIPSMDDSIYGSLTDIGFMDVWDQPAEDFAKFF* >Brasy4G095500.1.p pacid=40083855 transcript=Brasy4G095500.1 locus=Brasy4G095500 ID=Brasy4G095500.1.v1.1 annot-version=v1.1 MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLQRRVDTDAGRLHAERSITVRSPPLPFILRRLLPAAAASPSGAAICHCVETSTVDAPGRAMDVVVRNVSLRGIIEVEERSTFRPHPDRPDDWTQFRQETTIRCRPLAKLAAVAEKVETRCAERFLQNSAKGRDVVERICRYLEAEAAGAAPSAV* >Brasy4G344500.1.p pacid=40083856 transcript=Brasy4G344500.1 locus=Brasy4G344500 ID=Brasy4G344500.1.v1.1 annot-version=v1.1 MANSEFEYVKREFEFDRRLPASNWIVVRIDGCHFHRFSKIHAFEKPNDESALKLMNACATSMLEKFPDIVFSYGVSDEYSFIFKEATEFYQRRERKILSLCVSYFTSAYVMKWKDFFPNKELREPPYFDGRVVCYPNMKTIMDYLAWRQVDCHINNHYNTCFWMLVMSGKTEKEAQQTLKGTFSKDKNKLLSQQFRINYDDEPAMFRKGSSVYRDKVETKVKTDDYGNPIKRMRLAVTVSNVDIIGHEFWEKHQYILRQEKYRYEYVKKFDNINRLPRCNWTVVCISACQFDQFSLIHSFDKPNDETALRLMDASASLMMERFPDIIFAYGFSNEYSFVFQENTELYQRHERLILSSCSSCFTSFYMMKWKEFFPNKELVQPPHFEAEVLCYPKPKIVCDYLSWRQAECHSRNQYNTCFWMLVKSGIGENEVHEILKGTLSKDKNELLFQQFQMNYNNVPAMFRKGSCTYRQKVGKIAEVKDSGDVAKERWDVAVAHVDMGPDFWRKHPYIFN* >Brasy4G287000.1.p pacid=40083857 transcript=Brasy4G287000.1 locus=Brasy4G287000 ID=Brasy4G287000.1.v1.1 annot-version=v1.1 MRGTDQHAALLPPAAADASKAGSADIVLMSSLRQCAYQRSKQQVVEVYCILLNHEWLRITHESPNMPSNGSLFFYNHRVNRYFLKDGYAWRRKKEPSVQVLIFLEVIFSCCTLFFIAGEKGGV* >Brasy4G296500.1.p pacid=40083858 transcript=Brasy4G296500.1 locus=Brasy4G296500 ID=Brasy4G296500.1.v1.1 annot-version=v1.1 MAAAAVAVANGGVSAAASNGGEVAMVLGTQASNASQAAKPAKKEKRAADVDRSSLPFKKRCKMVDHAAIDVTRESVASVASPEEDHHHVGEEVVAVAERPGKAGPPAALFHGFPRDEITDAAMLLMTLSCGLVRG* >Brasy4G321400.1.p pacid=40083859 transcript=Brasy4G321400.1 locus=Brasy4G321400 ID=Brasy4G321400.1.v1.1 annot-version=v1.1 MAAGLSLPETPSPLYITAPPSLLTQNPTPLTPACSPTHTISLRNTSDPEKNSSVLLGRVALFSKENRGTAAAMGEEQTESAWLTWRAAAVVACAWVALHVAARVADAVWWRPRRLEAHFAAQGVRGPPYRFLLGSVREMVGLMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELIREIFQTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLADAFFPDNLNRLVPHVGASVAALAEKWRAMASAADGGGEAEVDVAEWFQAVTEEAITRVTFGGSYGDGRVVFGMQGRLMAFASEAFRKVLVPGYRFVPTRKNWLSWKLDRDIRRNLTRLIGRRSDAAAAAAEAEVEEKKQTDSGGGGFRDLLGLMINAGDRRRTTTRASAIPVGDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGAGELPSKEHLPKLKTLGMIINETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLIPIMALHHDTRYWGQDAAQFNPARFADGVSRAAKHPLAFIPFGLGSRMCIGQNLARLEAKLTLAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLMFRPLSSSQPSDPVSP* >Brasy4G223100.1.p pacid=40083860 transcript=Brasy4G223100.1 locus=Brasy4G223100 ID=Brasy4G223100.1.v1.1 annot-version=v1.1 MAAPQPPAPAGPSPSAQVVGNAFVLQYYQILHQSPDLVYRFYQETSHLGRPSADRADEMDSVTTMESINEKILATDITKAEIRTVDSQESLGGGVTVLVTGHLTGGDGVRREFLQSFFLAPQEKGYFVLNDMFRYVGEGHAPSSAPGAAEVQPEADAIVLPVDAPLTNGTAGAAVDPAAPDLDITAQPDEPAAVHSPVHPKEEVYNSPAVDAQGAAVDEEQPVPEVVDEVPNNVAPVTAATAAPVPHEGAPKKSYASIVKVMKEAPLPAPVPSRPAPPKPEKQSPAPPVSTPAADVPPLSSNTEGNNIQEPEVDTHAVYVRSLPINATPDQLEEEFKKFGTIKHDGIQVRSNKIQGFCFGFVEFEESSSVQSAIQAKSVMIGGRECFIEEKRTHGSRGSGRGRFAGRGNNFRAEGMRGRGSYGGGRNYGRGDFGYRADFGGRSGGRGGSGRGADVGYQRVDRGGRTSGSTGAPTK* >Brasy4G120700.1.p pacid=40083861 transcript=Brasy4G120700.1 locus=Brasy4G120700 ID=Brasy4G120700.1.v1.1 annot-version=v1.1 MSPPPPDIFTHPAVTYSPSLPRSAAPLCPDPTPPLSPRSTPVAGSGSGRGGGGIHPGGADSAVEPLDGADDSHLLLLCLEAMTASSGKWASAGTGGSGAR* >Brasy4G086000.1.p pacid=40083862 transcript=Brasy4G086000.1 locus=Brasy4G086000 ID=Brasy4G086000.1.v1.1 annot-version=v1.1 MASSVSSPAASAVAAARSKVFGGGKKDGRTGCRVGIARKNFGRVMMALAVDTSRFEGVPMAPPDPILGVSEAFRADTNDLKLNLGVGAYRTEELQPSVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATADLLLGADNPVIKQGRVATLQSLSGTGSLRLAAAFIQRYFPDAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMVDDIQAAPNGSFVLLHGCAHNPTGIDPTPEQWEKLADVIQEKNHMPFFDVAYQGFASGSLDEDAFSVRLFVERGLEVFVAQSYSKNLGLYAERIGAINVICSAPEVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEQMAGRIRNVRQKLYDSLSAKDQTGKDWSFILSQIGMFSYTGLNRNQSDNMTDKWHIYMTKDGRISLAGLNLAKCEYLADAIIDSFHNVN* >Brasy4G402100.1.p pacid=40083863 transcript=Brasy4G402100.1 locus=Brasy4G402100 ID=Brasy4G402100.1.v1.1 annot-version=v1.1 MPRTGSFLFSLLTPTERRQPPNPHPATSMAPSHSAAGFRILPPAPPRASMPGGTEVSAPPSMVRFFPAALDALVSKPLNATSLDLRPPAPSSGAGNGSPDASILSLDAAPCPALQPPPAPLTSRPPLRP* >Brasy4G378000.1.p pacid=40083864 transcript=Brasy4G378000.1 locus=Brasy4G378000 ID=Brasy4G378000.1.v1.1 annot-version=v1.1 MICWTRGRLPSVRRPPPAAPHLSLAAALVHGAVRIRALTRIPSTSRPASIRVVAPSRRCRHDPRSARRNVVGTSTNELAGSHFLLSEAMPVAAARGRRRVLRSAVGSPLVVSVALPVAGGGFLLEIFEDMKICRSGSRRSSGYSLRSFLLLGSTLLSDWLLIDCRSGTRCC* >Brasy4G378000.2.p pacid=40083865 transcript=Brasy4G378000.2 locus=Brasy4G378000 ID=Brasy4G378000.2.v1.1 annot-version=v1.1 MICWTRGRLPSVRRPPPAAPHLSLAAALVHGAVRIRALTRIPSTSRPASIRVVAPSRRCRHDPRSARRNVVGTSTNELAGSHFLLSEAMPVAAARGRRRVLRSAVGSPLVVSVALPVAGGGFLLEIFEDMKICRSGTRCC* >Brasy4G420600.1.p pacid=40083866 transcript=Brasy4G420600.1 locus=Brasy4G420600 ID=Brasy4G420600.1.v1.1 annot-version=v1.1 MMEPAEHHQSAPQPSPSPAPIHTSTPLNREAISMEKAFESKALPPWNEQITLRSMVVSAFLGLFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPRPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSRKIAEGFDEARTSVNVEEPSLGRLIAYLFLVSFVGLFSIVPLRKIMIISYRLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFVGSFMWSLFQWFYTAGNGCGFGSFPTFGMEAYQRRFYFDFSATYVGVGMICPYIINFSLLIGSIISWGIMWPYIESKKGLWYDEKLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSILLRTSYDMYLKRTGRSKASPMPFAGAGVAINATERQALSFDDRRRTQIFLKEQIPTSVAAGAYMLLAGISVVAMPHIFRQLKQKHVVWAYVAAPIFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVIAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRAMFVSQVVGTGLGCLISPIVFWIFYQAYDIGLDEGYPAPYAKIYRGIALLGVNGWNQLPKYCLRFCAAFFLLAVAICALKETASNKGWWIRDYIPSALGMAVPFFLGSFFTIDMCVGSVILWMWQRSDAVHAQMFAPAVASGLICGDGIWSLPSSVLSLGNVNPPMCMRVFDAETSFKVEQFLETLPPPVLAS* >Brasy4G039600.1.p pacid=40083867 transcript=Brasy4G039600.1 locus=Brasy4G039600 ID=Brasy4G039600.1.v1.1 annot-version=v1.1 MAPLCENANWLSRFIWAIHLSIRLLRSTDPYYFSSATSWTGTGGDLASCCSDATPPLLPSSPAQGDRDWVKGRRRRSVSDSSLGTWALLVETCGDGLWWPGDILRHARPRRGGRPLVDGDGTSSVVPVGRRPPGLCHASAYAHPIRRRHTESAGAGQTAELPWICGRRARRPAEEAPDDPWRTWACHAAAASRSHAARLACSLAAAHRRSPDASSSTVAVAGQSRLRWSVEQAGRRFAGGGCGSGGRSMPTSAVPGRRRLAAARSRPKLFVRALVDEGKSIRTARKLDDVIERRGVGRDGMDRESGREICVLCGVLAQVAPVPWCASPPDPSGHMCRSRKR* >Brasy4G419100.1.p pacid=40083868 transcript=Brasy4G419100.1 locus=Brasy4G419100 ID=Brasy4G419100.1.v1.1 annot-version=v1.1 MAAAARDEEAEAWSEEAARWLWEGAVPLQVHLHDADVTALPPPPPVLILGPRLGHLPLLIPKIRAHFSSTLPPGVDTVWFEYKGLPLKWYIPIGVLFDLLSADPERPWNLTVHFRAYPGEILSPCEGEDSVKWSYNNSLKEAAFIITGNSKSVMNMSQADQVAMWESVMKGDLDGYMNVSTRLKLGPFEEDCVVRTSSAERQQGSDEPESPGSSKPCRVPVRLYIRSVQEDLEYLEDAIPVSDWESVSYINRPFEIRKREGRIYITLQDALETLLPEFFSSKPADATAESEPAETPDSAPDDSDTSQPALLSQRESSATKNAKVKLVRVQGIEPKMSIPFLWVANNLKNPEHYLHICVYVASARI* >Brasy4G281800.1.p pacid=40083869 transcript=Brasy4G281800.1 locus=Brasy4G281800 ID=Brasy4G281800.1.v1.1 annot-version=v1.1 MGRREYSTTHIELDRDPVPCLCQGTACPAPSYLPSITIGRLAACREADESKLEAYHERDRGKQSPGKQIFAGVDSPPRCSDQPRPYGLRRPPSGRRTDSSVTTPHLSRPHTALAFPKSQTLVRIDPRPPPFLRRARPLATTLPGRVDPPLLLGLVCAAFLDPRPLRIAGAAAAAPRNRRRRRRRSSESQAPPPRPISSCLDPPSTRTAGAAAAAPLIRPLQSAPLPPPPLATHDQEQYMV* >Brasy4G136600.1.p pacid=40083870 transcript=Brasy4G136600.1 locus=Brasy4G136600 ID=Brasy4G136600.1.v1.1 annot-version=v1.1 MASTSTSPAAETTSTRCITENITATLDFEMANYLDLRGMGVGNFVCSRTFGVGGYDWEIRFYPDGWKENNCHGKAACFVCYLSEAKDVRARVTLSLLEKDRPDDAPIASFDATEHVFSPDTSEIWGHTDFASKSELKSLSRPRPGRPDVGCFKARCVLTVRKDSPPLELLGHLERMLGEGAGADVTFRVGRRRFPAHRALLAARSPVFRAQLFGAMAEKDMGRVKVVDMDPEIFQIMLHYLYTDSLPAPCDAGDEEGGGCYSAAEMQHLLVAADRYGLERLKLMCEEELCDKIDVETVATTLALASQHQCKMLKDACLVFMSSPEVLGVVLEADGFREHFLTTCRPLALEGRANGKTVSSGEEEIGPSRKVKRIRTKYQE* >Brasy4G407500.1.p pacid=40083871 transcript=Brasy4G407500.1 locus=Brasy4G407500 ID=Brasy4G407500.1.v1.1 annot-version=v1.1 MSTGGAKKQTAAKNSPFAHIELSAPHPSPMGAAAAAAAAAAATHLHPRLLLRPAPRTQPQPVLRLRLLLPLPSPPRPIRLRRRGSPLLAVASASEGHAEKGDKAAGKLQKRVLVGVAIGVGAGGVVVAGGWVFAAAMTALGLAGAREYFGLVRGTAAPPPRYVSRACSAICAIMPFLTLYYGRMDVPLTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPAFWVKLRCGLSAPALNTSIAHSWPVLLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLTGCVLTTVLLSTLLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFIKVALPMYGV* >Brasy4G407500.2.p pacid=40083872 transcript=Brasy4G407500.2 locus=Brasy4G407500 ID=Brasy4G407500.2.v1.1 annot-version=v1.1 MSTGGAKKQTAAKNSPFAHIELSAPHPSPMGAAAAAAAAAAATHLHPRLLLRPAPRTQPQPVLRLRLLLPLPSPPRPIRLRRRGSPLLAVASASEGHAEKGDKAAGKLQKRVLVGVAIGVGAGGVVVAGGWVFAAAMTALGLAGAREYFGLVRGTAAPPPRYVSRACSAICAIMPFLTLYYGRMDVPLTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPAFWVKLRCGLSAPALNTSIAHSWPVLLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLTGCVLTTVLLSTLLRWPRSLLRWNS* >Brasy4G275500.1.p pacid=40083873 transcript=Brasy4G275500.1 locus=Brasy4G275500 ID=Brasy4G275500.1.v1.1 annot-version=v1.1 MLRRELIAFRSRLARARPMSPPRRLLVLFAVLVGAAVSAHGRLLTPDGLPGTASSDAVLRLPSEEQQQQPTMRGVAGEEEGCEMTYGFLPCTTTAGGNLFLALAYGFLMFKAATYLSAGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTILWGSCVVVGKCDLTEDSTAIDSCDTKRFSLFGSGVSTDLQTSYAARIMAISVLPFIVVQMPKILRLHSGQRLTILLGFIVAVLLLITYCLYQIFQPWIQRRKLEYSRLKHVMSGLLRHAQKHAFGRLFHDDGIPNVPVIEKLFHKIDLDNDGRIERGEIQAFIVGVQFEDIDLDSNLAADQVMADFDRSQNSFIEKGEFVDGVLRWLEEAKRAVVASGAYSKKFMEDFHATARDEHAALLNKDEEDGEAIENPTWTCFKAILLLLLGAAMAAAFADPLVDAVHNFSSATSIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLALVYMRGLTWDFSSEVLVIFLVCIIMGLFTSFRTKFPLWTCFVAFLLYPLSLLMVYILDYKFGWS* >Brasy4G295200.1.p pacid=40083874 transcript=Brasy4G295200.1 locus=Brasy4G295200 ID=Brasy4G295200.1.v1.1 annot-version=v1.1 MFSPNGFEGKHVFNLAAGHSPRIAHGLPVGGTELNYRFFGSCNGLVAYELMGLTSSCLTCVVANPATCQQVACVSLEMSRWSSMFGFGYDPVEDKYKVFVQASSKIFVLPLSGAGGKPMTVISGVAYTDGRDFSHDSYSICIGGVCYVLHHPPSNDWPLSQEAREQGKIKSEIKARLLAFRVRNETVRCIEMPDHDLLEVNKKVCVATFSSELPGISLSTLNSALQWERMCLLASVSLSPGGTGDGLLGAWYCRERLVLWFREHGLGLYDLGKMIDVGTACQPTQTVSLDSYKADNQKLLLHLNSRYQFCWGYRPTLIAPGTVVSVDCVARAEDRLVPFMSSGRIVPDEPRMELPEFVASTVLTLLG* >Brasy4G220100.1.p pacid=40083875 transcript=Brasy4G220100.1 locus=Brasy4G220100 ID=Brasy4G220100.1.v1.1 annot-version=v1.1 MDQYQGQHGHGANHVDEYGNPVARHGATGGMGGTYDAAGAFTGTGGGQGQGQQFQPTRGEHRTGGILRRSGSSSSSSAWKNSSEDDGVGGRRKKGMKEKIKEKLPGGHRDNNQQHMAAGTGGPYGQHTAAPGTGGAYGQQPGYGGMAGTGTGAHGVETGEKKGLMDKIKEKLPSQH* >Brasy4G433700.1.p pacid=40083876 transcript=Brasy4G433700.1 locus=Brasy4G433700 ID=Brasy4G433700.1.v1.1 annot-version=v1.1 MLRLRSCVLTHLRICAGASPISSLRRLLSAAPVVSPNPGFAIGDYLVDTCGLTRGQALKASTKLSHLKSPSKPDAVVAFLGGLGFSSADVAAAVARNPKILCMGVERTLAPMVAELTGLGLSRSEIARLFLLSSVRLRLRSIVSRLQYYLPLLGSSENLLRAIKHGRSSFLLRSDLKRVIKPNVAFLQECGLGACIIAKLCIRAPWILSFNPQRFRKRVELAEGLDVPRSSGTFIEALESVAYLTEEKIAAQAEYLKKAFRWSDAETRIAISKAPSLLRRSKDILQSRSEFFISEVGLEPAYIARRPSLVSLSLEGRTRPRYYAVKFLKANGLLNHNRDYFNTVMVSEKVFVEKYICPHKEAAPHLAEDYAAACRGEVPTRLKFPSTKNSQNTL* >Brasy4G133200.1.p pacid=40083877 transcript=Brasy4G133200.1 locus=Brasy4G133200 ID=Brasy4G133200.1.v1.1 annot-version=v1.1 MASSYKMKGIFKGFKIISQIFAAKEEQEMEIGSPTDVKHVAHIGWNSSTLPPTTNASPSFRMDGSALGISCDFSSLGNLASL* >Brasy4G210200.1.p pacid=40083878 transcript=Brasy4G210200.1 locus=Brasy4G210200 ID=Brasy4G210200.1.v1.1 annot-version=v1.1 MAWGLRRAATAGRRGWWEAPLYAAEQRATLVNVKLKLVKDRALDGAVSRERHLRAAHHVLDLVSSRPGHRISCPELLADRSVRKLFGSDAAALAFLRRYRTLFVLSRRGGGGVSLTDAALDLRRREVDCLGASEADLLARLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRHPDHFALAQPDGDERVWLRLLSWDDRLAISELEKDAVGSDTTCLPFPVSFTRGFGLRSKCMAWLREWQELPYTNPYADASGLDRRTDVSEKRNVAVFHELLHLTVAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVGGTHTVVLREAYGGGSQLLEKHAHPLVAIREEYSTMMRAALPPRRSRESRGSCSELDEESGVEEEIELSE* >Brasy4G210200.4.p pacid=40083879 transcript=Brasy4G210200.4 locus=Brasy4G210200 ID=Brasy4G210200.4.v1.1 annot-version=v1.1 MAWGLRRAATAGRRGWWEAPLYAAEQRATLVNVKLKLVKDRALDGAVSRERHLRAAHHVLDLVSSRPGHRISCPELLADRSVRKLFGSDAAALAFLRRYRTLFVLSRRGGGGVSLTDAALDLRRREVDCLGASEADLLARLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRHPDHFALAQPDGDERVWLRLLSWDDRLAISELEKDAVGSDTTCLPFPVSFTRGFGLRSKCMAWLREWQELPYTNPYADASGLDRRTDVSEKRNVAVFHELLHLTVAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVGGTHTVVLREAYGGGSQLLEKHAHPLVAIREEYSTMMRAALPPRRSRESRGSCSELDEESGVEEEIELSE* >Brasy4G210200.2.p pacid=40083880 transcript=Brasy4G210200.2 locus=Brasy4G210200 ID=Brasy4G210200.2.v1.1 annot-version=v1.1 MAWGLRRAATAGRRGWWEAPLYAAEQRATLVNVKLKLVKDRALDGAVSRERHLRAAHHVLDLVSSRPGHRISCPELLADRSVRKLFGSDAAALAFLRRYRTLFVLSRRGGGGVSLTDAALDLRRREVDCLGASEADLLARLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRHPDHFALAQPDGDERVWLRLLSWDDRLAISELEKDAVGSDTTCLPFPVSFTRGFGLRSKCMAWLREWQELPYTNPYADASGLDRRTDVSEKRNVAVFHELLHLTVAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVGGTHTVVLREAYGGGSQLLEKHAHPLVAIREEYSTMMRAALPPRRSRESRGSCSELDEESGVEEEIELSE* >Brasy4G210200.3.p pacid=40083881 transcript=Brasy4G210200.3 locus=Brasy4G210200 ID=Brasy4G210200.3.v1.1 annot-version=v1.1 MAWGLRRAATAGRRGWWEAPLYAAEQRATLVNVKLKLVKDRALDGAVSRERHLRAAHHVLDLVSSRPGHRISCPELLADRSVRKLFGSDAAALAFLRRYRTLFVLSRRGGGGVSLTDAALDLRRREVDCLGASEADLLARLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRHPDHFALAQPDGDERVWLRLLSWDDRLAISELEKDAVGSDTTCLPFPVSFTRGFGLRSKCMAWLREWQELPYTNPYADASGLDRRTDVSEKRNVAVFHELLHLTVAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVGGTHTVVLREAYGGGSQLLEKHAHPLVAIREEYSTMMRAALPPRRSRESRGSCSELDEESGVEEEIELSE* >Brasy4G215500.1.p pacid=40083882 transcript=Brasy4G215500.1 locus=Brasy4G215500 ID=Brasy4G215500.1.v1.1 annot-version=v1.1 MQYAAEQAWYMPMGPAAAAASSMESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALACLVAAFGSAAAPVVPVVFIGGRLVGAMDRVMAAHINGSLVPLLKDAGALWL* >Brasy4G057400.1.p pacid=40083883 transcript=Brasy4G057400.1 locus=Brasy4G057400 ID=Brasy4G057400.1.v1.1 annot-version=v1.1 MACNEDITLKSEVENDDPEHMLTFGGATSRGSVKLVHSVIASFNQMKKNIVSSVSFGGLLSFPQLTKLNLKFSKWLLTRVDEPTTSIVVDKDRVYKFSPQDVNSVFGVPAAGRDVRDKDLDRFDATINLVRSRLGLNGKEARSLKAAQAILVKDYGENMQPHEVDAFKTAFVVFIMGHFFAPTSKHNYCSIDYWPALADPNLIHTFNWGKYIVEELCDAAKKLKCDIRKNISVSNITGCTLFLQVFYLNSVNLGALNIPEDTFPLIKPRRRSECAPFREQNDGYIPQEGYEWERAKELCQLLKLSNEAAPRIYQAMKSQREYVLYYARQASSNFFSDTRDLAMRYLKNKDALIPDDAALNQMCGDIGVGSSFVTSNPNSTSTEARPHKKVCISTSRDKSMADVSFMDLLSSDMDITVPTGQIGDVHCELSLFSRQDTQLTHGPSMSEIARGNMSSMSGDFEMLATDPLVTPPTRICKSKAFTQQPSGGTCEREKEQIRALIEFTPNFDLGIESPQGQAVTANSTTKGAMDVSGNINEDLLKTPTASATKKRPSYWQMGV* >Brasy4G057400.2.p pacid=40083884 transcript=Brasy4G057400.2 locus=Brasy4G057400 ID=Brasy4G057400.2.v1.1 annot-version=v1.1 MACNEDITLKSEVENDDPEHMLTFGGATSRGSVKLVHSVIASFNQMKKNIVSSVSFGGLLSFPQLTKLNLKFSKWLLTRVDEPTTSIVVDKDRVYKFSPQDVNSVFGVPAAGRDVRDKDLDRFDATINLVRSRLGLNGKEARSLKAAQAILVKDYGENMQPHEVDAFKTAFVVFIMGHFFAPTSKHNYCSIDYWPALADPNLIHTFNWGKYIVEELCDAAKKLKCDIRKNISVSNITGCTLFLQVFYLNSVNLGALNIPEDTFPLIKPRRRSECAPFREQNDGYIPQEGYEWERAKELCQLLKLSNEAAPRIYQAMKSQREYVLYYARQASSNFFSDTRDLAMRYLKNKDALIPDDAALNQMCGDIGVGSSFVTSNPNSTSTEARPHKKVCISTSRDKSMADVSFMDLLSSDMDITVPTGQIGDVHCELSLFSRQDTQLTHGPSMSEIARGNMSSMSGDFEMLATDPLVTPPTRICKSKAFTQQPSGGTCEREKEQIRALIEFTPNFDLGIESPQGQAVTANSTTKGAMDVSGV* >Brasy4G093700.1.p pacid=40083885 transcript=Brasy4G093700.1 locus=Brasy4G093700 ID=Brasy4G093700.1.v1.1 annot-version=v1.1 MDSRKRRRADDGDRLSELPDCLLLDILSRVGSRLAVQTSALSRRWRHLWRDVPCADVDERDFSSSGGSGEFFDSFEYSGASQEQRQRCSRFEDFADHVLSPSPPASPLCPHRRLDAFRLRIESRCAYATTDRWVRRGLARSPAAVAIHGPYGSAVRWISPSPSSSSSLARLTKLHLVGVTIMPGAFVSPGQGHLLGCPALEDLHMERCNAYGFHAISSPVLRRLAVVRTRAGGRVSGADLPRLECLRLDLICDGQPASENQPPLPSLVEASIRVTELDYEIVRNKRALKKNRLEFLKAMCGLLARFPNVVCLDLSSFTTTALLEEESQEFPMLNHLRALRLDDSDVGVNFHALYSILLNAPNLETLRLHRCKFLGQKPKRRTPSKCRGSTTTTLECKNLKLIEIKSWQDDAPQILRLLSEVSKGMPMAQWRRVDGVSDVAGLVTVQLHRTEKGTTLVQGYFQQFGLPGWVLPWSVVLVVWPCVRLSLVSL* >Brasy4G093700.2.p pacid=40083886 transcript=Brasy4G093700.2 locus=Brasy4G093700 ID=Brasy4G093700.2.v1.1 annot-version=v1.1 MDSRKRRRADDGDRLSELPDCLLLDILSRVGSRLAVQTSALSRRWRHLWRDVPCADVDERDFSSSGGSGEFFDSFEYSGASQEQRQRCSRFEDFADHVLSPSPPASPLCPHRRLDAFRLRIESRCAYATTDRWVRRGLARSPAAVAIHGPYGSAVRWISPSPSSSSSLARLTKLHLVGVTIMPGAFVSPGQGHLLGCPALEDLHMERCNAYGFHAISSPVLRRLAVVRTRAGGRVSGADLPRLECLRLDLICDGQPASENQPPLPSLVEASIRVTELDYEIVRNKRALKKNRLEFLKAMCGLLARFPNVVCLDLSSFTTTALLEEESQEFPMLNHLRALRLDDSDVGVNFHALYSILLNAPNLETLRLHRCKVQYIQEQTFFINFIFWFFFLFGKEGPPSRFH* >Brasy4G055400.1.p pacid=40083887 transcript=Brasy4G055400.1 locus=Brasy4G055400 ID=Brasy4G055400.1.v1.1 annot-version=v1.1 MDGRESTAASGANFSPFYVRPWGMGATRAAAGHPDGLHGPPPVGYRQHLDAVSAGYSFQQPHFGGSHIGQEYHHDHVEGSPHVVQHTAAGGSGGGSMDIVAVGADAKGGNQGSVEGQDEQVKKKRGRPRKYKPDGAVTLGLSPSPSTPHSSSSGMGAMVTTPGAGFGSGTGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGTWFLGSVGTGFTPHVIIISAGEDVAARIMSFSQQGPRAICIISATGAVSTATLYQDSDSGAVTYEGRFEILCLSGSYLVLDEGGTRTRSGGLCVALCGPDHRVIGGSVSGVLTAAGTVQVIVGSFMYGGGSKKSKAKAEQDMENEEKNGGAEDAPTMALTEHNMPPHPMSGWPPGLMNQMDSSSPMYGGSKMNKGKAEQDMENEEHNAGGEETPTMALPEQHNMNMPPHPMSGWPPGLMRQTDSRSSNIDINSIRE* >Brasy4G391000.1.p pacid=40083888 transcript=Brasy4G391000.1 locus=Brasy4G391000 ID=Brasy4G391000.1.v1.1 annot-version=v1.1 MPLGQRAGDKSDSRYCGVEVLDFPAGDGLPAVLTHSLSSAFDFLLAPLVDPDYRPTPGTVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDEQLRLNSELTLKQEIAWASHLSLQACVLPPPRRSSCANYARVVNNILQGLTNMQLWLRIPLEKSEPMDEDHDQSDIVDLWEWWNSFRLLCEHSSQLYVALDILSSLPSMNSLGRWFGEPVRAAILQTDAFLTNARGYPCLSKRHQTLLTGFFNHSVQVIISGRSNHNVSQVAEGVLSGAENHTADAPIRHSLSPYLDYIAYLYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDKVSDDEVSTTRMVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESMVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPPQPVFSFTHPNFPKATNQRYTKLQFKLPQDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTCTPNMFSWFPIFFPLRKPIYIPSESPIEVHFWRCSGATKVWYEWAMMAPSPSPIHNSNGRSYWVGL* >Brasy4G391000.2.p pacid=40083889 transcript=Brasy4G391000.2 locus=Brasy4G391000 ID=Brasy4G391000.2.v1.1 annot-version=v1.1 MPLGQRAGDKSDSRYCGVEVLDFPAGDGLPAVLTHSLSSAFDFLLAPLVDPDYRPTPGTVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDEQLRLNSELTLKQEIAWASHLSLQACVLPPPRRSSCANYARVVNNILQGLTNMQLWLRIPLEKSEPMDEDHDQSDIVDLWEWWNSFRLLCEHSSQLYVALDILSSLPSMNSLGRWFGEPVRAAILQTDAFLTNARGYPCLSKRHQTLLTGFFNHSVQVIISGRSNHNVSQVAEGVLSGAENHTADAPIRHSLSPYLDYIAYLYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDKVSDDEVSTTRMVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESMVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPPQPVFSFTHPNFPKATNQRYTKLQFKLPQDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTCTPNMFSWFPIFFPLRKPIYIPSESPIEVHFWRCSGVV* >Brasy4G102700.1.p pacid=40083890 transcript=Brasy4G102700.1 locus=Brasy4G102700 ID=Brasy4G102700.1.v1.1 annot-version=v1.1 MEKTHVWQEFIAGQQKLETEKDDKPPKKREVDSEDDDGIEKVANEIVQLLNEGKWKPIRGDMVKCPFCNRKLNPRFLSILQHAESYKPDRPNAGGKRGTHRGLLRFMRANVPPNLQAMMAAQDQKLGINYIP* >Brasy4G172000.1.p pacid=40083891 transcript=Brasy4G172000.1 locus=Brasy4G172000 ID=Brasy4G172000.1.v1.1 annot-version=v1.1 MWTTKRLSITVLITFFSVLVVRHMIVNGTASGASRIQILHTNPLAWLSSPVDAPVASPENTEVVPVITGASSSSNSGNSSLEGLQWLDTWNHMKQLANISSGLPHATEAINDARTAWKNLTISVQNASSPWPDKERLCPYSIRRMNAPESQGSDFTFDIPCGFVAGSSVTVIGTPGSLSGNFWIDLVGTTFPGESEKPIVLHYNVRLNGDKLTEGPIIVQNAYLASNGWGNEDRCPGDSSNNATEVDDLEGCNSMVGREQKSIMNSKNHTGAKQGGKPSTYFPFKQGYLAIATLRIGLEGIHMTVDGQHITSFAYRAGLEPWFVTEVRISGDFKLVSAIASGLPTSEDLENSFDLDMLKSSPIPDGKDLDLLIGIFSTANNFKRRMAIRRTWMQYDVVRNGTVAIQFFVGLHTNLMVNKELWNEAHTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVSAKYLMKTDDDAFVRVDEIHSSIQQLNVSKGLLYGRINADSAPHRNRESKWYISSEEWPGEKYPPWAHGPGYVVSVDIAKTINIWYKTSSLKMFKLEDVAMGIWVNEMKKGGLPVRYETDERIHIDGCKEGYIVAHYQEPRDMLCMWEKLLRTNKAICCT* >Brasy4G194700.1.p pacid=40083892 transcript=Brasy4G194700.1 locus=Brasy4G194700 ID=Brasy4G194700.1.v1.1 annot-version=v1.1 MQGDGEVLFLGGAGEVTVTVGHDGLSFQPLHPERNSSCWSTFRLQPKLENKIKFSDVYAVQLLDEGPVYVPWNTGIVAQGKKNTEMHRFAVHVITRSRKCPSAWVPYEYLFGHGNPETCKSWVEHLSARIKNELDRPKNLLVFVHPVCGKGRGRKNWETVAPLFDQAKVNTKVITTERAGHAYDTLASLSDKELKKFDGVVAVGGDGLFNEILNGLLSSRHKTSYPPTPEGFGYFGSNEKPRGYTNHGLNNSMHISDPGDTNKSDDHEPLLSITQSAGLDISSLNPNTEPSSGDQVPLVSFPNDWFRLGIIPSGSTDAIALSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPSAEVLPTVRYAASFAGYGFYGEVVRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENRSTPSLTASAENAANGVQPLQSRQKRPRKTICRTNCSICKETSTSGQNSGDGIPDSSRTICKNPKWVWSKGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLAFKFVEHHKTTAFTFISSHDESVWNLDGELFQACEVSVQACRGLVSIFASGPEV* >Brasy4G194700.2.p pacid=40083893 transcript=Brasy4G194700.2 locus=Brasy4G194700 ID=Brasy4G194700.2.v1.1 annot-version=v1.1 MQGDGEVLFLGGAGEVTVTVGHDGLSFQPLHPERNSSCWSTFRLQPKLENKIKFSDVYAVQLLDEGPVYVPWNTGIVAQGKKNTEMHRFAVHVITRSRKCPSAWVPYEYLFGHGNPETCKSWVEHLSARIKNELDRPKNLLVFVHPVCGKGRGRKNWETVAPLFDQAKVNTKVITTERAGHAYDTLASLSDKELKKFDGVVAVGGDGLFNEILNGLLSSRHKTSYPPTPEGFGYFGSNEKPRGYTNHGLNNSMHISDPGDTNKSDDHEPLLSITQSAGLDISSLNPNTEPSSGDQVPLVSFPNDWFRLGIIPSGSTDAIALSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPSAEVLPTVRYAASFAGSYEAKVAFLENRSTPSLTASAENAANGVQPLQSRQKRPRKTICRTNCSICKETSTSGQNSGDGIPDSSRTICKNPKWVWSKGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLAFKFVEHHKTTAFTFISSHDESVWNLDGELFQACEVSVQACRGLVSIFASGPEV* >Brasy4G194700.3.p pacid=40083894 transcript=Brasy4G194700.3 locus=Brasy4G194700 ID=Brasy4G194700.3.v1.1 annot-version=v1.1 MSMLCSFLMKVLFMYLGIQELLPKMHRFAVHVITRSRKCPSAWVPYEYLFGHGNPETCKSWVEHLSARIKNELDRPKNLLVFVHPVCGKGRGRKNWETVAPLFDQAKVNTKVITTERAGHAYDTLASLSDKELKKFDGVVAVGGDGLFNEILNGLLSSRHKTSYPPTPEGFGYFGSNEKPRGYTNHGLNNSMHISDPGDTNKSDDHEPLLSITQSAGLDISSLNPNTEPSSGDQVPLVSFPNDWFRLGIIPSGSTDAIALSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPSAEVLPTVRYAASFAGYGFYGEVVRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENRSTPSLTASAENAANGVQPLQSRQKRPRKTICRTNCSICKETSTSGQNSGDGIPDSSRTICKNPKWVWSKGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLAFKFVEHHKTTAFTFISSHDESVWNLDGELFQACEVSVQACRGLVSIFASGPEV* >Brasy4G194700.4.p pacid=40083895 transcript=Brasy4G194700.4 locus=Brasy4G194700 ID=Brasy4G194700.4.v1.1 annot-version=v1.1 MSMLCSFLMKVLFMYLGIQELLPKMHRFAVHVITRSRKCPSAWVPYEYLFGHGNPETCKSWVEHLSARIKNELDRPKNLLVFVHPVCGKGRGRKNWETVAPLFDQAKVNTKVITTERAGHAYDTLASLSDKELKKFDGVVAVGGDGLFNEILNGLLSSRHKTSYPPTPEGFGYFGSNEKPRGYTNHGLNNSMHISDPGDTNKSDDHEPLLSITQSAGLDISSLNPNTEPSSGDQVPLVSFPNDWFRLGIIPSGSTDAIALSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPSAEVLPTVRYAASFAGSYEAKVAFLENRSTPSLTASAENAANGVQPLQSRQKRPRKTICRTNCSICKETSTSGQNSGDGIPDSSRTICKNPKWVWSKGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLAFKFVEHHKTTAFTFISSHDESVWNLDGELFQACEVSVQACRGLVSIFASGPEV* >Brasy4G070100.1.p pacid=40083896 transcript=Brasy4G070100.1 locus=Brasy4G070100 ID=Brasy4G070100.1.v1.1 annot-version=v1.1 MARGARCAGRWSASALLLFLCAILLLVAVPLLAPPGERTAVTAAAGGRRILLPAARSRRFRPRRWNSAPGLEDGKHEVPSGPNPDSNR* >Brasy4G344600.1.p pacid=40083897 transcript=Brasy4G344600.1 locus=Brasy4G344600 ID=Brasy4G344600.1.v1.1 annot-version=v1.1 MKLLSWNCRGLKKPAAVRSLLDLQEQVRADVIFLAETHLDNAKADRVRRQLGFDFMIVESSDDLHGRASMPWLVLGDFNEILYHHEKEGGNPRPLRMMTEFGDCLDDCGLDDLGFSGECFTWRRGDIKERLDRAVANAAWMEMFPGFGVINEAHVRSDHRPILVNTEFCAESLIQARSGRRKFEARWLAEECVEEIVRTSWLKVVISGTAPDLASRTAAVHHDLHQWDRKVLKGPKVRIDKLKRELENLRRAAPSQENLDRQKEIQILIENLQEQEELVWCQRGRANWLLHGDQNTSFVHKAATARKKRNQIKRLLDDTGVWKEGTDDLNSLVSNYFSSLFEGRFRMG* >Brasy4G131300.1.p pacid=40083898 transcript=Brasy4G131300.1 locus=Brasy4G131300 ID=Brasy4G131300.1.v1.1 annot-version=v1.1 MVAISDQEEEQPVLPRNGGHTDTTKLGVCVTVFASMIVLALALAFFFVIIFILLSPVLHDPAAYSMGIVAVSGLDPTTTNRPASALLDPEFNLTVRVASSSMNVPWPWFGDDCLDPSTSVEVSYLRVPLAGARAPRDVCTRPWHSSKDRSFVARGRGVAVPGFLVDSLAEDVRRGEAVFEITLMTPHGQGWQVLTCWAKVGDATSTLETPCAKSSVDAGLPMIRLAGLLGLFC* >Brasy4G077600.1.p pacid=40083899 transcript=Brasy4G077600.1 locus=Brasy4G077600 ID=Brasy4G077600.1.v1.1 annot-version=v1.1 MSSSPTPAPAAAPAAPMAVDESEDDQLSSMSTDDIVRASRLLDNELRVHKDELQRSNLELENFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDNLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHRDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALLRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVIHEDFNEGIIQVQAKKKSSLNYYA* >Brasy4G177000.1.p pacid=40083900 transcript=Brasy4G177000.1 locus=Brasy4G177000 ID=Brasy4G177000.1.v1.1 annot-version=v1.1 MDPDIPALKPQWLMQGQVTATGAASLWAAASPSSRKDSQGKGGPSRNRSSGHNRDQSSRQSSSRKSSVSSGPRRLDRDRDEMGKTRGYANFGRNKDREREKDFDSRDRESRSVAADRDGFQSFSSCKPERDRMNRARSKTDTWSKGVGNNGSTSRSNAVGVSFERDFPQLSSEDNGKQEISRIPSPGITTLIQNPPPYTVLAEAPVSSETKKNLVASSVPQAAPSKKPEVVLNSGAVLSMAETVMQAPQKIYLGPQLSIDAQKIEERTLRQNTLRPMTSTASKSLVTSSSKTKGTRGDPAGPSKVIQQALLPPANGSVRAPVKTELSKLSLSGSFKILTREQNGTAQVPKDSPGNPVSPPPAPVASVGPQKKPYLNQKLKIATHDLPLTQGAYGDTKLKSKIRSNFFRTLRTKSSGGSSSVIESGCEPSPSSIVDAEHDSCLKPGKAFSCMGNGKCSCEEANSSEGSQRHLSDNEENNSSLEPADMADGGSRQLLVENRESDSSELADTGDEGFQVSLSDNIDGSSSSAPADSDDGCKNSQSGNEEASSSSEATEPEDEEYPAEAIFTAEDLDFMTSLGWSKDEEVQPLGLEEIADYVRHHKGLEQRLLSMESNAHIKIVLLYLCSQS* >Brasy4G177000.2.p pacid=40083901 transcript=Brasy4G177000.2 locus=Brasy4G177000 ID=Brasy4G177000.2.v1.1 annot-version=v1.1 MDPDIPALKPQWLMQGQVTATGAASLWAAASPSSRKDSQGKGGPSRNRSSGHNRDQSSRQSSSRKSSVSSGPRRLDRDRDEMGKTRGYANFGRNKDREREKDFDSRDRESRSVAADRDGFQSFSSCKPERDRMNRARSKTDTWSKGVGNNGSTSRSNAVGVSFERDFPQLSSEDNGKQEISRIPSPGITTLIQNPPPYTVLAEAPVSSETKKNLVASSVPQAAPSKKPEVVLNSGAVLSMAETVMQAPQKIYLGPQVTSSSKTKGTRGDPAGPSKVIQQALLPPANGSVRAPVKTELSKLSLSGSFKILTREQNGTAQVPKDSPGNPVSPPPAPVASVGPQKKPYLNQKLKIATHDLPLTQGAYGDTKLKSKIRSNFFRTLRTKSSGGSSSVIESGCEPSPSSIVDAEHDSCLKPGKAFSCMGNGKCSCEEANSSEGSQRHLSDNEENNSSLEPADMADGGSRQLLVENRESDSSELADTGDEGFQVSLSDNIDGSSSSAPADSDDGCKNSQSGNEEASSSSEATEPEDEEYPAEAIFTAEDLDFMTSLGWSKDEEVQPLGLEEIADYVRHHKGLEQRLLSMESNAHIKIVLLYLCSQS* >Brasy4G382800.1.p pacid=40083902 transcript=Brasy4G382800.1 locus=Brasy4G382800 ID=Brasy4G382800.1.v1.1 annot-version=v1.1 MPKQQQQQQQQQGMADGGGLPRCRSVKAPSAASPLGGGAANTSTPQNKPARASTSTPTTPAYVRHKPPPSSINCMGMVTTDTPPATPKQPQPPQRSSSSSSYYGSSMWSPRKLMQRASRAFRSGRSSSRQKKKSAMAADLGDVDSPRSVASKGSDVDSAVSFDEQQIPDDVIVVDNGQREQEEPKIEPVPVPEKIIHEANPSSPVSNQIQAPAPAVAEVGTEEPAVDCKNSPAPEEETVPTAPAIAAAPVEKQQEEEPKKAASAAAPAPVAVEEGDGKKEKKKKEEREEEMKAEVVRRFQMRRTASAEGRRRSSSSGNDVVEEARSKLLETMRQGNRVRALVGAFENAMVDDRRSASLNRRQPRPNVSFRV* >Brasy4G122700.1.p pacid=40083903 transcript=Brasy4G122700.1 locus=Brasy4G122700 ID=Brasy4G122700.1.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEISNFDYLMELNTLAGRSYNDITQYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPVQRRATQDQLVNFGQTPSQLLIVPHIRRRPLADILQLQTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSLSSKIADQMLHEGDGLL* >Brasy4G122700.2.p pacid=40083904 transcript=Brasy4G122700.2 locus=Brasy4G122700 ID=Brasy4G122700.2.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEISNFDYLMELNTLAGRSYNDITQYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPVQRRATQDQLVNFGQTPSQLLIVPHIRRRPLADILQLQTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSSCLNPAVELQDS* >Brasy4G122700.3.p pacid=40083905 transcript=Brasy4G122700.3 locus=Brasy4G122700 ID=Brasy4G122700.3.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPVQRRATQDQLVNFGQTPSQLLIVPHIRRRPLADILQLQTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSLSSKIADQMLHEGDGLL* >Brasy4G122700.4.p pacid=40083906 transcript=Brasy4G122700.4 locus=Brasy4G122700 ID=Brasy4G122700.4.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPVQRRATQDQLVNFGQTPSQLLIVPHIRRRPLADILQLQTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSSCLNPAVELQDS* >Brasy4G122700.5.p pacid=40083907 transcript=Brasy4G122700.5 locus=Brasy4G122700 ID=Brasy4G122700.5.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEISNFDYLMELNTLAGRSYNDITQYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSLSSKIADQMLHEGDGLL* >Brasy4G122700.6.p pacid=40083908 transcript=Brasy4G122700.6 locus=Brasy4G122700 ID=Brasy4G122700.6.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEISNFDYLMELNTLAGRSYNDITQYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSSCLNPAVELQDS* >Brasy4G122700.7.p pacid=40083909 transcript=Brasy4G122700.7 locus=Brasy4G122700 ID=Brasy4G122700.7.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSLSSKIADQMLHEGDGLL* >Brasy4G122700.8.p pacid=40083910 transcript=Brasy4G122700.8 locus=Brasy4G122700 ID=Brasy4G122700.8.v1.1 annot-version=v1.1 MEEDSRDMSDASPTSPWEDGVVEHFDDESPRGEGYIIEDEEMSDVETTAGSPVAPSEPSTQPPPPLRRRLAPVVSSEVPEAVVRAVDAVIMGGGVDRLREMVSEENGEVSHFIVDVLMITMGGVDGIDEGTGDGTSTSTTPSIMSSSRAAAIAAELLPYLPCGIEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLEVAEKLFVGMGQSREWDGTPLVQCIQVLGGHSISVKDLHSWLLLVKKALGTLWATPLTLALEKAVGCNEAKGPAVTFEFDGESSGLLAPGESRWPFSNGFGFATWIYVESFSDSRDTATATAAIAAAAASTSGKSSAWAAAAAACTLAGEGTKHMPRLFSFLTADNDGVEAYFHGQFLVVESGTGKGKKASLHFTYEFKPKCWYFVGLEYTSKQGLLGMVESELRLYVDDKLHESYPFEFPRILKPLAFCCIGTNPPPTIAGLQRRRRQCALFAEMGPIYIFMEPIGPERMTRLASRGGDALPSFSNAAGLPWKATSDHIREVAEDSYALDIETAGSLHLLYHPSLFNGRFCPDASPSGSTGTQRRPAEVVRMVHVSYRVRPAESLWALACGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAIQHPGNKEELCRTHGPELLSLVLHYLLETLSRLESGKKEILSDEELVAAIVSLCQSQRNDPGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYEANALQMLLDGCRRCYWVTREGDSIDTFTFTGTERPIEKVNALVDELLVVIELLIGAASSTMASDDIRCLVGFVVDCPQPNQVARVLLLIYRLIVHPNSARAQSFAQSFISRGGVEALLVLLQREAKSGDSNIFNNCNVPQNAALQNEGSYSKSTNSDSVLKTVSSEADCNHEILSVDRHEPPSDEANTELESTSKWCLLKNQFLKNLGGMDFPNIADNVQNNVYNINKGDGVLVGIVHVLGSLVASGHLKFASPIAKSKMPGGFLTAANGEGSTMFEDRVSLLLFALQKAFQAAPRRLMSRNVYRSLISAVINISSANDNLNLYDSGYQFKHIPLLLVLLRSLPYASRAFQACAIEDLLFLVSSHPENRNTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLFIMLEHSMWQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLEFSAQELRVQSEGTTAAASGVAVEGLMPKEAKTQPERAAHLSVALAENAIVLMMLVEDHLRSHSQHFFTSCLIDSTVSPASMVSSRSNSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNIFGGGGSGLEAWKSVLEKDSNGNWIELPLVKKSVAMLQILLLDSGLGAGLVSGGGSGASMGVMSALNQLLDSDQPFFCLLRLTLILMREDDNGEEDLFMRDLSTKNVMSEGLGCQTGDNSCSSTREPQSALLWRVLCPILNTPVPESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLIMPPYVAVLKRYRSVLASIHELTSPDGQNPLVADDWASAADTLPVEAALSMISPDWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPILPASAPKDKASAKAAALAAARDLERNSKVGSRRGLGAVAMATSGQRRAAGDIERAQRCNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNHRCASIGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGPDHLGGAVNFEEQKLFCDGVESDAHTEEGGIQVTMSLPTSSLIIVAEAMSVDGGHEDAEQIETETICSSVDDQLRSSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSSEEDKRIIIELPSLMVQPLKAVRGTFQVTSKMINFIVDEHTRDSDNYMDDVASTSGQYDQPDRDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLSDIFLATQKPEQILKQTQLMERWAKWEYPIFPWVVSDYQSKILDLEDPSSYRDLSKPIGALNPARLKKFQEHYSSFKDPIIPKFHYSSHYSSLGTVLYYLARIEPFTTLSIQLRGTKFSDGDHMFSDITRTWNSVLQDMNDVKELVPEMFYLPEVFTNANSVDLGTNQLIRNLGSVELPPWAENPVDFIHKHRKALESEHVSARLHEWIDLIFGYRQRGKEAVMANNVFPYTTYEGAVDIDRIADPTIFRNPSEVRSYALPNPDQCNVPASAILISNDCIVVVDANVPAAYVALHHWQPNTPDDLGTPFLFHPGRNAINSSGGTIRRIFKGSASTEDYHFPRAIAFAASAIQTSSTVVVTCDKEIITGGHADNSVKMISPDGARTIETAHGHIAPVTCLSLSPDNTYLVTGSRDTTVILWRIHQKGSSHWKNAPEPPPSTPTTPRSPLANSSISGSSTSRILETSRRQRIEGPMHVLRGHVGEVTCCSVSSDLGLVASSSHMSGVLLHSLRTGRLTRKLDVEEAHVICLSSQGIVLIWSESVRRLSTFTVNGIPMATSVLSPFSGRVSCIEVSTDGQFALIGICLSSNCDRDGCTANGEYYAFEKPDEEDVPESNETTLSVHVPSICFIDLHKLEVIHMLKLGQGQDITAIALNEDNTTLVASTADKQLIVFTNPSSCLNPAVELQDS* >Brasy4G220400.1.p pacid=40083911 transcript=Brasy4G220400.1 locus=Brasy4G220400 ID=Brasy4G220400.1.v1.1 annot-version=v1.1 MGFLLGRSRYTEDKDRWVIPTSAPLPRPVGGRAEPRCPPMASLEDIHLGLDKVLVDRRRAEEQMAADEEHFWRAAILGVPKLGSRRAPDPPPALGSWGSPGPSPPTKPRRLGLQQRRGGAPIPESLP* >Brasy4G111300.1.p pacid=40083912 transcript=Brasy4G111300.1 locus=Brasy4G111300 ID=Brasy4G111300.1.v1.1 annot-version=v1.1 MPWRRRAAGMAGSVGQVGGQRRCHVRSSSQAGCTDYREEACTEQEGNTIEEELRLFSLVPSAN* >Brasy4G369500.1.p pacid=40083913 transcript=Brasy4G369500.1 locus=Brasy4G369500 ID=Brasy4G369500.1.v1.1 annot-version=v1.1 MGSLSRCSSSYAGLVVIFVALLLNAGTAVGGCYKRIFSFGDSIIDTGNFAYAIGNGPSPFKELPFGMTFFHGATGRISDGRVLVDFYAQALGLPLLPPSSPQEGWGNFSTGANFAVFGSTALPPEYFVPRYNVRMNPPSTLDRQLDSFKRVLNRIAPGDRARKALLRESLVIMGEIGGNDYNFWFLGDPKTPRETTYKYLPDVTSRIGAAAQELIYLGATTILVPGNFPIGCVPAYLARKPSPNRADYDDHGCLRWYNDFSQRHNAALRQEVSRLRWKNPGVRLIYADYYGAAMEFVRNPRRFGIGDPLVACCGGEGRYHTEKECSKAAKVWGNPAGFASWDGIHMTEKAYSVIAQGVLDGPYADIPLRRSCPAS* >Brasy4G090200.1.p pacid=40083914 transcript=Brasy4G090200.1 locus=Brasy4G090200 ID=Brasy4G090200.1.v1.1 annot-version=v1.1 MCVIFWSKSVVFVHSAVLRRQRIPFHPHCTFHFFSIFRPRAEPLRSSRARRAGAWRRAGE* >Brasy4G422900.1.p pacid=40083915 transcript=Brasy4G422900.1 locus=Brasy4G422900 ID=Brasy4G422900.1.v1.1 annot-version=v1.1 MVGVPAGGPKSRRSCHWVLGPRWWVQLDIETSRHFITNGQVLRRHSGTRGPLFDGGCFSLDIETFQLQRVCALENGISRPHPYSNFPPSLSSPRISTGIEEKMPGQGLELLRTEEPKDGNHSDSTAEYVDAGGQAGVSRIGDGGD* >Brasy4G202600.1.p pacid=40083916 transcript=Brasy4G202600.1 locus=Brasy4G202600 ID=Brasy4G202600.1.v1.1 annot-version=v1.1 MAPTGNPIASSPPAWILFDSQDSTGDGSNRNGSTATARTVRSNGKHIEVSLWPAAPPLPSRLYVHCPNLEAGEDFLEQPCIINTADGFILLRVSIGCRTMYRPRGFSQEMNDFFIYQPFGPKGPSLKMLKNPHQFLFQDYEVALLPRGDHFTVAALTASTGNQFDLCLFKSEDWTWSTEVVVVVEKPQEPFPLPVSKNAYRIFEHITKARQSRSEVNLAPLAGSICGVASCCATC* >Brasy4G163100.1.p pacid=40083917 transcript=Brasy4G163100.1 locus=Brasy4G163100 ID=Brasy4G163100.1.v1.1 annot-version=v1.1 MRCHPGCTRFVHAIGRAATTAVAAEENAADFMVRVLRRRYRVQFNDINWSRLNRCHRRHVMTRRTLRTMFARYGDALEKARLMEKGWQESLVDLKAAREVCTEISSKGGDSLEPAEEPTGHAETLFGVHSLGAWVQERLDEGNAKLCAAKAEGSP* >Brasy4G309900.1.p pacid=40083918 transcript=Brasy4G309900.1 locus=Brasy4G309900 ID=Brasy4G309900.1.v1.1 annot-version=v1.1 MTKSSGHVIGVPVTSKSYALEEATQGGACNKDGADRLAVSLTHPSPYSSFGYKHSSKGQVIHWVSKLGRRAQGFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSAEKGERLVKAHQCYLYTTGGPIAGMLFVSTRKMAFRSDRSLTVTSPAGDVVARVPYKVVVPLRRIKRVKPSESAEDPGQKYIHVATVDGFEFWFMGFVSYQRCCKYMQQVISEL* >Brasy4G034800.1.p pacid=40083919 transcript=Brasy4G034800.1 locus=Brasy4G034800 ID=Brasy4G034800.1.v1.1 annot-version=v1.1 MTTKQNLKISIQNQNLLKRQNRLSLSPHSPKPYRPAVRGTHMEGDDRSAPLLGKGNNGAARQPSLRRRDSARSLRSSFLSRLPDKMRTELDPERAADVDIGRVKDLSQGERNYYTKQLATLRTFEEVEALCMPGEFDSDPDDEAAAEDEEQKQSELAMKISNYANILLLAFKVYATIKTGSMAIAASTLDSLLDLMAGGILWFTHLSMKKVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLVQAIEQLVENKPGDRMTSEQLIWLYSIMLSATAVKLALWLYCKSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFFWWIDPAGAVLLAVYTITNWSGTVFEHAVTLVGRCAPPEMLQMLTYLAMKHDARVQRVDTVRAYSFGALYFVEVDIELSEDMRLREAHAIGESLQERIEKLPEVERAFVHVDFESTHKPEHTVRSRLPATEP* >Brasy4G210100.1.p pacid=40083920 transcript=Brasy4G210100.1 locus=Brasy4G210100 ID=Brasy4G210100.1.v1.1 annot-version=v1.1 MGEKASDAAAAGDQDVDGQAAAVALDGIQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAEPPLPPAGSRKFIPFQRKKNSSSSSSASATTLSASMAGGGLKRSKSVAPRPEEHFSSFATAESPRKKSFWSFLYLSSSSAYAHQQTASSSASSVSSYNTTNSAGARRKSVSVASAAWASRAGAGAQDQQLRSSARRMEAIGEPPESPSQVSSSSSSFGRKVARSRSVGCGSRSFSGDFLDRISFGDCTLRRVESQREREPKASKMRALGNLGADEDDDEEVYEHRDRIKCAGFFGGMGPTSSSYWLSAAEGTGGTRKRGGSRSHRSWAWALASPMRALRPTSSTSTKIITAEPSGHAVVHGNGDTPAAALSISSPMPCSSDAAATATATN* >Brasy4G065600.1.p pacid=40083921 transcript=Brasy4G065600.1 locus=Brasy4G065600 ID=Brasy4G065600.1.v1.1 annot-version=v1.1 MCGAGFFLFILLLPGGCAFHANILPGPAPVPSNFPETRVFGPRISPAFSPRTLSPESPGIGVRFRSHKHHRRSHHAPPPSSTLPPEAGCSSTVCTEPMTTTPIGSPCGCVLPLSVIIDLHVAPYLLFMHIAELEVEVAAGTFLKQSQVKIMAAIENITDGQKTRVTVYLVPLREHFDSYTAYLISDRFWNKKIQINSSVFGDYEVINITYPGLGSAPPSISSGLVSGPPGKGEDPISADVDLLKKKKLDSWIIIVASGSSLALILACIGLVILVVKWINLKRLQDAESPAITPAVNRRHGGGSILSTSLVSSASASMLSTVATCAASVKTFSLAQLEKATDGFNSRRILGQGGFGRVYHGTMDDGNEIAVKLLTREDRSGDREFVAEVEMLSRLHHRNLVKLIGICIERTRRCLVYELIRNGSVESHLHGADKDKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGILPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVGMSDNMDPQNLVTWARPLLSHKEGLERLIDPSLNGNFNFDNVAKVASIASVCVHSDPSQRPFMGEVVQALKLIYNDAEEACGDSYSNRDSSCDPDDDRQGGFIFGSGSGSWWNSGASGCLDYRISPPFINMEYSSGRIERRQEYDYHSVVSTGARVQKPALHSRSAPLRMKKLSPSHWSRGSFSEHGWSHPHH* >Brasy4G065600.2.p pacid=40083922 transcript=Brasy4G065600.2 locus=Brasy4G065600 ID=Brasy4G065600.2.v1.1 annot-version=v1.1 MCGAGFFLFILLLPGGCAFHANILPGPAPVPSNFPETRVFGPRISPAFSPRTLSPESPGIGVRFRSHKHHRRSHHAPPPSSTLPPEGCSSTVCTEPMTTTPIGSPCGCVLPLSVIIDLHVAPYLLFMHIAELEVEVAAGTFLKQSQVKIMAAIENITDGQKTRVTVYLVPLREHFDSYTAYLISDRFWNKKIQINSSVFGDYEVINITYPGLGSAPPSISSGLVSGPPGKGEDPISADVDLLKKKKLDSWIIIVASGSSLALILACIGLVILVVKWINLKRLQDAESPAITPAVNRRHGGGSILSTSLVSSASASMLSTVATCAASVKTFSLAQLEKATDGFNSRRILGQGGFGRVYHGTMDDGNEIAVKLLTREDRSGDREFVAEVEMLSRLHHRNLVKLIGICIERTRRCLVYELIRNGSVESHLHGADKDKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGILPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVGMSDNMDPQNLVTWARPLLSHKEGLERLIDPSLNGNFNFDNVAKVASIASVCVHSDPSQRPFMGEVVQALKLIYNDAEEACGDSYSNRDSSCDPDDDRQGGFIFGSGSGSWWNSGASGCLDYRISPPFINMEYSSGRIERRQEYDYHSVVSTGARVQKPALHSRSAPLRMKKLSPSHWSRGSFSEHGWSHPHH* >Brasy4G065600.3.p pacid=40083923 transcript=Brasy4G065600.3 locus=Brasy4G065600 ID=Brasy4G065600.3.v1.1 annot-version=v1.1 MCGAGFFLFILLLPGGCAFHANILPGPAPVPSNFPETRVFGPRISPAFSPRTLSPESPGIGVRFRSHKHHRRSHHAPPPSSTLPPEGLGSAPPSISSGLVSGPPGKGEDPISADVDLLKKKKLDSWIIIVASGSSLALILACIGLVILVVKWINLKRLQDAESPAITPAVNRRHGGGSILSTSLVSSASASMLSTVATCAASVKTFSLAQLEKATDGFNSRRILGQGGFGRVYHGTMDDGNEIAVKLLTREDRSGDREFVAEVEMLSRLHHRNLVKLIGICIERTRRCLVYELIRNGSVESHLHGADKDKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGILPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVGMSDNMDPQNLVTWARPLLSHKEGLERLIDPSLNGNFNFDNVAKVASIASVCVHSDPSQRPFMGEVVQALKLIYNDAEEACGDSYSNRDSSCDPDDDRQGGFIFGSGSGSWWNSGASGCLDYRISPPFINMEYSSGRIERRQEYDYHSVVSTGARVQKPALHSRSAPLRMKKLSPSHWSRGSFSEHGWSHPHH* >Brasy4G259900.1.p pacid=40083924 transcript=Brasy4G259900.1 locus=Brasy4G259900 ID=Brasy4G259900.1.v1.1 annot-version=v1.1 MDLRARELWREAGGSSACLRRRDDSGTKTESSAMGRFGFEFQLPGFQHCLGMLFAFNGELRQSSSPTYFQNCCSLEHLGEEMQKFSEVWCCRPWPLNSGVRMIWSFGRIIVVMKCKQKSGPAASLPEALDDSCCSILFYFCFVSSSSICKVLETLS* >Brasy4G109400.1.p pacid=40083925 transcript=Brasy4G109400.1 locus=Brasy4G109400 ID=Brasy4G109400.1.v1.1 annot-version=v1.1 MASPAPPPPFVYIDAAALHEFLPFPSLISHLRAGLPAFSGDIHCPHRVSFPLPTSPSAALLLMPSWCAHPSLPYLALKAVTSFPANSPRLPSVHAAVSLFSSATGAPLASLDGSVLTLLRTAAVSALAASLLSSPSRPPSTLALAGAGALAPYLAEAHLSALPSISRILMWNRTKAKSAALVAKLREAHPGVAVEEVDSMDEAVSVADVVSCATGSQEPIVRGGLLRPGAHLDLVGSFTPAMRECDDEALQRGRVFIDFEAAMDEAGELVGAVKRGVLQREDVAGTLAELAAGTVAGRRSDDEITVFKSVGTAVVDLLAAQLAYENYIATKNT* >Brasy4G294700.1.p pacid=40083926 transcript=Brasy4G294700.1 locus=Brasy4G294700 ID=Brasy4G294700.1.v1.1 annot-version=v1.1 MEVQEGRKGIPFLLSSQGECIASNITQLIGWTPLIELKNIAEKDGIGARLIGKIEPYQPLSSVKDRSALRLIEDAEEKGLITSGITTLLGVTSGNLGIGVAFIAAQKGYKFIAVMPAKLSLDKQILLRYLGVEVVLVDPALNGFKGLLDRVEQIKEDMENVVVLDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTITGVGRYLKTKSPSVKLICVEPTESPVISGGEPAFHNILGIGPGFVPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVGISSGANAAACLKVAAREENKGKMIVTMFSSGAERYLNSELFAEVKEECVNINMTF* >Brasy4G437100.1.p pacid=40083927 transcript=Brasy4G437100.1 locus=Brasy4G437100 ID=Brasy4G437100.1.v1.1 annot-version=v1.1 MHQWRPAGGGDRLSNLSDDLLIHILSFAPAREAASTTALSRRWRHRLWLESSAVNLDYRSYPTAGGDVRALRWRAESDAQNALAFHRSCSSDKPAAKRAAVVMRGDTMDDAVLRAATNSGTGDEEEEGDGVEEIFIDCTKDGAAPSLSSSCCYELSLNSLPHAALRTLDLKGCALVRRRRLAVSFPCLEALRLRRCVFHLDALQDMVDAAPRLADLRIESCTLQGSYVRLRCPPATVYFVLTELDMAEFSFFFLEAPGLRRVRYAQPQIAPAAAVFFLQDLELLQRAHLELRHPTPLTADNLRGLRHARALKLTVFSIADLLDDGPSTPLATFPNLERVEIEELTTCGSPASRAAAVVSLLRRSPMVRELRLKSRWRDYVCDTVPDPETRAAAMADFSPCRSVNRDEDDEAECCKKSLGKLPELNCSCACTALDSLRRVAMDFDADELSCFHVRLLRFLARNAVGLEGVAVAGGKGYDSSRIDRKISTWMKTRSTGCCAPLVSSSSPAPASRVRARAWAWPPPPHEFPLPEEALDDLTNSPWSGGGGKGLDYYLYLYDDDDDGRHSVRVSRKRNKVWCSPEWLTVKLPKKGRLAAAGSAPRWIMRGAGAGRRSLGEEEEVVGGGGEEGEVCGEGSAAATPAPEWPPGLSEFPPLVPALPPAETPADSPVLLPAPDTPSSPCSTSDGEGEWHLVRRRRSRRRLDVACQASRAY* >Brasy4G204700.1.p pacid=40083928 transcript=Brasy4G204700.1 locus=Brasy4G204700 ID=Brasy4G204700.1.v1.1 annot-version=v1.1 MACSFATSTVVSSTPTHKPLATALAPQSLSISRAPLATVRPLRLAAAYRSARTSGFVARAGGVDDLPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHDEFEKINTQVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPHQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI* >Brasy4G046500.1.p pacid=40083929 transcript=Brasy4G046500.1 locus=Brasy4G046500 ID=Brasy4G046500.1.v1.1 annot-version=v1.1 MRGGGGAGGDQQIEPLLDQKLSESSYNSFEEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVFFAGVTVVQSSLLADCYISRDPERGRVIRNKSYVDAVKLYLGEKSQMFCGFFIGVSLFGSGVVYTLTSANSMRAIQKANCYHREGHGAPCSAAAGGDGYYMLLFGLAQAVLSQIPDFHNMAWLSIFAAVMSFSYSSIGFGLGAAKVIENGVIKGGIGGTPLVSPTQKVWRVAQALGDIAFAYPYSLVLLEIEDTLRSPPRESETMKAASRASVAVTTFFYLGCGCFGYAAFGDETPGNLLTGFGFYEPFWLVDLANLCVVLHLLGGYQMYAQPAFALAERRLGAVDDVELRLPLLGGRRRRVNLTRLGIRMAYVVAATAMAIWFPYFNQVVGLIGAFTYWPLAICFPVQMYLTQAKVAPWTGRWVAIQAFSAGCLLICAFASVGSAVGVFGAERS* >Brasy4G316700.1.p pacid=40083930 transcript=Brasy4G316700.1 locus=Brasy4G316700 ID=Brasy4G316700.1.v1.1 annot-version=v1.1 MSGDGLPASAPPALIGGTWHGLSLIGGTILNRENSSMVEALRRENKNYGPAAALFKDCLHLMADFARINVEHCARCAAVPPRPSRPNSSSRSPVPILCYSTPAALASGAVVSLFPPATRLPSSSPAAQQIWHELALPTLFPRRAARSSLSLPTPAGQSSSPRSVPRPQSLSSQPSPASCAPAR* >Brasy4G403000.1.p pacid=40083931 transcript=Brasy4G403000.1 locus=Brasy4G403000 ID=Brasy4G403000.1.v1.1 annot-version=v1.1 MGFQDHLEKKPQPLRHHGAALAEDKTAAGSGRRKKKKAAAVWIALPPLRPIKVGRRRRGHGGAGAGEEEEEVEEEEEVTTPTGEWCRIPAEAAACPPAPRKKQRTAVAIVGGDRRCSSSRDVDGEPATEEYFRVPADLEAVFAVVSRVAEAAN* >Brasy4G065500.1.p pacid=40083932 transcript=Brasy4G065500.1 locus=Brasy4G065500 ID=Brasy4G065500.1.v1.1 annot-version=v1.1 MAAVWYGTQADEAFAEAWHSKAAGVQGPGPLGSIKSKVYVAPKLWYLRVSVVEAQDLLPMDKGPMTMSRYPELFVRAQVGNQMQRTRPSTVVPNRGPSSPFWNEDLMFVVAEPFEEFLVLQVEDHVSPGRDEILGRLVVPVSNIERRWDEKLVVSRWYGLDRGTGGGNVGINNPNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATADSYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPQSGNTSVVVRDNCVGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMYHAYVRPLLPKMHYVEPLLVRQVESLRFQATSVVAARLGRTEPPLGKEVVEYMLDHRSHLWSMRRSKANFFRLVAVLSGLIAIGKWFELVRSWHHPVHSCLAVFTFLVFVLMPELILPTAFLVMAFTGLWRYRVRPRHPPHMDMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYERLRNVAGRVQTVVGDIATQGERMQAILSWRDPRATLLFSIACVTAAVIAYAVPMKVLIGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADILL* >Brasy4G299500.1.p pacid=40083933 transcript=Brasy4G299500.1 locus=Brasy4G299500 ID=Brasy4G299500.1.v1.1 annot-version=v1.1 MAIAARSCATAVLTFRSAVFVLQAAALACAMMAGEAVFTVFMFLKFVLAVHLFLDAALLMYEAAQVLLHEPVLPAQRWEIVLVVVADWVMMVVLLAAGSSSLAVVDRNMRRCDLLPRTNLRALRSCRCAHRPGICLPGASRVPDDRLAGDLGSPGRGTPGRRPACTSTSTRRCPRRRGRRRCCSR* >Brasy4G197400.1.p pacid=40083934 transcript=Brasy4G197400.1 locus=Brasy4G197400 ID=Brasy4G197400.1.v1.1 annot-version=v1.1 MDDPIRKVSGWRSDGCDCEGYPLLVGEGIHCRPCSSGLPLPLSPVSSPRVYLPPKPQTHHACPPAAERTAPDPKSGAPLVAAAGIPEAQPYMASSNSGAAGCDDLDQLLDSALDDFTSLDVAAAPKSSGEASASSSGAARPVKGLGMSLPDPRAPRRRAAKQHQAPQRGAHASEALEKLTRETREAVRGLESATGGIGGLDDEGMMEDFVKQFEEFAGAQDMDSIVEKMMKQLLSKEILHEPMKDIVEKYPKWLEDNKSKISKEEHERYSNQLELMLKLNEVYEHEPENMSKIFEIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESAPDCCVM* >Brasy4G245800.1.p pacid=40083935 transcript=Brasy4G245800.1 locus=Brasy4G245800 ID=Brasy4G245800.1.v1.1 annot-version=v1.1 MSCYSGAAGGRRGVVAGRAEGGGEGGAVGALAGCGTTAAGAAGRLRRARTRSGCQSGGWSSWRGRWRRRWLARPAGALIVGLVAELAGELEHPRRAQRRHPDPLTAPVHLCVPVFAWPLRHHLLLRTHRLHVPPQPRPQPQSTKTAPRWESHPLRAHE* >Brasy4G059000.1.p pacid=40083936 transcript=Brasy4G059000.1 locus=Brasy4G059000 ID=Brasy4G059000.1.v1.1 annot-version=v1.1 MAAGGGGVGAAGAGSPCGACKFLRRRCVAECVFAPYFSSEHGASRFAAIHKVFGASNAAKLLAHLPAAERCEAVVTITFEAQSRLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGIQSTSPASLPSHQQQHHWPDSASSISALLRQQEGSGLGGAATLPELMGGDGVHPMSSSMQQHCSKVDGGELQYLAQAMMRSPNYSGL* >Brasy4G257600.1.p pacid=40083937 transcript=Brasy4G257600.1 locus=Brasy4G257600 ID=Brasy4G257600.1.v1.1 annot-version=v1.1 MAVAVPSTLPMKLRKVEPRGKAAAAGVPGRARVLVTVTVLGSAGPLRFLVDEGESVTGLIRAALRCYAREGRMPLLGADAANFLLYTANGRSDALKADERISFNGYRSFMLWQKTPRDAVVNGSEAALETTANSSPGRKASGGWKLGLNKILLNFSFKV* >Brasy4G342500.1.p pacid=40083938 transcript=Brasy4G342500.1 locus=Brasy4G342500 ID=Brasy4G342500.1.v1.1 annot-version=v1.1 MKRTHGADDAVAAVKEAVVLTNPVEEKEEAVIMADSAEEKECVITAVEKAPAGAVTSSPTEITAGSNTRQVKDDVVGIVDPIQLPVDWDYSDDSDDYGGAPIHHGSVFEEVVIDFEPEEKDSDVGSDQDSINAKSRHVLKALDYGAYEYRYGDKWVCPFCDKRSLANDFKSMVRHAEDTGRVSKRAPHIVAKHKAFGMFLRKLEAQEVDADAEPSNKKARRGRKKGRK* >Brasy4G133600.1.p pacid=40083939 transcript=Brasy4G133600.1 locus=Brasy4G133600 ID=Brasy4G133600.1.v1.1 annot-version=v1.1 MLTYLTIGNNSLSGQVPYSIALLPMLEFLDLEYNNLSGPFPPAIFNMSKLHTIYLSRNYNLTDSIPDNGSFSLPMLQILSMGFNRFTGRIPPGLASCRHLKVISMPANLFEGVVPTWLGQLTHLFFISLGMNNLVGPIPTALGNLTFLSVLSLSRSNLAGPIPAKIGQLSRLTFLHLGHNQLTGPIPASIGNLSELSLLVLDRNMLAGSLPGTIGNMNSLLKLSFFENRLQGDLSFLSILSNCRKLWYLDMSSNNFTGGLPDYVGNLSSQLETFLASESNLVGEIPATVSNLTSLRVLDLSKSQLYGAIPASIMMMENLQFLNLRWNSLFGPIPSQTAMLKNLVKLHLGHNKLSGSIPEGIGNHTMLEEIGLSYNQLSSTIPPSLFHLDRLLRLDLSQNFLSGALPFDISYLKQIYFLDLSANRLTSSLPDSVGKLIMITYLNLSRNSLYNPVPNSFDKLASLQILDLSQNNLSGPIPKYLANLTFLYRLNLSFNNLHGQIPEGGVFSNISLQSLMGNSGLCGASSLGFPSCLGNSPRTNNHMLKFLLPSMIVVIGVVASYIFVIIIKKKVSKQQGMNASAVMVDIINHQLVSYHELTHATDNFSESNLLGSGSFGKVFKGQLSNGLVVAVKVLDMQLEHAIRSFDVECRVLRMARHRNLIRILNTCSNLEFRALVLQYMPNGNLETLLHYSQSRRHLGLLERLDIMLEVAMAMSYLHHEHHEVILHCDLKPSNVLFDKDMTAHVADFGIARLLLGDESSVISTSMPGTAGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFVAGLSLKQWVHQAFPAELARVVDSQLLPQLQGSSPSIRSGYGDDGFLVPVFELGLRCSSDSPDQRMTMSDVVVRLERIKREYVECAAETWGSDTAKFGGDPDIYDPMAGRYQLH* >Brasy4G231200.1.p pacid=40083940 transcript=Brasy4G231200.1 locus=Brasy4G231200 ID=Brasy4G231200.1.v1.1 annot-version=v1.1 MVQRKKKAAPASKARKPKRDAEKKLGKKADMSEFRAQLDSIGVKIVEVTADGNCFFRAMGDQLEGDEEQHMKYREMVVQYIVKHREEFEPFIEDEVPFDEYCDSMMKDGTWAGNMELQAASLVTRRNICIHMLNSPRWYINNFSGREATNMVHLSYHHGEHYNSVRLTEDPCQGPAIPVVIKTDANVASASNNSQTKVKDLKKSSHRSTYDQTSVKLVMAGTGCSNVAVVEHVLGEMDGDVATAIEYMIAERLSLGSDDAEGDIYMNYACNGDELSTSQNEDRMVEHKEEESCSSKDETVQISKSSHEKEKSKTKECSCGSARKHKASCSLATAVPSKEHPRTKGGQGKGQKGKKQKKREQAEAAPAQDHKSTIAVPDLGALCI* >Brasy4G231200.2.p pacid=40083941 transcript=Brasy4G231200.2 locus=Brasy4G231200 ID=Brasy4G231200.2.v1.1 annot-version=v1.1 MATASSDCRAMGDQLEGDEEQHMKYREMVVQYIVKHREEFEPFIEDEVPFDEYCDSMMKDGTWAGNMELQAASLVTRRNICIHMLNSPRWYINNFSGREATNMVHLSYHHGEHYNSVRLTEDPCQGPAIPVVIKTDANVASASNNSQTKVKDLKKSSHRSTYDQTSVKLVMAGTGCSNVAVVEHVLGEMDGDVATAIEYMIAERLSLGSDDAEGDIYMNYACNGDELSTSQNEDRMVEHKEEESCSSKDETVQISKSSHEKEKSKTKECSCGSARKHKASCSLATAVPSKEHPRTKGGQGKGQKGKKQKKREQAEAAPAQDHKSTIAVPDLGALCI* >Brasy4G404400.1.p pacid=40083942 transcript=Brasy4G404400.1 locus=Brasy4G404400 ID=Brasy4G404400.1.v1.1 annot-version=v1.1 GAEQGLGNNTSDYARLASSLRDDHGLPAAVVARVSRPDWLRNAAGLADPAYWRGTLRPRPVLDWYLGRVEEAVAEAKDLCSPDGKLSLIGHSAGGWLARVYLEEFGTSDISLLLTLGTPHLPPPKGVSGVIDQTRGLLYYVEKNCAPAVYTPELRYVCIAGRYIKGARLTGNSIATADEIVAVDTTSEAAEAVMISADNGSAPPGPTFRSRFVGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEERPWYGSPAILQQWVHHLLS* >Brasy4G404400.2.p pacid=40083943 transcript=Brasy4G404400.2 locus=Brasy4G404400 ID=Brasy4G404400.2.v1.1 annot-version=v1.1 GAEQGLGNNTSDYARLASSLRDDHGLPAAVVARVSRPDWLRNAAGLADPAYWRGTLRPRPVLDWYLGRVEEAVAEAKDLCSPDGKLSLIGHSAGGWLARVYLEEFGTSDISLLLTLGTPHLYIKGARLTGNSIATADEIVAVDTTSEAAEAVMISADNGSAPPGPTFRSRFVGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEERPWYGSPAILQQWVHHLLS* >Brasy4G095300.1.p pacid=40083944 transcript=Brasy4G095300.1 locus=Brasy4G095300 ID=Brasy4G095300.1.v1.1 annot-version=v1.1 MATTRPKGSLPRISPPIAPINGGARVLPHSSGKAAGTQSHPNSTLLLPRLRSSPVLSYVRPSETTSLDKGMEMKKIACAVLVAASATVALAAEGPAPAPAAGASAATAAAVPALGAVLGAAALSFFGYYLQ* >Brasy4G191300.1.p pacid=40083945 transcript=Brasy4G191300.1 locus=Brasy4G191300 ID=Brasy4G191300.1.v1.1 annot-version=v1.1 MEAGWSKRARWDGDGKMEALCGASSALYRAGILLATAYRRLLLSASRSPEPRDCPWTDGRTPAGRVGGSKETVTSSKLILLVVCFDADVGVSTSFSKREKNRY* >Brasy4G112600.1.p pacid=40083946 transcript=Brasy4G112600.1 locus=Brasy4G112600 ID=Brasy4G112600.1.v1.1 annot-version=v1.1 MSAPGVHYALPRQRRRWRLYWCYVCRRALRTVVSSPTSDVFCPRCLGRFLHEIDLPPMPRGAPTTHPHPTPTAEHEHEQFLQPPFLPYEPPRRWIIYAGDGAADAPRARPRRVPSPPPAPGTRRRMHGADAGPRADINPSEFFTGPNLNALIEGLTQNDRPGPPPAPASAIDALPTVLVSPAHLSSDSQCPVCKEEFELGEAARELPCKHAYHSECIVPWLRLHNSCPVCRQELPVPEGESNGDGGGGERRGGEGEPPAAPGQVLAGWGPLAWLLLLGEMGVDGWERGHGRRERGEADADDAGGNGDEKWQIGDRAGRKTRVTLRLNSDTYREAAQNHFFRKQSPVEISY* >Brasy4G336900.1.p pacid=40083947 transcript=Brasy4G336900.1 locus=Brasy4G336900 ID=Brasy4G336900.1.v1.1 annot-version=v1.1 MGAVTSTVAARFAFFPPSPPSYGVAQPLPAADAGAGAGKEKEGGGGVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQTGAKLTLLYSHGNAADLGQMYELFVELSAHLNINLMGYDYSGYGQSSGKPSEQNTYADVEAVYRCLIETYAASEENIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKNTYWFDIYKNIDKVPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKLPPPNDESPESSGPSNSSQTEPVGAEDSRKSTDHREKTRPSVDHRKSTDRRDKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKAVRLRNIDCFKVTTSGS* >Brasy4G336900.2.p pacid=40083948 transcript=Brasy4G336900.2 locus=Brasy4G336900 ID=Brasy4G336900.2.v1.1 annot-version=v1.1 MGAVTSTVAARFAFFPPSPPSYGVAQPLPAADAGAGAGKEKEGGGGVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQTGAKLTLLYSHGNAADLGQMYELFVELSAHLNINLMGYDYSGYGQSSGKPSEQNTYADVEAVYRCLIETYAASEENIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKNTYWFDIYKGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKLPPPNDESPESSGPSNSSQTEPVGAEDSRKSTDHREKTRPSVDHRKSTDRRDKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKAVRLRNIDCFKVTTSGS* >Brasy4G336900.3.p pacid=40083949 transcript=Brasy4G336900.3 locus=Brasy4G336900 ID=Brasy4G336900.3.v1.1 annot-version=v1.1 MGAVTSTVAARFAFFPPSPPSYGVAQPLPAADAGAGAGKEKEGGGGVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQTGAKLTLLYSHGNAADLGQMYELFVELSAHLNINLMGYDYSGYGQSSGKPSEQNTYADVEAVYRCLIETYAASEENIILYGQSVGSGPTLDLASRLPRLRAVVLHSPILSGLRVMYPVKNTYWFDIYKNIDKVPLVRCPVLVIHIWRDDEGCQVTQYRLFQSNDFWELMTPKRLVLWGLVCMYSTV* >Brasy4G204300.1.p pacid=40083950 transcript=Brasy4G204300.1 locus=Brasy4G204300 ID=Brasy4G204300.1.v1.1 annot-version=v1.1 MEAWVSPIAGAGGRGRGGDDSAFSFLSKGWREVRDSASADLRLMRARADSLRTLADREFEHLLASASTPLAAPPPPLAVGAPIAELEFVRKQIQPKISELRRQYASRDRELGRRVLERWVPPRGATSARVDLSGITAIRNAIVSEARDAERWRRTAWNGEADTEEKEWEVVRMIRGGLKELERRSQSSELLGGFRGTGELVEKFKSSLKSFNMESEGYKEVPPLDITETLANLIRQSGPFLDQLGLRRDLCDKLVETLYSKQNHSLSADATLLGNDSLADDLDLRIASVLQSTGYHTDDGFWNEPTKYEVSDTKRHIAVVTTASLPWMTGTAVNPLFRAAYLAKSEKQDVTLVVPWLCQSDQELVYPNSVTFNSPEEQETYIRNWVDERLGFASNFKISFYPGKFSKERRSILPAGDTSQFISSREADIAILEEPEHLNWYHHGKRWTEKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGDKLTADRESGQQSFSKGAYFLGKMVWAKGYRELVDLLAKHKGDLEGFKLDVYGSGEDSQEVHSTARKLDLNLNFFKGMDHADDSLHRYKVFINPSISDVLCTATAEALAMGKFVVCAEHPSNEFFMSFPNCLTYKTSDEFVARVKEAMAREPQPLTPEERYNLSWEAATERFMEYSDLDKVLNDNSTPRLREPGSRRTSQPSFSNAVDGGLAFAHRCLTGSEVLRLATGAIPGTRDYDEQHCADMGLLPPQVQHPLYGW* >Brasy4G119800.1.p pacid=40083951 transcript=Brasy4G119800.1 locus=Brasy4G119800 ID=Brasy4G119800.1.v1.1 annot-version=v1.1 MQKTAQSWFTGGSASPASAASESQPSLLADWNSYSASRSDASSSSPLPFDIEAAVRSANDTVSGTFNVVTKGVRELPGSFQGATSSFPSGKALMYFGLFLATGIFFVFIAFTLFLPVMVLMPQKFAICFTLGCALIIASLFALKGPANQLSHMTSKERLPFTVGFTGCMVGTIYVSMVLHSYFLSVIFSILQVLALAYYTISYFPGGSSGLKFISSSLLSPVTRIFGR* >Brasy4G031400.1.p pacid=40083952 transcript=Brasy4G031400.1 locus=Brasy4G031400 ID=Brasy4G031400.1.v1.1 annot-version=v1.1 MPLPTVIPVRKSQLPSQSPNSNPNSHLPARPPARDAAFALPCRRRLPPAHCPLPAPCTAGSLPRRAPPLPPPSRSAAATATGCTSQAATGRAEHGEEMASTLGRGSREPRFVGVGAGQGPETEEKGHGMGWPSTTRKRRGVRCDGEEVCGRVCRGVGERE* >Brasy4G385700.1.p pacid=40083953 transcript=Brasy4G385700.1 locus=Brasy4G385700 ID=Brasy4G385700.1.v1.1 annot-version=v1.1 MAEIVSATGGVSGVMNPLLGKLTVLLGEEYKKLTGVRKQASFLRDELSAMKALLDKLELMDEPDPLAKDWRDHVREMSYDMENCIDDFIHDLGVGGADAKVCFVKKMAKRLLGLGKRHKIADRIEELKVLALEANERRLRYQVDDYINSASGVVPVDPRISAIYKEAAGVDPRISAIYKEATGLVGIDGPREDLVNWLAASVRKLNVVSIVGFGGLGKTTLAKQVYEEIRGKFECMAFVSVSQRPDMTSFLSGLQLKLGVDKSRHAHEVPDIIDRLREHLKNKRYLIVVDDLWDQSAWDTIRCVFPEGDNGGTVIVTTRLDDVACGACHDHHGYIYRMKPLANEDSKRLFFSRVFRSEDGCPPQFEEVSTQILKKCGGLPLAIITIASLLASRQARSRSDWESIKDSLGTNLAAYPTLEGMKKILNLSYINLPFRLRACFLYLGMYPEDREIKRDDLTRQWVAEGFVSGPDGADLEEVAKSYFNELINRSLIQPAGEETMFGEL* >Brasy4G274300.1.p pacid=40083954 transcript=Brasy4G274300.1 locus=Brasy4G274300 ID=Brasy4G274300.1.v1.1 annot-version=v1.1 MSLSSSSNNTLPYSADGGRYSTHDTLALLVIGFSVTAACVLIIVLCDCLCFRPRRIVYVGPRRPFFIVSREAGGGGGGGGLSASAVASLPSFFYHRGMAVRGGEDEGSGAGRGEGSGSRGGRGGWAQCAVCLSLLQEGETVRQLPACMHLFHVACIDLWLRSHSTCPLCRATVEAPPPSKDQAPPV* >Brasy4G216500.1.p pacid=40083955 transcript=Brasy4G216500.1 locus=Brasy4G216500 ID=Brasy4G216500.1.v1.1 annot-version=v1.1 MHNVVPPTAAAAGAPEPAAAPSCVWTRQQDKLLELLVCRMYPRWDRIAPPLGDKTPCQARQRYESTVAELRRVLQAPRVETPPGWDLQSIAPALAGGGEAEATVGDDGAPPPADGEAASIPATDCVTSKEAVNQRTIGKNQKKKAVPQKRNKAVPWSEVEHKLFLEGIRKHGTGGWKRLAREFVVTRTAGQIASHYQKYSIRQAKRRRNQCKRPSIHDIGDDGTTGAAAADHGSDQQPAAAAEGEPESGTTDDVSAGEEEAANYW* >Brasy4G418800.1.p pacid=40083956 transcript=Brasy4G418800.1 locus=Brasy4G418800 ID=Brasy4G418800.1.v1.1 annot-version=v1.1 MSVVSRAFPALPPAVCPVPVKTCARWLRRRSDGHSRRPISRVSCAGSKGRSFAGELEAAGYREQAYEESAAAEDGGNEEALTLGWSKDEIEAISALFDRPMHQKPLKPPNPVTQRPLPLPLPHKTRLPVTPAPKQHIRLASRSSYSDRVRKNPEVLVGIAREIAALRPESDVASVLDRWAPFLRKGSLSMTIRELGHMGLPERALQTLCWAQKQTAVPLFPDDRILASTVEVLARFDELRMESALEECVPTASRIVLEAMARGFIRAGKVGLARKVLELAKINKRTLHPSIYAKLILEAARTPEGYGLAAALLDELGERLDFDLRPQDCTAVMKVCIKLRRYAAVESLFSWFRESGRTPTVVMHTTVIHSRSRDGRHREALSLVWEMEQANCLLDLPAYRVVIKLCVALHDPERAFRYLSRLNDAGFVPTSDMYCNLIEGYAAAGRMAKCRQLIREAESTGVMLDKRLVSSLSEIGGGHP* >Brasy4G231300.1.p pacid=40083957 transcript=Brasy4G231300.1 locus=Brasy4G231300 ID=Brasy4G231300.1.v1.1 annot-version=v1.1 MASAAGLLLLLILAVAGFGGAYASSGPDMSIISYNAEHGARGLERTEAEARAIYGLWRAEHRPGSGNSNSLGEEERRFRAFWDNLRFVDAHNARAAAGEEGFRLGMNRFADLTNDEFRAAYLGVKGAGERRSARAGVGERYRHDGVEELPEAVDWREKGAVAPVKNQGQCGSCWAFSAVSAVESINHLVTGELVTLSEQELVECDINGQSSGCNGGLMDDAFDFIINNGGIDTEDDYPYKALDGKCDINRRNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFTGRCGTELDHGVVAVGYGTENGKDYWIVRNSWGPKWGEAGYLRMERNINATTGKCGIAMMSSYPTKKGANPPKPSPTPPSPSTPPPPVAPDHVCDENVSCPAGSTCCCAFGFRNMCLVWGCCPVEGATCCKDHASCCPPDYPVCNIKAGTCSASKNSPLTVKALKRTLAKRNVA* >Brasy4G056500.1.p pacid=40083958 transcript=Brasy4G056500.1 locus=Brasy4G056500 ID=Brasy4G056500.1.v1.1 annot-version=v1.1 MAAITSRARAPTSSPCHAALPPYVPALARCSHSSRSAYSPCPSPHSSPSVPHFFSTRASYSKPLEPPPRDPAKPPSPFLAPAHPHTTTHISLPRLRLLAAVGRIRQSGVRSPQRPDTSRRRCATLPRARIRCRPRLPCPGTCPTTSSQDPCSRPSPPPRPAHTKFAPQPALGGSRCGPTARARPRRWSPPLVPNLLPSPPRQLSHRRVTPWEERRQGLPPRW* >Brasy4G268400.1.p pacid=40083959 transcript=Brasy4G268400.1 locus=Brasy4G268400 ID=Brasy4G268400.1.v1.1 annot-version=v1.1 MVRERTRDGDGGRERGGYRRRQSRSRPERAAVRQGRPDPAAAGPREGRSGAGLGRDRRIRRHRAPPRLLLDVEEERGGAATATPGAARRRKGDGRGGREIGRERSCGLCGGRGRRGWRERSCVREMQTERERRVDL* >Brasy4G334300.1.p pacid=40083960 transcript=Brasy4G334300.1 locus=Brasy4G334300 ID=Brasy4G334300.1.v1.1 annot-version=v1.1 MGGKLSSCFNHRARGALSQQTASPASVRIIGADGSLKELPSSHRVTVSDVLASDGAAASFFVCSSDALYFDQSPPALAPGELLQPGQIYFLLPAAALGRPLSSTDMAALAVRASAALAAKRPQRRHGGKKKLLKVRVVPVHEELVASGEDGLFNEKLNERTLGEFAAASLIPAKGDEKLAAAAAARSRLKRALSIIQEDAE* >Brasy4G269000.1.p pacid=40083961 transcript=Brasy4G269000.1 locus=Brasy4G269000 ID=Brasy4G269000.1.v1.1 annot-version=v1.1 MPRVHVRAGADIGMERAAAAAAELDAQEAPHGNRAEVAGPVVGAGAGQGLEDQEGSDAGCPLRGGCGREGEVEEVPLRLRLGRARRRAGPSTPAPSWKVEASPGPLEGEMAAAAPAAAATRRSSASASASARQLGASLWEIHDVTREGRRYGARRRRSGRGLVGIGAEVDKPQGSGGFDRNLADSSKDHHNLHQERSHAVQPFSPASYTSSTGDSNMYRAINPARSLDVKGRSRGAGYNLNTSTELLKVLNRIWSLEEQQTANMSVIKGLKLELQQAQAHIHELTQERRGYRHEVASLMRQLSEDKLARKNKDQDKIEGALFSMQGELEDKRHLRWRSEGLHRKFGKELTEVKSAFLKAVKDLEKEKKTNQLLEDLCDQFAMGIRNYEEEVSVLKQRHANSYEHKFDKSVLHISEAWLDERIQMQNIDVHEDLLQKTTITERLSSEIQAFILAKKSGSSKNNLKLKYINDTRRDSSLRRQSLESVHLNGATSAPQLAEDDDDDDSVASDLHCFELNMHGNGNHKHHHTGPHRSGTASMDAPKRISEYSCSTAGESSHMSHAPIYAQKDKARSSGNNPRYADRIPAINSYSSAGITPVEEQNEITCTHISRGSHIGSSVHNLEARHADLLGQESLDHYSGISLFCEGTNSGDLCNVHSGSPARKLKSTLLGHEIVECSPELPVGVKENTLKAKLLQARLEGRHARLKVSGGSLSSRRK* >Brasy4G433100.1.p pacid=40083962 transcript=Brasy4G433100.1 locus=Brasy4G433100 ID=Brasy4G433100.1.v1.1 annot-version=v1.1 MIHQPSHLLGADPEKTVKPNVAFLRECGLRPADIVSLSTPVPRLLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKMFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFLISEVGLEPAYIACRPYLVTYSLEGRLRPRCYVLKFLKANGLLDHNRDYFSTVMISEKMFLEKYICPHKEAAPHLAEDYADACRGEVPTRFTFT* >Brasy4G263000.1.p pacid=40083963 transcript=Brasy4G263000.1 locus=Brasy4G263000 ID=Brasy4G263000.1.v1.1 annot-version=v1.1 MDVESTARATRQRPSSSWSSWHAGADVEAAKRLRRLRSCARSAVPAVCVATTVLAVVVLCNAGAGGSSPNSMSSPSSSWSFFQDPPPSNLTASQLLDGLLTADFGHRSCQSRYEFSSYYANKTNNAASHSHSRHKPSPYLLAKLRAHEAIQRRCGPGTAPYAAAIRQLKSGNGATAASTDTEECRYLVSISYRGLGNRMLATASAFLYAVLTGRTLLVHQYKHDVGALFCEPFPGTTWLLPSASRWPWPWGGASSGFPLGNLRDDYDGSSSSSLGNLLKHNAISVSSPQGHGNNATWADSERRPAYVYLHLEGGFDFFDKLFFCDEHQRLLHGAPWLLMKTDSYLVPGLFLLPCFRGELERMFPDKDTAFHHIGRYLFHPANDVWGAVKGYYGANLAGAGQRVGIQIRVFQKGKAPVRRLLDQVLSCVRREKLLPLPDHDDDQSQNASDKAVLVTSLSSWYYERIREEYGKAVRGGVHQPSHEGRQKWGDTAHDARALSEMYLLSMCDVLATTGFSTFGYVAQGIGGIRPWIMPTPEKEEAPADPPCVRASSVEPCFHSPSYYDCKARRDVDLTKVLPYVRRCEDVSWGIKIANGSSSHW* >Brasy4G208400.1.p pacid=40083964 transcript=Brasy4G208400.1 locus=Brasy4G208400 ID=Brasy4G208400.1.v1.1 annot-version=v1.1 MAEEQQQRWQEEGRHRLCANNCGFFGSPATLDLCSKCASCRKRVGLTGFTCRCGVTFCGTHRYPERHACGFDFKAAGRDAIARANPVVKGDKLKEKI* >Brasy4G379800.1.p pacid=40083965 transcript=Brasy4G379800.1 locus=Brasy4G379800 ID=Brasy4G379800.1.v1.1 annot-version=v1.1 MEQSPSPVEMLPLNLLRQRSSTVKDGELLQNSVGIGPEKLLLLALSVIRFSIAFHVVDGNCPANKLLETFSTCRGRAGVEDGSSRSVPLSWLKLTSMTRMLLEDTNSSGRPPDKELWERLRCWSLVRFPRDSEMCPSSPFEASKTPVTILSCLQAIPSHSQQSVPSCQDAARSPSFESPARNWRREPFSCSVQELVGEAKEISRTRARPTEGKEMLSGK* >Brasy4G427700.1.p pacid=40083966 transcript=Brasy4G427700.1 locus=Brasy4G427700 ID=Brasy4G427700.1.v1.1 annot-version=v1.1 MDLHMKLVLASLSCVLLMQVTSCDDTARGSGATSWTCVCAAHPLGEPNSNSSQSSSCSSSCHCLRGGSEGRKHFSSKTVVATLLVCVVLTTIAFVGTTAYYLRRKDALSPHSRMHSFDKYSSWSNRMNLVSHRSSPLPQLKPKPGLSLIKGFLCSCPILCRNEGGLFPGIEGAIVLRFSYAELEQETGKFSDEHLIGVGGTSKVYRGQLGDGKVVAVKKLRPLRGADEDYEFLSEIELLSRLNHCHVVPLLGYCSESHHGRLLVFELMPNGNLRECLDLKQGRKPMAWQARVAVALGVARGLEYLHEAAAPRVLHRDIKSTNILLDDKFRAKITDLGMAKCLMSDGVTSCPSSPPPSARTTAMLVGTFGYLAPEYAIVGKASLKSDVFSFGVVVLELITGRQPVVHRSGNGDESLVLWATPRLGDSRKVVTELPDPALEGRFAAEEMQVMAHLARECLQWDPEARPSMTEVVQILSTIAPVTGNGKHRRPHLPDNGNNFVDNGGERRPQECSVSFRWQDAGDDGYGRRGDEHDRQAYRGNAGSAPVKTAMTTMSRSWEEEVDLTEPRLEKFTQPTTATSHQLFR* >Brasy4G204200.1.p pacid=40083967 transcript=Brasy4G204200.1 locus=Brasy4G204200 ID=Brasy4G204200.1.v1.1 annot-version=v1.1 MSKMLQMAAGVFFFLFAVALSSSAGGGADAAVVEHTFVVTQVRMRHLCNDTVVTVVNGQFPGPALEATQGDTVVVHVVNESPHGITIHWHGVKQRLTCWADGAGMVTQCPIQPNTTFTYRFDVGDQVGTLWWHAHVSSLRATLHGIIIIRPKSGAYPFLKPDMDVPVIISEWWQRDLIKVDKNFSTGGNFDDNPAAAAINGKLGDLYNCSGIIEDNFVLDVEPGKTYLLRLVNAALFSEYYFKVAGHKLTVVGSDANYVRPYTTDVIAVGAGETIDVLMVADAPPCQYYMAALANQPPPPDPQIPVFASRALIQYTNISSSHAAAQHSCGKEPLMPDMPSQHDTITTVYFHGNLTGLPGHPLLPQIRGPVHEHLYLALGKGSMCTDRNKTSCRRGGHPESFEVAYINNVSFHLPEKTALLEARYYGRMMNGSDHSWNGGVPVEDLPSKPPRAFNYTDNALIPVAGNVKLEELEPTRKATMTRRFRHNATVEVVFQSTATMQSDSNPMHLHGHDFFVLAHGHGNYDARRDVKSYNLVDPPMKNTVQVPRLGWAAIRFVADNPGAWFMHCHFEFHIAMGMAAVFEVENGPTLETTLPPPPLDLPKCMQHE* >Brasy4G294400.1.p pacid=40083968 transcript=Brasy4G294400.1 locus=Brasy4G294400 ID=Brasy4G294400.1.v1.1 annot-version=v1.1 MSTANGTTPPYLLVQPTPLELLQLYLLPHIRNPEHPIPSFIHVVDVYALTAEYSSASARDGSVAWYFFTPIKPKKGGRKEREVVRGCSWHQERRSTDLPGSSSGYLTSLTFLCAGGEGQGKIRSGWLMKEIGSRGEDAARQTVLCVVYRTPRQRNPTAPLTVESTHGSGGRVLAPGASTYPPVGSGSPATYPPVGSA* >Brasy4G269300.1.p pacid=40083969 transcript=Brasy4G269300.1 locus=Brasy4G269300 ID=Brasy4G269300.1.v1.1 annot-version=v1.1 MYPTNKYMDPYYSHFRDHCPYPYYPPPSFPAGNHRMTMDSSCPPPSYGPWPYTGSASHSSLPESHSCCNHTYPPGYYSFRPPFTEELPPPHPYYHGQFPHQPNAYPSYFVPPPPYAVDQTPYGYGKFKSHCCGCPNHVCHGEGKSNLKIEEEMPELKPETEQKGPYNSSIIRHPNYQYPVMWLPSSNMNDKFNGRSSELPPQLFSKWFPESREKTDVKQEDHDNQKAKQFQWPIIWMPPGYNEPKQEAKELKGISDSPKVTEEGPPSPKIKIIPLSWFENGGHDKKPAAEDGFGDHNERPAAMSQPASTEHQDGMMVDGNLKSIPVVPNKPNDENVPVGQSCEAISVVPDKEGEEKKVRTCRTIPVMPQKEGDEKKSDLGGKKEEKASMVEKEGENRKRNSIGSSKAKVSKLPPICLRVDPLPTKKSGNRSSKSPNQATNKVCRKDMDMKEALTKNKDTKISEPRKESNVSVKEKSTDEMDERIVSRDVTVRDAPVKHGLEEQLSTSMAGQKVQPSVNAMAQENASVKSLQDEIKIQGEAPKLGHEMNLSEVDAAVCIQSAYRGYHVRRWQTLQKLRKIKSVHEQMQDVKKQLQGLEASPKQPTAKEHIAINETIMNLLLNLDTIQCLHPSVKEARKSVARELTSLQEKLDSLCKQSSAEPNQLKSEEQEKCAGVEWSSSINCKESMHDDVSYVVPMELRQDGDLTEQKHQMEELGNTREQAHDEGKALAPGECQGAPLMDAMSDVVLPEHSAEQKQHIEGANTALMEEWPEEEKAAAEEEGSSAHYIEPLHDSSLSEDSSVLKQPNDGVSPAATEDSTTAAATTSMESEMVVDSDGSVESPVQEYASVDGSQLKHDVAPAIEDQCNEPSTTFVHLGGSSLSLKDAESHGNDPGHVDDSIVINQRDQPQEPWVAKMQKQVADPMIFSTNEQDGTPEPRVADTTVSVENSTQEQIISAEEASKQYEVQSAYVDQPNEHHTEKTTGDSDIGEELEAVPFFSKTETVENTLNVAESPSNMCDEPILPEGESSELPCEFDDPRVHEYPDSKVSLESPSDVQKQQPDGEATASEIVECNEMPKGASVCAALVNSAVLEADDPKEPPVCATGVSSAVLGTDDLKEDAGGPMISEDAATVSTTHDGLKNNDEKKLIEENQMLKDMLRKLLASGSDQMGAMAELTEKVKVLEQKVARKKRPKVRVHRPSRNAAANVH* >Brasy4G269300.2.p pacid=40083970 transcript=Brasy4G269300.2 locus=Brasy4G269300 ID=Brasy4G269300.2.v1.1 annot-version=v1.1 MYPTNKYMDPYYSHFRDHCPYPYYPPPSFPAGNHRMTMDSSCPPPSYGPWPYTGSASHSSLPESHSCCNHTYPPGYYSFRPPFTEELPPPHPYYHGQFPHQPNAYPSYFVPPPPYAVDQTPYGYGKFKSHCCGCPNHVCHGEGKSNLKIEEEMPELKPETEQKGPYNSSIIRHPNYQYPVMWLPSSNMNDKFNGRSSELPPQLFSKWFPESREKTDVKQEDHDNQKAKQFQWPIIWMPPGYNEPKQEAKELKGISDSPKVTEEGPPSPKIKIIPLSWFENGGHDKKPAAEDGFGDHNERPAAMSQPASTEHQDGMMVDGNLKSIPVVPNKPNDENVPVGQSCEAISVVPDKEGEEKKVRTCRTIPVMPQKEGDEKKSDLGGKKEEKASMVEKEGENRKRNSIGSSKAKVSKLPPICLRVDPLPTKKSGNRSSKSPNQATNKVCRKDMDMKEALTKNKDTKISEPRKESNVSVKEKSTDEMDERIVSRDVTVRDAPVKHGLEEQLSTSMAGQKVQPSVNAMAQENASVKSLQDEIKIQGEAPKLGHEMNLSEVDAAVCIQSAYRGYHVRRWQTLQKLRKIKSVHEQMQDVKKQLQGLEASPKQPTAKEHIAINETIMNLLLNLDTIQCLHPSVKEARKSVARELTSLQEKLDSLCKQSSAEPNQLKSEEEKCAGVEWSSSINCKESMHDDVSYVVPMELRQDGDLTEQKHQMEELGNTREQAHDEGKALAPGECQGAPLMDAMSDVVLPEHSAEQKQHIEGANTALMEEWPEEEKAAAEEEGSSAHYIEPLHDSSLSEDSSVLKQPNDGVSPAATEDSTTAAATTSMESEMVVDSDGSVESPVQEYASVDGSQLKHDVAPAIEDQCNEPSTTFVHLGGSSLSLKDAESHGNDPGHVDDSIVINQRDQPQEPWVAKMQKQVADPMIFSTNEQDGTPEPRVADTTVSVENSTQEQIISAEEASKQYEVQSAYVDQPNEHHTEKTTGDSDIGEELEAVPFFSKTETVENTLNVAESPSNMCDEPILPEGESSELPCEFDDPRVHEYPDSKVSLESPSDVQKQQPDGEATASEIVECNEMPKGASVCAALVNSAVLEADDPKEPPVCATGVSSAVLGTDDLKEDAGGPMISEDAATVSTTHDGLKNNDEKKLIEENQMLKDMLRKLLASGSDQMGAMAELTEKVKVLEQKVARKKRPKVRVHRPSRNAAANVH* >Brasy4G269300.3.p pacid=40083971 transcript=Brasy4G269300.3 locus=Brasy4G269300 ID=Brasy4G269300.3.v1.1 annot-version=v1.1 MYPTNKYMDPYYSHFRDHCPYPYYPPPSFPAGNHRMTMDSSCPPPSYGPWPYTGSASHSSLPESHSCCNHTYPPGYYSFRPPFTEELPPPHPYYHGQFPHQPNAYPSYFVPPPPYAVDQTPYGYGKFKSHCCGCPNHVCHGEGKSNLKIEEEMPELKPETEQKGPYNSSIIRHPNYQYPVMWLPSSNMNDKFNGRSSELPPQLFSKWFPESREKTDVKQEDHDNQKAKQFQWPIIWMPPGYNEPKQEAKELKGISDSPKVTEEGPPSPKIKIIPLSWFENGGHDKKPAAEDGFGDHNERPAAMSQPASTEHQDGMMVDGNLKSIPVVPNKPNDENVPVGQSCEAISVVPDKEGEEKKVRTCRTIPVMPQKEGDEKKSDLGGKKEEKASMVEKEGENRKRNSIGSSKAKVSKLPPICLRVDPLPTKKSGNRSSKSPNQATNKVCRKDMDMKEALTKNKDTKISEPRKESNVSVKEKSTDEMDERIVSRDVTVRDAPVKHGLEEQLSTSMAGQKVQPSVNAMAQENASVKSLQDEIKIQGEAPKLGHEMNLSEVDAAVCIQSAYRGYHVRRWQTLQKLRKIKSVHEQMQDVKKQLQGLEASPKQPTAKEHIAINETIMNLLLNLDTIQCLHPSVKEARKSVARELTSLQEKLDSLCKQSSAEPNQLKSEEEKCAGVEWSSSINCKESMHDDVSYVVPMELRQDGDLTEQKHQMEELGNTREQAHDEGKALAPGECQGAPLMDAMSDVVLPEHSAEQKQHIEGANTALMEEWPEEEKAAAEEEGSSAHYIEPLHDSSLSEDSSVLKQPNDGVSPAATEDSTTAAATTSMESEMVVDSDGSVESPVQEYASVDGSQLKHDVAPAIEDQCNEPSTTFVHLGGSSLSLKDAESHGNDPGHVDDSIVINQRDQPQEPWVAKMQKQVADPMIFSTNEQDGTPEPRVADTTVSVENSTQEQIISAEEASKQYEVQSAYVDQPNEHHTEKTTGDSDIGEELEAVPFFSKTETVENTLNVAESPSNMCDEPILPEGESSELPCEFDDPRVHEYPDSKVSLESPSDVQKQQPDGEATASEIVECNEMPKGASVCAALVNSAVLEADDPKEPPVCATGVSSAVLGTDDLKEDAGGPMISEDAATVSTTHDGLKNNDEKKLIEENQMLKDMLRKLLASGSDQMGAMAELTEKVKVLEQKVARKKRPKVRVHRPSRNAAANVH* >Brasy4G269300.4.p pacid=40083972 transcript=Brasy4G269300.4 locus=Brasy4G269300 ID=Brasy4G269300.4.v1.1 annot-version=v1.1 MYPTNKYMDPYYSHFRDHCPYPYYPPPSFPAGNHRMTMDSSCPPPSYGPWPYTGSASHSSLPESHSCCNHTYPPGYYSFRPPFTEELPPPHPYYHGQFPHQPNAYPSYFVPPPPYAVDQTPYGYGKFKSHCCGCPNHVCHGEGKSNLKIEEEMPELKPETEQKGPYNSSIIRHPNYQYPVMWLPSSNMNDKFNGRSSELPPQLFSKWFPESREKTDVKQEDHDNQKAKQFQWPIIWMPPGYNEPKQEAKELKGISDSPKVTEEGPPSPKIKIIPLSWFENGGHDKKPAAEDGFGDHNERPAAMSQPASTEHQDGMMVDGNLKSIPVVPNKPNDENVPVGQSCEAISVVPDKEGEEKKVRTCRTIPVMPQKEGDEKKSDLGGKKEEKASMVEKEGENRKRNSIGSSKAKVSKLPPICLRVDPLPTKKSGNRSSKSPNQATNKVCRKDMDMKEALTKNKDTKISEPRKESNVSVKEKSTDEMDERIVSRDVTVRDAPVKHGLEEQLSTSMAGQKVQPSVNAMAQENASVKSLQDEIKIQGEAPKLGHEMNLSEVDAAVCIQSAYRGYHVRRWQTLQKLRKIKSVHEQMQDVKKQLQGLEASPKQPTAKEHIAINETIMNLLLNLDTIQCLHPSVKEARKSVARELTSLQEKLDSLCKQSSAEPNQLKSEEEKCAGVEWSSSINCKESMHDDVSYVVPMELRQDGDLTEQKHQMEELGNTREQAHDEGKALAPGECQGAPLMDAMSDVVLPEHSAEQKQHIEGANTALMEEWPEEEKAAAEEEGSSAHYIEPLHDSSLSEDSSVLKQPNDGVSPAATEDSTTAAATTSMESEMVVDSDGSVESPVQEYASVDGSQLKHDVAPAIEDQCNEPSTTFVHLGGSSLSLKDAESHGNDPGHVDDSIVINQRDQPQEPWVAKMQKQVADPMIFSTNEQDGTPEPRVADTTVSVENSTQEQIISAEEASKQYEVQSAYVDQPNEHHTEKTTGDSDIGEELEAVPFFSKTETVENTLNVAESPSNMCDEPILPEGESSELPCEFDDPRVHEYPDSKVSLESPSDVQKQQPDGEATASEIVECNEMPKGASVCAALVNSAVLEADDPKEPPVCATGVSSAVLGTDDLKEDAGGPMISEDAATVSTTHDGLKNNDEKKLIEENQMLKDMLRKLLASGSDQMGAMAELTEKVKVLEQKVARKKRPKVRVHRPSRNAAANVH* >Brasy4G379600.1.p pacid=40083973 transcript=Brasy4G379600.1 locus=Brasy4G379600 ID=Brasy4G379600.1.v1.1 annot-version=v1.1 MQTFDYSNKTCSKKLHLPSIVLALVLLTSLVSPTSSCTEQEKGSLLQFLAGLSQDGGLAATWRNDTDCCNWEGITCRQDRTVTNVSLASKGLEGHISVSLGILTGLQYLNLSCNLLSGGLPLELVSSGSILVIDVSFNRLEGNLHELSSSTPTRPLKVLNISSNLFTGQFPSTTWKVMNNLVALNASNNSFTGKIPTHICNSSPSFVVLELCFNHFSGNIPPGLGNCSMLKVLKAGHNNLSGVLPEEIFNATSLENLSFPNNALHGVLDGAHIINLRNLSTLDLGGNSFGGTIPYSVGELKRLEELHLDHNNMSGELPSALSNCTNLITIDLKSNNFSGELTKVNFSNLPNLKILDLLYNNFTGTIPESIYSCSNLTALRLSHNKLHGQLSPRISDLKYLSFLSLTQNSFRNITNALRILKSCRNLTTLLIGENFRGELMPEDDIHDGFEKLQVLAIGGCSLLGKIPHWLSKITNLEMLILSDNQLTGPIPDWISSLRFLFYLRISNNSMTGEIPTAVTQMPMLTSVNTAAQLDPRFFELPVYADPSLQYRIPMAFPKVLDLSKNKFTGEMPLEIGQLKALVSLNFSFNNLTGQIPQSICNLTDLQVLDLSNNNLTGGIPAALNGLHFLSIFNISNNDLEGPIPSGGQFNTFQNSSFGGNPKLCGSMLAHKCGSASISPASRKQRAKILAIALGVFFGGLTILLLLGRLLVSIRTKGFTAKHRRDDNGDVDATSFYSSSEQTLVVMQMPQGKGEENKLKFTDILRATNNFDKENVVGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHENLVPLWGYCIQGHSRFLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGLSYIHNVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVPVLSTSKELIPWVLQMRSEGKQIEVLDPALRGTGYEEQMLKVLEAACKCVHNNQFRRPTIMEVVSCLASIDDNLETKNSQARMDCT* >Brasy4G379600.2.p pacid=40083974 transcript=Brasy4G379600.2 locus=Brasy4G379600 ID=Brasy4G379600.2.v1.1 annot-version=v1.1 MNNLVALNASNNSFTGKIPTHICNSSPSFVVLELCFNHFSGNIPPGLGNCSMLKVLKAGHNNLSGVLPEEIFNATSLENLSFPNNALHGVLDGAHIINLRNLSTLDLGGNSFGGTIPYSVGELKRLEELHLDHNNMSGELPSALSNCTNLITIDLKSNNFSGELTKVNFSNLPNLKILDLLYNNFTGTIPESIYSCSNLTALRLSHNKLHGQLSPRISDLKYLSFLSLTQNSFRNITNALRILKSCRNLTTLLIGENFRGELMPEDDIHDGFEKLQVLAIGGCSLLGKIPHWLSKITNLEMLILSDNQLTGPIPDWISSLRFLFYLRISNNSMTGEIPTAVTQMPMLTSVNTAAQLDPRFFELPVYADPSLQYRIPMAFPKVLDLSKNKFTGEMPLEIGQLKALVSLNFSFNNLTGQIPQSICNLTDLQVLDLSNNNLTGGIPAALNGLHFLSIFNISNNDLEGPIPSGGQFNTFQNSSFGGNPKLCGSMLAHKCGSASISPASRKQRAKILAIALGVFFGGLTILLLLGRLLVSIRTKGFTAKHRRDDNGDVDATSFYSSSEQTLVVMQMPQGKGEENKLKFTDILRATNNFDKENVVGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHENLVPLWGYCIQGHSRFLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGLSYIHNVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVPVLSTSKELIPWVLQMRSEGKQIEVLDPALRGTGYEEQMLKVLEAACKCVHNNQFRRPTIMEVVSCLASIDDNLETKNSQARMDCT* >Brasy4G379600.3.p pacid=40083975 transcript=Brasy4G379600.3 locus=Brasy4G379600 ID=Brasy4G379600.3.v1.1 annot-version=v1.1 MNNLVALNASNNSFTGKIPTHICNSSPSFVVLELCFNHFSGNIPPGLGNCSMLKVLKAGHNNLSGVLPEEIFNATSLENLSFPNNALHGVLDGAHIINLRNLSTLDLGGNSFGGTIPYSVGELKRLEELHLDHNNMSGELPSALSNCTNLITIDLKSNNFSGELTKLSPRISDLKYLSFLSLTQNSFRNITNALRILKSCRNLTTLLIGENFRGELMPEDDIHDGFEKLQVLAIGGCSLLGKIPHWLSKITNLEMLILSDNQLTGPIPDWISSLRFLFYLRISNNSMTGEIPTAVTQMPMLTSVNTAAQLDPRFFELPVYADPSLQYRIPMAFPKVLDLSKNKFTGEMPLEIGQLKALVSLNFSFNNLTGQIPQSICNLTDLQVLDLSNNNLTGGIPAALNGLHFLSIFNISNNDLEGPIPSGGQFNTFQNSSFGGNPKLCGSMLAHKCGSASISPASRKQRAKILAIALGVFFGGLTILLLLGRLLVSIRTKGFTAKHRRDDNGDVDATSFYSSSEQTLVVMQMPQGKGEENKLKFTDILRATNNFDKENVVGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHENLVPLWGYCIQGHSRFLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLGLSYIHNVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVPVLSTSKELIPWVLQMRSEGKQIEVLDPALRGTGYEEQMLKVLEAACKCVHNNQFRRPTIMEVVSCLASIDDNLETKNSQARMDCT* >Brasy4G166900.1.p pacid=40083976 transcript=Brasy4G166900.1 locus=Brasy4G166900 ID=Brasy4G166900.1.v1.1 annot-version=v1.1 MSVVALPARGACKEMMRDSGACRRVGASAMACGRKKRARETAPAREAFDVRKEVFAVGEMSGKERSFFRKRLQRELVAVRGVLKKLAVVPEPEAVEGAAPSSSPPAAAPRGKDGRFEEPIEADKNKTAKRRKTSPPLPPPAVHIEAPAPPKMTPAEREQLAFSLAALSAELCPDTATLLQKESHGRRGKVVVDANSMGDAALFELKKQLDKFFVKTKRDPPSMAQDDGKIVDDEGKTGLERADVCGGVSHSPRTPSPPRQLEILDQCGDIFAATRVDKPLPQKYLALAEKVVPEEEEEEYVDICGDASPVVILQNPGPTPTISRRTSTSSDSDSDSDGSDSSDTCSDSDSCPAAPAVPPVVNGESGRPSEPAPETVQIAEPEKASSPPAPAQKIVQNAAEPEKVSSPSAPVHPLPKANNGDSEALPSQPAKEVMQNAEAEKMSNSPAPALPLPKANGDSAKQLDAAQQNAEQEIKLPVDPQSAAAPAGARSMSELIAEAQEKRWREETSRAREKARQELAETERSAMASDRVHPLDMEELGIAQVEHIVSDIARRQTLLYGVRPGLLQKLGLFLKPDDDDDGGDGEQQQQTSSVPGDDDLEEGEIR* >Brasy4G194300.1.p pacid=40083977 transcript=Brasy4G194300.1 locus=Brasy4G194300 ID=Brasy4G194300.1.v1.1 annot-version=v1.1 MGSSSGEEGGADEWVPPSQRPDLADVVPVPQDDGPCPVVSIAYRDDFREVMDYFRALYSSGERSLRALHLTAEAIHFNPGNYTVWHFRRLVLETLDTDLLQEMNFVDQIAESNPKNYQVWHHKRWLAEKLGPDAANSEHEFTRKILAIDAKNYHAWSHRQWVLQALGGWESELQYCNELLEEDVFNNSAWNQRYLVITRSPLLGGLVAMRDSEVDYTIEAIMANPRNESPWRYLRGLYKGDNYLLVADGRISDVCLKVLKDDWTSIFALSLLLDLLRLGLQPSDELKETIESMENSDPEITHVDLATAICSILQKCDPLRINYWSWYRTTLS* >Brasy4G193900.1.p pacid=40083978 transcript=Brasy4G193900.1 locus=Brasy4G193900 ID=Brasy4G193900.1.v1.1 annot-version=v1.1 MTMDFSGDIDDFSLQYIHEQLLGADACLQLQAVPVAAVDDFAPHLQQPSDFQLPSEFSLPQLLPLPPPGYVDLTSQYADAEAYGAAEPVMIRFGGENSPVPSSDPARRRPSLTVALPPASHPSWAAPEALAAADALNDFRKYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTYDTSIEAARAYDRAAFRMRGAKAILNFPNEVASRGATDFLAPPPPAVSNNNNKRKRADGVDEEETVNAKHVKAEAAASAAASPASSLTATSTVTATTSSSSATTTPSSSSSDYYYQAGGNSEMFGVPGPLASSTSWTWEQLLAEGMFGGSLSPHPQLAGGFPEVCTVN* >Brasy4G002200.1.p pacid=40083979 transcript=Brasy4G002200.1 locus=Brasy4G002200 ID=Brasy4G002200.1.v1.1 annot-version=v1.1 MSIRRSHSCDDGGSEVMMAAAAHKFTLAELAAATDGFSPANLVGEGGFGRVYRGHLPDLGQQAVAVKQLVRGGAQGSHEFVVECMMLMLLRHPNLVSLLGYCADARERLLVYEFLPRRSLDAHLFFSDPGHAHAGLDWNTRVKVAQGAARGLRYLHEVVTPPVICRDVKSSNILLAHDFTPKLSDLGLARLGPVGDHTHVSTRVMGTHGYCAPDYASTGRLSVKSDVYSFGVVLLELITGRRAFDAHAAEPEQRLLCLWARPYLADARRSYARLADPALRGRYSRRGLYQLAVVASLCLHDKPNLRPTMSDVTHAIDHVASHPWQPHPSSSSSPASSSRRSTPGSEANRSPDV* >Brasy4G251400.1.p pacid=40083980 transcript=Brasy4G251400.1 locus=Brasy4G251400 ID=Brasy4G251400.1.v1.1 annot-version=v1.1 MVATGILGLSPGFKFEPEVEEIVEFFLLPRLHGDPLPLEGIFLDADPRSAPPWEHFARNSIGFFFAPGDEANQRKKPRTCVGGGTWVGPKLEKKEELRLRLGGGEEVTVVWQKYRYNFHNGSGRPGSTGWPSDYNSFKVCRITFTGHGQKRKRVPDSDDQLATSAPSSIATGYHDQEPFLTQQETDSWTDQQEPAFPMQQQQLVDQEQPPPLAMGHASTPLPFGQESGMLVHMDQVSGIPEQFVSEQLMMPLQMGQDTSFPVNFAEYGAAPLPVYQESSMWGQFVEYGTTPLTMDQQFISMPEQSIDFEDPATQAFLEWFGD* >Brasy4G011100.1.p pacid=40083981 transcript=Brasy4G011100.1 locus=Brasy4G011100 ID=Brasy4G011100.1.v1.1 annot-version=v1.1 MAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGRAMGPVAGDVASDIIQNVASGIMQDVATDLIQDGNKDFVGELSVTLVDARKLSFVLFDMVANPQDTKEMGDPLQGIDAGSDEDHLPADFWDPPQATVGNLGLKMDFGSPDLPTMDPGKLAGCCSRLSISSDLDRPSDLKTYLASPVSVLSPVSPKALIREKLKVVCAFHAA* >Brasy4G038500.1.p pacid=40083982 transcript=Brasy4G038500.1 locus=Brasy4G038500 ID=Brasy4G038500.1.v1.1 annot-version=v1.1 MSHERGGAASSFAVPASEEDALFIDLLHEAPLSGHREPRSIVSGTLYCIVLAGYAAVTVSAPWIFLLVPDMVPPLLCSSNVILLLLTGIFEQYWVHQVRKVRLQGYYDFSQKLKRIARLPFATIAYGTGLMLLIIVWQPLVQILSVSLLLRIAIVVEAICAGLFMGLYIWYIHKYNSLNGRPDILRSLYSALQPSNTLEDRRYYDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGNTPQVDLAHLLASRDQELRALSAEMNQVHSELHLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSARAAKLERALEAERMSNIELRKNIAKFRGQLHKEQRA* >Brasy4G038500.3.p pacid=40083983 transcript=Brasy4G038500.3 locus=Brasy4G038500 ID=Brasy4G038500.3.v1.1 annot-version=v1.1 MVPPLLCSSNVILLLLTGIFEQYWVHQVRKVRLQGYYDFSQKLKRIARLPFATIAYGTGLMLLIIVWQPLVQILSVSLLLRIAIVVEAICAGLFMGLYIWYIHKYNSLNGRPDILRSLYSALQPSNTLEDRRYYDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGNTPQVDLAHLLASRDQELRALSAEMNQVHSELHLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSARAAKLERALEAERMSNIELRKNIAKFRGQLHKEQRA* >Brasy4G038500.2.p pacid=40083984 transcript=Brasy4G038500.2 locus=Brasy4G038500 ID=Brasy4G038500.2.v1.1 annot-version=v1.1 MVPPLLCSSNVILLLLTGIFEQYWVHQVRKVRLQGYYDFSQKLKRIARLPFATIAYGTGLMLLIIVWQPLVQILSVSLLLRIAIVVEAICAGLFMGLYIWYIHKYNSLNGRPDILRSLYSALQPSNTLEDRRYYDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGNTPQVDLAHLLASRDQELRALSAEMNQVHSELHLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSARAAKLERALEAERMSNIELRKNIAKFRGQLHKEQRA* >Brasy4G441000.1.p pacid=40083985 transcript=Brasy4G441000.1 locus=Brasy4G441000 ID=Brasy4G441000.1.v1.1 annot-version=v1.1 MRKRDLGILLLAAFAVFFSLQHEGDFSFREAWYHLSDDGYPIKYDADRLPPPLVADLNGDGRPEILLPTHDAKIQVLQPPHARPASGFDDFHEARLMAEISLLPTNVRVSSGRRPVAMAVGAVDRSYKLADVRKQVLVVVTSGWAVMCFDHNLNKLWEANLQDDFPHAAHHREVAISITNYTIKHGDAGLVIVGGRMEMQHHSADLFDDFMTSEHSREEHRRSASEKQASEAGNVDVRHFALYAFAGRTGALRWSRKNENIRSQPSDASALIPQHNYKLDVHSLNSRHPGQFECREFRESVLGVMPHHWDRREDTSLQLANFRRHKRKQLKKTPGKNAVNNVHKPSEHNPAGKDDTNRLSKAIGKAAELAGSAKGKKSQHTLFVPTITNYTQVWWVPNVVVAHEKEGIEAIHLASGRTICKLHLTEGGLHADVNGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDLSKSFGRPFDPTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEATSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDQEAVVISPSGSLLASIELPAPPTHALILEDFSGDGLTDIILVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSNNGKPRSSTEYR* >Brasy4G441000.2.p pacid=40083986 transcript=Brasy4G441000.2 locus=Brasy4G441000 ID=Brasy4G441000.2.v1.1 annot-version=v1.1 MTSEHSREEHRRSASEKQASEAGNVDVRHFALYAFAGRTGALRWSRKNENIRSQPSDASALIPQHNYKLDVHSLNSRHPGQFECREFRESVLGVMPHHWDRREDTSLQLANFRRHKRKQLKKTPGKNAVNNVHKPSEHNPAGKDDTNRLSKAIGKAAELAGSAKGKKSQHTLFVPTITNYTQVWWVPNVVVAHEKEGIEAIHLASGRTICKLHLTEGGLHADVNGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDLSKSFGRPFDPTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEATSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKQVIIAGGDQEAVVISPSGSLLASIELPAPPTHALILEDFSGDGLTDIILVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSNNGKPRSSTEYR* >Brasy4G129500.1.p pacid=40083987 transcript=Brasy4G129500.1 locus=Brasy4G129500 ID=Brasy4G129500.1.v1.1 annot-version=v1.1 MEDYLKAGNADSGRGFLLPPPHGAEGASSLGLVLRAFAPAGGWVQPLLSDPGDALLGDYARLKISELPPVSPPRASSSILHKARLMSPTSPLDACTLSPKPSPLKRSKTARLSSPGCVL* >Brasy4G290400.1.p pacid=40083988 transcript=Brasy4G290400.1 locus=Brasy4G290400 ID=Brasy4G290400.1.v1.1 annot-version=v1.1 MGRRQLDRRYLQQQWPGHRPVFHEAPRRHPDPGRRHLQPREALLLGRLLQQPHGLVPHGALRLLGPAVPRPVKQSARRQPPARHRQTAVGDAAPQPVGQVPSAIAGFPKLKSLVLDTNGFNGSYPAEAIGQLPELETLTLANNPFAPGPIPNAFGKLTNLTLLWLSGMNLTGEIPSSLSALTELSILDMSMNKLRGGIPEWIWKLQKLQYLYLFGNKFTGGIGPFDAAVSMFQLDLSSNRLTGPIHETIGSMKNLSLLFLYYNDIAGPIPASLGLLPNLADIRLFDNKLSGPLPPELGKHSPLGNFEVSNNLLSGELPDTLCANRQLYDLVVFNNSFSGAFPASLGECDTLDNIMAHYNRFVGDFPEKIWSFPELTTVQIHDNGFTGTLPAKISPLISRIEMENNRFSGAVPTAAPGLKVFWAQNNLFSGELPPNMTGLANLTDLNLSGNRISGSIPASIQLLGRLNYLVLGNNKISGPIPAGIGSLPMLNILDLSNNELTGSIPPEFGNLHLNNLNLSSNQLTDEIPVSLQSPTYYQSFLDNPGLCSGLLGSGLPLCAGTSNSSHDHRVIIILLAVLPSITLISTAITGWLLLSRMRGRRDVTSWKMTAFRALDFTEHDIISGISEENLIGRGGSGKVYRIQLGRGKAGHGGSDDSQRTVAVKRIGNAGKADTNLEKEFESEVKTLGELRHDNIVNLLCCISGDDDKLLVYENMENGSLDRWLHRRHQKRAGVVGPLEWPTRLSIAVDVARGLSYMHEDLVRPVIHRDVKCSNVLLDCSFRAKIADFGLARILAKFGESEAASAVCGTFGYIAPEYIQRAKVSEKVDVYSFGVVLLELATGRGAQDGGTESGSCLAKWASKRYRNGGPFAGLVDDEILDPAHLDDMVTVFELGVVCTREDPRSRPSMSQILRQLLDLKRDRNSTHGREARDDFCVDSSDQCSVYKV* >Brasy4G290400.2.p pacid=40083989 transcript=Brasy4G290400.2 locus=Brasy4G290400 ID=Brasy4G290400.2.v1.1 annot-version=v1.1 MGRRQLDRRYLQQQWPGHRPVFHEAPRRHPDPGRRHLQPREALLLGRLLQQPHGLVPHGALRLLGPAVPRPVKQSARRQPPARHRQTAVGDAAPQPVGQVPSAIAGFPKLKSLVLDTNGFNGSYPAEAIGQLPELETLTLANNPFAPGPIPNAFGKLTNLTLLWLSGMNLTGEIPSSLSALTELSILDMSMNKLRGGIPEWIWKLQKLQYLYLFGNKFTGGIGPFDAAVSMFQLDLSSNRLTGPIHETIGSMKNLSLLFLYYNDIAGPIPASLGLLPNLADIRLFDNKLSGPLPPELGKHSPLGNFEVSNNLLSGELPDTLCANRQLYDLVVFNNSFSGAFPASLGECDTLDNIMAHYNRFVGDFPEKIWSFPELTTVQIHDNGFTGTLPAKISPLISRIEMENNRFSGAVPTAAPGLKVFWAQNNLFSGELPPNMTGLANLTDLNLSGNRISGSIPASIQLLGRLNYLVLGNNKISGPIPAGIGSLPMLNILDLSNNELTGSIPPEFGNLHLNNLNLSSNQLTDEIPVSLQSPTYYQSFLDNPGLCSGLLGSGLPLCAGTSNSSHDHRVIIILLAVLPSITLISTAITGWLLLSRMRGRRDVTSWKMTAFRALDFTEHDIISGISEENLIGRGGSGKVYRIQLGRGKAGHGGSDDSQRTVAVKRIGNAGKADTNLEKEFESEVKTLGELRHDNIVNLLCCISGDDDKLLVYENMENGSLDRWLHRRHQKRAGVVGPLEWPTRLSIAVDVARGLSYMHEDLVRPVIHRDVKCSNVLLDCSFRAKIADFGLARILAKFGESEAASAVCGTFGYIAPGHYSPAHCLQSTYRGQR* >Brasy4G300500.1.p pacid=40083990 transcript=Brasy4G300500.1 locus=Brasy4G300500 ID=Brasy4G300500.1.v1.1 annot-version=v1.1 MNPVACLPLRASAATPPFPSRCRAAPLPPRSVSRLPPRASASTAERMFLVPLLVDQVARLSSCAQCSAARCVSSTMDSARPTSDGVHIANVHGHFLIKSTSDLQKAVSSCFGKAFVVSSAAMLALPPSCFAESCEQGYSLPNMPLLFAIAMVGATVGGLLARQRKGELKKLNDQLRQINASLRRQAKIESYAPALSYAPAASKIPESEVIVDPQKQRLISYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGNYKEAIKYHSMVLSISKMTGEDSGVTEAYGAIADCYTELGELEKAGKFYDEYIARLEND* >Brasy4G099800.1.p pacid=40083991 transcript=Brasy4G099800.1 locus=Brasy4G099800 ID=Brasy4G099800.1.v1.1 annot-version=v1.1 MLLSSGPPGWSVVAPSPSPLQRRPPVASSFPPPVVAIVEEGIGEEVKRVVAADFSLRHRLLPIAAAATYRFRHNTQDPGSTPAPSDFPLFSIPLLTASLPIAIGGAGPGSGASLLPPPPAQASPLPTHRCSLPTTSLSSHLSRFSVLQTRQPGGRRPAQVAEPSGLLHLLRRQLSALFPSPSLPAGLTLPSHL* >Brasy4G335700.1.p pacid=40083992 transcript=Brasy4G335700.1 locus=Brasy4G335700 ID=Brasy4G335700.1.v1.1 annot-version=v1.1 MESKGRMPPYHHHHRPLPGHGPGPAHGMMLRDPYVPGMHPPPGPGPFPFDMLPPPEILEQKLAAQCGEMQKLAVENERLATSHAPLRKDLAAAQQELQRLQAQGEAARAAEEQEMRGLLEKATKMEADLKSCESLKAELQQAHTEAQNLVAARQHLVADAQKLSKDLQRNFAEAQQLPALMAERDAARQEYQHLRATYEYERKLKMDHAESLQVMKKNYDSMVTELEKLRAELAKTANVDKSGGALYNPTFAQNDGVPSSRHSVGQTSYDGSYGGAPVRTHAGIADPSSGSPAGTGRSGFDPSRVNTYDTSRLASFNSSKAGAHDASRGATGYDPLKVSGYDASKPPALGAHAAAATVHGSTAGYYGSSQATPPSHAWAPAAPTYGSVQVPPSYASGQVVPSSSYAATTARPHGSSQALPSYGQTQALSSYTQTQMPPSYGLGQSSAHFAPAQGTSPYGLAAQPQAYGSGQAAPNSGSAYQAPHGRK* >Brasy4G335700.2.p pacid=40083993 transcript=Brasy4G335700.2 locus=Brasy4G335700 ID=Brasy4G335700.2.v1.1 annot-version=v1.1 MESKGRMPPYHHHHRPLPGHGPGPAHGMMLRDPYVPGMHPPPGPGPFPFDMLPPPEILEQKLAAQCGEMQKLAVENERLATSHAPLRKDLAAAQQELQRLQAQGEAARAAEEQEMRGLLEKATKMEADLKSCESLKAELQQAHTEAQNLVAARQHLVADAQKLSKDLQRNFAEAQQLPALMAERDAARQEYQHLRATYEYERKLKMDHAESLQVMKKNYDSMVTELEKLRAELAKTANVDKSGGALYNPTFAQNDGVPSSRHSVGQTSYDGSYGGAPVRTHAGIADPSSGSPAGTGRSGFDPSRVNTYDTSRLASFNSSKAGAHDASRGATGYDPLKVSGYDASKPPALGAHAAAATVHGSTAGYYGSSQATPPSHAWAPAAPTYGSVQVPPSYASGQVVPSSSYAATTARPHGSSQALPSYGQTQALSSYTQTQMPPSYGLGQSSAHFAPAQGTSPYGLAAQPQAYGSGQAAPNSGSAYQAPHGRK* >Brasy4G085000.1.p pacid=40083994 transcript=Brasy4G085000.1 locus=Brasy4G085000 ID=Brasy4G085000.1.v1.1 annot-version=v1.1 MGAGSSRSDAPSRGRRRIGLGVAGCFCGGFSSAADAAGDGGALVAAASASSSSSRSHQVESRQVERAVSRLDFQASMAAKDLRISSEPDQRVHPSSSTISHHLRFNSLDRHDNKEGDPGIQKSETSGLESSSGKDAMIRGNFSDEGSNAERTSRELVEHAPHNVHINTVCITEVGGSMSQSDFHSSLMTSERIMSDLEDGEIALHRTSSTAVLSSERSDISQSSLAPVLPATSTASSIIGESIPDATPSRADIPIPSGSHGQIDGSTLHDDMISIFSNDGPGRSRDSSSSETRRNHRRVLWDAFSRRGSRGYLDSDSDDLGFYTRWLDLGDDLFGDEVEEARYFHRRRHGSIRLNQYSRSRIREHRRAIFDSGNGQTTAACPLGIHQIGRCTCDSFLVAEESSARASISRIVMLTEALFEVLDEIHRQPSSLSLSMVSVQAPESVVNSLPCKSYKKLETAQCSADLEQCHICLTEYEDGDQIRSLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEGGTS* >Brasy4G085000.3.p pacid=40083995 transcript=Brasy4G085000.3 locus=Brasy4G085000 ID=Brasy4G085000.3.v1.1 annot-version=v1.1 MSGRCFPQVESRQVERAVSRLDFQASMAAKDLRISSEPDQRVHPSSSTISHHLRFNSLDRHDNKEGDPGIQKSETSGLESSSGKDAMIRGNFSDEGSNAERTSRELVEHAPHNVHINTVCITEVGGSMSQSDFHSSLMTSERIMSDLEDGEIALHRTSSTAVLSSERSDISQSSLAPVLPATSTASSIIGESIPDATPSRADIPIPSGSHGQIDGSTLHDDMISIFSNDGPGRSRDSSSSETRRNHRRVLWDAFSRRGSRGYLDSDSDDLGFYTRWLDLGDDLFGDEVEEARYFHRRRHGSIRLNQYSRSRIREHRRAIFDSGNGQTTAACPLGIHQIGRCTCDSFLVAEESSARASISRIVMLTEALFEVLDEIHRQPSSLSLSMVSVQAPESVVNSLPCKSYKKLETAQCSADLEQCHICLTEYEDGDQIRSLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEGGTS* >Brasy4G085000.2.p pacid=40083996 transcript=Brasy4G085000.2 locus=Brasy4G085000 ID=Brasy4G085000.2.v1.1 annot-version=v1.1 MGAGSSRSDAPSRGRRRIGLGVAGCFCGGFSSAADAAGDGGALVAAASASSSSSRSHQVESRQVERAVSRLDFQASMAAKDLRISSEPDQRVHPSSSTISHHLRFNSLDRHDNKEGDPGIQKSETSGLESSSGKDAMIRGNFSDEGSNAERTSRELVEHAPHNVHINTVCITEVGGSMSQSDFHSSLMTSERIMSDLEDGEIALHRTSSTAVLSSERSDISQSSLAPVLPATSTASSIIGESIPDATPSRADIPIPSGSHGQIDGSTLHDDMISIFSNDGPGRSRDSSSSETRRNHRRVLWDAFSRRGSRGYLDSDSDDLGFYTRWLDLGDDLFGDEVEEARYFHRRRHGSIRLNQYSRSRIREHRRAIFDSGNGQTTAACPLGIHQIGRCTCDSFLVAEESSARASISRIVMLTEALFEVLDEIHRQPSSLSLSMVSVQAPESVVNSLPCAISA* >Brasy4G307200.1.p pacid=40083997 transcript=Brasy4G307200.1 locus=Brasy4G307200 ID=Brasy4G307200.1.v1.1 annot-version=v1.1 MSDSMLQDLNLARSAELEKIKDSVVKPCNPKPVLNGNKHVDKEENSPPACPDAVTNGCEAGIVDVEYIDSENLIDLPDVDTSLSTILARLDSKDWVRTCEALSSVRQLAIYHKERLQELLGPLVPLIVKSVKNPRSAVCKTAPYDLL* >Brasy4G279200.1.p pacid=40083998 transcript=Brasy4G279200.1 locus=Brasy4G279200 ID=Brasy4G279200.1.v1.1 annot-version=v1.1 MAAPAGKAAIERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQDCYELSAEYENDRDEARIAELGSKLTSLSPADSIVVSSSFSHMLNLANLAEEVQIAFRRRIKLKRGDFGDEGSAPTESDIEETLKRLVSDLGKSREEVFDALKSQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADELHRSSKKSAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHILTTGISDIPEESTFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEEVADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIQKPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLREMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAEDLQSFGEQLRNNFEETKQLLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVTPQQPPLSKEFTDEKEPAELVQLNRGSEYAPGLEDTLILTMKGIAAGMQNTG* >Brasy4G333300.1.p pacid=40083999 transcript=Brasy4G333300.1 locus=Brasy4G333300 ID=Brasy4G333300.1.v1.1 annot-version=v1.1 MAWGQGARRPIVGLLFRAQQQAAQGYSSATSQTHMLTSHAPKSAMLRRGFSSEVPASEQINLIKQLRIRTSAPFKDVKASLASCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKMAAIVELNCETDFVARNDVFQYLASSLAKMALSTQTPGEMVFPFGPEYLENMSINLGHPKFNGEATVQSAVAEVAAMVGENVKFRRGLILSTSGHGVVSSYLHTCPQPGLGRMAGLLTLEVEDSNAPIDALKGVGSSIAMHIVAAKPLFLSKQLVSAAALENERDALRTQAESSGKPQMAIEKMVEGRLRKYFEETVLLEQKYVVNDSTNIKTVINDLSKNIGSKVTIANFVRMEVGEGIQRLEGADGA* >Brasy4G028900.1.p pacid=40084000 transcript=Brasy4G028900.1 locus=Brasy4G028900 ID=Brasy4G028900.1.v1.1 annot-version=v1.1 MAAALYALLPRAAAVPLAPRGRAAASRLLCTAAAGDASPPSPAPRRLALYTKPGCCLCDGLKEKLHAAVLLSGTPYSLASLELQERDITTDPEWERLYQYEIPVLAKVLPDGTEEILPRLSPRLSVELIQKKVSSVFDQ* >Brasy4G028900.2.p pacid=40084001 transcript=Brasy4G028900.2 locus=Brasy4G028900 ID=Brasy4G028900.2.v1.1 annot-version=v1.1 MAAALYALLPRAAAVPLAPRGRAAASRLLCTAAAGDASPPSPAPRRLALYTKPGCCLCDGLKEKLHAAVLLSGTPYSLASLELQERDITTDPEWERLYQYEIPVLAKVLPDGTEEILPRLSPRLSVELIQKKVSSVFDQ* >Brasy4G393500.1.p pacid=40084002 transcript=Brasy4G393500.1 locus=Brasy4G393500 ID=Brasy4G393500.1.v1.1 annot-version=v1.1 MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTTKLAGLLENFIRKYVQCKGCGNPETEVLITKNQMISLKCAACGFLSDVDMRDKLTTFILKNPPQQKKGGKDKKALRRAEKERLKEGEAADEAMKKLKKDAKKKVASSKEKVVGTKKKVAQGASSEEEHATSPTHRQDADSASASDDDDDDVQWQTDTSAEAAKRRMQEQLSVATAEMVMLAAEETVKKTKQTLQKETNVNGSNGTSKAQGSSNGGNLKAEAKTTPYYKLVEEIKATLLGNAATNTQQLKAVLSSSALPPQDAMNALFEALFHGVGRGFAKEVKKNKERLAAAVPDEGAQMILLRAIEVFCGKCGSEALKEVPLVLNALYDGDVLDEETIVRWYDEAVAAAAGGESQSQVVKNARKFVEWLQSAESGDEDDE* >Brasy4G393500.2.p pacid=40084003 transcript=Brasy4G393500.2 locus=Brasy4G393500 ID=Brasy4G393500.2.v1.1 annot-version=v1.1 MALQNIGASNKDDAFYRPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTTKLAGLLENFIRKYVQCKGCGNPETEVLITKNQMISLKCAACGFLSDVDMRDKLTTFILKNPPQQKKGGKDKKALRRAEKERLKEGEAADEAMKKLKKDAKKKVASSKEKVVGTKKKVAQGASSEEEHATSPTHRQDADSASASDDDDDDVQWQTDTSAEAAKRRMQEQLSVATAEMVMLAAEETVKKTKQTLQKETNVNGSNGTSKAQGSSNGGNLKAEAKTTPYYKLVEEIKATLLGNAATNTQQLKAVLSSSALPPQDAMNALFEALFHGVGRGFAKEVKKNKERLAAAVPDEGAQMILLRAIEVFCGKCGSEALKEVPLVLNALYDGDVLDEETIVRWYDEAVAAAAGGESQSQVVKNARKFVEWLQSAESGDEDDE* >Brasy4G368900.1.p pacid=40084004 transcript=Brasy4G368900.1 locus=Brasy4G368900 ID=Brasy4G368900.1.v1.1 annot-version=v1.1 MAPSPPPPSPPLPAWAAANGLFRRHRRLLPLLHPAPSLRALLPVLSHCLVSGLAGNPFVASRILLGSSRLSLPFSLLLLSHLPASSLSPFSFNSLIRASPPRIALRLFGRMRHRGVPTDTYTLPFLIHACSGSDPPLSESLHGQSIRVGYSSHLFTQTALVNMYFVCGLAAPARRVFDEMQARDVVAWTGMVSGYVDNGMHLEAVEVFQEMIRGEEVARPNVATIVSVASACAGLGSLEYAKGLHGYVTKLGLETDLIVTNALMDMYGKCGGVESARALFNLLHEKDLHSWTTIISGLASHGHVKEGLALFSSMRKMGVLPDSTTFIVVLSACSHAGLVDEGMCIFNSMESEYKVTPDIKHYGCMVDLFSRAGLLSRAFQLIDSMPFEPNLAILGALLSACSINNELETGELVLKKIESVCSYKGGANVLLSNIYANQNLWHEVDSLRRKIRNEATSRKPPGQSLVAAELFS* >Brasy4G368900.3.p pacid=40084005 transcript=Brasy4G368900.3 locus=Brasy4G368900 ID=Brasy4G368900.3.v1.1 annot-version=v1.1 MAPSPPPPSPPLPAWAAANGLFRRHRRLLPLLHPAPSLRALLPVLSHCLVSGLAGNPFVASRILLGSSRLSLPFSLLLLSHLPASSLSPFSFNSLIRASPPRIALRLFGRMRHRGVPTDTYTLPFLIHACSGSDPPLSESLHGQSIRVGYSSHLFTQTALVNMYFVCGLAAPARRVFDEMQARDVVAWTGMVSGYVDNGMHLEAVEVFQEMIRGEEVARPNVATIVSVASACAGLGSLEYAKGLHGYVTKLGLETDLIVTNALMDMYGKCGGVESARALFNLLHEKDLHSWTTIISGLASHGHVKEGLALFSSMRKMGVLPDSTTFIVVLSACSHAGLVDEGMCIFNSMESEYKVTPDIKHYGCMVDLFSRAGLLSRAFQLIDSMPFEPNLAILGALLSACSINNELETGELVLKKIESVCSYKGGANVLLSNIYANQNLWHEVDSLRRKIRNEATSRKPPGQSLVAAELFS* >Brasy4G368900.2.p pacid=40084006 transcript=Brasy4G368900.2 locus=Brasy4G368900 ID=Brasy4G368900.2.v1.1 annot-version=v1.1 MAPSPPPPSPPLPAWAAANGLFRRHRRLLPLLHPAPSLRALLPVLSHCLVSGLAGNPFVASRILLGSSRLSLPFSLLLLSHLPASSLSPFSFNSLIRASPPRIALRLFGRMRHRGVPTDTYTLPFLIHACSGSDPPLSESLHGQSIRVGYSSHLFTQTALVNMYFVCGLAAPARRVFDEMQARDVVAWTGMVSGYVDNGMHLEAVEVFQEMIRGEEVARPNVATIVSVASACAGLGSLEYAKGLHGYVTKLGLETDLIVTNALMDMYGKCGGVESARALFNLLHEKDLHSWTTIISGLASHGHVKEGLALFSSMRKMGVLPDSTTFIVVLSACSHAGLVDEGMCIFNSMESEYKVTPDIKHYGCMVDLFSRAGLLSRAFQLIDSMPFEPNLAILGALLSACSINNELETGELVLKKIESVCSYKGGANVLLSNIYANQNLWHEVDSLRRKIRNEATSRKPPGQSLVAAELFS* >Brasy4G333400.1.p pacid=40084007 transcript=Brasy4G333400.1 locus=Brasy4G333400 ID=Brasy4G333400.1.v1.1 annot-version=v1.1 MPPVIRGRARRRSSGRSASTRMALPALEAPERDRLSALPDDLLHRVLGFVGPRLAVGHLSRLSRRWRHLWASMPSVNLDESVSREFGNLLFLLCEDAKLHTFCLRSSDDEENDDENNFVVPAQITLHSYRGLSDWNLPDCVFNCATVEAIDLSVTLPCEVMLVSPKSVCLPRLKKLRLDLDYVNLSDSSVVEKLNSGCPALEHLDLTRCSLAQFRISSDTLKRLSITACVYEEIHISSPNVVSLRLTVSGRVKLDSMPSLMSAWVNISGDGANHLASDEHDFLDALSNAQHLELLRFDLLLQDMMENPATEGPTFGKLKSLYLGEWLIPDFYQPSAYFLNRAPNLVSLTLDQWKVWEENNGKVPRLLSTRKEHNYKLNLAPALSTGLEKLRFRISKGGDAGEFSKMRRLLKEKTKPKETEVVWF* >Brasy4G333400.3.p pacid=40084008 transcript=Brasy4G333400.3 locus=Brasy4G333400 ID=Brasy4G333400.3.v1.1 annot-version=v1.1 MPPVIRGRARRRSSGRSASTRMALPALEAPERDRLSALPDDLLHRVLGFVGPRLAVGHLSRLSRRWRHLWASMPSVNLDESVSREFGNLLFLLCEDAKLHTFCLRSSDDEENDDENNFVVPAQITLHSYRGLSDWNLPDCVFNCATVEAIDLSVTLPCEVMLVSPKSVCLPRLKKLRLDLDYVNLSDSSVVEKLNSGCPALEHLDLTRCSLAQFRISSDTLKRLSITACVYEEIHISSPNVVSLRLTVSGRVKLDSMPSLMSAWVNISGDGANHLASDEHDFLDALSNAQHLELLRFDLLLQDMMENPATEGPTFGKLKSLYLGEWLIPDFYQPSAYFLNRAPNLVSLTLDQWKVWEENNGKVPRLLSTRKEHNYKLNLAPALSTGLEKLRFRISKGGDAGEFSKMRRLLKEKTKPKETEVVWF* >Brasy4G333400.2.p pacid=40084009 transcript=Brasy4G333400.2 locus=Brasy4G333400 ID=Brasy4G333400.2.v1.1 annot-version=v1.1 MPPVIRGRARRRSSGRSASTRMALPALEAPERDRLSALPDDLLHRVLGFVGPRLAVGHLSRLSRRWRHLWASMPSVNLDESVSREFGNLLFLLCEDAKLHTFCLRSSDDEENDDENNFVVPAQITLHSYRGLSDWNLPDCVFNCATVEAIDLSVTLPCEVMLVSPKSVCLPRLKKLRLDLDYVNLSDSSVVEKLNSGCPALEHLDLTRCSLAQFRISSDTLKRLSITACVYEEIHISSPNVVSLRLTVSGRVKLDSMPSLMSAWVNISGDGANHLASDEHDFLDALSNAQHLELLRFDLLLQDMMENPATEGPTFGKLKSLYLGEWLIPDFYQPSAYFLNRAPNLVSLTLDQWKVWEENNGKVPRLLSTRKEHNYKLNLAPALSTGLEKLRFRISKGGDAGEFSKMRRLLKEKTKPKETEVVWF* >Brasy4G051800.1.p pacid=40084010 transcript=Brasy4G051800.1 locus=Brasy4G051800 ID=Brasy4G051800.1.v1.1 annot-version=v1.1 MAGMTVAVLLLVAGVALMFALHVLVVVWAIRRGTASRAGERAAAVGGLTAEEIRELPCHDFKAGTGANAAECCAVCLEQLQAGDRCRVLPGCQHGFHAQCVDSWLCKSRLCPVCRAVVSPRPGRGKAADEAATTVVVAGRL* >Brasy4G372600.1.p pacid=40084011 transcript=Brasy4G372600.1 locus=Brasy4G372600 ID=Brasy4G372600.1.v1.1 annot-version=v1.1 MASQNSPPPDSTTTVLALTDDLLREIFLRLPSLPSLARAAFACRVFLNSVRSSPTFRRNFLSLHPPPLLGVFLNHDGPTMPSFVPIRRADRELAAVVRAGDFFFTRLPDEDPASPGWAITDCREGNLLLVSGDTGESAFYNPLVGHLDITPLPPDELFELDDDLQGDRRYWLHPGYYLDCCILPAPQEAGGGPGPRLFYTWHGPSRLRAAVFSCDTREWQIFPLSEPVTPRFSDGIRRWPKVKGGTMVNGSVYWLHTHDAYMLVLNAATQEFSQMRVPELLEGRGDTYMLGETKDGELCIVCPLGFTLFVWVWRSADHAGGAEGWVFHKSFDLEKIVEVTKGTLEEHRELNLVAIIDGVVYFSTCETFYDPLSPAWFLSLCMETAELEKLFQKKLEVDIQPYVMAWPRALVHNTVRPQVGGA* >Brasy4G131700.1.p pacid=40084012 transcript=Brasy4G131700.1 locus=Brasy4G131700 ID=Brasy4G131700.1.v1.1 annot-version=v1.1 HGNTRTCAFLHRLGCLSSPACPFCPSKDEDLLHLFYGCPLLGSLWRAFQLPSSAVVSDLESLCCAATRALRHLDPKTASSALLLFLWVIWKTCNRKVFDAVPHSDGAVVALIRDLCPLWGCRAPRALGNDALGAWCESVCNVL* >Brasy4G168300.1.p pacid=40084013 transcript=Brasy4G168300.1 locus=Brasy4G168300 ID=Brasy4G168300.1.v1.1 annot-version=v1.1 MKLVCRSEHFATKMVEDKNPAQDMVDITGHVVHESASYDKDVVEIKLPDSDISSDYCGNFVKDVCIDDGQPPPRKISEGKVTDEKPSPNFDYQKIHANGDPRYGVTDYATKSAHEVRPEIVLPVGFAPDSNNEKQYSSREEYDLQGRSKLTNIAGDLSENKISLEELLRLESAEESQQKGAVSSENSQNHMPSLHGEAVGQVSLSDCYGIEAIASETSELVNNNVSSNEDASDLPETTSIERDAAASFDARGPNQVNHYNPFVGHGSPEGTCQPECSTSANIDAASTGPICTAEKTDEVKTAEPRIDALSSSSTESKSSEKSKDQDENIAIAHEEITGEVDETAVATTSSTNNLDPNGANGDNNKKLENDDITPVHDSTQRDEVSCLDTMNHEVVSKSSTQTEKDNLGEQMVTDSPKVTSEIGQGYPYPPFEPGLFGPSIMSAPVSNSGHIAYSGNISIRSDSSTTSTRSFAFPVLQRDGISSPVRMAKGERRRIRRRRSWRKGLLCCKF* >Brasy4G168300.4.p pacid=40084014 transcript=Brasy4G168300.4 locus=Brasy4G168300 ID=Brasy4G168300.4.v1.1 annot-version=v1.1 MKLVCRSEHFATKMVEDKNPAQDMVDITGHVVHESASYDKDVVEIKLPDSDISSDYCGNFVKDVCIDDGQPPPRKISEGKVTDEKPSPNFDYQKIHANGDPRYGVTDYATKSAHEVRPEIVLPVGFAPDSNNEKQYSSREEYDLQGRSKLTNIAGDLSENKISLEELLRLESAEESQQKGAVSSENSQNHMPSLHGEAVGQVSLSDCYGIEAIASETSELVNNNVSSNEDASDLPETTSIERDAAASFDARGPNQVNHYNPFVGHGSPEGTCQPECSTSANIDAASTGPICTAEKTDEVKTAEPRIDALSSSSTESKSSEKSKDQDENIAIAHEEITGEVDETAVATTSSTNNLDPNGANGDNNKKLENDDITPVHDSTQRDEVSCLDTMNHEVVSKSSTQTEKDNLGEQMVTDSPKVTSEIGQGYPYPPFEPGLFGPSIMSAPVSNSGHIAYSGNISIRSDSSTTSTRSFAFPVLQRDGISSPVRMAKGERRRIRRRRSWRKGLLCCKF* >Brasy4G168300.3.p pacid=40084015 transcript=Brasy4G168300.3 locus=Brasy4G168300 ID=Brasy4G168300.3.v1.1 annot-version=v1.1 MKLVCRSEHFATKMVEDKNPAQDMVDITGHVVHESASYDKDVVEIKLPDSDISSDYCGNFVKDVCIDDGQPPPRKISEGKVTDEKPSPNFDYQKIHANGDPRYGVTDYATKSAHEVRPEIVLPVGFAPDSNNEKQYSSREEYDLQGRSKLTNIAGDLSENKISLEELLRLESAEESQQKGAVSSENSQNHMPSLHGEAVGQVSLSDCYGIEAIASETSELVNNNVSSNEDASDLPETTSIERDAAASFDARGPNQVNHYNPFVGHGSPEGTCQPECSTSANIDAASTGPICTAEKTDEVKTAEPRIDALSSSSTESKSSEKSKDQDENIAIAHEEITGEVDETAVATTSSTNNLDPNGANGDNNKKLENDDITPVHDSTQRDEVSCLDTMNHEVVSKSSTQTEKDNLGEQMVTDSPKVTSEIGQGYPYPPFEPGLFGPSIMSAPVSNSGHIAYSGNISIRSDSSTTSTRSFAFPVLQRDGISSPVRMAKGERRRIRRRRSWRKGLLCCKF* >Brasy4G168300.2.p pacid=40084016 transcript=Brasy4G168300.2 locus=Brasy4G168300 ID=Brasy4G168300.2.v1.1 annot-version=v1.1 MVEDKNPAQDMVDITGHVVHESASYDKDVVEIKLPDSDISSDYCGNFVKDVCIDDGQPPPRKISEGKVTDEKPSPNFDYQKIHANGDPRYGVTDYATKSAHEVRPEIVLPVGFAPDSNNEKQYSSREEYDLQGRSKLTNIAGDLSENKISLEELLRLESAEESQQKGAVSSENSQNHMPSLHGEAVGQVSLSDCYGIEAIASETSELVNNNVSSNEDASDLPETTSIERDAAASFDARGPNQVNHYNPFVGHGSPEGTCQPECSTSANIDAASTGPICTAEKTDEVKTAEPRIDALSSSSTESKSSEKSKDQDENIAIAHEEITGEVDETAVATTSSTNNLDPNGANGDNNKKLENDDITPVHDSTQRDEVSCLDTMNHEVVSKSSTQTEKDNLGEQMVTDSPKVTSEIGQGYPYPPFEPGLFGPSIMSAPVSNSGHIAYSGNISIRSDSSTTSTRSFAFPVLQRDGISSPVRMAKGERRRIRRRRSWRKGLLCCKF* >Brasy4G168300.5.p pacid=40084017 transcript=Brasy4G168300.5 locus=Brasy4G168300 ID=Brasy4G168300.5.v1.1 annot-version=v1.1 MVEDKNPAQDMVDITGHVVHESASYDKDVVEIKLPDSDISSDYCGNFVKDVCIDDGQPPPRKISEGKVTDEKPSPNFDYQKIHANGDPRYGVTDYATKSAHEVRPEIVLPVGFAPDSNNEKQYSSREEYDLQGRSKLTNIAGDLSENKISLEELLRLESAEESQQKGAVSSENSQNHMPSLHGEAVGQVSLSDCYGIEAIASETSELVNNNVSSNEDASDLPETTSIERDAAASFDARGPNQVNHYNPFVGHGSPEGTCQPECSTSANIDAASTGPICTAEKTDEVKTAEPRIDALSSSSTESKSSEKSKDQDENIAIAHEEITGEVDETAVATTSSTNNLDPNGANGDNNKKLENDDITPVHDSTQRDEVSCLDTMNHEVVSKSSTQTEKDNLGEQMVTDSPKVTSEIGQGYPYPPFEPGLFGPSIMSAPVSNSGHIAYSGNISIRSDSSTTSTRSFAFPVLQRDGISSPVRMAKGERRRIRRRRSWRKGLLCCKF* >Brasy4G301600.1.p pacid=40084018 transcript=Brasy4G301600.1 locus=Brasy4G301600 ID=Brasy4G301600.1.v1.1 annot-version=v1.1 MNTMTRDHIRKREDEDDDDMMFLIFPLLYQLAKRRERRSRNLYLLTGEERVRELLEGHVKNCQVAFRMEPHIFKSLANYLRREKLIRDTRIKVIVLITILVTVLITLLVSVKGKHMNYDLFIFLVNKFLTLS* >Brasy4G304500.1.p pacid=40084019 transcript=Brasy4G304500.1 locus=Brasy4G304500 ID=Brasy4G304500.1.v1.1 annot-version=v1.1 MELSKQGQAPMSASMGSQPLPSSNIQSTQAEYPAAFYPPLPADWSAQPMFSMGGSVPVSSYYIVPMSQQAVQVGASRPDTSHPLGVQSISRVSLRPPQQVLNIQTSLPAMIGSQLSPSIAGKKLQQSAASPKVQMLKSTSSSKRPAQKELPPKVKPQQFESVRSKFRESLAAALKMDSDQQDKKQSSENLQSDGSADQKKVDGDEVPGPETTTSKDVTMTNSEAVTDDGSKKCEEDVKLGSGLASNMITIINDDLQQQSKYLSSEDDLLGQCMVVTDELLQGHGLSWVSDFDAGVSEPMSQPDLKRSRTCDIDPGVTESLAESGSKRMKSADEAAMDVDSNSIIQKAESLALRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRVRVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEHAKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNILSHVPSKAARDETKTNDETNMDDKAGVEGKDKASEGMSQDEDGGTGDKDSSGNVECIDNEKTDLIEELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVETPQEDLSDSDEPTSTLESDKVPETEDKASAQTKTESESQGKCESKLESPKHEVGSKLVAGEPRDQELIKSSPDRVETKEPAPDNVSNPVSDMRSKATTVPVIRESIWEGAIQLTVSSLTNVVAIFKSGEKPSGKEWRSLIELKGRVKLGAFEEFVEQLPKSRSRAIMVTELCWKEGSLEGGRQHLSQTIDSYIADERVGIAEPADGLELYLCPPQGKSVDILSRHLPKEHLESLAVAATSIIGVVVWRRPNVPRIPSHQRHDGSKRQSILRKPQVTGSTSVPRPSLPQNSYGAPPGFPNQRHHHEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAFRGRQHVPTPARPVEQMRELVQKYGKRSSAQSHPWDDDDDDDIPEWNPMQGNQQQNRQPALTPTPQQPLPPPPPLHQMLPYQHYNSPNAMQQLPVNHQQQMHSNPIPQAYLRNQQQQLPLIQQQLQPSQTWQPNGWWPPAQDAATSSVLAPQYGVMPNSNGAQGYSAGNLGGMSWRPR* >Brasy4G304500.4.p pacid=40084020 transcript=Brasy4G304500.4 locus=Brasy4G304500 ID=Brasy4G304500.4.v1.1 annot-version=v1.1 MELSKQGQAPMSASMGSQPLPSSNIQSTQAEYPAAFYPPLPADWSAQPMFSMGGSVPVSSYYIVPMSQQAVQVGASRPDTSHPLGVQSISRVSLRPPQQVLNIQTSLPAMIGSQLSPSIAGKKLQQSAASPKVQMLKSTSSSKRPAQKELPPKVKPQQFESVRSKFRESLAAALKMDSDQQDKKQSSENLQSDGSADQKKVDGDEVPGPETTTSKDVTMTNSEAVTDDGSKKCEEDVKLGSGLASNMITIINDDLQQQSKYLSSEDDLLGQCMVVTDELLQGHGLSWVSDFDAGVSEPMSQPDLKRSRTCDIDPGVTESLAESGSKRMKSADEAAMDVDSNSIIQKAESLALRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRVRVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEHAKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNILSHVPSKAARDETKTNDETNMDDKAGVEGKDKASEGMSQDEDGGTGDKDSSGNVECIDNEKTDLIEELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVETPQEDLSDSDEPTSTLESDKVPETEDKASAQTKTESESQGKCESKLESPKHEVGSKLVAGEPRDQELIKSSPDRVETKEPAPDNVSNPVSDMRSKATTVPVIRESIWEGAIQLTVSSLTNVVAIFKSGEKPSGKEWRSLIELKGRVKLGAFEEFVEQLPKSRSRAIMVTELCWKEGSLEGGRQHLSQTIDSYIADERVGIAEPADGLELYLCPPQGKSVDILSRHLPKEHLESLAVAATSIIGVVVWRRPNVPRIPSHQRHDGSKRQSILRKPQVTGSTSVPRPSLPQNSYGAPPGFPNQRHHHEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAFRGRQHVPTPARPVEQMRELVQKYGKRSSAQSHPWDDDDDDDIPEWNPMQGNQQQNRQPALTPTPQQPLPPPPPLHQMLPYQHYNSPNAMQQLPVNHQQQMHSNPIPQAYLRNQQQQLPLIQQQLQPSQTWQPNGWWPPAQDAATSSVLAPQYGVMPNSNGAQGYSAGNLGGMSWRPR* >Brasy4G304500.5.p pacid=40084021 transcript=Brasy4G304500.5 locus=Brasy4G304500 ID=Brasy4G304500.5.v1.1 annot-version=v1.1 MELSKQGQAPMSASMGSQPLPSSNIQSTQAEYPAAFYPPLPADWSAQPMFSMGGSVPVSSYYIVPMSQQAVQVGASRPDTSHPLGVQSISRVSLRPPQQVLNIQTSLPAMIGSQLSPSIAGKKLQQSAASPKVQMLKSTSSSKRPAQKELPPKVKPQQFESVRSKFRESLAAALKMDSDQQDKKQSSENLQSDGSADQKKVDGDEVPGPETTTSKDVTMTNSEAVTDDGSKKCEEDVKLGSGLASNMITIINDDLQQQSKYLSSEDDLLGQCMVVTDELLQGHGLSWVSDFDAGVSEPMSQPDLKRSRTCDIDPGVTESLAESGSKRMKSADEAAMDVDSNSIIQKAESLALRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRVRVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEHAKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNILSHVPSKAARDETKTNDETNMDDKAGVEGKDKASEGMSQDEDGGTGDKDSSGNVECIDNEKTDLIEELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVETPQEDLSDSDEPTSTLESDKVPETEDKASAQTKTESESQGKCESKLESPKHEVGSKLVAGEPRDQELIKSSPDRVETKEPAPDNVSNPVSDMRSKATTVPVIRESIWEGAIQLTVSSLTNVVAIFKSGEKPSGKEWRSLIELKGRVKLGAFEEFVEQLPKSRSRAIMVTELCWKEGSLEGGRQHLSQTIDSYIADERVGIAEPADGLELYLCPPQGKSVDILSRHLPKEHLESLAVAATSIIGVVVWRRPNVPRIPSHQRHDGSKRQSILRKPQVTGSTSVPRPSLPQNSYGAPPGFPNQRHHHEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAFRGRQHVPTPARPVEQMRELVQKYGKRSSAQSHPWDDDDDDDIPEWNPMQGNQQQNRQPALTPTPQQPLPPPPPLHQMLPYQHYNSPNAMQQLPVNHQQQMHSNPIPQAYLRNQQQQLPLIQQQLQPSQTWQPNGWWPPAQDAATSSVLAPQYGVMPNSNGAQGYSAGNLGGMSWRPR* >Brasy4G304500.2.p pacid=40084022 transcript=Brasy4G304500.2 locus=Brasy4G304500 ID=Brasy4G304500.2.v1.1 annot-version=v1.1 MLKSTSSSKRPAQKELPPKVKPQQFESVRSKFRESLAAALKMDSDQQDKKQSSENLQSDGSADQKKVDGDEVPGPETTTSKDVTMTNSEAVTDDGSKKCEEDVKLGSGLASNMITIINDDLQQQSKYLSSEDDLLGQCMVVTDELLQGHGLSWVSDFDAGVSEPMSQPDLKRSRTCDIDPGVTESLAESGSKRMKSADEAAMDVDSNSIIQKAESLALRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRVRVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEHAKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNILSHVPSKAARDETKTNDETNMDDKAGVEGKDKASEGMSQDEDGGTGDKDSSGNVECIDNEKTDLIEELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVETPQEDLSDSDEPTSTLESDKVPETEDKASAQTKTESESQGKCESKLESPKHEVGSKLVAGEPRDQELIKSSPDRVETKEPAPDNVSNPVSDMRSKATTVPVIRESIWEGAIQLTVSSLTNVVAIFKSGEKPSGKEWRSLIELKGRVKLGAFEEFVEQLPKSRSRAIMVTELCWKEGSLEGGRQHLSQTIDSYIADERVGIAEPADGLELYLCPPQGKSVDILSRHLPKEHLESLAVAATSIIGVVVWRRPNVPRIPSHQRHDGSKRQSILRKPQVTGSTSVPRPSLPQNSYGAPPGFPNQRHHHEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAFRGRQHVPTPARPVEQMRELVQKYGKRSSAQSHPWDDDDDDDIPEWNPMQGNQQQNRQPALTPTPQQPLPPPPPLHQMLPYQHYNSPNAMQQLPVNHQQQMHSNPIPQAYLRNQQQQLPLIQQQLQPSQTWQPNGWWPPAQDAATSSVLAPQYGVMPNSNGAQGYSAGNLGGMSWRPR* >Brasy4G304500.3.p pacid=40084023 transcript=Brasy4G304500.3 locus=Brasy4G304500 ID=Brasy4G304500.3.v1.1 annot-version=v1.1 MLKSTSSSKRPAQKELPPKVKPQQFESVRSKFRESLAAALKMDSDQQDKKQSSENLQSDGSADQKKVDGDEVPGPETTTSKDVTMTNSEAVTDDGSKKCEEDVKLGSGLASNMITIINDDLQQQSKYLSSEDDLLGQCMVVTDELLQGHGLSWVSDFDAGVSEPMSQPDLKRSRTCDIDPGVTESLAESGSKRMKSADEAAMDVDSNSIIQKAESLALRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRVRVLSGDIAPERLCSMTAEELASKELSEWRLAKAEEHAKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNILSHVPSKAARDETKTNDETNMDDKAGVEGKDKASEGMSQDEDGGTGDKDSSGNVECIDNEKTDLIEELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVETPQEDLSDSDEPTSTLESDKVPETEDKASAQTKTESESQGKCESKLESPKHEVGSKLVAGEPRDQELIKSSPDRVETKEPAPDNVSNPVSDMRSKATTVPVIRESIWEGAIQLTVSSLTNVVAIFKSGEKPSGKEWRSLIELKGRVKLGAFEEFVEQLPKSRSRAIMVTELCWKEGSLEGGRQHLSQTIDSYIADERVGIAEPADGLELYLCPPQGKSVDILSRHLPKEHLESLAVAATSIIGVVVWRRPNVPRIPSHQRHDGSKRQSILRKPQVTGSTSVPRPSLPQNSYGAPPGFPNQRHHHEEDVTDDAPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTQAFRGRQHVPTPARPVEQMRELVQKYGKRSSAQSHPWDDDDDDDIPEWNPMQGNQQQNRQPALTPTPQQPLPPPPPLHQMLPYQHYNSPNAMQQLPVNHQQQMHSNPIPQAYLRNQQQQLPLIQQQLQPSQTWQPNGWWPPAQDAATSSVLAPQYGVMPNSNGAQGYSAGNLGGMSWRPR* >Brasy4G100000.1.p pacid=40084024 transcript=Brasy4G100000.1 locus=Brasy4G100000 ID=Brasy4G100000.1.v1.1 annot-version=v1.1 MAPRLLLLALVVAAVAVPPASAAVASIDLGSEWLKVAAVHLAPGRAPIAVAINEMSKRKSPALAALADGNRLAGEEAAGIAARHPSKVFARMRDLLGKPFPYVQSLVQSLFLPYDFVQDARGAAAVRADDGQVYSVEEIVAMVLHYASGIADAHVGAPVRDAVVAVPPYFGQAERRSLTQAAQLAGFNVLALINEHSGAALQYGIDKDFSNGSRHVIFYDMGSGSTYAALVYYSSYNAKEFGKTVSVNQFQVKDVRWNSKLGGIEMEMRLVNYFADQFNKQLGNGDDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCEDLWEQALTPIKEVLVQSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSPYGFVFEINGPDYVKDESTDQVLVPRMKKMPIKLYRSVKHTKDFDVSLSYDKASELPPGVSSHKFAEYSISGLADASEKYGSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNATDQTLSSESGTSDSTTDSKENPSSGSDANNLSNTNDEGNVQDTITEKVLKKRTFRVPLKVVEKTAGAGSILSKELYSEAKNRLEVLDKKDAERRRTAELKNNLESYIYSMKEKLEENTDLLSVSTEQERESFAEKLSEVQDWLYMDEEAQANEFQERLDQLKAIGDPILFRLSELKARPAACGSARLYLTELQKIVKNWETNKPWLPKKRVDEVVSEADKIRTWLDEKEALQKSTALYSTPAFTSEEVYQKVLDLQDKVSSVNRIPKPKPKIEKQPPKEEESANKEKTTTPESTSSESESTDTSSESDAPEKTDDSEPEAHDEL* >Brasy4G293400.1.p pacid=40084025 transcript=Brasy4G293400.1 locus=Brasy4G293400 ID=Brasy4G293400.1.v1.1 annot-version=v1.1 MPHRARPMTGLLAFMGVNLLLLNTIAPVYDFVCFHPYWDRRRERRQRELEELQVKSSLGTAK* >Brasy4G348000.1.p pacid=40084026 transcript=Brasy4G348000.1 locus=Brasy4G348000 ID=Brasy4G348000.1.v1.1 annot-version=v1.1 MAPPAAGGPSASSSAAPEPPHHRDVAKRGRRAGAGARLLSLGVQAAVMAAALALFLLFAAAAAVFLLSLLVSARAFRHHGSRYRVPPDASASSSAPPPLLPSVGLSTADLRLLPCFAYSSCDASASSSSSRLCAVCLEAARAGERWRALPACGHAFHAACVDRWLVRSPACPVCRAAVAVSVS* >Brasy4G107300.1.p pacid=40084027 transcript=Brasy4G107300.1 locus=Brasy4G107300 ID=Brasy4G107300.1.v1.1 annot-version=v1.1 MDLGALSSDYSSGTPSPVAADGDEGGGFSTYMTVSSAPPKRRAGRTKFKETRHPVLKGVRRRNPGRWVCEVREPHSKQRIWLGTFETAEMAARAHDVAALALRGRAATLNFADSPRRLRVPAMGASHDEIRRAAAEAAEAFMPTPDHQTQSNAAAEEQLAGAAAPSSTVQFLSDPYYEMGNGMDFGMQGYLDMAQGMLIDPPPMAAGSSAGAGEGDDDGEVNLWSY* >Brasy4G328300.1.p pacid=40084028 transcript=Brasy4G328300.1 locus=Brasy4G328300 ID=Brasy4G328300.1.v1.1 annot-version=v1.1 MADPSSVAAAAASKASGQFAASVSSPQNPNPQFPPSPSAQVDPAAAAAGGGSMDLAPQLQAPSAAQAADGVGRFGGIHRSGPASRGPAVGQLPRYAAAAGMSFPAAGAQVSQQLSPIAAMLGQGQPRMLQGQGSAAHYGLQGLQFQSPMMAQPSQRGTVQGAQFSTANAAQGGQSMVGGVMAMGTSGLNQMRPNGISSPYGAQQRFAPGQMRPQQLPGSPQIGHTMQMSPMQQQQQWPKQMQSSTASPAPSHKFQQEQMMLLIHQLRQRGMNDQQIAHAMKQIPRLNAQQLNQQQRQQQQQSPRMPPAASQNPAGLQPGVTPLLGGSVAGASTSRPVAPGTSQLLGKRKIQDLVAQLDPLGKVDPEVEDLMLEIADDFITSATEFACRLAKHRKSSVVEAKDMLLHLEKHWHLSVPGFSQKRM* >Brasy4G101300.1.p pacid=40084029 transcript=Brasy4G101300.1 locus=Brasy4G101300 ID=Brasy4G101300.1.v1.1 annot-version=v1.1 MSSSSSSCAAETGLVAMDCLVVCCCCPCLVLQITVFLFVRLPRKVVVKSKRIILRRWRHRHRHRRRAAATTRGLGTVSSAGNGMKHLEELLDGDFGGPFGINGLECSDSDGGNDGWKERCFAMDDDGRWKQRCFAVDDGYHDGVWEALVEQEGLFWFGSFWGRTEQGVPVSAEDQMYAGLRLPVVLERVHD* >Brasy4G181400.1.p pacid=40084030 transcript=Brasy4G181400.1 locus=Brasy4G181400 ID=Brasy4G181400.1.v1.1 annot-version=v1.1 MASTSRIFEYFVVCGLGPEIRALDGVKGFHGADEMYMPAFIDQFPHSGHALYPPPPPQLPTCVLPAGVRVYSSGLDANDVSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPVNSFADKCICFVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHVVSNVPLPTPGKDRVLFAIDNCLLSVETPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTTEEIPPIPETELSFLRGEILKLLQPNVVSIDYMKINLGSMGDHSLRAGTKSWGQEHDFQLRMIFLRFFAQIMSGYRNFIDNALQTGFNSQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCNNSEEYTNNLLDKLQDATGRGQSPLAIFPSHVADPEIITIADSETVGSEPGNRHCYTSFPANARTEDQEEKRKSILALASGASKQVPSSPAVRINGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITLANSRDDVSTIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRVGYWGVPVGKSQPLPSYSMASPRALDISDESEQPAEASVLGRSWVHSMFSRDRSLRVSSFNRTSDPKAGATAGKTDLAAAQKKTQTNMRILRGHTAAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKVWDPSLRGSELRATLKGHTRTVRAISSDRGKIVSGADDQSVIVWDKQAFKLLEELKGHDAPVTSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIRCVKNLTLHSASVLSISAGDHWLGIGAADNSMSLFHRPQERFGNFSNTGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI* >Brasy4G201100.1.p pacid=40084031 transcript=Brasy4G201100.1 locus=Brasy4G201100 ID=Brasy4G201100.1.v1.1 annot-version=v1.1 MADPGGDNAGGQTAPAPPLVPPPEAVGDVLPPPESDAAVNEATIPPPPPASASASAPPPKSDMAVGNATMPSPPPPPPKSEMAVRDVTHPQTPLPPPSSPPPQESDKTVSDTTPDPPPPQKPEAAVVGDTIPSPPPPKPEMVADDTTIPPPPSPESNTAAGEKTPAPPMPPQTKMAGQPQPHQPSVQAEQVPSEPVPGMSQPTRTSSPEKAVAAGGEEKQLPAQPSAPPPRQGDTTETEKDSSASATAKQSPASRWGCLRSPVLLLLSLFRQRRLTEQPPKVPPVGAPEDDDGTGSVPDKPAAHKDEAEGSKRRRHEEADQASSSMPAASGPVMKPPETKRRKSLSRTESSLPPVSLGEAGTTSVVPGSAMKTKLQNACWLVKNTMSWYGRHRSRPANAEKPEDQGAAVTDNAQGTKPSPSPSPGEEKEAAEANSKKQDEIEQETTKQPPTAQQLQQEEKEAAAEKKKKKKKPHPKWKHEENLEEILEAACIRLVEAEYSRRLSDEKKKQRQQCLLSFSVFPLGSKVKKQAVTYWWSTQFDMPLEKGPSASASADQIFEDLSKEGFLEPIKNHCSKVIHGCLVNPLVHWMVKRLARDDHLADLDEHGNPAVYQGKSQVHCFTADNRALLQGLREEDDSKAQPKPSQTKEPLKAFEGPSPVQRKPSKTEEPPKGAEVVGGPSQEQLKPSAAKDIHQDAEPSEGSSKAHIKPNPTKELPQDPPAGRPSQDLEKKINRQVEAAALLNDFEKKRVILNINAHVYRLPEPLLQSKLADRLQVLQLGQWWNTDNETYMEVEALESLSAIGNLKNLRYLGLRGLSKLTWLPAEVKKLQRLAILDARGCQNLVKVPSSTVKPLKALTLLDLSECYMLEHIGSGVTALTELRVFKGFVFGVGKRQRDACRLQHLAKLKKLRKLSLNVTTDADVEKDEMAQLGKLAGVTSLTVTWGEWPIFLLDARDDDQRVKQLLDKWTGLVLPPGLEKLDVRCYPTSGKLPVKRWLRDPSKLKKLYVRGGDVEGLDIPDENSIETLRLRFLNKFSMKWNDLLPGLKSNKIKSVEVVDKDTKVTKNLTKGNVVQDEEQKKNKEVMVQDEQKKKKEECIVPLRKRIEIPRCTIDENGVWVRDLKEEELEKDASISDAPASTTGQTGEGKDHAGKAQTDAKEGRAHEIVKEEKDNKKKDKEEEQNPPEVQELKEDEKKRASTTAVVDQAVGPGNRADVKKSSALTCCSMFHTSLKRLKLSGGQALRKAPREDASTTAAAAGIPKVPDNKTGEGDNDAGKVQKEAKEGMDNKNKTNEEEHGALVRDEKDQNKEASTTAAVSPAVPPTSDGDAKKAAMLNKVYTDKRSEPSKASEAPDMAPKEDARKAQSSNDHANTEQEDETDTDEGKGDGLDADEPQRETEGDGKDQGQVGGRNVFDTVDKQSTAGEGGGSDDVRASDATSLPELEEKSPAKLTPAADKTPASRTSTPPVRPATTSAAPAPSAAGQATTEPEEPGPAGVVGEDTSGSTTS* >Brasy4G201100.2.p pacid=40084032 transcript=Brasy4G201100.2 locus=Brasy4G201100 ID=Brasy4G201100.2.v1.1 annot-version=v1.1 MADPGGDNAGGQTAPAPPLVPPPEAVGDVLPPPESDAAVNEATIPPPPPASASASAPPPKSDMAVGNATMPSPPPPPPKSEMAVRDVTHPQTPLPPPSSPPPQESDKTVSDTTPDPPPPQKPEAAVVGDTIPSPPPPKPEMVADDTTIPPPPSPESNTAAGEKTPAPPMPPQTKMAGQPQPHQPSVQAEQVPSEPVPGMSQPTRTSSPEKAVAAGGEEKQLPAQPSAPPPRQGDTTETEKDSSASATAKQSPASRWGCLRSPVLLLLSLFRQRRLTEQPPKVPPVGAPEDDDGTGSVPDKPAAHKDEAEGSKRRRHEEADQASSSMPAASGPVMKPPETKRRKSLSRTESSLPPVSLGEAGTTSVVPGSAMKTKLQNACWLVKNTMSWYGRHRSRPANAEKPEDQGAAVTDNAQGTKPSPSPSPGEEKEAAEANSKKQDEIEQETTKQPPTAQQLQQEEKEAAAEKKKKKKKPHPKWKHEENLEEILEAACIRLVEAEYSRRLSDEKKKQRQQCLLSFSVFPLGSKVKKQAVTYWWSTQFDMPLEKGPSASASADQIFEDLSKEGFLEPIKNHCSKVIHGCLVNPLVHWMVKRLARDDHLADLDEHGNPAVYQGKSQVHCFTADNRALLQGLREEDDSKAQPKPSQTKEPLKAFEGPSPVQRKPSKTEEPPKGAEVVGGPSQEQLKPSAAKDIHQDAEPSEGSSKAHIKPNPTKELPQDPPAGRPSQDLEKKINRQVEAAALLNDFEKKRVILNINAHVYRLPEPLLQSKLADRLQVLQLGQWWNTDNETYMEVEALESLSAIGNLKNLRYLGLRGLSKLTWLPAEVKKLQRLAILDARGCQNLVKVPSSTVKPLKALTLLDLSECYMLEHIGSGVTALTELRVFKGFVFGVGKRQRDACRLQHLAKLKKLRKLSLNVTTDADVEKDEMAQLGKLAGVTSLTVTWGEWPIFLLDARDDDQRVKQLLDKWTGLVLPPGLEKLDVRCYPTSGKLPVKRWLRDPSKLKKLYVRGGDVEGLDIPDENSIETLRLRFLNKFSMKWNDLLPGLKSNKIKSVEVVDKDTKVTKNLTKGNVVQDEEQKKNKEVMVQDEQKKKKEECIVPLRKRIEIPRCTIDENGVWVRDLKEEELEKDASISDAPASTTGQTGEGKDHAGKAQTDAKEGRAHEIVKEEKDNKKKDKEEEQNPPEVQELKEDEKKRASTTAVVDQAVGPGNRADVKKSSALTCCSMFHTSLKRLKLSGGQALRKAPREDASTTAAAAGIPKVPDNKTGEGDNDAGKVQKEAKEGMDNKNKTNEEEHGALVRDEKDQNKEASTTAAVSPAVPPTSDGDAKKAAMLNKVYTDKRSGF* >Brasy4G345400.1.p pacid=40084033 transcript=Brasy4G345400.1 locus=Brasy4G345400 ID=Brasy4G345400.1.v1.1 annot-version=v1.1 MEAGGEQLSLAAVRDQLIREEDSIVFALIERSKRPRNAPAYSSAGGGRGRSLAEFFVREAEALYAKAGHYQKPEDVPFFPQDLPPPLFPTKDLPKVLHPSASSVCVNDAIWKMYFNELLPLFTADGDDGNYAETVTLDFACLQALSRRIHCGKYVAEVKFIDSPQDYSPPIHAKDSNALMDLLTFKAVEEKVKKRVEKKARIFGQNVTLEDSVDNQDVEFKVDPNVLSKLYDLWVMPLTKDVEVEYLLHRLDGWTK* >Brasy4G345400.2.p pacid=40084034 transcript=Brasy4G345400.2 locus=Brasy4G345400 ID=Brasy4G345400.2.v1.1 annot-version=v1.1 MEAGGEQLSLAAVRDQLIREEDSIVFALIERSKRPRNAPAYSSAGGGRGRSLAEFFVREAEALYAKAGHYQKPEDVPFFPQDLPPPLFPTKDLPKVLHPSASSVCVNDAIWKMYFNELLPLFTADGDDGNYAETVTLDFACLQDSNALMDLLTFKAVEEKVKKRVEKKARIFGQNVTLEDSVDNQDVEFKVDPNVLSKLYDLWVMPLTKDVEVEYLLHRLDGWTK* >Brasy4G015300.1.p pacid=40084035 transcript=Brasy4G015300.1 locus=Brasy4G015300 ID=Brasy4G015300.1.v1.1 annot-version=v1.1 MDCLTSFTFPPAAIRFQSKLSGQPMTRRKRTTFGFAATIEAAAAMLRLQKQFLSLRCAATPGFFSVRLALLSTAASAASTTPSHFAAEDYLVTTCGLTRDLARKSTKYISHWKCTSNADSVLAFLAGPPLRLSKADIAKVVAVDPRILNCSVEKNLKIRIASFRSHGLSDAQVQTFVRTAPYVLRSFNVQERLGFWLPFLGSPEMFLRVVRRNFYILTSDLEKVVKPNIELLQECGLTACVIAKMCIPSTGLLTRNPETVRSILARANKLGVPRNSPMFRHAVVTTMALGAETMAAKLKFFCETLGCSEAEVLSMVRKNPVVLRCSREKIRSVFEFLTKVVGIDARCTLSRPTMLMYSLECRLVPRYYVMKVLQEKGLIQKDIMFYTLLTLGDELFRCRYIHPHKDVLPGLDDEYTNACKGKLPAGVAL* >Brasy4G153500.1.p pacid=40084036 transcript=Brasy4G153500.1 locus=Brasy4G153500 ID=Brasy4G153500.1.v1.1 annot-version=v1.1 MAPTMLSALTTATDAWTIKVKVLRLWEATNPSTNEHISTDMILSDKKDLGTSILRLDIIYLFLLHRAMQYMHASVGNKLVKKFIPKIKEDSIYIFKTFKVWEYEKYRPLKNNLKISFLFNTTVREVDEEKSKFPDYYFEFADSATLHSRVQIDKQCSDAIGLLTQMKPIEQVLIKKGGGRERTSNIREIEILLLNGEKIKITLWGDIFTNMVDEDLLNRQTIVIVTSNMVRNFYGLSLRTTSASKVYLDIKIPETKQIIERHCKRKVFPTMMEVDESTKGTIEEQMFYNRKTEGNHRVAEYVCITIATIDDIILDKGWWYMACKTCCSETRKENNNYICNSCDEISENANIRYLLKLKISDDTARTTCVLFNTAAQRLLENTCASTMIEHLESSKTVPDAIQSLCGTTLTTTKRLTSRNLEECMENYRVNYTFTPNDELEMEHSNTIDKEGIILENNGAQDFEDSVTDGSDNEDTNYWISGKEGSKKRLPTQKIKKDRSGKRSNKDIKNVGSRGKTKLVKEKKKPDDDEEESGERHKKRCKKDVRKVSSRNRKLVTEDELLGDQDILENNGAQNFEDCVLDDSDNEGEEVGNNEKKVKKVVKREIYNTEVMSSNKVIFYNKSNALSDIIMYLNC* >Brasy4G156900.1.p pacid=40084037 transcript=Brasy4G156900.1 locus=Brasy4G156900 ID=Brasy4G156900.1.v1.1 annot-version=v1.1 MARNEEKAQSMLNRFITMKQDEKRKPRERRPYLASECRDLADADRWRAEILREIGVKVTEIQNEGLGEHRLRDLNDEINKLLRERGHWERRILELGGRDYARSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVERVRREAMKGVVSGEVAAAGGGEEGKREGRRGWEGVCCTCAAP* >Brasy4G156900.2.p pacid=40084038 transcript=Brasy4G156900.2 locus=Brasy4G156900 ID=Brasy4G156900.2.v1.1 annot-version=v1.1 MARNEEKAQSMLNRFITMKQDEKRKPRERRPYLASECRDLADADRWRAEILREIGVKVTEIQNEGLGEHRLRDLNDEINKLLRERGHWERRILELGGRDYARSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVERVRREAMKGVVSGEVAAAGGGEEGKREGRRGWEGVCCTCAAP* >Brasy4G156900.4.p pacid=40084039 transcript=Brasy4G156900.4 locus=Brasy4G156900 ID=Brasy4G156900.4.v1.1 annot-version=v1.1 MARNEEKAQSMLNRFITMKQDEKRKPRERRPYLASECRDLADADRWRAEILREIGVKVTEIQNEGLGEHRLRDLNDEINKLLRERGHWERRILELGGRDYARSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVERVRREAMKGVVSGEVAAAGGGEEGKREGRRGWEGVCCTCAAP* >Brasy4G156900.3.p pacid=40084040 transcript=Brasy4G156900.3 locus=Brasy4G156900 ID=Brasy4G156900.3.v1.1 annot-version=v1.1 MARNEEKAQSMLNRFITMKQDEKRKPRERRPYLASECRDLADADRWRAEILREIGVKVTEIQNEGLGEHRLRDLNDEINKLLRERGHWERRILELGGRDYARSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVERVRREAMKGVVSGEVAAAGGGEEGKREGRRGWEGVCCTCAAP* >Brasy4G056100.1.p pacid=40084041 transcript=Brasy4G056100.1 locus=Brasy4G056100 ID=Brasy4G056100.1.v1.1 annot-version=v1.1 MLRAMTGVSEAFMEALLDGYAGGFEGVRTLVDVGGSSGACLDMIMRRVGTIKQGLNFDLPDVVAAAPPIPGARHVGGDMFKSIPCGDAIFMKWVLTTWANGECTAILRNCYGALPEGGKLIACEPVVPETTDTSTRTRALLENDIFVMTTYRTQGRERSEEEFRQLGLAAGFTAFRAIYLDPFYAVLEYLK* >Brasy4G339900.1.p pacid=40084042 transcript=Brasy4G339900.1 locus=Brasy4G339900 ID=Brasy4G339900.1.v1.1 annot-version=v1.1 MAAAALTLLLLIQLLLLPAHHALATTAHPGYPGAEAETCGPELGDGGPMGRRRHGPALEEYGGGRIVDITHPYRADLPAFATGATIGPLARLKDSMLNGSEYNLSELRMECHLGTHVDAPGHMNQAHFAAGLDVDTLDLATLNGPALLVDVQRHTNITAEAMESLNIPKGVRRVLFRTLNTDKGLMWKAGGDMSFVGFTKDGAEWLVDNTDIKLVGLDYLSVASFEHSVPAHVVFFKNADIILVEALKLDNIKAGLYMLHCLPLRLVGSEGSPVRCILIK* >Brasy4G339900.2.p pacid=40084043 transcript=Brasy4G339900.2 locus=Brasy4G339900 ID=Brasy4G339900.2.v1.1 annot-version=v1.1 MAAAALTLLLLIQLLLLPAHHALATTAHPGYPGAEAETCGPELGDGGPMGRRRHGPALEEYGGGRIVDITHPYRADLPAFATGATIGPLARLKDSMLNGSEYNLSELRMECHLGTHVDAPGHMNQAHFAAGLDVDTLDLATLNGPALLVDVQRHTNITAEAMESLNIPKGVRRVLFRTLNTDKGLMWKAGGDMSFVGFTKDGAEWLVDNTDIKLVGLDYLSVASFEHSVPAHVVFFKNAVRSYPSLVLKKSEK* >Brasy4G068500.1.p pacid=40084044 transcript=Brasy4G068500.1 locus=Brasy4G068500 ID=Brasy4G068500.1.v1.1 annot-version=v1.1 MARKMLKDGEVAVADGGSDESYEYDLFVIGAGSGGVRGSRTSAGFGAKVAICELPFHPISSEWQGGHGGTCVIRGCVPKKILVYGAAFRGEFEDARNFGWEINGDINYNWKKLLENKTQEIVRLNGVYKRILGNSGVTMIEGAGSVVDAHTVEVTQPDGSKQRHTAKHILIATGSRAHLVDIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGLGAEVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPATNLTELSKTADGIKVVTDKGDELMADVVLFATGRTPNTNKLNLEAVGVEVDQIGAIKVDEFSRTSVPSIWAVGDVTNRINLTPVALMEATCFAKTVFGGQPIKPDYRDVPCAVFSIPPLSVVGLSEQEALEEAKNDILVYTSSFNPMKNSISKRQEKSIMKLVVDSETDRVLGAAMCGPDAAEIMQGIAVALKSGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPASKPKTNL* >Brasy4G171900.1.p pacid=40084045 transcript=Brasy4G171900.1 locus=Brasy4G171900 ID=Brasy4G171900.1.v1.1 annot-version=v1.1 MLAHTHLPPPPPHPAPAPPARRAGGGGGVEFRRKIQFLSAELHLDPFPLLALHPELRSAPLPLLQASLRLLLSHGLSSGDASRVFTAFPSLLTSPPEESLRFLSAAAPLPPPLLRAAVSRSPRLLAASIPDTLRPALHFLRHRVSLRRRPLPVAAALLLAFSVDRTLLPKLLFLGDATGLPDPAICAILRRAPAILSYGIETNLTPKLQFLADGMGKDPAAELRDFPHYFAFSLEGRIRPRHEALRLRGIEMSLKDMLTSSDDEFKERLLDAVLAGNKDIV* >Brasy4G434400.1.p pacid=40084046 transcript=Brasy4G434400.1 locus=Brasy4G434400 ID=Brasy4G434400.1.v1.1 annot-version=v1.1 MLFYQLLYFQCCNSIRHQSIARASAGHNPSGEKSETDGLGKKTARLLRKLRSHYQIASQIKEIKALVLETSARRQRYKLDIPLSSDVAMDPRVKALYEKAANLVGMEGPKNEIVDWLIDEEKQLKVVSIVGFGGLGKTTLANAVYATLKGNYDYCAFVPVSQKPNIQNLLRSLLSRLGSTPFSHDCELNILLDDLREHLQNKRYLIIIDDIWTVSAWEIIKCAFPESDLGSRVIVTTRIQEVATACCSDRRRDYILQMKPLSNEDSTRLFFDRIFGSKQACPQQLSDVSIEILKKCGGLPLAIISISSMLASEGSNQKDRWKHVHDSLGSGTNLTLEGVRKILNLSYKDLPPHLKTCLLYLGMYPEDYTIKRTDLERQWMAEGFIGKENGKDVEKTARNYFNELVNRSLIQPVGFDNRGLVTHCRVHDMMLDLILLKSAEENFLTVVDDPQDFAGLEYKVRRLSIRLDGASSGQTILPKNTSVSQVRSVMFFGSSQNTPPLSEFKFLRVKFTDLFDAKVDLSGLCKLNQLRYLCIKGIRSCQLPTKIRALQQLQTLEVPRFDSLPSDIVHLPRLMHLDSDPRLPDGIGNLKSLSYLYRFDIALHTLDNIKGLGELTNLRHLSLYRRTLGDDREKRMDVLCSSLGRLCNLEQLAVNFHGCMDGLMLLSPPSTPYRLEKFSNFMNGWFSRVPSWMGELDNLGYLVCRIGELLADGVGILAELPALTHLDIGIRNATKEMIVIYGGGGFPALNHFELLISSASYLMFQAGAMPKLQRLLLGYNASGSEQNGAAGPAGIEHLSALEEIDAIIGCKGATESEKASAESALRSAINMHPNHPRVVIELLDRQKYSFR* >Brasy4G353500.1.p pacid=40084047 transcript=Brasy4G353500.1 locus=Brasy4G353500 ID=Brasy4G353500.1.v1.1 annot-version=v1.1 MGEAGANMAHWAGLYGGGGGGSSNNGPDQGGGSVVTVSSPTSGGSGGGTSPARSAPGVEAGRVGKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPSGPYGPPGANGPVISFGSGADYGIGGGAMPVRPAPTSAVMSFDHHLGRGHLAAPPPSSSSPAPMQGQLFRPQQQQYGGGGDYGMHHGGGGGDMFLQGFESSAEDRMLLQSIQAAHQMMPRPASANNTNGYNFG* >Brasy4G347900.1.p pacid=40084048 transcript=Brasy4G347900.1 locus=Brasy4G347900 ID=Brasy4G347900.1.v1.1 annot-version=v1.1 MKLICWNCRGLGKNLDSNKMQHLACLMASTSAQVIFISETKSCKYSSSHLNDRFNISDSFVVPSTGRAGGLWLLWTDDVEVYIKHASSHLILAMVTVKSIRITFSLVCIYGDPYHRLTGSIWDSVESLVYDNLGSRIFCMGDFNNILSPMDKSNSCINHSRMNSFNLSVKRCGLMDLGFNGPAYTWSNKRFTSHPVFERLIDALLMLNGVTNFRILMFTTFLLFCVTMLPFLLQMIPPLNVRSSTSNLKTGGSWRMTFKRLPKIVGQKLLTKILSLEPLTLQVHCGNGARKRSLSISSLQPSKNRLPISSLNLCNARITLLKVT* >Brasy4G368000.1.p pacid=40084049 transcript=Brasy4G368000.1 locus=Brasy4G368000 ID=Brasy4G368000.1.v1.1 annot-version=v1.1 MASAVATSMPMPASPAAGVSYGWLGPRLSFGRDSAVVSPADHGPSECKAADQVSAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPPHPGAAGSEKERRDSALAAEIPAAATPELVKTTLRPSSVAEAFDPYVFSPKAPTCSSRWRELLRLRKVQTPPPPQKPSPSQVQATPSRASNSSAARSLKLLLLQRNRASSDLSSAAAPLLRDSSDSEASLSLASSRFSLSSSSSSSAHDHDDFPRHSLDSVDLTPKPRIRLVRSSQPQPHRHPPPPPPRAVHSPARRRPATTPPPPSVASVDSPRMNSSGKIVFQGLERSSSSPAGSAHHSSLRSRSRVMDRSYSTPVVLNVPVCSRPSFGFFKDKKDAAGKDAAARLRSSLGRKTTTAHPAGGSSVSCRDLGTSSKCN* >Brasy4G409200.1.p pacid=40084050 transcript=Brasy4G409200.1 locus=Brasy4G409200 ID=Brasy4G409200.1.v1.1 annot-version=v1.1 MAPELVGRRRRRAGERPGHSRANGSGGARAGGPAEWGRRHGGRRRRSPAAEARGQAAAAFVGRGPRRSQARDGRGPRAGQPWREGRRPRSWAGGRSARGHGHVQASGGARGHAAARARAQANVGAARGEAAAGAGLQSGRGGSVRGTAHSLGRLPVAEAQSYASVAPGHGLGPLPEAQAYASVAQGHVHYHHLSFVGEQKKMDGHNGLTGLTVHLTCGPDLKTVNSLNRVITGLLLHCD* >Brasy4G377100.1.p pacid=40084051 transcript=Brasy4G377100.1 locus=Brasy4G377100 ID=Brasy4G377100.1.v1.1 annot-version=v1.1 MARLPSSLQSSYPLCWKCQIPAVTWKHLRSVPRNVFRISAAKNNEGKRRRSRNVSNSPPALIGEEASSGSSENPTTSSSLEANGDAGEKAVAAPRSAILQACTLTSGLLLALGLVLRQASHFASLNGWPFADSTVVSFNFETWHLELVAGLVILISSSRYILLQTWPDFRDSTEAANTQILTSLEPLDYIVVACLPGISEEFLFRGALMPIFGLHWISALVTGAFFGVLHLGNGRRYSFAIWATFVGLAYGVATIASSSIIVPVASHSINNIIGGLIWRFTDNSEREI* >Brasy4G377100.2.p pacid=40084052 transcript=Brasy4G377100.2 locus=Brasy4G377100 ID=Brasy4G377100.2.v1.1 annot-version=v1.1 MARLPSSLQSSYPLCWKCQIPAVTWKHLRSVPRNVFRISAAKNNEGKRRRSRNVSNSPPALIGEEASSGSSENPTTSSSLEANGDAGEKAVAAPRSAILQACTLTSGLLLALGLVLRQASHFASLNGWPFADSTVVSFNFETWHLELVAGLVILISSSRYILLQTWPDFRDSTEAANTQILTSLEPLDYIVVACLPGISEEFLFRGALMPIFGLHWISALVTGAFFGVLHLGNGRRYSFAIWATFVGLAYGVATIASSSIIVPVASHSINNIIGGLIWRFTDNSEREI* >Brasy4G351200.1.p pacid=40084053 transcript=Brasy4G351200.1 locus=Brasy4G351200 ID=Brasy4G351200.1.v1.1 annot-version=v1.1 MGCSSSKPRLEEEEAVRACHERRGFVKKAVAQRHLLASSHVAYLQSLRRVSLALFYYLAEDEHLYFLQQQQHQDPSPAALLCRHRPCSPDTKKVSRLVVNCLRQGGAPVHPLVVEQYWDEEDEVAQNAVVDGFFFGPLPVNDVPDSSSPSPPPPGWDLFWADPLSSLPGRDRDANYGVQVEEAKDDDHEEGDEEEMPELEEATDDDDDGGGGSSGDEEGESEEEEEEEAAEGGVLKKKPMEEEEEKKEKVVVGVINELRVMAGAEVEQQSAPGHFTVYVDRPPASVAEAMRDIQGHFAKVADTAAEVSVLLEVVPYKKKVRPPAPPRGDAEDGVDGDGGEQGGWEASPEPFQLFESHRESLDRLYEWEKRLYDEVRAAERLRLSYEKKCAQLRSQDANGAEPFAIERTRIAMRDLRTKLKIALTSVDAVSRRVSALRDHELLPQLAQLIRGLAVMWRVIAAAHRVMKRTADEASALLSSSSAAAAGGTRGPPPPPGQTRAAAAAGALATELRGWRAATEAWAESQRGYAAALWGWARSCVKDDAENQDQGGSQMPMPMPRLLVAWARAVEAVDVEAASRAVEAVAAEASAIATAARRRRGAGEGEEEETSEEEGKRRVCAGLAAALAAIAEAGGVASAAYGELVAEMEEGDREGEMAGGDDGSIQNDQQ* >Brasy4G202300.1.p pacid=40084054 transcript=Brasy4G202300.1 locus=Brasy4G202300 ID=Brasy4G202300.1.v1.1 annot-version=v1.1 MGACATKPGDLKVKGDAPLVVDDAAEKKLAGVDADPAADDVSRRRSLSDLLKEDAESEGEAPSAEKKTEAATAAAATDEAGATEDQASEAKVEPSITTAEPDHTAEEREPKAAQDPDAHVLQVVEQEKRVDPDSVQVAVVAGAQSSEESKVVVDDVST* >Brasy4G004600.1.p pacid=40084055 transcript=Brasy4G004600.1 locus=Brasy4G004600 ID=Brasy4G004600.1.v1.1 annot-version=v1.1 MDAAAAAAASAQKRKRPEQDDEHPADGLDVLDLRAAKRLLLGFERRLRDNLEARMKHPDDPSRFADSELALHAETDRLRLLAGAPELFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPSDLAGVQSLADALVDANALDLLVHNLSRLSETDPDEAEAVHHSLAVLENLIDLRPHLADLVCDRTKILRWLLARLKARDFEANKQYASEILAILLQNSPANQKRLGQMNGVDGLLQAVAMYKSRDPKTTDEEEMLENLFDCLCCVLMPVENKERFVKAEGVELMIIIMKQKKSAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKIPVNKKNKSESYQEELEERIISLISSLFGGITKGSRRNRLLGKFVENECEKIDRLMELYTRYSDRVKAEMERFESLDLEDLEMDDDERYNRKLEAGLYTLQLVALILGNVWHSGNSLMRTRIELLLRQNKLTKDDVRDILQEYHDNIGDLDGPEEKERAQGRTKEIIAAL* >Brasy4G247300.1.p pacid=40084056 transcript=Brasy4G247300.1 locus=Brasy4G247300 ID=Brasy4G247300.1.v1.1 annot-version=v1.1 MSSSAATEATPLLAAAFLLRLRPIISPSAAAVVHGIRSAAALLAIAAFFAVICAVPAARSQHASDAEALRLEIDDLRLKVARLESILEGNTKTLRTKAYIMEEDNKLTEAMEHDIQLLVNVEENTKVQILQQEVRKINNIAYTIESLANDAEKRVEFLSSEVKKIEDIIAEQWIQIRQFEQAFVLTKMMTSKVHERRLSGNAYYWPGKDTVPKYIRNLDLHGMFLVGASLTRTCFSHTYKHCRSFVRAMNRCYHEASRFCKAIRRPCPPDIHRPDVFFLGGSISRSCISLPYKQFKILMSSAQQIHHKVQVNLQDVMRSNRYSRGLANEMITFCMAYLLVISPVWIAWFIFSTRFGSKK* >Brasy4G440600.1.p pacid=40084057 transcript=Brasy4G440600.1 locus=Brasy4G440600 ID=Brasy4G440600.1.v1.1 annot-version=v1.1 MALRRAIGSLALRSQAYYSLLRRTAPAPPTPAAAPPSFRRPLAALSPCRPFAAPPQHVKKNTKDEDDDAAGPRINNDITAPFLRLVTDQGHDVVPRHEALQLAARMGMDLVEVHRKSDPPVCKIMDFHKERYNKDVKEKERLKTKSAIVLRGGENKEVRFKGKTEIKDLKVKADAITRLMERGYRVKCMAMPAGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTPRNTATESAAAATDSGDETSEHGLEVDGVNNTAAYLSRDSSTQKEGRDRGLRRELKSNTGNNRENAQNMMNTVGSRINPGQGGPHSAERGLGSRSGNPHTEKQDKTNQDIAPGETNRYASRRQQIGGDNQGRSPQDPRRDGNEDRYRFNDNQRPLEQPNRPLPRFSQGRLPQEPRRNETGSHIPLNNNQRQFQQSNPTTESAGSDARNPTSTARSLGVFSSRKPATSELKKTDGVSDSKPANTGSTKSFGIFSSPRRESGDKRS* >Brasy4G440600.2.p pacid=40084058 transcript=Brasy4G440600.2 locus=Brasy4G440600 ID=Brasy4G440600.2.v1.1 annot-version=v1.1 MALRRAIGSLALRSQAYYSLLRRTAPAPPTPAAAPPSFRRPLAALSPCRPFAAPPQHVKKNTKDEDDDAAGPRINNDITAPFLRLVTDQGHDVVPRHEALQLAARMGMDLVEVHRKSDPPVCKIMDFHKERYNKDVKEKERLKTKSAIVLRGGENKEVRFKGKTEIKDLKVKADAITRLMERGYRVKCMAMPAGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTPRNTATESAAAATDSGDETSEHGLEVDGVNNTAAYLSRDSSTQKEGRDRGLRRELKSNTGNNRENAQNMMNTVGSRINPGQGGPHSAERGLGSRSGNPHTEKQDKTNQDIAPGETNRYASRRQQIGGDNQGRSPQDPRRDGNEDRYRFNDNQRPLEQPNRPLPRFSQGSDARNPTSTARSLGVFSSRKPATSELKKTDGVSDSKPANTGSTKSFGIFSSPRRESGDKRS* >Brasy4G035900.1.p pacid=40084059 transcript=Brasy4G035900.1 locus=Brasy4G035900 ID=Brasy4G035900.1.v1.1 annot-version=v1.1 MPNTNQLLLLLALLVSSAAFGGAGDYSSSLVGAYDCSGVIYSGSCKAVKCYTDCLKKYTRAEGLCVPRGCQCSYFCPPSRNTAQLN* >Brasy4G407100.1.p pacid=40084060 transcript=Brasy4G407100.1 locus=Brasy4G407100 ID=Brasy4G407100.1.v1.1 annot-version=v1.1 MAGRRRSAATVLLALLLVALAAAPAASRPAAGYGEETAPAAEEKAAPAAYTAPAAEEKAAPAAEEKPAPAGYTAPAAEEKAAPAAEEKAAPAGYTAEPTPAAAEKAAPAAEEKPAPAGYTAEPTPAAEEKPAPAAEEKPAPAGYTAEPTPAAEEKPAPAAEEKPAPAAYTAEPTPAAEEKPAPAAEEKPAPAGYTAEPTPAAEEKPAPAAKEEPISPELHACCQKCEADYKPQGKEAVVGCIEKCKLDIKEKAAAGGYAKDTAPAAEEKKEEKKEEKKEEAAAAYNKEEKKEEAAPAAEEKKEEAAPAAYEKKEEAAAGGYAKDTAPAAEEKKEEKKEEAAAAYNKEEKKEEAAPAAEEKKEEAAPAAYEKKEEAAPAAYEKKEEAAPAAYEKKEEAAPAAEEKKEEAAAAGGGAYNKEEATPAAPVRHSPLEALRKHAAKLTAAVMRGALPEKTVAADETSNFHAAEAKKYMKDAEQATSPVDVAVDKKAASENIASAVTYALAGAEAVAPATETKAADAATVSGDMAIKKAATEAAPALEAVAQKDVPAAPAGYKL* >Brasy4G405000.1.p pacid=40084061 transcript=Brasy4G405000.1 locus=Brasy4G405000 ID=Brasy4G405000.1.v1.1 annot-version=v1.1 MDTSAGATRNNPIVIDEDKPKKPRTVDGIFTDFSGRRKALIRALTTDQDEFFRQCDPAKKALSLYGHESGIWEVMLPTEMLPAELPEPALGINYARDSMNRLHWLSKVAIHADSWLIGVAFYFGQVFLDKRQRMRLFRMMNDLPTVLESCAYFHKYECTCCAPRKMPPSPVLMVSSDNPKKRSRTTLDENLNINPSNGSRATEAAEHNDQENEDTDQDFCAACGARYNKNGFWICCDVCKQWFHGRCVNMTSAQAEQVNEYKCPDCIREELGE* >Brasy4G302900.1.p pacid=40084062 transcript=Brasy4G302900.1 locus=Brasy4G302900 ID=Brasy4G302900.1.v1.1 annot-version=v1.1 MPFDFPWLKNAAATSTPNPNPSKPSNPNPFLTIQTHLTSFLSSLPLPRALPPPCARIPSASSSPVALPVAEIEDRLSGVPVYALANSAQEFVLVSKTHGGGAEGESSSARPPPALGVLCFRREDADALLAQMDGTMRAGSTVVPVALNKVIQLKSDGVAFRFLPDFTQVANAMKLMQDEGQLVNEGFLGVPVFQSRSLVLMNDSKRYRPVFFRKEDLDNSLHRASRDQQKPNPVVRIGDTQVSSLEDIITSMKNSSSSTWDDVVFIPPGFDLATGSK* >Brasy4G302900.2.p pacid=40084063 transcript=Brasy4G302900.2 locus=Brasy4G302900 ID=Brasy4G302900.2.v1.1 annot-version=v1.1 MPFDFPWLKNAAATSTPNPNPSKPSNPNPFLTIQTHLTSFLSSLPLPRALPPPCARIPSASSSPVALPVAEIEDRLSGVPVYALANSAQEFVLVSKTHGGGAEGESSSARPPPALGVLCFRREDADALLAQMDGTMRAGSTVVPVALNKVIQLKSDGVAFRFLPDFTQVANAMKLMQDEGQLVNEGFLGVPVFQEDLDNSLHRASRDQQKPNPVVRIGDTQVSSLEDIITSMKNSSSSTWDDVVFIPPGFDLATGSK* >Brasy4G340600.1.p pacid=40084064 transcript=Brasy4G340600.1 locus=Brasy4G340600 ID=Brasy4G340600.1.v1.1 annot-version=v1.1 MDSGSESDGAPEELTAVQGVEKHEKICKVEKDSAARATQEGKDRRRRWAQRKIPSKPKKKKTLEVEDKDTKVDEENKDTHALPGTLPKSVIDMLAAREKQTFSSDTEEENVSQKVQKRKKRLKTSGPETILLKDVRCTQHVKNALDFLEHRKNKVPRSNAVLKNANEAMRLLKSNS* >Brasy4G040000.1.p pacid=40084065 transcript=Brasy4G040000.1 locus=Brasy4G040000 ID=Brasy4G040000.1.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKIYEDLDSGNPSLKLLYVTPELVATFGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNGATTNSDQIHNHLCALTDQITDHGAATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G040000.2.p pacid=40084066 transcript=Brasy4G040000.2 locus=Brasy4G040000 ID=Brasy4G040000.2.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKIYEDLDSGNPSLKLLYVTPELVATFGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNDHGAATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G040000.3.p pacid=40084067 transcript=Brasy4G040000.3 locus=Brasy4G040000 ID=Brasy4G040000.3.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKIYEDLDSGNPSLKLLYVTPELVATFGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G040000.4.p pacid=40084068 transcript=Brasy4G040000.4 locus=Brasy4G040000 ID=Brasy4G040000.4.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNGATTNSDQIHNHLCALTDQITDHGAATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G040000.5.p pacid=40084069 transcript=Brasy4G040000.5 locus=Brasy4G040000 ID=Brasy4G040000.5.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNDHGAATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G040000.6.p pacid=40084070 transcript=Brasy4G040000.6 locus=Brasy4G040000 ID=Brasy4G040000.6.v1.1 annot-version=v1.1 MKKALPIKGALAGSRHGMISPKELENVLKQYFGYSGFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKAGIVLVISPLIALMENQVSSLKSKGVPAEFLSSTQTAKNKNKAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLGDVYSDISNLLKSSGNVCSIIYCLERAACDDLNMHLSQQGISSAVYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTNSKKSQPSSSSTELSEKALADFSQIVDYCESSSCRRKMIIESFGEKVQPTLCQRSCDACKHPNQVSSRLEELRRVPNCRYNKISPVFKSSSVNPEHLDTEFWNREDDASISAEDISDSDDEEAAASNVAISKYTSKGGLEPKLDALERAENSYYQAKGQTKQQGGNLVDKKSISQTLRDTCRKRLLDALGQARLRLGNLTFDAESSAAHLETESFKKYEKVGKTFYNSQIAATVRWLSSSNSDQIHNRLCALTDQITDNATTVSDALGTNPGDASGDYGFAKTEPSDEFVKTAASTDNMEHSRMPSVIREENRRDCAIGTMELPKIPSFGEFITQKGKDRASSSSRTESQLRGVSRKASSVIKEGTTEPSKKMKS* >Brasy4G390200.1.p pacid=40084071 transcript=Brasy4G390200.1 locus=Brasy4G390200 ID=Brasy4G390200.1.v1.1 annot-version=v1.1 MNTITNGSGATVSDELYITNGRPNASATVSDESKKHTHGLTLLAEQGRHGSTGPPLSPDIFSPHPLVLLSSPPLPGCSRSPFSSLPFRISSPFPPRAPPRSPQDPAPLRLPRAGLLLHPGRPPSEDPSAPTPDPAATTLHDAVSCRRRQPSPPTAVQLVGSAVVAEAASAGRVPRRVPAPSTLPATDPDPSTSTPPDPASRSTPAITYSAVTTDFAAAGSGVPELPPSSCCRRWIRPL* >Brasy4G171600.1.p pacid=40084072 transcript=Brasy4G171600.1 locus=Brasy4G171600 ID=Brasy4G171600.1.v1.1 annot-version=v1.1 MISAQVPKDSSILNRTMVKIFKGIPSVFYFLLHHNFPSDIYCHIIIHINAKLDATCDNVNKRHKQRCKSMCGAVDE* >Brasy4G058200.1.p pacid=40084073 transcript=Brasy4G058200.1 locus=Brasy4G058200 ID=Brasy4G058200.1.v1.1 annot-version=v1.1 MEELGQLESKGGRRPPTGEDEEDKQRLDDEDDEDDDEGRRQPYKCGFCRRGFPTAQALGGHMNVHRRKEYRPGPRSGSGVVCSYGEPPPPSVAATLAGGGHQQPACSPAASGGSGSLVFHGVERAPRALRLFGREIVDGGAAGGARDNRNGDDDDHGGQGDAEGELDLELRLGAAGQY* >Brasy4G127400.1.p pacid=40084074 transcript=Brasy4G127400.1 locus=Brasy4G127400 ID=Brasy4G127400.1.v1.1 annot-version=v1.1 MRRTHKPSNRILVLLVGPCCKTEPPDISPPPDYSSSSLLQVLRPHPIRPPRLHRPSPRQIQQVLSRRDRPPLRRSSPNREPLECAAAVFFIRVGRLWAGSLHASHRRRRSKSRSARIRRHRSSSISTGIHRLPPFLHTALPLLHRRPAPLRILYDLRPCVGRISMRTAKFPRRCGWLSPGLTSLPGVKRRGHQDSWLEFVFSSYFIQILCGFGWSSSIGSIRPMSIGICCCLYRNMFLSLISHSFTPSVSYQLTQICLNIDVSICKNSLDTCNRKSLDMGRREYYLFRFIPIKFQPIEILVIFWRICNLVHDLFHQFFVKKIISLIYGTPNITKSFHWYKLYELYI* >Brasy4G367600.1.p pacid=40084075 transcript=Brasy4G367600.1 locus=Brasy4G367600 ID=Brasy4G367600.1.v1.1 annot-version=v1.1 MATVGFSDLPTEALDEIARRVGPFDNVTCSAVCKPWRCALKATRLRSLEQPNFPHTVALLSWRNAVEVSPVHRSRGSSRSLVPLQQQGGEDDASSAAATHHRDRPVRVIGCAYGWAVVLDTECAALSLLDPLTGRRFPLPPLSGKKAQRDLGLLGQKAMFHKAVAAPGRRLGSYAVMLIHSGGHALSFLLAPGGSSKPAGWIWTTLRAPAWTPSKYLDAVFHKGAFHTVSLDTEMNAWAVLPPLPDGGKSGGGMRARRVTEPRTERVEAALVKSMIDDGDLMMVSSADKGRSYEVSRYDDEGGGGGRWVPVKDLGEAAIFVGRSCSVCAPTRSGAAWPWPPRSNFLYFSHFCRPTSGPYYRLPAAADRCGQQDINTGSWLGTWFLPYVAPEFHHR* >Brasy4G079500.1.p pacid=40084076 transcript=Brasy4G079500.1 locus=Brasy4G079500 ID=Brasy4G079500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMKHIGFRYQPEYTVFEDYRDFNQEYYCAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLGIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGQPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G422800.1.p pacid=40084077 transcript=Brasy4G422800.1 locus=Brasy4G422800 ID=Brasy4G422800.1.v1.1 annot-version=v1.1 MQARATLQHRVRADLHFVPPAISVRLAPPPRRQRAAPPCRRVLRSPSPLSLPPPLSRAIPWKSIALAIFLLLLGISLLSLSWFIFTSHMEGDSTQAYGLLFLGILAFLPGYYETRVAYYSWRGAPGYMFASIPDY* >Brasy4G432900.1.p pacid=40084078 transcript=Brasy4G432900.1 locus=Brasy4G432900 ID=Brasy4G432900.1.v1.1 annot-version=v1.1 MSPQSPIGSRPHNLPSAAGLAISHRQTAAGPKFPVYTPLPRVRHGNGGASSVAGEEAWGSVGGAHRRGRGRRPAWSSRVGVRRRRRGMAEAVHAARGWGRGGDGGGGGGVVRLGVGARRQRGKAEACVSGWGRAGSGMGGGGRRLEGGARRRRILGVVGVGGWRQEKGRRECGLGKDILFVVRLIRKGPEISEERDA* >Brasy4G229900.1.p pacid=40084079 transcript=Brasy4G229900.1 locus=Brasy4G229900 ID=Brasy4G229900.1.v1.1 annot-version=v1.1 MDPNSFMTGGLLLPTIERRCASPPSVIVIGGGISGIAAARVLSNSSFEVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVGNENSLAPLIGHLGLRLYQTSGDNSVLYDHDLESCSLFDKNGHQVSKETAAKVGKIFERILEETVKLRDEQENDMPLQQAISIVLERHPHLKLQGLDDRVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVDGYYPVVQALARGLDIRLNQRVTKISRQYNRVTVTIEDGTQHSADACIITVPLGVLKANIIKFEPELPLWKSSAIADLGVGIENKVAMHFDRAFWPNVQVLGMVGPTPKTCGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEALDIVMSHLKKMIPDAPEPTQYLVSRWGSDPNSLGSYSCDLVGKPSDVCERFSAPVENLYFAGEAASAEHSGAVHGAYSSGLAAADDCRKRLMLQKGVPDLVQVAACEEVASAAAEVVAPFQICGT* >Brasy4G042300.1.p pacid=40084080 transcript=Brasy4G042300.1 locus=Brasy4G042300 ID=Brasy4G042300.1.v1.1 annot-version=v1.1 MTGNRFRSDLDFGLFARSTPLCRLTRSSRGGSCLAANSRLLSSRPLLPSPPSFAPSPIADSLDRSGRGRAHRRRRSRAAAGRQRRRQHGALGLAPQLAPKVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLTKQSLAGIPLLILGNKIDKSEALSKQALVDQLGLESIKDREVCCYMISCKDTVNIDVVIDWLIKHSKTAN* >Brasy4G042300.2.p pacid=40084081 transcript=Brasy4G042300.2 locus=Brasy4G042300 ID=Brasy4G042300.2.v1.1 annot-version=v1.1 MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIAVCGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLTKQSLAGIPLLILGNKIDKSEALSKQALVDQLGLESIKDREVCCYMISCKDTVNIDVVIDWLIKHSKTAN* >Brasy4G014500.1.p pacid=40084082 transcript=Brasy4G014500.1 locus=Brasy4G014500 ID=Brasy4G014500.1.v1.1 annot-version=v1.1 MARVTKIPAMLWVLGVVFTFGAVAVGRAEARKPYSFFIKEAKYTRLCRDKTILTVNGQFPGPTIKARQGERINVRVHNQGNKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTSFTYQIILSEEEGTIWWHAHSDFDRATVHGAFVIYPKLVKNYPFEPPQADIPIILGEWWKEDVTHMLEQSKRTGGEVDLSDANTINGQPGDLFPCSKDDTFKLPVRTGKTYLLRIINAGLTNDLFFGIAGHPITIVGTDGRYLKPFTVKHIMISPGQTMDALLDTDRAIRGSSNGRYYMAARTFASNPDLDFNNSTATAILEYMDAPRARRVGPPDFPNLPANLDMDAATEYTAQLRSLASKDHPVDVPMHVDEEMFITIAVNVFPCAHNKTCEGPRGNSLAASLNNVSFQNPPIDILDAYYSSVDGVYEANFPNQPPFFFNFTDTTVPVEFEFTKAGTKVKVLEYGAVVEVVFQDTSLNGAETHPMHLHGFAFYVVGRGFGIFNKRTDPAKYNLIDPPYQNTVTVPKAGWTALRWRATNPGVWFMHCHFDRHTVWGMNTVFIVKDGNTPKTKMLGRPRSMPKC* >Brasy4G200900.1.p pacid=40084083 transcript=Brasy4G200900.1 locus=Brasy4G200900 ID=Brasy4G200900.1.v1.1 annot-version=v1.1 MPHAPADREPLIHARIHARIRETDTLRPTKSSNPLISSPFFAFLLNPQILAIEIRFASGNSCAVASL* >Brasy4G303800.1.p pacid=40084084 transcript=Brasy4G303800.1 locus=Brasy4G303800 ID=Brasy4G303800.1.v1.1 annot-version=v1.1 MEQFLDGHHVRLRSLELGTYLHAAADGIDVRLDPDRAVAFGRYLAAATNARAPWGQRGFRVEQREFDEPEVGEIMWQVIRPGSFFLLRHVSARLLHHNGRRRFNWNSGVTVGNFENMSTMMMMMWVVEPIPPSQVYPGVPAPLNEPLSSPQFVCSLFGREPPPLRVIRFKRANANGTFNDEGLIDFQFRGNSSYRLMYQLILRLDIVDFIMCVRAGHYARLTPMIANLPRGTGNTLYIVLIDTITPGGKLACYCGRQFHVELGTNQNHHKTLSYPE >Brasy4G181000.1.p pacid=40084085 transcript=Brasy4G181000.1 locus=Brasy4G181000 ID=Brasy4G181000.1.v1.1 annot-version=v1.1 METSTYEHEDPMDCDEAPLTLTLTLRMVPASCYVPDHDEDAHFIHAASGVIGVADGVGGCRGLCADAAAFSRGLMAHAHALLASSSSSSPQPVCPYTLLDRAYRRTVDSLSPTPTLAASTAVILSLSGAVLRWAYVGDSGFAVFRGGRIVHRSRPQQSYFNCPYQLSAAPHGTGGNRVGDAAVGEVPVAAGDVVVAGSDGLFDNLFDSGMERIVQLGAALRFPPRAMADFMASHAYSKARSRTEDSPFSAACREQGAVGSVGGKMDDITVVVAYIE* >Brasy4G077200.1.p pacid=40084086 transcript=Brasy4G077200.1 locus=Brasy4G077200 ID=Brasy4G077200.1.v1.1 annot-version=v1.1 MLLDPSLDFSNVFCYLGPMAVFALPSVFTSLCLLWLVNWLDNDENHEQNTPSFGTDWLALRTMLSNLQF* >Brasy4G247100.1.p pacid=40084087 transcript=Brasy4G247100.1 locus=Brasy4G247100 ID=Brasy4G247100.1.v1.1 annot-version=v1.1 MARRLLRRSLSYSSAEDMVVSSIRLLSARNPNESAPLHIPLHSVESSPRPGAAPPPTTLLPSLSDRLRVVFLHKPPGRAALHRALSSTGLDAALALHPEALSDVVNAGNLSGGATVDLFDWAISTAKLPPSLHTCNAVIRALGRRKFFKSIEDALETMRKNDIFPDPTTLEIVIDSLVASRQINRAVQLLKSDQFGFGIGQTCHRKVAFSALIKCLCQRSHVGVASSLLQAARGDHFHLDKHVYNDVIGGWARFGRVDKLEHFWAMMLEDGLVPDEVSHCHLIEALGRAGQPEEGLRVFEQMVHEGYGPTTMAYNALIFNFISSGDLDRCIKYYKDMLDKNCPPNSATYCKMIRALLRARRVADALQMFGDMLTQGVLPNTGDITLFIEPLCTYGPPHAALMIYRKSRKAGCTISLKAYKLLLARLARFGKSGIVLKIWEEMQESGHPSDKEVYEFIVNGLCNVGKVDAAVSVVEESLTKGICLGRVVYSKLNNRLLEMDKVETAYNLSKKIKVGRTLANSRNYCRANGWHL* >Brasy4G428500.1.p pacid=40084088 transcript=Brasy4G428500.1 locus=Brasy4G428500 ID=Brasy4G428500.1.v1.1 annot-version=v1.1 MAMARRHLLLPLLSRNPHAPTPLHLRHALCSSSSSSSPTPIPIEPTPTVEEEAPSAAAAEEKADSQPPRAEEPLHETILHMIRRRKWTTRLENSVRLLSPTLSPSLVHGVISSAAAANRADLALQFFRFAYRRAGFRPEPATFSLLIPVLASNRMLNHARCILLETMPAFSVSPDEATVAALVAAYGKARIPQEAVKLFRLMPELGITRTALSYNAVLKAILCRGREAMARRTYNAMIADGVAPDLSTYNTLIWGFGLCEKMEAAVRVFGDMKGHGVTPDVTTYNNLINAWVRKGDLESAQKVFDEMPGAGLERNSVSYNVMIKGYVDSKKVEEAVGLFKEMGEKGLRSSEKTFAALMPGLCDDQGRTAEARKAVEDMAERRLTPKDKTVFLRLVTTLCKAGDLDGALEVHKKSGQFKHVLVDPRQYGVLMQSLCAGGKCDGAVEVLDELLEKGTLLSPKSPVLEAPAYNPVIEYLCNNGNTKKAETFFRQLMKKGVDDKLAFNSLIRGHAKEGVLEAAQEILAIMTRRGVPTDPISHTLLVDSFLKKNEPADAKTALDSMMEQGHLPSPALFKSVMVALFDDGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVDEAIGRVNLMVENGCMPDLDKLLVALCQKDKVMEAHKLADFALDRDFEVSFSTYDRVLEALYTEEKTLPAYSMLCKIKHKGGVVDQKGCDALMDSLKAEGYSKQADILSRILVENGSSTSKRGKKVAMGA* >Brasy4G345700.1.p pacid=40084089 transcript=Brasy4G345700.1 locus=Brasy4G345700 ID=Brasy4G345700.1.v1.1 annot-version=v1.1 MQIFVKTLSGIGNVKPKIQTRKGIPPDQQRLIFAGKQLEGGRTFADHNIQKESTLHLRAQMDQGVGRGIV* >Brasy4G324700.1.p pacid=40084090 transcript=Brasy4G324700.1 locus=Brasy4G324700 ID=Brasy4G324700.1.v1.1 annot-version=v1.1 MGQVENDSANNLVAPSDGSKVLEDLRVKERCIEQKEALPGEPHCVICGRYGEYICDQTDDDICSVECKTALLARIAAKTKPPVKAPVRVEVPFGDESFCVRDNNFPDIPSLRASQIASLRTKLDICVKGEAVPDPVMCFSSCGLPEKLVHNLDTAGYTMPTPVQMQVISASLSNRSLLVSADTGSGKTVSFLVPIIAHCSRGRSQQCMSKRGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIQLIVGTPGRLIDLLMKHNVDLDDVSVFVLDEVDCLLERGFRDQAMQIFRALSRPQVMMFSATIHSEVEKLSNSMANNMIHISCGNPNRPNKSVKQVVIWVETKQKKQKIFEIMKSKQHFKPPAVIFVSSRVGADLLSEALTAAIGLEVISIHGEKTMKERRERLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGEEGMAIVFVNEEDRKLFRELAQVLKTAGAPIPQELASSNYTSGIDRKRKLSSRARS* >Brasy4G150900.1.p pacid=40084091 transcript=Brasy4G150900.1 locus=Brasy4G150900 ID=Brasy4G150900.1.v1.1 annot-version=v1.1 MKAEEMPVVVGGGIGGCWGQQQQGARPCDTCGVDAARLYCRTDGAYLCGGCDARAHGHGGGGSRHARVWLCEVCEQAPAAVTCRADAAALCAACDADIHSANPLAGRHERVPVAPFFGAPADAAPAQKEEEDACDGGGNEEAEAASWLLPEPGDNPEEDTAAAFFGDSDAYLGLDLDFVRSMDGIKAIGVPVASSELDLAAAGTLFYPDHSMNHSVSSSEVAVVPDAPSVAEAAPSIAAVVVSRGKDREARLMRYREKRKNRRFHKTIRYASRKAYAETRPRIKGRFAKRAGTGTAAADDALEQDDGPFSPAVSALVASDGDYGIVPSF* >Brasy4G113600.1.p pacid=40084092 transcript=Brasy4G113600.1 locus=Brasy4G113600 ID=Brasy4G113600.1.v1.1 annot-version=v1.1 MDAAAREAQSGLEWRVTVPEGATVMVVYEAGLAARAWACLASCMAMFGAKVSGFGKKVWKIGADDPRKAVHGLKVGLALTLVSVFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGSVYKGFNRAVATASAGVIALGVNWVASKSGDKLEPVITCGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLVTIAIGIFICLAVCVLIWPVWAGQELHLLAVRNMEKLAGAVEGCVEDYFSDVDADADATKRARVSSSKSEGYKCVLNSNASEDSQANLARWEPAHGKFGFRHPYGQYAKLGAAMRHCAYCVETLHSCVGADVQAPDHVKRLLRDVCTRLGAQCGRVLREASSSVAEMTVSRTLDFAVADMNTAVHELQGDLRELPTSLAKESVAGSASLIDAMPLFTMASLLVEISARVESVVDAVDTMASLASFKDDDADDKKEETEMKIHPLNESDSDEPPENKTTKPPAGQA* >Brasy4G113600.2.p pacid=40084093 transcript=Brasy4G113600.2 locus=Brasy4G113600 ID=Brasy4G113600.2.v1.1 annot-version=v1.1 MDAAAREAQSGLEWRVTVPEGATVMVVYEAGLAARAWACLASCMAMFGAKVSGFGKKVWKIGADDPRKAVHGLKVGLALTLVSVFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGSVYKGFNRAVATASAGVIALGVNWVASKSGDKLEPVITCGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLVTIAIGIFICLAVCVLIWPVWAGQELHLLAVRNMEKLAGAVEGCVEDYFSDVDADADATKRARVSSSKSEGYKCVLNSNASEDSQANLARWEPAHGKFGFRHPYGQYAKLGAAMRHCAYCVETLHSCVGADVQAPDHVKRLLRDVCTRLGAQCGRVLREASSSVAEMTVSRTLDFAVADMNTAVHELQGDLRELPTSLAKESVAGSASLIDAMPLFTMASLLVEISARVESVVDAVDTMASLASFKDDDADDKKEETEMKIHPLNESDSDEPPENKTTKPPAGQA* >Brasy4G113600.3.p pacid=40084094 transcript=Brasy4G113600.3 locus=Brasy4G113600 ID=Brasy4G113600.3.v1.1 annot-version=v1.1 MDAAAREAQSGLEWRVTVPEGATVMVVYEAGLAARAWACLASCMAMFGAKVSGFGKKVWKIGADDPRKAVHGLKVGLALTLVSVFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGSVYKGFNRAVATASAGVIALGVNWVASKSGDKLEPVITCGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLVTIAIGIFICLAVCVLIWPVWAGQELHLLAVRNMEKLAGAVEGCVEDYFSDVDADADATKRARVSSSKSEGYKCVLNSNASEDSQANLARWEPAHGKFGFRHPYGQYAKLGAAMRHCAYCVETLHSCVGADVQAPDHVKRLLRDVCTRLGAQCGRVLREASSSVAEMTVSRTLDFAVADMNTAVHELQGDLRELPTSLAKESVAGSASLIDAMPLFTMASLLVEISARVESVVDAVDTMASLASFKDDDADDKKEETEMKIHPLNESDSDEPPENKTTKPPAGQA* >Brasy4G119300.1.p pacid=40084095 transcript=Brasy4G119300.1 locus=Brasy4G119300 ID=Brasy4G119300.1.v1.1 annot-version=v1.1 MEAAVARPSSYPQRVGNAARRLQKHDGLTEQLLRLVAEAPPVEDLEYLLGDVIGTLSSLEKKRGRLQAEIAAASRGRNRRAPEPALAEGDQGDLLPTAPAPAYTRKGAGAARKRLRAAAGNVKKERDRLEAVWGEVQEALVDARERLALTRRRAVA* >Brasy4G002100.1.p pacid=40084096 transcript=Brasy4G002100.1 locus=Brasy4G002100 ID=Brasy4G002100.1.v1.1 annot-version=v1.1 MATVEAAVRRYLESGKPPALLPALFKLCCLLPKENASAALASQLHADACKRPLSAAASNSLLSCYLRTARHDLAVAHFRCPSTPRDDVTYNTLFAHLPPSPFFASLRFFRPNVATLLALVRASSGYPTVVHAYLLKTAYIHMGGCTLQNSLLAMYAAFGDSLAAATLFDEMPERDVVSWTSMIGASLAGGSADRALRLFREMVSGRTLELDGVVLVVAIRACAMLEHAALGSSLHAVAERRGLQGDDVFVPNSLVDMYAKCHDLHSARKVFDLMAHRNVVSWNSMLSGLAHADRCADALELAASSSLLTGNGDVYFDETTLVVLLQLCKKLDGQAVWCRSVHAAALRRLLSLSSMPLVNALLDAYGKCGLLDHALRLFQGMREKNVVTWSTLIAGCAHNGRPQEAMACYVAMREAGEMPNSITMLSLLEACADCAEMRASRCAHAVAIRSGLALERDVSNALVDTYGKCGDMAASTSVFDMMPSKDVLTWNSMIGALGMNGRTLDALAVLDRMERKDDGVKPNGITMLAVLSACAHGGLVEEGMACFERMTATYSLQPQVEHLSCVVDMLARVGDLEGAVKIIEERMSTTNSPAAWSALLSACRSHSDFEVGRDAASRVLELEPGNSAGYLLSMSMPGETARMWWLMRERGVKVTSGHSVVYIGQEAHRFVSWDGWHPHRAQVYSMLHLVHQQILPPTHSNHHLHHLILSCTNATD* >Brasy4G002100.2.p pacid=40084097 transcript=Brasy4G002100.2 locus=Brasy4G002100 ID=Brasy4G002100.2.v1.1 annot-version=v1.1 MATVEAAVRRYLESGKPPALLPALFKLCCLLPKENASAALASQLHADACKRPLSAAASNSLLSCYLRTARHDLAVAHFRCPSTPRDDVTYNTLFAHLPPSPFFASLRFFRPNVATLLALVRASSGYPTVVHAYLLKTAYIHMGGCTLQNSLLAMYAAFGDSLAAATLFDEMPERDVVSWTSMIGASLAGGSADRALRLFREMVSGRTLELDGVVLVVAIRACAMLEHAALGSSLHAVAERRGLQGDDVFVPNSLVDMYAKCHDLHSARKVFDLMAHRNVVSWNSMLSGLAHADRCADALELAASSSLLTGNGDVYFDETTLVVLLQLCKKLDGQAVWCRSVHAAALRRLLSLSSMPLVNALLDAYGKCGLLDHALRLFQGMREKNVVTWSTLIAGCAHNGRPQEAMACYVAMREAGEMPNSITMLSLLEACADCAEMRASRCAHAVAIRSGLALERDVSNALVDTYGKCGDMAASTSVFDMMPSKDVLTWNSMIGALGMNGRTLDALAVLDRMERKDDGVKPNGITMLAVLSACAHGGLVEEGMACFERMTATYSLQPQVEHLSCVVDMLARVGDLEGAVKIIEERMSTTNSPAAWSALLSACRSHSDFEVGRDAASRVLELEPGNSAGYLLSMSMPGETARMWWLMRERGVKVTSGHSVVYIGQEAHRFVSWDGWHPHRAQVYSMLHLVHQQILPPTHSNHHLHHLILSCTNATD* >Brasy4G300000.1.p pacid=40084098 transcript=Brasy4G300000.1 locus=Brasy4G300000 ID=Brasy4G300000.1.v1.1 annot-version=v1.1 MATNRNTRTRSRTGDSRVGSSNSNAGSGTDCSSSHNNVIVHLYCHRVPPRRSPRFAVSDPEHPIVLDKASEERKVGGKQSAITPLRRSARFHQGDKSSSKLLLEKGSYLKQLPSTPNPRVIAHNRKTQSASTPLRRSARFHRGDMSPSKLLVEKGSYLKQLPLTPNPRVITHNRKTQTIVNKDKRRENPTRSSQRIAALKASARMKTHKEPWTLFLDSQDVPPRKNTADASYSVSKMQQLKPSHCEDLTRKRKRGTERKPASRKLSHQEPKSGCQRIAPISETRNIIRKKSENDPSSIMEPKISDDTLMNTKECKEEPIGIKRGVEQQLCASDHWTEEQDLTLRQAYFTARPSPHFWKKVSKMVPGKSAEECFKRVHADVSTPPPIAPRPRASKTQFSPLGHFTLSNPKFPNLLEPLVGRQRTAKQKSLAAQKTVRHLLKKHSLIDQAQEADHFSVFETSPSALQLNIPLEDSPGTPDNYPNSCSLHKGNMSSKARKRPLSTLKTKQDEPSPAVLKPVKNAILHEKYINQLLWREEGAKKPRKKAAGTKATDPERPVSGQQAGGLRAAKDALISEATDFICQFKKSQANSLAHVLENSEDDEDNCNL* >Brasy4G022600.1.p pacid=40084099 transcript=Brasy4G022600.1 locus=Brasy4G022600 ID=Brasy4G022600.1.v1.1 annot-version=v1.1 MALEAVVFPQGHLGYSSTVTGEVPAATTSSLGIADGIDQEEYLLEEEKDGLLLQQQEDHAAGSAVLSPPPPAVPATPAGRGRASPSAVRRRRRRPKAAAKNKEEAESQRRNHIAVERNRRRQMNDYLAVLRSVMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRRRSMDLPAPPPPAPFARFFTFPQFSSGGVNGNENGGARRGVADVEVAVAESHANVKLLAPRRRPSSNSKQLLRMVLAMRCLGLTVLHLNATTADHLVFYSFSLKMEDECRLSSADEVAAAVHQMVAEIVAEDDGRTCQASLADGNGSARACLCNISSIDPS* >Brasy4G133400.1.p pacid=40084100 transcript=Brasy4G133400.1 locus=Brasy4G133400 ID=Brasy4G133400.1.v1.1 annot-version=v1.1 MSVASMVVAPGPLLRCRGSAAPPWLSSWSPFFLHLRLRPGPLPKGGPNLKGSRQEQDYSHSSDVAVRGSSGFKLQFYPKLNVRNIAQEWVQETRRLFYLKTANNVTNNIYKGSTLLAGNVQDYLSEDRRGLKYPYLQNLRERVPSKSIVNRHADDQELVGHRMVNVQDHLSEDRRGLKYPYLQNLRERVPSKSIVNRHADDQELVGHRMVNQPVQSVPAPISVVNDSPKCLSMPRDSKVEIPWRDYALAEDPLLDKSNTEVILELDDEVHDGDDNKEKELVVKKVVSPLPTKAAFSEESLKARKALASIYDKVLVVDNIESARSIVKLLTTKYKSFIHACHTEVADNDAKEKTPVGHGEVMCFSIYSANSDVQAADFGNGKTCIWVDVLDGGRGVLMEFAPFFEDPSIKKVWHNYSSDSHVIENYGIKVAGFHADTMHLARLWDSSRKNDGGYSLEGLTNDCRVMDTVPEDLPKLGKISMKTIFGRKKVRKDGSEGKAVSIEPVKELQREDRELWICYSSLDSMSRLRLYESLKSKLETRRWVLDGYPRGTMYDFYEQYWRPFGALLVKMETEGMLVDRGLLSEIEKVAIAERQLVADKFRKWASKYCPDAKYMNVKSDIQIRQLLFGGIENRDRPGETWPQSKTFKVLNEENVATEGKKTSKYRTIKLCSIVEDLKTGMFTSSGWPQASVDALRSLAGKIPTKYIYTMDDIQEDDEDTSGSENPDGDSSYGTAYEAFGGGKNGKEACDAIAALCQICSINSLISNFILPLQRDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPAFEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVDEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTDFGLSKDWKVTRKEARDTLKLWYRDRKEVLAWQKSQKKLVHEKCEVYTLLGRSRHFPNLTQLDRGERDHFERAAINAPVQGSAADVVMCAMLEIERNARLKELGWRLLLQVHDEVILEGPSESGEVAKAIVVECMSKPFYGTNILKVDLAVDAKCSKSWYAGK* >Brasy4G125400.1.p pacid=40084101 transcript=Brasy4G125400.1 locus=Brasy4G125400 ID=Brasy4G125400.1.v1.1 annot-version=v1.1 MPPPFVPRAGVVLLQRVAAGLAPACCEGTLPSQRTPASPSGAPAASSSGASSALSAVHCRYAAALAAAASALLWRAGALLLRHRRRPQHSAPKFPSRHSPSWSSQLHPPASSAQVAVAVFAAAAAILRARRRRRRSGRHQPLPTAVKTSGKNPELLRLPVLPARHPPSPAICSRRLPSQAIVRVRYRTSAHLLPPCALLRCPAFDWPPSLPSDCARAFLARLLPISCSSVPAAPAPRPILSRPQMQPLMLQKAPHVSKHSSTTIALQIQHPSSSFKSLPMRPARIASLAPTFAQDLDEAAEDVKEKRRTADEATVDPKILWKYSKREGLQMKLTVDPKILRKYAIDNDDFEVEKTLQNGKLSARGFPIPSPRAPSAPSRRPRACRSLSRPPAAASQPHAPSRPRAPAAASHFLADRPRPRPRNASAPPRAPAAPLSRSRAPTAASRRPPPALERTHAARRRRRPSLLSRRRRGYNRARPDVSSRPAPRGLIGAALTPPRRLAASCRRRRLLPHRASSRAAAAALTPPRCLAPPPTSPPAPRLLPPRASRAAAAAQSYLHTPAEFLRGIR* >Brasy4G286300.1.p pacid=40084102 transcript=Brasy4G286300.1 locus=Brasy4G286300 ID=Brasy4G286300.1.v1.1 annot-version=v1.1 MIPLKSISAHASLLHSLNSILLASSSSYPTHLFFSSASASSYICNLSSIHSGGNMLSLLSSSRYPMLGISFLRAAGADAPPD* >Brasy4G010800.1.p pacid=40084103 transcript=Brasy4G010800.1 locus=Brasy4G010800 ID=Brasy4G010800.1.v1.1 annot-version=v1.1 MRSNNMANANAVPRRLPLHLLEEITDGFSQDRELGSGAYGKVYLGVHKDRQKIAVKILYDTPGHDDRLFDKEFHNLTSLQHKHIVRLVGYCHETQRECVEYNGKMVLADVTKRALCLEFMQNGTLDKYLSDESTGHGWHTRYKIIKGICSGLKFLHEELKPPIYHLDLKPANVLLDENMTPKIADFGLSRLLGEEKTQITNSPIGTRGYLPPEYINQNIISNKFDIFSLGVVIIKIIAGPTGYSRSAEMSSEQFIDIVHGNWMSRLQATSADLQDSCSEQVKRCIEIALSCLEADRRNRPTVGDIVDMLNETETLENDHGSLIEQMSSCMVKETEPIDVHPVQLHFSFRANRLMSCPLHVTNNMDDHHVTVRFFPKTLETESYYHNNLKDLWGVVPPRSTHTFIVTMKEQRQPPGNMDELAIVVLSCLGKADRWDIDTLFRRAIEEKSVTLTTVCAITREMMTSEPIRPAVNKVVCHDDAFGKMNSIDVHPTEPWVLASNYSGAYGAFVSILNYESQAIVTNLECRRDDGHPSNVSSVRFIASKQLFVLGSNQGYIHVYTCMTIEKVNEFKAHEALVSTLAVHPTQPFLLSASCDHLIKLWSWDNGWACIRTFSGHSDFVNQVKFDPYDVNTFASVSCDRTAKIWSIHSSSRVTSLDRQPQNQSCVDFFRAGGDRNYIVTGSFNGTARIWDL* >Brasy4G010800.3.p pacid=40084104 transcript=Brasy4G010800.3 locus=Brasy4G010800 ID=Brasy4G010800.3.v1.1 annot-version=v1.1 MRSNNMANANAVPRRLPLHLLEEITDGFSQDRELGSGAYGKVYLGVHKDRQKIAVKILYDTPGHDDRLFDKEFHNLTSLQHKHIVRLVGYCHETQRECVEYNGKMVLADVTKRALCLEFMQNGTLDKYLSDESTGHGWHTRYKIIKGICSGLKFLHEELKPPIYHLDLKPANVLLDENMTPKIADFGLSRLLGEEKTQITNSPIGTRGYLPPEYINQNIISNKFDIFSLGVVIIKIIAGPTGYSRSAEMSSEQFIDIVHGNWMSRLQATSADLQDSCSEQVKRCIEIALSCLEADRRNRPTVGDIVDMLNETETLENDHGSLIEQMSSCMVKETEPIDVHPVQLHFSFRANRLMSCPLHVTNNMDDHHVTVRFFPKTLETESYYHNNLKDLWGVVPPRSTHTFIVTMKEQRQPPGNMDELAIVVLSCLGKADRWDIDTLFRRAIEEKSVTLTTVCAITREMMTSEPIRPAVNKVVCHDDAFGKMNSIDVHPTEPWVLASNYSGAYGAFVSILNYESQAIVTNLECRRDDGHPSNVSSVRFIASKQLFVLGSNQGYIHVYTCMTIEKVNEFKAHEALVSTLAVHPTQPFLLSASCDHLIKLWSWDNGWACIRTFSGHSDFVNQVKFDPYDVNTFASVSCDRTAKIWDL* >Brasy4G010800.4.p pacid=40084105 transcript=Brasy4G010800.4 locus=Brasy4G010800 ID=Brasy4G010800.4.v1.1 annot-version=v1.1 MRSNNMANANAVPRRLPLHLLEEITDGFSQDRELGSGAYGKVYLGVHKDRQKIAVKILYDTPGHDDRLFDKEFHNLTSLQHKHIVRLVGYCHETQRECVEYNGKMVLADVTKRALCLEFMQNGTLDKYLSDESTGHGWHTRYKIIKGICSGLKFLHEELKPPIYHLDLKPANVLLDENMTPKIADFGLSRLLGEEKTQITNSPIGTRGYLPPEYINQNIISNKFDIFSLGVVIIKIIAGPTGYSRSAEMSSEQFIDIVHGNWMSRLQATSADLQDSCSEQVKRCIEIALSCLEADRRNRPTVGDIVDMLNETETLENDHGSLIEQMSSCMVKETEPIDVHPVQLHFSFRANRLMSCPLHVTNNMDDHHVTVRFFPKTLETESYYHNNLKDLWGVVPPRSTHTFIVTMKEQRQPPGNMDELAIVVLSCLGKADRWDIDTLFRRAIEEKSVTLTTVCAITREMMTSEPIRPAVNKVVCHDDAFGKMNSIDVHPTEPWVLASNYSGAYGAFVSILNYESQAIVTNLECRRDDGHPSNVSSVRFIASKQLFVLGSNQGYIHVYTCMTIEKVNEFKAHEALVSTLAVHPTQPFLLSASCDHLIKLWSWDNGWACIRTFSGHSDFVNQVKFDPYDVNTFASVSCDRTAKIWDL* >Brasy4G010800.2.p pacid=40084106 transcript=Brasy4G010800.2 locus=Brasy4G010800 ID=Brasy4G010800.2.v1.1 annot-version=v1.1 MVLADVTKRALCLEFMQNGTLDKYLSDESTGHGWHTRYKIIKGICSGLKFLHEELKPPIYHLDLKPANVLLDENMTPKIADFGLSRLLGEEKTQITNSPIGTRGYLPPEYINQNIISNKFDIFSLGVVIIKIIAGPTGYSRSAEMSSEQFIDIVHGNWMSRLQATSADLQDSCSEQVKRCIEIALSCLEADRRNRPTVGDIVDMLNETETLENDHGSLIEQMSSCMVKETEPIDVHPVQLHFSFRANRLMSCPLHVTNNMDDHHVTVRFFPKTLETESYYHNNLKDLWGVVPPRSTHTFIVTMKEQRQPPGNMDELAIVVLSCLGKADRWDIDTLFRRAIEEKSVTLTTVCAITREMMTSEPIRPAVNKVVCHDDAFGKMNSIDVHPTEPWVLASNYSGAYGAFVSILNYESQAIVTNLECRRDDGHPSNVSSVRFIASKQLFVLGSNQGYIHVYTCMTIEKVNEFKAHEALVSTLAVHPTQPFLLSASCDHLIKLWSWDNGWACIRTFSGHSDFVNQVKFDPYDVNTFASVSCDRTAKIWSIHSSSRVTSLDRQPQNQSCVDFFRAGGDRNYIVTGSFNGTARIWDL* >Brasy4G312000.1.p pacid=40084107 transcript=Brasy4G312000.1 locus=Brasy4G312000 ID=Brasy4G312000.1.v1.1 annot-version=v1.1 MSPPAPSSSVAQIALHTSLRPPNHLLPSSASPSTPMASHIAAVSVATAASSPHVAAFSLSPRPRPTRAVTLCRGARAAPLLRSCTRSRGASQVCAAQGQDTAIQVPDVNKSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLKCYKLNTDENPDMATQFGIRSIPTMLIFKNGEKKDTVIGAVPESTLVTCVEKYVET* >Brasy4G328600.1.p pacid=40084108 transcript=Brasy4G328600.1 locus=Brasy4G328600 ID=Brasy4G328600.1.v1.1 annot-version=v1.1 MMINLRLVSQNIRSCRLRAFALPGLRANAANSFLGCLSHTEERHATFLDGIELSFVQEVLVAPSATKFRRWRWSPSACGGVGSSLGRRGCAGSGERGAAAIDGRRRRRRIRGINPATKPRSRNVQISEDPQSVAARHRRERIRILQRLVPGGTKMDRASMLDEAIRYIKFLKRQVQELQSPPSASGTTMAAAYHGGGSGAGRAAEGPGRRRPRSWLGPWWIGPRG* >Brasy4G234000.1.p pacid=40084109 transcript=Brasy4G234000.1 locus=Brasy4G234000 ID=Brasy4G234000.1.v1.1 annot-version=v1.1 MVAAEDEVGRRFGKAQVSSMVEFRTRTAAGGTRTPSPRGSHTSHGSGGLVTTAAAGGGTAGERVRKTGERKPKGRECERNLPRERVQGRIRRWRGRGCRIRRSRRSRAIGDAGGRGRSGRGGPDAGGQGRRALLLRPPRGREGAAPYGEEAAPCREEAVPRGRAGARLVLVAGGMQRGERPGEGDRNAERREIGERGR* >Brasy4G137400.1.p pacid=40084110 transcript=Brasy4G137400.1 locus=Brasy4G137400 ID=Brasy4G137400.1.v1.1 annot-version=v1.1 MMQQPPPSQPQPGMGAPMPGAAGQPPQWGTIPPPMPHQYAQPPPQQPQAMWGQPPPQAAYGQAPAPQQYYAAPQAPAAPAAADEVRTLWIGDLQYWMDETYIHGCFASTGELQSVKLIRDKQTGQLQGYGFVEFTSHAAAERVLQGYNGHAMPNVDLAYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAANRKTTGVQERVPNTNTQGAQSDNDPNNTTIFVGGLDPNVTEDALKQVFAPYGEVVHVKIPVGKRCGFVQFVNRPSAEQALQLLQGTPIGGQNVRLSWGRSPSNKQAQPQQESNQWGANAGAGYYGGYGQGYDGYGGYAQPQDPNMYGYGAYAGYPNYQQQAVAQQPPQQQ* >Brasy4G193300.1.p pacid=40084111 transcript=Brasy4G193300.1 locus=Brasy4G193300 ID=Brasy4G193300.1.v1.1 annot-version=v1.1 MEVVDKTAEPKGPSVATAQQPNNSELPLSLVIDASRERESTQQPDEKDVSAEGEESFQSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEDKPEPPGCLMRGLSAKGHSMDNIFIHVTDVEPNVVGSVNGLVEEVVSGAVAAPFPATVVPEPIEAVPSTQALEEKDCVSGASLPPSGTASSHSSSDSTGVVAATSIRNSCTSTSGSGVITSEPMVTVERTQDSTKVSLRGNSMESVKTSISRASDSSGVSDDSNWTNITGAASKPHKGNDPRWRAILAVRTRNNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQSRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLQCAVSPTLVRASASDSDLRRAGGAFCVQPACMEPSSACIQPAACFMPRMFGQKSKKQGGRKQRSELGQSFTTTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCGTPPEVPKPVEAELPAKYGVAQPVASGSKREVTCAEVKSGGKFLDFEFF* >Brasy4G308400.1.p pacid=40084112 transcript=Brasy4G308400.1 locus=Brasy4G308400 ID=Brasy4G308400.1.v1.1 annot-version=v1.1 MAFLFNKFQEAIRTLAKNPKFARDPRHLQFDADVNRLFLYTSYYRLGEHSEEKDAEEIIDMASKASVTDQQKQVQGNVHYQLKHMCQAMDSILRPDIKNDPLQSPSDTHNKSRRSGLSFAIGTGVSSANKPVVPATRPLTQAELSNKFRDQFGYTLDIRPSGIPHKDAGQGLFLSGEARVGAVVAIYPGVIYSPAYYRYIPGYPRIDACNSYLITRYDGTVINAKPWHLGGETMELWDGSHLVDYNSTPSTSPESNSDRAWRMLNKPLVKSRNENFGEVLERRNPLAFGHFANHPPGGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEPVTMKRFGSFWFKSRGSGKQTGESSVLKTLVLVSTRSICDEEIFLNYRYSSSKVRPEWYTPVDEEEDKRRWS* >Brasy4G385300.1.p pacid=40084113 transcript=Brasy4G385300.1 locus=Brasy4G385300 ID=Brasy4G385300.1.v1.1 annot-version=v1.1 MSAALTVSATMGVMDPLLAKLAALTGDEYRMLTGVRKQVSFLEKELSAMKAALEKMELMDDHQLDPQAKNWRDHVREMSYDMEDCVDDFTRDLGGAGDDANAGFVKKITLFFQTMWASHQIGYRIGELKVLVLEANERRLRYKIDDCINSAFRAVPVDPRMSAIYKEESGLVGIDGPKKEVVSWLTDTEKKLKVVSIVGFGGLGKTTLAKQVYDEIQGQFCCKAFVPVSQRPDMAGILNRLKLKFGMDDSSRVHEVQDIIDELRVYLANKRYLIVLDDLWDQSAWNTISCAFVESCTGSRVIVTTRVEDVARNACQSYPECVYRMEPLKYPDSRKLFFNRVFGSEDDCPSQLQEVSAQILKKCGGLPLAIVTIASLLASHQARSRNEWESIRNSLGGKFSTKPTLEEMMSILNLSYMHLPLHLQACFLYLAMYPEDHEIKRDDLVRQWIAEGFVNHLHGSDSENAAKSYFNELINRSMIQPAYTEYGEVLSFRVHDMMLDLILRKCAEDHFISVVYRCKDMAIELGREYKVRRLCLNFSNSDKTSSGIIDTSLSQVRSFAQFGESKYTPPFSHFRYLRVLVFELPDLWNMIVDLTSIGQLFQLRYVKVSAVSGSIVLPTEIQGLALLETLEIYAQSIQSIPSDMVLLSRLSRLVLRGHSGMPTGIKNTKSLRTLDWSDVYHKISAEDLKGLGELTNLTELSLVSHIVLEAAADALVSSIAKLRKLRSIALNYWCPELDDSQLYLLSNPPFHIEKLDLRGWPFKRVPKWIGDLHRLWNLDLSVVHLSTAEVHLVGKLPSLVKLHLWNLCGPADGAAIKICAGLFPVLEVLGLFSQHDDAAACMQFEAGGMPNLQELTLRLSCRWGGATPLGMEHLSALKQIHVDVRSSIQRLLDAKSAFRSAAEMHPRRPSVTIY* >Brasy4G013100.1.p pacid=40084114 transcript=Brasy4G013100.1 locus=Brasy4G013100 ID=Brasy4G013100.1.v1.1 annot-version=v1.1 MQLVAARPNKAAPKRTSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPAHLRGLPSPGAAQAAAAAARFIGGAGSRPDAWDRVVGLGFPPPSDGASASASASPLLLNFHSGSVGLDVSSPSAADISRKRRWEQEMQQQQQQQQQQQYQQQMAGYTTQQQSQMPSGTVWMVPSSSNNTPSSGGGGSGSGGESIWTFPAQMGGYRGSGLHFMNFPAAPMALLPGSAQQLGLGPVGGGAVAGGGAGEGHMGILAALNAYRTQAAAPTDAGASSHSQQQQQHGGGERHESMSTSES* >Brasy4G137200.1.p pacid=40084115 transcript=Brasy4G137200.1 locus=Brasy4G137200 ID=Brasy4G137200.1.v1.1 annot-version=v1.1 MANSPTSSPETTTTSRCITEHVTATLNFEVNNYPQLKGMGSGEFVASSVFRVGGYDWEIRFYPDGINSRCGGSASCFLRYLSQADEERAKFRLEMLEKKQQGQEPPQLVVSHGVEQHAFSPASNDWGYARFVSRSDLKSASRQKSDLGFTIRCVLTVRNESPAMELPGHLERMLVDGPGADVTFVVGRGLLPAHRALLAARSPVFRAQLFGPMSEKDMGRVEVVDVEPAVFGMMLRYVYTGSLPPYNENDNGGGCNCNSSAAVMQHLLVAADRYGLERMKLMCEEELCKRIDVETVVTTLALPRQHHCGRLKSACLAFMASSAEVLAAVVETDGFNEHLKACCRPLTLEGSLEDQGQP* >Brasy4G362600.1.p pacid=40084116 transcript=Brasy4G362600.1 locus=Brasy4G362600 ID=Brasy4G362600.1.v1.1 annot-version=v1.1 MAAEVAAAEGAPSTAPSCLHGGHASRRCCGGGGGGCGLGRLVRRLRRQGRQALCAAARPASASAALRGCQQYDPLSYARNFDSDAENAASSLYYSHTFSSRFVLPPPSAAAAAAAARPTAASH* >Brasy4G056700.1.p pacid=40084117 transcript=Brasy4G056700.1 locus=Brasy4G056700 ID=Brasy4G056700.1.v1.1 annot-version=v1.1 MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSLSELRPVYGLIFLFKWVSGGKDERPVVNDPNPNLFFARQVITNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRAAHNSFARPEPFVSDEQKVAGKDDDVYHFISYLPFEGVLYELDGLKEGPISLGQYSGGPDDLGWLQMVQPVIQERIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKKREQILQEMNKTTAAESLNSELSEVASAIETVGEKIIMEGEKFMKWKTENVRRKHNYIPFLFNLLKTLAEKKQLKPLVEKARQQKAPSASTS* >Brasy4G021300.1.p pacid=40084118 transcript=Brasy4G021300.1 locus=Brasy4G021300 ID=Brasy4G021300.1.v1.1 annot-version=v1.1 MASIALAVSLLLSLAQLSAGTRRLAELYKPPASDQLTYHNGGVLSGDIPVSILWYGKFTPAQRSIVSDFLLSLTSSSSAAPPTPSVGQWWGTIENLYLSNAATRVHLADQVTDEQCSLGRSLTLAQIDDLAASVGGNDKKSASGGITLVLTDEDVAVEGFCSSRCGRHGSAPSADSAHIWVGNSAKQCPGQCAWPFHQPIHGPQGAPLVAPNADVGMDGMVMVLATMVAGTVSNPYGDGYYQGEKGAALEACTACPGVYGSGAYPGYAGDLLVDGTTGASYNANGVGGRKYLLPALYDPATSSCSTLV* >Brasy4G122800.1.p pacid=40084119 transcript=Brasy4G122800.1 locus=Brasy4G122800 ID=Brasy4G122800.1.v1.1 annot-version=v1.1 MAGLVIRATPARRARRANASSPFARDLLRARSRPERMRSSSSCSSKSYPLSAMWIGTRPIVYYRHLCNPDLCRSFRVVLFSPIFLCSDGLVAWPLVAKSGVEMHRKRALKRLQKNSLAFGGQTSVVCHVRIWWA* >Brasy4G394200.1.p pacid=40084120 transcript=Brasy4G394200.1 locus=Brasy4G394200 ID=Brasy4G394200.1.v1.1 annot-version=v1.1 MARLAGTHLIQTEEPMQPAKPAAGLIRPPPPAKARPSGSVVRLLLLRAPAAAVALAAALAVSSSSPALPPAPAQQQEQKASPAPEDTMRNVPRTLSGEEGKGAERIKHPRSPMAARCTSKCVSTCVLGGAGAPGVGGPFNVRRPLVVFKDGFRSRQYCLVECSDVCNLIKDGEDDQ* >Brasy4G253100.1.p pacid=40084121 transcript=Brasy4G253100.1 locus=Brasy4G253100 ID=Brasy4G253100.1.v1.1 annot-version=v1.1 MPTQKEEMRGLSTDGERLQWTTTTKKKKVCVVGAGMAGLAAARELRREGHDVTVLEQSGDVGGQWLYDPATDEADPLGALAPVKVHSSMYASVRLISPRETTGFTDFPFAAMDGRDSRRFPGHREVFLYLKDFCHAFGLMDAVRLNTRVLRVAMSTPSRRRWAVRSVGVTDAEEDKEEVFDAVVVATGHYSQPRFPSIQGMEAWSGRQLHGHSYRVPEPFRGQVVVVVGTGESGKDIAMELLGVAKEVHLVARSMEDVTPGLAKVLAKHSSNLHLNLNLERLCEEGRVVFGDGSVVVADAVIYCTGYNYSFPFLDTGGAVTVDDNRVGPLFEHVFPPSLAPSLSFVGIPRKIFAPRFFEAQGRWVAQVLSGKRTLPTEEEMLRSVEEFYRARESAGVPNKYTHEIGGLDRTYMDEFGEKYCEFPRVERWKYELLLSSVNNMIDNFETFRDDYQDSDSVLKGVQEWQLCAQHRPAAPTPTVIGLHEQVRGSGR* >Brasy4G008800.1.p pacid=40084122 transcript=Brasy4G008800.1 locus=Brasy4G008800 ID=Brasy4G008800.1.v1.1 annot-version=v1.1 MASSRATSAALFFTVVFVLLSGARSQQPYGSQIADCPNKHNDTGMLGYFCNGAPSCLSYLTFRARAPYSDAASIAALLGADAASLAAANSVSPSSSIARGTKVLVPVACACTGRPRHYQSNVTSYVAVPGDTLLIIAKNTFQGLTTCQAVEEQGLGGKPPESLLAGQRLSVVPLRCACPSAAQAAAGARFLVSYLVDEFDEVGAVAARFGVDAAGIAAANGLKSDGTIFPFTTLLIPVESPPDISQLRSPPPPPPPPPVASVPAAEKRTNRAGVYIGVAVAVAAVVAIIASVGAVLARKRRRRARAGAAVATGGKGTGKASYGTTSAGEVSVTISEAFSSLSDIKSSLKVYTYVELTAATDDFSAARRIGGSVYKAIFGADTAAVEVVAGRDASKEVELMSRMNHFNLVRLTGLCHHRGRWYLVSEYAAHGTLRDRLLLGATAAPAPALSWAQRVRVALDAAEGLRYLHEYARPPCVHMDVRSGSVLLDGALRGKVRNFGCARAIRGGGAGEAGPPARDFTMTSSIAGARGYVAPEYLEHGVVSPKADVYSLGVVLLELVTGKGVEELDGSRGDPLAGLGALTTGDSEEDEGAALEEFVDPAMAAGSCPRDAVVMMVRLIERCLRRDAAARPSMGEVAQYLLKLSGISGDSWQSSSEYHRPPELWQPDGQSTASQCLG* >Brasy4G306800.1.p pacid=40084123 transcript=Brasy4G306800.1 locus=Brasy4G306800 ID=Brasy4G306800.1.v1.1 annot-version=v1.1 MSSVAGGVVGTVAAAVGGGAAVPLHVLAVDDSSVDRAVIAGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSKLKQIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRPSDVSRVFSRVLP* >Brasy4G227600.1.p pacid=40084124 transcript=Brasy4G227600.1 locus=Brasy4G227600 ID=Brasy4G227600.1.v1.1 annot-version=v1.1 MAPSPPTAAKTIADFFVRPAKRLRSSSSTVPATTIPAASSASSLSPEQRRRADTNLALARARRNLRLAESKASGGEAKLEELLVEETWLEALPGELRKPYALELCRFVAQERLHGKVPVYPPPHLVFHALHSTPFDSVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLTNIFKELHKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISQKKSGLVFLLWGNSAQAKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSQTNEILERLGLSTIDWQV* >Brasy4G373200.1.p pacid=40084125 transcript=Brasy4G373200.1 locus=Brasy4G373200 ID=Brasy4G373200.1.v1.1 annot-version=v1.1 MAASRKAPSLVVAASLGAVEALKDQAGLCRWGYALRSLHRRALSASLSETVAASGASRPASSSSAAAEDVRLHKAHHLVCWGPN* >Brasy4G192200.1.p pacid=40084126 transcript=Brasy4G192200.1 locus=Brasy4G192200 ID=Brasy4G192200.1.v1.1 annot-version=v1.1 MDGSHVPLSLLVSKNACLVHLLLSHSLLSLSFSLTAIPTSSASGAPALGTLLILPWPPASSVPDPPSPVPHCRRLPSRFRPGTGKAGLPRTGTADACAPPAAAPASWAAQKNGSAAKPALAPTAASWW* >Brasy4G283200.1.p pacid=40084127 transcript=Brasy4G283200.1 locus=Brasy4G283200 ID=Brasy4G283200.1.v1.1 annot-version=v1.1 MEKETKGDDGGDLKLLGLTVSPFVIRVRMALQMKAVSYRYIEQDLFIKGDLLVSSNPVHKKVPVLIHGGRPICESLAIMEYVDEVWAAAATTGPSILPADPYERATARFWAAYSDRKLLPAWVGIMWAATEEERTEKVDETLAAIGRLEEAFGECSNGKAFFAGDSVGYLDLVLGSQLLWFGVLREMFGVVVIDDDAGSETPLLASWAKRFEETDTAKEVVPDVDTAAEYLKKLQAHRPGSTVTQLLS* >Brasy4G187400.1.p pacid=40084128 transcript=Brasy4G187400.1 locus=Brasy4G187400 ID=Brasy4G187400.1.v1.1 annot-version=v1.1 MKQKDPSAKEKGPGCEETRTKIRGEGKVKEQGKPDCTFGGPRGEVSRPFPRLFPGREFHGPVGQELFPGLARSLALTTPPSFPAVTRSNVAASLRRPRLRPIPATASDTAVSLQRPRAACLRRRDPAANLRRPQAASLRRRDPDASLRRPRGTPPPPCPAPPRGSSSLLIPLDPKLLCCYILDL* >Brasy4G167600.1.p pacid=40084129 transcript=Brasy4G167600.1 locus=Brasy4G167600 ID=Brasy4G167600.1.v1.1 annot-version=v1.1 MKFIHLMDGHTFPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPTVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G167600.4.p pacid=40084130 transcript=Brasy4G167600.4 locus=Brasy4G167600 ID=Brasy4G167600.4.v1.1 annot-version=v1.1 MDGHTFPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPTVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G167600.2.p pacid=40084131 transcript=Brasy4G167600.2 locus=Brasy4G167600 ID=Brasy4G167600.2.v1.1 annot-version=v1.1 MDGHTFPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPTVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G167600.3.p pacid=40084132 transcript=Brasy4G167600.3 locus=Brasy4G167600 ID=Brasy4G167600.3.v1.1 annot-version=v1.1 MDGHTFPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPTVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G233600.1.p pacid=40084133 transcript=Brasy4G233600.1 locus=Brasy4G233600 ID=Brasy4G233600.1.v1.1 annot-version=v1.1 MPPSPRPLGVAPAPASHSLNLFPRRRCLPAPHAVNMAASHAHDALFLRRAADVADLSAGLASPHPNFGCVIARPQLETDDVEAWVVGEGYLYSQGTPCAELLAAEEAGEHARGATAYLNLEPDDCYGNSTAVSSLVQAGVTRVVVGLRHPLKHLRGKAIQSLRHQGIQVDVVGEDLQSKLFKEALASCLIINAPLLYRAAFHVPFSVLKYAMTADGKIAASTGHASWVSGKASRGRVFELRGRSDAIIVGGNTVRLDNPRLTARHVKGGHVPVRIVMSQSFNLPEEANLWNVHDAYTIVATQRGARRDLQKKLAMKGVEVVEFEMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISARVIHKVYAFFAPKIIGGVNAPTPVGELGMKQMTQAIDLIDVSYEQVDRDMLMSGFLQPIPDLSPVIPSVDEIPSVDPEVSPYETNIISFYKTWDTFGAFANFSPHPISMPDENGDCFTWPTVEHYYQAHKFVSVDNPQARDIVQEIKQARSPEEAARIGRTRQRELPELVRSDWESTKIDVMYRAIKCKFSTYLHLTEMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVQTTGKVEESA* >Brasy4G233600.2.p pacid=40084134 transcript=Brasy4G233600.2 locus=Brasy4G233600 ID=Brasy4G233600.2.v1.1 annot-version=v1.1 MPPSPRPLGVAPAPASHSLNLFPRRRCLPAPHAVNMAASHAHDALFLRRAADVADLSAGLASPHPNFGCVIARPQLETDDVEAWVVGEGYLYSQGTPCAELLAAEEAGEHARGATAYLNLEPDDCYGNSTAVSSLVQAGVTRVVVGLRHPLKHLRGKAIQSLRHQGIQVDVVGEDLQSKLFKEALASCLIINAPLLYRAAFHVPFSVLKYAMTADGKIAASTGHASWVSGKASRGRVFELRGRSDAIIVGGNTVRLDNPRLTARHVKGGHVPVRIVMSQSFNLPEEANLWNVHDAYTIVATQRGARRDLQKKLAMKGVEVVEFEMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISARVIHKVYAFFAPKIIGGVNAPTPVGELGMKQMTQAIDLIDVSYEQVDRDMLMSGFLQPIPDLSPVIPSVDEIPSVDPEVSPYETNIISFYKTWDTFGAFANFSPHPISMPDENGDCFTWPTVEHYYQAHKFVSVDNPQARDIVQEIKQARSPEEAARIGRTRQRELPELVRSDWESTKIDVMYRAIKCKFSTYLHLTEMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVQTTGKVEESA* >Brasy4G257800.1.p pacid=40084135 transcript=Brasy4G257800.1 locus=Brasy4G257800 ID=Brasy4G257800.1.v1.1 annot-version=v1.1 MQMAMEEAPASDTRRRFREYLLALEEERRKIHVFQRELPLCLDLVTQTIEGMKSQMDAVVGSEETVSDHGPVLEEFIPLKPSLSLCSSEEESTHAAAPANSPKKDESARARPPTPETKKAMPDWLQSVQLWSQEPQQSCPNKELPCKPVALNATKTGGAFHPFEKEKRAEAELPASSTTAAASSAVVGDSCVDKATSDTEVAHEKKDIGKDMEKDNKDQGQSSQQQQHNRKARRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTTRRPSPAAAQSNSPAQAAAPQFVVVGSCIWVPPQEYAAAAAQQQQANSNSTAVYAPVATLPNSSSSALYVPSKKKQTTSSRHSDEQRSGDGSSSAGSPAVSSSSQTTSA* >Brasy4G429600.1.p pacid=40084136 transcript=Brasy4G429600.1 locus=Brasy4G429600 ID=Brasy4G429600.1.v1.1 annot-version=v1.1 MAAAEPPAPTTTSTGMGFLGLLSFRRSGTAVASFDPAQDGAELAVLDAFQAHVADRLAALSTQAPHTPAGAVLSLGFLSKLLDAVVTSDAAFREALLLGSVGGAVLARHPADRLAADLLDRAVRALDVLNAVSLALASLRGSHRAALAAADCLLLLPAGAKPHRAHFARARRAISRLFPAAAAPPSTPCSSRAARALSFSVSSKNWSSSSSSSTGAGRHAAALPMPQGLAAAPGAGCGLGLALYTMSSVLAFAMWALAAAVPCPAVASAAPLAPPKHAQWAAPMALLQDRIVEESRKKDNNKKGSSSSSSSSSSGLLAEMQAVERAARELNALLEEIAEEEEEEDEEPAAVGEDRAADVVERAEALAGACRALEDGLAPLERQVRAVFHRVVASRGEVVRCIEQSARSAAAGPASAGSPAPPQHHSF* >Brasy4G432600.1.p pacid=40084137 transcript=Brasy4G432600.1 locus=Brasy4G432600 ID=Brasy4G432600.1.v1.1 annot-version=v1.1 MSAAHLLRHSRKLRSLRNVVDCERAGLARFFSTGSGSFAVKENGVEKRIGGTRFSQYNQPGKDLQTFKVSLGGVIRSSTCRRTPSSRISSAVTGINGSLSRVLVLSSRSFSSSADLPPHQEIGMPSLSPTMTEGNIAKWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIVQGDGAKEIKVGEIICVTVEEEGDIEKFKDYKPSTSSDAPVAPAESKPKSEPAEPKVEEKEPAKAPEPKAPKSVEPLRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLASAAKGGKSESFTASGLDYTEIPNAQIRKVTANRLLTSKQTIPHYYLTVDTRVDKLIKLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYNNVNINVAVQTEHGLFVPVIKDADKKGLGTIAEEVKQLAQRARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGGADGQYEFGSFMSVTMSCDHRVIDGAIGAEFLKAFKGYVENPTTMLL* >Brasy4G240400.1.p pacid=40084138 transcript=Brasy4G240400.1 locus=Brasy4G240400 ID=Brasy4G240400.1.v1.1 annot-version=v1.1 MQHERGASDTPSSSSAPAVKTSAAAPSTSSANVAGSSAWYDSDLVSRRADEPVDLEHLGETHAPLRCGGCDGVGHSVSSGPNKKPWEYVAPFLGFEAFGQGFFSIPVDESSVEAREDLFFGLVTIIHGVASGKQVEAEFKGWAGPDSSWRFFAKILSPTEYLVRFPTEKLLLDLSYFPELCMHNVVGASFKVEKWRGDIQPKAVLEESWFRVKGIPMRFRTRETVFYVANLVGKAKGIDMGSLRNYAYVRVYIACQDVSLVPATRDGELADGMYEFEYVREIVDHVQARTQKNTVLIIDNSEQEHDLSSKRQRVEGQSDLPAGSGDGQDIGGGIHDGGAARSSQLSSSSYVNVGANGTELVMFDYKRKSKCQPSVSFTPMETVGEHEDENYLSTQVNLLGYGDPGQSSSHGQG* >Brasy4G095000.1.p pacid=40084139 transcript=Brasy4G095000.1 locus=Brasy4G095000 ID=Brasy4G095000.1.v1.1 annot-version=v1.1 MEPCRHWSLPRRGRCVRNGAVEGGRCATVWLVGNGDLLGFSFFVIIVCRQIADGNPLIAGLTVGEKWDNLKFCQFYKTISSVEVALARTFKIEFATHCIVLIGACCLGGHRAYYGLDSAGSLFCKQVDLNEDWKLLGAAPGGFGKIQADSDFLINFLKFTRSGEDETHPVLRHEDDSPVMTHENVAILEGGEWFQRQNALINEKLDVLRFWTED* >Brasy4G277900.1.p pacid=40084140 transcript=Brasy4G277900.1 locus=Brasy4G277900 ID=Brasy4G277900.1.v1.1 annot-version=v1.1 MLLQEIKVAVSAVFNVRSLPLANGNDEKTHMDILRWLQSWFGFQKGNVANQREHLILLLANMHARLNSKSSSAPVLDDRVVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCFIFHHMSYELYGVLSGAVSLITGEKVRPAYGGENESFLNKVVTPIYAEISAEALKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSTKNKKSHQSELQNPTIPHGSSSAQNVVNSEAPDHTQQQTTSDTSQQRWLGKTNFVEVRSFWHLFRSFDRMWTVLVLGLQILIIMAWHGFESPLQLLDPNFFEDVLSIFITNAVLRVIQVILDIAFSWRTKGTMRFSQKLRFSIKLFLAVTWAIILPIFYSSSQNYTCSRRRPKNFLGMFCLSKYMVVVAFYLASNVIGMALFFVPAPQSYVGRGMHEGQVPLLKYTSFWTLLLSSKFLFSYYFEIKPLVEPTKEIMKVNVNKYEWHEFFPQVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIVHHLGEIRTMGMVRSRFCTLPEVFNACLVPRSMPKEKKGILPSFLENKIFKNLGKSERHDPTKFSLVWNQIINSFRSEDLISNREMDLMTMPMSLEYSSRSIRWPLFLLAKKFSKAVDMAANFTGNSTQLFWRIKKDDYMFCAINDFYELTKSIFIFLIIGDVEKRVIAAIFAEIKKSIQNSSLSVDFRMDHLPLLVDKIEQLAELLYTNKQGLGYEVTILLQDIIDTLIQDMLVDAQSVLDQINSSETLISDDDGTFDYYKPELFASISSVSKIRFPFPDTGPLKEQVKRLYLLLNTKEKVAEVPSNSEARRRISFFATSLFMDMPAAPKVRSMLSFSIVTPYFMEEVKFSEEELHSNQDEASILSYMQKIYPDEWTHFLERLGSKVTIEEIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPVVPERGQSKRNIHQSLSSELDALADMKFSYVISCQKFGEHKSNGDPHAQDIIDLMARYPALRVAYIEEKEIIVQNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGVSKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLGRRFDFFRMLSMYFTTVGFYFNSLISVVGIYVFLYGQLYLFLSGLQNALLIKAQAQNMKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAAFSDFILMQLQVASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKAFELVFLLIIYHIFRTSYGKVHVMVTYSTWFMAMTWLSAPFLFNPAGFAWHKIVDDWADWNRWMMNQGGIGVQPEKSWESWWNAENAHLRYSVLSSRILEVLLCLRFFIYQYGLVYHLKISHDNKNFLVYLLSWVVIIAIVGLVKLVNWASRRLSSKHQLIFRLIKLLIFLAVVISFILLSCLCKLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAVLAWMPVISAIQTRVLFNRAFSRQLQIQPFIIAKTKRR* >Brasy4G027800.1.p pacid=40084141 transcript=Brasy4G027800.1 locus=Brasy4G027800 ID=Brasy4G027800.1.v1.1 annot-version=v1.1 MSKSSTASSRSRSGTFPSPGTPNYHRHCTGNMQYPKGWSSERVPQGASSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPAGVPGAYASVSPMVPYFDGVLAAANFAAHSPFSAGVLMPGHVRNGDFSSGRGRCGDDGSSRSYSAEKDPYILRSASINAWTETLMEASAFAHISEEVAQDDGLQGPQGETPVISSPIIKKDVATQMSPDDSIISSSPIARHSCSSLPSGRAIREPNNHTPKVEVRDVEVDDQITVTRWSKRHITRGSDKRSTNIVEWRKKTTEARAPSFDEKERETCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRSAEKKAQDMRSTVSVSEDQCGVRATRKASYLSRTGKSFSCCFTYHAC* >Brasy4G204800.1.p pacid=40084142 transcript=Brasy4G204800.1 locus=Brasy4G204800 ID=Brasy4G204800.1.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPSPLVQLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.6.p pacid=40084143 transcript=Brasy4G204800.6 locus=Brasy4G204800 ID=Brasy4G204800.6.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPSPLVQLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.2.p pacid=40084144 transcript=Brasy4G204800.2 locus=Brasy4G204800 ID=Brasy4G204800.2.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.3.p pacid=40084145 transcript=Brasy4G204800.3 locus=Brasy4G204800 ID=Brasy4G204800.3.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.7.p pacid=40084146 transcript=Brasy4G204800.7 locus=Brasy4G204800 ID=Brasy4G204800.7.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPSPLVQLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.8.p pacid=40084147 transcript=Brasy4G204800.8 locus=Brasy4G204800 ID=Brasy4G204800.8.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPSPLVQLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.4.p pacid=40084148 transcript=Brasy4G204800.4 locus=Brasy4G204800 ID=Brasy4G204800.4.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.5.p pacid=40084149 transcript=Brasy4G204800.5 locus=Brasy4G204800 ID=Brasy4G204800.5.v1.1 annot-version=v1.1 MSGGGRPPAAQKILQSLRPPPVFSAPARPPFASPDDYHRFPAPTPSSATGSGSGGIGAGGVGADIEEGLIIRTPLKRKAAREENDASESSDCMIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.9.p pacid=40084150 transcript=Brasy4G204800.9 locus=Brasy4G204800 ID=Brasy4G204800.9.v1.1 annot-version=v1.1 MIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.10.p pacid=40084151 transcript=Brasy4G204800.10 locus=Brasy4G204800 ID=Brasy4G204800.10.v1.1 annot-version=v1.1 MIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.11.p pacid=40084152 transcript=Brasy4G204800.11 locus=Brasy4G204800 ID=Brasy4G204800.11.v1.1 annot-version=v1.1 MIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAPEVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G204800.12.p pacid=40084153 transcript=Brasy4G204800.12 locus=Brasy4G204800 ID=Brasy4G204800.12.v1.1 annot-version=v1.1 MIISPGFTGNKLLTPVSGKAVKTSKSKAKNNKAGPQTPIQNVGSPLNPATPGTCRYDSSLGLLTKKFINLLKQADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGLSALQAEVEDLNLQEQALDERISDMREKLRGLTEDENSQRWLYVTQDDIKGLPCFQAGDYLQRRYRIVLRSTMGRIDVYLVSQFDEGFEDLGGAATPPRHTNVPTHRPPEDLHTTNAAQSSKSMDVEHNIQYSQNTPHDPSSAHDFGGMTRIIPSDVNTDADYWLLTEGDVSITDIWKTAQVQWDSDVFLPEDVSTPRAHNQHPVAVGEPRMQVQSMDQP* >Brasy4G067800.1.p pacid=40084154 transcript=Brasy4G067800.1 locus=Brasy4G067800 ID=Brasy4G067800.1.v1.1 annot-version=v1.1 MAEEGHKVSLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGKEYFFGGGIQSTAAGATQYGRPVRVLDLGVTHLPQEVFEDYLRDIAPRYTAETYRLLSHNCNNFSNEAAQFLVGAGIPDYILNLPNEVMSSPMGPLIMPMIQNLEATLRNNAAPETTQFVPTPASVSPPQPAAAAAAASKTPSPTATAATSSAAAAGSSSKQEDKKKPEKETATPQAAAAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATTMQQS* >Brasy4G361800.1.p pacid=40084155 transcript=Brasy4G361800.1 locus=Brasy4G361800 ID=Brasy4G361800.1.v1.1 annot-version=v1.1 MATSPPRSPNSTEAANLAVVARAAPPRAWSTLVSRLQPLSNSLLLAAVSDFLGRLFVGRRRQRRRRRPALPLPIYDDAASSARIAGGMPKAFAILEDIVQHTLCNLHSIQKSLLYWKSRDEGTNSHKMYFMIFERGPKAFVETTCQTLSTLRRNESPSQYLLNSASDMVSRKLAVLTSMQHRLAAFLAEVYSEIDKCREGLTESSDKSLHTLFVLLNTVFTKLEVSFRNASEEQNLLSTHDGDSSELFFERLPEVDVESPQWTDALSTDAISLIYQNVQKLDSFIFSQLSSHKKPRNMTIYWLPYTCGAIGLSACSLWLLRHSSLMGSSDLDNWIQDAKESVTGFWDEHVEKPIISIRDELFETFKRTDKRVMEKEEVQLTEESLRRMLIAFCEQTSNEKLSQDASSQELLEIVMKRYEKESMHPIQNLFSGELARAMLIQVQKLKLDLQEAMLELDQILKANEINFAILAALPAFGLSLLLLVLVRAWAMHDQGAEGRGRIARHQRWQLLIEVEKRLKEFQKCMVNEMEEEACCKFGLTLYTLDRLYKAVEFHARKTGEWSSLRDDMFDLAKPNVGAADKLDVLSGLKWNYACLRPSPS* >Brasy4G167100.1.p pacid=40084156 transcript=Brasy4G167100.1 locus=Brasy4G167100 ID=Brasy4G167100.1.v1.1 annot-version=v1.1 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKENGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCS* >Brasy4G149900.1.p pacid=40084157 transcript=Brasy4G149900.1 locus=Brasy4G149900 ID=Brasy4G149900.1.v1.1 annot-version=v1.1 MAGRHTIILMQPSQNRGSRTFMDYNSVNHALDGICGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR* >Brasy4G395800.1.p pacid=40084158 transcript=Brasy4G395800.1 locus=Brasy4G395800 ID=Brasy4G395800.1.v1.1 annot-version=v1.1 MNEASSHRSSHQFADSTRGKLQKEATFLSTTGGGGDYRERASPIQHDDTQCGLTVPPTAAAAEQGRRARERSETRAGGAAIGVNPEARGPSRRIGEGAPQGGRPASQLASPRNPRSPRGTPGRWAPTPRPSPGSKTAIGHGSQPAESVRRREGAGDGGRRLGSDLGDSRRSEGGRGSRKEGEDVDQSRICADSWGARGGGRSRGRCGVGPGGAAALLGPARLRQRGWMD* >Brasy4G366800.1.p pacid=40084159 transcript=Brasy4G366800.1 locus=Brasy4G366800 ID=Brasy4G366800.1.v1.1 annot-version=v1.1 MKRLFRRLSRVAAADSSSAATAYRQLRPEKQAPSSAGGARVPQGHVPVCVGEEGGPVERFAVRADLLGRPAFAALLRRAAQEYGYGHPGALRIPCPVAEFRRLLLRLSSDAAEC* >Brasy4G429700.1.p pacid=40084160 transcript=Brasy4G429700.1 locus=Brasy4G429700 ID=Brasy4G429700.1.v1.1 annot-version=v1.1 MAAMVVVVVVVEPQGEAGGRDAVGGVEDGDERELLPPPLPLLEEDAEEGGVLPVADTEAAEEARVGGQAPPALADEGGARERGGRRGQAEEDLAEQVVVVRQLRRRNAAAAARLLAHYTTRLPATWKICDEFKDECQVKLRA* >Brasy4G198000.1.p pacid=40084161 transcript=Brasy4G198000.1 locus=Brasy4G198000 ID=Brasy4G198000.1.v1.1 annot-version=v1.1 MAPASTSPPANASAPQALAKSTLMGGVGDLDAAVSLRLHALFLPVPRFLLKALEVAGDGRIWLPVPISLLLISTTTSSRVSPLLVGLVAGLVLDLAFVGLAKLVVRRPRPAYNVADMYVVVAADHWSFPSGHSSRAFLVAAFVADGGFPRLALFLWAAATSASRVLLGRHYVLDVVAGAWLGVMEAWLSNFILSFLCGRSSFLVC* >Brasy4G298300.1.p pacid=40084162 transcript=Brasy4G298300.1 locus=Brasy4G298300 ID=Brasy4G298300.1.v1.1 annot-version=v1.1 MAPPPKNRSHVPATAGRNRTPPRQPARRMASRDAGERAAFFARREAATVLRRVLRGDASRRAGGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLAASGILTSKWKKQEELVYVTAYDILFGQETAVSGSVEQLIMLHKDTLMTALKKICVRKKVSSIQELLGNKTTVKPKPRFLRVNTLKATTESVIEELSKIHMIDKDDMVPDMLVLPPGTDLHNHPLVKDGKVFLQGKASSMVAIALCPKPGWKVIDACAAPGNKTVHLAALMNGEGSIVACELNKERVKTLQHTIRRSGADNIETVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSSDDQDDAGSSARMRKLSAFQRKVLSHALSFPSVERLVYSTCSIHQAENEDVVNSVLPLASSLGFELATPFPQWRRRGLPVFDGAEHLLRTDPEDDLEGFFIALFVRKTSTDDALEPSDDDTWGVKRKRVCRRGNGLRAFSSLRLSRMDALCSIWRL* >Brasy4G298300.2.p pacid=40084163 transcript=Brasy4G298300.2 locus=Brasy4G298300 ID=Brasy4G298300.2.v1.1 annot-version=v1.1 MAPPPKNRSHVPATAGRNRTPPRQPARRMASRDAGERAAFFARREAATVLRRVLRGDASRRAGGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLAASGILTSKWKKQEELVYVTAYDILFGQETAVSGSVEQLIMLHKDTLMTALKKICVRKKVSSIQELLGNKTTVKPKPRFLRVNTLKATTESVIEELSKIHMIDKDDMVPDMLVLPPGTDLHNHPLVKDGKVFLQGKASSMVAIALCPKPGWKVIDACAAPGNKTVHLAALMNGEGSIVACELNKERVKTLQHTIRRSGADNIETVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSSDDQDDAGSSARMRKLSAFQRKVLSHALSFPSVERLVYSTCSIHQAENEDVVNSVLPLASSLGFELATPFPQWRRRGLPVFDGAEHLLRTDPEDDLEGFFIALFVRKTSTDDALEPSDDDTWGVKRKRVCRRGNGLRAFSSLRLSRMDALCSIWRL* >Brasy4G298300.3.p pacid=40084164 transcript=Brasy4G298300.3 locus=Brasy4G298300 ID=Brasy4G298300.3.v1.1 annot-version=v1.1 MAPPPKNRSHVPATAGRNRTPPRQPARRMASRDAGERAAFFARREAATVLRRVLRGDASRRAGGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLAASGILTSKWKKQEELVYVTAYDILFGQETAVSGSVEQLIMLHKDTLMTALKKICVRKKVSSIQELLGNKTTVKPKPRFLRVNTLKATTESVIEELSKIHMIDKDDMVPDMLVLPPGTDLHNHPLVKDGKVFLQGKASSMVAIALCPKPGWKVIDACAAPGNKTVHLAALMNGEGSIVACELNKERVKTLQHTIRRSGADNIETVNGDFLDIDSNDPSYAEASMTVVIGAGPCYSIRSLLLWFWNLYRETRPLASFTFER* >Brasy4G298300.4.p pacid=40084165 transcript=Brasy4G298300.4 locus=Brasy4G298300 ID=Brasy4G298300.4.v1.1 annot-version=v1.1 MAPPPKNRSHVPATAGRNRTPPRQPARRMASRDAGERAAFFARREAATVLRRVLRGDASRRAGGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLAASGILTSKWKKQEELVYVTAYDILFGQETAVSGSVEQLIMLHKDTLMTALKKICVRKKVSSIQELLGNKTTVKPKPRFLRVNTLKATTESVIEELSKIHMIDKDDMVPDMLVLPPGTDLHNHPLVKDGKVFLQGKASSMVAIALCPKPGWKVIDACAAPGNKTVHLAALMNGEGSIVACELNKERVKTLQHTIRRSGADNIETVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSSGNYI* >Brasy4G042800.1.p pacid=40084166 transcript=Brasy4G042800.1 locus=Brasy4G042800 ID=Brasy4G042800.1.v1.1 annot-version=v1.1 MKTAHVALLLAIALLALSSEMVSPPWRVAAAGTGPFPPETICTRMIDVGHPCNGYKCGFACDKIQAVNSHCSDAGCQCLFYCDWPPSAN* >Brasy4G047600.1.p pacid=40084167 transcript=Brasy4G047600.1 locus=Brasy4G047600 ID=Brasy4G047600.1.v1.1 annot-version=v1.1 MGALCDFCGEQRPTIYCRSDAASLCLSCDRSVHSANALSRRHMRTLLCDRCASQPAAVRCLEENTSLCQNCDWNGHGATSLAAGHKRQTINCYSGCPSSEELSRIWSFAMDIHTAADEPNCEEGISMMSINDSCVNNHYAAEQESSMLDIASTALTSYPPTGEKLKPLNSGDGMNLRPLATHQPAGSVSVTPKVQCITDENMFNDGSIYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFETKEMPAAESKEELKPMQPECSNVVSADSSFCIPSRHAISSISPSFSGLTGESNAGDHQDCGVSPMLLMGEPPWLPPGSEGSFASGSRGSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSETRSY* >Brasy4G346900.1.p pacid=40084168 transcript=Brasy4G346900.1 locus=Brasy4G346900 ID=Brasy4G346900.1.v1.1 annot-version=v1.1 MAAATTPAKREPLAPLSCNAGAATVAAARPRGGATASAEKENQGAPNLGPEKEEKAKVAPPPPLKPSSLQARMEGEAPLAAAVVPVFMGPRGRELLPPATPAAAAASYEAWDLSDSEAAPAASWATLPNRALLCRPLPLDVGRCTCVVVREKAAGPRGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGVFCSSDKNFLGTVGANLVGSKYQIWGQGNRIDELNSQSKRLLGVVAFAPTITTLTGSFRSMRAWIPKNKSMQLRTNSSAQIQHIGGLPKDWQDKASRADKLCSRSPFYNNMTKRYELDFRERVGRMGYKVQTSVKNFQMTLEENGRQTILQLGRVGKSKYIMDFRYPLTGYQAFCICLASMDSKLCCTL* >Brasy4G161200.1.p pacid=40084169 transcript=Brasy4G161200.1 locus=Brasy4G161200 ID=Brasy4G161200.1.v1.1 annot-version=v1.1 IPAPIPVSHPAAAAFAQKKKKSRRRRNSFQLPATHQAIMRIFTAYRGPPAFTHTIDTAAIPAWRPPTVKRNARSSPSPRSRPVAAPSPRQPETGAWHGVHVGEVAEQLLPAVSFEHGSIYAPCSTLGFKECMYLLSTS* >Brasy4G210300.1.p pacid=40084170 transcript=Brasy4G210300.1 locus=Brasy4G210300 ID=Brasy4G210300.1.v1.1 annot-version=v1.1 MASSSSPAMQARGGNPLEEWSGRVKALEAGFRAWMAKQPIYIEAAVTTAVGAVQGGALGGLMGSLTADAGSPFPVPPPPANANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGALFSLVSGMGTPNPVASAITSGVAFAVFQGGFFMIGQKFSKSQSVSEDTYYSSTRSMLQKLGLQKYEKNFKKGLLTDHTLPLLTDSALRDVKIPPGPRLLILDQIKRDPALAKAR* >Brasy4G210300.2.p pacid=40084171 transcript=Brasy4G210300.2 locus=Brasy4G210300 ID=Brasy4G210300.2.v1.1 annot-version=v1.1 MASSSSPAMQARGGNPLEEWSGRVKALEAGFRAWMAKQPIYIEAAVTTAVGAVQGGALGGLMGSLTADAGSPFPVPPPPANANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGALFSLVSGMGTPNPVASAITSGVAFAVFQGGFFMIGQKFSKSQSVSEDTYYSSTRSMLQKLGLQKYEKNFKKGLLTDHTLPLLTDSALRDVKIPPGPRLLILDQIKRDPALAKAR* >Brasy4G210300.3.p pacid=40084172 transcript=Brasy4G210300.3 locus=Brasy4G210300 ID=Brasy4G210300.3.v1.1 annot-version=v1.1 MASSSSPAMQARGGNPLEEWSGRVKALEAGFRAWMAKQPIYIEAAVTTAVGAVQGGALGGLMGSLTADAGSPFPVPPPPANANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGALFSLVSGMGTPNPVASAITSGVAFAVFQGGFFMIGQKFSKSQSVSEDTYYSSTRSMLQKLGLQKYEKNFKKGLLTDHTLPLLTDSALRDVKIPPGPRLLILDQIKRDPALAKAR* >Brasy4G091900.1.p pacid=40084173 transcript=Brasy4G091900.1 locus=Brasy4G091900 ID=Brasy4G091900.1.v1.1 annot-version=v1.1 MGRGGGGRSKREEEEELKGAKRGYREAASEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEISVKHLPQRHQLESCQSLGEVHLRLGHFDEALTYQKKHLQIAKESDNLVEQQRASTQLGRTYHEMLLKSENDHSAIRNAKKYFKAAMKLVRVLKENAASQKSPYLKELIDAYNNMGMLELELDNFEEAEKLLSQGLKICDEEEVHPYDDARSRLHHNLGNVYMELRNWNRAKTHIEKDIEICRQICHLQGEAKGFINLGELHSQVQKYEDAKLCYNKALQIAKRLEDEDALVDQILQNIETVTKAAEVLEELKKDEQKLKKLIRDTSSASGTSKERKLLLEQHAWLDNLIEKARMISAWPKHKEFSKGKKRVTNELRDKEKQSDSLLSIGESYQKLRNFSKARKWYMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQLSALENMHYSHMVRFDNIEEAKKLQQEIDNLKQILNQHEARNTVSDYCSETESEGGDVSDNMLDPQDNHEHAANTYSDEPDDDAILASLVHRSRDSSKIKESKLHTAPKKVDKLRDMDKGSKEVLSKSCSNYSARKRVRVVISDDEADESPEINQSKRILTSRTDNLSTSEHTSQGANNRNQDTLRTTETRDPYSICTPCPAEESICSFKSSSHTGHGNECPEIGASSGGNVSAYKPTASGSKVCTPASYSQPQGQNTASLQSADTDHQFLAFRIGEHLVYLDTNACICEGIFSIESLKVEVACAYYLQIPDEKRSKGLLPIIGELKCCGNVLDGAESTDHISQLASEQKCIEAVIDDWVPKRLMKLYVDFCTKLSESPNKKVLTKLYNLEVSEDEIVVSDCGLQDLSVSPFLDALRSHKTIAVLDLSHNMLGNQTIERLQQIFASSSQTYGGLTLDLHCNRFGPTGLFQICECAVMTERLEVLNLAGNRLTDGCSSYLFTILQKCKALYSLDVEQCSITSRTIQKMTDALHEGSVLSHLSIGKNNPISGNTMLNLLSKLASLKRFSQLSLTGIKLNKLMVDKLCLLAQSLCVSGFLLGGTSIGPGGTVRLTEALSSSSQELLRLDLSSCGLAAPEFPQICKNLSQISILDLNLGGNSINLEGCDAVGAVLANPQCSLRSLTLDRCNLGLGGITRVIQALAGNDQLEELRISENTNSTHGRTLQFDEHMQDVSTSTDHKHGNDAERSDGIAPGSVDLEKMVVADSEDEGVNEDRRTASGPARSCASSCQRNSSYSGCQLIQELGEALISAKQLKVLDLSRNGLSEEAIQSLYSAWASGPRGDGMARKHVSKEVVHFSVDGTTCCGLKPCCRRDLQM* >Brasy4G091900.2.p pacid=40084174 transcript=Brasy4G091900.2 locus=Brasy4G091900 ID=Brasy4G091900.2.v1.1 annot-version=v1.1 MGRGGGGRSKREEEEELKGAKRGYREAASEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEISVKHLPQRHQLESCQSLGEVHLRLGHFDEALTYQKKHLQIAKESDNLVEQQRASTQLGRTYHEMLLKSENDHSAIRNAKKYFKAAMKLGLKICDEEEVHPYDDARSRLHHNLGNVYMELRNWNRAKTHIEKDIEICRQICHLQGEAKGFINLGELHSQVQKYEDAKLCYNKALQIAKRLEDEDALVDQILQNIETVTKAAEVLEELKKDEQKLKKLIRDTSSASGTSKERKLLLEQHAWLDNLIEKARMISAWPKHKEFSKGKKRVTNELRDKEKQSDSLLSIGESYQKLRNFSKARKWYMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQLSALENMHYSHMVRFDNIEEAKKLQQEIDNLKQILNQHEARNTVSDYCSETESEGGDVSDNMLDPQDNHEHAANTYSDEPDDDAILASLVHRSRDSSKIKESKLHTAPKKVDKLRDMDKGSKEVLSKSCSNYSARKRVRVVISDDEADESPEINQSKRILTSRTDNLSTSEHTSQGANNRNQDTLRTTETRDPYSICTPCPAEESICSFKSSSHTGHGNECPEIGASSGGNVSAYKPTASGSKVCTPASYSQPQGQNTASLQSADTDHQFLAFRIGEHLVYLDTNACICEGIFSIESLKVEVACAYYLQIPDEKRSKGLLPIIGELKCCGNVLDGAESTDHISQLASEQKCIEAVIDDWVPKRLMKLYVDFCTKLSESPNKKVLTKLYNLEVSEDEIVVSDCGLQDLSVSPFLDALRSHKTIAVLDLSHNMLGNQTIERLQQIFASSSQTYGGLTLDLHCNRFGPTGLFQICECAVMTERLEVLNLAGNRLTDGCSSYLFTILQKCKALYSLDVEQCSITSRTIQKMTDALHEGSVLSHLSIGKNNPISGNTMLNLLSKLASLKRFSQLSLTGIKLNKLMVDKLCLLAQSLCVSGFLLGGTSIGPGGTVRLTEALSSSSQELLRLDLSSCGLAAPEFPQICKNLSQISILDLNLGGNSINLEGCDAVGAVLANPQCSLRSLTLDRCNLGLGGITRVIQALAGNDQLEELRISENTNSTHGRTLQFDEHMQDVSTSTDHKHGNDAERSDGIAPGSVDLEKMVVADSEDEGVNEDRRTASGPARSCASSCQRNSSYSGCQLIQELGEALISAKQLKVLDLSRNGLSEEAIQSLYSAWASGPRGDGMARKHVSKEVVHFSVDGTTCCGLKPCCRRDLQM* >Brasy4G091900.3.p pacid=40084175 transcript=Brasy4G091900.3 locus=Brasy4G091900 ID=Brasy4G091900.3.v1.1 annot-version=v1.1 MGRGGGGRSKREEEEELKGAKRGYREAASEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEISVKHLPQRHQLESCQSLGEVHLRLGHFDEALTYQKKHLQIAKESDNLVEQQRASTQLGRTYHEMLLKSENDHSAIRNAKKYFKAAMKLGLKICDEEEVHPYDDARSRLHHNLGNVYMELRNWNRAKTHIEKDIEICRQICHLQGEAKGFINLGELHSQVQKYEDAKLCYNKALQIAKRLEDEDALVDQILQNIETVTKAAEVLEELKKDEQKLKKLIRDTSSASGTSKERKLLLEQHAWLDNLIEKARMISAWPKHKEFSKGKKRVTNELRDKEKQSDSLLSIGESYQKLRNFSKARKWYMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEGYRIAVEGDLPNVQLSALENMHYSHMVRFDNIEEAKKLQQEIDNLKQILNQHEARNTDTLRTTETRDPYSICTPCPAEESICSFKSSSHTGHGNECPEIGASSGGNVSAYKPTASGSKVCTPASYSQPQGQNTASLQSADTDHQFLAFRIGEHLVYLDTNACICEGIFSIESLKVEVACAYYLQIPDEKRSKGLLPIIGELKCCGNVLDGAESTDHISQLASEQKCIEAVIDDWVPKRLMKLYVDFCTKLSESPNKKVLTKLYNLEVSEDEIVVSDCGLQDLSVSPFLDALRSHKTIAVLDLSHNMLGNQTIERLQQIFASSSQTYGGLTLDLHCNRFGPTGLFQICECAVMTERLEVLNLAGNRLTDGCSSYLFTILQKCKALYSLDVEQCSITSRTIQKMTDALHEGSVLSHLSIGKNNPISGNTMLNLLSKLASLKRFSQLSLTGIKLNKLMVDKLCLLAQSLCVSGFLLGGTSIGPGGTVRLTEALSSSSQELLRLDLSSCGLAAPEFPQICKNLSQISILDLNLGGNSINLEGCDAVGAVLANPQCSLRSLTLDRCNLGLGGITRVIQALAGNDQLEELRISENTNSTHGRTLQFDEHMQDVSTSTDHKHGNDAERSDGIAPGSVDLEKMVVADSEDEGVNEDRRTASGPARSCASSCQRNSSYSGCQLIQELGEALISAKQLKVLDLSRNGLSEEAIQSLYSAWASGPRGDGMARKHVSKEVVHFSVDGTTCCGLKPCCRRDLQM* >Brasy4G403300.1.p pacid=40084176 transcript=Brasy4G403300.1 locus=Brasy4G403300 ID=Brasy4G403300.1.v1.1 annot-version=v1.1 MHPAQELTEHRYLIRYGALQFMRPHGTAHCGDGGWAGAHCGDGGRRFLRRTGGMVEQALGLAHRWSVTRRPSPSHNLRFRNKLFSRGTQPSVETGKIFLRDDKLITTTEIADADAVSGFFLYRLQSSSSRLFIILI* >Brasy4G408400.1.p pacid=40084177 transcript=Brasy4G408400.1 locus=Brasy4G408400 ID=Brasy4G408400.1.v1.1 annot-version=v1.1 MAVLAAVRQGGPETARGPCRGCDGADAGRVRTGILRAVTVGVGARAATRGNGEGSRRRPQGNGRRRGVGAGEAGCAAGQSRTAGEEAAAATGEEGGGGRRSRLVHQKRNKKRFRAEILSWFSTANH* >Brasy4G139600.1.p pacid=40084178 transcript=Brasy4G139600.1 locus=Brasy4G139600 ID=Brasy4G139600.1.v1.1 annot-version=v1.1 MAATRKPRSQAAAAGDHLRFLRPGALARLRDARLRRRKPASRPASPPPSPLPPSPPTTAEGARESGGPLVPYFVSASRLLSPRCPQRKKLTAAKGVKLFSPPPPSSDLPIEVLVDFLSASDMVVAAH* >Brasy4G006000.1.p pacid=40084179 transcript=Brasy4G006000.1 locus=Brasy4G006000 ID=Brasy4G006000.1.v1.1 annot-version=v1.1 MYCWHGALRLLWHPSLTAAQLRQLHAQLLTSSLLADRFLPNNLLREAAAASSPLRALRLFPRLRRILLRDAFLPNNYTFSFLLTAAAAAIAPPDAAAAPRRLVCALHALAAVLGWDAHVYVANGLIHAYASHGLLRHARQVFDGSSRSRDACSWTSLLTGYARAGRLHDARALFDEMPHRTPVAWSAMLSAHVGAGGFADALEVFDGMLRARVRPNRAAVVGALAACGALGALEQGRWLHALVAGRKEVDGVVATALVDMYGKCGSLDAATQVFAGMPERERDVFAYTAMISALSDHGRCREAIEVFDRMQQGRGVRPNEVTFICVLSACARAGGLVGRAKEMFRSMSAVYGMDAGVEHYGSLVDVLGRAGLLSEAVDVVRAMPMRPDSYVLGALLNACRVHGGGDGVEVGKQVVEWLAELGLDHSGVHVQLSNMYACSSKWEDVVKVRTAMEEKKVAKVPGCSMVEVDGVAHEFVAGSHDPWIAAVVRELDGQLRLLGHDSSYLDEEFD* >Brasy4G201200.1.p pacid=40084180 transcript=Brasy4G201200.1 locus=Brasy4G201200 ID=Brasy4G201200.1.v1.1 annot-version=v1.1 MSDAELSQSTVVFGLRMWVLVGIAAGAAFVLFLVLLSVLCFLASRRRQRRRRRPPTPVHTHLPTSAPPAPPKVARAPKDIQEIPLAQVLQVPIPDSVEIAATLGNGKERRVTEQPPHRSGGPSSRGSGSGGSSSGSRAADHAAPAAAPEVSHLGWGHWYTLKELETATGMFADENVIGEGGYGIVYHGVLDDGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWEDRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGPERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIICGRVPVDYNRPPAEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRAVKKALLVALRCVDPDASKRPKIGHIIHMLEVDDFPYNRDERRGGRAPAQARLPEKMASETDRTGDNQEEPFRWKNTRA* >Brasy4G210400.1.p pacid=40084181 transcript=Brasy4G210400.1 locus=Brasy4G210400 ID=Brasy4G210400.1.v1.1 annot-version=v1.1 MGCSFISPEHLALALFTLDDPTTNTLLRSLGADPSQLASVALARLQGELAKDGRDPAGASSFKVPEKSPAGAGRSAFTKSLSKKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTNLIREVREAGDVILFIDEVHNLIGSGTVGKSKGAGLDISNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQEDAVKILLGLRENYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLLDEAGSRARIESFQRKKEGQSSVLLKEPDEYWQEIKAVKAMHEVVLSNKTKYSPNENAQENDSSNTEKQSQEKAGSTSTFITEEPVVVGTEEIARVASLWSGIPVQQLTADDRKILVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLSDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLADSQGRRVSFKNTLIVMTSNVGSTSISSGRRSIGFSTDDTESSTYIAMKSLVMEELKGFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQELKGRLLALGIGLEVSDAMKGLICQEGYDKSYGARPLRRAVTHLMEDVISEAILFGEYKPGDTILVDTDDEGKPCLSHLNKQIVQLSDPTRTF* >Brasy4G048000.1.p pacid=40084182 transcript=Brasy4G048000.1 locus=Brasy4G048000 ID=Brasy4G048000.1.v1.1 annot-version=v1.1 MVFCDRQFMEDPHRITPPWLPAISRCYRTKRRPHPIRSRNIRIRRLGPIYLHLPVRGGEGPGERQHPLDLRLQLLPAPLDRPPLPDDGFVRAPHLLTCSARPQRPIDGRGAAAVAQREMAAARGRRGGISRGGRRRRLVRRDVAAARGRRRDVSRVRREAAVSSWRKRGPAGRSVAAAPIAITRTMVLERRRREEGERRRRPRGGTES* >Brasy4G265000.1.p pacid=40084183 transcript=Brasy4G265000.1 locus=Brasy4G265000 ID=Brasy4G265000.1.v1.1 annot-version=v1.1 MVKGFGFIKDDEESCIYKKSPHDLALAPPPSQPARPAAPQSPQSQTRPAPHAANAARTQAAAFPRLCPAVAAAPASANPPSHASPPSPPPSSLCVLPPPSLCTNAGYSVPTPIQADAVDRTCDECTCIDSISADACHACD* >Brasy4G071700.1.p pacid=40084184 transcript=Brasy4G071700.1 locus=Brasy4G071700 ID=Brasy4G071700.1.v1.1 annot-version=v1.1 MIDQRCPNRGLRSLSPTTHKMWAEPSRVRARQKLAVDEPSPSQGPAEPRRQARPEHLANGEPSASLTASRHCHVTARTAAPQRRPARGTGGSGGGRAATENRGGGERRRARVQWTAGGEQRRAAGGRRQWARGGGRRQQTEAAYGEQKRTKRAGCVGAARDAVTEQWHCLPVAPPTSDDDAVRLRTGREGWGERRMRMRVRDGAVARSGAPRAAKVTQRRRGPFPCFARLLRAPVERGEAEGNGGANHGKVVSRD* >Brasy4G101400.1.p pacid=40084185 transcript=Brasy4G101400.1 locus=Brasy4G101400 ID=Brasy4G101400.1.v1.1 annot-version=v1.1 MDCVIRARTRNPSPAVPQFTGERRRLRQAATVSFRPMASAAPVEEPAAAVTKPRPSGDSFIRHHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRASLAEDSGLEPEYILVGCGADELIDLIMRCVLEPGDKIIDCPPTFTMYEFDASVNAALVIKVPRLPDFSLDIAQIVKMVEQEKPKCIFLTSPNNPDGSVINDEDLLKILDLPVLVVLDEAYVEFSSLKSRMTWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLESVKNLLLQERERLYNLLKGVPFLKPFRSHANFIICEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMEGLKALEL* >Brasy4G008000.1.p pacid=40084186 transcript=Brasy4G008000.1 locus=Brasy4G008000 ID=Brasy4G008000.1.v1.1 annot-version=v1.1 MPRGGGGGGGFGGVGLRGGGGFLRDPRGRSSFGPAGAGGSDPAEDLTGSAGHSLVRMMSTAGGDGGTFRVGGRRWLLAVQRIGPAEGFVYVGLRRVEDPGACWPASVLEGAGMSDYGRIAEPLCERLSESRACIDELVRRGEGRKSARLMAGGLGVTTMLPYMMS* >Brasy4G090800.1.p pacid=40084187 transcript=Brasy4G090800.1 locus=Brasy4G090800 ID=Brasy4G090800.1.v1.1 annot-version=v1.1 MHAKLFVTDKTFLDRSFRPLQSIAINRQSFLGMQGSNEFKQALLENLLVGLRERGVASSGSCKMSFYERKSGIRRAADAALASARGAAPRWSRTLQRAAAASTTTTTDQLAQTTTRGACRRSSASVPLSSKKMKMMIFERQRQRKSGGAAKKACSLARAMARRKRTGLLRAIVPGGEGMDDEHTFLGETLDYTVCLRAQVDVMQLLVRALQAPKS* >Brasy4G215200.1.p pacid=40084188 transcript=Brasy4G215200.1 locus=Brasy4G215200 ID=Brasy4G215200.1.v1.1 annot-version=v1.1 MCLIDQFPRLLVCPRRFPNFQVREIKAAPSPPIPKSIHSRLACHSAIGTRRQSAQQATRRHRGSLQLKSIVHGVARANNTAASATGREKLACPICLGTGVPNNKGLR* >Brasy4G215200.2.p pacid=40084189 transcript=Brasy4G215200.2 locus=Brasy4G215200 ID=Brasy4G215200.2.v1.1 annot-version=v1.1 MCLIDQFPRLLVCPRRFPNFQVREIKAAPSPPIPKSIHSRLACHSAIGTRRQSAQQATRRHRGSLQLKSIVHGVARANNTAASATDGGILSKIRWL* >Brasy4G075500.1.p pacid=40084190 transcript=Brasy4G075500.1 locus=Brasy4G075500 ID=Brasy4G075500.1.v1.1 annot-version=v1.1 MGEIAENQPVFDETPENIRGAHTQACSPPGGPFGFDKSSGTLGTIFNSVTAPEIQDQPIDVAFPNIGQPGLNGPSYNITANTNFLNLAPSPFILGLHHELPDPTLARRFHDNVYTCFGEELQRVWVSHSGLPPINLTGQQLKQAFCYDWDLSFDSVDAIVHLFNEKEAAMQVGLPSGRWRILASTEVMLQWIYPERRRENQYILRILRHGVFDIPTHLARLIMLPYPAHATWSLYVLDKFHRRITVLDPVITWKGEQEYAEKHAKNIRIIKRCFAPIGALIGGGWKIKEKEWSTAYNYNLSPPCTAEESATHIFHYARYFDGETLLAPIDPEECRRLKEEILYGVVGMAWNAVRAPQFWCNNLD* >Brasy4G260000.1.p pacid=40084191 transcript=Brasy4G260000.1 locus=Brasy4G260000 ID=Brasy4G260000.1.v1.1 annot-version=v1.1 MAKACLLPVLLVAALAATVESLSTLNPGCQSSCVNNTPFLTGTTQVIPLLQLSVMPRPEARVMLPVAWICYNTSTWSDVTGEYHGVVDFNSAGVYRISNSSNELFVLGCNTIVFINSGRPSRGYDHSYHAGCINYCKDLQSAQDGACSGIGCCHVDIPPGLTDNWMRFYSFSHQGMEFSPCDYAFIVEKGNYSFRAADLHMDAQQRKMPLRLDWAIRDGNVNSTDSLTCSQAANREGYTCVSKNSECVDATNGPGYFCTCKKGYEDIIECDRLAEFPCNGKCEDTEGSYICTCHPGHTSNGDPKIDPCHPTFTLSAKLALGITLGVSFLIVFLLLAFIMIQKRKLDELFEKNGGKILQNVKGLTIFTKDGLKKITKDNSEFLGNGSFGKVYKGTLSDNTLVAVKASIKVDEATKAEFTEEVEIQTYMIHKNILKLIGCCLEVDVPVLVYEFAANGSLQDTLHGNRTQKITLDSRLDIAIGSAEGLRYMHSYTTQTIRHGDVKPDNILLDDKLTPKISDFGLSKLLKGEYFAKTVIGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITRKKNVYGENCSLIMEYRKVYEKERSGRSMFDNDIANEEDMVILEEIGKLALDCLKENIEDRPDMTEVAERLVMLRRDKKFGKKNNRTPHHIGNITMDDSPSSTEVRAIFSVASMANHNFSGN* >Brasy4G088200.1.p pacid=40084192 transcript=Brasy4G088200.1 locus=Brasy4G088200 ID=Brasy4G088200.1.v1.1 annot-version=v1.1 MGSTGAPTPVQAPVCSIVNEGPTAATDSKPKKKICCACPDTKRLRDECIVEHGESACTKWIEAHKRCLRAEGFKV* >Brasy4G270200.1.p pacid=40084193 transcript=Brasy4G270200.1 locus=Brasy4G270200 ID=Brasy4G270200.1.v1.1 annot-version=v1.1 MAQFPVVLPPLAVVDGVGRRNKKRASGLPKLLHKFFFKVLRLRPAASSSASEQSAAAAAFEAYYGYRMVDECYYYSYGGAGSASWAGVLFSIPEEDQGSEEGTPGPDAAAALRPSALRKAHSDSERFLAAEAAAVVHLELEVVL* >Brasy4G368200.1.p pacid=40084194 transcript=Brasy4G368200.1 locus=Brasy4G368200 ID=Brasy4G368200.1.v1.1 annot-version=v1.1 MIPGATRNIRLFTIFCAAAHPGPATSHSLTVALPSSSSPSPDPRRHAPPPATAAPPRIRVPCLRRPDPGARPLRLRRPEPQPLPLASPRRRSSAQTTTPGVLCRHQPCPATCPDSEPAPSHAGYIDLLDPSDQNDYLDSRDSDDEACCPDDPNDEPAYDLDDPGTY* >Brasy4G378900.1.p pacid=40084195 transcript=Brasy4G378900.1 locus=Brasy4G378900 ID=Brasy4G378900.1.v1.1 annot-version=v1.1 MQTFHFSNKTRSKKLHTPSLGLALVLLISLVSTTSSCTEQEKSSLLQFLAGLSQDGGLTASWRNGTDCCEWEGITCRQDRTVTNVFLASKGLEGHISQSLGTLAGLQYLNLSHNLLSGGLPLELVLSSSMTILDISFNQLNGTLHKLSSSNPARPLQVLNISSNLFAGEFPSTIWKTTENLIALNASNNSFTGSIPTDFCNSSSSFTVLELCFNKFSGTIPPGLGHCSRLRELRAGYNNLSGTLPDELFDATSLEYLSFPNNDLHGAIHGTRIIKLKNLVTVDLGGNNFSGKIPDSICQLKKLKELHLGNNNMSGELPSALSNCTNMITLDLKSNNFSGELTNVNFSSLPNIKTIDLYSNHFNGPIPQSMYTCSNLNALRVSTNNLDGQLSPRISNLKYLTFLSLATNSFSNITNALYILKSSRNLATLLIGENFRGELMPDDDGIVGFENLKVFDVGGCQLTGKIPLWISKVTKLEMLLLNGNQLTGPMPGWINSLSRLFFMDVSNNSLTGEIPLTLMEMPMLKSTENATHSDPRVFELPVYGAPALQYRVVTAFRTVLNLSYNNFTGVIPPQIGQLKVLAVLDLSFNKLSGKIPNSICNLTSLQVLDLSSNNLTGGIPAALNSLHFLSAFNISNNNIEGPIPSGSQFNTFQSTSFDGNPKLCGSMLTQKCDSTSIPPASRKRDKKAVLAIALSVFFGGIAILSLLGHLLVSISTKGFTAKHRRDNNGDVEEPSFYSSSEQTLVVMRMPQGTGEENNLKFADILRATNNFDKENIVGCGGYGSVYKAELPDGSKLAIKKLNGEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIARGASLGLSYIHDVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVPVLSTSKELVPWVLQMRSEGKQIEVLDPTLRGTGYEEQMLKVLEAACKCVDNNQFRRPTIMEVVSCLASMDANVEQKNSQDRMIAHDR* >Brasy4G312900.1.p pacid=40084196 transcript=Brasy4G312900.1 locus=Brasy4G312900 ID=Brasy4G312900.1.v1.1 annot-version=v1.1 MAGEEAKKAMPAAPEAEKDVAVEKAVVIPPPAPKAADGKEKKNSPAADDSKALVVVEKVTEKPRDEKKNTHKGSNDRDVALAKVETEKRGSLIKAWEENEKAKAENKAAKKIASILSWENTRKADIDAQLKRKEEELEKKKAEYAEKMKNKKAIVHKEAEEKRAMVVARRGEDVLKAEEMAARYRATGLAPKKVLGCFGA* >Brasy4G236000.1.p pacid=40084197 transcript=Brasy4G236000.1 locus=Brasy4G236000 ID=Brasy4G236000.1.v1.1 annot-version=v1.1 MAAFAIRAAAATSLAVYWLLNSLFLQTPNIALSLSSAAAALFVVAIVASGRGHRSDGTPPGPAGVPVLGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSDPRLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRAMWEAEMDAVVSGLRAADPVARVAGVVVRRRLQLMLYNIMYGMMFDARFESVDDPMFVQATRFNSERSRLAQSFDYNYGDFIPVLRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDSPGDKDRLRCAIDHILAAEKNGEITAQNVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQTKVRGEIKDVLGDDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGFTIPRGSKVVVNAWWLANNPELWEKPEEFRPDRFLDEDSGVDAATIGGKADFRFLPFGVGRRSCPGIILAMPILALIVGKLVRSFQMVPPPGVDKLDVSEKGGQFSLHIANHSVVAFHPIDSE* >Brasy4G266900.1.p pacid=40084198 transcript=Brasy4G266900.1 locus=Brasy4G266900 ID=Brasy4G266900.1.v1.1 annot-version=v1.1 MEGQRPEYPAAAVGRPAAAAGGGRERERWRRRGWGVTAGYRPVIASSPQAPVVWQRAHATFYGGADASGTMGGACGYGNLYSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKVDPRRCKPGVTVTVTATNLCPPNNALPNDNGGWCNIPCPHFDMAQQAWEKIGVYRGGIIPVMYQRVPCMKKGGVRFKINGHDYFNLVLVTNVAAIGSIKSMDVKSSDSKDWAPMARNWGANWHSLAYLSGKMLSFRLTNTDGQILEFNNIVPSGWKFGQTFASKLQFN* >Brasy4G306400.1.p pacid=40084199 transcript=Brasy4G306400.1 locus=Brasy4G306400 ID=Brasy4G306400.1.v1.1 annot-version=v1.1 MGKGLHRSSMDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G306400.4.p pacid=40084200 transcript=Brasy4G306400.4 locus=Brasy4G306400 ID=Brasy4G306400.4.v1.1 annot-version=v1.1 MDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G306400.5.p pacid=40084201 transcript=Brasy4G306400.5 locus=Brasy4G306400 ID=Brasy4G306400.5.v1.1 annot-version=v1.1 MDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G306400.2.p pacid=40084202 transcript=Brasy4G306400.2 locus=Brasy4G306400 ID=Brasy4G306400.2.v1.1 annot-version=v1.1 MDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G306400.6.p pacid=40084203 transcript=Brasy4G306400.6 locus=Brasy4G306400 ID=Brasy4G306400.6.v1.1 annot-version=v1.1 MDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G306400.3.p pacid=40084204 transcript=Brasy4G306400.3 locus=Brasy4G306400 ID=Brasy4G306400.3.v1.1 annot-version=v1.1 MDQGIEMKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTVLANKFFYSTDELGDAVTSRSVPLMRMCFNDTAQALREVVAALTPPQ* >Brasy4G150600.1.p pacid=40084205 transcript=Brasy4G150600.1 locus=Brasy4G150600 ID=Brasy4G150600.1.v1.1 annot-version=v1.1 MTTATAIVAGHGIALRRSLHLPNPPGKPTSASASFSARPYPHPHYRLAVPTPRRPCRSISSESPTASAAADTAEGEDDPAAATIEEEDEEGELDPLAEVCYLDPEADAEGIREWEVDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVSTSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQQGTKPLLTLDNPFASNLPDNLFGDKWAFVQLPFADVREEVELLERRYAFGAGLDLDLLGFELDETTLVPGVAVESSRARPLAAWMNGLEICSMEVDTDRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDSCVGFWLLLDLPHRLYDLGSECL* >Brasy4G408600.1.p pacid=40084206 transcript=Brasy4G408600.1 locus=Brasy4G408600 ID=Brasy4G408600.1.v1.1 annot-version=v1.1 MESRMDQYEIMEQIGRGAFGAAILVNHRIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIITGYCEGGDMDELMKKSNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSSSMKSLIKIMLRKNPEHRPTASEIMKNPYLQPYIDQCRALSDASNSTRTPQKALSTSRGSQRSMSESQSSSISSSDINSTQSSDRSTSGGAAGTDIKAVDTLSIHDVDRVDSDEKCTTLEDLRVNKDVSCAELKRQDSSKSLHQHPRGDSKQPKIIEKIMTTLREENRLRESSSPARARGIKLSPAVSSENEEEQSSETSRINSGASYRSKSGDDPSHGSANTKDGCVSTIQPSPPLKQLSPTAENNSKIKTGRSSTPEPAKQVVENCSAVSRKSKIKMPPSATRRPSPQRRAGVGTTSLPVIVPKGAHIKVMTESERSPSQPPHCLDGTPGDLPHIVRIPKYPSDGVKIMLDHPHSKSAPRDFFAVATKEDISACSSSTVGSVEKMDLSELSEPYSPACLISSYTGLALDAATKDDHLTAIPSSENITNNLQMSVSVASNDDSIPSSALDPSIQSVEQEFVCKDDVQSSMHGQKAATSHSGEDKFTVQELLSPITLDVASFVPTAENRILEKGPNSIQSLKKQTGSHLDPLVDDDVQDILHINLRASNQRLISENVQGETRNMDASKLLNVTREDLDVKSSPCPLNTLLSTLPPSVASELNIPEGDATCRIPASSDTVKLSTVVNVKSYTSEANNVVKEETSPAKEMLDVTSFRQRAEALEGLLELSADLLEDNRLEELAIVLKPFGKVKVSPRETAIWLARSFKGMMNDEASRTSM* >Brasy4G408600.2.p pacid=40084207 transcript=Brasy4G408600.2 locus=Brasy4G408600 ID=Brasy4G408600.2.v1.1 annot-version=v1.1 MESRMDQYEIMEQIGRGAFGAAILVNHRIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIITGYCEGGDMDELMKKSNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSSSMKSLIKIMLRKNPEHRPTASEIMKNPYLQPYIDQCRALSDASNSTRTPQKALSTSRGSQRSMSESQSSSISSSDINSTQSSDRSTSGGAAGTDIKAVDTLSIHDVDRVDSDEKCTTLEDLRVNKDVSCAELKRQDSSKSLHQHPRGDSKQPKIIEKIMTTLREENRLRESSSPARARGIKLSPAVSSENEEEQSSETSRINSGASYRSKSGDDPSHGSANTKDGCVSTIQPSPPLKQLSPTAENNSKIKTGRSSTPEPAKQVVENCSAVSRKSKIKMPPSATRRPSPQRRAGVGTTSLPVIVPKGAHIKVMTESERSPSQPPHCLDGTPGDLPHIVRIPKYPSDGVKIMLDHPHSKSAPRDFFAVATKEDISACSSSTVGSVEKMDLSELSEPYSPACLISSYTGLALDAATKDDHLTAIPSSENITNNLQMSVSVASNDDSIPSSALDPSIQSVEQEFVCKDDVQSSMHGQKAATSHSGEDKFTVQELLSPITLDVASFVPTAENRILEKGPNSIQSLKKQTGSHLDPLVDDDVQDILHINLRASNQRLISENVQGETRNMDASKLLNVTREDLDVKSSPCPLNTLLSTLPPSVASELNIPEGDATCRIPASSDTVKLSTVVNVKSYTSEANNVVKEETSPAKEMLDVTSFRQRAEALEGLLELSADLLEDNRLEELAIVLKPFGKVKVSPRETAIWLARSFKGMMNDEASRTSM* >Brasy4G408600.3.p pacid=40084208 transcript=Brasy4G408600.3 locus=Brasy4G408600 ID=Brasy4G408600.3.v1.1 annot-version=v1.1 MESRMDQYEIMEQIGRGAFGAAILVNHRIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIITGYCEGGDMDELMKKSNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSSSMKSLIKIMLRKNPEHRPTASEIMKNPYLQPYIDQCRALSDASNSTRTPQKALSTSRGSQRSMSESQSSSISSSDINSTQSSDRSTSGGAAGTDIKAVDTLSIHDVDRVDSDEKCTTLEDLRVNKDVSCAELKRQDSSKSLHQHPRGDSKQPKIIEKIMTTLREENRLRESSSPARARGIKLSPAVSSENEEEQSSETSRINSGASYRSKSGDDPSHGSANTKDGCVSTIQPSPPLKQLSPTAENNSKIKTGRSSTPEPAKQVVENCSAVSRKSKIKMPPSATRRPSPQRRAGVGTTSLPVIVPKGAHIKVMTESERSPSQPPHCLDGTPGDLPHIVRIPKYPSDGVKIMLDHPHSKSAPRDFFAVATKEDISACSSSTVGSVEKMDLSELSEPYSPACLISSYTGLALDAATKDDHLTAIPSSENITNNLQMSVSVASNDDSIPSSALDPSIQSVEQEFVCKDDVQSSMHGQKAATSHSGEDKFTVQELLSPITLDVASFVPTAENRILEKGPNSIQSLKKQTGSHLDPLVDDDVQDILHINLRASNQRLISENVQGETRNMDASKLLNVTREDLDVKSSPCPLNTLLSTLPPSVASELNIPEGDATCRIPASSDTVKLSTVVNVKSYTSEANNVVKEETSPAKEMLDVTSFRQRAEALEGLLELSADLLEDNRLEELAIVLKPFGKVKVSPRETAIWLARSFKGMMNDEASRTSM* >Brasy4G046800.1.p pacid=40084209 transcript=Brasy4G046800.1 locus=Brasy4G046800 ID=Brasy4G046800.1.v1.1 annot-version=v1.1 MPMAVRRCGGGCDGAVDAMLQCQKVSDFLIAASYLSIPLELLYFASCADLAPLKWVLLQLAAFAVLGGAIHLLAVLTHHHPHSSGLLLASTAAKLLVVFVSFATALSLLTVTPRLLRAKLREALLRTKARQLDQDLGLIRRRVEATSRVARMFARHIRDAPPLDDPHAILHTTMLHLAHALSLHGCAVWLPGDGVLQLLHQLSLRDKGGAVVLGSQVSIPADDHDVLDVMASEAAKVLRSGSALATASGGLQPPGAVAAIRMPMLKVSNFDGGRVSPEAGSYAILVLVLPNKDGDGPRGWSSQDLEIVQVVADQVAVALSHAAVLEEWQSTRDKLAEQHRALLHAKHESMAATNAVRSVQSAMCGAMKRQMHPIVGLLSMLRQEGMRPEQRLVVDAIARTSTLALTLVNDADMETLAVSRAPFGLHALLREAMSVARCLSGCGGLGFSYRTENSVPQWVVGDETRVFHLLLHMVGTMLGRRCDGAAGCLSFSVKSCAAGEEERFGRDWIPMRPSLSPGCSIRVKFQVGMETPPGPGLACAKSPRTPTSSDQMGLSFSMCNKIVQMMNGSMRSSASDGGSITVVLQFQLQQQQSGVHRSRAPAPSSIPHFDGLRVLAADGDGVSRAVTQKLLEKLGCQVTPAPSGAHCLGLLASAGSCFQLVLLDLDARAAASEMDVFEAALRIRELGSGGCWVLVVAALAAGADDAGVREMCQRSGIHGVVQKPFTLAALGAQLSAVLQNN* >Brasy4G278200.1.p pacid=40084210 transcript=Brasy4G278200.1 locus=Brasy4G278200 ID=Brasy4G278200.1.v1.1 annot-version=v1.1 MTHAHSKSYFHKFWNGLQFNGSNDSFSIELLPSLGATINHCNKLQKFIISPYDRLYKSWELFLIVLVIYSAWICPFELAFLRDLPSKLLLLENIVNSFFAIDIVLTFFVAYVDSKTHLLVDNRKRIAVRYLSTWFIFDVCSTAPFQPIILLFTHKGNDLAFKMLNMLRLWRLHRVSTLFARMEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMLADRYPYPENTWIGAVMPTFRSQNLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDIVYMLFNLGLTAYLIGNMTNLVVHGTSRTQKFRDSIQAASEFAARNQLPVNIKQQMLSQICLQFKTEGHNQQALLNDLPKGIRSSIAYNLFFPIIRRAYLFHGLSNSFIAELVMEVQAEYFPPKEDIILQNEGASDVYVIVSGVVNMVTTINGNEQLIMKVTEGDMFGEVGALCNIPQPFTFRTTELSQLLRISRTRLIEAIQKHREDSNFLMNNLFQKMKLQENVPEVNQLDRRFLSKYDLFRIPREEQMLPWSHLHYTGHKSMDLGNKVPIFGEDTDSTKLPEEEPQQENIYNKSNCKYRISDGTMDKEEDLNEVHISCEPKKSAEEFCIKIKSEGGDVASSWKTINTKMQPHSPDRASEKIGKSRYLDYNCIKEANRRVTIHMYPQNATGSTVQSGKLINLPGSLEELIKIGRQKFPGFHPTKAVSRDYAEIDDIGVIRDGDHIFFLDM* >Brasy4G100500.1.p pacid=40084211 transcript=Brasy4G100500.1 locus=Brasy4G100500 ID=Brasy4G100500.1.v1.1 annot-version=v1.1 MFTPQGKGWTGWSTPAPANQRGGGGAPPASAPLGKAKGTSQRAAELEEELHEYQYNMGLLLIEKKEWAAKLDEVSQVLAQKEEILKREQAAHLNAISEYERREESTRKALGVEKQCVADLEKALREIRSEIAEVKFMSQKKIADAQSLEANLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKIYFETERKAREKQLREQEESLQDWEKKLKESQNRLVDLQRSVNEREERANENDKLCKMKQEELDAAKNTVESIKTTLKTKDDDITKRLIELGSKEKDAESKRKILEERERMLSEREEKVSAREKVGLQKLLEDQKVKLESKRRDFELELESERTSFAEKMKQREVDLVKREKDLRSWEDKISKSEQALNESKKTLEELQDDLSTKSKALKNWEESLKKEEKKLLEEKLQMDNERKQAEMYKSDIENMIATIEAEKEKIIEEQNNLKVTEVERQEHNLLSAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRARLEEESKMLNNERVNLERWRDNEDKRLKDIQVEMDAKYKEQNEKLALKEKALGDDMKHQREEIDEFLKRERADLQRNLQLHRHELDMEMENRLADRERELEEKENELRNKMDFVENKINHAVSLNDSKIQKIVLEKQQLQREREILVEEKQKLESDKADIRRDIDSLNALSKSLKDRREAYNRDRNNLIDLFEKYKVCKSCGNSLSEGFDDLSFKDIADFDYPSLAAEEDDCSPNTDALAQDTGTLVNSAGRFSLLQKCSRLFKFSPRKKAEQSSEQEVEKNIPFGARLEEASPSDEDFEPTPVYQVANNSFGAQDLPSDSGARGNEESERLDLADGAADDVQMESSVGVADNCIDNHGTQSFDVTNDMAVDTTVACVDQNGKDSLAPPEVDLLPETSKQGRRQPNRKGRAKGVRRTKSVRAVVEDAKVILGENFDEKNDGQEDSATVGGTRKRRFAGAAISEQDEEGSEAQSESVSLGGHRPKRRAGPSTQAPVEKRYNLRRATVATAAPTIPTNKKKAPKTRRKQTVEATADDTEGTSKAEEPTTVSKGASESADGASQLQEFSQAEAGDAHAPAEEGTGEEYGDVVDGKDALPDAMPMTPSGSELGAEDDDEDEDDSERGNRSIGKSLWSFFTT* >Brasy4G100500.2.p pacid=40084212 transcript=Brasy4G100500.2 locus=Brasy4G100500 ID=Brasy4G100500.2.v1.1 annot-version=v1.1 MFTPQGKGWTGWSTPAPANQRGGGGAPPASAPLGKAKGTSQRAAELEEELHEYQYNMGLLLIEKKEWAAKLDEVSQVLAQKEEILKREQAAHLNAISEYERREESTRKALGVEKQCVADLEKALREIRSEIAEVKFMSQKKIADAQSLEANLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKIYFETERKAREKQLREQEESLQDWEKKLKESQNRLVDLQRSVNEREERANENDKLCKMKQEELDAAKNTVESIKTTLKTKDDDITKRLIELGSKEKDAESKRKILEERERMLSEREEKVSAREKVGLQKLLEDQKVKLESKRRDFELELESERTSFAEKMKQREVDLVKREKDLRSWEDKISKSEQALNESKKTLEELQDDLSTKSKALKNWEESLKKEEKKLLEEKLQMDNERKQAEMYKSDIENMIATIEAEKEKIIEEQNNLKVTEVERQEHNLLSAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRARLEEESKMLNNERVNLERWRDNEDKRLKDIQVEMDAKYKEQNEKLALKEKALGDDMKHQREEIDEFLKRERADLQRNLQLHRHELDMEMENRLADRERELEEKENELRNKMDFVENKINHAVSLNDSKIQKIVLEKQQLQREREILVEEKQKLESDKADIRRDIDSLNALSKSLKDRREAYNRDRNNLIDLFEKYKVCKSCGNSLSEGFDDLSFKDIADFDYPSLAAEEDDCSPNTDALAQDTGTLVNSAGRFSLLQKCSRLFKFSPRKKAEQSSEQEVEKNIPFGARLEEASPSDEDFEPTPVYQVANNSFGAQDLPSDSGARGNEESERLDLADGAADDVQMESSVGVADNCIDNHGTQSFDVTNDMAVDTTVACVDQNGKDSLAPPEVDLLPETSKQGRRQPNRKGRAKGVRRTKSVRAVVEDAKVILGENFDEKNDGQEDSATVGGTRKRRFAGAAISEQDEEGSEAQSESVSLGGHRPKRRAGPSTQAPVEKRYNLRRATVATAAPTIPTNKKKAPKTRRKQTVEATADDTEGTSKAEEPTTVSKGASESADGASQLQEFSQAEAGDAHAPAEEGTGEEYGDVVDGKDALPDAMPMTPSGSELGAEDDDEDEDDSERGNRSIGKSLWSFFTT* >Brasy4G039000.1.p pacid=40084213 transcript=Brasy4G039000.1 locus=Brasy4G039000 ID=Brasy4G039000.1.v1.1 annot-version=v1.1 MDHFRIDTGPSRKYLTPPNPIPIQIHHPRFSREKRNPAFPFTRRRHRLHRKTAPSVPLPPTSDGLRQIWVGGGNGGGRPRDSSSPRPRRRWLYDADGLELLLRCFLALNDERHCYRFMPDCTMVDCPVSAADDGPHLPLHTRHPTASALQLFGFAEAISIGRHSPEKLFKIILRPSLESALAAKLP* >Brasy4G219000.1.p pacid=40084214 transcript=Brasy4G219000.1 locus=Brasy4G219000 ID=Brasy4G219000.1.v1.1 annot-version=v1.1 MSAAPPPPPEPAAVCGGGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITTDLFPSADLSSADSSEISSAAAFDAAEQLIQLWDATPEALVFEAPEDDVAQYLGAVDVAVEHLARGASGAGRAGVAVQLAMARLEEELRHHMVRHAVPLDPTGLCFSLRRLSLGSLEDLDTSSEFDAATPHSIDGTPETARGGPLGNPFDDHVFDPVRPEAVDDLRAIADRMARAGYARELADAYCGIRRDLLDEYLSVLGVERLSIDEVQRVEWKQLNDKMKKWVQGVKTVVRVLLAGERRLCDQVLSVSDDLREECFLESTKGCIMQILNFGDAVAVCPRSPEKLSRILDMYEALAEQESSRRAMMAGEIHPMTRYVMNYLRLLVVYSETLDALLDDDGDGHTAFGRPEDQDHDQEHLESMTPLGRRILKLMCYLEANLEEKSKLYEDAALECIFSMNNLLYIVQKAKDSELGKILGDHWVRRRSGKIRQYSKSYLRISWMKALSFLRDDVHGSSGGSGSGSGSGSGSGHSSSRMSIKDKFKNFNLAFEEIYKNQTIWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGSPGSANHSRRRT* >Brasy4G022900.1.p pacid=40084215 transcript=Brasy4G022900.1 locus=Brasy4G022900 ID=Brasy4G022900.1.v1.1 annot-version=v1.1 MSTPRSSSPDPIPNPSVTLAGSPGMRDAEEDPDSPPSRMSEDGNNGGWTPDLRGGGGGRWAPPDQVLEIVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVIKGKPRFADFSLVPHGWGAYFSTWVAALGPAYPCLERIFLKRMTVSDDELALIPKSFPLFKELSLVCCDGFSTRGLATIAEGCRHLRVLDLTEDYFHEDENQVVDWISKFPECNTLLESLVFDCVGVPFNFEALEALVARSPALRQLRVNDHVSIEQLRRLMARAPHLTHLGTGSFRSEPGSGGASSVSELATSFAASRSLVCLSGFLDFNAEYLPAIYPVCVNLTSLNFSFASLTAEELIPVICHCVSLRIFWVLDTVGDEGLQAVAETCSDLRELRVFPLDATEDSEGSVSDIGLQAISEGCRKLESILYFCQRMTNAAVIAMSQNCPNLVVFRLCIMGRHRPDRITGEPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQHVFEGCTRLQKLEVRDSPFGDKGLLSGMNYFYNMRFFWMNSCRLTAKGCRDVAQQMPNLVVEVMKDHPDDEGEIDTVDKLYLYRSLAGPRNDAPSFVNIF* >Brasy4G034100.1.p pacid=40084216 transcript=Brasy4G034100.1 locus=Brasy4G034100 ID=Brasy4G034100.1.v1.1 annot-version=v1.1 MALLAPSPRVFCQDLCAARDTAQHHHHPSPYSSSAAAGCSSVGGARALWLPRGGGGGARRKGRGWVRAEAAYFWDASEPVEMAEIDSIDTLDAAVGWSVDNNQPIIIDWMASWCRKCIYLKPKLEKIAGEYPGVRFYFVDVNKVPQAVVKRGNISKMPTIQLWKDGEWKEEVIGGHKAWLVMDEVREMIQKYK* >Brasy4G156300.1.p pacid=40084217 transcript=Brasy4G156300.1 locus=Brasy4G156300 ID=Brasy4G156300.1.v1.1 annot-version=v1.1 MGQAGAFAVSHCRGRERGLGRLPAPRTGRIRPPSAPPAPDLVAPPQQIGRPCGAAPAVELRPPSRGVLGVHFGWMDSSMDSIFWFFPLN* >Brasy4G117600.1.p pacid=40084218 transcript=Brasy4G117600.1 locus=Brasy4G117600 ID=Brasy4G117600.1.v1.1 annot-version=v1.1 MGNCFGSSEDADVEAVKAMAHHAHARAAMARPGMVAPQPNTHAAMSPARPPRNKPPSAPTTSSGGSGSGSRRPVAGGDPSPGTEGRILETPNLRIFTFAELKAATRNFKADTVLGEGGFGRVFKGWVDEKTMSPARSGSGMAVAVKKLNPESLQGLQEWQTEVNFLGRLVHPNLVRLLGYCWEDKELLLVYEYMAKGNLEDHLFRSEPRKGGGAFQPLSWSLRLRVAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEVLTGLRALDTDRPSGQHNLVDWAKPHLADRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAAEHTNRPSMKEVVAVLQEIESMSSRGGRPDGSVGSASPRPTGRSGGPGYGGQSPRPGPAPEWAGGHGSHPPPRVR* >Brasy4G117600.2.p pacid=40084219 transcript=Brasy4G117600.2 locus=Brasy4G117600 ID=Brasy4G117600.2.v1.1 annot-version=v1.1 MGNCFGSSEDADVEAVKAMAHHAHARAMARPGMVAPQPNTHAAMSPARPPRNKPPSAPTTSSGGSGSGSRRPVAGGDPSPGTEGRILETPNLRIFTFAELKAATRNFKADTVLGEGGFGRVFKGWVDEKTMSPARSGSGMAVAVKKLNPESLQGLQEWQTEVNFLGRLVHPNLVRLLGYCWEDKELLLVYEYMAKGNLEDHLFRSEPRKGGGAFQPLSWSLRLRVAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEVLTGLRALDTDRPSGQHNLVDWAKPHLADRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAAEHTNRPSMKEVVAVLQEIESMSSRGGRPDGSVGSASPRPTGRSGGPGYGGQSPRPGPAPEWAGGHGSHPPPRVR* >Brasy4G046100.1.p pacid=40084220 transcript=Brasy4G046100.1 locus=Brasy4G046100 ID=Brasy4G046100.1.v1.1 annot-version=v1.1 MSESNIDGIEISVSNDDRRDRADAENSEDEPRHRRMRALRKKALHASTRLTHSLKKRGKRKVDCRVPHIAIEDVRDAEEEQAVSSFREVLFARNLLPERHDDYHMMLRFLKARKFDFEKASQMWEEMLQWRKGFGADTILEDFQFHELEEVLQYYPQGYHGVDKEGRPVYIELLGKVEPNKLLQTTTMERYLQYHVQEFERAFREKFPACSIAAKKHVDTTTTILDVHGVGWKNFGKVARDLVRCMQKIDGDYYPETLHQMFIVNAGTGFKLIWSTVKGLLDPKTSSKINVLGAKFQSRLLEAIDASQLPEFFGGLCTCSNQGGCLRSNKGPWSDPLIMKIVHSMESSALREVVQVSDIEETLTGSVRLRALKLPERISDTSNAESGSDVDDLGSPVAPADVEYHSLAPVREEARESGSTTCNRSDDRPLSVDKAVESNKRYNLAGNVLRQYNTRQNSSTNRVSPEPAGPAPNDREGNADDGILKYFSRKILAVILKILSLLRFFTRRRQQLDNVRPHTPTVSSSNQADLQVVKEDRVNPCLERLERLESMCNQLSRKPPEIPQDKDRAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLEAVKDSDLRRRKFCT* >Brasy4G164400.1.p pacid=40084221 transcript=Brasy4G164400.1 locus=Brasy4G164400 ID=Brasy4G164400.1.v1.1 annot-version=v1.1 MARSRKKDRLLRRRPRTARGVDRISALSDDLLLLILRRLDTRTALGAGLLSRRWSCLPRELPALDFRVGDILPPRYHRLMLLYSNTTVTPSDHELHAMKKKLKHIIRRYERRAMRAMTSFIKTSMEAAGGGVLGGGPGRRISRLRLEFFATLNTGCMNQLIAKAADAWGVDDLEAVATPIFREQAVHSFPSHGLCEEPRASRLRSLKLGGCFLPPLHGYSALTRVVLQGLPESTSEAAYEGVFTSCPQLQVLHLISCQCKCGVELVVDAPRSEIRELVVDGREFVQRLASLGTCRVLFESTSFPCLRQCNFALWCGIIWGEGSVLHLLRAELLSLHLGLFVGRTPDITSLILRFTGPHRWIVPSRNPPSTSLLPNARRLLVADVPSSWDASWPRLLLETAPSLETLHVHIAPCVEEEPGDEIPWQPSELLHHHLKEFVIVGFEGTERQVYLARFVMGVCTALRHVALFKEGHARDKGHWDWEMVTQPHSWTAEENNSTLKQIMDGVPSSTAASVQLVFG* >Brasy4G334800.1.p pacid=40084222 transcript=Brasy4G334800.1 locus=Brasy4G334800 ID=Brasy4G334800.1.v1.1 annot-version=v1.1 MLAFIGVPRAGVLHCRLLSPRPPASPSWTTRPASNTSSTTEDQFRKWMSNCYHGVSSSRYCRKHREKLQRVLSAFSDVP* >Brasy4G340900.1.p pacid=40084223 transcript=Brasy4G340900.1 locus=Brasy4G340900 ID=Brasy4G340900.1.v1.1 annot-version=v1.1 MAPPPWASLDQEVLGRIFRSLPSFTDLASASQVCRHWRSVVLLRENQPQPALPWLLMPSTASTSHFRLFGRATHQENAHVPVGARFCGSFPGGCSMRRGRYALLNIPTGERIALPDNMEAPVRSNSGIQHNNVYPVLAIHAAVLSAAPTPDGAYIVAALTSSQTNIAFWRPGMARWSPPLHTEVDRRASWADEMIPTAAADGSMEDIVHYRRGDFECFCVLTSTESVFSYEPVIALDGMLTMFGAGIRVDTQRAPRAEEPVARYLVLSGEDLLIVRRFASLPVAPDVIGLHVIRITWEGQVLFLGRGCSRAYTTAFHTNPGGYIYFLDADRFPGSTTIGYRCSDTGRCDYRHFLLQIQRVLPQGPESDCSPWIWFFH* >Brasy4G095100.1.p pacid=40084224 transcript=Brasy4G095100.1 locus=Brasy4G095100 ID=Brasy4G095100.1.v1.1 annot-version=v1.1 MSNRSACKFFVHGACFKGDYCQFSHDWNDQSNNVCAFYQNGVCSYGSHCRYEHVEVSYEHPPPTAAVAASNSYQILSSSGCRICEEDRIAVSNQIQQTCKSASALSARQPDRREDNNSVPPRRTAQDQASLPPAHLPICSSAAAGTCPYGEECPQMHGDLCTTCGKQCLHPYRPSESGVHIKLCKRNNKWLETLKKSEEIECSVCLDRVLSKSTAAEKRFGLLPECDHAFCITCIRKWRSSSLTSSMDIDSTVKACPICRKVSYYVIPSSTWYSSKEEKQEIIDGYKAKLKSIDCKYFDFGRDTCPFGGRCFYKHAYSDGRLEEVVPVAPALPPPRLHFHADDGSRERVRNIGFAYLLSRLHL* >Brasy4G095100.2.p pacid=40084225 transcript=Brasy4G095100.2 locus=Brasy4G095100 ID=Brasy4G095100.2.v1.1 annot-version=v1.1 MSNRSACKFFVHGACFKGDYCQFSHDWNDQSNNVCAFYQNGVCSYGSHCRYEHVEVSYEHPPPTAAVAASNSYQILSSSGCRICEEDRIAVSNQIQQTCKSASALSARQPDRREDNNSVPPRRTAQDQASLPPAHLPICSSAAAGTCPYGEECPQMHGDLCTTCGKQCLHPYRPSESGVHIKLCKRNNKWLETLKKSEEIECSVCLDRVLSKSTAAEKRFGLLPECDHAFCITCIRKWRSSSLTSSMDIDSTVKACPICRKVSYYVIPSSTWYSSKEEKQEIIDGYKAKLKSIDCKYFDFGRDTCPFGGRCFYKHAYSDGRLEEVVPVAPALPPPRLHFHADDGSRERVRNIGFAYLLSRLHL* >Brasy4G217200.1.p pacid=40084226 transcript=Brasy4G217200.1 locus=Brasy4G217200 ID=Brasy4G217200.1.v1.1 annot-version=v1.1 MADWGPVVVAVILFVLLSPGLMFQVPGKTRVVEFGSMCTSGVAILVHAVLFCGLFAVLVVAVGIHVHAG* >Brasy4G420000.1.p pacid=40084227 transcript=Brasy4G420000.1 locus=Brasy4G420000 ID=Brasy4G420000.1.v1.1 annot-version=v1.1 MGRSMAASAGLLLLPAIVLLLSWSGGVAVAAAQRCTPGDLAALRGFSAGLDAPVSGWPPPAAAAGDEEDDNDDDCCSWPGVLCSSISPAAAIIELSLPNRTLRGPISGSLAGLASLRVLNLSGNALRGFLPPPLLLRLRDLEALDLSSNSLSGAINLTSISSSLRAFNVSGNSLTGPHPVLPGAMNLTSYDISGNSFSGAISAAALCRESPNLKLLRLSMNRLDGLFPAGFSRCAGLSELALDGNGGIHGALPEDLFELASLQTLILHGNSLSGAVPPLLRGLRSLVRLDISFNGFSGKLPEAFDALAGTLQELSAAGNLLEGELPATLALCKKLRVLNLRNNSLSGAMAAGLDGLLVNGRCGLVYLDLGVNGFTGEIPAGLAGCAAMTALNLGRNFLAGEIPGSFAAAGAFPALSFLSLTGNGFSNVTSALTILQRLPKLTSLVLTKNFHGGEMMPAVGIDGFANLEVLVIANCELSGEIPPWLTGLKKLKVLDLSWNRLAGKIPPWLGEFERLFYLDVSNNSLRGEIPGTLASMPGLVAVAGAGEDDQSAAVQDFPFFIRPTSSPAAKGRQYNQVRSFPPSLVLARNGLTGRIPPAMGTLTGVHIVDLSWNALSGAIPPELAGMTSLESFDASRNELLGPIPASLTRLSFLSHFAVAFNGLSGEVPRGGQFSTFERADFEGNPLLCGLHVARRCDPTPQQLGDGGEEGRRRSTGAGVVAAICVGTVMLVAVGVVATWRVWAKRRRQEDNARVAANDDDDVDSAVARLSRMVLLFPDDDEDVGDAKGTTTAMSVEEVVKATGNFAESRIVGCGGFGMVYRATLADGRNVAVKRLSGDTWQAEREFQAEVDALSRVSRHRNLVSLHGYCRHVGVDGEYRLVIYPYMENGSLDHWLHERGGGELPWPTRMRIAIGAARGLAHLHDGPGRTRVLHRDVKSSNILLDGAMEARLGDFGLSRLARAHDDTHVTTDLVGTLGYIPPEYGHSAVATCRGDVYSMGVVLAELVTGRRPVDMAAGATRGGRDVTSWAVRMRREGKGDEVVDIEVAKVERHRDEAMGVLDVACACVREDPKARPTAQQVVDWLDAIATATDSSSSGSSSDT* >Brasy4G176300.1.p pacid=40084228 transcript=Brasy4G176300.1 locus=Brasy4G176300 ID=Brasy4G176300.1.v1.1 annot-version=v1.1 MQMAAATPDAPAAAAAPHPHPHVHGPPQPHGHGHGHPHPHHHMPQPRWVVLPYPPPPPMVAAPPPPPPQYVKHFAPPASVTPPPPSGGGSGGNGGDDNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFAGHVMPNTDRAFKLNWASYSVGEKRTELASDHSIFVGDLAVDVTDDMLMELFANKYQSVKGAKVIIDANTGRSRGYGFVRFGDDNDRTHAMTEMNGVYCSTRPIRIGPATPRRTSGDSGSSPPRQSDGDLTNRTVYVGGLDPNVSEDELRKTFTKYGDVASVKIPVGKQCGFVQFVNRADAEEALQGLSGSTIGKQAVRLSWGRSPASKQPRGDSGHRRNGMYYGTPLYGGYGYASPVPHPNMYAAAYGAYPFYGNQQLVS* >Brasy4G428300.1.p pacid=40084229 transcript=Brasy4G428300.1 locus=Brasy4G428300 ID=Brasy4G428300.1.v1.1 annot-version=v1.1 MLAGLKKMLVFCLGRVPRGEKTPWILSSEAVEIGPDRAADRRTGPCHPSATPFHRPKKQSRAASGPWIAAASPFSARGGARGRPNPTVAREDDAAEAAAAEHAFFSVLAKPANQRLRRDPRQRRKRAGAAAGRAAELASHHEGAVQTLTMSVACVVWRRKETMFDGMLLRVDLLSQASLWV* >Brasy4G257100.1.p pacid=40084230 transcript=Brasy4G257100.1 locus=Brasy4G257100 ID=Brasy4G257100.1.v1.1 annot-version=v1.1 MPRPTFSSGRPPSSAEADPGSNPHLSSTPDSSIASLLERATTTTAPSVDPALLRAIKSSARSSDGAIRDGFRLLLSLMAKPHSHVRLLAFSIVDELFMRSKLFRSLLADSLDGFLPLAVGFRHQLPPPTASATTLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARIRFPGIHAAVEARAAREARTQEILTAKIEQLRQNIGSIKAEIRSTIDEIRNGLAIIRAECDKFEDYADDEEEQEIASLEMRSIRIASLMAGEWVPETQENEAVFDALRESCRLLISKHLGTVQEWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRDQCGELGLNLDNVHRKKDLQEEEDDEIWVEGNIEVSSPARIESSADVASSSKDARKGKRVEGSVNYGNGEAPNAGNRSQEMDPGRARLLAEARVVPWSSVLDRWGSNGDAHVNQRGLEFDSHWGRMDNDAVIPAAKIAELNVHSSVYKEEPIEILPCHAPLKKGGLCQRRDLKVCPFHGPIVPRDAKGNPIGQSDGNCNTGGEPIEQNGSICDAGGNLAETNGSTDGEYMQEPSPSGMINRNNDKWSMDGGHDLDKITMEQLARHAIKNVRKRDIDDKAREKAQRARIRQHNEDVLREAAIASTSHSAAEYDQPSEVLGRRGRRRGKMKEPTLASMLKKKVTTKDRITERLLNARARDATIREVSKNEDMSYREAFPNQW* >Brasy4G320500.1.p pacid=40084231 transcript=Brasy4G320500.1 locus=Brasy4G320500 ID=Brasy4G320500.1.v1.1 annot-version=v1.1 MEKSKVLVVGGTGYIGRRMVKASLAQGHPTYVLMRPDIGFSMDKIQMILSFKAAGARVVEASMDDHRSLVDAVKQVDVVVSAMSGFQLSRQLKLVDAIKEAGNIKRFLPSEYGIDPARMEHALAPGRITFDEKMKIRRAIEEANIPHTYVSAGCFAAYFGPNLSQMGTLLPPKEKVQVYGDGNVKVVFMDENDVGTYAINTIDDPRTLNKTLYLRPPENILSQMELIAIWEKLSGKVLEKIHIPNDEFLASMEGAELFHQEAVGHFHHIFYEGCLTNFDIGDGAEEASLLYPEVQYTRMDEYMKRYL* >Brasy4G436400.1.p pacid=40084232 transcript=Brasy4G436400.1 locus=Brasy4G436400 ID=Brasy4G436400.1.v1.1 annot-version=v1.1 MGLREMAFVVLFFLVAAAAMMPARVGAEMAEECAKPVSVAAACRGAADTHHGVDYDHCVRSLSLDPRSAEAAGGGIHGLAVLATRLAIDHAASTEAKLDDLAQLEPEEEGGAAGRKRARFNHCLEQYGGAADLLRDALDNLQAKIYGKAMEQLMAALGASESCEDAWKGEKELPVAAHDREYGRMAHIAVGFTHAAA* >Brasy4G235400.1.p pacid=40084233 transcript=Brasy4G235400.1 locus=Brasy4G235400 ID=Brasy4G235400.1.v1.1 annot-version=v1.1 MSVAKPALCHQTETLHASQPSMSVAAPTTTLRHWNRLIQLGAASGSYSRCLRHYVPLLAAGLRGDASTFPSLAKSCAALRLPRLGRSVHAHALLVGAASDVFVVTSLLDMYAKCGWLPDARRLFDEMPSRTLISWNCMVTAYSKSSCVTEAVSMFNMMRGVGVRPSGATLVGLLSGCVDSLSTRNPGLCVYGYSMKSGLDTDLPVLNSVLTMLVRAGQLYDACLLFDCMHEKSVVTWSAMVSGFLQTGDYMKVFGLFNRMQAAGYKFDSVVLVNLISAAVLLGNLLLAKGVHALLIKSGFESEQDLMSSLVNLYAKCGDLEVAQEVFDAVHQKNVVLWTSMISGYAEGGHPDKALKMFDSMLCTDVETNEATVSSVLSSCANLGSANWAKKVEEHVVAIGLQKDLRVATGLIDTYCKCGSVKLAREIFDGVNTTNRDLAIWSAMINGYACIGEGSEALVLFNEMQNQGVQPDAIVFTHLLTACNYSGLVDEGLQCFRSLTVEYGIEPSVEHYMCTIDLLCKAGHLSAAKEFFRKIPIQLQSQVLAPILTAYSAHCADSSMELVSEELLNLEPDNSDHCVLMANMLSCLGKWKKATDYRRLITKQGLVKEPGRSCIELSG* >Brasy4G235400.2.p pacid=40084234 transcript=Brasy4G235400.2 locus=Brasy4G235400 ID=Brasy4G235400.2.v1.1 annot-version=v1.1 MSVAKPALCHQTETLHASQPSMSVAAPTTTLRHWNRLIQLGAASGSYSRCLRHYVPLLAAGLRGDASTFPSLAKSCAALRLPRLGRSVHAHALLVGAASDVFVVTSLLDMYAKCGWLPDARRLFDEMPSRTLISWNCMVTAYSKSSCVTEAVSMFNMMRGVGVRPSGATLVGLLSGCVDSLSTRNPGLCVYGYSMKSGLDTDLPVLNSVLTMLVRAGQLYDACLLFDCMHEKSVVTWSAMVSGFLQTGDYMKVFGLFNRMQAAGYKFDSVVLVNLISAAVLLGNLLLAKGVHALLIKSGFESEQDLMSSLVNLYAKCGDLEVAQEVFDAVHQKNVVLWTSMISGYAEGGHPDKALKMFDSMLCTDVETNEATVSSVLSSCANLGSANWAKKVEEHVVAIGLQKDLRVATGLIDTYCKCGSVKLAREIFDGVNTTNRDLAIWSAMINGYACIGEGSEALVLFNEMQNQGVQPDAIVFTHLLTACNYSGLVDEGLQCFRSLTVEYGIEPSVEHYMCTIDLLCKAGHLSAAKEFFRKIPIQLQSQVLAPILTAYSAHCADSSMELVSEELLNLEPDNSDHCVLMANMLSCLGKWKKATDYRRLITKQGLVKEPGRSCIELSG* >Brasy4G235400.3.p pacid=40084235 transcript=Brasy4G235400.3 locus=Brasy4G235400 ID=Brasy4G235400.3.v1.1 annot-version=v1.1 MSVAKPALCHQTETLHASQPSMSVAAPTTTLRHWNRLIQLGAASGSYSRCLRHYVPLLAAGLRGDASTFPSLAKSCAALRLPRLGRSVHAHALLVGAASDVFVVTSLLDMYAKCGWLPDARRLFDEMPSRTLISWNCMVTAYSKSSCVTEAVSMFNMMRGVGVRPSGATLVGLLSGCVDSLSTRNPGLCVYGYSMKSGLDTDLPVLNSVLTMLVRAGQLYDACLLFDCMHEKSVVTWSAMVSGFLQTGDYMKVFGLFNRMQAAGYKFDSVVLVNLISAAVLLGNLLLAKGVHALLIKSGFESEQDLMSSLVNLYAKCGDLEVAQEVFDAVHQKNVVLWTSMISGYAEGGHPDKALKMFDSMLCTDVETNEATVSSVLSSCANLGSANWAKKVEEHVVAIGLQKDLRVATGLIDTYCKCGSVKLAREIFDGVNTTNRDLAIWSAMINGYACIGEGSEALVLFNEMQNQGVQPDAIVFTHLLTACNYSGLVDEGLQCFRSLTVEYGIEPSVEHYMCTIDLLCKAGHLSAAKEFFRKIPIQLQSQVLAPILTAYSAHCADSSMELVSEELLNLEPDNSDHCVLMANMLSCLGKWKKATDYRRLITKQGLVKEPGRSCIELSG* >Brasy4G418300.1.p pacid=40084236 transcript=Brasy4G418300.1 locus=Brasy4G418300 ID=Brasy4G418300.1.v1.1 annot-version=v1.1 MRVLPLALAAAIFSGVAAILIYLSGLSSYGAGDGVSEADLAALAALQGEFGKCVDWEECRDANGLGLQAVAGEDYCRVVMQYPSDTVSKWEDPKTKEPEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGVLLNKCRNRTLCMQKLSLVLPETSPYVPQQFGRCAVVGNSGDLLKTKFGDEIDSYDVNYTEYVGTKSTFRLLNRGSAKALDNVVKLDETKKEALIVKTTIHDIMNQMIRELPITNPVYLMLGTSFGSSAKGTGVKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPTKDTIEAARVASDKLLKQIHTDAPNRSGLRDAAMDHLRHMKGATRYPLERNAGGGYLCMINDG* >Brasy4G297100.1.p pacid=40084237 transcript=Brasy4G297100.1 locus=Brasy4G297100 ID=Brasy4G297100.1.v1.1 annot-version=v1.1 MALGRRDSCPPRPALPLLLQTLSLLVLLAFSSAAAPTAASPAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFTSYNPSMLLSTAYYRMDLYERALNEVPVTNFFGSVMKTIHTDSAYTGFLAAHDTETPLSINHGMLQNEASSRRSNMEEMKEAQLRSHGWTEILQEQLEGKKTEIVVMYGLGTMGILLAISTWLSM* >Brasy4G308500.1.p pacid=40084238 transcript=Brasy4G308500.1 locus=Brasy4G308500 ID=Brasy4G308500.1.v1.1 annot-version=v1.1 MAAGVGLLLAVTAFLLCAGGARADAPRPYQISPEAARQQAADRVWRLPGQPAVPFSQYAGYVTVNESHGRALFYWFFEATAGAAEKPLVLWLNGGPGCSSIGFGEAEELGPFLVQKGKPELKWNPYSWNKEANLMFLESPVGVGFSYTNTSSDLQNLGDKITADDAYIFLVNWFKRFPQYKSHDFYITGESYAGHYVPQLSEKIFDGNMQGPKEDYINFKGFMIGNALMDDETDQTGMVQYAWDHAVISDRVYADVKAHCDFSLENVTGACDAALDEYFAVYRLIDMYSLYTPVCTIAGSSSASSPFTGLRGAAPKIFSKYRGWYMKHRAGYDPCTSVYSGIYFNRPDVQAALHANVTHIAYNWTHCSDAIKWNDAPFSTLPIIRKLIAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHLQVGGWTITYEGLTFVTIRGAGHEVPMHTPRQALSLFGNFLADKKMPPSAFS* >Brasy4G120900.1.p pacid=40084239 transcript=Brasy4G120900.1 locus=Brasy4G120900 ID=Brasy4G120900.1.v1.1 annot-version=v1.1 MSSKGIGKCTEVLVKRIAGLRPAQAARLGSALAALMAVLRMVRPYLPGLLRRFFRYYVGRLIKRYLRRALGFLDPCLTVNIGEYSAAGDRIRRSQVYDQAKAYLSDRCSSQARSLWADLASHGSHAFVLSMSDREEVADEFRGATVWWQHFKPGGSAWEFYQLVFHERHRDLVVQSYLPHVCREGKAVMARNRRRRLFTNYTGDRQMASWTCVLFEHPSTFETLAMDPAKKRSIMDDLDAFREGKEYYTRIGVEAGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRHLLIQTSGKSIIVVEDIDCSADLTGKRKKPPTMAPANSPPTQASANSPPTQALANSPPTDQKKVTTLTLSGLLNAVDGLWSACEGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGVDDHPLFEAVKELLQAAKITTADVAEHLMRKCADDNADSCLANLLKALALKGEAVLGN* >Brasy4G074900.1.p pacid=40084240 transcript=Brasy4G074900.1 locus=Brasy4G074900 ID=Brasy4G074900.1.v1.1 annot-version=v1.1 MGNILNLMLGATTLEHCHPKKCHQGRIADNLFEPWHILVFRAILNHAKCKASYQKGIADSQFQLLSILICIEMSARRRAVYEGTVDDQFKLYSILICGVISDYTCHKLMHEKRISNDPLEKLPEDVLCIVLSMLPLDEAARTSTVSRKWRFLWTVRPKLSFDGITVHGKNTCMKHQYFQKFIDNVDAVLAQCHVSVVDALTIKVDFDTMLVIHLDNWVSFVVSSRIKFLTLDLAPEDFQGRDDRYLFPFKLLDSGSISRLQEIHLSFGHLQPPIGFSGFPNLRKLDLNLMNVSRKDLEEMLSNCCNLEWLSIVRCHLEDELKVNGPLPHLLYLNISFCRITKIVLRAVQLTTFIYKGTPVCIDLGKSSRLENADICFSKATLEDATTQLFNVFTHVQNLIFDTFCKSMEMPYLVHTQCKFSQLRHLKLLLLFENDVDTLSLVSFMMSAPFIENFEITFNVDPLLYTEHVPMKTRRERPYKYLKNVCMKAFQGSRGQLEFLSHVVENAPSLEFLTLDSWRPLLEYVKDAERTRFVDSAHRTARRCLQGKISPKCSLRIL* >Brasy4G074900.2.p pacid=40084241 transcript=Brasy4G074900.2 locus=Brasy4G074900 ID=Brasy4G074900.2.v1.1 annot-version=v1.1 MGNILNLMLGATTLEHCHPKKCHQGRIADNLFEPWHILVFRAILNHAKCKASYQKGIADSQFQLLSILICIEMSARRRAVYEGTVDDQFKLYSILICGVISDYTCHKLMHEKRISNDPLEKLPEDVLCIVLSMLPLDEAARTSTVSRKWRFLWTVRPKLSFDGITVHGKNTCMKHQYFQKFIDNVDAVLAQCHVSVVDALTIKVDFDTMLVIHLDNWVSFVVSSRIKFLTLDLAPEDFQGRDDRYLFPFKLLDSGSISRLQEIHLSFGHLQPPIGFSGFPNLRKLDLNLMNVSRKDLEEMLSNCCNLEWLSIVRCHLEDELKVNGPLPHLLYLNISFCRITKIVLRAVQLTTFIYKGTPVCIDLGKSSRLENADICFSKATLEDATTQLFNVFTHVQNLIFDTFCKSMEMPYLVHTQCKFSQLRHLKLLLLFENDVDTLSLVSFMMSAPFIENFEITFNVDPLLYTEHVPMKTRRERPYKYLKNVCMKAFQGSRGQLEFLSHVVENAPSLEFLTLDSWRPLLEYVKDAERTRFVDSAHRTARRCLQGKISPKCSLRIL* >Brasy4G236200.1.p pacid=40084242 transcript=Brasy4G236200.1 locus=Brasy4G236200 ID=Brasy4G236200.1.v1.1 annot-version=v1.1 MGRTCAIAVAALCAELRPDSGGAGGLVGQLCSFVLGPGDAAAVLRFGEIMGELCHSSASASCCFLLEENHKGLLLLSPGEEWENLAAEPPSQSAAVGGKYLLDHGDFDEIKDEIEEKNVVSEDLRKGPGSCSSENCCPSEAFVQVMEEPENSIKEQSLILPAAVDGVGDGVDPVVPMEIKKCELVKSHSSISRRIRQWEAATSGNFRGVLDDTPGNPVKPTLEKGSFKDVKGSTQLETGSCIRKRGERNHLAQEISSVEESVIKQPDQELIHVKKWDPKTETDTGKCSTGVQAEESASIAEPGVESQERKHKDSPGGPELQEPDQEFQEENKKDARPEQQEPQEQKHNSMATARRVHSGTSSTENPVSEEGSPRKEKEWKKTLACKLYEERIQLKLCRGRAAAAAGGECGGDDMDMLWEAYETAGGGNRQKEEIVEPGDGGESEEEDGLDEGPVRQLCCLQALKFSTRKMSFGGGGGGGKPSLAKISKVLKRMTALSRVGSRRK* >Brasy4G366300.1.p pacid=40084243 transcript=Brasy4G366300.1 locus=Brasy4G366300 ID=Brasy4G366300.1.v1.1 annot-version=v1.1 MSDGERHYDEIPTTSAADDDHDEDYVEPGGWNHILGLMFGNVHDYGDLDAHHPDEMTKSSPAPADSSEHDHDEKADDAVDMKILTKNMMHLKLKQLQKSVICYPRKITSPSSVNTKASVFDEENYARTKNHQ* >Brasy4G224900.1.p pacid=40084244 transcript=Brasy4G224900.1 locus=Brasy4G224900 ID=Brasy4G224900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G250300.1.p pacid=40084245 transcript=Brasy4G250300.1 locus=Brasy4G250300 ID=Brasy4G250300.1.v1.1 annot-version=v1.1 MSATSSTAVYSRSPHHPVPPPFAATMIVAASVVAVLPLAGPALARPAAAPLRTLPLSPGDVLAGSASRLACRCRVTRGGARRPLISFAAGENAVGDAFVGEGATNVKFPRELTLPGCTELLVILGTGYREKFFVKVYAAAFYVDYSIGVDTEQWKEKVGIESFDASSVFDTIFKAPVVKSLSITLVRDVDGKTFVKALDDVIARRIKKPTVEEESSLSTFRNIFLGRNLKQGTGIYLTWLEPSRMLISISTNQDACQVDAETKSATVNYALYDGFFGGSPVSPTLKSSTAQLLEAILTN* >Brasy4G420100.1.p pacid=40084246 transcript=Brasy4G420100.1 locus=Brasy4G420100 ID=Brasy4G420100.1.v1.1 annot-version=v1.1 MDYERIEKPSLPTQGGGFSPKRLRAMLLGVEKRRKGPPPPEAGAAGAGDDDRDDEEEEYGAVPMASVRSDADADSDARREGGGGACEEYKDVDVVSTMSGSSSSLETGGGHRPREAHTMGSRFRVPEEDSCDSESVASNFEFHKERGTSARSAPVVPPFSKAPPSKWDDAQKWIASPTTNRPSRTSGALPRKMEKAGFGGGRLPATKVVLETMEEIDTKRIDPSQEKREIGWQKAANWATPDPYPEVEACTKTAIGAESTIADSAVTLSCDSSTTLQSATACIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSQPTTPRRTLGTNAAGAVISRGECSNAELSEQELQMKTRREIMLLGTQLGKTSIAAWASKKEEEKDASLSLKTVSLDQSIQNTTEIRAAAWEEAEKAKYLARFKREEIKIHAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMSKLASARHAADEQRATAETKRDHAAARTAEQAEHIRRTGRMPPSLGCWNWCS* >Brasy4G420100.2.p pacid=40084247 transcript=Brasy4G420100.2 locus=Brasy4G420100 ID=Brasy4G420100.2.v1.1 annot-version=v1.1 MLLGVEKRRKGPPPPEAGAAGAGDDDRDDEEEEYGAVPMASVRSDADADSDARREGGGGACEEYKDVDVVSTMSGSSSSLETGGGHRPREAHTMGSRFRVPEEDSCDSESVASNFEFHKERGTSARSAPVVPPFSKAPPSKWDDAQKWIASPTTNRPSRTSGALPRKMEKAGFGGGRLPATKVVLETMEEIDTKRIDPSQEKREIGWQKAANWATPDPYPEVEACTKTAIGAESTIADSAVTLSCDSSTTLQSATACIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSQPTTPRRTLGTNAAGAVISRGECSNAELSEQELQMKTRREIMLLGTQLGKTSIAAWASKKEEEKDASLSLKTVSLDQSIQNTTEIRAAAWEEAEKAKYLARFKREEIKIHAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMSKLASARHAADEQRATAETKRDHAAARTAEQAEHIRRTGRMPPSLGCWNWCS* >Brasy4G171200.1.p pacid=40084248 transcript=Brasy4G171200.1 locus=Brasy4G171200 ID=Brasy4G171200.1.v1.1 annot-version=v1.1 MEIKDADLFGECGRRAAEELLLVELHLGDLLQAVHLDDERHRQHQEGRKVVIVIHAVFPVLFVSFHAAAGPRVTTGEREIAASMPPDSQPPPRLPKAEESHMLPDLDDSGLERQHWCGARISSLCIRSLFSYDKKPVDKRIKRGDRCTIGGAVVVDLYVMECQSFDSMHELRSYLG* >Brasy4G227400.1.p pacid=40084249 transcript=Brasy4G227400.1 locus=Brasy4G227400 ID=Brasy4G227400.1.v1.1 annot-version=v1.1 MSAKASDHTYRRSDDPRMAYYNNSVPLGENGRFYVAQSHIDLHYTSSDDGSQKIRSNPQVFEPQYCTLESSSGNGVYPTQSSTSSHSISPISGSPLSQHDSHSDHIYGSPPSASCVTEVADLQVKLKELEDAILGPELDITSDSPESSSLQAINPLKPDNWRQLLGINTGDLKEVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGLVARFSSSGSKIYKSLRCKQPTGSELMSYMSLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTVIQALAARPGGPPCLRITGIDDSDSIYARGGGLDIVGTRLYKVSRACGLPFEFNAIPAASHEVHLEHLDIRPGEVIVVNFAYQLHHTPDESVSTENHRDRILRMIKSLSPRVVTLVEQESNTNTAPFFQRYLETLDYYTAMFESIDAALPRDDKRRMSAEQHCVARDIVNLIACEGADRIERHEVFGKWKARFAMAGFRQYPLSSVVNNTIKTLLDSYNNYYRLEERDGVLYLGWKNRVLVVSSAWW* >Brasy4G227400.3.p pacid=40084250 transcript=Brasy4G227400.3 locus=Brasy4G227400 ID=Brasy4G227400.3.v1.1 annot-version=v1.1 MSAKASDHTYRRSDDPRMAYYNNSVPLGENGRFYVAQSHIDLHYTSSDDGSQKIRSNPQVFEPQYCTLESSSGNGVYPTQSSTSSHSISPISGSPLSQHDSHSDHIYGSPPSASCVTEVADLQVKLKELEDAILGPELDITSDSPESSSLQAINPLKPDNWRQLLGINTGDLKEVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGLVARFSSSGSKIYKSLRCKQPTGSELMSYMSLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTVIQALAARPGGPPCLRITGIDDSDSIYARGGGLDIVGTRLYKVSRACGLPFEFNAIPAASHEVHLEHLDIRPGEVIVVNFAYQLHHTPDESVSTENHRDRILRMIKSLSPRVVTLVEQESNTNTAPFFQRYLETLDYYTAMFESIDAALPRDDKRRMSAEQHCVARDIVNLIACEGADRIERHEVFGKWKARFAMAGFRQYPLSSVVNNTIKTLLDSYNNYYRLEERDGVLYLGWKNRVLVVSSAWW* >Brasy4G227400.2.p pacid=40084251 transcript=Brasy4G227400.2 locus=Brasy4G227400 ID=Brasy4G227400.2.v1.1 annot-version=v1.1 MSAKASDHTYRRSDDPRMAYYNNSVPLGENGRFYVAQSHIDLHYTSSDDGSQKIRSNPQVFEPQYCTLESSSGNGVYPTQSSTSSHSISPISGSPLSQHDSHSDHIYGSPPSASCVTEVADLQVKLKELEDAILGPELDITSDSPESSSLQAINPLKPDNWRQLLGINTGDLKEVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGLVARFSSSGSKIYKSLRCKQPTGSELMSYMSLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTVIQALAARPGGPPCLRITGIDDSDSIYARGGGLDIVGTRLYKVSRACGLPFEFNAIPAASHEVHLEHLDIRPGEVIVVNFAYQLHHTPDESVSTENHRDRILRMIKSLSPRVVTLVEQESNTNTAPFFQRYLETLDYYTAMFESIDAALPRDDKRRMSAEQHCVARDIVNLIACEGADRIERHEVFGKWKARFAMAGFRQYPLSSVVNNTIKTLLDSYNNYYRLEERDGVLYLGWKNRVLVVSSAWW* >Brasy4G227400.4.p pacid=40084252 transcript=Brasy4G227400.4 locus=Brasy4G227400 ID=Brasy4G227400.4.v1.1 annot-version=v1.1 MSAKASDHTYRRSDDPRMAYYNNSVPLGENGRFYVAQSHIDLHYTSSDDGSQKIRSNPQVFEPQYCTLESSSGNGVYPTQSSTSSHSISPISGSPLSQHDSHSDHIYGSPPSASCVTEVADLQVKLKELEDAILGPELDITSDSPESSSLQAINPLKPDNWRQLLGINTGDLKEVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGLVARFSSSGSKIYKSLRCKQPTGSELMSYMSLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTVIQALAARPGGPPCLRITGIDDSDSIYARGGGLDIVGTRLYKVSRACGLPFEFNAIPAASHEVHLEHLDIRPGEVIVVNFAYQLHHTPDESVSTENHRDRILRMIKSLSPRVVTLVEQESNTNTAPFFQRYLETLDYYTAMFESIDAALPRDDKRRMSAEQHCVARDIVNLIACEGADRIERHEVFGKWKARFAMAGFRQYPLSSVVNNTIKTLLDSYNNYYRLEERDGVLYLGWKNRVLVVSSAWW* >Brasy4G401700.1.p pacid=40084253 transcript=Brasy4G401700.1 locus=Brasy4G401700 ID=Brasy4G401700.1.v1.1 annot-version=v1.1 MSTAGDEAAGGAAAGAATTTRAPSMASSASLRHRAMSASSKLLRSSLSRRASQSGRQRSSKVMSVAIEDVRDAEEMAAVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGSDTIIDDFEFEEMDAVLEHYPQGHHGVDKDGRPVYIEKLGAIDTTKLLQVTSMDRYIRYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFFGGTCVCEGGGCMKSDKGPWKDPEIMKMVQCGMGRCGMNSSDPVAAEEKTITEDDATPAPKKQDSMRRDSMRRDSVDSPKVPREKIEHPQMSPLHEMATSETKAPSAKEGSSAPYDELFEKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQQHGGGGGGGGGGDGVPGVSAAQYEALVKRVGDLEEKIAAIGARPPEMPADKAELLSAATSRLEALEAELDTTKKLLETSKGQQEEVLAYIEKKKKKKGMFCLQQQNPFRW* >Brasy4G401700.2.p pacid=40084254 transcript=Brasy4G401700.2 locus=Brasy4G401700 ID=Brasy4G401700.2.v1.1 annot-version=v1.1 MSTAGDEAAGGAAAGAATTTRAPSMASSASLRHRAMSASSKLLRSSLSRRASQSGRQRSSKVMSVAIEDVRDAEEMAAVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGSDTIIDDFEFEEMDAVLEHYPQGHHGVDKDGRPVYIEKLGAIDTTKLLQVTSMDRYIRYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFFGGTCVCEGGGCMKSDKGPWKDPEIMKMVQCGMGRCGMNSSDPVAAEEKTITEDDATPAPKKQDSMRRDSMRRDSVDSPKVPREKIEHPQMSPLHEMATSETKAPSAKEGSSAPYDELFEKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQQHGGGGGGGGGGDGVPGVSAAQYEALVKRVGDLEEKIAAIGARPPEMPADKAELLSAATSRLEALEAELDTTKKLLETSKGQQEEVLAYIEKKKKKKGMQNPFRW* >Brasy4G401700.3.p pacid=40084255 transcript=Brasy4G401700.3 locus=Brasy4G401700 ID=Brasy4G401700.3.v1.1 annot-version=v1.1 MSTAGDEAAGGAAAGAATTTRAPSMASSASLRHRAMSASSKLLRSSLSRRASQSGRQRSSKVMSVAIEDVRDAEEMAAVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGSDTIIDDFEFEEMDAVLEHYPQGHHGVDKDGRPVYIEKLGAIDTTKLLQVTSMDRYIRYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFFGGTCVCEGGGCMKSDKGPWKDPEIMKMVQCGMGRCGMNSSDPVAAEEKTITEDDATPAPKKQDSMRRDSMRRDSVDSPKVPREKIEHPQMSPLHEMATSETKAPSAKEGSSAPYDELFEKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQQHGGGGGGGGGGDGVPGVSAAQYEALVKRVGDLEEKIAAIGARPPEMPADKAELLSAATSRLEALEAELDTTKKLLETSKGQQEEVLAYIEKKKKKKGMNPFRW* >Brasy4G401700.4.p pacid=40084256 transcript=Brasy4G401700.4 locus=Brasy4G401700 ID=Brasy4G401700.4.v1.1 annot-version=v1.1 MSTAGDEAAGGAAAGAATTTRAPSMASSASLRHRAMSASSKLLRSSLSRRASQSGRQRSSKVMSVAIEDVRDAEEMAAVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGSDTIIDDFEFEEMDAVLEHYPQGHHGVDKDGRPVYIEKLGAIDTTKLLQGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFFGGTCVCEGGGCMKSDKGPWKDPEIMKMVQCGMGRCGMNSSDPVAAEEKTITEDDATPAPKKQDSMRRDSMRRDSVDSPKVPREKIEHPQMSPLHEMATSETKAPSAKEGSSAPYDELFEKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQQHGGGGGGGGGGDGVPGVSAAQYEALVKRVGDLEEKIAAIGARPPEMPADKAELLSAATSRLEALEAELDTTKKLLETSKGQQEEVLAYIEKKKKKKGMNPFRW* >Brasy4G416000.1.p pacid=40084257 transcript=Brasy4G416000.1 locus=Brasy4G416000 ID=Brasy4G416000.1.v1.1 annot-version=v1.1 MDSASSSSSPEADPGRMTTVSRHYFGGASSERDHDLRVDIVENMQEDYGMFVWPCSVILAEYVWQQRPRFSGSTVVELGAGTSLPGLVAAKVGANVTLTDIADNTEVLDNIRQICGVNDANCNVLGLTWGDWDEPIFDLHPDIILGADVLYDSAKFDDLFATVTFLLESSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEITLDKEKPK* >Brasy4G293500.1.p pacid=40084258 transcript=Brasy4G293500.1 locus=Brasy4G293500 ID=Brasy4G293500.1.v1.1 annot-version=v1.1 MTAYNSDPTTCLLSPLAGMASHLRDQLRAGPLQWRACVLPTGRRKTSPPRPHPTFSSTWTDSCVEGYFIC* >Brasy4G293500.2.p pacid=40084259 transcript=Brasy4G293500.2 locus=Brasy4G293500 ID=Brasy4G293500.2.v1.1 annot-version=v1.1 MTAYNSDPTTCLLSPLAGMASHLRDQLRAGPLQWRACVLPTGRRKTSPPRPHPTFSSTWTDSCVEGYFIC* >Brasy4G293500.3.p pacid=40084260 transcript=Brasy4G293500.3 locus=Brasy4G293500 ID=Brasy4G293500.3.v1.1 annot-version=v1.1 MTAYNSDPTTCLLSPLAGMASHLRDQLRAGPLQWRACVLPTGRRKTSPPRPHPTFSSTWTDSCVEGYFIC* >Brasy4G139200.1.p pacid=40084261 transcript=Brasy4G139200.1 locus=Brasy4G139200 ID=Brasy4G139200.1.v1.1 annot-version=v1.1 MDFTAPSFSLGIDFDDPPSAAAAAGSDRRGQARGYEAPDAPSFSLGIDDDDWGVRDPQLSAGSRREEQARRYEAPDAPSFSLGIDDDDDAGGGPHLPAGSRREEQPQRYEAPDAPSFSLGIDDDDGGGSRGTQLPTVSRREEQGRRYEAPDPPSFSLGLDDDDEDGFVAGGRRQEQPRPQVATGDLSRLDDDDEDEEFLLAGGKRPDPARGRTLERESMPLQPGTTRLKRLRRGPAPPPCAPTPPPPRAPAPVAMGATPDFNGKAALGAIDSFEDEIEDLTTDDERPPRGMPPSVGSCRTSSSSKSSLLNHSVLTSQSTSKSKTKKFTQMSNSVASKPLEESCTKKLLPKITVSPLRKIHLLDSDTDSDDNKNQNKAKKPVSPIKRRQESIHKYVQKKPTIQHNDKSEGSTIVQKSNNVMNDSWATPALDEFCSEYFKSVTDSRLPQQKEFNGCSSGSKVSRPYNSLGELGEHFQQQSIPSGGVLEEDLTDSQPPAMHYFFHHDLMVQKLVRERLPHFIPIGAQASQGNEYSGVENLNYRNQFGRSGDANDRWVTPNRRMSVPTDVGKRRVHASGTQSGSGRWFTGEDGRKVYVSKNGQELTGRGAYLQFKKESGKGYRKSRKKSTVKKEGSTGAKRGSSTAKRSSTAKRKR* >Brasy4G374600.1.p pacid=40084262 transcript=Brasy4G374600.1 locus=Brasy4G374600 ID=Brasy4G374600.1.v1.1 annot-version=v1.1 MEPSDVEMEESVEHPPKPQPTPPPQPQPAATGEGWSMLTRARALLQEGQPSLALQAILLAIRSQGGELALMQTLNRARELYRQRSQPSPNVDELTSLLAQCAIAEAQSSSTNPQASGSDPIAMLNSDEACILAECGRKQIILDAFNDGSSFICLKCGGLFSTSRKDEHLAYWCGAA* >Brasy4G280100.1.p pacid=40084263 transcript=Brasy4G280100.1 locus=Brasy4G280100 ID=Brasy4G280100.1.v1.1 annot-version=v1.1 MDSSRIVRQPPPRSRASPSALASASPCRRLPVVALLLQRAASPATTPSQAPAVDRCAPAAEPLSCPAARPPLLPVSLLQRAFLPLPLLPSPAAGPSPLWSISSPAAPSPAAAAAL* >Brasy4G234600.1.p pacid=40084264 transcript=Brasy4G234600.1 locus=Brasy4G234600 ID=Brasy4G234600.1.v1.1 annot-version=v1.1 MKIIAWNCRGLGNRPAVRGLLELQKKEDPDILFLSETKLDRRRMEKFRNMLGLQGMLVRDCEGRSGGVALFWRRSVDVSLRWLGRGHIDVEVTEQDGFKWRLTETYLASSPTLHQQTDLPWVCVGDFNEILFAHEKQEGAARPQSCMDMFRDALTFCGLKDLGFEGDVFTWRNNNHHIDGYIRERLDRVVANMAWCTRFADYRVRNIDPEHSDHRPVVLTMQERGRRMCSSSGHQVKRFEARWLLEEDCESIVQNAWAVSGIRGQTSTSARLRSVSQDLHQWSRNVLGDLSKRIKKLKAELEECRRNDISARSVQREHVLRFKLDRLEDQHDLLWRQRAHVHWLEKGDRNTAFFHRAASERKKHNTIKKLKTDAGVEVWSLTRRLTILFQRCLKT* >Brasy4G075400.1.p pacid=40084265 transcript=Brasy4G075400.1 locus=Brasy4G075400 ID=Brasy4G075400.1.v1.1 annot-version=v1.1 MKKGASPPGKRKGRKTVRAGWLKKITKGSPTPTRLLVARKCGPKKHKRLSRATSFSLRPLETAVARWTPEFKQIVGAANGLAGLFAQRYLGQLDRKSALFLLHSIDTISMEIVLADDDRLALTVPLIGHILGVNNGGDTVPLGASPHRAALRARTNYLLGNRCGSTRSVTVRRLIPMLKEANQDVLAGPEFFKVLTAHTLLAYATCLGPKKTQPAISDEALQVVFNPNRINQFNHAKYVYDGIKAAAIKVQTRMPSNPPSIELESCLIVLQIALVEHRRFGFVGALKQNPPRIADYIEGSLKDFLKALLPANIEVGQYDEVVDDASDPSDSEDDQQDGPNGKAEAADTTARTICEASSSKKPQCIFDESLFRKV* >Brasy4G384100.1.p pacid=40084266 transcript=Brasy4G384100.1 locus=Brasy4G384100 ID=Brasy4G384100.1.v1.1 annot-version=v1.1 MAQAPVRVSSWISSGGSQFQEEDGVDAFTERRRGARTINNIVVIRSACYKVVRGLGLLTLLWSTAVLLGGFVTYLTRKDFWFITAITFMQAAGLFNLIGDDTFASFMKRALPPIQKWFSPVQNHRSVVTPPPSCGVCILLWLKKAIHKTCTAILYILMVLAESVIYVGPAICIFMSWARLGLTPLEYGINKGDDSKANLEPALVLFYNLTEYQSFLQIFSVEFEILWGLMVSIVSLNNGLDTRVVAAYFSETERRYENDPACIKSWTFATYGAGLLDSASPGDYRCGARVLNMLIDQGLSIRRLLILSPRQTIDKLIRTLRSASPSERETRGLSARIVAHLSTDLKLAEFPRALECISSLLDTYGHSKGDPEAMYYSLSGTDLPMFLFITNTTTILALIMACIYLILRKIIDLFRKKQENQVSSEAAKGSNEDLILQGLRILANLAHDKNNCAQIFSYNDLLSKIVAPVSSSSLMEDIKTNAAWQKVVDESLGLISRLVGAPGVTGEKMRRKIADDAKAVANLEAVLSLEINSGSLELQTCAIDVLAQLVFDKSTTLHTDGSENLVLKKAKEALNKAALNFFLANQWMEDYLAERRKMIDEESAQQDSSHMKAWAKEKKKAADETARRLKEKAGEALVILSMKSQSNSNDIKNFTECGRDVVHLLTEMLDSNSQIMMTTKCRTSAAAILKHLCTHCALPAVVVAVPAPAVPAPLDVAYIKETTLKKVLAELLHIKSGPEATNTLCCWPCPVRRNGDIENLICCGGWGSKHEPSSDPHTHINQQSEERRLQAALLSLCAEIRSRLINNAGDFAKLAVRLVSPEDLAGKLKKVVEENSHATPASMAILKLTCEMVKSLIPHDLVVEEVRKKKEVVEALSQASGTMAGVESCLLFAGADKDCHGIAVKPLYSALVKQAKELLRQKEIELGINVPAAAGGP* >Brasy4G248100.1.p pacid=40084267 transcript=Brasy4G248100.1 locus=Brasy4G248100 ID=Brasy4G248100.1.v1.1 annot-version=v1.1 MATVPMDYSSPAPALAAKLPTPPYHHSSAAEEVATPPAKPTGQRSPLPSPLQLSGYSLHELLLLSPSPPSRRNLSRQRGAAGTGVDSSLEMAGTPPRRRRRGAAEQRAAPAGASPRNARRARRRLEKEIEPEGDAARKARRRKSTRVAPKSAATVPVPVAAEENLSLALVPASTDATHVTATDAVEQSRRETLWERIVELVMWKDAAKSALWFGLGSMFFFSCSFSRDITFSPISAFCRLGVMVLGLAFFKDSVPQSRQPVERGRNFQLTEEDVLRASRAVLPIANSMISTAQVIFSGDPLITLKVLPILLFGVKYGSLVTVWRLLATGLFAGFTLPKLYICYLTQIHGGVEILRDRVLEAWNSCPRKKLVTATAVTICWNLFSIKTRFMAGFISLVILRCNHQYRKAELNPEVECCQDYQEQEMEVEPSED* >Brasy4G248100.2.p pacid=40084268 transcript=Brasy4G248100.2 locus=Brasy4G248100 ID=Brasy4G248100.2.v1.1 annot-version=v1.1 MATVPMDYSSPAPALAAKLPTPPYHHSSAAEEVATPPAKPTGQRSPLPSPLQLSGYSLHELLLLSPSPPSRRNLSRQRGAAGTGVDSSLEMAGTPPRRRRRGAAEQRAAPAGASPRNARRARRRLEKEIEPEGDAARKARRRKSTRVAPKSAATVPVPVAAEENLSLALVPASTDATHVTATDAVEQSRRETLWERIVELVMWKDAAKSALWFGLGSMFFFSCSFSRDITFSPISAFCRLGVMVLGLAFFKDSVPQRQPVERGRNFQLTEEDVLRASRAVLPIANSMISTAQVIFSGDPLITLKVLPILLFGVKYGSLVTVWRLLATGLFAGFTLPKLYICYLTQIHGGVEILRDRVLEAWNSCPRKKLVTATAVTICWNLFSIKTRFMAGFISLVILRCNHQYRKAELNPEVECCQDYQEQEMEVEPSED* >Brasy4G291600.1.p pacid=40084269 transcript=Brasy4G291600.1 locus=Brasy4G291600 ID=Brasy4G291600.1.v1.1 annot-version=v1.1 MEAAESSGGGALPLAVRELIAGGVAGGVAKTAVAPLERVKILLQTRRAEFRGSGLVGSSRTIYRTEGPLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILAFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQIKGAVNLSLIESKPSEQVYKGILDCVKTIYKQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDITTKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLAKGKGTFGSLVMIAKHQGWQQLFSGLSINYLKVVPSVAIGFTVYDSMKDWLNVPSREQTAVNVPVLTEDGSNAAHVHSS* >Brasy4G291600.2.p pacid=40084270 transcript=Brasy4G291600.2 locus=Brasy4G291600 ID=Brasy4G291600.2.v1.1 annot-version=v1.1 MEAAESSGGGALPLAVRELIAGGVAGGVAKTAVAPLERVKILLQTRRAEFRGSGLVGSSRTIYRTEGPLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILAFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQIKGAVNLSLIESKPSEQVYKGILDCVKTIYKQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDITTKLACGSVAGLLGQTITYPLDVVRRQMQAFSSSNLAKGKGTFGSLVMIAKHQGWQQLFSGLSINYLKVVPSVAIGFTVYDSMKDWLNVPSREQTAVNVPVLTEDGSNAAHVHSS* >Brasy4G272400.1.p pacid=40084271 transcript=Brasy4G272400.1 locus=Brasy4G272400 ID=Brasy4G272400.1.v1.1 annot-version=v1.1 MAEPVIPTPTEFDAHLAFNISETCTGSIFFVSFVPRENRIKHISDLADNAATVKGSKKRAERVHAEATSTGSVVGVNHDSLFILTTAHALDHLFRSDFPITHEQVNYNFSVQVLCDHFEGIYREQQLQGERMYAEAAAQSIDCTHDLLLLQVKKQGVLGYDQQICSRPHRPLSISPRPPMPEPRAFAKCVMLSWPPYRHRCRREGHISEYRQMNELNVTNPVRYGMRLMEVTMSSDAGASGAPLFGGGKKVIGILHGAIKEYPGQSYFIAHEDIRNF* >Brasy4G406500.1.p pacid=40084272 transcript=Brasy4G406500.1 locus=Brasy4G406500 ID=Brasy4G406500.1.v1.1 annot-version=v1.1 MGLFRRIAGMLGMSRDDADHHDAAAAGTGGGGVPHDKVAAAAAAAAAAGHQTRRGFSVQVPVPVDRPAAGPVLMPCAPGDGGVQAVPPSKASPAEKCELTGITTPVMKPKPPMKWNCTVCQVQATSEQNLQQHYDGQKHLSNVAALDPATKASDQKAKPVAEHSLGTEQKKTSSMTWSCSTCQAKGTSQSTLEAHLKGKRHQQNIAATCVEGSMDGTPPKNVAAQQAKSHGISVPENSEKPWPFIAEQPMAQEFDDHKLLDSNKAVPPSKASPAEKCELTGITTPVMKPKPPMKWNCTVCQVQATSEQNLQQHYDGQKHLSNVAALDPATKASDQKAKPAAEHSLGTEQKKTSSITWSCSTCQAKGTSQSTLEAQLKGKRHQQNVAATCVEGNMDGMPKNVIAQEAKSHGISVPKNSEKPWPFIAEKTMAQEFDEHKLPDTNKVQGIIEQDLQQHYAGQKHLSNVATPDPTTKESDQNMKTVAEPSLSTEQKKMSPIKWSCSTCQANGTSQSTLDSHLKGRRHQQNIAATSVEGDKNGIPSHGINLPTHLEKPPSAWSCSICQVICTCQTDLKNHLMGIRHREKVQSLLEQSKNKASDSDESRKAKLNPDSRWICRICHAPCTCESDLEKHFAGKRHQLNIQVLSEKIKQEKISPLQIAKNQEPPLAWNCTMCKAKCNSKSQFENHCGSSRHQQKMQAVLGEGKIVKAISSRAANELRLDGSNGKNASLEMAEKQPSLYFCEVCSLLFESSTMLVHHRFGKKHRAKLGAKN* >Brasy4G438900.1.p pacid=40084273 transcript=Brasy4G438900.1 locus=Brasy4G438900 ID=Brasy4G438900.1.v1.1 annot-version=v1.1 MDTCIFESQEFRIRGGSSRHRSNHPALLQLQLTKLLLVQCTILTSYPIRVMAPPSHESSRSSESAPALVVTTVDVLTAARELQQQQEKKQVKYLDVRTEEEMSKGHVHGSLNVPYMLVTPQGSREKNPEFAEQVASLFTKDQHILVGCQSGKRSELACIDLLAAGFRNVKNVGGGYLAWVDNGFPVQTPITPPPN* >Brasy4G329800.1.p pacid=40084274 transcript=Brasy4G329800.1 locus=Brasy4G329800 ID=Brasy4G329800.1.v1.1 annot-version=v1.1 MGSEEEAQSLAFTPTWVVASVCFIIVAISLAAERLLHRLGKLLKFNGQEALFSALQRVKEELMILGFISFLLSVFQNFINHICIPEAAARIMLPCTVESSKAAEEAAKLCKRKGEVPMLSEEALHQLHIFIFVLGVVHVVFCVTTLLLGGAKMRKWNKWEKDIHQGKLKERSTNRGWMKPPAVRCIVAFFKQFYDSVGKPDYQVLRATFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWVFVIFFLLLNINGWHTYFWLSFLPLILLIIVGTKLEYISTRLAQEAAECQDGASANPWSKPCKEHFWFSHPRTVLHLIHFILFQNSFEMAFFFWVLATYGFDSCIMENKSYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGEHVHVSVHSWATDVKKKATSLPAHPHPHSHLRIPFLSKKRHSSRGAHAEEAAARARDAERQHYAGSSGTPTTPPEAPPGDDLEEIVVTSTEDDRHHPNGGFS* >Brasy4G252000.1.p pacid=40084275 transcript=Brasy4G252000.1 locus=Brasy4G252000 ID=Brasy4G252000.1.v1.1 annot-version=v1.1 MTEMDTELDKAEAEIAGNSRRMRYIVAGDEGSHVPKLHRKKASKNTKTVTSASELSKESVAGQSIIEGRLQPAGDNYQELSSDSIEKNTEGLLADELDKLTNSVDHSLQQAEEQNHLHDNVESNEMLINNTSNESDSDSSSGSDSESELGKYFYPKFEQLETARKPESGMKFQTLEDAHGFYNTYALLTGFTAKRGSNYRRKKFHIECNRSGKSTPTQDVNKKRKTNSVEKTNCQAKVIVKLTKGQWEFTTVRNEHNHPLCPNPSLTSFFLSHKHMQSEEKMFLKVLQQSRIPPNKVMKIFRRMRSSFGNITFKNKDVTDLQYTELQRSENSDVESALKHLKEFELQNPMFFYAKQTDEDNIVQSIFWTDVRSRMDYEIFGDFLSFDTTYTTSRNNMIFVPIIGINNQGRTLLFGCALLHDGKAETFKWMFQTLLQVMGEKLPGSLITNQDEAMAKAIAEVMPQVRHRFCKWDVMGKAREKIAAFMAARGNMKAELDRLVDNSLTETEFEEGWGALLNRYDANRNDYLQLMWQSRKNWVPVYFRQDFYPFVESLGRGEVMNLLFKDYVLPKDRIEKFIESYVEIQKKITKTDDEDRLQRGTAPSCFSLQPIEKHGAIIYTRQIFLKVQRELLHSTAFNVQEVQRGAVYRLVKVFNYENPEFDRDYFEVLVELGSNTFKCQCAKFTRDGILCCHIFRLFTQFGINEIPGQYIVPRWTDKFKEEMTKQCQEKSIEQANNTTRYAMLMSKMAGLGKKICGDGAKCNTFMLEFDKIQETLVSTEGENRRNNDS* >Brasy4G252000.2.p pacid=40084276 transcript=Brasy4G252000.2 locus=Brasy4G252000 ID=Brasy4G252000.2.v1.1 annot-version=v1.1 MTEMDTELDKAEAEIAGNSRRMRYIVAGDEGSHVPKLHRKKASKNTKTVTSASELSKESVAGQSIIEGRLQPAGDNYQELSSDSIEKNTEGLLADELDKLTNSVDHSLQQAEEQNHLHDNVESNEMLINNTSNESDSDSSSGSDSESELGKYFYPKFEQLETARKPESGMKFQTLEDAHGFYNTYALLTGFTAKRGSNYRRKKFHIECNRSGKSTPTQDVNKKRKTNSVEKTNCQAKVIVKLTKGQWEFTTVRNEHNHPLCPNPSLTSFFLSHKHMQSEEKMFLKVLQQSRIPPNKVMKIFRRMRSSFGNITFKNKDVTDLQYTELQRSENSDVESALKHLKEFELQNPMFFYAKQTDEDNIVQSIFWTDVRSRMDYEIFGDFLSFDTTYTTSRNNMIFVPIIGINNQGRTLLFGCALLHDGKAETFKWMFQTLLQVMGEKLPGSLITNQDEAMAKAIAEVMPQVRHRFCKWDVMGKAREKIAAFMAARGNMKAELDRLVDNSLTETEFEEGWGALLNRYDANRNDYLQLMWQSRKNWVPVYFRQDFYPFVESLGRGEVMNLLFKDYVLPKDRIEKFIESYVEIQKKITKTDDEDRLQRGTAPSCFSLQPIEKHGAIIYTRQIFLKVQRELLHSTAFNVQEVQRGAVYRLVKVFNYENPEFDRDYFEVLVELGSNTFKCQCAKFTRDGILCCHIFRLFTQFGINEIPGQYIVPRWTDKFKEEMTKQCQEKSIEQANNTTRYAMLMSKMAGLGKKICGDGAKCNTFMLEFDKIQETLVSTEGENRRNNDS* >Brasy4G383400.1.p pacid=40084277 transcript=Brasy4G383400.1 locus=Brasy4G383400 ID=Brasy4G383400.1.v1.1 annot-version=v1.1 MAKSNTGYLGVSEPISLSGPTEKDVMQTTEVEKFLADAGLYESQEEAVSREEVLGKLDQTVKTWIKKATRVSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRCLHDMLAEMPEVSELHPVPDAHVPVLGFKLCGVSIDLLYANLAHVVIPEDLDLSQDSILHNVDEQAVRSLNGCRVTDQILRLVPNIPSFRTTLRFMRYWGKRRGVYSNVMGFLGGINWAILVARICQLYPNASPSMLISRFFRVYSQWKWPNPVTLCHIEEGPLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSVSTRYVMVQEFTRGYEICQAIDENRATWDDLFEPYPFFELYRNYLEVVISARNEDDLRNWKGWVESRLRTLVLKFERYTHEMLLAHPHPRDFSDGSRPLHSFYFMGLWRKQTVQPQEAEQFDIRGIVNEFKSSVCAYAHRGEGMDIEVSHVKRKDIPLFVFPGGVRPPSSSRTAGRNGHAVSRNDVSADGQVGTRNDVSADGQVGNPLATEGWRDPPTQGPSAGFQLPENTSLLANLASRLSNNETQHILNGDSNLHTESVENEHPVHFLGSTSAPVDNAVLDVIKPPNSIPSTSSNGGPTNGLGISFHSSHKESEGIHVNNLVTSSPATTDGLDELVWPQAKSDKINANIAHVSPLEGCSGRSLGQTVNLSSHGNNHVKRKAEEELEPLELAAPSVRAAPPSTSTVKRKPLRLRLSTVPQPKPADGSS* >Brasy4G383400.2.p pacid=40084278 transcript=Brasy4G383400.2 locus=Brasy4G383400 ID=Brasy4G383400.2.v1.1 annot-version=v1.1 MAKSNTGYLGVSEPISLSGPTEKDVMQTTEVEKFLADAGLYESQEEAVSREEVLGKLDQTVKTWIKKATRVSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRCLHDMLAEMPEVSELHPVPDAHVPVLGFKLCGVSIDLLYANLAHVVIPEDLDLSQDSILHNVDEQAVRSLNGCRVTDQILRLVPNIPSFRTTLRFMRYWGKRRGVYSNAIDENRATWDDLFEPYPFFELYRNYLEVVISARNEDDLRNWKGWVESRLRTLVLKFERYTHEMLLAHPHPRDFSDGSRPLHSFYFMGLWRKQTVQPQEAEQFDIRGIVNEFKSSVCAYAHRGEGMDIEVSHVKRKDIPLFVFPGGVRPPSSSRTAGRNGHAVSRNDVSADGQVGTRNDVSADGQVGNPLATEGWRDPPTQGPSAGFQLPENTSLLANLASRLSNNETQHILNGDSNLHTESVENEHPVHFLGSTSAPVDNAVLDVIKPPNSIPSTSSNGGPTNGLGISFHSSHKESEGIHVNNLVTSSPATTDGLDELVWPQAKSDKINANIAHVSPLEGCSGRSLGQTVNLSSHGNNHVKRKAEEELEPLELAAPSVRAAPPSTSTVKRKPLRLRLSTVPQPKPADGSS* >Brasy4G094600.1.p pacid=40084279 transcript=Brasy4G094600.1 locus=Brasy4G094600 ID=Brasy4G094600.1.v1.1 annot-version=v1.1 MTDCSGQMMGIIDLGLPRRWMVISCDGAEAPGTTLPQVFGRLLRLRPAYISNPSLAAFPSPPPQHKEGEAKASQVKETSHSLDRSTSAAQAEITVDFMASAARRPCCAADEAPPPDTLHVLRLRLAAQDCLDPIAEEDDDDVPAGSPSAHTSSAGGRPRSPGTGSRSWTGD* >Brasy4G147500.1.p pacid=40084280 transcript=Brasy4G147500.1 locus=Brasy4G147500 ID=Brasy4G147500.1.v1.1 annot-version=v1.1 MAAGSKAERKAAIDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTVVMQWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFDLLGHTAPAQAASLLILGPFVDLWLTNKRVDTFNYTVVVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFFFFGKEGLNFHVALGMTLAVIAMIWYGNASSKPGGKERQVYIIPSEKTQKHGILSSQSQLDQKV* >Brasy4G147500.2.p pacid=40084281 transcript=Brasy4G147500.2 locus=Brasy4G147500 ID=Brasy4G147500.2.v1.1 annot-version=v1.1 MAAGSKAERKAAIDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTVVMQWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFDLLGHTAPAQAASLLILGPFVDLWLTNKRVDTFNYTVVVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFFFFGKEGLNFHVALGMTLAVIAMIWYGNASSKPGGKERQVYIIPSEKTQKHGILSSQSQLDQKV* >Brasy4G147500.3.p pacid=40084282 transcript=Brasy4G147500.3 locus=Brasy4G147500 ID=Brasy4G147500.3.v1.1 annot-version=v1.1 MVLVLIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFDLLGHTAPAQAASLLILGPFVDLWLTNKRVDTFNYTVVVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFFFFGKEGLNFHVALGMTLAVIAMIWYGNASSKPGGKERQVYIIPSEKTQKHGILSSQSQLDQKV* >Brasy4G423200.1.p pacid=40084283 transcript=Brasy4G423200.1 locus=Brasy4G423200 ID=Brasy4G423200.1.v1.1 annot-version=v1.1 MAMARTLALLAFLCAASASAGRVDVGDMLMMDRFRAFQATYNRTYATPEERLRRFEVYRLNVDYIEATNRRGDLTYELGENQFADLTVQEFRAMYTMPEPDAWRRQMITTRAGPVTEDGGNYYSEAGPSSVDWRTKGAVTPVKNQGPCGCCWAFATVATIEGLHKIKTGQLVSLSEQELVDCDVDGFDHGCHGGNPVYAMEWVAHNGGLTTEANYPFTGKDGKCDRGKASNHAAKIAAAQMVRANSEAELELAVARQPVAVVIMDADSLQFYKSGVYLGPCTAKGYHAVTVVGYGADNKGLKYWIIKNSWGETWGEKGYVRMQRRVAAKEGLCGITTEASYPVM* >Brasy4G348200.1.p pacid=40084284 transcript=Brasy4G348200.1 locus=Brasy4G348200 ID=Brasy4G348200.1.v1.1 annot-version=v1.1 MCPKTSQQRQVQLRTYNIPYKAKEIDSAALHLCFIAKLTGRSKQFEQQGQSFNKLTKHQSQEVPDVEIIDEKTFSKNIQLGGRPNLNKQTKAAPPNDSEEVQVLGERNFRERCNSLTEQSDKMYNQNIRSKNLIMNTSNLPSTAIANFLPPRRVLQPSKWLCSPYETNRINVTSEEARHYQSIMCIGSINEYQYRYAIFLDKCKVHFKTFAESFSIQGWVEGWVINAFCRKLFRGKHPSESGKHFFFHTISEYFLEQYDNEERKEYMRQRVIHAFEGAGSANSLYLTNMLFFPSLHMKHWFLFIVDMRDEKFLFLDSLFGSDNVLHKEADPLLIRNFIATWKEMGLPSVRFNEFQIMYPRVPKQTNGDDCGIYVMKLMEQWDPRNQPPCSFARDDIASIRIKFCNDLMFCKYNKLEESKQFVRQFNSSLHIQGS* >Brasy4G088000.1.p pacid=40084285 transcript=Brasy4G088000.1 locus=Brasy4G088000 ID=Brasy4G088000.1.v1.1 annot-version=v1.1 MALFRKFFFKKPPDGVLLITDNIYVFDHCFSKDMPEEDQFEAHVRGIAAHLLEDFHDHSFMVSNFGTRTEESNIYHILSNYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQHNLLIMHCEQGCWPILAFMLAALLLYLGHYSDEHKTLDMLYKQSSLEHLEMFSPLNPMPSQMRYLRYISMRNVMPEWPPADRALTLDCLILRMVPDFHGQGGFCPIFRIYGPDPLMPHDQTPKVLFSTPKISNLVRFNSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDQFPKDFRAEVIFSDMDATTSLVTTVPVTHQEKQGLGMEVFAKVLDIFNHLDWLDGEMDTALDSPQERIPATSQGNVDSSPADEPETETFFDTQEELGFDNLSGEINISARVLKLQNHFGMLGSTELKQGPRRSSPKEVPSKPQAVALAPSRTEPLSVLLSSSKVKIPKASASSVTPSSSTVIAQPESLPVQLLPPASNSSVQISSETSASTAAEKSCSETPVQQEHSPIMVNKSGSSALVHLGTPPPLPPRPPTVSLAPVSSTLPINTSTNMISVSLRSTMPSSSPPPEPSVSPQVFPRTGDLVNLLSDSSTAFNVTKLSAASISVVEESSNTRASVPMALPAIPLTSDSRPFVTTVTRTDPTPAPVAPPPPPPPPPPPPPLKAANVLLPLSHGEPVTSKKGNFAPPRPPPPPPPLPPAPSSTMYEISPPPKKARSPPPPPPPPSLVKQSIYPPPPPPPPPPLLKSPIVSSSQPQFADKKVSTASKYAVPSPLTPNFLQTVPGLQTPPIPPPPPPPSRTSSLLSSPSTSSRHQMAAPHPPPPPPPLHTMSSHASAPPVPPLHLAAPRPPPPPPPLRSISSHVSAPPVPPLPPPKLSGDNNASQKASITRPPPPPPGPPPKNSSNSLSSKGSAASSGPPPPPTSSFGAKGRSTVRSRSPRSLRANQSTKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDLSELESLFSVSMPNTDTKRIRERPSVATKQEKVHLIELQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSIIDGDQVDYLIKFCPSKEEMELLKGYTGSKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINEVAGEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLTHLEPASKIQLKELAEEMQAITKGLEKVEEELATSEKDGPVSETFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAEMEAEGEKVKVAGHKKAGLLEPEISDR* >Brasy4G088000.2.p pacid=40084286 transcript=Brasy4G088000.2 locus=Brasy4G088000 ID=Brasy4G088000.2.v1.1 annot-version=v1.1 MALFRKFFFKKPPDGVLLITDNIYVFDHCFSKDMPEEDQFEAHVRGIAAHLLEDFHDHSFMVSNFGTRTEESNIYHILSNYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQHNLLIMHCEQGCWPILAFMLAALLLYLGHYSDEHKTLDMLYKQSSLEHLEMFSPLNPMPSQMRYLRYISMRNVMPEWPPADRALTLDCLILRMVPDFHGQGGFCPIFRIYGPDPLMPHDQTPKVLFSTPKISNLVRFNSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDQFPKDFRAEVIFSDMDATTSLVTTVPVTHQEKQGLGMEVFAKVLDIFNHLDWLDGEMDTALDSPQERIPATSQGNVDSSPADEPETETFFDTQEELGFDNLSGEINISARVLKLQNHFGMLGSTELKQGPRRSSPKEVPSKPQAVALAPSRTEPLSVLLSSSKVKIPKASASSVTPSSSTVIAQPESLPVQLLPPASNSSVQISSETSASTAAEKSCSETPVQQEHSPIMVNKSGSSALVHLGTPPPLPPRPPTVSLAPVSSTLPINTSTNMISVSLRSTMPSSSPPPEPSVSPQVFPRTGDLVNLLSDSSTAFNVTKLSAASISVVEESSNTRASVPMALPAIPLTSDSRPFVTTVTRTDPTPAPVAPPPPPPPPPPPPPLKAANVLLPLSHGEPVTSKKGNFAPPRPPPPPPPLPPAPSSTMYEISPPPKKARSPPPPPPPPSLVKQSIYPPPPPPPPPPLLKSPIVSSSQPQFADKKVSTASKYAVPSPLTPNFLQTVPGLQTPPIPPPPPPPSRTSSLLSSPSTSSRHQMAAPHPPPPPPPLHTMSSHASAPPVPPLHLAAPRPPPPPPPLRSISSHVSAPPVPPLPPPKLSGDNNASQKASITRPPPPPPGPPPKNSSNSLSSKGSAASSGPPPPPTSSFGAKGRSTVRSRSPRSLRANQSTKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDLSELESLFSVSMPNTDTKRIRERPSVATKQEKVHLIELQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSIIDGDQVDYLIKFCPSKEEMELLKGYTGSKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINEVAGEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLTHLEPASKIQLKELAEEMQAITKGLEKVEEELATSEKDGPVSETFYKKLKEFLADAQAEGRGKVRIL* >Brasy4G088000.3.p pacid=40084287 transcript=Brasy4G088000.3 locus=Brasy4G088000 ID=Brasy4G088000.3.v1.1 annot-version=v1.1 MPHDQTPKVLFSTPKISNLVRFNSQADELVKINLQCHVQGDVVLECINLYEDLDREEMVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDQFPKDFRAEVIFSDMDATTSLVTTVPVTHQEKQGLGMEVFAKVLDIFNHLDWLDGEMDTALDSPQERIPATSQGNVDSSPADEPETETFFDTQEELGFDNLSGEINISARVLKLQNHFGMLGSTELKQGPRRSSPKEVPSKPQAVALAPSRTEPLSVLLSSSKVKIPKASASSVTPSSSTVIAQPESLPVQLLPPASNSSVQISSETSASTAAEKSCSETPVQQEHSPIMVNKSGSSALVHLGTPPPLPPRPPTVSLAPVSSTLPINTSTNMISVSLRSTMPSSSPPPEPSVSPQVFPRTGDLVNLLSDSSTAFNVTKLSAASISVVEESSNTRASVPMALPAIPLTSDSRPFVTTVTRTDPTPAPVAPPPPPPPPPPPPPLKAANVLLPLSHGEPVTSKKGNFAPPRPPPPPPPLPPAPSSTMYEISPPPKKARSPPPPPPPPSLVKQSIYPPPPPPPPPPLLKSPIVSSSQPQFADKKVSTASKYAVPSPLTPNFLQTVPGLQTPPIPPPPPPPSRTSSLLSSPSTSSRHQMAAPHPPPPPPPLHTMSSHASAPPVPPLHLAAPRPPPPPPPLRSISSHVSAPPVPPLPPPKLSGDNNASQKASITRPPPPPPGPPPKNSSNSLSSKGSAASSGPPPPPTSSFGAKGRSTVRSRSPRSLRANQSTKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDLSELESLFSVSMPNTDTKRIRERPSVATKQEKVHLIELQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSIIDGDQVDYLIKFCPSKEEMELLKGYTGSKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINEVAGEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLTHLEPASKIQLKELAEEMQAITKGLEKVEEELATSEKDGPVSETFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAEMEAEGEKVKVAGHKKAGLLEPEISDR* >Brasy4G088000.4.p pacid=40084288 transcript=Brasy4G088000.4 locus=Brasy4G088000 ID=Brasy4G088000.4.v1.1 annot-version=v1.1 MVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDQFPKDFRAEVIFSDMDATTSLVTTVPVTHQEKQGLGMEVFAKVLDIFNHLDWLDGEMDTALDSPQERIPATSQGNVDSSPADEPETETFFDTQEELGFDNLSGEINISARVLKLQNHFGMLGSTELKQGPRRSSPKEVPSKPQAVALAPSRTEPLSVLLSSSKVKIPKASASSVTPSSSTVIAQPESLPVQLLPPASNSSVQISSETSASTAAEKSCSETPVQQEHSPIMVNKSGSSALVHLGTPPPLPPRPPTVSLAPVSSTLPINTSTNMISVSLRSTMPSSSPPPEPSVSPQVFPRTGDLVNLLSDSSTAFNVTKLSAASISVVEESSNTRASVPMALPAIPLTSDSRPFVTTVTRTDPTPAPVAPPPPPPPPPPPPPLKAANVLLPLSHGEPVTSKKGNFAPPRPPPPPPPLPPAPSSTMYEISPPPKKARSPPPPPPPPSLVKQSIYPPPPPPPPPPLLKSPIVSSSQPQFADKKVSTASKYAVPSPLTPNFLQTVPGLQTPPIPPPPPPPSRTSSLLSSPSTSSRHQMAAPHPPPPPPPLHTMSSHASAPPVPPLHLAAPRPPPPPPPLRSISSHVSAPPVPPLPPPKLSGDNNASQKASITRPPPPPPGPPPKNSSNSLSSKGSAASSGPPPPPTSSFGAKGRSTVRSRSPRSLRANQSTKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDLSELESLFSVSMPNTDTKRIRERPSVATKQEKVHLIELQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSIIDGDQVDYLIKFCPSKEEMELLKGYTGSKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINEVAGEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLTHLEPASKIQLKELAEEMQAITKGLEKVEEELATSEKDGPVSETFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAEMEAEGEKVKVAGHKKAGLLEPEISDR* >Brasy4G088000.5.p pacid=40084289 transcript=Brasy4G088000.5 locus=Brasy4G088000 ID=Brasy4G088000.5.v1.1 annot-version=v1.1 MVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDQFPKDFRAEVIFSDMDATTSLVTTVPVTHQEKQGLGMEVFAKVLDIFNHLDWLDGEMDTALDSPQERIPATSQGNVDSSPADEPETETFFDTQEELGFDNLSGEINISARVLKLQNHFGMLGSTELKQGPRRSSPKEVPSKPQAVALAPSRTEPLSVLLSSSKVKIPKASASSVTPSSSTVIAQPESLPVQLLPPASNSSVQISSETSASTAAEKSCSETPVQQEHSPIMVNKSGSSALVHLGTPPPLPPRPPTVSLAPVSSTLPINTSTNMISVSLRSTMPSSSPPPEPSVSPQVFPRTGDLVNLLSDSSTAFNVTKLSAASISVVEESSNTRASVPMALPAIPLTSDSRPFVTTVTRTDPTPAPVAPPPPPPPPPPPPPLKAANVLLPLSHGEPVTSKKGNFAPPRPPPPPPPLPPAPSSTMYEISPPPKKARSPPPPPPPPSLVKQSIYPPPPPPPPPPLLKSPIVSSSQPQFADKKVSTASKYAVPSPLTPNFLQTVPGLQTPPIPPPPPPPSRTSSLLSSPSTSSRHQMAAPHPPPPPPPLHTMSSHASAPPVPPLHLAAPRPPPPPPPLRSISSHVSAPPVPPLPPPKLSGDNNASQKASITRPPPPPPGPPPKNSSNSLSSKGSAASSGPPPPPTSSFGAKGRSTVRSRSPRSLRANQSTKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDLSELESLFSVSMPNTDTKRIRERPSVATKQEKVHLIELQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSIIDGDQVDYLIKFCPSKEEMELLKGYTGSKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINEVAGEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLTHLEPASKIQLKELAEEMQAITKGLEKVEEELATSEKDGPVSETFYKKLKEFLADAQAEGRGKVRIL* >Brasy4G250000.1.p pacid=40084290 transcript=Brasy4G250000.1 locus=Brasy4G250000 ID=Brasy4G250000.1.v1.1 annot-version=v1.1 GYLDTLADIAATSQTCITDGKAPLVHNSARLVHSPATSPGGWKTPATGWVKLSSDASFDLTTCSTSWGPLLCDESGNVIAAGCGVGQNCSDPEEAEALACWKDRLFSASHLSHRELSCGVLHGSVPPCMVGDIMQDSDQNLVMN* >Brasy4G059300.1.p pacid=40084291 transcript=Brasy4G059300.1 locus=Brasy4G059300 ID=Brasy4G059300.1.v1.1 annot-version=v1.1 MDAGGRGRDSVFPPPLTPYSSSLASSSSSSSSVSSRHASSMATTSLPILVLTVLGILTTSALLLTYYVFVIRCCLTFHATSDSDSGSSSGGLISISLSRRRRSRGSDNGHLPVVVAPPPRGLREQVIQALPAFRYNKATKNNDASECAVCLGEFMEEETVRLLPNCHHVFHVDCIDTWLQGNANCPLCRAAIANQLPSVGVDRLQRPEEVVIQMQVATASAVEEGTEAR* >Brasy4G054500.1.p pacid=40084292 transcript=Brasy4G054500.1 locus=Brasy4G054500 ID=Brasy4G054500.1.v1.1 annot-version=v1.1 MSQSPDPRTLSAEELMDGGDPTALVHDAAAPWDVAIVVHSSASKTKKAVITHADLIAAMDRSSPDDGRVCLACLPTWGAGTRVPGVLPLLALGLPAAGVTTVLVPPVSDLREAVAVHGATDVVATPEAAAALVAPMASQGKLAALRRVTLVTQAPLAEEARKAFRRRLTWVEVTEMFDAPEMGTEVKSEEQQQEVAPAELGPLLLVQPEAPATAADLQQNGKKTDQMAATNEATSLVPPLKKIQKSVFGDILTKSITAKILRRHPVACDKQAVSKL* >Brasy4G174900.1.p pacid=40084293 transcript=Brasy4G174900.1 locus=Brasy4G174900 ID=Brasy4G174900.1.v1.1 annot-version=v1.1 MSWAGQGRQGGTARDKEKERAGGERRDDEGAARRPLATAARKKGDALIGCGIIRGLVGLVHGDTPRVSLAADAASSSFPSPAGTAACAGSETSRLLPPRPDCPTAATFSSRPQPASPARARRSPRPPSQLPLPPLACARSALSPPCPALALPSLSTPAAAAPDPAISVAARRRRTGPPARPAVSVATRRRHDPPTVATCRRRCKISLQIFFSMGALTLQGIGAIIGGIVSRSRRSQGR* >Brasy4G259200.1.p pacid=40084294 transcript=Brasy4G259200.1 locus=Brasy4G259200 ID=Brasy4G259200.1.v1.1 annot-version=v1.1 MATSARAGERATSFATACSLLSRFVRQNGAAAAELGLGIKGEAELQRAAGTEEEVGRKETMELFPQSAGLGVQDAAAPDATTREQEKNQLTIFYNGKVLVFNDFPADKAKGLMQLASKGNPIVPNVSTPTPVTDSTKVQMPVLAPASSLPGAQVDAHKPAGPNASDLPIARKASLHRFLEKRKDRLHAKAPYQASPSDATPVKKEPESHQWLGLGPNAAVKANLTLPLNAANKAA* >Brasy4G089500.1.p pacid=40084295 transcript=Brasy4G089500.1 locus=Brasy4G089500 ID=Brasy4G089500.1.v1.1 annot-version=v1.1 MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSFSLSHEVRGAQLKDTVEIASLKPCHLSIVEEEYTEELAVAHVRRLLDVVACTTAFGVKKPEQKPSTDAGAAAVAEADKAANPGSPAGGGGEEPMYPPPKLGEFYDFFSFSHLSPPLHYIRRSTRPFVDDKREDDFFQIDVRVCNGKPVTIVASRAGFYPSGKRALISHSLVGLLQQTNRGFEGAYKALMKAFVEHNKFGNLPYGFRSNTWVVLPVVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVGVLKAIAVIQQMVSDSHEQTNSTTSPVLQTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGISFDDLAKRNLLKGITADESATVQDTATLGVVIVKHCGYTAVVQVPLDTQLTTVVPAQQDIHIEDQPEGGSNALNVNSLRMLLQKSCAQSSGVQRLQSSDPEESGTTANFVRKIMTDSLQKLEDEAPRETRPIRWELGACWVQHLQNQSSEKTDAKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKSTSAKESTSPNTNDAHTDNTVSTEDKGAILQRLLSKAAFERLRESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAYKHIIRAVIAAVDDINDMAEAVASCLNILLGPSPEENNDGKCVEDHNLRQKWLEVFLVKRFGSVWKDEYCLDLRKYAILRGLCHKVGLELVTKDYEMDTVPHPFRKSDIISIIPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNQSEIADDEDQRSPPPNNDHSLTLKENSEVKENGTFVHHVKVEQKNEVPSNTVIDMPQNDFTEECRSDEGWQEAVPKGRSTGNRKPGANVRRPNLAKINTNSLSNIENGRYKGRAPSSFSSPRVSPNETAASSPLAKKLAKSSSLSSKAGNLAISSNSGENSPNPNPMTASLPTTQAAAKVILSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNEEKDTTDSGTNLESSKAPKESNGRPSEEKNGAIQVSPKDNISEVATGEPKSSKSNNEQTNILVVSNEAEKASDSADISMEKNQPLATQAEANGTNEEAPTLTEANGSSSNDDEIDPGEDTQEQLSSGGENEKSSPSGSEKNDSPVEGAKETTSKLSAAAAPFSPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRSKPVLPSGEVLPELSTSPKVMNPHAAEFVPGQSRSPNGHSVSPNDGSIQASPHERPSSPDSIVESPMTASPQVSESSQTSLDGKDTPSGIDVETGSENQNKEEMNKNNQAESADGEVEPDQTVASESAEDDATAPKGAQEDPAAPKDAQDDSSLTEKLKSWADYSDGEAEAVQVAS* >Brasy4G089500.2.p pacid=40084296 transcript=Brasy4G089500.2 locus=Brasy4G089500 ID=Brasy4G089500.2.v1.1 annot-version=v1.1 MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSFSLSHEVRGAQLKDTVEIASLKPCHLSIVEEEYTEELAVAHVRRLLDVVACTTAFGVKKPEQKPSTDAGAAAVAEADKAANPGSPAGGGGEEPMYPPPKLGEFYDFFSFSHLSPPLHYIRRSTRPFVDDKREDDFFQIDVRVCNGKPVTIVASRAGFYPSGKRALISHSLVGLLQQTNRGFEGAYKALMKAFVEHNKFGNLPYGFRSNTWVVLPVVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVGVLKAIAVIQQMVSDSHEQTNSTTSPVLQTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGISFDDLAKRNLLKGITADESATVQDTATLGVVIVKHCGYTAVVQVPLDTQLTTVVPAQQDIHIEDQPEGGSNALNVNSLRMLLQKSCAQSSGVQRLQSSDPEESGTTANFVRKIMTDSLQKLEDEAPRETRPIRWELGACWVQHLQNQSSEKTDAKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKSTSAKESTSPNTNDAHTDNTVSTEDKGAILQRLLSKAAFERLRESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAYKHIIRAVIAAVDDINDMAEAVASCLNILLGPSPEENNDGKCVEDHNLRQKWLEVFLVKRFGSVWKDEYCLDLRKYAILRGLCHKVGLELVTKDYEMDTVPHPFRKSDIISIIPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNQSEIADDEDQRSPPPNNDHSLTLKENSEVKENGTFVHHVKVEQKNEVPSNTVIDMPQNDFTEECRSDEGWQEAVPKGRSTGNRKPGANVRRPNLAKINTNSLSNIENGRYKGRAPSSFSSPRVSPNETAASSPLAKKLAKSSSLSSKAGNLAISSNSGENSPNPNPMTASLPTTQAAAKVILSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNEEKDTTDSGTNLESSKAPKESNGRPSEEKNGAIQVSPKDNISEVATGEPKSSKSNNEQTNILVVSNEAEKASDSADISMEKNQPLATQAEANGTNEEAPTLTEANGSSSNDDEIDPGEDTQEQLSSGGENEKSSPSGSEKNDSPVEGAKETTSKLSAAAAPFSPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRSKPVLPSGEVLPELSTSPKVMNPHAAEFVPGQSRSPNGHSVSPNDGSIQASPHERPSSPDSIVESPMTASPQVSESSQTSLDGKDTPSGIDVETGSENQNKEEMNKNNQAESADGEVEPDQTVASESAEDDATAPKGAQEDPAAPKDAQDDSSLTEKLKSWADYSDGEAEAVQVAS* >Brasy4G089500.3.p pacid=40084297 transcript=Brasy4G089500.3 locus=Brasy4G089500 ID=Brasy4G089500.3.v1.1 annot-version=v1.1 MLLQKSCAQSSGVQRLQSSDPEESGTTANFVRKIMTDSLQKLEDEAPRETRPIRWELGACWVQHLQNQSSEKTDAKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKSTSAKESTSPNTNDAHTDNTVSTEDKGAILQRLLSKAAFERLRESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAYKHIIRAVIAAVDDINDMAEAVASCLNILLGPSPEENNDGKCVEDHNLRQKWLEVFLVKRFGSVWKDEYCLDLRKYAILRGLCHKVGLELVTKDYEMDTVPHPFRKSDIISIIPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNQSEIADDEDQRSPPPNNDHSLTLKENSEVKENGTFVHHVKVEQKNEVPSNTVIDMPQNDFTEECRSDEGWQEAVPKGRSTGNRKPGANVRRPNLAKINTNSLSNIENGRYKGRAPSSFSSPRVSPNETAASSPLAKKLAKSSSLSSKAGNLAISSNSGENSPNPNPMTASLPTTQAAAKVILSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNEEKDTTDSGTNLESSKAPKESNGRPSEEKNGAIQVSPKDNISEVATGEPKSSKSNNEQTNILVVSNEAEKASDSADISMEKNQPLATQAEANGTNEEAPTLTEANGSSSNDDEIDPGEDTQEQLSSGGENEKSSPSGSEKNDSPVEGAKETTSKLSAAAAPFSPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRSKPVLPSGEVLPELSTSPKVMNPHAAEFVPGQSRSPNGHSVSPNDGSIQASPHERPSSPDSIVESPMTASPQVSESSQTSLDGKDTPSGIDVETGSENQNKEEMNKNNQAESADGEVEPDQTVASESAEDDATAPKGAQEDPAAPKDAQDDSSLTEKLKSWADYSDGEAEAVQVAS* >Brasy4G089500.4.p pacid=40084298 transcript=Brasy4G089500.4 locus=Brasy4G089500 ID=Brasy4G089500.4.v1.1 annot-version=v1.1 MLLQKSCAQSSGVQRLQSSDPEESGTTANFVRKIMTDSLQKLEDEAPRETRPIRWELGACWVQHLQNQSSEKTDAKKSDETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKSTSAKESTSPNTNDAHTDNTVSTEDKGAILQRLLSKAAFERLRESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAYKHIIRAVIAAVDDINDMAEAVASCLNILLGPSPEENNDGKCVEDHNLRQKWLEVFLVKRFGSVWKDEYCLDLRKYAILRGLCHKVGLELVTKDYEMDTVPHPFRKSDIISIIPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNQSEIADDEDQRSPPPNNDHSLTLKENSEVKENGTFVHHVKVEQKNEVPSNTVIDMPQNDFTEECRSDEGWQEAVPKGRSTGNRKPGANVRRPNLAKINTNSLSNIENGRYKGRAPSSFSSPRVSPNETAASSPLAKKLAKSSSLSSKAGNLAISSNSGENSPNPNPMTASLPTTQAAAKVILSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNEEKDTTDSGTNLESSKAPKESNGRPSEEKNGAIQVSPKDNISEVATGEPKSSKSNNEQTNILVVSNEAEKASDSADISMEKNQPLATQAEANGTNEEAPTLTEANGSSSNDDEIDPGEDTQEQLSSGGENEKSSPSGSEKNDSPVEGAKETTSKLSAAAAPFSPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRSKPVLPSGEVLPELSTSPKVMNPHAAEFVPGQSRSPNGHSVSPNDGSIQASPHERPSSPDSIVESPMTASPQVSESSQTSLDGKDTPSGIDVETGSENQNKEEMNKNNQAESADGEVEPDQTVASESAEDDATAPKGAQEDPAAPKDAQDDSSLTEKLKSWADYSDGEAEAVQVAS* >Brasy4G089500.5.p pacid=40084299 transcript=Brasy4G089500.5 locus=Brasy4G089500 ID=Brasy4G089500.5.v1.1 annot-version=v1.1 MSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAYKHIIRAVIAAVDDINDMAEAVASCLNILLGPSPEENNDGKCVEDHNLRQKWLEVFLVKRFGSVWKDEYCLDLRKYAILRGLCHKVGLELVTKDYEMDTVPHPFRKSDIISIIPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNQSEIADDEDQRSPPPNNDHSLTLKENSEVKENGTFVHHVKVEQKNEVPSNTVIDMPQNDFTEECRSDEGWQEAVPKGRSTGNRKPGANVRRPNLAKINTNSLSNIENGRYKGRAPSSFSSPRVSPNETAASSPLAKKLAKSSSLSSKAGNLAISSNSGENSPNPNPMTASLPTTQAAAKVILSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNEEKDTTDSGTNLESSKAPKESNGRPSEEKNGAIQVSPKDNISEVATGEPKSSKSNNEQTNILVVSNEAEKASDSADISMEKNQPLATQAEANGTNEEAPTLTEANGSSSNDDEIDPGEDTQEQLSSGGENEKSSPSGSEKNDSPVEGAKETTSKLSAAAAPFSPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRSKPVLPSGEVLPELSTSPKVMNPHAAEFVPGQSRSPNGHSVSPNDGSIQASPHERPSSPDSIVESPMTASPQVSESSQTSLDGKDTPSGIDVETGSENQNKEEMNKNNQAESADGEVEPDQTVASESAEDDATAPKGAQEDPAAPKDAQDDSSLTEKLKSWADYSDGEAEAVQVAS* >Brasy4G382400.1.p pacid=40084300 transcript=Brasy4G382400.1 locus=Brasy4G382400 ID=Brasy4G382400.1.v1.1 annot-version=v1.1 MGSGNLVMKKVVKPSSFDLDIQLDSSWTEDVTCPICLDFPHNAVLLRCTSYEKGCRPFVCDTDQSRSNCLERFKSAHGQPVNVKVSAVNIAPRDSIHIISSNANNHPACPLCRGDVIGWVVIGDARQHLNQKKRCCEENCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDEAGDDYEVLHRVRGNWWTSCIFCKAFGSSRGRRRARARERRGSGRRSGNRSGQEGFTLEVPARSVDIREIRFDGIDDEYLVTGAMPSVAASRRMASHYRDPRYARRRPHS* >Brasy4G247200.1.p pacid=40084301 transcript=Brasy4G247200.1 locus=Brasy4G247200 ID=Brasy4G247200.1.v1.1 annot-version=v1.1 MATKQSNGNGASAGTNGNGAFAGTNGKSNKAHGAAAHHANGKSNGAAAPEPEAVEWKFARAKEGVLAISGAKMSIRAVRYKISASVREDGPRPVLPLAHGDPSVFPAFRTALEAEDAVAAALRTGELNCYPAGVGLPAARRAVAEHLSQGLPYKLSQDDIFLTAGGTQAIEAIIPVLAQPGTNILLPKPGYPNYEARAAFNNLEVRHFNLIPEKGWEIDVDSLESIADKNTTAMVIINPNNPCGSVYSFEHLAKVAEVARKLGILVIADEVYGKLVLGSAPFIPMGVFGHIAPVLTIGSLSKSWIVPGWRLGWIAVCDPKKVLQETKIATSITNFLNVSTDPATFIQGALPQILENTKEEFFQRIIALLTESSEICYREIKENKFITCPHKPEGSMFVMVKLMLHLLEDIDDDIDFCCKLAKEESVILCPGSVLGMENWVRITFAIVPSSLLDGLQRIKSFCQRHKKKNLINGS* >Brasy4G261200.1.p pacid=40084302 transcript=Brasy4G261200.1 locus=Brasy4G261200 ID=Brasy4G261200.1.v1.1 annot-version=v1.1 MRALPMAVSRGAVACATPAAAVHRRSLLLSTAAAGAALQSEPIRPSSRSTGGTAKLRASADAAQAAASAFTSKEAFTWAKGDNRRLLHVVYRVGDIDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDANFVVELTYNYGVDKYDIGSGFGHFGIATDDVAKTVEIIRAKGGKVTREPGPVKGGKTVIAFIEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYGQIAIGTDDVYKTADVVKLSGGQVVREPGPLPGIGTKITAILDPDGWKSVFVDNIDFAKELE* >Brasy4G158100.1.p pacid=40084303 transcript=Brasy4G158100.1 locus=Brasy4G158100 ID=Brasy4G158100.1.v1.1 annot-version=v1.1 MSGGSTRSSTSSGRISSTTLSDLKDLELSPESGCLSIVVLGASGDLAKKKTFPALFNLFQQGFLQSGEVHIFGYARSNISDDGLRERIRGYLKGASEEQLSQFLKLIKYVSGSYDSSEGFELLNKAISGNETSENNQPGNYRRLFYLALPPSVYPSVCKMIRTYCMAPSSHTGWTRVIVEKPFGKDLGSSEELSSQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIANVQIVFKEDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLSPEHIRDEKVKVLQSVDSIKHDEVVLGQYDGYKDDPTVPNESNTPTFASVVLRVHNERWEGVPFILKAGKALNSKKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGKLQALPYQTGSRGPPEADELSKKIGYVQTHGYVWVSPTLAKF* >Brasy4G433400.1.p pacid=40084304 transcript=Brasy4G433400.1 locus=Brasy4G433400 ID=Brasy4G433400.1.v1.1 annot-version=v1.1 MLRLRSCVLTRLLSPPPTTSPIFSLRRLLSAAAPAAVSPNPGFAVEDYLVDTCGLSRAQALKASAKLSHLKSPSNPDAVVTFLGGLGFSSADVAAAVARNPKILCAKVERTLAPIVVELSGLGLSRSEIARLFLHSSVTLRLKSIVSRLQYLLPLLGSSEILLRAVKRSSYLLTSDLEKVVKPNVAFLGECGLGACDIAKLCIASPRMLTTNPDLVRALAECAQAVGVPRGSGMFRVALQAVADVGKEKIAAHAEYLKKAFRWSDAETRIAISKFPSLLSTSKGILQSRSEFLISKVGLEPAYIARRPSLVTYSLEGRLRPRYYVVKFLKANGLLDHNRDYYSTVMISEKIFLEKYICAHKEAAPHLAEDYIAACRGEVPTRFTFT* >Brasy4G174100.1.p pacid=40084305 transcript=Brasy4G174100.1 locus=Brasy4G174100 ID=Brasy4G174100.1.v1.1 annot-version=v1.1 MAKPARSPTADAPPPAVERSRSAVGSGLRSLASAASGFLDRWSVVGTGVSRLEKALGDQFPEGEHYFGLENFGNTCYCNSVLQALYYCIPFREQLLEYYAKDKNPGDAEENLLTCLAELFAQISLSKKKTGVISPKRFVHRVRKQNESFRSYMHQDAHEFLNFLLNEIVDILEKEFSILKESPETTSPEGASTGDVNHLANGVGTEPLVTWVHKNFQGILTNETKCLMCETITAKDETFLDLSIDIEQNSSLTSCLKNFFSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPQILVIHLKRFKFVEQLSRHKKLSYRVVYPMELKLSSTSNDVDCEYSLFAVVVHLGSGPNQGHYVSQIKSCDHWLSFDDDNVEKIQESTLQTFYGSSREYAGNTDHGYILFYERTVKS* >Brasy4G257700.1.p pacid=40084306 transcript=Brasy4G257700.1 locus=Brasy4G257700 ID=Brasy4G257700.1.v1.1 annot-version=v1.1 MSAAAVAPIPSAALPAAPPPSYPATALTSASAGTAEDDDDLYGKLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVLYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK* >Brasy4G279800.1.p pacid=40084307 transcript=Brasy4G279800.1 locus=Brasy4G279800 ID=Brasy4G279800.1.v1.1 annot-version=v1.1 MDDLPDDREQSDTQSSDPNCLPSREEHKFQSIPLAKQENNLDSADSSLDCREVDRSLCASQALWSAGSLSSPIPNGFYSIIPDKKLKECFDTIPSPEDLYSLGIEGFKAEIILVDLMKDKKLSAIKQLCVALVKGLNSNPAAMIKKVAGLVCDFYKRSNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADSVGIDSKLVVGIPNEESHEYDDSPKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNSRSFKVPSLRNIMLRSTNSMEGKLRCSSHSEPNVANAFCGRSRRKVVNEHQRTASSSPEHPMSRAHGRSMLGDRQYGDGVAVSRSDGASTSNARIGRRRSISIAPEIGDDFARAVKAMSESMRQNRLSRAHNDGSPGHSNDSERNESLGDFNGNEVSARESNVQEGPRRQISSQRALSLPSSPHRLGSHASDLREPADFLTAADLMSTWNKVLQSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTMENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHTSGNKGKLSWRRKLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKLCDFGLSRVMLDSAMRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWAGKPPVQVVYSVANEGARLEIPDGPLRSLISDCWAEPDKRPSCQEILTRLLDCEYTLC* >Brasy4G362300.1.p pacid=40084308 transcript=Brasy4G362300.1 locus=Brasy4G362300 ID=Brasy4G362300.1.v1.1 annot-version=v1.1 MSGFDEQHHGGGQAQPLRPGDVYPPTASNPEARRQRDVFLAASARHDQQQQPPRPDDGGLRVTETEDGHTGRRIVTATAGGQFTVPVPGAGADDSAVTIGEALREAADTRAGDLPVDLADAAAVQAAEARATGLGHNVPGGVAAAAQKAAQENLEREGGREKKVPLKDVVGGDLVGRGPALAADKVATREDAAKVAAAAERNAAHKGGGAGAAGGQGKGVADAVAAAAEMNQGRIR* >Brasy4G430000.1.p pacid=40084309 transcript=Brasy4G430000.1 locus=Brasy4G430000 ID=Brasy4G430000.1.v1.1 annot-version=v1.1 MMGKKKQPAPLLVLLAILLITTLEMVPEIAAGTEQLCDVLSPRCGGMCYVSKCSSCCKDHGLGDGHCRLNHGIVCYCCRGPNSDAVTGEQQRMLKVIPASPPPRRPPLHA* >Brasy4G207800.1.p pacid=40084310 transcript=Brasy4G207800.1 locus=Brasy4G207800 ID=Brasy4G207800.1.v1.1 annot-version=v1.1 MRHHHVLLLLAALLLPAAATADPDALQDFCVPDPGRGRPVELALLRTYPCRNPANLTAGDFTFSGVRAAGNFSADLGFAGVSVTPAQFPGLNTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVLAGFVDTGGRLFARVLVEGDVMVFPRGMVHFQLNVGDAPATVYGSFNSENPGVVRIPATVFGSGIRPAVLERAFGLAAAELRRIEGKFGPPKKVEMEE* >Brasy4G325600.1.p pacid=40084311 transcript=Brasy4G325600.1 locus=Brasy4G325600 ID=Brasy4G325600.1.v1.1 annot-version=v1.1 MGNTHCSRGCNNRERSTYLGPNFWPERTTGGGGRRPRAEEGEQGRRREEAGEEHGRRRPERRTGGGGRRGARAEEAGEANGRRRPERSTGGGRGGAEEAGEEHGRRERRGGGLSRLHDQRSSGGAAGSLGGGGSAWVNPRRRRGDEEMGKMGARGAREGGKKKTRRGTRWQFRCNYCTPNFQKLGKLLRPSFFPYEGFRVLGCS* >Brasy4G427500.1.p pacid=40084312 transcript=Brasy4G427500.1 locus=Brasy4G427500 ID=Brasy4G427500.1.v1.1 annot-version=v1.1 MDLHMKLVLASLSYVLLMQVTSCDDTATWSGATSWTCVCAAHPLGEPNSNSSQSSSCSSSCHCLQDENGGTGSWNCSCTSNKARQQEEHAALHDGGCFTSCNCTSGGSDEGRKHFSSKTVIATLLVCVVLTTIAFVGTTAYYLRRKDALSLHSRMHSFDKFSSWSSRTNLVSHRSSPLPQLKPKPGLSVIKGFLCSCPILCRNDGAPFPGIVLRFSYAELEQATGKFSDEHLIGVGGTSKVYRGQLGDGKVVAVKKLRPLRGADEDYEFLSEIELLSRLNHCHVVPLLGYCSESHHGRLLVFELMPNGNLRECLDLKQGRKPMAWLARVAVALGVARGLEYLHEAAAPRVLHRDIKSTNILLDDKFRAKITDLGMAKCLMSDGVTSCPSSPPPSARTTAMLVGTFGYLAPEYAIVGKASLKSDVFSFGVVVLELITGRQPVVHRSGNGDESLVLWATPRLGDSRKVVTELPDPALEGRFAAEEMQVMAHLARECLQWDPEARPSMTEVVQILSTIAPVTGNGKHRRPHLPDNGNNFVDNGGERRPQECSVSFNDRRWQDAGNDGYGRGGDDHDHQAYRGNVGSAPIKTTMTMSRSWEEEVDLTEPRLEKFTQPTTGTSHQLFR* >Brasy4G066900.1.p pacid=40084313 transcript=Brasy4G066900.1 locus=Brasy4G066900 ID=Brasy4G066900.1.v1.1 annot-version=v1.1 MAAPPIACPVYPWPSDGAAQRGKNVFMQSDCAACHSALPYTSLRETTAAGDVRAQEADIVVAATASGVAQPQPRHLHGGPPPDLAALVTRIQGSTGGEVAKTTMLAGGAAVCQELKKITSPLSPVWLHYIRTFQAA* >Brasy4G066900.2.p pacid=40084314 transcript=Brasy4G066900.2 locus=Brasy4G066900 ID=Brasy4G066900.2.v1.1 annot-version=v1.1 MCRAAQRGKNVFMQSDCAACHSALPYTSLRETTAAGDVRAQEADIVVAATASGVAQPQPRHLHGGPPPDLAALVTRIQGSTGGEVAKTTMLAGGAAVCQELKKITSPLSPVWLHYIRTFQAA* >Brasy4G439600.1.p pacid=40084315 transcript=Brasy4G439600.1 locus=Brasy4G439600 ID=Brasy4G439600.1.v1.1 annot-version=v1.1 MAPPSHESSRSSESALAAPVVVTTVDVLTAASELQQKEKQVKYLDVRTEEEMSKGHLHGSLNVPYMFVTPQGSREKNHEFVEQVASLFTKDQHILVGCQSGKRSELACIDLLAAGFRNVKNVGGGYLAWVDNGFPVHTPITTPPPN* >Brasy4G043600.1.p pacid=40084316 transcript=Brasy4G043600.1 locus=Brasy4G043600 ID=Brasy4G043600.1.v1.1 annot-version=v1.1 MENSWDEEEQQQIEAAARALVVVHSQVRRIKQEEGDKVKVHETFQHQAASSSETRLPLRDLAAAGNQTRSRSPLGRRPPAISIGGDS* >Brasy4G378800.1.p pacid=40084317 transcript=Brasy4G378800.1 locus=Brasy4G378800 ID=Brasy4G378800.1.v1.1 annot-version=v1.1 GPAGGARVQGTRVRRTGRRIVLGPRGTSSDLCSSPVGGFNLRRPRRRRGSEFATRGGGRMPEDRAGWPMTRALKVISLPVATLDCTSCEHLFS* >Brasy4G081500.1.p pacid=40084318 transcript=Brasy4G081500.1 locus=Brasy4G081500 ID=Brasy4G081500.1.v1.1 annot-version=v1.1 MELKFEAPRKNELLALDKYNVYGKDESITVINEYPRHPVPHFDLEGFDLKKVEEFMLERSIKIAKSRANDIIIPDHAPKWVSGAFMDIYAELEPILVKDSVRCFLRLFKNCAGTGMSWNFTVSAQALTFIVSFSALRCAKVVLEGKAPELYGMHANPNCINKYGYFALHEAAERFSGDMVKLLLRHGASTNNTCLHKYLEDNLSRGQNHLEYIYKLIHLLCLPEMKIFLDTTRLLAEKTNNLLPELWRYIEDGKLVQSAVLLLAAQEQIRRGSSSKMNGSSKKNGFDIINNYIVRLSFALKLEKGSHGMAQELLEERKILIDSAWLLVDVISHAGEDLSAYIQAHSEASHLEVFQHVSSILKEYGFRPTEDSMDTIKLRPYDCRMSDGASCKGLVDASMADMGTANLDAAEKKAGRKIIGGGWDPTYRVRSFFPFWRSALQARFPVKIYPAYTSEDPKSVLKPGQLCVSLRKPTPSGSTPVPNHTLGGSVQRSSNQPRRCFTTAATGAFRLLKVLK* >Brasy4G084600.1.p pacid=40084319 transcript=Brasy4G084600.1 locus=Brasy4G084600 ID=Brasy4G084600.1.v1.1 annot-version=v1.1 MNGKYWLTSPHDPSYPHSYDISCENLKELRLARIVTTQVIGLRFLLGKCKALEKLWLEFVVGLNENELIKVFQNCSNLKSISIRLMPLHYSFQFGTALTDNTFKALALSCPMLQVLEISCRIGYTQKGIVALVQSCPIRDLVLNGASIFGDEGVEGLSCSRFLERLHLVCCSSITDAAMNFIIQAPCLSNLTLSRCDNVTDDGMAALVRSQKLESLTVICCRQISQAGVQGAAKSVHYTAEIESPTRQSRMNINRSRRSKLRSAFKVIFSCY* >Brasy4G118300.1.p pacid=40084320 transcript=Brasy4G118300.1 locus=Brasy4G118300 ID=Brasy4G118300.1.v1.1 annot-version=v1.1 MEPEGKKASGSTEYQLKKYLLLLATLVTTVTYAAGLNLPGGVWQDMLDGHLAGDLILRDTHYHRYLTFYYCNATAFAASIVVCLLLLVLDSDSVIWATALRVVMVLDLLGLMGAYTAGSCRDAFTTIYSSVIMSAIFAYIVLAVFVYVYSKFSKKRDNVPDKQGEDPGNKENDPVKQDENLEKQGEDSDKHKREDQHEVLMLLATFAVTITYVAGLNPPGGFWSNTEDGHHVSNPILQDDNSSRYRAFFVCNTTAFVASLLIIMLLLDKKLVLSYWFPTKKLTRDSVRFFALYVFICIALFGLVGAYAAGSCREPDTTTYVVCLIGAVLTYIFLQVVITKAITENAPERLRSESPTGSRDTRCNEVPEEGNEAQGKREANNAPEKDKANEAREKARNLVMLLATLVASITYQAGLDPPGGLWPDDKDGHKHGHPVLLTIHPTRYKVFFYSNSAAFVASLIVITMVQSRSLLKRHTLEAAMLLDLFGLIGAYAAGSCRDLSTSIYVVALAGAVFVYVVIHIVFFTLDHRNSQEDADVLDNRREVLLLLAILAATLTYQAGLTPPGGFWSADDNLGHHAGFPVLLDSYPHRYKAFFYCNAMSFMASVALIVLLVNPNLYRPGIRCYALYVCMVAGMFGLMGAYAAGSSRHLRTSIYVLTLVAAVFAFVILQVVIFFWLHNHEKKISEPEAGNTEKGLSRKVGSSDVSSVLVAHETANVSSAQGDGSGQSSEGGPHDTDIESFGSRHKELRLKEKRDGELKEKRDKDLREYLMLLGVLAASVTYQTGLKPPGGLWQDNSSGHSAGNSILHDIDRHRYHAFFYSNSTSFMASIVVVVLLLPRTLHNHKLLLWPMHTAILLNILGLLGAYAAGSTREWETSRNVICLVIPVLVYIAAYAAWSIYRKKGLCHKGNSASTNYDAES* >Brasy4G181500.1.p pacid=40084321 transcript=Brasy4G181500.1 locus=Brasy4G181500 ID=Brasy4G181500.1.v1.1 annot-version=v1.1 MNSPSSPPSPTPRTYSAATSGAGELAAVGLVQPPSAASPASWRVVARSLRSRRKRWRSRRKRRWRSRRNVWFRRVRGGAPGALRLQGVTEDRRRGERPPGT* >Brasy4G418700.1.p pacid=40084322 transcript=Brasy4G418700.1 locus=Brasy4G418700 ID=Brasy4G418700.1.v1.1 annot-version=v1.1 MGNICGGAGRAHVAVDYRPSSPGTMNSKTSGSITTSNSTTGKLSSGGSSFMASAGSGGTNSAFDEGGFLEGQILEAPNLRTFTFLELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPAKSGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLTGQRALDPNRPNGQLSLVDWAKPYLNDRRKLARIMDTRFEGQYNSKQALQSAQLTMKCLAAEPRSRPSMKEVLETLEQIEAMKSRAREARGGSGSSRDRGHSRGTAQHRSSPRTSDGRRGPSRAANGHATKAR* >Brasy4G284100.1.p pacid=40084323 transcript=Brasy4G284100.1 locus=Brasy4G284100 ID=Brasy4G284100.1.v1.1 annot-version=v1.1 MACRTWHCLLALFLLSSAAYGQLSPTFYARSCPTLQLIVRATMIKALLAERRMGASLLRLHFHDCFVQGCDASILLDDVGSFVGEKTAGPNVRSVRGYEVIDEIKANVELLCPGIVSCADIVALAARDGTLLLGGPTWQVPLGRRDSTTASFAEANSDLPAPTSSLSALIAAFAKKNLSARDMTALSGAHTIGFSQCQNFRDHIYNDTNIDPAFAALRKRNCPAAAPNGDSNLAPFDVQTQLAFDNAYYRNLLVRRGLLHSDQELFNGASQDALVRQYSASPALFNSDFAAAMIQMGNFRPLTGTAGQIRRNCKVVNS* >Brasy4G148900.1.p pacid=40084324 transcript=Brasy4G148900.1 locus=Brasy4G148900 ID=Brasy4G148900.1.v1.1 annot-version=v1.1 MAAAATAKKSGSEMGVVLGLDVPRYMGRWYEIASFPNFFQPRDGRDTRATYELMEDGATVHVLNETWSKGKRDYIEGTAYKADPSSEEAKLKVKFYVPPILPIIPVVGDYWVLYVDPDYQYALVGEPRRKNLWILCRKTRIEEEVYEQLLEKAKEEGYDVAKLHKTPQSDPPPESDAAPADTKGSWWFKSLFGK* >Brasy4G422400.1.p pacid=40084325 transcript=Brasy4G422400.1 locus=Brasy4G422400 ID=Brasy4G422400.1.v1.1 annot-version=v1.1 MGGSFSYRAIRSGDDGTGTGIRSGRDETGTGIRSGGDGIGTVIKSGGDGTGIRSGRGGDGIRRDNGGFPRRWVASLLGFLIFSSGMAVFGSRNDTSFTIFLGTSHLNLVLLFCCIWLFKRAAPGSPGRNWLKASIWTLTTLLTFSFGYMVLGTAGGFIVQVAVLVIMGYMIAAATAVAAFYAIVDDRNDQPLDHGSMLAVVPPV* >Brasy4G153700.1.p pacid=40084326 transcript=Brasy4G153700.1 locus=Brasy4G153700 ID=Brasy4G153700.1.v1.1 annot-version=v1.1 MPTISVGRDHLFAALGREFTQEEFEALCFLFGTELDDVTTEKAIIRKEKHLEADGQADGEDEVIYKIEVAANRTYMVSRCDFLSLKDRLEMCRLESVTVRFKV* >Brasy4G007700.1.p pacid=40084327 transcript=Brasy4G007700.1 locus=Brasy4G007700 ID=Brasy4G007700.1.v1.1 annot-version=v1.1 MLRVGLRPNDFTFPSAFKAAASAPPRCSAVGPQIHALALRFGYLPGDPFVSCAAMDMYFKTGCLRLARRLFEEMPNRNVIAWNAVMTNAVIDGRPLETLKAYFGLREADGMPNVVSVCAFFNACAGAVFLSLGEQFHGFVVTCGFDMDVSVSNAMVDFYGKCRCAGKARAVFDGMRVRNSVSWCSMIVAYAQNGAEEDALAVYLGARNAGEEPTDFMVSSVLTTCAGLLGLNFGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy4G007700.3.p pacid=40084328 transcript=Brasy4G007700.3 locus=Brasy4G007700 ID=Brasy4G007700.3.v1.1 annot-version=v1.1 MLRVGLRPNDFTFPSAFKAAASAPPRCSAVGPQIHALALRFGYLPGDPFVSCAAMDMYFKTGCLRLARRLFEEMPNRNVIAWNAVMTNAVIDGRPLETLKAYFGLREADGMPNVVSVCAFFNACAGAVFLSLGEQFHGFVVTCGFDMDVSVSNAMVDFYGKCRCAGKARAVFDGMRVRNSVSWCSMIVAYAQNGAEEDALAVYLGARNAGEEPTDFMVSSVLTTCAGLLGLNFGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy4G007700.2.p pacid=40084329 transcript=Brasy4G007700.2 locus=Brasy4G007700 ID=Brasy4G007700.2.v1.1 annot-version=v1.1 MLRVGLRPNDFTFPSAFKAAASAPPRCSAVGPQIHALALRFGYLPGDPFVSCAAMDMYFKTGCLRLARRLFEEMPNRNVIAWNAVMTNAVIDGRPLETLKAYFGLREADGMPNVVSVCAFFNACAGAVFLSLGEQFHGFVVTCGFDMDVSVSNAMVDFYGKCRCAGKARAVFDGMRVRNSVSWCSMIVAYAQNGAEEDALAVYLGARNAGEEPTDFMVSSVLTTCAGLLGLNFGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAEQVFLDMPQRNLVTWNAMIGGYAHIGDAQNALAVFDAMIRSGGTSPNHITLVNVITACSRGGLTKDGHELFDTMRERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISVWGALLGACKMHGKTELGRIASEKLFEIDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGCSWITWKNVVHVFYAKDTKHDKNSEIQALLAKLKKQMQASGYMPDTQYSLYDVEEEEKETEVFQHSEKLALAFGLIHIPPSVPIRITKNLRICVDCHRAFKFVSGIVGREIIVRDNNRFHYFNQFECSCKDYW* >Brasy4G361200.1.p pacid=40084330 transcript=Brasy4G361200.1 locus=Brasy4G361200 ID=Brasy4G361200.1.v1.1 annot-version=v1.1 MAPCPASAAVIRTCCVLLMLLLWADVVRPPAVAGQAPQPEESAAAAAIIEAEGDGRGNNGTRGGGGASRNKVLSTVDCQICEATCRVKCLINNLFQWGSCYQRCKADNCNEWCR* >Brasy4G347500.1.p pacid=40084331 transcript=Brasy4G347500.1 locus=Brasy4G347500 ID=Brasy4G347500.1.v1.1 annot-version=v1.1 MPRRRSLRVAVIGAGAAGLVAARELRREGHSPVVFERADAAADGGSEQSPSRSSCLYASLRTNLPRESMGFLDFPFDSAAGSGDARRFPGHEEVRRYLEGFARRFDLRGLVRLQTEVVRVTREAGESWRVRYLTRTKPERRQEEEEEVFDAVVVCNGHYGEPRFADIPGSGPSGTDIARDIAGVAKEVHLANRSAPAATCERLPLPGYENLWIHFHSMVDRAEEDGSVVFQDGSRVKADVIMHCTGYNYSFPFLGEDSTISVDDNRVGPLYKHVFPPQLAPRLSFIGLPHKVAPFPLFELQSNWVAGVLSGRIELPSEEEMTRDVTEFYSELSARGWPRRYTHSMLSHEFEYEDWLAVQCRRERVTEWRKELFAAALGMNTFGRMGSYRDEWDAGDGGGKLDQLLAQANREFAQYSSPATPPP* >Brasy4G273600.1.p pacid=40084332 transcript=Brasy4G273600.1 locus=Brasy4G273600 ID=Brasy4G273600.1.v1.1 annot-version=v1.1 MSRWAPEIKVYSRRHPSKNPKPPPDPSPNPNPPPDPSPNPNSNPLAETLASIRRSIRRSEPEGAAPRPDPAASTPPSPPPPASAPDGDPTAAASNDFPSRDGGAVPNGHADIQAAAEDKARKRRERSELRRQLASELDQVRVLSKRLKAAGEALAVEAASTPLPLAVVRPPPLLSSGYAHPQFSGTDVVTPIPAQATSAVPPVRSFLPRRPLIVPEVHMESFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHARKKTKHHKKKHRSSADAGYNSEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKAQLTRGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIQAEVEYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPLSHTPTYSVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDAELRALHAAQHQQTSQQPPNIGEKSPKLSKTDLMASEQLATSVPDQNNNNGPNASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS* >Brasy4G273600.4.p pacid=40084333 transcript=Brasy4G273600.4 locus=Brasy4G273600 ID=Brasy4G273600.4.v1.1 annot-version=v1.1 MSRWAPEIKVYSRRHPSKNPKPPPDPSPNPNPPPDPSPNPNSNPLAETLASIRRSIRRSEPEGAAPRPDPAASTPPSPPPPASAPDGDPTAAASNDFPSRDGGAVPNGHADIQAAAEDKARKRRERSELRRQLASELDQVRVLSKRLKAAGEALAVEAASTPLPLAVVRPPPLLSSGYAHPQFSGTDVVTPIPAQATSAVPPVRSFLPRRPLIVPEVHMESFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHARKKTKHHKKKHRSSADAGYNSEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKAQLTRGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIQAEVEYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPLSHTPTYSVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDAELRALHAAQHQQTSQQPPNIGEKSPKLSKTDLMASEQLATSVPDQNNNNGPNASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS* >Brasy4G273600.2.p pacid=40084334 transcript=Brasy4G273600.2 locus=Brasy4G273600 ID=Brasy4G273600.2.v1.1 annot-version=v1.1 MSRWAPEIKVYSRRHPSKNPKPPPDPSPNPNPPPDPSPNPNSNPLAETLASIRRSIRRSEPEGAAPRPDPAASTPPSPPPPASAPDGDPTAAASNDFPSRDGGAVPNGHADIQAAAEDKARKRRERSELRRQLASELDQVRVLSKRLKAAGEALAVEAASTPLPLAVVRPPPLLSSGYAHPQFSGTDVVTPIPAQATSAVPPVRSFLPRRPLIVPEVHMESFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHARKKTKHHKKKHRSSADAGYNSEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKAQLTRGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIQAEVEYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPLSHTPTYSVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDAELRALHAAQHQQTSQQPPNIGEKSPKLSKTDLMASEQLATSVPDQNNNNGPNASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS* >Brasy4G273600.5.p pacid=40084335 transcript=Brasy4G273600.5 locus=Brasy4G273600 ID=Brasy4G273600.5.v1.1 annot-version=v1.1 MSRWAPEIKVYSRRHPSKNPKPPPDPSPNPNPPPDPSPNPNSNPLAETLASIRRSIRRSEPEGAAPRPDPAASTPPSPPPPASAPDGDPTAAASNDFPSRDGGAVPNGHADIQAAAEDKARKRRERSELRRQLASELDQVRVLSKRLKAAGEALAVEAASTPLPLAVVRPPPLLSSGYAHPQFSGTDVVTPIPAQATSAVPPVRSFLPRRPLIVPEVHMESFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHARKKTKHHKKKHRSSADAGYNSEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKAQLTRGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIQAEVEYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPLSHTPTYSVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDAELRALHAAQHQQTSQQPPNIGEKSPKLNLMASEQLATSVPDQNNNNGPNASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS* >Brasy4G273600.3.p pacid=40084336 transcript=Brasy4G273600.3 locus=Brasy4G273600 ID=Brasy4G273600.3.v1.1 annot-version=v1.1 MSRWAPEIKVYSRRHPSKNPKPPPDPSPNPNPPPDPSPNPNSNPLAETLASIRRSIRRSEPEGAAPRPDPAASTPPSPPPPASAPDGDPTAAASNDFPSRDGGAVPNGHADIQAAAEDKARKRRERSELRRQLASELDQVRVLSKRLKAAGEALAVEAASTPLPLAVVRPPPLLSSGYAHPQFSGTDVVTPIPAQATSAVPPVRSFLPRRPLIVPEVHMESFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHARKKTKHHKKKHRSSADAGYNSEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKAQLTRGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIQAEVEYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPLSHTPTYSVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDAELRALHAAQHQQTSQQPPNIGEKSPKLNLMASEQLATSVPDQNNNNGPNASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS* >Brasy4G249000.1.p pacid=40084337 transcript=Brasy4G249000.1 locus=Brasy4G249000 ID=Brasy4G249000.1.v1.1 annot-version=v1.1 MASSSSSSGRRLAGTLTVRAGAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPSRHLLCLFPTDPSLASPVLLDPNAPTAWWPLPPIPCSPQLYGLANFAAIAVGSHLYVLGGSRFDARSYPLGHPSPSADAYRLDLALSHHRWERLPDMHVPRGSFACAPAPGGGVIVAGGGSRHPTLPSNGSRTSSTELYDAATSAWLIAAPMLRERAGCVGFMVHGAGEGREDEFWVMGGYDGYTTVGGVVPNDVYCRDAVALGMWSGKWREIGEMWMEGERRRLGPVAAISVEDGKVTDLFMLDGHDIFRYDFGSNRWLKEASLRRKIPYAEFCGFVSLNGELHVLKSAKVPAEISYPRRQLKTRLALEFQVYNPVARKWRVFTTYPPVGVPFDFRTATLCTVEL* >Brasy4G001200.1.p pacid=40084338 transcript=Brasy4G001200.1 locus=Brasy4G001200 ID=Brasy4G001200.1.v1.1 annot-version=v1.1 MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWLPELRHYSPGVPIILVGTKLDLREDKQFFVDHPGAVPISTAQGEELKKVIGATAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKRRKAQKGCTIL* >Brasy4G132900.1.p pacid=40084339 transcript=Brasy4G132900.1 locus=Brasy4G132900 ID=Brasy4G132900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERFNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMLAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPSSSPF* >Brasy4G118500.1.p pacid=40084340 transcript=Brasy4G118500.1 locus=Brasy4G118500 ID=Brasy4G118500.1.v1.1 annot-version=v1.1 MVDSEEQNGNGREPAANTPDTPNGQQEGPNPGGGDEAALLWKLRKYLVLLAILAAAITYQAGLAPPGGFWQETKDGRVASDIVLRASYPRRYLVFFYCNTTAFGASLMVLILLLVRELSRNAIWLRSLQFAMILGLLGLMGAYAAGSCREVRTSVYIWVLLVGIFAYVMLHMLFFLHLAPEGLLAVFSDVRESWERTLENIFRKPEGTEPDRIAPAQEAADQKEELERNRSSLLVLATLAATVTYVAGLSPPGGFWPDNNGIHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSETAVDQVVKSNALRFCVLVSLFGLMGAYAAGSCREVQTSIYVFALVGAVLLYLFIQFIVPVVRKPECIEKHIRCLKKKKDEMLQKLNASIMTPRALAPPSEHDLPHQSNNNTPGTDDNVKHDLQKLRTYLLLLGILAATVTYQAGLNPPGGFWTDNSDGHIAGDPILEVISPKRYKAFFYCNATAFVASLVIIILLQSQSITIHAMKRHVLQSAMSLVLFGLMGAYAAGSSRKFSTSIYVFVLVLLVFAYVVLHAVLYVALKTRLKSIIGKIEAYTSKLLHCFGDSSESGHTVIDTKDLQKRRKFLMLLAILAASITYQAGISPPGGFWTDNKNGHQAGYSVFRDEFRNRYRVFFYFNATAFMASLAVILLLVSKRLCHKGLKCYALRACVLVDLISLMGAFAAGSCRKVSTSVYVILVVVAVFVYVMIQVLVLTFAKEKVNGLVKWVLELLKAKPFELQRHECQDQSMNHTTSPPRNERENKWRKDLMLIGTLAVTVTYQAGLLPPGGVWPEDQYGHFAGDPILHDTNLKRYKVFFYCNATAFMASMVMVILLLNNTISKYKRSLLAMKTAMVFVLLGLLGAYAAGSCRKLKTSAYIFALVIAVIIYIAVHVFLSFDKVAKLMKEKGEKWMPCLKTSAPIGVDSNNQPSAGESGVSLPV* >Brasy4G118500.2.p pacid=40084341 transcript=Brasy4G118500.2 locus=Brasy4G118500 ID=Brasy4G118500.2.v1.1 annot-version=v1.1 MVDSEEQNGNGREPAANTPDTPNGQQEGPNPGGGDEAALLWKLRKYLVLLAILAAAITYQAGLAPPGGFWQETKDGRVASDIVLRASYPRRYLVFFYCNTTAFGASLMVLILLLVRELSRNAIWLRSLQFAMILGLLGLMGAYAAGSCREVRTSVYIWVLLVGIFAYVMLHMLFFLHLAPEGLLAVFSDVRESWERTLENIFRKPEGTEPDRIAPAQEAADQKEELERNRSSLLVLATLAATVTYVAGLSPPGGFWPDNNGIHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSETAVDQVVKSNALRFCVLVSLFGLMGAYAAGSCREVQTSIYVFALVGAVLLYLFIQFIVPVVRKPECIEKHIRCLKKKKDEMLQKLNASIMTPRALAPPSEHDLPHQSNNNTPGTDDNVKHDLQKLRTYLLLLGILAATVTYQAGLNPPGGFWTDNSDGHIAGDPILEVISPKRYKAFFYCNATAFVASLVIIILLQSQSITIHAMKRHVLQSAMSLVLFGLMGAYAAGSSRKFSTSIYVFVLVLLVFAYVVLHAVLYVALKTRLKSIIGKIEAYTSKLLHCFGDSSESGHTVIDTKDLQKRRKFLMLLAILAASITYQAGISPPGGFWTDNKNGHQAGYSVFRDEFRNRYRVFFYFNATAFMASLAVILLLVSKRLCHKGLKCYALRACVLVDLISLMGAFAAGSCRKVSTSVYVILVVVAVFVYVMIQVLVLTFAKEKVNGLVKWVLELLKAKPFELQRHECQDQSMNHTTSPPRNERENKWRKDLMLIGTLAVTVTYQAGLLPPGGVWPEDQYGHFAGGKVNEGEGRKMDALSEDVGSDWSGFKQSTICWGIRSLAACVRVVSCVTFFFRLLGDVATYKVYMCVLCLFKATM* >Brasy4G118500.3.p pacid=40084342 transcript=Brasy4G118500.3 locus=Brasy4G118500 ID=Brasy4G118500.3.v1.1 annot-version=v1.1 MVDSEEQNGNGREPAANTPDTPNGQQEGPNPGGGDEAALLWKLRKYLVLLAILAAAITYQAGLAPPGGFWQETKDGRVASDIVLRASYPRRYLVFFYCNTTAFGASLMVLILLLVRELSRNAIWLRSLQFAMILGLLGLMGAYAAGSCREVRTSVYIWVLLVGIFAYVMLHMLFFLHLAPEGLLAVFSDVRESWERTLENIFRKPEGTEPDRIAPAQEAADQKEELERNRSSLLVLATLAATVTYVAGLSPPGGFWPDNNGIHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSETAVDQVVKSNALRFCVLVSLFGLMGAYAAGSCREVQTSIYVFALVGAVLLYLFIQFIVPVVRKPECIEKHIRCLKKKKDEMLQKLNASIMTPRALAPPSEHDLPHQSNNNTPGTDDNVKHDLQKLRTYLLLLGILAATVTYQAGLNPPGGFWTDNSDGHIAGDPILEVISPKRYKAFFYCNATAFVASLVIIILLQSQSITIHAMKRHVLQSAMSLVLFGLMGAYAAGSSRKFSTSIYVFVLVLLVFAYVVLHAVLYVALKTRLKSIIGKIEAYTSKLLHCFGDSSESGHTVIDTKDLQKRRKFLMLLAILAASITYQAGISPPGGFWTDNKNGHQAGYSVFRDEFRNRYRVFFYFNATAFMASLAVILLLVSKRLCHKGLKCYALRACVLVDLISLMGAFAAGSCRKVSTSVYVILVVVAVFVYVMIQVLVLTFAKEKVNGLVKWVLELLKAKPFELQRHECQDQSMNHTTSPPRNERENKWRKDLMLIGTLAVTVTYQAGLLPPGGVWPEDQYGHFAGGKVNEGEGRKMDALSEDVGSDWSGFKQSTICWGIRSLAACVRVVSCVTFFFRLLGDVATYKVYMCVLCLFKATM* >Brasy4G405600.1.p pacid=40084343 transcript=Brasy4G405600.1 locus=Brasy4G405600 ID=Brasy4G405600.1.v1.1 annot-version=v1.1 TSHGFKTVHLNACAKALNDHFKTNVTGAQVYNHNRTWKRKWQRIAKLKKLSAALWDEDKCMIVLDHEHYTGHIKDNKGDEPYLNKTLEHYNEMVTIYGNGMAIGDYAKGSSEPLATDFVDVEDDEPANVNATPPPHEEVTHSYNVGESSASRPSKRTKTTQYEEQGLGPTLVAVGERLAVAIEKNVSNDNILEGLWDNMKTLPTFGRDFLAHYYAYLVENPRIARAFHTLDHDEKMVWVARYVRNNIPSHPEANPHE* >Brasy4G399800.1.p pacid=40084344 transcript=Brasy4G399800.1 locus=Brasy4G399800 ID=Brasy4G399800.1.v1.1 annot-version=v1.1 MLLLLNKSLPFLRRVLASKSISLPSSRTRRRPFLLPRAAAAMGSVAGDVVRLSYPPARRDESVVDSYHGVQIPDPYRWLEDPDAEETKEFVERQVELAESVLAGCGDREALRREVTRLFDHPRHGAPFRRGDKYFHFHNSGLQAQSVLYVQDDLDAEADVLLDPNTLSKDGTVALSTYSISEDGKYIAYGLSESGSDWITISVMRIADRQPMPDKLSWVKFSSISWTHDGKGFFYGRYPAPQVELDAGTETNINLNHQIYYHVMGSDQSEDILCWKDPDNPKYSFGASVTEDGKYIILGTYDGCDPVNKLYYCEISSLPQGIEGFKETKDMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKNAPKNKLVRVNIKRPELWTDVLAEHERDVLESADAVNGNQLVVCYMSDVKHTLQIRDLITGNLLNQLPLEIGSVSEVSCRREDKEVFIGFTSFLSPGITYRCNLSSTTPEMKVFREISVPGFDRTSFEVKQIFVPSKDGTKIPMFIMSKKDIELDGSHPTLLYGYGGFNISITPSFSVSRLVLCKNMGSVVCIANIRGGGEYGEEWHKAGALAKKQNCFDDFIACAELLISAGYTSNKKICIEGGSNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFNWLIKYSPLHNVRKPWEQSFGNHCQYPATMLLTADHDDRVVPLHSLKLLATLQYVLCTSNEATPQTNPIIGRIDRKSGHGAGRPTKKMIDEAADRYSFMAKMLGTTWTE* >Brasy4G394700.1.p pacid=40084345 transcript=Brasy4G394700.1 locus=Brasy4G394700 ID=Brasy4G394700.1.v1.1 annot-version=v1.1 MQSGFFNINKSPKSTQPSTLTVSPYWLSKEGTDPLAHLFLPIFSLPIPWFSSPPLTGSSRSPLSSHSLDLPSPPWLLLISLLLPLSISLLVSPLPDLLPLPAARAAKETAGSGYSLSAPLRLPRAGLLLHPGQPPSEHPSAPTPDPAATTLHDAGSCRRRPSSPPQCSWYAAPWLPRPTTPWRRGRVRRPCATAHSGALDPASDGSGSLDLDAPGSGLPRHAGHNLLRRHGNCPYLSPPHLHLSFSLSPPLSHSLFLSQTDFAAAGSGVPGFPPSSCCRRGIRPLQPCGNHCPIAVQKQIEDVAIIA* >Brasy4G219100.1.p pacid=40084346 transcript=Brasy4G219100.1 locus=Brasy4G219100 ID=Brasy4G219100.1.v1.1 annot-version=v1.1 MLLLANSNKIYMAKDIKAMCKGGNVFYHINKETSLDSIRINHSKAPHYRMAFDTARITKTEISKLKNVISASFKPLSLTIPIGDGFHESFHLSHCHL* >Brasy4G329200.1.p pacid=40084347 transcript=Brasy4G329200.1 locus=Brasy4G329200 ID=Brasy4G329200.1.v1.1 annot-version=v1.1 MMVKLILGFLWYLIHLVISVFNLWYHMCNNLECYLISSELLPKFRNLRFERLKYLGVVVDSREANNILKVKQLLWWLSTLGVKYVILYDVEGVLKKLLEPGIKASRDGNSRNSINVSANMEASHFSHGGMAVECLSGSDGKEGIAKAANLLYSDFCNCATHGSAKSDIVFTEADMACALRAAGSGGAEPDLLLVYGPVRCHLGFPAWRLRYTEIVHMGSLKSMKYGSIVKAFYRFSEKYQNYGM* >Brasy4G306600.1.p pacid=40084348 transcript=Brasy4G306600.1 locus=Brasy4G306600 ID=Brasy4G306600.1.v1.1 annot-version=v1.1 MENSQSENLADPKQDDEARQSKQEDEGARIEEYKRLMDQKTTLRRSNQNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDMDVDKNSRAMKKRSTLKLLIELYFVGIVEDGSIFVNIVKDLTSLEHLKDREATQTNLSLLSTFVRQGRLFIGLQSHGHEPYDEFFKDLNVTADQKKFFKKALNSYYDAVSELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAEAIDLQPPVMPDDGNTTRVTTGTDVTPSSGKESSVLEPIWDDDDTKTFYESLPDLRAFVPAVLLGEAEQKLNEQHAKGREQSNESSAEQETEAHDNAQTSSATEDQLEGKTDDVAKDSEDKDKDKGKDPEKEKSKDKDLDRKTEKEKEKVRASDGGSLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRAVFSVPRTSLELLPYYSRLVATLSLCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLCQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLVKCFLKVHKGKYSQVHLIALLTAGLSRYHDDFAVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIILFGHGTHEQDVLDPPEDCFRIRLIITLLQTCGHYFTRGSSKRKLDKFLLHFQRYIIMKGPLPLDIEFDIQDLFAELRPNMTRYSSIDELNSALVELEEHERAVSAEKIESERHSDNESQKKQPHDAAFSVNGRGSVNGAEGNGRDHGEAADSESYSDSGSIDGHEDEEDLLSENKSNDASENEGDDEDDGIPVGSDEDEGVEVRQKVVQVDPKEQEDFDRELKALLQESLESRKSEVRTRSTLNMKVPMNVLEGSKDQRAGESESGEETMDEEGGNAGGGSKVRVKVLMKKGHKQQTRQMFIPGDCSLVQSTKEQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSSTGSSIRSGGRGNWDGWIRGGARRHHAAGGFYQGYGRRR* >Brasy4G177300.1.p pacid=40084349 transcript=Brasy4G177300.1 locus=Brasy4G177300 ID=Brasy4G177300.1.v1.1 annot-version=v1.1 MADSVLREDSNGNPRTLRLLALLVEAESRRFVAAASQPAENDLVRAFRGGATPSVPIGKFLERLQRCFRLFDASVYVYAGAYLARFMRSPAACDAGIVLEPTTAHRLVSIAVLLGAKFISPRHYERRVETFEICSDRSIRASEMCPLEKLVLRALDYRLSISVEEFQWFFRVLERGPPAAESCGSRKRKAEAAAGGEEESRSVRACRPPVVGS* >Brasy4G177300.2.p pacid=40084350 transcript=Brasy4G177300.2 locus=Brasy4G177300 ID=Brasy4G177300.2.v1.1 annot-version=v1.1 MADSVLREDSNGNPRTLRLLALLVEAESRRFVAAASQPAENDLVRAFRGGATPSVPIGKFLERLQRCFRLFDASVYVYAGAYLARFMRSPAACDAGIVLEPTTAHRLVSIAVLLGAKFISPRHYERRVETFEICSDRSIRASEMCPLEKLVLRALDYRLSISVEEFQWFFRVLERGPPAAESCGSRKRKAEAAAGGEEESRSVRACRPPVVGS* >Brasy4G177300.3.p pacid=40084351 transcript=Brasy4G177300.3 locus=Brasy4G177300 ID=Brasy4G177300.3.v1.1 annot-version=v1.1 MADSVLREDSNGNPRTLRLLALLVEAESRRFVAAASQPAENDLVRAFRGGATPSVPIGKFLERLQRCFRLFDASVYVYAGAYLARFMRSPAACDAGIVLEPTTAHRLVSIAVLLGAKFISPRHYERRVETFEICSDRSIRASEMCPLEKLVLRALDYRLSISVEEFQWFFRVLERGPPAAESCGSRKRKAEAAAGGEEESRSVRACRPPVVGS* >Brasy4G423000.1.p pacid=40084352 transcript=Brasy4G423000.1 locus=Brasy4G423000 ID=Brasy4G423000.1.v1.1 annot-version=v1.1 MHIHPSLMAEMAFSTAAVRLLLLLMTMMLVSAGAAAAAVASSPPIGMPNCETRCGNVDVPYPFGLGSDASCYLPGFNLTCDHTVKPPRLLIGASLRVKYIDIDFSSVSVVHTVDANTASLLGGALDLHDSPYSLPGMDSNELVVMGCNVQATLLRNPGNITVSGCLSICSDHVYAGGPPSSRITGCCLARTFIGNIDEDDVVATYSVRPDWFGGNRSADKKRAPFRAFLAREGWFDDWWNASVGFKNEGWSTSSMWPRPLLAMEVPVDLDWKIGASLWSQYNFSSRSKHSSCGNGARRYTCSCDRGYRGNAYVPNGCQVDKCEGVTCYGVCIMKEGLPVCQCPSGTQGNSAMPGGCLNSTVIRAAAAAGNCMRWCGGLYVPYPFGIQDMGPAGCYKPGFNLTCDTRGPGQPRLLLGDDGDFRVVEIHLDNATVRAVRAGPFINASTNYAFSPSFHFEDSFSSLSEAPYSLSTANELVLTGCDARVSLYDDNTDGPDVLGIRCVVLCPLDDDNIQHRRRTTPNDKYCNGMGCCQSHISMSMDVITSMLKLDWNDQKSDSANETPPPTYVFIAEEGWFDHLGFSGQLGPNLQPPSTEREIPVVLQWEVLSNKTIKCSDGNICKSKHSYCKPGDRGGYTCHCNEGYRGNPYVNDADERCKDHSHKSVIIGVSVGAGLSSAAGLIISFLIGRFISDRIKHRRAQMLKRKFYEQNRGQLLEQLVSQRADIAERMIIPLEELEKATSNFDKDREIGGGGHGTVYKGILSDLHVVAIKKPKKVVQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYEHLHVDGPRSLSWNDRLRIAVETARSLAYLHSTASIPIIHRDVKSANILLDEALTAKVADFGASRYISVEKSGLTTMVQGTIGYLDPMYFCTGRLTERSDVYSYGVMLVELLTRKKPFSYLSPEDKGLVAHFVALFAEGNLSEMLDPQVTDEGDDEVQEAAALAVACVKLRGEDRPTMRQVELTLEGLRPSKKHVSEDAGFDRPDKNSTIERNSPWSLHARRCSGEGSARQYTMEAELTMSSRYPR* >Brasy4G258200.1.p pacid=40084353 transcript=Brasy4G258200.1 locus=Brasy4G258200 ID=Brasy4G258200.1.v1.1 annot-version=v1.1 MPPLLLLPTACCAAVAAAAPSSAAFSRPTPSRLRASHIPFAFRRLRKYGRRDREPVASTSFDEEEPDDDDDDDDDDVEEAVDEDIFLKNRPKPAGFGVGKTYTTDIEERLLREMGLGGAGRKSEPAPAKQLRAADSAKETSGDSTDGIHVRIWNLPKKKNIHKDLNLAFKRFPGLVTINPVNSANKKTRDPVCKGFAFLKMESLEAATRFVELYSSKSVLFGKVEKPIKCCIVDGHSSTDTSNKPSSTQAAGSTLQRLVAVR* >Brasy4G416800.1.p pacid=40084354 transcript=Brasy4G416800.1 locus=Brasy4G416800 ID=Brasy4G416800.1.v1.1 annot-version=v1.1 MGYVGNHGVATLRRYKYSGVDHSVVAKYILQPFWSRFVHLFPLWFPPNMITLTGFMFLLTSAFLGFYYSPHLDTAPPRWVHLAHGILLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGKSTFWFWVISAVPFYCATWEHYFTNTLVLPIVNGPTEGLMLIYVCHIFTFFTGAEWWAQDFRKSVPLLNWVPLVPEIPLYGIVLFLMVAFAVIPTIGSNTHNVYKVVEARKGSMLLALAMLFPFGFLLAGTLMWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDNDNMFILVMSKISASLLQSLAYFPFAIANALTARLDNGIPLVDEQLVLLMYCLFTVVLYMHFATSVIHEITNALGIHCFRITRKKA* >Brasy4G263200.1.p pacid=40084355 transcript=Brasy4G263200.1 locus=Brasy4G263200 ID=Brasy4G263200.1.v1.1 annot-version=v1.1 MRWNLNTSAYKRVSSRDAAMDPDVEMPGKLADGGGAGPSWRMSLPHVCVATLTSFLFGYHTGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSVADGIGRRRAFQLSALPMIVGAAISALTNSLEGMLFGRLLVGIGMGLGPPVAALYITEVSPPSVRGTYGSFVQIATCLGILFSLLVGTPVKDIDRWWRVCFWVSAVPAALQAIGMEFCAESPQWLYKCGRTSEAEMQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRHFNVVFIGTMLFALQQLSGINSVFYFSSTVFRSVGVPSSFANICMGIANLAGSIVAMLLMDKLGRKVLLSGSFLGMAFSMGLQAIGANRHHLGSASVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVFNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAVFVRRHVIETKGKTLQEIEVSLLQPQ* >Brasy4G324500.1.p pacid=40084356 transcript=Brasy4G324500.1 locus=Brasy4G324500 ID=Brasy4G324500.1.v1.1 annot-version=v1.1 MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKHIADAGAGERQSKRQRVGAGGMIEGSPPPPMTPEEAGFVCLLEAELEKFNAFFIEKEEEYIIRQKELQDWVVRAAEMGSAEELMRVGKEIVDFHGEMVLLVNYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLHKLVKECEVMLDQLIPASKPSVPITDGKEESDSDEKPSKSISSLANGGGVLELDEIEDMRGMYMKSTVAALRALKEIRSGSSTVSMFSMPPLHGSNGQEEQER* >Brasy4G324500.2.p pacid=40084357 transcript=Brasy4G324500.2 locus=Brasy4G324500 ID=Brasy4G324500.2.v1.1 annot-version=v1.1 MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKHIADAGAGERQSKRQRVGAGGMIEGSPPPPMTPEEAGFVCLLEAELEKFNAFFIEKEEEYIIRQKELQDWVVRAAEMGSAEELMRVGKEIVDFHGEMVLLVNYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLHKLVKECEVMLDQLIPASKPSVPITDGKEESDSDEKPSKSISSLANGGGVLELDEIEDMRGMYMKSTVAALRALKEIRSGSSTVSMFSMPPLHGSNGQEEQER* >Brasy4G225600.1.p pacid=40084358 transcript=Brasy4G225600.1 locus=Brasy4G225600 ID=Brasy4G225600.1.v1.1 annot-version=v1.1 MDPPPPPPSQSQPQPPPPVNWDSLDALVLNFVRSDRLIVPPASPSPPSSPSSSTTTATSSSSASTSTSSSYSSRLLIRRARRVLEAGDVDAELALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEEDREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSINRRFELAGLLSSILRAHLQAYDPILSMTLRYLMSIHKVFCSRQGLSSPISDLTDRLLFEDRDPPAVPQECSVEAPPFDEVDIQALAHAVQLTRQGAVDSLKFAKGDLYQAFQNELCRMKLDMPLLDKLVHEYCIYRGIVEGCSHAHPGTADLKSGQTNGVSFINKQGANNETQFKCEMTDNQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLCYACETSGDANLEMLPPAFGMDEDVVIEKKHLVSDSGLSDTRNMQDQKYEVILEMRDLTGKGMASKVVDEINSIDPDFFSQNPILLFQLKQVEFLKLVAGGDCVAALKVASSHLGPLASSNQALLKPLKETLVTLIQPNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDRHLKIDSLKEFNPSASHSMSKALTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMEFLALPRPDAIQLLMQYEGNAETVIQQMFS* >Brasy4G225600.3.p pacid=40084359 transcript=Brasy4G225600.3 locus=Brasy4G225600 ID=Brasy4G225600.3.v1.1 annot-version=v1.1 MDPPPPPPSQSQPQPPPPVNWDSLDALVLNFVRSDRLIVPPASPSPPSSPSSSTTTATSSSSASTSTSSSYSSRLLIRRARRVLEAGDVDAELALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEEDREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSINRRFELAGLLSSILRAHLQAYDPILSMTLRYLMSIHKVFCSRQGLSSPISDLTDRLLFEDRDPPAVPQECSVEAPPFDEVDIQALAHAVQLTRQGAVDSLKFAKGDLYQAFQNELCRMKLDMPLLDKLVHEYCIYRGIVEGCSHAHPGTADLKSGQTNGVSFINKQGANNETQFKCEMTDNQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLCYACETSGDANLEMLPPAFGMDEDVVIEKKHLVSDSGLSDTRNMQDQKYEVILEMRDLTGKGMASKVVDEINSIDPDFFSQNPILLFQLKQVEFLKLVAGGDCVAALKVASSHLGPLASSNQALLKPLKETLVTLIQPNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDRHLKIDSLKEFNPSASHSMSKALTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMNGTPRSEGKLN* >Brasy4G225600.2.p pacid=40084360 transcript=Brasy4G225600.2 locus=Brasy4G225600 ID=Brasy4G225600.2.v1.1 annot-version=v1.1 MRSRCLPAYEEFKHILLVLIYDKDDQSSPVANEWSINRRFELAGLLSSILRAHLQAYDPILSMTLRYLMSIHKVFCSRQGLSSPISDLTDRLLFEDRDPPAVPQECSVEAPPFDEVDIQALAHAVQLTRQGAVDSLKFAKGDLYQAFQNELCRMKLDMPLLDKLVHEYCIYRGIVEGCSHAHPGTADLKSGQTNGVSFINKQGANNETQFKCEMTDNQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLCYACETSGDANLEMLPPAFGMDEDVVIEKKHLVSDSGLSDTRNMQDQKYEVILEMRDLTGKGMASKVVDEINSIDPDFFSQNPILLFQLKQVEFLKLVAGGDCVAALKVASSHLGPLASSNQALLKPLKETLVTLIQPNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDRHLKIDSLKEFNPSASHSMSKALTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMEFLALPRPDAIQLLMQYEGNAETVIQQMFS* >Brasy4G225600.5.p pacid=40084361 transcript=Brasy4G225600.5 locus=Brasy4G225600 ID=Brasy4G225600.5.v1.1 annot-version=v1.1 MEAYEEFKHILLVLIYDKDDQSSPVANEWSINRRFELAGLLSSILRAHLQAYDPILSMTLRYLMSIHKVFCSRQGLSSPISDLTDRLLFEDRDPPAVPQECSVEAPPFDEVDIQALAHAVQLTRQGAVDSLKFAKGDLYQAFQNELCRMKLDMPLLDKLVHEYCIYRGIVEGCSHAHPGTADLKSGQTNGVSFINKQGANNETQFKCEMTDNQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLCYACETSGDANLEMLPPAFGMDEDVVIEKKHLVSDSGLSDTRNMQDQKYEVILEMRDLTGKGMASKVVDEINSIDPDFFSQNPILLFQLKQVEFLKLVAGGDCVAALKVASSHLGPLASSNQALLKPLKETLVTLIQPNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDRHLKIDSLKEFNPSASHSMSKALTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMEFLALPRPDAIQLLMQYEGNAETVIQQMFS* >Brasy4G225600.4.p pacid=40084362 transcript=Brasy4G225600.4 locus=Brasy4G225600 ID=Brasy4G225600.4.v1.1 annot-version=v1.1 MRSRCLPAYEEFKHILLVLIYDKDDQSSPVANEWSINRRFELAGLLSSILRAHLQAYDPILSMTLRYLMSIHKVFCSRQGLSSPISDLTDRLLFEDRDPPAVPQECSVEAPPFDEVDIQALAHAVQLTRQGAVDSLKFAKGDLYQAFQNELCRMKLDMPLLDKLVHEYCIYRGIVEGCSHAHPGTADLKSGQTNGVSFINKQGANNETQFKCEMTDNQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLCYACETSGDANLEMLPPAFGMDEDVVIEKKHLVSDSGLSDTRNMQDQKYEVILEMRDLTGKGMASKVVDEINSIDPDFFSQNPILLFQLKQVEFLKLVAGGDCVAALKVASSHLGPLASSNQALLKPLKETLVTLIQPNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDRHLKIDSLKEFNPSASHSMSKALTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMNGTPRSEGKLN* >Brasy4G099600.1.p pacid=40084363 transcript=Brasy4G099600.1 locus=Brasy4G099600 ID=Brasy4G099600.1.v1.1 annot-version=v1.1 MAPSLLVSASQILAVDSRGGRRRRLVIANSGGAGTPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECATNLSTIKLETSKILLEPLDRLKKVDAQSNLTQGVLEGIVGEELGVLPGMDSICSVLSLQKLLNFFSTGRSSSQGEFDVVVYDCNSTEEILRLIGATERARSYLRYVRELAEKTDVGRLASPSLLKLIYDAARPNGRSSEGRMSAEIWKEIEQLLERVSLWFADPSKVACFLIMDPRGSISVSSALRYWGCTIQAGAQICGAFGYAEDPSEMHPEVAEKFLPLSFSALPFLPTDSSADWGRALNSLSQDTKDLLRNKSNQIYPSVSFDTVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFIDRNLVVSIR* >Brasy4G099600.2.p pacid=40084364 transcript=Brasy4G099600.2 locus=Brasy4G099600 ID=Brasy4G099600.2.v1.1 annot-version=v1.1 MAPSLLVSASQILAVDSRGGRRRRLVIANSGGAGTPPKLVTFLGKGGSGKTTAAAVAAQILLEPLDRLKKVDAQSNLTQGVLEGIVGEELGVLPGMDSICSVLSLQKLLNFFSTGRSSSQGEFDVVVYDCNSTEEILRLIGATERARSYLRYVRELAEKTDVGRLASPSLLKLIYDAARPNGRSSEGRMSAEIWKEIEQLLERVSLWFADPSKVACFLIMDPRGSISVSSALRYWGCTIQAGAQICGAFGYAEDPSEMHPEVAEKFLPLSFSALPFLPTDSSADWGRALNSLSQDTKDLLRNKSNQIYPSVSFDTVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFIDRNLVVSIR* >Brasy4G099600.3.p pacid=40084365 transcript=Brasy4G099600.3 locus=Brasy4G099600 ID=Brasy4G099600.3.v1.1 annot-version=v1.1 MDSICSVLSLQKLLNFFSTGRSSSQGEFDVVVYDCNSTEEILRLIGATERARSYLRYVRELAEKTDVGRLASPSLLKLIYDAARPNGRSSEGRMSAEIWKEIEQLLERVSLWFADPSKVACFLIMDPRGSISVSSALRYWGCTIQAGAQICGAFGYAEDPSEMHPEVAEKFLPLSFSALPFLPTDSSADWGRALNSLSQDTKDLLRNKSNQIYPSVSFDTVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFIDRNLVVSIR* >Brasy4G311100.1.p pacid=40084366 transcript=Brasy4G311100.1 locus=Brasy4G311100 ID=Brasy4G311100.1.v1.1 annot-version=v1.1 MEAADRGGGGRGRERRWKGKGASSAAEKQRPLPPPQQLASVLEDAPAAALLRPLKKPDCRLHRSVSSLSSAPASSGSSSVSDPISPPAVGSSLPYPSPGSTRHIFPFAYDPSPAAVPRLLQLLQYSSSLYQQQPLLPQQLQQQQQQTPLQNQQMISFGDAQHDAQQPPLFPPQLMAPEALRYWSEALNLSPRGVLGGLVPVPQSLFQHLLRAPVPAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRAGGSGRTSASAAASRSSSSSSSAPPTPDETRRQQAHQAMLRREQQQQQQQQEHGEEPSDIEPTPLFSAAEEQEGIPEAEQSPQLLHKAEHQCGEGSTAMAQAPVTSGGVWGPADEAWFSAWGPGSSVWDYDMDSAHGLFLQSRFAGEQTGMDYVPSAPEVHMAPAPGAASPSPLPPRPPPSHSPTFVWKD* >Brasy4G060400.1.p pacid=40084367 transcript=Brasy4G060400.1 locus=Brasy4G060400 ID=Brasy4G060400.1.v1.1 annot-version=v1.1 MGSPRLRHCSSPSSRHCAAVLLLSPSPAVGAAPPARRPPGQHRLPRSTELYGALPAAPSRKGANGQPVLPSPSRRPLVVNTSKVFVYTLYGLCLL* >Brasy4G087400.1.p pacid=40084368 transcript=Brasy4G087400.1 locus=Brasy4G087400 ID=Brasy4G087400.1.v1.1 annot-version=v1.1 MHPAGHGGGGGHDDFLDQMLSALPPAWAELASAKPPWELPSAAGGEEDDSTLLASRLRDHQISGGGNNELHLGAGAGGGFLPLPLFADRSRDDFVAAGMHGGAASAAVHTPFGQAGSMPAPPPPPAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSANKTDKASMLDEIIDYVKFLQVQVKVLSMSRLGGAAAVAPLVANMSSEDNGNGDGTSSSGWDGNAGNSDDNGGGSTLRATEEQVSRLMEEDMGAAMQYLQGKGLCLMPISLASLISSATSPSPLLPHRMGHAIAGGGGVLRADGSPASPPSLVNGSTGGDSQSNKDFGAGGR* >Brasy4G243600.1.p pacid=40084369 transcript=Brasy4G243600.1 locus=Brasy4G243600 ID=Brasy4G243600.1.v1.1 annot-version=v1.1 PAWINELSWNCRGLGNPETVRELHNLVKQEDPTLLFVMETKISGKRVEKLCRALGFQSCLGVDSVGLSGGIGLFWKSDTVRPQDDGT* >Brasy4G101700.1.p pacid=40084370 transcript=Brasy4G101700.1 locus=Brasy4G101700 ID=Brasy4G101700.1.v1.1 annot-version=v1.1 MQENKEVCSDMVSKEVGSDIASKEVKADMVESQATQKDLLCTLEGLSEIACSIGVVRKKSSQEEKDTPVSPKNDMEPLVHDNSCNGNTNDVGGEMDTGNTGEEDHAVALWVKQCRGKWQTGIRCCRVDCPLSTLKAKPTIDRKNYIVVFFPRTKTYLWVDMLLVLPIDENPVPLVNGNHGKWRKAVNDLSVPRRFIMQKLAISMLNFSDELHTEAIIENARRATAWKEFAREASCCKDYADLGKMLVKLEKMILPDYLSCHWLQNSSDLWGQKCNIAHDAETVEILAEELKQSVLWDKVDELWNAPMQPELVPEWKTWKQEVMKQFFSSHAVGNTEQSNNYDDPGMDHQARRKRPKLEVRRGETHFSHMDDAGCSTLNEDPNCNNLSSKPTTHENAEALESSDQNNTVSFLSNSVAHEIAESGSVNPAVQSARHEFDSSKNSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFVDPSSREDKALTSDTAVCSGMTNQGRQCKHRAQHGSIFCKKHRSQTNLDIMSSDNLFSSSEGLHKREESPNKGMEKSCNSNAISIVGSERASSSQVSVQVNLVPTVAAEISGDKTRGLENTDLFNPMSTSMEKANLDSYLCIGILSHDNIVECQDYAKRHTLYCEKHLPKFLKRARNGKSRLISKDVFISLLKGCTSRKEKICLHRACEFLYWFLRNNFSRQHSGLGSDYVPQIVAEVSKDPDVGEFLLRLISSEREKLTSLWGFGTNTSKQIYSNNQEGSMVVLQEERTNPSADLKCKMCVQEFSDDQDLALHWTEVHRKEARWLFRGYSCAVCMNPFTNRKFLEGHVQDRHGAQYLQYSILFRCMWCNSNFLNMDLLWQHIVSDHAHEFRLLNPPQRFNGQSIQSTEGTSVKPLYDDHNLGNDDGSQKLVCRLCGWRFDLLPDLGRHHQVAHMNQGTVGHIPPGRGKYQLNRGRHYYSAFRKNLRPSSSLKKRTSSGIVKHFKISSSDLSMITSQIVESETASLGKLLDFQCSNVAQALFSKIQKTRPHPSNHDILSVARSVCCKTSLLAALEVKYGTMPENIFVKAAKLCSDNGHKINWHQDEFLCPKGCKSGYNSNTLIPLQSARVEFPIVPSVTNPPDSDGMWGMEEYHYILDSEHFRWKLKNEKVVLCEDVSFGREKVPIVCVIDVDAKGSIHMKPEELLQHCNYVPWQSFNYITACLVDFSNVDSQNYMAGCSCSHGHCSPGKCDHVNLSDSVYENLLDINGIPMHGRFAYDENRKIILQEGFPVYECNSLCTCDASCQNKVLQQGLLVKLELFSTENKGWAVRAADPIPRGTFVCEYVGEVVKDDEAMRNTEREAKGECSYLLQINSHIDQERAKTLGTIPYMIDATRYGNVSRFINHSCSPNLNTRLVLVDQLAHVGLFANQDIAVGEKLSYDYRQKLLPGDGCPCYCGAQNCRGRIC* >Brasy4G198900.1.p pacid=40084371 transcript=Brasy4G198900.1 locus=Brasy4G198900 ID=Brasy4G198900.1.v1.1 annot-version=v1.1 MGGVCSAGIAGDRSPAELSFRAFSGFVVEQEFKAFSAAGKAQGKNKTAPVEETTGFSENGSLPSTSRSIPKESHLARASSEKHKAGGKPRQSTSGKASSEFFQVSDRASVFGRASTSAVQVLDTLSSSMSSLSPGGGGGFASGAAAKGNRVSILAFEVANTVVKGMSLMQSLSTENLKHLKETVLRSEAVQRLVSTDMGELTRIAAADKRQELGVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKRSILSERGDTIQIIRQELKSQRKYVHNLKKKSLWNKILEDVMEKLVDIVHYLHVEIRDAFGSCDGAVPLDGSSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRIRLQTCSEFQEVPITQTRSSMEKRLQWIVPVANNTTRAHHGFGWVGEWANTGNDLMRRAAVQPEALKIETLHHASMAKAEACILDLVVWLHRLISYNNGGCSPGAVGRSPSRSGRSPARSPSPPSKTALLTSEDREMLREVYLRRRQWRPPGKSKSQELSSSSSKRAADAALNRDDRLSKSSNHSIFPLARRPRAAAPAVVGFDIDGIEARGAGAVRGRAADGVKKQS* >Brasy4G198900.2.p pacid=40084372 transcript=Brasy4G198900.2 locus=Brasy4G198900 ID=Brasy4G198900.2.v1.1 annot-version=v1.1 MGGVCSAGIAGDRSPAELSFRAFSGFVVEQEFKAFSAAGKAQGKNKTAPVEETTGFSENGSLPSTSRSIPKESHLARASSEKHKAGGKPRQSTSGKVSDRASVFGRASTSAVQVLDTLSSSMSSLSPGGGGGFASGAAAKGNRVSILAFEVANTVVKGMSLMQSLSTENLKHLKETVLRSEAVQRLVSTDMGELTRIAAADKRQELGVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKRSILSERGDTIQIIRQELKSQRKYVHNLKKKSLWNKILEDVMEKLVDIVHYLHVEIRDAFGSCDGAVPLDGSSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRIRLQTCSEFQEVPITQTRSSMEKRLQWIVPVANNTTRAHHGFGWVGEWANTGNDLMRRAAVQPEALKIETLHHASMAKAEACILDLVVWLHRLISYNNGGCSPGAVGRSPSRSGRSPARSPSPPSKTALLTSEDREMLREVYLRRRQWRPPGKSKSQELSSSSSKRAADAALNRDDRLSKSSNHSIFPLARRPRAAAPAVVGFDIDGIEARGAGAVRGRAADGVKKQS* >Brasy4G198900.3.p pacid=40084373 transcript=Brasy4G198900.3 locus=Brasy4G198900 ID=Brasy4G198900.3.v1.1 annot-version=v1.1 MGGVCSAGIAGDRSPAELSFRAFSGFVVEQEFKAFSAAGKAQGKNKTAPVEETTGFSENGSLPSTSRSIPKESHLARASSEKHKAGGKPRQSTSGKVSDRASVFGRASTSAVQVLDTLSSSMSSLSPGGGGGFASGAAAKGNRVSILAFEVANTVVKGMSLMQSLSTENLKHLKETVLRSEAVQRLVSTDMGELTRIAAADKRQELGVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKRSILSERGDTIQIIRQELKSQRKYVHNLKKKSLWNKILEDVMEKLVDIVHYLHVEIRDAFGSCDGAVPLDGSSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRIRLQTCSEFQEVPITQTRSSMEKRLQWIVPVANNTTRAHHGFGWVGEWANTGNDLMRRAAVQPEALKIETLHHASMAKAEACILDLVVWLHRLISYNNGGCSPGAVGRSPSRSGRSPARSPSPPSKTALLTSEDREMLREVYLRRRQWRPPGKSKSQELSSSSSKRAADAALNRDDRLSKSSNHSIFPLARRPRAAAPAVVGFDIDGIEARGAGAVRGRAADGVKKQS* >Brasy4G198900.4.p pacid=40084374 transcript=Brasy4G198900.4 locus=Brasy4G198900 ID=Brasy4G198900.4.v1.1 annot-version=v1.1 MGGVCSAGIAGDRSPAELSFRAFSGFVVEQEFKAFSAAGKAQGKNKTAPVEETTGFSENGSLPSTSRSIPKESHLARASSEKHKAGGKPRQSTSGKVSDRASVFGRASTSAVQVLDTLSSSMSSLSPGGGGGFASGAAAKGNRVSILAFEVANTVVKGMSLMQSLSTENLKHLKETVLRSEAVQRLVSTDMGELTRIAAADKRQELGVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKRSILSERGDTIQIIRQELKSQRKYVHNLKKKSLWNKILEDVMEKLVDIVHYLHVEIRDAFGSCDGAVPLDGSSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRIRLQTCSEFQEVPITQTRSSMEKRLQWIVPVANNTTRAHHGFGWVGEWANTGNDLMRRAAVQPEALKIETLHHASMAKAEACILDLVVWLHRLISYNNGGCSPGAVGRSPSRSGRSPARSPSPPSKTALLTSEDREMLREVYLRRRQWRPPGKSKSQELSSSSSKRAADAALNRDDRLSKSSNHSIFPLARRPRAAAPAVVGFDIDGIEARGAGAVRGRAADGVKKQS* >Brasy4G198900.5.p pacid=40084375 transcript=Brasy4G198900.5 locus=Brasy4G198900 ID=Brasy4G198900.5.v1.1 annot-version=v1.1 MARSRPPADRSPRSRTWPVPRQRSTRPVGSPGKAPPARQVSDRASVFGRASTSAVQVLDTLSSSMSSLSPGGGGGFASGAAAKGNRVSILAFEVANTVVKGMSLMQSLSTENLKHLKETVLRSEAVQRLVSTDMGELTRIAAADKRQELGVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKRSILSERGDTIQIIRQELKSQRKYVHNLKKKSLWNKILEDVMEKLVDIVHYLHVEIRDAFGSCDGAVPLDGSSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQGLPPNVKSALRIRLQTCSEFQEVPITQTRSSMEKRLQWIVPVANNTTRAHHGFGWVGEWANTGNDLMRRAAVQPEALKIETLHHASMAKAEACILDLVVWLHRLISYNNGGCSPGAVGRSPSRSGRSPARSPSPPSKTALLTSEDREMLREVYLRRRQWRPPGKSKSQELSSSSSKRAADAALNRDDRLSKSSNHSIFPLARRPRAAAPAVVGFDIDGIEARGAGAVRGRAADGVKKQS* >Brasy4G186800.1.p pacid=40084376 transcript=Brasy4G186800.1 locus=Brasy4G186800 ID=Brasy4G186800.1.v1.1 annot-version=v1.1 MADDDYNEVDMGYEDEPAEPDIEEGVEEDPENNEDAPEDVVGGEGEEKEQGKTPRPRNTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG* >Brasy4G201500.1.p pacid=40084377 transcript=Brasy4G201500.1 locus=Brasy4G201500 ID=Brasy4G201500.1.v1.1 annot-version=v1.1 MRSERNTWDGGELNGEGSEHGGGRSSVGGWKQPGKRPHWSMEAWTVSRRAPPLLIGLLSAWRPRSPPGGCPRSRDKSRPLPPPQHEIQCGSGVRARWPRGRGSERGSGWGKGGERSFEGGPGCLFLAQTRIVEREEEAASSLVWAGRRGGGDQRTGGAATRRRGVGGEHAGGTGSEESRASTERDFFYRTGDREEGRGDGTYGHPDH* >Brasy4G437000.1.p pacid=40084378 transcript=Brasy4G437000.1 locus=Brasy4G437000 ID=Brasy4G437000.1.v1.1 annot-version=v1.1 MRGDTMHDRVLRAATGNEEEEEEEDGVEEIFLDCTKDAAAPLTDWPSWYCYDLSLHLLPSAALRILDLKGCNLIRGWRRWKALFFPCLEALRLRRCAANLEVLQGMVDAAPKLADLRIESCTLQGCADPARLRCPAAAISVVDTYLPSPHDNMRGCAVELHAPRVRCAKVVQTLSWNADAVLISFKPPPAAVERVHLELHHATAPLRCVDPRVFRRTKALKITVYSMADLNLNADDDLPPVFFPELERLEIEELTTCGSPASRAPAVASLLRRCPAVSELRLRSRWRDYLCQTVPEPAVRAAAMADFSPCRSVSREEDDEAECCKKTLHELPELNCSSCIPPLNSLRRVAMDFDAEELSCVHVRLLRFLAHNAVLLEEVAVAGGKGYDSSRIDRKVATWMMRSAGRSPSAMLEEEEEDNLQRVCRCSCCTRAARLSSSRPARSVPEWPPSLLEFPWLEHEWHYMEDDDDEDWIPARHLVHVDRKRKKVWGTPECFTVILPKANQKGGVAGDQEEKRRRRRSHSPLRVLDWGRRRRRKRTKLPLLRGGHRRCSSSRRSFLQL* >Brasy4G338500.1.p pacid=40084379 transcript=Brasy4G338500.1 locus=Brasy4G338500 ID=Brasy4G338500.1.v1.1 annot-version=v1.1 MNPGESLGLPRRSAMTAATMGSATPGGGDIAFGAVDLEDIARAAGADCISALACGRASFSYHRLPEPRLRLTIRKLDDSYFDVQIARSAAVWELKAAIEAVFIALFYDMDNAITWQHVWSHFCLCFKDEKLTDDRATLRAFGIKDGDELHFSQHLSVDYSPCKRSKSQRSASHRRSRTSVDDFGVRSRTLLDDLIEEDEAEKLTTTRHSTSALEEGFGVYQQHEECMAEGGKKGSFFSNWFSYSRLRGNRRTHSEDAAAHSSCEKKADRPKLGKWLSSKKSKAQRK* >Brasy4G386900.1.p pacid=40084380 transcript=Brasy4G386900.1 locus=Brasy4G386900 ID=Brasy4G386900.1.v1.1 annot-version=v1.1 MTTNGSTVRNHLGFPTTHPPPPSSDLIPSATMSGHHDATKPYQPRRGPERPPPPPQPAEGDADAGAGAEAEAETEPLAMEQYEPEPQLYEPQPLQYEPHPEHEEEEMEEGEDEEEEEGEGEGEHGHEYAYDYGTGEAVPMDADAAAHAQMQGAMLPVPANAEAGGGGPHAASNTLTLSFNGEVFVFESVSPDKVQAVLLLLGGRELAPGLGSGPSSSASYSKRMNYHPHRMASLMRFRQKRSERNFDKKIRYSVRKEVAHRMQRHKGQFTSAKAKAEDAASPVTTSDGLTNWGAVEGRPPSAAICKHCGKSSDMTPMMRKGPDGPRTLCNACGLSWANKGHMRDISKCSTAPLQVVPPTNSDAPNGTTEAAPVNEQQHLAIEAAPVAAPAANGHDS* >Brasy4G263600.1.p pacid=40084381 transcript=Brasy4G263600.1 locus=Brasy4G263600 ID=Brasy4G263600.1.v1.1 annot-version=v1.1 MAGAIRVTMEVGADGVALIIICNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAAGKFCGGFDINVFTKVHETGDVTLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGVIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGFVDALCSPDELIKLSRFWALEIANCRKPWIRSLGRTDRLGSLSEARAVLNMARQQAKKVALNMPQHQACLDVIEAGVVFGGHAGVVKEAKVFKELVLSTTSKALVHVFFAQRSTTKVPGVTDIQIKPRQIKKVAVIGGGLMGSGIATALLVGNISVVLKEVNPQFLQRGQNTIAGNLEGLVKRGSLTKDKMNKAISLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKICPSDCILATNTSTIDLNAVGKKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSQLLVSLGIDLFRIDRVISSFGMPLGPFQLQDVAGYGVALAVKDIYAAAFGTRNFDSDLVVLMAEDGRQGKSNGKGYYLYEKGGKPKPDPSVQHVIDEYRKRAKTMPGGKPVTLSDQDILEMVFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRGGLIFWADTVGASYIYSKLTKWAELYGDFFKPSLYLEDRAKSGRLLGAPLMSQQSSSRSRM* >Brasy4G082200.1.p pacid=40084382 transcript=Brasy4G082200.1 locus=Brasy4G082200 ID=Brasy4G082200.1.v1.1 annot-version=v1.1 MGKHFLPLLVAVQVATILLAATAAAKTAVNSTTEPTAYEMLGRYGFPVGLLPEGAQSYKLQQDGSFEVHLANECRFRVGGYGIHYSSRVAGNIQNGAINGLQGVKVKILLTWFSVKKVGRDGDGVRFHAGVIARTFPDSVFSSSPHCG* >Brasy4G162200.1.p pacid=40084383 transcript=Brasy4G162200.1 locus=Brasy4G162200 ID=Brasy4G162200.1.v1.1 annot-version=v1.1 MEQDSAAGGRKRRRRGGAANRRKTSSSSQEASPAAPHAAAPSSSEKRRRKAGEAAVAPKAKGRKTTSLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFKNEPELFDVYHAGYQEQMSRWPEQPVNVIINWLKSHNKSWTVADFGCGSAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVAIFCLSLMGTNFPSYLQEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFSEAISQLGFSLVSKDANNKMFVLFYFRKKEKSKAVDNIDWPQLKPCLYKRR* >Brasy4G162200.2.p pacid=40084384 transcript=Brasy4G162200.2 locus=Brasy4G162200 ID=Brasy4G162200.2.v1.1 annot-version=v1.1 MEQDSAAGGRKRRRRGGAANRRKTSSSSQEASPAAPHAAAPSSSEKRRRKAGEAAVAPKAKGRKTTSLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFKNEPELFDVYHAGYQEQMSRWPEQPVNVIINWLKSHNKSWTVADFGCGSAAVAKNVKNKTPLEPSSVDVAIFCLSLMGTNFPSYLQEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFSEAISQLGFSLVSKDANNKMFVLFYFRKKEKSKAVDNIDWPQLKPCLYKRR* >Brasy4G162200.3.p pacid=40084385 transcript=Brasy4G162200.3 locus=Brasy4G162200 ID=Brasy4G162200.3.v1.1 annot-version=v1.1 MEQDSAAGGRKRRRRGGAANRRKTSSSSQEASPAAPHAAAPSSSEKRRRKAGEAAVAPKAKGRKTTSLLDKYHAGYQEQMSRWPEQPVNVIINWLKSHNKSWTVADFGCGSAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVAIFCLSLMGTNFPSYLQEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFSEAISQLGFSLVSKDANNKMFVLFYFRKKEKSKAVDNIDWPQLKPCLYKRR* >Brasy4G273800.1.p pacid=40084386 transcript=Brasy4G273800.1 locus=Brasy4G273800 ID=Brasy4G273800.1.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G273800.4.p pacid=40084387 transcript=Brasy4G273800.4 locus=Brasy4G273800 ID=Brasy4G273800.4.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G273800.5.p pacid=40084388 transcript=Brasy4G273800.5 locus=Brasy4G273800 ID=Brasy4G273800.5.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G273800.2.p pacid=40084389 transcript=Brasy4G273800.2 locus=Brasy4G273800 ID=Brasy4G273800.2.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G273800.3.p pacid=40084390 transcript=Brasy4G273800.3 locus=Brasy4G273800 ID=Brasy4G273800.3.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G273800.6.p pacid=40084391 transcript=Brasy4G273800.6 locus=Brasy4G273800 ID=Brasy4G273800.6.v1.1 annot-version=v1.1 MKETSVRPSPALPHPATPMAAPPNSHPGAPPEPPFLQVPYELLQDIFLRLPTAADLTRASSACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAAARAFAGFDFSCSSFLPSTAGRRWSRLDFFEGRALVAGGPVKEGKIGIRVFAEHEGSDLQYGEFLVRDLAVCDLVHRRYVLLPAVPADLTTLIHKPDFLDMDTFLAPGEDDGDPLSFRVLCMAQHRKKLVLLIFSSGGQWRALSFDRSNDQALASLLQCKPCLSDRNYAHGRFYWRLWFPHKLLVLDVRVMEFSALDLPPEEQNSRFVVVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYLMGVAGGYLLLETPYTTSSQEQLNIGYFSVDIKTLQVELFARLSKPIFPGRLYAGFPPSLCAPTI* >Brasy4G159200.1.p pacid=40084392 transcript=Brasy4G159200.1 locus=Brasy4G159200 ID=Brasy4G159200.1.v1.1 annot-version=v1.1 MIKLRYSKRLFKRSSSRASACFGGGHGNVAAAAGGGGAGEEIEWEVRPGGMLVQKRDGGRGEEVIVVRVSTGFSWHDVSIGATCTFGELKVMLSMVTGLEPKEQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRAAATAAARRVVQSPCHPFIQV* >Brasy4G289200.1.p pacid=40084393 transcript=Brasy4G289200.1 locus=Brasy4G289200 ID=Brasy4G289200.1.v1.1 annot-version=v1.1 MAGKDVAASTRGLQPAKSVARRLWRVARAVLYMLRRGVLRPPSGRKLAVDLGLLLRRGKIAGGKVLASGLLGFHHSQQSQSGFSSSARSSSFSSCRSFDVHEPSARRSNTTTRRDREVEFSCSNTPFAAAADRRRHEACAGAGGFSSYDAAEIAKVFEILNLDEDDDALALAVASTPSPALLWRTSSSSSTPVAAAGEEQLQVDRKADEFIRRFYEQLRAQKSAAATPDSYGRSPRPVAAGIA* >Brasy4G332300.1.p pacid=40084394 transcript=Brasy4G332300.1 locus=Brasy4G332300 ID=Brasy4G332300.1.v1.1 annot-version=v1.1 MSSGPRRGVDSATKPREEGDDDDDDSATGRRRVTYSREFLLAVGGSEACRGDKLPAGFDASVLLLSLWCGRMLSLSDQLGVGPSEDANGKGARSSAAWNGFLARLHHAAATAMGPRQTIPPSARESGRLHLLHLIHPRRLDPRGDALLRASSGERAELPPDFGPLELGSFQTGARSIGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPGSISLGPAVPDGPLTPTDERELGKHMPKDNSVPPLREQRPTDHCTPQQAPTPGMASATSFGASATAKISVDASLAGVIIGRGGVNIKQVSRASGAKVCIRDHESDTNLKNVELQGTFDQIKNASTMVMELIGIGGSDPSLSKDPAPGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVRELRKLVVSPREQSGGW* >Brasy4G309800.1.p pacid=40084395 transcript=Brasy4G309800.1 locus=Brasy4G309800 ID=Brasy4G309800.1.v1.1 annot-version=v1.1 MPASPGGGSGGGAPINDVLTDDELRAVLARLGPETERDVFGLVCSRWLRIQSSERRRLRARAGPSMLRRLATRFSRISELDLSQSPSRSFYPGVIDDDLDVIAGGFRDLRVLALQNCKGITDVGMIKLGDGLPCLQSLDVSHCRKLSDKGLKVVALGCRNLRQLQITGCRLITDNLLNALSKGCLNLEELGAVGCNSITDAGISALADGCRNLRSLDISKCNKVGDPGICKIAEVSSSSLVSLRLLDCIKVGDKSIHSLAKFCCNLETLVIGGCRDVTDKSIQALALACCSSLRNLRMDWCVKITDASLISLLCNCKLLAAIDVGCCDQITDAAFQGMESNRFLSELRVLKTNNCVRLTVAGVSCVVESCKALEYLDVRSCPQITKENCEQAGLQFPAGCKVNFEGSLSESDPSVDRFF* >Brasy4G135200.1.p pacid=40084396 transcript=Brasy4G135200.1 locus=Brasy4G135200 ID=Brasy4G135200.1.v1.1 annot-version=v1.1 MVLPLLGSAPPSSSLPPCSSRRTCGPAARNNVRCSLEEKVPLRSNGLSKKFLSGLAASLVFISLPSQAIPADTFPQPSLCQVAVVAAIDKDAVPLKFGGPSDDGGSGMMMMKGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDEKSRAIQVETFCVHGSPDGYITGIRGRVQCLSEGDMASAETDLEREEMIRSKCFLRFPTLPFIPKLPYDVIATDYDNYAVVSGAKDASFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVSSDQLAQMMSMPGMNEALTNQFPDLKLKSSVAFDPFTSVSQTLKKLLELYFK* >Brasy4G159500.1.p pacid=40084397 transcript=Brasy4G159500.1 locus=Brasy4G159500 ID=Brasy4G159500.1.v1.1 annot-version=v1.1 MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSNQARATTKGNWMAQHNAGVMADLDFFIGDEALARSRSSSTYSLSYPIRSGQVENWDTMEKFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFIQQLMKERGEHIPPEESFDVARRAKEMYCYTCSDIVKEFNKHDREPSKYIKHLTGIKPKTGAPYTCDIGYERFLGPEIFFHPEIYNNEFTTPLQDVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRTSNARLGGDTKAQPVEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEECGASICRSNPVFKGMY* >Brasy4G159500.2.p pacid=40084398 transcript=Brasy4G159500.2 locus=Brasy4G159500 ID=Brasy4G159500.2.v1.1 annot-version=v1.1 MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSNQARATTKGNWMAQHNAGVMADLDFFIGDEALARSRSSSTYSLSYPIRSGQVENWDTMEKFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFIQQLMKERGEHIPPEESFDVARRAKEMYCYTCSDIVKEFNKHDREPSKYIKHLTGIKPKTGAPYTCDIGYERFLGPEIFFHPEIYNNEFTTPLQDVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRTSNARLGGDTKAQPVEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEECGASICRSNPVFKGMY* >Brasy4G159500.3.p pacid=40084399 transcript=Brasy4G159500.3 locus=Brasy4G159500 ID=Brasy4G159500.3.v1.1 annot-version=v1.1 MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSNQARATTKGNWMAQHNAGVMADLDFFIGDEALARSRSSSTYSLSYPIRSGQVENWDTMEKFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFIQQLMKERGEHIPPEESFDVARRAKEMYCYTCSDIVKEFNKHDREPSKYIKHLTGIKPKTGAPYTCDIGYERFLGPEIFFHPEIYNNEFTTPLQDVIDKCIQSSPIDTRRALYKISTEDYSGT* >Brasy4G060500.1.p pacid=40084400 transcript=Brasy4G060500.1 locus=Brasy4G060500 ID=Brasy4G060500.1.v1.1 annot-version=v1.1 MPAAAWRWIWRRPAALSAPGRVLQISGAFSSSRSPSAQAMTEAAAWRSPTPTPTWWPAGLGQARQFGTAKHRQPDKQHLYLVLDDNPNGFNIHKLDMDNDLDDAGCGSVESPLCLPDPPILQVGPPTIRWHTKFAAMGSHTIGICPSEGTLLFDTKKSTLAMSTVRPDVIITMDGYEAAIAVGNKLYVFESFSHNDRFDGPYFPGGFHCLAADLKGAEKDWTWKPLSCDSPFRWSWNKRPPEFPIIAGSIYAHIVHPRTGTIFLSAFGQPRPRTHIWGDGSWGTFAFASKGRGQWKRLRGDWLLPFNSPGHYDHVLGAWVGLYLGRPPVPNVFDSFLCACPVMSGWQQPQWIVGRQKLSWDDPRWMSTLDDVKLVRMGELGEYCLLERLLSQGEEDKKKYVIRLTTFVVKYGENGELTTMAHRTPRFYQAPSYHHRFDMQAFWM* >Brasy4G415700.1.p pacid=40084401 transcript=Brasy4G415700.1 locus=Brasy4G415700 ID=Brasy4G415700.1.v1.1 annot-version=v1.1 MEAEHGAYARVGLPGNPSDEYGGKAVSFAVAGLWATVRLRPSAELLIQPHPQHDLIAFPSLPALG* >Brasy4G252500.1.p pacid=40084402 transcript=Brasy4G252500.1 locus=Brasy4G252500 ID=Brasy4G252500.1.v1.1 annot-version=v1.1 MDYWKSKVLPKMKTVFAKSAGKKAAAAAELVKSFDDSKEGINGEFEKKKADLQPKVVEIYEGAAAAVKVLIKQRKVSGIKKNSAAVTKFMEDLASIDFPGAKQVSEGIAKVGPALLSGPLFATFDKVATLLPATEEAPKEAPAAAAAEKVADAEEKKEEAAEKKEEAASEEKTEEAQPPAAAAAEETAVAAAAEALPVAEESAAPVAEAPEAAAPIAEAEPAKAQEETPKA* >Brasy4G179000.1.p pacid=40084403 transcript=Brasy4G179000.1 locus=Brasy4G179000 ID=Brasy4G179000.1.v1.1 annot-version=v1.1 MKRGRSTGAMAPPPAAAAALEIPDGVLPLVRCPCCRVRSAVRLVSKSEKNPGRVFYKCPNHRNGNGGCNFFHWEDGEDSYVDYLSSIGVLIPCIDSSGEIEEEEEHKVEQKPLKNVEKKVEKVEKKPEMQQMLEKIEDLIGLCKMTLCVFVVLVALMVYVVAQK* >Brasy4G053000.1.p pacid=40084404 transcript=Brasy4G053000.1 locus=Brasy4G053000 ID=Brasy4G053000.1.v1.1 annot-version=v1.1 MGGRAVALEAGRWDWRRREAMRGLGGGRRTGGGARSGGGGLEADVDWAGDGAARISRCQPQPASAPPGSHAAAAAAGPHIGTSLAVPLPLHPAPSSASSSGSSLSSIGARASQVRCGAPGLRGRRR* >Brasy4G109800.1.p pacid=40084405 transcript=Brasy4G109800.1 locus=Brasy4G109800 ID=Brasy4G109800.1.v1.1 annot-version=v1.1 MLVSGAISAVTVAPKFPDPIADKNIGTEDVTTTTTTATTCKATTHAPTVHSTPLPASTRPLLLLLLRRRAAAAADGEGGGAGRGGAALVDEMKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPVSKNPMYTYRERIVLGETECTIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCDRLGVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLTSKNQASSESSGDQNRGGPTFQGTWFKNVVSAGVKPSSSGSTPSQEVDDVSPLQHQKSAEQSTRL* >Brasy4G277800.1.p pacid=40084406 transcript=Brasy4G277800.1 locus=Brasy4G277800 ID=Brasy4G277800.1.v1.1 annot-version=v1.1 MVGRRSRMTITQPELTEGDPEDTEELRKYCDQASSATQKGKCFHRIGKIYTSDACPSDGSNIRHAGSLTHLRVGAKGNGRFHCYK* >Brasy4G268100.1.p pacid=40084407 transcript=Brasy4G268100.1 locus=Brasy4G268100 ID=Brasy4G268100.1.v1.1 annot-version=v1.1 MDAVEIPLPAKVDFGKILISSAEGVDGGGVGGGGGAGGEVLRRCADADRRNGDLKQHIDNAESSSCYKNKRTSLEVSMQKPVAGGIKSENNGKRDYIGTGTVQSLHKQDSKMLTKKTIKLLDGPPCPKRPKLEPAQITRDAEAKGQDLLSQKTLHESMQCAPSEKSRLLKQKRSSDAKRIDKKNARSGARSKYDCFTSKSGLGNYDSSFLGNSMLGAHGLKSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLHTKDELLASVRKAFSMLSDVDRNQYHGKDANLTTSPSLHSASTSSCDIKDHCSDKPSSLIKDPSQTEVCNTMLHCPKDMLSRLTLPQGHDLDSLLPVGSESSAAMPSITIHGASLPPFPWSHSQAGGYRPSVDSGKHGSSRSNSHWQWMRVGSYPTALDYEDSPVHKIDDLLQEMDTVKSSITDSCDRISNLCCMEPTSGSLGHIYSRKIGSEHGPQHLNPLDYRGSSDSFQKHDSERSLLKTPQASPKIWRAAETLCDMRRSTEKWSTQGYINGTIKWPKSPPEKVKARKPSSPFGTAESSSGSRNSDATRTGNGHSTKKLVDRKNDSARISNPGKGPIRWPVPIEDAASPVRPEKVPTLEVRQTHGNAARHPIQVSSQVRLEKEYENEQKRRKATLASSLGSADWNRERNRRL* >Brasy4G207700.1.p pacid=40084408 transcript=Brasy4G207700.1 locus=Brasy4G207700 ID=Brasy4G207700.1.v1.1 annot-version=v1.1 MEQYTPAADERNAAAADSAAPEQQQEEYCAGPTSSCGADAAAGRELAAEKQTKGGGRASAAGEESARERLKRHRTEMAGRVRIPDMWGQERLLKDWVDCAVFDRPLAATRGLLTARDALVAERAAQRPTTTARPLRVHDGCS* >Brasy4G019700.1.p pacid=40084409 transcript=Brasy4G019700.1 locus=Brasy4G019700 ID=Brasy4G019700.1.v1.1 annot-version=v1.1 MATMSLAAASPLTSAPRGVAVSTPRTAFCGLRAGGASAARFSGLAAAPLASGRGEAAVVRMAKREQELEEIRAMETEKLEEEVVDLKGELFLLRLKRSARQEFKSSEFGRMRKRIARLLTVRREREIEQGINKRMSRKLDRKWKLGIVVGPPPSLREKKED* >Brasy4G064800.1.p pacid=40084410 transcript=Brasy4G064800.1 locus=Brasy4G064800 ID=Brasy4G064800.1.v1.1 annot-version=v1.1 MGGMSNPYLQLPPVDGRRDHPGVRSDAGRRRGRISPPWPSSLGEANGAGRGLLTPPPEIRPIRAPATAGRVQDAPKRRGAGGKSLKKLSPWSDAAQPASPSRPHSAAGLPIPPRSAAGLPIPPRSAAGLPSSAAEPPASPSRPQRRRPPHPDPQRRRPPHLAPPRLRPPHPAPQRRRPPHPDHNRGLPHPPPTKSTSPEATTQQGREGRGRKTNLGVVGFGIPDPAC* >Brasy4G158500.1.p pacid=40084411 transcript=Brasy4G158500.1 locus=Brasy4G158500 ID=Brasy4G158500.1.v1.1 annot-version=v1.1 MEVVGGVSLRPSPAPASARLRRHLTPGDAGAGFPRPRRLHAARLVVEARGGRGWSDRQSQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLATVIYKDEDDIIDTAKEQFRVLKSENEFRYGYKVVEKGNLRSALATSNVIELPKKEELKTVVDKVKDFFGEVTSGAKESFAQITGSAGDEAGAAAQEEEEKPRFKRRNKKKGKQQKSKQGFKPES* >Brasy4G158500.3.p pacid=40084412 transcript=Brasy4G158500.3 locus=Brasy4G158500 ID=Brasy4G158500.3.v1.1 annot-version=v1.1 MEVVGGVSLRPSPAPASARLRRHLTPGDAGAGFPRPRRLHAARLVVEARGGRGWSDRQSQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLATVIYKDEDDIIDTAKEQFRVLKSENEFRYGYKVVEKGNLRSALATSNVIELPKKEELKTVVDKVKDFFGEVTSGAKESFAQITGSAGDEAGAAAQEEEEKPRFKRRNKKKGKQQKSKQGFKPES* >Brasy4G158500.2.p pacid=40084413 transcript=Brasy4G158500.2 locus=Brasy4G158500 ID=Brasy4G158500.2.v1.1 annot-version=v1.1 MEVVGGVSLRPSPAPASARLRRHLTPGDAGAGFPRPRRLHAARLVVEARGGRGWSDRQSQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLATVIYKDEDDIIDTAKEQFRVLKSENEFRYGYKVVEKGNLRSALATSNVIEVRFSC* >Brasy4G186200.1.p pacid=40084414 transcript=Brasy4G186200.1 locus=Brasy4G186200 ID=Brasy4G186200.1.v1.1 annot-version=v1.1 MVSLLELYNVWEIQLIVILSFILQVFLFFTGSLRQGSTNGLLRGTIWLAYLGADMVAVFALGYLSRHQDNGTMETHPLVFFWTPFLLIHLGGQDTITAFSMEDNKLWLRHLLNLVLEVSLALYVFWKSTSLRNNVQLLVPALLLFIAGIIKYGERTMALMYGSQNDNRKPGFKLNDQERILQWVKSDKYHELVYYALVSDQSIQYEYFQRRTVGYKIGEKPSNLDPAGLNDSGLVAKLLDVQLSLLYNDIYTKATLLRTRSGILIRFISQLSTVVALVIFAVMGKKQAASRLYGKADTVITYILFIGGILLEICAVFTVLMASPWTWLWLEDRRYCRLAWISWSLARLPVTRPLWSGKIGQYSCVNYMGIKDESATLSQKVMSLTRKTATAVGVKDVRKKLFWVSKRLDCRYETVDDKLMECVVREIRTIISEYDEDDDDQQPRQWPHMRPFLQKLGSTFVTAFLSAVCQLHIVTEVILASTSADHMKSYSANAIAAAQMCKKLSYYMMYLVTAHPDAASLLQVTSTISLELALDMHLTATTTTSTRKNSKDEILRATGDNLKSNYGNYDCFPWSMEQREEVIKELAGIWVRLLIYAAGKSRTEVHAAQLARGGELLTFVWLLMAQHDLGDITYNRVELNGRPDDPGTNLRVLYAFHV* >Brasy4G233000.1.p pacid=40084415 transcript=Brasy4G233000.1 locus=Brasy4G233000 ID=Brasy4G233000.1.v1.1 annot-version=v1.1 MNLNVADRLIRANLDWRRGLFWEVFTLAAWAIWKVILLSDLQLLAHRSSKETFIVKLNQLLQGLAL* >Brasy4G152400.1.p pacid=40084416 transcript=Brasy4G152400.1 locus=Brasy4G152400 ID=Brasy4G152400.1.v1.1 annot-version=v1.1 MASRAIVRRRKHLLDHVNTPILSSFSTFQHGTSGFEVKPRTAQQFLGQHAGDSKSEENEQCSVNLTKGGLPGLSNVFPQRPAHVISLYGHGIGRNEFALPLGARGLLHSVRRASTATAGQPKLDIEEEQSEDQKHNRKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKASQSIVQKFWARLLGVGPALRAVASMSRADWAVKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEETLKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDDFLNKVRKGERVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLRDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAMPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQKKEEKVKLKEPEAAEEDLALKEMTEPTAREEEELRKGKEHDKEHLCNISRALAVLSSASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKRAYMAAREESEDADDVTAKEEVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISKLSKDKEGKIRVEDIVKLASQTDENNEEQEEESRQ* >Brasy4G314200.1.p pacid=40084417 transcript=Brasy4G314200.1 locus=Brasy4G314200 ID=Brasy4G314200.1.v1.1 annot-version=v1.1 MAAWWCFALLLVLCAAAGADASKGKWEPVIRMPGEKEPATASGVEGPEEEEGDGVGTRWAVLIAGSSGYGNYRHQADICHAYQVLRKGGLKEENIVVFMYDDIANSALNPRPGVIINHPQGEDVYAGVPKDYTGDEVTAKNLYAVLLGNKTAVTGGSKKVVDSQPKDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPADLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSENHNLKEETIKKQYEVVKRRTSDLNSYGAGSHVMEYGDKTFKDEKLYLYQGFNPANANITNKLFWQAPRAAINQRDADLLFLWRRYEMLHEKSKEKVKVLREISETVMHRKHLDNSVDFIGQLLFGFENGPSVLQAVRPSGKPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGIPGTKMKEASISACGSRNIARWNPLIQGYSA* >Brasy4G052900.1.p pacid=40084418 transcript=Brasy4G052900.1 locus=Brasy4G052900 ID=Brasy4G052900.1.v1.1 annot-version=v1.1 MTNFSPIHLIPCNELWLSIGQIGKYPHLPYVALGGCQGASSFAPASANLLALFLPAVVHSTGTSGIMQRNSSVHYAEKFNSSSQWSFQEKVDKLF* >Brasy4G148000.1.p pacid=40084419 transcript=Brasy4G148000.1 locus=Brasy4G148000 ID=Brasy4G148000.1.v1.1 annot-version=v1.1 MRKGGGEVLLPVSAEAGKGGGGGGAGDEPALVKGSAMTRRGALAALSYMCCSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSEPSVPSEALFFVPFRILLRTSPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVAFTMIMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKRAIEFPYLYSPGFQVVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGFGWVLFGGLPFDLLNVIGQGLGFVGSGMYAYCKIKGK* >Brasy4G178600.1.p pacid=40084420 transcript=Brasy4G178600.1 locus=Brasy4G178600 ID=Brasy4G178600.1.v1.1 annot-version=v1.1 MRVKVVLGRLAPRGGDPKLSKHKTLALLGPRLIGPPDVLLPRPRLRSPFLPKYRENSVTIQKAKARVLPCSPPMKGGRLHRPEPLGPQITVAASASASAPTPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYLRTVQSSFSCHNCKYKRAPSSADETEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGVPGGSDTALFRGAPSSPAFSAALWRCAGYVPKKFGFRYCEFPSWDEDKDGADALFALAREKRKDTVEVRFPLPLGAVRRKESKTTQILNKKADGAEEVPPQTDSKKRGASFITAEVDTWENGFQQKGDPAAADFIRKNQRADVNMAISDFQAVVEANKKMKESLKPIGEKKSPEGISGMLKTDESKESMRLEFSSGGRTTSAVAEQEVHSRFVKVEVSMCKQQVEQNQNFGSQSGIMNTVEKMDVGTQSSNGEKRIHASSEKMHEGQGLQKQLNQASSNLQVATGGLDLQIGQSKSRNIKSEVPFQDHEKNATHLADDNHISDKQGQRVSEGVQRNSARSIPDSGSDLPNYETKNQMHTVPNQNPVLGVQKVCTASSGSTSTHFELSRSVVSTEPSSGGKTGRLMKKEQTRLFAPAESKHDSVKHSVESSEEFSRSSEKVQPKGALPSAPKSSQSSRLYVSSAKHRVPVSKEQSQKTITTGGTSGRSFHGEVTPLNSRNKALPSNFSQKKEKIHHRFVHTTQEGSTNSTSTELQASDATASLSDEQLALLLHQQLNSSPRVPRVLRGHQAGSMQVLHPAGATVFSKRSSTHGGRDQTTVLKKRNKDDGALRDNDDAKRSGKVSLVERRQRDYSTERVPSLKDSCRLADIIESKEQNHGVCSNEGTTGLPKGDLMDSSISHNLPGLIDEIISRNRNITYEELCDAVGQHWRGSIKSNREDYAHSSYLHAVNDCLRNNSEWAHLVSQSPKMNPSKRRKVECDSSLADVLETEMRNRAERYPGEEGSSDSQGAPRGKQKAWKRSDPEMKGLNEKDTKKGSNIDSSSEDAAATLSGSSSDTNDTPMDDESEEDYSAGGNMEPKTADSSS* >Brasy4G273400.1.p pacid=40084421 transcript=Brasy4G273400.1 locus=Brasy4G273400 ID=Brasy4G273400.1.v1.1 annot-version=v1.1 MASQQQSRKEATSKHEQQEGRGQAAASLGEIGKFRAEAQQNSADAVRAAQERYSKANQAPHGQQGAKGTPGAAVTVTQAPGATVIAVQETSPAAAAQHGHGTRAAAAAGQHQHAPAGATMASSRGAAAGGGGGEKQHATKQDESRRQGHEEIARRHGEEKKDYSSSRGADQKQQHATKQESHQQQHHQGRGHGGGEENKTAPGGQGGHDKAGNDTTSGRGAKDALGSAGDYAAAKGAGAKDTAAHGAQATADTTKEATATAADYAKQAAAKAKEVTLTAGETAAEYAKTAAEKAKEAALAAGKTTAGYTQQAAVKGKDVTVSTGQTAAEYTKTAAEKAKEAALAAGKTTAGYTQQAAVKAKDVTLSTGAQVAQKTKEVTADTAQKVSEYAREKAEQGRAAAAQAEEKAQEAAARAAADQAEEPKGYDDRNNAQGGMKGSAAQTADETKDAAGDTANKAGGMASQAVDKAKDATGAVAQKASDTAAYIRDSAKEATGGVVQKSKEVASQTQQKAGEAKNRVAESGKNTTGGGGTMAKAKGEAAGEDDTTIVGDVLEAVGATVYGIAQHTKGIVAGEEELIPGQGEAAEKVVGGAKEGREYKSE* >Brasy4G315900.1.p pacid=40084422 transcript=Brasy4G315900.1 locus=Brasy4G315900 ID=Brasy4G315900.1.v1.1 annot-version=v1.1 MESDCQFLLAPPMCFAAADDDGQFPQQQLMGGGSAGERKRRFTDEQVRSLESTFHSRRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDFADLRAHYDDLRARVESLKQEKLTLAAQLEELKEKLSERQDQGASSDGAEHEVEHDKIRKNNVSCVVEEAAEGAAPVVDVVSDESGVGWYEYERLAYGGGAAALTEPFCATPELWETTWPLVEWNAVA* >Brasy4G428200.1.p pacid=40084423 transcript=Brasy4G428200.1 locus=Brasy4G428200 ID=Brasy4G428200.1.v1.1 annot-version=v1.1 MGKLVLGVMLVVLGVVLVLELQMAQVQGDSVCCCDQDFQLGCVAALVQNQPAGCMDRGEGRDCYIHTCYCDVYKRRCPWLCASVSDQLLLGGQERRDDVRVVDLRRCESECVSSVCSNINPRRMGAGHEEGEKVAMERCNNECHRRCAKELEKDSNVEAAHIAQAVA* >Brasy4G156700.1.p pacid=40084424 transcript=Brasy4G156700.1 locus=Brasy4G156700 ID=Brasy4G156700.1.v1.1 annot-version=v1.1 MSRDPLVVGNVVGDILDPFIKSASLKILYNNRELTNGSDLKPSQVVNEPRIEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEIVSYESPKPTAGIHRFVFVIFRQSVRQTIDAPGWRPNFNSRDFSALYNLGPPVASVFFNCQRETGCGGRRYIR* >Brasy4G288000.1.p pacid=40084425 transcript=Brasy4G288000.1 locus=Brasy4G288000 ID=Brasy4G288000.1.v1.1 annot-version=v1.1 MIAEGVFAVMEEVPAGYPPALFVHMPKDSERASLVVASISKLKSKHVDVREIQCDAFAVSAEFLAARIPALTRAVADGLVDVLRQKSFLDEKGFLKNDGRRTPWKEAAEEAEALPKRFGFDRHVTEELNLAYAYHEFTSLKDEEIFEWFESHMDHKI* >Brasy4G167400.1.p pacid=40084426 transcript=Brasy4G167400.1 locus=Brasy4G167400 ID=Brasy4G167400.1.v1.1 annot-version=v1.1 MAQKIVIKVQMTCDKCRSKVMALVAPFAGVNSVSLAGDDKDQVVVVGDGVDPVNLTNALRKKVGPAELVHFGDAKKEEPPEKKKNTQGTTVVEYTPYPWQHYHQYPSLPAPVCECLAYGVPTPAPYCRLIGMLKFL* >Brasy4G351300.1.p pacid=40084427 transcript=Brasy4G351300.1 locus=Brasy4G351300 ID=Brasy4G351300.1.v1.1 annot-version=v1.1 MKKCASELELEAFIRGRGAAAAAVAEQKPGHAAAAGTHAPFGVFSAADLAGFGFADSNTLNGGIHNHLWSQSPNLGARLPAVSTTIDSQSSIYAAASPTSATNLSMKENQGFGGTSGSDSDSESMFDMEGGLCDQSTNPTDVKRMRRMVSNRESARRSRKRKQAHLVELETQVDQLRGDNESIFKQLTDANQQFTTAVTDNRILKSDVEALRAKVKLAEKMVAQGALSCGLGHLGLSPAALVPDGLAGLDFLPGGGADDACFASLSPAEQVQGSPMQSMASLESLDHGNGRMPGGGDVWGWDSHSNGAMSK* >Brasy4G053700.1.p pacid=40084428 transcript=Brasy4G053700.1 locus=Brasy4G053700 ID=Brasy4G053700.1.v1.1 annot-version=v1.1 MGRGNLDDRLSNLPDDILVNILNRLNVPDVARTSVLSRRWSQLPAMLSRLTISAQDFLPKGTSMSDGELVRINAAVVEATKSILARRDPCVHTIHLLSTTFYLRDDTPTSIGQTVGDAMSTHKIEKAEFTILTEKERLKCTVDDMVYYGTQFVSFFNDCVNAFGGLTRLYMENLRFGEVDIIQNILTTCRQLKYLGFLNCDTESSDMVQVEHAQLSELSIVNCRFEKVNLTSVPKLTRITFEYWMAFYEPPLSFGYVPLLEVANLANVALSWHKMVKLSTFLSETSVRDLKLGFKCEKIWVQPECLTKRLASVFHQLRIVTLVHIPEGCDLTWTMFILEAAPSVEELNMTVIDHPCEMEMDEERRRARLFSKNKGVEWVSTSGFKHHRLAKLVIFCFHAEDYMVSYVRSVMEAAVNLEDVFLYNRLICDKCQGVKPRKPTRFPRTKRQRCSVKKRITQGIESFAIIHFPIAAEIRADHCARML* >Brasy4G429800.1.p pacid=40084429 transcript=Brasy4G429800.1 locus=Brasy4G429800 ID=Brasy4G429800.1.v1.1 annot-version=v1.1 MMSKKPAPLLVILAILVITTLEMVPEVAAGKLVCNAELLQCEGTCHKSDKCMRCCRHYGYSHGQCSMKHGQGCYCCATIPGAGEQQQRMFRAIPASPPPPARHPPLHA* >Brasy4G439800.1.p pacid=40084430 transcript=Brasy4G439800.1 locus=Brasy4G439800 ID=Brasy4G439800.1.v1.1 annot-version=v1.1 MESVPEELLRDILLRLPTRDVASVCKPWRPLLPDAPRHVVSGSGGSETLMVTEIRAPGQSLDAIILNVSSSKPMPLRRVNDLAAAYSPTNSCNGFLCLASGVKNWPVFVRNPAIPGGGDRIRVPPPPKIKSASKIHRRVFAMGFSPRTGQYKLFHLSFPFFPDDDESPDLNHLDACTLGPGSGDGGWWRRHPRKFPYCLGFGPAAAGAGGRRAHEARRRRAPDRLLAIDVATEEHRTRRLPEYEAEFAAVHAFDLRGRLCVAAHALDCRLLSFWLDADDGCGWELLDTLYIGTDQAEEQKQYDEQLTGAWFDGMLCYRLGDRLYKYDIDGNKKKQRQWVVMEDGSKVLVSAWDEGIRLPETPWTKEDQRWNVYAGYRPSLLSPRLAFQDESVRFLLGQEQRRQEQFEHDLLHAMRPQKPSSAKNNNKRRRSADRAGGRRRAAKRMIAACCQEVDDSLD* >Brasy4G245400.1.p pacid=40084431 transcript=Brasy4G245400.1 locus=Brasy4G245400 ID=Brasy4G245400.1.v1.1 annot-version=v1.1 MPPPPCLTLCRLPAANLGLPWSPPSLPITRISLAARRATAVAARASSSSSSSSSSTDSSFGSRMEDSVKKTLADNPVVIYSKSWCSYSMEVKGLFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLYRKGELATMLSELGIEVNNS* >Brasy4G416100.1.p pacid=40084432 transcript=Brasy4G416100.1 locus=Brasy4G416100 ID=Brasy4G416100.1.v1.1 annot-version=v1.1 MAGEAAAALLGAPPPPVHEQAARGAAWVDEETRALIAPDAGSLPETPASAVEANFARYFAADYLNPGHDQYVYRHPNGLCVVGLAPGHVALKEEGGITAVDFNVGKTDRSEIKVTGKRKRNAQHLQENSALCKVCTNDKSFVVRCCVKGSLLEINDRLIKQPNLLNTSSDREGYIAIFMPKPADWLKIKDKFLSSEDYKSLRGIC* >Brasy4G042100.1.p pacid=40084433 transcript=Brasy4G042100.1 locus=Brasy4G042100 ID=Brasy4G042100.1.v1.1 annot-version=v1.1 MGNSIGAKRKGAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLESEEVKLLGARARPLANDAPLRRGKLYFLVALPRRPSGGGRAGPPRRAWSGNLRVGARERLESLMLTRRSTSDLSSFQGGASASAPTSPLPGGIGGGGGTPVRLKMRLPKAQVEKLMGESRDAAEAAAKIMELCAAVGDGGARLTPERPPGILRSPRFAKTPQWGSGFMLPSPASGAPKTPQRWPTLPRTKEEKRARFVALPDELIA* >Brasy4G075100.1.p pacid=40084434 transcript=Brasy4G075100.1 locus=Brasy4G075100 ID=Brasy4G075100.1.v1.1 annot-version=v1.1 MPLGLVSLFQALQRVQDGGSRRFLSVAAAIGAEAPPEAAPPAGDESGRQDVHLRAVKAPRVDRRRWGHSQRYRYLRHQEEHGHPWAKHQFHKMAPCPDGRGDKVYAMSRSPDWVREPDFPPWFEVLDLSQAKVVTAAGRSYLEGCSWIELPNPPCIPWALTPIDYALKLPTVRIVSSVVVANYILVSFSQQQWGTYALDTRANEQEWHKVDDRGLPFFGCAAQHNGSIFLGLSQMDGPISAYRIHVAPSGKGNALRLSIAVLPVKYMGQEVHTGPCSSPLDNKCFCSVSLSIDRNSFTLNPDSRELFARKVHVNLTTYQIADPSLVVNPQEDLLDLKPEIAVSSQWEQTLKIANSKHGFSPFGFAVLSI* >Brasy4G031800.1.p pacid=40084435 transcript=Brasy4G031800.1 locus=Brasy4G031800 ID=Brasy4G031800.1.v1.1 annot-version=v1.1 MAHSRCNLLFAAAAALSLLFFFSSSSEAGTVGVNWGRVANDLPSPASVVSLLKQHGITQVKLYDTEPAVLRALANTGVKVIVALPNEQVSAAARRPSYALAWVRRNVASYYPATQIQGVAVGNEVFATAGNVTSQLVPAMANVHAALQRLNLDKAVKVSSPIALTALASSYPPSAGVFREEVAQTVMKPMLDFLAQTGSYLMVNAYPFFAYAENAGVISLDYALFRPNAGELDAGSGLKYYSLLDAQLDAVYAAVGKLGNNAYNGVRLVVSETGWPSKGDAKETGAAASNAAAYNGNLVRRVLSGNAGTPRRGDADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGGGVGAGKGNNGGGGGLGWQDDGRNGNGVPSGVVAGGGVKVGAPGEAWCVANAMAGEARLHAALDYACGPGGANCKAIQPGAACFEPNTMVSHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPKMGKCELPSTV* >Brasy4G043400.1.p pacid=40084436 transcript=Brasy4G043400.1 locus=Brasy4G043400 ID=Brasy4G043400.1.v1.1 annot-version=v1.1 MGLAASSFRRRPEKLPDSVLASVFRRYDANGDGQISAAEMRELCGCTDAEAEEMVAAADADGDGLVSIEELGALMVELEDGGESSDALQEAFAEYDEDGDGVITAEELGRALRRVLVGQGEGEEELTAEQCSRMVAAVDADGDGVISFDEFKAMMAA* >Brasy4G270300.1.p pacid=40084437 transcript=Brasy4G270300.1 locus=Brasy4G270300 ID=Brasy4G270300.1.v1.1 annot-version=v1.1 MAMGEDGSLCKLFGDDDCEFRHVSGDLFSILDTWEKCMNGTGDGGGGAPGSAAALSPSSVVVIDGAAENNAVGAPRPKLGSRRREAADEEKGGADPVRKKHKGSTVAMAPAVVDDVSSGGAAKMSSHITVERNRRKQMNENLAVLRTLMPCFYVKRGDQASVIGGVVDYIKELQQVLHSLEAKKHRKVYAEAHAPSPRSSPRPAPLSPRPLLKPPISPRPAVPISPPTPTPGSPYKPIQRLPHYISPAMTPTTSSSSTSHAPSYDIISPRPYLPTLDSIVTELAAQAAARAPSLLGGSGGGGPGLNLLGLLPDVKVEFTGPNLVLKTMSHRARPGQVLRIIAALESLSLEILHVSISTVDDTMVHSFTIKIGIECELSAEELVQEIRQTFL* >Brasy4G432400.1.p pacid=40084438 transcript=Brasy4G432400.1 locus=Brasy4G432400 ID=Brasy4G432400.1.v1.1 annot-version=v1.1 MLLSIGFSMNLQRTLEEAAIERMSSTLMAGMGLGHLPSFKPWPNASQSPREERDLQELWSYLVRHEAAQVSCNKHGHDIIDPAFAAECVLYMLKHCSIGSHAVDYDWAIHASNYWVCEGIIALTNIDKAWQVGDFLQHGLQLLDVNNQHSNDESILAPSFHLARSAEHMPYWISTTTCGFVLRPSGAVPDSMFQDSHRIGVLKLSSCTFSFSSPPFLCCHSLRFLWLDRCQDLLTRSSTTDHHQIHANGEKELGNSNTTSWECFHSLWVLDLRYTDWDQILSAQVMDLMTQVRELNVMGAKNWDMSHLRGRLRNIRKLRVRKSTCFFNNNVFSEMESLELLDFSGNTISQGMTSLSGAASNVRLETAIIDGYDGLKVISFRGCKELSNLFFKGLFGSLEELDLSGTKIKTINLRELEVGKLPRRIILLGCEKLCAILWPDMVEELGWRGLLRIDTTSTSTSANGGEAPHLHPAGEQGKFERGWQISLADTRLLRSLSPVASYLKKGSVHIDISSAAAVGGSNIQGTSSDKLVQVQPHTSTIMDSKYRDVLKHDPVAATMMWDCPEIYAWSAENKCIIKVVMHLGDTPTASTSALLLPDLICNLATSLHVYNNSSITSIPVAPHRSSGWKRLGWCRVERCPKLHTVFTIPQGSSVYCFYRLETFWASQLLTACYIWDWTIFLTYDNFYYLKFLHLDYCPRLIHVLPIHATSLSVLETLDIVYCSDLREVFPLGPQLQEQDTTIYFFNLRRIHLHELPTLQHICGRRMFAPSLETIKIRGCWSLRRLPAIGRDTKPPKVDCEKEWWDNLEWDGVEKYHHPSLYRPSHSKYYKAKLPRGSLLS* >Brasy4G395600.1.p pacid=40084439 transcript=Brasy4G395600.1 locus=Brasy4G395600 ID=Brasy4G395600.1.v1.1 annot-version=v1.1 MAAPAIYPFVNRALVSSSVMAMAARRLLLFSSSSHLRPLAAAPHRRKHDAVSCKSTGKTRTGTSTKPKDSGAGKWRPQRRALEEHLKRRTRSAGAFDADLYRRHSHSHHVPVLLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELHIGMDVDPSALEIGQRHVEAFLASRATDGGGGGDSLQGVLRAYTHVKNFKYIKHVLGGVDESLLAESSGVDGILIDLGMSSMQVNRSDRGFSVLHDGPLDMRMDPKATLTAEDILNSWPELEVGRILRDYGEESNWQSLQRRIVKARQAGGLHSTGELVKLIQRSCTISGGRQGWIKTATRVFQALRIAVNDELRILEDALHSCFDCLATDGRLAVISFHSLEDRIVKQTFLELIHGDGADDGDEDLVCTDIDDEDEPWFKQRVQGMNGIILTKRPITPSQEEEKLNQRCRSAKLRVIQKA* >Brasy4G108000.1.p pacid=40084440 transcript=Brasy4G108000.1 locus=Brasy4G108000 ID=Brasy4G108000.1.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESRFRSVAYLQQIWWLEVSGELDFCFPAGSYSLFFRLHLGRAHRRMGRRVCGTELIHGWDIRPTRFHLSTSDEQQATSEYYLDGAGSWILYHVGDFVISNSDELISLKFSMMQIDCTHTKGGLCVDSVVIYPKGYRREKANTVYM* >Brasy4G108000.4.p pacid=40084441 transcript=Brasy4G108000.4 locus=Brasy4G108000 ID=Brasy4G108000.4.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESRFRSVAYLQQIWWLEVSGELDFCFPAGSYSLFFRLHLGRAHRRMGRRVCGTELIHGWDIRPTRFHLSTSDEQQATSEYYLDGAGSWILYHVGDFVISNSDELISLKFSMMQIDCTHTKGGLCVDSVVIYPKGYRREKANTVYM* >Brasy4G108000.5.p pacid=40084442 transcript=Brasy4G108000.5 locus=Brasy4G108000 ID=Brasy4G108000.5.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESRNRITIWILVLTSKLNCQLVALALDKTTASYAIVTQQQADRHEASLLGVSVRFCQP* >Brasy4G108000.6.p pacid=40084443 transcript=Brasy4G108000.6 locus=Brasy4G108000 ID=Brasy4G108000.6.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESSSLHWLSTKQPHHMQSSRSSKQIATKLLFLVSV* >Brasy4G108000.3.p pacid=40084444 transcript=Brasy4G108000.3 locus=Brasy4G108000 ID=Brasy4G108000.3.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESRFIQPVFPSSPRSSSQAHGSSGLWN* >Brasy4G108000.2.p pacid=40084445 transcript=Brasy4G108000.2 locus=Brasy4G108000 ID=Brasy4G108000.2.v1.1 annot-version=v1.1 MGTGSSLLGADVEWGETSLGDMPESCVAAVLLHLDPPEICQVACLNRAFRGATSADCVWAGKLPANYRYLAALAAAADDEGDGDGNVKRCSPLSIKKEIYARLCRPTPFDAGTKEFWIEKNKGGLCLSISSKAMAITGIDDRRYWSHLVTEESRFIQPVFPSSPRSSSQAHGSSGLWN* >Brasy4G405100.1.p pacid=40084446 transcript=Brasy4G405100.1 locus=Brasy4G405100 ID=Brasy4G405100.1.v1.1 annot-version=v1.1 MKIVSWNCRGLQNPTAVRALLDLQEQVQADVLFLSESHLDKVKADVIRRNLGFDFSIVDESDGQPSWENRHWADLPWLVMGDFNEILFQHEKDGGALRPDHMMRDFSDCLLDCDLSDMGFLGDVFTWRRGEVRERLDRANLEHHHSDHRPVLVDTSYFDASQLRTLSGGKKFEARWLKEEYVEEIVRTAWMKALADESAPLFAQCSRAVHMELHRWDRKILKGPQARIKKAKRELEAARRAVNDADSRAKQKDLQLLIENLSEQEEVTWIQRGRANWLMHGDRNTSFFHNSATARKKRNMIKKLLDDTGVWREGTTQVCNLASEYFSTLFSSEILRLPDRTGFMLCFIKGSGPCLGRS* >Brasy4G335100.1.p pacid=40084447 transcript=Brasy4G335100.1 locus=Brasy4G335100 ID=Brasy4G335100.1.v1.1 annot-version=v1.1 LDKSAPVYVSVGDGGNQEGLTSRATSMRGQGHGGYDPSIDHTRHGRSRTLIIRISFFVDVDLTSIVLIVTGWKQETLIFFIRVVLMSCFLVGSKLI* >Brasy4G297000.1.p pacid=40084448 transcript=Brasy4G297000.1 locus=Brasy4G297000 ID=Brasy4G297000.1.v1.1 annot-version=v1.1 MPRTHRPFPHPRRKASHPASRTAHRDTAEQEQQQQQQKKRNAMAVSNNITACVTLMALICALPVIASGVWFASAQGEECARLARWPVAILGGLILLTALAGFVGAYWNRRRLLAFYLFAMAALIALLIALLAFAFAVTRGSGAYPVLGRAYDEYRLDGFSMWLRGYVSDDPGRWEGIRSCLAVSDTCKKLARQASFLTADQFYQSNLTPLQSGCCKPPSVCGHVYVSPTVWTSPARPAADLDCGVWSNDPAQLCYECESCRAGLLAALRSQWHRANIALVVATVALVFLYLVGCSAYKNAQAEAIFRRYKW* >Brasy4G064600.1.p pacid=40084449 transcript=Brasy4G064600.1 locus=Brasy4G064600 ID=Brasy4G064600.1.v1.1 annot-version=v1.1 MIVSQQTSGSVGTMLSNPSRTYRFVRTQGVCGYFCATESVILAYHPWYHRFSTNCDWISTLPDDILIKVLSLMTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRFVYKGHKINIGYEYAPILHQLYVYFVKKNECPLDFISALPKLPKLEILVLQFPERLQVSRALQHTVRFAGLTAIVLSLLKSWKESICSLAYLLKAAPLVEYFGLHGCSEFQQPIELNITWPEDFTLARLYTIIIRGFSGESELMELLCFLLRRTTVLETLQIETRAKEPRFVRLPRHQSEDATRCPYAREMALTHLGPRVPPTVKFSVT* >Brasy4G064600.3.p pacid=40084450 transcript=Brasy4G064600.3 locus=Brasy4G064600 ID=Brasy4G064600.3.v1.1 annot-version=v1.1 MIVSQQTSGSVGTMLSNPSRTYRFVRTQGVCGYFCATESVILAYHPWYHRFSTNCDWISTLPDDILIKVLSLMTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRFVYKGHKINIGYEYAPILHQLYVYFVKKNECPLDFISALPKLPKLEILVLQFPERLQVSRALQHTVRFAGLTAIVLSLLKSWKESICSLAYLLKAAPLVEYFGLHGCSEFQQPIELNITWPEDFTLARLYTIIIRGFSGESELMELLCFLLRRTTVLETLQIETRAKEPRFVRLPRHQSEDATRCPYAREMALTHLGPRVPPTVKFSVT* >Brasy4G064600.2.p pacid=40084451 transcript=Brasy4G064600.2 locus=Brasy4G064600 ID=Brasy4G064600.2.v1.1 annot-version=v1.1 MGSTSPAARAPAPAAEDDCLPTNKWKRRHDAEQPVQNCDWISTLPDDILIKVLSLMTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRFVYKGHKINIGYEYAPILHQLYVYFVKKNECPLDFISALPKLPKLEILVLQFPERLQVSRALQHTVRFAGLTAIVLSLLKSWKESICSLAYLLKAAPLVEYFGLHGCSEFQQPIELNITWPEDFTLARLYTIIIRGFSGESELMELLCFLLRRTTVLETLQIETRAKEPRFVRLPRHQSEDATRCPYAREMALTHLGPRVPPTVKFSVT* >Brasy4G064600.6.p pacid=40084452 transcript=Brasy4G064600.6 locus=Brasy4G064600 ID=Brasy4G064600.6.v1.1 annot-version=v1.1 MTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRFVYKGHKINIGYEYAPILHQLYVYFVKKNECPLDFISALPKLPKLEILVLQFPERLQVSRALQHTVRFAGLTAIVLSLLKSWKESICSLAYLLKAAPLVEYFGLHGCSEFQQPIELNITWPEDFTLARLYTIIIRGFSGESELMELLCFLLRRTTVLETLQIETRAKEPRFVRLPRHQSEDATRCPYAREMALTHLGPRVPPTVKFSVT* >Brasy4G064600.4.p pacid=40084453 transcript=Brasy4G064600.4 locus=Brasy4G064600 ID=Brasy4G064600.4.v1.1 annot-version=v1.1 MIVSQQTSGSVGTMLSNPSRTYRFVRTQGVCGYFCATESVILAYHPWYHRFSTNCDWISTLPDDILIKVLSLMTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRRMNAL* >Brasy4G064600.5.p pacid=40084454 transcript=Brasy4G064600.5 locus=Brasy4G064600 ID=Brasy4G064600.5.v1.1 annot-version=v1.1 MIVSQQTSGSVGTMLSNPSRTYRFVRTQGVCGYFCATESVILAYHPWYHRFSTNCDWISTLPDDILIKVLSLMTISEAAMTGCLSTRWRHLWKNIDHLILDAHNFGMQEPQLSDYHENPHFWNTEATKFVQKVNGLLQNHYGDRIKEFTVRFPLTSAHASELDHWIGFARAASTEWLFLDLDDKHWKASCVICRRSWEFPASAEPYDFLLWPFSDGRGCSLIQLTLSNCSLGTEPANLSGFSSLRFLSLSRVSMADAVVLNIMSSCCALRSLTLRDCDQLIHVTISHAQLQILEFDFCDSLLSVGIHADKLLRRMNAL* >Brasy4G024400.1.p pacid=40084455 transcript=Brasy4G024400.1 locus=Brasy4G024400 ID=Brasy4G024400.1.v1.1 annot-version=v1.1 MARERREIKRIESSAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYSTHSKNLGKADKPSLDLNLEHSKYANLNDQLAEASLRLRQMRGEELEGLSVEELQQLEKKLETGLHRVLQTKDQQFLEQINELQRKSSQLAEENMQLRNQVSQIPTAGKLVVADTENVIAEDGQSSDSVMTALHSGSSQDNDDGSDVSLKLALPCVPWKK* >Brasy4G361700.1.p pacid=40084456 transcript=Brasy4G361700.1 locus=Brasy4G361700 ID=Brasy4G361700.1.v1.1 annot-version=v1.1 MQQFLAAQAASSNSSSPAPPPPPLRSRPTRRSEPQQSVNKDKEVTMADEQQELTRAFSGLGGLGVEEAALVSALGRWRKQPEKRASFRRGFPGFFSPSPTASSGSGSAVTIERCEDEYVRHLKTEFSRFKNLTVLWAMHPWERDARWAHRALHKHKKHRQDSGRVLVELACTRSADELLGARRAYHALYSRSLEEDVAYRLKETEEHAAGGLLLAGLVAAYRYEGPRVSEDLATEEANAISAKPGGSNEVLARVLATRSKPQLRATFRVYREIHGKPLEEDLAAVGAEQNCLREAVRCLDAPAEYFGDVIAAAFKEGADKQAKAALTRVVVSRSEADMEEIKEAYVRQHGAKLVDAVAKNTHGHYRDALLAMIGNRIEMIHETSMYGISFK* >Brasy4G361700.2.p pacid=40084457 transcript=Brasy4G361700.2 locus=Brasy4G361700 ID=Brasy4G361700.2.v1.1 annot-version=v1.1 MQQFLAAQAASSNSSSPAPPPPPLRSRPTRRSEPQQSVNKDKEVTMADEQQELTRAFSGLGGLGVEEAALVSALGRWRKQPEKRASFRRGFPGFFSPSPTASSGSGSAVTIERCEDEYVRHLKTEFSRFKNLTVLWAMHPWERDARWAHRALHKHKKHRQDSGRVLVELACTRSADELLGARRAYHALYSRSLEEDVAYRLKETEEHAAGGLLLAGLVAAYRYEGPRVSEDLATEEANAISAKPGGSNEVLARVLATRSKPQLRATFRVYREIHGKPLEEDLAAVGAEQNCLREAVRCLDAPAEYFGDVIAAAFKEGADKQAKAALTRVVVSRSEADMEEIKEAYVRQHGAKLVDAVAKNTHGHYRDALLAMIGK* >Brasy4G421900.1.p pacid=40084458 transcript=Brasy4G421900.1 locus=Brasy4G421900 ID=Brasy4G421900.1.v1.1 annot-version=v1.1 MPRSTPAHIFLALTRTASTSHCLGSAAPATVGGEGPADNSRSPRSIPLAFPALGSVSHRRGDTMVNYEQTNATTREFTLQFLEQITDNFSEEHIIGRSGCGVVYKGILEDGEVIAIKKLYPMPGLDDKQFRNEFNHLMRTEHPNITRLVGYCYNQGHQCIKHEGEYVFPTVEKRFLCFEYLQRGSLDKYISDESCGLDWHTSFKIIKGVCDGLNYLHNGSQGPIFHLDLKPENILLDEKMIPKIGDFGLSRLPPSAQTYKATKVNGTIGYMPLEYIIRGEITPKHDVFSLGVIIIQMMAGHEGYFKFAYMSSSQKFLEHVIENWDKQLQAKMCSHAPEQVKTCIEIALRCVQVEREKRPTIAEIVDELNKIDTPESLPAGQDANFRSKHALNRNSNSERRGRIIFSRTDGTPMSFDIFSCPLQSLSTYELPLTDGAFCNYNGQSIPPIALKTLLKNPKLADKAGATDADVDAGHVSGLIFVSERDNGLETLYMALRFNADSNVVKVFALADIFGATDFSGVRLEDNGCIGGGYTVGCRTVDHCLIYISTKEAVKRRSPWTVVYKTNLTTGKTERLTPQGVFDLSPAVSPSGKRVAVASFESKSWNGEMENLKTDIYMMNVDSEGQLERELLIKNGGWPSWGSDNIIFFHRGTDKTLTSTSSMVVETAWGVFWYNISTQETVQVTPKELDAVTPAAISETKVAVATIRENRRHIEIFDTNGAPLVKITQKMSADMDHYNPFVLDGGDCIGYHRSRTSRYPENLQKLESPTKDVGLYGVSGMFPAISKDGSKLAFVDSESKVVWVADRQGLRVVYEQGGRDIVFSPVWNQNSDKDILYICVGPSLKSNRPLENGDILHHQRWTGPAAHLWRVQQRVPVKQPGWGQARLPVDEGFLSGKSR* >Brasy4G347100.1.p pacid=40084459 transcript=Brasy4G347100.1 locus=Brasy4G347100 ID=Brasy4G347100.1.v1.1 annot-version=v1.1 MTVAGGRRYALLLALHDSEYAKKAYGGYRNVFLDALRSDADNGNETWDCFRVIDGEFPATEDLHLYDGFVVSGSPHDAHGEASPGWVRRLRALIRAAHGMGKRVLGVCFGHQVLCSALGGTVGRASGGWDVGVRKISFVEHEDNGDLDGLEFLQEQLGLGRRISGAPAAAASACIVEVHQDEVWQLPPGGKVLAYSEKTKVEVFAVGDSVLGIQGHPEYGTDILLNLVGRLAGQNAIDGPTAEEARSTAESGGPDREFWTGLCKAFLRGGGSGGGGGRTQAAAEMSCSHHVFVAPSFPAGTAVGL* >Brasy4G392700.1.p pacid=40084460 transcript=Brasy4G392700.1 locus=Brasy4G392700 ID=Brasy4G392700.1.v1.1 annot-version=v1.1 MPFKHGDAPAPKPEVLLPVHMNSNKDLATTATMIVV* >Brasy4G066700.1.p pacid=40084461 transcript=Brasy4G066700.1 locus=Brasy4G066700 ID=Brasy4G066700.1.v1.1 annot-version=v1.1 MGWELSAVTMEEVLGLVPGFVDILVLAGGRTSSGSGATWRSGDVQKALRWALFFEEVFRNLRDTGQYEDAAREFDAALVGLTSSPDFPKGLADMRSETLAMARVLVLKLFLKAKTMSVENFRALLEAVVEMDVDGIGGSGGRNACQEYVNSILNMDLSSLMRTENACVVGAPTGSDRLYSESLFMGHSRILVKELLERLDSALCISLADRGLSTLQNGGKKNSFGDAGSMSCALAIPKKSQMIDKFLLWKQWRGQCLSYLLDEHTIRIVSGSSFIFSAPKEQWMKVFEPLKVSVDSCQSGLTEVMELCLLGLVSRRWDPLIGSFMSHTFNSLPISKQYTDLHQLLPGISQDEYQDRLLSSKEKDILEYAWQSIESKPHLLWLLPPVLTAAAIPPWSTLFKIYLAEIDKQFDEASSTNRKCNCRRDGIEQHHNCEIAERIQCLYTLHVEQPHLTLR* >Brasy4G223900.1.p pacid=40084462 transcript=Brasy4G223900.1 locus=Brasy4G223900 ID=Brasy4G223900.1.v1.1 annot-version=v1.1 MAIRVLLLAAALLALSSSHGAIASDPSLLQDFCVADKMSQVRVNGLPCKAAKDVVVEDFFFSGLHMAGNTTNKQGSAVTAVNVAQIGGLNTLGVSLVRIDYAPNGLNPPHTHPRSTEILTVLEGSLEVGFVTSNPDNKLFTKVLSKGDVFVFPKGLVHYQFNRGGTNCIAIAALSSQNPGVITMANAVFGAKPSISDDVIAKAFQVEKNTVDWIQAQF* >Brasy4G061500.1.p pacid=40084463 transcript=Brasy4G061500.1 locus=Brasy4G061500 ID=Brasy4G061500.1.v1.1 annot-version=v1.1 MDYDRLNSPSTSAITLELMGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGEKAVPCTGSAEAAAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G061500.2.p pacid=40084464 transcript=Brasy4G061500.2 locus=Brasy4G061500 ID=Brasy4G061500.2.v1.1 annot-version=v1.1 MDYDRLNSPSTSAITLELMGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGEKAVPCTGSAEAAAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G006900.1.p pacid=40084465 transcript=Brasy4G006900.1 locus=Brasy4G006900 ID=Brasy4G006900.1.v1.1 annot-version=v1.1 MALSLRAAASLAAPPPRPGRRAASLVRATAASSSPPAALDHRRRRQQNVSGEFFVDERCIDCSTCRWMAPEVFKRVDGLSAVAAQPSSGDDRTKALQALLSCPTASIHTEKPAKDILQVHNTFPLPIADDLPGVYLCGYHSESSFGATSYLIVHPEGNIMVDSPRYTPKLVNQIEKLGGARYMFLTHIDDVADHRKWAERLKCERIIHSGDVVDIVADVERKLTGSGPWNIGNDFELIHTPGHTEGSVCLLYKPLKALFTGDMVAKSEESDDFNLFRMYSRQSVSVQLDSMRKLLDLDFQWVLPGHGYRIRYEDAEAKNSAIESLLSGYTN* >Brasy4G116900.1.p pacid=40084466 transcript=Brasy4G116900.1 locus=Brasy4G116900 ID=Brasy4G116900.1.v1.1 annot-version=v1.1 MWCLTFTSETCLHSMLSYCELLSERLIGAVEATFASISGSCYHVLDFYAEAGGFPSFSKKKSVRFLLCEFLICLHTKYYTQISKFGEKLYMLYTQYIAQKERGKFICSPL* >Brasy4G082500.1.p pacid=40084467 transcript=Brasy4G082500.1 locus=Brasy4G082500 ID=Brasy4G082500.1.v1.1 annot-version=v1.1 SAAARPDPGEPPTPALSAPSSRSTPHRCPWGASGCSHLHPAAQIRLPQPRAGTSSPRECVCRRPCGLGLHARPGSRILRAPRPCSGQPPPPACLSPAPASLRRPSRARSLPNPGLSLAVPRPAAFTPCPNHGRSLQFLAPPPTSVASLRSAHPHPLHPHPHPKLLHGLPRPDPGCCGLIRPCSSSPAHPHHHQPLPFHLIVQNILMFTLIRVMLRAPLFSHRPSLRWLWHCHAFGNHGLELAAVVAASLNGWCRGFDLEISIQPVLLSSRIHGYADACHGGGILLSEMAVIEGMCAVCCF* >Brasy4G212200.1.p pacid=40084468 transcript=Brasy4G212200.1 locus=Brasy4G212200 ID=Brasy4G212200.1.v1.1 annot-version=v1.1 MDRRRPEPAAIDITWVSCRGVRSSLPFHTPCFYASVCLTPSTAAKSTSRRRPHRVKTPTDRAGGENPEWDERLRLYVPGASSSSSSSPGEQEHKKVVDSRGVDDHEGVLGGLVLRFELKAEVAVLGDVLAASAVVPLSDLVADGRTRRVSYQLSSADGGGRQHNGVISFSYAFFHDTSTSRVDQEESSSSCDGEPVTPPSPAPILPSSAPHPQPSVPGMYPVIDWQLTEQLAVCPPPPVTAHTVSVACSRSIYYSPPPPADHSRAEPVAVYPPLLPETSARGIYPSMLEEPASGLYPAVDLAPLSCFPTTTTAPCYGGEFGCPAAPEWDGRCLYG* >Brasy4G340300.1.p pacid=40084469 transcript=Brasy4G340300.1 locus=Brasy4G340300 ID=Brasy4G340300.1.v1.1 annot-version=v1.1 MLQVGLELVPGMTLPDIFPSSRLARLVSGVPRRIQRHSRDMKQFMDTIIQEHQERRDSGSGGQGRRRRRLARRAAQTPKGSGFPVPTHHGQHQDRHAGHVRGGERVVGDDAAVGDGGADAEPASDAQSATRGPGTTRRPRQGDRGRPNRTTLPAAAREGDAEAAPAGAAAAAAEVRERVPDPGPGRAGGRHGDRERVGHRQGPGPLGRARGVRAGAVRAGGQRRGEGFQGRGLRVPAVRRRAADLPRHGVWAGARRARARGAAVPLRLGASRRGGRRGARHAGGVRGHRTAAVRPDGGRRPPCPGSHGVIINE* >Brasy4G329700.1.p pacid=40084470 transcript=Brasy4G329700.1 locus=Brasy4G329700 ID=Brasy4G329700.1.v1.1 annot-version=v1.1 MLGPPEPDDDDATASASPPHRDPEQAPASTPRELVLACASLLHRGDLADARRLADQALSASDPRGEASDRLAHHFARALALRAPDNGVGGARDSPVLVASTSSSSSSSSPAAASAYLSYNKIAPFLRFAHLTANQAILEAFFSSHADRPQRQHSRVLHIVDLDAAHGVQWPPLLQALRDRSSADDDPPVEVRVTGAGPDRDALLRTGNRLRAFAASIDLPFRFHPLLLPCTGAAITGLELELHPDEETLAVNCVFFLHRLSNDGELAAFLRWVKSMNPAVVTIAEREGSSEPADELPRRVAAAMDLYYAAVFDALEATVAPGSAERLAVEEDILGREIEAVVAGGSSGRTRGFEAWAAAVRAAGLSPRPASAFAAAQARLLLRLHYPSEGYAAEEAHGACFLGWQRRTLMSVSSWQ* >Brasy4G062200.1.p pacid=40084471 transcript=Brasy4G062200.1 locus=Brasy4G062200 ID=Brasy4G062200.1.v1.1 annot-version=v1.1 MAAAFRQTMMRTLLRGGKASATPPSPLPRPSAFSTSGTSKGDVQHPNLQRLHDDLRAEARGIWEEERKKATKRFAYLQSILGVAIFSGASYGLYYGI* >Brasy4G338700.1.p pacid=40084472 transcript=Brasy4G338700.1 locus=Brasy4G338700 ID=Brasy4G338700.1.v1.1 annot-version=v1.1 MGEEAAAVKANTTTAPPHLLLICFPGQGHVNPMLRLAKRFAAKGLLVTFSSTSDVGAKITASSGVEAGGEGVPLGLGRIRFEFLDDHFDGKDLDDLMRHLETTGPPAFAALIARQADAGRPVSCVVGNPFLPWALDVAHDAGIPSAVLWVQSCAVFSLYYHHVHGLVEFPAEDDMEARVELPGLPAMSVADVPSFLLPSNPYKLLTDAILNQFRTIHKASWVFVNSFTELERAAVDALPGVIPAPPPLIPVGPLVELEDEDADAVRGDMIRAAEDCAGWLDAHPPRSVVYASLGSVVVLSAEEVAEMAHGLASTGRPFLWVVRPDCSAMLPDGYVDAVAGRGMVVPWSPQDVVLAHASTACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKYLVEEFKMGVRIGRPLSKDVVRDAVEAAVAGPGAAAMRENAAAWSAAAKKAVAPGGSSDRHVQAFVDEVVARACGARADKDPAAAGEQ* >Brasy4G085300.1.p pacid=40084473 transcript=Brasy4G085300.1 locus=Brasy4G085300 ID=Brasy4G085300.1.v1.1 annot-version=v1.1 MGLMAGMLPGVESARRRRLRPARSSAEAPCGGTRRPSSFCLYAASNGLEHHAHLGSAAFPKRNEEAWTTTLDSNAREAKERLDQKLRSQRESVVKRRHSTGSLRLPATSSTSSDHRPAKETAAAASALQREVFSKKGDGRRFLWGRRRHQQQEEECAVCLEDLRAGDLLARLPCAHRFHWPCALQWVRAASRCPVCRAPIRLA* >Brasy4G074500.1.p pacid=40084474 transcript=Brasy4G074500.1 locus=Brasy4G074500 ID=Brasy4G074500.1.v1.1 annot-version=v1.1 MELELGLAPPNTKHLLGDLSDTAEVDSAACRGGTKRGFGEAFDEEEEKTPAAATLPLFDDGSSGSARKQKKAAPVVGWPPVSAARSRACHGGGGGHVKVWKEGVGIGRKVDVSRHGSYGGLLATLARMFPDEKETRGQHDDDGDDRGLVVTYEDADGDWMLVGDVPWDDFARSVKRLKILL* >Brasy4G340500.1.p pacid=40084475 transcript=Brasy4G340500.1 locus=Brasy4G340500 ID=Brasy4G340500.1.v1.1 annot-version=v1.1 MGWLCCCKRPDGKKKKKDTTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAIEVEVLARVRHKSLLSLRGYCAEGQERLIVYDYMQNLSLHSHLHGQHAAECHLSWERRMNIAIDSAEGIAYLHHHAIPHIIHRDVKASNVLLDANFQARVADFGFAKLIPEGVTHVTTKVKGTLGYLAPEYAMLGKAKESCDVYSFGVLLLELASGKKPVEKINPTTKLTITEWALPLACDKKFKEMADPKLKDSFIEAEVKRMVLVGLACTQKKPELRPIMSEVVELLKGESAEKLSNLENGELFKPEQASSCQETSGPNSSEVITEEKDSKEDTIEEATDSSETVPSVR* >Brasy4G430600.1.p pacid=40084476 transcript=Brasy4G430600.1 locus=Brasy4G430600 ID=Brasy4G430600.1.v1.1 annot-version=v1.1 MTVLWLCSFLFLTCSLLLAPLLCQTSHAQSSAMMEAISCSTSGNYTLAGGFAANLNQFLAGLPQNAVSKNGGFFNGTAGDGAATVYGLAMCSADYSRADCGDCLAATAGSNTGGGLPNRCPGSTTVLAVFDKCLVRYSNTNFLGTAETDIIYSSGGASPSSAMAYSGKVKDRLQLLTGEAVASPHRFAASSVADDPPYALMQCTWDLPPDKCKQCLDVLSANASDWFSMTEQGKRKSYSCTVRYSNTSFMVLPFNNGAPSGPLLPPTSSVPASTSRGSHTVLTVVLSVIATLVVAALLAALFWRVYRSRCLPNRTQVMEDVELPEQTRQTRTTQVMEDVELKEQSCQTLTTVTTQVFVPKSFTYEELAHAISDFTETKKLGEGGFGVVYEGTVPGIEGTVAIKKIKVTSSNEVQAKRDFNNEINIMSKLHHKNILRLLGWCDEGDHLLLIYEIMKNGNLEEQLHPETGGATDARIHGVTYSERPLKIDWPTRHNILIGIASGLAYLHTECLKSILHRDIKPGNVLLDMDFNAKLSDFGLVTEISHTQTSRETNNIIGSHSYIDPTFVKTGKTSAQSDVYSLGVMLLEIVCCEKPIFMRDGKNSLIEKVKKCQEDNAILEAADKRLRGQFDDDITRVLELGLMCVLPDRHLRPHMGRLRDSLTQLNPTPSRANGRGSPASTSNNRQPTEVERGMFPIPEDSKSRADEDAGVASLLNQRTTM* >Brasy4G066600.1.p pacid=40084477 transcript=Brasy4G066600.1 locus=Brasy4G066600 ID=Brasy4G066600.1.v1.1 annot-version=v1.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV* >Brasy4G231700.1.p pacid=40084478 transcript=Brasy4G231700.1 locus=Brasy4G231700 ID=Brasy4G231700.1.v1.1 annot-version=v1.1 MTRGFNLQTPVLWIHGRADSLVPIEAGQDGTKFLRGLGMVSCEFKAALGTASKYWLNLYVVSKKGPVYPPMFSTLSTIFTIILGILLLGETLTVGRSD* >Brasy4G292400.1.p pacid=40084479 transcript=Brasy4G292400.1 locus=Brasy4G292400 ID=Brasy4G292400.1.v1.1 annot-version=v1.1 MSGGGGGGGSSKKLTLESSDGQKFEVKEETMAAASGTIRIMVEDDCAGGVIPLPQVTGRILSRVIDYCNRHYADPDDAAAADPFSSGDPVLDRFDGDFVGGLDQDTLFDIMVAANYLEVQRLLDLTCKAVADQMRGKTVEQMREHFHVVNDYTEEEEKAVRRENAFAFE* >Brasy4G049600.1.p pacid=40084480 transcript=Brasy4G049600.1 locus=Brasy4G049600 ID=Brasy4G049600.1.v1.1 annot-version=v1.1 MSEYQNVVGGRLKLKGKPLDVKEGGVKKKKKKKHRREESSQVEHGEHREGGSSELQGDPINEFSEADKMGEEGSSQADYDHLTPAEQRYIEQKQKIDIHKLAKVADKSHRDRIQDFNQYLANLSEHYDIPKVGPG* >Brasy4G238400.1.p pacid=40084481 transcript=Brasy4G238400.1 locus=Brasy4G238400 ID=Brasy4G238400.1.v1.1 annot-version=v1.1 MPSTRTRPSRSIFCSLPHSTEAALRLGLSATAGQSQARRRRQRLLLPPLASRLATSHPSSVHRPATLRTHLPLPSCLRWTVDGARPLRLRRAPRAAGGQRELAAAAPPKAVAPLWERLCPIAKRYPSA* >Brasy4G149800.1.p pacid=40084482 transcript=Brasy4G149800.1 locus=Brasy4G149800 ID=Brasy4G149800.1.v1.1 annot-version=v1.1 MKIAVRGSTVVRPAEETPRMRLWNANPDLVVPRFHTPSVYFYRRDAAVEGGCYFDAERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAEGVLFVEADAPDGTVDDYGDFAPTMELKRLIPAIDFTGGISSYPLLVVQVTHFKCGGVALGIGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLLRARDPPTPAHPHIEYQPAPAMLDSDPPQALLAGAKPDQPPAAVDIFKLSRSDLGRLRAQLPTGEGAPRFSTYAVLGAHVWRCASLARGLAPEQPTKLYCATDGRQRLLPPLPEGYFGNVIFTATPLAEAGKVIASLADGANTIQAALERMDNEYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASRDGSLSVAISLQAEHMEKFRKMIFDF* >Brasy4G184700.1.p pacid=40084483 transcript=Brasy4G184700.1 locus=Brasy4G184700 ID=Brasy4G184700.1.v1.1 annot-version=v1.1 MAETEAPAARPRLYSYWRSSCSHRVRIALNLKGVDYEYKAVNLLKGEQSDPEFIKLNPMKFVPALVDGDAVIGDSYAIALYLEDKYPERPLLPQDLKKKALNNQIASIVGSGIQPLHNLTLLRFIEQKVGTGESLPWTQQQINKGFTAVENMIKGCAGKYATGDEVQLADVFLAPQIFAAVTRFQIDMSNYPTLARLHEEYMKHPAFQAALPDRQPDAPTST* >Brasy4G410100.1.p pacid=40084484 transcript=Brasy4G410100.1 locus=Brasy4G410100 ID=Brasy4G410100.1.v1.1 annot-version=v1.1 MSFSTDFGCAGTHPAHHLDPPLPVPAKMFLGASAKHYQRKKRFSGCPSRRLECSANPRPSGPRRTKDTLYDLHPEISMLYGEDNGAIAAPSKEQGIGKATESLPDTSITYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPENRLPIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEGESRSAQAGGDNNHGRNTWFSSSSQEEGPKLQIPEFLQRKGRSGFSRG* >Brasy4G375900.1.p pacid=40084485 transcript=Brasy4G375900.1 locus=Brasy4G375900 ID=Brasy4G375900.1.v1.1 annot-version=v1.1 MAVEHDDDDDDGESQSVASVANKKKKTAKKDPADARTEFRGVRRQGGRYRAQIWDTKGQTMMSLGCFGAAEDAARAYDAAAIKLHGAAAAAAKINFKGPAAAAAAPLADEDIDAHGHEIVPKKKKKEEEDAAVMNSKSVQRRREKAATEAPLADEDIDAHAHEIGLKKKKKKKKKKKKKKKKKKKKIKAPVAVMSSKSGVQGGGEAAARARLISRSGFRGVYQSSSGSRYNARIWDPVQRAGRWLGTFEAAEEAAEAYDAAAVSLYGARAITNFEQPTPTAAAANDGAESSPPMELLNDFPALTAPDFSESLIPGPQADNLLTYLPPAEWQQQVHELLHDMDFTDDVVA* >Brasy4G407700.1.p pacid=40084486 transcript=Brasy4G407700.1 locus=Brasy4G407700 ID=Brasy4G407700.1.v1.1 annot-version=v1.1 MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDMRIEGRIIGFDEYMNLVLEDAEEINIKKNTRKSLGRILLKGDNITLMMNTGK* >Brasy4G275800.1.p pacid=40084487 transcript=Brasy4G275800.1 locus=Brasy4G275800 ID=Brasy4G275800.1.v1.1 annot-version=v1.1 MAPAGGSGGLKGIYRQRKKASRGGGVAKPSSAAPSKRSKAKPKPHQQQQSTQLGPAEAEEEELRRFDMDMAYGPCVGFTRLRRWDRAAAMGLRPPPRLRDILLRRCSPAADAADNSSLTKSRSPPPSSMQLRYCIWEGKI* >Brasy4G066200.1.p pacid=40084488 transcript=Brasy4G066200.1 locus=Brasy4G066200 ID=Brasy4G066200.1.v1.1 annot-version=v1.1 MAAAVRPPGPAPALGKIAEEDEEGGAVLDHHARRRRVSAFVENSGPLDARGAAVEQSKLEMKLEKWKADLSPDHGEEGGRGGKSARRRRRRSSCFFGSKEDWER* >Brasy4G244100.1.p pacid=40084489 transcript=Brasy4G244100.1 locus=Brasy4G244100 ID=Brasy4G244100.1.v1.1 annot-version=v1.1 MARRHLLLLLLPLLALAFSAAPQGLGDAAAFIDGASHRYLRHQQQDDQQATSMSLDEVSAAVSVLLGFAPPASLPALSSSKLNKVLLPNPFDRPRAVFLMQLDGSHASIDSFISEAGTIFRTRIDGAKNLATGLTDKDELIVIRSDESRDVQDNDLSNLANWLEGSYQKADGKLKIPLESGNSLTLLISKEADLEFASSLISLLKTIKRGIQVHEDFSGGIVSPAELLVCHFTGIKALEDEYGSAEIVKQGAEAVRTALSKAFDLLQGAYKGKIVGLVISTKEASTSLASIIDAPSSLHISRRLEEAGRTNATASIAAIYLVRLSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID* >Brasy4G244100.2.p pacid=40084490 transcript=Brasy4G244100.2 locus=Brasy4G244100 ID=Brasy4G244100.2.v1.1 annot-version=v1.1 MARRHLLLLLLPLLALAFSAAPQGLGDAAAFIDGASHRYLRHQQQDDQATSMSLDEVSAAVSVLLGFAPPASLPALSSSKLNKVLLPNPFDRPRAVFLMQLDGSHASIDSFISEAGTIFRTRIDGAKNLATGLTDKDELIVIRSDESRDVQDNDLSNLANWLEGSYQKADGKLKIPLESGNSLTLLISKEADLEFASSLISLLKTIKRGIQVHEDFSGGIVSPAELLVCHFTGIKALEDEYGSAEIVKQGAEAVRTALSKAFDLLQGAYKGKIVGLVISTKEASTSLASIIDAPSSLHISRRLEEAGRTNATASIAAIYLVRLSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID* >Brasy4G431100.1.p pacid=40084491 transcript=Brasy4G431100.1 locus=Brasy4G431100 ID=Brasy4G431100.1.v1.1 annot-version=v1.1 MTVPRRASFCSFLFLTCSLLLAPPLCRTSHAQSSSMLEPILCSTSGNYTLAGAFAANLNQFLAGLPENAVSKNGGFFNGTAGEGDATVYGLAMCSADYSRADCADCLFAATAGNNTSNGLPNRCPGSTGVVAFFDKCLVRYSNNNFLGTDGSEYMFYRSSTSSSSAMVYSSKVRDRLQLLASEAAASRQRFAASSMANDPPYVLMQCTWDLPSDKCKQCLDLLLANASDWFSGTGQGKRKSYSCTLRYSSTSFMVLPFSNGTPSGPMSPPTISSVPASTSRRGTRTIVIVVISVIASIVVAALLGVLLWLVYRSRCLHTKTQVMEDVEPTDQICQTLTTVKTQEFVPRAFTYEELAAATSDFAERKKLGEGSFGVVYEGTVPGIGGAVAIKKIKDRSNQARNEDQAARERDQAKRDLKNEISIMIPLQHQNILRLLGWCEEGDHLLVIYEIMKKGNLEDQLYLQIYGATDEGIHGVTYTDTPLQIDWPTRHNILIGIASGLAYLHTGCPKSILHRDIKPANVLLDMEFNAKLSDFGRVTQIDHTQTSRQTNNIIGTRLYIDPEFMNTGKTCAQSDVYSLGVMLLEVVCGEKPMLIKDSKNSLIEKVQRCQERNVILEAADKRLKGRQFDDEITRVLELGLMCVHPERHVRPHIKILRDSLTQLAAGPLPSSSPNGRGADEEAGVASLLTRRPSRSRTDEEAGVASLLVRRPTL* >Brasy4G435300.1.p pacid=40084492 transcript=Brasy4G435300.1 locus=Brasy4G435300 ID=Brasy4G435300.1.v1.1 annot-version=v1.1 PIHAADEEFYTGIHQQPVPARRIQPPPRRIQNRNCSGIEIPPVLHWIRRNAAQMVTRRRERSASVKDFMRDPNSTEAPIQSPPHYRRPIGL* >Brasy4G101800.1.p pacid=40084493 transcript=Brasy4G101800.1 locus=Brasy4G101800 ID=Brasy4G101800.1.v1.1 annot-version=v1.1 MRSLPRVVRSPCVTAAAGGLRQSERREWEQKERAARVRGPEERATPWLLFGRRERREWGNMPRVGERGHESPVSAAARTCCCTPSRRLALSRAPPPRVVSAAARATERRRVSAAARRRAPSQPSRAGPAARCHELADRRIPCRVPSPPPARAVRLLLLLLAPCTCCACPQSRRAHSLPRILNRSRRSAGGRPGRSAATATKAKGGEPWRAVRHGAPRARAGVCATQPQEHEENDEAGEQEEKWRFQWRSSARRVQLPPDGLRGRPSQARQPPCTRRRAQSIQY* >Brasy4G085900.1.p pacid=40084494 transcript=Brasy4G085900.1 locus=Brasy4G085900 ID=Brasy4G085900.1.v1.1 annot-version=v1.1 MGQTHAAAATGYHESSPMRRRQRRPRVASSSARRKKKAGMGRELGSGAAPENAGPAYPAALLAAATATILVGTTAGFLGPIRLVVESARLSDAAGACARAGTAGAVAGDGAGAGAGGSSPRLRIRTSSITIRCLGFSLVGEGCLRAGLAGGERRPTTGAAGPLGAGDDTAIFGGGEPAAAGLGAGELTAAAIFGGGEPEADGLG* >Brasy4G048300.1.p pacid=40084495 transcript=Brasy4G048300.1 locus=Brasy4G048300 ID=Brasy4G048300.1.v1.1 annot-version=v1.1 MSMEAGRTIPKFGAWDVNNPSSADGFTVIFGKARDEKKAPATVPGPGNMQYNKINRPTYDIKDARAEKINPSYNNNTNNARTNGSKKWFCCVSPSPTQP* >Brasy4G109600.1.p pacid=40084496 transcript=Brasy4G109600.1 locus=Brasy4G109600 ID=Brasy4G109600.1.v1.1 annot-version=v1.1 MLNFCKHQMSNLPEPSKEGSSADGSSPLQKTGTWSNILSTLIQQASVYGLAIGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVILCGQLKLIEHDGLNLATMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFRTWLSLSTILGGSVIYVFTDYQFTVTAYSWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFNQMKVDSSKMANWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVVNLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPSVEPKEENDEEEQKLLEMQQGLEANSTQEHTT* >Brasy4G109600.2.p pacid=40084497 transcript=Brasy4G109600.2 locus=Brasy4G109600 ID=Brasy4G109600.2.v1.1 annot-version=v1.1 MSNLPEPSKEGSSADGSSPLQKTGTWSNILSTLIQQASVYGLAIGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVILCGQLKLIEHDGLNLATMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFRTWLSLSTILGGSVIYVFTDYQFTVTAYSWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFNQMKVDSSKMANWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVVNLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPSVEPKEENDEEEQKLLEMQQGLEANSTQEHTT* >Brasy4G369800.1.p pacid=40084498 transcript=Brasy4G369800.1 locus=Brasy4G369800 ID=Brasy4G369800.1.v1.1 annot-version=v1.1 MSKRKTREPKEENVTLGPTVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL* >Brasy4G359800.1.p pacid=40084499 transcript=Brasy4G359800.1 locus=Brasy4G359800 ID=Brasy4G359800.1.v1.1 annot-version=v1.1 MQLYEGFPIATNKVSAEERGGVPHHLLGVLHPDADFTAEDFRREASQAVACVLSAGRVPVVAGGSNSFIEALVEGQGGAFRKAHDSLFLWLDAAPQVLEWYAGVRVGEMMRRGMVIEARAAFRGAGADYTRGARRAIGLPELHAYLQAERDLGGPLSVPPAMLQSAVSEIKASTNALIRSQTGKIRRLSGREGWDVRRIDVTPVFSATAQGVGVRDTWENFVWGPCQDLVRVFLGRDQGTVPPGGATAAAAVSAAPAPAAAADAAGAEVLKFHLAPAVTAAFSPSLPVVEEEKNHKKEDKAVNAAFFPALPVAEEEFNNKDDKAADVEMLEKEPAGGAGANGDGGNASVDGAEAPAPAPAAEAASATKL* >Brasy4G104300.1.p pacid=40084500 transcript=Brasy4G104300.1 locus=Brasy4G104300 ID=Brasy4G104300.1.v1.1 annot-version=v1.1 MRPIAGPAPTPAGAAAGVSAWQSPVPYLFGGLAAMMGLIALALLILACSYWKLNSYLGTGRASSSATGGGGDGAKSLAAAAPASPAGFADLVAVVMAGEKMPTFLAAPVVRRLVAGGEDSRETTENEKSRGKAEEGSEASQQLDQV* >Brasy4G289900.1.p pacid=40084501 transcript=Brasy4G289900.1 locus=Brasy4G289900 ID=Brasy4G289900.1.v1.1 annot-version=v1.1 MGEAESKKGRGVCSTALLLNWMGEPSEQDQEEEEETLQLSLGLPGGSRRTACRDKAKKHSAGDSSVLSLGYSTAIPSPQSRGITTSRLGTGKAQGSPEEEPPATRNALAPNNNGPRTRSPGAPVIGWPPVRASRRNLATSSSKASLEQQHMKKAVKAEETKRAPFVKINMDGIPIGRKIDLTALDSYEKLCVAVDKLFRHLLAAQNDPPAAGTKECTQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDIPWGMFISSVKRLRVLKTSDLSSSLITSSRKRTAAEC* >Brasy4G289900.3.p pacid=40084502 transcript=Brasy4G289900.3 locus=Brasy4G289900 ID=Brasy4G289900.3.v1.1 annot-version=v1.1 MGEAESKKGRGVCSTALLLNWMGEPSEQDQEEEEETLQLSLGLPGGSRRTACRDKAKKHSAGDSSVLSLGYSTAIPSPQSRGKAQGSPEEEPPATRNALAPNNNGPRTRSPGAPVIGWPPVRASRRNLATSSSKASLEQQHMKKAVKAEETKRAPFVKINMDGIPIGRKIDLTALDSYEKLCVAVDKLFRHLLAAQNDPPAAGTKECTQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDIPWGMFISSVKRLRVLKTSDLSSSLITSSRKRTAAEC* >Brasy4G289900.2.p pacid=40084503 transcript=Brasy4G289900.2 locus=Brasy4G289900 ID=Brasy4G289900.2.v1.1 annot-version=v1.1 MGEAESKKGRGVCSTALLLNWMGEPSEQDQEEEEETLQLSLGLPGGSRRTACRDKAKKHSAGDSSVLSLGYSTAIPSPQSRGKAQGSPEEEPPATRNALAPNNNGPRTRSPGAPVIGWPPVRASRRNLATSSSKASLEQQHMKKAVKAEETKRAPFVKINMDGIPIGRKIDLTALDSYEKLCVAVDKLFRHLLAAQNDPPAAGTKECTQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDIPWGMFISSVKRLRVLKTSDLSSSLITSSRKRTAAEC* >Brasy4G012400.1.p pacid=40084504 transcript=Brasy4G012400.1 locus=Brasy4G012400 ID=Brasy4G012400.1.v1.1 annot-version=v1.1 MPLGSTVSVCLELEETKDGWRAGREVGAVEWQHADRIDRPACSRAPEACFKFC* >Brasy4G049500.1.p pacid=40084505 transcript=Brasy4G049500.1 locus=Brasy4G049500 ID=Brasy4G049500.1.v1.1 annot-version=v1.1 MLSVLLSTANVSEEKSMESGRAMMERQTARRAPTNTTHMPESLFASVILAKDLTARCRGRALQLTDDEIQSITQDLENVIQNICDHLGRIPASAFGSNACTDGAVRSHSMMNYFGVDMPLNAATDGPNRRSVYDSDMPRLVDFLRGMHHESHEFGGQTFNSLPEVTEYVEPLYGAFFCPLTKEVMTEPVTTEGGVTYDRRAIEEHFERFTGSSEPVSCPVTKMPLQSKAVMSNASLKSVIAEWTMRNEAMRIRIARTALSLSTTDSMVLEAILELKSLAKLRAKNRMQIHKIGVTKFLAKLLDNHNTQIRCDALELLCLLVEDDEGKDIIGKTKAIARTIKLLSSNTTDERHAAISFLLELSKSELWLENIGSTAGSILILTTMKINDSDDPVAAEKSRAVLKNLEKCSKNIKYMAESGYLDPLLSHLVEGPEEVQMEMVSCLSELVLEQELTIDITGSTSGVLIRMVCGCNAAVRKAALEVLVQLSSHHPNNKVLVEAGAVPVMVEELFIRKADDEPLCYKASAATVLANIVESGIDPDTTVVNKEGHVLTSKYCIYNFVHMVKCFMPDNLNLSIIRLLLALTALAKPLDVVVSVVRENHRGHAIVELMNSRTEELSIAATRLLITLSAHIGHTVAERLCKTQGQPGRLVKSISHTGHVTERRAASVMLLSRLPHRIISLNLGLVQEGAVPAILSGIEEVQNGTTRTSRHTVPYMDGLVGALVRLTTTLYNPTVLKAALDHSLASVLTKLLTGASGSSEVQRLAAVGLENLSYQSIKLSQLLPEEDPRPKKKTILKRLMDTKVHSNKNPQHQVCPVHRGVCSTATTFCLLEAGAIQGLLGCLENDNSRVVEAALGALCTLLDDRVDVEKSVAVLAELDTVRRVLGALRRHREKNALWQKCFCMVEKFLIHGDDRCLREVTGDRMLPTALVSAFHKGDASAKQAAEGILRRLHKMPDYSATYVSLEF* >Brasy4G049500.3.p pacid=40084506 transcript=Brasy4G049500.3 locus=Brasy4G049500 ID=Brasy4G049500.3.v1.1 annot-version=v1.1 MLSVLLSTANVSEEKSMESGRAMMERQTARRAPTNTTHMPESLFASVILAKDLTARCRGRALQLTDDEIQSITQDLENVIQNICDHLGRIPASAFGSNACTDGAVRSHSMMNYFGVDMPLNAATDGPNRRSVYDSDMPRLVDFLRGMHHESHEFGGQTFNSLPEVTEYVEPLYGAFFCPLTKEVMTEPVTTEGGVTYDRRAIEEHFERFTGSSEPVSCPVTKMPLQSKAVMSNASLKSVIAEWTMRNEAMRIRIARTALSLSTTDSMVLEAILELKSLAKLRAKNRMQIHKIGVTKFLAKLLDNHNTQIRCDALELLCLLVEDDEGKDIIGKTKAIARTIKLLSSNTTDERHAAISFLLELSKSELWLENIGSTAGSILILTTMKINDSDDPVAAEKSRAVLKNLEKCSKNIKYMAESGYLDPLLSHLVEGPEEVQMEMVSCLSELVLEQELTIDITGSTSGVLIRMVCGCNAAVRKAALEVLVQLSSHHPNNKVLVEAGAVPVMVEELFIRKADDEPLCYKASAATVLANIVESGIDPDTTVVNKEGHVLTSKYCIYNFVHMVKCFMPDNLNLSIIRLLLALTALAKPLDVVVSVVRENHRGHAIVELMNSRTEELSIAATRLLITLSAHIGHTVAERLCKTQGQPGRLVKSISHTGHVTERRAASVMLLSRLPHRIISLNLGLVQEGAVPAILSGIEEVQNGTTRTSRHTVPYMDGLVGALVRLTTTLYNPTVLKAALDHSLASVLTKLLTGASGSSEVQRLAAVGLENLSYQSIKLSQLLPEEDPRPKKKTILKRLMDTKVHSNKNPQHQVCPVHRGVCSTATTFCLLEAGAIQGLLGCLENDNSRVVEAALGALCTLLDDRVDVEKSVAVLAELDTVRRVLGALRRHREKNALWQKCFCMVEKFLIHGDDRCLREVTGDRMLPTALVSAFHKGDASAKQAAEGILRRLHKMPDYSATYVSLEF* >Brasy4G049500.2.p pacid=40084507 transcript=Brasy4G049500.2 locus=Brasy4G049500 ID=Brasy4G049500.2.v1.1 annot-version=v1.1 MLSVLLSTANVSEEKSMESGRAMMERQTARRAPTNTTHMPESLFASVILAKDLTARCRGRALQLTDDEIQSITQDLENVIQNICDHLGRIPASAFGSNACTDGAVRSHSMMNYFGVDMPLNAATDGPNRRSVYDSDMPRLVDFLRGMHHESHEFGGQTFNSLPEVTEYVEPLYGAFFCPLTKEVMTEPVTTEGGVTYDRRAIEEHFERFTGSSEPVSCPVTKMPLQSKAVMSNASLKSVIAEWTMRNEAMRIRIARTALSLSTTDSMVLEAILELKSLAKLRAKNRMQIHKIGVTKFLAKLLDNHNTQIRCDALELLCLLVEDDEGKDIIGKTKAIARTIKLLSSNTTDERHAAISFLLELSKSELWLENIGSTAGSILILTTMKINDSDDPVAAEKSRAVLKNLEKCSKNIKYMAESGYLDPLLSHLVEGPEEVQMEMVSCLSELVLEQELTIDITGSTSGVLIRMVCGCNAAVRKAALEVLVQLSSHHPNNKVLVEAGAVPVMVEELFIRKADDEPLCYKASAATVLANIVESGIDPDTTVVNKEGHVLTSKYCIYNFVHMVKCFMPDNLNLSIIRLLLALTALAKPLDVVVSVVRENHRGHAIVELMNSRTEELSIAATRLLITLSAHIGHTVAERLCKTQGQPGRLVKSISHTGHVTERRAASVMLLSRLPHRIISLNLGLVQEGAVPAILSGIEEVQNGTTRTSRHTVPYMDGLVGALVRLTTTLYNPTVLKAALDHSLASVLTKLLTGASGSSEVQRLAAVGLENLSYQSIKLSQLLPEEDPRPKKKTILKRLMDTKVHSNKNPQHQVCPVHRGVCSTATTFCLLEAGAIQGLLGCLENDNSRVVEAALGALCTLLDDRVDVEKSVAVLAELDTVRRVLGALRRHREKNALWQKCFCMVEKFLIHGDDRCLREVTGDRMLPTALVSAFHKGDASAKQAAEGILRRLHKMPDYSATYVSLEF* >Brasy4G049500.4.p pacid=40084508 transcript=Brasy4G049500.4 locus=Brasy4G049500 ID=Brasy4G049500.4.v1.1 annot-version=v1.1 MLSVLLSTANVSEEKSMESGRAMMERQTARRAPTNTTHMPESLFASVILAKDLTARCRGRALQLTDDEIQSITQDLENVIQNICDHLGRIPASAFGSNACTDGAVRSHSMMNYFGVDMPLNAATDGPNRRSVYDSDMPRLVDFLRGMHHESHEFGGQTFNSLPEVTEYVEPLYGAFFCPLTKEVMTEPVTTEGGVTYDRRAIEEHFERFTGSSEPVSCPVTKMPLQSKAVMSNASLKSVIAEWTMRNEAMRIRIARTALSLSTTDSMVLEAILELKSLAKLRAKNRMQIHKIGVTKFLAKLLDNHNTQIRCDALELLCLLVEDDEGKDIIGKTKAIARTIKLLSSNTTDERHAAISFLLELSKSELWLENIGSTAGSILILTTMKINDSDDPVAAEKSRAVLKNLEKCSKNIKYMAESGYLDPLLSHLVEGPEEVQMEMVSCLSELVLEQELTIDITGSTSGVLIRMVCGCNAAVRKAALEVLVQLSSHHPNNKVLVEAGAVPVMVEELFIRKADDEPLCYKASAATVLANIVESGIDPDTTVVNKEGHVLTSKYCIYNFVHMVKCFMPDNLNLSIIRLLLALTALAKPLDVVVSVVRENHRGHAIVELMNSRTEELSIAATRLLITLSAHIGHTVAERLCKTQGQPGRLVKSISHTGHVTERRAASVMLLSRLPHRIISLNLGLVQEGAVPAILSGIEEVQNGTTRTSRHTVPYMDGLVGALVRLTTTLYNPTVLKAALDHSLASVLTKLLTGASGSSEVQRLAAVGLENLSYQSIKLSQLLPEEDPRPKKKTILKRLMDTKVHSNKNPQHQVCPVHRGVCSTATTFCLLEAGAIQGLLGCLENDNSRVVEAALGALCTLLDDRVDVEKSVAVLAELDTVRRVLGALRRHREKNALWQKCFCMVEKFLIHGDDRCLREVTGDRMLPTALVSAFHKGDASAKQAAEGILRRLHKMPDYSATYVSLEF* >Brasy4G218500.1.p pacid=40084509 transcript=Brasy4G218500.1 locus=Brasy4G218500 ID=Brasy4G218500.1.v1.1 annot-version=v1.1 MEKAIQGTSLGNCRRRDQFFQKKLLSFPKTDSREAAGDALRAHGLSNLLQRRYVLRHSALLSDAT* >Brasy4G011200.1.p pacid=40084510 transcript=Brasy4G011200.1 locus=Brasy4G011200 ID=Brasy4G011200.1.v1.1 annot-version=v1.1 MEGALVSAATGVLKPVLGKLAKLLGDEYKRFKGVRGEIKSLCRELAAMDAFLLKMSEQEDPDPQDKVWMNEVRELSYDMEDSIDDFMKHVDDKDTKPHGFINKFKSWLGKMKAHRRIGKEIDDLKKQIVEVAERNARYKTREAFSKTINATVDPRALVIFEHASKLIGIDEPKKEIIKLLTGEGGSAATKEQLKLVSIVGPGGMGKTTLANQVYQELKGQFDCQAFLSVSRNPDMTNILRTILSEVSNIHYADTEEGSSQQLIVKISGFLAEKRYFIVIDDIWDIVAWDVIKCAFSVNSCGSRIIITTRINPVAESCRTSFNGDIYSIRPLNMVHSRQLFNRRLFGSKEDCPSYLEEVSVQILEKCAGLPLAIIAISGLLANTERTEHLWNQVKDSIGRALERNATVEAMMKILSLGYFDLPPYLKTCLLYLSIFPEDSIVEKKGLIRSWIAEGFIQKSDKFTVHEIGERCFNELLNRSLIQPAKTYYDEEACRVHDIILDFIISKSIEENFVTFIISKSTEENIVTVGTQGKVRRLSIQAGEQGNSCIPTGLALSHVRSLIVFGDFLEIPSLDEFRHLRVLNFEGWFQLERHHLVNIGRLFQLRYLNLRGTRIRELPEQIGHVRCLEMLDLRQTLVRQLPAAIINLGKLSHLLVGSVVKFPDGIAKMQALEVCKRVGLRYNPFDLMQDLAQLKNLRKLGLDFATYDDKGVIAMVQEELHKEVASCLGNLGTCLRSLTIWDGSSFLQQEGSLCPVPLTLQKLGIDNAFPALPRVPKWMGSLEKLQKLALSVHGVKQEDVCILGALPSLLILILNVRAPVGRTWSNKGNLVFSGAVGFRCLRIFSHNQIGPAGLVFAAGSMPKLEKLELCLRKDPSCWKLPLTASDAIDMGIGNLPFLITVKCEIEGTKFNRKALRASPADYSNLSPQISIWGFPKQDSLPKFLISPADYSNLSPYNSFFHILFISFNRFCKHLYFKRLELKFCI* >Brasy4G148600.1.p pacid=40084511 transcript=Brasy4G148600.1 locus=Brasy4G148600 ID=Brasy4G148600.1.v1.1 annot-version=v1.1 MEEPLNRFAARHPDDANVFLLPISVCNLVHYVYRLNTTAHLAPLRRLLADYVAVISDNTRTGTGSRGADHVLVSCHDWAPLVSEGSPGLRDSAIRVLCNANVSEGFVPRKDATLPEVNLADGVLRLPTRGLPRQNRTTLAFFAGGVHGEIRRALLGRQGPGQVLPLPTSTCRCRPSTAAGRATTATTRSWAGPGSASARAASRWRAPGVVESVFAGCVPVIISEGYPLPFGDVLDWSKMSVAVPAARITELKAILRGVSERRYRVLRARVLQAQRHFVLHPGGLT* >Brasy4G253900.1.p pacid=40084512 transcript=Brasy4G253900.1 locus=Brasy4G253900 ID=Brasy4G253900.1.v1.1 annot-version=v1.1 MCRFCSVEESIGHLFFGVVSHLLGAGDRPGCFSQYFRWLPNLVQVSTNVQVVGLAAICWALWKCRNNACFEHKLIRDPADLIGSACAFLKYWAGLQKEGDKALILAGADGLQATALELRGDASRKRKLRIEEA* >Brasy4G292500.1.p pacid=40084513 transcript=Brasy4G292500.1 locus=Brasy4G292500 ID=Brasy4G292500.1.v1.1 annot-version=v1.1 MGDSGGSVVSIDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPSALVPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYILTLFAAKYRERVLGLILVSPLCKAPTWTEWFYNKVESNLLYYYGMCGLVKESLLQRYFSKEVRGCPELPESDIVQACRSLLDQRQSMNVWQFVQTMNGRHDLTEELKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRARLEV* >Brasy4G281400.1.p pacid=40084514 transcript=Brasy4G281400.1 locus=Brasy4G281400 ID=Brasy4G281400.1.v1.1 annot-version=v1.1 MAGEDELKLLGTWASPWASRVKIALHLKGLSYEYEEQDLENKSELLLVSNPVHKKVPVLIHNGKAICESLVILEYIDEAFGATGPSLLPADPYERAVARFWAAYIDNKLAVPWVQAFKANTEEEKAEGMKQMLAAAEMLEGALKECSKGKLFFGGDNVGYMDIALGGLITPLLLLEWMERFSGLDAAKVSLQDGGRLVEFAKMRRAQMAAATTVPPKN* >Brasy4G191600.1.p pacid=40084515 transcript=Brasy4G191600.1 locus=Brasy4G191600 ID=Brasy4G191600.1.v1.1 annot-version=v1.1 MIMATKKSLAAERKEEKAQTFMQIKGLEERRIAFEAHRVQLEEHRIAIEERREKSRQEKREHQLMFMNSSNLDDQGKAYLKLMRDQIMASKTMGGFMPSFFMGGGGGMGDGGNMGGGGAMGDGGGMGDGGGMGDGGSMGGGTSG* >Brasy4G397700.1.p pacid=40084516 transcript=Brasy4G397700.1 locus=Brasy4G397700 ID=Brasy4G397700.1.v1.1 annot-version=v1.1 MEHLRIAVSHRPPLLLPAPKTLRRRRVHLSAPLTLPPASRSLSSRHHLFPAPRRHIPPLLASQTPIPDSETEAGVPPTGAKLVPLLVSLALGLAVRFLVPRPLEVTLQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTVAVATRTLPFEVAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFIKWLGGSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAESKPNDPSSRKLGSYLVMTQFQAGSNSSALFLTAAAQNLLCLKLAEELGIIVANPWVSWFTAASLPAIVSLLATPYLLYKIFPPEMKDTPDAPALAAEKLKRMGPVSKNEWVMIGTMILAVSLWIFGEAIGVSSVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLSWFAVLVAMAGQLTNLGIVSWMSNSVANMLQSFSLSWPAAFVVLESSYFLIHYLFASQTGHVGALYSAFLAMHVAAGAPPVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDIFKMGFVTALLNALIWGVVGTLWWKFLGLY* >Brasy4G042200.1.p pacid=40084517 transcript=Brasy4G042200.1 locus=Brasy4G042200 ID=Brasy4G042200.1.v1.1 annot-version=v1.1 MEMDFMGPRPVLQPRRGDGGGGDDRPQQPCPPWRLAAPTPMMMMTPPPARPNPDAMPPAATYHHQIHHWKQQQQHPSFDQVATRVPPPSPPQPGRSVAAPAPSFRCAIPPASYHATAAALRSMGEANPFRVPPDPAAQLTMFYAGSVRVFDNVSREKAEQIMFMSAKAAAQAAAGGGGGPPVRRLPPRRSEPADRSRSSSRQAASLARACSDPVLLTPYAALGFGDHASQQDLFLPRDVPLARSASLARFLERRKQRAANAAAGPYSRRDISPGSNSMDTFTAVSPANTAPELSWFLGDGTGMNGHEEAPDTELKM* >Brasy4G196800.1.p pacid=40084518 transcript=Brasy4G196800.1 locus=Brasy4G196800 ID=Brasy4G196800.1.v1.1 annot-version=v1.1 MRLTTSELSEKLNIIRTDHQDDGTSQSENTWLPTCFHVIHLYSKDWVFKQCVKVLDGVKQRPEVEEQKGSAP* >Brasy4G022500.1.p pacid=40084519 transcript=Brasy4G022500.1 locus=Brasy4G022500 ID=Brasy4G022500.1.v1.1 annot-version=v1.1 MAWCLAALLVLLVSRGFSAAAATSYTVGDKSGWTIGVDYTKWAGSKSFKAGDNLVFNYASGQHTVVEVSAADYLACAAANPLGSDSSGATTVPLKSGGKHYFICSISGHCAAGMKLEVSVSGSGGSSSSPSSPTPVTPTTPNTPYTTPTTPYVTPTTPYTTTPTSPACSGSTGTYTPVTPGTTPFMSYNYNGAAAGLVRPAAALAGFGAIVCVAIVQLGML* >Brasy4G431000.1.p pacid=40084520 transcript=Brasy4G431000.1 locus=Brasy4G431000 ID=Brasy4G431000.1.v1.1 annot-version=v1.1 MRTEVITANTIDVAVEKILVELGVDTTSSIGNAIYFDGWDGLGASAVLQAVAECLTISNKLTAGPIGLGFEIIIHIDCSKWESRRAMQRKIAEQLKLPNWVMDMFDKQDEEDDFNGLDQGSRSEIPQVVREIHQTTQNRRFVVILHNGSNEEIDLFKFGLSFYEYANSKMLWTFQGRFRLDPKMIDNVKKSTTTGVLLSASSDKRCPKSLWSYLAGHEAAKVCYNKYGHDIINPAIASDCVLYMLKQSCMGSHGIDYDRAMHTSNYWVCAGIVALSPLTDTDVAWKVGDALQREVQLLNIDNRLNNDESKIMPSSQLARFTERMAYWISAAGCGFVQSHSGVILDNIFQYSHMLRVLKLFWCTFSFSSPPFVCCHSLRFLWLDHCQDALARRSTTDLYQELDDSTTMSLECFKSLWVLDLRYTDLNQFLSAQVIDVLTQIRELNVVGAKNWDMSHLKGRLRNIRKLRVTKSTCFSNDFSTMESLELLDFSGNTIRLGSLSLDGTARNHSLETVIIDGFDGLKCISLRGCKELKNVFLKGLFPSLVELDLSGTNVKTLDLREVESNTPAKRIILLGCKKLHAILWPQEVYTWFDVLLIDTTSTSTSASAHGGELAPHAYAHGDRSLQQQKEEEFKGGWQISLTDARLLRSLSPAVVYLTKASVHLDIGPAGARGNVQGTTSDKLVQVQPHTSTIMDCKYRDVLREGSVAAMMMRDCPEIYLREDLPARCIIKVVMHEGLGNKLSEDTPSASASLPDFICEQVTSLHVYNNSSISTPVPPNGSGWKSLRWCRVERCPKLPTVFTVPKGSAWDIFYYLQTFWASQLLTTCYIWENWDVIYLSTNENHFPNLKFLHLDYCPRLVHVLPICARPSYYMSFYTTVRFSYNIETLQIETLEIVYCGDLREVIPLSPEHQKKDTATNFPKLRRIHLHELPVLQHICGRRMFAPKLETIKIRGCWSLRRLPAIGRDTKPPKVDCEKEWWDNLEWDGMDKYHHPSLYEPRHSKYYKAKLPRGSLLR* >Brasy4G243800.1.p pacid=40084521 transcript=Brasy4G243800.1 locus=Brasy4G243800 ID=Brasy4G243800.1.v1.1 annot-version=v1.1 MSSDPRSSNPPPPPGSSSAPPPAGASYFPLPFHLQQQQHQPAATYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPSSARAAAASSSDAAAKKKAIPRRAAGQSWEDPTLTEWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMAKVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALQRQKNHIQKKPKMLKKSILHK* >Brasy4G021900.1.p pacid=40084522 transcript=Brasy4G021900.1 locus=Brasy4G021900 ID=Brasy4G021900.1.v1.1 annot-version=v1.1 MDEEEHEVYGQEIPVDGDMEGGDVDMTTGGDDAAKELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDANASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVSPKRTNVPGMKQRPPRGFNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPTRYRPYF* >Brasy4G242800.1.p pacid=40084523 transcript=Brasy4G242800.1 locus=Brasy4G242800 ID=Brasy4G242800.1.v1.1 annot-version=v1.1 MSRPSLSPLLAILSSRHPPSAGRLLQLHAHLLTAGLMSSRSPFPLASRLVEAFAHSDDPRHSPRSLLHALALLASLPTPPDSASPYNAALRALSLCTDRGLVTRCLPLYCSLFRSARPDHLTFPFLLKACARLQERNYGNAVLGNVLSLGFHADVFVVNAAMHFLAVCSSMAEARKLFDGSHVRDLVSWNTLIGGYVRRGVPREALEMFWRMVEDGAVTPDEVTMIGVVSGSAQLRDLELGRRLHGYVESHGVRCTVRLMNVVMDMYIKCGDLERAKSVFEGIDKKTIVSWTTMIVGYAQFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKRGKEALRLFHDMQEAMVEPDDITMVNLLSACSQLGALEMGMWVHHYIDRRRVSLSVMLGTNLVDMYSKCGNIEKAIHVFKEIPEKNALTWTAMISGLANHGHADVAIKYFQRMIELGLQPDEITFIGVLSACCHAGLVKEGQEFFSLMVSKYHLERKMKHYSCMVDLLGRAGYLDEAEHLVNTMPMEPDAVIWGALFFACRMHGNITLGEKAAMKLVELDPGDSGIYVLLANMYAEANMRKKADKVRVMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHTDIAAIYDCLHEITLQIEHTANMIDTFMLLAEPCKIVID* >Brasy4G242800.2.p pacid=40084524 transcript=Brasy4G242800.2 locus=Brasy4G242800 ID=Brasy4G242800.2.v1.1 annot-version=v1.1 MSRPSLSPLLAILSSRHPPSAGRLLQLHAHLLTAGLMSSRSPFPLASRLVEAFAHSDDPRHSPRSLLHALALLASLPTPPDSASPYNAALRALSLCTDRGLVTRCLPLYCSLFRSARPDHLTFPFLLKACARLQERNYGNAVLGNVLSLGFHADVFVVNAAMHFLAVCSSMAEARKLFDGSHVRDLVSWNTLIGGYVRRGVPREALEMFWRMVEDGAVTPDEVTMIGVVSGSAQLRDLELGRRLHGYVESHGVRCTVRLMNVVMDMYIKCGDLERAKSVFEGIDKKTIVSWTTMIVGYAQFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKRGKEALRLFHDMQEAMVEPDDITMVNLLSACSQLGALEMGMWVHHYIDRRRVSLSVMLGTNLVDMYSKCGNIEKAIHVFKEIPEKNALTWTAMISGLANHGHADVAIKYFQRMIELGLQPDEITFIGVLSACCHAGLVKEGQEFFSLMVSKYHLERKMKHYSCMVDLLGRAGYLDEAEHLVNTMPMEPDAVIWGALFFACRMHGNITLGEKAAMKLVELDPGDSGIYVLLANMYAEANMRKKADKVRVMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHTDIAAIYDCLHEITLQIEHTANMIDTFMLLAEPCKIVID* >Brasy4G328000.1.p pacid=40084525 transcript=Brasy4G328000.1 locus=Brasy4G328000 ID=Brasy4G328000.1.v1.1 annot-version=v1.1 MAGARSSGVRSMAASLLAALLICTPLFLDPAAAAGEERSSYVVYLGDHAHGSRLGGLAAADLAALEEKAARSHHDLLATILGDKDKAREAIFYSYTKHINGFAANLNAAEAAQLASLPEVVSVFPNRAQQLLHTTRSWQFVGLSGPDGVSRGASWRKAKFGEGVIIGNIDTGVWPESQSFRDHGLGPVPKNWKGTCEKGQDDKFHCNGKLIGARFFNKGYASGVGAPTEGLASFNTPRDNGGHGTHTLSTAAGAPSPGASVFGLGNGTATGGSPRARVAAYRVCFKPVNGSSCFEADILAAFDAAIHDGVHVLSVSLGGVGDRYDYFGDSIAIGSFHAVRHGITVVCSAGNSGPKPSKISNVAPWMFTVGASTMDRKFSSDVVSNGTKIKGQSLSSSALNQKTPYPMIDSTQAAAPGRSEDEAQLCLKGSLDPKKVHGKIVVCLRGDNARVMKGEVVREAGGAGMVLANDASSGNEIISDPHVLPATHVGFHDGLLLFSYLKIDKAPVGMIEKPTTSVFTKPAPYMAAFSSQGPSPVNPEILKPDITAPGVGVIAAWTRATSPTELDNDKRRVAYNAISGTSMSCPHVAGVAGLIKALHPDWSPAAVRSALMTSAIEVDNKGQQILNSSFAAAGPFERGAGHVWPSRSFNPALVYDLGPDHYLEFLCALKYNASSMALFTGSGGKAAYKCPESPPKLQDLNYPSITVLNLTSSGTTVKRTVKNVGWPGKFKAAVRDPPGVRVSVSPDVLVFAKKGEEKTFEVKFEVKNAKLAKDYSFGQLVWSNGKQFVKSPIVVQTKAARRKNKLIG* >Brasy4G089100.1.p pacid=40084526 transcript=Brasy4G089100.1 locus=Brasy4G089100 ID=Brasy4G089100.1.v1.1 annot-version=v1.1 MEEPSPADPPRIFWKARRRSAPANGRSLQVQELNNETAAAGEATNEDSMKIDDANAASTTADDSANHPDPKANLSEKRKALFEPLEPINGKRNSADMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREIGGLNEQLEEDSRVLELLQKQLADERRKRSEVEKENSMLQEQVSMLMNMLDENEAFDEEGEEVPPPDSLD* >Brasy4G024300.1.p pacid=40084527 transcript=Brasy4G024300.1 locus=Brasy4G024300 ID=Brasy4G024300.1.v1.1 annot-version=v1.1 MNLNTTKHKSTAVAASSLATASASSLSAPQRERESREGETRERESAGGEPRLPPPRRAPCRPDPEDGLVFLLQGEPAGPRTARSVPPGAEVVLHGARPDLPTRSYWSPGSSGVEAGSAGSLGRRGAGGGRGGRICRRRAGPWRLEEGEIMAASCARARGGRRRERSWRPVAGLGGRRRKSTGGRERTGEREGGERKMRSVGEEAGDIGRTGGVWWVGQSIRDFRCSTNGVRAHGLTECG* >Brasy4G051600.1.p pacid=40084528 transcript=Brasy4G051600.1 locus=Brasy4G051600 ID=Brasy4G051600.1.v1.1 annot-version=v1.1 MEPGRLIFNTSGSGAGQMLFLDCGAGGGIGAGGAAAAAMFHHRGGRPVLGMEEGRGVKRPFFTSPDDLLEEEYYDEQLPEKKRRLTPEQVHLLEKSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKTLERDFDRLKASFDALRADHDALLQDNHRLRSQVETLTEKMQEKEAPGPAGGAAMDASEQLPPEDQAKASDAEEQQAAAEAFDEALLLQHVKAEDRLSTGSGAGSAVLDADALLGGLGAVVDSSVESYSYFPPGGGADEYHHDCVMGGAGGIQSEEDDGAGSDEGCSYLPDDDAGVLFGAGHHAHHAEEDGQNSWWMWN* >Brasy4G051600.3.p pacid=40084529 transcript=Brasy4G051600.3 locus=Brasy4G051600 ID=Brasy4G051600.3.v1.1 annot-version=v1.1 MEEGRGVKRPFFTSPDDLLEEEYYDEQLPEKKRRLTPEQVHLLEKSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKTLERDFDRLKASFDALRADHDALLQDNHRLRSQVETLTEKMQEKEAPGPAGGAAMDASEQLPPEDQAKASDAEEQQAAAEAFDEALLLQHVKAEDRLSTGSGAGSAVLDADALLGGLGAVVDSSVESYSYFPPGGGADEYHHDCVMGGAGGIQSEEDDGAGSDEGCSYLPDDDAGVLFGAGHHAHHAEEDGQNSWWMWN* >Brasy4G051600.2.p pacid=40084530 transcript=Brasy4G051600.2 locus=Brasy4G051600 ID=Brasy4G051600.2.v1.1 annot-version=v1.1 MEEGRGVKRPFFTSPDDLLEEEYYDEQLPEKKRRLTPEQVHLLEKSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKTLERDFDRLKASFDALRADHDALLQDNHRLRSQVETLTEKMQEKEAPGPAGGAAMDASEQLPPEDQAKASDAEEQQAAAEAFDEALLLQHVKAEDRLSTGSGAGSAVLDADALLGGLGAVVDSSVESYSYFPPGGGADEYHHDCVMGGAGGIQSEEDDGAGSDEGCSYLPDDDAGVLFGAGHHAHHAEEDGQNSWWMWN* >Brasy4G365500.1.p pacid=40084531 transcript=Brasy4G365500.1 locus=Brasy4G365500 ID=Brasy4G365500.1.v1.1 annot-version=v1.1 MRAKSRSKQKKKEIAAASMVRAAAAVVAVVVPAPPPLKTKSGNLVSVPPFTVTRRRLLLLASTASALPTAAAAAAAPRFTEIPSSGGVKALDLRDGSGEIPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDESGDPMPFVFTIGSGKVIPGIEAAVKSMRVGGLRRVVIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTVIFDIELISIRQHT* >Brasy4G332000.1.p pacid=40084532 transcript=Brasy4G332000.1 locus=Brasy4G332000 ID=Brasy4G332000.1.v1.1 annot-version=v1.1 MEQQLLVRKKLQWRAVRRACIGSKFLIAEAAREPLIEKCFNTGHSKVSSESEVCKNLDLEEKMSNKDQSSLAPVSASSWVLLK* >Brasy4G339200.1.p pacid=40084533 transcript=Brasy4G339200.1 locus=Brasy4G339200 ID=Brasy4G339200.1.v1.1 annot-version=v1.1 MGGRYPHMLLILLFLHGTSAALDAPVPKWQTLDGRPPLVIAHAGFSGLFPDSSQLAYQVAMATSLHDVILHCDLQLSSDAIGFCKTGLRLDKSTLIAEIFPKRDKTYKVGAEDVHGWFSVDFTADELSHNVTLMQSIFSRPSTFDGSMGMYTLDDVAELRPQQIWVNVEFDSFFKDHKLSTEDYLLGLPKEYPITYISSPDISFLESISGKLKGKTKIILRCLYENVTETTVKKKYGEIVKDLKSIKAFASGIMVPRNYIWPLNHEQYLLPPTSLVKDAHALGLEVYAAGFANDVFTSYNYSYDPAAEYLQFIDNSDFCVDGVLTDFPPTASGAIACLAHTKGNVLRPTDDGERPFIITHNGASGVFPGCTDLAYQQAVKDGADIIDCPVRMSKDGVAFCLASADLTSSTTAATTFMTKVVTINEIQNKSGIFSFDLSWSEIQSVKPDLIGPYAPVGLKRNPAVKNAGKFLTLTEFLDFAKTSNVSGILIEIEDASYLATRGLGVVDAVTKSLVNASYDKEDKQHVLIQSDDSSVLSAFKNFPTFQRVLVVHSVISDASKPSIDEIKEFAHAVSVTRTSLVEVNGFFLTGFTNLVERLHAANISVYAGVLKNEFMNLGFDYWADPMMEIATYSASLAVDGLVTEFPATAIAYFKSPCSDLTLNLSYTILPAEPGSLVSQVPPGALPPALPPAPVLEPADVLDPPLPPVSVSSPPEATPKAADDSASAASSNVSNYLLVVGIAAFLSLSFH* >Brasy4G091800.1.p pacid=40084534 transcript=Brasy4G091800.1 locus=Brasy4G091800 ID=Brasy4G091800.1.v1.1 annot-version=v1.1 MCGGAILTDLKRPPAVSRRLTEGLLWPEKKKTCWKGEDDFEADFGEFQVGPVDEDWEEEEAGDDEFVEIKPPPVKRAFSGDDLSTMTSAGFDGPAERSAKRKRKNQFRGIRQRPWGKWAAEIRDPNKGVRVWLGTFNSAEEAARAYDAEARRIRGNKAKVNFPEEPRAAQKRRAGPAAAKVPKSRVEQKPNVKPAVNNLANTNASAYPSADFASNKPLVQPDNKPFVQSGDLPFFQLDDLPFAQPDDLPFVQPDDMPFVHAMNSAAPIGAPGMNLYSDQGSNSFGCSDLGWDCDTKTPDITSVAPISTIVEGFESAVVKGNTQDSVVPPVMETNTVDHTNVLETNTVDPTNGLADLEPLLKFLMEEDAGESIDSFLNLDESQDVNGLWSFDDLISSDFY* >Brasy4G091800.2.p pacid=40084535 transcript=Brasy4G091800.2 locus=Brasy4G091800 ID=Brasy4G091800.2.v1.1 annot-version=v1.1 MCGGAILTDLKRPPAVSRRLTEGLLWPEKKKTCWKGEDDFEADFGEFQVGPVDEDWEEEEAGDDEFVEIKPPPVKRAFSGDDLSTMTSAGFDGPAERSAKRKRKNQFRGIRQRPWGKWAAEIRDPNKGVRVWLGTFNSAEEAARAYDAEARRIRGNKAKVNFPEEPRAAQKRRAGPAAAKVPKSRVEQKPNVKPAVNNLANTNASAYPSADFASNKPLVQPDNKPFVQSGDLPFFQLDDLPFAQPDDLPFVQPDDMPFVHAMNSAAPIGAPGMNLYSDQGSNSFGCSDLGWDCDTKTPDITSVAPISTIVEGFESAVVKGNTQDSVVPPVMETNTVDHTNVLETNTVDPTNGLADLEPLLKFLMEEDAGESIDSFLNLDESQDVNGLWSFDDLISSDFY* >Brasy4G126800.1.p pacid=40084536 transcript=Brasy4G126800.1 locus=Brasy4G126800 ID=Brasy4G126800.1.v1.1 annot-version=v1.1 MSSDDLHGAVDANPDGKESDGCSAGNIPAAATDQIGTIRRSPGRRSPASMAASPKPSSPPLLVKPRRGTTRMSTVWKRVLISSALLAVLCFAAQRYSGHGHRRDPIPTPRPTPLEIVIRKKTTPPGVADMAAGCLPLAREAGSRAAAGSGKNFVVSPLSIHAALGLVAAGARGDTRRQLLEFLGSPSLDALHGAPATELVGELNGLEQTSFASGVWIDRRRALRPEFMAIGRERYSATAESVDFINDAEQARQRVNAFVMNVTNNLIRDILPPGSVDSYTVLVLANALYFKGTWSEQPFDPSATFVAPFHTPDGTVVRVPFMTGQGDKHVAVYPGFKALRLPYKNDDGNGRRFYMLLLLPDNTTNLKLSDLYDQAVSTPGFIKNHSPMVEVPVGRFMVPKFKFTFNFEASSDMRKLGLTNPFDGGDFSGMVSGGDGLGIKGVHHKATIEVDEVGTVAAAATAMFLVGSAFDASPPKPRVDFVADRPFLFAIVEERSSAVMFVGHVVNPLDH* >Brasy4G275600.1.p pacid=40084537 transcript=Brasy4G275600.1 locus=Brasy4G275600 ID=Brasy4G275600.1.v1.1 annot-version=v1.1 SPPPDLDRKHTPPPLQLSSAASAPPPCPPLTRLEPSAAAPQAIRRPADPLAATADVSPTIAAMAVPCPRPTGMCDGVGGSVQTRRVCNGCSGDSRRGACIGGSGDSQFVGSSSVRSCGHLRGLQPPQFGGLSPSAS* >Brasy4G033600.1.p pacid=40084538 transcript=Brasy4G033600.1 locus=Brasy4G033600 ID=Brasy4G033600.1.v1.1 annot-version=v1.1 MALQSFSCQFCSPAVDLTLSLALPSPAPPQAMTLQPCLCQSCSPVDYLTLALRSPAPPQGDVVALAQLWRYWLLRGAGAGRQGAAAAADDGQARLENGHTGADNNPERWPLMIVNAENHLFNEVVPVAMPPAPMEAENDGRVGEKRRRAPAPVEGSQCRRSNGKRWRCKEQAQGGYGFCEEHRRQDRERCADTRARRRHAAALAKAKATAAAPEAGV* >Brasy4G417100.1.p pacid=40084539 transcript=Brasy4G417100.1 locus=Brasy4G417100 ID=Brasy4G417100.1.v1.1 annot-version=v1.1 MLTVGSRGRRRSGRGEAVQGGGWRRGHRRRRRIRRISGSGQPRDERGCPLDSRRRDEANGSGFSGYGGVVGRKHVRGMVRAWAWQWGTPASSKLFRWTFRGEVRGEERFL* >Brasy4G377200.1.p pacid=40084540 transcript=Brasy4G377200.1 locus=Brasy4G377200 ID=Brasy4G377200.1.v1.1 annot-version=v1.1 MQPLDCSYWKYWNFLLALVLLVSLASLTSSCMEQEKASLLHLLAGLSRDGGLAASWEGDKDCCRWEGITCSPNRMVTDVSLASRGLEGSISPHLGNLTGLLRLNLSCNWLSGVLPLELVLSSSIIVLDVSFNRLTGGLSELPFSTPARPLQAMKSLVAINASTNSFTGQIPTTPCASSPSFTVLELSFNQFSGNIPPGLSNCSEMKILSAGYNNLNGTIPDELFNITSLEHLSLPNNWIKGALNGIIKLTKLVTLDLGMNELSSNIPDSIGELKRLVELHLGHNNMSGDLPTALSNCTDLITIDLKSNYFSGELTKVNFSSLSNLENLDLLYNNFTGTIPESIYSCSKMTALRLSQNHFHGKLSEKIGNLKSLSFLSLRNISLTNMTRILQILGSSRSLTTLLIGFNFMHDTMPEDDRIDGFQNLQILAINDCSLSGKIPHWLSKFRNLRMLFLQNNQLTGPIPDWISSLNALFYLDITNNSIKGEIPISLMDVPMLKSDNTAPKVFELPVYDKSPFMQYLRLGAFPKVLYLGLNNLSGVIPKEIGQLQALVALNLSFNRLSGEIPQQLCTLTNLQMLDLSGNHLTGTIPAALNNLHFLSKFNISNNDLEGPIPTVGQLSTFPNSSFDGNPKLCGPVLVNQCSSAEADPVSIVSTKQYGTEVISAIAFGVFFGVGVLYDQIILARYFG* >Brasy4G243100.1.p pacid=40084541 transcript=Brasy4G243100.1 locus=Brasy4G243100 ID=Brasy4G243100.1.v1.1 annot-version=v1.1 MRDFIGKPVLCLLWISLLQGCMVQSVEYDHTASIECLSDPMGPLYKGGIIQNGDFNNGLMGWSTYRNIKAGVRRSSQSGNKFAVVHGAGSSQLSGTAGTGTNAAAPSHSVYQKVQMQGDTHYSLSAWLQVSAGTAHVRAMVKAPNGENITAGAIDVQSGCWTMLKGGMTAQAYHSGPGEIFFESDDHVDIWVDSVSLQPFSFEEWDAHALQSASKARRSTVKVVVRGADGKPMAHANMSIELLRAGFPFGNTMTKEILDIPAYEKWFTSRFTVATMENEMKWYSTEWNQNQEDYRIPDAMLKLAQKYGIKVRGHNVFWDDQNSQIRWVRPMNVDQLKAAMQKRLKSVVSRYVGKLIHWDVVNENLHFNFFETKLGPNASPQIYQQVGQIDRNAVLFMNEFNTLEQPMDPNGTPTKYVAKMKLIRGYPGNGGLKLGVGLESHFSTPNIPYVRGALDTLAQLKLPMWMTEVDVVKGPNQVKYLEQVLREGYGHPGVQGIIMWAAWHANGCYVMCLTDNSFKNLPVGALVDKLIAEWKTHKTAATTDANGLVDLDLVHGDYSLAVNHPSLQSAAIHTMTVDAESPSEHTISLKA* >Brasy4G185300.1.p pacid=40084542 transcript=Brasy4G185300.1 locus=Brasy4G185300 ID=Brasy4G185300.1.v1.1 annot-version=v1.1 MRPPAPKPPVATSTYNQQEPRPENPLLLLTSSRAAKLSLGCPLLDRFLSGGLPAASVTEIAGESAAGKTQLCLQLALLAPLSPLSSSSLFLYSDLPFPLRRLRLLAPKSRPDLLDHVLVAAVHSPSDLLSLLSRAQHHLTHPSRSPHRLPIRLILLDSIASLFRSDFDASPADLKRRSGLFFKISAKLKELAYRHQCVVVVTNQVVDVVEGNTGNTVAWSSGRQVSPALGLAWANCVNTRLFLTREVDGNGGSVKRHMKVAFAPHLPERTCEFVIRRTGVFGVEPAQR* >Brasy4G185300.3.p pacid=40084543 transcript=Brasy4G185300.3 locus=Brasy4G185300 ID=Brasy4G185300.3.v1.1 annot-version=v1.1 MRPPAPKPPVATSTYNQQEPRPENPLLLLTSSRAAKLSLGCPLLDRFLSGGLPAASVTEIAGESAAGKTQLCLQLALLAPLSPLSSSSLFLYSDLPFPLRRLRLLAPKSRPDLLDHVLVAAVHSPSDLLSLLSRAQHHLTHPSRSPHRLPIRLILLDSIASLFRSDFDASPADLKRRSGLFFKISAKLKELAYRHQCVVVVTNQVVDVVEGNTGNTVAWSSGRQVSPALGLAWANCVNTRLFLTREVDGNGGSVKRHMKVAFAPHLPERTCEFVIRRTGVFGVEPAQR* >Brasy4G185300.2.p pacid=40084544 transcript=Brasy4G185300.2 locus=Brasy4G185300 ID=Brasy4G185300.2.v1.1 annot-version=v1.1 MRPPAPKPPVATSTYNQQEPRPENPLLLLTSSRAAKLSLGCPLLDRFLSGGLPAASVTEIAGESAAGKTQLCLQLALLAPLSPLSSSSLFLYSDLPFPLRRLRLLAPKSRPDLLDHVLVAAVHSPSDLLSLLSRAQHHLTHPSRSPHRLPIRLILLDSIASLFRSDFDASPADLKRRSGLFFKISAKLKELAYRHQCVVVVTNQVVDVVEGNTGNTVAWSSGRQVSPALGLAWANCVNTRLFLTREVDGNGGSVKRHMKVAFAPHLPERTCEFVIRRTGVFGVEPAQR* >Brasy4G112800.1.p pacid=40084545 transcript=Brasy4G112800.1 locus=Brasy4G112800 ID=Brasy4G112800.1.v1.1 annot-version=v1.1 MSFGGLFDGGMQFPYPGGFSSSPALSLALDNAGGRDGGIGGRMFADGAMAGDADAQNDSRSGSDHLDAISGVGDDDADAEPSNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGGCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPVSLMSPLQLQPHLSMHLPNSSLELAVGGMGGIGSMQPTMHGAMSEFAGGASSSMGTVITPARATGSAIASITDIDRSMFLELAISAMDELIKMAQMDDPLWVTGLPGSPNKETLNFEEYHSFLPGIGMKPAGFVSEASRESGLVIIDNSVALVETLMDERRWSDMFSCMIAKATILEEVSTGIAGSRNGSLLLMKAELQVLSPLVPIREVIFLRFCKQLAEGAWAVVDVSIDGLMRDQNSATTSTAANLKCRRLPSGCVMQDTPSGFCKVTWVEHTEYDEASVHQFYRPLLRSGLAFGASRWLATLQRQCECLAILMSSPTVAANEPMAISLEGKRSMLKLARRMTDNFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFDFLRDEQLRAEWDILSNGGPMQEMTRIAKGHQNGNSVSLLRASAMSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMEGRDSTCVALLPSGFAILPDGPSIEQKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALLCTTPDC* >Brasy4G373100.1.p pacid=40084546 transcript=Brasy4G373100.1 locus=Brasy4G373100 ID=Brasy4G373100.1.v1.1 annot-version=v1.1 MHKLFMLLLAVFGTNSINIHAGLNGLEVGQTVSYLPRLSANYIWGQQSLR* >Brasy4G072000.1.p pacid=40084547 transcript=Brasy4G072000.1 locus=Brasy4G072000 ID=Brasy4G072000.1.v1.1 annot-version=v1.1 MAAADAVLLLMMMAGALVSRASAQQPAEPPMGMALPNCRDRCGDITIPYPFGIGAGCFRDDGKAASSSRATIRAAAASRSSATATASPRSPWLTARPGPT* >Brasy4G157500.1.p pacid=40084548 transcript=Brasy4G157500.1 locus=Brasy4G157500 ID=Brasy4G157500.1.v1.1 annot-version=v1.1 MSKRQHIPQPCCYTPPNKRRPPQQQQEPRQHVYLVVDDWERGYSVRKVDVDAFDSGAGAGADLDEEAEPLPDPPVARFDGPHCRISDFVAHGTSILAMPCSTTADSAFPVFDTTTLALSLCAHPNGQKTWSRPVSATVAGKLHMMVGSIVCALGAPPRRCGVIDESTPRSAGVCSAHPDGRTLFVSGAESSFCLDTESESLGWTCQGKWVMPFKGQAYYDGELDAWVGLCRYKGGVGYVCSCDVPQPVAAATGGGGGMPAWKLGKDKLFSPDNENERHLGAILLHLGNSDYCLIESLVHKDDYLSYVNGDAGWPFERCRYDKNGELRTTRTRARSYEMTEGHVFNDIHSNPVAFWM* >Brasy4G333800.1.p pacid=40084549 transcript=Brasy4G333800.1 locus=Brasy4G333800 ID=Brasy4G333800.1.v1.1 annot-version=v1.1 MASPTAPLGGSTPSGRVLGPALDRIIKNAAWRKHSALVAAAKTALDLLSSSSYPPHDPTSPHPSPLLGLPTAAAAASLHALILALESASPKVADPALDCVAKLLYHRLLLGDLGAASDDSPPSKLLTAVLSCGALNDDAMELSTLRVLVAAARCPSIAIRGEGLGQVLKTCYNIYLSSSSSANQLCAKLALAQVLVIVFARVEVDLMDVRVQTVSITDMMDVSDRSLNDSSIVHVAQGFINDAMEGSDVPEPGTPVGMADGDVNEDEGMSKIREDGLVLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVVDNAGAFWRTDEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLILRVLENVNQPSFLQKMTVLNLLEKICKESQVLIDIFVNYDCDVDAPNIFERIVNGLLKTALGVTPGSTTTLTPAQDQTFRIESVKCLATILKSMGSWMDQQLKIGDFSPKLSEVSLNSLDNPNIFIGEDGSGIDYELQSESYIPDLSGASSLEQRRAYKIELQKGISLFNRKPSKGIDFLTKSKKIGHSPEDVASFLRNTSGLNASMIGDYLGERDEFPIKVMHAYVDALNFEGMDFGEAIRHYLRGFRLPGEAQKIDRVMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNMMVKDKMSKSDFIRNNRGIDDGKDLPEAYLSTLYDQIVNNEIKMSADSSVPQNKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSETVFYVITDTTILRFMMEVCWAPMMAAFSMTLDQCDDKAATSQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEEKTQKSSTTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTSDQINNFISNVNLLDQIGIFELNHIFAHSQRLNSNAIVAFVEALCKVSITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDANHQPNSIDSSDGNAIVHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGQLFSQSFWTNIFESVIYPLFSSEVCTPNGQSNSTEDESWNFETKTVAVKCLVDLYVTFFDVMRPELSRVTSVVTNFIKSPYKQNASTGMSVFQRLTDGLASKLSKDEWKEILLCFKESAADTFVVFDKIFKMMLDIQIPEKNESYSEAGQYSDHDIYNEDEEEANMETSSYAIVKMKNHMALQLLIVQGIIKLYETHRRSFCAEHMGIMLEMLSAITSHASEVSSESALHMKFHKACSLLEISEPAVIHFENESYQSYLRLLQALLHDNPSLSQNMNIEKQIMLVSVKILRTYLNCAGHEPSKDASHRDTVVHWALPLGSAKKEELSARTSLVLHVMRLLSGLERECFRRNLPLLFPLLANLIRCEHSSGEVQVALYDIFQSSIGPIISV* >Brasy4G333800.2.p pacid=40084550 transcript=Brasy4G333800.2 locus=Brasy4G333800 ID=Brasy4G333800.2.v1.1 annot-version=v1.1 MLMHQIFLKDICRIVNGLLKTALGVTPGSTTTLTPAQDQTFRIESVKCLATILKSMGSWMDQQLKIGDFSPKLSEVSLNSLDNPNIFIGEDGSGIDYELQSESYIPDLSGASSLEQRRAYKIELQKGISLFNRKPSKGIDFLTKSKKIGHSPEDVASFLRNTSGLNASMIGDYLGERDEFPIKVMHAYVDALNFEGMDFGEAIRHYLRGFRLPGEAQKIDRVMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNMMVKDKMSKSDFIRNNRGIDDGKDLPEAYLSTLYDQIVNNEIKMSADSSVPQNKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSETVFYVITDTTILRFMMEVCWAPMMAAFSMTLDQCDDKAATSQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEEKTQKSSTTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTSDQINNFISNVNLLDQIGIFELNHIFAHSQRLNSNAIVAFVEALCKVSITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDANHQPNSIDSSDGNAIVHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGQLFSQSFWTNIFESVIYPLFSSEVCTPNGQSNSTEDESWNFETKTVAVKCLVDLYVTFFDVMRPELSRVTSVVTNFIKSPYKQNASTGMSVFQRLTDGLASKLSKDEWKEILLCFKESAADTFVVFDKIFKMMLDIQIPEKNESYSEAGQYSDHDIYNEDEEEANMETSSYAIVKMKNHMALQLLIVQGIIKLYETHRRSFCAEHMGIMLEMLSAITSHASEVSSESALHMKFHKACSLLEISEPAVIHFENESYQSYLRLLQALLHDNPSLSQNMNIEKQIMLVSVKILRTYLNCAGHEPSKDASHRDTVVHWALPLGSAKKEELSARTSLVLHVMRLLSGLERECFRRNLPLLFPLLANLIRCEHSSGEVQVALYDIFQSSIGPIISV* >Brasy4G281700.1.p pacid=40084551 transcript=Brasy4G281700.1 locus=Brasy4G281700 ID=Brasy4G281700.1.v1.1 annot-version=v1.1 MHAVYDRKGEPPVPVEYNEKGQPVGKKAAEFSNFLSTLVKRDIPVKYKDWREVNITMKTGLMTTLKKYYVVDDKLKDWVMGSAHKKWRDFKSDLKEKFF* >Brasy4G147000.1.p pacid=40084552 transcript=Brasy4G147000.1 locus=Brasy4G147000 ID=Brasy4G147000.1.v1.1 annot-version=v1.1 MKINSGEASPDELGQLPNMITFSAGSNRLSGQVPPSIFNLSMLQNLGLYVNRLEMAALPLDIGDTLPNLKFFTLGTNMLEGPIPAALGNVSGLEKLDLSSNSFIGEIPNFGKLLNLVNLNLRNNKLESGDTQSWESFYGLTNCSSLEALTLDNNQLKGSVPNLVGNMSTKLARLHLAGNNLAGIVPLSIGNLGSLNDLDLSTNSFTDTIEGWVGSLKSLESLDLHGNSFVGSIPPSIGNLTKLTLLYLAKNEFEGPIPPILGELTRLASMDLSYNNLQGDIPSELSGLKQLRTLNLSSNRLTGEIPEDLSQCQGLITIQMDHNNLTGNIPTFFGDLMSLNMLDLSHNDLSGNIPVNLQILSKLDLSYNHLQGAIPTEGVFGNASDVSLYGNLGLCGGVSDLHMPPCPVASRRTKIQYYLIRVLIPLFGFMSLILVVYFLLLERKTSRRTYESEAPLGENFTKVSYNDLVEATSNFAESNLIGKGSYGTVYKGKLVHNKIDVAVKVFDLEMRGAEKSFMSECEALRSVQHRNLLSIITACSTVDSNGHPFRALIYEFMPNGNLDTWLHHNGGDEAHKHLDFTQRIDIAVNISDALDYLHNDSANPIIHCDLKPSNILLDDDMVAHLGDFGIARIFLDSRPTSAGSSSSIGVKGTIGYIPPEYAGGGRIATSGDAYSFGILVLEMLTGKRPTDPIFKDGLDIVNFVSSNFPHQIPDVIDVHLKEEFKEFAEARIVSEDPVYLCLVSLLQIALSCTRQFPSERANMRETASKIQAIKASYLERKTKKNSSV* >Brasy4G033500.1.p pacid=40084553 transcript=Brasy4G033500.1 locus=Brasy4G033500 ID=Brasy4G033500.1.v1.1 annot-version=v1.1 MPKHLQVQGPVPQASTVQGTSQVVVDSDDPAWTLEYWILSY* >Brasy4G162000.1.p pacid=40084554 transcript=Brasy4G162000.1 locus=Brasy4G162000 ID=Brasy4G162000.1.v1.1 annot-version=v1.1 MESGSAFYAAEGLHIDPIWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEVVKRQGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLMGGSLRKYLVSLRPRNLEPRTAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPNERPNFTQIVQMLLHYLSTLSPPEHMAPARTFSSENAILPPESPGTSSLMASRGDITPKGNVEDKPKGFFFCFSQCY* >Brasy4G036100.1.p pacid=40084555 transcript=Brasy4G036100.1 locus=Brasy4G036100 ID=Brasy4G036100.1.v1.1 annot-version=v1.1 MPTTNQLLLVLALLVSSSALVAPAFTPPHQYDCSGVIYSGSCNAVTCYTDCQNKYTQAEGLCVPQGCKCSFYCPPSASKAQPN* >Brasy4G300900.1.p pacid=40084556 transcript=Brasy4G300900.1 locus=Brasy4G300900 ID=Brasy4G300900.1.v1.1 annot-version=v1.1 MDTVGARLSRSSTRYGPSSSSNASFRGPVRKWRKAWAPLAGGGNGGAGSASAGMGPMGCPRGNKVVLLKWAPVNGAAGGAGDGDGNGKQVPEAAGRRRFVPASGVPQNPTKKSGSTELNLNLGLEDPDDDSDADSSADEQRETGSNPRSENRLKRKVF* >Brasy4G309300.1.p pacid=40084557 transcript=Brasy4G309300.1 locus=Brasy4G309300 ID=Brasy4G309300.1.v1.1 annot-version=v1.1 MFPLAISPAFLRLSVWLCSRVAVASSNGTADELNLLDFKSQLSDPSGALASWNTSNHLECRWQGVTCGRRHPERVVALKLNSLDLAGGVSPFLGNLSFLRTLDLGNNGLRGLIPRELGQLSRLQVLNLSLNALQGTIPAALGSCTHLRKLSLRNNLLHGEIPARIGSLGNLEYLNLFTNGLSGEVPPSIANLSSLQTLNLGNNTLFGSIPSSFGRLPRIALLSLQFNNLSGHIPPPIWNISSLKGLSLVGNALTGMIPPGAFVNLPLLQLFYMSYNQFHGPVPAVLANASQLSRLELGYNLFSGTVPPEVGSLQNLESLALSNNLLEATNPSDWSFMSTLSNCSQLQYLDLGSNELGGMLPSSVANLSTSLLYLSLSRNRILGNIPENIASLVQLEVLSLERNYLTGTLPSSLSILTSLGDLSVDKNNLSGSVPLTIGNLTQLSNLYLGANAFSGSIPSSVGNLTSLLYIDFAINNFTGKIPSGLFNMTTLSLGLDLSYNYLEGSIPPEIGNLRNLVEFRAVSNRLSGEIPPALGDCQILQNIYLENNFLEGSIPSALSRLRGLQNLDLSSNKLSVPFIGVFANATAISMQGNDKLCGGIQDLHLPPCSLGSSRKHKFPVKTIIIPLVAVLSVTFLVYFLLTWNKQRSQGNPSTASIQGHPSISYLTLLRATNGFSTTNLLGSGNFGSVYKANSPGDMGDGANIVAIKVLKLQTPGALKSFTAECEAIRNTRHRNLVKIITTCSSIDSKGDDFKAIIFEFMPNGSLEDWLYPDQNEEKHLDLFKRVSILLDVGYALDYLHCNGAAPIAHCDLKPSNVLLDIDLVAHVGDFGLARILAEGSSSFKNSTSSMGFRGTIGYAAPEYGAGNMISIQGDVYSYGILILEMITGKRPTDSVFKQGLNLHKYVEMALHGGSIDVVDIRLLLSIQTEPLVTTTGDSSAFSETDDPSDDRRIDCLTSLLRVGISCSQELPVNRMPIRDTIKKLHAIKVSLA* >Brasy4G278600.1.p pacid=40084558 transcript=Brasy4G278600.1 locus=Brasy4G278600 ID=Brasy4G278600.1.v1.1 annot-version=v1.1 MELSAAFEERVRQMEDARNHRLSLLQAEKEIQAAKSRLLGAKIATARRLEGRRLLLERRAADLASRTLAARAVIDATHARRLVVAHDLSLVRAEIEEAERKEEDWDRFYESKRKEMQQFQAMSQQFEVEARKEVQMLKDTVSQLQSTLQEHQSSGMYSNDADIAAAEAKKSDLTSKKAKLDESLASARQFRALLQQQLQKAFASQVGDQKATQN* >Brasy4G233400.1.p pacid=40084559 transcript=Brasy4G233400.1 locus=Brasy4G233400 ID=Brasy4G233400.1.v1.1 annot-version=v1.1 MLFLWSLCCVTASSAQPLRGRPHGRGYLRAADVTRQCRGSVLSSASELVTITRSDASSLMSGLPDADWHQDAGDAPLMPVPGADGDSRLLDEEATTQLATLTLTHVDMVMDGQGQRGRLALNVSGVLSLTINRNGWCSQGCSSAGSKYDSMDPHRQNSPDFKLRPGVSKLDILLEGVFTETKSSSGFDGDGERVLCMVGDALLPVRGSNSSTAGPWDWAKNNNVGDSSSSSSEPPVMADGNIVFTLRFPKWQTMTTRAMLGELTSTSAKSDKAYFDAVRVVSHGNHFSSDGYQYRPRGELTAAAAAGCSTRPFFCDDDDDDEVSAGNNNNCAGELSEDVPVCDILRAGEYGVLAVVPNWKCDSNEEFCSRLGPFLTDAGRAAIRCTGLDANGTAQVSAVFRAVPPWEDRLTAARRTGLGGMTLSAEGVWTASTRRLCMVACLGTGGGDRACRHRVSLFFPTTFSLTRRGVVTGQITSTDGSYFPLSFQRALDPGQTWNKASRPDEPLRMAYGYKKIDQAMELLQGLPEWITGQFFRLQILSIGPLVRSKVHYRFDEGFQLQSTGRSGMQLRGVQNVMGTHNGGGVEVQQQILNVSADFEASSRSSSGPWHRPVISLEGVYNPSDGRMHLIGLKDARPLRKAYYAGVTGMWLLPRVYGFLGPAVNNMYYYSHPDGAQDDDAMDFYAKVSDVVVPLVAAALAVSVYVQQRWNYRILGWAVGSSKQKKLQHVY* >Brasy4G274800.1.p pacid=40084560 transcript=Brasy4G274800.1 locus=Brasy4G274800 ID=Brasy4G274800.1.v1.1 annot-version=v1.1 MDLLSDTGIGVGAAVVSVFLVIGFMCCAKIFFRTFFDFSCQRSELRRWLRKALGARLRCQCQWERAAAARLRCLCIVDGAGGSASVALPHLCRCKELFKQARCPRPRPRKCWCTAARGSREATVRDDEQLAGGASECAVCFGQVENGEIHG* >Brasy4G170500.1.p pacid=40084561 transcript=Brasy4G170500.1 locus=Brasy4G170500 ID=Brasy4G170500.1.v1.1 annot-version=v1.1 MTICCVASHGSTRRPPVPPQSPVDLVLVSPSRFPSSLKPRSPKLSQAAIDARGGDKLEAATAYLARKL* >Brasy4G166000.1.p pacid=40084562 transcript=Brasy4G166000.1 locus=Brasy4G166000 ID=Brasy4G166000.1.v1.1 annot-version=v1.1 MGRSGHACSVLGSLLLVLVSLGSAAAQKGSTWKTLSGDPPAIIAKGGFSGLFPDSSENAYLFATISRDSAVWCDVRLTKDGSGICLPDIQMENCTSISNAFPKGKKTYNVNGVSVTGWFSVDYKDTDLGKVFLRQSVSSRSQRYDGGGLLIVPVEAVIAQFKAPAVWLNLQHDSFYSQFNLSMRSYILSLSKQYTVDYISSPEVTILKSLLGRVSKKTKLVFRFLDEGTAEPSTNQTYGSMLKNLTFIKTFASGILVPKHYILPVTADNYLKPHTSVVDDAHTAGLEIYAADFANDFTFSYNYSYDPLAEYLSFIDNGAFSVDGVVTDFPNTPSTAIDCFHNLNSSKRDHGAPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCAVQVTKDGIPICMSSIDLKDVTNVVTSQFASEAVIIKDIKADAGVYTFNLTWEDIAKNLKPTISNPMSKYKTYRNPRNRNAGNFMKLSDFLAFAKDKDLSGIMITVERASFMAEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSVLVKFRQQTKYNLVYMIDEDVKDAAPSSLADIKKFADAVSVSAMSIYPATNNFLINQTNPVVKSLQSAGLPVYVYLLMNEFLSQPYDFFSDATAQINAYVQKDGDGGGVDGVITDFPGTARRYKLNSCKNMGKKTPLFMQPPQRGGLVGTISDPSALPPAMSPMPVLTDSDVAEPPLPPVNNSTAPAPPNAATRMRTDVPILAALLVLFASLLI* >Brasy4G002900.1.p pacid=40084563 transcript=Brasy4G002900.1 locus=Brasy4G002900 ID=Brasy4G002900.1.v1.1 annot-version=v1.1 MRTPASLVRSSTSAAEAAAAAPVSTTMISIDSNMVVILASLLCALVCLSGLAIVTRCACRRARRHPPPPLAGIIANNSLAPLPPPARGLKKKAIDALPVVTTKGRHGHGQEEEEDDQCAICLADFAKDEEEELIRVLPGCGHGFHVACIDTWLRAHATCPSCRATIADETESSSPPTPLPGRCRRCGATCSQDEDNIAAHTEPPAAAASRDETSFLP* >Brasy4G383800.1.p pacid=40084564 transcript=Brasy4G383800.1 locus=Brasy4G383800 ID=Brasy4G383800.1.v1.1 annot-version=v1.1 MVLAESVIYVGPMICFTVSLLRIDLTRLDFGIQEIDASKANLKPALVIFYSLTTAQSSMQILSVEFEILWSNSLDIIGHIYGLDTRVVAPYFSETERRYENDPACIKSWTFVTYGAGLLDSASPDDYLCGVRVLNMLIEQGLSLRRLLILSPRQTIEKLIRTLRSASPLERETRGLSARIVAHLSTDLKLAEFPRALECISSLLDAFGYNNGDQEAVCQCNSLTSSKPPMISTNTFTIVTHIATGARFIGRKLQDLLQTKKQEKQVSSEAAKGTNEDLILQGLRILENLAHDQDNCAEIYGYKDLLTKIVAPVSSSSLMEDIEINAAWKKVVDGSLRMISRLMGAPGDTGKNMRRKIADDSTAAANLEAVLSLEINSRSLELQNRAIDVLAQLVLDESIYLDRNGMENLVLKEAKYVLNIKALYIFLSNKWMEDYLAERKNTIDEESAGQHRHHRNAWAEEKRKAADETVRRLKEKAGDALVMLSMQSQSNSEAIRSFTGCGGDVVHLLTEMLDSNSQMMMTTKCRISAAAILKHLCTHCALDEGYVNEITLKKVLAELLHIKPEPEATNMLWCWPCTPVRRNWDIENPIGYGGSSGQHEPCDLQTHINQRSDQRRLQAALLSLCTEIRSRMINNAGDFADLAVKLVSPEDLAGKLKKVVEENSHATPASMAILKLTCEMVKVLIPHDRHMEEVRKKKEVIEALSQASGTMAGVESCLLFAGAVKDCHGVAVKPLYSALVKQAGELLRHKEIELRNNVHAAARGP* >Brasy4G148300.1.p pacid=40084565 transcript=Brasy4G148300.1 locus=Brasy4G148300 ID=Brasy4G148300.1.v1.1 annot-version=v1.1 MKPTTVSPPAAAAAAAAATDDPSSSQSDPVSATFSVDRRGDASASCRWTLPDFPRSRARTFYSRYFEVGGFDCRLLLYPRGDTQSLPGYLSLYLQVLDPKTPSSSSSSTTTTSSSKWDCFLSYRLSVVHPTDNSKSLARDSWHRFSSKKRSHGWCDFAPSAAAAYLLPPHDSLVIAADISVLSESTSFADADGRFTWKVLNFGLFREMIRTQKIMSPPFFPAAALAAGGNDCGLRISVYQSNVSGADHLSVCLESKEPVVQVTTGSSASALASSSVGSGVPDGDRGCWCLFRVSILNQKPGGSHIHKDSYGRFGADNSSLGWGDYLKMDEFLAADGGYLFDEAVVFTASVHVIKESNSFTRSLPMVVGISGAGGGRPGARKSDGHFGKFVWRIENFTKLKELLKKRKITGLCIKSRKFQAGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRNTTGEWTCFVSHRLSVINQKVEEKSIVKESQNRYSKSAKDWGWREFLTLTSLFDQDAGFLVQDTVVFSAEVLILKETVTMQEFSDEDSEICSSSSGCQIDTLSKHPSFTWKVENFLSFKDIMETRKIFSKYFQAGDCELRIGVYESFDTVCIYLESDQSSGVDPDKNFWVHYKMAIVNQKNSSKTVCKESSICTKTWNNSVLQFMKVSDILDTEAGFLVRDTVVFVCEIIDCCPWFDFSDLEVMASDDDQDELSTDPDELIESEDSDDMSGDAEDMFRNLLSRAGFSLTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTKGGGKKDASKCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSDERSVYDSSASSKTSRDSNEASSPPELSVEGDLTECACSNEYETVGSDSDHFRHNLALQNTESSANEMPANILEQSSFPPETPAVDLPEDESSDQTSGTKWPDQSEELLGLIVNSLRALDCAVPHGCPEPRRRPKSVQKIALVLEKAPKKLQPDLIALVPKLVDGSEHSLAACALLDHLQKPDAEPSLRLPVFGALSELEFEGDVWKRASFHALELLADSNDEPLVAAITYVLKAASQCQHIAQAARAVRWRLKGLGTEVPPCVLDYFSKTVHSWPDVAEALLKDIASDPEPDNSCLSPSSSTCSKDGFTAEGMPSWQDQAVHGSSHLSDVFVLIEMLSIPGLFVEAAQVLERALSQGAFGAQLVAMVLERRHSHRLSSKSGAPVFGLQNKPVLLNGQFEALTVQEGDFTSVLALGEVLSLSAEARVQDFVRMLYAIMFKIYAEDHYRCRILKGLVDRATNTSDNCREVDIDMDVLVFLVKEEFGIARPVLNMMREAAEVAQADRANLWHQICATEDENIRLREDMDMEQTKSTNEKAALTQRIAESEATVGHLRSELKAERDRHIREKKELARQMREIEKQMEWVRSEKDEQIAKLSADRKNLNDRVNDAETQLSQFKARKREELKKVTKEKNTLAESLKNAEASRKRFDDELKRHAAETQAREEIRKSLEAEVRRLTHTVGQTEGEKKEKEEQIARCEAYIDGMESKLQVCQQYICTLETSLQEEMARHAPLYGVGVEALSLEELETLENIHEQNLRRIHAIQQRKGSSHLLSVAGLYPSSSMSVCPSSSLIHTSSIAPNGVSAHGNANGNGHMNNAVGPWFNQT* >Brasy4G356100.1.p pacid=40084566 transcript=Brasy4G356100.1 locus=Brasy4G356100 ID=Brasy4G356100.1.v1.1 annot-version=v1.1 MTWAATLDYGGKTKNEPIRPGPLRPANIMRNKFPTYKNGSNGIVIKLADGSEIPPHKEVVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILGVQLSKREAELLQQKAEVTKLATSLKLASEDAKRIVEEERINAHTEVESARSAVQRVQQALQEHEKISQRTGKQDMEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTEKSADCFNLLKELELHKRLKGNSIPVFDLEGLQCLGSILRIVSQNGAPMDFSDISIQWFRIHPKESNKEIISGATRPVYAPEPHDVGRYVQAEINFGGEIAIAKTAGILDPDAGLLDYVETLVRKPETEFNVIVLQLNGIDQPKESVHVLNVGRLRMRLCKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPRSDLSLALAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW* >Brasy4G356100.3.p pacid=40084567 transcript=Brasy4G356100.3 locus=Brasy4G356100 ID=Brasy4G356100.3.v1.1 annot-version=v1.1 MTWAATLDYGGKTKNEPIRPGPLRPANIMRNKFPTYKNGSNGIVIKLADGSEIPPHKEVVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILGVQLSKREAELLQQKAEVTKLATSLKLASEDAKRIVEEERINAHTEVESARSAVQRVQQALQEHEKISQRTGKQDMEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTEKSADCFNLLKELELHKRLKGNSIPVFDLEGLQCLGSILRIVSQNGAPMDFSDISIQWFRIHPKESNKEIISGATRPVYAPEPHDVGRYVQAEINFGGEIAIAKTAGILDPDAGLLDYVETLVRKPETEFNVIVLQLNGIDQPKESVHVLNVGRLRMRLCKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPRSDLSLALAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW* >Brasy4G356100.4.p pacid=40084568 transcript=Brasy4G356100.4 locus=Brasy4G356100 ID=Brasy4G356100.4.v1.1 annot-version=v1.1 MTWAATLDYGGKTKNEPIRPGPLRPANIMRNKFPTYKNGSNGIVIKLADGSEIPPHKEVVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILGVQLSKREAELLQQKAEVTKLATSLKLASEDAKRIVEEERINAHTEVESARSAVQRVQQALQEHEKISQRTGKQDMEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTEKSADCFNLLKELELHKRLKGNSIPVFDLEGLQCLGSILRIVSQNGAPMDFSDISIQWFRIHPKESNKEIISGATRPVYAPEPHDVGRYVQAEINFGGEIAIAKTAGILDPDAGLLDYVETLVRKPETEFNVIVLQLNGIDQPKESVHVLNVGRLRMRLCKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPRSDLSLALAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW* >Brasy4G356100.2.p pacid=40084569 transcript=Brasy4G356100.2 locus=Brasy4G356100 ID=Brasy4G356100.2.v1.1 annot-version=v1.1 MTWAATLDYGGKTKNEPIRPGPLRPANIMRNKFPTYKNGSNGIVIKLADGSEIPPHKEVVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILGVQLSKREAELLQQKAEVTKLATSLKLASEDAKRIVEEERINAHTEVESARSAVQRVQQALQEHEKISQRTGKQDMEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTEKSADCFNLLKELELHKRLKGNSIPVFDLEGLQCLGSILRIVSQNGAPMDFSDISIQWFRIHPKESNKEIISGATRPVYAPEPHDVGRYVQAEINFGGEIAIAKTAGILDPDAGLLDYVETLVIVLQLNGIDQPKESVHVLNVGRLRMRLCKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWQPRSDLSLALAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW* >Brasy4G290800.1.p pacid=40084570 transcript=Brasy4G290800.1 locus=Brasy4G290800 ID=Brasy4G290800.1.v1.1 annot-version=v1.1 MAGSVATGRVTPQSSLKRSSGMDPPLSLAGPTMVDLQKTSELEKFLVEAGLYEGEEQSAKREEVLREIGRIVKEWVKQLTSQKGYADQMVEKANAVLFTFGSYRLGVHGPEADIDIVCVGPSYVNREDDFFVTLHDILAQMEEVTQLQPVPDAHVPVMKFKFQGIPIDLLYARVSLSVIPPDFDISQGSVICDVDEATVRSLNGCRVADQILRLVPNTENFRTTLRCLRYWAKRRGVYSNVTGLLGGVNWAILVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLRAIENDDLSFSAWDPRKNPRDRSHVMPIITPVNPRMNSSYNVSTSTLRVIMEQFKLGNKILQEIELNKASWTALFEPFQFFEAYTKYLVVNIVADDDDDLRLWKGWVESRLRQLTLKIERDTKGMLQCHPYPLEYANPSAPCAHSSFYMGLSRKEGMKIHGQKFDIRGTVDEFMHEMGLYTSWKSGMDLAVAHVRKKQIPYYVFEQGYKKHCPPTLANQQEQSDRNDNEDGTLTGSLEGQLKRKHDIDEAGHIESCKSVKRSSVSPGYKETPAEYGSNVSKIVCENPVKLVSSALCSGLQNSPLHGDVSLEPSNCSSSPHGSEESTASGTNCAAVETVGLVDETVDPESSMPCIVNGTVQTMAVHTPIKCVAEKDDMKFEGINSLANSNHAEFLDKPETLTGNILPENLH* >Brasy4G255600.1.p pacid=40084571 transcript=Brasy4G255600.1 locus=Brasy4G255600 ID=Brasy4G255600.1.v1.1 annot-version=v1.1 MCRPTGLHASPSISDAFSPPPLSPPVSKSLHHHGRLQTVTPLRYRKQSKQHYCTSPHPPPSLAASARLPTDRSIRAEGAARRAAAPRMASSNPAVADAGLQKLEAVAGEGPAFGAALAVVAAPEQQAPRRPASARKERVCTAKDRISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDLEEMQIISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGQLLGNDYMNLSCGKDVALHGKFAGSFGLERKIDLTNYIRWWVPKKTRQSDASKAEEVADEIRAIESSTQQTEPYKLPSLGLSSDSKPSSVGLSACSILSQSDCFKSFLEKSTQLSDECTFSKEIDQGKVVVSVPSTGHDTSAVNMNMNGLLVQSAPYTLAPVMPTTIKSTWNPADPSTDNLFWSNFVLPSSQPVTMATITTTTFAKNEVSSTDPFKSQE* >Brasy4G255600.2.p pacid=40084572 transcript=Brasy4G255600.2 locus=Brasy4G255600 ID=Brasy4G255600.2.v1.1 annot-version=v1.1 MCRPTGLHASPSISDAFSPPPLSPPVSKSLHHHGRLQTVTPLRYRKQSKQHYCTSPHPPPSLAASARLPTDRSIRAEGAARRAAAPRMASSNPAVADAGLQKLEAVAGEGPAFGAALAVVAAPEQQAPRRPASARKERVCTAKDRISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDLEEMQIISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGQLLGNDYMNLSCGKDVALHGKFAGSFGLERKIDLTNYIRWWVPKKTRQSDASKAEEVADEIRAIESSTQQTEPYKLPSLGLSSDSKPSSVGLSACSILSQSDCFKSFLEKSTQLSDECTFSKEIDQGKVVVSVPSTGHDTSAVNMNMNGLLVQSAPYTLAPVMPTTIKSTWNPADPSTDNLFWSNFVLPSSQPVTMATITTTTFAKNEVSSTDPFKSQE* >Brasy4G255600.3.p pacid=40084573 transcript=Brasy4G255600.3 locus=Brasy4G255600 ID=Brasy4G255600.3.v1.1 annot-version=v1.1 MCRPTGLHASPSISDAFSPPPLSPPVSKSLHHHGRLQTVTPLRYRKQSKQHYCTSPHPPPSLAASARLPTDRSIRAEGAARRAAAPRMASSNPAVADAGLQKLEAVAGEGPAFGAALAVVAAPEQQAPRRPASARKERVCTAKDRISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDLEEMQIISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGQLLGNDYMNLSCGKDVALHGKFAGSFGLERKIDLTNYIRWWVPKKTRQSDASKAEEVADEIRAIESSTQQTEPYKLPSLGLSSDSKPSSVGLSACSILSQSDCFKSFLEKSTQLSDECTFSKEIDQGKVVVSVPSTGHDTSAVNMNMNGLLVQSAPYTLAPVMPTTIKSTWNPADPSTDNLFWSNFVLPSSQPVTMATITTTTFAKNEVSSTDPFKSQE* >Brasy4G255600.4.p pacid=40084574 transcript=Brasy4G255600.4 locus=Brasy4G255600 ID=Brasy4G255600.4.v1.1 annot-version=v1.1 MCRPTGLHASPSISDAFSPPPLSPPVSKSLHHHGRLQTVTPLRYRKQSKQHYCTSPHPPPSLAASARLPTDRSIRAEGAARRAAAPRMASSNPAVADAGLQKLEAVAGEGPAFGAALAVVAAPEQQAPRRPASARKERVCTAKDRISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDLEEMQIISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGQLLGNDYMNLSCGKDVALHGKFAGSFGLERKIDLTNYIRWWVPKKTRQSDASKAEEVADEIRAIESSTQQTEPYKLPSLGLSSDSKPSSVGLSACSILSQSDCFKSFLEKSTQLSDECTFSKEIDQGKVVVSVPSTGHDTSAVNMNMNGLLVQSAPYTLAPVMPTTIKSTWNPADPSTDNLFWSNFVLPSSQPVTMATITTTTFAKNEVSSTDPFKSQE* >Brasy4G287300.1.p pacid=40084575 transcript=Brasy4G287300.1 locus=Brasy4G287300 ID=Brasy4G287300.1.v1.1 annot-version=v1.1 MMTGGGGGAEAAGVAPFVAKTYGMVEDPATNGVIAWGSGSNSFVVTDPFVFSQTLLPTHFKHSNFSSFVRQLNTYGFRKVDPDKWEFAHVSFLRGQTHLLRQIVRRSSSSGKRKDDGSADDHDDDSTMVAMEVVRLKQEQKAIEDRVAAMWRRVQETERRPKQMLAFLLKVVGDPQVLRRLVANTSSSNSNSNSSGGSDRSEVGFHGGGPAEGAEVKRPRLLLERDHQRGSKMSPSPVESVGIGSGVAGGRRGDGLFYGVSEETFVAEPSVDFTGFYTGGDGFGDGQVDAGGGGGGDLPYAFPVDSGY* >Brasy4G231400.1.p pacid=40084576 transcript=Brasy4G231400.1 locus=Brasy4G231400 ID=Brasy4G231400.1.v1.1 annot-version=v1.1 MALQAPAAPARALLLLLLLLLAFAVSASSSSHRHSHFVPAPAPVPETETTTVSSSSSSDGCGCGPAPPPWDFENQKLAALYSVIQTFKRTITSDPLGVTATWVGTKICDSADNGTAYKGFFCDHPPDSPPGTRTVASIDFNGFHLSAPSLAGFIDAFPDLALFHANSNSFSGAVPNLTGLPYFYELDLSNNAFSGSFPDAVIPLGNLLFLDLRFNGFSGSVPAPVFALSVEALFLNNNGFSGDIPDSAFGSTTAEYLVVANNQFTGPIPRSIFNVSGTLSEVLFLNNRFSGCLPYEIGLVEGLTVFDAGGNEIRGPIPLSFGCLSDVEQLNLAGNQLYGHVPDVLCALAKTGKLGNLSLSDNYFHSVGRLCMELVRSRVLDVKQNCILGFPRQRPAMECAAFYADPSKHCPFIPHIPCDLPGFRPPAFAPPSKALPATKTTGRGGGGGN* >Brasy4G180900.1.p pacid=40084577 transcript=Brasy4G180900.1 locus=Brasy4G180900 ID=Brasy4G180900.1.v1.1 annot-version=v1.1 MEKGKCGKATWDALAHRVFLDVCIEEVRANNRPTGCLNPIGYANLISKFNDRTKRKYERKQFKNRWESLKKDYNLWKSLNQQASGLGRDPVTKTIVASDDWWETEIKRRPDAAKFRHAPLADEEKMREIFYLHSVTNEYARVPPPSQVNLDAEGDSGCELDDESPAQPRLKKLKKRLCPYSPSPTAAAKIATESSSKMALECMVEIFERRENSRNSVTSQVTVDPVRQELKEMMALVVQDRGVPGSDAHFYASQLFMKKEYRDAFSCLEEATPEQRVDWLKRTWEERKNNN* >Brasy4G413900.1.p pacid=40084578 transcript=Brasy4G413900.1 locus=Brasy4G413900 ID=Brasy4G413900.1.v1.1 annot-version=v1.1 MVYIIVSLLLNSPAHIDCDNTEATQVGPPGLSTSSVTPFDAGRGEDPHRVGNPVDSGRAQRCLHGSGPLLNR* >Brasy4G100100.1.p pacid=40084579 transcript=Brasy4G100100.1 locus=Brasy4G100100 ID=Brasy4G100100.1.v1.1 annot-version=v1.1 MIASSSAQSWLKGGCENRLARAISLEFRRGRSKGGTCFRMRFRNALGSRLFRWCSRENHTSVKKLLEVEGTSERSKLLNNVSVLMGYSNAKYFSEQERVRRESELISVLKVIDFTEISVKFPCIKIGDSSPIELYDDSASRGCKDFMLSENITNFIVESGGNLETNRELADKHHPLGPPLTYASDLSVSEESLVISSHISHELAVDGEACPESLADATTPDCNDLDKSVRCLPGELAVDREACPESLADATSPESNILDQSIRSLPETTSRQYRQLEDGGFHTVHKLLQHFPRTYADLQNPQGLIEDGQYIMFFGTVKSSRGIKLKSTLGLFEVVVACSVIETESGSSAVSSNSGAEKKKMIHLHLKQFYRGMRFSSQRFLQRVSSRYSEGDLVYVSGKIKKALASDHYDIKEFTIDMLEEEEQQSTLLDKKPYPIYPSKAGLDASSLRRSISRALKLLTPDIDSIPPEVLVEFNLANLFDAYMGIHKPKSRDEADFARRRLIFDDFFYLQLARLFQMLEAVGTRVEKEELLSKCKINELNAIGVDGWSPLAKKLLKALPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVINSGFQAAFMVPTEILAVQHYEHLSSLLDKFDEDEFKPNIALLTGSTSTRESRIIRNGLKTGEIAMVIGTHTLIADKTDFSALRISVIDEQQRFGVIQRGRFNSKLYTSSTKPGDENTSPDEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMRGELIDGGKVYLVYPIIEESEHLPHLHAAKADFDSIKQKFEGYTCGLLHGRMRGDEKGEALDSFRSGETRILLSTQVVEIGVDVPDASMMIVMNAERFGMSQLHQLRGRVGRGGKKSRCIFLSSTPSTLPRLKMLEKSSDGFHLANVDLLLRGPGNLLGKKQSGHLPEFPIARLETDGSILQEAHLAAVRVLGRSSDLAAFPRLKVELSMRQPLCILGD* >Brasy4G179400.1.p pacid=40084580 transcript=Brasy4G179400.1 locus=Brasy4G179400 ID=Brasy4G179400.1.v1.1 annot-version=v1.1 MSTCSNLPFDGTQWIIRIRRILDEEIELGDDQPISIFDVPKPLLCTKPEAYVPQLVALGPYHHCREELRDTEKYKISAAKRFQSHLLPSINFQHLVDVFATFEHRIHAHYHRHINLSNETLAWMMAIDVSFLVEFLQSLCKTNNNNQRTVLERIPLRMSNLVCRRTSSSHSMLLLRDTVMLENQIPMFLIVKAVELLCPSGGQTADSVLSSMLSAFFQEASALKGISSPLCTDATKHAHLLHFLYNNVVSSCLEEGSDGAVEEEDGDDEPCDHANGSSTLNSAAELLVKRGLKFASLATDFMVRMLLRFVASLPCLSVLRQPIEHLLRQQNQPNGASSGVQDKNSMSPLLEEIDVPSISELAYAGVLFSPTNGDLSTIEFCAETSTLHLPVISIDVTTEVVLRNLVAYEASVGSSKMPLILARYVELMNGIIDTGEDARLLRERGIILNHLKSDQQVAELWNGMTRSVRLTRVPALDRVIDQLNRHYWSCWKVRIRTFVKGSLVGSRDLVACTVVVFLFLFVGLQAFCILSRGCLLSWYRMAWRKHGCSIHKNIVVKLPSL* >Brasy4G370900.1.p pacid=40084581 transcript=Brasy4G370900.1 locus=Brasy4G370900 ID=Brasy4G370900.1.v1.1 annot-version=v1.1 MASQLRNLMCVSTTFSVLLCMASAIGPEGEALLRWKSTLLDSRSLSSWSRSYLTCSWVGVSCDNTGHVTELNLNVDLPCPGLNGTLDALYAPVFRHLAVLNLRNNNLFGTIPTSISLFLTLTSLDLSANNFVGAIPYQLFNLPRIVHLDLENNHLTSPDPTKFALISSLRLSYLYLGGNRLNGTFPSFILNNTFVMLAVLDLSFNALSGSIPDNLHDMVPNLEFLDLTSNMFSGPMPLSISRLSKLSSLYLAKNNLTGGIPKELSNLSNLQNMDLSWNIFSGGIPKELGNHSNLVSMDLSWNKFSGGIPKELGNLTNLVSMDLSWNMFSGGIPKEICNLTNLDYMDLSWNMFSGGIPTELSNLTSLQLMNLSWNMLSGGLPQSISRLRDMSLKSLVSLDLGNKKFSGKIPTWIGVSLPLLRTLRLRSNLFHGSLPWELSQLFHLQLLDLAENNLTGSIPVSFGNFTYMKTMPTAYVPMIIYLAQSGDVKYMYNGTAYLQDGQMDIIWKGRGFAFSSSIMLMVGIDLSSNSLSGEIPAELLNLQVLWFLNLSRNNLSGGIPNNIGNLKDIESLDLSWNKFSGPIPSSISHLMFLSTLNVSNNLLSEEVPRGNQLQTLNDPSIYSNNLGLCGPPLSIRCKNDSSPTTALDGAKEHHHELETLWLYYSVIAGTVFGFWLWFGSLFIWKIWRLAFFGCIDAMQQKVMQQMKRT* >Brasy4G249700.1.p pacid=40084582 transcript=Brasy4G249700.1 locus=Brasy4G249700 ID=Brasy4G249700.1.v1.1 annot-version=v1.1 MEKSSLLLAGALLLLPLLVLLRNAANSKRSRRLPPGPPAVPLFGNLLWLRNSAADVEPLLLGLFKRYGPIVTLRIGSRLNIFVADRHLAHQALIRDGVALSDRPRAATSSLLGVTDNIITRANYGPVWRLLRRNLVSETLSHARVKQFAPARAWVRRVLLEKLREEESPDVMEAFQYSMFCLLVLMCFGERLDEPAVRAIEDAERAWLIYISRKLTVFFFLPCVSRHLFRGRLRVAHELRMRQRSLFVPLIEARREHKRQLGTTNKETTFQHSYVDTLLDVTLPDEGNRPLTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLHAEIQATCRGENDEEEEEVSEEKIEGNRMPYLKAVILEGLRKHPPGHFVLPHKAAQDMDVGGYLVPKGATVNFMVAEMGRDEREWGETAMEFVPERFLEEGGSKLAAVDVYGTKGIKMMPFGVGRRICAGLSIAMLHLEYFVANMVKEFEWKEVPGQEVDFAEKREFTTVMAKPLRPRLVPRRN* >Brasy4G074200.1.p pacid=40084583 transcript=Brasy4G074200.1 locus=Brasy4G074200 ID=Brasy4G074200.1.v1.1 annot-version=v1.1 MMDGGMDQERFDLIMRHQQQQQHQGFGTMAGLAGAPCDSEEALGSSESEPAGRPARTRGKRARAAEVHNLSEKRRRCRINEKMKALQSLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPQVNLPVGAPEPPAAPQMPATVNQNSIEASNPLVALLPMNQISGAQHSFDPPNHDLRQHHEPFVLSGVPCTTTRGPRFPPGSSQPDLESLQLTVSAEMILQENVMLEHRPSSTQHAISVPGHDMKLIRQETPVPGPDHPGGCSIWKRQSQEMMPKTTESFLFMPHLHSRFQSSDADRGLRSGSK* >Brasy4G074200.2.p pacid=40084584 transcript=Brasy4G074200.2 locus=Brasy4G074200 ID=Brasy4G074200.2.v1.1 annot-version=v1.1 MMDGGMDQERFDLIMRHQQQQQHQGFGTMAGLAGAPCDSEEALGSSESEPAGRPARTRGKRARAAEVHNLSEKRRRCRINEKMKALQSLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPQVNLPVGAPEPPAAPQMPATVNQNSIEASNPLVALLPMNQISGAQHSFDPPNHDLRQHHEPFVLSGVPCTTTRGPRFPPGSSQPDLESLQLTVSAEMILQENVMLEHRPSSTQHAISVPGHDMKLIRQETPVPGPDHPGGCSIWKRQSQEMMPKTTESFLFMPHLHRFQSSDADRGLRSGSK* >Brasy4G050700.1.p pacid=40084585 transcript=Brasy4G050700.1 locus=Brasy4G050700 ID=Brasy4G050700.1.v1.1 annot-version=v1.1 MVSLSNGVLAAVSIVALVASIPLIGLGTYLHDHGDASASECQRLLRLPALALGLGILFLSLMAIAGACCRGATALLWLYVVAMFLLVVGMFFVTVFAYAVTNKAAASGGGYGEYRIGNYSDWLRDRVGDYDTWRRIESCLADAGVCGGPGGGQFSGRLGGVAAGIDASDFYRLHLPLLQSGCCKPPAYCGYRPVNATFYEPPEPGQLGTAGVDCQAWSNDQRVLCFRCNACKAGVLATAKSNWRAVAAANVAVLALLVFAYSLGCCALRNHDRRRRRGYGRYY* >Brasy4G394000.1.p pacid=40084586 transcript=Brasy4G394000.1 locus=Brasy4G394000 ID=Brasy4G394000.1.v1.1 annot-version=v1.1 MASPAPPIAERRTQPWLPALADEILEESWCACPPRRRSPAPPRPAPPSAASSPSAPSSAVTANSTGLPSSVSYSTEVVASALPRRPTPPPRSPALSSPPPISTTAPSFPSPPIKAGSTSGTTAMSASSSTASASSILEPCSQTSRDPCCSHPYIPEDMSMTVQQDPVVQVQPILAPAGEDEDTALFKVICTAHYKTKLVAFVVSSVTGQWCVAASPSWSSLGTVEPSRRKCLSRFNYVHGCFYWASVWRDKLLVLDTHSMEFSTVGTLTGYHTQLMHQSGQRRYVSAVIEGTKGALVMCTLVGNYSPTSYYLYHTAQQNNGESSNEWQLKNVIALPRRCFYGIAGAAEGFLFLRGVRQDQWDDIAHGVIFEDKDVDFFSLEVKTSELKKIFRKTYTSRPNRVYPYFGYPPLFSEPSL* >Brasy4G037100.1.p pacid=40084587 transcript=Brasy4G037100.1 locus=Brasy4G037100 ID=Brasy4G037100.1.v1.1 annot-version=v1.1 MSGRLTPPPRRDREHHPSFSAALLDAIYHSLDADPAAPRTPTDHHRRTTVASPSPRASSPRPHPGCPTTTRRRYSSSTASSSPSASRSPRRPCRVRPDPLPPSLLLPLPPPPPPEEKQRNCRKKKKKTKKSKSSARFLSCLNVLLCNRKPAAAKAKQPTAAAAARAEPPGPAAELMAEVPASARSILSSRASWRESAVGGGGQLTPARRAVRFSPAVEVVVVAADEERRRRGGEARTATTAAEAERRVEELLRALGAGAAEEMRERAKESSESSSDLFELESFAAAFDFDDDDSELPPRTRARAASAAGLAPPRPRVLLDEMVV* >Brasy4G220800.1.p pacid=40084588 transcript=Brasy4G220800.1 locus=Brasy4G220800 ID=Brasy4G220800.1.v1.1 annot-version=v1.1 MANFEFDPASWVPEGFHWLDGGDQQTPEDYLFIGDAPPRRHEGFAMAIVEPAVPAAERWLVLDHIRNIITAPPFNFLVQWADVHPFGAGLFQLEDVLARDRLVSLPLIHLGEGRDLFFIRHDETDNARRTEGCRIYYILYLGWPLDYIDQALFRRAVSRFGIPLNWVDRHRKRSYILLRCLVKDNLRVPRKELPPSNNRHAPPPPPSPGHLGWDAWDQPQEEQPPNGGWPVPEEVPEEPVLPASPTDRRAPRRPIGIDLIRGPARSSSLNSASSAARPLSRHKGLSSRPRQSGICFREPRASSPMAASNDDATQEPEMTQEAMGSMFDQDVQLQMALLAQHEVPPDLQLSLAPPFDIDDPKGKKPKFIRPFGEGSSAMGAAMGPIAAMDALQISKPCIPGLSLAEQREVNIQNTDHDSTSQLLQPGHAMHASVDTLNFDTVGEAILNLIGHDNDVIRAIAPTSGKKKLLQRYLTVWQSVSPLSLEMILGMGFPPSATAIWSSGWAVLLHKRLRPRRTRSSSPTVSQEDASSRHLELEDMGRLPSRSRAKPTPRVVTEVCRSPRIQELARGFKRDYASMAKGLDPSPKRSFNIEPSGMKMKELPEDLQRHLQQQDIPSPMPLELATSVATAFCGLSPEELKTLGTNNTKDPNDDDQQED* >Brasy4G111800.1.p pacid=40084589 transcript=Brasy4G111800.1 locus=Brasy4G111800 ID=Brasy4G111800.1.v1.1 annot-version=v1.1 MKWQLAALLLLLIALLPASGALSAPAAGARIVARLTHADAGRGLARPELVRRMAHRSRARRKLLSQEEEPAADRPVRARVRTAGAGGGIVTNEYLVHVSVGTPPRAVALTLDTGSDLVWTQCAPCLNCFDQGAIPVLDPATSSTHAAVRCDAPVCRALPFTSCGRGGSWGERNCVYVYHYGDKSLTVGKLASDRFTFGSSGDDNADADGGVSERRLTFGCGHFNKGIFQANETGIAGFGRGRWSLPSQLGVTSFSYCFTSMFESTSSLVTLGVAPAELHLTGHVQSTPLLRDPSQPSLYFLSLKAITVGSTRIPIPERRQRLRAASAIIDSGASITTLPEDVYEAVKAEFVAQVGLPVSAVEGSALDLCFALPSAAAAKSAFGWRWPRGRARAMPVRVPRLVFHLGGGADWELPRENYVFEDYGARVMCLVLDAAAGAGDQTVVIGNYQQQNTHVVYDLENDVLSFAPARCDKLVASV* >Brasy4G183800.1.p pacid=40084590 transcript=Brasy4G183800.1 locus=Brasy4G183800 ID=Brasy4G183800.1.v1.1 annot-version=v1.1 MSSAKALARAGSSLLGRLLASPAPSLLRPGLPPPSLLARLQPHVPPAVASVDAHDADAVARLTSLPGEISFPCGLPSLRFLIDDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFRARKATTGGRKVIARRIAKGRHKISW* >Brasy4G145600.1.p pacid=40084591 transcript=Brasy4G145600.1 locus=Brasy4G145600 ID=Brasy4G145600.1.v1.1 annot-version=v1.1 MVPPKNTRDASVHPLHVLAFLALAALLGSSPRAAAVKGDAASASVQLEALLEFKKSVTADPLGALSGWQKKAGRRNAIDAAAIALPRHCNWTGIACDGAGQVTSIQLLESQLQGTLTPFLGNISTLQVLDLTSNAFFGLIPPELGRLQSLEGLILTVNTFTGIIPTSLGALSNLQILDLSNNSLHGNIPSQLCNCSAMWALGLEANNLTGQIPSCIGDLSNLEIFQAYINSLSGELPPSFSNLTKLTTLDLSSNALSGRVPPAIGTFKSLKILQLFENRFSGKIPPELGNCRNLTLLNIYSNRFTGAIPRELGGLTGLKALRLYDNALSSTIPSSLVRCSSLVALGLSMNKLTGIIPPELGELRSLQSLTLHENRLTGTVPKSLTRLVNLTRLSFSDNFLSGPLPEAIGSLRNLQVLIIHGNSLSGPIPASIVNCTALSNASMAFNGFSGSLPAGLGRLQSLVFLSLGDNSLEGTIPEDLFDCVRLRTLNLAENNLTGRLSPRVGKLGGELRLLQMQGNALSGSIPDEIGNLTRLIGLTLGRNKFSGHVPASISNLSSSLQVLDLLQNRLDGTLPDELFDLTRLTVLTLASNRFTGPIPNAVSKLRSLSFLDLSHNMLNGTVPAGLSGGHEQLLTLDLSHNRLSGAIPAAAMSGATGLQMYLNLSHNAFTGAIPTEIGGLAMVQAIDLSNNELSGGVPATLAGCKNLYSLDISSNSLTGELPAGLFPQLDLLTSLNVSGNNFHGEILPDLAGMKHLQTVDVSRNAFEGRVPPALEKMTSLRELNLSWNRFDGPVPDAGVFADIGMSSLQGNAGLCSWNKKKLLAPCRAAAGNQRWFSRTGLVTLVVLLVLALLLLVLVVAILVVGYRRYRKKKGAESGGHVSSETGFVVPELRRFTYGELDAATGSFGESNVIGSSSLSTVYKGVLQDGKAVAVKRLNLEQFPAMSDKSFLTELAALSRLRHKNLARVVGYAWEREAGNGRMMKALVLEYMDNGDLDAAIHGGRGAPRWATLAERLRVCVSVAHGLVYLHSGYGGSPVVHCDVKPSNVLLDADWEAHVSDFGTARMLGVHLTDAPAQETATSSAFRGTVGYMAPELAYMRSVSPKADVFSFGVLAMELLTKRRPTGTIEDGSGMPVTLQQLVGNAVSMGVEAVAGVLDPGMSKAATDADLCAAADALRVACSCAAFEPADRPDMNGALSALLRISNACGPGKSRIVH* >Brasy4G264800.1.p pacid=40084592 transcript=Brasy4G264800.1 locus=Brasy4G264800 ID=Brasy4G264800.1.v1.1 annot-version=v1.1 MGLAAHAAHEELGRALERERHSVHARARRGAARAAFGAPVRGTARRGAADGGDLAGDTPDWRSRPQEGTRLGRALAAGGAELRRGSAGPKWWRRARKSRRRRAPGAAAALARGRRRGKGRCSRRARWESTGGEDGSGLCRRSLQRRGSRRRSWGRRRPGRGFPSSSAPASLR* >Brasy4G248300.1.p pacid=40084593 transcript=Brasy4G248300.1 locus=Brasy4G248300 ID=Brasy4G248300.1.v1.1 annot-version=v1.1 MQSRSQFGDLDDFDPFGENCHYGRTENYVLENATKLNYHSYMNKQTRAKWSKSDTDLFYQGLQQFGSDFALIQQLFPDKTRDQVRQKFKTEEKKHPMQVHDAILYRSRDNLYLKQVIKQLNIEDLQRDFDTTHKQECASNEGNPGNENVLDGFMNEEENGSNWSDTEQGTHGLEVKEGESVSANVEDDLDVFDWY* >Brasy4G337400.1.p pacid=40084594 transcript=Brasy4G337400.1 locus=Brasy4G337400 ID=Brasy4G337400.1.v1.1 annot-version=v1.1 MHTWDGDDGGRWRSCRSGAQAQPIALERAGFPAVKQGEGRRSAGGKAGRPGREAPRRRSAAEARRRGRRGEGRRAHCNAGESQRDVSRREVRQRQWTKLGRALLLEEMKGRERNNHSRSIPEFRSHRLPGSCRPPSTCEPLLLRPNPTPDKAIFSRDGSADLDNGGGGARGDRGLAHLSVGGDVRGVAGKRGEREDARRSRMGRRRGSGKITNCA* >Brasy4G164600.1.p pacid=40084595 transcript=Brasy4G164600.1 locus=Brasy4G164600 ID=Brasy4G164600.1.v1.1 annot-version=v1.1 MAIEVPWLPLSEGAPLSEEEIRSMVDEGIVDPEVESSLRAVQSDVRRGLELHVKEFPSLAHLDWVDSREGYGVRVRDRMVEDAEYLRHGAAVFEDGRNIPCEEDALLVSELRSQAAWCDARRAEADALVADARRMRDRYLREMAETKDPQEEAGLVTAAASDFLAFLAKELDGGVAPEADAARAQAMDAAARAGHGVGARFAATFVGLAGRVRRLAEAYAAGGEDEDAAVTEGLRRRADEVEALCADPEALLEQIFDSGWLFVHGK* >Brasy4G295000.1.p pacid=40084596 transcript=Brasy4G295000.1 locus=Brasy4G295000 ID=Brasy4G295000.1.v1.1 annot-version=v1.1 MAQSAAARKPSPAPTVVLTLVLALASAGLLFLLLHLSPSSPSAQPHPHRRLRLRGARLAHGGAAPHQIPFDPVIADLERRLDDREWERLAAAGLHAPGMEAAPVPDDLADSDDEYINDAARFNVTRRVEVLFPKIDVDPADGAVTGAELAAWNLASAAREVLHRTSRELELHDRDHDGRVAFSEYERPSWAWRFDENNSTNDSMGWWKEGHFNAADVDGDGFLNLTEFNDFLHPADTTNPKLIHWLCQEEVRERDKDNDGKLNFDEFYNGLFYSIRHHDDEASADDSSGSDAPARKSFSQLDMDNDGLLSADELKPIIGKLHPAENFYAKQQAEYVISQADTNKDGQLSLSEMIENPYVFYSSLFTEDDYGSHDELR* >Brasy4G127700.1.p pacid=40084597 transcript=Brasy4G127700.1 locus=Brasy4G127700 ID=Brasy4G127700.1.v1.1 annot-version=v1.1 MSERTKASTAGGGADRLSALPDDILQHVLSFLQAQEAVRSCVLARRWRFLWKSMPVLRLTGCRPVKESLEFMNHLLILRDRCPLDSFVLLVAAVSESDKRYVNLWLRYALSCQVRVLSYATGKHKKWYLYGGFFLDDLPAISQNLTQLELAYTNLNGTFLNFSGCPSLEELKITKCFIRAREIISRTL* >Brasy4G178900.1.p pacid=40084598 transcript=Brasy4G178900.1 locus=Brasy4G178900 ID=Brasy4G178900.1.v1.1 annot-version=v1.1 MAARNSLRRKEALVSDGRRPEQSLRAEQKRKWRRLGGTRYGEPEWRGQAAQGGRRIRAGTHGGGRLRSSTAGGVQSRASMQSISRSGGGDDGARRWRLHVGIHFSGRRRPSMAAVQGGLCY* >Brasy4G287400.1.p pacid=40084599 transcript=Brasy4G287400.1 locus=Brasy4G287400 ID=Brasy4G287400.1.v1.1 annot-version=v1.1 MCLASYLAYTVEAPATRHLAICAAKPRQATAKGAVLCNTSAVRTSGRSESKRARLAPARFCHACLCNVPIVPGQMDEANLILLI* >Brasy4G143900.1.p pacid=40084600 transcript=Brasy4G143900.1 locus=Brasy4G143900 ID=Brasy4G143900.1.v1.1 annot-version=v1.1 MGFKPLEWYCQPVSHGAWSRAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLGFLVVYCVAEPLYRIATGTSIMNLDGQSGLAPFEITSLVIETAAWCCMLIMIFLETKVYITEFRWYIRFVVIYVLVGKAAMFNLVLPVRQYYSSSSIFYLYCSEIICQCLFGILMVVYLPSLDPYPGYTPIRSEVLVDNTDYEPLAGEEQVCPERHANILSRIFFSWITPLMQQGYKRPINDNDIWKLDNWDETETLYSRFQKCWNDELKKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPIVLNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKQFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYVQLGPAALVGALMLALLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGTLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLIMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEQLLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDLLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G143900.3.p pacid=40084601 transcript=Brasy4G143900.3 locus=Brasy4G143900 ID=Brasy4G143900.3.v1.1 annot-version=v1.1 MGFKPLEWYCQPVSHGAWSRAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLGFLVVYCVAEPLYRIATGTSIMNLDGQSGLAPFEITSLVIETAAWCCMLIMIFLETKVYITEFRWYIRFVVIYVLVGKAAMFNLVLPVRQYYSSSSIFYLYCSEIICQCLFGILMVVYLPSLDPYPGYTPIRSEVLVDNTDYEPLAGEEQVCPERHANILSRIFFSWITPLMQQGYKRPINDNDIWKLDNWDETETLYSRFQKCWNDELKKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPIVLNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKQFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYVQLGPAALVGALMLALLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGTLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLIMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEQLLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDLLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G143900.2.p pacid=40084602 transcript=Brasy4G143900.2 locus=Brasy4G143900 ID=Brasy4G143900.2.v1.1 annot-version=v1.1 MGFKPLEWYCQPVSHGAWSRAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLGFLVVYCVAEPLYRIATGTSIMNLDGQSGLAPFEITSLVIETAAWCCMLIMIFLETKVYITEFRWYIRFVVIYVLVGKAAMFNLVLPVRQYYSSSSIFYLYCSEIICQCLFGILMVVYLPSLDPYPGYTPIRSEVLVDNTDYEPLAGEEQVCPERHANILSRIFFSWITPLMQQGYKRPINDNDIWKLDNWDETETLYSRFQKCWNDELKKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPIVLNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKQFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYVQLGPAALVGALMLALLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGTLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLIMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEQLLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDLLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G288700.1.p pacid=40084603 transcript=Brasy4G288700.1 locus=Brasy4G288700 ID=Brasy4G288700.1.v1.1 annot-version=v1.1 MSESKGSDDATEYDSNEETQLSSSSKSATSRTSAKTLYWIIKKFNDVKRECVREIGFGGTLDVPLWNSISRIFSTWLLKNVDCTNCAIVVDAIRALPFGPMDVNRAFGIPCGTRDVLGPETKISETALAYIREQAGMPGNKISLKEAEKIVLMELTPESTRLQKDSFKMAYVIILMGHMLSPSTKYDHVNIDFLGALRCTDEIGEYNWCAYVIKGVIDAARRVQDDIAMKKLVSNIAGCHIFLQVHYLDNLLLGALQPPKNIFPRCKACPTDVLNKLILADTKPGGGFGLKQFDARGTFRQTYAPTPLPGKTPAITTRATTPPAMPHAPANSSTSVPMSAATLPRFLREKYPALSNSALATCFKKYNANMTRAMHERHAAEKNSTLEQNLWLADQVFGFVSSSQPSPKNEQHAPSQSEGTTHLVLNIYPFVTYGLTFPRSQLGDSKDCGAESTKRCPTEINDPTMKKAKSNASDTTRSSFEHMDFDSPTFDLGIDTKQTLTERNVTSLPASNDGGLRTPAPTTLTPCSPAATKMVNETVMADLIFRTEYLDCPESRVLFGQCSVSPPDRRRTKVGQFAQSPWSDGYIHPKPDTDLMVALMDWCTDAGPQYMNITWLTVELPRFITLKGSHVRDQLVHSHMLDFEMCDLLVRRLTQLDTNMMYSCKMRWRHMLESDFSQLMSRLHCLSNNNSLVRVFITTSYMFDMKEEIIHVLDPFLQQDCTGKMKDLHVHTSGLLHDKLFDCLNSFFENWNPRKKDWPLVFPVLTDDTFDKNQSGLCMLHCVRNYNGDELEQPLKLKGYTRMKHTFLFELLSIEKNKTRLPVPVLKIIGEPKEF* >Brasy4G407400.1.p pacid=40084604 transcript=Brasy4G407400.1 locus=Brasy4G407400 ID=Brasy4G407400.1.v1.1 annot-version=v1.1 MDCQRVDVAKDCIGVLSKQFPGSTRVGRLEALLFEARGDWAEAERAYALILENNPFDQIAHKRKIAIAKAQGDMSVAVDYLNKYLELFMADHDAWRELAEIYVSLQMYKQAAFCYEELILAQPTIPLYHLAYAEVLYTLGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCSAAISQLTKGRNKEEDSSELQSLAAEALLKDYKQRSPSKEALIAGMLKNMKLS* >Brasy4G404300.1.p pacid=40084605 transcript=Brasy4G404300.1 locus=Brasy4G404300 ID=Brasy4G404300.1.v1.1 annot-version=v1.1 MSSAAAARMFRGCRALMSSSAAAGGKRPASASAAAAPKVTTKADAAEAKELRGIMRPVPVSDALRRFPGGAPEISRANAIKIVWAHIKANNLQNPANRKEINCDEKLKSIFSGRDKVGMMEISRLLSPHFTKTN* >Brasy4G013800.1.p pacid=40084606 transcript=Brasy4G013800.1 locus=Brasy4G013800 ID=Brasy4G013800.1.v1.1 annot-version=v1.1 MCGFDLFFILQCHQSPPPQRTTRVKTHERANERTLSKKKKTAKQSSGYRVLARAAARPVPKATEPQLLVPKTPFPRERHGRFIPIIFLFLPALASDRGKSPRRQNPAHANANANAAQPTFPVPSRSVPYNSSPAPSLCAHNYSSASLFFFSSSPLPFLAPPNRNLDPHPVPPALPARGSAPAPPPPRSRRRQPASRPTSRRRRRSALAGGLASRGAKKRRPVAARMGEA* >Brasy4G131800.1.p pacid=40084607 transcript=Brasy4G131800.1 locus=Brasy4G131800 ID=Brasy4G131800.1.v1.1 annot-version=v1.1 MYLQYYINEKGVKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQQPAQKY* >Brasy4G050600.1.p pacid=40084608 transcript=Brasy4G050600.1 locus=Brasy4G050600 ID=Brasy4G050600.1.v1.1 annot-version=v1.1 MGSDTETEKKKTPVALAPMAKPLAGKKLSKRTLKLVRRASEAKCLKRGVKEVVKSIRRGSKGLCVIAGNISPIDVITHLPILCEEANVPYIYVTSKEELATAGTTKRPTCCVLVMTKPAKGEISEEVKEKLQSDYDQVVTEVAEVTSSMF* >Brasy4G274000.1.p pacid=40084609 transcript=Brasy4G274000.1 locus=Brasy4G274000 ID=Brasy4G274000.1.v1.1 annot-version=v1.1 MSKEEKKGIIRPDLVNELSVICQKEADHVPSPKKDLSCLETVGSKFHYPQVKVATSTSHSTNIIRSESKHINSEIMYSMADKPFKKIKQGNKEASTHGGGKLN* >Brasy4G401900.1.p pacid=40084610 transcript=Brasy4G401900.1 locus=Brasy4G401900 ID=Brasy4G401900.1.v1.1 annot-version=v1.1 MRDVGGSLKLPQITVATAIMLCHRFYLLQSHVKNEWQTIATACILLASKIEDTPCSLKRVVIAAYETMYRRKPDSARRIHQKEFLEKRKSLVVVGERLVLSTIRFDFNIQHPYGPLNCALENLGISQKEVQQAAVNLIHDALRSTLVVQFKPHYIAAASLFLAAKREGFKLPLEKGKVWWQQFDVAPQQLEAAVSQMREVCVKRKPGPTVPAIRPTPDPTLVEKQPEMNFPKPVLKYVYSRRPQSRPAPAGTPTLVEKQQIISTVDPVLRPTQSSGGDLSRPAAVPTATPTLVEKDQIISTVGAVLNPTHSSGGGPSEPTAVPTATPAVVEKQQIISTPDSVLRHSDPSRGDLSRHTTAPTVTPETSTLVKKQQKISTPDSVLRHTHPSKGGLSRPTTSPTRDSTLVKKQQVVSTLESVRSHAHPSRRGLTSNNFDREAPRRMGVDRLVHHNSTGSSVRNGSNKPRPRNEDNKPLRRHMDHGSNRTVMDERSEKQSSQSALKADHVHGEQKDIDVTRVRKRRILEDGEHPTPVDRSDRDSWTGQHIQSVMVVEPKVPSLKRHKI* >Brasy4G401900.2.p pacid=40084611 transcript=Brasy4G401900.2 locus=Brasy4G401900 ID=Brasy4G401900.2.v1.1 annot-version=v1.1 MLYTTIATACILLASKIEDTPCSLKRVVIAAYETMYRRKPDSARRIHQKEFLEKRKSLVVVGERLVLSTIRFDFNIQHPYGPLNCALENLGISQKEVQQAAVNLIHDALRSTLVVQFKPHYIAAASLFLAAKREGFKLPLEKGKVWWQQFDVAPQQLEAAVSQMREVCVKRKPGPTVPAIRPTPDPTLVEKQPEMNFPKPVLKYVYSRRPQSRPAPAGTPTLVEKQQIISTVDPVLRPTQSSGGDLSRPAAVPTATPTLVEKDQIISTVGAVLNPTHSSGGGPSEPTAVPTATPAVVEKQQIISTPDSVLRHSDPSRGDLSRHTTAPTVTPETSTLVKKQQKISTPDSVLRHTHPSKGGLSRPTTSPTRDSTLVKKQQVVSTLESVRSHAHPSRRGLTSNNFDREAPRRMGVDRLVHHNSTGSSVRNGSNKPRPRNEDNKPLRRHMDHGSNRTVMDERSEKQSSQSALKADHVHGEQKDIDVTRVRKRRILEDGEHPTPVDRSDRDSWTGQHIQSVMVVEPKVPSLKRHKI* >Brasy4G212400.1.p pacid=40084612 transcript=Brasy4G212400.1 locus=Brasy4G212400 ID=Brasy4G212400.1.v1.1 annot-version=v1.1 MSSHTSEEESLNNFQQQPKLEAGAAGPSRGDVGVAMPAVKKRRGHPGNPDPDVEVVALSPKTLVATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTDAKKKVYVCPEVTCPHHDASRALGDLTGIKKHFSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNPRVNHSLATMVGSLHGQQDMFSHGVPNLSSSPTDMVANMSSNDHNSDMHLRSLSPYALITRNTALFSNQMSSKDTGFPLDASASSYSYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKGFPNNFSSARDHMDISSGSQGDSLGNSAANSVCMKTAEDDSSYMNDRSNILINSTWTTGMMTPTTVPLIGLMNQPFSMRQEKESPHIFPEIQTQHDRQENISAVGDAGLTQDFLGLGGSGNLDMSSETYNTDVTALSYSDEQQKTHEHIYSYHQSSLDSNALEKPLWDA* >Brasy4G278400.1.p pacid=40084613 transcript=Brasy4G278400.1 locus=Brasy4G278400 ID=Brasy4G278400.1.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.3.p pacid=40084614 transcript=Brasy4G278400.3 locus=Brasy4G278400 ID=Brasy4G278400.3.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.5.p pacid=40084615 transcript=Brasy4G278400.5 locus=Brasy4G278400 ID=Brasy4G278400.5.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.6.p pacid=40084616 transcript=Brasy4G278400.6 locus=Brasy4G278400 ID=Brasy4G278400.6.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.7.p pacid=40084617 transcript=Brasy4G278400.7 locus=Brasy4G278400 ID=Brasy4G278400.7.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.2.p pacid=40084618 transcript=Brasy4G278400.2 locus=Brasy4G278400 ID=Brasy4G278400.2.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.4.p pacid=40084619 transcript=Brasy4G278400.4 locus=Brasy4G278400 ID=Brasy4G278400.4.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.8.p pacid=40084620 transcript=Brasy4G278400.8 locus=Brasy4G278400 ID=Brasy4G278400.8.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G278400.9.p pacid=40084621 transcript=Brasy4G278400.9 locus=Brasy4G278400 ID=Brasy4G278400.9.v1.1 annot-version=v1.1 MPAPSTADDDAALPRGSRRHRPPRRTPRDKEMVAASGSRRPVRASSRPTSTGAAVIRTSAATAQPRSAHPQPGSSAAVGPPPRVLPLALIKIDCNRLQKEAKELAEWQVGPPTGFK* >Brasy4G440300.1.p pacid=40084622 transcript=Brasy4G440300.1 locus=Brasy4G440300 ID=Brasy4G440300.1.v1.1 annot-version=v1.1 MPHMGVTRCLQPAAPRSLILLVLLAAAAITSVSSRDAAIAANSTTSAISPKKKKKSTAAPKVPSLVVFGDSIVDPGNNNDIRTIVKANFRPYGKDFGRDHRPTGRFCNGRIPTDFIASRLGLKELLPAYLTPNLTNQDILTGVSFASGGTGYDPLTAQLATVISMTDQLRMFEDYKQKVRAAGGEAALATMLSDGVFAVCAGSDDVANTYFTMRARSDYDHASYAALMVDHATSFLDGLLAAGARRVAVISVPPIGCVPSQRTLSGGMARDCSQGHNEVATMVNAGMAKSMDTLKAKHPGAKLVLMDIYGFLLDMMMRPQSYGFKESTLGCCGTGMMEVSVLCNGVTSAVCGEVKDYLFWDSYHPTEKAYKILVDFVYDNYLNQIIN* >Brasy4G223200.1.p pacid=40084623 transcript=Brasy4G223200.1 locus=Brasy4G223200 ID=Brasy4G223200.1.v1.1 annot-version=v1.1 MEPAAAAARAPLTASATPLTPYVPRLLPSYWTVPLLPRPNLGFAAAPHPLLKSTTGAVVGPTGATHTHLPAASSYFHL* >Brasy4G086700.1.p pacid=40084624 transcript=Brasy4G086700.1 locus=Brasy4G086700 ID=Brasy4G086700.1.v1.1 annot-version=v1.1 MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVSRGRFLKSVLCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFQGLEGSHVWPFQVWFQTDKAAYLLRQYFYSNLHDRLSTRPFLSQIEKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPDNDPSDFSFFFDTGGRRRCYLAPERFHEHGGESQVSADAPLQPSMDIFSVGCVLAELFLEGQPLFELSQLLAYRRGQYDPLHTLEKIQDAGIQDMVLHMIQLDPKERLSCKSYLQKYETVVFPTYFSSFLHKFFSDIVPLDSDARVEKTQENFERIHDMMISGSTSEHIQVSEKKGIGGQVTNSPRESSKGTSSVKKNIPRDHRQIVGDLNSLLKEVEQRNNHADSKAMKYADSYAASNVSRANGIEPSPQVNETRIQTARVLAGQNNDVSHVHKISKSNLPALVSTYDVQSDTYSFDIFQQIHTKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKISSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAMIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIADTGPLDKSMVAAVSQSAESPAKKQQDKIYRQLARLRRCIYDIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHRQSNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGPRSVEEYLLPYLEQALSDDMEAVVVNVLDCLTMMCGSGYLRKRIIVGLFGKALPLLCHPIQWVKRSAVRLIAACSESLGPVDTYVYLSPHLRQFLHREPPSLSEAALLACLKPPVSKSVLYQALEVAKDTGDVLLKGAGKKEQTVHGGRYHATAQSGSLAALEDAARLRSSNLSSNVSLDIKDSISSDKSLYYGYAQHASAGNSSFYDGLSKGIPSYSVCTDKRGLGEIFMPWESNRYPGLSTSVQGLQTRENHFSSKHISESMKSSSSVPGDSVSNPETAGSPSFIRSAVVLETGWKPRGVLVAHLQEHRMSVNDIAVSDDNAFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGTSRALCTTMLYGCSQVIVGDSDGALHLFSVDCARGVGSVTDRYSGLVNVNRKDLKEGAILSVVNCSSDSFSPTILFSTEHCDIHKWDTRTNSESWSFKSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDHRFLLPVNSWNYSTVSPIERLCLLIPPQNSISSAGRPLVFVAAGCNEVSLWNAENGSCHQMFRTASTENEAGMPKTPLRPINKTVAKDVRRAGSYKYRIEELADPHPRLPGIRCLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCVAGPSAKGVGNDECYDIKSSFGVQVVQEACKPPTSASRLTHKTQLAMAAADSAGCHRDAILALASVNLSNQRLISASRDGAVKVWK* >Brasy4G086700.2.p pacid=40084625 transcript=Brasy4G086700.2 locus=Brasy4G086700 ID=Brasy4G086700.2.v1.1 annot-version=v1.1 MACFSDMVVDLARLVVQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPDNDPSDFSFFFDTGGRRRCYLAPERFHEHGGESQVSADAPLQPSMDIFSVGCVLAELFLEGQPLFELSQLLAYRRGQYDPLHTLEKIQDAGIQDMVLHMIQLDPKERLSCKSYLQKYETVVFPTYFSSFLHKFFSDIVPLDSDARVEKTQENFERIHDMMISGSTSEHIQVSEKKGIGGQVTNSPRESSKGTSSVKKNIPRDHRQIVGDLNSLLKEVEQRNNHADSKAMKYADSYAASNVSRANGIEPSPQVNETRIQTARVLAGQNNDVSHVHKISKSNLPALVSTYDVQSDTYSFDIFQQIHTKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKISSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAMIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIADTGPLDKSMVAAVSQSAESPAKKQQDKIYRQLARLRRCIYDIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHRQSNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGPRSVEEYLLPYLEQALSDDMEAVVVNVLDCLTMMCGSGYLRKRIIVGLFGKALPLLCHPIQWVKRSAVRLIAACSESLGPVDTYVYLSPHLRQFLHREPPSLSEAALLACLKPPVSKSVLYQALEVAKDTGDVLLKGAGKKEQTVHGGRYHATAQSGSLAALEDAARLRSSNLSSNVSLDIKDSISSDKSLYYGYAQHASAGNSSFYDGLSKGIPSYSVCTDKRGLGEIFMPWESNRYPGLSTSVQGLQTRENHFSSKHISESMKSSSSVPGDSVSNPETAGSPSFIRSAVVLETGWKPRGVLVAHLQEHRMSVNDIAVSDDNAFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGTSRALCTTMLYGCSQVIVGDSDGALHLFSVDCARGVGSVTDRYSGLVNVNRKDLKEGAILSVVNCSSDSFSPTILFSTEHCDIHKWDTRTNSESWSFKSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDHRFLLPVNSWNYSTVSPIERLCLLIPPQNSISSAGRPLVFVAAGCNEVSLWNAENGSCHQMFRTASTENEAGMPKTPLRPINKTVAKDVRRAGSYKYRIEELADPHPRLPGIRCLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCVAGPSAKGVGNDECYDIKSSFGVQVVQEACKPPTSASRLTHKTQLAMAAADSAGCHRDAILALASVNLSNQRLISASRDGAVKVWK* >Brasy4G086700.3.p pacid=40084626 transcript=Brasy4G086700.3 locus=Brasy4G086700 ID=Brasy4G086700.3.v1.1 annot-version=v1.1 MVLHMIQLDPKERLSCKSYLQKYETVVFPTYFSSFLHKFFSDIVPLDSDARVEKTQENFERIHDMMISGSTSEHIQVSEKKGIGGQVTNSPRESSKGTSSVKKNIPRDHRQIVGDLNSLLKEVEQRNNHADSKAMKYADSYAASNVSRANGIEPSPQVNETRIQTARVLAGQNNDVSHVHKISKSNLPALVSTYDVQSDTYSFDIFQQIHTKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKISSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAMIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIADTGPLDKSMVAAVSQSAESPAKKQQDKIYRQLARLRRCIYDIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHRQSNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGPRSVEEYLLPYLEQALSDDMEAVVVNVLDCLTMMCGSGYLRKRIIVGLFGKALPLLCHPIQWVKRSAVRLIAACSESLGPVDTYVYLSPHLRQFLHREPPSLSEAALLACLKPPVSKSVLYQALEVAKDTGDVLLKGAGKKEQTVHGGRYHATAQSGSLAALEDAARLRSSNLSSNVSLDIKDSISSDKSLYYGYAQHASAGNSSFYDGLSKGIPSYSVCTDKRGLGEIFMPWESNRYPGLSTSVQGLQTRENHFSSKHISESMKSSSSVPGDSVSNPETAGSPSFIRSAVVLETGWKPRGVLVAHLQEHRMSVNDIAVSDDNAFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGTSRALCTTMLYGCSQVIVGDSDGALHLFSVDCARGVGSVTDRYSGLVNVNRKDLKEGAILSVVNCSSDSFSPTILFSTEHCDIHKWDTRTNSESWSFKSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDHRFLLPVNSWNYSTVSPIERLCLLIPPQNSISSAGRPLVFVAAGCNEVSLWNAENGSCHQMFRTASTENEAGMPKTPLRPINKTVAKDVRRAGSYKYRIEELADPHPRLPGIRCLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCVAGPSAKGVGNDECYDIKSSFGVQVVQEACKPPTSASRLTHKTQLAMAAADSAGCHRDAILALASVNLSNQRLISASRDGAVKVWK* >Brasy4G365800.1.p pacid=40084627 transcript=Brasy4G365800.1 locus=Brasy4G365800 ID=Brasy4G365800.1.v1.1 annot-version=v1.1 MDEDDTPNPRRRKIQEPMPPPPGHGGSFFLPDIDADVVAEILARLPPNARRRARLVCRIWRAAVDERTTTSLRSRAKPLVFVTQQRAQTKRRDVWWHSAAYAIYDHHTESQGQGPRIVWSNSNDYSRAAIGMVGTRNGILCLCHNGNANANADGSGKPPFAGDITLVNPVTPETLAIPGPLHHHQDPTSLAAGSPRWHETYSFAYDEDTGQYRILHIPCYGCGNGRFDAVKVFSLGDPLSPSSWRDVPAPAGSSCSLGNGLLSVGGAAYWVTEDRRNVMSFHLWKECFTTKPLPAADEMGYSFRLAMVLGGRLGIAVDIYARNTEADHESLTKVWVAESEGWSDRQDIHVYGVEQRPTRPDFALGEYILTNKGRVAGE* >Brasy4G249500.1.p pacid=40084628 transcript=Brasy4G249500.1 locus=Brasy4G249500 ID=Brasy4G249500.1.v1.1 annot-version=v1.1 MDQQSSFVAAARDSLPAARVTTANLNARKRGPQGRGRGRKNGGTNGRGRGRTKAGAAVIDAQATGVAVIDAQATGHSDGGQVRGSGTSNAGNNNASASAGGGQSRGGGSTSGQRRGRSAVGATARAEIKARGKKRTYNTGSGSLHFLLFGDDQQGQQA* >Brasy4G212900.1.p pacid=40084629 transcript=Brasy4G212900.1 locus=Brasy4G212900 ID=Brasy4G212900.1.v1.1 annot-version=v1.1 MTDTRADEAERGITIKSTGISLFYEMTDESLQMYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPTTKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMVFHLPSPSKAQRYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVHVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVIEKSSRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVRVLWILKYAQGCNIWPGIPSMCVRSLGYHVF* >Brasy4G238200.1.p pacid=40084630 transcript=Brasy4G238200.1 locus=Brasy4G238200 ID=Brasy4G238200.1.v1.1 annot-version=v1.1 MLQVNQVKSDERDPSEPGPIATCTRDIPPPSHPPPPSLFLLSLPTQIQSSPPRPPLSPEHLSRSRVPSGWRTEEAARCSRAVSAVPDEEADRELAVDALRGTGGAATRAVRPANPGRSPPPRRVRQGGRGAARGSRLRWRWLRADGVGHGLGRGSAAAGHGGARQDPLELNLLHLQFCDERGIHSHRTSGQHRTKMDSFLQFDKSMVSDFCYDVLVSRVNDCVYAGNV* >Brasy4G238200.2.p pacid=40084631 transcript=Brasy4G238200.2 locus=Brasy4G238200 ID=Brasy4G238200.2.v1.1 annot-version=v1.1 MLQVNQVKSDERDPSEPGPIATCTRDIPPPSHPPPPSLFLLSLPTQIQSSPPRPPLSPEHLSRSRVPSGWRTEEAARCSRAVSAVPDEEADRELAVDALRGTGGAATRAVRPANPGRSPPPRRVRQGGRGAARGSRLRWRWLRADGVGHGLICREGVAPLQATVVHVKILLNSIYCTYSSVMRGGFILTGPVVNTGQRWIPSYSLTRVWFQIFVTMCWCPV* >Brasy4G238200.3.p pacid=40084632 transcript=Brasy4G238200.3 locus=Brasy4G238200 ID=Brasy4G238200.3.v1.1 annot-version=v1.1 MLQVNQVKSDERDPSEPGPIATCTRDIPPPSHPPPPSLFLLSLPTQIQSSPPRPPLSPEHLSRSRVPSGWRTEEAARCSRAVSAVPDEEADRELAVDALRGTGGAATRAVRPANPGRSPPPRRVRQGGRGAARGSRLRWRWLRADGVGHGLICREGVAPLQATVVHVKILLNSIYCTYSSVISFIERS* >Brasy4G238200.4.p pacid=40084633 transcript=Brasy4G238200.4 locus=Brasy4G238200 ID=Brasy4G238200.4.v1.1 annot-version=v1.1 MLQVNQVKSDERDPSEPGPIATCTRDIPPPSHPPPPSLFLLSLPTQIQSSPPRPPLSPEHLSRSRVPSGWRTEEAARCSRAVSAVPDEEADRELAVDALRGTGGAATRAVRPANPGRSPPPRRVRQGGRGAARGSRLRWRWLRADGVGHGLGRGSAAAGHGGARQDPLELNLLHLQFCDFFH* >Brasy4G417400.1.p pacid=40084634 transcript=Brasy4G417400.1 locus=Brasy4G417400 ID=Brasy4G417400.1.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHTKLIDDMDDHVDGTNSRLQRVQKKLAVLNKRSKGGCSCMSLLLSVVSIVMLVVIVWLLIKFL* >Brasy4G417400.2.p pacid=40084635 transcript=Brasy4G417400.2 locus=Brasy4G417400 ID=Brasy4G417400.2.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHTKLIDDMDDHVDGTNSRLQRVQKKLAVLNKRSKGGCSCMSLLLSVVSIVMLVVIVWLLIKFL* >Brasy4G417400.3.p pacid=40084636 transcript=Brasy4G417400.3 locus=Brasy4G417400 ID=Brasy4G417400.3.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHTKLIDDMDDHVDGTNSRLQRVQKKLAVLNKRSKGGCSCMSLLLSVVSIVMLVVIVWLLIKFL* >Brasy4G417400.4.p pacid=40084637 transcript=Brasy4G417400.4 locus=Brasy4G417400 ID=Brasy4G417400.4.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHTKLIDDMDDHVDGTNSRLQRVQKKLAVLNKRSKGGCSCMSLLLSVVSIVMLVVIVWLLIKFL* >Brasy4G417400.5.p pacid=40084638 transcript=Brasy4G417400.5 locus=Brasy4G417400 ID=Brasy4G417400.5.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHTKLIDDMDDHVDGTNSRLQRVQKKLAVLNKRSKGGCSCMSLLLSVVSIVMLVVIVWLLIKFL* >Brasy4G417400.6.p pacid=40084639 transcript=Brasy4G417400.6 locus=Brasy4G417400 ID=Brasy4G417400.6.v1.1 annot-version=v1.1 MASPPDPWAREYGDAARLADDVGAMVAAVVGGGPEAMRHASAIRRKITILGTRLDSLEASLSRVPPKSITDKELHKRQDMLSNLRSRAKQMASSFNMSTSANREDLLGQTKKPADDMSRVAGLDSQGIVGLQRQIMKAGCKYEHFARVIKLNNSMVANPFILSAITICRTR* >Brasy4G209400.1.p pacid=40084640 transcript=Brasy4G209400.1 locus=Brasy4G209400 ID=Brasy4G209400.1.v1.1 annot-version=v1.1 MSAPAASRGMGMNMVTTLMAFSVSAFFVLFVFTRLVCARIQLRAAAAEHAAAAAAAQASDAAFPASHVERGLRGMEPAVVTAFPTVKFGNDFQRPPAQEESQCTVCLEEYEAKDVVRVLPFCGHAFHVACIDAWLKQQSTCPICRASMRATAKHRAAAAVAMPPVYYAVAMARPSTSSSDGSNNTLQGEAVTTLAPAAADHVEIVVADEPAPDDHVEIVVADEPASSGAGAGASCPSRTPAPAAASGNPASSGTTSQGIFFLETEQRSQGNASEDCLMLTRTL* >Brasy4G398200.1.p pacid=40084641 transcript=Brasy4G398200.1 locus=Brasy4G398200 ID=Brasy4G398200.1.v1.1 annot-version=v1.1 MPWTQRECNHILHFQSNQKPRTTWVCMQGKKEFYHIFYWLDFWSDLQKHGDWWVLQGSRMLKNMLSEIFNS* >Brasy4G018400.1.p pacid=40084642 transcript=Brasy4G018400.1 locus=Brasy4G018400 ID=Brasy4G018400.1.v1.1 annot-version=v1.1 MTESRRAPPPPSGCNMLGIYTGMFRRRRSASMSNLSRFNNGPAPEEPAPPPNHRTQKPAGAGVHDSSSSLVRHHRPRTTPSHAPIAAPPPSSAIDKNTIKPATNKAANNAGAEYTGMAAELDKMIHDHQRVKGTTQMMRATSGNMMMHRNLGNLNATAGSPSTRASLEQQRPKATNEQRKNGYASTATGMGNIVGGNKGAGELCRALSHRTDPEKLKEMGNQEYREGHYAEAVALYDQAIIVDPCRPAYWSNKAAALAALGRLIEAVADCKEALRIDPSYGRAHHRLGGLYLRSGEPDKAINFFKQSSSKDSTSADVARAQSVKSRIAKCNDARKLRDWITVLQESQAAVSDGADCAPQVLALQAEALLKLQRHDEADAALRGGSAPRFGADESAKFFGTTAHAYALTVRAQVDMAAGRFEDAVAAAQAACQLDPASREAAAVHRRAKVVASARLRGNELFKASRFAEACAAYGEGLGNGGGGGGEATSSAVLLCNRAACHAKLGRHEKAVEDCSAALSLRPAYSKARLRRADCNVKLERWEAASRDYQVLIQELPENEDVKKALSEVEAKVKSQRNGGISRH* >Brasy4G066500.1.p pacid=40084643 transcript=Brasy4G066500.1 locus=Brasy4G066500 ID=Brasy4G066500.1.v1.1 annot-version=v1.1 MWSPPPPLPLARPLHLQLRQSPPTLPLFSHGRHIRRRRLSRIAASQEDPLTALTRVLWGRALPPSQLVLVVRHGWTSAWRLLMRQLAPSDPSTGAFIRTPSRFPAVAPAPSPTASLHLYVGLPCPWAHRALLVRALLGLHRRLPVSVAVPGDDGAWSFTPESPDRLYGKRRLRDVYAAGAGEFEGRATVPMLWDGDRRQVLCNESIEIAKFLCTLAGDEDEGLGLDLYPRDLRGEVDRWYGMIYPSVNNGVYRCGFAQSQRTYDAAASELFAALDKLEEHLSRSRYLCSGHGLTLADVCLFTTLIRFDLVYNPLFRCTRRKLVEYPSLHAYTREIYQMPGVADTCDMDAIAAGYFGTLFPLNPGGIQPVVPASCGQEALMEPHGREALPSSAPAAPSGRQLGAASGVS* >Brasy4G090400.1.p pacid=40084644 transcript=Brasy4G090400.1 locus=Brasy4G090400 ID=Brasy4G090400.1.v1.1 annot-version=v1.1 MVGSGEKAGGGSGSGGGGERRKYPIGVEDYELYEEIGQGVSAIVYRALCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKALCSFTNNQTLWVVMPYMAGGSCLHIMKSVHPDGFKEAIIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRCGVKLGDFGVSACLFESGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSNDFISRKLLEGLPGLGARYQALKEKDEHLLAQKKMPDGKKEEISQDEYKRGISSWNFDIDDLKSQASLVTECEDIISSKDTDTSSIYDFDTILQEQALEGPLLSRGFSMKYDTDIESDVMANDKSTVSSPEQSVCPSRNASMRATPSEVLLNGHVRKHNSMECCDLDLQEKDSDAIPTTSFHERKFSFSSCSSDGFLSSKESSKPQISIHNRDKGNGGALQVADEPSPEAAPKAPKSSVSNVEEHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKCHSMQAISHLPSLSIPSSAEAASSIIGGSFYIQLYSVLQTNILQREQILHAMKQLIGSDLASPLCLPSISRLASPSSALSVDRSMLEAAHEKEKELLNEVLELQWRLERCRLLCSQDEVQRLKAKAAQI* >Brasy4G090400.2.p pacid=40084645 transcript=Brasy4G090400.2 locus=Brasy4G090400 ID=Brasy4G090400.2.v1.1 annot-version=v1.1 MVGSGEKAGGGSGSGGGGERRKYPIGVEDYELYEEIGQGVSAIVYRALCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKALCSFTNNQTLWVVMPYMAGGSCLHIMKSVHPDGFKEAIIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRCGVKLGDFGVSACLFESGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSNDFISRKLLEGLPGLGARYQALKEKDEHLLAQKKMPDGKKEEISQDEYKRGISSWNFDIDDLKSQASLVTECEDIISSKDTDTSSIYDFDTILQEQALEGPLLSRGFSMKYDTDIESDVMANDKSTVSSPEQSVCPSRNASMRATPSEVLLNGHVRKHNSMECCDLDLQEKDSDAIPTTSFHERKFSFSSCSSDGFLSSKESSKPQISIHNRDKGNGGALQVADEPSPEAAPKAPKSSVSNVEEHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKCHSMQAISHLPSLSIPSSAEAASSIIGGSFYIQLYSVLQTNILQREQILHAMKQLIGSDLASPLCLPSISRLASPSSALSVDRSMLEAAHEKEKELLNEVLELQWRLLCSQDEVQRLKAKAAQI* >Brasy4G415000.1.p pacid=40084646 transcript=Brasy4G415000.1 locus=Brasy4G415000 ID=Brasy4G415000.1.v1.1 annot-version=v1.1 MSPLLFSGSLVVGRLFSHRIRAGPAWLIHQSRNIADHARGFGSSGSFPKGGKLHASILPVGTLSCALVGGMLYFKEDAEEPTTACGLTDEDATRAHELTDEDPTKEAALKARFEEWMIKYERRYKDEEEKALRFREFKRHVKDSEKANALGSGFCTFEPNGLGDQTEEEGLMRRTGSYDMTARRYIARQRDMAYQRVLEWWYQL* >Brasy4G415000.2.p pacid=40084647 transcript=Brasy4G415000.2 locus=Brasy4G415000 ID=Brasy4G415000.2.v1.1 annot-version=v1.1 MCTVYLLQMGSFRQTKRKQMPVQTKEQPGLLLALLRLMQCFPKGGKLHASILPVGTLSCALVGGMLYFKEDAEEPTTACGLTDEDATRAHELTDEDPTKEAALKARFEEWMIKYERRYKDEEEKALRFREFKRHVKDSEKANALGSGFCTFEPNGLGDQTEEEGLMRRTGSYDMTARRYIARQRDMAYQRVLEWWYQL* >Brasy4G356300.1.p pacid=40084648 transcript=Brasy4G356300.1 locus=Brasy4G356300 ID=Brasy4G356300.1.v1.1 annot-version=v1.1 MLQEKMTVYSSKSSKGTLFPLRSMLVFFIALFGFYVCYFSFTQVDFENEEEMTDAEEQTKVVCRRPSVIPYEQMQYVHFPRPMTYDRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNVSSNGEIFSVRERREDIASILRTLDKLYDLDWRTSAAKNECTAAFGLKWMLNQGLTDYHQDIANYLNEKGVMVIFLFRRNTLRRLVSVLANDYDRKAKQLNGTHKAHAEILARFRPELDVSSLVPSIRDAEQSMESCLVRFRSTRHMILYYEDIIRDENALSRVQEFLGVPVRRLSSRHVKIHTRPLQELVENWEDVSETLKGTEFAHFLDGEDYVK* >Brasy4G147700.1.p pacid=40084649 transcript=Brasy4G147700.1 locus=Brasy4G147700 ID=Brasy4G147700.1.v1.1 annot-version=v1.1 MAATLERMRGCGLVCVCVWGGGGGGGRGRRGREGLNREGEQRKTVGREPAAKSFTSGGGRDERDAGGSRAMRLRSGVKRHTTVEEGQRRRRRRGGPRRQSAAPLSRRGGEEEENDRGGGPAPPE* >Brasy4G004000.1.p pacid=40084650 transcript=Brasy4G004000.1 locus=Brasy4G004000 ID=Brasy4G004000.1.v1.1 annot-version=v1.1 MGFMSLLLLASSPVVEVLVIAVLGAYLASGHGHKVLLGASARTDINRVVYAVFTPALMLSSLSRTVTLRDAVSWWFMPVNIGIIFLAGGLLGWAAVFLLRPPQHLRGLVVASCSAANFGNLLLIMIPAVCQEEGNPFVLHADAGVCTDRGLSYASFSMALGGLYIWTHTYSVIKRSGEMYRKMNIHDSTLDPAAAAAHHHPNQEEQDQLEEPRWNDQEGLVSSDNSVVLHEKEQCQALLMPLVSSYHHSTGNTVSNSVWDKLKHGAHQILQELTGPPTISAVLGFIVGAVPWLRSVFVGDEAPLRVVQDALKILGDGTIPCVTLILGGNLTKGVRKTALSRWVIAAIIGVRYVALPVIGVAVVKSARELGFLPPDPLYQYVLMLQFALPPAMSIGTMAQLYDVAQEECSVIFLWTYLVAALALTFWSTIFMSILS* >Brasy4G200700.1.p pacid=40084651 transcript=Brasy4G200700.1 locus=Brasy4G200700 ID=Brasy4G200700.1.v1.1 annot-version=v1.1 MAASVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD* >Brasy4G137700.1.p pacid=40084652 transcript=Brasy4G137700.1 locus=Brasy4G137700 ID=Brasy4G137700.1.v1.1 annot-version=v1.1 MGDHHRDSILGAPGHCLPKTSSMSMTDSVTAVHDFRVTGYSLVDGMGVGRYVSSSTFTVGGLDWAVRFYPDGSSSTCLGNASAFLYYFSREKDVRARFTLNLLEKDGRLSPVTNSHMKHTFSPASDNWGFIKFVEKSKLQGSPFVENDCLTIRCLLTVVKESRTEDVEMNSIIVPPSNLHLDFRNMLTDGEGADVTFSVCGQLFRAHRCVLAFRSPVFRAELFGLMKENNATECIKIDDMEPLIFEALLHFIYTDTLSDNCNDGKAAAMQHLLVAADRYGVDRLRLLCEKKLSESIDVETVATTLALAEQHHCSQLRQACIRFMASPNMLGPVIETDGFKHLIASCPLIMKDILGKVSHIWSDKSCEK* >Brasy4G089700.1.p pacid=40084653 transcript=Brasy4G089700.1 locus=Brasy4G089700 ID=Brasy4G089700.1.v1.1 annot-version=v1.1 MAAAPEERLDVLTAAGDKTGVSKPRSEVHRDGDYHRAVNVWIYSESTGELLLQCRADCKDSWPSQWDISSAGHISAGDSSLSSAQRELHEELGIKLPIDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAAESGEYVPYDVNGQYGQLFSIIEERYKDNIESRSLTLKKQISCYAPIHLEPELTTLSEGDREALGYILKASIVIDDIFYEQVWNSNRMLKDWLKARADSSSFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVPGWKGVEYRAAFPLDKPLGANFYPPDMNKMEFELWKSGLTDKEQKDATGFFTVIKRPDALLPSSLAQSEGPNQTNTSDDLFIVPYSQEYKSSLEKAAELLHKAAECSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNIDVTIGPYETYEDGLFSYKATFEAFVGIRDDIATSQVKLFGGQLEDLEKNLPMDNIYKSDHVSAAPIRVMNLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQKDYVDFEPYYTHIVCHECCHGIGPHSIILPSGKKSTVRMELQEFHSALEEAKADIVGLWALNFLINKGLLPKSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWMYEKGAFILHSDGKFSIDFTKVEDAVESLSREILTIQAKGDKLAAQSLLQSRATLTQPLHVALEKIEHMQVPVDIAPVFGTATKLLANN* >Brasy4G089700.2.p pacid=40084654 transcript=Brasy4G089700.2 locus=Brasy4G089700 ID=Brasy4G089700.2.v1.1 annot-version=v1.1 MAAAPEERLDVLTAAGDKTGVSKPRSEVHRDGDYHRAVNVWIYSESTGELLLQCRADCKDSWPSQWDISSAGHISAGDSSLSSAQRELHEELGIKLPIDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAAESGEYVPYDVNGQYGQLFSIIEERYKDNIESRSLTLKKQISCYAPIHLEPELTTLSEGDREALGYILKASIVIDDIFYEQVWNSNRMLKDWLKARADSSSFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVPGWKGVEYRAAFPLDKPLGANFYPPDMNKMEFELWKSGLTDKEQKDATGFFTVIKRPDALLPSSLAQSEGPNQTNTSDDLFIVPYSQEYKSSLEKAAELLHKAAECSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNIDVTIGPYETYEDGLFSYKDLEKNLPMDNIYKSDHVSAAPIRVMNLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQKDYVDFEPYYTHIVCHECCHGIGPHSIILPSGKKSTVRMELQEFHSALEEAKADIVGLWALNFLINKGLLPKSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWMYEKGAFILHSDGKFSIDFTKVEDAVESLSREILTIQAKGDKLAAQSLLQSRATLTQPLHVALEKIEHMQVPVDIAPVFGTATKLLANN* >Brasy4G089700.3.p pacid=40084655 transcript=Brasy4G089700.3 locus=Brasy4G089700 ID=Brasy4G089700.3.v1.1 annot-version=v1.1 MAAAPEERLDVLTAAGDKTGVSKPRSEVHRDGDYHRAVNVWIYSESTGELLLQCRADCKDSWPSQWDISSAGHISAGDSSLSSAQRELHEELGIKLPIDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAAESGEYVPYDVNGQYGQLFSIIEERYKDNIESRSLTLKKQISCYAPIHLEPELTTLSEGDREALGYILKASIVIDDIFYEQVWNSNRMLKDWLKARADSSSFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVPGWKGVEYRAAFPLDKPLGANFYPPDMNKMEFELWKSGLTDKEQKDATGFFTVIKRPDALLPSSLAQSEGPNQTNTSDDLFIVPYSQEYKSSLEKAAELLHKAAECSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNIDVTIGPYETYEDGLFSYKATFEAFVGIRDDIATSQVKLFGGQLEDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQKDYVDFEPYYTHIVCHECCHGIGPHSIILPSGKKSTVRMELQEFHSALEEAKADIVGLWALNFLINKGLLPKSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWMYEKGAFILHSDGKFSIDFTKVEDAVESLSREILTIQAKGDKLAAQSLLQSRATLTQPLHVALEKIEHMQVPVDIAPVFGTATKLLANN* >Brasy4G142500.1.p pacid=40084656 transcript=Brasy4G142500.1 locus=Brasy4G142500 ID=Brasy4G142500.1.v1.1 annot-version=v1.1 MTMDLAIATGSLVSDALLDAEKLPGILISCGFFKSVASLYMIISNAPGGVLLHQANPFERRGDGKKIMYVSIVFLVVMLAALEAFASLK* >Brasy4G103100.1.p pacid=40084657 transcript=Brasy4G103100.1 locus=Brasy4G103100 ID=Brasy4G103100.1.v1.1 annot-version=v1.1 MDQPTVRDVLAFHRVDRAAYERLLSLGAARDAVALLMWLGRVVGADAVASVRPFLRTRADATRLVVDAHIVLRCGGAPCLLPGVAAAPVPLLDLVPDGADPRRSVADVLFGVGALVFDDRLHALLRRYEEEEGGGELPPELAAPYRLPPLHPVPVDDEGGERSLFVTFSKGSPLTREEIHAYFTERWGEGCVENVMIERTPPSGEAPTYGKVVFRSASEAAAVLGGQRLVKLLVNGRQLWARKYVPRQWYNNQD* >Brasy4G240900.1.p pacid=40084658 transcript=Brasy4G240900.1 locus=Brasy4G240900 ID=Brasy4G240900.1.v1.1 annot-version=v1.1 MVVVALCSGIHCYSSSIASAASLFLSVSSARARAPTSGSFLRRSISRVSLGSIAMADAHACEAATDKGLPSGMDASMVNEYASQSKLLQEFVKIPNIGKAWIFNSKNENTSRAMVSIGQSDLLANKKRNFLLNSHISKSAPKSVNFQWSPFPIEMSGVSAIVPSPSGEKLLLVRNAEDDSPTKLEIWGPCQLENEIHIPQSVHGSLYADAWFEGISWNQEETFIAYVAEEPPQPKPEFNDSGYKKEGSSQKDCKSWKGQGDWEDNWGETYSRKRIPALFVANISSGEVRAVKGISRSLSVGQVVWSPSSSYSLVFVAWSDDNGFQETPRKLGIVYCYNRPCALYAAPDPFKEEADKPSTDSKADTTAMVKLTADVSSAFFPRFSPDGKFLVFISAKSAVDSGAHNATNSMHKVDWPADGKLEGSLGVADVVPIVMSPQDGCFPGIYCSGLLRFPWLSDGRTMVLSSVWGSKEVVLSVNVVSGEVSRVSPQDSDYSWKVVALDNNNILAVSSSLITQPQMYYGFESSQTDKSFQWDWQEISSPFPKPSDMVSSLLADHKFSLLQIPISNPSDKLPDGAKLPFEAIFVSHKDSASSPTIVVLHGGPHSVYPSSYSKSLAFLYAQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVSKRGLIDASRVAVVGGSHGGFLTTHLIGQAPETFVAAAARNPVCNLSLMVGTTDIPEWCFLEMYGKEGKNCFTESPSAETLAQFYEKSPISHISKVKTPTLFLLGAKDLRVPVSNGLQYARTMKERGLETKIIVFPEDNHGLDKPQADYESFLNIGVWFKKYMSK* >Brasy4G240900.2.p pacid=40084659 transcript=Brasy4G240900.2 locus=Brasy4G240900 ID=Brasy4G240900.2.v1.1 annot-version=v1.1 MADAHACEAATDKGLPSGMDASMVNEYASQSKLLQEFVKIPNIGKAWIFNSKNENTSRAMVSIGQSDLLANKKRNFLLNSHISKSAPKSVNFQWSPFPIEMSGVSAIVPSPSGEKLLLVRNAEDDSPTKLEIWGPCQLENEIHIPQSVHGSLYADAWFEGISWNQEETFIAYVAEEPPQPKPEFNDSGYKKEGSSQKDCKSWKGQGDWEDNWGETYSRKRIPALFVANISSGEVRAVKGISRSLSVGQVVWSPSSSYSLVFVAWSDDNGFQETPRKLGIVYCYNRPCALYAAPDPFKEEADKPSTDSKADTTAMVKLTADVSSAFFPRFSPDGKFLVFISAKSAVDSGAHNATNSMHKVDWPADGKLEGSLGVADVVPIVMSPQDGCFPGIYCSGLLRFPWLSDGRTMVLSSVWGSKEVVLSVNVVSGEVSRVSPQDSDYSWKVVALDNNNILAVSSSLITQPQMYYGFESSQTDKSFQWDWQEISSPFPKPSDMVSSLLADHKFSLLQIPISNPSDKLPDGAKLPFEAIFVSHKDSASSPTIVVLHGGPHSVYPSSYSKSLAFLYAQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVSKRGLIDASRVAVVGGSHGGFLTTHLIGQAPETFVAAAARNPVCNLSLMVGTTDIPEWCFLEMYGKEGKNCFTESPSAETLAQFYEKSPISHISKVKTPTLFLLGAKDLRVPVSNGLQYARTMKERGLETKIIVFPEDNHGLDKPQADYESFLNIGVWFKKYMSK* >Brasy4G313200.1.p pacid=40084660 transcript=Brasy4G313200.1 locus=Brasy4G313200 ID=Brasy4G313200.1.v1.1 annot-version=v1.1 MATAAAAIPDTRRWSKGGPSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLAITNLDQWRTKPAILTASHTASIPATPTGPAPPRPAYSLSCSVPPLTRDPAIASNISQTLSLALSSNGTCASVPDPPPLPPTAATNSSCPVYFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYVELIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRADQYEGENATVMPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALRKDLDAGNRRVRWVDREPYAYWKGNPDVAAIRQELVKCNVSSKQEWNARIYKQDWIKESKAGYKKSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVITPKYYDFFSRVLVPTKHYWPVRADSKCSSIKYAVDWGNSHKKKAQQIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGRERKFMEDSMVKSANVAGPCDLPPPFSPKEFKDLHRRKEKSMKQVETWEQNASKPEDSNQ* >Brasy4G313200.2.p pacid=40084661 transcript=Brasy4G313200.2 locus=Brasy4G313200 ID=Brasy4G313200.2.v1.1 annot-version=v1.1 MATAAAAIPDTRRWSKGGPSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLAITNLDQWRTKPAILTASHTASIPATPTGPAPPRPAYSLSCSVPPLTRDPAIASNISQTLSLALSSNGTCASVPDPPPLPPTAATNSSCPVYFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYVELIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRADQYEGENATVMPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALRKDLDAGNRRVRWVDREPYAYWKGNPDVAAIRQELVKCNVSSKQEWNARIYKQDWIKESKAGYKKSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVITPKYYDFFSRVLVPTKHYWPVRADSKCSSIKYAVDWGNSHKKKAQQIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGRERKFMEDSMVKSANVAGPCDLPPPFSPKEFKDLHRRKEKSMKQVETWEQNASKPEDSNQ* >Brasy4G313200.3.p pacid=40084662 transcript=Brasy4G313200.3 locus=Brasy4G313200 ID=Brasy4G313200.3.v1.1 annot-version=v1.1 MATAAAAIPDTRRWSKGGPSSPVTTAIFLFFFVVVVGVLVSARWITTTTHLAITNLDQWRTKPAILTASHTASIPATPTGPAPPRPAYSLSCSVPPLTRDPAIASNISQTLSLALSSNGTCASVPDPPPLPPTAATNSSCPVYFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYVELIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRADQYEGENATVMPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALRKDLDAGNRRVRWVDREPYAYWKGNPDVAAIRQELVKCNVSSKQEWNARIYKQDWIKESKAGYKKSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVITPKYYDFFSRVLVPTKHYWPVRADSKCSSIKYAVDWGNSHKKKAQQIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGRERKFMEDSMVKSANVAGPCDLPPPFSPKEFKDLHRRKEKSMKQVETWEQNASKPEDSNQ* >Brasy4G313200.4.p pacid=40084663 transcript=Brasy4G313200.4 locus=Brasy4G313200 ID=Brasy4G313200.4.v1.1 annot-version=v1.1 MLDRARATANFRLVVLRGRAYVELIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRADQYEGENATVMPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALRKDLDAGNRRVRWVDREPYAYWKGNPDVAAIRQELVKCNVSSKQEWNARIYKQDWIKESKAGYKKSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVITPKYYDFFSRVLVPTKHYWPVRADSKCSSIKYAVDWGNSHKKKAQQIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGRERKFMEDSMVKSANVAGPCDLPPPFSPKEFKDLHRRKEKSMKQVETWEQNASKPEDSNQ* >Brasy4G081100.1.p pacid=40084664 transcript=Brasy4G081100.1 locus=Brasy4G081100 ID=Brasy4G081100.1.v1.1 annot-version=v1.1 MAAARSLGRRARWATQHWQLLGTQYWQLDGEQTGRPFTRGAHGAPPLVQQMKLNFCTSAGDPSTMNAGEDSEQHCGHAQDKGIKLPHGDAQDLLQRIKAEVSDMKDEMRKFVATYNKSIRPSLSSACLLTLCGMSNPLMVFLHRQTFAIANHNPNYLSCFDVTAYKHGCKDEDGEWERFFDYLIEKRIYVINDWESTFSTIETLMYDHGLKQPDDGTDDPFFKGECDASYDPDTHTASLNYK* >Brasy4G062100.1.p pacid=40084665 transcript=Brasy4G062100.1 locus=Brasy4G062100 ID=Brasy4G062100.1.v1.1 annot-version=v1.1 MAAAFRQTMMRTLLRGGKASATPPSPLPRPSAFSTSGTSKGGRQRPNLQRIHDDLRAEARGIWEEERKRATKRFAYLKTILGVAIISGASYGLYHGI* >Brasy4G131400.1.p pacid=40084666 transcript=Brasy4G131400.1 locus=Brasy4G131400 ID=Brasy4G131400.1.v1.1 annot-version=v1.1 MGTSSVAVAVTVAVVLVFLARATHAEIRTTLIASDARPLILFEQFGFARGGKAALSIRRSAWSLRPGSRLAGGGVDPTLMGFVLISGAQFPKINNASEYAAADPAAADDGPGPGGKHGHGARGFCVLTSGYAVPMIRLSDVPPGGATSILTIDDPDEYAVVFNNCQDGVEVTMDVRTEMYNVRPGGGDKAAGLLIRDYLPVGLQPLPTIYTVVSAVYFAFLAAWAWACLRRQRATAERIHAVMGALLLFKALKMACAAEDTWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQDREKSVLMIVIPLQVIENLVLVVIGETGPTGRDWVVWNQVFLLVDVICCCVVFFPIIWSIRGLREASKTDGKAARNLQKLTLFKRFYIVVVGYLYFTRIIVSAFLAVLSYKYQWVVNVAIEAASLAFYLFVFYNFHPVEKNPYLYIADEQEESAGGQLEMDDRAF* >Brasy4G412400.1.p pacid=40084667 transcript=Brasy4G412400.1 locus=Brasy4G412400 ID=Brasy4G412400.1.v1.1 annot-version=v1.1 MAQYGVLKSTEYWTKSTHPLPPNRSDRTLPPPFPNLTEDPAMYPPRPNRRRASHGRPDSVAGECSWLDQSAAASSRGGRSQEVTHPRPHQFPSSPLLPPSRSATSASPHRSTSRPRPHEFLPFPSPPCRRQVPSTHLRDPPLHLDPSQHRFLLRFFLLGRTDSISGCDFGSWRLLLFLL* >Brasy4G186300.1.p pacid=40084668 transcript=Brasy4G186300.1 locus=Brasy4G186300 ID=Brasy4G186300.1.v1.1 annot-version=v1.1 MKHVTSDSGVMLAKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYNMVQEVVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMS* >Brasy4G179700.1.p pacid=40084669 transcript=Brasy4G179700.1 locus=Brasy4G179700 ID=Brasy4G179700.1.v1.1 annot-version=v1.1 MADSVLREESNGNPRTLRLLALLVEAESRRFVAAASQPAENDLVRAFRGGATPSVPIGKFLERLQRCFRLFDGSVYVYAGAYLARFMRSPAACDAGIVLEPTTAHRLVSIAVLLGAKFISPRHYERRVETFEICSDRSIRASEMCPLEKLVLRAVDYRLFISVEEFQWFFRVLERGPPAAESCGSRKRKAEAAAGGEESRSVRACRPPVVGS* >Brasy4G083700.1.p pacid=40084670 transcript=Brasy4G083700.1 locus=Brasy4G083700 ID=Brasy4G083700.1.v1.1 annot-version=v1.1 MWVPSRLYRAATPRHSKGHVAPCRGTEATQRQARAGLGRRRRQRGRVGASGVQLVGRWWSRSRRAAAGGGASVRQRKRWQGQGADGEPGARAAGAVAAGWRGAVERTLVRSKVVAIKRDLLWNLLASPPPASIWMGMQ* >Brasy4G077500.1.p pacid=40084671 transcript=Brasy4G077500.1 locus=Brasy4G077500 ID=Brasy4G077500.1.v1.1 annot-version=v1.1 MRFLSTAFLNFPSPTASSSLARLDLSRRSPLVAAERAGKRRVKKAVGRNGITRTLFEFSSSISCISIAGEKRSDVV* >Brasy4G306000.1.p pacid=40084672 transcript=Brasy4G306000.1 locus=Brasy4G306000 ID=Brasy4G306000.1.v1.1 annot-version=v1.1 MGGYLADACVPDLNRLEHFIQTVGFYEYEIFQKRARLHQEYANYRGKVATNIGNSQPGMATDGSRSQSTLEKTRNKNRVSNVTMNEARVLF* >Brasy4G087200.1.p pacid=40084673 transcript=Brasy4G087200.1 locus=Brasy4G087200 ID=Brasy4G087200.1.v1.1 annot-version=v1.1 MAKRGRSDDGTTRAVKRRKQQKHLYVLVDDWERGYSVQKLDNDAMDADADDSSNPNQLKPTRFRDSPVARVEAQHARSWSFVAHGTKIFAMQPNNSSPAIPALDVATLSTDHGHMPLAPLPRRLRKAAAMPVPASWTTIHTRLPFAVTQVISYAAHPDGRTLFVSAGERAGKRHGTFSFDAGSLEWTHHGEWLLPFEGQAFFDRALGAWVGLCRIDHDDGGATAGRLCCCDVVDHNSGSAATTPAPRTKLLEEKLFDKAGPERPTLHVGATLVSMGGRGEFCVVESRMHDDVRDMLRDYYPRDQLDWRALSRRVLRVTAFRLGFDEATGALRIVSRRRTRRAAKVFRQAHCWGGPSLDPIVFWI* >Brasy4G324000.1.p pacid=40084674 transcript=Brasy4G324000.1 locus=Brasy4G324000 ID=Brasy4G324000.1.v1.1 annot-version=v1.1 MAGPSNSAVEVSAAVPAWLLTLLGVAFFQPCPAHLGVPKNECNHFCLDCATAGPGNAVFCSMCLRDHAVHHQVLQIRRSSYSDVIRVAEAEEVADVSLVQTYVINADRVVFLNPRPTAPGHGAKCVGPAGSCLRCPRALIDAAFRFCSLGCKLKGMGSDPSLTFALHPNREGAAGPSGQVAEPSANVQPEETTPGPAAQFQRPGQTSYRRLPRKGVARKPERAPFF* >Brasy4G199100.1.p pacid=40084675 transcript=Brasy4G199100.1 locus=Brasy4G199100 ID=Brasy4G199100.1.v1.1 annot-version=v1.1 MYGNRRRHRPRSVHRPAGRCCIVSLGRRVSVGSSIFEPVSRSRAAVGSGIDMGGKQGTQHTATRTRFSRWGQSTWEASRSQAPGSSVHARGSTAVLIAQNVFDEMPQGCCVHKKCCAGRPCG* >Brasy4G218100.1.p pacid=40084676 transcript=Brasy4G218100.1 locus=Brasy4G218100 ID=Brasy4G218100.1.v1.1 annot-version=v1.1 MVGPVAIASAGLGILAGVAMANRTMGDSGLPAASRWDARPRCATCAGTGREECLCNRWSDGDVGCGSCSGSGRKRCRSCGGSGTGRPMPARLLVKQQKPPTAHGRSGGDYK* >Brasy4G374200.1.p pacid=40084677 transcript=Brasy4G374200.1 locus=Brasy4G374200 ID=Brasy4G374200.1.v1.1 annot-version=v1.1 MGLLPLFLRAVARLAGCVASRRPAAVAATVLYHGGALPRDPALQRLVCRRGLLRGDNYILSFVVGVMRSLG* >Brasy4G251700.1.p pacid=40084678 transcript=Brasy4G251700.1 locus=Brasy4G251700 ID=Brasy4G251700.1.v1.1 annot-version=v1.1 MAMSFVFVDGDYNGSSIAIVGPNQNQADSKVRDMPVGGGTGAFRCARGYCQLRTHWFDASTGDATVEYTVHILHD* >Brasy4G062800.1.p pacid=40084679 transcript=Brasy4G062800.1 locus=Brasy4G062800 ID=Brasy4G062800.1.v1.1 annot-version=v1.1 MVFRKMTGRGSRGRGRGRGRGSGQVRATATPCATTLAPVKRSARLKKKQMYALDLLATVAETLSSDQEGSSSGTDTDGAVASYNSKGTSVKLEQFDEVPPFNSTALGENCCEEYTVSCAGTCASLRQTNICKMESLLTQNAADTVSESLTEKSDVLVKGSPVSCTKPRRLDRGLGTIPEYGTIGVCTPCSTRPVEVKQEDGVRPAVIKSQVDGSAATLHSSVDTMDLDIKPPPVISSESTSGVHLDGHDKGHNSSPFCFSKVQHAAGRENDENPPRCVHPSTSGSKGGYLPHYLGDCRTRRLFATRIRKAARNKICRQMSNKGCKLNFRGKTISTARRRVQMHRTMLKTKKLAECYSAQPSDEETLTETSGTSFSMGGQDPPCASEGCHVKFIIKSFNIPELSIDVPENATVGSLKRIVRDAVTKTIEGSLRVSVLLQGQVIQDDNKTLHQAGICHGAKLDSMGFTLECEAERDSHPSAIPPEDMESVGVSDVLPLSTVKWEEPSPSCSLSNPGDFPFEGTVHDTSESSQAIGTVATPNVNELSIVPFSKSKQRDFGQRRKRRPFSVAEVEELVEAVEQLGFGRWKDVKFHAFGSNNERTYVDCKDKWKNLVHTASIPLQLRRGQAIPPQELLDRVLAAQAYWSMQQPKHEPR* >Brasy4G062800.2.p pacid=40084680 transcript=Brasy4G062800.2 locus=Brasy4G062800 ID=Brasy4G062800.2.v1.1 annot-version=v1.1 MVFRKMTGRGSRGRGRGRGRGSGQVRATATPCATTLAPVKRSARLKKKQMYALDLLATVAETLSSDQEGSSSGTDTDGAVASYNSKGTSVKLEQFDEVPPFNSTALGENCCEEYTVSCAGTCASLRQTNICKMESLLTQNAADTVSESLTEKSDVLVKGSPVSCTKPRRLDRGLGTIPEYGTIGVCTPCSTRPVEVKQEDGVRPAVIKSQVDGSAATLHSSVDTMDLDIKPPPVISSESTSGVHLDGHDKGHNSSPFCFSKVQHAAGRENDENPPRCVHPSTSGSKGGYLPHYLGDCRTRRLFATRIRKAARNKICRQMSNKGCKLNFRGKTISTARRRVQMHRTMLKTKKLAECYSAQPSDEETLTETSGTSFSMGGQDPPCASEGCHVKFIIKSFNIPELSIDVPENATVGSLKRIVRDAVTKTIEGSLRVSVLLQGQVIQDDNKTLHQAGICHGAKLDSMGFTLECEAERDSHPSAIPPEDMESVGVSDVLPLSTVKWEEPSPSCSLSNPGDFPFEGTVHDTSESSQAIGTVATPNVNELSIVPFSKSKQRDFGQRRKRRPFSVAEVEELVEAVEQLGFGRWKDVKFHAFGSNNERTYVDCKDKWKNLVHTASIPLQLRRGQAIPPQELLDRVLAAQAYWSMQQPKHEPR* >Brasy4G062800.3.p pacid=40084681 transcript=Brasy4G062800.3 locus=Brasy4G062800 ID=Brasy4G062800.3.v1.1 annot-version=v1.1 MVFRKMTGRGSRGRGRGRGRGSGQVRATATPCATTLAPVKRSARLKKKQMYALDLLATVAETLSSDQEGSSSGTDTDGAVASYNSKGTSVKLEQFDEVPPFNSTALGENCCEEYTVSCAGTCASLRQTNICKMESLLTQNAADTVSESLTEKSDVLVKGSPVSCTKPRRLDRGLGTIPEYGTIGVCTPCSTRPVEVKQEDGVRPAVIKSQVDGSAATLHSSVDTMDLDIKPPPVISSESTSGVHLDGHDKGHNSSPFCFSKVQHAAGRENDENPPRCVHPSTSGSKGGYLPHYLGDCRTRRLFATRIRKAARNKICRQMSNKGCKLNFRGKTISTARRRVQMHRTMLKTKKLAECYSAQPSDEETLTETSGTSFSMGVKFIIKSFNIPELSIDVPENATVGSLKRIVRDAVTKTIEGSLRVSVLLQGQVIQDDNKTLHQAGICHGAKLDSMGFTLECEAERDSHPSAIPPEDMESVGVSDVLPLSTVKWEEPSPSCSLSNPGDFPFEGTVHDTSESSQAIGTVATPNVNELSIVPFSKSKQRDFGQRRKRRPFSVAEVEELVEAVEQLGFGRWKDVKFHAFGSNNERTYVDCKDKWKNLVHTASIPLQLRRGQAIPPQELLDRVLAAQAYWSMQQPKHEPR* >Brasy4G062800.4.p pacid=40084682 transcript=Brasy4G062800.4 locus=Brasy4G062800 ID=Brasy4G062800.4.v1.1 annot-version=v1.1 MVFRKMTGRGSRGRGRGRGRGSGQVRATATPCATTLAPVKRSARLKKKQMYALDLLATVAETLSSDQEGSSSGTDTDGAVASYNSKGTSVKLEQFDEVPPFNSTALGENCCEEYTVSCAGTCASLRQTNICKMESLLTQNAADTVSESLTEKSDVLVKGSPVSCTKPRRLDRGLGTIPEYGTIGVCTPCSTRPVEVKQEDGVRPAVIKSQVDGSAATLHSSVDTMDLDIKPPPVISSESTSGVHLDGHDKGHNSSPFCFSKVQHAAGRENDENPPRCVHPSTSGSKGGYLPHYLGDCRTRRLFATRIRKAARNKICRQMSNKGCKLNFRGKTISTARRRVQMHRTMLKTKKLAECYSAQPSDEETLTEIKFIIKSFNIPELSIDVPENATVGSLKRIVRDAVTKTIEGSLRVSVLLQGQVIQDDNKTLHQAGICHGAKLDSMGFTLECEAERDSHPSAIPPEDMESVGVSDVLPLSTVKWEEPSPSCSLSNPGDFPFEGTVHDTSESSQAIGTVATPNVNELSIVPFSKSKQRDFGQRRKRRPFSVAEVEELVEAVEQLGFGRWKDVKFHAFGSNNERTYVDCKDKWKNLVHTASIPLQLRRGQAIPPQELLDRVLAAQAYWSMQQPKHEPR* >Brasy4G345900.1.p pacid=40084683 transcript=Brasy4G345900.1 locus=Brasy4G345900 ID=Brasy4G345900.1.v1.1 annot-version=v1.1 MNPVALRASAATPPFPSRCRAAPQPPRSVSRLPPRASASTAGNRINVQGAFCLRCSTWKKASNRPFRVYSLFGGKKEKDENGDEAPSKGGIFGNIGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIEGYCEGELIKVTLSGNQQPINVEITEAAMELGAEKVSELVNEAYKDAHQRSVQAMKERMADLAQSIGMPAGLGDGLK* >Brasy4G345200.1.p pacid=40084684 transcript=Brasy4G345200.1 locus=Brasy4G345200 ID=Brasy4G345200.1.v1.1 annot-version=v1.1 MDAIQFRCRVVVLLLLLAVLKTLRGLGTGRKVRPPIPSISSAPKTEHGKSPFSNHRSFQFQHGGRSPDQEEKPLQFPLAVPRFYLGFSQISWVVCNLFAAFFDCAICEGRKKVKPCSTEKNSATKDRRTSMRQLYSPWELNHGDAAGFGHAGGRWGFIKFLLG* >Brasy4G237100.1.p pacid=40084685 transcript=Brasy4G237100.1 locus=Brasy4G237100 ID=Brasy4G237100.1.v1.1 annot-version=v1.1 MAAAAAAAREHVERIRRDRYYIGRGEQNPLAEDVHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPLGVAPSLEFLITSNDVTGSGASSTLLLFNNERGFSPSNVDSICRVGRSTKKGNRDKGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESRPSLSDIKALYGCLKNLPATCIILPLKDEKVIAVKQQLSSLHPEMLLFLSKIRRLSVQEDNCNPKDSTVSEIAISSEKNYEVRKNMHAESYTLHLSAHENGKEEECGYYMWRQKFPVKPENRVDKRAEIDEWVITLAFPHGERLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFMDAFVALVKSRADAPAMSLPSMFHFLPVSPSLIPLLEPVRSGIKDKVLVEDIVPCESHTNQKIFCKPGVVARLKPTFWDILGKAREAGADLKNLSTHGTYILSSHFDNSTYNSVLAFLGIKSVSPEWYAKCIEGSNLAKEVHEQLYLEILLFVADNWQNLFSGTNMMSIPLLKYVDRNNVISFWSISRASQWSDRLCIASENKCMSWLISWNQEFPSSNRHFVPPSTQTALQGFLQKQKVTDWLQSHAKVEVVSVYSYGSFVVNSLNCDRRPVIAFAHFLYHSSKNKGYIESYNLPELCRVMPIIDSYGNVVEKRNNILVPANGSKWVGLMGTNPWRNEKYVELSADYKSAGCFAGISTPKDQVLDFLKIHLQASDVPFIRPPDASFPTVSSPLTVDNAILLLQWIRNLKSINVQLPATFLACVKEGSWLRTSVGYKPPNESFLSSAEWGNLLQNGSSFVDIPMIDQPFYQNKLHEYKEELKAIGVRFEFGEASAYIGSRLMSMAANNMLTRENVYSLLQLIRFLRAKVLSPSKLIKSVKDGQWMKSTLGYRSPASCIMYDSDWAVASSISNQPFLDVKFYGEAILTYKEELKLLGVLVGFENNEKNYKLVMDNFKFNSSSITSEATVLILKCIRYTTSRDGFLGKLKDLKWLKTNVGFRAPNESFYVDPQWECLLVAFDGIPVVDSGFYGSTISPYKEELKKTGLITSFEEASKAISKIFKQMVLRSSLTKSNVLALLSSYRQLRTHSPIPVELFNCMRNEKWLRTTLGFRSPSDAILFDEDWQSLSPITNLPFINDGEAHDGLSKEIRGYKAELKELGVTTEVKAGARFVISGLNIPKNPSDISAVTVLSLLGSIRNFLAYTAVFPKDLLEKISSCRFLRTTLGYQFPDECILFDPKHSSIYMEDGPFIDESFYGSEIASFKDALAVIGVTVDVRCGHGLVAQHLRSHKDTATISRIYMYLKECKWEPVNNKSDWIWIPNERESGDWVNPLSCVLHDRNNLFSLQLHVLDKYYDGKLLDFFSSIFGVRPGPGAVDHCKLWSTWESSVSELSIADCSAFWQFIAKNWSKNMEKLLSGCVKVPVCIDGKIILLQKEDVFIPDDLLLKDLFNKLPRQSFFIWYPLSQPSVSRARLNNIYGSIGVRAISKAVEKNGCFTLGNGSSRTVDQCKVISIGLLQILLAFLADPVLDISAKERHMMVSCLLNVNVLETDKPVTVGYSVKLNSGKAVDVTASRMIRWERENSKLYMQRSYGAGGYKEKLEFATNFADEISQGLVFEMADKIPSLAELIKSGSLVDFDDSAIEYLLKSKNLQLFPEDEAFLNAVSLGGSKNC* >Brasy4G237100.2.p pacid=40084686 transcript=Brasy4G237100.2 locus=Brasy4G237100 ID=Brasy4G237100.2.v1.1 annot-version=v1.1 MAAAAAAAREHVERIRRDRYYIGRGEQNPLAEDVHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPLGVAPSLEFLITSNDVTGSGASSTLLLFNNERGFSPSNVDSICRVGRSTKKGNRDKGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESRPSLSDIKALYGCLKNLPATCIILPLKDEKVIAVKQQLSSLHPEMLLFLSKIRRLSVQEDNCNPKDSTVSEIAISSEKNYEVRKNMHAESYTLHLSAHENGKEEECGYYMWRQKFPVKPENRVDKRAEIDEWVITLAFPHGERLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFMDAFVALVKSRADAPAMSLPSMFHFLPVSPSLIPLLEPVRSGIKDKVLVEDIVPCESHTNQKIFCKPGVVARLKPTFWDILGKAREAGADLKNLSTHGTYILSSHFDNSTYNSVLAFLGIKSVSPEWYAKCIEGSNLAKEVHEQLYLEILLFVADNWQNLFSGTNMMSIPLLKYVDRNNVISFWSISRASQWSDRLCIASENKCMSWLISWNQEFPSSNRHFVPPSTQTALQGFLQKQKVTDWLQSHAKVEVVSVYSYGSFVVNSLNCDRRPVIAFAHFLYHSSKNKGYIESYNLPELCRVMPIIDSYGNVVEKRNNILVPANGSKWVGLMGTNPWRNEKYVELSADYKSAGCFAGISTPKDQVLDFLKIHLQASDVPFIRPPDASFPTVSSPLTVDNAILLLQWIRNLKSINVQLPATFLACVKEGSWLRTSVGYKPPNESFLSSAEWGNLLQNGSSFVDIPMIDQPFYQNKLHEYKEELKAIGVRFEFGEASAYIGSRLMSMAANNMLTRENVYSLLQLIRFLRAKVLSPSKLIKSVKDGQWMKSTLGYRSPASCIMYDSDWAVASSIRFENNEKNYKLVMDNFKFNSSSITSEATVLILKCIRYTTSRDGFLGKLKDLKWLKTNVGFRAPNESFYVDPQWECLLVAFDGIPVVDSGFYGSTISPYKEELKKTGLITSFEEASKAISKIFKQMVLRSSLTKSNVLALLSSYRQLRTHSPIPVELFNCMRNEKWLRTTLGFRSPSDAILFDEDWQSLSPITNLPFINDGEAHDGLSKEIRGYKAELKELGVTTEVKAGARFVISGLNIPKNPSDISAVTVLSLLGSIRNFLAYTAVFPKDLLEKISSCRFLRTTLGYQFPDECILFDPKHSSIYMEDGPFIDESFYGSEIASFKDALAVIGVTVDVRCGHGLVAQHLRSHKDTATISRIYMYLKECKWEPVNNKSDWIWIPNERESGDWVNPLSCVLHDRNNLFSLQLHVLDKYYDGKLLDFFSSIFGVRPGPGAVDHCKLWSTWESSVSELSIADCSAFWQFIAKNWSKNMEKLLSGCVKVPVCIDGKIILLQKEDVFIPDDLLLKDLFNKLPRQSFFIWYPLSQPSVSRARLNNIYGSIGVRAISKAVEKNGCFTLGNGSSRTVDQCKVISIGLLQILLAFLADPVLDISAKERHMMVSCLLNVNVLETDKPVTVGYSVKLNSGKAVDVTASRMIRWERENSKLYMQRSYGAGGYKEKLEFATNFADEISQGLVFEMADKIPSLAELIKSGSLVDFDDSAIEYLLKSKNLQLFPEDEAFLNAVSLGGSKNC* >Brasy4G348500.1.p pacid=40084687 transcript=Brasy4G348500.1 locus=Brasy4G348500 ID=Brasy4G348500.1.v1.1 annot-version=v1.1 MKMDFLFADVMGEMGPFFGPDLARFPHADSVVPSNNHGGSGPGAVELDFGRVAAAAAVASKPSSYSSYTAASLGGSGSSSEVGLVPDAICGRGGGIIELDFSLSKAAYLPYAPTPTHSVSSTVDVAAVPERGAVDGAASTAAAAAATGEMSREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRTEDADADADAGADAATTARAPSCVLDFGSYYGVVPTF* >Brasy4G355200.1.p pacid=40084688 transcript=Brasy4G355200.1 locus=Brasy4G355200 ID=Brasy4G355200.1.v1.1 annot-version=v1.1 MASNKTKIRAIVASILLLALLVPCDSQQCQKASDPTCQSREACVARCVAAGYRGGLCEITYAGDVGDCVCCNLSFHEEAASRPAKSLT* >Brasy4G385800.1.p pacid=40084689 transcript=Brasy4G385800.1 locus=Brasy4G385800 ID=Brasy4G385800.1.v1.1 annot-version=v1.1 MERMHGWNYKVRRLSLNLSAGGAATPGSTVPATSLSQVRSFARFGDCKYTPPLCLFKYLRVLVFEFPVHLQFPILTDVTIDLTAIGHLFLLRYLKVSAASAGIDLPVEAKGLLHLETLEISCRSAQSFPSDVVCLPNLFHLILPYGTGLPEGIRNMKSIRTLRCSGMWKSSVEDIKGLGELTTLRDLVVSRPYGCDLSEDGVDALVSSVGKLRGLKRLSLGCQREGYDDQLESLPDHPLPRIEVLDLVPWRFSRVPQWIGGLRCLQVLYLRIDQFSSEDVRVPGMLPSLVDASFQVLSIPEDKVVVGAGLFPALEHVAFRSFEGATEFLCFEAGAMPNLRTLRLEFRGRRWGGATPVDMHNLQALQQITVRFWRTGDETRKEQVARDVESAFGDVSRAHPARPTVSVITN* >Brasy4G407600.1.p pacid=40084690 transcript=Brasy4G407600.1 locus=Brasy4G407600 ID=Brasy4G407600.1.v1.1 annot-version=v1.1 MASQFFGPYVSPPQGHICNISLLQGRTCFVFMFTALRRNNPPAFHRSRSTARSDGRHAPRVRISGSAAPDLHVTPFQPSSSQTQFPNKSKSRENFPSSSSTPFQSNPSSSDSPARTSDRPSMMHGGGSSASDEAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSASASSSPTDRSSWKSTELEIQALLDKLQDVNDAMSRCAAPAAPTTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRGDITESKATGGMSPRVHLLRERSSIHGSINQIDEVIGQAQSTRSALSNQRALFGDVQGKVKQLGEKFPVVRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK* >Brasy4G262100.1.p pacid=40084691 transcript=Brasy4G262100.1 locus=Brasy4G262100 ID=Brasy4G262100.1.v1.1 annot-version=v1.1 MGVSALKDAQVCRMIRPGWPKACYREGSALMLLKDYEKAAGAFLDGLKLEPGSIDMEDGLRKAMESLKISCCSPGQD* >Brasy4G013600.1.p pacid=40084692 transcript=Brasy4G013600.1 locus=Brasy4G013600 ID=Brasy4G013600.1.v1.1 annot-version=v1.1 MEAAAPAPPLPRHPHITPELRRFLDVRFRSPADLAAAADVEAEIRGRCAELESSVSELSVRLAAAASAYSSSLQAAGSALRGVRAGLDALKSSTVQTGVRENVEDGSERMLFEQLPPLATDVARVDMVRDYAETALKLDSLVGDVEDAVSSSVTGKLVSRGGKSEQTHHVAIGYLKSIEDTLASVTRTRPQWARLISAVDHRVDRSLALLRPQAIVDHRALLSSLGWPPSIAGTNISDSNFRKPAEIVNPLFSMRGDLTRRYSESFLSLCSLQELQKRRKTRQLQGHNVNNELRQPLWVIEELVNPLSAAAQRHFSKWVENPELVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGIVITLSTYLAKEIFPKQIELLEESSSNDASITPFQARVLWLNLVDLMISFDKRTQGLISSTGLLFSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQETLGNLKSAMENEKNWSKRIEGTMIEYGSEDYKSPAITGAVQQGLSLLIDRARSIPSISLRAEFIRISASPVISEFLGCMFRRCQEAEGLTALADDNAVFKVSQSINAARYLESTLTEWCEDMFFLEMESLPLGGEGGGIFQQVINQLKEFRAEWVDKISTVILRAFDARSRDYLRNKRQWQEHSEGPSRAIIESSGYLQGRLSKLEDGLNVLDFVSVWRVVANGVDQLLFAGIFTGSPKFSNGGVEKLHADLSVLFAVFSAWCLRPEGFFPRLSEVLKLLKVDEKHLIDRSFTDKNWLRELGVRHLTVAEAERIIKNRAYEE* >Brasy4G216000.1.p pacid=40084693 transcript=Brasy4G216000.1 locus=Brasy4G216000 ID=Brasy4G216000.1.v1.1 annot-version=v1.1 MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYELTDESLQMYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPTTKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMVFHLPSPSKAQRYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSTLRAATSGQAFPQCVFDHWDIMSSDPLEAGTQSATLVTEIRKRKGLKEQMTPLSEFEDKL* >Brasy4G132700.1.p pacid=40084694 transcript=Brasy4G132700.1 locus=Brasy4G132700 ID=Brasy4G132700.1.v1.1 annot-version=v1.1 MDRPQRRNPSSGPLKPPQPPRGTAFHPPPASRPRLDPSSPDGRPRKQVRFESEAASHREYARQDANTSKADKTKAQGSDAKTTEFKFFKKLWEQSGCRSRSFRQPHQNIAPDIYKQKEGNRIEPHNVTSHKKFPVQKVTLCSDEAPATPSNNEISDEKVKVQASHSEYGNHDTPQLKPCDSLPTVQVFTPMIKTPFELAGISRNTDIEPESGRMFSEKRRRLLKLAAKTVSMESCELFQRRSEFFADVLQRLGASNIIRKLPNALQQHKEPMRKRKMVCRNTTADPKCQFANNLDYRLGNFDSLSKLRSGKEPSSYASDKLRQFLALPWVDDQDLPSFLDWRNDLPCGDNEAHDCMPVPSAHTTDLASFDMKRQTVHNQVSNWLLEDVQPHSRVKPTSSNELGCIIQTGSYDHHGWATSDYPSELGPKSFCDPALRMSCFAETEEKYSSETELFDNSDIGCVHGLDQLPVKFTASSFSKYTSGILDHHHDPKDSNSIFPADAKDTCLNSLASYSEHPCKQDWESLCDFSQELWSSVHHVQSHGGSLGAIFGLTSNGSICNDFEDGQNTTLAKANPKNRLFGTSDLALFGSCSTMDSIRETPMLSLDGVMW* >Brasy4G132700.2.p pacid=40084695 transcript=Brasy4G132700.2 locus=Brasy4G132700 ID=Brasy4G132700.2.v1.1 annot-version=v1.1 MDRPQRRNPSSGPLKPPQPPRGTAFHPPPASRPRLDPSSPDGRPRKQVRFESEAASHREYARQDANTSKADKTKAQGSDAKTTEFKFFKKLWEQSGCRSRSFRQPHQNIAPDIYKQKEGNRIEPHNVTSHKKFPVQKVTLCSDEAPATPSNNEISDEKVKVQASHSEYGNHDTPQLKPCDSLPTVQVFTPMIKTPFELAGISRNTDIEPESGRMFSEKRRRLLKLAAKTVSMESCELFQRRSEFFADVLQRLGASNIIRKQHKEPMRKRKMVCRNTTADPKCQFANNLDYRLGNFDSLSKLRSGKEPSSYASDKLRQFLALPWVDDQDLPSFLDWRNDLPCGDNEAHDCMPVPSAHTTDLASFDMKRQTVHNQVSNWLLEDVQPHSRVKPTSSNELGCIIQTGSYDHHGWATSDYPSELGPKSFCDPALRMSCFAETEEKYSSETELFDNSDIGCVHGLDQLPVKFTASSFSKYTSGILDHHHDPKDSNSIFPADAKDTCLNSLASYSEHPCKQDWESLCDFSQELWSSVHHVQSHGGSLGAIFGLTSNGSICNDFEDGQNTTLAKANPKNRLFGTSDLALFGSCSTMDSIRETPMLSLDGVMW* >Brasy4G132700.3.p pacid=40084696 transcript=Brasy4G132700.3 locus=Brasy4G132700 ID=Brasy4G132700.3.v1.1 annot-version=v1.1 MDRPQRRNPSSGPLKPPQPPRGTAFHPPPASRPRLDPSSPDGRPRKQVRFESEAASHREYARQDANTSKADKTKAQGSDAKTTEFKFFKKLWEQSGCRSRSFRQPHQNIAPDIYKQKEGNRIEPHNVTSHKKFPVQKVTLCSDEAPATPSNNEISDEKVKVQASHSEYGNHDTPQLKPCDSLPTVQVFTPMIKTPFELAGISRNTDIEPESGRMFSEKRRRLLKLAAKTVSMESCELFQRRSEFFADVLQRLGASNIIRKHKEPMRKRKMVCRNTTADPKCQFANNLDYRLGNFDSLSKLRSGKEPSSYASDKLRQFLALPWVDDQDLPSFLDWRNDLPCGDNEAHDCMPVPSAHTTDLASFDMKRQTVHNQVSNWLLEDVQPHSRVKPTSSNELGCIIQTGSYDHHGWATSDYPSELGPKSFCDPALRMSCFAETEEKYSSETELFDNSDIGCVHGLDQLPVKFTASSFSKYTSGILDHHHDPKDSNSIFPADAKDTCLNSLASYSEHPCKQDWESLCDFSQELWSSVHHVQSHGGSLGAIFGLTSNGSICNDFEDGQNTTLAKANPKNRLFGTSDLALFGSCSTMDSIRETPMLSLDGVMW* >Brasy4G410500.1.p pacid=40084697 transcript=Brasy4G410500.1 locus=Brasy4G410500 ID=Brasy4G410500.1.v1.1 annot-version=v1.1 MAGNNVVWQPQVVEEMLRYYKEKIQAEGRQLVFKETHHEECAKQINAKFSTNFTHRQVYHKFHKLKDKHATGEFTVLQTPFENTCAEDNDFIGDKSATNGEADPDTHYDSDCLPEDSNNEGSSSKRATGGKRDKGKRVRCDDVVEGMTRSLRGMSETMRFTHATHPNENLFKIIDDMEEYPLLMRLALQTALATNGDVAAMLKGRPMPSIQEYVRQWMQQNSSSI* >Brasy4G267000.1.p pacid=40084698 transcript=Brasy4G267000.1 locus=Brasy4G267000 ID=Brasy4G267000.1.v1.1 annot-version=v1.1 MAQPAWEKIGVYRGGIVPVIYQRVPCAKKGGVRFTVAGHDYFELVLLTNVAAAGSVKAMDVKGSKAGSDGWMPMAHNWGANWHSLKYLNGQGLSFRVTITDGQTIVFADVVPPSWKFGQTFASNLQFK* >Brasy4G346800.1.p pacid=40084699 transcript=Brasy4G346800.1 locus=Brasy4G346800 ID=Brasy4G346800.1.v1.1 annot-version=v1.1 MSSSGTVRCPLAKVQNALHSPYHSTSARKKRKESDKRARQRKSMWVARPCWCPPPAITSAPPPAPRAPRQDSPRLLPSITPPPPLPARAARAERERGRHLPPGGLSHRMGARSTAARRGGKKEAAPASSAMAPSSVGGVESAGGRSRRPRLVGYEELPEFLKDNEYIRGHYRAEWPLRDAFLSAFAWHNETLNVWTHLGGFLLFLALAVAGGGKEAAHEVAPGIMRFVVGSANSSWRSDHSGLVGSAHGEAASPELGVPRWPRMVFLVGAMACLATSSAAHLLACHSRRATVVFWQLDYAGISVMIVASFVPPVYYAFLCHRAARVAYLSAIAALGALVVAVLLSPSCSSPRYRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALGLEVAMGLAYAVGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAVTHYVAVAVLLDWREKVAVACGTAP* >Brasy4G142300.1.p pacid=40084700 transcript=Brasy4G142300.1 locus=Brasy4G142300 ID=Brasy4G142300.1.v1.1 annot-version=v1.1 MSSIAADLNLAALISGACRNAEKLPGALIACGVVEAAAALCLIIKKEPGGMFLHHGKAPFFLYHGILAAVVVFGLFEASAGFWVSGNVTGRRAYGKTILWVSILPLVMVSALGGFVMLNVKWL* >Brasy4G097700.1.p pacid=40084701 transcript=Brasy4G097700.1 locus=Brasy4G097700 ID=Brasy4G097700.1.v1.1 annot-version=v1.1 MSDGTDSDHDLTGADGANGDEAHQPEPLAVKEEEVPVVADEFKDAPASPETNSDGEDEDSPDRAERPNAREGREPAPPDAGGDEGEEVAVGVKVETNGEDAMSHDGDEEDGDDEDEDDDEEEEEEEEEDDDSTPDASPRAEVKAEGDSSAGLAPCSSQTVEPDPFLDGHDSGTEEEQTAFMAELERFHRDHNLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQSKLSLSALPQSGGTDREMGLNPSSSARVRRDAAARAMQGWHAHRLLSNGMYGDHILKDKDSIPLPSRDKNLKGFGVLKRKKASSPERAFKVSRSKVNKSQEDSMVIDVGEPADWVKINVRQTKECFEIYALVPGLLREEVHVQSDPAGRLVITGDPDQPDNPWGITPFKKVINLPLRIDPHQTSAVVTLHGQLFVRAPFGHPDM* >Brasy4G437700.1.p pacid=40084702 transcript=Brasy4G437700.1 locus=Brasy4G437700 ID=Brasy4G437700.1.v1.1 annot-version=v1.1 MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPIREGDILTLLESEREARRLR* >Brasy4G437700.2.p pacid=40084703 transcript=Brasy4G437700.2 locus=Brasy4G437700 ID=Brasy4G437700.2.v1.1 annot-version=v1.1 MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPIREGDILTLLESEREARRLR* >Brasy4G081300.1.p pacid=40084704 transcript=Brasy4G081300.1 locus=Brasy4G081300 ID=Brasy4G081300.1.v1.1 annot-version=v1.1 MVMSSTASVDNLITWECCAVMLSRSPSQEPAQFKPDEPRSTRCRLGAVPDLASSPPEAQAPPRVSPPPPVPTLLPPVIHLGKILTEMSRATTMAEMLQQTGCYGQKRTILGRRKL* >Brasy4G336100.1.p pacid=40084705 transcript=Brasy4G336100.1 locus=Brasy4G336100 ID=Brasy4G336100.1.v1.1 annot-version=v1.1 MLQGKWLEPRYEPSRLSLSPPRRVLLQARQVRAAPDPFSLSLSLSLSLSLASPAHSGRLHRADHLAHKQPLRGEREGGGATDGGESGAVGVGREAREHEAQQLRLSGHGQQRWEEPWPAAVRAGCGSGSSSAGAGAGLHGRGRLEDLRDRVRGLDGRGSRISGGVLPLTAPIDLVNAVWMRSLGRG* >Brasy4G292600.1.p pacid=40084706 transcript=Brasy4G292600.1 locus=Brasy4G292600 ID=Brasy4G292600.1.v1.1 annot-version=v1.1 MVSVPAMAASSLAPPGVPMELHAGNRDRLVSALRAHLFASARPLHGLALLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDISSGQSILFAPRLPADYAVWMGEIKPLSYFKDRYKVDLVFYVDEIAQVLQNRFSEHGKPLLFLLYGKCTDSGDYSKPASFEGIEKFDTDLITLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRQAKPGMKEYQLESIFLHHSYMYGACRHCSYTCICATGVNSSVLHYGHTAAPNDRTLNDGDMALMDMGAEYNFYGSDITCSYPINGKFNSNQTIIYNAVLKAHNAVISHMQPGVKWIDMHKLAEQTILESLKKENIMHGDIGDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERRKEPGLSSLRTLRELKEGMVITVEPGCYFIDALLRPAKDDPISSKFFNWEEIEKYKSFGGVRIESDLYVTAQGCKNMTNCPRETWEIEAVMAGAPWPSLASSSSATTQNGLPKA* >Brasy4G227800.1.p pacid=40084707 transcript=Brasy4G227800.1 locus=Brasy4G227800 ID=Brasy4G227800.1.v1.1 annot-version=v1.1 MAAAAPALSLAPMATVTTTVAAAAAQKAASPKAAAGGEGRKVAPVMAAAADEVVVQELHVLAVDDSVVDRAVIAKILRSSKYRVTTVESATRALELLGLGLITNVNMIITDYWMPGMTGYELLKRVKESSELKEIPVVIMSSENVPNRISRCLEEGAEDFLLKPVRPSDVSRLCSRIR* >Brasy4G227800.2.p pacid=40084708 transcript=Brasy4G227800.2 locus=Brasy4G227800 ID=Brasy4G227800.2.v1.1 annot-version=v1.1 MAAAAPALSLAPMATVTTTVAVVVQELHVLAVDDSVVDRAVIAKILRSSKYRVTTVESATRALELLGLGLITNVNMIITDYWMPGMTGYELLKRVKESSELKEIPVVIMSSENVPNRISRCLEEGAEDFLLKPVRPSDVSRLCSRIR* >Brasy4G151100.1.p pacid=40084709 transcript=Brasy4G151100.1 locus=Brasy4G151100 ID=Brasy4G151100.1.v1.1 annot-version=v1.1 MAFLFIYFFFLRGKWLSYLIGRQAVRPKLSAAATPRSSPSYQATAAAVKLPCYSPRSRLHHSRTPKPVVSFPSSTPSPHAALAVNARGARRLVAASAAASGHRRLMGSLTNTEGLKFGVVVARFNEIVTNLLLQGALETFQRYSVKEENITVVSVPGSFEIPVAAQKLGKTGDFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAGVPCVFGVLTCDDMDQALNRAGGKAGNKGAETALTAIEMASLFRHHLS* >Brasy4G040200.1.p pacid=40084710 transcript=Brasy4G040200.1 locus=Brasy4G040200 ID=Brasy4G040200.1.v1.1 annot-version=v1.1 MGAARGGVVSASALLLVAALLLGALAPPASASSYPAKVVSGFLSNAASAVLKRLWSLKSTTKTATGAKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGYPGHVDGRLREAKMNHPKGFTVDGRGNIYVADAMNMAVRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIASSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRVLGMVSTEDELQTPPKASIASIPPYQIQKPLKSSLRPPLIPNEDDSEKQEVEEGFFSSVGKLIGGAKSSVAEIIGAAFSRKKRLNTHHYQQARANSWPVQESYAIPHGETPPPLDTRTPTLRKNYAFMSKEPEKIHHIRHGRAQYNGWNGESPQQQQQQQVHHQQYLQHHKQYSAGPQTFYEPSCEAANEIVFGAVQEGDSTRRAVEIKSSSHGDAAYEQNGLRYRSNYMG* >Brasy4G040200.2.p pacid=40084711 transcript=Brasy4G040200.2 locus=Brasy4G040200 ID=Brasy4G040200.2.v1.1 annot-version=v1.1 MGAARGGVVSASALLLVAALLLGALAPPASASSYPAKVVSGFLSNAASAVLKRLWSLKSTTKTATGAKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGYPGHVDGRLREAKMNHPKGFTVDGRGNIYVADAMNMAVRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIASSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRVLGMVSTEDELQTPPKASIASIPPYQIQKPLKSSLRPPLIPNEDDSEKQEVEEGFFSSVGKLIGGAKSSVAEIIGAAFSRKKRLNTHHYQQARANSWPVQESYAIPHGETPPPLDTRTPTLRKNYAFMSKEPEKIHHIRHGRAQYNGWNGESPQQQQQQQVHHQQYLQHHKQYSAGPQTFYEPSCEAANEIVFGAVQEGDSTRRAVEIKSSSHGDAAYEQNGLRYRSNYMG* >Brasy4G064200.1.p pacid=40084712 transcript=Brasy4G064200.1 locus=Brasy4G064200 ID=Brasy4G064200.1.v1.1 annot-version=v1.1 MQAAAWRRQLLSQHQISPASSAAIAAFRSASQPALAPQGFGGVDGARYMSARTPAVKGAGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGCEDSHRHLKLMGDLGQIVPMKYNPRDVDSIKTAVAKSNVVINLIGREYETRNYGFEEVNHHMAEQLAMISKEHGGIVRFIQVSSLGASSSSPSRMLRAKAAGEESVLKEFPEATIMRPATLIGTEDRILNRWAMYAKNWGFLPLMGGGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPDIYTVHELAELMFETIREWPRYVNVPFPIARAIASPREMLLNKVPFPLPTPSIFNLDQINALSVDNLVSENALTFADLEIKPHKLKGYPVEFLVCYRKGGPSFGSTVSEKMGSSDVAPRF* >Brasy4G364400.1.p pacid=40084713 transcript=Brasy4G364400.1 locus=Brasy4G364400 ID=Brasy4G364400.1.v1.1 annot-version=v1.1 MDGTSNDDAARIPEKVPLVPQMKQKLSMEYAKPMPCLIDCGQCSKIQRWQVPRLEMGLMLMPQVCSDDCGFYMLEFMRKWDGRVVPALEPDDLVESRKVCTYKLIATQPFNENKNAKDFIEENTK* >Brasy4G364400.2.p pacid=40084714 transcript=Brasy4G364400.2 locus=Brasy4G364400 ID=Brasy4G364400.2.v1.1 annot-version=v1.1 MDGTSNDDAARIPEKVPLVPQMKQKLSMEYAKPMPCLIDCGQCSKIQRWQVPRLEMGLMLMPQVCSDDCGFYMLEFMRKWDGRVVPALEPDDLVESRKVCTYKLIATQPFNENKNAKDFIEENTK* >Brasy4G400000.1.p pacid=40084715 transcript=Brasy4G400000.1 locus=Brasy4G400000 ID=Brasy4G400000.1.v1.1 annot-version=v1.1 MVDADVERVLSLRLGDRDAGSDGEAASGGGGGAVKERIARALRLYKESSSPGACEEGGLLLQVWAPVAAVGIHRRRVLATRGQPFLVLASASASSSSSAPARCCRRRLLQYRAVSLAHVFSVDGDGECVSWEERGLPGRAFDAGAAEWTPNVQLYGAGEYARVSHALVHDVQGSLALPVLDPAAGRRCLAVIEMVTTAPMPCFAAEAHKLCKALQAVALRGSEICYSLPAKIHNPEATHAAMFEVSHLLDTVAGAHQLPLAQAWIRCKRCSSSTDAVDDDSDQHISLTTAGAPFHLAAGAAGFRNACVEHHLRPGQGAVGEAAASGSPRFCADVARRSKAAYPLAHYARLHGLAGCLAVPLLLRRFAMDDEAGQDEEEECVVLEFFLPPDCRDVDEQMAAVEALVNTVREECSGDRLKAMALSGLQDWSLEAVLALDSEDENEHLAADVAGGDQAVNIHGADQNGAEDGVSRPEKKKTGRKAERPVGLKELQGYFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKISKVNRALGKIRRAAIESEDCSPKPVAPSSSHPAPDPQKPCISSAPGDTSSQGSSQEPPPLARTALPKSLLRRHSNGADREVVTIKASYRGDIVRFRVPCSAGITAVKEEVAKRLGLDASGFDVKYLDDDHEWVLLSCDADFQECLDVVAPVLPPSASAAAHGAGPVSPVVRLMVQEVAGNLGRFCGSSD* >Brasy4G435100.1.p pacid=40084716 transcript=Brasy4G435100.1 locus=Brasy4G435100 ID=Brasy4G435100.1.v1.1 annot-version=v1.1 MASACPRLLFLSFPKSPAPPPSTVAVSSRARAAAASSVSASSSDSPPPAPAPADGVGPAAPTRGDRYLGRQLEAAAAARVAAPDEDAAEKRRRRKEKRRALAKKTPSGVACCYGCGAPLHTDEEGSPGYVEPATYELKKRHNQLRTVLCGRCKLLSHGHMVTAVGGLGGYPGGKQFVSAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARIRDFAGANPIVLVITKVDLLPRDTDLNCVGDWVVEAVVRKKLNVLSVHLTSSKSLVGITGVISEIQQEKKARDVYILGSANVGKSAFISAMLKTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVGLSGNTLFWGGLVRIDVVKALPRTRLAFYGPKKLNINMVPTTEADEFYEREVGVTLTPPTGQERAEGWCGLQGVRELQIKYEELDRPACDIAISGLGWIAVEPLGVPSSDPDGSVEEEGGAMGELHLRVHVPKPVEVFVRAPLPVGKAASQWYRYQELTEVEEELRPKWHY* >Brasy4G435100.2.p pacid=40084717 transcript=Brasy4G435100.2 locus=Brasy4G435100 ID=Brasy4G435100.2.v1.1 annot-version=v1.1 MKKRHNQLRTVLCGRCKLLSHGHMVTAVGGLGGYPGGKQFVSAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARIRDFAGANPIVLVITKVDLLPRDTDLNCVGDWVVEAVVRKKLNVLSVHLTSSKSLVGITGVISEIQQEKKARDVYILGSANVGKSAFISAMLKTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVGLSGNTLFWGGLVRIDVVKALPRTRLAFYGPKKLNINMVPTTEADEFYEREVGVTLTPPTGQERAEGWCGLQGVRELQIKYEELDRPACDIAISGLGWIAVEPLGVPSSDPDGSVEEEGGAMGELHLRVHVPKPVEVFVRAPLPVGKAASQWYRYQELTEVEEELRPKWHY* >Brasy4G285000.1.p pacid=40084718 transcript=Brasy4G285000.1 locus=Brasy4G285000 ID=Brasy4G285000.1.v1.1 annot-version=v1.1 MEYLPMGGSIKMIEESLKLAYGEESEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQRTFSYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKLKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPDLKSLWLKEVKGMADRIIGMRKELRENLEKLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHDVTKSN* >Brasy4G307600.1.p pacid=40084719 transcript=Brasy4G307600.1 locus=Brasy4G307600 ID=Brasy4G307600.1.v1.1 annot-version=v1.1 MKLSLTTGIIPSLNISAGLLGFFFARLWAAAEARLGLRPRPFTRQENTVIQTCVVAAYGIAFSGGFGTYMFGMSSRIADQATEAKGAMDIKDPSLGWMIGFLFLVCFVGLFAVVPLRKVMIMDYGLSYPSGTATATLINGFHTPQGAKEAKEQVKTLGRYSLFSFLFGFFKWFYTAGDDCGFESFPSLGLEAYQRKFYFSFSPIYVGVGMICPYIINVSVLIGGILSWGLMWPLIETKKGSWYPATATGSNLHGLQGYKVFISIAMILGDGFYNFVKVTLRMVRAFMAASRKRSALPVSGDGGLASVRSFDDNRRAEFFLKDQIPLGTALAGYVAVAAVSIGVVPKIFPQLKWYYILVAYGMAPVLAFCNAYGMGLTDWSLATTYGKLGIFIFGAWAGASHGGVIAGLAACGVMMTIVATAADLTQDFKTGYMTLASPKSMFISQVISARRWDASSGHVSSGSSTRRSVTSGCATGCSRWRSSSTSCGTRCRRRCQGSYRSPWPWRSPSTSDRTSQSTCA* >Brasy4G344300.1.p pacid=40084720 transcript=Brasy4G344300.1 locus=Brasy4G344300 ID=Brasy4G344300.1.v1.1 annot-version=v1.1 MAMVQARPSRGASGAAALGHGNLASRGKLRLLLPSPPPRGKGRRARSAGRHWILRPRSDGLLRPAFPHSANLLFSPATPHPTAPPPPGSQLRRPPPPHSSDGHLRPRPARTTSSVLAPTTALKKKLQCWSVGLYKFSSATS* >Brasy4G108100.1.p pacid=40084721 transcript=Brasy4G108100.1 locus=Brasy4G108100 ID=Brasy4G108100.1.v1.1 annot-version=v1.1 MSFSFASLLFTSAAAISEHGDGRQSRASRQGPASPAVERGVGVGVMGLEAEKEQRAHTHVSVRQAAGLGGDGSKSSASGHGGELRGALRTDGGGFEGDPALETPSDGEVAAAGDGLRGWAARARGSPNRGRGAEHGRREGLQQPARGAGTACGAAGVSARGDTRSRGGAAAEALAAAEQQRGAGRQASARAAAVEPGTPCDGAVAARETAGVIAGGGARCCSSDTRGGARRRGGVQGGRRQRAAGRRASAAAASARSMVSAREAVRGAGAGRQRRRSRRRSSSGARDGRRELQWRHAHARRRSEQEDEEDGGARSQWEKR* >Brasy4G220500.1.p pacid=40084722 transcript=Brasy4G220500.1 locus=Brasy4G220500 ID=Brasy4G220500.1.v1.1 annot-version=v1.1 MARIKVHELRGKNKAELQGQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKNKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA* >Brasy4G016400.1.p pacid=40084723 transcript=Brasy4G016400.1 locus=Brasy4G016400 ID=Brasy4G016400.1.v1.1 annot-version=v1.1 MLGKRQRSLVMRRTTSMASMPSAPKQGRQAAGGGAAGPSSSVSAGAVGGTGPAGVSAPSPWRADARSLAAGVKTAAFLMACGLCSKDLGPGKDTYIYRGEVAFCSHECRERQIEKDELMEHNCSLTSIREAPPPSSAAAGGPDGGDAVAAA* >Brasy4G345000.1.p pacid=40084724 transcript=Brasy4G345000.1 locus=Brasy4G345000 ID=Brasy4G345000.1.v1.1 annot-version=v1.1 MGNYPLGWRGKRVNRSILILRPRSHLIRATGGGRPPRRRRACKAGSREAATVVRHHALRRPPRRASAFPLVLIAFAVFSSPNHVATSPGRGETDSGSASPSRNPEAAAPRAPAPGATSGD* >Brasy4G197300.1.p pacid=40084725 transcript=Brasy4G197300.1 locus=Brasy4G197300 ID=Brasy4G197300.1.v1.1 annot-version=v1.1 MTKTTCKRCSRRFASPRALAGHMRSHSLAAAAAAAAKQQQISSASSASTSFIAAAANEDEVVGFKKPLPIYALRENPKRSLRVSDTGFSDHESEAESTAPRGKSAHAAAAEPLSSVSDAATPEEDVALSLMMLSRDSWPSVGDDYWDDDDGSDGDGGYTLPAPDPAPVVEKRTRFQCGACKKVFRSYQALGGHRASHVRGGRGGCCAPPVVASPPPPQPPLVERDGDEAMDDGKGQPRECPYCYRAFASGKALGGHKRSHVCSAAAAAAAAQAEEAAAPPDPIKAFGMIDLNVALPVEEVELSAVSDPRFSSNPGS* >Brasy4G336200.1.p pacid=40084726 transcript=Brasy4G336200.1 locus=Brasy4G336200 ID=Brasy4G336200.1.v1.1 annot-version=v1.1 MQRQGAACGIAAYHHSGAFLPRQDYDDPLADVFASLSDMIAPPPYGFNGGEGVGSAPPGGDERKTRRLASNRESARRSRVRKQRRLEELAARAARLRAANRRLLVELNRVAAEHCRVARESARLREEASELRKKLDEMGMEDETQSAASASASAGSAEAEHLQLQECAPPA* >Brasy4G364300.1.p pacid=40084727 transcript=Brasy4G364300.1 locus=Brasy4G364300 ID=Brasy4G364300.1.v1.1 annot-version=v1.1 MAMASPLTAGPVAFKDVFVEGHDAPLVPLPEDHPGVDVEALPGNPAHGLRLYQGTWVAESRVQGIAQIQSGRFKARPGDVVLASPPKCGTTWLKALAFAIMARAAHPLPAAAGARDDDDHPLLRHSPHDCVPFMEGFFGAGWGSKLDALPSPRLLATHMSYSALPACIAQNPDCKIVYICRDPKDMVVSLWHFVRKLRPHVSFSDMFELTCEGKSLCGPIWDHILGYWNASRRIVNVDNESQHGPESTMMAPVLFLRYEEMLQDTAGNVRKLAQFLGQPFSASEEESGTVEAIVDLCSFNKLSGLEVNKTGYMQMFSRKSYFRKGGAGDWKNHMTPEMARRFDDVLRDKLCGSGLDFS* >Brasy4G248200.1.p pacid=40084728 transcript=Brasy4G248200.1 locus=Brasy4G248200 ID=Brasy4G248200.1.v1.1 annot-version=v1.1 MIMDTGSDLNWLQCAPCLDCFDQRGPVFDPMASTSYRNVTCGDPRCGLVSPPTASAAPRTCRSPRTTPARTTTGTATSPNTTGDLALEAFTVNLTASSSRRVDGVVLGCGHRKPGPLPRRRGPPGPRAWPALVRVPAARRVRAPRLLLLPRRPRQRRRQQDRLRRRQRAALAPAAQLHGLRALIRRKHLLLRPAQRLLVGGETLNISSNTWGCPRRTAPAAPSSTPAPRSATSRSRRTRRSGRPSSTAWTRPTSHRRLPGAQPCYNVSGVGSVEVPEFSLLFADGAVWDFPAENYFIRLDTEGIMCLAVLGTPRSAMSIIGNYQQQNFHVLYDLHNNRLGFAPRRCAEV* >Brasy4G398300.1.p pacid=40084729 transcript=Brasy4G398300.1 locus=Brasy4G398300 ID=Brasy4G398300.1.v1.1 annot-version=v1.1 MARFQLVALALAMLFAATSAQAPAATPTPAPVAAPPTMPPPVMAPPTPAPMSPPTPAPATPPPAPAPMAPAPAPKAPAPAPEAMAPTPDMASPPAPAPMAPTPSPSSDVTPPPSAAAGVYPAAAWVATAAVAAAAAFY* >Brasy4G386100.1.p pacid=40084730 transcript=Brasy4G386100.1 locus=Brasy4G386100 ID=Brasy4G386100.1.v1.1 annot-version=v1.1 MDQDVDDRGASPLAAAARSLRAGVERSRAMGQAMARGGPRLEEIQAALPALEAAVRPIRAPRAELVAAGPHIDRAVGPAAAVLKVFDAVHGLEPSLLEAGEGGPAGDLPGYLAVLGRLEEALRFLSDNCGLAAQWLADIVEYLGDHDLADPRFLAEVGVALDELRKPSGYLDGGLLAAALDMLEGVFRRLLAEHSAPLAMQQHGASTSASISSSSRIPVAAVRKLSLILDRLVANGRRDSCISVYADARGGVVSASVRALGLDYLRNPADDAQALGPGVELWGQHLEFVVRRLLESERQLCAKVFGQHKDVSSACFAEVAAQASVLDFLRFGRAVADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKVCADIQCQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPADGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKEVFNDNMLVAAVLNIVKALEANFDVWSKGYGNVTLSYIFMMNTHWHFFKHLKATKLGELLGDVWLRDREQFKGYYLEMFMRSSWGPLSPLLNREGLILFSKGRATAKDLVKQRLKTFNARFSEMFHEQSAWIIPDKDLRAEACDLVLQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSTLFMLRPRRAGSFQIGHSNGRPSSVTAGFYRSASAVN* >Brasy4G382500.1.p pacid=40084731 transcript=Brasy4G382500.1 locus=Brasy4G382500 ID=Brasy4G382500.1.v1.1 annot-version=v1.1 MEWDSDSDGGEEEEEEVGPWGSGGAGGGGAGFSLAIEGVLGGACGLVVSDALEPDFPVIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAIVVSEIQRCLEEGTEFQGDLLNFRKDGSPFMTNLQLKPIYGDDETITHYMGIQFFSDSNVDLGPLPGSMTKDVVRSIWIAPDNTLRPSPTGKGNFCSEYSNLFQLSDEVLCQKILSRLSPRDIASVNSVCKRLYHMTKNDHLWRMVCQNAWGSEATRALENVAGSKSLAWGRIAREMTTLEAVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWSHINVGSAPPGRWGHTLSCLSGSLLVLFGGCGGQGLLNDVFILDLDAKHPTWREILGLAPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTYLLDVTMERPIWRLIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSEAVPTWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGTKAIVLGGQTGEEWMLTEIHELSLASSLV* >Brasy4G382500.2.p pacid=40084732 transcript=Brasy4G382500.2 locus=Brasy4G382500 ID=Brasy4G382500.2.v1.1 annot-version=v1.1 MEWDSDSDGGEEEEEEVGPWGSGGAGGGGAGFSLAIEGVLGGACGLVVSDALEPDFPVIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAIVVSEIQRCLEEGTEFQGDLLNFRKDGSPFMTNLQLKPIYGDDETITHYMGIQFFSDSNVDLGPLPGSMTKDVVRSIWIAPDNTLRPSPTGKGNFCSEYSNLFQLSDEVLCQKILSRLSPRDIASVNSVCKRLYHMTKNDHLWRMVCQNAWGSEATRALENVAGSKSLAWGRIAREMTTLEAVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWSHINVGSAPPGRWGHTLSCLSGSLLVLFGGCGGQGLLNDVFILDLDAKHPTWREILGLAPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTYLLDVTMERPIWRLIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSEAVPTWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGTKAIVLGGQTGEEWMLTEIHELSLASSLV* >Brasy4G382500.3.p pacid=40084733 transcript=Brasy4G382500.3 locus=Brasy4G382500 ID=Brasy4G382500.3.v1.1 annot-version=v1.1 MEWDSDSDGGEEEEEEVGPWGSGGAGGGGAGFSLAIEGVLGGACGLVVSDALEPDFPVIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAIVVSEIQRCLEEGTEFQGDLLNFRKDGSPFMTNLQLKPIYGDDETITHYMGIQFFSDSNVDLGPLPGSMTKDVVRSIWIAPDNTLRPSPTGKGNFCSEYSNLFQLSDEVLCQKILSRLSPRDIASVNSVCKRLYHMTKNDHLWRMVCQNAWGSEATRALENVAGSKSLAWGRIAREMTTLEAVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWSHINVGSAPPGRWGHTLSCLSGSLLVLFGGCGGQGLLNDVFILDLDAKHPTWREILGLAPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTYLLDVTMERPIWRLIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSEAVPTWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGTKAIVLGGQTGEEWMLTEIHELSLASSLV* >Brasy4G382500.4.p pacid=40084734 transcript=Brasy4G382500.4 locus=Brasy4G382500 ID=Brasy4G382500.4.v1.1 annot-version=v1.1 MEWDSDSDGGEEEEEEVGPWGSGGAGGGGAGFSLAIEGVLGGACGLVVSDALEPDFPVIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAIVVSEIQRCLEEGTEFQGDLLNFRKDGSPFMTNLQLKPIYGDDETITHYMGIQFFSDSNVDLGPLPGSMTKDVVRSIWIAPDNTLRPSPTGKGNFCSEYSNLFQLSDEVLCQKILSRLSPRDIASVNSVCKRLYHMTKNDHLWRMVCQNAWGSEATRALENVAGSKSLAWGRIAREMTTLEAVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWSHINVGSAPPGRWGHTLSCLSGSLLVLFGGCGGQGLLNDVFILDLDAKHPTWREILGLAPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTYLLDVTMERPIWRLIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSEAVPTWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGTKAIVLGGQTGEEWMLTEIHELSLASSLV* >Brasy4G265600.1.p pacid=40084735 transcript=Brasy4G265600.1 locus=Brasy4G265600 ID=Brasy4G265600.1.v1.1 annot-version=v1.1 MLVHGCYKYVHAKAAQGHRITRANPSESSMDLRSAFCSALLLSLLLLPLSANASSKVYIVYMGEKQHDDPSVVTTSHHDVLTSVLGSKDEALKSIVYSYRHGFSGFAAMLTESEAEILAKFPEVLSVRPNTYHKAHTTRSWDFLGMDYYKPPQQSGLLQKAKYGEDVIIGVIDSGIWPESRSFDDTGYGPVPARWKGTCQVGAQFNVTSCNRKIIGARWYSKDVDADSLKGEYMSPRDLSGHGTHVASTIAGGQVWNASYNGLAAGVARGGAPRARLAIYKACWLGGGCSTAGIIAAIDNAIHDGVDVLSLSLGGPFGTIETLHAVERGISVVFAGGNDGPVPQTVQNTEPWVTTVAASTMDRSFPTVISLGNKEKLVGQSLYYNASFHSSDFQELVGISGSQSLASSNVTGKIVVYYAPEEVKTTAIGTALTYLINFTMKAGAKGLIFAQHTTNLLEDLETCKDYMPCVAVDFEIAQKIIYYGINTGRTAVVKVSPAVSVVGDAVLSPRVAAFSSRGPSPTFPGILKPDVAAPGVSVLAAVRDSYKLFSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVVDRFGMPIEAEGVPRKVAGPFDFGGGHINPDRAADPGLVYDIDVREYVKFSRCSLVPSDNCQVHQLNLPSIAVPDLKDFIRVQRTVTNVGKADATYRAAIESPAGLDMSVEPSVIKFTKNGSRNATFSVTFKARQRVQGGYTFGSLTWLDDSTHSVRIPIAVRTVIQDLVADVA* >Brasy4G358800.1.p pacid=40084736 transcript=Brasy4G358800.1 locus=Brasy4G358800 ID=Brasy4G358800.1.v1.1 annot-version=v1.1 MASSPEVIHAWSAPRSLSTSLMYSFSQRDDMDVLDEPLYANFLRVTGVDRPYREELLSKMDPDGNKVVKEVIFGPGEKKYRYCKHIAKQRLPNLTGNLMKEGKHFILIRNPLNILPSFDKVVPPSFMELGVAELVAIYSELCELGSPPPVIDADDLQRDPEAVLRGLCEDIGIPFQPQMLKWKAGPKDFDGIWAPWWYENVQKSMGFSKSRHYPMTFPFALYDLLEQSLPFYNMLKRQVRRTSGSLQSPKSDPLPPVPANEKILVWIGDELLPRDSAKVSVLDSVVQGGDAVWEGLRIYDGKVFKLEEHLDRLFDSAKAMAFSNVPSRDWIKDSIFKTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSVDSKIHHNNLINNILAKIEGNLAQVEDAIMLDQDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLIVKEKLALHERRISLSEFHAADEVWTTGTMGEITPVVMIDGRQTGDGKIGPVTRQIQNAYKVLTAGLGVPIPKNDKA* >Brasy4G225500.1.p pacid=40084737 transcript=Brasy4G225500.1 locus=Brasy4G225500 ID=Brasy4G225500.1.v1.1 annot-version=v1.1 MAVKVEITRSTVLEPSPESARGGGEKVPLTVFDRASTDGYIPAVFAWNGPAAPSNDALKDGLVSAVARFPHLAARFATDERGRKCFHLNDAGVLVVEATADGDLADALANEVSAHINALYPNADKERANEPLFQVQLTRYTCGGLVIGTACHHQVADGQSMSVFYTAWAAAVRTDSSVLPTPFVDRSATVVPRNPPAPGFDHRNIEFKGEHSAAHSYKVLPLDKIKNLVVHYPDEFIASLKARVGARCSTFQCLLAHTWKKVTAARDLPPESPTHVRVAVNCRGRARPPVPADFFGNMVLWAFPRMQARELLSSGYPAVVAAIRDAVARVDDEYIQSFVDFGETAGDEEQELKSTAATPGMVFCPDLEVDSWLGFRFHDLDFGFGPPCAFLPPDLPFDGLMIFVPSCAAKGGVDLFMALDDEHVQAFKQICYSMD* >Brasy4G338600.1.p pacid=40084738 transcript=Brasy4G338600.1 locus=Brasy4G338600 ID=Brasy4G338600.1.v1.1 annot-version=v1.1 MLTCSGREDRELIGLVSTSASSEVGATNKRIVCFKCDCEGHSAKDCPNEVLCMICEKNTHVASRCVWPTQAKPVMQPVGVGSPDLGYFMAVNVRPPKQQDRADTLGLIRVIKGTLLSSNLQGGLEALFPGSWQWKVVSQGKDFLVQFPSADKLAMLIDLEDFKLKGNTAYIKVERAESEVNPKGRMHTIWARAGNVHKDLRNYKGICEIGSLIGVVAEVDMQSLNDLGIIRFKVHVKSVQKLPKKLEYSIPPYLFAVFYAVENVEVPGSLTDNYMVNKRTPDGTLKLQGVEARSTKKQKPSPEATKPTEEQVNNLKGELTNVAQSEAMAPTGHSNVMQIAGGLTQQEKDRILASQAPGQDNQNSTDALEEVEDLEVDIEGSQERVQLSEEEPVESQDSFETKVDNILGKKGKSTNSKDRTKEETKELEKKDKKMVPTRKCERLKGQEDADRTKLAIQRAEQKNSLPGTTTGILVQKRCWIQVL* >Brasy4G303700.1.p pacid=40084739 transcript=Brasy4G303700.1 locus=Brasy4G303700 ID=Brasy4G303700.1.v1.1 annot-version=v1.1 MGRRQRLIGTERVGAEGEDGESGVIGSGTAGPSGSGGSGTAGPSNRRACYNAILCCRRLLLQLRRRRHDHSLTVAPVQESGTVLGTDDDAGSEGARGSRRRCGRRARGGRLRCGGRGRAGERTRRRGEKEIGEEGLMRGTERWVDWRQINGRERRRWLRPRWARASLRKSV* >Brasy4G000900.1.p pacid=40084740 transcript=Brasy4G000900.1 locus=Brasy4G000900 ID=Brasy4G000900.1.v1.1 annot-version=v1.1 MRGVRVAAAAFAVVLLVVLRPATAHIRMEVVTEEDEMEHMGHAHHAGPLHDLVGVAARIHQHVLDSVYGRRSQEAAAGT* >Brasy4G410600.1.p pacid=40084741 transcript=Brasy4G410600.1 locus=Brasy4G410600 ID=Brasy4G410600.1.v1.1 annot-version=v1.1 MEIKAKDAWGLLLMLLLGQLVAFSMAAASFASSLVANLGIDAPLTQSFFAYLLLTLVYVPILLRRRQKLRVPWYWYLALSFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIVLTWYALGTRYSFWQFLGAGTCVAGLGLVLLSDAKSPDEQDPSKIPLLGDALVIAGTVCFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSTIQIFIFERKSLEAIAWSPTMISLFAGYAVALLSFYSITPFVLKMSGAALFNLSLLTSDMWAVAIRVLFYRQQINWIYYMAFATVAIGLVIYSLNESSSGDGPTASTEAAAQYQQLPSEDNSTGSAPNLDSQESKQQDIC* >Brasy4G338800.1.p pacid=40084742 transcript=Brasy4G338800.1 locus=Brasy4G338800 ID=Brasy4G338800.1.v1.1 annot-version=v1.1 MGSIASEERTVTGWAARDADGHLSPYTYTLRKTGPEDVLVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGAEVSKYSAGDVVGVGVIVGCCRECRPCKASVEQYCNKRIWSYNDVYTDGRPTQGGFSSAMVVDQKFVVRIPAGLAPEQAAPLLCAGVTVYSPLKHFGLMSPGLRGGILGLGGVGHMGVKVAKSMGHHVTVISSSGKKRAEAMDDLGADAYLVSSDADQMAAAADSLDYVIDTVPVKHPLEPYLSLLKMDGKLVLMGVIGEPLSFVSPMVMLGRKSITGSFIGSMEETEEVLKFCVEKGLTSQIEVVKMDYLNEALERLERNDVRYRFVVDVAGSKIEDAA* >Brasy4G317400.1.p pacid=40084743 transcript=Brasy4G317400.1 locus=Brasy4G317400 ID=Brasy4G317400.1.v1.1 annot-version=v1.1 MSGDRRGGGWPPPGSSRNSCEIPPPPPSLRPPGQAAGRAQYAPWRLSSKYAPTTTQKWLPSRGSSVVPSGEQCGKFKVLRESTDREIVWPPMVIIVKTLQEQDEVDKWKCVGNPELLDDFSEFAPVEARHAYFPHIHCGIGVLIFESSAVGYMEAERLHRYLFYQREHREAMKHGSVKGQLLSFLAKSKDIDNYNRHCDAKGRLKYKVESYNEMVVVQMKQMRQDILRLNFLKNKIVKTEQHCKVLEETLSFATKKLEDTMEDSLFLRIKAKEKYLEYDEELKSLENFFHDQIECVHKAMEEKESELEKLLQEDLASARLSICDSGTTKICGLRKEQVHQFIDCQGKDLEELKAEREELIKAHTEKKVALKKEYMAKDTELDKELEATLTGLIEKRKPESFHVSNSSIRMMNYVYSQLRTISKMLWPSA* >Brasy4G180000.1.p pacid=40084744 transcript=Brasy4G180000.1 locus=Brasy4G180000 ID=Brasy4G180000.1.v1.1 annot-version=v1.1 MVWKSWGRRQLLLLLLAALLLCSGAAGAAGDVSLSLTSAPPRISRSASAVFAFRALQSSGWTCGDCAITCKLDGEIASDCGGGRSGNGTEVASYAGLTDGNHTFTACATPRSGSANSTTCATYAWDVDTVPPTASVAAESGFTSSPNVSVLISFSEPCPGAGGFTCNDTLCNLIVYGPGRVEPSTMEAVVPGLQYSVVVAASPDAVYGRLVLVMGRGFCTDEAGHRFRRTSNSSFTLRFDTRSDSVKITGAIPEKLLQIDGATRVVEATNDDRDLRVYLSFAEPVVNSSAEILAALSATDAVLTPTNRSTLGNRRFGYIVNKISTTAVVTVACDASSIISRQGTPVYSPEPFTFLYDSQRPSVKLATSTVRTSSRSIPVLIKFEKPVFNFSSSAVQVSGGNLLSFHEASKSIYTMQIQAVDKLVSVQVAENTAQDVAGNLNLASDRLQVRHYSIPASSSIIATIATVTFVATVVVATLLTLATSSLMASGAMSRPSSYSISEPSRNLLRMACHIQVFALSRWLSINLPVEYYELAKGIEWTIPYIRLPWEGPSADPFVGYSTMPAIAYSELVDRSDVASDPYSPRAMPMQIPAVDGGPVFPTQIPALDGRPLTAMEYRSFFQNQDMKPEAQIIMKLQDLDGWKYFGRNMLWLGVIGGGLILLHLLVLLYLRLRYRGGSGTRSYGALVLPRLEIMLAILAMPCIAQASAALIRGGTTGGLAVGIVLIGVLTAFLVALLLFLSLGITTGRLLQYKEVHQEGQEYRWYQELVRRTLGPGKRGQWTWKDPARSARLVKLGPLFEDLRGPPKYMLTQIGGGKRGAAADQRIMASEDENEDAEAPLLQKVFGILRIYYTLMESVKRVALGIVAGAHASSGRSSRAHAVAVLAIAAFQLFFLLLKKPFIRKRVQLVEIVAVASEVFVFAACLVLIDDGNEDLESGVGVGLAMLAVFALAFAAQACNEWKALYRQIQFLSPDRSSFLEGAKAASVGLLLLVLPSSVLGDRVKDQELPPPPPDDGAGGIAIAGAGGDLVGEAQRKSNERSWLGQLREMAKASFSRDGALPGEDPSSSTGYRGKRSRSSSVTSQQSADAKAKGEWRPKSKALYKDLEAIFSNR* >Brasy4G383700.1.p pacid=40084745 transcript=Brasy4G383700.1 locus=Brasy4G383700 ID=Brasy4G383700.1.v1.1 annot-version=v1.1 MTVTNQKSVSVRPVTNPPRRTTVDHRRAKYPRPLTALKQLDRMTTVLLMILASGRRSVKASASSSSWNWNPPLLSHDDPLPGACAIPFC* >Brasy4G202700.1.p pacid=40084746 transcript=Brasy4G202700.1 locus=Brasy4G202700 ID=Brasy4G202700.1.v1.1 annot-version=v1.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA* >Brasy4G372300.1.p pacid=40084747 transcript=Brasy4G372300.1 locus=Brasy4G372300 ID=Brasy4G372300.1.v1.1 annot-version=v1.1 MFAAACCCFRVFFSSLGAHGSDQRRGAWRLSNNQQKQNCGGGDGLRRGRPTAAEDTQSEGSRGRSEARRGADGAYGAAQAGFVVEDSGRELHGWGATGPGGWRWGGSYTGGGTGASGAALEGGAAAMAGRLGMETSGGEVRDRG* >Brasy4G244700.1.p pacid=40084748 transcript=Brasy4G244700.1 locus=Brasy4G244700 ID=Brasy4G244700.1.v1.1 annot-version=v1.1 MASSSALGKRGGGGMLAAISRSPVVTRGSAAAWKLTKSTGKAAWIAMTTFLVLAVPLIIEMDREQQITEMELHQQALLGGAPPSLAK* >Brasy4G220900.1.p pacid=40084749 transcript=Brasy4G220900.1 locus=Brasy4G220900 ID=Brasy4G220900.1.v1.1 annot-version=v1.1 MDVATGIPRRAALPVSVLVLLLLLLIAAAAPAAQGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLQELGAASASASASDPASQGDQVRRLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIALPDKFADPVPPPAALEDPSLFHYAIFSDNVLAASVVVRSAVANSEDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAYEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLQQILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNTCGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYGDSFIRQCNFAPP* >Brasy4G220900.2.p pacid=40084750 transcript=Brasy4G220900.2 locus=Brasy4G220900 ID=Brasy4G220900.2.v1.1 annot-version=v1.1 MDVATGIPRRAALPVSVLVLLLLLLIAAAAPAAQGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLQELGAASASASASDPASQGDQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIALPDKFADPVPPPAALEDPSLFHYAIFSDNVLAASVVVRSAVANSEDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAYEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLQQILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNTCGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYGDSFIRQCNFAPP* >Brasy4G377700.1.p pacid=40084751 transcript=Brasy4G377700.1 locus=Brasy4G377700 ID=Brasy4G377700.1.v1.1 annot-version=v1.1 MQTLHFSNKTYSKKLHIPSVGLALVLLISLASPTSSCTEQEKGSLLQFLAGLSKDGDLAASWQDGTDCCEWEGIACRQDKTVTDVLLASKGLEGHISESLGNLARLQHLNLSHNSLSGGLPLELVSSSSILVIDVSFNQLNGTLLELPSTPARPLQVLNFSSNLFAGQFPSTTWKAMENLITLNASNNSFSGPIPTEFCNSSPSFTVLDLCLNKFSGSIPTGLGDCSMLRVLKAGYNNLSGKLPDELFNATSLEYLSFPNNHLHGVLDDTHIINLRNLVTLDLGGNNFSGKLPDYIGQLKKLEEFHLDRNMMSGELPSSLSNCTNLITIDLKNNQFTGELTKVNFSNLTNLKTLDLWSNNFIGTVPESMYSCSNLTAVRLSNNRLHGQLSSRIANLKYLSFLALYENNFTNITNALQILEGSRNLTTLLIGHNFKGEILPQDGTIDGFVNLEVLDMQGCELTGKIPLWISRVTKLEMLLLNSNQLTGPIPGWIYSLSSLLYMDVSNNSLKGEIPLTLMEMPMLKSTETEHATHLDPRVFELPLYNGPSLQYRVITSFPTVLNLSKNNFTGVIPPQIGQLKGLDVLDFSFNQLSGQIPQSICNLTNLQVLDLSNNNLTGGIPATLNSLHFLSAFNISNNALEGPIPSGGQFNTFQDSSFDGNPKLCSSMLTYKCSSTEAYPASTLSRKEIDYKTAFVIAFTAFFGVGVLYDQQVLSRYFF* >Brasy4G377700.2.p pacid=40084752 transcript=Brasy4G377700.2 locus=Brasy4G377700 ID=Brasy4G377700.2.v1.1 annot-version=v1.1 MVTSLHPGRMAQIAASGKGSPAGKIRRSLMSYWLQRDLRGTSQSPLGTSPGSSTLTSPTTPCPVVCRWNWCLPAASLSLTSALTSSMEHSSSCHLPLPGLCSNNSFSGPIPTEFCNSSPSFTVLDLCLNKFSGSIPTGLGDCSMLRVLKAGYNNLSGKLPDELFNATSLEYLSFPNNHLHGVLDDTHIINLRNLVTLDLGGNNFSGKLPDYIGQLKKLEEFHLDRNMMSGELPSSLSNCTNLITIDLKNNQFTGELTKLSSRIANLKYLSFLALYENNFTNITNALQILEGSRNLTTLLIGHNFKGEILPQDGTIDGFVNLEVLDMQGCELTGKIPLWISRVTKLEMLLLNSNQLTGPIPGWIYSLSSLLYMDVSNNSLKGEIPLTLMEMPMLKSTETEHATHLDPRVFELPLYNGPSLQYRVITSFPTVLNLSKNNFTGVIPPQIGQLKGLDVLDFSFNQLSGQIPQSICNLTNLQVLDLSNNNLTGGIPATLNSLHFLSAFNISNNALEGPIPSGGQFNTFQDSSFDGNPKLCSSMLTYKCSSTEAYPASTLSRKEIDYKTAFVIAFTAFFGVGVLYDQQVLSRYFF* >Brasy4G390000.1.p pacid=40084753 transcript=Brasy4G390000.1 locus=Brasy4G390000 ID=Brasy4G390000.1.v1.1 annot-version=v1.1 MSAPGGGGEDGGAGAVSAPLPHLVFAYYITGHGFGHATRALEVVRHLIGAGHDVHVVTAAPEFVFTTEIDSPRLHLRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPREAILRTEVDWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIADDVKVVIFNFGGQPAGWKLKREWLPDGWLCLVCGASDTQELPPNYIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLQRALTLQPRYDGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDIGIPDWYSLSEKEIGVRPAPTPHKINGSTESSFEDFKILHGDMQGLTDTMAFLTSLSGLVGSDPRSPEKQSRERTAASVLFDLEKEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSDPIKQKLWKHTESRQLANGAVPVIQIVSFGSELSNRAPTFDMDLSDFMDGEKPISYDRAKEYFSQDPSQKWAAYVAGTVLVLMTELGVQFTDSMSILVSSSVPEGKGVSSSASVEVATMSAIAAVYGLNIAPRDLAILCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLLQSFPSIPMQSGDTNSDGYEEHGVDLLKSEASLEYLCNLPPHRYEAAYARDIPEIITGDAFLEKYGDHNDAVTVVDAKRSYSVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKSTSQNGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRWRST* >Brasy4G212600.1.p pacid=40084754 transcript=Brasy4G212600.1 locus=Brasy4G212600 ID=Brasy4G212600.1.v1.1 annot-version=v1.1 MVRQVLSAMRCFRVTLPNIHLQWQRMVKSGAQKIQTFFSLWKMDVREETIPCMSLCMIHQVQSSTKGKRNKRVARFWKAPWSIHGQLSTPLQEPQSGKGKKKSSQNLIIYGQTSGWGIHHHPMRSAVRAEIEEVALQRFIPAILSLHRTLSGNLNEKQGFPLGGADRSFVL* >Brasy4G212600.2.p pacid=40084755 transcript=Brasy4G212600.2 locus=Brasy4G212600 ID=Brasy4G212600.2.v1.1 annot-version=v1.1 MVRQVLSAMRCFRVTLPNIHLQWQRMVKSGAQKIQTFFSLWKMDVREETIPCMSLCMIHQVQSSTKGKRNKRVARFWKAPWSIHGQLSTPLQEPQSGKGKKKSSQNLIIYGQTSGWGIHHHPMRSAVRAEIEEVALQRFIPAILSLHRTLSGNLNEKQGFPLGGADRSFVL* >Brasy4G081900.1.p pacid=40084756 transcript=Brasy4G081900.1 locus=Brasy4G081900 ID=Brasy4G081900.1.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.5.p pacid=40084757 transcript=Brasy4G081900.5 locus=Brasy4G081900 ID=Brasy4G081900.5.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.6.p pacid=40084758 transcript=Brasy4G081900.6 locus=Brasy4G081900 ID=Brasy4G081900.6.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.2.p pacid=40084759 transcript=Brasy4G081900.2 locus=Brasy4G081900 ID=Brasy4G081900.2.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.3.p pacid=40084760 transcript=Brasy4G081900.3 locus=Brasy4G081900 ID=Brasy4G081900.3.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.4.p pacid=40084761 transcript=Brasy4G081900.4 locus=Brasy4G081900 ID=Brasy4G081900.4.v1.1 annot-version=v1.1 MSPKKDAGPSPSAAPTSAPAPAVAPKPSAFMPMGQMRRDECFDLLKLVSGVSRPLEDVVADFLARVPPERRLRFGSAIAFVLEDKMMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.7.p pacid=40084762 transcript=Brasy4G081900.7 locus=Brasy4G081900 ID=Brasy4G081900.7.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.13.p pacid=40084763 transcript=Brasy4G081900.13 locus=Brasy4G081900 ID=Brasy4G081900.13.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.14.p pacid=40084764 transcript=Brasy4G081900.14 locus=Brasy4G081900 ID=Brasy4G081900.14.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.9.p pacid=40084765 transcript=Brasy4G081900.9 locus=Brasy4G081900 ID=Brasy4G081900.9.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCITFSRIREAAGLFRLLKSLE* >Brasy4G081900.10.p pacid=40084766 transcript=Brasy4G081900.10 locus=Brasy4G081900 ID=Brasy4G081900.10.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.8.p pacid=40084767 transcript=Brasy4G081900.8 locus=Brasy4G081900 ID=Brasy4G081900.8.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRCGDSSEVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.11.p pacid=40084768 transcript=Brasy4G081900.11 locus=Brasy4G081900 ID=Brasy4G081900.11.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G081900.12.p pacid=40084769 transcript=Brasy4G081900.12 locus=Brasy4G081900 ID=Brasy4G081900.12.v1.1 annot-version=v1.1 MMFQTAERLIAFAILHQGYSLQLANPFASVLINAACDETSERSEQAFLHLLLSSANRDNNEILKQSAADYLKESFYASQVLLPKEQLERQYSCNAVQPKMHTSSCTAATVRSVVPDPDISQRVSPAKPNRDNVIAGLLQETAHKGLAPPWIRPPPPRLEILEGELQWLNLDNKHELLWDGSMCADTSRGAAIRDLVGRACKGPLAPAQQEQVVLDLAKDWKLVYHCAMTPEKLPDLVEHNPLIAVDVLSKLINSPDIDSYFDILVSMEMSLHSMEVVNRLTTAVDLPPGFIQDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINATLI* >Brasy4G323000.1.p pacid=40084770 transcript=Brasy4G323000.1 locus=Brasy4G323000 ID=Brasy4G323000.1.v1.1 annot-version=v1.1 MAPEHFLVVAFPGQGHINPARALAERLARANPGARVTLSAAVSSHRLMFPALASPDEEVIIPDGDGAISYAPYSDGYDHGFNLFAATGDEAWARVEAFASVGRATLSAALDRQAARGRPVTRVVYSILMWWAVEVARERGLPRALYWIQPATMLAVYYHYFHGYEGVVTEHAGEPGFTVAMPGLAPMPIRDLPSFFVAKLTDERMAAAFDMMRKTFQQLDMDTRPMVLVNTVEALEVGALASVPDLDVFPVGPAVVSLVTDGNNGGGVRDLYEHDEKGYMEWLDAKPARSVVYVSFGSMASVSRPQKEEIKRGLAASGRPYLWVIRKNNRDNGDEGDDAAEKNDFVEQGLGMVVEWCDQVHVLKHRAVGCFVTHCGWNSTLESMACGAPMVAVPQWSDQDANARLIGEWGTGLRAATTADRLLHSEELGRCLEVVMGDTEKGAAIRRSSAAWKAKVQEAIAEGGSSDNNLSDR* >Brasy4G208500.1.p pacid=40084771 transcript=Brasy4G208500.1 locus=Brasy4G208500 ID=Brasy4G208500.1.v1.1 annot-version=v1.1 MTINFYDSALSLASNFRIFGGSYTPVVDVQVVEAMLVSSRWLVAHLCTAILNVQKQQPLEINPNCLKREFSKQTRRTPLPIRHQASAEGTMEGGFHYNGVVATALTTYPVWAGQSRSTLVLCHTTPGEQDLGSWICTTMCWICSISMRPVVPGLLSGTTTRSMAERRRKSFVIQESGCECDGMNTMTLGPLWVVSRRQRKKWCTSKLEKKFKRSHIQRKSTKAWTTTSSTAKRTLTCRSVSSFVRRVCSMTFAVPNRMVLNSWYFWESVCKLFMLALQFVWIL* >Brasy4G214600.1.p pacid=40084772 transcript=Brasy4G214600.1 locus=Brasy4G214600 ID=Brasy4G214600.1.v1.1 annot-version=v1.1 MAAGVPVTEDLVVDIISRLPIKSLCRSKGVSRAWRDLISHPDNRSRFPHTLVGFFHSSFCCERFPISALHFINISGKGRPVISPSFAYFPKHRSIVLLDCCNGLLLCHLQEESQGTARYVVCNPTTKKWAMLPDSGLAMSVARLGFDPAVSSHFHVFEFLEEGSEDIVVALEVYSSETGGWVHKQIGWADAISFLDPESATVFLNGSLHFVSLVPAIAVVDTEGERWRIISVPDIEDDRLLPDLGFLQQSQGCLHYANFERRGAGFRLVVYVLEVYGSQEWKLKHSAEASSIFQGRTSVDLMWSFKWMAIHPECNLIFFIVGWDNTLMSYDMDHQQVHVIRILGRDSESQYLSYIPLYSELPELHI* >Brasy4G163600.1.p pacid=40084773 transcript=Brasy4G163600.1 locus=Brasy4G163600 ID=Brasy4G163600.1.v1.1 annot-version=v1.1 MSYYGQQQGPVGAPPQQGYPPAGYPPAGYPPPQQGYPPAGYGQQGYPPQQQQQQSSGPSFMQGCLAALCCCCLLDACF* >Brasy4G106600.1.p pacid=40084774 transcript=Brasy4G106600.1 locus=Brasy4G106600 ID=Brasy4G106600.1.v1.1 annot-version=v1.1 MPPPPPSSVAPACPTPKPSTTSSPSPRQIAALVLNHRSSTLTTASARSLSASLLAVAPALPTPVANSVLKLLWHHGPRALLFFHALLHLPARAHAVSPCTIDLALDLSARLRHPRQLTNSILALFPRLRLPFTPRTFPILFERFAASQRRPDIAVRLFLSLHRSHRVEQDLPLFNSLLDALGKSRHASKAASLVRALERRFPPDVVTYNTLADGWCRVKDTSRALDLLRQMAESGITPTKTTYNVILKGFFRAGQLQHAWNFFLQMKKRGSKDESCKPDVVSYTTIIHELGVAGQLDKARKLFDEMSKEGCTPSIATYNAVIQVICKKGNVEDALTVFDDMTRKDYTPNVVTYTVLIRGLCHVGKIDRAMKLMERMKNEGCEPVVQTYNVLIRYSFEEGEMDKALYLFERMSKGEECLPNQDTYNIIISAMFVRKRAEDMAIAARMVMEMVERGYLPRRFMLNRVLNGLMLTGNQQLSRDLLRMQEKYRRLRREIRL* >Brasy4G106600.2.p pacid=40084775 transcript=Brasy4G106600.2 locus=Brasy4G106600 ID=Brasy4G106600.2.v1.1 annot-version=v1.1 MPPPPPSSVAPACPTPKPSTTSSPSPRQIAALVLNHRSSTLTTASARSLSASLLAVAPALPTPVANSVLKLLWHHGPRALLFFHALLHLPARAHAVSPCTIDLALDLSARLRHPRQLTNSILALFPRLRLPFTPRTFPILFERFAASQRRPDIAVRLFLSLHRSHRVEQDLPLFNSLLDALGKSRHASKAASLVRALERRFPPDVVTYNTLADGWCRVKDTSRALDLLRQMAESGITPTKTTYNVILKGFFRAGQLQHAWNFFLQMKKRGSKDESCKPDVVSYTTIIHELGVAGQLDKARKLFDEMSKEGCTPSIATYNAVIQVICKKGNVEDALTVFDDMTRKDYTPNVVTYTVLIRGLCHVGKIDRAMKLMERMKNEGCEPVVQTYNVLIRYSFEEGEMDKALYLFERMSKGEECLPNQDTYNIIISAMFVRKRAEDMAIAARMVMEMVERGYLPRRFMLNRVLNGLMLTGNQQLSRDLLRMQEKYRRLRREIRL* >Brasy4G268000.1.p pacid=40084776 transcript=Brasy4G268000.1 locus=Brasy4G268000 ID=Brasy4G268000.1.v1.1 annot-version=v1.1 MAEAAAGGGTQLLEDIIVWEILTRLPVKPLLRCRAVCRSWRRLLTSDPKFLLAHHRRQPSLQLVSTWDAVESRLDALDHRAGELRPVARTDRVVTDEDLEVVAACDGLLIVWAYNGLHICNPSTRQRAPLPLLDGTRVSALFPHCPSGSYRVLCWVQRQTEAGDSRAVYYVHTVGSAEVRCVGEPPEPWATGDIAQMMPMLEFFHPHVLVQGKVHWKPVKLPGRKYDNMLVFDTVAECFQHISTPVEGPWAEPFEMNGTLGLYQYNDLRTADLWVLLDYENQVWSFKYRIELQMPIIFLVPDTEGDVLVISGGRGVQSLCLQYVSASNGSLLTQYQWNIRLKLKRLRFKESLVRHAFFPTEGNGGVDELPLFDGLSTAMVLRDDDGSEPH* >Brasy4G160100.1.p pacid=40084777 transcript=Brasy4G160100.1 locus=Brasy4G160100 ID=Brasy4G160100.1.v1.1 annot-version=v1.1 MSPAVPGRLLNETDLYMSVAHLSRLVVQGQWDDAMSYASRFLGPVSQPQSDEARVLLCFLRHFRAFDGMVTGEHNRDVKCLYRDYKQYLKHDDSLSHDALRIRSITISLLYSDQIRASLDWDRVQLKASDIVRDLAYKVPELSDLIHLPRSPMMPHDVFAAGIGRFRQRRHVKQDFKARPKTLAKFYLRRKRMSCSTQHRKLNCGLTAKTRKWLADIIDESLQAGNCPELQSSGKEDESLQAGNCPELQSNGKEVFVVLQSPAPCQVVLQISMKPM* >Brasy4G105100.1.p pacid=40084778 transcript=Brasy4G105100.1 locus=Brasy4G105100 ID=Brasy4G105100.1.v1.1 annot-version=v1.1 MSIYSKTATRFFLVLLPHPDSSIFLTAPEPETRDEQRRPDLDPAPPERAAGLPPPDPPLPPAPPPVPVLVWPCSIPYIDRPTPDARAPTTPTLPSSRTAAAQGLRAPPALLRLPRPPLVAAVPPRRLPVLRWSTSASLCYGRLLLCPTHDGDSLRLASTPATLLCSAGFARDGFAAAGSEEIGAPPLPKRLPDPARATPSIPLLPFLLSGRRTAPPAASRSTPPPNQFF* >Brasy4G389000.1.p pacid=40084779 transcript=Brasy4G389000.1 locus=Brasy4G389000 ID=Brasy4G389000.1.v1.1 annot-version=v1.1 MHFLPSSGAHAQEPSDTSRDRSTARSFHYRSAAFQTGINAGFIVVPTCLAAHLPPHFPPPTFSPLFFSAQGSSGGDFGGNFVRRRAAPLRRTRSYTREARVAGSPERRRGCRRFSAATKGVHRNPGGRGEPGNFVHMLYIQM* >Brasy4G430100.1.p pacid=40084780 transcript=Brasy4G430100.1 locus=Brasy4G430100 ID=Brasy4G430100.1.v1.1 annot-version=v1.1 MVGKKQQPAPLLVLLDILLITTLEMVPEVVGAGAGNKQVCDFQVPECGGMCYLAGKCMSCCEYYNLNPGHCSLRGLVCYCCHGPNSGAGQQLAAVAAQGYPAVAAAAASPPSSSCMIDHPKAGI* >Brasy4G412600.1.p pacid=40084781 transcript=Brasy4G412600.1 locus=Brasy4G412600 ID=Brasy4G412600.1.v1.1 annot-version=v1.1 MAARPGGEAAAEEQEYEDLLPALAGRLGSAGLLAELRAGFRLLADPARGAITADSLRGGAERALGVAGMTAEEAAAMVREGDLDGDGALGEREFCVLMVRLSPGIMADAEAWLRDAIADDAEEDEDELMTSPPPIARA* >Brasy4G363600.1.p pacid=40084782 transcript=Brasy4G363600.1 locus=Brasy4G363600 ID=Brasy4G363600.1.v1.1 annot-version=v1.1 MGAKLAATAFCFFLLLTFGFGKGETPCERPSKYYRDVECFGTKCKNACIGEHFKDGHCNYLRCICTNHCVKGTPIEARDG* >Brasy4G144500.1.p pacid=40084783 transcript=Brasy4G144500.1 locus=Brasy4G144500 ID=Brasy4G144500.1.v1.1 annot-version=v1.1 MAFDCNKAGDYSPEYPSSICTEGTLIQANTLTKCGKAKNLISLNRLNNQKSSYESLPRLTEPKENEEAGTDETASMCGTRCFTDLPAALVCEVLALLDPKGLGIVSCVSTVLQTLAADHQGWKKFYCERWGLPNAPIGPLIPGGTPDGRSWKTLFVDREFQSKSFMGRFSVDVLRGHSEDVRTVFLLASANLIFTGGHDSVVRMWDMEEGLLIDESRPFGCTIRAIAADSRLLVTGGSNAYIQCWRAVEGDSHLFHISGYGTNRDSEFRLWGHEGPVTCLALDPSRIYSGSWDMTVRVWDRAQMKCVQKFMHADWVLALAPHGNTVASTAGRDTYVWDIESGNLTSVISNAHVGNAYSVARTHLTNVLFTGGEDGAIRLFDISEASDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRIALIDLRKLLTPLNSSKRRFRVKNFDTSAIEPPQRMFHGFGCDLFSVDIGADRIICGGEDGTVKIWNFSEALEIEKKAQALRSLRQENRMRRRKSQLEMNANGRRADQCSIAMKRNQLKGDKSVTWQNKRAIDKVKS* >Brasy4G144500.2.p pacid=40084784 transcript=Brasy4G144500.2 locus=Brasy4G144500 ID=Brasy4G144500.2.v1.1 annot-version=v1.1 MAFDCNKAGDYSPEYPSSICTEGTLIQANTLTKCGKAKNLISLNRLNNQKSSYESLPRLTEPKENEEAGTDETASMCGTRCFTDLPAALVCEVLALLDPKGLGIVSCVSTVLQTLAADHQGWKKFYCERWGLPNAPIGPLIPGGTPDGRSWKTLFVDREFQSKSFMGRFSVDVLRGHSEDVRTVFLLASANLIFTGGHDSVVRMWDMEEGLLIDESRPFGCTIRAIAADSRLLVTGGSNAYIQCWRAVEGDSHLFHISGYGTNRDSEFRLWGHEGPVTCLALDPSRIYSGSWDMTVRVWDRAQMKCVQKFMHADWVLALAPHGNTVASTAGRDTYVWDIESGNLTSVISNAHVGNAYSVARTHLTNVLFTGGEDGAIRLFDISEASDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRIALIDLRKLLTPLNSSKRRFRVKNFDTSAIEPPQRMFHGFGCDLFSVDIGADRIICGGEDGTVKIWNFSEALEIEKKAQALRSLRQENRMRRRKSQLEMNANGRRADQCSIAMKRNQLKGDKSVTWQNKRAIDKVKS* >Brasy4G258900.1.p pacid=40084785 transcript=Brasy4G258900.1 locus=Brasy4G258900 ID=Brasy4G258900.1.v1.1 annot-version=v1.1 MEQYPLEGLVDDALASGDGALAEAAGDGAQAEVVEDGALAEDVGNGALAEAIELRAPAPAKPKSRYVHPIYSNSMVAAWLILSDPPMDEGLDEGPVYCTVEAPAIQVVKPADSLPCGRIVYSGDGCVSRGEKNFRFWRRAMQGGALAEDVRNGTQAEAIERSIVFLAMTVSPVAKRILVSGNMLSLKATARSTAPREVNSQQEELTDRGGDRPQADRAVAWGVKETVFGVAEVASQSETVSGVDKNASKEKKNKNNKKRKGDGQRLQSHSAPQLSPAIGSSLKDDENSVATVGESGPSSPVLVSDPCLDTALDVVESSSQGHNAEQLDFSSLRGLVCHTKIIRFMGRKYKILLQDENGPCSLIAISNFLILKGRITLPDLLEVCLETVADIVFAEVFRNMKNEDYAGVVAALEQSATGLDIDILFSSVDGFEDTSQYRIFRILGIPLYHSCTLNLDLEEDAHTHYAIEGRTYNQLYLDHYKQVSMKQEAGPSVTIERIGRFLESTKVQSTDYGHTNDADLFVFFWNSRFSIIFKHSGRLRTALAEDVGNGALAEAIELGAPAPAKPKSRYVHPIYYNGMSLL* >Brasy4G342900.1.p pacid=40084786 transcript=Brasy4G342900.1 locus=Brasy4G342900 ID=Brasy4G342900.1.v1.1 annot-version=v1.1 MAGEELPLNVKDMEEVSTPSSDGDNGKDGFASCDDDMAKGKNRMPEELVDFFMSNSNYHHIPRREHDGFNDAADFFNMLSVQLAESDEELRREKEAKGYVEVDESYFQDMAEVQKANRAAFLESQADFEKFGFKGNPDEEDDQDYYDDLRSSTNRISDVSMKMLAWCIGAN* >Brasy4G385200.1.p pacid=40084787 transcript=Brasy4G385200.1 locus=Brasy4G385200 ID=Brasy4G385200.1.v1.1 annot-version=v1.1 MLCSNLFAIKQLLPSLTKNSSYLGPLMFREDPDAAFLFVDRAAGEEGAPFEEVKWTPAAAGCGGEEGGAGEVKAGEGPGGGGGGVGQLRWYEGVVYDADERRRVEGVVAAEELVVGNDAAESGTGRGGAGEVGGRGETEEDVLEELVRDLKERWLRRLVLQ* >Brasy4G088300.1.p pacid=40084788 transcript=Brasy4G088300.1 locus=Brasy4G088300 ID=Brasy4G088300.1.v1.1 annot-version=v1.1 MAPGGGVAAAVASVLVLWLAAGVVVSGAASEGCEARARDRVEALPGQPAAARFAQFSGYVTVSEARGRALFYWLTEAAAGADVGTKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAEDSLQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNKGSPNPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFTSTNVSMVCTRAMNYAMNYEFGDIDQYSIYTPSCTTALSSPNATAKTRHHAAVLRFKDTLIRRRSNSYDPCTETYAEKYYNRLDVQEAMHANTTRIPYKWTACSDVLIKKWKDSEFSMLPTYRMLMKAGIRIWVFSGDTDSVVPITATRFAISHLDLKTKIRWYPWYSGGQVAGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFRSFLAGEPLPKS* >Brasy4G013900.1.p pacid=40084789 transcript=Brasy4G013900.1 locus=Brasy4G013900 ID=Brasy4G013900.1.v1.1 annot-version=v1.1 MAGLDDKEQRDGAEEDEDERHRERERSPPGSPEFDPEIISAFRKALGEVVSPPRDDNNINNKGEDDECVIRKREIQRFPGIVRARVSAFQQRIDAKLAKMARTPVPAPAPPPAAAPPESQQLPPPPPDSQRKVVLYLTSLRGIRKTFEDCWAAKSILQGYGVRVDERDLSLHGGFKDELHASLGASSAGGRLPQVFVDGKHLGGADDVRRLHEAGELSRALECCDTAPPVGAGGAAKGVALEACSGCGGVRFVPCEECSGSCKVFLEELDSFRRCPECNENGLVRCPLCCL* >Brasy4G230600.1.p pacid=40084790 transcript=Brasy4G230600.1 locus=Brasy4G230600 ID=Brasy4G230600.1.v1.1 annot-version=v1.1 MGLSLAVHRGRENGSRRRVLPPPPARHDATCAALILFLLLLLPAFKFKPSSKPTGTQLPLPPSPPSVPIIGPLLWLWRARSGLEPAIRALHRRHGPVLVLSFLSPRPAIFVSGRAVTHRALRTVSSAPYGPLWRSLRRNLAAGVLLRQRQALFALALLFSDLRKDDGKAAVTVVEPLQRAMFALLSFMCFGRRLEDEAVREIEAVQRELFANYIGFQVFAFCPALTTRVLFRRRWRKVLDIRRRQEQLFLPLIRARRDRRNGSNGDGDVAGYCYVDTLLAHRLPQEEEEEGGEQRGLTEGEMVSLCTEFLTASVDTTVTALQWVMANLVLYPEIQSKLHHEIAAAMTVSHNTNHDDDDDRQAMVVSEEDLERMPYLKVVVLEGLRRHPPAHFLLSHAVSEEEDAASASPDGYRIPAATSVNFSVADVGMDEEIWSRPEEFRPERFMAGGEGAGVDLTGGSRPETMRMMPFGVGRRICPGMALALLHLEFFVANMVWRFEWAPAPGHGVDLAERPEFTVTMERPLRARVKPRRRSSQNARPDSSCSLDCAPNI* >Brasy4G370200.1.p pacid=40084791 transcript=Brasy4G370200.1 locus=Brasy4G370200 ID=Brasy4G370200.1.v1.1 annot-version=v1.1 MTETPCLPRERLFKEQQYFQSLSKYTHLKGRHDVITSVGIPLVLAASSLLMIGRGIYNMSHGIGKKE* >Brasy4G170600.1.p pacid=40084792 transcript=Brasy4G170600.1 locus=Brasy4G170600 ID=Brasy4G170600.1.v1.1 annot-version=v1.1 MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDQYGKTKDEQQVIANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSIENQLEISIRGVRTKKDQLLFDEIHELNQKGSMVHQENMELYKKISLIGQENAELYKKIYEAEGPSEVNKDSPTPYNFAVVENRNVPVQLELSTLPQQNDNEQSTAPKLGLQLNP* >Brasy4G153400.1.p pacid=40084793 transcript=Brasy4G153400.1 locus=Brasy4G153400 ID=Brasy4G153400.1.v1.1 annot-version=v1.1 MRKEIIFRMYVKSEKCQTKAMKVAATVSGAVESVTLAGGDRSLLLVIGDGVDSNKLSKKLKKKIGAAEIVELRTLDTFEASPLQHPIPIPAKAGAHPPRSPYNNQQNQIRQYNYQYGAAAAGGPKSPYNAYHYHPSPMAMVAHGGYGGYGGQGEYGYGRSSSYSRVVARSHPGNYSPLMERHDYYPMDHSFSSSAAAAAAGGGGGGGGTTSYRSVPRREGSSGGC* >Brasy4G055100.1.p pacid=40084794 transcript=Brasy4G055100.1 locus=Brasy4G055100 ID=Brasy4G055100.1.v1.1 annot-version=v1.1 MLPFPRTAVVPHGTDTGGSAGSSSSSSPWSSAWAMMLLGFVILVGLLLAACLFSAAARRLFSLLIGASPDLPPPASAAAARRCRASATDQAAAAAELVGSLPVRAHDGAAAAGGDDVCALRAEERVKAIPACGHVFHPPCIDRWLLLAAAAGHASCPLCRCQLGLKPPPTAAVPAANSQRQQQQREDEPAHASAA* >Brasy4G318900.1.p pacid=40084795 transcript=Brasy4G318900.1 locus=Brasy4G318900 ID=Brasy4G318900.1.v1.1 annot-version=v1.1 MSSRRDMAPPGPPAHVLVFPWPLQGHINCMLHFAAGLVGAGLHVTFLHTEHNLARVDPLASADTPRLRFVSVPDGLPAGHPRSVRDLKEPLLTTVPAAYRALLASLQQPSTTDTGFPPVSCVVADGLLPFAIDIPEEEFGVPALAFRTVSACSILAYLSVPKLVELGEAIPIPLDADLDDLVRGVPGMEGFLRRRDLPSPCRVNPDTHEADPLLDMIVDFTAHSRDKARALILNTAASMEATSLAHIAEQMRGDVFAIGPLHLHAAAATSSSSPAPAASTGSMWREDGGCIEWLDAQGDRSVVYVSLGSLTVISLDQFTEFLSGLVGAGYAFLWVLRPDMVTATQNALLREAVDAAAAALHGKPAAAARVVDWAPQRAVLRHRAVGCFLTHAGWNSTVECAAEGVPMVCWPFFADQQINSRFVGGVWGTGLDMKDVCDRAVVEASVREAMESAGIRRSAAALAEQVRRDVAEGGSSAMEFDRLVGFIKELAATKGSVANQ* >Brasy4G314500.1.p pacid=40084796 transcript=Brasy4G314500.1 locus=Brasy4G314500 ID=Brasy4G314500.1.v1.1 annot-version=v1.1 MASLSDSGGGGGGGRSGAELMVPQFHLKALHAILAVRAPRPIATAPASASAAFRRRDRWFHLPLHAPPPPAAAEHIPEPSLGEPVVVDVYLAPSGGGGREEVVERWTVACEPWPRGAASAGEGLAVNKAYKRCITLLRSVYSMLRILPAYRVFRDLCASGQAYNYEMGYRVGSFAAPFSRAEEAAMRTQRFAPIETQLGRLVVSVQYLPSLAAYNFEISSLAPVVVIDEYIGSPAAEPMRAFPASLTEATGSAFPLPSRRPNSWASPAYWPQSPGQPAKFSPSPASYASPTPSPPTFAGGYLHSRLSGETAPMSIPQASGGRGPVQYRNMSDPSRGFMLPPPSPKSIRGEAGSHESPTETSRSFRRAEGLRMADLYANLPAAPKMKDSRDESGRFSGVFSSSGSPRLGISRSSSRLSMQDDTDDSYLPFAVDDVDAPDSRPGSSGGKEDQSGSSSHKSQDAAVGYLVHLLRSARPLRDPSNSSITSRAESTEAGSTSSFMSRRTSDAFEELESFKEIRENLLARSRSRLQDSVDRS* >Brasy4G389100.1.p pacid=40084797 transcript=Brasy4G389100.1 locus=Brasy4G389100 ID=Brasy4G389100.1.v1.1 annot-version=v1.1 MAKNGGGGVRSGAQQGAIAGVGREDAAVCELCPSRWSSRSASLTVKSNFTLPTAHLPPVNSPLVQPQQWPNAPPAFSVLVLQLNEAHQPGRPTWAWSAVGGAGGEQWTASDMASVGARTSQSWGHSEDGIRGQG* >Brasy4G349300.1.p pacid=40084798 transcript=Brasy4G349300.1 locus=Brasy4G349300 ID=Brasy4G349300.1.v1.1 annot-version=v1.1 MAPPPKVKASFLITLAAILVLLPAANADNAAVAAAPFNITKILSAYPEFSVFSSLLNETGLARAIDRCKMVTILAVNNTGVPDTVLRVPRPLLFDLLALHVVLDYLDPEKLDAMRLGRTGNGSMVTTLLPGPSEKFLRVAGGDKSRITFSYAGPGGRWPRNATLVRVVTSQAFSVMVLQVSGLILPTAVPATTAARFDIIKILSSYPEFSVYSALLKETGLASILDGRRVVTVLAPNNTDIPKVINTLPRPLLADLLALHVIPDFLDPEKLDALRRGRTGDGSVVMTLLPGPGLRLLRIAGGEKGPITFSYGGPGGEGSHKVSLVRVVTSQAFSVVVLQVNGLILPEGVPADPVDTTSPFDITKILSSYPEFTAFNSLLTDSGLARAINARPIVTVLAANDTALADSLRGLRRLPEPALVDLLALHVVLDYLDPEKLDALRRGRTGGGSVVTTLLQESGRARGRGVGFIRVSGGDGGRITFSSSTPGGGGPRNATLVKVVTTQAFSVLVLQVSNLILPPGIVAPAPQQPRARRHMFLPPSPAPAPAPQYPPVSGVPPPVMEEPEPNLTDTAPPPSGVIPLPSAHGGVSAKIPTAAGHRAAGIWWSGASAALGITACLLGRL* >Brasy4G112400.1.p pacid=40084799 transcript=Brasy4G112400.1 locus=Brasy4G112400 ID=Brasy4G112400.1.v1.1 annot-version=v1.1 MDAFWNRSNKQSKHSTASVCSTATAAMRRLSHFLLLAAVLAAVPRPAHPLTELESSQIRRFQDYLRICTAHPSPDYAGASAFFLPYAASLGPRTTTLHFTPCKSKPLLLLTWPGTDPSLPSILLNSHIDSVPAEPEHWIHPPFAAHHDPANGRVYARGAQDDKCLPIQYLEAIRGLQAAGFAPSRTVHISLVPDEEIGGEDGHEKFVQSEEFRALNVGFMLDEGQASLTDEYRVFYGDRLVWKLIVKATGAPGHGSRMFDGAAVENLMDIIETVAGFREAEFSKVKAGKKGPGEVVSVNPVYMNAGTPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEHIIRRIEEEWAPAHKNLTYQLMKKGPVRDVAGGPLVTPTNESNPWWSVFEHAIISSGGKLAKPEILSSTTDARFVRQMGVPALGFSPMINTPILLHDHNEFLEDKVFLRGIEVYQHLIRALSSFKG* >Brasy4G263100.1.p pacid=40084800 transcript=Brasy4G263100.1 locus=Brasy4G263100 ID=Brasy4G263100.1.v1.1 annot-version=v1.1 MASEEDVKQRQIIEDRARNISHNVRCTECGSQSIEDSQADVAILLRRLIRDEIKSGKSDKEIYKKLEDDFGETVLYAPKFDLQTAAIWLSPVIVGGVAAGMWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMTDILTPPPPARRWWWPVK* >Brasy4G058600.1.p pacid=40084801 transcript=Brasy4G058600.1 locus=Brasy4G058600 ID=Brasy4G058600.1.v1.1 annot-version=v1.1 MIKDPEPGDSNNAADSGSGSGGGNNNGEPRGDPGTVVLPAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAHFSRRRQRGVCVLSGAGTVANVALRQPSAPGGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLEEEDEGPVQGGGVDQQLGMAGGHGHGVPVDPSAAMAPMFNNVGQLGGGGGDGFPWAPHARSPY* >Brasy4G058600.2.p pacid=40084802 transcript=Brasy4G058600.2 locus=Brasy4G058600 ID=Brasy4G058600.2.v1.1 annot-version=v1.1 MIKDPEPGDSNNAADSGSGSGGGNNNGEPRGDPGTVVLPAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAHFSRRRQRGVCVLSGAGTVANVALRQPSAPGGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLEEEDEGPVQGGGVDQQLGMAGGHGHGVPVDPSAAMAPMFNNVGQLGGGGGDGFPWAPHARSPY* >Brasy4G078500.1.p pacid=40084803 transcript=Brasy4G078500.1 locus=Brasy4G078500 ID=Brasy4G078500.1.v1.1 annot-version=v1.1 MVIITPSTDSISGWDLHPLPLSQKKDGIFKEAPEYVPGLCSLLDHVAAAVGEGRALRGNIRRACRAPVAAVLLAPRAEAFSACILAVKFLTNSSDPVYIQRSAHIFPSGQMYALA* >Brasy4G295100.1.p pacid=40084804 transcript=Brasy4G295100.1 locus=Brasy4G295100 ID=Brasy4G295100.1.v1.1 annot-version=v1.1 MAGYPEDNQHALNGYEEEEEVEEVEEVDEEEGHPGRRGRRDGDGGGYGDVGGDDGRAGGGDSSGKIFVGGVAWETTEETFSKHFGKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHNIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPASLSEDDLRDHFSSYGKVVEHQIMVDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHAGGGYRSSYRSGASGGGSSSGGSVGGGSYGGGHRSAAAGSYYDSTGYGYGRGGYGAYGGNAAFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGAGAYGGGAYGGAPGGGYGTGGYGSYGGAGGAAGGSAGGRGSSRYHPYGK* >Brasy4G295100.2.p pacid=40084805 transcript=Brasy4G295100.2 locus=Brasy4G295100 ID=Brasy4G295100.2.v1.1 annot-version=v1.1 MGMAVAMVTSGGMTAGPGAVTRRGRFSSEVWLGRPLKVEVKRTVPREEMSSKDGPKTRKIFVGGLPASLSEDDLRDHFSSYGKVVEHQIMVDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHAGGGYRSSYRSGASGGGSSSGGSVGGGSYGGGHRSAAAGSYYDSTGYGYGRGGYGAYGGNAAFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGGAYGGGAYGGGAYGAGAYGGGAYGGAPGGGYGTGGYGSYGGAGGAAGGSAGGRGSSRYHPYGK* >Brasy4G076800.1.p pacid=40084806 transcript=Brasy4G076800.1 locus=Brasy4G076800 ID=Brasy4G076800.1.v1.1 annot-version=v1.1 MPRPEAQAPPEIFYNEAEARKYTTSSRIIEIQARISERALELLALPDDGVPKMLLDICCGSGLSGETLTEYGHHWIGCDISNSMLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSDHEPRLRLKAFFVSLYRCLARGARAVLQFYADNIEQTELLMTFAMNAGFAGGVVVDWPHSSKAKKSYIVLTCSAPSVHTSLPNGKGQDGEMCSDDDDDNQQSAHTEGTG* >Brasy4G076800.2.p pacid=40084807 transcript=Brasy4G076800.2 locus=Brasy4G076800 ID=Brasy4G076800.2.v1.1 annot-version=v1.1 MPRPEAQAPPEIFYNEAEARKYTTSSRIIEIQARISERALELLALPDDGVPKMLLDICCGSGLSGETLTEYGHHWIGCDISNSMLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSDHEPRLRLKAFFVSLYRCLARGARAVLQFYADNIEQTELLMTFAMNAGFAGGVVVDWPHSSKAKKSYIVLTCSAPSVHTSLPNGKGQDGEMCSDDDDDNQQSAHTEGTG* >Brasy4G076800.3.p pacid=40084808 transcript=Brasy4G076800.3 locus=Brasy4G076800 ID=Brasy4G076800.3.v1.1 annot-version=v1.1 MLLDICCGSGLSGETLTEYGHHWIGCDISNSMLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSDHEPRLRLKAFFVSLYRCLARGARAVLQFYADNIEQTELLMTFAMNAGFAGGVVVDWPHSSKAKKSYIVLTCSAPSVHTSLPNGKGQDGEMCSDDDDDNQQSAHTEGTG* >Brasy4G076800.4.p pacid=40084809 transcript=Brasy4G076800.4 locus=Brasy4G076800 ID=Brasy4G076800.4.v1.1 annot-version=v1.1 MLLDICCGSGLSGETLTEYGHHWIGCDISNSMLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSDHEPRLRLKAFFVSLYRCLARGARAVLQFYADNIEQTELLMTFAMNAGFAGGVVVDWPHSSKAKKSYIVLTCSAPSVHTSLPNGKGQDGEMCSDDDDDNQQSAHTEGTG* >Brasy4G076800.5.p pacid=40084810 transcript=Brasy4G076800.5 locus=Brasy4G076800 ID=Brasy4G076800.5.v1.1 annot-version=v1.1 MLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSDHEPRLRLKAFFVSLYRCLARGARAVLQFYADNIEQTELLMTFAMNAGFAGGVVVDWPHSSKAKKSYIVLTCSAPSVHTSLPNGKGQDGEMCSDDDDDNQQSAHTEGTG* >Brasy4G180400.1.p pacid=40084811 transcript=Brasy4G180400.1 locus=Brasy4G180400 ID=Brasy4G180400.1.v1.1 annot-version=v1.1 MDDDGAASPSPSPSPSRSSSPLPLAEPVTVAAVAPGHLAVAIPIQKPAPSSGGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKPPKSDVQCKNRIDTLKKKYKVEKSKSDSSWPFFDRLDYLLAPVQKLGGNSGRAAGNSGSSNLAERTTAVMIPRFNFPTRSRTTSHFPPSAGLKRRLPSPPQASASSDSSDGFPPEPPVEAANGKRQRVEEAVNGADSSDRVQGLRDLAQAIRRFGEAFERVEASKREHELRMERDRLNAARELEDQRVQFFLKMQMEISKANNGTALGPLAAAAAAMIGNSAPATVAAAADGNCSRRTSMAPDVGTSSNHHVRYRVKDGRHHQSLQRPSYQYNQNNAGEDATGHGSGSNDNKEDDEVEDEEEESQ* >Brasy4G137900.1.p pacid=40084812 transcript=Brasy4G137900.1 locus=Brasy4G137900 ID=Brasy4G137900.1.v1.1 annot-version=v1.1 MGDHRDSILGAPGHCLPKTSSMSMTDSVTAVHDFRVTGYSLVDGMGVGRYVSSSTFTVGGLDWAVRFYPDGSSSTCLGNASAFLYYFSREKDVRARFTLNLLEKDGRLSPVTNSHMKHTFSPASDNWGFIKFVEKSKLQGSPFVENDCLTIRCLLTVVKESRTEDVEMNSIIVPPSNLHLDFRNMLTDGEGADVTFSVCGQLFRAHRCVLAFRSPVFRAELFGLMKENNATECIKIDDMEPLIFEALLHFIYTDTLSDNCNDGKAAAMQHLLVAADRYGVDRLRLLCEKKLSGTEQHHCSQLRQACIRFMASPNMLGPVIETDGFKHLVASCPLIMKDILGKVSLIWSGKSCEK* >Brasy4G168200.1.p pacid=40084813 transcript=Brasy4G168200.1 locus=Brasy4G168200 ID=Brasy4G168200.1.v1.1 annot-version=v1.1 MKSKTNSNRGMQKAVRVDHLQGGGPNWVLVAGGVLLSTLSVKLGCKIKQMFDTKQQDTTSKAKRRPGACELHSNLCRFRDQSSCYYCISGHSDGEVEVNHGPSSPLSKSAEPSLPLVKIPEPESSKDNNGVMWISSPDRLENPRKPFQYSNNSGSPCVSESGSDIYSKREVIQKLRLHLKRRDEMIMEMQAQIADLKNSLAIQETQSSNMQSQLDAANRDMFESEREIQHLRKIIADHCVAEALSHDKPLHAGHWQSDATNGHANAYTDSSVDDPELQFMGTEKKKGEVERVEMLKREVGELKEVIGGKDFLLHSYKEQKVELCSQIRELQGKLSLQVPNIL* >Brasy4G424400.1.p pacid=40084814 transcript=Brasy4G424400.1 locus=Brasy4G424400 ID=Brasy4G424400.1.v1.1 annot-version=v1.1 MEMEAGASSMGEMPASRFSLPVDSENKAKSIKIFSFGNPHMRAFHLGWMSFFVCVVSTFAAAPLVPIIRDNLNLTKADIGNAGIASVSGAIFSRLAMGAVCDLLGPRYGCAFLVMLSAPAVFCMSVIDSAAGYITIRFLIGVSLATFVSCSYWVSTMFNSKIIGTVSGLTAGWGDMGGGATQLIMPLVFDAIVACGATPFTAWRVAYFVPGLMLVVMGLLVLTMGQDLPDGNLRSLQKNGDMGKDKFSKVIRGAVTNYRTWVFVFIYGYCMGVELTTNNVIAEYYYDSFQLDLRAAGTIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCLCLGRASALPASIVCMVLYSICVEAACGAVYGVIPFVSRRSLGIISGMTGAGGNVGGGLTQFLFFTTSKYPTSKGLEYMGVMIICCTLPVVLVHFPQWGSMFFPASTDATEEDYYGSEWSHEEKSKGLHLAGQKFAENSFSERGRRNAILAAPATPPNGSPEHI* >Brasy4G332700.1.p pacid=40084815 transcript=Brasy4G332700.1 locus=Brasy4G332700 ID=Brasy4G332700.1.v1.1 annot-version=v1.1 AVGGETSSDERRRSRGGSNQQHGRGLGAATAGVESGEAAVGGRIRGWGAAKQQFGGGLYPGEATARASWAAAAAEAAGGGTLTERRRGDRRMAREGMREKKRKAEPVSIPRWHFGYK* >Brasy4G388400.1.p pacid=40084816 transcript=Brasy4G388400.1 locus=Brasy4G388400 ID=Brasy4G388400.1.v1.1 annot-version=v1.1 MGSPGLNSTVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKILADIFPATQEEEPNVRMIGKLCDYVSRNPHRVPKITEYLDQRFYRELRKELYGFAKIVVLIYRKFLISCKDQLPLIASSLLSIISTLLDQTRHDDMRIVGCETLFDFVVTQIDGTYQFNMEELVPRLCELAQVVKVEEKSNELRAAALQALSAMIWFMGELSHISSEFDNVVQVVLESYTPQKVHNDHNGAEAQGSESADEVLKTEGRASLSPSPVTISRIPSWKSIVSDKGEIQVPVEGAKDPNFWSRICVHNMAKLSREAATFRRVLESLFRHFDNNNSWSSQSTLALCVLLDMQMLMENAGQNMNLMTSMLVKHLEHKSVLKQPEMQLSIVEVIATLAEQSRAQASAATISAISDLVRHMKKTLHIAVGSRDLEAVKWNDKLRKAVDDCIVQLTKKVGDAGPVLDMMSVMLENISRTPLFAIATTSAVYRTAQIIASVPNLSYKNKVFPEALFHQLLLSMVHPDHETRVAAHRIFSVVLVPSSVSPFPNSPSPDQHKKHEIRRTLSRAVSVFSSSAALFEKLRREKSSFKENTQDGSRNIVSHGIGNESSKRIGNESSKRIGNESAKRKDLPGSQSRTHSFRVPNLSFKKFSSSSRQSSSMSREEGQNSSTESSSETEPTFLRLSCHQATLLLSSIWDQAISPKNYPQNYEAIAHTYSLLLLFSGSKTSIFEALAPSFQLAFSLMTHSLGGTDSLSPSRRRSLFTLATSMIILASKAFNVAPLVPICKSMFNERTVDPFLHLVQETKLQAVKDCLEDPSKTYGSPEDDENALKYLSSVKLTESHSRESMISTIMNSVTDLPDVEIHCIRSQLLSDFSPDEMCPTSAQYFEDPSKNTPSVSNDDFVHQEDTLDDMTDETFAEVQGTTPTSTDISVPTTDVLGIDELLQTVGAGASSQVGRFSVSKAPGIPFKEMSSQCEALCMGKQQKMSVFMSFQCNMQVAVPENNQIDHTEATHTSDEQQAPENTNPFLYENDPGVSSGLQVADDLQQQYLLKLPASSPYDNFLKTAGC* >Brasy4G388400.2.p pacid=40084817 transcript=Brasy4G388400.2 locus=Brasy4G388400 ID=Brasy4G388400.2.v1.1 annot-version=v1.1 MGSPGLNSTVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKILADIFPATQEEEPNVRMIGKLCDYVSRNPHRVPKITEYLDQRFYRELRKELYGFAKIVVLIYRKFLISCKDQLPLIASSLLSIISTLLDQTRHDDMRIVGCETLFDFVVTQIDGTYQFNMEELVPRLCELAQVVKVEEKSNELRAAALQALSAMIWFMGELSHISSEFDNVVQVVLESYTPQKVHNDHNGAEAQGSESADEVLKTEGRASLSPSPVTISRIPSWKSIVSDKGEIQVPVEGAKDPNFWSRICVHNMAKLSREAATFRRVLESLFRHFDNNNSWSSQSTLALCVLLDMQMLMENAGQNMNLMTSMLVKHLEHKSVLKQPEMQLSIVEVIATLAEQSRAQASAATISAISDLVRHMKKTLHIAVGSRDLEAVKWNDKLRKAVDDCIVQLTKKVGDAGPVLDMMSVMLENISRTPLFAIATTSAVYRTAQIIASVPNLSYKNKVFPEALFHQLLLSMVHPDHETRVAAHRIFSVVLVPSSVSPFPNSPSPDQHKKHEIRRTLSRAVSVFSSSAALFEKLRREKSSFKENTQDGSRNIVSHGIGNESSKRIGNESSKRIGNESAKRKDLPGSQSRTHSFRVPNLSFKKFSSSSRQSSSMSREEGQNSSTESSSETEPTFLRLSCHQATLLLSSIWDQAISPKNYPQNYEAIAHTYSLLLLFSGSKTSIFEALAPSFQLAFSLMTHSLGGTDSLSPSRRRSLFTLATSMIILASKAFNVAPLVPICKSMFNERTVDPFLHLVQETKLQAVKDCLEDPSKTYGSPEDDENALKYLSSVKLTESHSRESMISTIMNSVTDLPDVEIHCIRSQLLSDFSPDEMCPTSAQYFEDPSKNTPSVSNDDFVHQEDTLDDMTDETFAEVQGTTPTSTDISVPTTDVLGIDELLQTVGAGASSQVGRFSVSKAPGIPFKEMSSQCEALCMGKQQKMSVFMSFQCNMQVAVPENNQIDHTEATHTSDEQQAPENTNPFLYENDPGVSSGLQVADDLQQQYLLKLPASSPYDNFLKTAGC* >Brasy4G396700.1.p pacid=40084818 transcript=Brasy4G396700.1 locus=Brasy4G396700 ID=Brasy4G396700.1.v1.1 annot-version=v1.1 MREATGGGMPGGEEDGVRKVSRQDIQLVQNLIERCLQLYMNQKEVVQTLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMSKEHPSGMPSMAPTAPNGSNPSTLNQNACFLPETTPSTAMPNSFLHNGNSSGIINGAPASDQFIYDSKVVHGLPSGMDASASLLAAHNSTVGQFNGDNGITVKAESSFSNNPEYAFCNESNFLEPCQSIGDASGGSFSSSELNGQPLSDPILDMDASSYGFLSQIPRNFSFSDLTEDFGHSTEILENYGRSPFIPSETNNFSESTAGEHTEIGNRRLGSISEGVSYEDFVSD* >Brasy4G396700.2.p pacid=40084819 transcript=Brasy4G396700.2 locus=Brasy4G396700 ID=Brasy4G396700.2.v1.1 annot-version=v1.1 MREATGGGMPGGEEDGVRKVSRQDIQLVQNLIERCLQLYMNQKEVVQTLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMSKEHPSGMPSMAPTAPNGSNPSTLNQNACFLPETTPSTAMPNSFLHNGNSSGIINGAPASDQFIYDSKVVHGLPSGMDASASLLAAHNSTVGQFNGDNGITVKAESSFSNNPEYAFCNESNFLEPCQSIGDASGGSFSSSELNGQPLSDPILDMDASSYGFLSQIPRNFSFSDLTEDFGHSTEILENYGRSPFIPSETNNFSESTAGEHTV* >Brasy4G396700.4.p pacid=40084820 transcript=Brasy4G396700.4 locus=Brasy4G396700 ID=Brasy4G396700.4.v1.1 annot-version=v1.1 MNQKEVVQTLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMSKEHPSGMPSMAPTAPNGSNPSTLNQNACFLPETTPSTAMPNSFLHNGNSSGIINGAPASDQFIYDSKVVHGLPSGMDASASLLAAHNSTVGQFNGDNGITVKAESSFSNNPEYAFCNESNFLEPCQSIGDASGGSFSSSELNGQPLSDPILDMDASSYGFLSQIPRNFSFSDLTEDFGHSTEILENYGRSPFIPSETNNFSESTAGEHTEIGNRRLGSISEGVSYEDFVSD* >Brasy4G396700.3.p pacid=40084821 transcript=Brasy4G396700.3 locus=Brasy4G396700 ID=Brasy4G396700.3.v1.1 annot-version=v1.1 MNQKEVVQTLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMSKEHPSGMPSMAPTAPNGSNPSTLNQNACFLPETTPSTAMPNSFLHNGNSSGIINGAPASDQFIYDSKVVHGLPSGMDASASLLAAHNSTVGQFNGDNGITVKAESSFSNNPEYAFCNESNFLEPCQSIGDASGGSFSSSELNGQPLSDPILDMDASSYGFLSQIPRNFSFSDLTEDFGHSTEILENYGRSPFIPSETNNFSESTAGEHTV* >Brasy4G012900.1.p pacid=40084822 transcript=Brasy4G012900.1 locus=Brasy4G012900 ID=Brasy4G012900.1.v1.1 annot-version=v1.1 MTRNLDAVVLPKIGAKTRETAANTEVGGKRPVISGAHALPRARPSLRLMAERRLPISAPPTAAGPRRHPRRSRGRCRLLLLPAFSVALLCLAYLSFSHSSLPFHDFSGMTMLCSAAVQLHPGLVKADNVRKEMDKRHTLNATMKTNISMTKNGSEPFTRRKKPHKRYAPCEIEFVPSVDNLVEPADYNNFTQFSLNYILKEQKLVGNALFGPLFGGHQSLQERDETYYAENQTLHCGFVEGPEGQPSSGFDLDENDKAYMATCRVVVSSCIFGGSDYLRRPTKSKIGSYSKKSVCFIMFLDELTLATLSSEGHVPDENGFIGLWRIVIVKNLPYKDMRRAGKVPKFLAQRLFPSALYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVVQNKRLNKYNHTAIDEQFYFYQSDGLVKFNDSAQEPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTCLKLRRMNTGKHFHLNMFKDCERRAVAKLFHHRTNETTDPPPTNLRLDNT* >Brasy4G012900.2.p pacid=40084823 transcript=Brasy4G012900.2 locus=Brasy4G012900 ID=Brasy4G012900.2.v1.1 annot-version=v1.1 MTRNLDAVVLPKIGAKTRETAANTEVGGKRPVISGAHALPRARPSLRLMAERRLPISAPPTAAGPRRHPRRSRGRCRLLLLPAFSVALLCLAYLSFSHSSLPFHAVQLHPGLVKADNVRKEMDKRHTLNATMKTNISIHQIYFYVRTKNGSEPFTRRKKPHKRYAPCEIEFVPSVDNLVEPADYNNFTQFSLNYILKEQKLVGNALFGPLFGGHQSLQERDETYYAENQTLHCGFVEGPEGQPSSGFDLDENDKAYMATCRVVVSSCIFGGSDYLRRPTKSKIGSYSKKSVCFIMFLDELTLATLSSEGHVPDENGFIGLWRIVIVKNLPYKDMRRAGKVPKFLAQRLFPSALYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVVQNKRLNKYNHTAIDEQFYFYQSDGLVKFNDSAQEPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTCLKLRRMNTGKHFHLNMFKDCERRAVAKLFHHRTNETTDPPPTNLRLDNT* >Brasy4G012900.3.p pacid=40084824 transcript=Brasy4G012900.3 locus=Brasy4G012900 ID=Brasy4G012900.3.v1.1 annot-version=v1.1 MTRNLDAVVLPKIGAKTRETAANTEVGGKRPVISGAHALPRARPSLRLMAERRLPISAPPTAAGPRRHPRRSRGRCRLLLLPAFSVALLCLAYLSFSHSSLPFHAVQLHPGLVKADNVRKEMDKRHTLNATMKTNISMTKNGSEPFTRRKKPHKRYAPCEIEFVPSVDNLVEPADYNNFTQFSLNYILKEQKLVGNALFGPLFGGHQSLQERDETYYAENQTLHCGFVEGPEGQPSSGFDLDENDKAYMATCRVVVSSCIFGGSDYLRRPTKSKIGSYSKKSVCFIMFLDELTLATLSSEGHVPDENGFIGLWRIVIVKNLPYKDMRRAGKVPKFLAQRLFPSALYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRTCVWEEVVQNKRLNKYNHTAIDEQFYFYQSDGLVKFNDSAQEPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTCLKLRRMNTGKHFHLNMFKDCERRAVAKLFHHRTNETTDPPPTNLRLDNT* >Brasy4G185500.1.p pacid=40084825 transcript=Brasy4G185500.1 locus=Brasy4G185500 ID=Brasy4G185500.1.v1.1 annot-version=v1.1 MPRMTSQRRFFFLLMLPKPNEWKMLPRRTEMFHSVDRIIIGLLCVRRFWFLRHRRLPLVAFLAGQLGFGRCLRWFLPSICSPRLQQFLLHEEATVIDALDWALWLLATCLGVFHFE* >Brasy4G185500.2.p pacid=40084826 transcript=Brasy4G185500.2 locus=Brasy4G185500 ID=Brasy4G185500.2.v1.1 annot-version=v1.1 MPRMTSQRRFFFLLMLPKPNEWKMLPRRTEMFHSVDRIIIGLLCVRRFWFLRHRRLPLVAFLAGQLGFGRCLRWFLPSICSPRLQQFLLHEEATVIDALDWALWLLATCLGVFHFE* >Brasy4G238900.1.p pacid=40084827 transcript=Brasy4G238900.1 locus=Brasy4G238900 ID=Brasy4G238900.1.v1.1 annot-version=v1.1 MAERKLARAEAEAEEWERRKRGRRRQRRGRRRKRGDPVEVLGEEVMGLVMELLDARSVARCTAVSRAWYGVAADNRLWAPKCAELMAGKAHIPRLTTIRTASKLSTYSMAITDGKRSRITKEDLCDHAWEYSFTTAAPEYWRNLDPSWKRTGPPMRRYFHHDGYHSADPHDAVWGGHECEYTVITSFVGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNNLYRYNSIPDADDKGCTGPLFPVW* >Brasy4G238900.2.p pacid=40084828 transcript=Brasy4G238900.2 locus=Brasy4G238900 ID=Brasy4G238900.2.v1.1 annot-version=v1.1 MANGVGSQKRISVIMLGNIPSLHSTGCSSQPRWAGCMGSWQLSASLASSDILASKRTEEESMHISPWLKLTPEYWRNLDPSWKRTGPPMRRYFHHDGYHSADPHDAVWGGHECEYTVITSFVGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNNLYRYNSIPDADDKGCTGPLFPVW* >Brasy4G238900.3.p pacid=40084829 transcript=Brasy4G238900.3 locus=Brasy4G238900 ID=Brasy4G238900.3.v1.1 annot-version=v1.1 MANGVGSQKRISVIMLGNIPSLHSQPRWAGCMGSWQLSASLASSDILASKRTEEESMHISPWLKLTPEYWRNLDPSWKRTGPPMRRYFHHDGYHSADPHDAVWGGHECEYTVITSFVGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNNLYRYNSIPDADDKGCTGPLFPVW* >Brasy4G238900.4.p pacid=40084830 transcript=Brasy4G238900.4 locus=Brasy4G238900 ID=Brasy4G238900.4.v1.1 annot-version=v1.1 MANGVGSQKRISVIMLGNIPSLHQPRWAGCMGSWQLSASLASSDILASKRTEEESMHISPWLKLTPEYWRNLDPSWKRTGPPMRRYFHHDGYHSADPHDAVWGGHECEYTVITSFVGDGQIRDHYVRINRWPPMKVSRKEDWSWELSNNLYRYNSIPDADDKGCTGPLFPVW* >Brasy4G438800.1.p pacid=40084831 transcript=Brasy4G438800.1 locus=Brasy4G438800 ID=Brasy4G438800.1.v1.1 annot-version=v1.1 MAAAAAARSRAAAAASWARLLSHHVAASSSVVHSHLGTRIAPQRRHLAFSSAGTPGGGAGGGGGRSQKIPIQNERVVHDLLLEVERERQREREERRKEGEEVGEEDEEAEEDYLGVKPLIEKLERRKAKEDSLPDDTFWEPTDSDSDEDDERFSPDSIKRRVDEFDRKCKRHSELLRSFAQAETLDDAHKLMTKIDKFEQRHLKLPLEYRVIGDMMNRLKDATGKERFVLLQKLNRAVRLMECKEAYDPSNPANFGVIQHQQVGSPDDVIDNAGFDKEKQMIQGANEEEDNEEFNEVKEKDDLLIEKLNSIEKTIEGKLADLDHTFGKKGRVLEEEIKDLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEEHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL* >Brasy4G438800.2.p pacid=40084832 transcript=Brasy4G438800.2 locus=Brasy4G438800 ID=Brasy4G438800.2.v1.1 annot-version=v1.1 MAAAAAARSRAAAAASWARLLSHHVAASSSVVHSHLGTRIAPQRRHLAFSSAGTPGGGAGGGGGRSQKIPIQNERVVHDLLLEVERERQREREERRKEGEEVGEEDEEAEEDYLGVKPLIEKLERRKAKEDSLPDDTFWEPTDSDSDEDDERFSPDSIKRRVDEFDRKCKRHSELLRSFAQAETLDDAHKLMTKIDKFEQRHLKLPLEYRVIGDMMNRLKDATGKERFVLLQKLNRAVRLMECKEAYDPSNPANFGVIQHQQVGSPDDVIDNAGFDKEKQMIQGANEEEDNEEFNEVKEKDDLLIEKLNSIEKTIEGKLADLDHTFGKKGRVLEEEIKDLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEEHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL* >Brasy4G438800.3.p pacid=40084833 transcript=Brasy4G438800.3 locus=Brasy4G438800 ID=Brasy4G438800.3.v1.1 annot-version=v1.1 MAAAAAARSRAAAAASWARLLSHHVAASSSVVHSHLGTRIAPQRRHLAFSSAGTPGGGAGGGGGRSQKIPIQNERVVHDLLLEVERERQREREERRKEGEEVGEEDEEAEEDYLGVKPLIEKLERRKAKEDSLPDDTFWEPTDSDSDEDDERFSPDSIKRRVDEFDRKCKRHSELLRSFAQAETLDDAHKLMTKIDKFEQRHLKLPLEYRVIGDMMNRLKDATGKERFVLLQKLNRAVRLMECKEAYDPSNPANFGVIQHQQVGSPDDVIDNAGFDKEKQMIQGANEEEDNEEFNEVKEKDDLLIEKLNSIEKTIEGKLADLDHTFGKKGRVLEEEIKDLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEEHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL* >Brasy4G122400.1.p pacid=40084834 transcript=Brasy4G122400.1 locus=Brasy4G122400 ID=Brasy4G122400.1.v1.1 annot-version=v1.1 MKPSPLVRQCLALLHSKNSIPLAPTTTPQLHAVLLASGHLHYRSLYPLFILYCACGRPSSARKLLAQMPQPVPVSLANSLLRSYTSLGHYREAVALYSGMRAFDHLTFPFAAKACGGLRLSRHGRAVHCRALSAGFGDDTYVQNALISMYMSCGDVAAAEAVFCVMQNRTIVSWNAVIAGCVKNDCAERALEVFGEMAGDGTEIDRATILSVLPACVQAKNLSIGRAVHRLVEERGLADHTAVKNALIDMYGKCRNLEGARKVFDEHKYDKDVVSWTVMIGAYVLNDHVEEAFTLGHEMLMTSGAPWPNGVTMVYLLSACSRLSGRHAKCMHAMCIRLGLESDIIVETALMDAYAKCHNMKMTELTLENGSRRTETWNSTISGYTHNEQEKKAIELFKRMIAESVRPDSATMASILPAYAESADVRQATNIHCYLLTLGFLRSTEITTGLIDVYAKAGDLDVSWSLFDGLPEKDVVAWTTVIAGYGMHGHAQTSILLYNRMVELGVKPNTVTFASLLYACSHVGMVDEGLQLFEDMRGIHGVMPNVNHYSSLVDMVGRAGRIEEAYRLIEDMPLEPSTSVWGALLGACVLHKNVEFGEVAAKHLFELEPENTGNHVLLGNIYAAADRWSDVQDVWRRMAEKGLSKESGSSLVEERRN* >Brasy4G009900.1.p pacid=40084835 transcript=Brasy4G009900.1 locus=Brasy4G009900 ID=Brasy4G009900.1.v1.1 annot-version=v1.1 MLPSSPPTAAAYTAAQMKQIRAQCIVFLAFKNQQQPRKLHLEIALGGCVPPGHGEGDGETSSSSQASYSYAVELPPPHLLPISELRLSPRGRRRPRRRGVSPRHEDHAPAPHDELLKACVCSFFSDDQLDSQ* >Brasy4G189300.1.p pacid=40084836 transcript=Brasy4G189300.1 locus=Brasy4G189300 ID=Brasy4G189300.1.v1.1 annot-version=v1.1 MDPSPSNAAAATAAGMEPEFDTSKPAAAGNRKHLAMLERLSKRSATTSVASPDASPVSAFLSRFAAGKVAAESAISACCSSPHDAPASLAAAASAIDDLERLAAEASHSLPPYELRSALAAVSDLRAAHKLAASEIRPKKSFSFRNKSKATKTPQQDPPTLPQPPPEQPKPTFDAVLPGFAFRSRNGATMVKDMRVADEKDGDFTLADLVSCEVYLKGKCRALYIHKLRDCRVFVGPVFGSVLIEDVERCTFVIAAHQIRIHEARATDFYLRVRSRPIIEDCCGVRFAPHALKYEGIEKDLRDSGLEEDTGNWANVDDFKWLRAVQSPNWCLIPVEERLQTVDISEVQAREDSS* >Brasy4G363100.1.p pacid=40084837 transcript=Brasy4G363100.1 locus=Brasy4G363100 ID=Brasy4G363100.1.v1.1 annot-version=v1.1 MNPVACLPLRASAATPPFPSRCRAAPLPPRSASCLLPRASASTAGKNQGRAPCPTGSSALAYGYGERKNRKHQGAYIDKEGVARTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPPTHNLWDDILNVAVQLRLNRQWDPIISVCEWIVYRSSFRPDIICYNLLIDAYGRKRQLNKAESIYAALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMQEHGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKDRCRTNTETYTLMINVYGKSKQPMSAMKIFNEMQSIGCKANICTYTALVNAFAREGLCEKAEEVFEQMQQAGHEPDVYAYNALMEAYSRAGFPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHREAEATFESLKQQGMAPTMKSHMLLLSAHARSGNVGRCEQVMAQLHKSGLRPDTFALNAMLHAYARAGRLDDMERLLAAMDLAGSADVGSHNVAVNAYARAGYLGRMEAAFEEIRRKKGIAAADVVSWTSRMGGYARKKEYGRCLEIFEEMVDAGCYPDAGTAKVLMAACSDERQVEQVAAIVRSMHKQAKTLFTVG* >Brasy4G363100.2.p pacid=40084838 transcript=Brasy4G363100.2 locus=Brasy4G363100 ID=Brasy4G363100.2.v1.1 annot-version=v1.1 MNPVACLPLRASAATPPFPSRCRAAPLPPRSASCLLPRASASTAGRAPCPTGSSALAYGYGERKNRKHQGAYIDKEGVARTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPPTHNLWDDILNVAVQLRLNRQWDPIISVCEWIVYRSSFRPDIICYNLLIDAYGRKRQLNKAESIYAALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMQEHGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKDRCRTNTETYTLMINVYGKSKQPMSAMKIFNEMQSIGCKANICTYTALVNAFAREGLCEKAEEVFEQMQQAGHEPDVYAYNALMEAYSRAGFPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHREAEATFESLKQQGMAPTMKSHMLLLSAHARSGNVGRCEQVMAQLHKSGLRPDTFALNAMLHAYARAGRLDDMERLLAAMDLAGSADVGSHNVAVNAYARAGYLGRMEAAFEEIRRKKGIAAADVVSWTSRMGGYARKKEYGRCLEIFEEMVDAGCYPDAGTAKVLMAACSDERQVEQVAAIVRSMHKQAKTLFTVG* >Brasy4G221800.1.p pacid=40084839 transcript=Brasy4G221800.1 locus=Brasy4G221800 ID=Brasy4G221800.1.v1.1 annot-version=v1.1 GRNGQRRLRGAARERRSVAAAGYAAGPLDPRRHRWLQPRRWLQQRRLGPERGEARQARAGGLPRASRAQGRLLQRLHPSRDFTAAAGGDVRVASASGRCRGHRWSYQIVLAVRVELARGEPRRRRPPRGRLGPPRAR* >Brasy4G006600.1.p pacid=40084840 transcript=Brasy4G006600.1 locus=Brasy4G006600 ID=Brasy4G006600.1.v1.1 annot-version=v1.1 MERLVDAMAAAYEALVEAAARGVREPGSAAALEELKRRLDAFHESCDRAEVLVQDAAASFGPAHGLDKLCRTVNAIERDLLHAAGAGDDDEEEIKKKKLVEITKEVQGIEEKKDRRPSPAPAIDEEK* >Brasy4G324100.1.p pacid=40084841 transcript=Brasy4G324100.1 locus=Brasy4G324100 ID=Brasy4G324100.1.v1.1 annot-version=v1.1 MCQGGTGGESIYGNKFPETSGSSTSTRGQGSSPWPIPGLTATGPSDLHLHRGACPWLDGKHVVFGKVVEGMEIVKAIEAVGARSGTPSRPVLITDCGQLS* >Brasy4G201600.1.p pacid=40084842 transcript=Brasy4G201600.1 locus=Brasy4G201600 ID=Brasy4G201600.1.v1.1 annot-version=v1.1 MSQENTNTDGKNNEALAAANNSKFMSFGSGSGSKGSDLTGAGTVDKGKGIVKAEEQEEGGGSKGKMMSIVQEAAATPGGCYRRALHKATERERRRRMSELYSKLHGLLPSLPDKTDQSTIVMETIHYIKSLEGTLSELEKRKQDLARGISSASSAARATMMAQQPPVGGIFPAVAAAVAPVGAAVPAVPVELKTCSGQNVVLSLSGDDAYINVYSARRAGLLTMVVAILEKYGIEVVTSEIASDSSRNRFTFHIRRVNAANSLFGDNVSSEDIYKQAVSEIKSLLSEEN* >Brasy4G391300.1.p pacid=40084843 transcript=Brasy4G391300.1 locus=Brasy4G391300 ID=Brasy4G391300.1.v1.1 annot-version=v1.1 MKKNTRVILCLVALLAIVMATALPPCCHAAGRNIAAEGAKKCVVAKGCAPVPNPPSYHDFFCKAMCLQEGYSMDKSYCSADGGGTCCCVN* >Brasy4G042000.1.p pacid=40084844 transcript=Brasy4G042000.1 locus=Brasy4G042000 ID=Brasy4G042000.1.v1.1 annot-version=v1.1 MATAEAAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEPGEGGGARDAEAVAWVGELVRALQAARRFVALGRAPALAAGASDQDVIYNNITVQFKYVTWQLQTALANLPSSCFQISDEVQEEVDLVRGQLRREMEKKGALDLNLFSKIHDILALRADNVGSQSQQPLGEPETPQMENFSSDHLELQNVALLVSEISGISKPDVMKIIPELIEGLENTSITDSAKPVNVSSQPSDETIVPPDKVQKPDSIAMPEDFRCPISLELIRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLILQWCEEKGIEPPTRSKSDGSSLEVGEDRLAIEALVRNLSCSSLDERKSAAAEIRSLAKKSTDNRILLAESGAIPALVKLLSSKDPKTQEHAVTSLLNLSIYDQNKELIVVGGAIGPIIQVLRMGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQSGSSRGRKDAATALFNLCIYQANKVRAVRAGILTPLIQMLQDSSSIGATDEALTILSVLVSHHECKTAIAKAHTIPFLIDLLRSSQARNKENAAAILLALCKRDAENLACIGRLGAQIPLTELSKTGSDRAKRKATSLLEHLNKLQVL* >Brasy4G285100.1.p pacid=40084845 transcript=Brasy4G285100.1 locus=Brasy4G285100 ID=Brasy4G285100.1.v1.1 annot-version=v1.1 MVYHSSFIDDDEITKACGCPLLPLKTHIKGPAPASDSDKADVVDEAITFFRANVFFKNFHVKSPADKLLIYLTSYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFALPQSPEEAELLRNYLKQIREETSGRLLNCAYRTNGTPNKWWLAFAKRKFMNIVIL* >Brasy4G222400.1.p pacid=40084846 transcript=Brasy4G222400.1 locus=Brasy4G222400 ID=Brasy4G222400.1.v1.1 annot-version=v1.1 MARRRRGCNGRGGALHRRATNPTSLATRTSATPSCLPFLSDLGRSTRAREPSFLAMGEIMELVLDDQDTSVDDARHEKTRRSIRKGGEDASHKHKRALPSFSDKHLRNKNMYK* >Brasy4G222400.2.p pacid=40084847 transcript=Brasy4G222400.2 locus=Brasy4G222400 ID=Brasy4G222400.2.v1.1 annot-version=v1.1 MARRRRGCNGRGGALHRRATNPTSLATRTSATPSCLPFLSDLGRSTRAREPSFLAMGEIMELVLDDQDTSVDDARHEKTRRSIS* >Brasy4G080400.1.p pacid=40084848 transcript=Brasy4G080400.1 locus=Brasy4G080400 ID=Brasy4G080400.1.v1.1 annot-version=v1.1 MVWRRLASHFAIRSFDPGIWDDRGSFPEWFRSLSSMATCPSLTKSARSLATLAYWEIWKERNRRTFEGAHLSVDGLLIRLRDEALHWKLAGGLIPFDPGKC* >Brasy4G346200.1.p pacid=40084849 transcript=Brasy4G346200.1 locus=Brasy4G346200 ID=Brasy4G346200.1.v1.1 annot-version=v1.1 MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVQSFEGESTSGSKFVRGGVIDPNAKLRAESEGGKSNDRGSAPKKGSRYVPSFLPPSFGREPEKKREDERPKEKEKGKTRAIDTVMEELIFEQQQRERRNQERDSRRGDTSVPSSRFDELPDEFDPTGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPVASVKIMWPRTDEERRRQRHCGFVAFMNRAEAQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGSTVIISGPGGPPVASVTPQTSELVLTPNVPDIVVAPPDDSHLRHVIDTMALRVLDGGCAFEQAIMERGRGNPLFSFLFDLKSKEHAYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPSLPSSMSVDREKEYTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLAESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRSGNSGVTLFHSLCGDAPEIEKKTSSEDRSNGFMLDEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERLYQKDVDMKYGHVDTHRTGREDSGLDTRGASRFGKGTGESDMLGLSRHSMETDQRRSEESALAECKQVPSKKQKTEPILPASKWNQEENGSDDEDRNGGQGLGLSYSSGSDIAGDNGKGDTAESSANHAIHHPDTIVDGEHRQKLRQIEIAVMQYRESLEEKGLRNMEEIERKVASHRRHLQSEYGLSSSIDGAKDRQSSDRISKERKERYDDARDSSRKRPRSPSRKSSLDRDQEHNRNRDRLHGNDAGRDRAHEKSTRRGKDDYYSGSRDREKDRSKGR* >Brasy4G346200.2.p pacid=40084850 transcript=Brasy4G346200.2 locus=Brasy4G346200 ID=Brasy4G346200.2.v1.1 annot-version=v1.1 MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVQSFEGESTSGSKFVRGGVIDPNAKLRAESEGGKSNDRGSAPKKGSRYVPSFLPPSFGREPEKKREDERPKEKEKGKTRAIDTVMEELIFEQQQRERRNQERDSRRGDTSVPSSRFDELPDEFDPTGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPVASVKIMWPRTDEERRRQRHCGFVAFMNRAEAQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGSTVIISGPGGPPVASVTPQTSELVLTPNVPDIVVAPPDDSHLRHVIDTMALRVLDGGCAFEQAIMERGRGNPLFSFLFDLKSKEHAYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPSLPSSMSVDREKEYTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLAESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRSGNSGVTLFHSLCGDAPEIEKKTSSEDRSNGFMLDEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERLYQKDVDMKYGHVDTHRTGREDSGLDTRGASRFGKGTGESDMLGLSRHSMETDQRRSEESALAECKQVPSKKQKTEPILPASKWNQEENGSDDEDRNGGQGLGLSYSSGSDIAGDNGKGDTAESSANHAIHHPDTIVDGEHSIVNLLRRRVCGTWRRLRERLPATVGIFSLNMVCLLQ* >Brasy4G068200.1.p pacid=40084851 transcript=Brasy4G068200.1 locus=Brasy4G068200 ID=Brasy4G068200.1.v1.1 annot-version=v1.1 MQRNWIRSEHVRFICEEEDGCMGQRRCWRPRTFVRSLAETESHSHHLQKKTKHPDCCPGPARPVRTCDSVGTDRRDGTTQKEKRPEGWFGKTF* >Brasy4G255300.1.p pacid=40084852 transcript=Brasy4G255300.1 locus=Brasy4G255300 ID=Brasy4G255300.1.v1.1 annot-version=v1.1 MALLARVKWAALGLGAGAALGFAKFVQTLAHQGETIVKQHEIIRMLWETLERQGQVMTLQTETIMKQDQGIKKQDQVIGKLAETIRLQRGDLERLQKQCLPPPTPTAPAPPTRSS* >Brasy4G025300.1.p pacid=40084853 transcript=Brasy4G025300.1 locus=Brasy4G025300 ID=Brasy4G025300.1.v1.1 annot-version=v1.1 MHAVAATPSGPVGSNPLSSPATTPLSLLGVLPPGLPYRNSTACAVPDLPIRSPASIVAEARRLRKRAEEVLLRRTEQDQRLVRRDQRRARRHACPRRLPRLRHGRADIRFGSSRWAVTVLLRGGDRTIL* >Brasy4G329100.1.p pacid=40084854 transcript=Brasy4G329100.1 locus=Brasy4G329100 ID=Brasy4G329100.1.v1.1 annot-version=v1.1 MSKLANQPPPPQQQPSDMDVDSVAAAEEKSTVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTRRSITESTVTDVRFLHVVFYMSERAWSHAMEKKTAGPNAKQRIYMLGRFRKAVKWATLFSQLCSIKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMMNFKNTRTVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQEHELLDMEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFNWLGRRFPISSAKTRVSILKAQQLERDLNGAAKESIPADKKLAIFDKLFSAYHDARGCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFTKNRDEKNEKITKPEELVRLYDLLIQNTTDLTDLVSSGRDKNEEENTFLHEYELKGLAFRAERCFYLAKSYSSAGKRAEAYALFCYARSLTDSALQQLANSSDKALIQDLKALSDNCRSSSCIEHATGIMENESAPEKLSKGVSTMSLGDDKRKENKYLLEMLESYESAVGEPNTKATSRIAQFPPPFQSVPCNPIVLDMAYNAIDFPNLENRMKKEKKGLLSRLWG* >Brasy4G161800.1.p pacid=40084855 transcript=Brasy4G161800.1 locus=Brasy4G161800 ID=Brasy4G161800.1.v1.1 annot-version=v1.1 MKFMKLGTRPDTFFSTESARSVCTEVATDLQILVGNCLYHLHKFPLLSKCLLLQALCAESGCGGGGNGDFIELAGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDSFLASCLLRRWKDALAVLHSTRHYSAPLCEDLGLTPRCVDAVADLIASPAAMPTSSSSTSTAPWWAHDVAELGVDMFWRIMVAVRSTGAVGEKTVGDALKAYARRWLPNVAAKDDAADAIGIAGDDASVKTKQVDTRRHRLLLEKIVSLLPGDKDAVSCAFLLKLLKAANILSASPASKAELVRRVAWQLEEASVGDLLIFPSGSYGETIYDVDAVAAILDEFALRHAAAIAPAPAPAMSGSPDEDDDDSPTPRRSGGGHRRSRSAESVGFDGAGARRSSSAAPVSQHALVRVGRLVDAYLLEVAKDPNLPVDKLLAVAEAVPDSARPEHDGLYKVVDSFLKAHPEMGKSARKRLCRVLNCRKLSEKACSHAAQNELLPLRVVVQVLFFEHARAAALSSAGNELPSNIKALLLSKSGSEQEDDDGAAERGAVDEQRLRVLASGASPGDDWSVEGLRRAASKIATLRMKLEEEDDDAEFVRKAGLSRSASLRFRAFCAMPAGNPKRMLSKLWPLTRSVTADRH* >Brasy4G134700.1.p pacid=40084856 transcript=Brasy4G134700.1 locus=Brasy4G134700 ID=Brasy4G134700.1.v1.1 annot-version=v1.1 MRLMSRKRQRQRHRRQIRDVYERKSSPCQQDDTSPCGEGIRSGPYLPEDIWRHIHALMPLRDAARAACASHTFLRSWRCRPNLTFRGVTLGLNGRRHVVKREEMARDLISKVDHILKNHSGVGMKKLELYLYTCRKINSCYLDRWLHIAVTAGIEELVMSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G134700.3.p pacid=40084857 transcript=Brasy4G134700.3 locus=Brasy4G134700 ID=Brasy4G134700.3.v1.1 annot-version=v1.1 MAHGFIASVYERKSSPCQQDDTSPCGEGIRSGPYLPEDIWRHIHALMPLRDAARAACASHTFLRSWRCRPNLTFRGVTLGLNGRRHVVKREEMARDLISKVDHILKNHSGVGMKKLELYLYTCRKINSCYLDRWLHIAVTAGIEELVMSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G134700.4.p pacid=40084858 transcript=Brasy4G134700.4 locus=Brasy4G134700 ID=Brasy4G134700.4.v1.1 annot-version=v1.1 MPLRDAARAACASHTFLRSWRCRPNLTFRGVTLGLNGRRHVVKREEMARDLISKVDHILKNHSGVGMKKLELYLYTCRKINSCYLDRWLHIAVTAGIEELVMSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G134700.6.p pacid=40084859 transcript=Brasy4G134700.6 locus=Brasy4G134700 ID=Brasy4G134700.6.v1.1 annot-version=v1.1 MWRRNKVGPLPSRAGIEELVMSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G134700.2.p pacid=40084860 transcript=Brasy4G134700.2 locus=Brasy4G134700 ID=Brasy4G134700.2.v1.1 annot-version=v1.1 MSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G134700.5.p pacid=40084861 transcript=Brasy4G134700.5 locus=Brasy4G134700 ID=Brasy4G134700.5.v1.1 annot-version=v1.1 MSLSDDSEEAYNFPCSLLFNGSQNSIRSLGLSYCAFRPIAELGCCRSLTTLFLSHVWIADQELECLLSNSTALEKLRLLSCTEIVCLKIPCLLQRLSFLSVAACHKLQVIESNAPNISTFHFTGNLVRISLGGALQVKDAEMYCMYESNMIRYARTKLLSWAPNVETLSIASNNEIISTPMLPGKFFHLKYLRFTLHEDEAISPACDYLSLVSFLEASPCLETFIFQVARRSMKHESIIGDSSHLRQLPEHRHDKLKRVSIGGFSSAKSLVELTCHIVENASSLECLLLYTTRGSFSPDGCSVDKPGQCAPMGRKFLVEACRARLAIRTRIEGIIPSRVKLDVVEPCRRCHDVEHRD* >Brasy4G356800.1.p pacid=40084862 transcript=Brasy4G356800.1 locus=Brasy4G356800 ID=Brasy4G356800.1.v1.1 annot-version=v1.1 MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQSINHFLTSGAYRHFLRLVQAACGTGARDLVLGVEQYCCDRPNPILQVFYVAIIGGTYIIIVQTSFKYIPGYYVSVLHRYLSIVAVAIGAVLFVLTSFSDPGTVTSENVSQYLSAYPFDNIIFVEKECSTCKFTRPARAKHCRICDRCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCAYGAFILGLIVAGELKDKKVVYILTVYYGIENSFSGLFPHVAQWLLAVHNTQILLTVFLGIIALLLGGFCAYHIHLCLANTTTNETFKWQDYIFWMKKENAAKASAAALKASVSTVSSEERKSPPSKWNTFFSRPRTPAEEPVVKNNIYDMGMIRNLCEVLVPLSERRSFSRKKSD* >Brasy4G383500.1.p pacid=40084863 transcript=Brasy4G383500.1 locus=Brasy4G383500 ID=Brasy4G383500.1.v1.1 annot-version=v1.1 MDHHQPSAIRWKPGRLEPGREIFLRLPAAADLARASPACASFHRVIADHKFLRCYCAPPLVGAIGSSLVTAQPPHPSAAAARAFADLDFSDSYFQFDPSTAGRCSWWRLHFFEGRALLTATAVDEEKGTFRDVLYRDFLARDLAVCDPVHRRYVLLPAVPADLTALIYKPDFLGVDTFLAPGEDEDDPLSFRVMCLVQCRMKLVLLVFSSSLGGHWRALTFDRSNAQAVTSLLQCEPDLTDRQYLHGRFYWELSFSNQLLVLDVRVMEFSAVDLPPEQPDDSFVIVEAVEGMLGMFTLRSEDEAYWLTYSILRNNQWQSEKVVPIPLLINHCYPMGVAGGYLLIQSGYTISSQEKRDVRFFSVDIKTWQVELFAGPSNVIFPSQLYAGFPPSLCAPTI* >Brasy4G433200.1.p pacid=40084864 transcript=Brasy4G433200.1 locus=Brasy4G433200 ID=Brasy4G433200.1.v1.1 annot-version=v1.1 MLRLRSCILTRLLSSCPPASPGPSNLHRLLSAASAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASSKLSHLKSPSKPDAVVAFLAGFGFSSADLAAAVAKDPKLLCMSVERTLAPIVAELTSLGLSRPDIACLVRFAGCHFRSRSIVSKLQYYLPFFGSFEKLQKVIHHGIHLIGADPEKVAKPNVAPRSTSDIVNICTRVPRMLSTNPERVRAMAAWAEGLGVPRGSRMFRHALTVVAFLSKEKIACKMEYLKTTFRWTDTEAGIALSKTPYVLMGSKDMLRRRSEFLISEVGLEPAYIARRPVILTYSLEGRLRPRYYVLKFLKENGLLAPNRDYYSAVMIGEKLFVEKFICHHKEAAPFLAEDHAAACRGEVLTRFRFA* >Brasy4G423800.1.p pacid=40084865 transcript=Brasy4G423800.1 locus=Brasy4G423800 ID=Brasy4G423800.1.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGDLFVGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G423800.4.p pacid=40084866 transcript=Brasy4G423800.4 locus=Brasy4G423800 ID=Brasy4G423800.4.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGDLFVGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G423800.2.p pacid=40084867 transcript=Brasy4G423800.2 locus=Brasy4G423800 ID=Brasy4G423800.2.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGDLFVGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G423800.3.p pacid=40084868 transcript=Brasy4G423800.3 locus=Brasy4G423800 ID=Brasy4G423800.3.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G423800.5.p pacid=40084869 transcript=Brasy4G423800.5 locus=Brasy4G423800 ID=Brasy4G423800.5.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G423800.6.p pacid=40084870 transcript=Brasy4G423800.6 locus=Brasy4G423800 ID=Brasy4G423800.6.v1.1 annot-version=v1.1 MSSTRKVRNVNKRYAKINEDWQDKEATTVHKSKVRKKKLSDLGSQWSKDELERFYGAYRKYGKDWKKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKLQSVSKTSDTRYADLLQSQPSSSNYGCLSLLKKKRSGGNRPRAVGKRTPRVPVASMYHRDDRIGPSNRQSKPDANNGDDEGARVAALALAEVGQRGSSPQVSQTPGRSSDRLFLSPVKSIDRKNADSDMGSSKLHGFQVDADYPEGSLGSREAETCDYPKDASYFMNNGGTASGKSKQKIKKSQRRKKKAAQKSDDQFEDDREACSGTEEGHSARKAKDESEVDAVGTSVGTSWPSNKSNKRSRQLFFDDESSALDALYTLADLSVNILQPSSIAESESSAHIKDESRDNDFDDKPSVPAALSLSEKKETSRKMKKVKRQSEIAGNEMVTRKKARLSKDPHHDEGAISEVKQQDCNVKKEKKKRKSATGKIPKDEKKDEKNTLNDIEKTEVSAEEGKVSSNKGRHTRVSPVSKQNKLKAQESSPAHADSGKEAMDIVETTQNATTQQSDLTSKARSRRKSGILKALASECKPAEGTDGSHDNVSYPVNNIIDLKDKLSHCLSSRFLRRWCTYEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLFKYRHSVRQHYDELRSGVREGLPTDLARPLAVGQRVIACHPKTGELHEGSVLTVDYHRCRVNFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSGEYGGGGGGVARFASNGDTFDTHAKATVNEVTGAAQQAMYSQPCTLSQIQEREADIKALAELSRSLDKKEALLVELRHMNEEVSAKQKDGETISELEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHEHPLQSYPKSTDNGGALNGKLEPFNHFGYINQESGSQVMEIIETSRCRAKTMVDVAIQAMCKVSEGENAFAKIGEALDNLSIRGTGSGSSILGIRRIPPDSGQANSTCQDNSTSGRFDPATTNTSSPRLSNGYDSEAQFPSELISSCVATVLMIQNCTEKQCHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG* >Brasy4G376400.1.p pacid=40084871 transcript=Brasy4G376400.1 locus=Brasy4G376400 ID=Brasy4G376400.1.v1.1 annot-version=v1.1 MCSITVPPVVLQLLLLLSLASPATSCAEEEKHPLLQFLAGLSRDGGLAVSWRDDTDCCEWEGITCNGDGAVMEVSLASKGLEGHITPFLANLTSLLRVDLSHNSFSGGLPPELMFSTSIIVLDVSFNRLYEALHELQPSVTTSRPLKVMKDLIALNASNNSFTGHVPSSICLGSPSLSLLDLCYNKLSGDIPTAFGNCSKLKVLKAGHNNLSGILPVEIFRATSLEYLSFPNNGLQGELDGAHIVKLSNLATLDLGGNHFTGNIPQSIGQLKRLRELHLGCNNMSGEVPSTLSNCTHLTSIVLNLNNFSGDLSKVNFSTLQNLKSLDLMGNNLSGIFPESIYTCSNLTALRLSSNHFHGEISSRIGNLKHLSFLSLTDNSFTNITQAFHALKSCRNISALLIGGNFMNEAMPQDETIDSFQNLQMPMLKSNKTGIYLEPILLDLDLPIYQAPSLQYHIGSAWPKRLNLGQNKFTGVIPSEIGQLKALLYLNLSFNNLYGEIPQSICNLMNLQGLDLSNNHLTGAIPAALENLNFLSQFNVSNNDLEGSIPTSGQLSTFQNSSFDGNPKLCGPMLMHHCGSVEAAPVSIISAKQCSNEVIFAISFGVFFGVGVLYDQLVLSRYFG* >Brasy4G346600.1.p pacid=40084872 transcript=Brasy4G346600.1 locus=Brasy4G346600 ID=Brasy4G346600.1.v1.1 annot-version=v1.1 MAAPPPLRRPIRPALAPKASALTPPPIAAAIDLQASEESSSRRFDYCECCAFSSVVQWEKLTGGTSSWYAVQKSSWVFKWERAAAASVLSCDRSGGRRRPFPMTALLPVRPYLSVADRFPPCCRSVSTCCRPIEMDGGSGTRGTLCLYYSLDTPYPFPSAIPSLSRLSRFLSTSSSGGGPRRRGGDKNLTSF* >Brasy4G256500.1.p pacid=40084873 transcript=Brasy4G256500.1 locus=Brasy4G256500 ID=Brasy4G256500.1.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTSVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRCKVMEQDQDRGITIKPDEDAKAGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKALSESMQDERESDGALATTLDVLKRIHTLFFDLDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >Brasy4G256500.3.p pacid=40084874 transcript=Brasy4G256500.3 locus=Brasy4G256500 ID=Brasy4G256500.3.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTSVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRCKVMEQDQDRGITIKPDEDAKGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKALSESMQDERESDGALATTLDVLKRIHTLFFDLDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >Brasy4G256500.2.p pacid=40084875 transcript=Brasy4G256500.2 locus=Brasy4G256500 ID=Brasy4G256500.2.v1.1 annot-version=v1.1 MSLATESPSPSPSSSSGSDDFAALLDAELELTSVVDSASPGDPSTSFTSDDAEDNDEDVVVEVEAVEQSSTKRCKVMEQDQDRGITIKPDEDAKGSCKDAQIKICPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYIHKGLRLGTSEIDRLRGSNVKNLLRERKLVLILDLDHTLINSTKLHDISAAERDLGIQTFASKDAPEKSLFTLEAMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNIYFGSKVISNSDCTQRHQKGLDVVLGAESVAIILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKALSESMQDERESDGALATTLDVLKRIHTLFFDLDVETAISSRDVRQVIKKVRQDVLQGCKLVFSRVFPSSSRPQDQIIWKMAEQLGAICCADMDSTVTHVVAVDSGTEKARWAVGNNKILVHPRWIEASNFRWHRQQEEDFPVPAPKEKSKQKGKENADAVAPGPTES* >Brasy4G401000.1.p pacid=40084876 transcript=Brasy4G401000.1 locus=Brasy4G401000 ID=Brasy4G401000.1.v1.1 annot-version=v1.1 MAKLMNGLGSLRCSGTMHPEKGYMQASGMPYPCFKRCAQLDVSRFCSIPRFMGVMAVNVSQQPTRHRVGSIRASALPCLQDDSTRYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEDTVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVENDENISLFGHHFAIDLLTCQSNGEMHCYGVDSLDTKAQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMCHRAQAVMSNMEFVQFHPTALSDEGLPIKPAKTRDNAFLVTEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKILAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETSVKGLYVAGEVACTGLHGANRLASNSLLEALVFAQRAVQPSIDHMVDVDADPCLAVKWARPVLSVSIRDSTLSDIIERTKKTRMELQSIMWEYVGIVRSTNRLKNAEWKIGDLESEWEEFLFRRGWKPTNVGIEACEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTAIQELTWSSKPLQRQLQCK* >Brasy4G401000.2.p pacid=40084877 transcript=Brasy4G401000.2 locus=Brasy4G401000 ID=Brasy4G401000.2.v1.1 annot-version=v1.1 MAKLMNGLGSLRCSGTMHPEKGYMQASGMPYPCFKRCAQLDVSRFCSIPRFMGVMAVNVSQQPTRHRVGSIRASALPCLQDDSTRYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEDTVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVENDENISLFGHHFAIDLLTCQSNGEMHCYGVDSLDTKAQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMCHRAQAVMSNMEFVQFHPTALSDEGLPIKPAKTRDNAFLVTEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKILAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETSVKGLYVAVKWARPVLSVSIRDSTLSDIIERTKKTRMELQSIMWEYVGIVRSTNRLKNAEWKIGDLESEWEEFLFRRGWKPTNVGIEACEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTAIQELTWSSKPLQRQLQCK* >Brasy4G107100.1.p pacid=40084878 transcript=Brasy4G107100.1 locus=Brasy4G107100 ID=Brasy4G107100.1.v1.1 annot-version=v1.1 MDLEADGRFGNKRVHNRLGPAPGAASSSSSGKVCIHWRAGRCNRFPCPFLHSELPEATSKRPSQRDGPGGHVWRNPNSGGGGGRGGGGFNKWGRGPGGADGGVRHKVPDRPCKYFLAGDCTYGEKCRFPHTYCMSNSITLLTPLQGHEKVVTGIALPTGSDKLYSGSKDGTVRLWDCQTGQCASVINIGAEIGCMIIEGPWLFVGLPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAVTNCFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWDLATLQCIQTLSDHTNVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEENGALALTGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQTGPGGLFFTGDGAGELKVWQWVIDGSQI* >Brasy4G320200.1.p pacid=40084879 transcript=Brasy4G320200.1 locus=Brasy4G320200 ID=Brasy4G320200.1.v1.1 annot-version=v1.1 MEVEERRRTMPVEDDSTPPFLLDLLEYQSIRLQRAGARGLPRRIHWRTGLSEEERDASIIGHVHHALRHYNANDPGSDFEPVKPLMASYVGIRGEMWVHINFLARRSGNKKRRPNSNPPVRHFFAELRYELFSSYTPTVETCTVIVPNRQLKTKCAFCPEKFGILHPLDGKFVCGKKRQFEQGLAVEYFTNLLDKPFTCPRSKS* >Brasy4G407200.1.p pacid=40084880 transcript=Brasy4G407200.1 locus=Brasy4G407200 ID=Brasy4G407200.1.v1.1 annot-version=v1.1 MEYRVALFLAVALVAGPASAFAYAPATPAATPAAPAAGYPKATTDEQKMIEDINTGFKTAVAAANAAPPADKYNTFEAAFKKASTGYKAGVSAKLDTAYQAAYKAADGATPEAKYDVFVATLTEALRVVAGAVEAHAVKPVAEELAAGAGGKMPADELKIVDKIDAAFKTASTAANAAPANDKFNVFEATFNKALKESTGGAYESYKFIPSLEAAVKQAYAATIAAAPEVKYAVFEAALTKAITAMSEAQKAKGAAGYAGAAAGAGATVAAGAGATVAAGAGAAVSAGGYKV* >Brasy4G035600.1.p pacid=40084881 transcript=Brasy4G035600.1 locus=Brasy4G035600 ID=Brasy4G035600.1.v1.1 annot-version=v1.1 MSLRPGWQWPRARQQGPCRREPSVALPTGSGARRPVAGRDVWAARRPGKRADKAARGRRRAAHRRTGRQVGAGGARERMGDRSRVERQESTGGGGAHKRAAAHGGAMFGGGMNRDRERRSLHSGWMERIVRGRAREIGRRDLRNKVRSRSNRRAGQGSIKQNFIPPLAVLIDIPMLAVATFSCCLNHHHTGGRVAWTDVSDKHIIIVIYICAPETHQHLI* >Brasy4G250900.1.p pacid=40084882 transcript=Brasy4G250900.1 locus=Brasy4G250900 ID=Brasy4G250900.1.v1.1 annot-version=v1.1 MLKFLSKVVVEYNPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPAAAAAEDPKAQPPPRVLVTYVNGAEEAFDAADGATAQGMRDQILARGRLLETEQLFREAGEKWPVVIPEEELGMSFPGIKPKKAEEKPQAS* >Brasy4G313000.1.p pacid=40084883 transcript=Brasy4G313000.1 locus=Brasy4G313000 ID=Brasy4G313000.1.v1.1 annot-version=v1.1 MRTRFHATDYFSPSAEASSSDQALALASLRFPSLPVPSLPPDPHTPLPLPFPADLPAFSVSGDGLDSLPISSALSEFLAAVIPQTLPVPTIPAADEGLDDYLYDRGWYCDGFSSRESIGFRFPKALDETSRGKDGKGDGSRSDRLGTSTDTERWELLKGLRFEVVDVDLLQVLQGDIASFGGDESADGVTLSFRVPDVKIHLDFIDIGNDMPMAYPTELADSIYQVEKIPVQYIGDDHSCARDISFSAIAALDHGVEIPQLEVSKHSWELDECLAKADISHMFHNLVEHLDEAEVQHSVFNSTEFLRSTDMDMLAFVCKDPPCADYQADKPTTVTAAVEMDLVRINDNILLERNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDDAFKMLVKSERAKLNISDEIFKGDFDPAKRFYESIVSSELALVDDTFRSLPTPILTDDITLRSITPPIREVLCSLKPCSLSAADGIYLDWHLLLEGPCNRETCSTYASMVEEVKSDHLNSELQVSCQQTSALGFDFLEYFWRSAKLQDVGKQNNMYVPAPLPQDPPAVVETAQKYRQESDARGHGHMEKLSSEKASSLFESASQSDDLSFYLNVRSGTKRRTNDKNISNLDIPHVKGQSASFSSRPKVDKLVEIHPVSLSDSIRVLIKHIHIIYTSALQESAYLRHTFSDGHGLSISKQKLLELITGEGSDDFYSHCKYEDKMELIVLYGLKQVAYYLCFFGLHAAHLYISNLIESFENVPERLRNIHRLVGEARWKAEKHQSESHPSLLDIETILGSNTQISQKILIVADRAFWLPLGQKLTSMKMTFVELGKDPDAAYLDPVNKTNSTTWVLGGLPKSDCILLDNKNIPASFPFNEFGIILEYGGPSKSSTLLSLNPKLDDFPPLHFLYVKVDVEDFLIALAEDNHTDQDLKSKLDTVLHALQKDLQEKMNKMRIVDSLNFIPATNHPQGLQENLHKHLSGDSTKNLPVDDQWLKLESQENKNIIDVHNFVPAAEQRHIQETLRKRTILDPQNCVPSVEKSSSTSSVSANVMKQDNLSATDLPYSVTIDRITPGRLTTSEAVIVVNTGIHGKNMIFSRRSSYQQILSLEKRGLQVVERDVDLPVDIILSAAVCLVWCETKMFGCNEFTSSTETSSITNFVETIATNILMSISFSFSDCIMVFEGEPHFLSVVMGSSDSLYAAAASLVMNLQLFFSPTSKSTDEIILSCIRYAARLNKAPPDIPESESLAESFLTKFPSINPFSAHIMLSAGGSSLVELFSWSHERRIEAVGKYLLSPQSISLFSALCKFGELGESRSVMTDCSSVDSDICSALLQSPRKRKKRASQAFAVPTTDSLHPDPLNQLPGDFVEHDSGLSPPKLRRFSNMTVPERPEVFMFNQSLSKGGVGVSCLPGNHDVDAIIGNQTTDDYFSNGLTTDMRTYNGRASSVVDTCNFSWRPELGGKEPIERSFSASKPSFSRNYSHPIFPTALEINDDPRDWDISEGAHPTWNEHAHADIDTTSCRDDVGSRYHEPREVIMQNPASSLAFLKQDIGCHASSHGSGWEIDYLRQMNEKRRAHQERSRCNSSTMMSKSRIGDGSSRVLNPPSIESFRYRRDRDIMDRDTPSRDRSPSNGTRRYGKSRGGTKAPSHRARKDFKVQPSLSHENLIEPSRDPTWTPVDKRARQKLSFATYGKEKQSKLIWRNQNSPGLGCGFRKRHREEGQEEINKRKRKRKIIYKRCGDATLPRCLHSLLPSVKPAAAGETQHRGEGKKNRGSEGREEGSEAMGLGVRAAPFTYVAHALAVAAAAMVLVWCVHFRGGLAFEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVVPTWNHDTTKLIHLILHGSALVLGVVGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFLAFFFPGAAPNVRRGALPWHILFGMFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALVVVLFGASVVVAAVAPARLEEPQGYAPIPEN* >Brasy4G313000.2.p pacid=40084884 transcript=Brasy4G313000.2 locus=Brasy4G313000 ID=Brasy4G313000.2.v1.1 annot-version=v1.1 MRTRFHATDYFSPSAEASSSDQALALASLRFPSLPVPSLPPDPHTPLPLPFPADLPAFSVSGDGLDSLPISSALSEFLAAVIPQTLPVPTIPAADEGLDDYLYDRGWYCDGFSSRESIGFRFPKALDETSRGKDGKGDGSRSDRLGTSTDTERWELLKGLRFEVVDVDLLQVLQGDIASFGGDESADGVTLSFRVPDVKIHLDFIDIGNDMPMAYPTELADSIYQVEKIPVQYIGDDHSCARDISFSAIAALDHGVEIPQLEVSKHSWELDECLAKADISHMFHNLVEHLDEAEVQHSVFNSTEFLRSTDMDMLAFVCKDPPCADYQADKPTTVTAAVEMDLVRINDNILLERNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDDAFKMLVKSERAKLNISDEIFKGDFDPAKRFYESIVSSELALVDDTFRSLPTPILTDDITLRSITPPIREVLCSLKPCSLSAADGIYLDWHLLLEGPCNRETCSTYASMVEEVKSDHLNSELQVSCQQTSALGFDFLEYFWRSAKLQDVGKQNNMYVPAPLPQDPPAVVETAQKYRQESDARGHGHMEKLSSEKASSLFESASQSDDLSFYLNVRSGTKRRTNDKNISNLDIPHVKGQSASFSSRPKVDKLVEIHPVSLSDSIRVLIKHIHIIYTSALQESAYLRHTFSDGHGLSISKQKLLELITGEGSDDFYSHCKYEDKMELIVLYGLKQVAYYLCFFGLHAAHLYISNLIESFENVPERLRNIHRLVGEARWKAEKHQSESHPSLLDIETILGSNTQISQKILIVADRAFWLPLGQKLTSMKMTFVELGKDPDAAYLDPVNKTNSTTWVLGGLPKSDCILLDNKNIPASFPFNEFGIILEYGGPSKSSTLLSLNPKLDDFPPLHFLYVKVDVEDFLIALAEDNHTDQDLKSKLDTVLHALQKDLQEKMNKMRIVDSLNFIPATNHPQGLQENLHKHLSGDSTKNLPVDDQWLKLESQENKNIIDVHNFVPAAEQRHIQETLRKRTILDPQNCVPSVEKSSSTSSVSANVMKQDNLSATDLPYSVTIDRITPGRLTTSEAVIVVNTGIHGKNMIFSRRSSYQQILSLEKRGLQVVERDVDLPVDIILSAAVCLVWCETKMFGCNEFTSSTETSSITNFVETIATNILMSISFSFSDCIMVFEGEPHFLSVVMGSSDSLYAAAASLVMNLQLFFSPTSKSTDEIILSCIRYAARLNKAPPDIPESESLAESFLTKFPSINPFSAHIMLSAGGSSLVELFSWSHERRIEAVGKYLLSPQSISLFSALCKFGELGESRSVMTDCSSVDSDICSALLQSPRKRKKRASQAFAVPTTDSLHPDPLNQLPGDFVEHDSGLSPPKLRRFSNMTVPERPEVFMFNQSLSKGGVGVSCLPGNHDVDAIIGNQTTDDYFSNGLTTDMRTYNGRASSVVDTCNFSWRPELGGKEPIERSFSASKPSFSRNYSHPIFPTALEINDDPRDWDISEGAHPTWNEHAHADIDTTSCRDDVGSRYHEPREVIMQNPASSLAFLKQDIGCHASSHGSGWEIDYLRQMNEKRRAHQERSRCNSSTMMSKSRIGDGSSRVLNPPSIESFRYRRDRDIMDRDTPSRDRSPSNGTRRYGKSRGGTKAPSHRARKDFKVQPSLSHENLIEPSRDPTWTPVDKRARQKLSFATYGKEKQSKLIWRNQNSPGLGCGFRKRHREEGQEEINKRKRKRKIIYKRCGDATLPRCLHSLLPSVKPAAAGETQHRGEGKKNRGSEGREEGSEAMGLGVRAAPFTYVAHALAVAAAAMVLVWCVHFRGGLAFEATNKNLIFNL* >Brasy4G313000.3.p pacid=40084885 transcript=Brasy4G313000.3 locus=Brasy4G313000 ID=Brasy4G313000.3.v1.1 annot-version=v1.1 MRTRFHATDYFSPSAEASSSDQALALASLRFPSLPVPSLPPDPHTPLPLPFPADLPAFSVSGDGLDSLPISSALSEFLAAVIPQTLPVPTIPAADEGLDDYLYDRGWYCDGFSSRESIGFRFPKALDETSRGKDGKGDGSRSDRLGTSTDTERWELLKGLRFEVVDVDLLQVLQGDIASFGGDESADGVTLSFRVPDVKIHLDFIDIGNDMPMAYPTELADSIYQVEKIPVQYIGDDHSCARDISFSAIAALDHGVEIPQLEVSKHSWELDECLAKADISHMFHNLVEHLDEAEVQHSVFNSTEFLRSTDMDMLAFVCKDPPCADYQADKPTTVTAAVEMDLVRINDNILLERNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDDAFKMLVKSERAKLNISDEIFKGDFDPAKRFYESIVSSELALVDDTFRSLPTPILTDDITLRSITPPIREVLCSLKPCSLSAADGIYLDWHLLLEGPCNRETCSTYASMVEEVKSDHLNSELQVSCQQTSALGFDFLEYFWRSAKLQDVGKQNNMYVPAPLPQDPPAVVETAQKYRQESDARGHGHMEKLSSEKASSLFESASQSDDLSFYLNVRSGTKRRTNDKNISNLDIPHVKGQSASFSSRPKVDKLVEIHPVSLSDSIRVLIKHIHIIYTSALQESAYLRHTFSDGHGLSISKQKLLELITGEGSDDFYSHCKYEDKMELIVLYGLKQVAYYLCFFGLHAAHLYISNLIESFENVPERLRNIHRLVGEARWKAEKHQSESHPSLLDIETILGSNTQISQKILIVADRAFWLPLGQKLTSMKMTFVELGKDPDAAYLDPVNKTNSTTWVLGGLPKSDCILLDNKNIPASFPFNEFGIILEYGGPSKSSTLLSLNPKLDDFPPLHFLYVKVDVEDFLIALAEDNHTDQDLKSKLDTVLHALQKDLQEKMNKMRIVDSLNFIPATNHPQGLQENLHKHLSGDSTKNLPVDDQWLKLESQENKNIIDVHNFVPAAEQRHIQETLRKRTILDPQNCVPSVEKSSSTSSVSANVMKQDNLSATDLPYSVTIDRITPGRLTTSEAVIVVNTGIHGKNMIFSRRSSYQQILSLEKRGLQVVERDVDLPVDIILSAAVCLVWCETKMFGCNEFTSSTETSSITNFVETIATNILMSISFSFSDCIMVFEGEPHFLSVVMGSSDSLYAAAASLVMNLQLFFSPTSKSTDEIILSCIRYAARLNKAPPDIPESESLAESFLTKFPSINPFSAHIMLSAGGSSLVELFSWSHERRIEAVGKYLLSPQSISLFSALCKFGELGESRSVMTDCSSVDSDICSALLQSPRKRKKRASQAFAVPTTDSLHPDPLNQLPGDFVEHDSGLSPPKLRRFSNMTVPERPEVFMFNQSLSKGGVGVSCLPGNHDVDAIIGNQTTDDYFSNGLTTDMRTYNGRASSVVDTCNFSWRPELGGKEPIERSFSASKPSFSRNYSHPIFPTALEINDDPRDWDISEGAHPTWNEHAHADIDTTSCRDDVGSRYHEPREVIMQNPASSLAFLKQDIGCHASSHGSGWEIDYLRQMNEKRRAHQERSRCNSSTMMSKSRIGDGSSRVLNPPSIESFRYRRDRDIMDRDTPSRDRSPSNGTRRYGKSRGGTKAPSHRARKDFKVQPSLSHENLIEPSRDPTWTPVDKRARQKLSFATYGKEKQSKLIWRNQNSPGLGCGFRKRHREEGM* >Brasy4G396300.1.p pacid=40084886 transcript=Brasy4G396300.1 locus=Brasy4G396300 ID=Brasy4G396300.1.v1.1 annot-version=v1.1 MSTPSIGNEQGGARRPHVLVVDDTCGDRFFLTYLLQRSHARVTAVEGVKEALEFLASQNDVNMIVSDYCMPEMNGYDLLMEVKNSPRLAHIPVVIASSDNIPERIQKCLDGGAKEYIVKPVQIPDVLRILGYI* >Brasy4G075200.1.p pacid=40084887 transcript=Brasy4G075200.1 locus=Brasy4G075200 ID=Brasy4G075200.1.v1.1 annot-version=v1.1 MAPRRGWAPCESQAAGLEKSPAGERSWRQLWGAAGESDGGAADVRRRRRARELVAALEGQGRGRGAGETGWREDCGQDRRGGGVGRGRRTSGDEKSGKRVGRKGRQARPTYDRNTRDDLRRRPDGVERRGGGGARARRRTAGGRGVETVRARTGARADGGGSRLRGSAGSWRGGARARRRGLRRGSGSGSRGRHGGRRRLAWARRRTAGSRLRASGRGVETAAREGIFRCERQVLAARGTNFRCSGRGWNILQTVSQDRIFTIYK* >Brasy4G381300.1.p pacid=40084888 transcript=Brasy4G381300.1 locus=Brasy4G381300 ID=Brasy4G381300.1.v1.1 annot-version=v1.1 MAGCLRAPLPEAHAHMSRRHAGSSTILQGDSRWSPRRASAVVASSRQMSPGMADEGRPRTPEATCIRWRSLAPWAACSVRSVEQPDADWRVRLCERPDILRLGFGLLQSLTN* >Brasy4G260900.1.p pacid=40084889 transcript=Brasy4G260900.1 locus=Brasy4G260900 ID=Brasy4G260900.1.v1.1 annot-version=v1.1 MSTEQQQTTSAATKKHSRSRGRAKRAQDPYASVYGIVPLEMLRCRPWVEVAELDNSTLGKDVLTFGIVVSVRPVAKTCAIVVLLSISETVRCMVVAGADQGVTTRMVRFAATMRRGALIDVEGVVSPSGSGRDLVGTTQPVEIAVRKLHAIGTLKDGSVTGAIAES* >Brasy4G215100.1.p pacid=40084890 transcript=Brasy4G215100.1 locus=Brasy4G215100 ID=Brasy4G215100.1.v1.1 annot-version=v1.1 MEMCIDGQCPIEQVQLIVPTTDDPTLPTLSFRVWLLGNPISIPDFVVLLLCYSLGKLMAAMRPAKVVRVPGTKIVFSLNPGPFSIKEHVLSWIIFGSGAYFRRSIHPATFFLLLFTTSNLSYGFAGLFLKFFVNRSEMWWPEVLPLVKLLRTFHVEERSKANLSGVKFFFLVFVISFSYYIVPSYFFPSISSLSFVCWIWRSSVTAQQLGSGVNGLGVGSFGLDWSSVTGFTGSPLMFPFFVIGNTMAGFILFMYVVIPFAYWTNSFKAKSFPIISTEVYDVYGGRYDMSRVLDERFQFKKEGYENYSELYLSITRACSIGFEFTSLGASVTDIVLSHGSLSVFTCEGFGNELQLPYWGVILAFLLVLLFVPPLAALHATVAQEPFTELFAHIIIGYLCPGRPLANVVFELYSSRTIEAALGVLSTFKLGFYMKIPPKRNNLCRPDLLPRGSPWSCPSERVYYNNMVVWGLIGPRRMLYPAGVYSKLIYFFLIGIIAPMPVWILTRMFPRKKWIELIIVPALFMAGSRIPPAAPVNYISWFAVGFVFNYVVFRRRKEWWVKYCFIFSVAMDTGAAAMAFLTTVALQMQGINGIRWWGSAVSDHCPLATCPTEPGVQVKCCPVPL* >Brasy4G360600.1.p pacid=40084891 transcript=Brasy4G360600.1 locus=Brasy4G360600 ID=Brasy4G360600.1.v1.1 annot-version=v1.1 MYCSKCSCCLCFLGAIRCCIKLHELATSKEFIGYIVVANALLLLYFSPCGDMWLCLCILLCRGWDLVLCRCIHLI* >Brasy4G069900.1.p pacid=40084892 transcript=Brasy4G069900.1 locus=Brasy4G069900 ID=Brasy4G069900.1.v1.1 annot-version=v1.1 MHRNCFKLFGGDRRNRPTVGDIVNMLNETETLENDHGSLIEQMSSCMAKEAEPIDVHPVQLHFSFRANRLMSCPLHVTNNMDDHHVTVRFFPKTLETESYYHNNLKDLWGVVPPRSTHTFIVTMKEQRQPPGNTDELDIVVVSCLGKADRWDIDTLFRRAIEEKSLTLTTVCAITHEMMTSEPIRPAVKVVCHDDAFGKMNSIDVHPTEPWVLASNYSGAYGAFVSILNYESQEIVMKLECRRDDGHPSNVSSVRFIAPKQLFVLGSNQGYIHVYTCMTIEKVKEFKAHEDLVSTLAVHPTQPFLLSASCDHLIKLWSWDNGWACIRTFSGHSNFVNQVKFDPYDVNTFASVSCDRTAKIWSILSSSRVTSLDHHQQNQSCVDFFRAGGDQNYIVTGSFNGTARIWDLQTNTCVREIKELSEVGVIHGFRDHPVLVTVSPDHAVSLCDSTTYRPERTTRFGLGYVRCFAYLKGIRSLVIGHENGIAIMQID* >Brasy4G142000.1.p pacid=40084893 transcript=Brasy4G142000.1 locus=Brasy4G142000 ID=Brasy4G142000.1.v1.1 annot-version=v1.1 MHNVLQIVRKRNVNPALHGDEIELDIDEMDIETQWELDRFVNNFNKALNKSRRAAMMNGDGAVVNNAAVTEAVNGDVPTLVDNADAESENPEKSTVVTEQVDEYVDIEDEIPTATYQSMEIAKGAEATSVSGGLGSGSSSSSGSSGDSVSEAGNARSVE* >Brasy4G015900.1.p pacid=40084894 transcript=Brasy4G015900.1 locus=Brasy4G015900 ID=Brasy4G015900.1.v1.1 annot-version=v1.1 MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKDFILARWPQDKEINPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNSAKQLANSPKQNRCGCTIL* >Brasy4G070300.1.p pacid=40084895 transcript=Brasy4G070300.1 locus=Brasy4G070300 ID=Brasy4G070300.1.v1.1 annot-version=v1.1 MPTPPLSASPNVSSGRYLLSPGLASPQSMSDGSLEMQQAETAERAAVADEVMAALERNGVSFLGLPGKTKDAKKGDAGAKSRALQMPAMVRIDIPASNPGRTALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSQKVKRSPFSSSDKVLQLADEVESLFTKNFTGNDRMVAMKYLKPQQLKNTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFASAGNTAYMEVVFHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVVAALVINLFLRNAGASYANAVPGGLLVLSIGVLFCPFNVFYRSTRYCFMRILRNIIFSPFYKVLMADFFMADQLTSQVPLLRHMEFAACYFMAGSFKANPYETCTSGQQYKHIAYVISFLPYYWRAMQCLRRYLEEHDINQLANAGKYVSAMVAAAVKFKYAATPTPFWVLMVVISSSGATSYQLYWDFVKDWGFFTPKSKNLWLRDELILKNKSIYYLSMVLNLLLRLAWTESVMKFRVGKVETRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD* >Brasy4G070300.2.p pacid=40084896 transcript=Brasy4G070300.2 locus=Brasy4G070300 ID=Brasy4G070300.2.v1.1 annot-version=v1.1 MPTPPLSASPNVSSGRYLLSPGLASPQSMSDGSLEMQQAETAERAAVADEVMAALERNGVSFLGLPGKTKDAKKGDAGAKSRALQMPAMVRIDIPASNPGRTALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSQKVKRSPFSSSDKLADEVESLFTKNFTGNDRMVAMKYLKPQQLKNTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFASAGNTAYMEVVFHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVVAALVINLFLRNAGASYANAVPGGLLVLSIGVLFCPFNVFYRSTRYCFMRILRNIIFSPFYKVLMADFFMADQLTSQVPLLRHMEFAACYFMAGSFKANPYETCTSGQQYKHIAYVISFLPYYWRAMQVPPLTSSTGTLSRTGASSPLNLRTYGCEMSSS* >Brasy4G265200.1.p pacid=40084897 transcript=Brasy4G265200.1 locus=Brasy4G265200 ID=Brasy4G265200.1.v1.1 annot-version=v1.1 MNSEGNARVKWSEEENEILTQMVNKHGMKNWQTIAHAIPGRSAQQCRQRWRYKVDSAINKEAWSQQEELRLIRAHQIYGTKWREMVKHFPGRTNGALKDYWRGPMKRKLDSYLASGLLEQLPDVLENMSVPQNSDLDILKETTASDIPNETEGLFDRIELPSGQPTSSKSKPGLTERGESADMSEGKNADFMYVRAVDAHSANAPQKIIVRSEQHARTRRKLDILSSPVELKASTVAVNSERPLQKKEQMGPAVSGIAPSNGCLDISSEVPSQYASTFVSPNGSYQPNDVHSAGTSDPCSPELDISDLMEMSYFDSFMIFPPDSPHDGNSL* >Brasy4G265200.2.p pacid=40084898 transcript=Brasy4G265200.2 locus=Brasy4G265200 ID=Brasy4G265200.2.v1.1 annot-version=v1.1 MNSEGNARVKWSEEENEILTQMVNKHGMKNWQTIAHAIPGRSAQQCRQRWRYKVDSAINKEAWSQQEELRLIRAHQIYGTKWREMVKHFPGRTNGALKDYWRGPMKRKLDSYLASGLLEQLPDVLENMSVPQNSDLDILKETTASDIPNETEGLFDRIELPSGQPTSSKSKPGLTERGESADMSEGKNADFMYVRAVDAHSANAPQKIIVRSEQHARTRRKLDILSSPVELKASTVAVNSERPLQKKEQMGPAVSGIAPSNGCLDISSEVPSQYASTFVSPNGSYQPNDVHSAGTSDPCSPELDISDLMEMSYFDSFMIFPPDSPHDGNSL* >Brasy4G404000.1.p pacid=40084899 transcript=Brasy4G404000.1 locus=Brasy4G404000 ID=Brasy4G404000.1.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.3.p pacid=40084900 transcript=Brasy4G404000.3 locus=Brasy4G404000 ID=Brasy4G404000.3.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.4.p pacid=40084901 transcript=Brasy4G404000.4 locus=Brasy4G404000 ID=Brasy4G404000.4.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.9.p pacid=40084902 transcript=Brasy4G404000.9 locus=Brasy4G404000 ID=Brasy4G404000.9.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.8.p pacid=40084903 transcript=Brasy4G404000.8 locus=Brasy4G404000 ID=Brasy4G404000.8.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.5.p pacid=40084904 transcript=Brasy4G404000.5 locus=Brasy4G404000 ID=Brasy4G404000.5.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.2.p pacid=40084905 transcript=Brasy4G404000.2 locus=Brasy4G404000 ID=Brasy4G404000.2.v1.1 annot-version=v1.1 MEGQTFGGGGGGNWVSAPPYPGNQAKESPFVFDAKPAPQQLQLFGNTAVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.6.p pacid=40084906 transcript=Brasy4G404000.6 locus=Brasy4G404000 ID=Brasy4G404000.6.v1.1 annot-version=v1.1 MPSPRRSSFSSSGTRQVLRIPLPYLYLEVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G404000.7.p pacid=40084907 transcript=Brasy4G404000.7 locus=Brasy4G404000 ID=Brasy4G404000.7.v1.1 annot-version=v1.1 MPSPRRSSFSSSGTRQVLRIPLPYLYLEVGTSGYYNYNGNNHLPVMNQARNTCNYTVDEKNLRLQMSLNSFPPGNADRLACTGNSSAVSTGLRLSYEDNERNSSIASGSGSMSSLPTTRPAIDAIMAEMEKENKEIDYYFRVQVEQLCKHVREMKQRQMVSFTASVERRFGKRLREKELELEAMNKKSKELNEHIRQVAMEVQSWQSAALYNQSVASSLKSQLMQVVAEQANLTREGTGDSEEENVGSGQNINATPGGFFESSLLLGGSKNTADGALRSACRWCGAKEASVLVMPCRHLCLCTDCEKVTDACPVCRLPKSGSVEINMS* >Brasy4G211400.1.p pacid=40084908 transcript=Brasy4G211400.1 locus=Brasy4G211400 ID=Brasy4G211400.1.v1.1 annot-version=v1.1 MEAFIHEEYVNKRREQQRRRRPPQTTTALRIQTGKGNLPPTAAAGTCQESPRGGEPTASSSPSAVGSLTASTETASSFRDHLFDYLKPY* >Brasy4G255000.1.p pacid=40084909 transcript=Brasy4G255000.1 locus=Brasy4G255000 ID=Brasy4G255000.1.v1.1 annot-version=v1.1 MSADETQPKACDERINLGVAASYQAENGSITTVGSMESEKAAYAFLPQTPIESTDDHLVEFAEAMRTVAKALRQVAEGKAAAQAEAAEWKRKYELEKSVKAQKHHSLIKGCSNCVKDKLEHLASKLTLETASADQAGCCGNHGICSRQILQDQCPGPNRKSDNDKIVGQKAPFRLSWGLNGDKSGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSSAVQTLCSEMVRWLKEHNNMNIFVEPRVSKELLTEDSYFNFIQTWDNDQEMKTLHTKVDLIVTLGGDGTVLWAASLFRGPVPPVVAFSLGSLGFMTPFPSEQYRECLGNVLKRPFTITLRSRLQCQVIRDAAKDEVETEEPIIVLNEITIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQIPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVGSTTDFLRSIHEGLHWNLRKSQSLDGPAA* >Brasy4G255000.3.p pacid=40084910 transcript=Brasy4G255000.3 locus=Brasy4G255000 ID=Brasy4G255000.3.v1.1 annot-version=v1.1 MESEKAAYAFLPQTPIESTDDHLVEFAEAMRTVAKALRQVAEGKAAAQAEAAEWKRKYELEKSVKAQKHHSLIKGCSNCVKDKLEHLASKLTLETASADQAGCCGNHGICSRQILQDQCPGPNRKSDNDKIVGQKAPFRLSWGLNGDKSGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSSAVQTLCSEMVRWLKEHNNMNIFVEPRVSKELLTEDSYFNFIQTWDNDQEMKTLHTKVDLIVTLGGDGTVLWAASLFRGPVPPVVAFSLGSLGFMTPFPSEQYRECLGNVLKRPFTITLRSRLQCQVIRDAAKDEVETEEPIIVLNEITIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQIPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVGSTTDFLRSIHEGLHWNLRKSQSLDGPAA* >Brasy4G255000.2.p pacid=40084911 transcript=Brasy4G255000.2 locus=Brasy4G255000 ID=Brasy4G255000.2.v1.1 annot-version=v1.1 MSADETQPKACDERINLGVAASYQAENGSITTVGSMESEKAAYAFLPQTPIESTDDHLVEFAEAMRTVAKALRQVAEGKAAAQAEAAEWKRKYELEKSVKAQKHHSLIKGCSNCVKDKLEHLASKLTLETASADQAGCCGNHGICSRQILQDQCPGPNRKSDNDKIVGQKAPFRLSWGLNGDKSGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSSAVQTLCSEMVRWLKEHNNMNIFVEPRVSKELLTEDSYFNFIQTWDNDQEMKTLHTKVDLIVTLGGDGTVLWVCSSSIVTIYSIWMLCGCIIVQRTSSPSCCLLSWIIGLHDSLPKRAVS* >Brasy4G187100.1.p pacid=40084912 transcript=Brasy4G187100.1 locus=Brasy4G187100 ID=Brasy4G187100.1.v1.1 annot-version=v1.1 MCTSAVPVDKKKPYAWNLKGQHHCIVTTTVGCLIEVGTCQWHCISISKHLFAGACVQQVETFLCCKQRVRPHLFPSHLTIQQAGA* >Brasy4G421200.1.p pacid=40084913 transcript=Brasy4G421200.1 locus=Brasy4G421200 ID=Brasy4G421200.1.v1.1 annot-version=v1.1 MDPCKYRPSSSFDKKTTTTNAGAPVWNDNEALTVGHRGPILLEDYHLLEKVAHFARERIPERVVHARGASAKGFFECTHDVTDLTCADFLRGPGARTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHLPESLHTFFFLFDDVGIPTDYRHMEGFGVNTYTFVTREGKSKYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIDAGNFPEWKLFVQVMDPDEEDKYDFDPLDDTKTWPEDLLPLRPVGRLVLDRNVDNFFNENEQLAFGPGLIVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCGHRNAHFDGAMNFMHRDEEVDYYPSRHAPLKQAEPAPACFPVPVRTVVGKREKTRIKKENDFQQSGERYRSWAPDRQDRFVKRFADALGHPKVSHELRAIWVNFLSQCDKSCGMKVANRLNVKPSM* >Brasy4G260700.1.p pacid=40084914 transcript=Brasy4G260700.1 locus=Brasy4G260700 ID=Brasy4G260700.1.v1.1 annot-version=v1.1 MAATCSFRSIVRAPPLPRGPSRRAVRCCSATPGAASTSKLVLEVKERLEKEHPGLPTGRSGRDDDEMILWFLKDRKFNTDEAISKLTKAIKWRQDFRISELSEESVKGLYQTGKAYVHDSFDIYDRPVLVVVAAKHFPSKHDPVENEKLCAFLVEKALNRLPAGKDNILGIFDLRGFRVENGDFQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDAETVRKEYFTKETVPPDFRC* >Brasy4G163500.1.p pacid=40084915 transcript=Brasy4G163500.1 locus=Brasy4G163500 ID=Brasy4G163500.1.v1.1 annot-version=v1.1 MAETPSKVESMRKWVVDHKLRAVGCLWLAGITSSVAYNWSRPTMKTSVKLIHARLHAQALTLAALVGSGLVEYYDREYGSSGPKVDKYTRQYMSHSHKD* >Brasy4G378500.1.p pacid=40084916 transcript=Brasy4G378500.1 locus=Brasy4G378500 ID=Brasy4G378500.1.v1.1 annot-version=v1.1 MDDVMNASKEFFHQPLEEKQKCSNLLDGKHFQVEGYGNDQIKIQNQTLDWSDRLHLRVGPEKDINLANWPKQPESFRDVLQEYTSRSKRIKHVILGAMARLLELDEVYFISQFSERAPTTVRINHYVPCPRPDLVLGFKAYSDDGVLATLLVDNNVAALQVLRDGVWYDVPTNPRTLLVNVGDFMEIMNNGIFKSPVHRVVANAETERTSLAMFYGLDTEKEIGPAAHLLHEKQPARYRKVKIKDYMGGFYEHFARRTRVLDTMKT* >Brasy4G312200.1.p pacid=40084917 transcript=Brasy4G312200.1 locus=Brasy4G312200 ID=Brasy4G312200.1.v1.1 annot-version=v1.1 MRRPASSLPLLDMPLVALLLLLLLLASELKLGTGACGCRVLKADVVVVVAPRPRGGTVGTVLQAGPPAASGTGGDGDGEAAGAVYGESKRMVPQGPNPLHN* >Brasy4G290500.1.p pacid=40084918 transcript=Brasy4G290500.1 locus=Brasy4G290500 ID=Brasy4G290500.1.v1.1 annot-version=v1.1 MRAFLCVTELLVETSFGKYLSISSKLKSSNNVTQLIRVNTQQLERNRQEIYTPQWGIGARSTERRGRGAGGDRALPSCALEAWRLLVAVLQNLQNWGNRVPGRGILLGRSRGDAWREETKRQKTSPRPPASHEQEEIDRLRLEARAWRSSRQWSGL* >Brasy4G075600.1.p pacid=40084919 transcript=Brasy4G075600.1 locus=Brasy4G075600 ID=Brasy4G075600.1.v1.1 annot-version=v1.1 MHPSPRLLLLDGLFLKQQLVFGRNVCHDMCTLMIRRMDQIDAFCSKESSGMRWRKFVEPDFSFAFPAQLEEGWLFENWSCDTTDWQVRFPLLMTENFAREQSALCMTFLIKNYDGCRLLSPLTQEAYANHRRCTLYDVMRLEGNISQMPSDALEAIKTNFHVL* >Brasy4G038900.1.p pacid=40084920 transcript=Brasy4G038900.1 locus=Brasy4G038900 ID=Brasy4G038900.1.v1.1 annot-version=v1.1 MELRPLAALFVLLAVAAAAAAVPAEELETEAMSAYIVHVAPGQAPKLPRRALHSTRAYASFLRAHIPVEMMSGPSARPRVFYSYSHAATGFAARLTSRQAEQLASVSSVLAVVPDTMIELHTTLTPSFLGLTETSGLLQASNGATDVVIGVIDSGVYPDGRASFAADPSLPPPPSKFRGGCVSTPSFNASAYCNNKLVGAKFFSKGQKVARPDDSPLDTMGHGTHTASTAAGSAVSGAGFFDYAGGKAVGVAPGARIAVYNACGRGCTSTDILAAFDEAIADGVDVISVSLGAVGKAPEFHKDLIAVGAFSAVRKGIVVSASAGNAGPGEKTAVNIAPWILTVGASTINRVFPADAVLGNGESFTGTSLYAGEPLGATKVPLVYGGDVGSNVCEVKKLNATMVAGKIVLCDPGVNGRTAKGEAVKLAGGAGAILASTEEFGEQAMTSPHVLPATAVPFAAAEKIKKYISTQKSPVATIVFRGTVVGRSPPSPRMASFSSRGPNLHAPEILKPDITGPGVDILAAWTGASSPSGLDSDTRREQFNIISGTSMSCPHVSGIAALLRQARPKWSPAMIKSALMTTAYNVDSSGSTIGDMSTGNESTPFARGAGHVDPNRAADPGLVYDAGNDDYVAFLCALGYTDKQVAIMTRDATSCSTRSKGAAVGDHNYPAFAATFTSSKFDVITQRRVVRNVGSSARATYRAKVTTPAGTRVTVRPRILEFSETRETLEYKVTFAQRIIGTVAEKHTFGSIEWSDDSGEHKCAQLEAIADGVDIISLSLGASRWAPPFDIDSIAVGTFSCLISLHCCDGGSCIDLVHMNPLLMLWMGIIMLIFY* >Brasy4G271800.1.p pacid=40084921 transcript=Brasy4G271800.1 locus=Brasy4G271800 ID=Brasy4G271800.1.v1.1 annot-version=v1.1 MEGGDARNGSIGTSQIKGSGDDGPTKPLPPCCVKARAAAPESEAKCHATVVSGWFSEPRSRCGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLIFESLTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKRVLVIGGGDGGVLREISRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLKNSPEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGAIGFLLCAKEGPPVNFLAPVNPIEKLEGAMKAGRDIRFYNSEMHRAAFVLPTFVKRELKAYCGSSRKEQPEETSAEQAKITIEPHSEFLTAS* >Brasy4G357000.1.p pacid=40084922 transcript=Brasy4G357000.1 locus=Brasy4G357000 ID=Brasy4G357000.1.v1.1 annot-version=v1.1 MSLHPRRFIRHICSSSASASELASAPTAVSTAASLAADTVLRGPRFESNLLKLIPRALLFDPSCVRLTLSHLLPSPEPSLHFLHFLSSLVPAPEAAPAPSPQLPGVDAFLLRLPPHLAADAAALLASHLGLHPSIPALNAASRAALRAARPDVVFNLFSTFSSSPDFPGDADTVSCLARACAADRRPLDGLRLLRDAARRGSPPSSASAADLVKAFAASGNFPKVSDTLHLMISAGCPPDTVVYQCIIHGLFAHRMGSEALRVFNEIKLRGYDIDAVTYTTVIDGLCKMGHIVDARKMWDEMVDKDMEPNEYAYCSFLGYYCKAGDFDMAYKLYGEMLGKGFKESTVSCNIIVAGFCVHMRVDEAIAVFEGMVTEGIEHDVFTYNTLIQGLCKVGRLAEAIGMYQRLLSSGLEPTVSTFTPLIDTMCEEGQVDHAVELFRLMQAKGLEPLVRSNDSVINGFCKARRADDGMAWLAGMLKSNLKPQKQTFNSLLELLSTSERVDDALLILNTMLKSGFGLDSLACTILVDKLCTGNVPYSHKLEDILVSS* >Brasy4G357000.2.p pacid=40084923 transcript=Brasy4G357000.2 locus=Brasy4G357000 ID=Brasy4G357000.2.v1.1 annot-version=v1.1 MPPRCSPPTSAFTRPSLHSTLLPALPSGPRAPTSSSTSSPPFPPPPTSQATPTPSPASRAPAPLTAAHLTASASSAMPLDVAPLRHLLPPLTSSKPSQPPATSPRMGSEALRVFNEIKLRGYDIDAVTYTTVIDGLCKMGHIVDARKMWDEMVDKDMEPNEYAYCSFLGYYCKAGDFDMAYKLYGEMLGKGFKESTVSCNIIVAGFCVHMRVDEAIAVFEGMVTEGIEHDVFTYNTLIQGLCKVGRLAEAIGMYQRLLSSGLEPTVSTFTPLIDTMCEEGQVDHAVELFRLMQAKGLEPLVRSNDSVINGFCKARRADDGMAWLAGMLKSNLKPQKQTFNSLLELLSTSERVDDALLILNTMLKSGFGLDSLACTILVDKLCTGNVPYSHKLEDILVSS* >Brasy4G033000.1.p pacid=40084924 transcript=Brasy4G033000.1 locus=Brasy4G033000 ID=Brasy4G033000.1.v1.1 annot-version=v1.1 MLLLRPALPVTSTLPLFSPFAAVRRRSSIVAAGMAGVSAASPGAAQIGGFLSKKPYAPPSWASHLSLAPPHTFSLGHFPTPIHKWNLPNLPEDTEVWIKRDDLSGMQLSGNKVRKLEFLLADAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLLGAHIDLVSKEEYGQIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYVEAIREIEQQIQLSGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLDSRDIVSIENAKGLGYAMNTTEELKFVKDIAAATGIVLDPVYSGKGAYGMLKDMSSNPAKWKGRKVLFIHTGGLLGLYDKVDQMSSLAGSWRRMDLQESLPRKDGTGKMF* >Brasy4G311700.1.p pacid=40084925 transcript=Brasy4G311700.1 locus=Brasy4G311700 ID=Brasy4G311700.1.v1.1 annot-version=v1.1 MAGGSGSLAPLSGSCFALLASLLSAVSIFVSAEAGAVHKVVDPQWHQATATWYGSADGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKGGEGCGACYKVRCLDAGICSRRAVTVIVTDECPGGYCSSGRTHFDLSGAAFGRLAVAGHGGQLRNRGEISVVFRRTPCKYRGKNIAFRVVEGSTSFWLSLLVEFEDGDGDIGSMQLKQAKSTQWQDMKHIWGATWSLTPGPLIGPFSVRLTTLTTKKTLSAQDVIPRNWTPKATYTSRLNFI* >Brasy4G012800.1.p pacid=40084926 transcript=Brasy4G012800.1 locus=Brasy4G012800 ID=Brasy4G012800.1.v1.1 annot-version=v1.1 MAIGASDELLGTFVPIAVYWLYSGMYVALDGVERLDLYRLHPREEEAAKNAVPRSAVVWGVLVQQAFQVAVSLTLFAVIGDESGIEQKQPPALMIALQFVIAMFVMDTWQYFMHRYMHVNKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLISGMTPRTSIFFFSFATIKTVDDHCGLWLPGNVLHALFNNNSAYHDIHHQLYGNKYNFSQPFFVLWDKILGTYMPYSIEHRKGGGFESRPAKLVEQTKTD* >Brasy4G301400.1.p pacid=40084927 transcript=Brasy4G301400.1 locus=Brasy4G301400 ID=Brasy4G301400.1.v1.1 annot-version=v1.1 MSRLSFRPRPLDIHKKLPILKSARDFEDDDPTAAAVAAARVGVLLRHSGAELTAAATATDGEGISVPSKKNAQEIPTPQFDDVETYERDYTRTFAQPLCYIRGRGARAEIGEFVEYDLDNEDDDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQFDVAVEALQYLSVRYAVFQAVYNYWQAKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLDQAKALMGALIKREETKREVMECEVNLQRIQMQYKHEAQLVDDGTTLSSFQQVSSKFVSSDDDYADSDDTTTEQPYFRPPVRHRFPDNKLSVIPTLRIKHEQLKRRPQQNGWLFKRDPEEPVFLFTRPLDPEKLVAAGIKLPPDPPIENGATMPQFRCRGRIGRGGRIIFDRWNPLIQTPSPIGQETSHYVQYSHRPPSPEG* >Brasy4G301400.2.p pacid=40084928 transcript=Brasy4G301400.2 locus=Brasy4G301400 ID=Brasy4G301400.2.v1.1 annot-version=v1.1 MSRLSFRPRPLDIHKKLPILKSARDFEDDDPTAAAVAAARVGVLLRHSGAELTAAATATDGEGISVPSKKNAQEIPTPQFDDVETYERDYTRTFAQPLCYIRGRGARAEIGEFVEYDLDNEDDDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQFDVAVEALQYLSVRYAVFQAVYNYWQAKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLDQAKALMGALIKREETKREVMECEVNLQRIQMQYKDPEEPVFLFTRPLDPEKLVAAGIKLPPDPPIENGATMPQFRCRGRIGRGGRIIFDRWNPLIQTPSPIGQETSHYVQYSHRPPSPEG* >Brasy4G301400.3.p pacid=40084929 transcript=Brasy4G301400.3 locus=Brasy4G301400 ID=Brasy4G301400.3.v1.1 annot-version=v1.1 MSRLSFRPRPLDIHKKLPILKSARDFEDDDPTAAAVAAARVGVLLRHSGAELTAAATATDGEGISVPSKKNAQEIPTPQFDDVETYERDYTRTFAQPLCYIRGRGARAEIGEFVEYDLDNEDDDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQFDVAVEALQYLSVRYAVFQAVYNYWQAKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLGSPSAPRWTES* >Brasy4G355100.1.p pacid=40084930 transcript=Brasy4G355100.1 locus=Brasy4G355100 ID=Brasy4G355100.1.v1.1 annot-version=v1.1 MASKTKMAATIVASLLLLSLLLPCDAAGGDDLMRCDAECLAGCKSDGCQEGECDVHLGSQCVCSKCTPPNPRRLLQRLNNA* >Brasy4G038200.1.p pacid=40084931 transcript=Brasy4G038200.1 locus=Brasy4G038200 ID=Brasy4G038200.1.v1.1 annot-version=v1.1 MASGDKGISERGKGSPGATSPGGWLRCCSDPLAARFRTPSLGTHHTRSSPMGFSSQKRGIAQKPMWDKARASGMAELADTPTARKCVLWKTMFVAWDLQLKKLKSGTHF* >Brasy4G108800.1.p pacid=40084932 transcript=Brasy4G108800.1 locus=Brasy4G108800 ID=Brasy4G108800.1.v1.1 annot-version=v1.1 MTCHSTTGLYLQHLVHSCFSGHSNPLSPPPPPPPPPRRYHLYHFTPLAELLLRAKLASRRLACGALLYSTLLCSHLGDMSKKIVVKLELHDNKDKQKALKAVSALVGIDALSMDMAARKMTVVGMVDRWMWRARRRRTAKERRKKARGTRRTRTRRRATGEKKPEPMTAEQQQQQLVAELMNQYRSAYYSPYMNTHYVVQSMEENPNSCTIC* >Brasy4G111000.1.p pacid=40084933 transcript=Brasy4G111000.1 locus=Brasy4G111000 ID=Brasy4G111000.1.v1.1 annot-version=v1.1 MAPTTVVAAPATGAVASTVDVGKPARSVGLGLPALPPLPGLAAHAQPRVASFCKRFARNVVAMAAGEPAAPLADNAELTEFINALKQEWDRVEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVVPGLMEAVGIGYSGWFAYKNLLFKPDRKAFFAKVRNIYEDIISG* >Brasy4G192700.1.p pacid=40084934 transcript=Brasy4G192700.1 locus=Brasy4G192700 ID=Brasy4G192700.1.v1.1 annot-version=v1.1 MALLIPLVLALLVASAGAQMPPVQPPPTPSAPQAPTAPPAGNPPPAPNAPPAGNPPPAPTAPPAGNPPPAPTMAPPQAPATPPPAPQAPLAPMTPPPAPTTPPPAPTTPPPSPPTQPPPAPTTPPPSPPATPPPAPATPPPSPPMSPPPMATPPPSMAPTTSPTTAPATSPATTPSASPTSPKTPSPAGALSPSGPALAPAGGTPTTDSSATSARSAGAAALVVALATAGLAVFL* >Brasy4G044800.1.p pacid=40084935 transcript=Brasy4G044800.1 locus=Brasy4G044800 ID=Brasy4G044800.1.v1.1 annot-version=v1.1 MERILKSARESGSLNLSNRSLSEVPGEVYNNLDTGSQDDKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNQISSLPAAIGDLPLLKSLDVSFNQINTVPEEIGLAAALVKVDFSNNCLTELPASLARCLNLSELKASNNKISRIPDELAGCSKLSKLDLEGNKLEMLSENMFSSWTILTELNAAKNLLTTIPASIGALSKLIRLDLHQNKITSIPSSIKGCSSLAEFYMGNNLLSSIPADIGMLSKLGTLDLHSNQLKEYPVGACKLKLSFLDLSNNTLSGLPPELGTMTTLRKLLLSGNPMRTLRSSLVSGPTSTLLKYLRSRLSSDEEASGSGSTPTKDDQVAVARRLSLSSKELNLSGLGVTSVPPAAWETSDVVKLDLSKNSIEDLPNELTLCSSIQALVLSNNKIKKWPGMVVSSLPSLSSLKLDNNPMAEISSTDLEVLSKLEVLDLSGNASSLTKPSALSSLPQLQELYLRRVKLHEFPIGLLGLKLLRILDLSQNYLTTIPEGIKEFTALIELNLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTVLDRGTKAVLQYLKDKLPSH* >Brasy4G426800.1.p pacid=40084936 transcript=Brasy4G426800.1 locus=Brasy4G426800 ID=Brasy4G426800.1.v1.1 annot-version=v1.1 MAGVLPRLIFVATLLLASIKSSTASRMAKPGCKETCGNLTIPYPFGIGEGCFRHGFDVSCENDTVYRHNSSSKLKIYNINLLGGQATHSTYVATNCFYNKNNYSIDGFAWLNTGRFFTVSTKENKLTAVGCNTLAFLGGYNEHSVGAGCFSMCTDKKSVDRSGECSGMGCCQTSIASNVFSSNITFDLRFNNSEVSSFNPCSYAFVAEQDWFKFKAYYLEYDKFTKEFKDGVPTVLDWVAGNEKCNEAAKNMSAYACISKNSKCIDSTNATGYLCTCNDGFAGNPYLEDGCQDIDECSFPDHYPCHGICSNMIGNYSCLCKSGTRSTDPKKDTCNPIGESERAKLTKVSIGISACAMFLLICIFALLMECQKRKLVKEKEIFFQQNGGLLLYERIRSKHVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDNREVAIKRSKIMNMAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLDARLRIAQESAEALAYLHSSASPPIIHGDVKSPNILLGDNYTAKVTHFGASRMLATDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASSFLLAMKDNSLQSILDKNILEFNTELLQEVAQLAKCCLIAERLRTIRNRWREQLMQNPINETECLLENSSSNYDISSTGQHRSLMALDLESGR* >Brasy4G240000.1.p pacid=40084937 transcript=Brasy4G240000.1 locus=Brasy4G240000 ID=Brasy4G240000.1.v1.1 annot-version=v1.1 MPHRARPMTGLLAFMGVNLLVLNTITLVYDFVCFHPYWDRRRERRQREREELQVKSSLGIAK* >Brasy4G020700.1.p pacid=40084938 transcript=Brasy4G020700.1 locus=Brasy4G020700 ID=Brasy4G020700.1.v1.1 annot-version=v1.1 MAAAAASSSSSAGGSPAQAPGGGGGGVPYHRSRFGDTTLTKVFVGGLAWETPSAGLHDHFREYGEILEAVVITDRETGRSKGYGFVTFRDPESARQAVQNPNPVIAGRRANCNIASMGPPRPSPQRSGRTPRGAHFADQQPPPPPPYSYMGGRMQSQQHQQMATQPHAMFYPSQQYGYWYPPDYPYQQALYNSQVQQYYSHMYGQTSPSTSPYPYMGYMPGGQGPRAGFSPMQQVAAPPFFQQPTAQTESSFQTAPSLPPNFRLQLPPRAISRQSDDTSGSQPTQAIPATEATSTEDQEASTPVTRSNSDLNTSN* >Brasy4G020700.3.p pacid=40084939 transcript=Brasy4G020700.3 locus=Brasy4G020700 ID=Brasy4G020700.3.v1.1 annot-version=v1.1 MHVFLVKPSLAYVGHMVIPPLHRPCNCFASPWPVPSCPVCNTWRTPRGAHFADQQPPPPPPYSYMGGRMQSQQHQQMATQPHAMFYPSQQYGYWYPPDYPYQQALYNSQVQQYYSHMYGQTSPSTSPYPYMGYMPGGQGPRAGFSPMQQVAAPPFFQQPTAQTESSFQTAPSLPPNFRLQLPPRAISRQSDDTSGSQPTQAIPATEATSTEDQEASTPVTRSNSDLNTSN* >Brasy4G020700.4.p pacid=40084940 transcript=Brasy4G020700.4 locus=Brasy4G020700 ID=Brasy4G020700.4.v1.1 annot-version=v1.1 MGGRMQSQQHQQMATQPHAMFYPSQQYGYWYPPDYPYQQALYNSQVQQYYSHMYGQTSPSTSPYPYMGYMPGGQGPRAGFSPMQQVAAPPFFQQPTAQTESSFQTAPSLPPNFRLQLPPRAISRQSDDTSGSQPTQAIPATEATSTEDQEASTPVTRSNSDLNTSN* >Brasy4G020700.2.p pacid=40084941 transcript=Brasy4G020700.2 locus=Brasy4G020700 ID=Brasy4G020700.2.v1.1 annot-version=v1.1 MGGRMQSQQHQQMATQPHAMFYPSQQYGYWYPPDYPYQQALYNSQVQQYYSHMYGQTSPSTSPYPYMGYMPGGQGPRAGFSPMQQVAAPPFFQQPTAQTESSFQTAPSLPPNFRLQLPPRAISRQSDDTSGSQPTQAIPATEATSTEDQEASTPVTRSNSDLNTSN* >Brasy4G131900.1.p pacid=40084942 transcript=Brasy4G131900.1 locus=Brasy4G131900 ID=Brasy4G131900.1.v1.1 annot-version=v1.1 MTRRLPQIQHSPRPPPSSSPASVSADLNASVGVSRAWGLGMVGAGEGGRVGGSGSGAGVGGGQSFVDRSKVRILLCDSDPDSSRDVLRLLCNCSYQVTCAKSPRQVINVLNCEGAEMDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLAEKNFFNDNLELVLSDPSDANTNSTTLLSDETDDRPKENTNHETNTSNQHEYESPVAESPKRDQVENLPDIMEYDNNASSTGGMFSRPIKTNLRVGESSAFLAYVKSSTPTNSQLDSEQQRGCHRLDSMDHQGNLSRAADRIDTNSSINIEDEKAFETPMQYPVVCYSSSNSHHERSNEVQHDVSGAPPVYHFPFYYPGMIEHGMALPPVQNFQGNINSAQPHTPPTLLHQYNVYPQSHGVSMMPSFQYNHAGMSFHQSSHLSMQNVWSSVSSTPVPDETCSRSDRRAAALAKFRQKRKERCFDKKVRYVNRKKLAEIRPRVRGQFVRQAGNADIISTGDDISEDEDDPSSREVEMVSSPE* >Brasy4G421700.1.p pacid=40084943 transcript=Brasy4G421700.1 locus=Brasy4G421700 ID=Brasy4G421700.1.v1.1 annot-version=v1.1 MRPRAKQPRTPQRCVELVDSSSPQQLLVVVTAASGEQSRAASIPPMDTVFSHLPPPATIQAALGSSVYYRQSELLCSFAATHPTNSSAAAANFATTSSFPTGAGEDDGALAARGAKLYRGVRHAAAAVGQVGGGDPAAAEPGARLARHLRLARDRGARLRPSRLPAPRRVRAPQLPRRHGQRRARARRLREETKQQQQQLSQNQAPPTAAVSEKGGLALREKRNRNTSGHPYCCPNVHRTLRQCFA* >Brasy4G211500.1.p pacid=40084944 transcript=Brasy4G211500.1 locus=Brasy4G211500 ID=Brasy4G211500.1.v1.1 annot-version=v1.1 MASGWGINGNKGRCYDFWVDFSECMSRCRQPSDCVLLREDYMECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVTAHH* >Brasy4G281600.1.p pacid=40084945 transcript=Brasy4G281600.1 locus=Brasy4G281600 ID=Brasy4G281600.1.v1.1 annot-version=v1.1 MAGGGGDLKMLGMWASPAVLRVRLALSLRGVSYEYVEEDFKNKSDLLLKSNPVHNKVPVLIHGGKPVCESLAILEYIDEAFAGVVPSLLPADPYERAVARFWAAFVDETLVKAMYQASWGTTEEKEDGKKKVTAAVETLEGALRECSKGKPFFGGDSAGYLDVALGCLLAWVHAVDAIQGVEIFDPSTMPLLAAWADSFGALDEVEAVMPDLNRLIEFVMEQ* >Brasy4G249200.1.p pacid=40084946 transcript=Brasy4G249200.1 locus=Brasy4G249200 ID=Brasy4G249200.1.v1.1 annot-version=v1.1 MATAACPLPHRWLLLLHVGLLAFLLAAAPAAEAWTGEIRGRVVCDVCADSAIGPEDHVLEGSCLGQ* >Brasy4G093100.1.p pacid=40084947 transcript=Brasy4G093100.1 locus=Brasy4G093100 ID=Brasy4G093100.1.v1.1 annot-version=v1.1 MGRAPCCDKATVKKGPWSPEEDAKLKAYIDDNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARTSLQLHDPQPPLHGMAAAGAGSAALSSSALERIQLHMRLQQGLYGSTAMPQWALAPKLEATDAIAMTTTVSTQQQHIPDQSLLLNYSSSSAAELAAAEAACNGAAAEMSSMAGNANAIAGFGSYGHVDELYDFLYSKHSAAAAVGAFEGGMTIPSLLPELHGGAAVVGADEKFSAWTAAAASCGEFGTTTGGGQLQLHGSCDSINNLQDFVLGYDQ* >Brasy4G342100.1.p pacid=40084948 transcript=Brasy4G342100.1 locus=Brasy4G342100 ID=Brasy4G342100.1.v1.1 annot-version=v1.1 MATANDSGDPASPSSSTRALESVPFSSGNPRIEETRGVVLLHPDPSAAAAASSLSSDLPVGRKPRVCVPAVPNHMTYADFCRFCGAFVPHMLEMRIVRIDGADDQYSVLIKFDTLSSTDSFYKHFNGKRFSSMEGDVSRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGISENLWICVICGNVGCGRYKGGHAIEHWKETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGDHGADGMCSICSGDAGMDEALLNSKVEAIVEEYNDLLTSQLDKQRNYYEALLLEVKEENEKEISAATAKAVSIKLQKLQAKLDKCIEEKSFLDDINTNLVKNQEMWIERIRKVQEREQAAFRLKDEKIEKLEAELTDLIAHIECHNAVAAAPESIASEIQGGSVLPGPSAASSSSISGGSPVRPTRGRKRN* >Brasy4G342100.2.p pacid=40084949 transcript=Brasy4G342100.2 locus=Brasy4G342100 ID=Brasy4G342100.2.v1.1 annot-version=v1.1 MATANDSGDPASPSSSTRALESVPFSSGNPRIEETRGVVLLHPDPSAAAAASSLSSDLPVGRKPRVCVPAVPNHMTYADFCRFCGAFVPHMLEMRIVRIDGADDQYSVLIKFDTLSSTDSFYKHFNGKRFSSMEGDVSRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGISENLWICVICGNVGCGRYKGGHAIEHWKETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGDHGADGMCSICSGDAGMDEALLNSKVEAIVEEYNDLLTSQLDKQRNINTNLVKNQEMWIERIRKVQEREQAAFRLKDEKIEKLEAELTDLIAHIECHNAVAAAPESIASEIQGGSVLPGPSAASSSSISGGSPVRPTRGRKRN* >Brasy4G342100.3.p pacid=40084950 transcript=Brasy4G342100.3 locus=Brasy4G342100 ID=Brasy4G342100.3.v1.1 annot-version=v1.1 MATANDSGDPASPSSSTRALESVPFSSGNPRIEETRGVVLLHPDPSAAAAASSLSSDLPVGRKPRVCVPAVPNHMTYADFCRFCGAFVPHMLEMRIVRIDGADDQYSVLIKFDTLSSTDSFYKHFNGKRFSSMEGDVSRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGISENLWICVICGNVGCGRYKGGHAIEHWKETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGDHGADGMCSICSGDAGMDEALLNSKVEAIVEEYNDLLTSQLDKQRNYYEALLLEVKEENEKEISAATAKAVSIKLQKLQAKLDKCIEEKSFLDDINTNLVKNQEMWIERIRKVQES* >Brasy4G342100.4.p pacid=40084951 transcript=Brasy4G342100.4 locus=Brasy4G342100 ID=Brasy4G342100.4.v1.1 annot-version=v1.1 MATANDSGDPASPSSSTRALESVPFSSGNPRIEETRGVVLLHPDPSAAAAASSLSSDLPVGRKPRVCVPAVPNHMTYADFCRFCGAFVPHMLEMRIVRIDGADDQYSVLIKFDTLSSTDSFYKHFNGKRFSSMEGDVSRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGISENLWICVICGNVGCGRYKGGHAIEHWKETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGDHGADGMCSICSGDAGMDEALLNSKVEAIVEEYNDLLTSQLDKQRNINTNLVKNQEMWIERIRKVQES* >Brasy4G111500.1.p pacid=40084952 transcript=Brasy4G111500.1 locus=Brasy4G111500 ID=Brasy4G111500.1.v1.1 annot-version=v1.1 MSGPAAAAGAAALLVILACASAKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGSDPAALLAANALDFASPGAANRILPAGLALRVPTRCACSDGVRRSVSVRYAARPADTLASVADVVFSGLASADQIRNANGLAEEDPDAQLDPGQMLVIPFPCVCFNSTDNNLPAVYLSYVARVGDTVESIAASHATTVTDLSNVNAMGSPVVAPGDILAIPLPACESTFPNSASDYGLLVANGTYALTAGNCVECSCGPGDLNLYCTPASLTATCSSMQCPNSNLMLGNVTAQSSSSGCSVSSCNYAGLVNGSIATSLSSGLQPTCPGPHQFPPLTGLPTAPSHESYLPPSPSPEPAEAGGAVPGSSPGGSNTSPAGGLSRSLSVHQMLLVLSLVLYLHM* >Brasy4G123200.1.p pacid=40084953 transcript=Brasy4G123200.1 locus=Brasy4G123200 ID=Brasy4G123200.1.v1.1 annot-version=v1.1 MDASAADLEARQLRILRRVEHLELAAQQYRLGALSVSDAEAEVEKGDTEARLSTLLAARGVRDFAFRRVPADYYDRPLEERRDLLAADSVAQLCKSIVMVNTKAGADVVDCSNPKNSKYYVVIVQYMARLNAENIKNFLYALNENQIPKKRFNMRLAPEEESRMLTGFVHNSVTCIGMETDIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLNVFSPFVVNCS* >Brasy4G072500.1.p pacid=40084954 transcript=Brasy4G072500.1 locus=Brasy4G072500 ID=Brasy4G072500.1.v1.1 annot-version=v1.1 MAATLHLQAMPLLVLLLLLPMTRVSAQEQQPPLQVVRWGCRDKCGNITIPYPFGIGAGCFREDGQWGFQLECDDSFPTPRLTVTGDYGFQIASLSIPTGEARAYFNATRQCYDNKGGIISQSGTTSVPLFGSNYLFSPTSNRLVTLGCPNLGYFVDAPGNYVSGCVSVCGPSQFAGTGSCTGVACCQSTIPPALAYYEPHLIDFPKKKGGDPIFYSNSTNCRYVFLVETDWLSTKYAGGGEYLNRTEDFAVTVVLDWAVRNIGNCSAARRNTTDFACRSPPSECVDSTVGVGYQCNCSRGYEGNPYLDDGCRDIDECQRKDEYPCDGVCTNTPGSYTCQCPPGTSGDATRKNGCRPKDNFTLALKVVTGASIGVFLPVFMCFWLYLGIQKRNLVRTKQKFFELNGGFFLQQQIHAYNATGTPAGSFKIFSNEELEKATNNFDADCVLGRGGHGIVYKGVLADKTVVAVKKSKMMEKAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHSKNLNADTAFSTFLRIAVESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPGDEAKMATLVQGTCGYLDPEYLMTCRLTAKSDVYSFGVILLELLTRKKALYFDGPEEERSLVLCFMMAVKAGQHQELLDSQMRDEMKIEALEEITHLVMRCLNMSGEDRPTMKEVAERLEMLRRYQQHPWGQGDANPEEEQRLLAMEQQNVNYKFTKDYVLDFEGSSTYSFNA* >Brasy4G019200.1.p pacid=40084955 transcript=Brasy4G019200.1 locus=Brasy4G019200 ID=Brasy4G019200.1.v1.1 annot-version=v1.1 MHASMGGRRRGFEPRDETTHFVMEDFAASNDGAMLLHGRGKSKMYPAGFSTNPTPIQSRSVEGPCGNGNFVHKNDITVLPMSAEAGLISADGYAGISSVSDVCSSEEGNTARPQDEHTNKGNDLFLLDWPELGNLEDFETDLRKFDSTFEFDSGSNYFDDPMWPSICSPDVQPVPSSRFDNPNSSAVANERATYPILKPTVSVPDTTDQINMADPVSMQQPSGNKGRGELPLNPSSSVKETGHFAPPQHPESDLLICPLDEMLVPRASGITGCNETMVSSSSARSPPDLVVLGSTKKKPDAMAPDMILDEMAGNPLEMYFPPLTTYEQPKTSTHMHRLPEDPPRPSMALEAVAAPVKHLGFQKLQEGMNQLDVGTKTCIRDALYRLANSVEQRHCVGPQQLTGGGVVGSSGPNRFGTSVWTETDRSVAQLLLQKPPLNRKTSSQANHVI* >Brasy4G128100.1.p pacid=40084956 transcript=Brasy4G128100.1 locus=Brasy4G128100 ID=Brasy4G128100.1.v1.1 annot-version=v1.1 MEHLLMQRATTRPCFFFFLWLSVQVLFLSPAYSLNQSSCSPGDFNALMGFLKGLSAGVSSWAVPNATSEAANCCAWLGVTCDDGGRVIGLDLHGRYLKGELTLSLAQLDQLQWLNLSNNNLHGAIPASLVQLHRLQRLDVSNNELSGEFPVNVSLPVIEVFNISFNSFSGTHPTLHGSTQLTVFDAGDNTFAGRIDSSICEPSGMLRVIRFMSNLFSGDFPSGFGNCTKLEELSVELNGISGRLPDDLFMLKYLKHLSLQENQLADWMSPRFGNLSSLAQLDISFNSFYGHLPNVFGSLSKLEYFSAQSNLFRGPLPSSLAHSSSLKMLYLRNNSLNGKINLNCSAMAQLGSLDLGTNKFTGTIDTLSDCHHLRSLNLGTNNLSGEIPAGFSKLQVLTYISLSNNSFTNVPSALAVLQDCPSLTSLVLTKNFRDGNALPTTGIDGFHNIQVFVIANSHLSGAIPPWLANFAELKVLDLSWNQLAGNIPAWIGGLEFLFYVDLSNNSLTGEIPNSFSSMKGLLTCNSSQQSTETDYFPFFIKRNKTGKGLQYNQVSRLPPSLILSHNKLTGVILSGFGSLKNLYVLDLSNNHITGIIPDELSGMSSLESLDLSHNNLTGTIPSSLTNLNFLSSFTVAYNNLMGAVPTRGQFSTFASSDYEGNPRLCGSRFGLAQCHSSHAPITSATEKGKNKGLILGTAIGIALGAALALSVSVVVVMKRSFRRQDHTVKAVTDTVGSLELAPASLVLLFQNKDDDKALTISDILKSTNNFDQANIIGCGGFGLVYKATLPDGATVAIKRLSGGFGQMEREFKAEVETLSKAKHRNLVLLQGYCRAGSDRLLIYSYMENGSLDHWLHEKPDGPPKLSWQRRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDENFEAQLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVINMKGENREADVLDRAMYEKKFEIQMMKMIDIACLCISESPKLRPLSHELVLWIDNIDATSEAIK* >Brasy4G419800.1.p pacid=40084957 transcript=Brasy4G419800.1 locus=Brasy4G419800 ID=Brasy4G419800.1.v1.1 annot-version=v1.1 MYGSRRLYLQKDPHEDYQRSGDWRSRSPERREMEPRGAATRRRSAALLLLAAILAAAASSASASTIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRIVELLDDLCDKMQDYTLQKLESGEKGWVKVANWNSFQTEKKAAARAHSKNLSTFCGRLLEETEDELEEWIKTGSAESGKVSQALCVDISKHCQSSSSATTPIDDEL* >Brasy4G419800.2.p pacid=40084958 transcript=Brasy4G419800.2 locus=Brasy4G419800 ID=Brasy4G419800.2.v1.1 annot-version=v1.1 MYGSRRLYLQKDPHEDYQRSGDWRSRSPERREMEPRGAATRRRSAALLLLAAILAAAASSASASTIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRIVELLDDLCDKMQDYTLQKLESGEKGWVKVANWNSFQTEKKAAARAHSKNLSTFCGRTLLTIE* >Brasy4G365700.1.p pacid=40084959 transcript=Brasy4G365700.1 locus=Brasy4G365700 ID=Brasy4G365700.1.v1.1 annot-version=v1.1 MNILQDLKHLKHISVPTRPVICKCLIIVIGLIVLRAIVSPFLAINSSEKSFYESATLDLFPGVRKDKFVEVPQIIWGLNNQKIAFARACLTAKYLNRSLLMPSLSASLFYKEVDLLQPITFDKVFDFNKFNARCHGFARLAQYSEVSNHTEPFILQKGSGRRWTVERDLDQLQQSRLGVVDGSEVIHITGKHPFLWPDHWPVKDYARIFECLALAPEVETEVVKVISKIREAGRKARHEAAISHNKNKMDGLTNLPVQYIAVHMRIEKDWMIHCKKWEQRSNLNEICSSKGEIIHKVSQITDLRRPVVVYLAVADSLLEDDSVTSGWRVGMIAYEKKKLGVTAIYDRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTEKLYKLGKATSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDISLQRISYGTNNISCH* >Brasy4G129700.1.p pacid=40084960 transcript=Brasy4G129700.1 locus=Brasy4G129700 ID=Brasy4G129700.1.v1.1 annot-version=v1.1 MAGNGAISGKDPLNWGAAAAELTGSHLDEVKRMVAQFREPVVKIEGASLRVGQVAAVAQAKDAAGVSVELDEEARPRVKASSEWILSCLAGGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHSLPAEVTRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQATTADGRKVDAAEAFKVAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVLFDCNVLAVLSEVLSAVFCEVMNGKPEFTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEIDPQLKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREVNSVNDNPVIDVHRGRALHGGNFQGTPIGVSMDNTRLAVANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENIKASVKNCVTQVSKKVLTMNPTGDLSSARFSEKSLLTAIDREAVFSYADDACSANYPLMQKLRAVLVDHALTSGGVDAGESEATVFSKINKFEEELRAALPREIEAARVAFEKGTAPIPNLIRDSRSFPLYRFVRQELGCVYLTGEKLLSPGEECNKVFVGISQGKLVDPMLECLKEWNGEPLPINVV* >Brasy4G403100.1.p pacid=40084961 transcript=Brasy4G403100.1 locus=Brasy4G403100 ID=Brasy4G403100.1.v1.1 annot-version=v1.1 MAGSTWVMLEKKGSRPGTRSSHAITVVGGTAYSFGGELIPRVPVGSTMYASDLKTAATPPSAADREKWGREMGYGGGALCSFPFSGSGLFFSRFGGGRSRSRGPLQAVTFAFATVRRFPWRQVPAYVLAQMLGATLRFVFGGRHERFPGTPPAGSEVQSLVLEFIVTFYLMFAISGVAADNRALIPNNLKVSRTQHYVTYHYQLQYLFWRKASAFDGICRNLKVSRT* >Brasy4G107200.1.p pacid=40084962 transcript=Brasy4G107200.1 locus=Brasy4G107200 ID=Brasy4G107200.1.v1.1 annot-version=v1.1 MATPGGLNVSSRRSLPALQQNPANPKKPCASVSLPRPLFRPRRRSNKHAVVSVASSSTGQAGEQQPAPAVAARPPPEEDALIGVEFRTRDGCRLGISRYPDFAYNAQGGRGVGAGRAAEGTSTTVLVDFDVASLYIPAMSGATTRFLGLPLPPFLKIDILPEALRGSIDRTTGQVDLQFRSRFCFSVGSIYQAPPLFVDTTLTSEESAGAMRRGMGERLDGEGRCKLVGVAVLDPIDDLFMNTFLNLPTECIAYLNATISIASA* >Brasy4G299200.1.p pacid=40084963 transcript=Brasy4G299200.1 locus=Brasy4G299200 ID=Brasy4G299200.1.v1.1 annot-version=v1.1 MDRSLQWRRRPASLLFVAVAFLAAVAAADNFDAKARALAAYHPDPISVANSFNRAVHRSTSPRRALKGKKHQSNGPCEATNPIDRCWRCRKDWATDRMRLARCAKGFGQNTTGGLGGQIYIVTDPTDADVQNPRPGTIRFGVIQPQPIWVVFAKNMVITLTQELIINSDTTIDGRGAQVHIAKGAGLTVQNRSNVIIHNLHIHDIRHTDGGMVRDSPDHVGYRTRADGDGISLFTATNVWIDHISTSMCEDGLVDIVQSSTAITISNCHLTSHNDVMLFGASDSYPDDKIMQVTVAFTHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSSNPTIISQGNRYIAPPNKAAKHITKRDYAPESEWKNWVWHSEDDLLMNEAVFDPTGGQVTYKFDSKKLIKPKPGTYVTRLVRYAGTLACKPGCPC* >Brasy4G408100.1.p pacid=40084964 transcript=Brasy4G408100.1 locus=Brasy4G408100 ID=Brasy4G408100.1.v1.1 annot-version=v1.1 MVGNQAQQLGQPAPSPGNKPAAAADGSMIDLALLYSKFLSHQPANDVPESVDTSSSGSSSATSPGVQPGSGPAQAQAQHGFGKLCRPATASTEQSETTTMLQCADVRAQALGVGELAFSVDQSCYDSLGLPTDGGDLILPSTWDQGAKYEPFDSLPVPEDAMSLHGGGPGGGDDVWSKVLGSQGLEAALCRP* >Brasy4G264300.1.p pacid=40084965 transcript=Brasy4G264300.1 locus=Brasy4G264300 ID=Brasy4G264300.1.v1.1 annot-version=v1.1 MENFKKSSGDLMSSGKVVAESAMSAFQEKSVENVDKKKVAGASAELLDSASAYAKLEDKPVGQYLEKAEVYLKQYSSGGTEEKPTDAAAPPAAAVDAPPKPEAEEAPKEPAPKPEVEEGKSSEGFGLDDVMKGAESLVEKKSGGEESGGGGLFKMAQGFMK* >Brasy4G415200.1.p pacid=40084966 transcript=Brasy4G415200.1 locus=Brasy4G415200 ID=Brasy4G415200.1.v1.1 annot-version=v1.1 MAASTFMGSPWLRIRLLPSAPAHRLLRRTLSVRASASAAAAGDDGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSRGGKFVLRVEDTDLQRSTKESEDAVLSDLSWLGLDWDEGPDVGGEFGPYRQSERNSLYKQHAEKLMESDAVYRCFCSSEELEKMKETANRMKLPPVYMGKWATASDAEVQQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFPMPLFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPEAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDGTKLKWMNGQHLRSLPSDLLIKNFEDRWKNTGILLESESGFAKEAAELLKEGIDLITDADAALSNLLSYPLHDTLSSDEAKPVVEDKLSEVASGLISAYDSGELCQAIAEGHDGWKKWVKSFGKSHKRKGKSLFMPLRVLLTGKLHGPEMASAIILVHKAGICGVVAPQSGFVNLDERFRILKGVDWESLQREQESPAESPAAVPAAS* >Brasy4G033200.1.p pacid=40084967 transcript=Brasy4G033200.1 locus=Brasy4G033200 ID=Brasy4G033200.1.v1.1 annot-version=v1.1 MELLLLPSPSRATVSRPALLPAAPLRRAFRPTATSGNVEATGAAAPTTTTTSSSGGGGAKADPAERRAGGGFGLGLDLSEEMRREMAWRMLALPAAAVAAEAALLRLLDLDGGGAAAPAWAGKAGSAVLFAAGLLGSQYGFFSSRWDAAEKGSVVGWELAVRHWGALSMARGSSSSVEEEEEEDDELGDDEEWEYYEEDED* >Brasy4G039500.1.p pacid=40084968 transcript=Brasy4G039500.1 locus=Brasy4G039500 ID=Brasy4G039500.1.v1.1 annot-version=v1.1 MAPGARIAAYKICWANGCYDSDILAAFDEAMADGVDVISLSVGAGSLAPPFFRDSIAIGAFGAMKKGIVVSASAGNSGPGEYTATNIAPWILTVGASTVDREFPADVLLGDGKVYGGVSLYAGEPLGSTKLPVVYAADCGSAYCYRGSLDESKVAGKIVICDRGGNARVEKGAAVKLAGGIGMILANTEDSGEELIADAHLVPATMVGQTFGDKIKQYVKSDPSPTATIVFRGTVIAGSPSAPRVAAFSSRGPNYRAREILKPDVIAPGVNILAAWTGESAPTDLAIDPRRVEFNIISGTSMSCPHVSGLAALLRQAHPDWSPAAVKSALMTTAYNEDNSGETIKDLATGVESTPFVRGAGHVDPNRALDPGLVYDADTADYVGFLCALGYSPSLIAVFTRDGSVADCSKKPTRSGDLNYPTFAAVFGSDNDTVTYHRVVRNVGSNANAVYEAKFVSPAGVDVTVTPSKLEFDEEHRSLGYEITLAVSGKKNPVIVDTKYSFGSLTWSDGAGHNVTSAIAVTWPSSSAGSASM* >Brasy4G039500.2.p pacid=40084969 transcript=Brasy4G039500.2 locus=Brasy4G039500 ID=Brasy4G039500.2.v1.1 annot-version=v1.1 MAPGARIAAYKICWANGCYDSDILAAFDEAMADGVDVISLSVGAGSLAPPFFRDSIAIGAFGAMKKGIVVSASAGNSGPGEYTATNIAPWILTVGASTVDREFPADVLLGDGKVYGGVSLYAGEPLGSTKLPVVYAADCGSAYCYRGSLDESKVAGKIVICDRGGNARVEKGAAVKLAGGIGMILANTEDSGEELIADAHLVPATMVGQTFGDKIKQYVKSDPSPTATIVFRGTVIAGSPSAPRVAAFSSRGPNYRAREILKPDVIAPGVNILAAWTGESAPTDLAIDPRRVEFNIISGTSMSCPHVSGLAALLRQAHPDWSPAAVKSALMTTAYNEDNSGETIKDLATGVESTPFVRGAGHVDPNRALDPGLVYDADTADYVGFLCALGYSPSLIAVFTRDGSVADCSKKPTRSGDLNYPTFAAVFGSDNDTVTYHRVVRNVGSNANAVYEAKFVSPAGVDVTVTPSKLEFDEEHRSLGYEITLAVSGKKNPVIVDTKYSFGSLTWSDGAGHNVTSAIAVTWPSSSAGSASM* >Brasy4G205200.1.p pacid=40084970 transcript=Brasy4G205200.1 locus=Brasy4G205200 ID=Brasy4G205200.1.v1.1 annot-version=v1.1 MRRAQILAITAAIAGVVVALQGGVEATPETTCRAAASADKRVDYRFCVTRLSQHHDSPDADTWGLAKVAADVGVLMAGNGVYDIKRMLADKSAAAPAAKGGNKARAALEQCEALYDRMGSAFAEAYDGISRRDYAAGKEKAREALSLARRCGDAFARAGVPSPLAKQSADSVEMAIVCTAITNLIR* >Brasy4G350000.1.p pacid=40084971 transcript=Brasy4G350000.1 locus=Brasy4G350000 ID=Brasy4G350000.1.v1.1 annot-version=v1.1 MATNENLPPNVIRQLAKELKNLDESPPEGIRVIVNDDDFTSISADIEGPGGTPYENGIFRMKLVLSHDFPQSPPKGFFVTKIFHPNISSSGEICVNTLKKDWNPSHGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEDYARHARLYTGIHALKPKNKPKSGAISESTTALNVDQSNTIVGENPPTAQCATTTNKVPGSNSQDQNAPADPALGSSAAVPKKEGPAVTKAPVDKKKLDARKKSLKRL* >Brasy4G184500.1.p pacid=40084972 transcript=Brasy4G184500.1 locus=Brasy4G184500 ID=Brasy4G184500.1.v1.1 annot-version=v1.1 MVGLPYDEWRLVAQTVPETFEQINASAWRLLEFIDGASRSINLRRSDLGYFRSREIGVANPLAQPVMPSVWIGRACHELIALNDLHSRALLLLSLYGEHLGLLEMQQGAPRIRAQGGDTRWASWAQSMADASRPADELDRRLRSALGYDNAVLGAVYQARDYPVGSPYWDAWAEAAQELAERSQDEIALALDEAHAMRNAISMEHLDAMMILNR* >Brasy4G347300.1.p pacid=40084973 transcript=Brasy4G347300.1 locus=Brasy4G347300 ID=Brasy4G347300.1.v1.1 annot-version=v1.1 MGSKEKASKHRGGGASSPRARKGEFPIRAADYELLEPIGDGATAVVRRARCLPLGGEVVAVKIMNMALRSEADVNNAAEEVKTMILTDHPNLLGAYCSFTEGENLWIVMPYMAGGSCFHLMKSSFPKGFEDERFIAFVLRETLRGLQYLHGKGHIHRDVKSGNILLDQYKGVKLADFGISASVYDSMINRNGKRHTLVGTPCWMAPEVMEQKEYDFKADIWSFGITALELANGHAPFSSQPPAKVFLMTLQHAPPSLHNTKDKKFSNSFKRMIGACLIKDPSKRPTAQMLLELPFFKKVKSEDNYVKCMLNKVPSLVARMQTISENEAKMQADKKPHDKIKEKTSHEEYFKGVSQWDFDIEDLKAQATLYSDENDSDEEEYLRFLFELDTVDETVPLQDVQPNKCLNDDKKIVGDEIAQKPNSTNPIQITQSLKPVENGAPNGLVRHESFERHSKVPAKQLSRAVSNVKDIDEYLEKSAIQKGRFKVTTEETEACTPREKELLERIASLERMLHVTQDEVVRLKAKDGKGAMACVQQQK* >Brasy4G381400.1.p pacid=40084974 transcript=Brasy4G381400.1 locus=Brasy4G381400 ID=Brasy4G381400.1.v1.1 annot-version=v1.1 MVGYRRMGIVEHKLGNITATSATLSHQLRDLWKSPRGTVVRIEALALLAIALSFFLAAFGSCRRWSGHWIVQKGVLAANVVSLSLGTYSIGLMQSSPVKSEVYPIWAVSLLALFGCIDWITAYGLGYKNQLWNMLYQLCLYYGYVLLISISTISSDVGYIAIIMLSSITFLKGFHRSLALVLPSIQRDMIQMIEATMTAEVVGYSTRSDDPDQLSFPGDFIGYRYVVHWPLDKSKAKFLPASSPEDVITIDKIQECSEVRFLSDVCLSFSLSHLLQRRFYRLQCAESKHRVAHKFVLEGLLLSRDGAIDYKRVFKVIEVELAFLYDTFFTSKAFLYYYESKVATIWALASIMGICFVGVAAVIPGAGRRSTHTTHGGAIVVGTTTTDLTITLAILVSLASLQFFHLIRCWSSNWARVAFACDYIKNGKQLSCWMRLRRWIVGRTDSDKNSLWKNKLGQYSLIESISTTECKLRSVLGGCGYQICSRLLGILGLRYIEQAIQEMWGVKTGDDIELHADVKTAIVDFLIKSKGKLHNWHSSWERNGWSVDSGFFLPDHAVTIMRWHVATCYCELVMHKEGVAVRDEDVEETVKKNHGVATALSKYCMYLMVSAPRLLHRKELGTKSVYNNVAHAARMSLHGVKDKLEAMRRLEQDDESSEGARIFQQGVAFGKRLEAMSNRWEVLAEFWAGALVYAAPSDNVQEHMEYLAQGGEFITHLWALLSHAGILKWRGGSTDYDESLVLVESEDDSESADGSEDDSESASDGSVADSEPADATESASDGSVADSEPADATESADASGRSAPDPELADGGGSSKL* >Brasy4G257000.1.p pacid=40084975 transcript=Brasy4G257000.1 locus=Brasy4G257000 ID=Brasy4G257000.1.v1.1 annot-version=v1.1 MSMQQPTCATLPGAMSLILTAAVGAFAVAAAVVRYNRKYRGLRLPPGPPGWPIVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGVRTLVVISSAELAHEALVQKGQEFASRPAENATRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTSRLREFRPARRRAMDRFVSRMRAEAGDDSSVWVLRNARFAVFCILLDMTFGLLDLDEAHILRIDAVMKRVLLATGVRMDDYLPFLRPFFRRQQRQALAVRREQVDTLLPLIDRRRAILRDRDTRPADPDVAAPFSYLDSLLDLRVEGRDGVASDDELVTLCAELINGGTDTTATAIEWAMARIVDNPAIQARLHEEIMRRVGDARPVDEKDTDGMPYLQAFVKELLRKHPPTYFSLTHAAVKPGSKLAGYDVPADANLDIFLPGISEDPKLWDRPAEFDPDRFLSGGETADMTGSAGIRMIPFGAGRRICPGLSMGTTHIALMVARMLQAFEWQAHPSQPKLDFKDKVEFTVVMEQPLLAMVTPRKIFF* >Brasy4G328700.1.p pacid=40084976 transcript=Brasy4G328700.1 locus=Brasy4G328700 ID=Brasy4G328700.1.v1.1 annot-version=v1.1 MVEVGGNSHANAIYEAFLPQGHCKPHPDSTQEERQNFIRSKYELQEFLEPSLRIVADHPSDAGKRTASDSSKSEIGMVEFIGILNVKVIGGTKLAIRDMSSSDPYVVLTLGHQRAQTSVIKGNLNPVWNEELKFSVPQQYGSLKLQVLDHDMMSKDDVMGEAEIDLQPMINAAAVFGDPELLGDMQIGRWLKSADNALTEDSAVMVTGGMVKQEVSLKLQRTESGEVTLEMQ* >Brasy4G018600.1.p pacid=40084977 transcript=Brasy4G018600.1 locus=Brasy4G018600 ID=Brasy4G018600.1.v1.1 annot-version=v1.1 MSSSSCARDHGTAGAAARAKHYETCHRETTIGGGQGIVFSAAGAQPPPPGRRPRRRHSSSASARRSSTTVVATDVGNFRAMVQELTGFPPAAIFRPLPRRAHSASAGQYLAAALQPGQGQYNSSAAAGSGSSSTSPEVSAAAVVQPSSQYYCATPGVFDGLADLGSPGFDAWPELCFE* >Brasy4G040600.1.p pacid=40084978 transcript=Brasy4G040600.1 locus=Brasy4G040600 ID=Brasy4G040600.1.v1.1 annot-version=v1.1 MGRGKIVIRRIDNSTNRQVTFSKRRSGLLKKARELSILCDAEVGLVVFSSTGRLYDFCSTNMKSVIDRYARAKEEQQPVVNTTSEIKLWQREAASLRQQLHNLQESHKQLMGEELSGLGVTDLQGLENRLEMSLRSIKTRKDHLLRGEIEELHRKGSLIHQENMELYRRVNVMTQQKMELCRQLQSCEARGATDATKSHSTPYNFCIVQDANTPADLELSQSQEKEGEHIETGAPALGRFQLS* >Brasy4G040600.2.p pacid=40084979 transcript=Brasy4G040600.2 locus=Brasy4G040600 ID=Brasy4G040600.2.v1.1 annot-version=v1.1 MGRGKIVIRRIDNSTNRQVTFSKRRSGLLKKARELSILCDAEVGLVVFSSTGRLYDFCSTNMKSVIDRYARAKEEQQPVVNTTSEIKLWQREAASLRQQLHNLQESHKQLMGEELSGLGVTDLQGLENRLEMSLRSIKTRKDHLLRGEIEELHRKGSLIHQENMELYRRVNVMTQQKMELCRQLQSCEARGATDATKSHSTPYNFCIVQDANTPADLELSQSQEKEGEHIETGAPALGFQLS* >Brasy4G040600.3.p pacid=40084980 transcript=Brasy4G040600.3 locus=Brasy4G040600 ID=Brasy4G040600.3.v1.1 annot-version=v1.1 MGRGKIVIRRIDNSTNRQVTFSKRRSGLLKKARELSILCDAEVGLVVFSSTGRLYDFCSTNMKSVIDRYARAKEEQQPVVNTTSEIKLWQREAASLRQQLHNLQESHKQLMGEELSGLGVTDLQGLENRLEMSLRSIKTRKDHLLRGEIEELHRKGSLIHQENMELYRRVNVMTQQKMELCRQLQSCEARGATDATKSHSTPYNFCIVQDANTPADLELSQSQEKEGEHIETGAPALGFQLS* >Brasy4G181600.1.p pacid=40084981 transcript=Brasy4G181600.1 locus=Brasy4G181600 ID=Brasy4G181600.1.v1.1 annot-version=v1.1 MDDLWCSLDIAGLQSALAADPLWQPFPDSAAVSSIGAGDSNAYFGVADVDLMLSSPDEASNAIAADMDTTGFFGDDDGGRFLFQQHSSTSSSLSSKRSLSIDSGGSSQYQAPAPAAMAHHPSFPGSGDQDDEAIMRAMMAVMSASASPSSSASPSASSRPPHPFRRDEAAAAMPSLPQQLRGGSNGGHVVVKSSLAMSPPDKDPRAAGGNSGQQLYHMMSERKRREKLNDSFLTLRSLLPPCSKKDKTTVLTKAAGYLKALEAQVWELEEKKGKLERHIPASQEAAPAALQQSRRAKVQISRSEEDVVNLTVMVMVECDVVELVLRVLECLRWMERVSVLSVDADTYSPKVLLKAIASIKLRIMDGCDWNEASFREAMTKAVNDATSSPPTTTTTTTSLPPCARVPLVITA* >Brasy4G012100.1.p pacid=40084982 transcript=Brasy4G012100.1 locus=Brasy4G012100 ID=Brasy4G012100.1.v1.1 annot-version=v1.1 MAGSEGAVPALSPDLPLFRLPYPVFPAPFHPQRLLLAQGFPDPDPSKSHYIAAARRIQSRRAAGEEGEPAMMFSSQLDAFSPSQFTSSQNAAADSTTPSKFRGASSTTPLTVKQIADAHLSGSGDKGAPFVVDGVEIANIRLVGMVNGKAERATDVSFTLDDGTGRLDFIRWVNDATDSAETAAIQNGMYVAVIGTLKGLQDKKRATAFSVRPITDYNEVTLHFIQCVRIHIENTKSKVGSPAKTNSAIGTSLSNSLSEASTPTSLKSQGPVTSGTDGSGTDDLHTQVLKILCEPANAESEHGVHIDEITKRFKLPAEKIKEAIYYNVDIGHIYSTIDDFHFKSALVD* >Brasy4G166100.1.p pacid=40084983 transcript=Brasy4G166100.1 locus=Brasy4G166100 ID=Brasy4G166100.1.v1.1 annot-version=v1.1 MSNFVGVLVSDPWLQSQFTQVQLRTLKTKFESAKKSDADIVAIKDLPPVMEKLRGIHEVLSEEEISNFLSESYPDTNQTIEFETFLREYLSLQAKGASKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDTFLKNFLPLDPESNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTIVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELVADNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPEHSSTAMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQQRNGLSSTNVAPVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVMLEVLDKISPGSVIWKQASKPPIIMPFRKVENCNQVIKIGKDLKFSLVNVAGNDIVQGNKKLILAYMWQLIRTSILFVFIIFPAFLWQLMRTSILQLLKNLRSHSKDKEITDADIVIWANNKIKESGKTSRIESFKDKSISDGLFFLELLSAVQPRVVDWDMVKKGEDDDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKHGPYDGPGPAGPEASQEALPEEEEEGEEVEEEEEEAEEEEITEDGVSNLTT* >Brasy4G166100.3.p pacid=40084984 transcript=Brasy4G166100.3 locus=Brasy4G166100 ID=Brasy4G166100.3.v1.1 annot-version=v1.1 MSNFVGVLVSDPWLQSQFTQVQLRTLKTKFESAKKSDADIVAIKDLPPVMEKLRGIHEVLSEEEISNFLSESYPDTNQTIEFETFLREYLSLQAKGASKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDTFLKNFLPLDPESNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTIVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELVADNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPEHSSTAMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQQRNGLSSTNVAPVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVMLEVLDKISPGSVIWKQASKPPIIMPFRKVENCNQVIKIGKDLKFSLVNVAGNDIVQGNKKLILAYMWQLIRTSILFVFIIFPAFLWQLMRTSILQLLKNLRSHSKDKEITDADIVIWANNKIKESGKTSRIESFKDKSISDGLFFLELLSAVQPRVVDWDMVKKGEDDDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKHGPYDGPGPAGPEASQEALPEEEEEGEEVEEEEEEAEEEEITEDGVSNLTT* >Brasy4G166100.4.p pacid=40084985 transcript=Brasy4G166100.4 locus=Brasy4G166100 ID=Brasy4G166100.4.v1.1 annot-version=v1.1 MSNFVGVLVSDPWLQSQFTQVQLRTLKTKFESAKKSDADIVAIKDLPPVMEKLRGIHEVLSEEEISNFLSESYPDTNQTIEFETFLREYLSLQAKGASKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDTFLKNFLPLDPESNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTIVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELVADNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPEHSSTAMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQQRNGLSSTNVAPVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVMLEVLDKISPGSVIWKQASKPPIIMPFRKVENCNQVIKIGKDLKFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKDKEITDADIVIWANNKIKESGKTSRIESFKDKSISDGLFFLELLSAVQPRVVDWDMVKKGEDDDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKHGPYDGPGPAGPEASQEALPEEEEEGEEVEEEEEEAEEEEITEDGVSNLTT* >Brasy4G166100.2.p pacid=40084986 transcript=Brasy4G166100.2 locus=Brasy4G166100 ID=Brasy4G166100.2.v1.1 annot-version=v1.1 MSNFVGVLVSDPWLQSQFTQVQLRTLKTKFESAKKSDADIVAIKDLPPVMEKLRGIHEVLSEEEISNFLSESYPDTNQTIEFETFLREYLSLQAKGASKSGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDTFLKNFLPLDPESNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTIVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELVADNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPEHSSTAMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQQRNGLSSTNVAPVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVMLEVLDKISPGSVIWKQASKPPIIMPFRKVENCNQVIKIGKDLKFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKDKEITDADIVIWANNKIKESGKTSRIESFKDKSISDGLFFLELLSAVQPRVVDWDMVKKGEDDDEKKMNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKHGPYDGPGPAGPEASQEALPEEEEEGEEVEEEEEEAEEEEITEDGVSNLTT* >Brasy4G022700.1.p pacid=40084987 transcript=Brasy4G022700.1 locus=Brasy4G022700 ID=Brasy4G022700.1.v1.1 annot-version=v1.1 MDMLRLKEHTLLAALLLLACALASSGAAQPAPPGESYDYTAGAGSQQPPQQDMTVSTTMIALLAGAVAVFVFIALSIIYLRHCTSYYDNADRSTVPGALDASTFISRRLQHRQQQRGTATTRGLDKEVVEAFPTMRYAEAKALRVGKTQALECAVCLSEFEDEEKLRLLPRCSHAFHPDCIGAWLASHVTCPVCRRNLDPSKDPGSDDEPSLILPPPPAAAEEASNTHSHSTSSEIAAVVAVRGQNDGDVAIDVASELDEEEAEQRRKEALELQRIGTKQRRAMRSRSNKKARLVRSHSTGHDSLAAAGRNDMERFTLRLPEHVRREMMAAASSWDQLSSTRRGQKSGGGVSASSRRGAARWPSFFACTRTWSGRLPVFLPISRRATEQSSDGGEVSSSSSSRIRGKRVAAVDVVNSGAFALGAADRIAAAGGGGKVGSVAVDVDSKATSRQVRT* >Brasy4G157700.1.p pacid=40084988 transcript=Brasy4G157700.1 locus=Brasy4G157700 ID=Brasy4G157700.1.v1.1 annot-version=v1.1 MKLSLPSPSLLCLRRAAAPRRRTLELRSTALLHPSWTARAPQAGARARPRPREAPAATTCPPRLPMDLADPVPVLNLGRGGHRRLDLSLPAGIGHHPPRFADALQWRRPSLTSCTSREVPVGLVHNSGWSSGGTSDGVVGAAAASSSPPRPPSAPQSASLTRIRLESAAGEQQFVVMHGQAQGGVWAHTEELGALGRWIEERRGRCSRSAKCGAWSPVLPAGDAAGPAEHAKEAHAAGDAREDESARSKRR* >Brasy4G107400.1.p pacid=40084989 transcript=Brasy4G107400.1 locus=Brasy4G107400 ID=Brasy4G107400.1.v1.1 annot-version=v1.1 MAVYYKFKSARDYDSIPIEGQFISVINLKERIFESKHLGRGTDFDLMISNAQTDEEYADESIMIPKNTSVLIRRIPGRPRMPIVNEPEQAIAAENRVEQVMPAGSAYFGDSSMKFPEESEWDDEFGNSLYVSDSVPSQPASHAVDASAENQIDEDSKIQALIDTSAVDYSQIPDGYGSGRGYGRGMGGRMMAGRGFGRGLGRLESRTPPPGYICHRCKVPGHFIQHCPTNGDTRYDVRRMKPPTGIPRSMLMATPDGSYALPSGAGAVLKPNEAAFEREIEGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSKCCFKSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENPGSMVQIQDMESALPIQPRIRSPAVSAASKEEPKAPTPIEESPDAESHSGVNANVDAGSSDKKATTIPDVSEGTMNFKNVKKEKTPEVIHVAKESPQKLPAGEQAVKKKKKKKVRAAGNGEEQWRNYQDFGAENFGGMPLGPTGGFNPYWGGGMPLPMDYMGAPFPGPMPFMGYPPGPFDPFGGGVLPQDPFMPPGYMMPTVPRDLSELAVNNMGMNMGPPVVRRDEFEPRKPDGRRREMDRFNERERERDRDREHSRDRERERPRERDRDLDRDRDREYRREARESSGAVNDSTSMRPKDRPRPQADRSDRALPPRSLSPDRWSRRSPHRSSSSGKKRSSSDRYDDLPLPPPPPPASSRHEAEHEAKAKASVFSRISFPGDASTSDAKRSRRSSSDKPPASSSSSSSKRGAAAAAEESDISRHRRHHRHHRETPALAEEEDERRRPAAADYDDEDEQSSEEEKHFKRRPSSSSRQEREREHEEPQQHSRRSRERADGHHHNRRR* >Brasy4G080000.1.p pacid=40084990 transcript=Brasy4G080000.1 locus=Brasy4G080000 ID=Brasy4G080000.1.v1.1 annot-version=v1.1 MGANRGENRVGRERGTHQWFQSRGKEREEASLQIPSSSAGLSLSGQAPPLALPLSGQDLPPPALPRAECLWIWWSVVWRLQFVGSTGEGEGRKPFVQST* >Brasy4G280700.1.p pacid=40084991 transcript=Brasy4G280700.1 locus=Brasy4G280700 ID=Brasy4G280700.1.v1.1 annot-version=v1.1 MRLLSFSSPTSPRPVPRRHDQFGADRSRRLGVRRIGADLTKTGRKRACGSPASPLDYSTASASGFGPSTSGGEDGGAFCSPPLPPAAATLAALACPVPTAAAVPASSARHVFDLLAGGAFCSPPLPPAAATSAALACPVPNAAAVPASSAKARLRSARRLRLRSARSHCRFLLSGQVYPPGTRDPIGVGSGKPGAGSGSGSRGGCPGPGPVWPDPAPNLPVPS* >Brasy4G191700.1.p pacid=40084992 transcript=Brasy4G191700.1 locus=Brasy4G191700 ID=Brasy4G191700.1.v1.1 annot-version=v1.1 MARSCKQWGALQVAAPALALLLIASVQCESPVPPPGWTGGSGSDQGSSPDGSWKYEWGWAAGPGGHGSGFGFGYSGSSSGDAGGGGGGGGSGSRTFGRPGGYGAGGYTGEAAGGGKEDGGRAQKQPDGGGQN* >Brasy4G130000.1.p pacid=40084993 transcript=Brasy4G130000.1 locus=Brasy4G130000 ID=Brasy4G130000.1.v1.1 annot-version=v1.1 MRRRGPWRQRRTGPGGSECGCPRVCRPARATGLHRRAPLLPCRAPCCLQPAPPCVLLPRDRPARATHPCFPAARAARSPPTPRAPAAPPPAPRGYFLALRSHRPRRAPYCLALPCLHSHCSAPPPAPLARTAAGAANRSSSPYKKKSRGEKKKKTRGQMKKTCHLTCGAHL* >Brasy4G435200.1.p pacid=40084994 transcript=Brasy4G435200.1 locus=Brasy4G435200 ID=Brasy4G435200.1.v1.1 annot-version=v1.1 MRSMLFPWISICISWAEDDTEAPVAAAGVGPAGVVGLVVGVRASGVPATERVARAAGEVHGDVEAVDDGDVVEVLRAAERELRQRGRRLARQAARQRAGAVSRGAAPAAGAVEGAARAAPDPGRARPGGDFQVPRLAPVQLPAPAHRDSRRPHREAALVRDAERGRAHTGCGH* >Brasy4G019800.1.p pacid=40084995 transcript=Brasy4G019800.1 locus=Brasy4G019800 ID=Brasy4G019800.1.v1.1 annot-version=v1.1 MARREIRWMQAAEIPAQTSDPDGAPSTVVADTGGRAISWLPRSRTRRRLRACLLCPQQGDGVSGGYALGASIGGSPRPFFRRRRRSRTVEARSGSGERNRRERETQIRLTQVRSIGEGERQIGHRHIAVPVDMSFRPASPLLINSNPSQRIEERTEALLAGGGSGRRADHERANSSTEHQQEIDREPEEAGPAEGAGGGDTRQEQRADRIRRRGKARHTIHIDQRTGGQTHGSAPRARAQDGGAHDSKENRFLIKVKVRFIEVCRTKTEGSGSLRQRQATR* >Brasy4G188400.1.p pacid=40084996 transcript=Brasy4G188400.1 locus=Brasy4G188400 ID=Brasy4G188400.1.v1.1 annot-version=v1.1 MGGSNYDDDWVLPSADITVVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTMLKDGRTINVIDTPGLFDMSVTPEDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDSSTIETIKVFFGEKIVDHLILVFTYGDLVGENLLKNMLSNAPEYLQKVVELCKNRVVLFDNKTKDQRIQAKQLEMLLDVVDSVSANNGGKPFSDQMLTRIKEVHEREKEVHDVMGYTEEQISELKKEIHRTRDEQLASITVMVEEKLNCTVEKLQNQLMEEQNARLEAERVALEARVRSDEEIRKLKESLKEAQRENEEFRRLAHNNKCTIL* >Brasy4G397500.1.p pacid=40084997 transcript=Brasy4G397500.1 locus=Brasy4G397500 ID=Brasy4G397500.1.v1.1 annot-version=v1.1 MILSLLEQTALLLVSLSPPPSPSQHPGPPPIRRPNRQREEIGRGSSSPHSFLSSPAMTDGEGSGEYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAAGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAASAAGGGGAGSGGKHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLVGRLRSLLDETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGARSLRYDNLFDSYASNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAVKELTENGTMSDQSGPSGLIDIHLSTEISDGSLPSNPQKAILYHPTVSHLLAVLATICDELSQDSILLIYISASGSADQNACQKYASSSSSRAKPAAAFCTDKPNPHTASDNHLWLGPRGSGGPNILYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRILSAMPGADSMGNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAENILFSAMEQYEETLCTSVGLNNVWGQILPDPFLRRLILRFIFCRAVLFYFHPEEHVEHLPTCLPSLPESVSPKAKAIKTPILLLAENLVVSNRFHFGNKA* >Brasy4G397500.2.p pacid=40084998 transcript=Brasy4G397500.2 locus=Brasy4G397500 ID=Brasy4G397500.2.v1.1 annot-version=v1.1 MILSLLEQTALLLVSLSPPPSPSQHPGPPPIRRPNRQREEIGRGSSSPHSFLSSPAMTDGEGSGEYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAAGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAASAAGGGGAGSGGKHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLVGRLRSLLDETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGARSLRYDNLFDSYASNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAVKELTENGTMSDQSGPSGLIDIHLSTEISDGSLPSNPQKAILYHPTVSHLLAVLATICDELSQDSILLIYISASGSADQNACQKYASSSSSRAKPAAAFCTDKPNPHTASDNHLWLGPRGSGGPNILYPEDLIPFTRYPLFLVIDSENSHAFKAGDT* >Brasy4G099300.1.p pacid=40084999 transcript=Brasy4G099300.1 locus=Brasy4G099300 ID=Brasy4G099300.1.v1.1 annot-version=v1.1 MGCYPLLGKIVSKVLGKCNGRGDDERWREERMNYAMAYPPGPPTETHYMRPVASSVHMIPPSPPPQQPPPQSPTLSEPQPQTPPPQPEPAPAPEQAARQAPAEQPKRGKKKPPRRVRFGPEQPPPPQHPEQHQQQEQQQQPEQYAPPPQGQGQGQGQRHQGYGGAAGHLFPFRYTPSPLPRWEATPKRHEYFSGEYRYSYPTPVREGIYSIATDANRLTTIFSEENPNACAIV* >Brasy4G099300.3.p pacid=40085000 transcript=Brasy4G099300.3 locus=Brasy4G099300 ID=Brasy4G099300.3.v1.1 annot-version=v1.1 MGCYPLLGKIVSKVLGKCNGRGDDERWREERMNYAMAYPPGPPTETHYMRPVASSVHMIPPSPPPQQPPPQSPTLSEPQPQTPPPQPEPAPAPEQAARQAPAEQPKRGKKKPPRRVRFGPEQPPPPQHPEQHQQQEQQQQPEQYAPPPQGQGQGQGQRHQGYGGAAGHLFPFRYTPSPLPRWEATPKRHEYFSGEYRYSYPTPVREGIYSIATDANRLTTIFSEENPNACAIV* >Brasy4G099300.2.p pacid=40085001 transcript=Brasy4G099300.2 locus=Brasy4G099300 ID=Brasy4G099300.2.v1.1 annot-version=v1.1 MQRFGSLWQQYAGRGDDERWREERMNYAMAYPPGPPTETHYMRPVASSVHMIPPSPPPQQPPPQSPTLSEPQPQTPPPQPEPAPAPEQAARQAPAEQPKRGKKKPPRRVRFGPEQPPPPQHPEQHQQQEQQQQPEQYAPPPQGQGQGQGQRHQGYGGAAGHLFPFRYTPSPLPRWEATPKRHEYFSGEYRYSYPTPVREGIYSIATDANRLTTIFSEENPNACAIV* >Brasy4G437800.1.p pacid=40085002 transcript=Brasy4G437800.1 locus=Brasy4G437800 ID=Brasy4G437800.1.v1.1 annot-version=v1.1 MASPFGGTSTCGLIKAAAPAGFATKKQLSLVSPPCVSLPQKIRPRRKCSFRVNAAKELYFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVILAQGMITEGVKIVAAGANPVQIARGIEKTAKALVSELRKMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIKSGYPIVIIAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEIGLSLDKADSEVLGTAAKVVVTKDTTTIVGDGSTQEEVTKRVTQIKNQIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIRETLENDEQKIGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKETEQAPAANPMAGSGYGF* >Brasy4G410300.1.p pacid=40085003 transcript=Brasy4G410300.1 locus=Brasy4G410300 ID=Brasy4G410300.1.v1.1 annot-version=v1.1 MSSSTHVMVQGEESSWRMASDRDRAVPFGQALAYGIQGHAPAAPPANFLELQPAAAAYFGELGEALIHGTCAGVNPVMIEAAYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G410300.2.p pacid=40085004 transcript=Brasy4G410300.2 locus=Brasy4G410300 ID=Brasy4G410300.2.v1.1 annot-version=v1.1 MPKAWILELQPAAAAYFGELGEALIHGTCAGVNPVMIEGDAHTKSAAYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G410300.5.p pacid=40085005 transcript=Brasy4G410300.5 locus=Brasy4G410300 ID=Brasy4G410300.5.v1.1 annot-version=v1.1 MYAAAAYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G410300.4.p pacid=40085006 transcript=Brasy4G410300.4 locus=Brasy4G410300 ID=Brasy4G410300.4.v1.1 annot-version=v1.1 MYAAAAYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G410300.3.p pacid=40085007 transcript=Brasy4G410300.3 locus=Brasy4G410300 ID=Brasy4G410300.3.v1.1 annot-version=v1.1 MYAAAAYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G410300.6.p pacid=40085008 transcript=Brasy4G410300.6 locus=Brasy4G410300 ID=Brasy4G410300.6.v1.1 annot-version=v1.1 MSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQEVLMVTIDDYNYNQGLGPAAAATVTAPSFQQHAGGQDKRKHGSTRKDDKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGDTSPGAVMFDMEYARWLDEDSKYMIELQSALQAQILDGNLGTIVEECLRHYDELFHLRGVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLTPQLDPLTEQQLLGMFNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYREADNLRRQTLHRMRQILTTRQTARCFLSIGEYHRRLRALSSIWAARPRENFIMAENVSSTGTEFQVHQSQQNQFSGL* >Brasy4G072700.1.p pacid=40085009 transcript=Brasy4G072700.1 locus=Brasy4G072700 ID=Brasy4G072700.1.v1.1 annot-version=v1.1 MESRWRKAKMSLGLNLCVYVPRTLDDDGSPSTGSSTAALVSPAATSSAATSANTTPTAEQAAAGKVLMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTIMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTEWKELPFRGPLPAEFPQGSARINPVNGHQNGGHMTLLRPLPRARSSGRLHHVTSLLPDTDRSIFNDDEPLELCEATEDPLQGCLRTVEIKTYPEFTEIPESTSERNFTILIHLKAPLAQNLQKPGSPECVNGPNTGRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCNIILLSDGQDTYTVSPTAGVHKAAVEYCALLPCTKNGSQQVPVHVFGFGADHDAVSLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRITDDKRNGSIDVGDLYAEEERDFLMSVNVPQGYGETTLLNVSCVYKDPLMKDTVNMSDVQVKISRPAFVSVQSVSIQVDRQKNRLHAAEVMAAARFSAERGDLTHAVSLLEDCRRMIMASASGQSGDRLCQSLDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTLSRSATPRQTPQMRHAKSFPARPQPR* >Brasy4G072700.2.p pacid=40085010 transcript=Brasy4G072700.2 locus=Brasy4G072700 ID=Brasy4G072700.2.v1.1 annot-version=v1.1 MRGRRLRARVPCCRWRYGGVTCRPCCGGSGTPIHRTTSSTRWRRRPGTQKTCAICLTIMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTEWKELPFRGPLPAEFPQGSARINPVNGHQNGGHMTLLRPLPRARSSGRLHHVTSLLPDTDRSIFNDDEPLELCEATEDPLQGCLRTVEIKTYPEFTEIPESTSERNFTILIHLKAPLAQNLQKPGSPECVNGPNTGRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCNIILLSDGQDTYTVSPTAGVHKAAVEYCALLPCTKNGSQQVPVHVFGFGADHDAVSLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRITDDKRNGSIDVGDLYAEEERDFLMSVNVPQGYGETTLLNVSCVYKDPLMKDTVNMSDVQVKISRPAFVSVQSVSIQVDRQKNRLHAAEVMAAARFSAERGDLTHAVSLLEDCRRMIMASASGQSGDRLCQSLDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTLSRSATPRQTPQMRHAKSFPARPQPR* >Brasy4G072700.3.p pacid=40085011 transcript=Brasy4G072700.3 locus=Brasy4G072700 ID=Brasy4G072700.3.v1.1 annot-version=v1.1 MKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTEWKELPFRGPLPAEFPQGSARINPVNGHQNGGHMTLLRPLPRARSSGRLHHVTSLLPDTDRSIFNDDEPLELCEATEDPLQGCLRTVEIKTYPEFTEIPESTSERNFTILIHLKAPLAQNLQKPGSPECVNGPNTGRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCNIILLSDGQDTYTVSPTAGVHKAAVEYCALLPCTKNGSQQVPVHVFGFGADHDAVSLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRITDDKRNGSIDVGDLYAEEERDFLMSVNVPQGYGETTLLNVSCVYKDPLMKDTVNMSDVQVKISRPAFVSVQSVSIQVDRQKNRLHAAEVMAAARFSAERGDLTHAVSLLEDCRRMIMASASGQSGDRLCQSLDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTLSRSATPRQTPQMRHAKSFPARPQPR* >Brasy4G203000.1.p pacid=40085012 transcript=Brasy4G203000.1 locus=Brasy4G203000 ID=Brasy4G203000.1.v1.1 annot-version=v1.1 MVGSVGNGTVDLHAAAPAVNGNGKALHLEGEAAAAAAEALPMEVEQGKREVVLGRNVHTTCFEVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTHISGEIDCSDFERKLLQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFQGRFYIHCDGALFGLMIPFVKKAAKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLNAAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLRELVEKRAVWYKDEICQPPCIARDVGEKSCFCSLHKN* >Brasy4G193800.1.p pacid=40085013 transcript=Brasy4G193800.1 locus=Brasy4G193800 ID=Brasy4G193800.1.v1.1 annot-version=v1.1 MERWTGVLHVPLSQGGPLFRVAASLILTPSKALAVPRANSILFTGDRVRGTGEPTIGRLSDAAYLAGLLAGNLSGEANAWVVDAASFAGQFAVYRELVPSVDAVGDPERYDPTGLPAATGVANILSHCVGEIQNMIRRGSLKDSENNQEPSASLLSHSPPRTIILGFSKGGVVVNQLVTELSCWASKSTNGLVDVSQRNPALLAHNLIVPATASDVLSTISEFHYLDVGLNCAGAYITNLAVIKGIGNYVSQITDNLRFALHGTPRQWSDPNRPWILTEKDTMLQLLQDEAKNCEGRLLLSEKKYFDGRPLSLLMHFEILEVMDVA* >Brasy4G193800.2.p pacid=40085014 transcript=Brasy4G193800.2 locus=Brasy4G193800 ID=Brasy4G193800.2.v1.1 annot-version=v1.1 MERWTGVLHVPLSQGGPLFRVAASLILTPSKALAVPRANSILFTGDRVRGTGEPTIGRLSDAAYLAGLLAGNLSGEANAWVVDAASFAGQFAVYRELVPSVDAVGDPERYDPTGLPAATGVANILSHCVGEIQNMIRRGSLKDSENNQEPSASLLSHSPPRTIILGFSKGGVVVNQLVTELSCWASKSTNGLVDVSQRNPALLAHNLIVPATASDVLSTISEFHYLDVGLNCAGAYITNLAVIKGIEKDTMLQLLQDEAKNCEGRLLLSEKKYFDGRPLSLLMHFEILEVMDVA* >Brasy4G041400.1.p pacid=40085015 transcript=Brasy4G041400.1 locus=Brasy4G041400 ID=Brasy4G041400.1.v1.1 annot-version=v1.1 MKNRKGRRSRNKATCNEAATRNGDRFSTLPDDILLNVLERVETLDALRTSILSKRMLKLPTMLSHIVVDIGSLAAYHGGSHEFSFRDLVRINVVVADVTENILSTRSPEIPIHKLKVRFVLRHYEFLSVVKSFACAMATQKVEKAEIEIMTEKVEYDYCTPADLLNSAKQFNTILDACPDAFAGLTRLWLQNMTFGELDIPNILSTCKRLQSFRLSYCDSGTRSVLQIEHDQLIELEIDYGKFKTVKLTYLPKLQQVSYNNWRFYEDQPLSFGFVPQLSKLSLAKTRFRSDRALELSQLLANFPSISELHLDFESEKIWVLPECPKLLAPVLGKLRLVDLDNLPEGCDIAWTMFILQAAPCLKELCITVWDHWCYMVTDKKRRKAEGFCDKVNVEWKPSVSVFRHMNLAKLTIYGFQPDDNFMQYIRCVLEAAVNLEEISLHDKKACKRCKDLDSKNKDSPIRYPQNDMERKHIVEELGTTWPAVVHFRS* >Brasy4G041400.2.p pacid=40085016 transcript=Brasy4G041400.2 locus=Brasy4G041400 ID=Brasy4G041400.2.v1.1 annot-version=v1.1 MKNRKGRRSRNATCNEAATRNGDRFSTLPDDILLNVLERVETLDALRTSILSKRMLKLPTMLSHIVVDIGSLAAYHGGSHEFSFRDLVRINVVVADVTENILSTRSPEIPIHKLKVRFVLRHYEFLSVVKSFACAMATQKVEKAEIEIMTEKVEYDYCTPADLLNSAKQFNTILDACPDAFAGLTRLWLQNMTFGELDIPNILSTCKRLQSFRLSYCDSGTRSVLQIEHDQLIELEIDYGKFKTVKLTYLPKLQQVSYNNWRFYEDQPLSFGFVPQLSKLSLAKTRFRSDRALELSQLLANFPSISELHLDFESEKIWVLPECPKLLAPVLGKLRLVDLDNLPEGCDIAWTMFILQAAPCLKELCITVWDHWCYMVTDKKRRKAEGFCDKVNVEWKPSVSVFRHMNLAKLTIYGFQPDDNFMQYIRCVLEAAVNLEEISLHDKKACKRCKDLDSKNKDSPIRYPQNDMERKHIVEELGTTWPAVVHFRS* >Brasy4G102300.1.p pacid=40085017 transcript=Brasy4G102300.1 locus=Brasy4G102300 ID=Brasy4G102300.1.v1.1 annot-version=v1.1 MASLPQTMPYYPTSNAIMHAQPATTSRGSFGPVFTVLGVISFLAVVACTAGRLCGRRLSKKKSSSDQQFYSTNAVGGDLEKGFELKYPPMKPMPSSRAVIHDMDDDFEIKFAPGKPAAWKNDNKADNRGRQQHPQVAMPKEYAGFRYPAAANGVVRQGQVRGGTFISAKPST* >Brasy4G174500.1.p pacid=40085018 transcript=Brasy4G174500.1 locus=Brasy4G174500 ID=Brasy4G174500.1.v1.1 annot-version=v1.1 MAFSPSVLAVRHPRALGPGRDSDALLVSLGSPMPRDLYSTAAVCIVARMHMFFLVPSRVSSPRDGPDGWVHDARWRLGGSTAATDSQTLVLSLSV* >Brasy4G067000.1.p pacid=40085019 transcript=Brasy4G067000.1 locus=Brasy4G067000 ID=Brasy4G067000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G207000.1.p pacid=40085020 transcript=Brasy4G207000.1 locus=Brasy4G207000 ID=Brasy4G207000.1.v1.1 annot-version=v1.1 MAGGGGAGRKVAVAAVQFACTDTESDNVAAAERLIREAHKKGANIILIQELFEGHYFCQAQRMDFFRRAKPYKENPTILRMQKLAKELDVVIPVSFFEEANNAHYNSVAVIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPETARAMVLQGAEILFYPTAIGSEPQDINLDSREHWKRVMQGHAGANLVPLVASNRIGQETVETEHGNSTIKFYGNSFIAGPTGEIVKLANDKDEEVLIAEFDLDEIKSTRHSWGVFRDRRPDLYKVLLTLDGEKSS* >Brasy4G020300.1.p pacid=40085021 transcript=Brasy4G020300.1 locus=Brasy4G020300 ID=Brasy4G020300.1.v1.1 annot-version=v1.1 MSRPLYRGFSGIGGKAAAAGDEDRYDPKEQPGENGIGACAAASRGRKRHLAVAAVRIGVLVLAAAALAGSVAWAGSLYAGRGAAAAMAAASAHRGYRRLQEQLVTDLLDIGELAGGGVRSREAGVCAPEYENYVPCYYNVSDAVDVTDLGGGVVISYERQCAREGRVPCLVAPPRAYRTPVRWPSCKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMSDGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGSHLFERDLLTMCIANYESSGSQVQITLERGIPALIGSFASKQLPYPYLSFDMVHCAKCNVEWDKHDGIFLVEVDRLLRPSGYFVWTSNLNTHRALRDKENLKKWTTIRDLANNLCWEMLSQQDETIVWKKTNKKDCYSSRKSEPVLCGKSHDPESPYYQSLNPCIAGTRSQRWIPIEHRTTWPSQARLNSTELYIHGVHLDVFAEDTSNWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKSGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKRSKRRCSTLDIFLEVDRILRPEGWIIIRDTAPLIEAARSVAAQLRWDARILDLDIASDEKLLVCQKPFLRKQ* >Brasy4G020300.2.p pacid=40085022 transcript=Brasy4G020300.2 locus=Brasy4G020300 ID=Brasy4G020300.2.v1.1 annot-version=v1.1 MSRPLYRGFSGIGGKAAAAGDEDRYDPKEQPGENGIGACAAASRGRKRHLAVAAVRIGVLVLAAAALAGSVAWAGSLYAGRGAAAAMAAASAHRGYRRLQEQLVTDLLDIGELAGGGVRSREAGVCAPEYENYVPCYYNVSDAVDVTDLGGGVVISYERQCAREGRVPCLVAPPRAYRTPVRWPSCKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMSDGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGSHLFERDLLTMCIANYESSGSQVQITLERGIPALIGSFASKQLPYPYLSFDMVHCAKCNVEWDKHDGIFLVEVDRLLRPSGYFVWTSNLNTHRALRDKENLKKWTTIRDLANNLCWEMLSQQDETIVWKKTNKKDCYSSRKSEPVLCGKSHDPESPYYQSLNPCIAGTRSQRWIPIEHRTTWPSQARLNSTELYIHGVHLDVFAEDTSNWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKSGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKRSKRRCSTLDIFLEVDRILRPEGWIIIRDTAPLIEAARSVAAQLRWDARILDLDIASDEKLLVCQKPFLRKQ* >Brasy4G020300.3.p pacid=40085023 transcript=Brasy4G020300.3 locus=Brasy4G020300 ID=Brasy4G020300.3.v1.1 annot-version=v1.1 MSRPLYRGFSGIGGKAAAAGDEDRYDPKEQPGENGIGACAAASRGRKRHLAVAAVRIGVLVLAAAALAGSVAWAGSLYAGRGAAAAMAAASAHRGYRRLQEQLVTDLLDIGELAGGGVRSREAGVCAPEYENYVPCYYNVSDAVDVTDLGGGVVISYERQCAREGRVPCLVAPPRAYRTPVRWPSCKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMSDGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGSHLFERDLLTMCIANYESSGSQVQITLERGIPALIGSFASKQLPYPYLSFDMVHCAKCNVEWDKHDGIFLVEVDRLLRPSGYFVWTSNLNTHRALRDKENLKKWTTIRDLANNLCWEMLSQQDETIVWKKTNKKDCYSSRKSEPVLCGKSHDPESPYYQSLNPCIAGTRSQRWIPIEHRTTWPSQARLNSTELYIHGVHLDVFAEDTSNWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKSGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKRSKRRCSTLDIFLEVDRILRPEGWIIIRDTAPLIEAARSVAAQLRWDARILDLDIASDEKLLVCQKPFLRKQ* >Brasy4G173400.1.p pacid=40085024 transcript=Brasy4G173400.1 locus=Brasy4G173400 ID=Brasy4G173400.1.v1.1 annot-version=v1.1 MGSFLSTASSNVRSVDSPEERGSGSNKRAKITTTYEYGSYPRIIPALPDELSFQILARLPRIYYLKVKMVSRAWKAAITSSELSQLRRELGVTEEWLYILTKAEANKLDCFALDPLFQKWQRLPSMPSFVNEEESTGRTRLSGFRMWNVVGSSIRVADFVRGWFWRRYGLDQMPFCGCSVGVADGCLYVLGGFSKAVALKCVWRYNPCLNLWQEVNPMMSGRAFSKASLLKSKLYVVGGVSRGQNGLLPLRSGEVFDPKTGLWSELPEMPFVKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDSELNSWSSMPDGLGDGWPARQAGTKLGMVVNDELYTLEPSSSLDSGQIKKYDSEEDVWRTIVPQVPVHDFTDAESPYLLASLHGRLHVITKGANNNLQVMQAVLQNNTESVAPEENVLWSIVASKNFGAAELVSCQVLDV* >Brasy4G173400.2.p pacid=40085025 transcript=Brasy4G173400.2 locus=Brasy4G173400 ID=Brasy4G173400.2.v1.1 annot-version=v1.1 MGSFLSTASSNVRSVDSPEERGSGSNKRAKITTTYEYGSYPRIIPALPDELSFQILARLPRIYYLKVKMVSRAWKAAITSSELSQLRRELGVTEEWLYILTKAEANKLDCFALDPLFQKWQRLPSMPSFVNEEESTGRTRLSGFRMWNVVGSSIRVADFVRGWFWRRYGLDQMPFCGCSVGVADGCLYVLGGFSKAVALKCVWRYNPCLNLWQEVNPMMSGRAFSKASLLKSKLYVVGGVSRGQNGLLPLRSGEVFDPKTGLWSELPEMPFVKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDSELNSWSSMPDGLGDGWPARQAGTKLGMVVNDELYTLEPSSSLDSGQIKKYDSEEDVWRTIVPQVPVHDFTDAESPYLLASLHGRLHVITKGANNNLQVMQAVLQNNTESVAPEENVLWSIVASKNFGAAELVSCQVLDV* >Brasy4G173400.3.p pacid=40085026 transcript=Brasy4G173400.3 locus=Brasy4G173400 ID=Brasy4G173400.3.v1.1 annot-version=v1.1 MGSFLSTASSNVRSVDSPEERGSGSNKRAKITTTYEYGSYPRIIPALPDELSFQILARLPRIYYLKVKMVSRAWKAAITSSELSQLRRELGVTEEWLYILTKAEANKLDCFALDPLFQKWQRLPSMPSFVNEEESTGRTRLSGFRMWNVVGSSIRVADFVRGWFWRRYGLDQMPFCGCSVGVADGCLYVLGGFSKAVALKCVWRYNPCLNLWQEVNPMMSGRAFSKASLLKSKLYVVGGVSRGQNGLLPLRSGEVFDPKTGLWSELPEMPFVKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDSELNSWSSMPDGLGDGWPARQAGTKLGMVVNDELYTLEPSSSLDSGQIKKYDSEEDVWRTIVPQVPVHDFTDAESPYLLASLHGRLHVITKGANNNLQVMQAVLQNNTESVAPEENVLWSIVASKNFGAAELVSCQVLDV* >Brasy4G190200.1.p pacid=40085027 transcript=Brasy4G190200.1 locus=Brasy4G190200 ID=Brasy4G190200.1.v1.1 annot-version=v1.1 MFPYFDPEYENFNQRINPPRVCIDNDTLDECTVVKVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLNKQGQKVTDDKTIKYIEKALGPGSNLPSAKKGGGSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEVWTHRARVACVVYVNDVATGKPIDVDARRMASVERRLRNVLRGHGRGDDEDGTGAHTEFAVGSTHVDRRLHQLMNADMELADAQGEGEGEEAADDGTSVTVEHCKEKDYSVVNVRCRDRSKLLFDIVCTLTDMQYVVSHAAVSSDGFYGVQELFIRRKDGRTLLKDEADKVVKCLQAAISRRVSEGFTLEVCGRDRVGLLSEVTRVLREHGLTVARADVTTVGEQAMNVFYVRDTSGNTVDMKTIEGLRGQIGQTVMLNVKKVPAPAVKPPEPARGGVAKTGFFSFGSLFARLRA* >Brasy4G190200.3.p pacid=40085028 transcript=Brasy4G190200.3 locus=Brasy4G190200 ID=Brasy4G190200.3.v1.1 annot-version=v1.1 MFPYFDPEYENFNQRINPPRVCIDNDTLDECTVVKVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLNKQGQKVTDDKTIKYIEKALGPGSNLPSAKKGGGSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEVWTHRARVACVVYVNDVATGKPIDVDARRMASVERRLRNVLRGHGRGDDEDGTGAHTEFAVGSTHVDRRLHQLMNADMELADAQGEGEGEEAADDGTSVTVEHCKEKDYSVVNVRCRDRSKLLFDIVCTLTDMQYVVSHAAVSSDGFYGVQELFIRRKDGRTLLKDEADKVVKCLQAAISRRVSEGFTLEVCGRDRVGLLSEVTRVLREHGLTVARADVTTVGEQAMNVFYVRDTSGNTVDMKTIEGLRGQIGQTVMLNVKKVPAPAVKPPEPARGGVAKTGFFSFGSLFARLRA* >Brasy4G190200.2.p pacid=40085029 transcript=Brasy4G190200.2 locus=Brasy4G190200 ID=Brasy4G190200.2.v1.1 annot-version=v1.1 MFPYFDPEYENFNQRINPPRVCIDNDTLDECTVVKVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLNKQGQKVTDDKTIKYIEKALGPGSNLPSAKKGGGSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEVWTHRARVACVVYVNDVATGKPIDVDARRMASVERRLRNVLRGHGRGDDEDGTGAHTEFAVGSTHVDRRLHQLMNADMELADAQGEGEGEEAADDGTSVTVEHCKEKDYSVVNVRCRDRSKLLFDIVCTLTDMQYVVSHAAVSSDGFYGVQELFIRRKDGRTLLKDEADKVVKCLQAAISRRVSEGFTLEVCGRDRVGLLSEVTRVLREHGLTVARADVTTVGEQAMNVFYVRDTSGNTVDMKTIEGLRGQIGQTVMLNVKKVPAPAVKPPEPARGGVAKTGFFSFGSLFARLRA* >Brasy4G190200.4.p pacid=40085030 transcript=Brasy4G190200.4 locus=Brasy4G190200 ID=Brasy4G190200.4.v1.1 annot-version=v1.1 MFPYFDPEYENFNQRINPPRVCIDNDTLDECTVVKVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLNKQGQKVTDDKTIKYIEKALGPGSNLPSAKKGGGSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEVWTHRARVACVVYVNDVATGKPIDVDARRMASVERRLRNVLRGHGRGDDEDGTGAHTEFAVGSTHVDRRLHQLMNADMELADAQGEGEGEEAADDGTSVTVEHCKEKDYSVVNVRCRDRSKLLFDIVCTLTDMQYVVSHAAVSSDGFYGVQELFIRRKDGRTLLKDEADKVVKCLQAAISRRVSEGFTLEVCGRDRVGLLSEVTRVLREHGLTVARADVTTVGEQAMNVFYVRDTSGNTVDMKTIEGLRGQIGQTVMLNVKKVPAPAVKPPEPARGGVAKTGFFSFGSLFARLRA* >Brasy4G034400.1.p pacid=40085031 transcript=Brasy4G034400.1 locus=Brasy4G034400 ID=Brasy4G034400.1.v1.1 annot-version=v1.1 MAASLLLRAARRRDLYAPLGNLTTSVQSTFATNACSRWGGFARTFSAKPIGNEVIGIDLGTTNSCVSVMEGKNAKVIENSEGTRTTPSVVAFSQKGERLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSDAIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADTSGAKHLNITLTRSKFESLVSSLIARTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSESEIEKMVREAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYREKIPAEIATEIETAVADLRSEMASDDIEKIKNKMEAANKAVSKIGQHMSGGASGGGAAGGPQEGGSQGGGDQAPEAEYEEVKK* >Brasy4G141400.1.p pacid=40085032 transcript=Brasy4G141400.1 locus=Brasy4G141400 ID=Brasy4G141400.1.v1.1 annot-version=v1.1 MASALLAGRRGPHHHNQHWGENRAPLAPSPNPPSRADNSSKAPPPQASPPPGYLTFKPSELAHREAAALRGRLAGELGQVRALLSRIDALQQHQQEQQREELSQRKDLRPPPPAKLRVAMRKRCAQILAKLQKDKRSIWFNAPVEVERLGLHDYHAVIKSPMDLGTVRARLAAKAYPSHDAFAADVRLTFSNALRYNPAGHDVHTFAGDLLASFEKMYKAAVSWFEEECKRLAPPPMPVAAELPPPPVVVPAQVKPRAARMRKPKAREPNKREMSLDEKNMLREGLEGLPEEKMHNVLQIVRKRNVNPALLGDEIELDIDEMDIETQWELDRFVNNFNKALNKSRRAAMMNGNGAVVNNAAVTEAVNGDVPTLVDNADAESENPEKSTLVTEQVDEYVDIEDEIPTATYQSVEIAKGAEATSVSGGLGSGSSSSSGSDSGSSGDSVSEAGNARSVE* >Brasy4G374900.1.p pacid=40085033 transcript=Brasy4G374900.1 locus=Brasy4G374900 ID=Brasy4G374900.1.v1.1 annot-version=v1.1 MGSLPVLSSPERTQQQGPRAKVAASDLAPLFDAQRRHLDHFFENLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKLAQTLASLGFGRAGFLAPVDALHGDIGSVFPGDVLVLLSKSGASDELLALAPCARAKGAQLISLTSAASGADCPLAAACDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLTRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKESDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRNPRTITADAMAVEAMEKMESPPSPVQFLPVVDDKNIVSGIITLHGLVSAGL* >Brasy4G195000.1.p pacid=40085034 transcript=Brasy4G195000.1 locus=Brasy4G195000 ID=Brasy4G195000.1.v1.1 annot-version=v1.1 MFGRMPKKTSNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELAHAYDVLNDPEKREIYDQYGEDALKEGMGGGSSDMHSPFDIFEQLFGGGGGGFGGGSSRGRRQKRGEDVVHTMKVSLEDLYNGATKKLSLSRNVLCGKCKGKGSKSGATATCHGCRGAGVRMITRQIGPGMIQQMNTVCPECRGAGEMISEKDKCPSCRGNKVAQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKEHPKFKRKSDDLYVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPGQHKAINDEGMPQHGRPFMKGRLFVEFSVEFPEPGVLSPGQCRSLEKILPPRAGSQLSDMELDQCEETTMHDVNIEEEMRRRQHQRRQEAYDEEEEDAGAPRGVQCAQQ* >Brasy4G037400.1.p pacid=40085035 transcript=Brasy4G037400.1 locus=Brasy4G037400 ID=Brasy4G037400.1.v1.1 annot-version=v1.1 MAAVRLTPNAVEAVLAGDVNLRPIVQVLDVRCVNVSADRWRGNVSDGVNTVPALFAGQLSALARSGAVRGGTILQLDEYVINNVGGGPRRIIVVLNMTVLRAECDIIGNPTVTPETGLPNQNHSRVEQFNGTRQPSLAANSLNTPTRLGHQAPVFQPTAQPSYRPAPSYKNHGAIAKNEAPARITPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFNFDLLDSDGGEIRVTCFNDLVDRFYEVVEVGKVYVVSRGNLKPAQKNFNHLNNEWEIVLDNGSSVDLCPDENSSIPSQRFNFRPISEVEDTPNATILDMIGVVISVSPCTTIQKKNGMETQKRIINLKDMSGRSVDVTMWGEFCNREGSQLQETVERGVFPVLAVKTGRVNDFGGKSVGTISSSQLLIDPDIVEAHSLRQWFDGGGRDASTQSISRDATPAASRNVIRKTVAQIKEEGLGMKDKPDWVTVKASVIFFKNENFCYTSCPNKEGDRQCNKKVTKGTSGLWYCDKCNREFTECDYRYLLQLQIQDHSGTAWVTAFQEAGQELLGVSASDLNRFKEEEDPRFAETMLRCLFQDYLLRLKVKEETYGDERRVKNTLAKVERFDPAGESKYLLDTISSLSVRTCLI* >Brasy4G037400.2.p pacid=40085036 transcript=Brasy4G037400.2 locus=Brasy4G037400 ID=Brasy4G037400.2.v1.1 annot-version=v1.1 MAAVRLTPNAVEAVLAGDVNLRPIVQVLDVRCVNVSADRWRGNVSDGVNTVPALFAGQLSALARSGAVRGGTILQLDEYVINNVGGGPRRIIVVLNMTVLRAECDIIGNPTVTPETGLPNQNHSRVEQFNGTRQPSLAANSLNTPTRLGHQAPVFQPTAQPSYRPAPSYKNHGAIAKNEAPARITPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFNFDLLDSDGGEIRVTCFNDLVDRFYEVVEVGKVYVVSRGNLKPAQKNFNHLNNEWEIVLDNGSSVDLCPDENSSIPSQRFNFRPISEVEDTPNATILDMIGVVISVSPCTTIQKKNGMETQKRIINLKDMSGRSVDVTMWGEFCNREGSQLQETVERGVFPVLAVKTGRVNDFGGKSVGTISSSQLLIDPDIVEAHSLRQWFDGGGRDASTQSISRDATPAASRNVIRKTVAQIKEEGLGMKDKPDWVTVKASVIFFKNENFCYTSCPNKEGDRQCNKKVTKGTSGLWYCDKCNREFTECDYRYLLQLQIQDHSGTAWVTAFQEAGQELLGVSASDLNRFKEEEDPRFAETMLRCLFQDYLLRLKVKEETYGDERRVKNTLAKVERFDPAGESKYLLDTISRLVAS* >Brasy4G037400.3.p pacid=40085037 transcript=Brasy4G037400.3 locus=Brasy4G037400 ID=Brasy4G037400.3.v1.1 annot-version=v1.1 MAAVRLTPNAVEAVLAGDVNLRPIVQVLDVRCVNVSADRWRGNVSDGVNTVPALFAGQLSALARSGAVRGGTILQLDEYVINNVGGGPRRIIVVLNMTVLRAECDIIGNPTVTPETGLPNQNHSRVEQFNGTRQPSLAANSLNTPTRLGHQAPVFQPTAQPSYRPAPSYKNHGAIAKNEAPARITPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFNFDLLDSDGGEIRVTCFNDLVDRFYEVVEVGKVYVVSRGNLKPAQKNFNHLNNEWEIVLDNGSSVDLCPDENSSIPSQRFNFRPISEVEDTPNATILDMIGVVISVSPCTTIQKKNGMETQKRIINLKDMSGRSVDVTMWGEFCNREGSQLQETVERGVFPVLAVKTGRVNDFGGKSVGTISSSQLLIDPDIVEAHSLRQWFDGGGRDASTQSISRDATPAASRNVIRKTVAQIKEEGLGMKDKPDWVTVKASVIFFKNENFCYTSCPNKEGDRQCNKKVTKGTSGLWYCDKCNREFTECDYRYLLQLQIQDHSGTAWVTAFQEAGQELLGVSASDLNRFKEEEDPRFAETMLRCLFQDYLLRLKVKEETYGDERRVKNTLAKVERFDPAGESKYLLDTISRLVAS* >Brasy4G037400.4.p pacid=40085038 transcript=Brasy4G037400.4 locus=Brasy4G037400 ID=Brasy4G037400.4.v1.1 annot-version=v1.1 MAAVRLTPNAVEAVLAGDVNLRPIVQVLDVRCVNVSADRWRGNVSDGVNTVPALFAGQLSALARSGAVRGGTILQLDEIIVVLNMTVLRAECDIIGNPTVTPETGLPNQNHSRVEQFNGTRQPSLAANSLNTPTRLGHQAPVFQPTAQPSYRPAPSYKNHGAIAKNEAPARITPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFNFDLLDSDGGEIRVTCFNDLVDRFYEVVEVGKVYVVSRGNLKPAQKNFNHLNNEWEIVLDNGSSVDLCPDENSSIPSQRFNFRPISEVEDTPNATILDMIGVVISVSPCTTIQKKNGMETQKRIINLKDMSGRSVDVTMWGEFCNREGSQLQETVERGVFPVLAVKTGRVNDFGGKSVGTISSSQLLIDPDIVEAHSLRQWFDGGGRDASTQSISRDATPAASRNVIRKTVAQIKEEGLGMKDKPDWVTVKASVIFFKNENFCYTSCPNKEGDRQCNKKVTKGTSGLWYCDKCNREFTECDYRYLLQLQIQDHSGTAWVTAFQEAGQELLGVSASDLNRFKEEEDPRFAETMLRCLFQDYLLRLKVKEETYGDERRVKNTLAKVERFDPAGESKYLLDTISSLSVRTCLI* >Brasy4G123700.1.p pacid=40085039 transcript=Brasy4G123700.1 locus=Brasy4G123700 ID=Brasy4G123700.1.v1.1 annot-version=v1.1 MPPSRAVCRPPQIGTAPSGLPPIHYPSSPLAPTLPLPPPPASSVSGHASSVPPSPAILSPPPLPSAAPHTPSEAPPPRLSLPAGIAILQPAPYPPAATSAPPRAPPSTSPVAAAVAVPGRLWDDEDLLLPGVFKAKGTGPGVFKAKGTAVSLLPRSLLSPSARCASPLPLLRSQGSAEVVM* >Brasy4G157300.1.p pacid=40085040 transcript=Brasy4G157300.1 locus=Brasy4G157300 ID=Brasy4G157300.1.v1.1 annot-version=v1.1 MIASFRGVQGKKSSGTSSARHDLYNARALLTCYTKAKPRPSKWDDAHKWLSRAPDGDDGRRRSSGADDGLLLHPSASSRKGLRRPSWRSAGGDVPLPAALVAAALGEQDEMRAKKRVSERQIRCVPLALLSLRDVGTEMTPGGSKEPSRTNTPRARAHVRVPVATPSRGVGVVDISAARGSADHQGCDAAAGAGMPMAATAWEEAERAKHVARYRREELKIQARENRERRRAQLQMNTAEVKAETRMRRAQEKAAGKLAATQAVAKEKRARAEAKLDRRVAMIRGETSLLGSGRRPRLQSSLLSLKLPLLCS* >Brasy4G157300.2.p pacid=40085041 transcript=Brasy4G157300.2 locus=Brasy4G157300 ID=Brasy4G157300.2.v1.1 annot-version=v1.1 MIASFRGVGKKSSGTSSARHDLYNARALLTCYTKAKPRPSKWDDAHKWLSRAPDGDDGRRRSSGADDGLLLHPSASSRKGLRRPSWRSAGGDVPLPAALVAAALGEQDEMRAKKRVSERQIRCVPLALLSLRDVGTEMTPGGSKEPSRTNTPRARAHVRVPVATPSRGVGVVDISAARGSADHQGCDAAAGAGMPMAATAWEEAERAKHVARYRREELKIQARENRERRRAQLQMNTAEVKAETRMRRAQEKAAGKLAATQAVAKEKRARAEAKLDRRVAMIRGETSLLGSGRRPRLQSSLLSLKLPLLCS* >Brasy4G157300.3.p pacid=40085042 transcript=Brasy4G157300.3 locus=Brasy4G157300 ID=Brasy4G157300.3.v1.1 annot-version=v1.1 MIASFRGVQGKKSSGTSSARHDLYNARALLTCYTKAKPRPSKWDDAHKWLSRAPDGDDGRRRSSGADDGLLLHPSASSRKGLRRPSWRSAGGDVPLPAALVAAALGEQDEMRAKKRVSERQIRCVPLALLSLRDVGTEMTPGGSKEPSRTNTPRARAHVRVPVATPSRGVGVVDISAARGSADHQGCDAAAGAGMPMAATAWEEAERAKHVARREELKIQARENRERRRAQLQMNTAEVKAETRMRRAQEKAAGKLAATQAVAKEKRARAEAKLDRRVAMIRGETSLLGSGRRPRLQSSLLSLKLPLLCS* >Brasy4G203300.1.p pacid=40085043 transcript=Brasy4G203300.1 locus=Brasy4G203300 ID=Brasy4G203300.1.v1.1 annot-version=v1.1 MPRSASVGENDVFFDACSSTNGSSSIVVSATDEVSASWRPDYELWTSEPMSVQERRHRFLKGMMGFVEPIPTGIDFPHWQGETTADCSCHDLKERISSICSSFCTAFSEDVSVPDSACFIRVPDTVNRFAVTEEVESDKMRTSNELGSLFGFSQLVQNTRKGFKSLWGSFTRKKKCQARTCKHDIHVKNMKTGTLLSRIKVHHQNKNWMDFSAVYMCQEIQAHDGLIKVMKFSPSGWYLASGGGDSVVRIWMIREVDSSPDMHGRDTPLEYMNRSIGLRRKPGKGRSRAIAILPKKVFNITETPLHEFHGHASDILDMTWSMSEFLLTSSKDKTVRMWKVGCDGCLAVFKHRDYVTCVEFNPVDERYFVSGSIDGKVRVWDVSDNRVIDWADAHGIITAISYQADGKGFVVGTVDGTCRFYDQSDQNMKLNRLMQVKPKKKSAANRITSLQLSRGDSSQLIITSTDSKIRFSEGVNIIQKFQGPRNSKALLPPSLTSDGRYLITAGMDSNVHIWNSDTFGSNSKSTKRPKQIIRSRETFFSEGVTAVAHWPGLRQEGGNFCPSSCGETHGLGCCSSGTWFFADGMRGASATWPEESLLPSLSLKNINCCSVPDDCRPKASAAWNLVIVTGSRDGVIRSFHNYGLPVRL* >Brasy4G203300.3.p pacid=40085044 transcript=Brasy4G203300.3 locus=Brasy4G203300 ID=Brasy4G203300.3.v1.1 annot-version=v1.1 MPRSASVGENDVFFDACSSTNGSSSIVVSATDEVSASWRPDYELWTSEPMSVQERRHRFLKGMMGFVEPIPTGIDFPHWQGETTADCSCHDLKERISSICSSFCTAFSEDVSVPDSACFIRVPDTVNRFAVTEEVESDKMRTSNELGSLFGFSQLVQNTRKGFKSLWGSFTRKKKCQARTCKHDIHVKNMKTGTLLSRIKVHHQNKNWMDFSAVYMCQEIQAHDGLIKVMKFSPSGWYLASGGGDSVVRIWMIREVDSSPDMHGRDTPLEYMNRSIGLRRKPGKGRSRAIAILPKKVFNITETPLHEFHGHASDILDMTWSMSEFLLTSSKDKTVRMWKVGCDGCLAVFKHRDYVTCVEFNPVDERYFVSGSIDGKVRVWDVSDNRVIDWADAHGIITAISYQADGKGFVVGTVDGTCRFYDQSDQNMKLNRLMQVKPKKKSAANRITSLQLSRGDSSQLIITSTDSKIRFSEGVNIIQKFQGPRNSKALLPPSLTSDGRYLITAGMDSNVHIWNSDTFGSNSKSTKRPKQIIRSRETFFSEGVTAVAHWPGLRQEGGNFCPSSCGETHGLGCCSSGTWFFADGMRGASATWPEESLLPSLSLKNINCCSVPDDCRPKASAAWNLVIVTGSRDGVIRSFHNYGLPVRL* >Brasy4G203300.2.p pacid=40085045 transcript=Brasy4G203300.2 locus=Brasy4G203300 ID=Brasy4G203300.2.v1.1 annot-version=v1.1 MPRSASVGENDVFFDACSSTNGSSSIVVSATDEVSASWRPDYELWTSEPMSVQERRHRFLKGMMGFVEPIPTGIDFPHWQGETTADCSCHDLKERISSICSSFCTAFSEDVSVPDSACFIRVPDTVNRFAVTEEVESDKMRTSNELGSLFGFSQLVQNTRKGFKSLWGSFTRKKKCQARTCKHDIHVKNMKTGTLLSRIKVHHQNKNWMDFSAVYMCQEIQAHDGLIKVMKFSPSGWYLASGGGDSVVRIWMIREVDSSPDMHGRDTPLEYMNRSIGLRRKPGKGRSRAIAILPKKVFNITETPLHEFHGHASDILDMTWSMSEFLLTSSKDKTVRMWKVGCDGCLAVFKHRDYVTCVEFNPVDERYFVSGSIDGKVRVWDVSDNRVIDWADAHGIITAISYQADGKGFVVGTVDGTCRFYDQSDQNMKLNRLMQVKPKKKSAANRITSLQLSRGDSSQLIITSTDSKIRFSEGVNIIQKFQGPRNSKALLPPSLTSDGRYLITAGMDSNVHIWNSDTFGSNSKSTKRPKQIIRSRETFFSEGVTAVAHWPGLRQEGGNFCPSSCGETHGLGCCSSGTWFFADGMRGASATWPEESLLPSLSLKNINCCSVPDDCRPKASAAWNLVIVTGSRDGVIRSFHNYGLPVRL* >Brasy4G164900.1.p pacid=40085046 transcript=Brasy4G164900.1 locus=Brasy4G164900 ID=Brasy4G164900.1.v1.1 annot-version=v1.1 MDKFLLAAAPPPGDGPAAPRPPPQRWHRWSRIAVELEGRIDARFRHRESRRLLDSYAETRTFEHKYYTHGEERCQTYVNRMINASTVVGCYNPAREGVSAMEFDKKGVYLASVTISGCLTVHDFETLYCSMYGPSCSLPDDSSNYLLHISNPMPLCSVRWNPGNQDEIVCSSSQRDKVFLFDIGYVSAAPNEVLQIGKSKFHTLCSESRKGLTDLAFTSDDKSWLFASGLDGAVYMWDRRLSKTHCRELTALPESQFTSIKLNMDNRTVFGATKNGTIHVWDLRGGKASAAFQSHNEVQPLSSVKISTLLGKIASLKEQSNIISCEILSIDFNPSCSYQLAFHLDDGWSGVLNVHTLSVSHLHCPPPAWLDGMDSVLHKRKPTWLATSSIYAVGSSSISGMYVLDFHPDTSSACHVDYNEEIKGSGENQPTENKFIPLSERVLSCAAHPLSDSIIAGTERSSCGRHALPLAQPAAATSCLFVITVVTRKCRPLYMLVFLYMDLLLPI* >Brasy4G164900.2.p pacid=40085047 transcript=Brasy4G164900.2 locus=Brasy4G164900 ID=Brasy4G164900.2.v1.1 annot-version=v1.1 MDKFLLAAAPPPGDGPAAPRPPPQRWHRWSRIAVELEGRIDARFRHRESRRLLDSYAETRTFEHKYYTHGEERCQTYVNRMINASTVVGCYNPAREGVSAMEFDKKGVYLASVTISGCLTVHDFETLYCSMYGPSCSLPDDSSNYLLHISNPMPLCSVRWNPGNQDEIVCSSSQRDKVFLFDIGYVSAAPNEVLQIGKSKFHTLCSESRKGLTDLAFTSDDKSWLFASGLDGAVYMWDRRLSKTHCRELTALPESQFTSIKLNMDNRTVFGATKNGTIHVWDLRGGKASAAFQSHNELSWRHEDLCFLPWFWADKIFIQVQPLSSVKISTLLGKIASLKEQSNIISCEILSIDFNPSCSYQLAFHLDDGWSGVLNVHTLSVSHLHCPPPAWLDGMDSVLHKRKPTWLATSSIYAVGSSSISGMYVLDFHPDTSSACHVDYNEEIKGSGENQPTENKFIPLSERVLSCAAHPLSDSIIAGTEFSSLLMVSQKNETIRNPE* >Brasy4G164900.3.p pacid=40085048 transcript=Brasy4G164900.3 locus=Brasy4G164900 ID=Brasy4G164900.3.v1.1 annot-version=v1.1 MDKFLLAAAPPPGDGPAAPRPPPQRWHRWSRIAVELEGRIDARFRHRESRRLLDSYAETRTFEHKYYTHGEERCQTYVNRMINASTVVGCYNPAREGVSAMEFDKKGVYLASVTISGCLTVHDFETLYCSMYGPSCSLPDDSSNYLLHISNPMPLCSVRWNPGNQDEIVCSSSQRDKVFLFDIGYVSAAPNEVLQIGKSKFHTLCSESRKGLTDLAFTSDDKSWLFASGLDGAVYMWDRRLSKTHCRELTALPESQFTSIKLNMDNRTVFGATKNGTIHVWDLRGGKASAAFQSHNEVQPLSSVKISTLLGKIASLKEQSNIISCEILSIDFNPSCSYQLAFHLDDGWSGVLNVHTLSVSHLHCPPPAWLDGMDSVLHKRKPTWLATSSIYAVGSSSISGMYVLDFHPDTSSACHVDYNEEIKGSGENQPTENKFIPLSERVLSCAAHPLSDSIIAGTEFSSLLMVSQKNETIRNPE* >Brasy4G164900.4.p pacid=40085049 transcript=Brasy4G164900.4 locus=Brasy4G164900 ID=Brasy4G164900.4.v1.1 annot-version=v1.1 MDKFLLAAAPPPGDGPAAPRPPPQRWHRWSRIAVELEGRIDARFRHRESRRLLDSYAEVNRMINASTVVGCYNPAREGVSAMEFDKKGVYLASVTISGCLTVHDFETLYCSMYGPSCSLPDDSSNYLLHISNPMPLCSVRWNPGNQDEIVCSSSQRDKVFLFDIGYVSAAPNEVLQIGKSKFHTLCSESRKGLTDLAFTSDDKSWLFASGLDGAVYMWDRRLSKTHCRELTALPESQFTSIKLNMDNRTVFGATKNGTIHVWDLRGGKASAAFQSHNEVQPLSSVKISTLLGKIASLKEQSNIISCEILSIDFNPSCSYQLAFHLDDGWSGVLNVHTLSVSHLHCPPPAWLDGMDSVLHKRKPTWLATSSIYAVGSSSISGMYVLDFHPDTSSACHVDYNEEIKGSGENQPTENKFIPLSERVLSCAAHPLSDSIIAGTEFSSLLMVSQKNETIRNPE* >Brasy4G424500.1.p pacid=40085050 transcript=Brasy4G424500.1 locus=Brasy4G424500 ID=Brasy4G424500.1.v1.1 annot-version=v1.1 MEMEVGTSAGEAVAAAKFSLPVDSENKAKSIKIFSFGNPHMRAFHLGWMSFFVCVVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFLVMLSAPAVFCMSVIDSAAGYIVIRFLIGVSLATFVSCSYWMSTMFSSKITGTVSGLTAGWGDMGGGATQLIMPLVFDAIEACGATPFTAWRIAYFVPGLMLMVMGLLVLTMGQDLPDGNLRSLQKNGDMNKDKFSGVFRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCLCLGRASTLPTSIISMVLYSICVEAACGAVYGVIPFVSRRSLGIISGMSGAGGNVGGGLTQFLFFTSSQYSTAKGLQYMGIMIMACTLPVALIHFPQWGSMLFPPSTDATEEDYYSSEWTEEEKSKGLHLAGQKFAENSRTERGRRNVILAVPSTPPNNTPQHV* >Brasy4G388700.1.p pacid=40085051 transcript=Brasy4G388700.1 locus=Brasy4G388700 ID=Brasy4G388700.1.v1.1 annot-version=v1.1 MSPLRFLGSLLVGKISSRRICGQAAGSARLRHLTDGVRGFFFSGRLPIGEKPHDTVAAAGALSAFLGGGIWYFKEDAHEPVARKLTDGENETDEVRREYRGGSVPTAEEFEMLKLRLRELGRGH* >Brasy4G214500.1.p pacid=40085052 transcript=Brasy4G214500.1 locus=Brasy4G214500 ID=Brasy4G214500.1.v1.1 annot-version=v1.1 MALLKAARVADVPTLDVVVPDLAVSARILEVDATVKKRAGGRFAVIGHRGKGMNALASPDRRLQEVKENSVRSFNEAARFPVDYVEFDVQVTKDGCPIIFHDNFIFTEEHGKISDKRVTDLQLEDFLMYGPQKKQGKHGKPLLRKMKDGRMLNWNVQSDDPLCTLQEAFDKVNPRLGFNVELKFDDYLVYQEEELSRTLQAILKVVFEYAKDRPIIFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAVKLCLASGMQGIVSEARAIFRFPAAIPKIKEADLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVTDLIAVPEPDPEAKDLSSQVTRDAASTPNFTQREISFLLRLIPELVQ* >Brasy4G227900.1.p pacid=40085053 transcript=Brasy4G227900.1 locus=Brasy4G227900 ID=Brasy4G227900.1.v1.1 annot-version=v1.1 MAADSSMGFHQGITASVYNHHHHHNMLSFQSNSDASMGGGGGASGMGFVTPRSMGGTSSSTAGLFISPNNNNGVFGNASVVGPSSRSSSSGDVFRGGTSATKYKFVTGSPSEWTDREQSILKEGLMRYGREPNIMRYVKIAAMLPNRTIRDVALRCWWSTGKDRRKKPDGFFSGKKIRDMKPMQDKMVASATTTNFSMVPTNNLTPFSISMQNPNQQCQVPKEAAPVVDSATQQLLEENNQLLTQIAGNIETFKTEENTSLFLQTNNNIKTILSRMSETPGIMSQMPPLPEFVHEDQLNSLLHVDRMVAAHASHMKLEPRS* >Brasy4G339500.1.p pacid=40085054 transcript=Brasy4G339500.1 locus=Brasy4G339500 ID=Brasy4G339500.1.v1.1 annot-version=v1.1 MAAAALTTLLLQLLLLPARHALATAAGDAHPGYAGAEAETCGPELGDGGSVGRRRHGQALEEYGGGRIVDITHAYRPDMPAFAPGATVGPLVRLKESMENGSEYNLSELRMECHMGTHVDAPGHMNQAHFAAGLDIDTLDLDVLNGPALLVDVPRHTNITAEAMESLNIPKGVRRVLFRTLNTDRGLMWKASGDLSYVGFTKDGAQWLVDNTDIKLVGVDYLSVAAFDHLISAHVVFFKNADIILVEALKLDNVKTGLYMLHCLPLRLVGSEGSPIRCILIK* >Brasy4G076500.1.p pacid=40085055 transcript=Brasy4G076500.1 locus=Brasy4G076500 ID=Brasy4G076500.1.v1.1 annot-version=v1.1 MAIAGACAVASLPSATATSSSGVAPPRSRVSCQREPRGARSIGRARCSRSPAEAEPDKERQIPQASMVGATNRLSLKDDSSYLWKLGLGSFGGAAAIKYGSVLLPDITRPNIVQALLMVSLPVVAAVLILLKLSGSATED* >Brasy4G076500.2.p pacid=40085056 transcript=Brasy4G076500.2 locus=Brasy4G076500 ID=Brasy4G076500.2.v1.1 annot-version=v1.1 MAIAGACAVASLPSATATSSSGVAPPRSRVSCQREPRGARSIGRARCSRSPAEAEPDKERQIPQDDSSYLWKLGLGSFGGAAAIKYGSVLLPDITRPNIVQALLMVSLPVVAAVLILLKLSGSATED* >Brasy4G174800.1.p pacid=40085057 transcript=Brasy4G174800.1 locus=Brasy4G174800 ID=Brasy4G174800.1.v1.1 annot-version=v1.1 MGSVSKPSPTATAPSRRRVALYLALLTLQYGAQPLISKRFVRREAIVTSLVLAIEVLKVMCAVILLVAEGSLKKQFSNWSLAGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQRQSPKQIFALTLLISAAVLLSVGESTTKGLNGGSSEYVLLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYLMTIEMSFIGSMCLLASTFQSPDGEAMRKYGFFHEWTLLTVIPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSVYCLMALPLVMASIFIYQKYPYVDRKKKD* >Brasy4G150100.1.p pacid=40085058 transcript=Brasy4G150100.1 locus=Brasy4G150100 ID=Brasy4G150100.1.v1.1 annot-version=v1.1 MTRLATAGPDPNDGRRRLSGPSRGCCGHRPRLRPGPPLRPRWPPPPPLATAPALPTPRAPPPIADVPERATLTSIAAGPFPGREHECATAAGPPPLAGVSAGPLWPRARARSSCVSIVREEEDDGRWDGLGLDGVKMGQA* >Brasy4G321000.1.p pacid=40085059 transcript=Brasy4G321000.1 locus=Brasy4G321000 ID=Brasy4G321000.1.v1.1 annot-version=v1.1 MVGPSVPYCIGSLDRIVWLAPPGPLGSRWSAMLRSALPLVFQFPHRKPPPIRPRPPPVRRYASPAAAAAASVPPPLPPSSAYVHLPFCRKRCHYCDFPIVALGTSSSSSFPSPRGEGEGEDPRVADYVRLLLREVAATRAVSDDGVPLETIFFGGGTPSLVPPRLVAAVLDALRGKFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEDLLRACGRAHGLSEVREAVGIVTGCEGLQNWSMDLISSLPNQTEEMWEESLRCTIDARPTHVSVYDLQIEQGTKFGQMYTPGVYPLPSETDSANFYKMASKRLSEAGYKHYEISSYCKTGYECKHNLTYWQNRPFYAFGLGSASYINGVRFSRPRRMKEYADWVQKLEDGTWCHESSTSEMKDMAMDSVMLSLRTAWGLDLHSFSKSYGKNLTLSLCSMFRPFIESGLVIAMDAERLVLQPSEFDLELDSENKGETGSRVAFIRLSDPDGFLLSNELISLAFGIISP* >Brasy4G385900.1.p pacid=40085060 transcript=Brasy4G385900.1 locus=Brasy4G385900 ID=Brasy4G385900.1.v1.1 annot-version=v1.1 MAPPPTSHPGQPPEPPFLQVPDELLLRLPTAADLARASTACAAFRRVIADHKFLRCYRAIHPPPLVGVIDDPFIPAQPPHLSAAAARAFAAFDFSCSSFLPSTAGRSWSRLDFFEGRALLAGGPVEEGKSGIQVFAEHEDSDLQYDEFLVRDLAVCDPVHRRYVLLPAVPDDLTALIHKPDFLDMKTFLAPGEDGGDPLSFRVMCMAQHRNKLVLLIFSSGGQWRALTFDRSNDQALASLLQCEPGLADRQYAHRCFCWQLLFPHKLLVLDVRVMEFSVVDLTPEQRNSRFVIVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYVMGVAGGYLLLETPYTTSSQDKLHIGYFSVDIKTLQVELFAGLS* >Brasy4G385900.2.p pacid=40085061 transcript=Brasy4G385900.2 locus=Brasy4G385900 ID=Brasy4G385900.2.v1.1 annot-version=v1.1 MAPPPTSHPGQPPEPPFLQVPDELLLRLPTAADLARASTACAAFRRVIADHKFLRCYRAIHPPPLVGVIDDPFIPAQPPHLSAAAARAFAAFDFSCSSFLPSTAGRSWSRLDFFEGRALLAGGPVEEGKSGIQVFAEHEDSDLQYDEFLVRDLAVCDPVHRRYVLLPAVPDDLTALIHKPDFLDMKTFLAPGEDGGDPLSFRVMCMAQHRNKLVLLIFSSGGQWRALTFDRSNDQALASLLQCEPGLADRQYAHRCFCWQLLFPHKLLVLDVRVMEFSVVDLTPEQRNSRFVIVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYVMGVAGGYLLLETPYTTSSQDKLHIGYFSVDIKTLQVELFAGLS* >Brasy4G385900.3.p pacid=40085062 transcript=Brasy4G385900.3 locus=Brasy4G385900 ID=Brasy4G385900.3.v1.1 annot-version=v1.1 MAPPPTSHPGQPPEPPFLQVPDELLLRLPTAADLARASTACAAFRRVIADHKFLRCYRAIHPPPLVGVIDDPFIPAQPPHLSAAAARAFAAFDFSCSSFLPSTAGRSWSRLDFFEGRALLAGGPVEEGKSGIQVFAEHEDSDLQYDEFLVRDLAVCDPVHRRYVLLPAVPDDLTALIHKPDFLDMKTFLAPGEDGGDPLSFRVMCMAQHRNKLVLLIFSSGGQWRALTFDRSNDQALASLLQCEPGLADRQYAHRCFCWQLLFPHKLLVLDVRVMEFSVVDLTPEQRNSRFVIVEAAEGMLGMLSICDRDDSEDDTYWLTYSVLRNNQWQSEKVIPLPIMRRYVMGVAGGYLLLETPYTTSSQDKLHIGYFSVDIKTLQVELFAGLS* >Brasy4G050200.1.p pacid=40085063 transcript=Brasy4G050200.1 locus=Brasy4G050200 ID=Brasy4G050200.1.v1.1 annot-version=v1.1 MATAMMAAATTSCSPRRAPLALKPVASSSSAPPPPRPQPRRSFKQLPGLVATAAAAAAAAVVSAPLPALAADIEKAALFDFNLTLPFITIEFLLLMVALDKLYFSPLGKFMDDRDAKIRAELGGVKDASEELRQLEEQAQAVLKAARAEIAAALNKMKKETTTELEAKLDEGRRAVEAELVEALGNLEAQKEEAIKALDAQIASLSDEIVKKVLPSA* >Brasy4G417800.1.p pacid=40085064 transcript=Brasy4G417800.1 locus=Brasy4G417800 ID=Brasy4G417800.1.v1.1 annot-version=v1.1 MQHARAQAFACVYSSETGVWGNPIVSTLLLSEVVPTPTAGIRILASLLRTPTMCFTGKSTDAVLVGDSLYWVVARVLHGTVTSSRILELDLDGQSLNVIPVPVVRYGLTNCHMCVMRAEGGGLGFLFLSGFSAQFWNRKTDCQGVASWELGKTIELDKLLSLEIERTACPHILGYASQNNVVFLWTRVGVFMLQLESLEFKKIFETDISPSYYPYESVYTAETGIGGEQDGAELLHNT* >Brasy4G417800.3.p pacid=40085065 transcript=Brasy4G417800.3 locus=Brasy4G417800 ID=Brasy4G417800.3.v1.1 annot-version=v1.1 MQHARAQAFACVYSSETGVWGNPIVSTLLLSEVVPTPTAGIRILASLLRTPTMCFTGKSTDAVLVGDSLYWVVARVLHGTVTSSRILELDLDGQSLNVIPVPVVRYGLTNCHMCVMRAEGGGLGFLFLSGFSAQFWNRKTDCQGVASWELGKTIELDKLLSLEIERTACPHILGYASQNNVVFLWTRVGVFMLQLESLEFKKIFETDISPSYYPYESVYTAETGIGGEQDGAELLHNT* >Brasy4G417800.2.p pacid=40085066 transcript=Brasy4G417800.2 locus=Brasy4G417800 ID=Brasy4G417800.2.v1.1 annot-version=v1.1 MQHARAQAFACVYSSETGVWGNPIVSTLLLSEVVPTPTAGIRILASLLRTPTMCFTGKSTDAVLVGDSLYWVVARVLHGTVTSSRILELDLDGQSLNVIPVPVVRYGLTNCHMCVMRAEGGGLGFLFLSGFSAQFWNRKTDCQGVASWELGKTIELDKLLSLEIERTACPHILGYASQNNVVFLWTRVGVFMLQLESLEFKKIFETDISPSYYPYESVYTAETGIGGEQDGAELLHNT* >Brasy4G299600.1.p pacid=40085067 transcript=Brasy4G299600.1 locus=Brasy4G299600 ID=Brasy4G299600.1.v1.1 annot-version=v1.1 MMCYRSGSQTSAKAAMILFLMVLGCQTFSAHCSRQLQNDQIGDSTKLYPGVLCLKTLCIYGLTGLHYCFCCATLPHNPCWHTADDCRKVCP* >Brasy4G305700.1.p pacid=40085068 transcript=Brasy4G305700.1 locus=Brasy4G305700 ID=Brasy4G305700.1.v1.1 annot-version=v1.1 MHRLSSLSRPLLSLMASAPPPALARRVFPLLAAPSPPVRRVCRFLHASRNMASIGEAKVYESGKQDMLLLISSANDRPAITEGMKDIETIGIPEELVAHCNETTLEVKDIIDGKHYTGEKNGPLASSEEDGRITTNDHKGVIMVSDDEEMPPYPIEDVYPLHIFPNSRHRTGSIYESTNEWTEHYRIMDHNETRPEARDCCIRNGTCIIHVPTCLLQICSLKLAKIPMGCGSIELYGYIAVRDELDPLRNYVVNISRDDPIILEKGSLINMSGPKRGIGLSGSSLIEYDMKIKTGKRERDDLQLIDGLSPIDFMQMWKCRTLTGRIYGDCGSVDITVLFLENAVEATIEVLISEVQSSFNLRLGCFISKFNEEIRLFDGAIDGSPALKRSVVAAVMHSCINLKFKVGTESSSSAEYCCSFKVNNHGLATHEIKSDFGLILVKVTWSTLPRFC* >Brasy4G033700.1.p pacid=40085069 transcript=Brasy4G033700.1 locus=Brasy4G033700 ID=Brasy4G033700.1.v1.1 annot-version=v1.1 MGRIRGRPRPEVINCPICLLDAYISALLPSPPPPTQLQADVVTMARELQKVLDGAGAGAGRQGAAAADLGNASQNNGHAGDKPEHQPLLIVIAEQRLVSMPTEATVGRDVEDADTDTEAVVNAQGTEEDAGPAGPDGATAGMATRPRRKTSAPKWFGEWTQ* >Brasy4G336700.1.p pacid=40085070 transcript=Brasy4G336700.1 locus=Brasy4G336700 ID=Brasy4G336700.1.v1.1 annot-version=v1.1 MGIGDGSTGESQYAAHKEMRDETTPLLPVKAEEEDGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSTTGVHHRGIFEGWFGPHLWNSRPVVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTAEIPKLFPEIHEINSIWELFTAVPVLVTAYICHYNVHSIDNELEDRSQTKTIVQTSLALCSSVYIATSFFAYLLFGEGTLSDVLANFDSNLHIPFSSVFNDIVRVSYAVHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNRRFTIITICLLAVIYLAANFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDAYGIATKRDKVLAVTMIVLAVFSNSVALYSDALNIFYRKVEA* >Brasy4G177700.1.p pacid=40085071 transcript=Brasy4G177700.1 locus=Brasy4G177700 ID=Brasy4G177700.1.v1.1 annot-version=v1.1 MADSVLREESNGNPRTLRLLALLVEAESRRFVAAASQPAENDLVRAFRGGATPSVPIGKFLERLQRCFRLFDGSVYVYAGAYLARFMRSPAACDAGIVLEPTTAHRLVSIAVLLGAKFISPRHYERRVETFEICSDRSIRASEMCPLEKLVLRAVDYRLFISVEEFQWFFRVLERGPPAAESCGSRKRKAEAAAGGEESRSVRACRPPVVGS* >Brasy4G242300.1.p pacid=40085072 transcript=Brasy4G242300.1 locus=Brasy4G242300 ID=Brasy4G242300.1.v1.1 annot-version=v1.1 MYCACGGVSVMRLFFVHPAAGVARLRPSRTPPPPIPSGPTAATLIFLPPPTRPATPPPYPVAGAPSLRPATVAPPRHRRSTAKTRDVPSHGAAPTAETHDVPSRGAGAPSSRSRSLL* >Brasy4G242300.3.p pacid=40085073 transcript=Brasy4G242300.3 locus=Brasy4G242300 ID=Brasy4G242300.3.v1.1 annot-version=v1.1 MYCACGGVSVMRLFFVHPAAGVARLRPSRTPPPPIPSGPTAATLIFLPPPTRPATPPPYPVAGAPSLRPATVAPPRHRRSTAKTRDVPSHGAAPTAETHDVPSRGAGAPSSRSRSLL* >Brasy4G242300.2.p pacid=40085074 transcript=Brasy4G242300.2 locus=Brasy4G242300 ID=Brasy4G242300.2.v1.1 annot-version=v1.1 MYCACGGVSVMRLFFVHPAAGVARLRPSRTPPPPIPSGPTAATLIFLPPPTRPATPPPYPVAGAPSLRPATVAPPRHRRSTAKTRDVPSHGAAPTAETHDVPSRGAGAPSSRSRSLL* >Brasy4G378600.1.p pacid=40085075 transcript=Brasy4G378600.1 locus=Brasy4G378600 ID=Brasy4G378600.1.v1.1 annot-version=v1.1 MPTLQMLVFAANYFSRNLNVSGAGAPHDARGKNAKQAVADHSKVVHDEQRNSFGLFSGDFLEDAYCIARAQTLIALCGTVPQYWFTVALIDWGGSGSSWEASSSWQCSCSTWQCRRGSLGDSPSTCCHDGGPDSCGNDERAEDSSGSDERAEDEAVARVSGVCDVSR* >Brasy4G230500.1.p pacid=40085076 transcript=Brasy4G230500.1 locus=Brasy4G230500 ID=Brasy4G230500.1.v1.1 annot-version=v1.1 MAPPSSQFHSQLLPPIVLLLLLLISAAAEQQQPHPDCGDKCGDITIPFPFGIGPGCFREGFEVVCTDSEIPPRAFLADHRIKHVLTTGTLDNDTQLPLELAGVSIAESEARIFQTLYTDFVRTPFLVSAARNVLIGVGWRVEPHLHLENTNEVLCCRAEYMGDGAATNGSCTGRGCCVTTLPPQDTNITDVQVIINSENNSRWETSPCSYGMLVEESWYNFSTPDLYGDRVLVKRFPRGVQYVLDFACLEHYQGNPYITDGCQDIYECKHPELYNCSSPETCNNRPGGYDCPCKFGMKGDGKLGTCTDISPLAGKVTVGVIGGILVMAVLSFLIIRLKERGMAREFFMKNGGPILEQAKVIKIFKEEEIKAILKNSKIIGQGGFGEVHKGLLENKEVVVKKPIRGSLHENKQLANEVIIQSKIIHKNIVRLIGCCLEVDTPMLVYEFLSNGSLHDILHGETKVALSLDDRLRIATQSAFGLAYMHAGTEIEILHGDVKPGNILLDDKFAPKISDFGLSRLMVIDKQQTELVIGDMSYIDPVFVKKGLLTPKSDVYSFGVVILELISRRKATHSDDDSLVNNFLEAYEQKKKPTAALFDKEIAVTQDLELLDSLGDLAMECLSLEVDQRPTMTEVAERLLMMSRSHTSPSFSRCTVQCQQHVR* >Brasy4G172200.1.p pacid=40085077 transcript=Brasy4G172200.1 locus=Brasy4G172200 ID=Brasy4G172200.1.v1.1 annot-version=v1.1 MGDHVAVDVRGFVASRAGEGVGLASCGEEVEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHELYKPGYTAPPQIHHDETTIEISGDWSISGNHLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRMMQFAVATESPASPHPELPQLNS* >Brasy4G029300.1.p pacid=40085078 transcript=Brasy4G029300.1 locus=Brasy4G029300 ID=Brasy4G029300.1.v1.1 annot-version=v1.1 MGVGRVLNDVKPYLAMVLLQVGFAGMYVVAVASLKGGMSHFVLVVYRNLVATAVMAPFALYFERGQRPPMTITVFLKIMGLAFLEPVLDQNLYFMGAKLTSASFASALINILPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGAVLMILYHGPAVQFPWTKGRAGAAHHGAGQGQAQQQGAGWLSGTIMTIAACVAWACFFVLQSSTLQSYPAELSLTVLICAVGSLMSGSVALVAERGNTQAWVIGFDTRLFTAVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITSVMGSIILKEEITLGSVIGAAIIVAGLYFLIWGKSNDEVSQVSVKGVGELPLTSEISQVSAKGAGEPPLTSVTNGNGKQELGNGGHVFDVETPAGANGLHY* >Brasy4G088400.1.p pacid=40085079 transcript=Brasy4G088400.1 locus=Brasy4G088400 ID=Brasy4G088400.1.v1.1 annot-version=v1.1 MSKAGALDLASGLGGKITKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSKTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSSLPWYLPLDPSRFSLSGFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy4G088400.2.p pacid=40085080 transcript=Brasy4G088400.2 locus=Brasy4G088400 ID=Brasy4G088400.2.v1.1 annot-version=v1.1 MSKAGALDLASGLGGKITKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSKTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSSLPWYLPLDPSRFSLSGFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy4G088400.3.p pacid=40085081 transcript=Brasy4G088400.3 locus=Brasy4G088400 ID=Brasy4G088400.3.v1.1 annot-version=v1.1 MSKAGALDLASGLGGKITKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSKTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSSLPWYLPLDPSRFSLSGFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy4G088400.4.p pacid=40085082 transcript=Brasy4G088400.4 locus=Brasy4G088400 ID=Brasy4G088400.4.v1.1 annot-version=v1.1 MYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSKTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSSLPWYLPLDPSRFSLSGFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy4G088400.5.p pacid=40085083 transcript=Brasy4G088400.5 locus=Brasy4G088400 ID=Brasy4G088400.5.v1.1 annot-version=v1.1 MYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSKTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQFFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSSLPWYLPLDPSRFSLSGFRLTTVGRLITRNMVKALEYIGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE* >Brasy4G071300.1.p pacid=40085084 transcript=Brasy4G071300.1 locus=Brasy4G071300 ID=Brasy4G071300.1.v1.1 annot-version=v1.1 MMFLACCYNDPEMLIDPETVYPTRADCQDAPKSRFKPQPRRTLSPRRWKLLFNEEGCLDAAGMIKRVQRGGIHPNIKGEVWEYLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIEDPNSEGGASAGVEQQTNGPLPKEVIQWKLLLHQIGLDVNRTDRTLVYYESQENLARLWDILAVYAWIDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRENFKSTSTSIGVRSQLTTLSSIMKAVDPKLHEHLENLDGGEYLFAFRMLMVIFRREFSFIDTMYLWELMWSMEYNPGLFSMLESNSGTSNTDAKDENTLKQCGKFEKKNLLAAKKDEQIPLSVFVVASVIEARNKRLLGEAKGLDDVVKILNEITGSLDAKKACRGALTIHEKYLTTVKAS* >Brasy4G283800.1.p pacid=40085085 transcript=Brasy4G283800.1 locus=Brasy4G283800 ID=Brasy4G283800.1.v1.1 annot-version=v1.1 MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVESFKGESTSGSKFVRGGVIDPNAKMRADSEGGKSKDGGSVPKKGSRYVPSFLPPSFGREPEKKKEDERPKEKEKGKPRAIDKFMEELKLEQELRERRNQERDGRHGDTSAPSSRFDELPDEFDPGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRAEGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVIISGPGGPPVASVTPQTSELVLTPNVPDIVVAPPDDSHLRHVIDTMALHVLDGGCAFEQAIMERGRGNALFTFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPDHEKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLAESLTLKETPIPTKVARLMLVSDILHNSSAPMKNASAFRTKFEAAIPDVMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRSGNSGVTQFHSLCGDAPEIEKKISSEDGNNGFRLDEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKETMVARLLSLEEAEKERVYQKDVDMKYGQGEPHRTGRDDIGLNTRSASRFGEGTAADELDMPGLSRYTSQRHSGESASAEPEQVPSKKQKADPILPVSKWNRDDDVSDEENRKGGQGLGLSYSSGSDIAGEPGNGDKSEISSDHTSHHQDTIVDEEHRQKLRQIEIAVMQYRESLEENGLRNTEEIERKIASHRRRLQSEYGLTSQIDGANNRRSSERTPLERKERYGDVHDSSRKRPRSPNRSRSPSRKSSSLDRDREHSRSRDKLHGNDVGRDRVREKSANRGKDDHYDRSRDREKDRRKGR* >Brasy4G125200.1.p pacid=40085086 transcript=Brasy4G125200.1 locus=Brasy4G125200 ID=Brasy4G125200.1.v1.1 annot-version=v1.1 MTQQQEGKRAALARGRDSIDALPDVILQHILSFLPAKQAVRTCELREFVDHLLLLRGRAPIDTCKFSFDVCLDDEMPRVNLWIRHVILCKVRLLHLAISRDSHHPSGLYFCVDDLPLVSRHLTRLELTDTGLNDSFLDFAGCPILEDLVICNGRFTGCKKISSKSLKHLTISDGTFDSHTRTRICVPRLVSLRLEENWDRTPVLESMPLLMEAFVRFYKYSDDRCSYSDSWDCHNEDCEGCYDLHNDNSDDDMNSCVLLEGLSKAENLFIFRRDLTWCPTFSKLKTLLLNECWCVPSDFKALGCILKHSPVLEVLTLHPFSKGPEHQVEIRGSYHAMQSSAALSKHLKVEVKCNSVDVEVLKVLKFFGTLNILAHHD* >Brasy4G250500.1.p pacid=40085087 transcript=Brasy4G250500.1 locus=Brasy4G250500 ID=Brasy4G250500.1.v1.1 annot-version=v1.1 MDMDRRERERERDATSQQGRQIVRRRRQKVRKLHNMWSRGGVEDKGRATHRMDHGWTCVALSCSSTDGFLIGAVCFLRFGPDGNSDVRGKYLKLGTFFWM* >Brasy4G161600.1.p pacid=40085088 transcript=Brasy4G161600.1 locus=Brasy4G161600 ID=Brasy4G161600.1.v1.1 annot-version=v1.1 MEVKPAVFALCPAHVRAWCHHEYGCSPAAAAPTPPHLLHPSRRPPASSSTPDPQLPTPSRTADFTISPPPSPHRLLNPRLGSPPPHLPNLAGGRRLLPTSRGRWPPALPSILPSLPMSSSRIRCCSPISPPSATVPHRRARRCGRFVRDAPAPARRRRLVPVAVSGWNSDQVHRICI* >Brasy4G060300.1.p pacid=40085089 transcript=Brasy4G060300.1 locus=Brasy4G060300 ID=Brasy4G060300.1.v1.1 annot-version=v1.1 MIMCERDQNFHWAYDLFHDPFAQTGYYGPPNGYNNGTYCDHHYARDASLPDETHLHSSALTYDLYNPSVGLYHPENVGGHEHEAVYVDPYSSSSCPSSDDCPEMEEEVGKRFYPMVPVPHVPKINGEIPSMDEATMDHERLSDRLRLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKSNRVAYDGYVPMAYDEYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIFPQNDAPRSHTAKKRRWWPFSSHH* >Brasy4G060300.3.p pacid=40085090 transcript=Brasy4G060300.3 locus=Brasy4G060300 ID=Brasy4G060300.3.v1.1 annot-version=v1.1 MIMCERDQNFHWAYDLFHDPFAQTGYYGPPNGYNNGTYCDHHYARDASLPDETHLHSSALTYDLYNPSVGLYHPENVGGHEHEAVYVDPYSSSSCPSSDDCPEMEEEVGKRFYPMVPVPHVPKINGEIPSMDEATMDHERLSDRLRLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKSNRVAYDGYVPMAYDEYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIFPQNDAPRSHTAKKRRWWPFSSHH* >Brasy4G060300.4.p pacid=40085091 transcript=Brasy4G060300.4 locus=Brasy4G060300 ID=Brasy4G060300.4.v1.1 annot-version=v1.1 MIMCERDQNFHWAYDLFHDPFAQTGYYGPPNGYNNGTYCDHHYARDASLPDETHLHSSALTYDLYNPSVGLYHPENVGGHEHEAVYVDPYSSSSCPSSDDCPEMEEEVGKRFYPMVPVPHVPKINGEIPSMDEATMDHERLSDRLRLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKSNRVAYDGYVPMAYDEYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIFPQNGE* >Brasy4G060300.2.p pacid=40085092 transcript=Brasy4G060300.2 locus=Brasy4G060300 ID=Brasy4G060300.2.v1.1 annot-version=v1.1 MIMCERDQNFHWAYDLFHDPFAQTGYYGPPNGYNNGTYCDHHYARDASLPDETHLHSSALTYDLYNPSVGLYHPENVGGHEHEAVYVDPYSSSSCPSSDDCPEMEEEVGKRFYPMVPVPHVPKINGEIPSMDEATMDHERLSDRLRLYELVEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKSNRVAYDGYVPMAYDEYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIFPQNGE* >Brasy4G224600.1.p pacid=40085093 transcript=Brasy4G224600.1 locus=Brasy4G224600 ID=Brasy4G224600.1.v1.1 annot-version=v1.1 MGAAGSLPSLPVTDKFSTTGENESIKYATSSMQGWREEMEDAHAAILDLDGSRSTSFFGVYDGHGGAEVASYCARQFHIELVNDPDYGNNLATAMEHVFFRIDERLQQSNEWRELANPRGYCYLIRCLRTSLCAAWPVKARYIGPQDEGSTACVAIIRGNQIIVGNVGDSRCVLSRNGQAINLSMSHKPNHRNERARIQAAGGQVLRDMFPKVLAGLVVGTEWGVYRVDGKLAMSRAIGDFQYKQNKDLPPAEQMVTCNPSIRAVNITDDTEFLLIASDGIWDVITSQQAVEFVHACLRNGMTDPRAICESLQNLCLRSEDNSAVILVQFKDGVRIGPSGEARADSDNEIVEVQAQSSSDDEIEECFWTGLFEEEG* >Brasy4G315200.1.p pacid=40085094 transcript=Brasy4G315200.1 locus=Brasy4G315200 ID=Brasy4G315200.1.v1.1 annot-version=v1.1 MASASCNRVRLAMPLLLLLLLLRQYAAAAALSAAPRTTFPGDKAALASLKSAVDAATIPSYSCLASWDFARDPCATFPCGLRCYAAPNDSSYLRVAGISLDPAGYSGTLPAPVLASLPFLAFLSLTGNRFHGPLPAGSPLPPSLRVLDLSANAFSGPIPASLFTAPSALEELDLSRNAFTGRIPSQIASLGALTRIDLQHNGLTGSLPWMGDMRSLTRLDVSGNALSGALLDALPRQVVSVVARNNGISAPLKAADFDALPAMQVLDLTGNAVPGAVPGAVFRHPALQQLRLGSNQLGSVEEPSSDGGALSSRLVELDLSGNRITGRLPRCLAAMPRLAVVGLDRNRFTGGIPNEYVARVAAVEDEADDDKRSPFARLMLQGNYLCGALPSQMRTLKEGGAVVSLADNCLLRCPHKFFFCKGAPQKDHATCPKCEA* >Brasy4G182300.1.p pacid=40085095 transcript=Brasy4G182300.1 locus=Brasy4G182300 ID=Brasy4G182300.1.v1.1 annot-version=v1.1 MKLLVKRILINLKSGSIRIYFELGDHGLQFSCLVIVVFLHCDCSFFSGSWLAVFLHCDCSSFN* >Brasy4G166300.1.p pacid=40085096 transcript=Brasy4G166300.1 locus=Brasy4G166300 ID=Brasy4G166300.1.v1.1 annot-version=v1.1 MAPKGFLLVFALLLAAALLVSAAEETQGKKKEEESKVDVQDYYRGGGGYPGRGYPGRGGGGYPYPGRGGGGYPGRGGGYPGRGGGYCRWGCCRRGYYGGCQCCGSVDEIPEAMYRPEADQVHH* >Brasy4G269900.1.p pacid=40085097 transcript=Brasy4G269900.1 locus=Brasy4G269900 ID=Brasy4G269900.1.v1.1 annot-version=v1.1 MGPDDSQRGGAKISHDRRSILSQFSSLEPPLSILSPFPFFLPTAAPFPSPFFLPSAAATAPQLGRAALLPGRGIQLPSSPPDPAIPGPDPADWAAREAAGGPSLPCYWRRRSFACSDVVGVAVLCFDGHCPQTSYHKSRSSTSRILHRCCEHAVSIAGSSCAPICPASSTSPAAARLHHRSSIHCPLLALRPPVRLLHIPIVLFEGCVKS* >Brasy4G115800.1.p pacid=40085098 transcript=Brasy4G115800.1 locus=Brasy4G115800 ID=Brasy4G115800.1.v1.1 annot-version=v1.1 MATRCFFPRDAAGGHGHGHGHRHQSKAAAEALEQLHNGGRVLSREDVGGAVRVKIVVSKRELKKMVAALGAGAGGGEAAGAAGDRRGGRQRAAAGTTDAEQRLLSLRRRSMRRAAEETRRMQASGEWEPGLQSIPEEVY* >Brasy4G301800.1.p pacid=40085099 transcript=Brasy4G301800.1 locus=Brasy4G301800 ID=Brasy4G301800.1.v1.1 annot-version=v1.1 MAAAASSTCALPHPVASADMPPPPPPLNTTTTAPRPRRRAREVSSRYLSTPAPSSSPRLSTSSSSSRASSPTPSPRAHTRVATPFANENHPPVPPPSTGSRRRAVQKLFGDIANPRASVSSAAGAATPRHLPRSCSGPTPATARRGYPRPPTPARASSCPSATDDAASCSSTDSSSTLTDFSEADGIVVAAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSTRGAIPLCHRSLNSALSSCQAPTGKVMAAPRPPQPHGTKIAELKKAAAVGGRKVAGKQEDVHQLRLLDNRYVQYRFLNARAEAAAKVKAAAAENSLFGLAERISGLRESVAGKRAEAEKIKRVQRLCSIVGDQVPHLDQWSEVEEFYSSCLTGATSALHKASLRLPILGGVRVNCEEISEVLDSAVHLLEPVTPCVGNLLPKVEEVDGVASNLAQVIASERALIEECGNLLYQAQHMQMRECSLRSQLMQLKS* >Brasy4G301800.2.p pacid=40085100 transcript=Brasy4G301800.2 locus=Brasy4G301800 ID=Brasy4G301800.2.v1.1 annot-version=v1.1 MAAAASSTCALPHPVASADMPPPPPPLNTTTTAPRPRRRAREVSSRYLSTPAPSSSPRLSTSSSSSRASSPTPSPRAHTRVATPFANENHPPVPPPSTGSRRRAVQKLFGDIANPRASVSSAAGAATPRHLPRSCSGPTPATARRGYPRPPTPARASSCPSATDDAASCSSTDSSSTLTDFSEADGIVVAAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSTRGAIPLCHRSLNSALSSCQAPTGKVMAAPRPPQPHGTKIAELKKAAAVGGRKVAGKQEDVHQLRLLDNRYVQYRFLNARAEAAAKVKAAAAEREFLGCENQLLVSEQRRRRSRGFRDCALLLVIRCRIWINGVRLRSFILAVLPAQHQRCIRPHCGFQSLGVLG* >Brasy4G233500.1.p pacid=40085101 transcript=Brasy4G233500.1 locus=Brasy4G233500 ID=Brasy4G233500.1.v1.1 annot-version=v1.1 MPSRRMAALPPPAKIHVWPAAAVLFPLLVPPPSARQPPPPPTKAAAVASGSQDPWTARGRRRRSRWRFNTSPRRQAPSCRPLSLPPSSALPTRIPSLPPPHP* >Brasy4G233900.1.p pacid=40085102 transcript=Brasy4G233900.1 locus=Brasy4G233900 ID=Brasy4G233900.1.v1.1 annot-version=v1.1 MGGRETGREIEIIRGPRTWRKPRKRPTAAEVEAGRWGWGDTLGRWRARESAASTRPAGHLHGRAEGARVPGGEGKARGGEKDDDDEGKGAAKRRGGEGGGVVGWKKWGRDGAGTGRWRWGSFWLGS* >Brasy4G317200.1.p pacid=40085103 transcript=Brasy4G317200.1 locus=Brasy4G317200 ID=Brasy4G317200.1.v1.1 annot-version=v1.1 MATFTPQVSAMACGSPSSSLFVGRRRRPALQMRAPRGGRARGLAMRVVCEKVVGIDLGTTNSAVAAMEAGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYNVLRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKIVDWLASTFKNDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALKDAKLSVSNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDVKLQELKDAIAGGSTPTMKAAMEALNQEVMQIGQAMYNQSSGGASGPTDAGAEPTPGAGPTGSGGNDGDVIDADFTDSN* >Brasy4G031000.1.p pacid=40085104 transcript=Brasy4G031000.1 locus=Brasy4G031000 ID=Brasy4G031000.1.v1.1 annot-version=v1.1 MATTVDYNRQASTHPWPSNAQPNKLTFDLFSSNSGSRRSGVSSEYSDSDDEDNIPPDWRSLYRPRLEVDPPVRDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWATWTVEITGLVRRPTKLTMEQLATEFEAVELPVTLVCAGNRRKEQNMVRQSVGFNWGPGAVSTSVWRGARLRDVLRRCGVMGRSGSSGAHNVCFEGAEDLPGGGGCKYGTSLRRAVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIVVAWNESESYYHYRDNRVLPSHVDAELANAEAWWYKPECMINELNINSVIATPGHDEVLPINALTTQKPYTMKGYAYSGGGRKVTRVEVTLDAGETWQVCALDHPERPTKYGKYWCWCFWSVEVEVLDLLGAKEMAVRAWDEAMNTQPEKLIWNLMGMMNNCWFRVKINVCRPHRGEIGLVFEHPTQPGNQPGGWMARQKHMDTSTSETSQGALKRSTSTPFMASAAAASSKYYAMSEVRRHASRESAWIVVHGHVYDCTGFLKDHPGGADSILINAGTDCSEEFDAIHSPKARGLLEMYRVGELIATGENYSSPQSSHADLNAIAESPPTVVPQQVITIPSNSSAVALANPREKVRCKLVEKKSVSHNVRLFRLALPSPGQKLGLPVGKHVYVCASVGEKLCMRAYTPTSSTEEAGHVELLVKIYFKGEDPKFPGGGLMSQHLESLPLGACVDIKGPVGHIEYLGRGEFVVGSERRRARRLAMVAGGTGITPVYQVIQAVLGDERDGTEMHLVYANRTEDDMLLREEIDRWAAEHPGRLKVWYVVSNVARPEDSWEYGVGRVDERVLREHLPLGGGDGETLALVCGPPAMVECTVRPALEKMGYDLDKSCLVF* >Brasy4G413400.1.p pacid=40085105 transcript=Brasy4G413400.1 locus=Brasy4G413400 ID=Brasy4G413400.1.v1.1 annot-version=v1.1 MRARAGGDGSVSPDVVTYTTMIRAYCARRLADEALAVFKMMAADGVQPNRITYNTMVQGFCEAGRVDLVKGLLETDSFKPDTCTFNTLMATHCREGRVKDAMEVFGQMVELRVSRDSASYSTVIRALCENRDFVRAEDLVDELLEKEVLKKRGGCVPLIAAYNPVFVYLCENGKANKARVLFGKLLDRRSKVDFAAFKTLILGHCKEGDFEEGYQLVLSMLKRDLVPDDECYIAVVDGFSRKGRMKIAWEALNRMLNSGLRPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNLDLSTNMIDALFKSNLNDRAYKIITSLYDHGYYIKMENLIESLCEEKKFIEAAELTLFSLQKHHELGVAVCMVLDGLCTTARASDAFRLFYELVENGGTSAAVAPSSLVALYHALKEDGKMKEADFIAKQMRRAAARIKERV* >Brasy4G084300.1.p pacid=40085106 transcript=Brasy4G084300.1 locus=Brasy4G084300 ID=Brasy4G084300.1.v1.1 annot-version=v1.1 MRTSSYLPLAAAAIFLLVLSRAMDQAEAIRLDAETRASVSGSQTAIDKPSGNVVRKDSTTTSVGDTKRTSAAVAAEVRAVAHKLPEFHEDYYGPSDHSPRHH* >Brasy4G285700.1.p pacid=40085107 transcript=Brasy4G285700.1 locus=Brasy4G285700 ID=Brasy4G285700.1.v1.1 annot-version=v1.1 MLLLLLFALSVPSSQAWSFDYPSPIANLPSLWSNNNATIPYSATYKSGLTMRAILVRQNPASYGPSFACGFICSAPCDTFLFAVFSVFIGENTENPALNASATPRVVWTANRRRPVKENASLQITRDGDLVLRDFDGSLVWSTTTSGSAVVGMNLAQTGNLILFDMMGKTVWESFEHPDDTLLIGQSLRQGKRLTSASANWTQGQFYLTVLDHGLHAFIDGDPPQFYYQKRFNVTDAMAHSNMNISTSDEAKDSLAYISFLQGSLSAFASFNNTDIKLFDMSLPRPSSAQLMSLEDDGHLRVYGWDGISWKPLADVLDVHPDECAYPTVCGEYGICSQGHCSCPSRNSGDELFRQLDDRQPNLGCSPAIPLSCDLIQYQQLLPLADVTYFHFAYNWTTHEESCKEACLKACTCKAVFFRYQNDTYGSCYLMPKIFSLMHYEPETIGYNLSAYIKVQMLPPPSASKDLSATAYHVGVPVLVAFIGMLILIIKRIISKKMQEDDPFKGIPGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDMGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKLHRLLVYEHMCNGSLDKWIFSKSRNDSLSWASRYKIILDIAKGLAYLHEECRQKIVHLDIKPGNILLDENFNAKISDFGLAKLIDRDQSHVMTKVRGTRGYLAPEWLTSTITEKADIYSFGVVVLEIVSRRKILDSSQPEGSTNLINLLQEKIKVGQVLDIVENQNEDIQLHGAEMKEVIKLAVWCLQRECSKRPAMSQVVKVLEGAMEAERTAGSETTSRDYNFSASSPLTPVPVSAR* >Brasy4G196900.1.p pacid=40085108 transcript=Brasy4G196900.1 locus=Brasy4G196900 ID=Brasy4G196900.1.v1.1 annot-version=v1.1 MVVDYHRHPDGWYMALPVMVGAVVAQRHFEARVTDVLLATIPKSGTTWIKALLYAAAHRSNGNSPSYLFQQLASHNPHQLVPFLETQLYTKDRIPDLSPLPSPRLFATHVPAGSLPASVAAASGCKVVHLFREPKDCFVSLWHFMNTLTPWDMGEAVGRFCDGVSPYGPFWEHALGYWRWHVERPGQVLFLTYEELTADTLGQLRRLAEFIGRPFTAEEQEAGLDREIVEACAMGSMVKQEVNRSGTTEILEMQMPNEFFFRRGLVGDWHNYLTPEMAGRIDEVTKSKFQGSGLMLPKEI* >Brasy4G007300.1.p pacid=40085109 transcript=Brasy4G007300.1 locus=Brasy4G007300 ID=Brasy4G007300.1.v1.1 annot-version=v1.1 MDDDQARELESLEGILSDPRAEPMKLSYGLLKSVTEIFSNEIGRGAFGVVYMEELLEFNGANVMAEVKEKLLCFEYVPGGNIRHYLEEIIKNGEISFKSDIYALGIVIIELLTGISRIDLDNWDESLDMERPRVWRCMEIARNCMDPDRHSRPTIHEVISELDELESMIPWSSINQLLVTPTAFPGNKLLDVYPMELKFPFMPKQEMCCHLTLTNKTDGLVFVNIPDRYRGPFDGILLPRFTAVITLRREAEEELPSDTEDMLEILMLPTGCHNSSDFEGQLRTLRLDTDASLEDKIKAAHELLGFELHRAMLTALITASEDDDQDDDVSI* >Brasy4G037300.1.p pacid=40085110 transcript=Brasy4G037300.1 locus=Brasy4G037300 ID=Brasy4G037300.1.v1.1 annot-version=v1.1 MEVGAPRDAQENKNEENEFEENGSSDPVVYQLVRVEGDGTLVPATEDEVLQFEHFVDEKVDLPSIEDVGHVEDFFANDCMFLKPDLEEGSCKLETTGKSQTQKLDADLEEDRLKPLDDSLDLPSKRTVVHDKQPEKLNTEQGYHNTVHLDNASTETTKSTVLNDSCSAEKEKADACSRHVNDSSTEPSVSGITSSVPDFSILRGEVFLDTLTIRELQEAFRATFGRQTTVKDKLWLKRRITMGLTHSCDVPSSGCVIKDYIVVGKDAKEELPTIEGILKVEVEATSLARDQVLDHANERDTPSSSFYQSEDQQRSSKRLKGISIDNDESLGTLQDEQGTAKRLRKPTKRYIEELSDIETFDSTGKPSSPGKRPAHDEVLLRPRATFQEVDSLTITYPTRKDTFGGFSVHVPYVSRMRRGRPRRNFSSFLVTIYDDEPSVECAEVQMAVEMTLEKDGEQGNHVNQAMEVPLMNNAEKNGGHVETADNKEVRTVEANILCRADAKSKTKRSLKRKHHRAWTLSEVLKLVDGVARFGVGKWSEIRRLAFASYSYRTSVDLKDKWRNLIRASQTQLYPEKDGSCLRKSNPSTIPIPPSILLRVKELAEMQPQVGDLAAPMQFSGQNSRAAQGKGSGFL* >Brasy4G058400.1.p pacid=40085111 transcript=Brasy4G058400.1 locus=Brasy4G058400 ID=Brasy4G058400.1.v1.1 annot-version=v1.1 MAAGAAAGNDGKDRIGDLPDDILWEIISHLPVTEAARSTALASRWRNLWPSTPLILDDTDLPEPTRAATVARILSGHPGPFRDVSLVNCRFGPQDQDHAAEWLRHLAAKGTRNLVLLNRTLIQPPLRLPDDFIRCSSLKLLVLCSWTFPDEHLPGIFPNLRNLGLSWVSMTDQHFDHLLAACPFLEFLYFLHDTTLQRIHLRSQSLRFFLIGLSVMAEEVAVVDAPLLQRLCFDDLRCVGGRQYMRVRIARAPNLRELGYLDTGVHNLQIGDTVIQPNAMASPSTVVPGVKILAVKVNFGIFGQVKMLVSFVRCFPDVDTLHIESVLHDPSVTADEPTTEHHANFWQKISPVECLRSRVKKMVFHKFQWDQNEFEFLKFIARDARELQSLLVLPHEETISSMDKVNEMIDKSQCPRFQAWASRMFLVLPGGQKVLDYVKAFDITADDPFL* >Brasy4G381900.1.p pacid=40085112 transcript=Brasy4G381900.1 locus=Brasy4G381900 ID=Brasy4G381900.1.v1.1 annot-version=v1.1 MVSILLNLLRFPAGDLVGAGFWRRLSIGWLLCHVVLLVASSAVAFSCGGTDALASPVCFLSVSLALGISSTLDLPVGFLSDRERARRPRPFHQQGPALFLCFLVCGLLLVFHNEVY* >Brasy4G043300.1.p pacid=40085113 transcript=Brasy4G043300.1 locus=Brasy4G043300 ID=Brasy4G043300.1.v1.1 annot-version=v1.1 MENDAGMIPSSPSAETSSSSSGIDTESTCSFFRDRSTTLGTLMGVSLAADGEDRPQPEATQEAASAEEEENIERTRAPAEEERWRWRRRRWRRPRGNSWWRLCRDDGGGPTPLAHFLDMERREATAPLFEQRRRAPPSSSSTPTPTVPLSSVGEERGISRLKLRRSVVQGTSSSSLARLPVLLTGICSGGA* >Brasy4G273700.1.p pacid=40085114 transcript=Brasy4G273700.1 locus=Brasy4G273700 ID=Brasy4G273700.1.v1.1 annot-version=v1.1 MRKLIALCFCICLCVLLVSSSSPDPLSDHCPLHQRRQLEDAAAVNLPAASASTATAVRAREEIAEMVYGSSKRLSPGGPNPQHH* >Brasy4G215700.1.p pacid=40085115 transcript=Brasy4G215700.1 locus=Brasy4G215700 ID=Brasy4G215700.1.v1.1 annot-version=v1.1 MLAPFSQDIQKFICIKIPALPKTSFELLREVDPEILSMVPPFPVQQNGNNDQSLCNISHDHVNTEKLGCPTDNPQLQQDSLTNFANETDTRNLQKDPMEPNPDFHQPSTSHSRCYDHLREDTGGAIGVNTRSPEKSPTNVDRNMSFAAEPSPASCSAALLKSNTEPMPKQDEEDHSTMLQPQSYGDKYPNPPRCNDGDRPCYDGSNNQSSKDQIHEGSTIQAMVAPDFGRSTEALATNTSETSRLSEFVTAEDTSMIAETDKSKTDLNSPQHDNGEKASQELDEGSARIQSVEKDPSHNEPTLQTAGVVPSANCDGAIQGDKSETSHPQENTTDHTKMLEQHNGDEAHLEVGSADKVNPGLHDDVNFLKHTTLESPCCNVALHNRSSESVSLSEKNTGKCMTDIQKSCCIRSVPNSPQDGNEEGAKRASNEKIMGNPVVETSHVYCSDDSSTGLAAACLLSMTGKIPICSQVEGLTEQDLCVKCGQDGQLLRCSSCLLAAHDSCFGSSVTFDDSGQFYCPVCFYNKATEAYQKAKKIYSDARKNLSAFLGPKQFAKQHAEQYTEKQQTAANFEDHLNGCNKSKRQDNHQSEADNLSHKDDEPGQQRKKQKTNATSDACPEQVVTEKAAPDRDCMLQYKRKQVHVAEHEQPVENAEAHEEDGNGNSFHEAQQSSQDRCSPVANQNVEADKDDGLTNSHECENSDEIEATSSNDSGKRSSPPWRNMRHHKARFREKETVVSNNSKKALGCQDQNMPSPSRKRKYAYPPKRYSNPLAPAGRRTKLCWTEEEEGALRDAMLKFTPKDNGSIPWVHILEHGRDVFHKTRLPSDLRVKWRNMNKKSGS* >Brasy4G247700.1.p pacid=40085116 transcript=Brasy4G247700.1 locus=Brasy4G247700 ID=Brasy4G247700.1.v1.1 annot-version=v1.1 MLLLHRPPRLLLLCRHQRFSLHFPVAAFARPGRRPTALRAEAEPQSPPPASAAASPDTADDGGEEGPVELRAPTLFSVDDNPTSLQVATSVLLTGAISVFLFRSLRRRARRAKELRVRSGGLGKPKNLSEEALEALRMVSTSPVETEKPPSPVQALLGGIAAGVIALFLYKFATTIEASLNRQTISDNFSVRQITVTIRTIINGICYLATFVFGINAVGLILYSLQLTFNSIMDDDDSSSSPVEKISEQSNTSASSSSSTGSTSDTESDKSDLQQISDKSKNSTE* >Brasy4G278300.1.p pacid=40085117 transcript=Brasy4G278300.1 locus=Brasy4G278300 ID=Brasy4G278300.1.v1.1 annot-version=v1.1 MLSIPPSLPGAGAGAGGGGSGGVGLWGRQRRRCRWWRRRWLWGGAGGGLGRTARGFAFVLRSAVRIAWTGGWTAGAEP* >Brasy4G089800.1.p pacid=40085118 transcript=Brasy4G089800.1 locus=Brasy4G089800 ID=Brasy4G089800.1.v1.1 annot-version=v1.1 MATPPLPCLVLRAPCEAIEALLSKRNWATEQGWMLAWDPETCATFLWDPPDPEDGRIALPPMKEPPSLGSECVLSGDPTSPGGCTVVFAEIEDTVLRYRHTGSPRKIILRDPVSPPDRKTTSPAAEWVKYHYYLGGNKVVLGEYRNWVKTYIHGLVPCRSKFYYLVFKDEYGVLEFSPVPVLSTMKSKGFKYTYPPSGNKELMRAAAFLLDLDGDLRTVRVFFGDMDADTGDMKVIDVAVYKVGLAGSRSVRVDDIGDRAILATSSGRPAGWCPAGELGLPPNSMYWMSPFDGCLRVFDIGANTQKLQEYKSVDELSREPFWLLPGHRP* >Brasy4G429000.1.p pacid=40085119 transcript=Brasy4G429000.1 locus=Brasy4G429000 ID=Brasy4G429000.1.v1.1 annot-version=v1.1 MVIEAFLPGAAAGGGAASTRVILQAMEVKEKASKIKKDFGADFFSENEPDSESMLAMALKQVVMEQLSDFRVEIFSPGSERDFQDWSKPRKVPVDFSISSSNGKLLSALAEAIFSCVVEDVEKSFLGRTGSLFQMRKLNCSYDSTVCIHRIPEAEVANNARRCLESFNLVKSSHEAGIAKKAWWPAPKYERLADIGGPDFILWAHEFVPSYKLQVNAKAFEDTKLEGWHELANNRQEILISHLQLVELGNVLDMYFEDQFTLPGKTFHSYWNAEPSKTKRNNVYLNNLFILLAGSCVILTVGIIAQLCWPQTLKDKRFFIGSSCPSSSQNYCSDIHSLDNSEVHDYCISVIEKIKDSCGCPGDIVVDEKIGAWVGELPDCLKAINREDGAASDDVQYSHTEQNDLVSTPTKITSNLEQKDNTQETLPNIASFQVVMSEGKLVGFQPTSRLAVNYWATNPLAALLYDGRKLSPAFLEPRLKIPRPSKVVPIELLMSVNSETFFALARPVQHPC* >Brasy4G429000.2.p pacid=40085120 transcript=Brasy4G429000.2 locus=Brasy4G429000 ID=Brasy4G429000.2.v1.1 annot-version=v1.1 MVIEAFLPGAAAGGGAASTRVILQAMEVKEKASKIKKDFGADFFSENEPDSESMLAMALKQVVMEQLSDFRVEIFSPGSERDFQDWSKPRKVPVDFSISSSNGKLLSALAEAIFSCVVEDVEKSFLGRTGSLFQMRKLNCSYDSTVCIHRIPEAEVANNARRCLESFNLVKSSHEAGIAKKAWWPAPKYERLADIGGPDFILWAHEFVPSYKLQVNAKAFEDTKLEGWHELANNRQEILISHLQLVELGNVLDMYFEDQFTLPGKTFHSYWNAEPSKTKRNNVYLNNLFILLAGSCVILTVGIIAQLCWPQTLKDKRFFIGSSCPSSSQNYCSDIHSLDNSEVHDYCISVIEKIKDSCGCPGDIVVDEKIGAWVGELPDCLKAINREDGAASDDVQYSHTEQNDLVSTPTKITSNLEQKDNTQETLPNIASFQVVMSEGKLVGFQPTSRLAVNYWATNPLAALLYDGRKLSPD* >Brasy4G201700.1.p pacid=40085121 transcript=Brasy4G201700.1 locus=Brasy4G201700 ID=Brasy4G201700.1.v1.1 annot-version=v1.1 MEARNNKEAAALVRKIAGLHAAISKLPSLSPSPAADALFTALVAACVAAPRGPAADAALQAVLATKPKARRMRDELARLCSDAEARLEARCSDALAALDDAPPLDHLRLFPYYEHYARLSELEHALLSRHAPDSLAAPDARITFLGLGSLLLASRHSVAVESYDRCGAANDRVRRLLARAKDDGVAARMSFRTAEAEDLTAQELAAYGVVFLAAAPVGRAEDKARLIARLGERMAAGAALVVRSAHGARGFLCPVVEPADVRRGGFQVLAVHHPGDDDEMIINSVIVARKVADGGCLELAPPVVVSPTCWCCECEVEAAGSGRRGRRLPPPTSCHLDAKDEIRRRAW* >Brasy4G048200.1.p pacid=40085122 transcript=Brasy4G048200.1 locus=Brasy4G048200 ID=Brasy4G048200.1.v1.1 annot-version=v1.1 MARSSIPGGGGGLPLFTTEKPKRMAAYRLFSGTIFAGILLIWLYRATHMPPRHSSGLGWRAWLGLLVAEVWFGLYWVLTLSVRWNPIRRTTFKDRLSESYDEDQLPGVDIFVCTADPALEPPMLVISTVLSVMAYDYPPEKLNIYLSDDAGSAVTFYALYEASEFAKNWIPFCKNYKVEPRSPAAYFANIATPHDACSPEELCRMKELYEDLTDRVNSVVKSGKIPEVAECNCRGFSEWNGTITSGDHPAIVQILIDRNKRKAVDIDGNALPKLVYMAREKRPQEQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDKEQGRDIGFVQYPQNFDNVVHNDIYGNPINVANELDHPCLDGWGGMCYYGTGCFHRRETLCGRMFSEDYKEDWASGVGEADDANELEGVSKSLVACTYEHDTLWGIEKGVTYGCPLEDVITGLQIQCRGWRSVYYNPARKGFLGMAPTSLGQILVQHKRWSEGFLQISLSKYSPFLLGHGKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLSGVSVFPEITSLWCIPYVYVLVAAYSCSLVESLQCGDSVVEWWNAQRMWLIRRITSYLLASLDVICGMLGLSEFGFDLTTKVSDSQALERYKKGKMEFRSISAMFVIISTIALLNLVCMVLGLGRVLWREGAGGLGPLFLQSALCTAVVAISYPVYEALFLRRDDGRLPVFIIPISLCFVSSLCIQAM* >Brasy4G221000.1.p pacid=40085123 transcript=Brasy4G221000.1 locus=Brasy4G221000 ID=Brasy4G221000.1.v1.1 annot-version=v1.1 MPAANTMSPERRRHRRIRSSAIATHRISQALGRFGAPKPPRPGASKAVVGGRLLRSNGIIFLYKSTT* >Brasy4G420700.1.p pacid=40085124 transcript=Brasy4G420700.1 locus=Brasy4G420700 ID=Brasy4G420700.1.v1.1 annot-version=v1.1 MVAGVAAPSSRPGQPLGAILPVLLRHPTWHGMVSQYRLVSLSALKKKNALKKNKVDAAYQITKLVRRHAQDGWNMFIRDTASYRIFNDCRGQWTLLKMGLGGQRLGWSLRVPFDKSVLLWHIATDLCFHHPETSPAAGLQADAMQQHSREISNYMVYLLSLHPEMLMPGTSPALLKEASHQIHLIVKGSTAEGLHQEERLAMEIINRVDMLPPADTLLRDACRLAEALMELGDERRRWEVIQGVWVEMLCYSAARCSGYLQAKRLGDGGEFLSYPFLVWSFMGMEVFGDKIQRPDPPGEDEFTAVENRASTPLQGGAEPLGEEEFTDDESTLASPLRDGAEPLGGEFSDAENTPASPLQGEAEPLGQEDFTAVENTPASPSQGGVYGQKEIVPADTLLTSPSESRAGGQTAEFSSPV* >Brasy4G339100.1.p pacid=40085125 transcript=Brasy4G339100.1 locus=Brasy4G339100 ID=Brasy4G339100.1.v1.1 annot-version=v1.1 MAAKWAQKTVVIPAQRRGCHLITPKILREIENDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGQSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDQATPRKIVITLNGI* >Brasy4G350900.1.p pacid=40085126 transcript=Brasy4G350900.1 locus=Brasy4G350900 ID=Brasy4G350900.1.v1.1 annot-version=v1.1 MKVSKVWPRSSKDMTAMPPPRHRGAAKKPMWIIVLLSMVCVSLIGAYVYPPRRYSACYFFASSVCTPFKDWLPAVTRREKTDGEIISSVVIRDLLSMPMPVSKKPKIAFMFLTPGSLPFEKLWEKFLQDHEGRYSIYIHASREKPVHSSSLFVNREIHSERVVWGRVSMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHRFDYIYNYLMGTNVSFIDSFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQWFAIKRRHALLILADSLYYSKFKLYCKPAEGRNCIADEHYLPTLFKMVDPGGISNWSVTHVDWSEGKWHPRSYRAADITYELLKNITSFDVNLHITSDDTKAVTMTPCILNGTKRPCFLFARKFYPEALNNLLKLFSSYTSA* >Brasy4G350900.3.p pacid=40085127 transcript=Brasy4G350900.3 locus=Brasy4G350900 ID=Brasy4G350900.3.v1.1 annot-version=v1.1 MKVSKVWPRSSKDMTAMPPPRHRGAAKKPMWIIVLLSMVCVSLIGAYVYPPRRYSACYFFASSVCTPFKDWLPAVTRREKTDGEIISSVVIRDLLSMPMPVSKKPKIAFMFLTPGSLPFEKLWEKFLQDHEGRYSIYIHASREKPVHSSSLFVNREIHSERVVWGRVSMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHRFDYIYNYLMGTNVSFIDSFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQWFAIKRRHALLILADSLYYSKFKLYCKPAEGRNCIADEHYLPTLFKMVDPGGISNWSVTHVDWSEGKWHPRSYRAADITYELLKNITSFDVNLHITSDDTKAVTMTPCILNGTKRPCFLFARKFYPEALNNLLKLFSSYTSA* >Brasy4G350900.2.p pacid=40085128 transcript=Brasy4G350900.2 locus=Brasy4G350900 ID=Brasy4G350900.2.v1.1 annot-version=v1.1 MKVSKVWPRSSKDMTAMPPPRHRGAAKKPMWIIVLLSMVCVSLIGAYVYPPRRYSACYFFASSVCTPFKDWLPAVTRREKTDGEIISSVVIRDLLSMPMPVSKKPKIAFMFLTPGSLPFEKLWEKFLQDHEGRYSIYIHASREKPVHSSSLFVNREIHSERVVWGRVSMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHRFDYIYNYLMGTNVSFIDSFLDPGPHGTGRYSMEMLPEIEQRDFRKGAQPAEGRNCIADEHYLPTLFKMVDPGGISNWSVTHVDWSEGKWHPRSYRAADITYELLKNITSFDVNLHITSDDTKAVTMTPCILNGTKRPCFLFARKFYPEALNNLLKLFSSYTSA* >Brasy4G151800.1.p pacid=40085129 transcript=Brasy4G151800.1 locus=Brasy4G151800 ID=Brasy4G151800.1.v1.1 annot-version=v1.1 MKGSLSHELETGLPAAEVWEVYGGLLVGQLVPQLLPHISSWLECPSYTTRTPTLRSISLICNFTLWVHLMLLGIRGFGYQKEKFIKIDHENFVKEVLVVEGGFLDHGFQKYLVRIEIIGNADKTCVIRSTIEYEAGDEHAAGSESSVSTSILACVAEAITKYIKANQSAEQPAPNQAS* >Brasy4G321800.1.p pacid=40085130 transcript=Brasy4G321800.1 locus=Brasy4G321800 ID=Brasy4G321800.1.v1.1 annot-version=v1.1 MDAATAATAATATAGAAAMVWFRKGLRVHDNPALDAARRGAARVYPVFVLDPRYLRPDPAAHSPGSARAGVARVRFLLESLSDLDAGLRRLGSRLLLLRARDDVPDALCAALRDWNIGKLCFEADTEPYALARDKRVTDFAAASGIEVFAPVSHTLFDPAEIIEKNGGRPPLTYQSFLAIAGEPPKPVMAEYSELPPIGDTGEYELLPVPKLEELGYGDISQENISPFRGGETEALKRMRESLQDKEWVSMFEKPKGDPSAFLKPATTVLSPYLKCSKWKWILTLQFGCLSSRYFYHCIQDVYRSTKKHTKPPVSLTGQLLWRDFFYTVSFGTPSFDHMKGNKICKQIPWRQSEELFVAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPVSFGKKYDPNGDYIRHFIPVLKDMPKEYIYEPWTAPLSVQEKARCIVGRDYPKPVVDHEAASKECRKRMGEAYALNRLGGNTVNGKTSESSRRKSSDGGQDASDLSKSKQPKRRS* >Brasy4G321800.2.p pacid=40085131 transcript=Brasy4G321800.2 locus=Brasy4G321800 ID=Brasy4G321800.2.v1.1 annot-version=v1.1 MDAATAATAATATAGAAAMVWFRKGLRVHDNPALDAARRGAARVYPVFVLDPRYLRPDPAAHSPGSARAGVARVRFLLESLSDLDAGLRRLGSRLLLLRARDDVPDALCAALRDWNIGKLCFEADTEPYALARDKRVTDFAAASGIEVFAPVSHTLFDPAEIIEKNGGRPPLTYQSFLAIAGEPPKPVMAEYSELPPIGDTGEYELLPVPKLEELGYGDISQENISPFRGGETEALKRMRESLQDKEWVSMFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSTKKHTKPPVSLTGQLLWRDFFYTVSFGTPSFDHMKGNKICKQIPWRQSEELFVAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPVSFGKKYDPNGDYIRHFIPVLKDMPKEYIYEPWTAPLSVQEKARCIVGRDYPKPVVDHEAASKECRKRMGEAYALNRLGGNTVNGKTSESSRRKSSDGGQDASDLSKSKQPKRRS* >Brasy4G333200.1.p pacid=40085132 transcript=Brasy4G333200.1 locus=Brasy4G333200 ID=Brasy4G333200.1.v1.1 annot-version=v1.1 MEDGHDQEIRSSSNNCSNDLLLYCDEAPFAAEDDFSPVPPAAAVVGCDDAVDELMREYKAKERYFAPATGGGYLRRLLLLLHDCGGEGGLSTSVSSARSKAIHYIIYAFGRLGLAAATAFNAANYLDRFLSINCHLSWELWMVEVVSVACLSVACKLDEVNIPSLHHLQMEEVVRHSFRASTVRDMELTLLKALQWRLACVTPYSYLDLILLPTASPARSLCTRLLLRSLSEPSFLRFDASVVAAAALRCTAASNLTIPAPLCTQLGDDDQAEECFQMMKKLALLELDTSSSSSLHQLEDQHYTADNTADHLQGVIGSTPPISVIDPFQNTTEDDDRACCSSTVNNRSSAVGRRRRRLFGASDLN* >Brasy4G112500.1.p pacid=40085133 transcript=Brasy4G112500.1 locus=Brasy4G112500 ID=Brasy4G112500.1.v1.1 annot-version=v1.1 MAKMAARSNGASSAAKQKQQQQQQQLGGKMRTYKGVRMRSWGAWVSEIRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAADLNFPVRFPFDLPAAAMSPKSIQRVAAAAAAASASAGTAVVDFAMNAEDSSSASASASASDGVVTQDYCSSSNGASAVSSPETASSDEAAAHELDYYGALADIEAFFQSPKCMEYAMMDPCSAFFAPAPVAMGDEYCSGWEQEEEEGDIALWSFSSLEL* >Brasy4G133700.1.p pacid=40085134 transcript=Brasy4G133700.1 locus=Brasy4G133700 ID=Brasy4G133700.1.v1.1 annot-version=v1.1 MSGTPNPGARSGFDEDDDDNHAHLPLSSFDPFADAEAATGGAAGDGDYVHLRVQQRNGRKTLTTVQGLSAGYNYARVLRDLKKELCCNGTVVEDKELGNVIQLQGDHRKRVAAFLAKAGIAKKDDIKVHGF* >Brasy4G355600.1.p pacid=40085135 transcript=Brasy4G355600.1 locus=Brasy4G355600 ID=Brasy4G355600.1.v1.1 annot-version=v1.1 MAKMSFRALFLIVLMIICALQTVSVQGGHGSVSSGIRNAPPSGGRVPSRNPPSSGSGSSAPSNPGCGIGPIQC* >Brasy4G382200.1.p pacid=40085136 transcript=Brasy4G382200.1 locus=Brasy4G382200 ID=Brasy4G382200.1.v1.1 annot-version=v1.1 MSDATARLVLAATALMATTAALLTPAAALEHDYGDALSKSLLYFEAQRSGRLPYNQRVRWRGDSGLNDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVLEYGGAMAAMASGDDEKRHALAAIKWGTDYFVKAHTAPHELWTQVGDGDSDHYCWQRPEDMTTSRRAYKVDEEHPGSEVAAETAAAMAAASVVFRRAGDAHYAHLLLHHALQLFEFADKFRGRYDESVRVVKSYYPSASGYKDELLWAALWLHRATGRREYLDYVVENAVDFGGTGWAVSEFSWDIKYPGLQVLASKLLLEEKDLSPRQRAVLEKFKSNAEFYVCSNMNKNPGGADRNAGRTPAGLVFVRPWNNLQYVTNAAFLLAVHSDLLSSLGHNLRCLPHSPSNSSFSAGAEAEDEAEVVVLGAGDVMAFAKSQADYILGSNPARTSYLVGYNGGGGGGADLEGGIRWPRRVHHRAASTASIAEERGFIGCLQGFDSWYSAGGENPHDLVGAVVGGPDGEDRFSDHRGAYMQTEACTYNTAPMVGVFSRLMELQKEEQRLRRQEEDQADNQRDL* >Brasy4G162100.1.p pacid=40085137 transcript=Brasy4G162100.1 locus=Brasy4G162100 ID=Brasy4G162100.1.v1.1 annot-version=v1.1 MSTSNYSEREDARPGVGGGRLRRLWSARSSGSSAPGAASRGGGASLRPRSPRQRAGEAGGSRATRREMEMRREGERKGAMWRGGRSERGSVRAARGREGKTTRGENVRSRHFSL* >Brasy4G049300.1.p pacid=40085138 transcript=Brasy4G049300.1 locus=Brasy4G049300 ID=Brasy4G049300.1.v1.1 annot-version=v1.1 MELFQGEEPAAHDFLSLRAGGSSAFQHRLQSTQQGHGLGPHSLVKPAEPVKQSSSHGGAGNAGDGAVSAAADLGEQVLPGGVGTFSIRQVPDAQPREEAGTGRDASVAAVHHGSRMEIAHEARSGAMARSAPSTMWQDSGIDKRSRAARSSGSSADQEPSSPRSKHSATEQRRRTKINDRLDILRELLPNCDQKRDKASFLLEVIEYIRLLQEKCQKYESAIPEKNQTDSKSMAWDKVYCRSRWRNTQNISQGQGGGLSATTEDMNKEQHYSPKGITVASASLFSTQSIKETSPTASSSHNITENGTPNNQLSWLSMSTMNQNSDASNSKLSKQETQSLHNDTESLSSAYSQGLLHKLKEALQKSGVDPSQANISVEINMDKRARANAHTHDNSKANEGKEPMHITKRLRCD* >Brasy4G049300.2.p pacid=40085139 transcript=Brasy4G049300.2 locus=Brasy4G049300 ID=Brasy4G049300.2.v1.1 annot-version=v1.1 MELFQGGSSAFQHRLQSTQQGHGLGPHSLVKPAEPVKQSSSHGGAGNAGDGAVSAAADLGEQVLPGGVGTFSIRQVPDAQPREEAGTGRDASVAAVHHGSRMEIAHEARSGAMARSAPSTMWQDSGIDKRSRAARSSGSSADQEPSSPRSKHSATEQRRRTKINDRLDILRELLPNCDQKRDKASFLLEVIEYIRLLQEKCQKYESAIPEKNQTDSKSMAWDKVYCRSRWRNTQNISQGQGGGLSATTEDMNKEQHYSPKGITVASASLFSTQSIKETSPTASSSHNITENGTPNNQLSWLSMSTMNQNSDASNSKLSKQETQSLHNDTESLSSAYSQGLLHKLKEALQKSGVDPSQANISVEINMDKRARANAHTHDNSKANEGKEPMHITKRLRCD* >Brasy4G240300.1.p pacid=40085140 transcript=Brasy4G240300.1 locus=Brasy4G240300 ID=Brasy4G240300.1.v1.1 annot-version=v1.1 MDRELLRTVKQATKNHATVLYHRFVAHLPHLLATTLLVVIAPHLSTPLTPHKLRDLWRDGSAQNNNVTTTISGPALAMACWAAGLAAYTYASSRPQPVYLVDLAGYKAPARHEASRAKTIAHFSRCGRFSEQSMAFQKRMLERSGLGEATHFPMSLISLPVDMCLATAKEESHAVIFGVVDEILRKSRVAPGDVGVLIFNSSLLSPTPSFTSLIVNRYRFRHDVVAHNLSGMGCSAGIIAIDLAKRLLQVHRNTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRRSDRRRSKYQLIHTVRTHRGAHDQSYGCVTQEEDEAGCVGVSLSKELMLVAGEALKTNITTLGPLVLPMSEQLRFLATVVLKKVFRADVKAYLPDFKLAFDHFCIHAGGRGVLDELEKSLKLSAWHMEPSRMTLYRFGNTSSSSLWYEMAYCEAKRRIKKGDRVWQIAFGSGFKCNSAVWKALRTIDDAGENPWNQDVDVLPVDVPRVVPIDEASYQIPN* >Brasy4G081600.1.p pacid=40085141 transcript=Brasy4G081600.1 locus=Brasy4G081600 ID=Brasy4G081600.1.v1.1 annot-version=v1.1 MPDLQPPQRSPSSGATRGPHSRHAPQEARRGCHCRRSCHAPPSSGAQPRSSPRPPSPSEQRAVAVLAVAAAALRPTRQRRPSCATAALLQCVVLAVAAAALRRTRRRHRSPPPVRAVAVRRHPPRIPSLLLDTPTDYSVSPDCSTRE* >Brasy4G240200.1.p pacid=40085142 transcript=Brasy4G240200.1 locus=Brasy4G240200 ID=Brasy4G240200.1.v1.1 annot-version=v1.1 MTNGYLFREYIGAQFTGVKFSDVPVNAGLSFNFILSFAIDYTPVAQQATPAPTNGVFSAFWDTANLSRAAVAAIKAAHPNVSVMVGLGGDSVQDIVKVSFTPSSVDTWVSNAVASLSAMINEYGLDGVDVDYERFATGVSVDTFVECIGRLLTQLKARFPRITTSIAPFEDTEVQRYYRPLWAKYSGVIDFINFQFYGYGANTDVETYVMFYDLQLSNYPGSGSKLLASFKTGDVTGLLSPEQGISAAMELQRQGKLPGLFIWSADSSKVATYKFDYETRAQQIVANH* >Brasy4G111200.1.p pacid=40085143 transcript=Brasy4G111200.1 locus=Brasy4G111200 ID=Brasy4G111200.1.v1.1 annot-version=v1.1 MGQESPLPALRASKKATPKPLPFSQSIRRHEQKGATPLPTSQRRSTVSRSSSPPTPVASLQLIEKQVSFFLLVWSMYCCAVHDS* >Brasy4G340000.1.p pacid=40085144 transcript=Brasy4G340000.1 locus=Brasy4G340000 ID=Brasy4G340000.1.v1.1 annot-version=v1.1 MAVDLPLIYLLLAPLLLLLLPLLLLFASRRSSSGVARPPPGPWALPVIGHLHHLAGGLPHRALRDLARRHGPLMLLRLGEVPVVVATSPAAAREVTKTHDPAFASRPVGPMSRLWFQGSEGLVFAPYGDAWRQLRRICTQELLSARRVTSFRPVRRHELQRLLRSVATESSPSSTRPVVNLTELIAEYVADSTVRAIIGSRPFKGRDACLKLFEDLFRMMPGLSLPDLFPSSRLAVLLSREPARIKRCRREMLRIMDAVIQEHREHKAAAKAAGGEGKEKEDEDLLDVLLGLQEEADSQHPLTTDNIKFVIIDMFAAGSETATTALQWVMAELMRNPRVRHKAQEEVRRALAGHREVNEDALGSLRYAQMVIKETLRLHVPGPLLTLRLCRTPCRVLGFDVPVGATVLVNAWAIARDPEHWEEDPEEFKPERFDQESGSGAGGRDFKGTDFEFVPFGAGRRMCPGMAFGLAHIELALAALLFHFDLELPAGVDAAGLSMAEEAGITTRRRDDLLVVANTRVPVPAE* >Brasy4G023600.1.p pacid=40085145 transcript=Brasy4G023600.1 locus=Brasy4G023600 ID=Brasy4G023600.1.v1.1 annot-version=v1.1 MEVRISTAAACSAPLSIARGRRPPPSVAVVRAPARGVRCRSSAPAAPDPVPSEEPSSASASTVVAVTDKPAGEEKGEEAGAVSGGSSGAVEATVVDAPASSGTPEEDGGVDDILSKLDIEVTPTYVLFGSGALIALLILSKVVAAIDSVPLLPKVLELVGTGYSIWFTTRYLLFKESRDELFAKFEDLKERII* >Brasy4G016600.1.p pacid=40085146 transcript=Brasy4G016600.1 locus=Brasy4G016600 ID=Brasy4G016600.1.v1.1 annot-version=v1.1 MAASTSQHALISIKPAASFSYDKRAGNARFVSATSCCPGSRKLGLVCAANSQSSVIEPVHLPSSPESGSTPKKSGESALILIRHGESLWNEKNLFTGCVDVPLTPKGVNEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHSESERAHQWSQVYSEETKKQSIPVVTAWQLNERMYGELQGLNKQETADRFGKDQVHEWRRSYDIPPPNGESLEMCAERAVSYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKKLAQYRQKLDGM* >Brasy4G016600.2.p pacid=40085147 transcript=Brasy4G016600.2 locus=Brasy4G016600 ID=Brasy4G016600.2.v1.1 annot-version=v1.1 MAASTSQHALISIKPAASFSYDKRAGNARFVSATSCCPGSRKLGLVCAANSQSSVIEPVHLPSSPESGSTPKKSGESALILIRHGESLWNEKNLFTGCVDVPLTPKGVNEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHSESERAHQWSQVYSEETKKQSIPVVTAWQLNERMYGELQGLNKQETADRFGKDQVHEWRRSYDIPPPNGESLEMCAERAVSYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKKLAQYRQKLDGM* >Brasy4G017900.1.p pacid=40085148 transcript=Brasy4G017900.1 locus=Brasy4G017900 ID=Brasy4G017900.1.v1.1 annot-version=v1.1 MGDPMVSSSTGAMNSLLDKLRVLEDQYPDLAEGVRESLKSLSDVLHSFARQRARNSLINEWMLQVREVVYDMEDWIDGDPSKAKLDEEFDVEEQIEEFKAQIQGARDRCTRYGLLSSTAPSTTCALQDDAETRQSVAMDPKLLHGEAPCRLVGSDEPRNVLVNHLMDQEQRRKVVCIVGTGGIGKSTLATGIYRQLQGQFSCGAFVHLGRNPSVKTTLISILKQVMPDWHCEEYLWNGYNSEDMEAWDEKKVINKLWAFLKTKSYFVVLVDMRSISTWKKISCALPNKDKSSDRILITTCTKDVAESCCIHPSDFVHSMKRLSKEDSKTLFDSKVRDSEQYTLLEVSDDMLEMCGGVPLAITIAAALSSRKSACLPPDQCHSTPQWMRKVLEISYDDLPLPLKSCFLYLSAFPENHTIKKDRLIRRWEAEGLIAKRDGESLWETGESYFNELINRRLIQPAFDDDNDEPTGCTVHAVVLDFMESLSAEENFTTEGAKLKCGLFPYERVRRICLDCGEEDEGDALFCSKYYCSLEQKSREEDSSSEENSVYARDEAISLHLSQVRSLAFCGDVGRIPDLSDFKLVRVLDLSDVKGLENQQLESIARLSLLRYLALGGADVTELPQEIMELDHLSTLDLRQTEVKKLPEFKGTKLVCLLAKGLTIPGGGMGEMEKLEELSTVCLGRDGSLADNVAGLVSQLMRLRMLGVRFRITYNNTAEEAERQGITHLVEEVGKSNLQFLFLDEYPHRLLDLLVDARPRYLRKFELRLDWLLCPLKVPQEIPFLVDLTHLHIGVSVVDAEGVRALGGLPKLVLLKLHSLRPHDLLTGESPSPRLSVSSKDGFQRLKVFWYVCEHGASTGLQFEEGAMPHLRRLLIDFQSSGTDHFVLGIQHFSCLMQVRATIYCGPATSTEVSAAETHIRDQVSQNPNNPVLEFNRKRKGRLVRRLGPPSNVKPSAVIKIRSLDQWTIMTELEAKNKLVVVEFTASWCGPSRSIAPFFAYLANKFPDAIFLKVDIDDMKYIAEAYEVSGAPIFLFMNKGKVKDTLRGAHKEELFEKIQLQMALLVDK* >Brasy4G392200.1.p pacid=40085149 transcript=Brasy4G392200.1 locus=Brasy4G392200 ID=Brasy4G392200.1.v1.1 annot-version=v1.1 MSPALALRRAAAWLLRAGAGAGAPRAAALPGLGGARSPVGGWQGKEAGGFLGIWGGPTAGVGGGSWWFWCAVSSVPRPGLLVEQLLVGGVRPYATGAAPEHVSFSAAVREDGDSQSEKPAGTTSDKSMLGDRSLKLLSGSCYLPHPDKEDTGGEDAHFIWDEQAIGLADGVGGWASYGIDAGQYARDIMSHAVTAIEQEPKDSIDLSRVLEKAHRNTTVKGSSTACIVALTDQGIQAISLGDSGFILIRDGCTLFRSPIQQHDFNFTYQLESGNSSDLPSAAQVFKVPVASGDVLVAGTDGLFDNLYNNEITAVVVHATRAGLEPQVTAQKIAALARQRAQDKDRQTPFSSAAQEAGYRYYGGKLDDITVVVSYITAFGTSQPTD* >Brasy4G268900.1.p pacid=40085150 transcript=Brasy4G268900.1 locus=Brasy4G268900 ID=Brasy4G268900.1.v1.1 annot-version=v1.1 MAGRDASTAAGAATEAEMDPDFSSGEGGPSFEFAFNSVNFSDRVLRIEVVGTDDAPGSRGDVAGGSLSDWARHRKRRREELRKEKECATHMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPSIGQDGDDGRSIDSWTVVSTPILRVNTIYISSAILAAKSQFFFKLFSNGMKESDQRHATLRITDSEENALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRHCSQLLTSLPMTPESALLYLDLPCSISMATAVQPLTDAAKEFLANRYKDLTKFQDEVMSIPLAGIEAILSSNDLQVASEDAIYDFLLRWARGQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKFVTEALLYKADAPHRQRALAADTMTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKRDECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFIDGMLHLRAELTIKQP* >Brasy4G214400.1.p pacid=40085151 transcript=Brasy4G214400.1 locus=Brasy4G214400 ID=Brasy4G214400.1.v1.1 annot-version=v1.1 MKSPLRRLRGFGHHYPRERKAHHPPPAKLDELADAAQDVEEMRNCYDCLLSAAAATTNSVYEFSEALEEMGSCLLTKTALNDDDDDSGRVLMMLGRAQFELTKSVDSYRTNIIHTITTPSESLLKELQTVELFFTLMQEMKQRCDMKRDAYEAMRSSYREKGQSRNPKTESFSTEQLQASFVEYQEDAALFIFRLKSLKQGQFHSLLTQAARHHASQLSFFRRGLKCLESLEPHVKAIAEKHHIDYQFIGLEDNESDNDGSSSYQDTCSDDGELSFDYEINDRDQALIGSRGSMDLDKEDLPEELGEWKKQAGITSPTPVKEIKQEEVKLLTKAETVAPQVKPEISTHSAPIFADHFLDQTERLQQLRPSSAKHSYKLPTPVDDTYPRSAVAHRSHHSAQFFESKPRAAANLWHSSPLAKASTVRLPSSSDFNKKLKRESWSGPIPGKAGSSKSFFQPDQSSSPGQHPHDMPAKSYGHARQSSSLSPKMSPLSTVSPKISELHELPRPPANVEPLRPSGLVGYSGPLVSKRQAPMAPARASPTASQTASPLPRPPAALARSYSIPSNSQRIPIVTVNTLLDARNSREGSGVSSPPLTPLSFADLSQRSTAKTTMGSTRRKETL* >Brasy4G214400.2.p pacid=40085152 transcript=Brasy4G214400.2 locus=Brasy4G214400 ID=Brasy4G214400.2.v1.1 annot-version=v1.1 MKSPLRRLRGFGHHYPRERKAHHPPPAKLDELADAAQDVEEMRNCYDCLLSAAAATTNSVYEFSEALEEMGSCLLTKTALNDDDDDSGRVLMMLGRAQFELTKSVDSYRTNIIHTITTPSESLLKELQTVEEMKQRCDMKRDAYEAMRSSYREKGQSRNPKTESFSTEQLQASFVEYQEDAALFIFRLKSLKQGQFHSLLTQAARHHASQLSFFRRGLKCLESLEPHVKAIAEKHHIDYQFIGLEDNESDNDGSSSYQDTCSDDGELSFDYEINDRDQALIGSRGSMDLDKEDLPEELGEWKKQAGITSPTPVKEIKQEEVKLLTKAETVAPQVKPEISTHSAPIFADHFLDQTERLQQLRPSSAKHSYKLPTPVDDTYPRSAVAHRSHHSAQFFESKPRAAANLWHSSPLAKASTVRLPSSSDFNKKLKRESWSGPIPGKAGSSKSFFQPDQSSSPGQHPHDMPAKSYGHARQSSSLSPKMSPLSTVSPKISELHELPRPPANVEPLRPSGLVGYSGPLVSKRQAPMAPARASPTASQTASPLPRPPAALARSYSIPSNSQRIPIVTVNTLLDARNSREGSGVSSPPLTPLSFADLSQRSTAKTTMGSTRRKETL* >Brasy4G241700.1.p pacid=40085153 transcript=Brasy4G241700.1 locus=Brasy4G241700 ID=Brasy4G241700.1.v1.1 annot-version=v1.1 MEHTRNGDLKGSSYDHELLGRRRNGAFPIYLDLTSREAAAIDAARPPLPPKSTPSRRRTYADGELDVFSAERYFKGAMDGDAAHNKEAVVETVAARPAVPVSKPAWTCASAASAGSGGTSAHSRTVLLRDAHRRPPGGYYKCCLQVGELLRPCSGKRAVRIDGGAPEAAAGVGSGKPAAASRIEWYRDLRMEKAAAGTGLPQNSNLGAAKVAAAIGREDIIKAAEHPSASFRSFTLQAPVKAVSGGSGNGDDDGGGSESSSELFEIKSLMIDDCCPYEPSEASIQWSVVTASAVDVYSERGRRRGPVVRPQNRLTGCVSRRAVDVSGMPDARRFPAPPPRRRVAPP* >Brasy4G359600.1.p pacid=40085154 transcript=Brasy4G359600.1 locus=Brasy4G359600 ID=Brasy4G359600.1.v1.1 annot-version=v1.1 MAPARRKRGSSAAAAAAAAAAKWKLGDLVLAKMKGFPAWPAMISEPEQWGLSSVKNKRLVFFYGTKQIAFCNYAELEAFTEEKKRSLLAKRHGKGVDFVRAVDEIIDVYNSLKEDSNNKLDLVGNEVKPENLGDTNSSMDTEGLVNSPNMGRDNKLEDHSVIARVHNLVHADEPSVLVNGSERCVVNSAPEEPTENVSILDEMRNIPLSASSFLKNKPRDTHLQNCYTRSRAPASRRSRSSLNVETRKSQDSGKLSGETSLASVDLVPDDNKEDSTLHKYAGYDKANSASPSTLDGVWLHSCGATFNQPGTPGASDSNKKISFTAKLDNTRGIEASQNRASMAQFKSTGASGLPMNSTVIFKRKRKPNGKWIPHSTDCITSNKDDKLPAEFSGNLAGSPNSKNELNKSDGDEHLPLVKRARVRMGTPQLEKSAVSVEETDVSNDRSGLAAPADHCDMQGTRARPSNDFSVDQSSVVNTVPDQQSILNMPVPSGEGHSVSKSKDYQPKVLTLDVEAALPPSKRLHRALEAMSANAAEIISSLPGETGSKQSILNRCESSENSHSNKMADSAVITSDRSCLTESPRLPGLQSMHSSTHKRILQNKDVAASMNLNDPALDVTETISLPDRLSSSLGKSSYNDVTRPVSCNGHTEPIGCPAYHVDGSDSRCSGPVDQPLLSDNNVNSDSVPHGETVLAPAINLGDTTSTSSLATKSSSIQSDADTRTSEVHISSALALKELNHRNLKDRRTSPDSMPMKELIAVAQARRFSRSTSFSDNFLNAKYFAKGSVSTPLKDGQGHLSPPNQIIRSTSTDDSVHSSRPFDSMQMKDVKKIAGNNEASAARIAFGAFLGTLTRTKENIARATRIAIECAKHGIAREAIDIIVERMEKETNLHKRVDLFFLIDSITQCSRNQKGGAGDAYPSLIQVVLPRLLYAAAPPGNSAWENRRQCLKVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSHRPSSTERALNDPLRDNEGMLVDEYGSNAGFHIPNLICTKVLEDEEGSSSEDMSFEAVTPEQDAPVSDDKEESQMHGEKHRHILEEVDGELEMEDVAPPSEAEASTTYQPEQSDTNCTTSEHHPSDSGPPLPDNGPPSSPPLPSSPPPIPPPPLVHIAQSTQLQPTLQMASDPVGPHPPRATYNAQQSIVEHPRNMNPSVAPLQPPSFCNSGYGAHRNQIAPINPPGSHSSFPTPPAPYHANNYHQHLTASMPNEGYHLQRPHPPPPPNQFPHMPREPHQRPQQWSNNSSSHPESYRYSGHDRDHHRPDSMHHGHDRRDHFNDRGYRHNDRGHHYNGRRHHFDERAVRVPAHHDADRGRFPPFPPGPPIPDHFKASSASTHYGQSSDPPLGPCAGWSVEPPVPHVAGAQGSWKPR* >Brasy4G359600.2.p pacid=40085155 transcript=Brasy4G359600.2 locus=Brasy4G359600 ID=Brasy4G359600.2.v1.1 annot-version=v1.1 MAPARRKRGSSAAAAAAAAAAKWKLGDLVLAKMKGFPAWPAMISEPEQWGLSSVKNKRLVFFYGTKQIAFCNYAELEAFTEEKKRSLLAKRHGKGVDFVRAVDEIIDVYNSLKEDSNNKLDLVGNEVKPENLGDTNSSMDTEGLVNSPNMGRDNKLEDHSVIARVHNLVHADEPSVLVNGSERCVVNSAPEEPTENVSILDEMRNIPLSASSFLKNKPRDTHLQNCYTRSRAPASRRSRSSLNVETRKSQDSGKLSGETSLASVDLVPDDNKEDSTLHKYAGYDKANSASPSTLDGVWLHSCGATFNQPGTPGASDSNKKISFTAKLDNTRGIEASQNRASMAQFKSTGASGLPMNSTVIFKRKRKPNGKWIPHSTDCITSNKDDKLPAEFSGNLAGSPNSKNELNKSDGDEHLPLVKRARVRMGTPQLEKSAVSVEETDVSNDRSGLAAPADHCDMQGTRARPSNDFSVDQSSVVNTVPDQQSILNMPVPSGEGHSVSKSKDYQPKVLTLDVEAALPPSKRLHRALEAMSANAAEIISSLPGETGSKQSILNRCESSENSHSNKMADSAVITSDRSCLTESPRLPGLQSMHSSTHKRILQNKDVAASMNLNDPALDVTETISLPDRLSSSLGKSSYNDVTRPVSCNGHTEPIGCPAYHVDGSDSRCSGPVDQPLLSDNNVNSDSVPHGETVLAPAINLGDTTSTSSLATKSSSIQSDADTRTSEVHISSALALKELNHRNLKDRRTSPDSMPMKELIAVAQARRFSRSTSFSDNFLNAKYFAKGSVSTPLKDGQGHLSPPNQIIRSTSTDDSVHSSRPFDSMQMKDVKKIAGNNEASAARIAFGAFLGTLTRTKENIARATRIAIECAKHGIAREAIDIIVERMEKETNLHKRVDLFFLIDSITQCSRNQKGGAGDAYPSLIQVVLPRLLYAAAPPGNSAWENRRQCLKVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSHRPSSTERALNDPLRDNEGMLVDEYGSNAGFHIPNLICTKVLEDEEGSSSEDMSFEAVTPEQDAPVSDDKEESQMHGEKHRHILEEVDGELEMEDVAPPSEAEASTTYQPEQSDTNCTTSEHHPSDSGPPLPDNGPPSSPPLPSSPPPIPPPPLVHIAQSTQLQPTLQMASDPVGPHPPRATYNAQQSIVEHPRNMNPSVAPLQPPSFCNSGYGAHRNQIAPINPPGSHSSFPTPPAPYHANNYHQHLTASMPNEGYHLQRPHPPPPPNQFPHMPREPHQRPQQWSNNSSSHPESYRYSGHDRDHHRPDSMHHGHDRRDHFNDRGYRHNDRGHHYNGRRHHFDERAVRVPAHHDADRGRFPPFPPGPPIPDHFKASSASTHYGQSSDPPLGPCAGWSVEPPVPHVAGAQGSWKPR* >Brasy4G210600.1.p pacid=40085156 transcript=Brasy4G210600.1 locus=Brasy4G210600 ID=Brasy4G210600.1.v1.1 annot-version=v1.1 MAVVEIRNRVVALVHRRHQPSVVMRTTVQRPYLDMLMPFVCMLLPRWRRHLLGPHSFRGWRWYGMPLPPLYLVRSGLEGDTGSFTCGVIISNLLLVSTGRRPSVPIGGGSRR* >Brasy4G043000.1.p pacid=40085157 transcript=Brasy4G043000.1 locus=Brasy4G043000 ID=Brasy4G043000.1.v1.1 annot-version=v1.1 MREQEDTCEAGRRGGGGVIRSLLGVGSPAEDVVVVAPEDGGSKKKKAEAGDGEQRKAVVRVVAADMPAALQRRAFRCARDELASMPHFPRRLEPKRLALALKKEFDTAYGSAWHCIVGTSFGSYVTHARGGFLYFSVDKVHILLFRTAVEPSPH* >Brasy4G358600.1.p pacid=40085158 transcript=Brasy4G358600.1 locus=Brasy4G358600 ID=Brasy4G358600.1.v1.1 annot-version=v1.1 MQPHKFRCRRGDERPATEKREMSFFFRMASRMRPSTPEEVVRSIKDSFLALSSKTSARALEEVEKNISSLRQLLSGDGEAEPNQEQVLQITVEICKEDVLPVFVQDLPSLGWGVRKDLVHCWCILLGQKIDDSYCCVKYIEDHAELLDFLVGCYKNLDIALNCGSMLRECIKYPTLAKYVLGSNSFELFFECVELPNFDIASDALNTFKDLLTRHETVVAEFLSSHYEQFFELYTRILTSNNYVTRRQSVKFLSEFLLEASNSKIMKRYILEVRFLNIMIGLLKDSSKNIRICAFHVFKVFVANPNKPRSIIQALLDNRRELLKLLRNLPASKGEAELDEERDLIIQEIEKL* >Brasy4G280200.1.p pacid=40085159 transcript=Brasy4G280200.1 locus=Brasy4G280200 ID=Brasy4G280200.1.v1.1 annot-version=v1.1 MHSQDWRPQPWVNKLMGGIIRYVVGGSTRVEWYTGSRLQSRSASSSQGDIDKLGMPVTPRRPCRSASPSSSPSSPASELVGSGEWQVPEGYVLSRNHT* >Brasy4G222500.1.p pacid=40085160 transcript=Brasy4G222500.1 locus=Brasy4G222500 ID=Brasy4G222500.1.v1.1 annot-version=v1.1 MDKSWLIAPRSTVTYRDGLRKFIQCAVEKTGINGKILCPCKSCGNSTWIFHGETPVFAEPKPEPESVPGEYADSDEMDQKLLDGFGMFDTVILGEDKVEIDEDDFDDEYLKLVNDVNVITGSQQWRPNVLNYKLQKLDLPPTTPRDVESGVALLKEAKGRDIYYETIENNRVYEDDDESEEYESYGSDSEETQSDKEFDNQKNMEEIMRVTVEYFDIVVDLGLFCL* >Brasy4G170200.1.p pacid=40085161 transcript=Brasy4G170200.1 locus=Brasy4G170200 ID=Brasy4G170200.1.v1.1 annot-version=v1.1 MYHVVLPFNRVFSVPITSCYDFGIIRFIYFNPTMSFSKPVRHGPNFDSSRTVQSDDATHYDSNEDNQSFSSFKSATTRTFPKTLYWIIKKFNNINITFSTFYVIFHRLEIEFSTMFCILSNHMYL* >Brasy4G229100.1.p pacid=40085162 transcript=Brasy4G229100.1 locus=Brasy4G229100 ID=Brasy4G229100.1.v1.1 annot-version=v1.1 MDKGEEKQFVEAKIKDFVSDLSRQRMEKIGKEEDEGGEMQEAEVPEFIRGFKVGVPRAEDCLERSRKVKLQTAEKEFLERHGNCKAVVEGAVVLEEEGPTDHLATEIDEESFAGYREGWEITWGNGHGHSFENLTLLSSMLFTHCTPGSIPMDAVVGKTFQVYSVRVMETKGFKWPLNVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSCLHLTGPCRAIVSHDLLT* >Brasy4G121700.1.p pacid=40085163 transcript=Brasy4G121700.1 locus=Brasy4G121700 ID=Brasy4G121700.1.v1.1 annot-version=v1.1 MPKALGTAGPQKHYVTIQCGEQTRTSKITHGKHRKIWWNEKFRFPLSPAECRGLLAEVTLKIMERDKFSEDSLVGETRVNVGDIIREGIEREFMQMKPVPYNIVLQDGTYKGELKLGLKFLSGVNLKASVVPPRQQQFVVYKPFLNITLPCIPWRRFFFFCSRYNNVDRKNI* >Brasy4G209900.1.p pacid=40085164 transcript=Brasy4G209900.1 locus=Brasy4G209900 ID=Brasy4G209900.1.v1.1 annot-version=v1.1 MATEKVWGDENLLDEILLRLDFPTCLVRASLTCKRWLGAASRPAFFRRFRKLHPPRLLGFYVVGDCVPRPEFVAVPNPPELAAVVRRGSFGFDSFPEFSSTIDDCRNGRLLIDRHGITAITNTINTHSPLALPHRHHHPLAPAPPPPTCLDGAFYSDYVFLPEDGGDGESYYRVDLLHRGRQVSAQISALRSGVWTVQDPIAKAELPAPPERIPHLILLLRGKLYMVTVGGYILGLDLAAGGFYVVELPQGVEYKYYGNLVLSRGNDEEEEDAPYLYLFHVAQGFQLQVWRRRMTAAPAADQWVLVVSVCLRETCGHLVQEQQALVEGFSVVGVADNGEMVFLEEHASGIVVLMRLGGGSCRSVEKVYRRDPDNDELIVVYPFMMVWPPVFNLPQLRQEAPDVPARNRAKAPRHNYGPKADSKWF* >Brasy4G271600.1.p pacid=40085165 transcript=Brasy4G271600.1 locus=Brasy4G271600 ID=Brasy4G271600.1.v1.1 annot-version=v1.1 MAFREERYVRFQDWKSEYSVSSDKMVSEGKHNVLDSVKDKTLGAFSFLGNTSHSETLNRSTPEERKTKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGNKNCLYLDKKLAKIASILRFFTDIFYLLHMIFQFRTGFVAPSSRVFGRGVLVDDTLKIAKRYLSTYFLVDFLAVLPIPQVFVLIVLPHLEGSEVMKAKDVLMIIITCQYVPRLVRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVFGALWYLLSIQREDTCWRENCDNTIGCDLASLYCGSNTARNNSFLARACPTDGSDDIDPIFGIYIRALQTVSQSTGFFEKFFYCFWWGLQSLSSLGQNLKTSTYTCENLFAVFVSISGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKERILRHEQYRWQETRGVDEEGLLMNLPKDLRREIKRHLCLSLLMRVPMFQNMDEQLLDAMCDRLKPMLYTEDSCIIREGDPVNEMLFVMRGYLESMTTNGGQSGFFNSNVLKGGDFCGEELLTWALDPASVSNLPSSTRTVKTLSEVEAFVLRADDLKFVATQFRKLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDDSTKLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEGE* >Brasy4G271600.2.p pacid=40085166 transcript=Brasy4G271600.2 locus=Brasy4G271600 ID=Brasy4G271600.2.v1.1 annot-version=v1.1 MAFREERYVRFQDWKSEYSVSSDKMVSEGKHNVLDSVKDKTLGAFSFLGNTSHSETLNRSTPEERKTKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGNKNCLYLDKKLAKIASILRFFTDIFYLLHMIFQFRTGFVAPSSRVFGRGVLVDDTLKIAKRYLSTYFLVDFLAVLPIPQVFVLIVLPHLEGSEVMKAKDVLMIIITCQYVPRLVRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVFGALWYLLSIQREDTCWRENCDNTIGCDLASLYCGSNTARNNSFLARACPTDGSDDIDPIFGIYIRALQTVSQSTGFFEKFFYCFWWGLQSLSSLGQNLKTSTYTCENLFAVFVSISGLVLFALLIGNVQVPMFQNMDEQLLDAMCDRLKPMLYTEDSCIIREGDPVNEMLFVMRGYLESMTTNGGQSGFFNSNVLKGGDFCGEELLTWALDPASVSNLPSSTRTVKTLSEVEAFVLRADDLKFVATQFRKLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDDSTKLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEGE* >Brasy4G271600.3.p pacid=40085167 transcript=Brasy4G271600.3 locus=Brasy4G271600 ID=Brasy4G271600.3.v1.1 annot-version=v1.1 MFRTGFVAPSSRVFGRGVLVDDTLKIAKRYLSTYFLVDFLAVLPIPQVFVLIVLPHLEGSEVMKAKDVLMIIITCQYVPRLVRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVFGALWYLLSIQREDTCWRENCDNTIGCDLASLYCGSNTARNNSFLARACPTDGSDDIDPIFGIYIRALQTVSQSTGFFEKFFYCFWWGLQSLSSLGQNLKTSTYTCENLFAVFVSISGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKERILRHEQYRWQETRGVDEEGLLMNLPKDLRREIKRHLCLSLLMRVPMFQNMDEQLLDAMCDRLKPMLYTEDSCIIREGDPVNEMLFVMRGYLESMTTNGGQSGFFNSNVLKGGDFCGEELLTWALDPASVSNLPSSTRTVKTLSEVEAFVLRADDLKFVATQFRKLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDDSTKLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEGE* >Brasy4G115900.1.p pacid=40085168 transcript=Brasy4G115900.1 locus=Brasy4G115900 ID=Brasy4G115900.1.v1.1 annot-version=v1.1 MELEAAAQAPMPRDGRKLARCPRLQLDAKTVSAVEQSTGTTIGVPPDAAAGAAASPGGAMRVKIVLSKQQLKQVAAAVTAGGAFALPPALEQLVGALKRQHAKKQQAAAEPQAAAVVARRRGGRWSPALHSIPEDCFQLA* >Brasy4G151900.1.p pacid=40085169 transcript=Brasy4G151900.1 locus=Brasy4G151900 ID=Brasy4G151900.1.v1.1 annot-version=v1.1 MTRGKQKIDAQRRSAEKNQKAKGSQLEARAVGLKIICPICKVQLANEKQLTDHYGSKHPREKPPGPSNAD* >Brasy4G022400.1.p pacid=40085170 transcript=Brasy4G022400.1 locus=Brasy4G022400 ID=Brasy4G022400.1.v1.1 annot-version=v1.1 PPEACPPSSPSHCSVRQAHSDTGRVRELPSLALGSSRRLTINLKVLGTVSCMGGCSITSHRPHISSYTRVD* >Brasy4G285500.1.p pacid=40085171 transcript=Brasy4G285500.1 locus=Brasy4G285500 ID=Brasy4G285500.1.v1.1 annot-version=v1.1 MSLSPIPPDASSPVSAGATVQVVPRGVSEELLGKFMDTSEFGFDYDRSGLWSPLVPRPLAFAPAKPRRRSWRRKVGNMFCCW* >Brasy4G285500.2.p pacid=40085172 transcript=Brasy4G285500.2 locus=Brasy4G285500 ID=Brasy4G285500.2.v1.1 annot-version=v1.1 MSLSPIPPDASSPVSAGATVQVVPRGVSEELLGKFMDTSEFGFDYDRSGLWSPLVPRPLAFAPAKPRRRSWRRKVGNMFCCW* >Brasy4G285500.3.p pacid=40085173 transcript=Brasy4G285500.3 locus=Brasy4G285500 ID=Brasy4G285500.3.v1.1 annot-version=v1.1 MSLSPIPPDASSPVSAGATVQVVPRGVSEELLGKFMDTSEFGFDYDRSGLWSPLVPRPLAFAPAKPRRRSWRRKMFCCW* >Brasy4G201400.1.p pacid=40085174 transcript=Brasy4G201400.1 locus=Brasy4G201400 ID=Brasy4G201400.1.v1.1 annot-version=v1.1 MSQENTSTDDKKNKAPAADSSDDDSMSSGSDLIGAGAAVDKGKGIVKEEEQAEEGTGGSKLMSAAQAAAGRAGGSRRRGSRAKHITTERERRKRMSEMFSTLHGLLPSLPDKMDKSSIVMEAIHHIKALEGTVKELEKRKQDLARGMPAGGIGSSVISGISSSSPAPALLPAVVPVVVQPPAGGILPAGAGAGAGAVPPQQVGLQTWSGQNVALSLSGDDAYINVCAPRYCPRILKLVVSVLDKHGLEVITSGIASDSSRVMFNFHTRVNAANSVFGDRLSSEDLCKLAVSEIMIWLSSAIYCLHGTSAI* >Brasy4G293300.1.p pacid=40085175 transcript=Brasy4G293300.1 locus=Brasy4G293300 ID=Brasy4G293300.1.v1.1 annot-version=v1.1 MPVFMHHFGETCRLSRILEEYQISPGKMLWDENHKHIKPFRAEIDQIKKENDNMQFELRHLKGEDLNSLQPK* >Brasy4G245000.1.p pacid=40085176 transcript=Brasy4G245000.1 locus=Brasy4G245000 ID=Brasy4G245000.1.v1.1 annot-version=v1.1 MVLLHTAPIPSTPTTLLRRRPPPMAASASAAHLPSPVVLGCGAVSVDYLATVASFPSPDDKVRSLALKVQGGGNAGNALTAAARLGLAPRIISKVSSDALGRNILEELQDDGVDTSYLMVAEDGNSPFTYIIVDNQTKTRTCIHTPGYPPMRPEELTKENLFAALHGADMVYFDVRLHETALLVAEEASQRKIPILVDAEKKRDGLDELLNFATYVVCSAKFPQAWTGASSTPVALVHMLLRLPNIKFVIVTRGEKGCLMLERSMTDASETEEIDVEGLLESLEQKVDSSSSMPKCIVSKSNLRISADGVGSMSGRLLLGTAELIPSEELVDTTGAGDAFIGAVLYGLCTGMPVEKMLPFAAQVAACGCRALGARAGLPRRTDPRLSL* >Brasy4G411100.1.p pacid=40085177 transcript=Brasy4G411100.1 locus=Brasy4G411100 ID=Brasy4G411100.1.v1.1 annot-version=v1.1 MRIDPYPDPYPILRRRRQSQTPRSRTARRQPGTTAVLAQPTSPSATTASSNHVPSAAAPGCSPCSIPRPNAWKSSHGTQRNRLRSPSRECVGL* >Brasy4G013500.1.p pacid=40085178 transcript=Brasy4G013500.1 locus=Brasy4G013500 ID=Brasy4G013500.1.v1.1 annot-version=v1.1 MSSRSRSSRGAISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS* >Brasy4G328500.1.p pacid=40085179 transcript=Brasy4G328500.1 locus=Brasy4G328500 ID=Brasy4G328500.1.v1.1 annot-version=v1.1 ILQEKKYIDSGEKRKGFSVCNNINRSTANESASPKSAKTLSDLNHHQPIYFDLRTPKKFHRYKRNKRIEESRIETEKSFSVCNNMNRSTANESASPKSAKILSDLNHHEQSQSDQRFPTKHAANN* >Brasy4G094200.1.p pacid=40085180 transcript=Brasy4G094200.1 locus=Brasy4G094200 ID=Brasy4G094200.1.v1.1 annot-version=v1.1 MDLATGAMGSLLPKLVELLRDEYKLQTGVRKDVEFLEREMRSMDAALREVGRVPRDQLDEQVKIWADEVRELSFQMEDAVDRFMVRVEGSEPAVDPDSFKGLMKKMTKFFNKGKTRHQIANTIEELKEKVKEVADRRGRYIIKDAAVNPTATTTVDPRMLALFKDQKELVGIKEPRDQLIKRLTDEDDGVSNSNKQQKLKILSIFGSGGLGKTTLAKAVYDNLQVGFVRRAFVSVGQNPNTRKVFMDILFQLNQESCSTAALLDEGQLIGKLRGLLDSKRYLIVVDDIWDERSWEIIKCVLIDSKCGSRVIITTRILRVAELADYVYNQEPLSLDRSKELLYARLFIGKRENSHDQSVEIADKILHKCGGVPLAIITIASLLVGKPREYWPEVYNSVGFGLHEDNMHVDNTRKILLYSYYDLPFYIRTCLLYLSIYPEDREIEKDTLIWKWIAEGFIHEKSGMRLFELGEGYFNELINRSMIQPVASTDGIVYACRVHDLVLDMIYSLSKDENFVVLLGSNKENTCSQSNAHRLAMQSRVIDEHHPVASMPQVRSFNAIMCRFDVIPQLSNFEGLRVLDFEECAFKEGHPYHIEHLGKLLQLRYLRLANTPITELPEEIARLKFLQALYLEFTGIEELPRSVGLLKQLRCLHLTYKRISGWIGDLTSLEELWLFVCPLDFVKELGKLTELRDLTIDLDTSDATLVEDLTESLGNLQKLQAIRITLNLDSYAQWDNCQGYSPSPHLHYLDLTGFFFESLPPWINSSCLPYLTHLSLVVYVEARDVEILGRLPNLVSLSLRSNRVAFPDMAGGDAFPKLRNFFTSALPRFFEGAMPRLEDLEYFVKPGACNGNLAALERDFASLWELPCLQKLRVYISSTSETGLEEAKAALRHAVNLHPNKPALAVKADLLG* >Brasy4G315600.1.p pacid=40085181 transcript=Brasy4G315600.1 locus=Brasy4G315600 ID=Brasy4G315600.1.v1.1 annot-version=v1.1 MDSVFRNAGLKAPVWEEVSRKLAQRGYRRSAKKCKEKFENVDKYYKRTKEDRAGRPDSKSYRYFAQLEALHAADPPQHQAAPTAMAAATARPLAMAHPSTGLLLPDLGFWSNAESDSDNESDEEEEGDGGISCKMLLVLVKRLIKQVTRRQDSMQQLLLGTLVRWEAERTKREQAWRAQEFTRIKCEWEQLAQERATRAARDDALIALLQRIIASGNSVLLPPSSRSLVAATKQKAPVIQNTSQMQHHIEALIASMQSVVAGQSGGGESNGGNNNGSGMPRWPKEEVNALIQLRMEKGELCQDLGGKAPLWDDISASMRNMGYHRSSKRCKEKWENINKYFKKVKESKKRRPQDAKTCPYFHQLKDIYRKRQQFTMTGNGSSSSTGPGDTMVTVTVSEQPVLEQENHQCELQGNIGNDFGMMGNDGGENSHAPPCNDGHTAMDTTMLDDNAMNEEENPHRELEDKINNDLGMVENIEGENLRSTPSNDGDTAMVTVMLDDSSINKEENPQRELKVNISNNFNMMGNDGGENLLAPPRNGDDTPTDKNMLDDGAKNKENPSRELEGKIGNDLSMVDNAGGENLHAPPSKDDATEVDTTMLDESAINIEENLLAPPCNGGDMAIDKAVLDDSSTNKENLSRELESKISNDFSTMDSGGGEKLLSPPSNVDDKDKNQSRELEGNISNDFSMMGKSGGENLLAPPSNGSNTRIDTTVLDDNAIKNEENPSCELEGKINNDFVMRDNSGGEKLLAPSNNNDTTVDTIVLHDSVVNKEENPWYEHEVNINNHFSMMGNGGGENLLAPPNNNGKMPTDTVVLDNNAINKEENPSRELEGNISTDFAMMINGGGENLLSPPRNDDDTKVDTTMLDESTIKKEEDPWRNLEGNVNNDFNMIGNDKGENLLAAPSNGGATAMDAAVLDDSAINHEKNPWRELEDKISNEFGMMDNSGREKLLAPPNNGGDTAMDTTMLDERTINKVENPRRELDGNVSNDFDMMGNSQGENLLAPPSNSDDTAMDTSVLDDIPINKERNPWHKLEGNIKNDFVMMGNDGGENLLAPPSNNCGTTMDTVVLDDNALNKEEENPWHELEGKIRNDFSMMDNGGRENMLAPPNNSGDKAMDTTVLDDSVLNKEENPWRELESKINDDFKMMDKSGWENLLAPPSNDDDTTMDTGVLDDCPINKKKEDIVMTTEVNMQPQQKDFTDREETCSDDIEGNYIHEDRNDGDDSSDNEDDKMKYIVELRKQQ* >Brasy4G179600.1.p pacid=40085182 transcript=Brasy4G179600.1 locus=Brasy4G179600 ID=Brasy4G179600.1.v1.1 annot-version=v1.1 MGAAPRALVLSVLLAIAVANAEAASVVVGLAKCADCTRKNMKAEEAFKGLQVAIKCKNSNGEYESKAVGDLDGTGAFSVPLASDNAADCMVQLHSAASNTPCPGQEPSKVVPVSKGTFGIVAGAATAKTTAANNAAPECASATLCGPTKKHFLDHFHKKPVPPKPEPKPEPHPDYHPVPPTTPTYGGGGHPTPIYHPPAQH* >Brasy4G107000.1.p pacid=40085183 transcript=Brasy4G107000.1 locus=Brasy4G107000 ID=Brasy4G107000.1.v1.1 annot-version=v1.1 MVNFGKVLMADQFEEWKEYYINYKMMKKKVKQYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGERRAILTDQADVSQVSQLREAYREVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLATLQDHHGSFTSIYDHPSITLKDPVIEQINHSVQKLTHSTTFLKFLGQHALIVPEDVHSSSDDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDVNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPAFAGLLQTEFKIYGVTFNQNTLPGWVMCIAWVANLFWLWISFKEPDHIAKANAANTQSSDSGHGRSDNLEDGLAQPLLTEAKERQDENVEDNDEKEDPKESHKPATSLAAACRLLTPSVKVQLLIYFMLKFVMEILLSESSVVTSFYFQWSTSSVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIATSFHFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADVTITAAGYLGQSRLLNITLLPSLAICVASIAATFCTYNSLY* >Brasy4G107000.4.p pacid=40085184 transcript=Brasy4G107000.4 locus=Brasy4G107000 ID=Brasy4G107000.4.v1.1 annot-version=v1.1 MVNFGKVLMADQFEEWKEYYINYKMMKKKVKQYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGERRAILTDQADVSQVSQLREAYREVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLATLQDHHGSFTSIYDHPSITLKDPVIEQINHSVQKLTHSTTFLKFLGQHALIVPEDVHSSSDDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDVNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPAFAGLLQTEFKIYGVTFNQNTLPGWVMCIAWVANLFWLWISFKEPDHIAKANAANTQSSDSGHGRSDNLEDGLAQPLLTEAKERQDENVEDNDEKEDPKESHKPATSLAAACRLLTPSVKVQLLIYFMLKFVMEILLSESSVVTSFYFQWSTSSVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIATSFHFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADVTITAAGYLGQSRLLNITLLPSLAICVASIAATFCTYNSLY* >Brasy4G107000.3.p pacid=40085185 transcript=Brasy4G107000.3 locus=Brasy4G107000 ID=Brasy4G107000.3.v1.1 annot-version=v1.1 MVNFGKVLMADQFEEWKEYYINYKMMKKKVKQYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGERRAILTDQADVSQVSQLREAYREVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLATLQDHHGSFTSIYDHPSITLKDPVIEQINHSVQKLTHSTTFLKFLGQHALIVPEDVHSSSDDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDVNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPAFAGLLQTEFKIYGVTFNQNTLPGWVMCIAWVANLFWLWISFKEPDHIAKANAANTQSSDSGHGRSDNLEDGLAQPLLTEAKERQDENVEDNDEKEDPKESHKPATSLAAACRLLTPSVKVQLLIYFMLKFVMEILLSESSVVTSFYFQWSTSSVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIATSFHFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADVTITAAGYLGQSRLLNITLLPSLAICVASIAATFCTYNSLY* >Brasy4G107000.2.p pacid=40085186 transcript=Brasy4G107000.2 locus=Brasy4G107000 ID=Brasy4G107000.2.v1.1 annot-version=v1.1 MVNFGKVLMADQFEEWKEYYINYKMMKKKVKQYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGERRAILTDQADVSQVSQLREAYREVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLATLQDHHGSFTSIYDHPSITLKDPVIEQINHSVQKLTHSTTFLKFLGQHALIVPEDVHSSSDDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDVNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPAFAGLLQTEFKIYGVTFNQNTLPGWVMCIAWVANLFWLWISFKEPDHIAKANAANTQSSDSGHGRSDNLEDGLAQPLLTEAKERQDENVEDNDEKEDPKESHKPATSLAAACRLLTPSVKVQLLIYFMLKFVMEILLSESSVVTSFYFQWSTSSVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIATSFHFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADVTITAAGYLGQSRLLNITLLPSLAICVASIAATFCTYNSLY* >Brasy4G107000.5.p pacid=40085187 transcript=Brasy4G107000.5 locus=Brasy4G107000 ID=Brasy4G107000.5.v1.1 annot-version=v1.1 MVNFGKVLMADQFEEWKEYYINYKMMKKKVKQYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGERRAILTDQADVSQVSQLREAYREVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLATLQDHHGSFTSIYDHPSITLKDPVIEQINHSVQKLTHSTTFLKFLGQHALIVPEDVHSSSDDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDVNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPAFAGLLQTEFKIYGVTFNQNTLPGWVMCIAWVANLFWLWISFKEPDHIAKANAANTQSSDSGHGRSDNLEDGLAQPLLTEAKERQDENVEDNDEKEDPKESHKPATSLAAACRLLTPSVKVQLLIYFMLKFVMEILLSESSVVTSFYFQWSTSSVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIATSFHFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADVTITAAGYLGQSRLLNITLLPSLAICVASIAATFCTYNSLY* >Brasy4G418200.1.p pacid=40085188 transcript=Brasy4G418200.1 locus=Brasy4G418200 ID=Brasy4G418200.1.v1.1 annot-version=v1.1 MQKLSLVLPETSPYVPQQLVDVLLSGTLGIFLKLNLENYTEYVGTKSTFRLLNRGSAKALNKVVELDFLMVAETKKEAPIVKMTIHDIMNQMIRELPITNPVYLMLSKSFGSSAKGTGLKALEFALSISDSVDMYGFTLDPGYKDGKDTFQSSEKDTLCYMGEHIIRRWNVLLVKIHSAMRCDPGRS* >Brasy4G312500.1.p pacid=40085189 transcript=Brasy4G312500.1 locus=Brasy4G312500 ID=Brasy4G312500.1.v1.1 annot-version=v1.1 MSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGGKSSDKNDQAKAPNKLSSVFSGTQDKCAACQKTVYPLEKLTLEGECYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIKTAQEKKETEEAAAATAGAEAETEAKDKEPEVPPQDAT* >Brasy4G406600.1.p pacid=40085190 transcript=Brasy4G406600.1 locus=Brasy4G406600 ID=Brasy4G406600.1.v1.1 annot-version=v1.1 MEFSRRGPATGDDHRFPDPPPHGEQEPMPMMRDALLSQLHMACLRREIIEAELAKIERAVALRAATGGHQAQTTPMPMPWHGVAVADAGLSKPSPFNADQPMPQHNAELDEHKFPDSSTKLHMAWLRREIIEAELAEIERAMALCAATAGGHQAQTTQMPMPMPMPMPWHGVAAADAGLLKPMAQQNAEFEEHKLPDSDKVVPPSKASPAEKCELTGTTIPVMKPNRDWSIAGSANISWPGPGLDIYI* >Brasy4G085500.1.p pacid=40085191 transcript=Brasy4G085500.1 locus=Brasy4G085500 ID=Brasy4G085500.1.v1.1 annot-version=v1.1 MWLIYGLPTFPSSSNMPVMVANMVGIVVESIYIIIWFRYAVGGRVLPLIVLILVIAVILVILFMAIIKVIVQKAWSILFVGYFAAISGGIMYVIPIKIAYEVFITKEGPNVVGIASSVLQQVVWTLVWFYNHNHPAAQAQD >Brasy4G272300.1.p pacid=40085192 transcript=Brasy4G272300.1 locus=Brasy4G272300 ID=Brasy4G272300.1.v1.1 annot-version=v1.1 MPFRRRKVGRARLLRAWPAGGTAHRRVAAAAHGSTGSGGDGIATRGGGSTRLHIAGEREMREREKAGRGREVGERGRRSLPRRHDLGAVLARDSGEQKQRDRHWEPGRRKGKRLDGSGSRESQSDGGTQTPYGKTKWGTCPT* >Brasy4G114200.1.p pacid=40085193 transcript=Brasy4G114200.1 locus=Brasy4G114200 ID=Brasy4G114200.1.v1.1 annot-version=v1.1 MRLALSRISLRLAPPSLSPLSLAPPIVAAAGRFLALPRLRMPPPAVSSPSHSGRPVSSLPPSLSESVLRRLGCSVAAVEEPSSGGAGPGRRTVADPSGEVAGVPPPQPATNRKKRRGERESGGAGKKGIRCCYAPCSFLDDWKDSDEVARNPETAGELQYRVL* >Brasy4G114200.2.p pacid=40085194 transcript=Brasy4G114200.2 locus=Brasy4G114200 ID=Brasy4G114200.2.v1.1 annot-version=v1.1 MRLALSRISLRLAPPSLSPLSLAPPIVAAAGRFLALPRLRMPPPAVSSPSHSGRPVSSLPPSLSESVLRRLGCSVAAVEEPSSGGAGPGRRTVADPSGEVAGVPPPQPATNRKKRRGERESGGAGKKGIRCCYAPCSFLDDWKDSDEARNPETAGELQYRVL* >Brasy4G337100.1.p pacid=40085195 transcript=Brasy4G337100.1 locus=Brasy4G337100 ID=Brasy4G337100.1.v1.1 annot-version=v1.1 MQRVNCVSLLLLLAVVLLAALGPFAAAVHRSELAMAAAGDKLAARMEKTKEAAAKGSSEQSATTRRSLLAVRFRTRKVPSDPKNRLDGRVAFTADYHAVQRHPSKHN* >Brasy4G272200.1.p pacid=40085196 transcript=Brasy4G272200.1 locus=Brasy4G272200 ID=Brasy4G272200.1.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGCIPRPHLGLKFSAIELLDAAHADKIWRRYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSVWNGHLKKKQVQIHIVSKCK* >Brasy4G272200.4.p pacid=40085197 transcript=Brasy4G272200.4 locus=Brasy4G272200 ID=Brasy4G272200.4.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGCIPRPHLGLKFSAIELLDAAHADKIWRRYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSVWNGHLKKKQVQIHIVSKCK* >Brasy4G272200.5.p pacid=40085198 transcript=Brasy4G272200.5 locus=Brasy4G272200 ID=Brasy4G272200.5.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGCIPRPHLGLKFSAIELLDAAHADKIWRRYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSGNGWERPLD* >Brasy4G272200.6.p pacid=40085199 transcript=Brasy4G272200.6 locus=Brasy4G272200 ID=Brasy4G272200.6.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSVWNGHLKKKQVQIHIVSKCK* >Brasy4G272200.2.p pacid=40085200 transcript=Brasy4G272200.2 locus=Brasy4G272200 ID=Brasy4G272200.2.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSVWNGHLKKKQVQIHIVSKCK* >Brasy4G272200.7.p pacid=40085201 transcript=Brasy4G272200.7 locus=Brasy4G272200 ID=Brasy4G272200.7.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGCIPRPHLGLKFSAIELLDAAHADKIWRRYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTGNGWERPLD* >Brasy4G272200.3.p pacid=40085202 transcript=Brasy4G272200.3 locus=Brasy4G272200 ID=Brasy4G272200.3.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTAAYPFASAEEISNLASSDHVDSGNGWERPLD* >Brasy4G272200.8.p pacid=40085203 transcript=Brasy4G272200.8 locus=Brasy4G272200 ID=Brasy4G272200.8.v1.1 annot-version=v1.1 MPVAVTHEEASGSRSKAKRQRSESSEARPSPALRRGSKHTNTASVPMTRSVTRARRVSQSAIASSEGSLRRRSLATRGKMANPDREGRREEDGDEKGRREEEEEEEEVSSTPSSPLREPYIPGEESYSRMKHPKVFSAFEPVIAKFREKEVRQMQLPTLEYFKHFTCLNTVRNPELLSISQHANKAACHAAKSIVRLSSSIGGEPLRCCSGFWVDWDKQNNTGIILTTAHLICSKETSSTNTWLCKDQHLQNAKVSVHLVDDTTVEGHIIYYQRHYNIAFLRATVDQPVQLPFFDGGVECGKEILQLGRDENLNLKITYGRTAYWNPNLSERYHFLYFSREDDVKKDYDNGGPVIDLHGTVVGMVNHSTRGSFIPSSILLKCLHIWKNYGYKIDDGLIVIEVSEGSPAEKVGIRTGDVVECLNGQCISTTIELENALLSISMGCLDRGDGLDAVDVSLTVFHVRKRLRRIKTWTVNLSDNGDFVSTGNGWERPLD* >Brasy4G185700.1.p pacid=40085204 transcript=Brasy4G185700.1 locus=Brasy4G185700 ID=Brasy4G185700.1.v1.1 annot-version=v1.1 MSDLDCDIDCGYCIVACCEGCVEGCAQGCGYACCQCCLEAGCAACVAGCDEGSCCVAISALAILAAVAAAVTLLVVALVVVRPVGVAVEEASLTRLSLLSTTGNATAALLAYDVSLAVAVRNRNWLIGAEHAAPLDAELLFGRQRFARVRMVGGAGGRIRGGKGELYHVAAAGESADVALGSDGVAEFVRESVSVVFWLELRLFGEVKYPPHRHARRLQVSCLLELSLSSATAPVAFTKVKCV* >Brasy4G153100.1.p pacid=40085205 transcript=Brasy4G153100.1 locus=Brasy4G153100 ID=Brasy4G153100.1.v1.1 annot-version=v1.1 PELLPISDLHPSKKRVGVLARIARAWDYNNKEGEFAHLDIVLIEKEGNKIYGEIPANHAKNFRERLKEGNVCAISDFLTRLSKNVFKAVDGPYMIVLSPWSKVHVQTDVPADFPRYAYSLTDYQLLPALVGTNESFTGNHHNA* >Brasy4G179500.1.p pacid=40085206 transcript=Brasy4G179500.1 locus=Brasy4G179500 ID=Brasy4G179500.1.v1.1 annot-version=v1.1 MSDIRFGADGYDGSGSSSSPSSVSGPSDSDASTDEYCPDDPREFRRHVSSLLPEFEVSYPSAFRSTSPSSNRVLADVDKHLERMLLLLPAFSSPPDAATRADALRQWLTGFDVGWVLDMGSTDDVVVPRREVGRRVRAWVQALGTMERVFQRRHREARSPANDAAAAQLAALGELASQSAGAMLVLAGAVATPLGNCSPSRLLAALDVYVPLSEAYPCLARTFCWGPSHAVTAASDAALAGLVGACRRCVRGLRSSSSIRADYYPWWRMPQGGEVHPCVAFWMGYFRCMLRNHVSLYFILYDDDDDMPTTVPLVTELISRLEAALEESSSVLAFPGLRQVFMLNNTSAIVRRAVRSDLSLLLPPGWRMEGYIKGYVQASWAPVVSRLDDKRGGGALNAVLRRWNPLSAFLSALENACSMQRGWKVPNPALRATLRRTVLENVLPAYRRYLDDHPEIEVPAGRTTEELEHQLSDLFEG* >Brasy4G189800.1.p pacid=40085207 transcript=Brasy4G189800.1 locus=Brasy4G189800 ID=Brasy4G189800.1.v1.1 annot-version=v1.1 MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGEAVEWRMPENHHADSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTNYEELEKAIKEYPDERKLPYLTPESTFKIIVDSFGKVISFQEQNDIIKGIAYIPFEGRVDLKKPEHKFFVMETDDYGSQNGLPPVVQKTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDCEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIISPYTVPEEKRGTHIPSTAPYSLVECVHDLLHLAARMLVMGGRLVFFYPVVREDDFTDVAKFPEHPCFKLVASCEQILSLRYSRVLLTMVKIGPYTEEVEKMAEERHREFRENHQKWMEEGNLHSAVFSPAEQDGKPKFDKDSKPKYRGKYV* >Brasy4G189800.2.p pacid=40085208 transcript=Brasy4G189800.2 locus=Brasy4G189800 ID=Brasy4G189800.2.v1.1 annot-version=v1.1 MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGEAVEWRMPENHHADSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTNYEELEKAIKEYPDERKLPYLTPESTFKIIVDSFGKVISFQEQNDIIKGIAYIPFEGRVDLKKPEHKFFVMETDDYGSQNGLPPVVQKTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDCEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIISPYTVPEEKRGTHIPSTAPYSLVECVHDLLHLAARMLVMGGRLVFFYPVILSLRYSRVLLTMVKIGPYTEEVEKMAEERHREFRENHQKWMEEGNLHSAVFSPAEQDGKPKFDKDSKPKYRGKYV* >Brasy4G076000.1.p pacid=40085209 transcript=Brasy4G076000.1 locus=Brasy4G076000 ID=Brasy4G076000.1.v1.1 annot-version=v1.1 MKRGRNKGGEEGTGDGVKKKPKLGAWGGAGKKDRGDGVKKKPKLGAWGGAGKKDIGDGEPKKMSVGQGLNINERHSMQFESLLALVTADKHPFQATDEVSAVLERPNSLNAIYLQWQEETDRYFLWGNVASKISMVKFEFDGGFEEYTNLLEANNPLILFSESFDHNDSWIEVKQLLRELFGHPDGEGAYGDHDYFYAFTRTGVMIHLRIFKLLSQLTPNMENLKLDEVSPSFLLKPLEMHRLYEMEDGSSSYKKTLDFFDEDSLMFERKCCWSLGSCPVLYTNSSTIDSTCHEDEDA* >Brasy4G251100.1.p pacid=40085210 transcript=Brasy4G251100.1 locus=Brasy4G251100 ID=Brasy4G251100.1.v1.1 annot-version=v1.1 MRELRVVLLILVIYLPSFSASDGQGDALYDIKRKLNVTGSQLSDWNRNQVDPCTWNCVICDNNNNVVQVSVSGQGYTGVLSPRIGELVYLTVLSLAGNRITGGIPPQLGNLSRLTSLDLEDNILVGEIPASLGQLSKLQQLFLSQNNFSGPIPDSLMKISGLTDIGLANNNLSGQIPGLLFQVARYNFSGNHLNCGTNLPHPCATNIPDQSVSHGSNVKVILGTVGGIIGLLIVVALFLFCKAKNKEYLHELFVDVPGEDDRRITFGQIKRFAWRELQIATDNFNERNVLGKGAFGKVYKGVLPDGTKIAVKRLTDYERPGGMDAFLREVELISVAVHRNILRLIGFCTTQAERLLVYPFMQNLSVAYRIREFKPGEPILVWSARKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGVMLLELVTGQRAIDFSRMEEEEEVLLLGHVKKLQREGQLCSIVDHNLGQDYDREEVEMVIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQAEVTRGWHYDYGWSEDSHYKLEAIQLSGAR* >Brasy4G251100.2.p pacid=40085211 transcript=Brasy4G251100.2 locus=Brasy4G251100 ID=Brasy4G251100.2.v1.1 annot-version=v1.1 MRELRVVLLILVIYLPSFSASDGQGDALYDIKRKLNVTGSQLSDWNRNQVDPCTWNCVICDNNNNVVQVSVSGQGYTGVLSPRIGELVYLTVLSLAGNRITGGIPPQLGNLSRLTSLDLEDNILVGEIPASLGQLSKLQQLFLSQNNFSGPIPDSLMKISGLTDIGLANNNLSGQIPGLLFQVARYNFSGNHLNCGTNLPHPCATNIPDQSVSHGSNVKVILGTVGGIIGLLIVVALFLFCKAKNKEYLHELFVDVPGEDDRRITFGQIKRFAWRELQIATDNFNERNVLGKGAFGKVYKGVLPDGTKIAVKRLTDYERPGGMDAFLREVELISVAVHRNILRLIGFCTTQAERLLVYPFMQNLSVAYRIREFKPGEPILVWSARKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGVMLLELVTGQRAIDFSRMEEEEEVKKLQREGQLCSIVDHNLGQDYDREEVEMVIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQAEVTRGWHYDYGWSEDSHYKLEAIQLSGAR* >Brasy4G251100.3.p pacid=40085212 transcript=Brasy4G251100.3 locus=Brasy4G251100 ID=Brasy4G251100.3.v1.1 annot-version=v1.1 MRELRVVLLILVIYLPSFSASDGQGDALYDIKRKLNVTGSQLSDWNRNQVDPCTWNCVICDNNNNVVQVSVSGQGYTGVLSPRIGELVYLTVLSLAGNRITGGIPPQLGNLSRLTSLDLEDNILVGEIPASLGQLSKLQQLFLSQNNFSGPIPDSLMKISGLTDIGLANNNLSGQIPGLLFQVARYNFSGNHLNCGTNLPHPCATNIPDQSVSHGSNVKVILGTVGGIIGLLIVVALFLFCKAKNKEYLHELFVDVPGEDDRRITFGQIKRFAWRELQIATDNFNERNVLGKGAFGKVYKGVLPDGTKIAVKRLTDYERPGGMDAFLREVELISVAVHRNILRLIGFCTTQAERLLVYPFMQNLSVAYRIRVWSARKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGVMLLELVTGQRAIDFSRMEEEEEVLLLGHVKKLQREGQLCSIVDHNLGQDYDREEVEMVIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQAEVTRGWHYDYGWSEDSHYKLEAIQLSGAR* >Brasy4G173100.1.p pacid=40085213 transcript=Brasy4G173100.1 locus=Brasy4G173100 ID=Brasy4G173100.1.v1.1 annot-version=v1.1 MPKVSVVPGGRWNRFKTYSTIQRTLEIWGSVFAFIFKVWLNNQKFTYRGGMTEEKRVMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSTVEEELGAPVNEIFDRFDFEPIAAASLGQVHRACLNGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPAIFWEYTTPQVLTMEYVPGIKINRIKQIDKLGLDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDANGGRLIFYDFGMMGSISPNIREGLLEVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLDSFEERLVAQRKEREMATTELGFKKQLSKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRALESERSFQRVAAVQKTIGYGVAAGSLVNLATILHLNSIRMPATIAYSICAYFGLQILLGLIKVKKLDQQERLITGTA* >Brasy4G113800.1.p pacid=40085214 transcript=Brasy4G113800.1 locus=Brasy4G113800 ID=Brasy4G113800.1.v1.1 annot-version=v1.1 MEVFGDRDEASDADYAEVDPTRRYMRYNEVLGRGAFKTVYKAFDEVEGIEVAWNQVNIDEVMQCPDNLERLYSEVHLLKSLKHKNVMKFCNYWFDDQKKTINVITELFTSGSLRHYRRKHPRVDLKAIKNWARQILHGLDYLHSHQPPVIHRDLKCDNIFVNGNHGEVKIGDLGLATIMRTPKARSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECKNPAQIFKKVSKGVKPAALSKIANPEVKHFIEKCLVPASERLSAKELLQDSFLCSDNANGFAGIISLSSTPEAAEISLDSLHMDVDTRESIYASSVRKNDLAPHASMLEFTRTNKNMELNLKGEKLDDSSVSLVLRIADLCGQARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPSRKLANDVAMSPYMESKMVASEQVIISQQNLSEMAPDYGLVQSTMHSKDISAPSNNYHHSVSSAANLGGPKGSEGSDISVQLVGSSGSRSDCGTDDCGTMYCCGYKDGVGKLGCSHVLGDGSRNIPIFHIGGASPDSELVSGCSSISITDNQDNEDEFDLIEAHYKHWFDELASLREEAVEGGHGKWLPDNDV* >Brasy4G425500.1.p pacid=40085215 transcript=Brasy4G425500.1 locus=Brasy4G425500 ID=Brasy4G425500.1.v1.1 annot-version=v1.1 MAGALPWLIFAATLLLQTIKSSTASRMAKPGCRETCGNLTIPYPFGIGEGCFREGFDVSCENETVYRHNSTTSKMKIYNIDLLGGQTQVNTFIASKCFYNKNYFIASLDTGDFFTVSTKANKLTAVGCNTLAFLVGSNEHSVRAGCFSKCTDKKSVDRSGQCSGMGCCQTSIAPNVFSSNVTFDLRFNNSEVSSFNPCSYAFVAKQDWFEFKAYYLEYDKFTKEFKDGVPTVLDWVAGNENCNEAVKNMSSYACIGKNSQCIESTNATGYLCTCNDGFAGNPYLEEGCQDIDECSFPDQYPCHGICSNMIGNYTCLCKSGTRSTDPKTETCNPVGVSERAKLIKVSIGISACAMLLLICIFVLLMECQKRKLRKEKKTFFQQNGGLLLYEQIMSKHVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDSREVAIKRSKIMNVAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGGNRRPHISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYIQERQLTEKSDVYSFGVVLLELITRKFAIYSDGAGEKKNLASSFLLAMKENSLQSILDQNILEFETELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRSTWREHLVQNPGNETEYLLENSSSHYDLSITGQHGSLMALDLESGR* >Brasy4G065400.1.p pacid=40085216 transcript=Brasy4G065400.1 locus=Brasy4G065400 ID=Brasy4G065400.1.v1.1 annot-version=v1.1 MAAFRPLLRQLRGVLAAPQPATRSFSTTGAVPQGHHVPLVEPPVLDQAYHSSVDASLRESLDRQKRAYGKSQLVDDFVFIGVPLVVCLDGLYRLRHRFQEKYQRLVASSASSDDSSCVSS* >Brasy4G192000.1.p pacid=40085217 transcript=Brasy4G192000.1 locus=Brasy4G192000 ID=Brasy4G192000.1.v1.1 annot-version=v1.1 MPPPAVHQRSSSPAAPRPERGRANQPTNQRTERGRREASPIRSPFSFPASLGSARAIPPPRLELRPAHGPLSSRGSHRVATSGKRGRHQVPCRALGQMDTNAGSFVAVRRLAGSDRAAGAVAFHHSSSAEVVTGSTAWIGRGLSCVCAQGRDSDARLSFDLTPVQEECLLRLQNRIEVQYDSSNREHQEELKALWCASFPGIELQGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKLLSENDRAFDIIYCITFKVMDQQWLDMHATYMDFNTVMKATRRQLERELLLEDILRIEDMPSYKLLAR* >Brasy4G289600.1.p pacid=40085218 transcript=Brasy4G289600.1 locus=Brasy4G289600 ID=Brasy4G289600.1.v1.1 annot-version=v1.1 MLPPGTLLAVGFMLCPFRAFAPSGRNLTALLPELCGLLGSLYRHVRGELVRQPLTGPRHRRSLRYP* >Brasy4G196400.1.p pacid=40085219 transcript=Brasy4G196400.1 locus=Brasy4G196400 ID=Brasy4G196400.1.v1.1 annot-version=v1.1 MPEPEGLCLSGGGLHRDGLKGWPDLWVLPGKPPHARPAAMAPPDRVPDQTLAFSDELLLRVLACLPEPHLTGSASLVCKRWMRLSGRLRRRLAVRDWAFVTLRLPYRFPDLAVLDLFPASVAAPAAPSRASPVLTCGEVSLTLDPSADPPLGACRFLADDVLDRGLAVIAARFPNLRRLSATAASDSAGLMDIASGCATLQELELHRCTDLALRPVSAFAHLQILRIVAASSPLYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCGRIDDDPGPAEHLGACLTLESLQLHRCQLRDRRALHALFLVCEGARELLVKNCWGLEDDMFTLAGLCRRVKLLSLEGCSLLTTRGLESVITSCNDLQSLQVVTCNKIKDEEITPALSELFSNLKELKWRPDNKSLLAANLVGTGMGKKGRVFSKRILPGHQ* >Brasy4G254400.1.p pacid=40085220 transcript=Brasy4G254400.1 locus=Brasy4G254400 ID=Brasy4G254400.1.v1.1 annot-version=v1.1 MEMDSIECVSFSDGLDDDDDAVSHLPRPLLKSSSAAGSAAAAVNVVVVSGGGSGGAGGAGGVVAGPLVTPAMGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARSYTYSLEVGANGRKMIWEGNPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGERKELKLRVTGRIWREQQNPDSGACIPNLFS* >Brasy4G062700.1.p pacid=40085221 transcript=Brasy4G062700.1 locus=Brasy4G062700 ID=Brasy4G062700.1.v1.1 annot-version=v1.1 MAAAFRQTMMRALLRGGKASAAPPPSSRPSALSDSYCRLYLNEVLSSSVILR* >Brasy4G404600.1.p pacid=40085222 transcript=Brasy4G404600.1 locus=Brasy4G404600 ID=Brasy4G404600.1.v1.1 annot-version=v1.1 MEGGGERGENGRDAHHGGVVQTESDTGKHKDNTACGEKDGEFQVQQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMIFALFIQTLAANLGVKTGRHLAELCREEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILFKIPVWAGVILTVFSTLLLLGVQRFGARKLECIIAAFMFTMAGCFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALVGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVAVVVVAGSICSANNLSPADANTCGDLTLQSAPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIILSSMILSFEMPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSAALIVVNTYFLVWTYVDWLVHNHLPRYANALLSVVVFGLMAAYLVAAVYLTFRRDTVVTYVPVAEAGRAPAPALSGGDDGDQPAPFRKDLADASM* >Brasy4G404600.2.p pacid=40085223 transcript=Brasy4G404600.2 locus=Brasy4G404600 ID=Brasy4G404600.2.v1.1 annot-version=v1.1 MEGGGERGENGRDAHHGGVVQTESDTGKHKDNTACGEKDGEFQVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMIFALFIQTLAANLGVKTGRHLAELCREEYPRFVNICLWIIAELAVISDDIPEVLGTAFAFNILFKIPVWAGVILTVFSTLLLLGVQRFGARKLECIIAAFMFTMAGCFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALVGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVAVVVVAGSICSANNLSPADANTCGDLTLQSAPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIILSSMILSFEMPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSAALIVVNTYFLVWTYVDWLVHNHLPRYANALLSVVVFGLMAAYLVAAVYLTFRRDTVVTYVPVAEAGRAPAPALSGGDDGDQPAPFRKDLADASM* >Brasy4G359400.1.p pacid=40085224 transcript=Brasy4G359400.1 locus=Brasy4G359400 ID=Brasy4G359400.1.v1.1 annot-version=v1.1 MDLGPGGPLGPRGGDHPNRLLSLFSYEKRNFVNQEGNYNQENLIFQLGHAQAEPNKLFGFFARPRLTCRRRRDLLRPRHRQPFGAGERNDAAARMSASLPSSAPLPAAGGRFSTGFTKLCKGLAVVLLLGHVSIQLVPSAATYLALIPARTIPFAWNLITAGYIEQTIPGVIVSIVGLLLFGKLLEPLWGAKELLKFIFIVNVSTSMCVFVTAIILYYTTQQEIYLYTPLSGFCGVLSGFLVGIKQILPDQELNIFVLKIKAKWIPSLVAFISVSVSFFLEKSMSYLPIILFGTYTSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPGFLRPILDPIASVIHKLLCGRSEAKAQSMDGLLPSSDSIMANRRRERGQRALEQRLAEKLAEVRSSESAKPHARPQIKLKTYIFKNPETSTTQDSIKEKGDLAFGVIF >Brasy4G073200.1.p pacid=40085225 transcript=Brasy4G073200.1 locus=Brasy4G073200 ID=Brasy4G073200.1.v1.1 annot-version=v1.1 MQCHGLPVLVHIHFFEPWCRFNCSNDISAALCHGTEAIQTLQPKQCTLRVRLMHVNKECGTGSTMGCSVVFMMFLSPTR* >Brasy4G303600.1.p pacid=40085226 transcript=Brasy4G303600.1 locus=Brasy4G303600 ID=Brasy4G303600.1.v1.1 annot-version=v1.1 MHRLSSLSRPLLSFMAPAPPPPALARRVFPLLAAPSPPVHRVSRFPHASRNMASVGEAKGYEYETGKQDMRLLISSCNDRPVITDGMNDIETIGIPKALVAHCGETTLEVRDIIDGKHYVGEKNGAFCEEDGRITTNDHKGVIMVNDDEEMPSYPIEDVFPLHFVPNSRHRTGSIYKSKNIWTEKYRIMDHNETRLEARDCCIRDGTCIKHVPTCMLQICSLKLAKIPVDCGSIQLYGYIAVRDDLDPLRNYVVNISRDDPIIIEKGSLINMSGPKRGIGLCAYTLIEYDMKIKTGERERDDPQLIDGLSAIEFMEMWKCRTLTERIHGDCGAVDITILFLENAVEATVEVLISEVQSSFNLRLGCFISKFNEEIQLFDGAIDGSPVLKRSVVAAVMHSWMHLKFKAGTESSSSAEHCCSFKVNNHGLATHEIKSDFALILVKVTWSTLPWRR* >Brasy4G371700.1.p pacid=40085227 transcript=Brasy4G371700.1 locus=Brasy4G371700 ID=Brasy4G371700.1.v1.1 annot-version=v1.1 MAIDPEAEALLRWKSTLVAANSLSSWSMANSTCSWFGVTCDATGNVKELSLPNTGLNGTLDAFYSAAFKNLTKIELNNNNLVGTIPANISLLLTLTTLDLSSNNFVGAIPYQLSRLPVLVDFNLGNNHLTNPEYAKFSPMPNLKVLSLDRNDLNGTFPQFILNCTNARMRSLDLSLNSFSGPLPDSLPEMVPRLRHLILSTNGFSGSIPRSLARLQKPEILRLCENNLKGGIPEELGMISGLRTLLLYSNSLGGSIPASLGQLQFLEELNIGNTSLVSTIPPELGNLTSLEYMIMSQNQLWKFTTIFCQDTRIEIF* >Brasy4G410900.1.p pacid=40085228 transcript=Brasy4G410900.1 locus=Brasy4G410900 ID=Brasy4G410900.1.v1.1 annot-version=v1.1 MGADASRTLHVAAHALASSLQPQVAAVFFASAAFTLALAVLLGSLRLRRPPWWCACAVCECYLSASWAGEFDNLCDWYAHLLRAVPGRTVHVHVLGNVLTANPPTVEHMLRARFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELSCRLIPLLHGSSSSASKLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPMSAFADAFDAASMLSARRAAAPMHVLWKLKRLLNVGEERELRDAIGLVDALAAEVIRQRRKLGASSGGGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPRVADAIRDEVSRVTKDDNPLTVAAPEKLKDMHYVHAALYECMRLFPPVQFDSKFAAGDDTLPDGTFVARGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRGGRFVPESPYRYPVFQGGVRVCIGKELAVMEMKAAIVAVVQRFDIEAVGRSSRRPKFAPGLTATFAGGLPVRVRRRRERVSGPECPPG* >Brasy4G194900.1.p pacid=40085229 transcript=Brasy4G194900.1 locus=Brasy4G194900 ID=Brasy4G194900.1.v1.1 annot-version=v1.1 MLILVLGIWILPVTLIFAPCRRLVLLVAKLQELEASIMRTRSSSPAMWSRLARLQTITITV* >Brasy4G288800.1.p pacid=40085230 transcript=Brasy4G288800.1 locus=Brasy4G288800 ID=Brasy4G288800.1.v1.1 annot-version=v1.1 MATTSMSELLIRLSALVIVIAASFFFSPASSSGPPLGKRYRVGGPDGWRVPPPEEKEMYYVKWASPITFFVEDSIEFVYSNDTVIKVSKAGYYHCNETVGIGTGPEPKDGSTLFLLDAPGFAYFASADLAHCAQGQRLIINVLAAEPPAAPSPSSSPAGAPSPSSASSTKPDSRSAFSPAPGPAPVMEYESDAGASLLPSVDAAVLATAAYTVVLAAGLVI* >Brasy4G206900.1.p pacid=40085231 transcript=Brasy4G206900.1 locus=Brasy4G206900 ID=Brasy4G206900.1.v1.1 annot-version=v1.1 MGMAATACAYGGYESSCRPPPPAAMGSPTAARSLRVMDLFNDDGHGSSIIHGSVFMPPPPPPPPPLVDLHSSSRSSSKATSRHPGLCTEGLGSESSESSGDLDLANVPDDTDKEDAGQALQYCKRQYFDNHEEEMMPMLMLARTRGRRVFPPPISVIGAAGKPWQYLRAHRGDGRLVLREVRIPSRELLQACREDGRLKLHFAHPEERQQLVDEQCHEQDTKN* >Brasy4G255700.1.p pacid=40085232 transcript=Brasy4G255700.1 locus=Brasy4G255700 ID=Brasy4G255700.1.v1.1 annot-version=v1.1 MGQATSSPGSYEHRQRAVDEELAAERRAKALWRDQLRKPRGKDLPLLETYRQVTFHEACGGSDALLSQLSALDAYHEARLTNGRFSVDADSPGRHIAEIFTDRELDNLISDCYNSKGREMPVFDRDGRRYDFQFIYFENKWSNGVCRLVGSGEEYERFMVDNNVVRHFGELGSKMIMRMFAFRSPKLLPKGLPRPPGWSPRHGNCFSEVPDDDFMTINEMLEHYPVAPEGYTLEFAEK* >Brasy4G266400.1.p pacid=40085233 transcript=Brasy4G266400.1 locus=Brasy4G266400 ID=Brasy4G266400.1.v1.1 annot-version=v1.1 MAPARVTQVVFLTVVVGCALAVYADNAPVEWQKAHATFYGGADASGTMGGACGYGNLYSTGYGTRTAALSTVLFNDGQACGQCYKIACDSESADSMWCKPGMTVTVTATNLCPPNHALPNDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKQGGVRFTINGHDYFNLVLVTNVAAIGSIKSMEVKSSDASNWTSMPRNWGANWHSLANLTGKMLSFRLTNTDGQTLVFDNIVPNGWKFGQTFSSKLQFN* >Brasy4G058500.1.p pacid=40085234 transcript=Brasy4G058500.1 locus=Brasy4G058500 ID=Brasy4G058500.1.v1.1 annot-version=v1.1 MLSWMVPPRFRCSRRGRRSSPTAAGTMRVLLLLLAVAPAAVAATGDVGYPHCNCDGGGGGGFWSMENIFKWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKARELDREVDLMKQQEEASWHVRMLTQEIRKSLDRHTVLYTTLIELSRVLELKNCAVWMPAEDKAAMHLTHELRRGGSDGLVVGVDDADVVEVRRSDGVKLLGPDSVLASASGGGREDTGSVAAIRMPMLKVSDFKGGTPEVIQASYAVLVLVPPSDKNWGAHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDTLMANEARDAFQLVMSQGMRQPIHSILGLVSVVQEDNLMPEQKLVVNTMARTATVVSTLINDVMEMSATNRERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFAVHVENTLPDLVIGDERRIFHVILHMVGNLISRINSGHVTFRVRADDEVMEDSLGQRWEPWRPSYSSGYSSVKFVIGVKSTESVSSAGQFLRKPNGEGFDLRLSFSMCRKLVQMMRGNIWAVLDGQGLPESMTLVLRFQLQPPLTSSSTGGSFERQYTSPSCQLTGLKVLLIDDDDINMVVARKLLEKLGCVVSSLPSGSGFLNSFGPSSGAFQVVMVNLEMTRVNALDVAARVRQYRSGRWPFVMAMTSEQNAWEKCAQSGINGILRKPVVLLEMKEELTRILQST* >Brasy4G203400.1.p pacid=40085235 transcript=Brasy4G203400.1 locus=Brasy4G203400 ID=Brasy4G203400.1.v1.1 annot-version=v1.1 MVALASLSSLCPCGLARRRSASASASASTSTSISCCAVATPPSGKGSHESRIPRRRFRRTEGATKSMEDSVKRKLEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSNTPIFASSFTMELIKKRLKEFGIFLSSRLKSFRVRNRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGTIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNILSPGRSTSESVVASSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRRLAFVGMSLRTYLEAAFRDGKAPLDPSTLVKAEDMDAYDPKSLLVVTTGSQAEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTDLGPKIIMGKDSGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRKVLSNGFVALGKQEFKLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKELASPQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPLNCPLSHMERMVSEILRKMVRKYSGKRPDVIVVASENNTIGFTEEVTNKSPGKFGPFSATRHLSRSPDGSLEDSDKTRLENPEGEAADSTPEVMRTTSDDVTTSTNGESFFSSDLHQPKALDHFWESFKSPTAVKIARIVNASAQGNKPKLGKISIIDKDSSMSVPAPAKSPRKNKWKPEEIKSLIQLRGEMNEKFQTVKGRMVLWEEISVSMLNQGITRTPAQCKSLWTSLVQKYEESKKDGEGMKTWPYFSAMDSFLSCEGEMATK* >Brasy4G203400.2.p pacid=40085236 transcript=Brasy4G203400.2 locus=Brasy4G203400 ID=Brasy4G203400.2.v1.1 annot-version=v1.1 MAMKITLVIPALDSNTPIFASSFTMELIKKRLKEFGIFLSSRLKSFRVRNRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGTIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNILSPGRSTSESVVASSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRRLAFVGMSLRTYLEAAFRDGKAPLDPSTLVKAEDMDAYDPKSLLVVTTGSQAEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTDLGPKIIMGKDSGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRKVLSNGFVALGKQEFKLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIFRPQKELASPQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPLNCPLSHMERMVSEILRKMVRKYSGKRPDVIVVASENNTIGFTEEVTNKSPGKFGPFSATRHLSRSPDGSLEDSDKTRLENPEGEAADSTPEVMRTTSDDVTTSTNGESFFSSDLHQPKALDHFWESFKSPTAVKIARIVNASAQGNKPKLGKISIIDKDSSMSVPAPAKSPRKNKWKPEEIKSLIQLRGEMNEKFQTVKGRMVLWEEISVSMLNQGITRTPAQCKSLWTSLVQKYEESKKDGEGMKTWPYFSAMDSFLSCEGEMATK* >Brasy4G295800.1.p pacid=40085237 transcript=Brasy4G295800.1 locus=Brasy4G295800 ID=Brasy4G295800.1.v1.1 annot-version=v1.1 MGLAKDGAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSKQAEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATH* >Brasy4G295800.2.p pacid=40085238 transcript=Brasy4G295800.2 locus=Brasy4G295800 ID=Brasy4G295800.2.v1.1 annot-version=v1.1 MGLAKDGAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSKQAEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATH* >Brasy4G364500.1.p pacid=40085239 transcript=Brasy4G364500.1 locus=Brasy4G364500 ID=Brasy4G364500.1.v1.1 annot-version=v1.1 MARAAHPPDAGDHPLLRHSPHECVPFMEGFISAGWGNKLDALPSPRLMSTHMPYSVLPDCIIRNPGCKIVYICRDPKDMVVSMWHFVQKFRPHVSFSDMFELTSEGKSTCGPIWDHILGYWNASNRIINVDDENRPRPEPPTKTLVLFLRYEEMLQDTVSNVRKLAQFLGQPFSAAEEESGTAEAITNLCSFDKLSGLEVNKTGNIGVHVRLSRQSFFRKGGAGDWANHMTPEMACRFDSIMREKLHGSGLDFA* >Brasy4G293800.1.p pacid=40085240 transcript=Brasy4G293800.1 locus=Brasy4G293800 ID=Brasy4G293800.1.v1.1 annot-version=v1.1 MERSVSCAERSSAFKPTNDLRSHSASYAARYAPATTKPQQLARSNSSWSRPAAAAAVQRSGSTKTVAGGPTPGLNLRCYSASYAASYDPFSDGAGAAQAKAPGTTAAAAWCSAGRRSLNLRGYTPSFAALVDDDPAPVKTAAADDAEAEMQRKKRLVAYKVFDVEGKVKLSVRRSVKWIKGKYSRAVYGV* >Brasy4G395200.1.p pacid=40085241 transcript=Brasy4G395200.1 locus=Brasy4G395200 ID=Brasy4G395200.1.v1.1 annot-version=v1.1 MEVDTQTLAEPQPKPQPNHPSQPPSGEDDDVVAVSVSSERVREPWSPDEDLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKHKAFTAFGRERRTDNAIKNHWNSTLRHPYCNDGRCKHGGSVERSIPEVSRAVSKQPWPSKDLGSFTAMDVRDAPVKTVPETSVGSWHVADQYYSTQAVDPPYLSRPVAKIGAFKPYNPGNAEPTQQETPSSVFKFDSTLKALTPESEVFKFADPLHTLLLRFQTNVGMDAWLLHRPRAASKELLAGPEFNEFEDHPPILNSSFASLVSEISSIAWMRSGMQSDDASSLLQ* >Brasy4G402800.1.p pacid=40085242 transcript=Brasy4G402800.1 locus=Brasy4G402800 ID=Brasy4G402800.1.v1.1 annot-version=v1.1 MEASGMDIAGLPSDLLVEVTARIAERSATPLDDIVNLRRSCKVFRDATAAREVGRCMAVHKEWRLHWWDTARFLSVLRRCAASGNPGASYIIGLDEICNRRRKASGLRHLRHAMEHGHHAVAAYTIGMVMLRDSRSLDSVEQAMDSLEEAGAAFSGSAASTTSSKMKISSVRREAASVIRRLTMHRWKTVVEPTGPPCTDPRCGEMETMTEAWDEADDEQRRFCSRICRWKHEYCKFVQWI* >Brasy4G092400.1.p pacid=40085243 transcript=Brasy4G092400.1 locus=Brasy4G092400 ID=Brasy4G092400.1.v1.1 annot-version=v1.1 MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYGGKRMAYVYKAKTKSDGTHYRCIWGKVSRPHGNSGVVRAKFKSNLPAEAMGRKVRVFMYPSSI* >Brasy4G124100.1.p pacid=40085244 transcript=Brasy4G124100.1 locus=Brasy4G124100 ID=Brasy4G124100.1.v1.1 annot-version=v1.1 MSAPPSPVASADQSPPRFGCIANPTNMEDHDHIANEQEVIKNDSQNMQEKEDLDHIANEQEEVITNDSENMQEKLHTQEESSAIMIEEPEYSEPTNKLADNQTSQEIPECAEEETIEDILTMPMQMEKPMRRHNKPKKAKDYVVTPEDYRCTIDDFSVIERIKSEPSVKKKLVVFFETSLVSKLIQDCADKPKDEIPDWIVEMVKKYLEHDMVFIPINMTDFHWYLTVIHTGKRCVQVLDSLGMGIHRRDLASYVTVEKLFRIVSQQTELNSDKWKDLNVTSWSREECVKRPMQADGSSCGLWMLNFMEYWTGDILSDIPNQTMSKFARDADCRERLDVDQLAQLFHSWPGCIDKYHISDCDTILNPLPIQSWGEHLFKTMETGNNLNLALEVANPGWKDDICKWERKVSDVIPRNYHGYEWNVWIFGFNFMHSYYNERLHYSIPTGVLMLKRRFLAHILKHDLNEVVDNISPEERDVLDRIKKRTFTD* >Brasy4G337000.1.p pacid=40085245 transcript=Brasy4G337000.1 locus=Brasy4G337000 ID=Brasy4G337000.1.v1.1 annot-version=v1.1 MSVQLAREPSHTRKAEPLPKDRVDCLSHQSTRERNRDLASILHRDQRPKLGTCSEFHGSADHRASIAASTQ* >Brasy4G041100.1.p pacid=40085246 transcript=Brasy4G041100.1 locus=Brasy4G041100 ID=Brasy4G041100.1.v1.1 annot-version=v1.1 MKNKKGRRRSRDKAPCNEAAVYIGNGDRMSKLPDDVLLNILERLETLDALRTCILSKRMLKLLAMLSHIVVNIGSLGGSRAFSFRHSVRINGAVADVTENILSTRCPEIPIRKLKVRFILRYYDSLTIGNSFARAMATQKVEKAEIEIVTQKAKYRHCTPDDLLHFAKQFNTFLGACPDAFAGLTRLWLQNMRFGELDIPNILGTCKRLESLRFTHCDSGTRSVLRVEHDQLVELEIDYGKFATVHLSTKTATNPPLSFGFVPRLAKLSLTKTGIRSDRALKLSQLLANFPSLSELRLDFKSEKIWVLPEFPKMLAHVLGKLRLVDLDNLPEGCDIAWTMFILQAAPCLKELCITVWDHWCDMVTDKERRKAYGFCDKENVEWKPSASGFRHTNLAKLTVYGFQPDDNFMKYIRCVLETSVNLEEISLHDKKACKRCADLDPKIKVCPTKYPQNHMERKQITEELGMVLPAVVHSRS* >Brasy4G277000.1.p pacid=40085247 transcript=Brasy4G277000.1 locus=Brasy4G277000 ID=Brasy4G277000.1.v1.1 annot-version=v1.1 MLRGKDHQRSPTAKQSPPVVQEEVWEVRPSGMLVQRRTPDSDPPPGGAPVPTIRVKVKFAGVTHEVYVNSQASFGELKKLMAEKTGRHPDDLKVLYKDKERDAKEFLDMVGVKDRSRVALLEDPEAQARRLIEERKNNKERKASLAVNRVSMHVDELAAKVAAMAGKGAKVVEEAEAVALTEALMNELVKLDAVAGDGDVRAQRRVQEKRVQKLVETLDGIRAKAKSSVGGAKARQPHLPPRPTPPPARASQQHQQRRQFQPAAPTTATAPKPQTATASWDSFDLLSSVPPPPPSSAPVTAMAPAVSASPSPRFEWELF* >Brasy4G277000.2.p pacid=40085248 transcript=Brasy4G277000.2 locus=Brasy4G277000 ID=Brasy4G277000.2.v1.1 annot-version=v1.1 MLRGKDHQRSPTAKQSPPVVQEEVWEVRPSGMLVQRRTPDSDPPPGGAPVPTIRVKVKFAGVTHEVYVNSQASFGELKKLMAEKTGRHPDDLKVLYKDKERDAKEFLDMVGVKDRSRVALLEDPEAQARRLIEERKNNKERKASLAVNRVSMHVDELAAKVAAMAGKGAKVVEEAEAVALTEALMNELVKLDAVAGDGDVRAQRRVQEKRVQKLVETLDGIRAKAKSSVGGAKARQPHLPPRPTPPPARASQQHQQRRQFQPAAPTTATAPKPQTATASWDSFDLLSSVPPPPPSSAPVTAMAPAVSASPSPRFEWELF* >Brasy4G233800.1.p pacid=40085249 transcript=Brasy4G233800.1 locus=Brasy4G233800 ID=Brasy4G233800.1.v1.1 annot-version=v1.1 MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLLQIEAAETATLEATSRSSKGGPMPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNPGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHMLAMYHDSDSNPWKLAKKWEDLNPAEWSEVFQDGIDDCSGSSVWTMNRRYLVSPINGTLKYNRLGQQERGDPNNPLEKASLVLSDVSLTVTEAQYYDCIKLLETFSRFRTRVDVSHLRPIVPVKEDCRAWWRYAVLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPSVDISEIRQIEKILDSKVIILWRLLGHAKVETVKSKETMHRKGTSKRRWWPFGWNSAGLPSEEGALLEPQLDEEEQLTKEEWQSINKLLSYQPDDDLSCPVEKVLPNTIRFLVDVSVGQAAARIINIEQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGVSSPEGSLAQSVVSEGKSNALDISFVRAPIGMDLDWQLVAKISPCHVTVLKGSYQRFLDFIKRSNAVSPTVAMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTTGQSSQQFVLDLGHFKLHTRDGTREEERQSLYSRFYIAGRDMAAFLVCDVAEDIYSGQANLSHSVLSGPTADANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVFSQLKGNNNEESNSYESGNLVPWYPADLADDARTLVWRGLGYSLAEWHTCHLVISGMYLYVLESELSHNYQRCCSMASRQIFEVPSSSVGGSLYSIAVCSRGADMQKALESTSTLIVEFPNEIEKANWMKALVQATYRASAPPDVNILGDPISSGPEISTPRLSSLGSVDLLVNGSVIETKLSMYGKLDRKNKDPEEVLILELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELHGRLSMSTKYLACSVITEDSESFPKRSEDLESEGCCTPDVEGNPKSFFVEEDSFMDALTDFTPDQSSNIHDLEIPSNSISDVNEDTDMCSRDALCFDGDQQKMKPTEIFYEAQDNNVTDFVVLTFLSRTPDSCLYDGIDSQVTIRMSALEFYCNRPTLVALIEFGFDVSTVNSVPKSDPEMAGATNNAIPTGKEHNGRTVVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDMKVHPGSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGHNYSLTGQLSAVRIVFIYRFIQEFTSYFMELATPHTEEAIKFMDKVGGFEWLVQKYEMDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVRNDFSWHGGEETDPCAVRLDVLHAEINGINMAVGVNGTLGKSMIRQGHGINIEVRRSLRDVFRKVPMLSMKVQIGLLHAVMSDKEYSVITSCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLNNHLLLSRTVVIMTVNVQYALLELYNRSDTEAPLAELALEGLWVSYRTTSLLEMDLYLSILKFSIRDIRPDTKSEMRLMLGSYSDTSKLNTPDPSTDVGVSSLTMLILDYRWRPSFQSIVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGREESLDPKNDPLMTSDDIILCGPVFLQKESVIQLSPERQLIVDGYDIDEFTYDGCGGTISLCEEFDKKGQLYSGIIIIVGRGKRLRFKNVKIENGALLRKCVYLNTGSSYSISAADGVEVSVLEASSGNDEDNRFQSEERNRQIIALQTAADTPSNQMLNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLVRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLVVLEPVDFSWKYTSVSEKTNIILTSSEICIHLSLNVASLLLKLQNQTLAALQFGNINPLVSCTNFKRVWMSPEGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPLGFRLVHTLPGSVELADSKKSSEENDCSIWVPVPPPGYLALGCVVNSGRQPPSNQAVYCLRSDLVTSAAFSDCMHTLSPAPGILSGFSIWRVDNVIATFHAHSSATQPTRTEALDLHHVLLRNPNCYIVKDLNADSSVQGDQPADRLTHGKSTSGWDAVRTLSRPSSYCTSTPHFERIWWDKGGDTRRPFSIWRPLPRFGFASVGDCITEGLEPPTLGILFKCDNKIVSERPVQFTKVAQIDRKGFDEIFFWYPVAPPGYVSLGCVLTKTDEMPSKDSICCPKLGLVNQANISEDPISRSSSSKGPSCWSIWKVGNQACTFLARPDLKKPSARLAYSIADHAKPKAPENITAELKLGSLSISILDSSCGMVTPIFDTTIASINLATHGRFETINAVLICSIAASTFNRHLEAWEPLVEPFDGIFKLETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLDLLIETLISWRRQIDIETRSSIRNEDTVENLKIADDLSCSALDEDDFQRVVFENKLGCDVYLKKLEDNENTIELLQHENHISLSMPPPRFSDKLNVLSNSTEARYYVVIQIFESKGLPIVDDGNDHSYFCALRLLIGSQTSDQYKAFPQSARTRCVKPLKTDLQTHHAKWNEHFIFEVPEQASANLEIEVTNLASKAGKGEVLGSLSIPIGRGTTTLKRAASIRILQQAADIKRVLTCPLTRKGTVLNEGDKKGCGALVLSSCYIERSTQSNLQSWKDSISNAESGFWIGLTPDGPWESFTAVLPLSIIPKALNSNHFAFEITMRNGKKHATLRALAVIANDSDIKLEVSVCPVNELNSSVLNAGSTSSTNTIDEVFENQWYRPISGWTSNHSSDHGVDLGQWSTRDCSYSSKAFFEPRLPPDWKWTSPWKIEKSTFVDSDGWAYAADFQNLNWPSSWRSSKSPHDYVRRRRWVRSRQPLQEQRVEIPRKIIAIVEPHSSKSLPWTAMIKDMDLCLQVRPFSVKSDESYSWSQVLSLGYDSLPKQQQQQSTLSRQSTLKQSSVPSRSSVLRLAELEKKDVLSYCSPPAGIKQYFWLSVGVDASIVHTDLNVPVYDWKFSFNSILRLENKLPYEAEYSIWEKSAEGNMVERQHGIVPSGGSTFIYSADIRKSIYLTLFLQNGWILEKDAVLIMDLLSLEHVSSFWMVQKQSQRKLRVSVEHDLGASDAAPKTLRLFAPYWIKNNSSIPLSYRIVEVEPAENADAESLSRPDSLSRAAKSSKFSLRYSSKSLARRGSVSQRMQILEVIEDCGTNYVMLSPQDYVNRSTNMRESRENNFSPARVAICAAVGSCKQYSIGVSLFELENKEHVDVKVFSSDGSYYWFSVQLKMASDRTKVVNFLPRALFINRIGTSIILSEYHSEVEEHLRPTDPPKVFQWRSEFGNELLKLRIEGYKWSTPFSIDANGVMCVLMNSITGNDQTFVRVNVRSGTKSSRYEVVFQLACWSSPYRLENRSMFLPVRFRQVGGDDYSWRSLRPNSSASFFWEDLGRRRLLEVLVDGADPTSSMTYDIDVIMDHQPLATSSGLKKALGITVIKEGKLHVTQISDWMPDNRARGQTTERLLSPIFQPSEVDCGQSSQDMDSEFHVTLELTELGISIIDHMPEEVLYLSVQQLLLAYSSGMGSGINRFKMRMHWIQVDNQLPFVSMPVLFCPQKTDNQSDHVLKFSMTMQTKNSLDFCVYPYIGVQVPENCVFFVNIHEPIIWRLHEMVQHLKIDRISSSQPSAVSVDPIMKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMSEEQLLRRRLPRAIGGDSLIYPYDEYKAGGQAILQLAESGTFLGQVDLFKVRGKYASTDAYEDHFILPKGKILLVTHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLATVEITHAKKDAPGSLPSKLILYLKAKPASSREVVRLVKCNRGSDQATIIYSSIDKAYKAYGPNAVKELLRWKVPRPYAPRNTNRRTVQDLSFA* >Brasy4G378100.1.p pacid=40085250 transcript=Brasy4G378100.1 locus=Brasy4G378100 ID=Brasy4G378100.1.v1.1 annot-version=v1.1 MVLSISVSDLRHASWSGICLPLPKDPVKHTVSCMYNHVYCNYG* >Brasy4G390100.1.p pacid=40085251 transcript=Brasy4G390100.1 locus=Brasy4G390100 ID=Brasy4G390100.1.v1.1 annot-version=v1.1 MLLRSFSQSPARAFGHLPQSPIRSVAGQSPIRSTAGHLRGCARCPPLRPFPPPSGRPPAPVHAQAASDPSPQPNAVVLALPAPLCPVQRRPVPMPSAVGALPLPQDLAASYHSPPLPSPLQLLQDPAASPLGRLRRRDGEKRAATEEKERASGEGNGARKTAGRGSCRMENPDLSAGDGESRA* >Brasy4G182900.1.p pacid=40085252 transcript=Brasy4G182900.1 locus=Brasy4G182900 ID=Brasy4G182900.1.v1.1 annot-version=v1.1 MSHETTENITNRSSSSSSYPSPAAAEAQQQHLACSACKHQRRRCAPGCLLAPYFPAENPGSFRNSHRLFGIKNILKFLTRAPPEKRDDCMRSMLYEADQRASNPALGAYGAVLSLQQEYNRVTNELAALQKQLERYRNAAEQQQYRTAEAAALDVVVPPDGFLPTLPQQQHGAASALDAVVRPAGFLPPPMQQPWMMPPAPTLPLTIPEELPQPPIYGGFLVNVPPGAEKIDDEDEDAKVVGVKSDEDGVLPSMQGHEEAAGEAQAFVGGVASSSSSSSYRSSNLAPRGLNRRMLGVKFNPAA* >Brasy4G094100.1.p pacid=40085253 transcript=Brasy4G094100.1 locus=Brasy4G094100 ID=Brasy4G094100.1.v1.1 annot-version=v1.1 MSCFVRPLAFIDKGRRILFRPGVRSTHDGNKPIHQLCAYDPATGAMEELLAKGSLIAQPSVNGTATTKAVVYEESLISTGRPHEDIIFSSASTQALAMALSLLPACDLGRLKLVCRSWRDMMETSRFIKLYTCHASTRRRLSDDPLRVFFPKEAYRSSADSDQPPQLLEQRVVSSKPCHGLLAVGRRDHERDRVPRPRVRRGRRGPRGGNHRRLALRRRHEGVLVEKDERRREDQDVKSAPPVHAWLDVPPVFVQRKMYWMGKPDRHHGDNTMMMIMALDIQTEAFEVLPGPLIDLDNDHGRMLVAELGGDLCVSHSCPNTETMTIWTKTTETMEEGQAGWKKELVMELRRWPEFSPKTAAGLVVPVEVDGDGRVLLDTGRDVGVYDPRKKTMRTVYSLKWSHGDCADKFVTAALWEDSLVTPPHEPEERRYCYRDV* >Brasy4G379200.1.p pacid=40085254 transcript=Brasy4G379200.1 locus=Brasy4G379200 ID=Brasy4G379200.1.v1.1 annot-version=v1.1 MPEATTALQWHAEAERSRKKKPCADHVQRLSKPWPQPASFFLLTWTTLARTHPWAWKKLPSHALLHLEMQRLSSDFR* >Brasy4G008400.1.p pacid=40085255 transcript=Brasy4G008400.1 locus=Brasy4G008400 ID=Brasy4G008400.1.v1.1 annot-version=v1.1 MADDVAPCTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSRLGEELLREGVAGGVTCIDLSPVAVQRMRDRLAEQGTSGVDVVVADMLDLPFESESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPDGIFVSITFGQPHFRRRFFEAPGFTWSVKWSTFGDGFHYFFYTLQKGKKSGESHRPQDALPAAPSINMFHEELESEDYIFLTNVDEL* >Brasy4G260200.1.p pacid=40085256 transcript=Brasy4G260200.1 locus=Brasy4G260200 ID=Brasy4G260200.1.v1.1 annot-version=v1.1 MDSAISSATHIQMPVLTPKPSSHEALPTQAQPVDKTLSSASDLLKLLPTGTVLAFQALAPSLSNHGVCHGALNRSLLLALVAGCAFSCVLLSFTDSLVGRDGRLYYGAATFRGFYPFNYSGGTSAERDAVFKDLSRFRLTPMDFVHAIFSAVVFLAVAFADAGVLGCLFPGAGTDLRELLVNLPLAAGFLASMVFMIFPTTRKSIGYTDMMPHSQ* >Brasy4G312800.1.p pacid=40085257 transcript=Brasy4G312800.1 locus=Brasy4G312800 ID=Brasy4G312800.1.v1.1 annot-version=v1.1 MGRAPCCDKKGLKKGPWTPEEDKVLVDFIQANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGAFTDEEQKSIVQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDEPPPGPTGGCPAARHMAQWETARLEAEARLSLLSSAAAAATATTSTTTTSGSSSSSAAAAEHASSKPADVFLRLWNSDIGSSFRKVQAAHGPPAVSSAKKEAHDAVKLQGDESSSSEMDAAASAEYQMFLDFAGEELGLFHGRHGGFSLFPPLDVLSEASLDTAF* >Brasy4G438400.1.p pacid=40085258 transcript=Brasy4G438400.1 locus=Brasy4G438400 ID=Brasy4G438400.1.v1.1 annot-version=v1.1 MSGEAPPPLDNAVVVEDATHHTPTPTTATATSSAFDPPWSRAARSVRTYARRSTTMLSSSASRRDASSAAAAASSSSSSATAKSAEMPKAATFAMREPAAAAATAAAGVATGNKSGELVVPGKTPATAANTTLAAAVMREAAANAVQHEGWMVRYGRRKIGRSFFHTRYFVLETKLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNKKEKEHQITMGAYDIEDALAWKKKIELIIDQQDSMTAKNRKAFASMDFDMDLGGQFSFSDHDSAAEDEEERPILTRRTTIGNGPPESIHDWTKEPDIGASNQNEPIQFSSKKNWRLLRCHNGLRIFEELLEVDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAILYHRLVWPRDLCYVRYWRRNDDGSYVVLFRSIEHPNCGRQRGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDELHITPRIPVMENMVDPSTVQKNQKSQEMESKTKPADRGQSDSKTMGIIDEESDEDEDYQVPEANIEEDTNKSDSDAKRTDEPPEKIDLSCFSGILRRDPEEKSRNCWTVPDSTLFKVRSKNFPTDKSKIPAPNYLMELAAIDWFKDTKRMDNVGRQKGCVAQVAAEKGMYTFVANIQIPGSTHYSLVMYFVTSCLKKGSLLQRFFDGDDEFRNSRLKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCSYVRAPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQVIGAARLAHVEPAAAIVPDLDNTSDSNNDDNNTSSEDDSSNKKTN* >Brasy4G014000.1.p pacid=40085259 transcript=Brasy4G014000.1 locus=Brasy4G014000 ID=Brasy4G014000.1.v1.1 annot-version=v1.1 MHHAMRLRCLLQHPPLWCKCNSLGISTTSGGGCFVRRFSAVGAPRPCDAGRRLCRFYSSKGGVGSAEARGGGAAAAAAGSSGRCSEQEHARLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRIEFLRRVVRWEKGNLTWQNFPYYVNENARQLLRECTVSHLRHKGVTSEYGSRLPSSGGRILLQSSPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGEDYSESEEEDEDGELEDEGSESETEGEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTIEEFAKRVAGEEEGTTSESSETAKSSEEDKRPFQRGDRVKYVGSNAVVEADQRIILGKISTQDGSRNAYTLISGRTLSTGQRGEVYEINGDQVAVIFDPPTEKLHDGDKDETSEENAKPFVYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLQPVIVYFPDSSQWLSRAVPKSSRREFVERVGEMFDQLTGPVVMICGQNMLASVPKDKDKEPPTLMFQNLSRLSSLPSSLKRLVGGLKGQKDSGSSDITKLFTNSLIVPVPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCVELMHVKSDGVVLTKQKAAKVVGWARSHYLSSTILPSIKGDRLIIPRESLDVAIQRLKEQVLKTKNLSQNLKNLAKDEYERNFISSVVPPEEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDVQNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIASAYRPVQELLEEEKEGRVSSSSTYLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN* >Brasy4G014000.2.p pacid=40085260 transcript=Brasy4G014000.2 locus=Brasy4G014000 ID=Brasy4G014000.2.v1.1 annot-version=v1.1 MHHAMRLRCLLQHPPLWCKCNSLGISTTSGGGCFVRRFSAVGAPRPCDAGRRLCRFYSSKGGVGSAEARGGGAAAAAAGSSGRCSEQEHARLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRIEFLRRVVRWEKGNLTWQNFPYYVNENARQLLRECTVSHLRHKGVTSEYGSRLPSSGGRILLQSSPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGEDYSESEEEDEDGELEDEGSESETEGEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTIEEFAKRVAGEEEGTTSESSETAKSSEEDKRPFQRGDRVKYVGSNAVVEADQRIILGKISTQDGSRNAYTLISGRTLSTGQRGEVYEINGDQVAVIFDPPTEKLHDGDKDETSEENAKPFVYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLQPVIVYFPDSSQWLSRAVPKSSRREFVERVGEMFDQLTGPVVMICGQNMLASVPKDKDKEPPSSLKRLVGGLKGQKDSGSSDITKLFTNSLIVPVPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCVELMHVKSDGVVLTKQKAAKVVGWARSHYLSSTILPSIKGDRLIIPRESLDVAIQRLKEQVLKTKNLSQNLKNLAKDEYERNFISSVVPPEEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDVQNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIASAYRPVQELLEEEKEGRVSSSSTYLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN* >Brasy4G014000.3.p pacid=40085261 transcript=Brasy4G014000.3 locus=Brasy4G014000 ID=Brasy4G014000.3.v1.1 annot-version=v1.1 MHHAMRLRCLLQHPPLWCKCNSLGISTTSGGGCFVRRFSAVGAPRPCDAGRRLCRFYSSKGGVGSAEARGGGAAAAAAGSSGRCSEQEHARLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRIEFLRRVVRWEKGNLTWQNFPYYVNENARQLLRECTVSHLRHKGVTSEYGSRLPSSGGRILLQSSPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGEDYSESEEEDEDGELEDEGSESETEGEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTIEEFAKRVAGEEEGTTSESSETAKSSEEDKRPFQRGDRVKYVGSNAVVEADQRTLSTGQRGEVYEINGDQVAVIFDPPTEKLHDGDKDETSEENAKPFVYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLQPVIVYFPDSSQWLSRAVPKSSRREFVERVGEMFDQLTGPVVMICGQNMLASVPKDKDKEPPTLMFQNLSRLSSLPSSLKRLVGGLKGQKDSGSSDITKLFTNSLIVPVPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCVELMHVKSDGVVLTKQKAAKVVGWARSHYLSSTILPSIKGDRLIIPRESLDVAIQRLKEQVLKTKNLSQNLKNLAKDEYERNFISSVVPPEEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDVQNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIASAYRPVQELLEEEKEGRVSSSSTYLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN* >Brasy4G014000.4.p pacid=40085262 transcript=Brasy4G014000.4 locus=Brasy4G014000 ID=Brasy4G014000.4.v1.1 annot-version=v1.1 MHHAMRLRCLLQHPPLWCKCNSLGISTTSGGGCFVRRFSAVGAPRPCDAGRRLCRFYSSKGGVGSAEARGGGAAAAAAGSSGRCSEQEHARLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRIEFLRRVVRWEKGNLTWQNFPYYVNENARQLLRECTVSHLRHKGVTSEYGSRLPSSGGRILLQSSPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGEDYSESEEEDEDGELEDEGSESETEGEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTIEEFAKRVAGEEEGTTSESSETAKSSEEDKRPFQRGDRVKYVGSNAVVEADQRTLSTGQRGEVYEINGDQVAVIFDPPTEKLHDGDKDETSEENAKPFVYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLQPVIVYFPDSSQWLSRAVPKSSRREFVERVGEMFDQLTGPVVMICGQNMLASVPKDKDKEPPSSLKRLVGGLKGQKDSGSSDITKLFTNSLIVPVPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCVELMHVKSDGVVLTKQKAAKVVGWARSHYLSSTILPSIKGDRLIIPRESLDVAIQRLKEQVLKTKNLSQNLKNLAKDEYERNFISSVVPPEEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDVQNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIASAYRPVQELLEEEKEGRVSSSSTYLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN* >Brasy4G031600.1.p pacid=40085263 transcript=Brasy4G031600.1 locus=Brasy4G031600 ID=Brasy4G031600.1.v1.1 annot-version=v1.1 MKLEAAPKQRIEEAEQQMNEAGIEPCESPQLMMPPLNFAMVHDGVFRSGLPAAANFRFLLSLNLRSIVYLCPEPYPEENARFLGRAGIELHHFGIQGQKEPVVNIPEETIREALKVILDVRNHPLLIHCKRGKHRTGCVVGCLRKLQKWRLSSVFDEYHHFAAAKARKTDRRFMELFDTSSLLHLSASRC* >Brasy4G121200.1.p pacid=40085264 transcript=Brasy4G121200.1 locus=Brasy4G121200 ID=Brasy4G121200.1.v1.1 annot-version=v1.1 MASNSSAAAVAALFGIRDGEQQPLMALTAGPDQATATVPPVKKKRNLPDPDAEVIALSPKTLMATNRFVCEVCSKGFQREQNLQLHRRGHNLPWKLKQKDPNQVQRRRVYLCPEPTCVHHEPARALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHAKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAAAHLYVTSAANMALSLSQQQAHDAHGQYHQASPDLLRFGNGISARLDHLLSCSSSPGGASAFRHQLPSHPQAPSALFHLGSSSARQHDQLFGDGGSNPQGAFLQGKPFHGLMQLPDLQGNGSGAGGTASAPPGLFNLGYIANSSGANSSGTSSHGHASQGHMTNDQISEGAGAGSESSGAVYFNASGGNFSGGDQVVATAGMYNEQQQQQAVMLPQMSATALLQKAAQMGSSTSGPGGGASVFSGFMGSSLQAQQQQGRGPMVDQGQMHLQSLMNSLAGGGGGSGSGMFGGGANGNGRCMIDPRLYEMEHEVKFSQQAGNNGSGGDVTRDFLGVGAGVDMRGMSVARGGNDMGFLETEMKSASSPFNGGRMQ* >Brasy4G121200.2.p pacid=40085265 transcript=Brasy4G121200.2 locus=Brasy4G121200 ID=Brasy4G121200.2.v1.1 annot-version=v1.1 MASNSSAAAVAALFGIRDGEQQPLMALTAGPDQATATVPPVKKKRNLPDPDAEVIALSPKTLMATNRFVCEVCSKGFQREQNLQLHRRGHNLPWKLKQKDPNQVQRRRVYLCPEPTCVHHEPARALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHAKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAAAHLYVTSAANMALSLSQQQAHDAHGQYHQASPDLLRFGNGISARLDHLLSCSSSPGGASAFRHQLPSHPQAPSALFHLGSSSARQHDQLFGDGGSNPQGAFLQGKPFHGLMQLPDLQGNGSGAGGTASAPPGLFNLGYIANSSGANSSGTSSHGHASQGHMTNDQISEGAGAGSESSGAVYFNASGGNFSGGDQVVATAGMYNEQQQQQAVMLPQMSATALLQKAAQMGSSTSGPGGGASVFSGFMGSSLQAQQQQGRGPMVDQGQMHLQSLMNSLAGGGGGSGSGMFGGGANGNGRCMIDPRLYEMEHEVKFSQQAGNNGSGGDVTRDFLGVGAGVDMRGMSVARGGNDMGFLETEMKSASSPFNGGRMQ* >Brasy4G121200.3.p pacid=40085266 transcript=Brasy4G121200.3 locus=Brasy4G121200 ID=Brasy4G121200.3.v1.1 annot-version=v1.1 MFLACVCSAVAHGNCNMRDSFITHRAFCDALAQESARLPPAAAAAHLYVTSAANMALSLSQQQAHDAHGQYHQASPDLLRFGNGISARLDHLLSCSSSPGGASAFRHQLPSHPQAPSALFHLGSSSARQHDQLFGDGGSNPQGAFLQGKPFHGLMQLPDLQGNGSGAGGTASAPPGLFNLGYIANSSGANSSGTSSHGHASQGHMTNDQISEGAGAGSESSGAVYFNASGGNFSGGDQVVATAGMYNEQQQQQAVMLPQMSATALLQKAAQMGSSTSGPGGGASVFSGFMGSSLQAQQQQGRGPMVDQGQMHLQSLMNSLAGGGGGSGSGMFGGGANGNGRCMIDPRLYEMEHEVKFSQQAGNNGSGGDVTRDFLGVGAGVDMRGMSVARGGNDMGFLETEMKSASSPFNGGRMQ* >Brasy4G215400.1.p pacid=40085267 transcript=Brasy4G215400.1 locus=Brasy4G215400 ID=Brasy4G215400.1.v1.1 annot-version=v1.1 MRRLLGWGGGAPLDMSCFSCFDSPADEQLNPKVGGSRYGGSSVAAASYGGGVGGGRQGERSYPELQHPMAAPRIEKLSSGAGHARVKGNVIAREASVPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVSLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPIDKEALDWSSRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNALHASRKPGGDQRSKAGENGRVVSRNDEAGSSGHKSPGKDREDTPNGLPGILNKDLERERMVAEAKRWGDRERMVAEAKMWGDRERMVAEAKVWGENWREKKHADTNGQGSLDSPTRNG* >Brasy4G242500.1.p pacid=40085268 transcript=Brasy4G242500.1 locus=Brasy4G242500 ID=Brasy4G242500.1.v1.1 annot-version=v1.1 MAFLHKAGSLIKRSIGSGLPPLQIVRCMSSSKVFIGGVSYGTDGQSLADAFSQYGQVIEAKIIMDRETGRSRGFGFVTYTSAEEAGAAITGMDGKDLQGRIVRVSYAHDRGSRAGGGFGGGGGYGGIGYDGRGTYGNDRGYNGGGGGYTRGGGGGGYNDGSNFSLGYNTGGNYGVPGGGQGGYGVPQAEQGGYGGNAGGAGGYSAGGGKYSGDYLNQEGGEPAPYGGGNYGAVNMDNATVDAPPVKFNDLLSDLKDDDAGKEDGEGQSFGLVDEDTKRSDGQDGLLEGDLKDGYEADDIANTRN* >Brasy4G242500.2.p pacid=40085269 transcript=Brasy4G242500.2 locus=Brasy4G242500 ID=Brasy4G242500.2.v1.1 annot-version=v1.1 MAFLHKAGSLIKRSIGSGLPPLQIVRCMSSSKVFIGGVSYGTDGQSLADAFSQYGQVIEAKIIMDRETGRSRGFGFVTYTSAEEAGAAITGMDGKDLQGRIVRVSYAHDRGSRAGGGFGGGGGYGGIGYDGRGTYGNDRGYNGGGGGYTRGGGGGGYNDGSNFSLGYNTGGNYGVPGGGQGGYGVPQAEQGGYGGNAGGAGGYSAGGGKYSGDYLNQEGGEPAPYGGGNYGAVNMDNATVDAPPVKFNDLLSDLKDDDAGKEDGEGQSFGLVDEDTKRSDGQDGLLEGDLKDGYEADDIANTRN* >Brasy4G149700.1.p pacid=40085270 transcript=Brasy4G149700.1 locus=Brasy4G149700 ID=Brasy4G149700.1.v1.1 annot-version=v1.1 MEEDTETEVTGDAVSDDGSLGTEEAPSVLITALQSYKEALMNEHEAQVAEIESFLLSIENEKNSLMSQITTLDAELTTEKDRILRISADFDNYRKRTEREKLSLMTNVQGEVVESLLPVLDNFERAKTQIKVETEREAKINDSYQSIYKQLIEILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVIIQEFRKGFKLGERLLRPAMVKVSAGPGPEKSEDDDPTMVEDSVAPQKADDNEDDGFDDVDAE* >Brasy4G088800.1.p pacid=40085271 transcript=Brasy4G088800.1 locus=Brasy4G088800 ID=Brasy4G088800.1.v1.1 annot-version=v1.1 MYALRFLQEEQQLPPTHVAFLGATARARETRPGRSPSPSPSPSSHLPLPCLALPSPQGTHALESSPIEPATPTTWTPSREAAAIPRRRSSHEVSVVRSSSPSPFDSRAMPLSSDSRSPRFWGFVQRLFGRGGWVGGHFSGETKLPLAAARFVLSRIACRGTPLEFAKAGHRFLVLSPSPPGRTAGPGHQIPRPISPNNSPRRSIHGSVFFSSSFFVLMMARGGLEREGLVGFSLGAYLFPRVGFLGCSTTKTKKEEGERTRRPRHLPLSAPLS* >Brasy4G017300.1.p pacid=40085272 transcript=Brasy4G017300.1 locus=Brasy4G017300 ID=Brasy4G017300.1.v1.1 annot-version=v1.1 MMTAGAVIRPGERKEVGIHGTTGGKVNGLVALGAAQKPNSEEQRAAQFEVNPAHQYPITRCHVHRRCSMDMITRVSRAHVEGPYWLQLVIRACYLAWG* >Brasy4G017300.2.p pacid=40085273 transcript=Brasy4G017300.2 locus=Brasy4G017300 ID=Brasy4G017300.2.v1.1 annot-version=v1.1 MMTAGAVIRPGERKEVGIHGTTGGKVNGLVALGAAQKPNSEEQRAAQFEVNPAHQYPITRCHVHRRCSMDMITRVSRAHVEGPYWLQLVIRACYLAWG* >Brasy4G251800.1.p pacid=40085274 transcript=Brasy4G251800.1 locus=Brasy4G251800 ID=Brasy4G251800.1.v1.1 annot-version=v1.1 MAVPASSTGVSRLSVLLLIVLSAAAATTAAAQQKETRLRVYWHDVWSGGANATVAQLVQPPASSPNATGFGSVYVIDDPLTEGPNLTSSRLLGHALGV* >Brasy4G316000.1.p pacid=40085275 transcript=Brasy4G316000.1 locus=Brasy4G316000 ID=Brasy4G316000.1.v1.1 annot-version=v1.1 MAALQTPSPFAGCARRFTPRLRSLLLPATMSLSSSIVSSQNLDPSCGTGEQPLLPHSSLEIAGARSALLAGFDSLRRPYRSFPVLASNCHVETIFAAFTRSRPAVAFRRECLRTPDDGAVALDWVSGDDRALPRDAPVLILLPGLTGGSGDTYVRHMLLRARSKGWRVVVFNSRGCADSPVTTAKFYSASFTGDLRQVVDHVLARYPQCSIYAAGWSLGANILVRYLGEETDKCPLSGAVSMCNPFNLVIADEDFHKGFNNIYDKALARGLRTIFKKHALLFEGLEGEYDIPKAANATTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNISIPLLCIQADNDPIAPSRGIPREDIKANPHCLLVVTPQGGHLGWIAGDEAPFGCPWTDPIVMEFLEHVQNETSSITKNSTSDELQSVPQTSVPHLSVHVQR* >Brasy4G277300.1.p pacid=40085276 transcript=Brasy4G277300.1 locus=Brasy4G277300 ID=Brasy4G277300.1.v1.1 annot-version=v1.1 MGALDWAKVFHQHQGWRLISCIWLHAGLIRLIVNMLAYYSSESTLSSNFGLAGFTFMLHQSKSYALASDVSLARSHGIICLLSGFGGSVQSVLLQKHYIFVGASGALFGLLCSMLSDLMVNWTVYSNKMCGCPGEWRCLLMGKDQQRWPPLVPLPELRTNIRTEHRWLSFLFCLLQNTDISYRELIYACVLEAPTSYLRFT* >Brasy4G127800.1.p pacid=40085277 transcript=Brasy4G127800.1 locus=Brasy4G127800 ID=Brasy4G127800.1.v1.1 annot-version=v1.1 MPAFAERAAEPPLADSYHALLRRAGADGRNKDAHCTTPVHADHVLPAPATVSECELPMIDVGCLTTTAGDGSSAEERAACAAAIAVAAEDWGFFQVVNHGVKQELLEAMRREQTRLFRLPFEAKATAGLLNHSYRWGTPTATSPAQLSWSEAFHVPLSAVSGDPPCNYGQLTTLRDVTQEVAKAMSKLANTLARVLAERLGHAGERFPEGCDERTCFLRLNRYPPCPLSPDAFGLVPHTDSDFLTVLCQDQVGGLQLMKGSRWLAVKPIPNALIVNIGDLFQAWSNNRYRSVEHKVVTNATTERYSVAYFLCPSYDSPIGACEEPSPYRTFTFGEYRRRVQEDVKKTGKKIGLPGFLL* >Brasy4G008600.1.p pacid=40085278 transcript=Brasy4G008600.1 locus=Brasy4G008600 ID=Brasy4G008600.1.v1.1 annot-version=v1.1 MAPPLRRPYASLLLASVLLLSLSFSHAARGLRNQAAAQHRHGDQVDLDTGDEDAAAADKRCGGGGGGGEEEECLMRRTLVAHTDYIYTQGGNHN* >Brasy4G180600.1.p pacid=40085279 transcript=Brasy4G180600.1 locus=Brasy4G180600 ID=Brasy4G180600.1.v1.1 annot-version=v1.1 MARAQVTRLLSLSRRGYAASAGAERASAMAAEGAAGREIFWMRDPKTGNWIPENRFAEVDAAELRARLLSRKGTAPNPAQF* >Brasy4G130300.1.p pacid=40085280 transcript=Brasy4G130300.1 locus=Brasy4G130300 ID=Brasy4G130300.1.v1.1 annot-version=v1.1 MGGSERTIVWFRRDLRIDDNPALAAAARDGSVIPVFIWCPADEGQFYPGRCSRWWLKESLAHLGKSLEALGCPLLLIRAEESSLAALLQCVHSIGATRVVYNNLYDPVSLVRDDNVKNELSVLGISFESFNGDLLYQPWEVYDDNGHAFTTFNLYWEQCLKLPIEVSPSLAPWRLVPVPGIENLQSCLIDDLGLESSKDEESSNALLSRAWSPGWRNAEKTLEEFVCQGLQDYSKHGMKVAGATTSLLSPYLHYGELSVRKIYQLVRMQQIKWENEGKSEAGESINLFLQSVGLREYSRYLCFNFPFTHERSLLGNLKHYPWQVDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYVRTWIPELARMPTEWIHHPWDAPSSILQVAGVELGFNYPKPIVELHTARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSHIKSFDIPKVVLKELSPSALPIDQRVPSSSGRNHELQPKELKGSNQQTVCVDVIKASKTEDTGSIVNSPISRKRYSSRSAFDVPSCSCSVEVRSQNQDTGCSLVESSRYVSHKTERNCADKAEDDDSADSGTSISRPSKKPA* >Brasy4G130300.2.p pacid=40085281 transcript=Brasy4G130300.2 locus=Brasy4G130300 ID=Brasy4G130300.2.v1.1 annot-version=v1.1 MGGSERTIVWFRRDLRIDDNPALAAAARDGSVIPVFIWCPADEGQFYPGRCSRWWLKESLAHLGKSLEALGCPLLLIRAEESSLAALLQCVHSIGATRVVYNNLYDPVSLVRDDNVKNELSVLGISFESFNGDLLYQPWEVYDDNGHAFTTFNLYWEQCLKLPIEVSPSLAPWRLVPVPGIENLQSCLIDDLGLESSKDEESSNALLSRAWSPGWRNAEKTLEEFVCQGLQDYSKHGMKVAGATTSLLSPYLHYGELSVRKIYQLVRMQQIKWENEGKSEAGESINLFLQSVGLREYSRYLCFNFPFTHERSLLGNLKHYPWQVDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYVRTWIPELARMPTEWIHHPWDAPSSILQVAGVELGFNYPKPIVELHTARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSHIKSFDIPKVVLKELSPSALPIDQRVPSSSGRNHELQPKELKGSNQQTVCVDVIKASKTEDTGSIVNSPISRKRYSSRSAFDVPSCSCSVEVRSQNQDTGCSLVESSRYVSHKTERNCADKAEDDDSADSGTSISRPSKKPA* >Brasy4G013000.1.p pacid=40085282 transcript=Brasy4G013000.1 locus=Brasy4G013000 ID=Brasy4G013000.1.v1.1 annot-version=v1.1 MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYTGLQSTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHKTPVHELGLNLWRDHIIHYDMIHDRLLHTLLDLIHRERMGEVINRGLMRSITKMLMDLGPVVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYEDLGRMYTLFRRVPDGLSTIRDMMTSYLRETGKQLVTDPERLKDPVEFVQCLLNEKDKHDKIIHVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEAILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSSKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMRDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCTLPPEILAVCEKFRAYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCILMLFNSADGLTYKDIEQGTEIPAIDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVIIKKRIESLIEREFLERDKADRKLYRYLA* >Brasy4G386200.1.p pacid=40085283 transcript=Brasy4G386200.1 locus=Brasy4G386200 ID=Brasy4G386200.1.v1.1 annot-version=v1.1 MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFLSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGGSSKESTAKGVSKKKAGGGSDEEHATSPTHSRDADFAAIADDDDDDDDVQWATDTSAEAAKKRMQEQLSAATAEMVMLATEETEKKKKQTQQKEASANGNGAAKAKDDSNGNQTGAKATPYDDLVEEIKATLCNAATTAQLKTLLSSSALSPKEVMDALFEALFHGVGKGFAKDVVKNKKFLAAAVPDEDAQMLLLQTIEAFCGKCSAEALKEVPVVLKALYDGDVLEEETIVQWYSKAVAAGKNSQVVKNAKPVVEWLQSAESDEE* >Brasy4G062000.1.p pacid=40085284 transcript=Brasy4G062000.1 locus=Brasy4G062000 ID=Brasy4G062000.1.v1.1 annot-version=v1.1 MEASGGEAVVDGAANIAREGPIVVDKLDGEENGGACAGGLDLGNDQGEESRAAADLQGWVLGALLIQVQERLRKG* >Brasy4G083600.1.p pacid=40085285 transcript=Brasy4G083600.1 locus=Brasy4G083600 ID=Brasy4G083600.1.v1.1 annot-version=v1.1 MKKKPSGGRGCGELSGPSMEYACARRRRHRLLLSYLSHQKGLRPTFQSMVQQTDAYLSPEHLRDLVARGRWGEATDYLGRFNRRRSLESQALFLFLHTLSALDVVAAAAGASGGAVPLDSYHHGITVSAIISSCPELCYLVKDMLSSPRQCASLDWKLVCSNASLIAYDLAHESPDLTRLIKLPGGAQVLPHNVLPICPRRRRQVRRSAGRPPGLTIAKLYLDKRTSLYSSNPCSGANFIDESLDWAARYLGICLRAGRRQELQQGPQVQSFGKQGTTDTIQDVVLRKNPRTELSTVREDPDPKRQRISVKLASVHGPEAEVHRMNLMA* >Brasy4G036300.1.p pacid=40085286 transcript=Brasy4G036300.1 locus=Brasy4G036300 ID=Brasy4G036300.1.v1.1 annot-version=v1.1 MQQKPAEAMEVCARGDDGDAEAPKALGTSLGFWAGTRRRLAPDDPFFAAGDMERELLAKHVALDLSDDDRYQLEKMDVASVSTVCCPIAGCGAHLDCLEDFEDHYSTRHTASCSVCSRVYPTSRLLSIHISEAHDSFFEAKVARGFPMYECLVEGCGVKLKTYKSRQQHLIDKHQFPKSFEFFKKAQPSRRHRQKYHSRQTAYKGEETRDTLMDVDGKSPRQTKWRYRPKQHDHKESIENEHHHKEAKDNDMEVEQKMDELTSAVSKLSTTDSTPSSITFGHRRSRGLTFVPRSIKQNKQVSQPEAK* >Brasy4G009200.1.p pacid=40085287 transcript=Brasy4G009200.1 locus=Brasy4G009200 ID=Brasy4G009200.1.v1.1 annot-version=v1.1 MARRKSCGGAEQRAARSRAGASSGRICGDGARAAARMCRGGGAARRASVRRRGERARVQRRRLGRRGASLACAAATDGQVLLMSCVCLKKCLTGMLLTRMLFSANDVMFFSGSPD* >Brasy4G262500.1.p pacid=40085288 transcript=Brasy4G262500.1 locus=Brasy4G262500 ID=Brasy4G262500.1.v1.1 annot-version=v1.1 MVSPDTIRTAIGVVGNGTALVLFLSPVPTFYRIWKKKSVEQYSAIPYLATLLNCMMWVLYGLPLVHPNSMLVITINGTGMAIELAYVALFLACSAGAARRRVLLILAAEVAFVAAVAALVLALAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVGQVILYAIYYKSTQQILEARKRKTDQVAMTEVVVDAKNGNY* >Brasy4G238600.1.p pacid=40085289 transcript=Brasy4G238600.1 locus=Brasy4G238600 ID=Brasy4G238600.1.v1.1 annot-version=v1.1 MSKQMLKGHEGVQVEIIGRLPTPFGLVRSGMAPDHPETKDFSVRHDCTMGKLKIASFDNHLSEKIILMCFSSSGIKLGWSLGGIIFMTCCLLPSMWSRFQRKEALYGAKGR* >Brasy4G146400.1.p pacid=40085290 transcript=Brasy4G146400.1 locus=Brasy4G146400 ID=Brasy4G146400.1.v1.1 annot-version=v1.1 MAEFRRISVHFGRKPKLCEGDVQARRGAVVAHRRVQHAGVNEAGCRRYGHTSQATVTGAGEDVGYNLIFFLMALKELSGTRRSEREGEGGLSGRVKSEVACQVRPGRLRKTLAATDHVPRALCPCAHDAAASPSPSASSPRPPPRRPHPRALLLAGLLPAPSSPPASSPGPSPRRPPPRALLPAGLLPAPSSPSPSCPPASSPRPPPRRPPARRPPPLPAPSSPSASSPACAPSSPALLLALPPPRALLPICVLLPAPCTFAVAVDPVLLELAAPPLPILLRPLKPEDV* >Brasy4G334700.1.p pacid=40085291 transcript=Brasy4G334700.1 locus=Brasy4G334700 ID=Brasy4G334700.1.v1.1 annot-version=v1.1 MATTASLSTLSSAAAAAGKRFVLSSPSLSFASRRLAAPAHLRAASVPGARRAAAAATASPVVATIAVGDKLPDATLSYFDPADGELKTVTVGELTAGKKAVLFAVPGAFTPTCSQKHLPGFVAAAGDLRAKGVDTVACVSVNDAFVMKAWKESLGLGDDVMLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKVL* >Brasy4G231100.1.p pacid=40085292 transcript=Brasy4G231100.1 locus=Brasy4G231100 ID=Brasy4G231100.1.v1.1 annot-version=v1.1 MAIFGRLISLIRTVSILLYSFEPFDFFECVIDPQKPPKRERPQEPLNNATAVLEGSSASTAAVLPLHRPPLSRYRHHAAVAQEDAAANKASGSRIWETRRPEWGLTFYIRVDRGGSLFHTYPHAGGPFQSFEGADAAIDRYLDELRDPKMCMYQDGVRSLEKMIQKSLYWPDGIRKKRSKSHVTEQVRIRMSRLCQALVDKYNEDHDLLGVALSSYVLPSLSVLLPGLPCILLFYQCNSC* >Brasy4G348100.1.p pacid=40085293 transcript=Brasy4G348100.1 locus=Brasy4G348100 ID=Brasy4G348100.1.v1.1 annot-version=v1.1 MVLKGLKRKRRRKSMRKQTRKRSKLGINLEAASGDEFALKAYSRCNAPYLYEVIQSFSKCESKCVLIREAGFGSILEFDGTSVPRAFVQWIADRTNTSTEEVCFGYDSIKLSAKFVTMILGTPAGKYEIQTTGEDGKISFLGCFGLSELPPIKFFGKKIMTEDLPDDMFLRCFLVVVLSTFLCPNSSTYPSTKYLGALVDIEKIKDMNFSKLTHDWMIHSIKKYQTQKGKQSRVSCTLGGCIYGLAVRCLDQFDFGKVEFSTILPRILVWKGDMIIDFSKMVMQKNSKHGMQQLKPETETCYNIEAISDTSDTKVMDTMLLDLRSRLDCCLGHSVSCEAKQKIAMIFQNYMKSESEHCWEKAKCLTMDVIASLTTSCEISRKQMDDYANANFLASSEHTEIVKDSQDSSNNCPITSACTEKVEPSGNDSQGVESVDDKDGSRRNTPSYVQSKSERNESPIQGGQQLKAHEWGVVNTKKTVHDKASIQQSNTADTNWAGAVPYVQPIIEQTKVTEPPGADAAQHVQVTREQPKATGPIGADDAHYVQ >Brasy4G183200.1.p pacid=40085294 transcript=Brasy4G183200.1 locus=Brasy4G183200 ID=Brasy4G183200.1.v1.1 annot-version=v1.1 MALSMATPMALHYGRISSVANVGSLRPRKAALLGGASASPFLQSSFVSSSSASASRTSLSAAVSASLAFTSASSFAGSSLGIEFSYNRLTNGRSRGLQIRAGKAALCLTKRSRSRKSLARVHGFRRRMRTTAGRKVLKRRRAKGRKRLCTKTNSPTGTKRF* >Brasy4G176200.1.p pacid=40085295 transcript=Brasy4G176200.1 locus=Brasy4G176200 ID=Brasy4G176200.1.v1.1 annot-version=v1.1 MWESESDAGERGLVPVVGGSDRHDALKNDGFVRRDQSWYVNSDITGDLLVKVGDVSFHLHKYPMISRSGKMGRVIYESAATDPAAADNTVADLDDLPGGAESFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAAASGSGRPPRSGGTASPRWNIGGSGDSKESSPSRQPVPPPDWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDAPHGSIGIGIDEPWAQVSAGGSGLHMIIAGAGSGKDDVANSSAAPAREQRMVVESLISIMPPQRDSVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEVQTEVISSGASPGRAGDAHAAPEYYGPGGSGTSRMPPPGPAAAAASSGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAAGSSSASAAMLKAAAPELTTTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQMEPSPGAKMGGGGGGKTQQQQGPSAWSNGWKKLGRLAKMTGNEAAPGQGGAPGEAARKAQRRWRNSIS* >Brasy4G176200.3.p pacid=40085296 transcript=Brasy4G176200.3 locus=Brasy4G176200 ID=Brasy4G176200.3.v1.1 annot-version=v1.1 MWESESDAGERGLVPVVGGSDRHDALKNDGFVRRDQSWYVNSDITGDLLVKVGDVSFHLHKYPMISRSGKMGRVIYESAATDPAAADNTVADLDDLPGGAESFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAAASGSGRPPRSGGTASPRWNIGGSGDSKESSPSRQPVPPPDWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDAPHGSIGIGIDEPWAQVSAGGSGLHMIIAGAGSGKDDVANSSAAPAREQRMVVESLISIMPPQRDSVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEVQTEVISSGASPGRAGDAHAAPEYYGPGGSGTSRMPPPGPAAAAASSGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAAGSSSASAAMLKAAAPELTTTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQMEPSPGAKMGGGGGGKTQQQQGPSAWSNGWKKLGRLAKMTGNEAAPGQGGAPGEAARKAQRRWRNSIS* >Brasy4G176200.4.p pacid=40085297 transcript=Brasy4G176200.4 locus=Brasy4G176200 ID=Brasy4G176200.4.v1.1 annot-version=v1.1 MWESESDAGERGLVPVVGGSDRHDALKNDGFVRRDQSWYVNSDITGDLLVKVGDVSFHLHKYPMISRSGKMGRVIYESAATDPAAADNTVADLDDLPGGAESFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAAASGSGRPPRSGGTASPRWNIGGSGDSKESSPSRQPVPPPDWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDAPHGSIGIGIDEPWAQVSAGGSGLHMIIAGAGSGKDDVANSSAAPAREQRMVVESLISIMPPQRDSVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEVQTEVISSGASPGRAGDAHAAPEYYGPGGSGTSRMPPPGPAAAAASSGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAAGSSSASAAMLKAAAPELTTTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQMEPSPGAKMGGGGGGKTQQQQGPSAWSNGWKKLGRLAKMTGNEAAPGQGGAPGEAARKAQRRWRNSIS* >Brasy4G176200.2.p pacid=40085298 transcript=Brasy4G176200.2 locus=Brasy4G176200 ID=Brasy4G176200.2.v1.1 annot-version=v1.1 MWESESDAGERGLVPVVGGSDRHDALKNDGFVRRDQSWYVNSDITGDLLVKVGDVSFHLHKYPMISRSGKMGRVIYESAATDPAAADNTVADLDDLPGGAESFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAAASGSGRPPRSGGTASPRWNIGGSGDSKESSPSRQPVPPPDWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDAPHGSIGIGIDEPWAQVSAGGSGLHMIIAGAGSGKDDVANSSAAPAREQRMVVESLISIMPPQRDSVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEVQTEVISSGASPGRAGDAHAAPEYYGPGGSGTSRMPPPGPAAAAASSGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAAAGSSSASAAMLKAAAPELTTTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQMEPSPGAKMGGGGGGKTQQQQGPSAWSNGWKKLGRLAKMTGNEAAPGQGGAPGEAARKAQRRWRNSIS* >Brasy4G406300.1.p pacid=40085299 transcript=Brasy4G406300.1 locus=Brasy4G406300 ID=Brasy4G406300.1.v1.1 annot-version=v1.1 MEDLNARPSKRMRRSQPAAWAAGGWSDLASEMCGVILSRVPSLADRARFRCVCRQWRHAAKQQWRLLPPPLPWLFPTASDDDRRRRRFVSLPDGATHHIVVPPINLDPNGPCEAFGEWLHFPNAETGTHMLLNPLVPSGSGGATIELPPMKLRKLVVCPGGDLVAAVASVRGPPYGVAFCRRQPSGSWSWSGVLRGPPRAAGRLLDIALHGNELYALYGRSTLYAYGLDDCEPRCVVADPRPVTEAEERFMVFVQYDTRYFLVPGAGDGGKKLLLVRSEGESFSVFEAVADGGAGRWSEVAGLDDGEALFVSANCSRALPVASLYGGAGNCVFFVWESPRPRHTWSVDRSGRSFRVYDMSTKTIRDAVASPLKSHGCHTTGSWLFPSLLS* >Brasy4G196300.1.p pacid=40085300 transcript=Brasy4G196300.1 locus=Brasy4G196300 ID=Brasy4G196300.1.v1.1 annot-version=v1.1 MRRLDHPLPLPLPCVGEPTAASRVSPGSSPARSDASEGAAAFYAADAEPDPEPAASVGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTDHRPASPSPICSPASSKGGDGCRDGGERRDGGDDDASSFVTSREEEEEQERLPTRGDFAKLCATPRNIRLQTPRHPSLLDRRVEGSNRGPPRFVHKATPARLMRRARSSHNYHGRRLGAIDAVNEWRLPKVSEEEDEAVDQNDWQADTVSSRISSARDWNFEPDGVYEENNQGGRAFGNSDGEDCPVAVQRMERRLRGSAVKPKENFVQAKLVAWKDAQITKLIDKLKRKEANIDDWQKSKITRARNELTKTEMKLEKKRDEAVQKMQKAIKQAQKKADNKKIKEQAATANQIAGVERALVKMSRTGKLPWSLAFL* >Brasy4G167800.1.p pacid=40085301 transcript=Brasy4G167800.1 locus=Brasy4G167800 ID=Brasy4G167800.1.v1.1 annot-version=v1.1 MGRPASVLGGMGRKRRWRARWGGRRRCWAGCGGSGGGGRDGEAGVGAGRDGEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAGWGGSGGGGLDGEAGGGAGRYGEPHLGRGGGDPAVVGACCPRRPRLGRGAALAAVRGRPAAVVGGRGGRGRLQPAPARTEERRGGRGRAGRTERRGGEVGGENEIAER* >Brasy4G185200.1.p pacid=40085302 transcript=Brasy4G185200.1 locus=Brasy4G185200 ID=Brasy4G185200.1.v1.1 annot-version=v1.1 MQRPGRGLQRSGSKRVLDSTGGGDDDDHAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIEGRSSPKRIEGPDGKNLQLQFRSRVSLPLFTGGKVEGEQGAAIHVVLLDTNTGCVVTSGPESFAKLDVLVLEGDFNKEEDEDWTEEEFESHIVKEREGKRPLLHGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRMSSGFCEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKVGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCGLSGKHYIYYANDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADTLVKKAYEDWMHAVEYDGKALLSFKQKKKTVTTRSDTAAASTSNLASYGSVNAQKQILPGKAGQTSVGTMSEADGARSTYNGNQTARYTTSPQSIPANITMQYERSALLPESQLNGSSLQTQSSRDSNMLALGPPQQQQQGFEFQALGQSMQPAGLNPFDQWSQPQENRGVDDYLMEEIRLRSHEILENDEMQQMLRILNMGGVPTNLPEDGFYNMQSPLPSFNFEDDRTRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD* >Brasy4G309600.1.p pacid=40085303 transcript=Brasy4G309600.1 locus=Brasy4G309600 ID=Brasy4G309600.1.v1.1 annot-version=v1.1 MMGSRGTGAVLSRAVRMRQKLQSALEASTLDIEDVSYQHAGHAAVKDNANETHFNIKVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS* >Brasy4G076700.1.p pacid=40085304 transcript=Brasy4G076700.1 locus=Brasy4G076700 ID=Brasy4G076700.1.v1.1 annot-version=v1.1 MAPKAEKKPAAKKPVEEEPAAEKAEKAPAGKKPKAEKRLPAGKTAAKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS* >Brasy4G033800.1.p pacid=40085305 transcript=Brasy4G033800.1 locus=Brasy4G033800 ID=Brasy4G033800.1.v1.1 annot-version=v1.1 MSIRPQGQPPVPYPDMDLALAPPAAPSPSSPSDELRRVLSMVIRGRRSSQRQPSPVLDLDLTLAPPAAPFPSSPPQGNAVAGELQVFGAAGGGAAAARQLPNILEIDVGGGKLKAQKMMRQIKRARGQERKRCCTPGEQWTCPPCREDQVAPPPAGARGRVPVHPLKRAQMAMPPADAGGLLPLRPPSRDTPSECRQARRRSGCRRRGARSRVGGER* >Brasy4G030400.1.p pacid=40085306 transcript=Brasy4G030400.1 locus=Brasy4G030400 ID=Brasy4G030400.1.v1.1 annot-version=v1.1 MDDGAHAAAGDSAEASSLASSCGSASQRSSRPHKGVRLRLPLRRRRPLAVRGGGGGDGGGSGSGSKGAGDGVQEELALPLGMSFAAVLAQVLNKSAVSGGRLQPDFLSKMCTSAVKASLTNIYGDRFDSFIGNFEKSFGSTLKTLHRINEAPVYEQDIPRSSHSDGNLVADTKLSGADSQGLIHEVQQNTLLNSTNKEIVLHAGINQQLVQLPRSRSGPESDQDILNVFERSLNEQVRSNELKELEIGLSMRKLQLKQSQLALGSYSHMLDKIKISMGFQKAAFKVEKFRTQMEDTRHAELLKRLIDMLLTAVVFMSVCFGYGTYIYSYQRITAVTAACAASSRESKSWWMPNSVSAFNSGLLFFRCHVIAATRISFGVLIILLIAWLIFQRSAMTGPNMPISFNLVLLGVICGFVGRFCVDTLGGDGNVWLVFWEILCAIHLLGNSYPSLLHRGLYGPISVTHKPKAVGLPYWVRRYILYAVLSFILPCLAGLLPFASLSDWREHAVELIKSRFTASDIET* >Brasy4G284900.1.p pacid=40085307 transcript=Brasy4G284900.1 locus=Brasy4G284900 ID=Brasy4G284900.1.v1.1 annot-version=v1.1 MARLPLAAGAGAVGVVVVVVAAWLLAAGGAAAGDPPLSPKGLNYEVAALMAVKNRMRDEKGVMAGWDINSVDPCTWSMVACSPEGFVVSLQMANNGLSGALSPSIGNLSYLQTMLLQNNRVSGGIPPEIGKLANLKALDISGNQFVGEIPSSLGQLTQLNYLRLDKNNLSGQIPTDVAKLPGLTFLDISYNNLSGPVPKIYAHDYSLVGNKFLCNSSSLHGCTDLKGVTNDTTSRPSNKTKNHHKLALAISVSVTCATILALFFACWLNYCRWRLPFASSDQDLDIEMGHLKHFSFHDLQNATDNFNSKNILGQGGFGVVYKGCFRNGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHRGKPSLDWSKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDRQDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDVAELECSVDVILQCTLTNPILRPKMSEVLHALESNVALAENGVDMHREALPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR* >Brasy4G284900.2.p pacid=40085308 transcript=Brasy4G284900.2 locus=Brasy4G284900 ID=Brasy4G284900.2.v1.1 annot-version=v1.1 MARLPLAAGAGAVGVVVVVVAAWLLAAGGAAAGDPPLSPKGLNYEVAALMAVKNRMRDEKGVMAGWDINSVDPCTWSMVACSPEGFVVSLQMANNGLSGALSPSIGNLSYLQTMRLDKNNLSGQIPTDVAKLPGLTFLDISYNNLSGPVPKIYAHDYSLVGNKFLCNSSSLHGCTDLKGVTNDTTSRPSNKTKNHHKLALAISVSVTCATILALFFACWLNYCRWRLPFASSDQDLDIEMGHLKHFSFHDLQNATDNFNSKNILGQGGFGVVYKGCFRNGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHRGKPSLDWSKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDRQDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDVAELECSVDVILQCTLTNPILRPKMSEVLHALESNVALAENGVDMHREALPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR* >Brasy4G009300.1.p pacid=40085309 transcript=Brasy4G009300.1 locus=Brasy4G009300 ID=Brasy4G009300.1.v1.1 annot-version=v1.1 MPGRSPAATSRRRSRSRGWSSSPKGSSRRRPQSPGLSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFKATVDSFIPSRGRGYKPRYTVTYSDLLSDDSGTALVESFVPSRIRPRPPPPSTPEPLRLHDIVEAFHNEGWWSGIVLATEPLTVAFPITREVITFQEPHHVRPRRDYVDGQWVPSQAAISVQPKRAVRVYTHNEKVEVARYRPVYGPSWFPAKVEKVIDGLSYLVKYLEGEETEYLHCRFIRPAVEHSVRESEFRLGPGAPVEAYCDGAWSPGVVLRHVITDGEYEVCIHGKEEKMVPELLKPQYDWNGTQWKIVSAKRQGNRQQSLCGKRPSSPVELASNDDEHIQSWATKRSRKEPENVLGFCHASSEHPTSQHESRADVQIQLKGNSDISCKEIICALSASACDIPSPLDKQIRVSNAASRGAGSSSNPKVFSSKKSAGKQSFIATSTARKKGTKKVAQRLKESLMEEGTNQELLPIEPPPGFKSICNEQGFLDGEHVDEQPIHIGSSECTMNNTPLRSCSVVGSSLCSTLPSSHISGQQCSFMKSSDVLHLAEKTMEVFRKVPQRPHFRPLEKHAPELREGIAIGLKMGYAKLVDSVNNSSIEDSIASFEGKIRALTTLEENGFEVKYLFHIVNKLLEAKLDYGKHVGHLHKLKELVPRKESTVSQKDALLNEKKRTEFQLEQKLESIRREAEQIARERENEDAELLKLKEEVNATQEACIYGEHRFHSTLAEHRSRLQLSD* >Brasy4G009300.2.p pacid=40085310 transcript=Brasy4G009300.2 locus=Brasy4G009300 ID=Brasy4G009300.2.v1.1 annot-version=v1.1 MPGRSPAATSRRRSRSRGWSSSPKGSSRRRPQSPGLSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFKATVDSFIPSRGRGYKPRYTVTYSDLLSDDSGTALVESFVPSRIRPRPPPPSTPEPLRLHDIVEAFHNEGWWSGIVLATEPLTVAFPITREVITFQEPHHVRPRRDYVDGQWVPSQAAISVQPKRAVRVYTHNEKVEVARYRPVYGPSWFPAKVEKVIDGLSYLVKYLEGEETEYLHCRFIRPAVEHSVRESEFRLGPGAPVEAYCDGAWSPGVVLRHVITDGEYEVCIHGKEEKMVPELLKPQYDWNGTQWKIVSAKRQGNRQQSLCGKRPSSPVELASNDDEHIQSWATKRSRKEPENVLGFCHASSEHPTSQHESRADVQIQLKGNSDISCKEIICALSASACDIPSPLDKQIRVSNAASRGAGSSSNPKVFSSKKSAGKQSFIATSTARKKGTKKVAQRLKESLMEEGTNQELLPIEPPPGFKSICNEQGFLDGEHVDEQPIHIGSSECTMNNTPLRSCSVVAEKTMEVFRKVPQRPHFRPLEKHAPELREGIAIGLKMGYAKLVDSVNNSSIEDSIASFEGKIRALTTLEENGFEVKYLFHIVNKLLEAKLDYGKHVGHLHKLKELVPRKESTVSQKDALLNEKKRTEFQLEQKLESIRREAEQIARERENEDAELLKLKEEVNATQEACIYGEHRFHSTLAEHRSRLQLSD* >Brasy4G297700.1.p pacid=40085311 transcript=Brasy4G297700.1 locus=Brasy4G297700 ID=Brasy4G297700.1.v1.1 annot-version=v1.1 MSHKEFEETQRSLLFDNFTEAESRSMSCSQARGSHSTSSNASRSSGSRELVHMGSVGAKTTGRDVKQSYGSDSTLKEVPYAASSSSDECQSIDEVEKLRKELRETLVMYDKACEDLVHAKKKIQVLSTECSEDERKVEQALHREETLKLKAADEKAKHLEAIKEVEQAKWSFTREAYSKHKAEMVGGMMSLDKEKIVDAILSNTRSCRRYSKHEIELATDNFSEARKIGEGGYGNVYRCTLDHIEVAVKVIQQDSTDKTDEFLREVEILSKLHHPNLVLLIGFCPEMGCLVYEYMENGSLEDQLLNNKKRQPLHWFLRFRIIFEVSCGLAFLHGRKPEPIVHRDLKPANILLDKNYVGKIGDAGFAKFISDLVPDCQTEYTDTIVAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQLLTGKRPHGLIASVENAVKKGLIFHVLDMSQTDWPLAEAEMLAKLGLQCTALKCRDRPDLDSEVLPKLEEILRRISCKADMRNPKPCAPAHFVCPMTKEVMDDPYVVADGHTYEHHAIEAWLRKYRTSPLTRRKLPNLSIIPNHSLRAAIEQWKKSSRTEAWNGR* >Brasy4G030700.1.p pacid=40085312 transcript=Brasy4G030700.1 locus=Brasy4G030700 ID=Brasy4G030700.1.v1.1 annot-version=v1.1 MSSSSKRKRAAIDLERSGDDDGDHRRAEDDGGGGSAAEKEGEVKQGEEEHAETKKVVVGVVVDRAGDGSKEEIEFSTQLQQGEVLMEEDRKKSPSPDADEESDGAGAVAEDKHMVEAARPGDGDGTGEEEDSRVIAMAQADELSTMQEEMEKMKEENKMLRRVVDRTVRDYYELQTKLAAYQKLPADDTPKETDVFLSLGATAGQGPEQQQQAARRPLAGNDETAADDGCRPGQDNLGLSLSLGASSSYEDQEKTGLEAAAHGMAMSIVEDDEDGGNKAGYALLESSKMGAAAAGPPDQVAGGQQQQGQGVNAANRKARVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCQEDMSILITTYEGTHNHPLPIGPTAMAASSTASSNDTFMLLSSNNNSSSSVSVAGPPPSSYLSPYLLNSGSHSQYPGAMAGGGPQHLNLFGNPSSHLKYPAWPPSYPSSNAGTAGGKRPFWSAGAGDDRPTTAADNAGGGTVAADPSRFSAAIAAAIKNYAGKDDGGGQVMGGKEGESSSSKWGVVESLPPP* >Brasy4G432800.1.p pacid=40085313 transcript=Brasy4G432800.1 locus=Brasy4G432800 ID=Brasy4G432800.1.v1.1 annot-version=v1.1 MLRLRSCILTRLLSSSPSASPGCSLHRLLSSAAPAVSPNPSFAVEDYLVDTCGLTRAQALKASKKLFRVKSPTKPDAVRAFLSGGLGLSSADIAAVVAKDPQLLCAKVDKTLRSNVAELTSLGLSRSEIASLVRFAGCHFRSRSIVSKLQYYLPFFGSFEKLQKVIHHGIHLIGADPEKVAKPNVAFLRECGLGTSDIVNICTRVPRMLSTNPERVRAMAAWAEGLGVPRGSRMFRHALTVVAFLSKEKIACKMEYLKTTFRWTDTEAGIALSKTPYVLMGSKDMLRRRSEFLISEVGLEPAYIARRPVILIYSLEGRLRPRYYVLKFLKENALLGPNRDYYSAVAISEKVFPEKYIWPHKEAAPFLAEDYAAACRGEVPTRFRFA* >Brasy4G400100.1.p pacid=40085314 transcript=Brasy4G400100.1 locus=Brasy4G400100 ID=Brasy4G400100.1.v1.1 annot-version=v1.1 MEFLTASFAPSAMNAGTGYKFICQNKSVREKQWIPGRVLCCFAASTNPGQCFKFTAVAYPMSPVPGRRSRWRSFAASLNLEDGPAPSNSTPSSSEQTSERLTSDELKSLLADSERSKLLRKLSEANQYNRFLKRQSQVKDDAVVKFRSKLAVLELELQTLVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHDKVMLQIKDVESLRPREIAVYWVGMAENVQIMGSFDGWSYGESMSREYSGDYARFSATLRLRPGSYEIKFLVDGEWKLSSEYPIAGEGLTQNNKLVVE* >Brasy4G400100.2.p pacid=40085315 transcript=Brasy4G400100.2 locus=Brasy4G400100 ID=Brasy4G400100.2.v1.1 annot-version=v1.1 MEFLTASFAPSAMNAGTGYKFICQNKSVREKQWIPGRVLCCFAASTNPGQCFKFTAVAYPMSPVPGRRSRWRSFAASLNLEDGPAPSNSTPSSSEQTSERLTSDELKSLLADSERSKLLRKLSEANQYNRFLKRQSQVKDDAVVKFRSKLAVLELELQTLVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHDKVMLQIKDVESLRPREIAVYWVGMAENVQIMGSFDGWSYGESMSREYSGDYARFSATLRLRPGSYEIKFLVDGEWKLSSEYPIAGEGLTQNNKLVVE* >Brasy4G400100.5.p pacid=40085316 transcript=Brasy4G400100.5 locus=Brasy4G400100 ID=Brasy4G400100.5.v1.1 annot-version=v1.1 MEFLTASFAPSAMNAGTGYKFICQNKSVREKQWIPGRVLCCFAASTNPGQCFKFTAVAYPMSPVPGRRSRWRSFAASLNLEDGPAPSNSTPSSSEQTSERLTSDELKSLLADSERSKLLRKLSEANQYNRFLKRQSQVKDDAVVKFRSKLAVLELELQTLVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHDKVMLQIKDVESLRPREIAVYWVGMAENVQIMGSFDGWSYGESMSREYSGDYARFSATLRLRPGSYEIKFLVDGEWKLSSEYPIAGEGLTQNNKLVVE* >Brasy4G400100.3.p pacid=40085317 transcript=Brasy4G400100.3 locus=Brasy4G400100 ID=Brasy4G400100.3.v1.1 annot-version=v1.1 MEFLTASFAPSAMNAGTGYKFICQNKSVREKQWIPGRVLCCFAASTNPGQCFKFTAVAYPMSPVPGRRSRWRSFAASLNLEDGPAPSNSTPSSSEQTSERLTSDELKSLLADSERSKLLRKLSEANQYNRFLKRQTLVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHDKVMLQIKDVESLRPREIAVYWVGMAENVQIMGSFDGWSYGESMSREYSGDYARFSATLRLRPGSYEIKFLVDGEWKLSSEYPIAGEGLTQNNKLVVE* >Brasy4G400100.4.p pacid=40085318 transcript=Brasy4G400100.4 locus=Brasy4G400100 ID=Brasy4G400100.4.v1.1 annot-version=v1.1 MEFLTASFAPSAMNAGTGYKFICQNKSVREKQWIPGRVLCCFAASTNPGQCFKFTAVAYPMSPVPGRRSRWRSFAASLNLEDGPAPSNSTPSSSEQTSERLTSDELKSLLADSERSKLLRKLSEANQYNRFLKRQTLVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHDKVMLQIKDVESLRPREIAVYWVGMAENVQIMGSFDGWSYGESMSREYSGDYARFSATLRLRPGSYEIKFLVDGEWKLSSEYPIAGEGLTQNNKLVVE* >Brasy4G096800.1.p pacid=40085319 transcript=Brasy4G096800.1 locus=Brasy4G096800 ID=Brasy4G096800.1.v1.1 annot-version=v1.1 MRAVAEALGADKALGWDSPDPCSPKPWPGVSCSSDGRVTAVQVGAKSLTGKLAPEVRNLTELMRLEVFSNKLFGPLPSLAGLSSLQVLLLHGNNFASIPADFFKGLTALTAVSLDDNPLAPWTLPADLAACTSLTNFSANSVNVTGTLPEFLGSLPSLRQLSLAMNLLSGPVPPALAGAPLEVLWLNSQRGSPKFTGSISFVANMTKAQQLWLHSNDFTGPLPDFSGLSSLYVLNLRDNQLTGPVPESLVNLKSLKNVTLGNNLLQGLTPVFGTGFADMGSLNSFCLPDPGKPCDPRVNLLLEVAAGFMYPAKLAQGWKGNDPCSNYIGVECNNGNITSLNFANKGLTGSISPSIGKIATLEKLILSNNNITGIVPKELAALPALKSVDLSNNNLYGDVPAFRKNVMLITTGNPNIGKDAPVPSGPGGSSNSSASGDGSGGGNRGSSKSSVSVIVGSVFGAIAGLGLIAALGFYCHKRKQKPFGRVQSPHAMVIHPRHSGSDPDMVKITVARGNANGGAAASEASQASSGPRDIHVVEAGNMVISIQVLRNVTNNFSQDNILGRGGFGTVYKGELHDGTKIAVKRMESGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGPVSQHLFEWKEHNLQPLEWKRRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSIETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELVTGRRALDETQPEDSMHLVTWFRRMQLNQDTFRKAIDMTIDLDEETFASVSTVAQLAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFADSFTSADGR* >Brasy4G355900.1.p pacid=40085320 transcript=Brasy4G355900.1 locus=Brasy4G355900 ID=Brasy4G355900.1.v1.1 annot-version=v1.1 MAAPPRSLDYWRGFFNGAQASILDAIDAAIRVAAADHPDRLRARRAAIAEHLYTVLSPSEEAVSPAPAFAEAPPLQEQHGSSDGPAVCTHHESDDPVVAEAVRVKAALSSNQQKSEDELLDLLRRLQLLQFTVDTVRVTEIIKAVQPLRKHASKQIRQLAGSLIEGWQATVSEWMNNEAAIIDHTPQSMDASCLEQEEGGLPSPPMDEAALFATPFTYRELSEFFDEMDDDGNTIIDAKEDGQQYPINEDSVKEQPSMVQQYDPVQNWRLDPSAVRQSRLLELSGWQTRHQSMTEAQGKPSNAVRPSRLHSEPIGSKISPQQLQDISVVHSQRRPKPTMPNQPSSQRDQNSVKAKLDIAKKAKPVATKRKLQQDHQEFNSAKKQRTIQMLDLQDLPKQVNRRSKLDGKSRNNISSNNQNRRGMRH* >Brasy4G197900.1.p pacid=40085321 transcript=Brasy4G197900.1 locus=Brasy4G197900 ID=Brasy4G197900.1.v1.1 annot-version=v1.1 MPEKPPPLRRRPMAAGSWVRSFQCRSTAAEDVATTVAALLPKKSHLLLRSSCASSGDIHHRNNVASCKPKLKPSPKPSPETSKKKPKGNNKPASSSVLPSPSPPPPGPLGPLPALTELPAGHSSRQVVEIIFLSSWSPLPPAPPVAFTGEVEMLFRVHNQARAVARFEDYRAAVRARAGAWAGDARSAADGNEMMRFSPAPAHGCSSSEGAAALRVVRTFDGSGGAHASGRGSGPESSGRRAMFLCRVIAGRVAEGEGQSGKDEYDSVRSGKGELVVFDRRAVLPCFLIIYKL* >Brasy4G170300.1.p pacid=40085322 transcript=Brasy4G170300.1 locus=Brasy4G170300 ID=Brasy4G170300.1.v1.1 annot-version=v1.1 MSTSSSSSSSGESGNPSPPQAPPPGAASGAAAARPKRRCHDVFWLVVFLLHLLVFGGALALAGLNRFRIADRFNIERYTNQTAAPRSRSAGAPEPAPPGPQVKFSPEKAPASELTETYWKYYGVAGATGTVLAWAWLAAAAGRRDGGKVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVALAVGAALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAMHIPNGGQWWLVLVLSVSLFWTGAILSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCVDFFFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALIAYDCSGAVLLMSTILGGLITGTCTGIWTYFTQSEKAIMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQMSEALHQRLQYRSSRARQIPNGRLDHLPSI* >Brasy4G170300.2.p pacid=40085323 transcript=Brasy4G170300.2 locus=Brasy4G170300 ID=Brasy4G170300.2.v1.1 annot-version=v1.1 MSTSSSSSSSGESGNPSPPQAPPPGAASGAAAARPKRRCHDVFWLVVFLLHLLVFGGALALAGLNRFRIADRFNIERYTNQTAAPRSRSAGAPEPAPPGPQVKFSPEKAPASELTETYWKYYGVAGATGTVLAWAWLAAAAGRRDGGKVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVALAVGAALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAMHIPNGGQWWLVLVLSVSLFWTGAILSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCVDFFFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALIAYDCSGAVLLMSTILGGTNCCGC* >Brasy4G170300.3.p pacid=40085324 transcript=Brasy4G170300.3 locus=Brasy4G170300 ID=Brasy4G170300.3.v1.1 annot-version=v1.1 MLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAMHIPNGGQWWLVLVLSVSLFWTGAILSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCVDFFFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALIAYDCSGAVLLMSTILGGLITGTCTGIWTYFTQSEKAIMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQMSEALHQRLQYRSSRARQIPNGRLDHLPSI* >Brasy4G170300.4.p pacid=40085325 transcript=Brasy4G170300.4 locus=Brasy4G170300 ID=Brasy4G170300.4.v1.1 annot-version=v1.1 MLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAMHIPNGGQWWLVLVLSVSLFWTGAILSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCVDFFFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALIAYDCSGAVLLMSTILGGLITGTCTGIWTYFTQSEKAIMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQMSEALHQRLQYRSSRARQIPNGRLDHLPSI* >Brasy4G427300.1.p pacid=40085326 transcript=Brasy4G427300.1 locus=Brasy4G427300 ID=Brasy4G427300.1.v1.1 annot-version=v1.1 MGQVFFHVCTGREPYLQLGPAQQRPRQSPACSVLARPHPSASLPPFRPPLSVAPEKRERASRAAMSHRRRSHAAAVAAPPPPEGDGGGGSSPGKAGRIRAWPERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRGYLHPLIFAALYKFLAFLHLDTPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNEHVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFVAIESSKGISVISKQQATSYQSPQSRKVALLIAALACAVRPTSAITWLYVGLLDFIQIKSKCQFLFLEVIPLGAIVIAVTTFLDWWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFSVFGVIKSREWRLSGLIAWVLGVYSMLGHKEFRFVLPVLPLALMFSGYCLAAMSQFKGKTLHVKRHFSRLQLSVIFLIITNVPMALYMSLLHQRGTEDVMFYLSKEAHDERVKGVLFLMPCHSTPYYSTLHSSLPMRFLDCTPSDNKGILDESDRFLMNPFDFVGEVFGNLSSFSHIVLFESEERHVLELLLRNSFQEVRRFFHSHFKIDRDLQSSVAVYSRMDVL* >Brasy4G427300.3.p pacid=40085327 transcript=Brasy4G427300.3 locus=Brasy4G427300 ID=Brasy4G427300.3.v1.1 annot-version=v1.1 MGQVFFHVCTGREPYLQLGPAQQRPRQSPACSVLARPHPSASLPPFRPPLSVAPEKRERASRAAMSHRRRSHAAAVAAPPPPEGDGGGGSSPGKAGRIRAWPERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRGYLHPLIFAALYKFLAFLHLDTPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNEHVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFVAIESSKGISVISKQQATSYQSPQSRKVALLIAALACAVRPTSAITWLYVGLLDFIQIKSKCQFLFLEVIPLGAIVIAVTTFLDWWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFSVFGVIKSREWRLSGLIAWVLGVYSMLGHKEFRFVLPVLPLALMFSGYCLAAMSQFKERNRRCYVLSVKRSP* >Brasy4G427300.2.p pacid=40085328 transcript=Brasy4G427300.2 locus=Brasy4G427300 ID=Brasy4G427300.2.v1.1 annot-version=v1.1 MGQVFFHVCTGREPYLQLGPAQQRPRQSPACSVLARPHPSASLPPFRPPLSVAPEKRERASRAAMSHRRRSHAAAVAAPPPPEGDGGGGSSPGKAGRIRAWPERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRGYLHPLIFAALYKFLAFLHLDTPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNEHVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFVAIESSKGISVISKQQATSYQSPQSRKVALLIAALACAVRPTSAITWLYVGLLDFIQIKSKCQFLFLEVIPLGAIVIAVTTFLDWWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFSVFGVIKSREWRLSGLIAWVLGVYSMLGHKEFREEPKMLCFICQKKPMMKE* >Brasy4G056400.1.p pacid=40085329 transcript=Brasy4G056400.1 locus=Brasy4G056400 ID=Brasy4G056400.1.v1.1 annot-version=v1.1 MAKLPAILHTPRPSSLRPAPVAAGRTSGDAPALLAPTSIPRADLSSCSSKAAAAVVCTNRSSVGSSSSSSINRDLASVAKAAAKRLAYDDGFASPAAASTPPPPEFAPEDLAPLLDLPDPDDAASSSSVVSASPAHAMAASADSTVTEVVAAADSEQAPPFPLTEMELVIAELRGARGLTPRRKRLVAALVEAAAAELDPNPTAAALRLRRAAFWRKLRVGLLATTVFTVAAMDVALAVALFASRGGSSGNYHYHGLPPT* >Brasy4G241900.1.p pacid=40085330 transcript=Brasy4G241900.1 locus=Brasy4G241900 ID=Brasy4G241900.1.v1.1 annot-version=v1.1 MEGEDESSAAEAALGLSPQIFVNEVLNIIADLRYGCFKEYCLQEGTVDAVGAATATHKADELQQGVQYMHDLVQDVLDKRMGNWEKYCLRHCLTVPEEFVAPEDDNSSAKVSHKDDMELDAELTILRTKLENANKESEELQRELSSLERQTTYKTNLKSSIAEVLKLFEDKSVQDNIQALVNALPKLHQKMKVMKRKKVEVESMVGLSFLDVNGIRNQKRLALCSNASTEDIQEVIRLWTSKEPVPCHGTTKAGVTRNLRSRNNDGGGSTMLHYSRA* >Brasy4G032100.1.p pacid=40085331 transcript=Brasy4G032100.1 locus=Brasy4G032100 ID=Brasy4G032100.1.v1.1 annot-version=v1.1 MARLPPPQILGKKKAIDELIRKAVAVKDHLAQFPDFHKYEKSGISVYLESGHGNQLSLPTRKYIQNLLKVNMEGPYGSEWPSEEKIKYREMVAPDARYILVWQYSNDYIAKNSMKQDVGAARNGGRLLGFVHYRFVVEEDVPVVYVYELQLDPSFHGKGLGKFLMQLIELIACKSQMGAVVLTVQKSNTAAMAFYNNLRYVISSTSPSRVDPLIGISKSYEILCKTFESEA* >Brasy4G032100.2.p pacid=40085332 transcript=Brasy4G032100.2 locus=Brasy4G032100 ID=Brasy4G032100.2.v1.1 annot-version=v1.1 MARLPPPQILGKKKAIDELIRKAVAVKDHLAQFPDFHKYEKSGISVYLESGHGNQLSLPTRKYIQNLLKVNMEGPYGSEWPSEEKIKYREMVAPDARYILVWQYSNDYIAKNSMKQDVGAARNGGRLLGFVHYRFVVEEDVPVVYVYELQLDPSFHGKGLGKFLMQLIELIACKSQMGAVVLTVQKSNTAAMAFYNNLRYVISSTSPSRVDPLIGISKSYEILCKTFESEA* >Brasy4G334200.1.p pacid=40085333 transcript=Brasy4G334200.1 locus=Brasy4G334200 ID=Brasy4G334200.1.v1.1 annot-version=v1.1 MKIRRSSYHEVVRVAELETVVDISLVQTYVINGDKVVFLNERPMALGHGTKCVGPAGACLQCGRALIDASFRFCSLGCKLQGMVSDPSLTFSLDPIQKRAPVGEVAGDLPGPSKLQKTGQTSYRRRPRKAVHRKPERAPFF* >Brasy4G326100.1.p pacid=40085334 transcript=Brasy4G326100.1 locus=Brasy4G326100 ID=Brasy4G326100.1.v1.1 annot-version=v1.1 MPSASSKVAPRASSNPLLPPGRHTLLPPPSLRAHLSPSPSPRRKTKKTPMMPPPGHRRLSLLLCFLLCLLAFFPSHVLAFHSFVGTYGVNYGRIADNLPPPTEVVRLLQMARIKNVRIFDSDHSVLDAFRNSGLNLAIAIPNGLVKDIAANPSKAMDWVNENVQPYYPSVRIVAVIVGNEILGGSGDLAEALYAAVVNVHDALKAVRLSSKIEVNTPHSEAVFGTSYPPSAGTFRPDLMAYLKPLLEFFSRTGAPFYVNAYPFLAYMSDPEHIDVNYALMKPNAGIVDQKTNLHYDNMFEAQIDAAYAALEAAGYADMEVRVSETGWSSAGDATEPGATPENARTYNFNLRKRLFLRKGTPYRPDRVVRAYIFALFNEDLKTGPGSERHFGLFKPDGSVSLDLGFKGLTSSAPPAKAWAVRGYSAVMILSCTFIFLALAAT* >Brasy4G311000.1.p pacid=40085335 transcript=Brasy4G311000.1 locus=Brasy4G311000 ID=Brasy4G311000.1.v1.1 annot-version=v1.1 MSLPPMLSVPEKKTAAAELFRDRHFFNSAFFTDLRDARASLSAGNPQTQPPTSSRALLLRYHRLLSSAREDPLAFDDNLAFTWHDAFRSHLKHTSASLRFEKAAVVFNVGAASSRIAAALDRAVEGGVKEACGEFQRAAGAFRAVGEMMEGEEGTVDMGPEAAAMLERLMLAQAQECCFERALSAGTSPAACSKVARQAALYYEEAYAALAIPPLQNHFERSWLSQIQLKAAQFNAEACYRYAMELHKKMEIGEEIARLQVGIKAVVDAKRTARGAPGSLYDCVSKLEQDMNRNLEKAVNENNRIYLMRVPAAQLLAPLPSASLVRSVSKSEILDAKTEAGHQSS* >Brasy4G124200.1.p pacid=40085336 transcript=Brasy4G124200.1 locus=Brasy4G124200 ID=Brasy4G124200.1.v1.1 annot-version=v1.1 MYLENAMGDQWAQIFHIHRLVHHFRKHCLVDLQVGSVPFLASLCLLVSAGVLQPLSVVLGALYSVAFTIRFRLIPIIVSLIATVYQSDKAYLVYYLLQA* >Brasy4G291100.1.p pacid=40085337 transcript=Brasy4G291100.1 locus=Brasy4G291100 ID=Brasy4G291100.1.v1.1 annot-version=v1.1 MEEARHNGHDHFDDAASISSAVSRSLCSSASDLSDDADYSPPDRSSESSSASSSTLQLESEGPLYELSSLLAQLPARRGLSKYYQGKSQSFTSISDATCLQDLGKEATYNKRMKACKSSTGLGMNQRSSHLPRTCNKKIAKRPSKGSFACLLPRASSNNLLCISANSAAHQNKKDVHMHMNC* >Brasy4G032200.1.p pacid=40085338 transcript=Brasy4G032200.1 locus=Brasy4G032200 ID=Brasy4G032200.1.v1.1 annot-version=v1.1 MEYGDDLAVAAGSDAAAAQRRKKRYHRHTPRQIQQLEATFKECPHPDENQRMHLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALKNVICPTCGGPHTADDYFDEHKLRMENAHLKEELDRVSSLTSKYLGRPITQLPSSMQQSLSMSSLDLSMGAAAAMGGPSLDLDLLSGGSSSSGMPGAFQLPAAPVSDMERPMMADMATRAMDELIRLAQAGDHVWAKADNAREVLNVDAYDTVFGKPGGTRGPDVHVEGSRDSCLVLLAAHALVDIFMDSSKWADFFPTIVTKARTVDVLVSGMAGRSESLVLMQEELHVMTPVVPTRELCFLRYCRQIEQGLWAVADVSVDMLQQRDHAAASRCYGAPPQARARRLPSGCLIADMSNGYSKVTWVEHMETTKGDNKNPINPLYRDLVLSGAAFGAHRWLAALQRACDRHACLVAASMPHRDVAAGVTAEGKRSMMRLSERMVSSFCGSLSAPQAHRWTTLSGPGSSGDDVGVRVMVHRSTDPGQPNGVVLSAATSIWLPVSCDRVYAFVRDENTRSQWDVLSHGNPVQEVSRIPNGSHPAASSISLLRGLNASQNSMLILQESITDATGSLVVYAPIDLPAANVVMSGEDPSAIPLLPSGFTILPDCGRPGSGSLVTVAFQILVSSLPSSRLNEESVATVNSLIGTTVEQIKAALNCATTTH* >Brasy4G005700.1.p pacid=40085339 transcript=Brasy4G005700.1 locus=Brasy4G005700 ID=Brasy4G005700.1.v1.1 annot-version=v1.1 MLHKIKRLIKVQDIRQVMTNEISPMKHGSSRVSSDRLTVLRKFYHTNHRNIPNFIYDK* >Brasy4G425400.1.p pacid=40085340 transcript=Brasy4G425400.1 locus=Brasy4G425400 ID=Brasy4G425400.1.v1.1 annot-version=v1.1 MTKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRNVPRRFKSNFREGTAAAPKKSTRSQVGL* >Brasy4G384800.1.p pacid=40085341 transcript=Brasy4G384800.1 locus=Brasy4G384800 ID=Brasy4G384800.1.v1.1 annot-version=v1.1 MARIVSTTAGMMKPLVGKLTMLMGDDYNVLTGMRTQVSFLEKELSAMSAALEKMELMDDEHFDPQAKNWRDHVREMSYDMEDCVDDFMLDLGSAHATSSGFVQKITQFFQTMWAYYQIGRRIEELKVLALEANERRLRYKIDDYINSASGAVPVDPRISAIYQEAAGLVGIDGPREELVGWLKDSSRKLKVVSIVGFGGLGKTTLAKQVYDEIRGQFSCKAFVPVSQRPDMTSLLTGLQLKLGMEESSRVHELQDIIDNLREYLTNKRYLIVVDDLWDHSAWKAISCAFPENGTGSRIIVTTRVEDVARGACFNHRECIYRMKPLKEEDSRRLFFNRVFGSEQACPQQFEEISDEILKKCGGLPLAIITIASLLASHQAGSRSDWESIRNSLGAKFATKPTLEEMRGILNLSYMHIPLHLRVCFLYLGMYPEDHEINRDDLVRQWIAEGFVSHLHGSDLEDVGKSYFNELINRSMILSGSIKYGEVVSCRVHDMMLDLILSKCAEDNFICVAYNSEDVARMHGSEYKVRRLSLGSSSGDATSRAIDTSMSQVRSFSRFGEFKYAPPLTRFKHLRMLLFKITYKRNMIVDLTAIGQLFQLRYLNVSAESGCIELPAEVRGLVHLETLEIHARLEGKLPSDIYLLSRLTRLILPYRVKLPEGIKNMKSLHTLCCSNTWQSSLEDLKGLGELPNIRELSMCTYQRDMADGAVDALLYSVGSLRKLRSLSLNCRFSVNYDEARHTITNPPPLIEKLILRGRRFNRVPRFIGELRFLRSVSMHVVQFPTDEVHVVGNLPSLVKLRIWDMHVPEDGAAIIGAGLFPALEVLVLVSDDDDVTACMEFEAAGVMPSLRRLTLRLCDSWRGAAPVGMERLLALEQIRLEISSSVHEHHGLALSAFRIAAEAHPRHPSVTINGL* >Brasy4G111400.1.p pacid=40085342 transcript=Brasy4G111400.1 locus=Brasy4G111400 ID=Brasy4G111400.1.v1.1 annot-version=v1.1 MKLEIMPKQRTLEAEQREEAMEMSGLELWKHEKPPKIFPMPPLSAAACDEAALVPPLNFAMVDDGIYRSGFPATANFPFLKSLNLRSIVYLCPEPYPEANTEFLGQNGIKLYQFGIEGRKEPFVEIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGVVVGCLRKLQKWCLSSVFDEYQRFAAAKVRSTDLRFMELFDVSNLKHLTASHC* >Brasy4G111400.2.p pacid=40085343 transcript=Brasy4G111400.2 locus=Brasy4G111400 ID=Brasy4G111400.2.v1.1 annot-version=v1.1 MKLEIMPKQRTLEAEQREEAMEMSGLELWKHEKPPKIFPMPPLSAAACDEAALVPPLNFAMVDDGIYRSGFPATANFPFLKSLNLRSIVYLCPEPYPEANTEFLGQNGIKLYQFGIEGRKEPFVEIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGVVVGCLRKLQKWCLSSVFDEYQRFAAAKVRSTDLRFMELFDVSNLKHLTASHC* >Brasy4G054800.1.p pacid=40085344 transcript=Brasy4G054800.1 locus=Brasy4G054800 ID=Brasy4G054800.1.v1.1 annot-version=v1.1 MGAGSPETAAVAALLVMAAALAGVAAGGDIVHQDDDAPKIPGCSNDFMLVKVQTWVNNRETDEFVGVGARFGPIIESKEKHANRTGLLQADPFDCCAPLKEKVAGDVLLVRRGGCRFTTKTKIAEDAGASAIIIMNNRHELYKMVCDKNETDLDINIPAVLLPQDAGTILQGLLSLGQVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHETSVNFEAGGSSGMIDITMVSAILFIVVASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAGSFVKVPFFGAVSYLTLAVCPFCIVIAVIWAVYRRQPYAWIGQDVLGIALIVTVIQIVRIPNLKVGSVLLGCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTFISLGKKRGELRNLWTRGQPPRVCTHTQHPSKESSAAAVTSS* >Brasy4G224300.1.p pacid=40085345 transcript=Brasy4G224300.1 locus=Brasy4G224300 ID=Brasy4G224300.1.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWYTSDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKGHSFERVGSRQMAGLLTGVWVRTNLKQFIGDIENAAVACGLGRAIGNKGAVGLRMRIHDRSICFINCHFAAHTEAVIRRNEDFDHVFRTMTFATHSNGLLTTTVSSSAGQLLRANGSRMPELSDMDMVVFLGDFNYRLNDISYDKAMGLVSRRRFDCLRENDQLRAEMRSGRVFQGLREGDFKFPPTYKFDKQTAGLSGYDSSEKRRIPAWCDRILYRDSRASSGTECSLDCPVVCSIALYDSCMEATDSDHKPVKCLFNLDVAHIDKQTMRQKYGEIMCSNKKVLHLLQGREALLEANISTNDIILQDQRHSMF* >Brasy4G224300.2.p pacid=40085346 transcript=Brasy4G224300.2 locus=Brasy4G224300 ID=Brasy4G224300.2.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKGHSFERVGSRQMAGLLTGVWVRTNLKQFIGDIENAAVACGLGRAIGNKGAVGLRMRIHDRSICFINCHFAAHTEAVIRRNEDFDHVFRTMTFATHSNGLLTTTVSSSAGQLLRANGSRMPELSDMDMVVFLGDFNYRLNDISYDKAMGLVSRRRFDCLRENDQLRAEMRSGRVFQGLREGDFKFPPTYKFDKQTAGLSGYDSSEKRRIPAWCDRILYRDSRASSGTECSLDCPVVCSIALYDSCMEATDSDHKPVKCLFNLDVAHIDKQTMRQKYGEIMCSNKKVLHLLQGREALLEANISTNDIILQDQRHSMF* >Brasy4G224300.6.p pacid=40085347 transcript=Brasy4G224300.6 locus=Brasy4G224300 ID=Brasy4G224300.6.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWYTSDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKGHSFERVGSRQMAGLLTGVWVRTNLKQFIGDIENAAVACGLGRAIGNKRLWFLPPPGATSNYATWKQGPFW* >Brasy4G224300.3.p pacid=40085348 transcript=Brasy4G224300.3 locus=Brasy4G224300 ID=Brasy4G224300.3.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKGHSFERVGSRQMAGLLTGVWVRTNLKQFIGDIENAAVACGLGRAIGNKRLWFLPPPGATSNYATWKQGPFW* >Brasy4G224300.4.p pacid=40085349 transcript=Brasy4G224300.4 locus=Brasy4G224300 ID=Brasy4G224300.4.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWYTSDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETV* >Brasy4G224300.5.p pacid=40085350 transcript=Brasy4G224300.5 locus=Brasy4G224300 ID=Brasy4G224300.5.v1.1 annot-version=v1.1 MADPGETATPAAAGSSPWDDIPNDFFLSISSPSPPPAPALIRSTSLSPPPGALRSASASSLPAVSASASFSGSLHRAAPPQPIHPSHSLPAFPAASRAAATDVWPPPPGPHHANSLPEFASSASASGVHRPPTRAAVRKGRPPPLELRPRPLRESQSGTALRALACCASTHLWAAGEAGVRVWDLADAFRSSTCQQRWGDEASAPFQESCRTPPVLCLVADPCRSVVWSGHANGRIMGWGADPGPEAGEHIGWDAHCAPVFALAISPYGDLWSGSEGGIIKVWYGEAIDKSLALQREEKCNTSLLVERSFIDLWTMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNVDGQVDTRFDVLSAQSQYGYETKQNLFSSPRKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRMEALAMSTDGVIWTGSANGCLAQWDGSGNRLQEFQHHLSSVQSILNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPVLSMAVGSSYIFTLAGHGGIRGWNLSSPGPTDSILCSELVERETSYKNLEYMKVLVCSWNVGQEKASYESLRAWLKLPTAEVGVVVVGLQEVEMGAGFLAMSAAKETV* >Brasy4G181100.1.p pacid=40085351 transcript=Brasy4G181100.1 locus=Brasy4G181100 ID=Brasy4G181100.1.v1.1 annot-version=v1.1 MMLSFLAMPLQHGHRLDLSECARRSISVANFGEVYSTDVADHARGTSRRAPPCVGGGTFWLGSWPVQEDYLLGSKHFFPRQFCRCQVIPPPCKVLDYLPRLPHLLH* >Brasy4G064900.1.p pacid=40085352 transcript=Brasy4G064900.1 locus=Brasy4G064900 ID=Brasy4G064900.1.v1.1 annot-version=v1.1 MASSAAVAQQLPPGPGAAAAALASVLRPGGGDAEAARAALDALCAAGGDAVRRHADDLTPLVVGRLGDGDAAVREAARRFLMLLMEMKEMNARVETIQTDSSISDVQHSDCMAIEVESSDTTQVRRSPKHKISTRDMSHLADYWVAICPSLLGTGEGDITRKSVEPIKVFSEKDLIKEIEKIMSTLQADNEWSIRITAMQRVEGIVLGGAADYSAFPMLIKQLVTPLITQLLDRRSSVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVSVIAESADNCIKEMLRNCKVARILPRIIEFAKHDRSAILRVRCCEYAVLMLEYWVDTPEMQRSANLYEDLIKCCISDATSEVRSGARACYRIFSRIWPERSHQLFSSFEPSRQKMINDDDVETHQKHLSPVVKLRHPQSNPCIPTAMDKVVKVDSRTSFPSEDQQSALVKISLQLDDMTSKSSAEDIKDGTLATGHPLEERRELEKERVPDEYPDKCDSDNNNAGISSISCDVPSATPFEPAPEIPLSESTIVTIVQDKAERRPNIEQQKSSLQVGLKDSEKLLKQSFAKTSSDGSSRVQLGTQQVEKHCVSSSTPRKAVVHKEPQNNYTPNFRRPLLSKQMTNLFYASTRGDLDSKQLIWGEMVNSMDVPSSLTEALSLGVNPRSDWMMRVYAFDFLRQSLLERGPKGIQEVAENFEKVMRFVSRYLNDPHHKVAHAALLSLAEIMPVFKKPFEHYLDKMLPHIFSRLNDPKESIKQKCLAILKLAGEIFSIDSLLPALLRSLDEQKSAKSKLAVLEFANASFVKCTVNSDSYSSSSFLKPWLGKLTLLFKDRNNKLKEAAVIGLSSIYSHYDPASMLSFLVTLSMEEQKQLRRAMKQLIPMIESELEEFLQQKRHKQNVPSFDRFASIDQRHAKSFVEKQNKPQQHDTCQSNYYGTDDIFSSAFQYLPNIPLEVYEHHTGKVESVSGNESHGQKAEIMDKGSSTTRLSSGFPGRSDYSVLSENTVESPSKDVWINDFQSHGPGISQTYHQDTPSQMDKGPETDGHSVLIKNLHQMSSSLLEMLDNPDESTREFALSLLVEILEKQGKAMENCIEALVAKLLHATKGAALKVVNQAHICLTTVVTKFDPVRCLRAIASQLVCHDEKILVVSVNSLSKLVSRLSQDDLMIQLSTFLPALLDASENHSSYVRKAVSLCLADTYLKLGPALLPRLDRLDGAQLRLVVTSAASRLPSRSNFVTAAEV* >Brasy4G064900.2.p pacid=40085353 transcript=Brasy4G064900.2 locus=Brasy4G064900 ID=Brasy4G064900.2.v1.1 annot-version=v1.1 MASSAAVAQQLPPGPGAAAAALASVLRPGGGDAEAARAALDALCAAGGDAVRRHADDLTPLVVGRLGDGDAAVREAARRFLMLLMEMKEMNARVETIQTDSSISDVQHSDCMAIEVESSDTTQVRRSPKHKISTRDMSHLADYWVAICPSLLGTGEGDITRKSVEPIKVFSEKDLIKEIEKIMSTLQADNEWSIRITAMQRVEGIVLGGAADYSAFPMLIKQLVTPLITQLLDRRSSVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVSVIAESADNCIKEMLRNCKVARILPRIIEFAKHDRSAILRVRCCEYAVLMLEYWVDTPEMQRSANLYEDLIKCCISDATSEVRSGARACYRIFSRIWPERSHQLFSSFEPSRQKMINDDDVETHQKHLSPVVKLRHPQSNPCIPTAMDKVVKVDSRTSFPSEDQQSALVKISLQLDDMTSKSSAEDIKDGTLATGHPLEERRELEKERVPDEYPDKCDSGISSISCDVPSATPFEPAPEIPLSESTIVTIVQDKAERRPNIEQQKSSLQVGLKDSEKLLKQSFAKTSSDGSSRVQLGTQQVEKHCVSSSTPRKAVVHKEPQNNYTPNFRRPLLSKQMTNLFYASTRGDLDSKQLIWGEMVNSMDVPSSLTEALSLGVNPRSDWMMRVYAFDFLRQSLLERGPKGIQEVAENFEKVMRFVSRYLNDPHHKVAHAALLSLAEIMPVFKKPFEHYLDKMLPHIFSRLNDPKESIKQKCLAILKLAGEIFSIDSLLPALLRSLDEQKSAKSKLAVLEFANASFVKCTVNSDSYSSSSFLKPWLGKLTLLFKDRNNKLKEAAVIGLSSIYSHYDPASMLSFLVTLSMEEQKQLRRAMKQLIPMIESELEEFLQQKRHKQNVPSFDRFASIDQRHAKSFVEKQNKPQQHDTCQSNYYGTDDIFSSAFQYLPNIPLEVYEHHTGKVESVSGNESHGQKAEIMDKGSSTTRLSSGFPGRSDYSVLSENTVESPSKDVWINDFQSHGPGISQTYHQDTPSQMDKGPETDGHSVLIKNLHQMSSSLLEMLDNPDESTREFALSLLVEILEKQGKAMENCIEALVAKLLHATKGAALKVVNQAHICLTTVVTKFDPVRCLRAIASQLVCHDEKILVVSVNSLSKLVSRLSQDDLMIQLSTFLPALLDASENHSSYVRKAVSLCLADTYLKLGPALLPRLDRLDGAQLRLVVTSAASRLPSRSNFVTAAEV* >Brasy4G064900.3.p pacid=40085354 transcript=Brasy4G064900.3 locus=Brasy4G064900 ID=Brasy4G064900.3.v1.1 annot-version=v1.1 MASSAAVAQQLPPGPGAAAAALASVLRPGGGDAEAARAALDALCAAGGDAVRRHADDLTPLVVGRLGDGDAAVREAARRFLMLLMEMKEMNARVETIQTDSSISDVQHSDCMAIEVESSDTTQVRRSPKHKISTRDMSHLAGEGDITRKSVEPIKVFSEKDLIKEIEKIMSTLQADNEWSIRITAMQRVEGIVLGGAADYSAFPMLIKQLVTPLITQLLDRRSSVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVSVIAESADNCIKEMLRNCKVARILPRIIEFAKHDRSAILRVRCCEYAVLMLEYWVDTPEMQRSANLYEDLIKCCISDATSEVRSGARACYRIFSRIWPERSHQLFSSFEPSRQKMINDDDVETHQKHLSPVVKLRHPQSNPCIPTAMDKVVKVDSRTSFPSEDQQSALVKISLQLDDMTSKSSAEDIKDGTLATGHPLEERRELEKERVPDEYPDKCDSDNNNAGISSISCDVPSATPFEPAPEIPLSESTIVTIVQDKAERRPNIEQQKSSLQVGLKDSEKLLKQSFAKTSSDGSSRVQLGTQQVEKHCVSSSTPRKAVVHKEPQNNYTPNFRRPLLSKQMTNLFYASTRGDLDSKQLIWGEMVNSMDVPSSLTEALSLGVNPRSDWMMRVYAFDFLRQSLLERGPKGIQEVAENFEKVMRFVSRYLNDPHHKVAHAALLSLAEIMPVFKKPFEHYLDKMLPHIFSRLNDPKESIKQKCLAILKLAGEIFSIDSLLPALLRSLDEQKSAKSKLAVLEFANASFVKCTVNSDSYSSSSFLKPWLGKLTLLFKDRNNKLKEAAVIGLSSIYSHYDPASMLSFLVTLSMEEQKQLRRAMKQLIPMIESELEEFLQQKRHKQNVPSFDRFASIDQRHAKSFVEKQNKPQQHDTCQSNYYGTDDIFSSAFQYLPNIPLEVYEHHTGKVESVSGNESHGQKAEIMDKGSSTTRLSSGFPGRSDYSVLSENTVESPSKDVWINDFQSHGPGISQTYHQDTPSQMDKGPETDGHSVLIKNLHQMSSSLLEMLDNPDESTREFALSLLVEILEKQGKAMENCIEALVAKLLHATKGAALKVVNQAHICLTTVVTKFDPVRCLRAIASQLVCHDEKILVVSVNSLSKLVSRLSQDDLMIQLSTFLPALLDASENHSSYVRKAVSLCLADTYLKLGPALLPRLDRLDGAQLRLVVTSAASRLPSRSNFVTAAEV* >Brasy4G064900.4.p pacid=40085355 transcript=Brasy4G064900.4 locus=Brasy4G064900 ID=Brasy4G064900.4.v1.1 annot-version=v1.1 MASSAAVAQQLPPGPGAAAAALASVLRPGGGDAEAARAALDALCAAGGDAVRRHADDLTPLVVGRLGDGDAAVREAARRFLMLLMEMKEMNARVETIQTDSSISDVQHSDCMAIEVESSDTTQVRRSPKHKISTRDMSHLAGEGDITRKSVEPIKVFSEKDLIKEIEKIMSTLQADNEWSIRITAMQRVEGIVLGGAADYSAFPMLIKQLVTPLITQLLDRRSSVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVSVIAESADNCIKEMLRNCKVARILPRIIEFAKHDRSAILRVRCCEYAVLMLEYWVDTPEMQRSANLYEDLIKCCISDATSEVRSGARACYRIFSRIWPERSHQLFSSFEPSRQKMINDDDVETHQKHLSPVVKLRHPQSNPCIPTAMDKVVKVDSRTSFPSEDQQSALVKISLQLDDMTSKSSAEDIKDGTLATGHPLEERRELEKERVPDEYPDKCDSGISSISCDVPSATPFEPAPEIPLSESTIVTIVQDKAERRPNIEQQKSSLQVGLKDSEKLLKQSFAKTSSDGSSRVQLGTQQVEKHCVSSSTPRKAVVHKEPQNNYTPNFRRPLLSKQMTNLFYASTRGDLDSKQLIWGEMVNSMDVPSSLTEALSLGVNPRSDWMMRVYAFDFLRQSLLERGPKGIQEVAENFEKVMRFVSRYLNDPHHKVAHAALLSLAEIMPVFKKPFEHYLDKMLPHIFSRLNDPKESIKQKCLAILKLAGEIFSIDSLLPALLRSLDEQKSAKSKLAVLEFANASFVKCTVNSDSYSSSSFLKPWLGKLTLLFKDRNNKLKEAAVIGLSSIYSHYDPASMLSFLVTLSMEEQKQLRRAMKQLIPMIESELEEFLQQKRHKQNVPSFDRFASIDQRHAKSFVEKQNKPQQHDTCQSNYYGTDDIFSSAFQYLPNIPLEVYEHHTGKVESVSGNESHGQKAEIMDKGSSTTRLSSGFPGRSDYSVLSENTVESPSKDVWINDFQSHGPGISQTYHQDTPSQMDKGPETDGHSVLIKNLHQMSSSLLEMLDNPDESTREFALSLLVEILEKQGKAMENCIEALVAKLLHATKGAALKVVNQAHICLTTVVTKFDPVRCLRAIASQLVCHDEKILVVSVNSLSKLVSRLSQDDLMIQLSTFLPALLDASENHSSYVRKAVSLCLADTYLKLGPALLPRLDRLDGAQLRLVVTSAASRLPSRSNFVTAAEV* >Brasy4G066800.1.p pacid=40085356 transcript=Brasy4G066800.1 locus=Brasy4G066800 ID=Brasy4G066800.1.v1.1 annot-version=v1.1 MYTAILDTSTMSQFRNGEVPWYLSTDITVLPALPEVAVPSFPQYSASYIERGLCHSSITSLNPFLPASSGLQSTYMSASNFTSDLCINNGLPNEKLSSGSHIIAIYLDNPSSLRMRYPKVSEEIYWVQEPVPGVFDYPAIVNVSDQRNLVVSLEMQDVITLDHNTYLAKHKEWFSSESSGKFLENSGCGGSVLKAVDTRSTVPSNYTYFHMQNNVSSHFNVDELCSDNFPSSDTAPTKSRMRWTPELHELFVGAVIKLGGSEKATPKAVQKIMKVEGLTIYHFSFIRFHLCTNLEGYLCLHNFKHISNYPKGGIMPWVDMDTSEGLRTQIGLQKQLHEQLEIQRKLQLQVEEHSKYLEMAIAKQGESLKQLGALPVFENSRMQVLDHIEACKDQTVDFSGREPLRN* >Brasy4G094000.1.p pacid=40085357 transcript=Brasy4G094000.1 locus=Brasy4G094000 ID=Brasy4G094000.1.v1.1 annot-version=v1.1 MPLLLPAAKTLFLSHFPPPPSPRLQLRRATATPTAAAGRGDDAAAASGTTARERRLAKVREERRRRDYDRQHTYPGWARVLENACRDDEELRAILGDSIGDPELMKQRIQERVRKKGREGFNRSKTGSVAAFKVSFRDFNPLNAFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAFNSSNLQLANSMLDFDPSYDSEEASNVMPSSFHDISDIEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGRKLGDWEEGMTSSDYGYKHFKI* >Brasy4G104500.1.p pacid=40085358 transcript=Brasy4G104500.1 locus=Brasy4G104500 ID=Brasy4G104500.1.v1.1 annot-version=v1.1 MEFTATSSSSKFSKGEAEDAEQEEPSRREIPFMTAAASSSAAASASVSASGGSSPPFRSGDGGAGASGSGGGGDAEAAAEAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSAANEKGLLLNFEDSAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGAHAAADRLFIDWKRRADSHRDHHPLLRFPGRLSLPMMPLASHYSPWGGLGVGGSGGRSGFFLPPSPPATLYEHRLRQGFDFRGGVNPAMGLGIRQPQLLLFGSATSRMPPHAHAPPLLLPRAPPLHHYTLQQSTAAGPSSVVLDSVPVIENARIGGMASKGPYAKAAGISFARRRVLPRPIVPVVVVVFKEGGAFIGFGSRSVT* >Brasy4G028400.1.p pacid=40085359 transcript=Brasy4G028400.1 locus=Brasy4G028400 ID=Brasy4G028400.1.v1.1 annot-version=v1.1 MLIIPLKLISKLPVNKSAGLKPSSGAANFQPAFKRRTKANGKRALLVAQQRHQVARGRSSSHVPSYFSSRPQPRSHRWPMAPLPPARVNSSRHHAIAIVPSPATPRA* >Brasy4G405900.1.p pacid=40085360 transcript=Brasy4G405900.1 locus=Brasy4G405900 ID=Brasy4G405900.1.v1.1 annot-version=v1.1 MGSNDPGTPSKASKVPEQEQPPATTSGTTAPVYPEWPGFQGYPAMPPHGFFPPPVAAGQAHPYMWGAQHMVPPYGTPPPPYMMYPPGTVYAHPSTPGVHPFHYPVPTNGNLDPAGATPGASEMNGKNEPGKTSGPSANGITSNSESGSDSESEGSDANSQNDSHSKDNDVNENGSSQNGVSHSSSHGIFNKTMPLVPVQSGAVIGGVAGPATNLNIGMDYWGATGSSPLPAMRGKVPSGSVRGEQWDERELKKQKRKLSNRESARRSRLRKQAECEELGQRAEVLKSENSSLRAELERVKKEYEELRLKNASLKDKLGEAGDSVPDMNEQSDTNGGSHQQKEA* >Brasy4G350600.1.p pacid=40085361 transcript=Brasy4G350600.1 locus=Brasy4G350600 ID=Brasy4G350600.1.v1.1 annot-version=v1.1 MRASASAADQASASADGKILPAPAAAERTSMGDRDEDDEQWLTALSEPELDFLIRLKKLAATRAKTAGHPHLADQFDLRTLRALGVVLLGSFKERLKETSVDPNILDRLALSRDADVDSSVIGSDSEVFRCTKDQPAQNGAKKKRKQKQDECHEEAVQSNKKRNMSKTIADC* >Brasy4G161900.1.p pacid=40085362 transcript=Brasy4G161900.1 locus=Brasy4G161900 ID=Brasy4G161900.1.v1.1 annot-version=v1.1 MDDTATNDHAPAHAHRHRGGGKRTPSAAAAGCDGCQLAAGGRHTQYRGVRRRPWGRFAAEIRDPSSKARRWLGTFDTAEQAACAYDVAARVFRGSKARTNFPVEASAAAGYMPWDSEPPPPPQNAAAYPLNTILLHNLLMSSSPHGCLLLHHAGHGHAHAHANPHPGQAPPPPPVAASSASTITTTASAPQDDDDAWDCFLGRDAPETGLLQDVVQSAFRGNQRPRERGHAVLPAPSSAVLPRQEVRREEFGGEDESEFPTSMLPQGLLQDMIPCPAFLQVAASPTRRRRG* >Brasy4G280400.1.p pacid=40085363 transcript=Brasy4G280400.1 locus=Brasy4G280400 ID=Brasy4G280400.1.v1.1 annot-version=v1.1 MAGERQRQRRRTGLVAAADAASWCLALSVVALLLVSSLGAGSGAGEERGAVVVRGPRLQSRGPCEEIYVVGEGETLHGISDRCGDPYILEHNPHVHDPDDVFPGLVINITPRPRAASANR* >Brasy4G375800.1.p pacid=40085364 transcript=Brasy4G375800.1 locus=Brasy4G375800 ID=Brasy4G375800.1.v1.1 annot-version=v1.1 MPIQGIHGLAIVLLISTKASPANCCTEQEQAFVLRFLAGLSHDGGLAASWQNGTDCCTWEGITCGGTDGGSSTVVADVSLAARRLQGRISPSSLGGLRGLSRLNLSHNSLSGGLPPELLSSNSIVVLDVSFDLLSGSLLQQEQRPSPSSSAPGPGRHSMQVINISSNSFTGQLAPAAAWEDLAALNASNNSFTGPMPSFLCRRARPSPGSIRSELGSCSMLRSLSAGHNNFSGSLPDEIFNVTSLRQLSLPSNGLHGVLDPALVIKLSNLAVLDLGGNMISGNIPDSVGQLKGLKELRLDHNSMSGELPSALGDCANLATINLKSNGFSGELGKVNFSTLPNLKTLDVGWNNFTGMIPESIYSCNNLTMLQLSFNKFHGQISPRMHDLKSLTILSLAGNYFTDIKSALEILKGSRSLTALLVGSNFRKEQIPGGETIDGFENLKVLAMEDCQLFGNIPIWISKLRNLEMLFMFNNELSGSIPVWIGTMNFLFYIDLSNNSLTGEIPAGLMEMAMLQSDSERITTRLDLREVELPTYKGPLPHYYTLSAFPALMNLGNNNLTGVLAPEIGQLKALMALILGFNSLSGEIPRTISNLTNLQLLDLSNNHLTGTIPGDLNNLHFLSRFNISNNDLEGSVPTGGQFDTFPSTSFDGNPKLRAKSRGSIRGTQGSTGQGYKIMFVVTFCVFFGVGTDQRPSLKVMFTVAICVFFGVGGVLLHHILFSGLVE* >Brasy4G255400.1.p pacid=40085365 transcript=Brasy4G255400.1 locus=Brasy4G255400 ID=Brasy4G255400.1.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPVGSASLLRTSSSLLGGGQQGMGAGGGLLQSQSPFSSLVSPCQFGGNGLLGGSSNVSSLLNRPSYGNRAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDPASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHERQQQHQLPMSYNQQQLPSQQMQQQVTVKLENGGSMGGVKLEQRIGQHDQNGPSQMMRNAGNVKYEPHQLQALRGLGAVKMEQPNTDSSVFLQQQQQQQQQHHHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQQQQQHHMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIASLHNFPRRTPSGINPLQSQQQQPEEQQPVPQSSNQSGQNSAAMVGVQASSSANADVTSNNPLSCAPSTSAPSPSVPGLLQGAMDSRQDHPMSNANGLYNNSGNNGAISKVNSTSSLQSNPSTSLPSRGPTSSNNNVMSAPQNTNQLSSPGVSSNLPPMQPPPTRAQEPEPSDSQSSVQRILQEMMSSQMNGVGHAGNDMKRSNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMANNAMAMNGRMGMHHSAHDLSQLGQQQQHQQQHDIGNQLLGGLRAANSFNNLQYDWKPSQ* >Brasy4G255400.2.p pacid=40085366 transcript=Brasy4G255400.2 locus=Brasy4G255400 ID=Brasy4G255400.2.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPVGSASLLRTSSSLLGGGQQGMGAGGGLLQSQSPFSSLVSPCQFGGNGLLGGSSNVSSLLNRPSYGNRAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDPASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHERQQQHQLPMSYNQQQLPSQQMQQQVTVKLENGGSMGGVKLEQRIGQHDQNGPSQMMRNAGNVKYEPHQLQALRGLGAVKMEQPNTDSSVFLQQQQQQQQQHHHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQQQQQHHMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIASLHNFPRRTPSGINPLQSQQQQPEEQQPVPQSSNQSGQNSAAMVGVQASSSANADVTSNNPLSCAPSTSAPSPSVPGLLQGAMDSRQDHPMSNANGLYNNSGNNGAISKNTNQLSSPGVSSNLPPMQPPPTRAQEPEPSDSQSSVQRILQEMMSSQMNGVGHAGNDMKRSNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMANNAMAMNGRMGMHHSAHDLSQLGQQQQHQQQHDIGNQLLGGLRAANSFNNLQYDWKPSQ* >Brasy4G255400.4.p pacid=40085367 transcript=Brasy4G255400.4 locus=Brasy4G255400 ID=Brasy4G255400.4.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPVGSASLLRTSSSLLGGGQQGMGAGGGLLQSQSPFSSLVSPCQFGGNGLLGGSSNVSSLLNRPSYGNRAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDPASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHERQQQHQLPMSYNQQQLPSQQMQQQVTVKLENGGSMGGVKLEQRIGQHDQNGPSQMMRNAGNVKYEPHQLQALRGLGAVKMEQPNTDSSVFLQQQQQQQQQHHHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQQQQQHHMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIASLHNFPRRTPSGINPLQSQQQQPEEQQPVPQSSNQSGQNSAAMVGVQASSSANADVTSNNPLSCAPSTSAPSPSVPGLLQGAMDSRQDHPMSNANGLYNNSGNNGAISKPPPTRAQEPEPSDSQSSVQRILQEMMSSQMNGVGHAGNDMKRSNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMANNAMAMNGRMGMHHSAHDLSQLGQQQQHQQQHDIGNQLLGGLRAANSFNNLQYDWKPSQ* >Brasy4G255400.5.p pacid=40085368 transcript=Brasy4G255400.5 locus=Brasy4G255400 ID=Brasy4G255400.5.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPVGSASLLRTSSSLLGGGQQGMGAGGGLLQSQSPFSSLVSPCQFGGNGLLGGSSNVSSLLNRPSYGNRAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDPASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHERQQQHQLPMSYNQQQLPSQQMQQQVTVKLENGGSMGGVKLEQRIGQHDQNGPSQMMRNAGNVKYEPHQLQALRGLGAVKMEQPNTDSSVFLQQQQQQQQQHHHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQQQQQHHMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIACITFLGGLHQESTLFNHSSSSLKSSSLFPRVQTRVVKILLPWLVCRLLPLPMLT* >Brasy4G255400.3.p pacid=40085369 transcript=Brasy4G255400.3 locus=Brasy4G255400 ID=Brasy4G255400.3.v1.1 annot-version=v1.1 MVSSGPPNPMGPGQPVGSASLLRTSSSLLGGGQQGMGAGGGLLQSQSPFSSLVSPCQFGGNGLLGGSSNVSSLLNRPSYGNRAPMSGPGQMPNGGVQMNTLQQRGGLDGAGDLIGAGVSDPASFPSSAQVSLGNHLGSDNLQHQQMEVQDLQHQHERQQQHQLPMSYNQQQLPSQQMQQQVTVKLENGGSMGGVKLEQRIGQHDQNGPSQMMRNAGNVKYEPHQLQALRGLGAVKMEQPNTDSSVFLQQQQQQQQQHHHLLQLTKQNPQAAAAAQLSLLQQQRILQMQQQQQQQQQQQQQHHMRTPGKPPYEPGTCAKRLTNYMYHQQHRPLDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNTSGQIVLDYTKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQNSASLTTEDMQNNCQSFVQCARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIACITFLGGLHQESTLFNHSSSSLKSSSLFPRVQTRVVKILLPWLVCRLLPLPMLT* >Brasy4G221600.1.p pacid=40085370 transcript=Brasy4G221600.1 locus=Brasy4G221600 ID=Brasy4G221600.1.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLRAPLRPERTAATRADRALRWHRTVRRGYAEGDARWEERRAAA* >Brasy4G221600.5.p pacid=40085371 transcript=Brasy4G221600.5 locus=Brasy4G221600 ID=Brasy4G221600.5.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLRAPLRPERTAATRADRALRWHRTVRRGYAEGDARWEERRAAA* >Brasy4G221600.2.p pacid=40085372 transcript=Brasy4G221600.2 locus=Brasy4G221600 ID=Brasy4G221600.2.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRRAPLRPERTAATRADRALRWHRTVRRGYAEGDARWEERRAAA* >Brasy4G221600.10.p pacid=40085373 transcript=Brasy4G221600.10 locus=Brasy4G221600 ID=Brasy4G221600.10.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSVDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRRAPLRPERTAATRADRALRWHRTVRRGYAEGDARWEERRAAA* >Brasy4G221600.11.p pacid=40085374 transcript=Brasy4G221600.11 locus=Brasy4G221600 ID=Brasy4G221600.11.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSVDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRRAPLRPERTAATRADRALRWHRTVRRGYAEGDARWEERRAAA* >Brasy4G221600.6.p pacid=40085375 transcript=Brasy4G221600.6 locus=Brasy4G221600 ID=Brasy4G221600.6.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLR* >Brasy4G221600.3.p pacid=40085376 transcript=Brasy4G221600.3 locus=Brasy4G221600 ID=Brasy4G221600.3.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLR* >Brasy4G221600.4.p pacid=40085377 transcript=Brasy4G221600.4 locus=Brasy4G221600 ID=Brasy4G221600.4.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLR* >Brasy4G221600.7.p pacid=40085378 transcript=Brasy4G221600.7 locus=Brasy4G221600 ID=Brasy4G221600.7.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRSLR* >Brasy4G221600.9.p pacid=40085379 transcript=Brasy4G221600.9 locus=Brasy4G221600 ID=Brasy4G221600.9.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRR* >Brasy4G221600.8.p pacid=40085380 transcript=Brasy4G221600.8 locus=Brasy4G221600 ID=Brasy4G221600.8.v1.1 annot-version=v1.1 MMIVCNERGPSRAETLRRLRKLEAEASRKFEKKFGCFEYPERVASTIPFKEQPFGSLADEPAPCRGKYPPTLLSLALFDGDEMLFACTGMTLSDDMSLFQLRRILTSARLVMVFNEKRTRDDNLTIVLRIPGYDDDFLGFIELYDEDIAIVTSLHFPYISPVCLDLNETTLPAGTEVLATGRAFTSSELMVANGKLVEGPNGDDHRCLRTSDCHITEAGLGGPLTDSDQNFVGMNIRCAMGKTWFLPRMLIREHLEQFQILIPRNIGGDYSEEEPEDDMCSTGSSSGTTEISSQGYSPPPGASCIIPSGFARTMKWLDYMGYPRPPPLMLELDGKLIHTFEEPFGYPYPSQGYLIGLICCAEGRNPWLKLGRSVADRISRRVVSLASFKGAARVFACTGMLINYKVAGCYGIVKPSRTVILTSASLVRTCDATDTIDEKLRIEVFLPPNQRVRGTLVAYDSCHNVAIVSAERLHFICPEDALLVDTSDHGWDRQWRKMNREEWKVKRVVSIGRAAEEGILCGSNGVVTREPMTHGCEDLRLSTCKIKKPGIGGPLISLADGSFVGMVLYDKRIRGTPFLPRSCIVKVLRKLELPPSRR* >Brasy4G331400.1.p pacid=40085381 transcript=Brasy4G331400.1 locus=Brasy4G331400 ID=Brasy4G331400.1.v1.1 annot-version=v1.1 MADEPLDPAPPTPASAVAAPAPLLRPRRVAFEHGLLPIPKLIFPEGALAQTLSQLKDKLAPAAVDGRVGVPALADALQIPPDQAALALGMLAAVHPADDPALGAGGDGSADLRDVLLFIYIQSYKRLVPRPHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFIPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLHFSQGTSLSQAATFFANSDLDMPAAPVSAAQVHDWILQNIASSLEFYTEKSIAKEGSQQIASDLDVTMADANTSHSRNTTPTGVNPAFHRNSTFVEGFSKTSVVKHASDVKGHSVKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAVGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLHIAPFNTYYPRLGEHMMQVGVDPSTNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCVDPDLFTNFLIPNWFEDEVQKPTKCNPFPLPELYQASQIKKHAVLEDTQKTIRELQIDENRKKELANALHAQFKDWLYATGNIRQLYCLQGD* >Brasy4G331400.3.p pacid=40085382 transcript=Brasy4G331400.3 locus=Brasy4G331400 ID=Brasy4G331400.3.v1.1 annot-version=v1.1 MADEPLDPAPPTPASAVAAPAPLLRPRRVAFEHGLLPIPKLIFPEGALAQTLSQLKDKLAPAAVDGRVGVPALADALQIPPDQAALALGMLAAVHPADDPALGAGGDGSADLRDVLLFIYIQSYKRLVPRPHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFIPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLHFSQGTSLSQAATFFANSDLDMPAAPVSAAQVHDWILQNIASSLEFYTEKSIAKEGSQQIASDLDVTMADANTSHSRNTTPTGVNPAFHRNSTFVEGFSKTSVVKHASDVKGHSVKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAVGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLHIAPFNTYYPRLGEHMMQVGVDPSTNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCVDPDLFTNFLIPNWFEDEVQKPTKCNPFPLPELYQASQIKKPQATSASFTVCKATNHAMSCFDNECVHP* >Brasy4G331400.2.p pacid=40085383 transcript=Brasy4G331400.2 locus=Brasy4G331400 ID=Brasy4G331400.2.v1.1 annot-version=v1.1 MADEPLDPAPPTPASAVAAPAPLLRPRRVAFEHGLLPIPKLIFPEGALAQTLSQLKDKLAPAAVDGRVGVPALADALQIPPDQAALALGMLAAVHPADDPALGAGGDGSADLRDVLLFIYIQSYKRLVPRPHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFIPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLHFSQGTSLSQAATFFANSDLDMPAAPVSAAQVHDWILQNIASSLEFYTEKSIAKEGSQQIASDLDVTMADANTSHSRNTTPTGVNPAFHRNSTFVEGFSKTSVVKHASDVKGHSVKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAVGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLHVRFCYSFGCKQYNRRLLHLILTIQDWVST* >Brasy4G261700.1.p pacid=40085384 transcript=Brasy4G261700.1 locus=Brasy4G261700 ID=Brasy4G261700.1.v1.1 annot-version=v1.1 MGKAMVLVLALALQVIGLVSGGNFYEECDATWEPQNCWAYDDGNSLSLALVSNSSGSMIRSKRQFIYGSVSTMIQLVKGNSAGTVTTYYTSSVGDSHDEIDFEFLGNETGQPYTIHTNVYAAGVGSKEMQFRPWFDPTDGYHNYTISWTPCRIVWYVDGAPIRVFRNYQRSHGVPFPTGRPMYAYSSIWAAEDWATQGGRVKADWAHAPFVANYRGIDLDVCECYGGDCVYGCAAAYGTGAGGQQDCRLGDEQLGTMRWVQEKYRIYDYCVDYDGGKVPGKECSLPQY* >Brasy4G436600.1.p pacid=40085385 transcript=Brasy4G436600.1 locus=Brasy4G436600 ID=Brasy4G436600.1.v1.1 annot-version=v1.1 MWVDEWSPVIGMLVFDLISAVMTALVKKALGQGLNLLVLFTFRQLVATIFLAPIAYFKERSTRRELTVEIFVYLFFSAVLGAVLLQYTFFYGLQYTTATYAITFNNLTPVFTFIIAVVLRMESLKVKSMAGAAKITGTLLSLAGLLLLSLYKGLPLTHQASAALSPAAAHHAAAAAEGSGNKSWMLGTVALLANCLCFSFWLLLQTRLTKKYPAIYSSTALMFFISTLQGGALTAAIERRVSLWMLTSKLEIITVLYAGIFASAGGYLIMTWCVEKKGPVFTAAFIPIIQIMVTIIDFFFLHEQIYLGSVVGSALMILGLYLVLWGKKRDEALSVSCAANKQVDGETDNRQ* >Brasy4G148400.1.p pacid=40085386 transcript=Brasy4G148400.1 locus=Brasy4G148400 ID=Brasy4G148400.1.v1.1 annot-version=v1.1 MDSDVSVKEEVEGGRGKVVEESKDEAAVHAEMNSEVSVNEEIEGGGSKGKVESNNEAAVDSDGSVKAEVEGGESKRKEESNDEETGSSTDEEEDGESSEASSSSEEESSEASSSSDEEQMARKHGGVGGMEALIKEGELMVGIDDGNDDDDEEASNTPIKLKHEVEVLPPVPKVEVHLEPHHKALPVGTISAIMGERVIVEGSVEHNPLNEGSILWITESRSPLGIVEELFGPVKNPYYLVRYNSAEEVPDGISAGTAISSVMEFADHILNVKELCTKGYDESGDNVEDQTDDPEFSDDEKEAEYKRSLQLAKRQTKRQLESKKPSGDKKRKQRRDAGFRKDMPPRIRDVPTPSHQPHRPFHHSDTAPAADNAACSLGPQNFPMSPPTMMPSVSVNPAIPSSVQFPGQNGGCFTNLSQQFLPQQPNVVWPGGLPPSLYPNMGVNGAALAANVMQNILRGTSQYQQYCNNQNFCGLLNRMPISPQQFMPQSGMAVNPMPFGGGGPSVNPPFVSSSGLQTAHGNLNNLPHLSGSNQGPSPGFPNPQGRWLLPSSHGNGGQPHTQLNSGQFNHGDSSQSSQQWGRRH* >Brasy4G294100.1.p pacid=40085387 transcript=Brasy4G294100.1 locus=Brasy4G294100 ID=Brasy4G294100.1.v1.1 annot-version=v1.1 MDTCVLHAWSPYTLRCKRAKCSQDRFIKARVDRAGLTYAALLTFKEQLGYCGRDYLYYKKRCGLDVATLEAIDYTKDAACMVEDLAEEMEVRMIVSNEAQDKHVQITPIKRPRQPDVSDNDDDDAPGSCESLDAYKEWLTELEDNSDLVAYDNSFSKYDTQRDDDSQETNESKDSNDTPPRQWPSHARKNKDNKGFKNRGHGTLKGLAATKKRLKLRTQRLKIEFAAKLGGPCGENVRTFVDEVVTFTRLNTPLIGVHSWKDVHKNVRCSIVRSVLLPTKDKILKIAKERYKGWLKRPPPYRVRSLCLQC* >Brasy4G151000.1.p pacid=40085388 transcript=Brasy4G151000.1 locus=Brasy4G151000 ID=Brasy4G151000.1.v1.1 annot-version=v1.1 MGRGFSEVSTNIRRLSSPSRRILSPSHEDWHGFGGSTSFGRETLLRHARSSLNTNPNCHRSAGSSAIGVMSVAAAGPLDEAKAKSVLHQVEFYFGDSNLPRDKFLWEAVEQSDDGLVSLALVCSFSRMKSHLGLETALKPETVPVDLKPETVPVDTVLAVAAVLRCSSLLRVSEDVFTTNFGLQRKVDRKFRVKGRKFRKFLEK* >Brasy4G053200.1.p pacid=40085389 transcript=Brasy4G053200.1 locus=Brasy4G053200 ID=Brasy4G053200.1.v1.1 annot-version=v1.1 MGSGRGMVVGMRRHRYRGDGLEAVAGAEVDLEGAEAGERVEKLPRRGLAVVREGYIDVDAAAAAAAERGERGEEVLAQVAASPHHQHPLPLLPFHLSLSLSLSLSRSATASEGSSSWKNRGGSYMWDPLGRYRLPAALARAFWITPLSEFGGGIVVPRRRKPRSRLHSTLCTSLKPFAIEDQAANAKTLHIVSPPPPFALVLRRQSRDGGRSADVPGRAVQVAVQADAREAGPAEAAGGSPATRSSSISPASAPRSAPPISPAPPMLPRLPPTTAEGPPPRAPTSAPLPTASSGPALRTSGTRMTGCSAAPSRCRGRSRRGACLQVPESSTGSRWTPGRSHLRVDQGIGRTGRSCMWSNRVFGAELE* >Brasy4G282900.1.p pacid=40085390 transcript=Brasy4G282900.1 locus=Brasy4G282900 ID=Brasy4G282900.1.v1.1 annot-version=v1.1 MASEADLKLLGTAESPFVVRVRMALHMKGLPYEYLQQDLFDKSDLLVSSNPVHKKVPVLIHGGKPVLESLAIVQYIDEAWSGKAPAILPADPYQRATARFWAAYADDKLYDAYVGINRAVTEEGRAEKVVETLAAVEQLEAAFAESSNGKAFFAGDSVGFLDLAVGCHLFWLETMGKMSGVEFVDTGKTPLLAAWAKRFGETEPAKQAVPEVDVVVEYAKKRRAFRAAAAAAAAATAS* >Brasy4G201300.1.p pacid=40085391 transcript=Brasy4G201300.1 locus=Brasy4G201300 ID=Brasy4G201300.1.v1.1 annot-version=v1.1 MPGSVPLALGLDTVGLQVPWYFRCPISLELMQDPVTVATGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSLGVERIPTPKQPADPDLIRSLIAQCPGLPALRKLRALARESDKNRLVMATHETRAALVGMAFGAAGGGEEAQAEAMAVLALIGMGEAEAVEVVGREERVARLGKLLGSLQGTTTLEARVNAGAVVEAAAAVSGAEARVVLGAAEGVIEGLVALVDEKGNNARAVRVGIRGLFALCLAKENRPHAVAAGAASALARRVAEGGCAGEPERALAAVERLCRTEGGRDAVVSGAGGGAAAVTALVRAMSGRSAEHAAGALAAVVGGSEALQVEAVRAGAMSQLLMMVQGGCSERAKRKAQHLLKLLRSAWPTTDSMANSDDFLNPC* >Brasy4G402200.1.p pacid=40085392 transcript=Brasy4G402200.1 locus=Brasy4G402200 ID=Brasy4G402200.1.v1.1 annot-version=v1.1 MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS* >Brasy4G365400.1.p pacid=40085393 transcript=Brasy4G365400.1 locus=Brasy4G365400 ID=Brasy4G365400.1.v1.1 annot-version=v1.1 MATLRCTTTAHHSLLGSPTCVARPRRRCPAVVRAAVAVQAEAQPKVSLIRIGTRGSPLALAQAHETREKLKAAHTELAEDGAVEIIIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLNAKTLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRTNDDKMMDYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSIVYETSRSGTYAFDDMVALGQDAGYELKSKAGPGFFDGLQ* >Brasy4G168700.1.p pacid=40085394 transcript=Brasy4G168700.1 locus=Brasy4G168700 ID=Brasy4G168700.1.v1.1 annot-version=v1.1 MLAVSGYPRFPLPFLPVPNPRPPIAYHLRRYCFYRHRLRRQCRGGGLPLRHLCAVVGRPTGIVIDVDGVDDVGDRDLPVDVSFVRRLPPALTLADGIAALRRAAQDVKASPPAAGSGVIRFEVLVPPGTKALKWLCSQFRGSSFFPQFYLSRKLSSDPPIELQICGVGSALCLHGSSEVKNGCDLISRYLSFDSDLIRAYGAVGMKYDKELLSIEEKSGSFYFFIPQVELSEYDGYSVLSSTIIWDHSISHTFEDSVCLFESCFNQVCGSYDSSASICYDSMMTSYIGDSYLLETGNAQLVYLDTEVLAKVDAKTSMQKEKFLTSEKSFICFSSEFLFSANMDLRSQMYKTESFIRSCSNINSAWASLIVEECVRLGLTYFCIAPGSRSSPLALSATGHPLTTCISCYDERSLGFHALGYGRGSRKPAVVITSSGTAVSNLLPSVVEASQDFIPILLLTADRPPELHDAGANQAINQVNHFGNFVRYFFNLPPPGDQIYARMVLTTVDSAAYNATQAPQGPVHINCAFREPLDHSSQDWSSDCLRGLDRWFRNDEPYTRYLGMKMVSAFGNYSSSVIEVLDIIKKAKQGFLLVGAIHTEDDMWAVALLARHLSWPVAADILSGLRMRKVLNSFPGLAKSILFIDHIDQILLSDSVKSWLNPDVILQIGSRITSKRVEMFLESCFPSSYILIDTHPCRHDPSHVVTHRIQTSVAEFAASLCECNLQRKTSRWQDILMVLNSVVSQEIMFHVHSEFSLTEPYVAHVIGEALYGDAIMFIGNSMVIRDLNMFGKSWLDHTTNGGNAMMHNFPDFVGATVAGNRGASGIDGLLSTAIGFAVGSNKHVFCVVGDISFLHDTNGLSLLNQRAQRKPMTVIVINNHGGAIFSLLPVAKTTSPEILQKYFYTSHDISISKLCAAHRVNHSLVQTKAELHDTLVKTRAEHVDCVVEVENCIDENANFHRIISMFADNTATHYLDYLLRDPYSWTSSDLDDMHINKIHAMEYMLYRIQLSAPRTSGISDSSFFHEGFILKLCMDDSIIGFGEVAPIEIHEEEMIDVEEQLRFLFHKVKDCDLDIVPLLRGSFSNWIWESLGIPPSSVFPSVKCGLEMAILNLLASRRKCRLSEILTGPNPLLRDQSLVEYNRNSSESIQICALLDSNDTPMEVALAVAKLVAEGFTTVKLKVGRRESPTEDAAVIQKIREVVGYNINIRADANRKWTYEQAIEFGSRVKSLCLQYIEEPVSSVNDLIAFCETSGLPVALDESIDDLKGDTIHKLHQFVHPGIVAVVIKPSVVGGFESAAHIAKWAHMHDKMAVISSAYESSVGLATYIQFAYYVDRQNDLVSRIKKSDSCGSVAHGLGTYQWLREDVSEQKLRIHISPIGDGMRASVQDANGYLQHLSINNQKIERTYSEEKLRSYSIRVDGDDFSYLVRLQEAGDCTNDKIVLFLHGFLGTSEDWTPMMKALSPSARVISVDLPGHGRSQILQHDVVNSSQLSFTIQSVADLLLKLICKITDGEVVVVGYSMGARIALHMALNQNDKVSGAVLISGNPGLRHEVSRRRRAAIDKSRAQHLLSYGLECFLETWYSGKMWASLREHPRFDSLVRTRRKHGNIKDLSKVLADSSIGIQKSLWEDLKHLERPLLIVAGEKDLKFKEIAGQMCREIRMCEECEADCGNRQELCEMIIVPESGHAVHVENPLYLVRAVRKFLLKLL* >Brasy4G252900.1.p pacid=40085395 transcript=Brasy4G252900.1 locus=Brasy4G252900 ID=Brasy4G252900.1.v1.1 annot-version=v1.1 MAAATGILGLSPGFKFEPVDEEIVELFLLPRLHGDPLPLEGIFLDADPRSAPPWELFARNSTGFFFAPGDEANQRKKPRTCVGGGTWVGQKLEKKEELRLRLGGGEEETVVWQKYRYNFHGGSGRTGSTGWVMLEYTIPEPSDYNSFKVCRITFTGHGQKRKRVPDSDDQLATSAPSSIATGYHDQEPFLTQQETDSWTDQQEPAFPMQQQQLVDQEQPPPLVDDDPDSLGFPGFCSAPQAMGHASTPLPFGQESGMLVHMDQESGIPEQFVSEQLMMPLQMGQDTSFPVNFAEYGAAPLPVYQESSMWGQFVEYGTTPLTMDQQFISMPEQSIDFEDPATQAFLEWFGD* >Brasy4G032800.1.p pacid=40085396 transcript=Brasy4G032800.1 locus=Brasy4G032800 ID=Brasy4G032800.1.v1.1 annot-version=v1.1 MVAWKKVCAPKEFGGLGIKDLEAFSSALRLRWLWLQWQPDFRPWKGTPLPCNERDRALFASCTEISLGNGQMASFWHDRWLNGCAPADIAPDLVALARRKNITVSVAAFNGRWMKGLQCLSSVRQLQQFLSLWQSVQSVEFSEASDSVRWRLSANGVYSANSAYLCQFFGRIPRPMLQKVWRINAEGKIRFFPAIDADGISTWWRKMQAMALSPARKNAISLGAYVVWHIWKERNRRIFQGKEASVLAVKIMIDEELAFLLSVSSSSRIHARI* >Brasy4G327400.1.p pacid=40085397 transcript=Brasy4G327400.1 locus=Brasy4G327400 ID=Brasy4G327400.1.v1.1 annot-version=v1.1 MDLPEVPLVKSHVGWPQSQPPRGPAPSPPPPSAKETTMSCNDDGATNVFEEMPESWDLHSVLPSCVDLVDKNAVSIDTTPLGAFVAGAEEGDEEGVKENGVDEIEEGGFDGSQPRQGRRSSNYTKIEDITLVKAWECVSLDAMADNDQIKKRYWQRIEDKFHCLMPVPSTRSLRSLQGRHHMIKICCSHWSACLEQLRNAPPSGCTIDDYDRIAMEMYKRMPASKKKPFVFQHCWKLLEQSEKWRLRCQEASPKKGAFIELDDDSDVPKGGISKGKPYIEVLLVESHVARQQLQPPVDPATCRPPDASGPPIPAVAAAKLALQVSAASSGFPLVPCKGQPKAAGDDSKRVKKVAFQGPALPPPLSPGGEPTTSYNDDGATNVFEETPESWDLHSVHPSCVDLLDKNAVSIDTTPHGAFDADAEEGDEEGEDENGVEEIEEGVFDGSQPRKKRRSLDYTKIEDITLLKAWECVSLGPVAGNGQTGKKYWQRIEDMFHRLMPVPSMRSLRSLQGRYDMIKSCCSRWSDCLEQVRNAPPSGCTIDDHDRIAMKRYKRMLASKNRPFVLQHCWELLEHSEKWSLRYQEAPLKKGAFVELDDDDSDASNGERNKAASLREKIDDSVKSKETLVSKQLEAEMAMAEKKQ* >Brasy4G304900.1.p pacid=40085398 transcript=Brasy4G304900.1 locus=Brasy4G304900 ID=Brasy4G304900.1.v1.1 annot-version=v1.1 MEMMRMGSTAAGGGSMRRTASSWRGASGRSDAFGRSVREEDDEEALRWAAIERLPTYDRMRKGILVPGAGAGGGGAGQEVDIQGMGLNERKNLIERLMRTAEEDNERFLLKLRDRMERVGIDHPTIEVRFENLNIDAEAYVGNRGIPTFTNYFSNKIMGFLSALRIVSNGKRPISIIHDISGVVRPGRMSLLLGPPGSGKTSLLLALAGKLDSSLQVSGRMTYNGHAMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLSELSRREKEANIKPDPDIDVYMKAISVEGQESVITDYILKILGLEICADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTAMIALLQPAPETYELFDDIVLLTEGKIVYQGPRENVLEFFEAMGFRCPERKGVADFLQEVTSRKDQHQYWCRVDEPYRYVSVNDFTEAFKAFHVGRKVDSELRVPFDRSRNHPAALTTSKFGISKTELLKACFSREWLLMKRNSFVYIFKLVQLIILGTIAMTVFLRTKMHRGTVEDGVIYMGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYGLPTWLLKIPISFLECAVWICMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRVLAAVGRDMVVADTFGSFAQLVLLILGGFLIARDNIKSWWIWGYWCSPLMYAQNAIAVNEFLGNSWRMVVDRTVSNDTLGVQVLNSRGIFVDPNWYWIGVGALLGYIMLFNILFVVFLDLLDPLGKGQNVVSEEELREKHANRTGENVELQLLGTDTQNSPSNGRGEITGVDTRMKGMALPFTPLSITFNNIRYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVSISGYPKNQDTFARIAGYCEQNDIHSPHVTVYESLVYSAWLRLSPEVDSEARKMFVEQVMELVELTSLRGSLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIHGVKKIKDGYNPATWMLEVTTLAQEDALGVNFAEVYMNSDLYRRNKALISELSTPPPGSTDLHFPNQYAQSFTTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIGKRQDLFNSLGSMYAAVIFIGIQNGQCVQPIVEVERTVFYREKASGMYSAVPYAFAQVLIEIPHIFLQTIVYGLIVYSLIGLDWAFMKFFWYMFFMFFTFLYFTFYGMMAVAMTPNSDIAAIVATAFYAVWNIFAGFLIPRPRIPIWWRWYSWACPVSWTLYGLVASQYGDIADVTLEGDEKVNAFINRFFGFRHDYVGIMAIGVVGWSVLFAFVFAFSIKVFNFQRR* >Brasy4G074300.1.p pacid=40085399 transcript=Brasy4G074300.1 locus=Brasy4G074300 ID=Brasy4G074300.1.v1.1 annot-version=v1.1 MLELRLVQGSLLKKVLEAIRDLVNDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVVISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS* >Brasy4G427400.1.p pacid=40085400 transcript=Brasy4G427400.1 locus=Brasy4G427400 ID=Brasy4G427400.1.v1.1 annot-version=v1.1 MPASGGPAVSSAAARSSANGSTVGAARLPRGRPRKAPIVPASGGPAASVLAARNNSNGTAAMPASGGPAVSPSATRKNVNEAAVDTPCLPQPCPGNAPPRAPASGSPAASLSATKNNTKGSVVGTLHLPTRGGPGLSVLTSSRNAPTPNRERDSISLGNEMTPRSDIQHNGAAEETQHGVSMTSKNSASSAFPRDSTRSTCPGTSSTKGAEELTTENGNVSNPSKKQKRLDTRTYLKSFRVGVFAKSTDAVVATHDRVGKDNTSGQHVADSNVAFVQPRKNTNMSPQNVAATSNLAVEASDQPTGPSRGSCLPSVQSVPISPLHYEEPKSTFGDGESIGILKEDSASGQFKVTPSDETDGNSNICVPCGTPGTLRYCHGKGCKNRYHPPPCIDPSQEDLYTGILFCTNCTGKRSQFGLHYIVDAIESVWDVKETEGMQNSKEYLVKYRNLAHVHDRWVPEDDINATPGGPDLISFFNKRIHTEKTILKPEWTMPHRLLRKRLLMLPKLTDDFSCSSGDNNSYCTEWLVKWRDLGYDHATWELETLSCLCTPEADKLKENYEYRCEAAKQLSTANKTKKVKQNPFQKLQSLPDGCHPDFDHDHLCSLNHLREFWYRSHGGVLVDDKERVSKTVLFIMSVLHDVCQPFLIVTSPHSLSLWEDQFDKLAPLINVVVYDGGKDNLKLIRDLEFYDNRGCTMLQVLLSHPDAILENIQPIARIGWEAIIADCYENSASEYLEQLKQLSTDFRLLLLSSSIMDNLPEYMKLLAFLTVEQENGDYIDTTESDALWMSETRFSSHIAYEQQTNSSKYLEHWVPAVISQKQLAIYCSTMLSNSSVLQSQTETDSVGALRSILISLSKCCDDPYLVREFLEKLPVNNHERTETIDARVHSCGKLLLLEKMLKEIRNNRLRVIILFQSGGLAGNPIGDILEGVVHHRFGRESYERFEDRSARKKEAVAIFNDESKGRFVFLIESRSCLSNIELSSIDAIIIYNSDWNPLNDLKALQRIKIESRFKSLGIFRLYTPFTMEEKRLVLAKQGMPITNIQDITCTLSHSLISWAASFLFTRLDELQQDNYASKSSERDTHFMDKVISEFLTRLLTNVEDSTKLKIASISRANMSGKYYSRNITLIGEKERIPALDGDPSKFWLHLLDGKSPCWSYISEPSHSSCRMLQNMEESAKVTAEETGEARRKRRKIDEILSPFSRIISDSGHNDTFPANQAISHPAVQLLDEIQQNLGVKKLMSTPKNLHLKLMKELSKLIKVLQLPDNVRLMAEQFLEYLLKNHLVILEPVDILHAFNIALCCRAASFVKYQLDQRESLALAEQCLSYKCNEGLIAFMYEKLRSLKKEISHRERHLSNGELPNITLDKRIESIDNAFSLREKNILDKHKLEISDLEVHRQKKITRLKEVRNLVQEHIRRSHIDEMARNNKIRLSAQWFTMLTCAFLEHMRLQDNKLKALQSNAWSLERQLKENLCQEAKSGQLGQDFDRHIALPDTNFVMEEFIHFKERNDDESSVPDCQPPSNDGLLMEITTVQNTVLSEPISAQAMQNEPVKTSVDCGRGLASDPVDLTENGIHCSSDGIGLPRAGCSPSVIPANDDSIGQESPTGEHRNTEHVERDHIANPSMLLGGTVSVVKVVSASNDCTLDTDQVCLELPILASSQSPETLVETRADLSSMSSQQSIELSAQQNVVFSQRSPAEEERSGLLETQVNQDLQPEIQPSMLFSDTTPLQRMHSNDRSQTCCEPDRSTSLLQGGAATSDHLGELRMQVKAKNDDNIAADTVQSDSPTYTAHCAATSEVEIQICQSSMPAEQSTFLPAEQSTSLPAQQSLATSRHTPAEAEPAGILGTEAAWDLRPEVQPSTSMRDQPGEAAGAGILCAIAARDLQPEMQSSTSTQDVPFERSCLSGMPELQSLTVHQSVEPSLDPDGGLESAHTLGMVTAHDLQQEVQQTSASMLAEQSTSLPAQLSLPTSRQPPAEAEPAGILVIEAAWDLQPGVQPSTSMQDQPAEEGQAGILGTTAARNLQPEMQSSTSTQDVPSERTCLPGMAVLQTPTVHQRVEPSLDLHAGVELARTSGMVIAHDLQSEIRSSDSMPTEQSILPAQHILATSRHPPAEAEPAGSLGSEAAQDLQPEVQSSTPMRDQPAEAEGAGILGAVAAQDLQPEMQPSTSTQDVSFEKTCLLGMPVPQSIPIHRSVEPSLDPHASHMSGVVTAHDQQSETQPSVSMQDRPAEAGADMLGTTAAQDLQPEMRSSTTEQEVPHERTLSEERRQVVFQPNMAPRPGQPTGLSDVTTLVFNNPILSKEPLKNELARFNYCYTSLFENFEQKKSLLLEDLRKLENTFLQQERELIDLYMKVCANQSVAENFRRMMFTPSSASQGRSMNPVMDQQLDSSSATQTSVSPVTSSSGILLPVSHSAGSYDRPSLTAQSSSSRTAQLQPAIPSNLYGATSSPSVRVPTSVPHGSYGSTSTGAQPRAVQPQPILPSDPYRTVGHSMMTYLPHGSYRSAGAQPHAPVPHLQQLSMRPPYPYAAHANQQHLSATPVGAISWEHYAAGMTGNFAATSSQASGWQESMANFLQPSSSNPLSMAATQQSSDPNIVLGSTAAPLNAAQGSQHAPSLAHHTISSASRLRPAHPESLLPSGWRESMANFLQSSSSNPLSMAATQQSSDPNIVLGSASAPLNAAPGSQHGGAQIAGSQSALPSARLPARLRATSSPADTSASPAVLCLSDDDDEQ* >Brasy4G437600.1.p pacid=40085401 transcript=Brasy4G437600.1 locus=Brasy4G437600 ID=Brasy4G437600.1.v1.1 annot-version=v1.1 MASRLCGCPDGGHDDTLVSKGRRAMEVHAGGMPLSPELSNLVIAFRAVRHILAPARCGALSSSTARQHPPGHRRVRRCGRGRRLHSAPQAGRYLGEQVIVFIYRLSDIYLIAAVGGGTVVGDVYIVRVDGRRPRADLLQLLAVLALPSLTWCHAAVTHGGLLLGVRRDTAIQGTRLGASFIDPTSSLTAAFYMVSVDIATHGARLGALSNNFMSCMSPLVAAFYVVSVETPPYGALVLARPSQRSLHQLGINTRPLVAAFYVVFAENITIHGARLGTLFTNLQYVAARGGLLRGVRRDTAIQASAWALPSPTPGEVSCTSYSTYIVFNIKSTSSSTWPMAFRHPSIFSNTDQALATRSHSTNMSLYR* >Brasy4G371600.1.p pacid=40085402 transcript=Brasy4G371600.1 locus=Brasy4G371600 ID=Brasy4G371600.1.v1.1 annot-version=v1.1 MFTNLTKLEVFDVANNSFSGSIPSQISKSKMLVLLMLSGNYFTGSIPTEVGSLPNLLLLDLSKNHLSGVMPSHIGNAIALDYLDVSTNHLEGEIPATIFSLINLVFLSLSGNKFTGVIHDTDNKQLPDVKVANNSSFLGESLSSFCQLTLLQLLDLSSNQLFGDLPGCLWSMKELQSLDLSSNAFAGEIPTSTYYNSSLRSLHLSSNNFTGYFPAVLKNFRSLVVLDIGNNKISGEIPFWIGESNPLLMILQLRSNLFSGSIPWQISQLSQLQLLDLAENNFAGPIPSGLVNLSSMIRPSMVRPKIEIPTFYLGLQSYYIDIIWKGRGHTFQGRNVLLAGIDLSSNSLSGEIPRGLANLRGLRFINMSRNYLSGGIPKNIGDLTFLDSLDLSLNKLSGPIPPSISNLMFLNTLNISNNHLSGEIPTGNQLQTLNDPSIYSSNLGLCGFPLSILCTNISPSTTALPRENEHHLGLETIWSSVIAGVAFVLWVWFGALFFCKRWRLCFFSCIDAMLQKVAPKKRNTFDST* >Brasy4G116200.1.p pacid=40085403 transcript=Brasy4G116200.1 locus=Brasy4G116200 ID=Brasy4G116200.1.v1.1 annot-version=v1.1 MEVDTMKDVFDRVVKKQKLKSSKTIDLVNHVKKEIDQAIKAIQGNGTGGDSAANLTHEILLNMKNKLKEMAPMKQLESCQKEMNTALSAWVKTTEKLFSHDISKAYRNVGMEPNVLNELIANHLYREALFDIGDNFIGEASSTASLKLKQLFQNMYEIHGALKAGKPELALSWAMKNHDALLQNSYCLELKLRQFQFVDMLKQGNQDQALQYARPYLAPFATTRKAEIQRLIAAILWAGRLDQSPYTEFSSPTNWEKLAEEFAQQFCNLMGQSSTDPMGTAVSAGAEALPILIKLMTVVTAKREWHSMKQFPFPLDLRSEFQFHSVFVCPVLREQGGDDNPPMLLPCGHVLSKQSTLKLSKNSSGSFKSPYCPFEAMTSGCKQLFL* >Brasy4G241800.1.p pacid=40085404 transcript=Brasy4G241800.1 locus=Brasy4G241800 ID=Brasy4G241800.1.v1.1 annot-version=v1.1 MAQQQLLQPQQRRVLRVCRPGSAGGDGEAFPTVQAALDAVPLGNSARTVIRLAPGVYREPVYVPKTKNFVTLAGASAEATVISWDNTATRIKHDQTSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYSCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSESTGYVFLRCIITGNGEAGYIFLGRPWGPFGRVVFAHTFMDRCVKPTGWHNWDKSENERTACFYEYRCSGPGSRPSNRVAWCRQLLDVEAEQFLTHSFVDPDLDRPWLLQMMAIRIPASA* >Brasy4G192800.1.p pacid=40085405 transcript=Brasy4G192800.1 locus=Brasy4G192800 ID=Brasy4G192800.1.v1.1 annot-version=v1.1 MAGHGLLAAGLVLLAAMAPAFAVDHTVGDSSGWASGVDYTTWASGKTFNVGDTLVFQYGASHNVAEVGSADYSACSASNSIQSFSDQDTKITLSKPGTRYFICGVTGHCAGGMKLAVKVSPAATAATPAPSATPAAPSDTPSTSTPATTTPAATMPATPTSTKSADSVGGASGVDARFAMGPLVGAVGLMGLALMG* >Brasy4G054400.1.p pacid=40085406 transcript=Brasy4G054400.1 locus=Brasy4G054400 ID=Brasy4G054400.1.v1.1 annot-version=v1.1 MLSRVVIAVGSFKCRHRRSKLHRHDVGWPNSNLVEATRSILGSRTTNLYTIDLLRLQFYLGDDSILIGKAVSNTMATQKVCLAEFTIITKKDRTRCTHEEKIAYGRQLRSFIYACPNAFSGLTRLKLENLTLSETDFPKIFSTCTRLEFLRLRDCDMGMFSLLEVEHPLLSELEIADCSFEEVYLKWLPKITLLTFSGWISQHDPVTFGYVPLLQSMSINNTGLCDHKMLKLSELLGNTTISDLHLNFQSEKIWIEPEGPKELYQVFHKLRIIDLVNISEDCELNWTMFILQGAPSLEELCIRVRDHLCDMVQDEAERQMYGFSKEKRDKGLQWNASASDFKHQKLAVLRIFGFQTEDKFVSHIRRVMEAAVNLENIYLYNKTVCKKCQHWVEKPSRYPYTLKQKTSLLNKVNHGIASSVKFHFPNLTTC* >Brasy4G054400.2.p pacid=40085407 transcript=Brasy4G054400.2 locus=Brasy4G054400 ID=Brasy4G054400.2.v1.1 annot-version=v1.1 MLSRVVIAVGSFKCRHRRSKLHRHDVGWPNSNLVEATRSILGSRTTNLYTIDLLRLQFYLGDDSILIGKAVSNTMATQKVCLAEFTIITKKDRTRCTHEEKIAYGRQLRSFIYACPNAFSGLTRLKLENLTLSETDFPKIFSTCTRLEFLRLRDCDMGMFSLLEVEHPLLSELEIADCSFEEVYLKWLPKITLLTFSGWISQHDPVTFGYVPLLQSMSINNTGLCDHKMLKLSELLGNTTISDLHLNFQSEKIWIEPEGPKELYQVFHKLRIIDLVNISEDCELNWTMFILQGAPSLEELCIRVRDHLCDMVQDEAERQMYGFSKEKRDKGLQWNASASDFKHQKLAVLRIFGFQTEDKFVSHIRRVMEAAVNLENIYLYNKTVCKKCQHWVEKPSRYPYTLKQKTSLLNKVNHGIASSVKFHFPNLTTC* >Brasy4G054400.3.p pacid=40085408 transcript=Brasy4G054400.3 locus=Brasy4G054400 ID=Brasy4G054400.3.v1.1 annot-version=v1.1 MLSRVVIAVGSFKCRHRRSKLHRHDVGWPNSNLVEATRSILGSRTTNLYTIDLLRLQFYLGDDSILIGKAVSNTMATQKVCLAEFTIITKKDRTRCTHEEKIAYGRQLRSFIYACPNAFSGLTRLKLENLTLSETDFPKIFSTCTRLEFLRLRDCDMGMFSLLEVEHPLLSELEIADCSFEEVYLKWLPKITLLTFSGWISQHDPVTFGYVPLLQSMSINNTGLCDHKMLKLSELLGNTTISDLHLNFQSEKIWIEPEGPKELYQVFHKLRIIDLVNISEDCELNWTMFILQGAPSLEELCIRVRDHLCDMVQDEAERQMYGFSKEKRDKGLQWNASASDFKHQKLAVLRIFGFQTEDKFVSHIRRVMEAAVNLENIYLYNKTVCKKCQHWVEKPSRYPYTLKQKTSLLNKVNHGIASSVKFHFPNLTTC* >Brasy4G026700.1.p pacid=40085409 transcript=Brasy4G026700.1 locus=Brasy4G026700 ID=Brasy4G026700.1.v1.1 annot-version=v1.1 MALATNAHGRVIHTCILSSKPPTAFGRSTAAMPGHHVQPQRARVVVRASAERATWLPGLDPPPYLDGTLPGDYGFDPLGLGEEPADLKWYVQAELVHCRFAMAGVAGILGTDLIRVSGISNLPVWFEAGATKFDFANTTALFFVQLLLMGFVETKRYMDIVNPGSQAEEGTFLGIEASLEGLQPGYPGGPLFNPMGLAKDIENAHEVKLKEIKNGRLAMVAMLGFFVQASVTHVGPIDNLLIHLSDPFNNNIIHAFTSP* >Brasy4G395500.1.p pacid=40085410 transcript=Brasy4G395500.1 locus=Brasy4G395500 ID=Brasy4G395500.1.v1.1 annot-version=v1.1 MRGEANGEGRGEEEQQQQQQVQDGEEEGAARPSSGQQQQQPAAAAAAATRGVGYVGKHRLSAAVARLDQELQSLQDELNELETMEPASAACQEVITSTQGKPDPLLPITSSPENSSWDRWFQRVPSSRSSKWWTSKGSNFS* >Brasy4G330000.1.p pacid=40085411 transcript=Brasy4G330000.1 locus=Brasy4G330000 ID=Brasy4G330000.1.v1.1 annot-version=v1.1 MAEAKLRSFVEVPRDSHFPIQNLPFGVFRRKSQPEDPPRPAVAIGGFALDLAAVSAAGLFDGPVLSGSPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALRKNCLVPMSDAEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPVGYHGRASSVIISGTDIIRPRGQSHPTASSQPSFGPSKKLDFELEMAAIVGPGNELGKPISIDNAEDHIFGLVVMNDWSARDIQAWETIPLGPFLGKSFSTSVSPWIVTLDALKPFACEAPKQEPEPLPYLAEKNHINYDIPLEAWIKPKEQSDPSVVTKTNFKHMYWTVTQQLAHHTVNGCNLRPGDMFATGTLSGPEPDSLGCLLEITWNGQKEISVGNSIRKFLEDGDEVILTACCKGEDYNVGFGTCTGKILPALP* >Brasy4G222600.1.p pacid=40085412 transcript=Brasy4G222600.1 locus=Brasy4G222600 ID=Brasy4G222600.1.v1.1 annot-version=v1.1 MSAHPPVKKQKIAHQVDPSPVGSKVKVPRTSGQARRQRGPASVQSSDRPHRAVAPVQAKRVTLSNSRQTASARSRARIPKLHGEVFDEKFAELRKVNEYKKPKDATNDLFHTLVQQNLYETVYKTMKFSEHKWVDWGYIKQQTVFHGLFDCCQRAKVDKIMSLKQNWDEELIRQFYATLYVDVDTSELIWMTNGKLCKASIDDFADALEISKVKAPQIHKKNLLELSEIKEFYVPHVPDIKPGKLYGLLPVAAAINKCIRVTIMPRSGDRSTIRGYARNLISTILDGSPFDVIDFIVNELILTISDPNKLINFAPYIQLLINRTMNKEFCCASEHPSYKPEGDKRKNLPHRKNPPSTKAVPQHALKRVKSTEQHMRLSASTSTSSPDEGITCTDRITPTTRTPIPKSYTRRDVMFQTKAL* >Brasy4G090100.1.p pacid=40085413 transcript=Brasy4G090100.1 locus=Brasy4G090100 ID=Brasy4G090100.1.v1.1 annot-version=v1.1 MTLPATTLPRPSLPLLPSLSFTAAPGIKTPAAASGVRRWPGAAAIGIRRRGFGRCWAAAEAVEEEEALLPKEDVGEGDEAKGRYYDWKKEWYPLYLSKEVPDDAALPLTVFDRQLVLYRDADGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARNYEVRDSQGVVWVWMSPSNPPDSSKLPWFEPYARAGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLAFEVTERTARGFAGYWARERAPHLRNLLRFEAPCVLTNTLEFVDKKGKEQCFSAQFLCRPAGQGKAMLLVRFGSTASSPLMKVLPQWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGISASFPAKGGIGTTHAPNPTNRYFRHVVHCKGCRETVNRYTALKNAFVVLAAVAAAAAVLASTRQWKAVWLASAAVLAAASYACGSVISLVTTNFIRRHRRL* >Brasy4G056800.1.p pacid=40085414 transcript=Brasy4G056800.1 locus=Brasy4G056800 ID=Brasy4G056800.1.v1.1 annot-version=v1.1 MACAQKLWRPESGNGGNGGGARKRRGVLGGGIEAGGGGCRARGGRPQGTAAAVEAVAACRARAGLGDIPGWVESGLRSLEEMRRRHHPPLPLLPSPPPEAAAGKARAVAEDGGGEDSAPPVRLHQRKPPPYRRPSYKLVLVVVEATPGRSFVLFDGSGGCRFRSVTAPAACSSGHLVRSSPSMEGSSPSTRRPNFRLRPGVVVPQASRPCPASPPSAAPPPHHARWRPRFVGIFLARIRPCTASSHLPSARSGPAPPPATSPPGSDGRQAAPLTRLTQATPSPRPAPPNFRPRRPMARSGGLVLSREELRRHQATSTFISVSLCRPHPLPPPPQVCAGHIPCPCPFPTTTTSTFPSTSQIQKISMFTLIRVMLCAPFFSPR* >Brasy4G148700.1.p pacid=40085415 transcript=Brasy4G148700.1 locus=Brasy4G148700 ID=Brasy4G148700.1.v1.1 annot-version=v1.1 MAALPHGNRPFLASHFGHRVPLPGRPRIAASSPEPYVALPMAALARASLLRSAVGRLGSIRAFSAAAAAAEGAAPRRDASAALVAAVAAGSGLGIWLLPPTSRPLADSGQADFAVAEAGFGDAEEREEKGKFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSDSNVVREGRLRGERNPGELQCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYNRQGAAHRDGLRIGLKVGQPVEDGGLVEYFFGKDGNGHLHYEKFSDFLKQLHDEIVRLEFSHYDNKSSKTISAKDFALSMVASADMNHINKLLDKVDDFDESPDVKDLRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRAATQVCGVDLTDTVVDVIFHVFDANCDGNLSSEEFLRALQRRESNIRQPTTPGLMGVFSCWLNCTKCSFQQMLLQ* >Brasy4G148700.2.p pacid=40085416 transcript=Brasy4G148700.2 locus=Brasy4G148700 ID=Brasy4G148700.2.v1.1 annot-version=v1.1 MAALPHGNRPFLASHFGHRVPLPGRPRIAASSPEPYVALPMAALARASLLRSAVGRLGSIRAFSAAAAAAEGAAPRRDASAALVAAVAAGSGLGIWLLPPTSRPLADSGQADFAVAEAGFGDAEEREEKGKFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVRNPEGEVYMLPADLMRAVVPVFPPSDSNVVREGRLRGERNPGELQCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYNRQGAAHRDGLRIGLKVGQPVEDGGLVEYFFGKDGNGHLHYEKFSDFLKQLHDEIVRLEFSHYDNKSSKTISAKDFALSMVASADMNHINKLLDKVDDFDESPDVKDLRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRAATQVCGVDLTDTVVDVIFHVFDANCDGNLSSEEFLRALQRRESNIRQPTTPGLMGVFSCWLNCTKCSFQQMLLQ* >Brasy4G252800.1.p pacid=40085417 transcript=Brasy4G252800.1 locus=Brasy4G252800 ID=Brasy4G252800.1.v1.1 annot-version=v1.1 MQMQTITLRAAPSARRPALPPPPPASSSNLSAGRCFLLPSPNSHRRRSRSLKASASLEQEVKERADSPSPSAGKSSQATRRDVRNIAIVAHVDHGKTTLVDSMLRQSKVFRENQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKVDRPIARPEFVVNSTFELFIELNATDEQCDFQTVYAIGLKGKAGMSADDLADDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRIGKIGELFVFQNFGRVPVESVSAGDICAICGINDIMIGETIADKVNGTALPTIKIEEPTVRMSFSINTSPFVGREGKFVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVNGKLLEPYEIAAVEVPEEYMGSVVELLGKRRGQMLDMEASGPEGTSLLKYKVPTRGLIGLRNAVLTASRGRAILNTIFDSYGPWAGDLSSRDQGSLVAFEGGSTTSYACVNAQERGVLFVKPGQDVYKGQIVGIHQRPGDLALNVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEYIQEDELVEVTPASIRMCKNPKISKKGKN* >Brasy4G083500.1.p pacid=40085418 transcript=Brasy4G083500.1 locus=Brasy4G083500 ID=Brasy4G083500.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G287600.1.p pacid=40085419 transcript=Brasy4G287600.1 locus=Brasy4G287600 ID=Brasy4G287600.1.v1.1 annot-version=v1.1 MASISTIPRALFLVSLLVALSSAAVPALAASAGRGSRAIHLHFYMHDITGGLGQTAVQLVKGPGPSHPLMPGAHFGDTTVIDDLLTEGPSAASRAVGRAQGSYMLAGLREPVLMVSMTVAMARDGPYNGSTVAVVGRDDVSEGVRELAVAGGTGAFRKATGHVLWRTARMESRDHMVLELDVYATVPAGAAASASARDGRRQAS* >Brasy4G150400.1.p pacid=40085420 transcript=Brasy4G150400.1 locus=Brasy4G150400 ID=Brasy4G150400.1.v1.1 annot-version=v1.1 MSTRMATQFQRPVRPSRASQLSTDTDRRLANEQHGLEAQYPHVLCDLFVGRRGSPSPLQLFDRRPPPHSRHGIRRLRREKSLRRKVL* >Brasy4G150400.2.p pacid=40085421 transcript=Brasy4G150400.2 locus=Brasy4G150400 ID=Brasy4G150400.2.v1.1 annot-version=v1.1 MSTRMATQFQRPVRPSRASQLSTDTDRRLANEQHGLEAQYPHVLCDLFVGRRGSPSPLQLFDRRPPPHSRHGIRRLRREKSLRRKVL* >Brasy4G176600.1.p pacid=40085422 transcript=Brasy4G176600.1 locus=Brasy4G176600 ID=Brasy4G176600.1.v1.1 annot-version=v1.1 MEEVVEPKREQNLVKVGMETWNQSFGTSYKIRLVHILKNLHTSEVKIYSDASREFIELLNGESGGEVLREYVQQSPRLVELVEAWRLHREKPGMAYILSLFATVLGHPGGKSRQHGSSKKSLDGVARTILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEVANSFDFKMAVLPQLTGMQKKKGGRDGRNQKKGANFGSTRRSLIGFAMSFLEVGNPKLLRWVLQQRELYSGVLRGIGEDDAETVVYVLSTLRDNVLIEESLVPPGLRSVLFGSATLEQLSLIAGNLDSGEAADIAHEVLVMVCTDPKNGLMPSSNLRGNEKRLLDLMKKLKATESVHHKNLLLTVVSKRLSLCSAYMNEFPYNIEPRSSHSWFAAITLAADIISSAKCDGIFHSLLSHDLISADDEQVQVVLKCIVPHVCSRAMINRGLLHSDHLVKHGSLRLVFESVNLLCYVIEAINGVVSSARLTSEFIGSTKVEVRTNSFPGLNCSTATDASLVDTIHHRDEMRVKRWIYLREYILDEVRGAIPDPQVLLKLLSSASQKHQDCSQSRPKSQTNNQLYEPPPKKQRRCATDDDADIIIGGIDIEQAEDEPDLANDHATTLCEIWGLDKLDPEMCALEVVDNVFHSKLLDVLRLYLRAMPSSFDGSFDFFRVIPPNPLDLSKDEQQCLLSLLVEYAGQCEGRWDPERVPESMYKHLQPLIDIMLHSQVKSIRGQAYILVKAALASSGAFDQKSSEIDAWLVFLPGYEAKCCGERLGVRSSNKLSHIVIPFLCDAISMVGNNLYKYQEHMRKFISKSGQFEGYSPAFSPLIVCVLQKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G176600.6.p pacid=40085423 transcript=Brasy4G176600.6 locus=Brasy4G176600 ID=Brasy4G176600.6.v1.1 annot-version=v1.1 MEEVVEPKREQNLVKVGMETWNQSFGTSYKIRLVHILKNLHTSEVKIYSDASREFIELLNGESGGEVLREYVQQSPRLVELVEAWRLHREKPGMAYILSLFATVLGHPGGKSRQHGSSKKSLDGVARTILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEVANSFDFKMAVLPQLTGMQKKKGGRDGRNQKKGANFGSTRRSLIGFAMSFLEVGNPKLLRWVLQQRELYSGVLRGIGEDDAETVVYVLSTLRDNVLIEESLVPPGLRSVLFGSATLEQLSLIAGNLDSGEAADIAHEVLVMVCTDPKNGLMPSSNLRGNEKRLLDLMKKLKATESVHHKNLLLTVVSKRLSLCSAYMNEFPYNIEPRSSHSWFAAITLAADIISSAKCDGIFHSLLSHDLISADDEQVQVVLKCIVPHVCSRAMINRGLLHSDHLVKHGSLRLVFESVNLLCYVIEAINGVVSSARLTSEFIGSTKVEVRTNSFPGLNCSTATDASLVDTIHHRDEMRVKRWIYLREYILDEVRGAIPDPQVLLKLLSSASQKHQDCSQSRPKSQTNNQLYEPPPKKQRRCATDDDADIIIGGIDIEQAEDEPDLANDHATTLCEIWGLDKLDPEMCALEVVDNVFHSKLLDVLRLYLRAMPSSFDGSFDFFRVIPPNPLDLSKDEQQCLLSLLVEYAGQCEGRWDPERVPESMYKHLQPLIDIMLHSQVKSIRGQAYILVKAALASSGAFDQKSSEIDAWLVFLPGYEAKCCGERLGVRSSNKLSHIVIPFLCDAISMVGNNLYKYQEHMRKFISKSGQFEGYSPAFSPLIVCVLQKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G176600.3.p pacid=40085424 transcript=Brasy4G176600.3 locus=Brasy4G176600 ID=Brasy4G176600.3.v1.1 annot-version=v1.1 MSSLTILNHGRLTHADIISSAKCDGIFHSLLSHDLISADDEQVQVVLKCIVPHVCSRAMINRGLLHSDHLVKHGSLRLVFESVNLLCYVIEAINGVVSSARLTSEFIGSTKVEVRTNSFPGLNCSTATDASLVDTIHHRDEMRVKRWIYLREYILDEVRGAIPDPQVLLKLLSSASQKHQDCSQSRPKSQTNNQLYEPPPKKQRRCATDDDADIIIGGIDIEQAEDEPDLANDHATTLCEIWGLDKLDPEMCALEVVDNVFHSKLLDVLRLYLRAMPSSFDGSFDFFRVIPPNPLDLSKDEQQCLLSLLVEYAGQCEGRWDPERVPESMYKHLQPLIDIMLHSQVKSIRGQAYILVKAALASSGAFDQKSSEIDAWLVFLPGYEAKCCGERLGVRSSNKLSHIVIPFLCDAISMVGNNLYKYQEHMRKFISKSGQFEGYSPAFSPLIVCVLQKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G176600.2.p pacid=40085425 transcript=Brasy4G176600.2 locus=Brasy4G176600 ID=Brasy4G176600.2.v1.1 annot-version=v1.1 MLFQWLGTICTNTKSTCGSLSLNQASLKKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G176600.4.p pacid=40085426 transcript=Brasy4G176600.4 locus=Brasy4G176600 ID=Brasy4G176600.4.v1.1 annot-version=v1.1 MLFQWLGTICTNTKSTCGSLSLNQASLKKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G176600.5.p pacid=40085427 transcript=Brasy4G176600.5 locus=Brasy4G176600 ID=Brasy4G176600.5.v1.1 annot-version=v1.1 MLFQWLGTICTNTKSTCGSLSLNQASLKKCLRLLDSESGGMKLHEKSTISLYVCNTIYLILQSQVDGQLLLDLIGAILIERFDKFSSEEIHSRIYLSEWRPLVNLLHISRSFSDQRSLSFLNTMELSSELHSNSLSSVTKKVEEMLSQQNTNLPDDVATAFLFSILCAPVEDIIRSFPDILDVVKTQFPSHLQFLSSVLFLQHDYLAKIASYWPDMFNCSLRKIMDDNVEDKHQNHSISESTAMSTFLNVTPSCVLLPSVLSLMFSAPAEIGEDHVLLHDAFVQLFQIKISESTISELSFHLRVVLFWSNRLLSSYTIKCSDVLERLCHVCFTLVDNIFERIRVLTVDLKSSAAFYPVECFQDIVESVLQHPIIALSFPWEREEVNGPSLPCSLSNCPDLTDGSVEYVKEAFASFSKENLHPVDGFVLNLLSKLYDLLSLAGSFEANYYDNDGRSLQSVFASPKVMLERILLLFEEKFKLCMDKGNFGLLLPNFYMVRMLTKFMSPVKLMELANWMFSELECRVSSCSAAFAPADFVCLYIADIAMEFMYDCLQQTDQRSSCQSWDLEIRNSDIATIQRAYHIILHFATKLNLEFADVCLLKMLNRIHDAERSAGQNTEYAAFHMMLSTVVINTPLGILHHCMFPTSKVKSKAIWLLLEASPMHMNFFGQMFMKVLEKDTSVLQVMDDDSNSSWVHEDSAILLLPAALSYMKHHSDSHVQCVEFLEPVPNFYCEILLGNHGFPCWRSFISRSIFEENFSDFRYKSVEDIMDYFSDTLLGKSITMLRYYLSSKEISRKQRLEIITSICPQSSELLDSDVNDINPDSRNGILKLTNEMFAKISLIRLLLSPPTVLLSNETASDRESKRVNNAKLNFISILVRTLDKIRSNFPRCDGFLSHSEKEQNIICSLEYTILKNIIELSSEIQTHLNQLKAIPFLNQFIRSSLLHRFNDNVAIKAIRCILVVLSEGKFAAAEILELILSHSHFLSAITCSEVSENPSACNPTGSLLQPAPSILKSVDSSFAKERKFQVCIAQKRKREIIRLLRVLYDIKSSQQNNSIVNESRELSFLLLSVYGATIGETDLEMLHLMNEIESYECKTMAEVDHLWGNAALKYREELKLDSSTSEIHKTENTESNGRRRALFRENIPIDSKLCIMTVLQFCYKRSSMNSVLSLEQLRQDNFVDILKTTSESTDMVQIYDPIFILRFSIHTLLVGYIEPVEFSRLGLLAITFVSISSPDEELRKLGYESLGTFKKTLEASQKSKEKWQLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDGSHTQFNTISKFLMHSASVNLQTIPLFPTLLRSSSVHFEADQLWMLQLLYAGINLADDAIICKRGNVVELALAFCSSSVSDSGSKRLILQVLKKCVKLPVLAQHLAKDCGVLSWLSSVISSHNEGLNSVTNSSSKIFGLALEVLNALMSSRFITEWLQETALEQLSEISKILYLLVEDGKTLKGNISMLTSVLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIDLKLTMELGIDVVLMNGPLPILSEMDKSRTAMVVSWATSNIFWFCNKKSVLEMSCEEPLRNECLLSKILRWLVASVILGRISCISPEKRGNLAMSTNSPGTLQSFLNCTYERVEMVDSHVANETLAIIILYLQDHVKKNSDTLPSVVTALCLLLLDRSSKQDLVDSRGQIEMLCAKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQACRSILIIFSNAFSAGLPPGIPVLSLGDFEKSGLFQWERDSMVKQPQT* >Brasy4G097800.1.p pacid=40085428 transcript=Brasy4G097800.1 locus=Brasy4G097800 ID=Brasy4G097800.1.v1.1 annot-version=v1.1 MDSDYGVPRELSEVQKQRTLYQPELPPCLQGTTVRVEYGDVAIAADPTGAHVISHAFPHTYGQPLAHFLRKAAIVPDAKVISEHPAVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNLNSKLIGFLGGTDGLLAQKTLEITNEVLSSYKNQGGYDMLGRTKDQIRTTEQVKGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEINGLHGKGVSIENISSQLSPWASALFEFLPQFIRQQLLLRPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYTGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPLNKWRCGAAPISSMMTVKRWSRGPATTQIGKPAVHMASVDLRGKAFELLRQNSSSCLLEDIYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQAPPL* >Brasy4G019600.1.p pacid=40085429 transcript=Brasy4G019600.1 locus=Brasy4G019600 ID=Brasy4G019600.1.v1.1 annot-version=v1.1 MQHEPKRECPDNWAHVYAYAQQRSSTGHRVKNTDPAVHGRTKPEPRQVQPSGPTKATIEAAQGKAKAGKAKQCAPTTRRRPNPNLPSSPRKTRKSGTARTPHKPQYTKPAVHPFPSLRFFHYYIASPSRRHRLSIPSLPFPSLPLPPLRHLVPPTSLSASPRRATTQTPPSPPDLRSSPRLREASPPPLSCARHASPRS* >Brasy4G269500.1.p pacid=40085430 transcript=Brasy4G269500.1 locus=Brasy4G269500 ID=Brasy4G269500.1.v1.1 annot-version=v1.1 MVCEPYQNKLNITQLVAVQVDAQMLTNSIEVALDSSFFLGNLSIYVIFEFTCVV* >Brasy4G135100.1.p pacid=40085431 transcript=Brasy4G135100.1 locus=Brasy4G135100 ID=Brasy4G135100.1.v1.1 annot-version=v1.1 MGLPFERPVSSSLAQLQEYVDNHQFTHRAEITIGAVRVGDVFDMAEIVQRKETWEIVNREWLMGEARRFALCVEDLDFLVEEPAAASALLTEATKFRSIIQRQSGLHRLLRLADSIVEVGQEAVQAAVVEEGENSSSDSENGDDDAVEDEPGDEDDDAVEGEVGDHDAAEGEDETVQAVASLRQRLTRIKAKLQRLPGLEIGFRGSTTICSSDFNLDPDDQPVAAAEPAEPSVNDASTRAAEPAEPSINDRCRARRGANRRRRLHLRCIARRAAR* >Brasy4G340200.1.p pacid=40085432 transcript=Brasy4G340200.1 locus=Brasy4G340200 ID=Brasy4G340200.1.v1.1 annot-version=v1.1 MAMAAELPSCLLLLALLAIISLLYLKVSRRGKSNSAQRLPPGPWALPAIGHLHHLAGALPHHAMRDLARRHGPLMLLRFGEVPVVVASSPDAAREIMKTHDLAFASRPIGPMLRRVFQGAEGILFAPYGDAWRQLRKICTVELLSARRVSSFRPVREDEVGRLLRSVGSAAGPVNLSERIAAFVADSSVRAISGCRGRNRDEFLRLLEEGNDVPGMSLPDLFPSSRLAMRLSRVPGQTEERRRGMLAFLDATIQERQENKAAATGVEEEHEDLLDVLLRLQKEMGSHGKYHSSLEQASREPLPGNPSYPGTLAKTHASSIGGPLGPLDLFAAGSETSSMLLHWAMAELMRNPTVMQRAQEEVRRELAGQDKVTEDSLTNLHYLRLVIKETLRLHPVAPLLLPRECRSACQVLGFDVPQGATVLVNAWAIGRDPAQWDAPEEFVPERFEEQGCGRDFKGTDFEFVPFGAGRRICPGMTFGLAHVELALAALLFHFDCKLPEGMAPEEMDMTEEGGLTTRRRSDLVLVAVPRIPVPIE* >Brasy4G342700.1.p pacid=40085433 transcript=Brasy4G342700.1 locus=Brasy4G342700 ID=Brasy4G342700.1.v1.1 annot-version=v1.1 MEMESGSSSSLRRRLRATVCCCFGLGYGGYRARRAGSGGEFRYDSLSYALNFDEGPDDQLEDDAGAGLLYRSFSSPPRALARTPAAA* >Brasy4G310700.1.p pacid=40085434 transcript=Brasy4G310700.1 locus=Brasy4G310700 ID=Brasy4G310700.1.v1.1 annot-version=v1.1 MARITFSASAILIAAAALLLSPLSAGGLAGPVSPPSPSPRAIGLPGCETSCGDVSVPYPFGMGPPRCYWPGFNLTCDRRRNPPRLLLGYDSVLRVAEISLRNSTVRVIHTGSVIYTPSVIYDTAAQDWNVSFGNCFTGGGGAPYTLSSSNELILTGCNAQATLLGLGDAGNASTDTDDDNIISGCASFCSSTIRPYAGGVASGHDKYCSGMGCCQAPISTDSTPKELQFRWFNRNHSRDLTPLPVYVFVAEEGWFDQRWVTDELVQRLQPPSAAALEVPLIIRWEVARDVNSHPDCSGEVARSLCKSKHSDCNQENRGYSCKCWNGYDGNPYIADGCQDINECERPEEHGCFGGCTNLLGTFQCWCPLGTHGDHTLRNGCVKPVTGLILIGVGLGLVGILIMVLPATLVIRKIKKFIDAKDLKRKFFKQNRGQLLQQLVSQRTDVAERMIITLEELKKATKNFDKSHELGGGGHGIVYKGILSDLHVVAIKKSKIVIQQEIDEFINEVVILSQINHKNIVKLLGCCLEVEVPLLVYEFISNGTLHDHLHANGHISLSWNKRMRIGIETAKALAYLHSATSIPVIHRDIKSTNILLDDTLTSKVSDFGASRYIQIDETGVTTKVQGTIGYLDPMYYKTGRLTEKSDVYSFGVVLVELLTRKKPFLYLSSEGDAGLVDHFLTLLAESNLVEILDPQIMEEGGEEIKEVAKIAAACIKFRGEDRPTMRQVEMALEGIQASKEHISNKFAIQKSVDNNIKRNFLSTQEARTKLGATRIYSLEEEFLMSARYPR* >Brasy4G326800.1.p pacid=40085435 transcript=Brasy4G326800.1 locus=Brasy4G326800 ID=Brasy4G326800.1.v1.1 annot-version=v1.1 MAGSDRSARRAAAARPGRLEVAAAHRRAEEAARRREAAGARRREAAATRRREAATARRREAGGRGRAAVAQPDAGGASSSALLPTTPPIPL* >Brasy4G048600.1.p pacid=40085436 transcript=Brasy4G048600.1 locus=Brasy4G048600 ID=Brasy4G048600.1.v1.1 annot-version=v1.1 MEMGFAAAGTAANGGGAAAATNGGGGKAPAAAAIREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVHPLGVYLHRFREFEGEARGGLGAAGGSLRSPRGAPGSASSSMMVPQHHHHDMQMHAAAMYGSAMPPPPPLPHHHAFLMPPQPHHAQYGQQYEMYGGGEHGTMGAYYGGGYAPGNGGHNGDSGGGGAGTPQAVNFEHQPFGYK* >Brasy4G413500.1.p pacid=40085437 transcript=Brasy4G413500.1 locus=Brasy4G413500 ID=Brasy4G413500.1.v1.1 annot-version=v1.1 MAAAHSFFSFSVEPLHHSASPAMMTGNGGRSRRGSGSGFFRPSPVICPGREPASDELSNDLHLQEGLITSVQALLRQHPKNNRGMITTVDHLKRLCIDHYFQDEIDGVVDGCVDLIHTNDLFHATLSLRLMREAGYHHVSADEVLGKFANDNGEFNLGHSKDIKGLLSLQDMSHLNMGEASLYKAKEFSSKHLRSATKYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQSLPTRNTAIEELALAEFQLNKMMHQREMQEVKRWWMDLGLAQEIPAARDQVLKWYMWPMTVLPGLPFSRYRIEMTKIISLIYIVDDIFDLVATQEELSLFNQAIKKWDFAAADSLPTYMISCCKALYTVTNDIADMIRKEHGLNPIDHLKKAWATLFDGFMVETKWLSSNQAPAPEDYLRNGIVTSGAPLVFQHLFFMLGHGSTEVDRDRIPRIVSCPAKIMRLMDDMGSAKDEAQEGLDGSYKELYMRENPHDNAEEHMLEMVAGEWEELNRECFSRLSFSPSFVGASLNFARMVGIMYGYDDEQRLPVLDDYARMLLL* >Brasy4G370400.1.p pacid=40085438 transcript=Brasy4G370400.1 locus=Brasy4G370400 ID=Brasy4G370400.1.v1.1 annot-version=v1.1 METDVDRPSSSYRRRKSAAVESNNGTPSPSAATESPNLGVGEGEGGVDRISNLPDDILGEIISLIPTKDGACTQTLASRWRYLWCSASPLNLDCRELPAGMDACADVVSQILSSHLGPGRRFCIHSHYLFSGWTAALDVWLGSPALDNLEELDFYCWSPTLDDLVRQVLDLSYVMNDPKMLVKNRDPKKRLVPPPASTFRFAATLRVATIGNCYLLFTLIQSLHFPHLSKLALEHVCLSERCLYGMIAACPALECLLIELCHGFRSFRVNSIGLKSIAMKVGLYKGGRFQFKELIIENAPCLRLLHVGLQFDLHVSIVSAPKLETIGCCFFQNFPSNRLAFGSTIIQGIPVDSLAMVVHTVKILALSAHILSLDTIIKFMRCFPCLEKLYIETCEKPPDNVWRREHQELSRCFDIPMKTIVLQFYRGTKLEVDFLTFFVLNAKVLELMTVTVEDNYFNEEFLALQHMNLQLENRASRGARFRFTTSRRIRNVSGIEHVHDLDLADPFMQ* >Brasy4G005400.1.p pacid=40085439 transcript=Brasy4G005400.1 locus=Brasy4G005400 ID=Brasy4G005400.1.v1.1 annot-version=v1.1 MAAAGATTSRRGPGGGGGGAMDDENLTFETSAGVEVVTSFDAMGIRDDLLRGIYGYGFDKPSAIQQRAVLPIITGRDVIAQAQSGTGKTSMISLSVCQIVDTSVHEVQALILSPTRELATQTERVMQAVGNYMSVNVHACVGGKSIGEDIRKLESGVQVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHDILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMNEFRGGSTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI* >Brasy4G187300.1.p pacid=40085440 transcript=Brasy4G187300.1 locus=Brasy4G187300 ID=Brasy4G187300.1.v1.1 annot-version=v1.1 MRLVLIGFVELGKLIGSSQQGRFIVNTIFFLPQSLFICWRCLFRVVFMMHLVDELGFTHALSDVALHEDVISVTSNLLSFYICQGRCEVYSNPCSFVV* >Brasy4G374800.1.p pacid=40085441 transcript=Brasy4G374800.1 locus=Brasy4G374800 ID=Brasy4G374800.1.v1.1 annot-version=v1.1 MLRLSRFLPSTSRKVSDLKDVLWSGSLTFEHALSTSAANVDENASARKFASYTVFKGKAALSISPILPNFTKIESGGSRVKKNGSVMLTFFPAVGQRQYDYSKKQLFALSPTEVGSLISLGSAESCEFFHDPSMKSSHEGQVKKSLSITPLGNDNGYFVNITVLNNVQKTNERLSVPVTKAEFAVMRTALSYALPHIMGWDQALTSHPQSPTAPASKPRMERPHPDSEWER* >Brasy4G144300.1.p pacid=40085442 transcript=Brasy4G144300.1 locus=Brasy4G144300 ID=Brasy4G144300.1.v1.1 annot-version=v1.1 MATVMQRSSSDGGSSSGWSEAGAAAAAGEEKAGWEVRPSGMVVQARDREDGAGVPPRPPPPEIRVRVKYGAARHEVAVSPIATFGELKKVLEARTGLRPAEQQVTYKGRERGNSEYLDACGVKNKSKLVVSEDPASLERRFIERQRNARIQSANRALGAIALEVDKLADQVKSIEKSVSGGRKVAEVQITTLIELLMRHAVKLESIAADGDSSSSSQKNIQSKRVQKCVETLDVLKVSNARLQTVVVTTKWETFDAPATTQWELFD* >Brasy4G397400.1.p pacid=40085443 transcript=Brasy4G397400.1 locus=Brasy4G397400 ID=Brasy4G397400.1.v1.1 annot-version=v1.1 MALPYGRAGLLLAFAVPLAFVCHADGAVKELKTGYYGKTCPGAEEIVRGVMARALAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMAGEKESLSNINSIRSFEAVDQLKEALEKRCPGVVSCADIIVMASRDAVVLTGGPQWDVRLGREDSLTASQKDSDDIMPSPRSNASALIHLFAGYNLTVKDLVALSGSHSIGKARCFSIVTRLYNQSGTGPAGLTPMTALCPNGGDENVTSGMDATPVTFDNHYFKDLVHRRGFLNSDQTLFSDNARTRRLVGRFSEDQDAFFRAFAKGMIKMGELQNPNKGEIRRNCRVANGSPRALEKQDVPSRVVHL* >Brasy4G213800.1.p pacid=40085444 transcript=Brasy4G213800.1 locus=Brasy4G213800 ID=Brasy4G213800.1.v1.1 annot-version=v1.1 MFASAVEAVSCAYALPLPTGVPSSRWPQLLRRRCLLSSNRACTMQMIQEVLSARMQRKTSMHAKAIWNK* >Brasy4G213800.2.p pacid=40085445 transcript=Brasy4G213800.2 locus=Brasy4G213800 ID=Brasy4G213800.2.v1.1 annot-version=v1.1 MFASAVEAVSCAYALPLPTGVPSSRWPQLLRRRCLLSSNRACTMQMIQEVLSARMQRKTSMHAKAIWNK* >Brasy4G318800.1.p pacid=40085446 transcript=Brasy4G318800.1 locus=Brasy4G318800 ID=Brasy4G318800.1.v1.1 annot-version=v1.1 MAIDARVAVQRLFSWCISQMDAWRKKKEEDRQPAGFPPPPMLVAAPLPSCLPPPSSAVPPHSSAAPRPRHHPAPRPRPRTRAALRPRRRRKASHPCRWPALPLASAIRRSIPLSVSRWKKTTVPKATKPPARSKATCAVLLGSSSSRARPELQPGPSRASIIGLKSVSGRAELGLARVQAEPGLGELRLCKSNSRATTPPLCSDP* >Brasy4G404900.1.p pacid=40085447 transcript=Brasy4G404900.1 locus=Brasy4G404900 ID=Brasy4G404900.1.v1.1 annot-version=v1.1 MAMATSYSISNPTFTSKSSLPNKQVPNWIFPIISSDNGSGGMFTLARRSLRAGFHVCAVTGDQNTRNVFSANFPSDYTELLLQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKTTRTRIFFPEANEVTFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVQPEDEIFLVAYPYFNVNEMLVVEELYKEAVVNTDRKMIIFNGELDRIRSGYYPPFFYPKLAELSKTFLPKMETVYYIHNFKGSKGGALFRCYPGPWKVLRKVGGSFACLHEQEEMPSLKEVALDILPST* >Brasy4G030000.1.p pacid=40085448 transcript=Brasy4G030000.1 locus=Brasy4G030000 ID=Brasy4G030000.1.v1.1 annot-version=v1.1 MEDFSGFAAALLVAIAVATVAEAKTTIEPCSGSDACQALLGYTLYADMKVSEVAALFGGVDPAALLAANALDFGAPGAAHRILPMGLFLRVPARCACSDGVRRSVSTRYAARPGDTLAALADVVFAGLASADQIRDANGLGASGDDTDAPLDAGQKLVVPLPCVCFNNSDSNLPAVYLSYVVQVGDTVPAVAASYETTVTDIMNVNAMGSPVAAPGDILAIPLPACASTFPKSASDHGLLVANGTYALTAGNCVQCSCGPGNLDLYCTPASLSGSCPSTQCSNSNVLLGNVSTHPTSAGCNVSSCGYGGFINGTITTLLNTGLQPKCPGPHQFPVLTDPPRTVNHDPTFLPPLSAPGPAEAGGVFPGPSSSGKGAPSSSVQGGSFRFPRVPPAHGHGPPGSVSEAPPLLSKPQQILSSFIFCLLLHFHM* >Brasy4G308200.1.p pacid=40085449 transcript=Brasy4G308200.1 locus=Brasy4G308200 ID=Brasy4G308200.1.v1.1 annot-version=v1.1 MGAEPAELVGLAAVLVPFPAQGHVTPMLQLARALAARGVAATVAVPDFVHRRMGGQACNADADADDGVALVSIPSGIVPDDDAPAFASIVRAMEHHMPAHLEKMLLTRARAGAGTGRAAGLVVVVDVLASWAIPVATRCGVPAVGLWPAMLATFRVVSAIPELLSKGLISDSGIPILTKNLKDDEANADLQIANNLQILPEDLQLGTKEMLPWLVGCAATQESRFAFWLQILQRAKSLRCILVNSFHGESPGLQDSKPHHAPKDDMQILQIGPLLSEGLDSLKGHPQKLPATKNPSTWQADGSCMDWLDQQRPGSVIYISFGTWVAPIGRDEINELALGLQATGRPFLWVLKNDPSWRAGLPAGYLETVVGRGKVVAWAPQGGVLGHEAVGCYLTHCGWNSTLEAIRHGVRLLCYPVSGDQFINSSFIVKMWEIGIRLPSTGQSDVRDCIERIMEGEDGRRLRGKVNELRDRVMVGEARSVAKRNLDAFVDGIKRDGLGLAHLAT* >Brasy4G433600.1.p pacid=40085450 transcript=Brasy4G433600.1 locus=Brasy4G433600 ID=Brasy4G433600.1.v1.1 annot-version=v1.1 MADDQTDADFFDKLVDEDEDDAFSPAPASKSAPEEEELARGVSGLSLAAEEASAPVRELAAPRPAAEGGSPGSGKGAVHTTVKQAQWASFGGGDGGDGFDPFGDLSAGAAAEEFLGSSVVGGSVWVSDHGFLGGSGQSLTAEAAKDQEGVFGGSSNRSADEAAQLERHGNGGAVDSADPKYLESLYPGWKYDEATQQWFQVDGSETAGYSAQVESGSENVQQQQQQFGVSYLQNSAQAALETIAEEGTAAGSVSAWGQEAASEYPANMLFYAEYPGWYFDTNTQEWQSLESYQQAITQARAANAAVQDGANHGVVAPSGGISYNLNQTEGLAVQNQVAQHNSFTNSYSHQSQWQTEAFANGMQPESVTNSLAASFYGPDQHVNAESFSSSTNHQVGFNTAETSTSHYGSNKSLEFSSPQSAFNTIGSQQASYKGFEPSKGYQTSQKVLESSTGNQGSYNAFEPSTDHHQGGYKGFTPSTGHQAGYKVFTPSTVNQAGYKEFGASTDHHTKGFEPPSGHQAGYMGSQPFTGQQDGYIGSQPSTGHQSSYMGLEASANLGYGDANGVVSTQGFVPLGGMYNSQRQAHANTQAHLSNSYVGIENSINFSQQQFGGSNASHMQFGYSPHEERSSAGRPPHALVAFGFGGKLVVMKETSSVAVNFNSGNQTSSSGTISVLNLSEVVSDKADASRISDGSALSYFHVLCRQAVPGPLVGGSAASKDVNKWLDEMTAWYESSTSDHTVDPRKLLISLLKILCQHYGKLRAPFGSDLSQEDTDGPEMAVTKLFSSCKRSSAHMGDFGSNVRFMKNIPSESQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAVILALQLGDKFYVDTVKKMAQCHFISGSPLRTLCLLIAGQPADVFNVENNNNINYGTLGASQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWQEKNEVAAAHSCYLVAELNIDPYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGRVADSLRYCQASMKVLRASGRAPELEAWKLLFSSLEDRIRTHQQSGYGTNLAPAKLVGKIFTSLDKSISRMMGTQSAPLPPMPQGSVSDKELYSAPAVTKFVNSQSVMTMSSLMTSPSVHSISEMAENSGVTGRKLAHNRSVSEPDFGKTPKEGARSDNTQSSASGSGNSRFGWIGSTLQKTMGFVSKSRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPTKSSSYQNGMPDYNLNGPASGIHTPNGLTERRSPKHSDHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKAGATGAAQSYNKPVASSVTPPTGARFFVPNAAAVSAEQMPSQPPEMRSETFRQDEHSSSPPVETSFSSPPPTAQFSAPMSSTIQRYPSMDNMAHPNQAPWMSPGSNSSSFSSRSRAASWSGTYSDQLSASAGARSPDGPSVPSPLMPGRPSHSRSNSNSSVQFNGLAEDLHEVEL* >Brasy4G373300.1.p pacid=40085451 transcript=Brasy4G373300.1 locus=Brasy4G373300 ID=Brasy4G373300.1.v1.1 annot-version=v1.1 MAGAVIVHHHARYKTYPGEVTGFVFFACLIASVGGCIFGYDIGLTAGLTSTESFLILFFPDIYRQQKEQVITNQYCKFDSQELSLFGSSLFLSAAAASLFASPMARAFGRKWTLFSAATAYILGAFLGGVSTTFPVLLTGRILLGIGVGLCIHASPLYISEMAPAQHRGMLNILFQFMITVGILSASLTNYWTGKFIGGWGWRVGLAFAAVPGSVIALGSLAIPDTPASLLLRGESEAARLTLQQIRGIGIDEVKQEFDDLVAAAEESKAVAKPWRELLFGGKYKPQLTFALAIPFFQQLTGINVIMFYAPVLFKTMGFRQDASIVSSVITGLVNVFSTFVATMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGLSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSQAQSITVAVNMVFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAVLLPETKCVPLEEVAHVWRKHWFWRKFMVDTSADARGAEMRKRIALEMS* >Brasy4G143100.1.p pacid=40085452 transcript=Brasy4G143100.1 locus=Brasy4G143100 ID=Brasy4G143100.1.v1.1 annot-version=v1.1 MASPEDTSLEPAIGWLAETILGTLQIDKLDTWIRRAGLDDDVQKLKSEVERVEMVVAAVRGRATGNKPLSRSLARLKDLLYDADDAVDELDYYRLLQQVEGVTCDDPGSTHGAEGVDDGLRGVAADVPNSSSGNLRSKHWKDFTVITWENGKAIEAKCNLCGAHPIKCGSGNGTSVLSNHLKSKGCIRKRRGPPNTSSTSDATETATPIVIGDSSSRKRKRADEESSQITATNTDSPWDKAELSNRIQKLSSQLQDIREEVSEVLKLHGPDFASSSNNHRSTASDQHLRTTSSLVPRKPYGRVAEKNAIIKAILEGKSNGVTVLPIVGIAGVGKTTLAQFVYNDPDVKSQFNTKIWVWVSHNFDEVRLTKEMLDFVPQDSHKGSLHRNERHEGIGGFAKLQEILKERMEYQPKRFLLILDDVWDNLDDERWNKLLNPLISSQVKGNVILVTTRNLCIAQKKGTLEPIQLCALPDEDFWLLFKSRAFGDENYKGNQCLSIIGRQIAEKLKGNPLAAETAGELLREHLTVDHWTNILKDEHWKSLQLSTGIMRALKLSYDQLPYIYSNVSLILLYFPAVIGFLARSWSVFGFHKDL* >Brasy4G106500.1.p pacid=40085453 transcript=Brasy4G106500.1 locus=Brasy4G106500 ID=Brasy4G106500.1.v1.1 annot-version=v1.1 MPPSPIRFGRQIPLPCSDTEEEEEEEEEEEEEEEEEEEEEEQYEWEEEAEGVAPVASPFVLPAARGVVSVVDMVAAALRRSLLLCSSVRAEEEQRAAATRMQIGRPTDVCHVSHVTFDRFMGFLGLPADLEPEVPCPAPSASISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKYDTGTAHDKSNSKFSPVSSDFSSQDNNSRNKLSGDSVEGLFDRFKFRNGVGRLCRHPVFQLSRSMKKSDEAGQACA* >Brasy4G106500.2.p pacid=40085454 transcript=Brasy4G106500.2 locus=Brasy4G106500 ID=Brasy4G106500.2.v1.1 annot-version=v1.1 MPPSPIRFGRQIPLPCSDTEEEEEEEEEEEEEEEEEEEEEEQYEWEEEAEGVAPVASPFVLPAARGVVSVVDMVAAALRRSLLLCSSVRAEEEQRAAATRMQIGRPTDVCHVSHVTFDRFMGFLGLPADLEPEVPCPAPSASISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKFKFRNGVGRLCRHPVFQLSRSMKKSDEAGQACA* >Brasy4G106500.3.p pacid=40085455 transcript=Brasy4G106500.3 locus=Brasy4G106500 ID=Brasy4G106500.3.v1.1 annot-version=v1.1 MPPSPIRFGRQIPLPCSDTEEEEEEEEEEEEEEEEEEEEEEQYEWEEEAEGVAPVASPFVLPAARGVVSVVDMVAAALRRSLLLCSSVRAEEEQRAAATRMQIGRPTDVCHVSHVTFDRFMGFLGLPADLEPEVPCPAPSASISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKYDTGLNLGTELGDSAGIQCFS* >Brasy4G106500.6.p pacid=40085456 transcript=Brasy4G106500.6 locus=Brasy4G106500 ID=Brasy4G106500.6.v1.1 annot-version=v1.1 MGIGVGEYEDMGSRFGNYMELWMGHASWPRIQKAVSISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKYDTGTAHDKSNSKFSPVSSDFSSQDNNSRNKLSGDSVEGLFDRFKFRNGVGRLCRHPVFQLSRSMKKSDEAGQACA* >Brasy4G106500.4.p pacid=40085457 transcript=Brasy4G106500.4 locus=Brasy4G106500 ID=Brasy4G106500.4.v1.1 annot-version=v1.1 MGIGVGEYEDMGSRFGNYMELWMGHASWPRIQKAVSISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKFKFRNGVGRLCRHPVFQLSRSMKKSDEAGQACA* >Brasy4G106500.5.p pacid=40085458 transcript=Brasy4G106500.5 locus=Brasy4G106500 ID=Brasy4G106500.5.v1.1 annot-version=v1.1 MGIGVGEYEDMGSRFGNYMELWMGHASWPRIQKAVSISIFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNSQEQNVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNSEEECALVASTVPPVEAALLDWAINLMADVVEHEKYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAATAARAFTSNSGSPSDKDEPETLDHLDKPFTCSSQQTDERPMISGSTLDHFLFRVEQELQHDAQASIGEPKYDTGLNLGTELGDSAGIQCFS* >Brasy4G112900.1.p pacid=40085459 transcript=Brasy4G112900.1 locus=Brasy4G112900 ID=Brasy4G112900.1.v1.1 annot-version=v1.1 MATPRSPSSRGDALLDSAPLLGGGTRRRGVLRRPSLRGTARLLRQGGRRAMREPSLLVRETAAEQLEERQADWAYSRPVVALDLLWNLAFILVAAVVLVFSSNENPSVPLRIWIAGYAVQCVLHMVCVAIEYRFRHGQRGGPSMVADEERGTDGSSSSTDEDAGVGISHGRIASYVSIAKHLESSNTMFSFIWWIIGFYWVSAGGEVLTRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRMMDETEKHSVSMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKLNVRKNSSSSGSEEV* >Brasy4G282800.1.p pacid=40085460 transcript=Brasy4G282800.1 locus=Brasy4G282800 ID=Brasy4G282800.1.v1.1 annot-version=v1.1 MADETTTGAEGNKAELTLLGYWSSPFAIRARIALNLKRLPYEYIEEVGLFDGKSDLLLASNPVKKKIPVLIHNGNPIPESQIIVQYLDEAFPDSPPRFLPSGSDPHARAAARFWAAYVDDVLLAAWLPVYAGRTREERAEAALRVVAALETLERAFVECSKGEAFFAGDGVGLVDIVLGGFLGWLDSSEAMCGVKVVDAAKTPVLAAWAERFRALDGVREVMPGVERLVEYSLMRRARLGLPLLPPVEPEEQHQ* >Brasy4G050100.1.p pacid=40085461 transcript=Brasy4G050100.1 locus=Brasy4G050100 ID=Brasy4G050100.1.v1.1 annot-version=v1.1 MARLLVLRSAPYHRSTLSAAAAATTLLSHSKHRHSASFPRPARRLLPSPLRVPARAIGSSSEATKQETPPAAQEPPLPAAPAFVVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLTMKLRGEISDQLKTRFSSGLSLPQICENFEKAAYDPRISGIYLHIEPLSCGWGKVDEIRRHIVDFKKSGKFVVGYMPVGGEKEYYLACACGELYAPPSAYVALYGLTVQQTFLRGVLEKVGVQPEIQRIGRYKSAGDQLARKSMSNEVREMLAALLDNIYGNWLDTVSSIQGKKKEEIEEFINSGVYQVERLKEEGWITDLLYDDEVMTMLKERVGQNDKKSLRMVDYSKYSRVKKSTLGLQGGGELIAVIRASGSITRTRSRLSVSSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADSKPVIASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYASFRDKAAMSRSMSIDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPQDKKVRLVEISKASPTLPDILSGIGGSLLGVDRVVKGVLQDVTSLNGVQARMDGILFERLENMSGENQLFLFIKEIVNYFD* >Brasy4G288600.1.p pacid=40085462 transcript=Brasy4G288600.1 locus=Brasy4G288600 ID=Brasy4G288600.1.v1.1 annot-version=v1.1 MASSSTIRRGRNAGFVPRGRSIPVPSEEIWSPKLFGCQKPNILHEMFAKFTPFKLLLMKDIAFDGLADMPKQQWNRQFSLFCLNQTDSEGDPMEFEFPDGTRAPIYPLDLTISSVCDVVERSIDVHSRKQEQEAFQIGVVILCFAFMLDCRDRDPKVPMYLLPHLSNVQKLKEVNYARCVLDILGIAARKVQESKRAGYSTCTVGGCCIVPQIFYLDRINFGAHKAPANVFPRINAYVKSKLDTLIGMEWKCHDVDLSQWYGNYKRNDDVKVEDKGKSIATGSRRHKRKKKYRSARQSRRQQRFNSLMKVVEEHHASDAYLVEDLKRELQKRKNLLLYRIATHMENEGSSDSSDEDRPSEVNLMVGNPMFKRPNRLPLSAGVWQREKDKGKDGSEVKCDDIDVSHQGANKNSCVLLGERNSGSQSSKRCKSTGQEEDAPRDFGTTDAEASQWRSPIPEVQTKEMTIIETSADHEDNTSDVNASIKIMVAQTLGLLKDSCARPPGIPRVPAPRTFFKKTVRRGLRPEQLGAENDKQEDANSDIHGSVSRMAVTTESGANVNEGPNGNEDAIINNVLLDIAGAAEQIQHEGQEHLKKSADVIVESMLLHGKESLGAVVPRDCKDNQSDVSHVGTGGSERKEEGAEILVQNAFQEGRVKAVASVEDKYPSGLEDF* >Brasy4G098900.1.p pacid=40085463 transcript=Brasy4G098900.1 locus=Brasy4G098900 ID=Brasy4G098900.1.v1.1 annot-version=v1.1 MCRLDGQCYVPGYDHTRLWRFAVCTYVTTSSASRYAGSPAAPGYGPLFFFAAARRSAPAGSPLFSGFACRKAQTLKHDTAILGDDGSPWPPCPMDPSFVQPRNR* >Brasy4G168400.1.p pacid=40085464 transcript=Brasy4G168400.1 locus=Brasy4G168400 ID=Brasy4G168400.1.v1.1 annot-version=v1.1 MACENGHVAGNGICTAIQHADPLNWGKAAEALTGSHLDEVKRMVAEYREPVVTIEGASLSIAKVAAVAAAGEAQVQLDESARERVKASSDWVMDSMANGVDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAGTTRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIHGGFFELQPKEGLAMVNGTAVGSGLASTVLFDANVLAVMSEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNARLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIEILKLMTSTFLVALCQAIDLRHIEENVKSAVTSCVRAVAKKTLNTNAAGGLHVARFSEKDLIQEIDREAVFAYADDPCNPNYPLMKKLRGVLVERALANGAAEFDVETSVFAKVARFEEELRAALPVAVEAARAAVESGTAEAPNRIAECRSYPLYRFVREELGTVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLNEWNGEPLPIC* >Brasy4G059700.1.p pacid=40085465 transcript=Brasy4G059700.1 locus=Brasy4G059700 ID=Brasy4G059700.1.v1.1 annot-version=v1.1 MDPALLDNIIGRLLEVKSLKPGKNAQLSESEIKQLCAASKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPESNYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKVLSGSTNTKSGFKSLRGW* >Brasy4G258500.1.p pacid=40085466 transcript=Brasy4G258500.1 locus=Brasy4G258500 ID=Brasy4G258500.1.v1.1 annot-version=v1.1 MAITGCYLRSLLPLPKARRRSPAPAPAPNLRFPAARRPNRASLTPPPTTDDDAGPREIPPVLSLLAAAVIAPHAALAASGGAMGGRSSSSPSSSSSSSWGSSSSSSPTSYSSFSSATHESVGTATAAPPPVLTDAQVQIVELWATFFVLVVFAAMWHYQRPRTTVVKLQVALLGLAKPLQKELNEIAEKVEASNQRWYKFILTGNAISNLLTF* >Brasy4G392300.1.p pacid=40085467 transcript=Brasy4G392300.1 locus=Brasy4G392300 ID=Brasy4G392300.1.v1.1 annot-version=v1.1 MWRLKVGEGKSSPWLRSTNGFLGRAVWEFEAGAGTPEERAQVERLRREFADGRFRRRESADLLMRLQYAKENQLQDDGTDDHRGRRLPPMKKLEEEDEVTDEIALASLRRALDQFSSLQSRDGCWPGDFSGIMFIMPGLIFALHVTGSVDTVLSLEHQREIRRYIYNQQNEDGGWGTLVLGSSSMFGTCSNYITLRLLGEELDGNTSLARGRGWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWMAPQFLPFHPGKFWCLCRLVYLPMAYLYGKKFVGPITPTILALREEIYDIPYHRIDWDQARNACAKEDLLCPRTAFQNAVWTSLYKCVEPVLSSWPINKLRDRALKNLMEHIHYEDDNTQYLCICSVSKALNMVCCWDENPDSEAFKRHPARVPDFLWLSEDGMKAQVYDGCQSWETSLIIQAFCATDLVTEYGPTMERANAFMKNSQVLWNHPGDPSYSHRHRSKGSWTLSSADNGWTVSDTTAEALKAVLLLSRISSKLVGDPIERERVHDAVDCLLSFVNKDGTFSTYECKRTSAWIEILNPCESFPNMIIDHPYPECTSSVLQALVLFKEQHSGYRTKEIEKCITNAAKFIESRQGEDGSWLGTWGVCFTYGAFFSVKALVAAGRTYENSSSIRKACHFILSKQLKTGGWGESHVSNETKAYVNIEGDRAHAVNTAWAMLTLIYAGQIERDSAPLHRAAKELINMQMDTGDFPQQEHVGCFNCSLFFNYPNYRNLFPVWALGEYRRRLFSKSINGSPSS* >Brasy4G175300.1.p pacid=40085468 transcript=Brasy4G175300.1 locus=Brasy4G175300 ID=Brasy4G175300.1.v1.1 annot-version=v1.1 MLGNYGAVWIAAAACQTKISVVDREQGSRLDQRQKSAATPKIWSPRSVYAPARWRDDGGGIVRQKVGVPTLAGLARAGSKRPTTTSVGISAPKMSTSATSDHSSKQTAATPSPPTGSSLQELPELELPTTKIQRSLHDAILAGTSHLVHSVRAVGIAVAEVVHTPGRRGADDSHAAASGRSHPPSRIRSLSLARLAIPRTQAPPPDSAAEGARTQGGWPAADWVPHPATCPAAGSLRAFSKPAGHLVMTISCAASHVRSRLRSDGHLRSRRLTATASLVRPGSSRSHRRSHAPPGSPPPRASLPAPHTDAQRPSPAAPSPHGPCLAHRRRRGARSRRFPAAGVLEPPEGRGMRSPFSDRP* >Brasy4G423900.1.p pacid=40085469 transcript=Brasy4G423900.1 locus=Brasy4G423900 ID=Brasy4G423900.1.v1.1 annot-version=v1.1 MDTTQFAMIEELASLIKDNLYSKHLVLSTEEALVTLLQQHYQDDDDEHDRSAHHGTIGNNTIELQPTSSYNRLLLHRLADIFGFAHESVGEGDDRHLVLQRCPETAIPPVLISDVLWKYDDGDRPSASVVLTRNETDLQNTYEAEDVQDAISFESLNLKTDTGKRVGLENKEPENPFPSDVKPLQHPVPPSAASLKEREAAYRAARERIFATHETKEKDTSAAKSRHVPAVAQRMIAHALGKRVEDPKERTAVVKNRGKEPVNGRYVRTSSTNNLCADKPGSRGENHVGRCNSSSPDGNLYRSPSAQIRQTSSVSAETLKKEQTGAAKRMFAHALRLPGVEASDGAARRSK* >Brasy4G028200.1.p pacid=40085470 transcript=Brasy4G028200.1 locus=Brasy4G028200 ID=Brasy4G028200.1.v1.1 annot-version=v1.1 MAEARQSVQALASSHGAAIIPPEFVRPEHERPGATKLRGDAPAPEVPVIDMSLSSPAAGARMAAAAAEWGIFQVVNHGIPAAAVAELQRVGREFFSLPQEHKSRYAMDPASGKTQGYGSMSLQQRDNPDGNDNKNKKTPTTWVDFFFHNVAPPSMVDHGVWPGSGNDGNGNPRGYREANEEYARHVQRLTRELFGRLSAGLGLEERAMREALGGEDVVLLQKINFYPPCPQPELTLGVAPHTDMSALTVLVPNDVPGLQVFKDGQWHDVRYVPGALIIHIGDQIEILSNGRYKAVLHRTTVSKDKTRMSWPVFVEPPAEHVVGPHPQLVTSENPAKYKAKKFRDYKHCKINKLPQ* >Brasy4G114600.1.p pacid=40085471 transcript=Brasy4G114600.1 locus=Brasy4G114600 ID=Brasy4G114600.1.v1.1 annot-version=v1.1 MEGKEEDVRLGANKFSERQPIGTAAQGGGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANAVASGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWNDHWIFWVGPFVGAALAAVYHQVIIRAIPFKSRS* >Brasy4G424300.1.p pacid=40085472 transcript=Brasy4G424300.1 locus=Brasy4G424300 ID=Brasy4G424300.1.v1.1 annot-version=v1.1 MQPGGAPSGSSRSSPATSPRTEQQQPPTPSQQQAQAQRLYGGFLPPTGMTHHHEQKQQQQQSYQAGAQHGMMGGGGGGGGFPQSSGPMPPFQGQRNLPHPGGPQGMVAGQQHNQNTIQQQQAYMQFLMQQQKSHGMHLQQQAKMNMAGPSSRDQDVATNPAKMQELMSLQAQAQAQMLKRQSEHLQQAEKQTEQGQPGSSEQRGGDMRPPLPPQGVPGQQMSSASMVRPMQPMQGQAGTGSIGGNPLTMGHYQLIHAWAKEQNIDLSNPANANLISQILPMLQSRMAAMQKQNEASMAAQQQQNQQMPPRQVNSDAPVNGNISGQAPLKPWQSLPPSSSVSVGVETKMMNPSNLQMQQQISAHNREISNERAVRPPMPVGNVGQMMHMAQSSGHVNKISEQPNPKNALVSSEAMQMQYARQLQQTNRATTPTATPVETGGSQAPTQGARPHSGFTKHQLHVLKAQILAFRRLKRGDRTLPSEVLELIMSPPPPPSDSQAQLVSVPSVTLNRERSAPVSADEHGKAMESSDKAPEKPPMLKGPSLPKVEVSASEDRTSSASGPMQVMKASPKEPLRIGPVSVPEQSNTNLVKSEQEQERGIQRTPGRSDHSNERGKSLPSESGPADAEQAKRAASTSSAPSPRDVPRKYHGPLFDFPSFTRKHDSLGGANYNGSLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLSINLERKRIRPDLVLRLQIEEKKLKLLERQARMRDEVEEVQQEIMAMPDRIYRKFVKQCERQRVELIRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARIARNRGVAKYHERMLREFSKKKDDDRSKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLSQTEEYLYKLGGKITAAKNQQQVEEAENNAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSDNKYYTLAHAVSEKVTKQPSLLRLGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAVKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDAPTHSEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCKMSAIQGTIYDWIKSTGTIRVDPEDEKIRIQRNPMYQAKTYKNLQNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLAYRRIDGTTSLEDRESAIVDFNRPGSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARTEDEVELFDQMDEEFDWTGDMMKHNQVPKWLRVSSTELDSVVASLTKKPMRNASGVSVPDTSEKLEKRRGRPTGSGKYSIYREFDDDEDDEESDEDSEERNTPSLPEEGEAGDYEDEDENDDTVPDDNKDQSEEEEPINDDGYDFQHGMGSGKAHKSEEAGSTGSSSGSRRLPPPAPSSLKKLKSLSALDARPGTFSKRTTDDLEEGEIALSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPKPNAEKQEDRSGVDVTFSQRGARLAFPGDGDYDSQLKSEQEVHAFADPTSRQQDTIHPIVKQKRNMPSRKASPASRVGKSTHLSGSGEASAEQSKENWSKKKVMDSAGPDSGGTKMSDSMQRKCKNVISKLWRRIDKEGHKMIPNIASWWRRNENSSSKGVAGNNLDLQKIELRVDGFEYGGVTEFIADMQQMLKSVVQHFNYRLEVRVEAETLSTLFFNIMKIAFPDSDFTEAKSTMSFSNPGGGASSIAAPSAKHSASGNKRRSTTTEAEQHGSGSGKHNQRSSVGEAPSRVKSERDSRHSGPGSRDQLLDSSGLLPHPSDLFIVKKKRQDRARTSIGSPSSSGRAGPLSPTNTGRMGPVPSPRGARTPFQRDPHPSQQSLPGWGAHSDRGGSSSPGIGDIQWAKPAKRQRTDSGKRRPSHM* >Brasy4G424300.2.p pacid=40085473 transcript=Brasy4G424300.2 locus=Brasy4G424300 ID=Brasy4G424300.2.v1.1 annot-version=v1.1 MTHHHEQKQQQQQSYQAGAQHGMMGGGGGGGGFPQSSGPMPPFQGQRNLPHPGGPQGMVAGQQHNQNTIQQQQAYMQFLMQQQKSHGMHLQQQAKMNMAGPSSRDQDVATNPAKMQELMSLQAQAQAQMLKRQSEHLQQAEKQTEQGQPGSSEQRGGDMRPPLPPQGVPGQQMSSASMVRPMQPMQGQAGTGSIGGNPLTMGHYQLIHAWAKEQNIDLSNPANANLISQILPMLQSRMAAMQKQNEASMAAQQQQNQQMPPRQVNSDAPVNGNISGQAPLKPWQSLPPSSSVSVGVETKMMNPSNLQMQQQISAHNREISNERAVRPPMPVGNVGQMMHMAQSSGHVNKISEQPNPKNALVSSEAMQMQYARQLQQTNRATTPTATPVETGGSQAPTQGARPHSGFTKHQLHVLKAQILAFRRLKRGDRTLPSEVLELIMSPPPPPSDSQAQLVSVPSVTLNRERSAPVSADEHGKAMESSDKAPEKPPMLKGPSLPKVEVSASEDRTSSASGPMQVMKASPKEPLRIGPVSVPEQSNTNLVKSEQEQERGIQRTPGRSDHSNERGKSLPSESGPADAEQAKRAASTSSAPSPRDVPRKYHGPLFDFPSFTRKHDSLGGANYNGSLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLSINLERKRIRPDLVLRLQIEEKKLKLLERQARMRDEVEEVQQEIMAMPDRIYRKFVKQCERQRVELIRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARIARNRGVAKYHERMLREFSKKKDDDRSKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLSQTEEYLYKLGGKITAAKNQQQVEEAENNAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSDNKYYTLAHAVSEKVTKQPSLLRLGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAVKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDAPTHSEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCKMSAIQGTIYDWIKSTGTIRVDPEDEKIRIQRNPMYQAKTYKNLQNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLAYRRIDGTTSLEDRESAIVDFNRPGSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARTEDEVELFDQMDEEFDWTGDMMKHNQVPKWLRVSSTELDSVVASLTKKPMRNASGVSVPDTSEKLEKRRGRPTGSGKYSIYREFDDDEDDEESDEDSEERNTPSLPEEGEAGDYEDEDENDDTVPDDNKDQSEEEEPINDDGYDFQHGMGSGKAHKSEEAGSTGSSSGSRRLPPPAPSSLKKLKSLSALDARPGTFSKRTTDDLEEGEIALSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPKPNAEKQEDRSGVDVTFSQRGARLAFPGDGDYDSQLKSEQEVHAFADPTSRQQDTIHPIVKQKRNMPSRKASPASRVGKSTHLSGSGEASAEQSKENWSKKKVMDSAGPDSGGTKMSDSMQRKCKNVISKLWRRIDKEGHKMIPNIASWWRRNENSSSKGVAGNNLDLQKIELRVDGFEYGGVTEFIADMQQMLKSVVQHFNYRLEVRVEAETLSTLFFNIMKIAFPDSDFTEAKSTMSFSNPGGGASSIAAPSAKHSASGNKRRSTTTEAEQHGSGSGKHNQRSSVGEAPSRVKSERDSRHSGPGSRDQLLDSSGLLPHPSDLFIVKKKRQDRARTSIGSPSSSGRAGPLSPTNTGRMGPVPSPRGARTPFQRDPHPSQQSLPGWGAHSDRGGSSSPGIGDIQWAKPAKRQRTDSGKRRPSHM* >Brasy4G322900.1.p pacid=40085474 transcript=Brasy4G322900.1 locus=Brasy4G322900 ID=Brasy4G322900.1.v1.1 annot-version=v1.1 MAPEHFLVVAIPGQGHINPARALAERLARAVPAARVTLSFAVSAHRRMFPSLASPDEEVIHDGGVSYVPYSDGYDNGFNLLAATGDEAWAHGETSARVGRATLSAALDRMAARGRPVTCVVYAMLMWWAAEVARERGLPRALYWIQPATMLAVYYHYFHGYEGLITEHACEPGFTVAMPGLPPMAIRELPSFFTELSDNTLAAAFDGIRKTFHQLDLDTSSSTGEKQKPMVLVNTVEALEAGVLASLPGLDLFAVGPAVVSLFADTSERISTVRDLYEYDDEKRYMEWLDEKPARSVVYVSFGSMSAVSKRQKQEFKQGLLRLYCV* >Brasy4G165000.1.p pacid=40085475 transcript=Brasy4G165000.1 locus=Brasy4G165000 ID=Brasy4G165000.1.v1.1 annot-version=v1.1 MAPTSKMALGIKRASRSHSYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRTPSTRKPNPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQTYVIATSTKVDISGVKVEKFDDKYFARDKKARAKKTEGELFETEKETTKNLPDFKKDDQKTIDAELIKAIEAVPDLKNYLGARFSLRDGDKPHEMTF* >Brasy4G204400.1.p pacid=40085476 transcript=Brasy4G204400.1 locus=Brasy4G204400 ID=Brasy4G204400.1.v1.1 annot-version=v1.1 MSRSPHLPPRCPQLGPQITRRDDSLFTQSCRFPSEDPFFGEPPCWLDDLLADSGKTPNLPPLRRACSDSDAILDALTTFKRPIFPSEEGDLQPASETGDSFDAVAGGESGSAVEGSCVYGPNSPRQKSRLTSSESSMVNAVLENVPNNPLQYLTIDPSSTLHGNVANGTGDAYDDVNHLDQDKSFKRRSGQRSRVRKLQYIADLERTVDSLQNMGADLALRVASHFQLRNALSMENKQLRIQIASLQQAKLVKDGQTLFLKKEAERLKQISAGHRRSRSVTSCFDTDSFGADPSAINWQMLDMSKLSLNGSPVPVPPRGGYGL* >Brasy4G323400.1.p pacid=40085477 transcript=Brasy4G323400.1 locus=Brasy4G323400 ID=Brasy4G323400.1.v1.1 annot-version=v1.1 MQEQGESSRPSSSERSSSSGGHHMEPKEGMESDDEIGMVPELGLEPGGPSTSGRAGGPDRAGQSSTAQGSARRRGRTPADKEHKRLKRLLRNRVSAQQARERKKAYLGDLEVKVKDLEKKNSELEERHSTLQNENQMLRQILKNTTVSRRGPSEGQ* >Brasy4G039300.1.p pacid=40085478 transcript=Brasy4G039300.1 locus=Brasy4G039300 ID=Brasy4G039300.1.v1.1 annot-version=v1.1 METTASDPEPGRLALIKMGTPPYADTAHALPASAVMPSMAAKTRSLVAGAEQESSTRNSGPRPSLSNTTFLDAAQAVPQCHGRRCAVSQHGVDRRVELRSRAAPSPSVAMMCCSAAAAPRLIPLRRGLAPAAAPPPRLLPLRRGPRLIQRRCGPAAAPPRLLHPHRVGDPRASSPPQMRRPRARSRQRGSPPVRPRRKRSSRRFCGQEVAGSDYDQRRCGGEDLREKISGTALRWRRPTAVRWPPDRPRTDLYARRTVEVGVDPRQTGSD* >Brasy4G271700.1.p pacid=40085479 transcript=Brasy4G271700.1 locus=Brasy4G271700 ID=Brasy4G271700.1.v1.1 annot-version=v1.1 MAPRASRPRPRPRLPELLPHQSSHAAARASRRQPAPDALCRPCHFPMSHRRYAERRPSLSSSAPAERRCPSSWVDLMESWRGTLQARPQPAPAALHRPRHFPICSPLPATPAMWHQRRQMADRGPVQVPGPAMLPAILPPPTPQLHSFHAGLAPKLRKLELRGGWNDDGVGIQG* >Brasy4G243200.1.p pacid=40085480 transcript=Brasy4G243200.1 locus=Brasy4G243200 ID=Brasy4G243200.1.v1.1 annot-version=v1.1 MYLEPQRSPLTLPCAAAPVLRRRSRRSAPPLSLYFANRKSSVRAAPPHHTTMLDINLFRTGRSGRKEDGNPDAVRESQRMRFASVDIVDEVICLDQLWRSRQFDLESIRKELNATSRNTGKAKMVMRQVEQKLNAKKKLQKDVEEKLAESKLEGVHEVQIERSIRLNELNESIEECCSIMKKNELIVKELMESTNQVKERLAATEAEVRRIKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTCGERRMEKNLKNHVDLCMKLDIVAFEEGVDVAGGRGYFLKDYGVLLNQALINFGLAFLQNRGFKLLHTPFFMRKETMSKCAQLAQFDEELYKVTGDGEEKYLIATSEQPMCAYHLGDRIHPDELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMFEEMIKNSEDFYKELGLAYQVVSIVSGALNDAASKKYDLEAWFPASNTYRELVSCSNCTDYQARRLGIAYGQKLDEQSNNKFVHMLNSTLTATERTLCCILENYQKEGGVEVPKVLRAYMGGIEFLPFKQPMDGKQGADKLKSGSNVASASGSKAPEI* >Brasy4G243200.2.p pacid=40085481 transcript=Brasy4G243200.2 locus=Brasy4G243200 ID=Brasy4G243200.2.v1.1 annot-version=v1.1 MYLEPQRSPLTLPCAAAPVLRRRSRRSAPPLSLYFANRKSSVRAAPPHHTTMLDINLFRTGRSGRKEDGNPDAVRESQRMRFASVDIVDEVICLDQLWRSRQFDLESIRKELNATSRNTGKAKMVMRQVEQKLNAKKKLQKDVEEKLAESKLEGVHEVQIERSIRLNELNESIEECCSIMKKNELIVKELMESTNQVKERLAATEAEENNVVLRTCGERRMEKNLKNHVDLCMKLDIVAFEEGVDVAGGRGYFLKDYGVLLNQALINFGLAFLQNRGFKLLHTPFFMRKETMSKCAQLAQFDEELYKVTGDGEEKYLIATSEQPMCAYHLGDRIHPDELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMFEEMIKNSEDFYKELGLAYQVVSIVSGALNDAASKKYDLEAWFPASNTYRELVSCSNCTDYQARRLGIAYGQKLDEQSNNKFVHMLNSTLTATERTLCCILENYQKEGGVEVPKVLRAYMGGIEFLPFKQPMDGKQGADKLKSGSNVASASGSKAPEI* >Brasy4G415800.1.p pacid=40085482 transcript=Brasy4G415800.1 locus=Brasy4G415800 ID=Brasy4G415800.1.v1.1 annot-version=v1.1 MGGGEHQQHHSKVISSAAAAAAVHGHGVGGGGGGATVEAALRPLVGDDGWDYCIYWRLSPDQRFLEMTGFCCSGEFEAQVVALGDLPSSIPLDSSSIGMHAQALLSNQPIWQSYSGDMAQTHDTAGGNGGGEKTRLLVPVAGGLVELFASRYMAEEQEMAELVMAQCGGGHGWQLQQPAMAAEDQFYAATSVASLNLFDSGGGEDQFLAPAAGAGEDGGAASWGFAAGSSEPSAAVHEQLYSGGVAAARAESGSEGSELQGDDDVDGEVQRGGKDGGTGGGKRQQCKNLMAERNRRKKLNDRLYKLRSLVPNITKMDRAAILGDAIDYIVGLQKQVKELQDELEEEENPNPNPNNGIMAPDVLTIDDHPPPGLDNDDVSPPPPQPPLCKKRARAAAAAGEDQEEKDDDKGGDQDQDQDMEPQVEVRQVDGGDEFFLQVLCSHKSGRFVRIMDEIAALGLQVTNVNVTSYNKLVLNVFRAIMRDNEVAVPADRVRDSLLEVTREMYGAGGAWPVAAMAPPPLPLTTMETPTTMMMAEAKLDGGQAGEHHCHLQQQQVLGGYHQHHLHYLGLD* >Brasy4G214300.1.p pacid=40085483 transcript=Brasy4G214300.1 locus=Brasy4G214300 ID=Brasy4G214300.1.v1.1 annot-version=v1.1 MCSSYRPFQLPSSNPVNAAQNSNHDRRPPTNSPDHRPTTTTMATKASAAAGDEPALGIPYNPMEVQGSYYYAPDPYAAGMPPPNAIYAGAPKGMPLQQTMFRDTPAPFHCQSCGAAAVSSIRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKHHYCPSCGEKVAEFEKSDPCLVVDPTRWTEPSFAVPA* >Brasy4G220600.1.p pacid=40085484 transcript=Brasy4G220600.1 locus=Brasy4G220600 ID=Brasy4G220600.1.v1.1 annot-version=v1.1 MRPLWFAAAWACALLLLLAWCARPAGAAWCIARSGASEKTLQRALDYACSPAGSADCAPIMPSGLCYLPNTLAAHASYAFNSVFQRSREAPGACDFAGTATVTLTDPSYGSCTYPASPSTAGLPGTPTPKSRDNSHHPDLGPDDDSGAGAHATAAAASFLSLALSCFLHLHLPLW* >Brasy4G288100.1.p pacid=40085485 transcript=Brasy4G288100.1 locus=Brasy4G288100 ID=Brasy4G288100.1.v1.1 annot-version=v1.1 MGGKVLLISIVLMGLVSLSSCRSLGELSEQNTYSSAPNYGGSPTPAHGSGGYKPTPTPTPTYGTTPTPSPTYGTTPTPTYGTTPTPTYGTTPTPSYGTTPSTPSTPSHDVPAVPKKHEFIGSCDYWKKHPDAIVAAIGSLGNIGKTFGVACSLIVGKKIENLHDALSNTRTDGIGALIREGAAAYLNSIVNHKFPFSTQQVKDCIIVAATSDGAASAQAGVFKKANESHY* >Brasy4G391500.1.p pacid=40085486 transcript=Brasy4G391500.1 locus=Brasy4G391500 ID=Brasy4G391500.1.v1.1 annot-version=v1.1 MLSSRARKNTQFSCLLVALLVMSTVFLSCNAERFCSPMGDCMKPVRPKQQQYCKDYCNSLGYDPTISYCKHDPYPECCCFKN* >Brasy4G188300.1.p pacid=40085487 transcript=Brasy4G188300.1 locus=Brasy4G188300 ID=Brasy4G188300.1.v1.1 annot-version=v1.1 MKIIAWNCRGLGNGPAVRGLLALQKKEDPDILFLSETKLDGRRMEKFRGMLGLQGMIVKDCVGRSGGVALLWKRGVDVSLQWMGRGHIDVVIKEGDGFQWRLTGVYGHPQQKETTWRLLRTLHNQSSLPWVCMGDFNEILFSHEKQGGSPRSQACMDKFRDALMSCGLNDLGFEGDVFTWRNNNYRIYGYVRERLDRVVANQSWGVRATELLKSVSMELQTWDREVLGDLQKRIKELKSALEECRRTDLSSRSIQREQILRFKIDRLEEQQDVMWRQRAHVNWLEKGDRNTSFFHAAATERKNHNTIKRLKNDLGEEDWRMHML* >Brasy4G252700.1.p pacid=40085488 transcript=Brasy4G252700.1 locus=Brasy4G252700 ID=Brasy4G252700.1.v1.1 annot-version=v1.1 MERLRFRSLDGAGAYPTTLPSPRMLLGSTTDVHSPHEPPGFRKSMNPIYADPNANNGSSTAAISLDVSTGADLDGSRLSHVDGAAATAAAATGTGDDESGSAAPVVVRRHTGGDGRWEAIRAADARESPLSLGHFRLLKRLGYGDIGSVYLVELRGTTTGGGAGALFAMKVMDKGSLVSRNKLSRAQTEREILGLLDHPFLPTLYSHFETDKFLCLLMEFCSGGNLHSLRQKQPGKRFTEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGTGAGGLVHGCKLPRILLLSSAKKGKKKKSSAVNNNAAVLPRQQELVPGADGRKKQPCASLEFMAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVGQPLRFPDQDHAPGVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFDGVNWALVRSAAPPYVPGVAAEDCCVRLPVPGHAAAKVVIDGASLGGGTPKSAPGNGKTSSPRDDPSSYVDFEYF* >Brasy4G338400.1.p pacid=40085489 transcript=Brasy4G338400.1 locus=Brasy4G338400 ID=Brasy4G338400.1.v1.1 annot-version=v1.1 MAAPSARVWLVLAAAALACFLLAVPANADADSDDEAPAPAPGGYGCNPLKDKTCKPGDPKAPENQEEEGGFGARFPGIPVVPGLPLPGLGPKIDRDGDGDTDEDDELPSFDTHMNILGH* >Brasy4G239200.1.p pacid=40085490 transcript=Brasy4G239200.1 locus=Brasy4G239200 ID=Brasy4G239200.1.v1.1 annot-version=v1.1 MKMTTTFATKIHEDPDPVDAANKPDGSGAVLRPSRVCHLTVLATAFCALVFYAHYAIQGNMASALFKPPVTVSSSSLPLLSSTTTIDPSLQPEKPATNRSAAAPASASDHCEGRYIYMYDMPPRFNDDLVRDCGKGELHPWLDMCPYVANDGMGEPLGDEGGVFSGHGWYTTDQFTLDLIFHSRMKRSYECLTNDTSLAAAVFVPFYAGLDAGRFLYNHSTSTRDKLQLDFIHWLVNRPEWRAMGGRDHFLVAGRTTWDFRREADVDALWGTKLLTHPAVENTTALVLEKSPSSRNNFAIPYPTYFHPEAAADVVAWQQKVREMPRRWLFSFAGAPRPGSNKTVRAELIRQCGASSLCNLFHCGGGDGAAADCNSPGGVMRVFEGSDFCLQPRGDTATRRSTFDALLAGCVPVFFHRDSAYTQYALHFPRDHARYSVLIPHAGVAAGRVSIEERLRRIPAEEVRRMREAVIRLIPRVVYADPRARRAGFMDAFDVAVEAVIDRVAKRRRGEDEETAGSTE* >Brasy4G344000.1.p pacid=40085491 transcript=Brasy4G344000.1 locus=Brasy4G344000 ID=Brasy4G344000.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMEREAAGVGGGSGGPEAAGRLGGAGGGAGRGGGGRGEAAGVGEGGGEPGWGGEGGEGGGGGRGEGGGGAGGGGEGGGEAGGAGRVGGGSRGRPGGPGAAGRVGGGPGAAGRPGEAGAAGGSRGRRGMGGVGAGEREREREKVFGGFIFF* >Brasy4G063700.1.p pacid=40085492 transcript=Brasy4G063700.1 locus=Brasy4G063700 ID=Brasy4G063700.1.v1.1 annot-version=v1.1 MGDGGELSTRRWGSEATALGIHDGGAPGGWAGPGKAATRRLHRAGVVGRGEEAAAAAGEGKEEGAGAGCMAGAEAGRGGWGVEAAAGEGRRRRPPQGEGGRGGRGRRGREEEEAAL* >Brasy4G125800.1.p pacid=40085493 transcript=Brasy4G125800.1 locus=Brasy4G125800 ID=Brasy4G125800.1.v1.1 annot-version=v1.1 MAARSPAISPNLATPLLLRLHHPAWLLRPGALPLPSPRAALLRRPGALPLPSPLLRRPRPARLLRPGALPLPSPRAALLRRPGALPLPSPLLRRPRPARLLRPGALPLPSPRAALAPLCSVLLRRPRPARLLRPGALPRPSPRAALAPLCSALLRRPRPARLLRPGALPLPSPRAALLRPAPPPSPRAAAPPWRPAAAVAPRRTRAARLLRPRPAQRERVGRSGPLVPVGTKSFLRFGVMGWFRI* >Brasy4G241200.1.p pacid=40085494 transcript=Brasy4G241200.1 locus=Brasy4G241200 ID=Brasy4G241200.1.v1.1 annot-version=v1.1 MSPSASASSIVADTSSGFHHLKIDGYSSLKGLPSGEHLNSSPFTVGGHRWKINYYPNGDRQESAGHVSVYLVLDENVTEGVIAQFQFGLRAKKRGLFFRKKTRPGPRTSVARNIFTQSTPSWGYGKFMKWEALDRSELLKDDSFTIRCDIVVLNKVRVEGSTKKAAPKFVSVPPSDLNQHLRSLLLSEKGADVIFEVGLETFAAHRCVLAARSPVFSAELFGSMKEGNINDLVRIDDMEAQVFKALLCFVYTDSLPEMKEEDEEAMYQHLLVTADKYNMERLKLICEDKLCRHIDVGTSACFGFLSSPANLRTAMASDGFEHPTMICPSVVKELIAMCSAT* >Brasy4G186500.1.p pacid=40085495 transcript=Brasy4G186500.1 locus=Brasy4G186500 ID=Brasy4G186500.1.v1.1 annot-version=v1.1 MEDGGSDFSDWEVLSAAEGDGDVVLVSGEGGDVVHDHFALDSSPPADFSGEDSWSEAASDKEDDEAGLGLLDRFDSISQERMDLIAVVDSSAQPQSCAVDVTAQGSVLRVPVSFDAACGAEEEEIEALGAEIEHEEDDAARRCGELDSVPQAAHQAVEGTLELDATAVTGEEFQIELSENSSVQLEDGAGVDVNRESSVHEAAATSDAMHTAQEEPEQGKDAGAASDCADESDGHGKDGSSPLVETPGTGEGKSRLVVWWRLPIKLLHYYAWKVRPVWSISIAAAFLGIVVLGRRMYRMRRKTQGLPQIKIAFDDKSASQFAERTARLNEAFLIAKRVPALRTSSGAALPWSMFQER* >Brasy4G332400.1.p pacid=40085496 transcript=Brasy4G332400.1 locus=Brasy4G332400 ID=Brasy4G332400.1.v1.1 annot-version=v1.1 MFGVLNYKPGYFVFLLSADSGNRVSTYAVRQFCNLNIMFCLFSTFHMIFSSYSTAGCRSGENCLFVHNITVDYQTVADVRVLGSPTTPAHPPRSIPTGPPVPDGPPTPTVQAAPCNSAHTLRGNSTSQGPWTTLLHHSSMKSPRVIMHLNQCVTNGETPPSSVNPLRAGICGVHANDFVDGFGGGHSNGQIHSGSPPTGSSCSGGGAEPVQRRRFAGPCSLLGRALYFGCACERTSQRASSERASREGTGERGILGAARNYNTAEGCKWGDKCHFAHAERELGKHTFMDSSVPPNMGPRPTSHFAPPAMPNPGMFSPASFGASATAKISVDASLASAIIGRGGMNTKLISHITGAKLAIRDHESNASVKNIELQGTFDQIKNAGTKVRELIVSFGVNTAPPPGRNSAGRSHLVGENFARGLCTYGDKCRFAHGENELRRSSAA* >Brasy4G026200.1.p pacid=40085497 transcript=Brasy4G026200.1 locus=Brasy4G026200 ID=Brasy4G026200.1.v1.1 annot-version=v1.1 MDVKRARSPRAPADGDDDKRRPAAGWRGAVRPEMVLVGFLITLPLLAFAFSGRWGAFPSVASSSSSPIVPKAGGRHHAVPRAGAGRATPKKTVVAPPKNFSAPTITSPSRPSQDKLLGGLLSAAFDESSCQSRYKSSLYRKPSPFKLSPYLVQKLRKYEAYHKRCGPGTKSYRKAIAQLAAGRNVDGGKSSRCKYVVWFPCNGLGNRMLTIASTFLYALLSDRVLLMSVPQEQEGLFCEPFPGTSWVLPGGFPQGNPQKLHVGAPESYVNMLKNGVIRGGKQPASSLPPYVYLHVEQTHLRLSDNIFCDDDQVLLGKFSWMLLKSDSYFAPALFLTPMYEEELARMFPEKESVFHHLGRYLFHPTNKVWGIVSRYHEAYLARVDEKIGFQIRIFPEKPVKFENMYDQLVRCIKEQRLLPELGSTAEPALNTTTSAQKKVKAVLIASLYSGYYEKIRGMYYESPTKTGEVVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYGFAGVKPWILLRPDWDKEVSAVACVRSTSVEPCLHSPPILGCRAKKDVDVAAVKPYVRHCEDVGFGLKLFDT* >Brasy4G224500.1.p pacid=40085498 transcript=Brasy4G224500.1 locus=Brasy4G224500 ID=Brasy4G224500.1.v1.1 annot-version=v1.1 MSVAVTAAASSTSSSSSCCALGHRRQPLHPAGFIPPPAQPYASPAALLWRRRRRRAAAAPTTFCSAPSLGRVGWPRREGSAWLLSFRAETDDSQSGAEDAGDSSQAVSALLPLVVVATAVAALGNPATFSWVSKELYAPALGGIMLSIGIKLSFDDFALAFKRPVPLSIGYAAQYMLKPLLGVLIARAFRMPSAFFAGFMLTCCVSGAQLSSYASFLGKGDVALSILLTTYSTISSVIVTPILTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKPVVNVIQPVMPFVAMVCTSLCIGSPLAINRSMLLSPQGFMLLLPIVIFHIVAFVVGYWVSKLPQLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGISQAVPAACSVVVMAIFGLTLASYWGTGLRIRDVPSRFFPQASEGART* >Brasy4G128600.1.p pacid=40085499 transcript=Brasy4G128600.1 locus=Brasy4G128600 ID=Brasy4G128600.1.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.2.p pacid=40085500 transcript=Brasy4G128600.2 locus=Brasy4G128600 ID=Brasy4G128600.2.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.10.p pacid=40085501 transcript=Brasy4G128600.10 locus=Brasy4G128600 ID=Brasy4G128600.10.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.3.p pacid=40085502 transcript=Brasy4G128600.3 locus=Brasy4G128600 ID=Brasy4G128600.3.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.4.p pacid=40085503 transcript=Brasy4G128600.4 locus=Brasy4G128600 ID=Brasy4G128600.4.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.5.p pacid=40085504 transcript=Brasy4G128600.5 locus=Brasy4G128600 ID=Brasy4G128600.5.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.6.p pacid=40085505 transcript=Brasy4G128600.6 locus=Brasy4G128600 ID=Brasy4G128600.6.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRIHKNVELAEISAKRLFELDATNCGYYVLLSNIYAEAGMWKDVERMRVLVKTRGIEKPPGYSSVEFKGRNHLFYVGDKSHPQHKEIYSYLGKLLEKMQEAGYVPNTGSVLHDLDEEEKASTLHIHSEKLAIAFALMNSVPGSVIHVIKNLRVCTDCHTAIKLITRIAQREIIVRDLQRFHHFKDGSCSCGDYW* >Brasy4G128600.7.p pacid=40085506 transcript=Brasy4G128600.7 locus=Brasy4G128600 ID=Brasy4G128600.7.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFQKIKEKNILSWSAMITGYGMHGHGQEALDIFNEMCRSGQNPNYITFISVLAACSHAGLLDKGRYWYNAMKKRFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKKLECGKMWKE* >Brasy4G128600.8.p pacid=40085507 transcript=Brasy4G128600.8 locus=Brasy4G128600 ID=Brasy4G128600.8.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVAHWRRQHVLTAALKIIIIIIDMFSGLLMRNPLMCPIAACFGGNLVSGASSYFHV* >Brasy4G128600.9.p pacid=40085508 transcript=Brasy4G128600.9 locus=Brasy4G128600 ID=Brasy4G128600.9.v1.1 annot-version=v1.1 MAAANILLPLDPRAAAPPPATRASKPEDLKDALVHTSTPSLRALFLRAVDPSRPASWSAVVGDLLSSGDPVAALAAFAAALRVNPAALRPALPPAFRAAAAATSLAAGRQLHLLAIRSGLFPSDPFSASALLHMYNHCSRPIDARKAFDEIPSPNPVIITAMASGYVRNNLVYSSLALFRTLIASGSATAVDEAAALVAFSASARVPDRGITSSLHALVVKTGLDGDAGVVNTMLDAYAKGGRRDLGAARKVFDTMEKDVVSWNSMIALYAQNGLSADALGLYSKMLNVSGSIKCNAVTLSAILLACAHAGTIQTGKCIHNQVAHWRRQHVLTAALKIIIIIIDMFSGLLMRNPLMCPIAACFGGNLVSGASSYFHV* >Brasy4G020400.1.p pacid=40085509 transcript=Brasy4G020400.1 locus=Brasy4G020400 ID=Brasy4G020400.1.v1.1 annot-version=v1.1 MIPTAGVRVLRASSSPAATAAHLTGIPRRRGRPPTNSYVRQARELDSEALIALAAGFPADTLSEDEVAAVVIPTIGGIEQANYLVVRNHILALWRSNPLSPVSSNAALAAIRTEHAPLVAVAHSFLSDHAYINFGLAPSILSLPPSPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKAMRSTAADYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPNGSEVNSDMDARVEAAFNQLLDKVCQLRQVVADSFPHGVDVSLGMALEAFRAAHDVAAEPEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGIPIFYGQNVRRIQYGCDGVMVYTEKQTFRGDMALCTVPLGVLKKGDIDFVPELPAHKREAIQRLGFGLLNKVVMLFPFDFWDGRIDTFGHLTEDSAQRGEFFLFYSYSSVSGGPLLVALVAGESAIEFEKKSPMENVEKVLETLRKIFSPKGIEVPNPLQAICTRWGTDRFTYGSYSYVAIGSSGDDYDILAESVADRIFFAGEATNRRYPATMHGALLSGYREAANIVRAARKRANKVDSPEKTDVNFEVKDTDKDDNIDLDDLFRTPDVAFGGFSVLHDPSTSEPDSTSLLRVAIGARKLGSGSLFLYGLIMRKNVAELAAMEDDEQRLSTLYRDFGTKLVGLDGLGHTGESLISRIKAASKK* >Brasy4G406800.1.p pacid=40085510 transcript=Brasy4G406800.1 locus=Brasy4G406800 ID=Brasy4G406800.1.v1.1 annot-version=v1.1 MVLWELTAITAYFLGLRRTYRLALRGQRLLIGPNHPKVQDFVYRRTRSIFDVAVSVHKNIQERDIEVGRNLGNAILRWLDRMKPSAQIRPNPPGLPHGRSEQYRHLSNMNKPAGAQKPASKTSTHDSSGKMLFSPLNIRPKSFPILPTMMQSTRISASSQCRRFSSSPFPSTTAKRKYLMEGVFRKDIAQLMM* >Brasy4G069100.1.p pacid=40085511 transcript=Brasy4G069100.1 locus=Brasy4G069100 ID=Brasy4G069100.1.v1.1 annot-version=v1.1 METPVAAGCEIDGLPEELLVQVISLTSPRDAFHAAAVSRAFRAAADSDAVWSRFLPRELPRFAERVLPKPKPTTPPMSKKALFRRLSGQPALFPQKFMRMQLDRATGAEWFALSASGMQIARCVRHPCGTSRWIRVDPSRCSTRGRRFSEAYELSYMPKLQIGAKVQGKEASVAVAGCGSKMTRQVCLQGYVDDGGRKHVLRDHCFGGSLRPEVVLAAEEVVFPRRRDDGWMEVELGEFCNDGGEVSMSLKEAMGARRKGGLVVWGIEFRSKQGKPA* >Brasy4G069100.2.p pacid=40085512 transcript=Brasy4G069100.2 locus=Brasy4G069100 ID=Brasy4G069100.2.v1.1 annot-version=v1.1 METPVAAGCEIDGLPEELLVQVISLTSPRDAFHAAAVSRAFRAAADSDAVWSRFLPRELPRFAERVLPKPKPTTPPMSKKALFRRLSGQPALFPQKFMRMQLDRATGAEWFALSASGMQIARCVRHPCGTSRWIRVDPSRCSTRGRRFSEAYELSYMPKLQIGAKVQGKVLPRNTPPTRHTWCSSYAKNSTDWIPRTRRRPLPLLGADRK* >Brasy4G182100.1.p pacid=40085513 transcript=Brasy4G182100.1 locus=Brasy4G182100 ID=Brasy4G182100.1.v1.1 annot-version=v1.1 MQRERERERERERYRAAGEGWIRRCPLRIRREGSGGDRRRSPRQRHAHLRTRRRRPPPLHEIERERARQAGSEAADPAANGVLDGGSGWWRRSGGEEVVRQGGEVAPRPVVAGSTGSGGRGGAPDSGPRPPDPQAWGAWSPSRRRWERRAPGRRRSSWRGRHAGWRRGGERLLRRRARVVEERRGSGG* >Brasy4G296700.1.p pacid=40085514 transcript=Brasy4G296700.1 locus=Brasy4G296700 ID=Brasy4G296700.1.v1.1 annot-version=v1.1 MPQAAATALLLLPPPSWSPPLMASRPPLSPPWRAVLTSTTWRQSTGTTNTGELDIELLTKCSTTGLVHDVGRIPAAAASLCTRSVSTPVKTPRDTPAAPAPRFIDVLKQDQRTPTKCSILCSNADPCDDEIATTSMHLRTMCTAVLVPSQSLPFTVLQAFAVPKLDVPAHTTCATKCSDSNTSGIRDTQMPLSRENLFLGAPARTKALIVKTLKPVVVLELVKSMTTRCLIVCSDDNIGMRSRSFMARVTLHIQHNEKALRPPPRPSFINNIVGLQSKPP* >Brasy4G308900.1.p pacid=40085515 transcript=Brasy4G308900.1 locus=Brasy4G308900 ID=Brasy4G308900.1.v1.1 annot-version=v1.1 MGWCSPSTPRQLLSTVSLFAFGAGLLAYGAHLSYVHIEPQRARTLARDQFVRDYLRRKHDK* >Brasy4G212100.1.p pacid=40085516 transcript=Brasy4G212100.1 locus=Brasy4G212100 ID=Brasy4G212100.1.v1.1 annot-version=v1.1 MEDQRRCSRSKAARRSLRSLLALASDYLKYLFMNRRRLLGRVASRTLAVLSYHGKSSSKHLPPYWTPRLSMEHEFSCRDSPSPAFLAAKRLQSRLKRGTAVSSFFCGGRRASYGSPPPSPAMEERKDDVIEEEEEYGADGCACCELEHDVDCRAEEFINMFYAQLRAQSFPAVLQ* >Brasy4G254000.1.p pacid=40085517 transcript=Brasy4G254000.1 locus=Brasy4G254000 ID=Brasy4G254000.1.v1.1 annot-version=v1.1 MGRPYAVKGKKKRKLGDASASRAPPVADEEVERQSDALRAGSERREMGRPHALKGKKNRKLEDGSASRAPLAADEAEEVPPPEEQEGEGAAEEAAEVVEGIPIVPRPVDGKRRPGAIFVLERACLEVGKVGKAMQILNSDDHANYLRKQNRDPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRLPRTFKRFCGLMSQLLQTLSISAVGRREKLLNVIKNPVTQYLPVGARKIGLSYSSVKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIENDYSDDYIQISSYPLSAACCLNRISSALEQKWNIQ* >Brasy4G254000.2.p pacid=40085518 transcript=Brasy4G254000.2 locus=Brasy4G254000 ID=Brasy4G254000.2.v1.1 annot-version=v1.1 MGRPYAVKGKKKRKLGDASASRAPPVADEEVERQSDALRAGSERREMGRPHALKGKKNRKLEDGSASRAPLAADEAEEVPPPEEQEGEGAAEEAAEVVEGIPIVPRPVDGKRRPGAIFVLERACLEVGKVGKAMQILNSDDHANYLRKQNRDPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRLPRTFKRFCGLMSQLLQTLSISAVGRREKLLNVIKNPVTQYLPVGARKIGWCHGPWKD* >Brasy4G271300.1.p pacid=40085519 transcript=Brasy4G271300.1 locus=Brasy4G271300 ID=Brasy4G271300.1.v1.1 annot-version=v1.1 MVEIDGAVGVGGGAGGVEGARRWRLADERCDLRAMESDYVRRFHPHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDTEHILSVKFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLAEVSERLVVKDQTEPLDR* >Brasy4G145900.1.p pacid=40085520 transcript=Brasy4G145900.1 locus=Brasy4G145900 ID=Brasy4G145900.1.v1.1 annot-version=v1.1 MEEKELESGASIHDTNIKAAVQNVDNVLAGSFEPSSEDIMPSDSTKNQAMVPDAPPRYSMAPSVASGDDGPVDPPAASTKKNNSAKKAKNRV* >Brasy4G264900.1.p pacid=40085521 transcript=Brasy4G264900.1 locus=Brasy4G264900 ID=Brasy4G264900.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVTSLQTSFQCTKQWEWGEVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYGNEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G409400.1.p pacid=40085522 transcript=Brasy4G409400.1 locus=Brasy4G409400 ID=Brasy4G409400.1.v1.1 annot-version=v1.1 MAGSTEAGSSEERRTIIEPCRSSNASSSSSSSSEDDELSEPTAGIAKSQGQRHQQKVKEAWRLNDGGDLMSTVMVAGGFAVTVAAAFIANKKKC* >Brasy4G193500.1.p pacid=40085523 transcript=Brasy4G193500.1 locus=Brasy4G193500 ID=Brasy4G193500.1.v1.1 annot-version=v1.1 MGKRWIPLEANPDVMNQFMWGLGVTEGDVQFCDVYGLDDELLAMVPQPVLAVIFLYPLTSKDEKVEEYDASATSTAGGKDSSKVYFTKQTVGNACGTVGVIHAIGNAASNIKLVEGSYFDRFYKQTADMDPVQRAAFLEEDDEMEGAHSIAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSKPISHGPSSPDTLLQDAARVIKARIATNPDSINFNVMALSKQ* >Brasy4G317000.1.p pacid=40085524 transcript=Brasy4G317000.1 locus=Brasy4G317000 ID=Brasy4G317000.1.v1.1 annot-version=v1.1 MSAPPSPLASADQSLPRFGGIAHPTNMEYYDEKLHYSIPTDVYTLRQRFLVHILKHDSNEVVDNISRMERDVLERIKKWTFAG* >Brasy4G339700.1.p pacid=40085525 transcript=Brasy4G339700.1 locus=Brasy4G339700 ID=Brasy4G339700.1.v1.1 annot-version=v1.1 MGGETVGSERVEGETNRRWRRSRRDAPVGRRSGGARARRKTSEGRDLGRSAARWARRREGCGREAQKGKEEKGECRNSSSFIK* >Brasy4G311500.1.p pacid=40085526 transcript=Brasy4G311500.1 locus=Brasy4G311500 ID=Brasy4G311500.1.v1.1 annot-version=v1.1 MAMIKRCLCRSEEDIKGLLRTKSIQFFSYKEIKRATNNFERTNKLGRGGFGTVYKGIFVDGTAFAAKVLSSESKQGIEEFLTEIESITEAKHANLVRLLGCCVQKQNRVLVYEYAENNSLDHALKALGSPSGATNLPWSVRSDICIGTARGLSFLHEEHEPSIVHRDIKASNVLLDGNFVPKIADFGLAKLFPDNITHISTRVVGTTGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGQRASETIGSSDTFLVRRAWLLYQEDRLLEMVDASIKDDCPEAEVLRYAKVGLACTQAAPAGRPTMSQVVKMLSRPDASRKLETRPTGHLGYAEAEPVCPGESLSADSSRARWPMDTAPSTSSANSGSVTCSEIVPR* >Brasy4G311500.4.p pacid=40085527 transcript=Brasy4G311500.4 locus=Brasy4G311500 ID=Brasy4G311500.4.v1.1 annot-version=v1.1 MAMIKRCLCRSEEDIKGLLRTKSIQFFSYKEIKRATNNFERTNKLGRGGFGTVYKGIFVDGTAFAAKVLSSESKQGIEEFLTEIESITEAKHANLVRLLGCCVQKQNRVLVYEYAENNSLDHALKALGSPSGATNLPWSVRSDICIGTARGLSFLHEEHEPSIVHRDIKASNVLLDGNFVPKIADFGLAKLFPDNITHISTRVVGTTGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGQRASETIGSSDTFLVRRVRFSFPL* >Brasy4G311500.2.p pacid=40085528 transcript=Brasy4G311500.2 locus=Brasy4G311500 ID=Brasy4G311500.2.v1.1 annot-version=v1.1 MAMIKRCLCRSEEDIKGLLRTKSIQFFSYKEIKRATNNFERTNKLGRGGFGTVYKGIFVDGTAFAAKVLSSESKQGIEEFLTEIESITEAKHANLVRLLGCCVQKQNRVLVYEYAENNSLDHALKALGSPSGATNLPWSVRSDICIGTARGLSFLHEEHEPSIVHRDIKASNVLLDGNFVPKIADFGLAKLFPDNITHISTRVVGTTGYLAPEYFVHGQLTKKADVYSFGVLVLEIISGQRASETIGSSDTFLVRRVRFSFPL* >Brasy4G311500.3.p pacid=40085529 transcript=Brasy4G311500.3 locus=Brasy4G311500 ID=Brasy4G311500.3.v1.1 annot-version=v1.1 MAMIKRCLCRSEEDIKGLLRTKSIQFFSYKEIKRATNNFERTNKLGRGGFGTVYKGIFVDGTAFAAKVLSSESKQGIEEFLTEIESITEAKHANLVRLLGCCVQKQNRVLVYEYAENNSLDHALKALGSPSGATNLPWSVRSDICIGTARGLSFLHEEHEPSIVHRDIKASNVLLDGNFVPKIADFGLAKLFPDNITHISTRVVGTT* >Brasy4G161100.1.p pacid=40085530 transcript=Brasy4G161100.1 locus=Brasy4G161100 ID=Brasy4G161100.1.v1.1 annot-version=v1.1 MLEKRGGGEALHGQLLIHSTSGGASLLLEPGQNLYGLILAHIANSVLETMESRASLHDVRGHQTLRQNLDNAEEEIQISQAERCDVDTVSGMQMKELMGMIQKIEAEMEERLQVLVKQDRFHADLSAGLQRLVVLVVNAAKRFEGNELDAELNRLQGHSEAIRRVFDYSRDYFTKQIEKMQTMVMSLIAMTKNVDKLHEEARNAEIRAQGLGTMVSGMAQAQVAQAGMCPYGSAYNNLPASYEQTTQAGQSREQTTQAGMCPYGSTYNNLPYAYPYAYYPPGEYQQATQGWAYAYAAPYACANPMASHQAVQASGYAGYPGSGSGQNVIPYYPGYLAGYDQNQNVMHYDVYVAPQYPVTGNNGPTTDAANMGGNAGFPARQLQTSGRAGTNVAYERIPPSQGRRHRG* >Brasy4G161100.3.p pacid=40085531 transcript=Brasy4G161100.3 locus=Brasy4G161100 ID=Brasy4G161100.3.v1.1 annot-version=v1.1 MESRASLHDVRGHQTLRQNLDNAEEEIQISQAERCDVDTVSGMQMKELMGMIQKIEAEMEERLQVLVKQDRFHADLSAGLQRLVVLVVNAAKRFEGNELDAELNRLQGHSEAIRRVFDYSRDYFTKQIEKMQTMVMSLIAMTKNVDKLHEEARNAEIRAQGLGTMVSGMAQAQVAQAGMCPYGSAYNNLPASYEQTTQAGQSREQTTQAGMCPYGSTYNNLPYAYPYAYYPPGEYQQATQGWAYAYAAPYACANPMASHQAVQASGYAGYPGSGSGQNVIPYYPGYLAGYDQNQNVMHYDVYVAPQYPVTGNNGPTTDAANMGGNAGFPARQLQTSGRAGTNVAYERIPPSQGRRHRG* >Brasy4G161100.2.p pacid=40085532 transcript=Brasy4G161100.2 locus=Brasy4G161100 ID=Brasy4G161100.2.v1.1 annot-version=v1.1 MESRASLHDVRGHQTLRQNLDNAEEEIQISQAERCDVDTVSGMQMKELMGMIQKIEAEMEERLQVLVKQDRFHADLSAGLQRLVVLVVNAAKRFEGNELDAELNRLQGHSEAIRRVFDYSRDYFTKQIEKMQTMVMSLIAMTKNVDKLHEEARNAEIRAQGLGTMVSGMAQAQVAQAGMCPYGSAYNNLPASYEQTTQAGQSREQTTQAGMCPYGSTYNNLPYAYPYAYYPPGEYQQATQGWAYAYAAPYACANPMASHQAVQASGYAGYPGSGSGQNVIPYYPGYLAGYDQNQNVMHYDVYVAPQYPVTGNNGPTTDAANMGGNAGFPARQLQTSGRAGTNVAYERIPPSQGRRHRG* >Brasy4G357400.1.p pacid=40085533 transcript=Brasy4G357400.1 locus=Brasy4G357400 ID=Brasy4G357400.1.v1.1 annot-version=v1.1 MEGAEGAGAVEQAEGKPPPKTLVDWALEILDTADPDEKARMGDLVAAQWLRGAIPLPYDPAQPPRAPPERPARSDAVRLLPPSRMPKLGKGGNLQSRLALLHSLAHIESWAVDLSWDIIARFGAQLHMPREFFDDFARVAQDEGRHFAVLSARLRDLGSHYGAFPAHDGLWDSAMSTSKSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEITHCAAGVRWFRYLCLKSCINDPIADSVPRYKPQCSKLPEDDTRDGLADKLPQVEDGLAKCELGNQRDDDEAAVIRTFHRIVKKHFRGTLKPPFNTEARKLAGFEPAWYEPLAVKEVEAQTIEEK* >Brasy4G263400.1.p pacid=40085534 transcript=Brasy4G263400.1 locus=Brasy4G263400 ID=Brasy4G263400.1.v1.1 annot-version=v1.1 MEQEKQQQPPPPPNHQPKPVIPAAAGGMKAPAVAPQQQKPAAPVPRPWPVAFTPTKPAAEVKNVTPKKRKHCNCKNSRCLKLYCECFAARVSCDGCNCSNCGNNSENEKIRKGAIEATLLRNPLAFQPKIENGPSTVNVRKDNPGAVPLRPKHNKGCHCKKSGCLKKYCECFQANILCSKNCRCMDCKNFEGSEERRALVQGDNTSDRNNIQQAANTALNGAIGSSGYKCSPVRRKISQEDSLSEMQFQQVNLSDVSQLTPSCTGFGGHNGCYSQSNSSKMIYRSPLANTIHLSDVNDLVKHVVIACRKAAEAFPTIADNKGVEVDVEKEFHANYGLDNNRSKVQDLKEASPMDIHNKAYTDQHNVDPRPASPGTQALMCDEQDTSFGNDIYRSSFAATSCDKNISEINALQENLVLTGLRDYLRVLITRGKINEAKSSSEAAMELNARRHHGATPVFHPVKAEENHISSNGPETLRNIGIPVPNDEQK* >Brasy4G263400.2.p pacid=40085535 transcript=Brasy4G263400.2 locus=Brasy4G263400 ID=Brasy4G263400.2.v1.1 annot-version=v1.1 MEQEKQQQPPPPPNHQPKPVIPAAAGGMKAPAVAPQQQKPAAPVPRPWPVAFTPTKPAAEVKNVTPKKRKHCNCKNSRCLKLYCECFAARVSCDGCNCSNCGNNSENEKIRKGAIEATLLRNPLAFQPKIENGPSTVNVRKDNPGAVPLRPKHNKGCHCKKSGCLKKYCECFQANILCSKNCRCMDCKNFEGSEERRALVQGDNTSDRNNIQQAANTALNGAIGSSGYKCSPVRRKISQEDSLSEMQFQQVNLSDVSQLTPSCTGFGGHNGCYSQSNSSKMIYRSPLANTIHLSDVNDLVKHVVIACRKAAEAFPTIAACVSGHNRHEGTAPPSYSKFGSCHIPLS* >Brasy4G263400.3.p pacid=40085536 transcript=Brasy4G263400.3 locus=Brasy4G263400 ID=Brasy4G263400.3.v1.1 annot-version=v1.1 MEQEKQQQPPPPPNHQPKPVIPAAAGGMKAPAVAPQQQKPAAPVPRPWPVAFTPTKPAAEVKNVTPKKRKHCNCKNSRCLKLYCECFAARVSCDGCNCSNCGNNSENEKIRKGAIEATLLRNPLAFQPKIENGPSTVNVRKDNPGAVPLRPKHNKGCHCKKSGCLKKYCECFQANILCSKNCRCMDCKNFEGSEERRALVQGDNTSDRNNIQQAANTALNGAIGSSGYKCSPVRRKISQEDSLSEMQFQQVNLSDVSQLTPSCTGFGGHNGCYSQSNSSKMIYRSPLANTIHLSDVNDLVKHVVIACRKAAEAFPTIAACVSGHNRHEGTAPPSYSKFGSCHIPLS* >Brasy4G139100.1.p pacid=40085537 transcript=Brasy4G139100.1 locus=Brasy4G139100 ID=Brasy4G139100.1.v1.1 annot-version=v1.1 MSSAHTSSRCATERVTGTHDMVVANYSLLSGRMGAGNAVSSAPFTVGGYEWVLKFYPDGATLHDMGCASAYLYLNTNRNALAGARVKASFTLSLLVAQGGGKLGASSSFVGRRRRKSTRDYHAGLGRAWGASGFGHFFWKPLLRLSRCLREDCLTIRCAVTVLKPLPAEDTSTAAAPAATAVRLLPPELPGHLERALKDGRGADVTFKVCGRAFGAHRFMLAARSPVFRAQLFGPMAHKDGTTSTTRRVIEVVDVEPAIFGMMLHYIYTDSLPVPAGKKKGEGQGHGVAVMQHLLVAADRYGLDRLKLMCEEELCEGVDVKTVATTLALADQHDCKRLKDVCLAFMASSPGVLDAVLSTDGYKHLLASCSPMVLEEGLGQKVSVKEK* >Brasy4G316400.1.p pacid=40085538 transcript=Brasy4G316400.1 locus=Brasy4G316400 ID=Brasy4G316400.1.v1.1 annot-version=v1.1 MEGEAAESHSMFLPFLLMFASIYLVAYLFLFRRWSRDQRPDASSCLTSLFHGTAAALLALRAVLSNPNFAGGDLALALAAPNTAADELVLDFSTAYFAVDLLHYLVFLPDQAGLFVAHHLATLYVFATCRAAARRGAYGLLALEVLAEATSLAQNLWTLAGMRRGDSPAAARAHAALSLPFYAAYTAMRGFYASAAGSQSGLPAWAWVSWSVVIGSGILLSVLWVANLWLAYFRDRSIESSKKVKER* >Brasy4G146600.1.p pacid=40085539 transcript=Brasy4G146600.1 locus=Brasy4G146600 ID=Brasy4G146600.1.v1.1 annot-version=v1.1 VAYPAARRDFSHEINLEPADFPNERVYRAYLVIQRFKSTITSDPKNITATWSGNDICSEKTYLGFYCGTPPGLDDPLTVTEVLFNGFGLHAPRLQGFIDQLPDLALFHASSNNFGGDIPNLDSLPYLFEFNVANYDLQPLHPSGGVYGSAEGGASGQYTQSRPRASRGLGLKHGTDTFLNFTFHIRVGSPNGGIIPGVTDAKALIINYNNLSGPLPANIGSSKLSYLALANNKLTGPIPSSIGQAKDSLLEVLLLNNQLSGCLPHELGMLTKTTVIDTGKNQLTGPIPSSFSCLTGVEQLNLGENRLYGQVPDALCKLGRLANLTLSGNYFSSVGPACSALVKDGVLDVKRNCIPGLANQRAPAECASFMSQLPKVSCPAASAPVSCPAAAAADAKTKMAPEGTRAAAKEYASYVTYATLHE* >Brasy4G188700.1.p pacid=40085540 transcript=Brasy4G188700.1 locus=Brasy4G188700 ID=Brasy4G188700.1.v1.1 annot-version=v1.1 MPTCNGWVYFSVSSAWTSGFAACKSRGRLKNNRQLLDLLKLEICFLPVVGETSSSAFPCDGRAQTTRPILCFASDGRNRRQPQRRAKGI* >Brasy4G100600.1.p pacid=40085541 transcript=Brasy4G100600.1 locus=Brasy4G100600 ID=Brasy4G100600.1.v1.1 annot-version=v1.1 MRGEAAADADTGAGYPRSPEPHDLSDDSDYAAAAAASASIHAAIRSDMADIGSEETARVDVVYEKERVTIHPTQYGPGRISGKLRLYLQLGALFLSWEPNEGVDTFSTSSVTAEIEKYRNLYTIQALPVSDIRFIRRHNPTFGLEYIIIVLSSGLAFPPFYFYNGGTRELFATMKQHVFIIRSDDDPTLFLVNDFEDPLQKSLSSLELPGVASVANAMSRQNSLSFAGSVNEARHGDDAKHGASSSMYQYGSKQKHKLNDPGRDLSLQVLEKFSLVTKFARDTTSSLFRENHSSGSHAYGRQKQEHVWDNRISDKYKDQQITQDNTSVLSDSTESDELLLVWGKKRGSPLTVDEWRAFLDPEGRIMDSKALRKKVFYGGVDHVLRKEVWKFLLGYHEYDSTQAEREYLAAMKREEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPFYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILHVMEDESESFWCFASLMERLGGNFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTQYLSEHFHLYLCVAILKRYRQQIIGEGMDFDTLLKFINELSGQINIDQAIQDAEALCTIAGDNGAACIPPGTPPSLPVETDGGLYLQEDDVL* >Brasy4G100600.2.p pacid=40085542 transcript=Brasy4G100600.2 locus=Brasy4G100600 ID=Brasy4G100600.2.v1.1 annot-version=v1.1 MRGEAAADADTGAGYPRSPEPHDLSDDSDYAAAAAASASIHAAIRSDMADIGSEETARVDVVYEKERVTIHPTQYGPGRISGKLRLYLQLGALFLSWEPNEGVDTFSTSSVTAEIEKYRNLYTIQALPVSDIRFIRRHNPTFGLEYIIIVLSSGLAFPPFYFYNGGTRELFATMKQHVFIIRSDDDPTLFLVNDFEDPLQKSLSSLELPGVASVANAMSRQNSLSFAGSVNEARHGDDAKHGASSSMYQYGSKQKHKLNDPGRDLSLQVLEKFSLVTKFARDTTSSLFRENHSSGSHAYGRQKQEHVWDNRISDKYKDQQITQDNTSVLSDSTESDELLLVWGKKRGSPLTVDEVWKFLLGYHEYDSTQAEREYLAAMKREEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPFYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILHVMEDESESFWCFASLMERLGGNFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTQYLSEHFHLYLCVAILKRYRQQIIGEGMDFDTLLKFINELSGQINIDQAIQDAEALCTIAGDNGAACIPPGTPPSLPVETDGGLYLQEDDVL* >Brasy4G100600.3.p pacid=40085543 transcript=Brasy4G100600.3 locus=Brasy4G100600 ID=Brasy4G100600.3.v1.1 annot-version=v1.1 MRGEAAADADTGAGYPRSPEPHDLSDDSDYAAAAAASASIHAAIRSDMADIGSEETARVDVVYEKERVTIHPTQYGPGRISGKLRLYLQLGALFLSWEPNEGVDTFSTSSVTAEIEKYRNLYTIQALPVSDIRFIRRHNPTFGLEYIIIVLSSGLAFPPFYFYNGGTRELFATMKQHVFIIRSDDDPTLFLVNDFEDPLQKSLSSLELPGVASVANAMSRQNSLSFAGSVNEARHGDDAKHGASSSMYQYGSKQKHKLNDPGRDLSLQVLEKFSLVTKFARDTTSSLFRENHSSGSHAYGRQKQEHVWDNRISDKYKDQQITQDNTSVLSDSTEVWKFLLGYHEYDSTQAEREYLAAMKREEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPFYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILHVMEDESESFWCFASLMERLGGNFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTQYLSEHFHLYLCVAILKRYRQQIIGEGMDFDTLLKFINELSGQINIDQAIQDAEALCTIAGDNGAACIPPGTPPSLPVETDGGLYLQEDDVL* >Brasy4G397800.1.p pacid=40085544 transcript=Brasy4G397800.1 locus=Brasy4G397800 ID=Brasy4G397800.1.v1.1 annot-version=v1.1 MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEDIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIEADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCISAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDQRPIDPSCSCMVCKNYTRAYLHCLVTKDPMGSQLLSYHNLYFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPKWVLNAMEVAGIDISECCTPTKCQHDAMGAAGVDIPEFCLPTECP* >Brasy4G071100.1.p pacid=40085545 transcript=Brasy4G071100.1 locus=Brasy4G071100 ID=Brasy4G071100.1.v1.1 annot-version=v1.1 MDHVVGGKFKLGKKIGSGSFGELFLAVNSQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRPSERTSGAPGPSVEKIEKAPVEASTRRNPSASLNQSDNYATRPRETVSMSLKEIMHSTDRSGERTVERPRSSSRTGSASRRAVASSSRPASSMEPSEQQYGRTSRLFSSNNGGSRPSSTQRVNPSVGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK* >Brasy4G158800.1.p pacid=40085546 transcript=Brasy4G158800.1 locus=Brasy4G158800 ID=Brasy4G158800.1.v1.1 annot-version=v1.1 MADHSDSDSSPKSSSSSSASSSSARRRSPPRVRAHSDEGGSSDGVLVELPSQEARSPGADPDAGVFVSMPADDTTSGETFEDAPDDLASGGFRSARSLDESIAVIDFPDESSAAAECRKYKEEREVCAREAAVLRRMLRELVGQEASSSLQTEDPDERVQVSPTPLHSMLDDCSRLVLELNPVVRSRDQEVDSLRARAVEAEVSREVVDAYLGSWREVSELAIGRMGASVDAVVGNDATSFEGADQDGISVVERKTLLLTERYRQVLQGIEQLEQVLAEVKPGFLAMGQCDHATILGIVSEELVSSKRNEANFMQNLITLGEENKNLTEELEKVKAARDAANAEAGKAKADFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTHELERCIVELQQKSDAFQAAEGRIEELKMLLDEKSTEHEKCLDELRGTYSAWEAAKASIEELNEANTTLTSVQASLSLKDGFLQRIEEVMSEATFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILGSVDFPHSVLTGELDSKIAWLVNSLGQAKDDAVLMQNESSEILHRLSAHESKLVSMHEEIDRLTIVLLEEKQEKDILVNEHSELMSMYNAAVDKLSVVSSRNTELVKAFAEACDVTLEDNAPLETTKLVDQCLSNIERRTRSSPIECESFERLQTLVYTQDQELALCKRILEEDTTDRSERTKLSGELQKMTEEMYVLESERDSLRKDLERVEEKSSLLREKLSLAVKKGKGLVQEREGLKKVLDEKNSEIEKLKHALDEKYSETENVKHALDRNNSEIEKLKCALDENSSDLENLRQALDENSSETGNLKQALDEKNSESDKLKRDLEARNTEMENLKYEIVSRESANTDLREQVENLSSQVSHFDKLQSDIISLSEEKGKAESMLEEAKVSWGTLVDSISSIALPVDHPFEDPVEKTSQIAQYIMESQAAKNHVENELHKANEQVTLQAGRLSDSLSTIKILEDELSKVKEYISSTSEEKHQIQLHAAAVEEKLEKTNEELADNANKLEDANTTINSLQDALSQARTSLAILDAEKNETEAKHQVETSALNAKLTKCLEELDRSHGNLQIHSTEHLGYLEKLSTLVMDDSIVSLMAEEFGKKFRSLRDMSLTVKSMHEQLTAMGFQIDPFMEDSEFSMVFSLPDYDNFVTERMLDSKIKKGNIDDASSLCTIVGQFSNQAEYFSGFFKDLSGYMNDNIVQLHRALQLTSNNFSRTLEEHDSLKIELGNKDAQSRAQEAELLSLQKELRAMSSKCIYCTEQIQNILDGVLDLGYALELATGNSSIESKAEGTLFVLKGEDSGDYTKVADTLLSSLNKLRSESQRLSDMKELVVTSLGELKMRLKQAESSAETASNDHRLYVERVCELEKDLKTVHDECNGKEIRIQEYQEREDVLKARELELLSLANTQTTGQRDITDAISKDQLEALVEKINKLNIPSGESHLQREVDMFSSPIDKIFFVIDEVDALQREVETLRYENEDLQLNLESHAREIEQLKEVCRNADSNRRELESKSGELLEVTVSMERMIQRLGYLAGKDALEDSKPTSTQTLLSKLEKLIITSSMESGNAKSAKQELGAKLQAREKTVDELSAKVKKLEDLYHSRLVQPEVSKDRAFEASSSAIGSEMSEIEDLGPMGKASISSVPTAAHARIMRSSDHLVLNMGTESERLIDAHDSDDKGRIKSLHTSGLIPAQGKQIADRVDGIWVSGSQILMNRPRARLGLLAYWLFLHLWLVGSIL* >Brasy4G368100.1.p pacid=40085547 transcript=Brasy4G368100.1 locus=Brasy4G368100 ID=Brasy4G368100.1.v1.1 annot-version=v1.1 MALALAHKARVRATVPCNEAEASAYSIESACSRIVTYRTMVKEKNGSDYDPSKHDLDTEVVWLDDDIHDTASTPTLSQIRAGSKRSSPAIRPRPEPAWIAMEARPAEFERKQEGTFMASLKHAFEPQLAAQKHAFRLQLAAQLKAQKHAFEAQVAGQLAAHEAKWQQQLAAFAAANGFIVPPMPVPHPSDAGSNDPGYPHASTHDNPLGSSLQC* >Brasy4G431400.1.p pacid=40085548 transcript=Brasy4G431400.1 locus=Brasy4G431400 ID=Brasy4G431400.1.v1.1 annot-version=v1.1 MAVLNLLALIFATLLLLLRRSTGAGAGISSDTLKKGGNITDGETLLSAGGSFTLGFFTPSTTVPTKRYLGIWFTASGTDAVLWVANRDTPLNTTSGVLVMSSRVGLRLLDGSGQTAWSSNTTGASASWVAQLLESGNLVVREQSTGFQWQSFDHLSNTLLAGMRFGKNLKTGLEWSLTSWRAKDDPATGDYRRVMDTRGLPDIVTWHGSAKKYRAGPWNGLWFSGVPEMDSQYKFFDIQMVDGPDEVTYVLNATAGTPFTRVVLDEVGKVQVLLWIPSSRGWKEFPWLPRDACDDYASCGAFGLCNVDAASAPSCSCAPGFSPVNLSEWSRKESSGGCRRDVQLECGNGTAATDRFTPVHGVKLPDTDNATVDMGATLEQCRERCLANCSCVAYAPAHINGSGCVMWKDNIVDVRYIENGQDLYLRLAKSESATRKKGPVAKILIPVMASVLVLTAAGMYLVWICKLRAKSRNKDNLRKAILGYSTAPNELGDENVELPFVSFGDIAAATKNFSVDNMLGQGGFGKVYKGTLGHNIEVAIKRLGQSSGQGVEEFRNEVVLIAKLQHRNLVRLLGYCIDGDEKLLIYEYLPNRSLDSIIFDAASKYLLDWPTRFKIIKGVSRGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSIKSDTYSFGVIVLEIMSGLKISLTHCKGFPNLLAYAWSLWIDDRATDLVDSSLAKSCSYSEALRCIQIGLLCVQDNPNSRPLMSSVVTMLENETTVLLPVPIQPMYFSYRGTTQGTEEHTSSSINNMSLTTVLEGR* >Brasy4G431400.2.p pacid=40085549 transcript=Brasy4G431400.2 locus=Brasy4G431400 ID=Brasy4G431400.2.v1.1 annot-version=v1.1 MAVLNLLALIFATLLLLLRRSTGAGAGISSDTLKKGGNITDGETLLSAGGSFTLGFFTPSTTVPTKRYLGIWFTASGTDAVLWVANRDTPLNTTSGVLVMSSRVGLRLLDGSGQTAWSSNTTGASASWVAQLLESGNLVVREQSTGFQWQSFDHLSNTLLAGMRFGKNLKTGLEWSLTSWRAKDDPATGDYRRVMDTRGLPDIVTWHGSAKKYRAGPWNGLWFSGVPEMDSQYKFFDIQMVDGPDEVTYVLNATAGTPFTRVVLDEVGKVQVLLWIPSSRGWKEFPWLPRDACDDYASCGAFGLCNVDAASAPSCSCAPGFSPVNLSEWSRKESSGGCRRDVQLECGNGTAATDRFTPVHGVKLPDTDNATVDMGATLEQCRERCLANCSCVAYAPAHINGSGCVMWKDNIVDVRYIENGQDLYLRLAKSESATRKKGPVAKILIPVMASVLVLTAAGMYLVWICKLRAKSRNKDNLRKAILGYSTAPNELGDENVELPFVSFGDIAAATKNFSVDNMLGQGGFGKVYKGTLGHNIEVAIKRLGQSSGQGVEEFRNEVVLIAKLQHRNLVRLLGYCIDGDEKLLIYEYLPNRSLDSIIFEILKRATYYWTRI* >Brasy4G035500.1.p pacid=40085550 transcript=Brasy4G035500.1 locus=Brasy4G035500 ID=Brasy4G035500.1.v1.1 annot-version=v1.1 MSQQLRKPKGFIGTSKAFDTRALFSYFWGYPQAFITKYLDCHNNSASHHMQKQDDGVGTPRQSSSFQLG* >Brasy4G410400.1.p pacid=40085551 transcript=Brasy4G410400.1 locus=Brasy4G410400 ID=Brasy4G410400.1.v1.1 annot-version=v1.1 MVLRAACAMEGGAVPYFEWLKPASSSPSTTMPSTDHLQAAVPGGEHRSSATMMCLPLLGRLGAGEKTGSSAQMNPVKEEVSNITEDDCADLNIGLPTSGGYSSEELPTMAVDEEEEEEDEETEEEELELEEEEKPRHEIEMRKVVAAGEGAAAHSEMMVEESSNGVTPCRDRPYWIPTPAQILIGAVQFACHVCSKTFNRYNNMQMHMWGHGREYRKGPDSLKGTHATTLALLRLPCYCCAPGCRNNVGHPRARPLKDFRTLQTHYRRKHGAKPFACRRCGKPFAVKGDWRTHEKNCGKRWLCACGSDFKHKRSLNDHARSFGAGHFHVVAGAGPLAAAAQAQAQAQQQQPKERVIRFDQQCV* >Brasy4G409500.1.p pacid=40085552 transcript=Brasy4G409500.1 locus=Brasy4G409500 ID=Brasy4G409500.1.v1.1 annot-version=v1.1 MAVRSTVSRFPVTQEALDACGIQWGISVTPFAAADERGQPPSAGAAGDRVPRCEHCWAYFNTFCDVERWGWGCALCGTLNGFDDDALRRLERPETCPELNSSFVDFEIPVDELDGAPARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPSDLEENGLPVALEDAMPLLSFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEADTVLLGGRGFGTAMSGLIDYLSSEYGSTFALARVFSFLSGAPDYGDGQLDTRRYGEQYASKGEDADLALLPEQIPFYRDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGHSYGHFFPDPQYENVQHIICCDSFATYAYDFDFTHSDGFSRHTEPAVVQIAFQYSVVEPVEASGYGPPSNPSYKFCLKRRLRIRTLQYRPAKNINEIYDSVDAEAVLHILVHKVIIVSLDNGVREGRHSVHDWLAILIARYNDALRADARTPESHIDIDFSQCPQLQMVPQFVFALLRSPLLRLHEEGIHPDYRIYLQCLFSTLEPSSLAKAIYPVLVSYSSPNKQAFPRHTLSRAALTMSESPIFLLDAFTNLIVYYSSTADPSLPFPPPHDCLLRTTINALKQDRCITPKLAFVRGGQDDSSLFENYLIEEQDVDDSRFTTGNGFISFREGIRVEVEEILKEESGS* >Brasy4G192900.1.p pacid=40085553 transcript=Brasy4G192900.1 locus=Brasy4G192900 ID=Brasy4G192900.1.v1.1 annot-version=v1.1 MAAAAAVPQVHIESVQTGLPTRVVEPDRTRLIAVAAPPLPEAALQRRFRAVLYYRGGDGDQKAEWEEGVWVKESLGEVLCFYPEMAGRLRRRGDGSWEVKLNDAGVRFQQATVEATMEDFLADKDRLRKESALAPWIDVAAEDPDMCSLLFMQLNRFQDGGYAVGVSCTTLLADPVALARFLLAWARTHAEMKEQNKAALRPMMQYMAYFQRPEICCKRIRSYPIDSAASAEDNGLHAHTALFRTTDNAGAVAASPESRRALARACIARASEELGAGVRAPSRFSLVVAPEDSARGGTTIETVTADGGGAGGGHAALEAAKWSDLGLEELTLRDAKPVQVSCRIVTRGDEGLVVVMPDGDGFLVSATIPK* >Brasy4G178400.1.p pacid=40085554 transcript=Brasy4G178400.1 locus=Brasy4G178400 ID=Brasy4G178400.1.v1.1 annot-version=v1.1 MGIVDVLSELCYMPRTRRHIKKRKQFQTVEMKVRIDCEGCERKVKKALDDMKGVSSVEVTAKQNKVTVTGYVDASKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVIGDPSAAPLARASSTEARYTAAFSDENPNACSVM* >Brasy4G414200.1.p pacid=40085555 transcript=Brasy4G414200.1 locus=Brasy4G414200 ID=Brasy4G414200.1.v1.1 annot-version=v1.1 MEHPSQQQQQQQAAGAASYASPNAPASSSYPSSYTKFNTALNAGLLNPMSPPPLPLDSKTRSSPTLFDMMANEQDYHPRTHTLPSSAAPQNHHPLAPARSSSMDRQLLLQDRLADLAASCSPGSQFNRADSSDVRLTLASKDGLSLTLCLHRHILVAHSRFFAAKLSDRWSRQQRSLPHVVEISDCDDVEVYAETLRLMYCNDLRRRLMREDVAKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPPAVTEEVEVGNGGGNVGGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSVSRGGAIGGDLRKESLYSACNGCLCLLREQFERAAGGDQSEVAQIARQADNLHWMLDILVERQVAEEFLRTWAAQNELAEIHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARAQLLCTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNAEPEQPPRLRITAICENS* >Brasy4G078300.1.p pacid=40085556 transcript=Brasy4G078300.1 locus=Brasy4G078300 ID=Brasy4G078300.1.v1.1 annot-version=v1.1 MEASSSSAGPAPPPPNIPPSGDRSVWADASQLVAAACADLQDGELVHGENFSLFAAMSALEIMDPKMDSGIEKSGYYSIEEAIEDGIAPVPLSLDRTLDIQRTLDVIDHLFSCEATWHKGHTLAQTIFTCIYLMKMERISSHAVLNSFCRILRATCNAVVSVVSTARTHEEEDLFTMSFGLPLKDEGDDKCLSVLNSVEETVSRQLRACKSHALSKRKTLEGLESLQDNPDMEEGYCRALLCRLRFRKHFHHVVTCLRRPHGRGLDLARKHVASCLSELSLMLKSQEFLKSQSNITLQQGDESCTTASGCRPFGFDVSLNSRLMSAAPPRAVKILTWSDALGYFEKLLRDLDVICALSLDPVLENVLHFIAQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLFCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLDHENMCMRVSKQLLVWTQEQTYWVASRFLILGFELDLYSPSEYCMVYWYMHVVFIKLIEKMQLRILASNENGSARRKGKKKKDHSKDSARDAAFSSSCLLLQCYVLLSEGLSMLLAVLRNESKSFQLPNIFNSEQERFLQHFDLLQKARIPEHITYYSFKESAAQAHMAVVMKYNFFKEIQKIIPSLKGSFASEPEKLAELRQIEQVAEHNRIALNIINSVGVGDPSLRVSFEFTHHPHFAVAVVKRS* >Brasy4G078300.2.p pacid=40085557 transcript=Brasy4G078300.2 locus=Brasy4G078300 ID=Brasy4G078300.2.v1.1 annot-version=v1.1 MEASSSSAGPAPPPPNIPPSGDRSVWADASQLVAAACADLQDGELVHGENFSLFAAMSALEIMDPKMDSGIEKSGYYSIEEAIEDGIAPVPLSLDRTLDIQRTLDVIDHLFSCEATWHKGHTLAQTIFTCIYLMKMERISSHAVLNSFCRILRATCNAVVSVVSTARTHEEEDLFTMSFGLPLKDEGDDKCLSVLNSVEETVSRQLRACKSHALSKRKTLEGLESLQDNPDMEEGYCRALLCRLRFRKHFHHVVTCLRRPHGRGLDLARKHVASCLSELSLMLKSQEFLKSQSNITLQQGDESCTTASGCRPFGFDVSLNSRLMSAAPPRAVKILTWSDALGYFEKLLRDLDVICALSLDPVLENVLHFIAQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLFCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLDHENMCMRVSKQLLVWTQEQTYWVASRFLILGFELDLYSPSEYCMVYWYMHVVFIKLIEKMQLRILASNENGSARRKGKKKKDHSKDSARDAAFSSSCLLLQCYVLLSEGLSMRFLQHFDLLQKARIPEHITYYSFKESAAQAHMAVVMKYNFFKEIQKIIPSLKGSFASEPEKLAELRQIEQVAEHNRIALNIINSVGVGDPSLRVSFEFTHHPHFAVAVVKRS* >Brasy4G439300.1.p pacid=40085558 transcript=Brasy4G439300.1 locus=Brasy4G439300 ID=Brasy4G439300.1.v1.1 annot-version=v1.1 MTAEGNPKGVGGTSREAEENHSCSWVVEVEKLLADNSTVEEKRWGAQSIYLVPEWLKGATNKSKAAYKPQLVSLGPFHHGDPKLLPMEEHKRRALVHLVKRSMKPLKDFRSAIVEVAEELQAAYGKDLDDKWRNDREGFVRVMLTDGCFLLEVMTSPPSNYELSIIKSDMLMIENQLPLLVLRQILGVLHPAKEEFAMNIFINLFVLAFLRPNDSAIGPIAEYSSLGLHPLAVYHRNLIYNKGPHEDDISEENCKYEIMPTAVEIHNAGIKIRNSKTDRLLDIQFKDGVLTMPVLKVDDDTESVFLNLMAFERLHPDAGRLVSAYVRFMDNLIGSSLDVALLISHGVLELFVGCEKETAKMFNDTLSRGQLLARSRGLDKVQSDVKKHCGETWNKWRAALIRTHFRNPWAFISLAAAVILLIATLLQTAYAVMPSNS* >Brasy4G353100.1.p pacid=40085559 transcript=Brasy4G353100.1 locus=Brasy4G353100 ID=Brasy4G353100.1.v1.1 annot-version=v1.1 MNSGSGAGSSAGGSGDGGAPRRASRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGVAALLASHEVVEIVDRYDDACVPSNVTDKLAYIQNDTIPKICERTLTVPKDMKQPIFVYYQLNNFYQNHRRYVKSRNDAQLRDASKANVTDLCDPERAGSDGQAIVPCGLIAWSTFNDTYIFKHKSNNLSVDKKGISWKSDRDHKFGKDVFPKNFQQGPLKGGKSLDPNVPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVTLENNYNTYSFGGKKKLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNKSPAGH* >Brasy4G253800.1.p pacid=40085560 transcript=Brasy4G253800.1 locus=Brasy4G253800 ID=Brasy4G253800.1.v1.1 annot-version=v1.1 MPDRRQRMLLATPKPSSTTVLPCVPAVCRGRRRAVLRLPKVELHRTWSCAEGVAAACVGRCLTNAQPAWSGSFCGCHGMGRRAPAVNRQQNFILLIPCGALLVQVLCCLHGVGLFGNRFSQSSFMDSILDFLHVLASSRILVFCLAFVFFLSIDF* >Brasy4G132000.1.p pacid=40085561 transcript=Brasy4G132000.1 locus=Brasy4G132000 ID=Brasy4G132000.1.v1.1 annot-version=v1.1 MPLGLILSSIGRSMRRKRTSSLNILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDAKLPRFVVPDLTDFKLKPYVSQCARDDLTASSTSTASAESTGNKN* >Brasy4G132000.2.p pacid=40085562 transcript=Brasy4G132000.2 locus=Brasy4G132000 ID=Brasy4G132000.2.v1.1 annot-version=v1.1 MPLGLILSSIGRSMRRKRTSSLNILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDAKLPRFVVPDLTDFKLKPYVSQCARDDLTASSTSTASAESTGNKN* >Brasy4G158400.1.p pacid=40085563 transcript=Brasy4G158400.1 locus=Brasy4G158400 ID=Brasy4G158400.1.v1.1 annot-version=v1.1 MPHRFRPEAARKNTMAAGASRTVRFQNDIDVHHFRTSPLELESPPPSSGREGSNCPTRCWPGSSRNASPEEKENRPRLKDGRVLSRAFSEELESLTHAGGGRGDRVSYLFDPRGQVIQLWHKVFLAACLASLFVDPLFLYLTGTRPRRRWRGDDDAVGGACVEFEHSLALALSVARSLLDAFYAAHILLRFRTAFIAPLSRVFGRGELVVHPCQIARRYLASAFWFDLITALPLPQFVIWAVVPRLRESATANRKNVLRFSIIFQYLPRLLQIFPLTRKIVMATGVMTENAWAGAAYNLILYMLASHVRAWSAVVPLLGAAAGGVLEGGVPAGGPPCEPRFFDCTTSVVSTGGNEDIWHALSNVTSLCTPPSSNGNGGFYLFGIYAEALHAKLTSSPFARKCFYSFWWGLKNLSCLGQNLSTSLSVGEISFAIVIGVLGLVLFALLIGNMQSYLQATTVRLEEWRTKRADMERWMQHRQIPPPLRLAVRRHHQYRWVATRGVDEEALLRDLPMDIRRGIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVREMDPVDSMVFIIRGKLDSNTTQGGRAGFFNSCRIGEGEFCGEELLTWALDPRPEAAARLPRSTRTVRAVSEVEAFALVADDLRFVASRFRRLHSARVRHRFRFYSHQWRTWAACFVQAAWRRHKRRRASMELRIREGGGAWWGTGGSVRHRRQSCDGKAPLRKPLEPDFTRWNKTRTELFLASSVN* >Brasy4G427800.1.p pacid=40085564 transcript=Brasy4G427800.1 locus=Brasy4G427800 ID=Brasy4G427800.1.v1.1 annot-version=v1.1 MEEEGCYALEKFRLNCMDNVTILDRGVQYLVGNVSVNEGYLSIRRKTRLQGSLSSDDEREVATLPKNGTMTEEFDYPLQDLFDLIKEDDMKMWWAVENFTCPMVMSKEYSDIYACRSANSSCLNVTRVNSVLQLGYRCKCSKGYEGNPYMPNGCTDIDECVMPNICNGTCKNYPGGYNCSVCAHGKEFDPSKRKCVMSAKRHNLILGIAIGIGCGLAATVLSLGVTALINKWNTDKTKIFSMDELEKATDNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKMLEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLYSDLSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNVLLDGNFTAKVSDFGVSRSLSLDQTHVVTIVQGTFGYLDPEYYHTGQLTEKTDVYSFGVILVELLTRKKPIFINNVGTHQSLSRYVAEGLEQGVLMEIMDPQVIEEANQEEINDIASVAEACLRIKGRDRRTMKEVETKLQILRTTMLRSRLAPRKVGEIESIPRLDTSTSHARANFVNTVDLTSACISGRYSLEQEFASLPR* >Brasy4G018300.1.p pacid=40085565 transcript=Brasy4G018300.1 locus=Brasy4G018300 ID=Brasy4G018300.1.v1.1 annot-version=v1.1 MRGRSRRGSSGTAADFLVCFPSRTHLALMPPKPICSPSRPSASERRHSTSGRPGPPPPPSSSSSANAHYRASRNASRRQRHAMDVVGVDDEPSSPKVTCAGQIKVSRSAKPKAACAGKKPTKKSSSWIQAIGIKKDALPFLSALHGAFRLNNVAGCFRSFPGAVVEYTSGEEDEEEEEDQEGRQRIEQKTEHGDALARWFMVLEEGKRIPRKKQEQKPEEEEEESQDQEDSAPPANALMLMRCRSAPAKGLARRLGTGEEDEELKSAKKEEETQKETEKENLVVMRYPPDFFHVSVDIAKETWIVGGDDSVLRCRSWKK* >Brasy4G076100.1.p pacid=40085566 transcript=Brasy4G076100.1 locus=Brasy4G076100 ID=Brasy4G076100.1.v1.1 annot-version=v1.1 MTALERLHVVTDMQYMFTEFLHADVQVGVTQKLDGIQGWLEKFKNNWLIYTCRYYSLPPAAVASADGMANYLKRKADELQVKPEYPNLDVLSAENIDLKLELYIGRTYFSSIRHLCVHLSDTARKLKKMKALEDEVKDLIISSEFAAALFSIQMHVPPELKLIGSDLKACPYERFKSYFKETEFKSCDKKIEQKPGKTTLALKDPAR* >Brasy4G129200.1.p pacid=40085567 transcript=Brasy4G129200.1 locus=Brasy4G129200 ID=Brasy4G129200.1.v1.1 annot-version=v1.1 MIIMFQATEDNQLISITVRYSPFYFCILRSN* >Brasy4G003400.1.p pacid=40085568 transcript=Brasy4G003400.1 locus=Brasy4G003400 ID=Brasy4G003400.1.v1.1 annot-version=v1.1 MGFRGGSRNDYWSFIPWLNSSIHVLPGVGVNFPCFTGAPSAAQSASRRDREGAYCSSPDRHLHLRF* >Brasy4G382900.1.p pacid=40085569 transcript=Brasy4G382900.1 locus=Brasy4G382900 ID=Brasy4G382900.1.v1.1 annot-version=v1.1 MAPAAKSDDEDNYEEYIPVAKRRAMEADRLRNRRISKPAAAAAASDGSPASLPPPPPPPTNNPAPDAAAAAKPSLLVTSTQLKRAAPEVTATEQVILQEKEMIENLSDRKTLMSVRELAKGITYTEPLLTGWKPPLRLRRMPCTKADELRRKWHILVEGDEVPPPARQFQDLRFPEPILRMLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMMMPIVAGEGPFGMIICPSRELAKQTYDVIEMFLTPLKKAGFPEIRPLLCIGGIDMRTQLDVVKRGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIEFFKNGRKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELIDPEDAETIAKESGVRGCANCGGLGHRLAECPKLEHEKSVAIAGSRRDYYGGGGYRGEI* >Brasy4G291400.1.p pacid=40085570 transcript=Brasy4G291400.1 locus=Brasy4G291400 ID=Brasy4G291400.1.v1.1 annot-version=v1.1 MEPHMERALREALTEAERRSLAPVVAAHHTFPGHGGSSSPSPGKKKTCTSLVTQRVDAPLATVWAIVRGFATPQRYKHFIKSCALAAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHVLSFRVVGGEHRLRNYRSVTSVTEFSSPPPPDPEQKEKTQGAAASSSSSSYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATASTSSTSPSSSSSLPPPSDGAQADQ* >Brasy4G426900.1.p pacid=40085571 transcript=Brasy4G426900.1 locus=Brasy4G426900 ID=Brasy4G426900.1.v1.1 annot-version=v1.1 MLSEKDYQSAKASKTGITPLCLRRKTKQNCQLSRGLALQSRQTGSYHQTTSDQVYTLIAQKKKHVGAISPHLLRYISIARLEKERWFIGKPEKEAETYTDMAGALPWLIFAATLLLATIKSSTASRMAKPGCRETCGNLTIPYPFGIGQGCFRDGLDVSCENNTLYRHNSTTSKMKIYNIDLLGGQTQVNTFIASNCFYSKNNFNTDGWASLNTGQFFTVSSKANKLTVVGCSTLAFLVGNNEHSSVGAGCFSMCGDKKSVDPSGQCSGMGCCQTSIASNVFSSNITFDVRFNNSEASSFNPCSYAFVAEQDWFKFKASYLDDDKFIKEFKDGVPTVLDWVAGYENCTEAVKNTSSYACISKNSQCIESTNATGYLCTCNDGFAGNPYLEEGCQDLDECSFPDQYPCHGICSNTIGNYSCLCKSGTRSTDPKRDTCNPIELTKVSTGVGVGIGLASAAGLIILFLTGCVISDKIKHRRTQMLKCKFYEQNRGQLLEQLVSQRADIAERMIIPLEELEKATNNFDKGREIGGGGHGTVYKGILSDLHVVAIKKPKMVVQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYEHLHVDGPRSLQWNDRLRIAVETARSLAYLHSTASIPIIHRDVKSANILLDQTLTAKVADFGASRFISVGKSGLTTMVQGTIGYLDPMYFYTGRLTERSDVYSYGVMLVELLTRKKPFSYLSPDGEGLVANFVALFAEGNLSGMLDPQVTEEGGEEVQEAAALAVACIKLIGEDRPTMRQVELALEGLGPSKKHVSDDAGFNRLDKNNTITANSPWSLRSSVEGSARQYTMEAELTMSSRYPR* >Brasy4G418400.1.p pacid=40085572 transcript=Brasy4G418400.1 locus=Brasy4G418400 ID=Brasy4G418400.1.v1.1 annot-version=v1.1 MESKAGARTAMAALCVLVLLSLQQAARVTAAWDPATFCPCYKQCFAECHGMRFFCAPGCAKHCTTVNLDAAGRRPGHGGGGGGGSGRAALCKIACAAISICGGSAPELPAALTVAAAADDEAACVRDCMK* >Brasy4G389900.1.p pacid=40085573 transcript=Brasy4G389900.1 locus=Brasy4G389900 ID=Brasy4G389900.1.v1.1 annot-version=v1.1 MKPVRIWRSLIAWAVPLLANERHDELLDPRLRHGEVVSKEVKQAVDVATMCLQEENSLRPNISDVVVVLSFLTCSGEDDDDDEQVVSRSRDKICMPHFDLICAGGSTRHGATAEEGRGCSGGAWHGFGVGPEPKEMAIGLDGDRKWMRPDTKTKLFIFFFLPPTSSWPSTVTARKMCNQVVR* >Brasy4G071000.1.p pacid=40085574 transcript=Brasy4G071000.1 locus=Brasy4G071000 ID=Brasy4G071000.1.v1.1 annot-version=v1.1 MAGGEGVEEGWRKSGIEVSTLQFGYDGQAPLFVRFNLRVAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQFVCSGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGANTPFFPYPEMRAVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKNAKNLLSVVESWLKSETKLPKKEPIRTESQPRRSSPFDASPFRSSRHMAYYR* >Brasy4G071000.2.p pacid=40085575 transcript=Brasy4G071000.2 locus=Brasy4G071000 ID=Brasy4G071000.2.v1.1 annot-version=v1.1 MAGGEGVEEGWRKSGIEVSTLQFGYDGQAPLFVRFNLRVAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQFVCSGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKNAKNLLSVVESWLKSETKLPKKEPIRTESQPRRSSPFDASPFRSSRHMAYYR* >Brasy4G080100.1.p pacid=40085576 transcript=Brasy4G080100.1 locus=Brasy4G080100 ID=Brasy4G080100.1.v1.1 annot-version=v1.1 MHHGNATPSLSRFSLDYPDTDPLGGFNPNTFAVDPLGRFNAFASPPLRRGPLYYGGSSPSAFPQFLTGCSQPAPNPFGGMSQGDSIMSDMINDGSQHAHYTYTQEEEAQEEEAYAGEDAEREDWANGTEEPAVAQLRGKKKEDECLTEAWKAVSMDPFVGADQTGDTYWRQVKTAYDERRVIDREFVMLTHDRNESVLSHRWQMIHQACNKRHDIQEEVRRRPQSGSSAHDQMVAMFTAFREDNDGVDFKFIHVFARIETCDKWTETRNGLSKSGAYDPTAAPPAAAEGRPIGHKKSVSTHASIEKCMSDAAAQAAKREELAAKREEVAASRGATVIKKQDDKLEILKANGAAKKRREDLLILTFDTTGMDAEVKAWYDGQRRLILAEARAPASAPATAGPATSNPSAPSPRETATTSTSTPPAGSEVPSTPADDEGAE* >Brasy4G333600.1.p pacid=40085577 transcript=Brasy4G333600.1 locus=Brasy4G333600 ID=Brasy4G333600.1.v1.1 annot-version=v1.1 MCVVGLVGKFPEAQKERYKGLLRRKQTRSFSFPEKNGASASPPMATPPAATATNSAPTPPQPPYKAPPFSQPPSTAGAGKTETPTASTSTAAAAVVAAVAATGAAAEEPSYIITVPSYSGWFSYDSVSDTERRLMPEFFQGEAAASSGSRGPEAYKYYRDTLVKRFRARPERRLTLTEARRGLIGDIGSVRRVFDFLEEWGLINYGVSLPGVKQGRDKREEPVAPQSSLPAGVTAPKKLCIGCRTVCGQAYFTCEKADITICCRCYVRANYRPGLTPADFKKVETSEDAKSDWTDKETLHLLEAVLQYGEDWKKISEHVGSRSEKDCIARLLRLPFGEQFMGPKEDKMQFENDDVTDESRAEISKRVRLTPLADASNPIMAQVAFLSAIVGSDVATAAAQAAISAQSQVDETNDSPADSSIGSPKEEVSSAESCYTNGFSANDLLKEASANARVQLEKERKDIEQSLSDIVDVQMKEIQDKICRFEQKELLMEKERQQLHYLQKLLFADQLAVVQHQRRPHAVTAENKADEKPKPVLTS* >Brasy4G333600.2.p pacid=40085578 transcript=Brasy4G333600.2 locus=Brasy4G333600 ID=Brasy4G333600.2.v1.1 annot-version=v1.1 MCVVGLVGKFPEAQKERYKGLLRRKQTRSFSFPEKNGASASPPMATPPAATATNSAPTPPQPPYKAPPFSQPPSTAGAGKTETPTASTSTAAAAVVAAVAATGAAAEEPSYIITVPSYSGWFSYDSVSDTERRLMPEFFQGEAAASSGSRGPEAYKYYRDTLVKRFRARPERRLTLTEARRGLIGDIGSVRRVFDFLEEWGLINYGVSLPGVKQGRDKREEPVAPQSSLPAGVTAPKKLCIGCRTVCGQAYFTCEKADITICCRCYVRANYRPGLTPADFKKVETSEDAKSDWTDKETLHLLEAVLQYGEDWKKISEHVGSRSEKDCIARLLRLPFGEQFMGPKEDKMQFENDDVTDESRAEISKRVRLTPLADASNPIMAQVAFLSAIVGSDVATAAAQAAISAQSQVDETNDSPADSSIGSPKEEESCYTNGFSANDLLKEASANARVQLEKERKDIEQSLSDIVDVQMKEIQDKICRFEQKELLMEKERQQLHYLQKLLFADQLAVVQHQRRPHAVTAENKADEKPKPVLTS* >Brasy4G060600.1.p pacid=40085579 transcript=Brasy4G060600.1 locus=Brasy4G060600 ID=Brasy4G060600.1.v1.1 annot-version=v1.1 MAEAAPAAQPKLLYIAVADGGGRRAFRYTRPVLQSTLQLMGCKARHAFKISKRVFNMMKGEFLDASKSDRAVKEGNFPGLDIGEDAQMLNPETSDASSNSVPFELYKTQTTIIVSREKFLNVVCDALSSYKYVGPNQKADLLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRSFADEKQNPLLYASTYHAGEYLDPIAVSQSKAKRQAKKLDIVSRPSTNEGKDDISEVKSRHGASELPPRTELIGNKQMAIEGFKAQSEMVIDSLDRLITSWEERKESVIVEGVHLSLNFVVGLMKKHPSIIPFMVYIANEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDSNTNTVSVVDEEYRNQRAANSLGSKGMFQFIQRKGSSRNLMALLNPDGSVTKAWHVDTSEGNGNSSTSSEKSVRNHMVNPSQIGKAESVNLQFGSFGISAWLSDIGGTSHAGSVDDLRADGIETASRYFSSCCSSPKMSECASKEHMEDYSVYGSEEEADDPPDAETDDDLTDEERDVHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGNSSDDEQAAGSGSTSSALEESILGAADGEDAMAGRYHHNLELFFKMSKEVAATEMPCA* >Brasy4G421400.1.p pacid=40085580 transcript=Brasy4G421400.1 locus=Brasy4G421400 ID=Brasy4G421400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAMVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVALLRTKYERLNKGPFKYIPRGFISCENKFFTFPGLPEEKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYDNEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G098000.1.p pacid=40085581 transcript=Brasy4G098000.1 locus=Brasy4G098000 ID=Brasy4G098000.1.v1.1 annot-version=v1.1 MALHHHQQQHAGPASGSASASSSSSGLHLPASPFGDTTHTKLFVGGLAWETTSERLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFRDPESATKACEDPTPVIDGRRGNCNLASLGRAQTPAHLGRPRSAGSYFGVPVPRGIYVGGYGQHRPLPVGYYQGFPVPQYSYSTYGTEYIYPQGTLNPYVGQQYVPVYGVSTATNTTSQPFSPSISGGGNGYVAMHGYSMPGNQFVQLTGSNFSNTSPSPRPTIQAPFLVAAPVPSHPHLVIPAHSPQFTQASGSDQRAS* >Brasy4G098000.2.p pacid=40085582 transcript=Brasy4G098000.2 locus=Brasy4G098000 ID=Brasy4G098000.2.v1.1 annot-version=v1.1 MALHHHQQQHAGPASGSASASSSSSGLHLPASPFGDTTHTKLFVGGLAWETTSERLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFRDPESATKACEDPTPVIDGRRGNCNLASLGRAQTPAHLGRPRSAGSYFGVPVPRGIYVGGYGQHRPLPVGYYQGFPVPQYSYSTYGTEYIYPQGTLNPYVGQQYVPVYGVSTATNTTSQPFSPSISGGGNGYVAMHGYSMPGNQFVQLTGSNFSNTSPSPRPTIQAPFLVAAPVPSHPHLVIPAHSPQFTQASGSDQRAS* >Brasy4G120800.1.p pacid=40085583 transcript=Brasy4G120800.1 locus=Brasy4G120800 ID=Brasy4G120800.1.v1.1 annot-version=v1.1 MAASTVSSRVPPFLPESAAAASGLVLLDRLCYIADLPNNTTAVSTTSSGLPLKVTFRAASPPHISHFCVHCPGLDFRSIGPKIVATDADLVLLCVPVDPNSRLDWDYFVYRPHAQWLDLLPNPHPRRLRNSATALISREDGAWYVVAALGVSSSQYDGDALIRWEFDLHLYRSSDSKGWISKRLSVNELERDKLIPLPRAVDRLYHETGKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLLDVPLPVPARGNWDRLLRNSDPSYLRDVTISRNKDSIKYVELEFLWSQEELNATIPVSYTDWVHNNSRKSQVIRDGWKSTTWHMAIPVGSSESWHPDCVFDVKDVSLDASDPCLSDLMAMLSGKTTRTLKELPVGYPILSMDDDVVYLLSQTRRHMEKLAVVFAIDVRKGTLRGLAELDKSTIFLPTFCTSEICRGT* >Brasy4G379300.1.p pacid=40085584 transcript=Brasy4G379300.1 locus=Brasy4G379300 ID=Brasy4G379300.1.v1.1 annot-version=v1.1 MEHSPSPGGIVPLNLLKLRSSTAKDGDLLQKRVGMFPVKLLLLALSAIRLIIIPHMVDGNCPVNKLLEMFSTCRGREGLEDDNSCMSPSKRLKLTSMTRMLPEDINSSGRPPDNELWERLRRNNPARLPRKAILAILP* >Brasy4G064300.1.p pacid=40085585 transcript=Brasy4G064300.1 locus=Brasy4G064300 ID=Brasy4G064300.1.v1.1 annot-version=v1.1 MDAQTPWRAGAAASKLSYRNATVALCAINVLAVALLLRNHFSSWPRLAGGHRFDSAQLRYIWESEELRRAMEPVDLIKRVKEIEQEAYGEHGMSTQEDAKQTAAVDLSKRLKDLRQGNDGSSPKALEEWRKRKMERARQRAIEKDGIMPGARTQ* >Brasy4G284200.1.p pacid=40085586 transcript=Brasy4G284200.1 locus=Brasy4G284200 ID=Brasy4G284200.1.v1.1 annot-version=v1.1 MVGAVQEGIVREMNGFDTNGCDKAAAAKQQQQQGDHEESKWFEEEINDDLKLCFALNSVLHQGTSKFQEIALVDTKHFGKALIIDGKMQSAEVDEFIYHESLIHPPLLFHHNPKTVFIMGGGEGSAAREALRHRTVERVVMCDIDEAVVGFCRAYLSVNRDAFASDKLCLVINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYEHVVQPKLHDRGIFVTQAGPAGVLTHKEVFSSIYNTLRHVFKHVKAYTAHVPSFVDTWGWVMASDHPFTLAAQQIDERIKDRIDGELEYLSGEFLISSTTLNKSVRLSLVNETHVYTEDDARFVHGHGIDGALYFTGRKAST* >Brasy4G284200.2.p pacid=40085587 transcript=Brasy4G284200.2 locus=Brasy4G284200 ID=Brasy4G284200.2.v1.1 annot-version=v1.1 MVGAVQEGIVREMNGFDTNGCDKAAAAKQQQQQGDHEESKWFEEEINDDLKLCFALNSVLHQGTSKFQEIALVDTKHFGKALIIDGKMQSAEVDEFIYHESLIHPPLLFHHNPKTVFIMGGGEGSAAREALRHRTVERVVMCDIDEAVVGFCRAYLSVNRDAFASDKLCLVINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYEHVVQPKLHDRGIFVTQAGPAGVLTHKEVFSSIYNTLRHVFKREYLCQSLHCSCAILC* >Brasy4G056300.1.p pacid=40085588 transcript=Brasy4G056300.1 locus=Brasy4G056300 ID=Brasy4G056300.1.v1.1 annot-version=v1.1 MSFPGDTRPTIRCDACGKSTHRRNHCVAFPCARCHGTGHYEYFCTTPADFDFDSAAAAGAPRCDVCGGVGHGGENCDTAERRELWCGICEKDGHVDKECPTRDGGEGDPCRVWFGYDICSFCRGPYHRTSDCPRRKLR* >Brasy4G371800.1.p pacid=40085589 transcript=Brasy4G371800.1 locus=Brasy4G371800 ID=Brasy4G371800.1.v1.1 annot-version=v1.1 MDSPARYLTHSQGSKNSRNCLRKNNLTGGIPEELGVITGLRVLSLHNNLLDGSIPASLSRLQKLEILYLDRNNLTGGIPEELGMITGLRVLSLYNNLLDGSIPASLSRLQKLEILYIDRNNLTGGVPKELGRITRLRTLSLYSNSLGGSIPASLSRLQKLEILYLDGNNLTGGIPEELGNITRLRVLSLYNNPLGGSIPASLGQLKLLELLQLSGADLVSTLPPELGKLTSLQYMVLPGNQLFGSLPPSFARMQKLWFFDIKNNSISGTIPQEMFTNWTKLKHFDVASNLLTGSIPSQISKWKEIEFLSFSGNNFTGSIPVEVGSLPNLQALSLSNNHLTGTMPSVIGNATSLKVLVIRDNHLEGELPATIFKLVNLVVLSLSGNKFMGIIPNHNGMQLPSVKGANNTDNRSFSGESISAFCRLTLLQLLDLANNQLVGEIPGCLWNLIDLQFLDLSRNAFTGKVPTSTYNNSSLRSLHLSNNNFTGHFPAVLKNFKSLVILDLGNNNISGVIPTWIGERNPLLRILRLRSNMFSGSIPWQLSQLSHLQLLDLAKNNFVGSIPESFAHFSLMRQKSMMQSIIIFNIVSANLGFFDADSMDIIWKGREYNFEGSPFVTGIDISGNSLSGEIPSELTSLRGIQLLNMSRNYLSGSIPEEIGNLKLLESLDFSWNKLSGPIPPSISNLMFLSALNLSNNHLSGEIPAGDQLRTLDDPSIYSNNLGLCGIPLSIPCTNSSSHKTALHGAKEQHLGLETLWLCYSVIAGVVFGFWLWFGALFFCRRWRVASFGCIDAMLRKVVMKMKQI* >Brasy4G026500.1.p pacid=40085590 transcript=Brasy4G026500.1 locus=Brasy4G026500 ID=Brasy4G026500.1.v1.1 annot-version=v1.1 MEKKKPGSRPRASNNGGLDEDDGQHEEKQPLRLLRGGGGLEDQAAVGQQGMFRRKSVRAALVLCLLTLPAGVLLLQWWRAANSPLLFDVDLPKMDDDYDQDMKDDMSDDLSPSAQIEYDKLLGGLLIEGFDERSCRSRYQFARYHRNAARVPSPYLIERLRRQEALQKKCGPGTKSYNKALKQLMSGQSINTTDCNYLFLIIHAGLGNRMLEITSAFLYALLTDRILLVDRYKEIADIFCEPFPETSWLISSDFPLSYDEFTQSSPESYGNMVREKVIGGNTYRSLVGARPPFVYLYLDGNYGFHDKLFYCEDDQQFLKGVPWLIMRTDMYFVPSLFLIPSYQDELSKLFPEKDTVFHHLARYLFHPTNGIWYSVTAYYKAYLAKADKRVGVQIRIYETKGILQRNGPFPHILEQILSCAQNEKLLPEIGATAEAAAATVGKNRTIAVLTTSLSSWYSDQIQKRYAEHQTVDGTTVKVYQPSHEEYQKSRNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLAGKTPWILFRSENHVAPDPACGRAMSIEPCFHNAPFYDCKAKRDNVDLGKVVPYVRRCEDVSWGLKIVNQTQL* >Brasy4G026500.2.p pacid=40085591 transcript=Brasy4G026500.2 locus=Brasy4G026500 ID=Brasy4G026500.2.v1.1 annot-version=v1.1 MERSGGGEGRRRLEEAPKQTRRGGRFVLASARASLGVCFLLVPALLLLQRWQAGPVPVWIFDPPPEDGRDMKDDMSDDLSPSAQIEYDKLLGGLLIEGFDERSCRSRYQFARYHRNAARVPSPYLIERLRRQEALQKKCGPGTKSYNKALKQLMSGQSINTTDCNYLFLIIHAGLGNRMLEITSAFLYALLTDRILLVDRYKEIADIFCEPFPETSWLISSDFPLSYDEFTQSSPESYGNMVREKVIGGNTYRSLVGARPPFVYLYLDGNYGFHDKLFYCEDDQQFLKGVPWLIMRTDMYFVPSLFLIPSYQDELSKLFPEKDTVFHHLARYLFHPTNGIWYSVTAYYKAYLAKADKRVGVQIRIYETKGILQRNGPFPHILEQILSCAQNEKLLPEIGATAEAAAATVGKNRTIAVLTTSLSSWYSDQIQKRYAEHQTVDGTTVKVYQPSHEEYQKSRNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLAGKTPWILFRSENHVAPDPACGRAMSIEPCFHNAPFYDCKAKRDNVDLGKVVPYVRRCEDVSWGLKIVNQTQL* >Brasy4G235900.1.p pacid=40085592 transcript=Brasy4G235900.1 locus=Brasy4G235900 ID=Brasy4G235900.1.v1.1 annot-version=v1.1 MVFATVGTTCFDALVKKVDSPQVKVALWQKGYTDLFIQMGRGTYAPSKVSGDATLKVDHFTFSSSIADYIREASLVISHAGSGSIFETLRFGKPLIVVVNEDLMDNHQIELAEELAERKHLLCARPQTLEETIQGMDLETLLPYIPGDAKPVVALINKFLGFPAD* >Brasy4G081800.1.p pacid=40085593 transcript=Brasy4G081800.1 locus=Brasy4G081800 ID=Brasy4G081800.1.v1.1 annot-version=v1.1 MSWRARLSILAAGYLTDATRRADGSINRRLLTFLDPGVPASAAPRNGVASRDIDLHAGHGPLPLRARLFFPAGGHASPGPGPHPVFVFFHGGGFAYLSAASPAYDAACRRIARHCAAAVLSVDYRRSPEHKFPAPYDDGFSALRFLDNPKNHPSDLPQLDVSRCFLAGDSAGANIAHHVARRYAAAESSTFNNVRISGLIAIQPFFGGEERTASEIELDGAPIVSVSRCDWMWRAFLPPGADRTHEAAHAASAAGAAGVESAAFPPAVVVVGGYDPLQDWQRRYCEALRAMGKQVRVLEYPEAIHAFYVFPEFAESRDLMLRIKEIVAGSGGGGGGK* >Brasy4G415600.1.p pacid=40085594 transcript=Brasy4G415600.1 locus=Brasy4G415600 ID=Brasy4G415600.1.v1.1 annot-version=v1.1 MGGGEHQLEHHSIVVSSAAAVHGHGGGGGGTVEAALRPLVGADGWDYCIYWRLSPDQRFLEMTGFCCSGEFEALGDLPSSIPLDSSSIGMHAQALLSNQPIWQSCPGDVAQDPAAAGAGGEKTRLLVPVAGGLVELFASRYMAEEQEMAELVMAQCGGGHGWQLQQQPAEEQFYAATSVASLNLFDGGGGGGGGEDQYLAAGAGEDDGGAAAAWGFAAGTSSEPLHEQQQLYSRGTAAAAARAESGSEGSELQGDDDDVDGGVQRKDGGGGGKRQQCKNLIAERRRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKDLQDELEEEDNPINNLGNANPDVLTIDDHPPPGLDNDEASPPPPPLPALGKKRGRPPAEEEEEEKGEEEQEQDMEPQVEVRQAGGGGEEFFLQVLCSHKPGRFVRIMDEIAALGLQVTNVNVTSYNKLVLNVFRAVMRENEAAVPADRVRDSLLEVTREMYGAGGVWPAPPPALTTMEAPMMMTMAAEAAKLDGGEAGEQHYQQMLGGYHQHHLHYLGLD* >Brasy4G276100.1.p pacid=40085595 transcript=Brasy4G276100.1 locus=Brasy4G276100 ID=Brasy4G276100.1.v1.1 annot-version=v1.1 MGQQIGLPELSAEEQQPPAPVMTASGRLWAPPLTHEDNRGFLHMLREKKERLGVGAAKVEVRFENLTVEADVRVGRRTLPTLLNSALNAAQELAAFSRMCSTRKRSIKIINGASGTIRPSGMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEEVNPSTPQYLHAYISQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGAINKLDQDVDSFIKATTFGEGGNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTYEIVKFVQQMAHLMDLTVVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFEIMGFKCPSRKNVADFLQEVTSKMDQKQYWIGDENKYQYRSIEKFAESFRSSYLPRLVEDNLCRSNNTEKSKQAKTSASRRISRWNIFKACFSREVLLLKRNSPVHIFKTVQITLLALVISTVFLRTNMKHGSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLCSVYLISLPMSLVETGLWTSLTYYVIGYAPSVIRFIQHFLVLFVMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYIFGGFVISKDNLQPWLRWGYWTSPFTYAQNAVSLNEFLDERWATEFHYANANTVGEAILKIRGMLTEWHWYWICVGVLFGFSLAFNILSIFALEFMNSPHKHQVNINTTKTMTECKNKKAGTGKVSTAPVVLPFRPLSLVFDHINYFVDMPKEMMKYGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKVAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNIKSRQRDMFIDEVMDLVELTGIKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQIIYSGSLGPLSSNMLKYFEAIPGVPRIKEGQNPAAWMLDISSHTTEYEIEVDYAEIYQSSSLYRENLLLIDELGKPAPNTEDLHFPPRYWQNFRAQCMACLWKQRCAYWKNSEHNIVRFLNTFAVSIMFGIVFWKIGSTIKKEQDVFNILGVVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYSTLAYAIAQVAIELPYMLVQVFIFAAIVYPMIGFQMTASKFFWFVLYMALSFMYYTLYGMMTVALTPNAEIAAGLSFLIFIFWNVFSGFIIGRELIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIIVAGQPDQTVREFLEGYLGLEDRYFNLVTCLHFAIIALFAFLFFVSLKHLKFQRR* >Brasy4G024500.1.p pacid=40085596 transcript=Brasy4G024500.1 locus=Brasy4G024500 ID=Brasy4G024500.1.v1.1 annot-version=v1.1 MEGEGEGTPVDAPAPAAAAEVKNPRCYLDVSIGGEMEGRIVMVLYASVAPRTAENFRALCTGEKGVSAATGARLHYKGSCFHRIIKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRAMEHISAGEADLPTADIVVVDCGELPEDANDGVVDFFKDGDMYPDWPNDLDEKPAEVSWWIDAVDSAKSFGNENFKKHDYKAALRKYRKAMRYLDLCWEKEEIDQEKSSALRKTKSIILTNSSACKLKLGDLEGALLDADFALRETEGNAKAFFRQGQARMALKNIDAAVESFKHALDLEPNDGGIKRELTAAKKKVADRRGLERKAFSKMFQSSGSSDKIDEV* >Brasy4G024500.2.p pacid=40085597 transcript=Brasy4G024500.2 locus=Brasy4G024500 ID=Brasy4G024500.2.v1.1 annot-version=v1.1 MVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRAMEHISAGEADLPTADIVVVDCGELPEDANDGVVDFFKDGDMYPDWPNDLDEKPAEVSWWIDAVDSAKSFGNENFKKHDYKAALRKYRKAMRYLDLCWEKEEIDQEKSSALRKTKSIILTNSSACKLKLGDLEGALLDADFALRETEGNAKAFFRQGQARMALKNIDAAVESFKHALDLEPNDGGIKRELTAAKKKVADRRGLERKAFSKMFQSSGSSDKIDEV* >Brasy4G024500.3.p pacid=40085598 transcript=Brasy4G024500.3 locus=Brasy4G024500 ID=Brasy4G024500.3.v1.1 annot-version=v1.1 MVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRAMEHISAGEADLPTADIVVVDCGELPEDANDGVVDFFKDGDMYPDWPNDLDEKPAEVSWWIDAVDSAKSFGNENFKKHDYKAALRKYRKAMRYLDLCWEKEEIDQEKSSALRKTKSIILTNSSACKLKLGDLEGALLDADFALRETEGNAKAFFRQGQARMALKNIDAAVESFKHALDLEPNDGGIKRELTAAKKKVADRRGLERKAFSKMFQSSGSSDKIDEV* >Brasy4G005000.1.p pacid=40085599 transcript=Brasy4G005000.1 locus=Brasy4G005000 ID=Brasy4G005000.1.v1.1 annot-version=v1.1 MDSAAPQPQQQPSAADDEDARRRRRSTDCIYYLASPLTCKKGSECEYRHNDAARMNPRDCWYWFNGNCANPKCSFRHPPLDDLLGAPANPRASQQAAPQVSVPAQAPGSIPASSTAKPGVPCYYFQKGMCAKGNMCAFSHGPQVAGNPALQQSAKVFAPALQPQPQLKNSNSWIKPHNSAQQSTTRAAHDELNVSAHNGKPAQKQNLTSRAYHPSGIYQNHNNSYVLSGATKSYQAQPSVEDDSAENVMETGEFVREPSAGSSVLVGSVDEDAERSFKEDHSSYHHTSLEKNTGMHRQTHGGYELERPHRSSVNRLLSEGRLSQREPLPATADSSDLRHRLLKQRRLNNPKTTQVPDRQDRYHEEERHNHHRRREEEQATHNGFSRSRLHGRIKLPGESSLDRLGPHPEKERGPRARLSPPRQRDLRGLSPPKQRDHRGLSPPKQRDRRGLSPPKQRDRRGLSPPKQTDLRGKLHDRLKARSNEDVPGHVRSSVVKANSDEDAGSLNFSGPKSLAELRAKKVASSSREHTLKSTDPRIAGPVRMTSEIVANRDSPDPVPFDSPKPLSAILKRKREAASADSGSIQEEQAAGEEEESLHKYRALEDDLVVVTNTEGKEEEVFHPEDDTMYDDSLSPADDNAAGDAGKEELEPEQDLETAEEEYDYEANAEDDNDYQEYEDDDDLEDDDDFARKVGVLIS* >Brasy4G384600.1.p pacid=40085600 transcript=Brasy4G384600.1 locus=Brasy4G384600 ID=Brasy4G384600.1.v1.1 annot-version=v1.1 MDIFLRLPTSADLGRASTACASFRRVIADHKFLRRYRALHPPPLLGVIGKSFIAAQPPHPSAAAARAFADFSFSCSSFLPSTAGRRWRRLDFFEGRALLAGGPVKEGKSGIKILVGPEVCDSQYREFLVRDLAVCDPVHRRYVLLPAVPADLAALIRKPDLLHLETFLAPGEDEDDPLSFRVMCLAQCRRNLVLLVFSSGGHWTALTFDRSFGSVLQSEPALSDRQHVHGCFCWHLHFQNELLVLDVRMMEFSQVNLPPEQRSSGFVIVEAAEGMLGMLSVRYHDDIEDDSYWLTYSILRNNQWQSEKVIPLPIKRWFLMGVAGGYLLIEALYNTSSQEKLNIGYFSVDIKTLQVELFAGLSKVVLPGELYAGFPPSLCAPTI* >Brasy4G372000.1.p pacid=40085601 transcript=Brasy4G372000.1 locus=Brasy4G372000 ID=Brasy4G372000.1.v1.1 annot-version=v1.1 MCTAKGIDPEAEALLRWRSTLVGANKLSSWSMANSTCSWSGVTCDAAGHVTRLKLPYAGLNGTLDAFYSAAFRNLTRINLSNNNLVGTIPANISLLLRLTFLDLSSNNLVGAIPYQLSHLTSIVGLDLGNNHLTNPEDAKFSPMPNLKFLSLSLNDLNGTFPQFILNFINARMRSLDLSGNTFFGPLPDSLPEIVPRLRYLNLSANGFSGSIPHSLSRLQKLETLILAKNNFTGGTPKELVMITGLRVLSLNSNSLGGSIPTSLSRLQKLEILHLDGNILTGGIPEELGMITGLQELVLSDNSLGGSIPTSLSRLQKLEILHLDGNNLTGGIPEELGIITGLQELVLSDNSLVRQLSWWVNPNLTLKAPKA* >Brasy4G086200.1.p pacid=40085602 transcript=Brasy4G086200.1 locus=Brasy4G086200 ID=Brasy4G086200.1.v1.1 annot-version=v1.1 MASISLEDVRNETVDLETIPVQEVFQHLKCSKQGLSSSEAENRLRIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWLEMDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAAGMLVEVVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVCGRGIDKDTVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDGNGNWHRISKGAPEQIIELCRMPKEAEKRIHSLIDSYADRGLRSLGVSYQQVPAKNKESPGEPWQFTGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSTEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALVTVLFFYLAHDTDFFTETFGVRSIKENEKELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLVVAFLAAQLVATCIAVYANWEFCRMQGIGWGWGASIWAFSIVTYIPLDVLKFIIRYALSGRAWNNINNKTAFTNKSDYGKVEREAQWATAQRTLHGLNQPSNNSDLFNDNSGYRELSEIAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIETINQSYTV* >Brasy4G353300.1.p pacid=40085603 transcript=Brasy4G353300.1 locus=Brasy4G353300 ID=Brasy4G353300.1.v1.1 annot-version=v1.1 MALVSRLRLVTVDVTGTLIAYRGQLGDYYCTAAKSAGAPRIPAYARMHQCFKAAYADLSRTHPCFGHASGMPDAAWWKLCVRDSFARAGCEYEDDALEEIFGRIYSVFGSSAPYTVFPDSQPFLRWLRGEKKVMVGIVSNADRGYRDLVLPALGFNQGTEWDFGVFSGVVGVEKPDRRIYEMALETAGGVAPEEALHIGDSMEMDYAPARAVGMHGLLLDRFKTAEAQRWRRSGAVVLPDLVSARQWLTGDLPAGTAG* >Brasy4G415300.1.p pacid=40085604 transcript=Brasy4G415300.1 locus=Brasy4G415300 ID=Brasy4G415300.1.v1.1 annot-version=v1.1 MASSMLSDCSSCAASRLLPLRRAALHPPPRFRPFPAAAPPQPRPLFVAAPPLPLLPRARSMASFSASAAASADPATVVADAPAAKIINGKLVAEQIREEVRVEVARMKDAIGVVPGLAVILVGSRRDSQTYVRNKKNACEVVGVKSYEVKLPEDCSEDEVIKHIETFNSDLSVHGILVQLPLPSHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSKTKNPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINTVDDPARPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHKFE* >Brasy4G141700.1.p pacid=40085605 transcript=Brasy4G141700.1 locus=Brasy4G141700 ID=Brasy4G141700.1.v1.1 annot-version=v1.1 MRRTCSGRGWRACLRRRCNVLQIVRKRNVNPALLGDEIDLDIDEMDIETQWELDRFVNNFNKALNKSRRAAMMNGDGAVVNNAAVTEAVNGDVPTLVDNADAESENPEKSTLVTEQVDEYVDIEDEIPTATYQSVEIAKGAEATSVSGGLGSGSSSSSGSESGSSGDSVSEAGNARSAE* >Brasy4G368700.1.p pacid=40085606 transcript=Brasy4G368700.1 locus=Brasy4G368700 ID=Brasy4G368700.1.v1.1 annot-version=v1.1 MGMRTAHLTSSLSPLAAAGDEDCKEEPGLTSRGATSSLKPSPPDSSPRAVPSLPSPARWRPSPIPAPILPRARIRSASMHPQRIRRRIWAGSVLPLPPMELDLSPPSAAMDPQDDGNIEGERWRRHLQDGGMSGGCGFDAGGAVWIWGWPGAGPFFFLQTNITVGRCGVTVADGASITVGQAARY* >Brasy4G430800.1.p pacid=40085607 transcript=Brasy4G430800.1 locus=Brasy4G430800 ID=Brasy4G430800.1.v1.1 annot-version=v1.1 MKNGNLEDQLYPQIYGATDEGIHGVTYTDTPLQIDWPARHNILIGIASGLAYLHTGCPKSILHRDIKPANVLLDMEFNAKLSDFGLVTQINHTQTSRQTNNIIGTRLYIDPSFMNTGKTCTQSDVYSLGMMLLEVVCGEKPMLIKDGKNSLIEKVQRCQERNVILEATDKRLKGRQFDDEITRVLELGLMCVHPERHVRPHIKILRDSLMQLTAGPLPSSPNGRGADEEAGVASLLT* >Brasy4G200400.1.p pacid=40085608 transcript=Brasy4G200400.1 locus=Brasy4G200400 ID=Brasy4G200400.1.v1.1 annot-version=v1.1 MAAAPPATGRGKRKRDFSEDDVFLILYKYAPATILTALQEVAQHTKGRKIDWRALVAKTATGITSAREYQMLWRHFAYGHEFVENVDDSAQPLGDDSDLECEIEPVPTPRNEDIAEASGFAKLLLYGSSREQASSHRVNSEVPVQNTPKEKIPRVPSDKQLNQSHRLTNGTGPVSNLKQTSQTGLSLDPFDGNGPHKKKKKPKPWSKEEDADLTTGVQKCGEGNWLDILHKYNFDNTRTPVQLSQRWALICKRQGITKPANAKPVTVNLDKEAAQKAFSLAIGMPMARKAVVSTSRSGASQQSSQHPLPVLGTTIPELKPATASSSLPVSVPGLVTLPVPVPVPVHVQQPLPQILQVSAQAPPLKISNTSSKSRNNKKQGAQQNPVSAPSSIQAAAIAAGGRIATQSDASNFLKVARSTKAVHIRSQGTGSSKTSTGSKASTVAGEPGTQLGSAQHPEPPNSSAPTPSPPVLNTHATEQVNVVSEVTVVNPPEQSAGAHLLETNRTLNTMPLSGPCDNVVMDDDSTFCVVTMEDLFPEDVKQPDIVDLKAEEIIDPKDADMLEFDRFVAQGCLNMDYVDKSKNVKIAPEAQGGAGSQKRQLKQLPAVGKSIPVFSRAAATGKKTKTLGPNVATFPATGTSSGLIGTGNAGVLSKAVWGKTAGLGTTGKQNRCQEIMAQKQHTTNSKSNGIPKNVVLSTGTAAKNMAPTTGTPARNVAPGTTGTPARNVAPGTTGTPARNVAIVTGTPARNSALGTGTSVRNVSPGSGTPANRHASPAANGSSKGNPPAAQ* >Brasy4G350300.1.p pacid=40085609 transcript=Brasy4G350300.1 locus=Brasy4G350300 ID=Brasy4G350300.1.v1.1 annot-version=v1.1 MDSLLASYVSSDEDADETLPSPAPAAVDATRRGEGSHGGIRSSIPRPKLAPTFSSLPKPESASTFSSLPPPKSSASSGNPKRVVQFRPQPIHQPTGDSSDEEDDPGKRRPSASEAPPSLSAGSGPVSSFLPAPKHSLGFGSGAARRSAVDTAGPERSNIGAAGPSSSAVNTGVAERPDTSDNSEESNDEDIMPAPEEKQEQSSLYAGAGDQQQHGYDTTVGSATWYEGYAWEPNYYGADYGLDQSGNVNYGTDPQYAAYGVDQGAGYGNGYVGEHSGGHEHSTAPPPGGEYTGGYRSEVAAMPAPHMHDLVLPPEVGRIGGKRGRKDMPMEILEVNQAELMKNRPREDKSKLTGLAFGPSYQAAPSGKGKPSKLQKRKHQIGSLFYDMKQKEMELSERRSKGLLTKAETHAKYGW* >Brasy4G029000.1.p pacid=40085610 transcript=Brasy4G029000.1 locus=Brasy4G029000 ID=Brasy4G029000.1.v1.1 annot-version=v1.1 MGKAGDAEQAGRRPAPATSDGSALRRCAPAIGKALSAKCIISLFMAIGVFLSAVFLLLHLRASGNIPDDPGKLVGEIQASFILLKPPAQLASHATMLEEEIYRQIGVPNSKVSVTMQTLSFKDVTCVEFGILPDQINTSISAQSMRKLRNNLIQLTLQQMNLSLTPSVFGDPLCVEVLGFPGGITMEVELPPYNSNVNYVQPIFNITLEMSIHQIRGLLAELKKSLEHTLGQALHKGIYLDVTNKNGSTINPPVTVQVSLSPDDRSIYEEPQRLKQLAEIITESSSRNLGLNPSIFGRIKDLELAPYLQSFIPSFAPSSSPTPIPSPSMPPYSQPSNLCEHCSCPDFVTIINATFPHRKLMRLPPMAILLQLPTRLHSRKIPLYKKNGNAVATPTFIVTSSQP* >Brasy4G029000.2.p pacid=40085611 transcript=Brasy4G029000.2 locus=Brasy4G029000 ID=Brasy4G029000.2.v1.1 annot-version=v1.1 MQVSVTMQTLSFKDVTCVEFGILPDQINTSISAQSMRKLRNNLIQLTLQQMNLSLTPSVFGDPLCVEVLGFPGGITMEVELPPYNSNVNYVQPIFNITLEMSIHQIRGLLAELKKSLEHTLGQALHKGIYLDVTNKNGSTINPPVTVQVSLSPDDRSIYEEPQRLKQLAEIITESSSRNLGLNPSIFGRIKDLELAPYLQSFIPSFAPSSSPTPIPSPSMPPYSQPSNLCEHCSCPDFVTIINATFPHRKLMRLPPMAILLQLPTRLHSRKIPLYKKNGNAVATPTFIVTSSQP* >Brasy4G254800.1.p pacid=40085612 transcript=Brasy4G254800.1 locus=Brasy4G254800 ID=Brasy4G254800.1.v1.1 annot-version=v1.1 MSSHRKNFRRRTDDADGAKGEDAGLPSRPAATKTQSPAVPKPVSPRRQQGASRLSFADEEDEDDAEEGPFAQQRRRPSASVRSTRTASPAASALHRLTPAKDRLKSSPAVSAAVPAPKPSNFQSHAGEYTPERLRELQKNARSIPGSLMRPPPPALAAESRHQRLAGTAASPASGTSAVATEPVVVLKGLVKPMAHASIGPQKPLQNEDKSDESEEEGNNVDKGPLIPDKATIEAIRAKRQQLQQPRHAAPDFISLDGGGVLSSRDAVGGSSDEEDNEMQGRIAMYTEKSSDGHKGSKGVFHGINNRGPAASLGVINDGFREPEDDKDDDEEEEERKWEEEQFKKALGRRMDNSSAQKVANGAPAPMQVQPQPSGYMGGPHYQTSFSGVVPGASVFASGNAAFLSISQQADVASKALQENIRKLKETHKATVGGLVRTDAHLNEALSEISSLESSLQDAEKKFVYMQELRNYISVVCDFLNDKAFFIEELEEHMQKLHENRALAVSERRAADLADESSVIEAAVNAAISVLSKGSSSANLSSASNAAQAAAAAAQAAAAAARETSNLPPQLDEFGRDINLQKRMDLKRREENRKRRKARSESKRLSSTGKSVSSEQIEGELSTDESDTDSSAYLSSRDELLKTADVVFSDAAEEYSSLAIVKDKFEGWKTQYPSAYRDAHAALSAPSVFTPYVRLELLKWDPLHETTGFFGMEWPEILLDYGVQNKDSPDLNDADVNLVPVLVEKVALPILHHRVMHCWDILSTQRTKNVVYAVNTVMDFLPTSSTALHQLLASVYNRLAGAIADLSVPAWGSMVTRAVPGAAQYAAYRFGVATRLLKNVCSWKNTLSEDVVEKLALELLMEKILPHMKSIILDVHDAITRTERIAASLSVIWSSPSKNLQPFTDLVLELSKKLEKRRHVSGISEEETHGLARRLKNIMVALNEYDKARNILKSFHLREAL* >Brasy4G380500.1.p pacid=40085613 transcript=Brasy4G380500.1 locus=Brasy4G380500 ID=Brasy4G380500.1.v1.1 annot-version=v1.1 MPCARAEDALPSSADMVSASVGSLIQKVAGAGGRRLGDSGRTLQRTPHVSAGNCDDTPAASCCSEDDGKSNCSERREENRKGSMRSYRSELEQEVKKLQRQLEEEIELHIALAEAVTQNAAPVLKSSAKIPRQTHELLVNIASLESAVLELEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFPELEYGQNLGQESEDRQIVSLNRLLEKHRDISLNRLLEEHRGEQVQELCLVEKNGKEDEKINALSFEQTIQKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKISAKGSSDCSSSSADRLSVSTLASFSDSSIIPSMLRSSSVDSNHHDEMMNQARIFDPYKVNGKETRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPDCMNSEERLAFWINLYNALIMHSYLAYGVPRNDIKLFSLMQKACYTVGGQSVSAAEIEFVILKMKTPVHRPQLSLMLALHKFKISEEHKKYSIDEAEPLLLFVLSCGMFSSPAVRIYTASNVRHELLESMRDYIQASVGISDRGKLLIPKLVQSYAKGAVEDSLFTDWICHHLSPDQVAAMRDSSSQRRQRLLGARSFTVIAFDSKFRYLFLPDSGSSQKPPLKQAS* >Brasy4G380500.4.p pacid=40085614 transcript=Brasy4G380500.4 locus=Brasy4G380500 ID=Brasy4G380500.4.v1.1 annot-version=v1.1 MRSYRSELEQEVKKLQRQLEEEIELHIALAEAVTQNAAPVLKSSAKIPRQTHELLVNIASLESAVLELEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFPELEYGQNLGQESEDRQIVSLNRLLEKHRDISLNRLLEEHRGEQVQELCLVEKNGKEDEKINALSFEQTIQKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKISAKGSSDCSSSSADRLSVSTLASFSDSSIIPSMLRSSSVDSNHHDEMMNQARIFDPYKVNGKETRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPDCMNSEERLAFWINLYNALIMHSYLAYGVPRNDIKLFSLMQKACYTVGGQSVSAAEIEFVILKMKTPVHRPQLSLMLALHKFKISEEHKKYSIDEAEPLLLFVLSCGMFSSPAVRIYTASNVRHELLESMRDYIQASVGISDRGKLLIPKLVQSYAKGAVEDSLFTDWICHHLSPDQVAAMRDSSSQRRQRLLGARSFTVIAFDSKFRYLFLPDSGSSQKPPLKQAS* >Brasy4G380500.2.p pacid=40085615 transcript=Brasy4G380500.2 locus=Brasy4G380500 ID=Brasy4G380500.2.v1.1 annot-version=v1.1 MPCARAEDALPSSADMVSASVGSLIQKVAGAGGRRLGDSGRTLQRTPHVSAGNCDDTPAASCCSEDDGKSNCSERREENRKGSMRSYRSELEQEVKKLQRQLEEEIELHIALAEAVTQNAAPVLKSSAKIPRQTHELLVNIASLESAVLELEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFPELEYGQNLGQESEDRQIVSLNRLLEKHRDISLNRLLEEHRGEQVQELCLVEKNGKEDEKINALSFEQTIQKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKISAKGSSDCSSSSADRLSVSTLASFSDSSIIPSMLRSSSVDSNHHDEMMNQARIFDPYKVNGKETRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPDCMNSEERLAFWINLYNALIMHVRIYTASNVRHELLESMRDYIQASVGISDRGKLLIPKLVQSYAKGAVEDSLFTDWICHHLSPDQVAAMRDSSSQRRQRLLGARSFTVIAFDSKFRYLFLPDSGSSQKPPLKQAS* >Brasy4G380500.3.p pacid=40085616 transcript=Brasy4G380500.3 locus=Brasy4G380500 ID=Brasy4G380500.3.v1.1 annot-version=v1.1 MPCARAEDALPSSADMVSASVGSLIQKVAGAGGRRLGDSGRTLQRTPHVSAGNCDDTPAASCCSEDDGKSNCSERREENRKGSMRSYRSELEQEVKKLQRQLEEEIELHIALAEAVTQNAAPVLKSSAKIPRQTHELLVNIASLESAVLELEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFPELEYGQNLGQESEDRQIVSLNRLLEKHRDISLNRLLEEHRGEQVQELCLVEKNGKEDEKINALSFEQTIQKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKISAKGSSDCSSSSADRLSVSTLASFSDSSIIPSMLRSSSVDSNHHDEMMNQARIFDPYKVNGKETRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPDCMNSEERLAFWINLYNALIMHSYLAYGVPRNDIKLFSLMQKCVSDAGVLHSWWTVGQCS* >Brasy4G380500.5.p pacid=40085617 transcript=Brasy4G380500.5 locus=Brasy4G380500 ID=Brasy4G380500.5.v1.1 annot-version=v1.1 MRSYRSELEQEVKKLQRQLEEEIELHIALAEAVTQNAAPVLKSSAKIPRQTHELLVNIASLESAVLELEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFPELEYGQNLGQESEDRQIVSLNRLLEKHRDISLNRLLEEHRGEQVQELCLVEKNGKEDEKINALSFEQTIQKITSMKGGNLWNNPNQLSEEMVRCMRNIFLRLSESSKISAKGSSDCSSSSADRLSVSTLASFSDSSIIPSMLRSSSVDSNHHDEMMNQARIFDPYKVNGKETRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPDCMNSEERLAFWINLYNALIMHVRIYTASNVRHELLESMRDYIQASVGISDRGKLLIPKLVQSYAKGAVEDSLFTDWICHHLSPDQVAAMRDSSSQRRQRLLGARSFTVIAFDSKFRYLFLPDSGSSQKPPLKQAS* >Brasy4G099900.1.p pacid=40085618 transcript=Brasy4G099900.1 locus=Brasy4G099900 ID=Brasy4G099900.1.v1.1 annot-version=v1.1 MERFDDDRSTRLQSPTHYDHASDSVEDAISDEDVLAPTRLSLACVASIKRENDNRMVEHDKSAIWDEVLEEADELAHVHKDPLSATSLSSGTSKRSKCENKLRFSIRGSKSFPSNVKMENSYIGEQEVSSGMPLSKALETMMAEQLENIDEEVECFPSDSAHPIKDAKISVAELLEDLQDRSGSSVKTPISFHHTRAKERKPKVPISGKKIITVIDQRNLGSEEPLEHVIGETSSEEEDTVQNNLTMVNKDVKGQTMADLFQEAFNATNMEGTELLVRTTGAGYYGRMQQIMQMEKDRHAEFSRQYNKGRDYLGDSKGVTVQILSRSLEGKLTVCLCLFKEESNFPITGQVSTDCDMDVSSTKRTIIFSPKICDSVDLVAGNVIHIYPPWKEVKVKEEEVILCTYFSHQAA* >Brasy4G099900.2.p pacid=40085619 transcript=Brasy4G099900.2 locus=Brasy4G099900 ID=Brasy4G099900.2.v1.1 annot-version=v1.1 MERFDDDRSTRLQSPTHYDHASDSVEDAISDEDVLAPTRLSLACVASIKRENDNRMVEHDKSAIWDEVLEEADELAHVHKDPLSATSLSSGTSKRSKCENKLRFSIRGSKSFPSNVKMENSYIGEQEVSSGMPLSKALETMMAEQLENIDEEVECFPSDSAHPIKDAKISVAELLEDLQDRSGSSVKTPISFHHTRAKERKPKVPISGKKIITVIDQRNLGSEEPLEHVIGETSSEEEDTVQNNLTMVNKDVKGQTMADLFQEAFNATNMEGTELLVRTTGAGYYGRMQQIMQMEKDRHAEFSRQYNKGRDYLGDSKGVTVQILSRSLEGKLTVCLCLFKEESNFPITGQVSTDCDMDVSSTKRTIIFSPKICDSVDLVAGNVIHIYPPWDILL* >Brasy4G027400.1.p pacid=40085620 transcript=Brasy4G027400.1 locus=Brasy4G027400 ID=Brasy4G027400.1.v1.1 annot-version=v1.1 MDFPGGSGRQQPLPPMTPLPLGRQGSSVYSLTFDEFQSALGGPGKDFGSMNMDELLRNIWTAEESQALGAVVGNASSSAAAGADQGAGAAAPIQRQGSLTLPRTMSQKTVDEVWRDMVYFGGASAAPAAAELPPAQRQQTLGEVTLEEFLVRAGVVREDMAGPPPVSPAPAAQQPPPPQPQMLFPQSNMFAPMVNPLSLGNGLMTGAFGQGGGGATTAVSPARPVMSNGFGKMEGLNLSSLSPPPMPYVFNGGLRGRKAPAMEKVVERRQRRMIKNRESAARSRQRKQSYMMELETEVAKLKERNEELQKKQVEMLERQKNEVFENIRRQVGPKSKRICLRRTLTGPW* >Brasy4G361100.1.p pacid=40085621 transcript=Brasy4G361100.1 locus=Brasy4G361100 ID=Brasy4G361100.1.v1.1 annot-version=v1.1 MHVRARPGRGSGSRPCASSRVSPMPHPGGEDPDAAPSCSGSDNSEPQDQERALSNGDLYLGQWSAGAPHGDGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLADGCGRYTWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGALHQKGVYYPTPGAAAGDGRTRDPREVFARELPECVRSSTESQSALPSLRSLKWLARSVSGRGSSSSGRSNVSGGSNWGSDGEVKCEIPDDWRRRNSTREGRGLPPPSPAPHVAAKGAPPLRVSKRQGETIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKILLKMLQSYYNHVRAFENTLVAKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPQAEIDEYTTLKDLDLNFIFRLQKQWFEEFRRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDIDGDKPATPRLSRWDRNHFLSDPNRWSKIKLGANMLSRAEMTVRKNENDVIGEPTGEYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYRAFQEDRVDS* >Brasy4G047200.1.p pacid=40085622 transcript=Brasy4G047200.1 locus=Brasy4G047200 ID=Brasy4G047200.1.v1.1 annot-version=v1.1 MHGGPWLFRRCPVLMAEYDGWGEVEDVELFKFPAWVHVLDLKEKMRTGNIAKQLSRRAGEFVALDELSVKGAGGGVRVKVMIDVRKPLARATTITLGKTKHYFRFQYEKMPDFCGVCGYVGHVAKECGDGVWPAEKVIYKPDLIVPAFRRDLPTFAGGRGARGGGRGRGRARGGWEENWREKEWSAEEGDDEDLKSTTSSPSKLSRAKGQRSLLAKRRLPLESDPANCHGTGLLYIGLS* >Brasy4G036200.1.p pacid=40085623 transcript=Brasy4G036200.1 locus=Brasy4G036200 ID=Brasy4G036200.1.v1.1 annot-version=v1.1 MSKLWTILTHLHSLAGPGVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFVTLVEMVLESLIYWIPIWYELKLLFLAWLALPNFRGAAFIYDRFVREQLRKHGLTNHAGSGISKKEKGDKDNKSPSPSPKEKENAKSRFLSFASAKKEGS* >Brasy4G265400.1.p pacid=40085624 transcript=Brasy4G265400.1 locus=Brasy4G265400 ID=Brasy4G265400.1.v1.1 annot-version=v1.1 MGPAWKRQRRRDPCSHRPCHRRRRGRPVVVARQRRYRDRRVAACCPEGYLCCVCRRERRARAADGDERRAVWVTTVAASTIDRAFPTLMTLGNNEKLVGQSFHHNASAISKDFKTLVYAGSCQLSLASRNVTGKIVLCYAPAAMAITPPKDALSMAINRTIEAGAKGLIFAQYAANSLGGLAACNGVMPCVLVDFELAHRILSYWDLTENPVVKVSPTVSVVGNGVLSPRVASFSSRGPSPAFPGILKPDIAAPGVGILAAERNSYVFKSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVMDRFGMPIQAEGVPRKLADPFDFGGGHMDPVRAVDPGLVYDVDAREYNKFFNCTLGLIKGCESYNLNLNLPSIAVPNLKDKVMVSRIVTNIGPSEATYRATLEAPAGVVMSVEPSVIKFTRGGSRSATFVITFTAKQRVQGGYTFGGLTWSDGNTRSVRIPIAVRTVIQDFIADTS* >Brasy4G068400.1.p pacid=40085625 transcript=Brasy4G068400.1 locus=Brasy4G068400 ID=Brasy4G068400.1.v1.1 annot-version=v1.1 MDAFFDDAVARVFATTGVSPRDVDLLVLNVGSFSPAPSLASRVASRFGMRDDVMAYNLSGMGCSAGLVSVDLARRVMLTRRTGTTMALVVTSESCAPNWYNGTDKSMMLGNCLFRCGGAAALLTNDPALRGRAKMELKCLVRAHIGAHDDAHAAAVHREDADGRLGVSLSKNLPKAAVRAFTENLQRLAPRILPASELARFTFRLLARKLLRHGGRKVVKFEGPKIDFKTGVDHFCLHPGGTAVIDAVRKNLGLNGYDVEPATMTLHRWGNTSASSLWYVLSYMEAKRRLKAGDRVLMVTFGSGFKCNSCYWEVTKDLDDAGVWEDCIDDYPPATMVNPYTEKFGWVNDLQGQGGAGFPF* >Brasy4G121300.1.p pacid=40085626 transcript=Brasy4G121300.1 locus=Brasy4G121300 ID=Brasy4G121300.1.v1.1 annot-version=v1.1 MKKGASPPGKRKGRKPVGGGWLKKITKGSPAPTRLLVARKRGPKKHKRLSRATSFSLRPLETAVARWTPEFKQKVGAANGLAGLFAQRYLGQLDRKSALFLLHSIDTLSMEIVLADDERLALTVPLIGHILGVSNGGDTVPLGASPHRAALRARTSYLLGNRCGSTRSVTVRRLIPMLKEANQDVVAGPEFLKVVTAHTLLAYATCLGPKKTQPAISDEALQFNHAKYVYDGIKAAAMKVQTRMPSNLPSIELESCLIVLQIALVEHRRFGFVGALKQNPPRIDYIEGNLKDFLKALLPANIEVGQYDEVVDDASDPSDSEDEQQDGPNGKAEAVNTSAHTNCEASSSQTLRELYASSRLSNLVPMAGLTTNWLFFSAKFFTDSSRAVVLPGFATRSSAPAGILTLRDVELSSQHPQIINTEGLKRGTETTSTRNSPPLPSFRIDGQQRFINGRTSRLCLIQRSALHLGGCAAAGRNEAALKNNSTSCGGRRWRGKIKARAPPNALAASPISGGGRARFSHGAAGSPADEAYESKTSGFLAESFASRSIE* >Brasy4G368800.1.p pacid=40085627 transcript=Brasy4G368800.1 locus=Brasy4G368800 ID=Brasy4G368800.1.v1.1 annot-version=v1.1 MEGTVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPEKYNEAFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYSERAMLIYDGLHYDALAMSPVEGAPEEFDQTIYLVDRNRSIGPVEGLALNLAKEAHRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK* >Brasy4G368800.2.p pacid=40085628 transcript=Brasy4G368800.2 locus=Brasy4G368800 ID=Brasy4G368800.2.v1.1 annot-version=v1.1 MEGTVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPEKYNEAFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYSERAMLIYDGLHYDALAMSPVEGAPEEFDQTIYLVDRNRSIGPVEGLALNLAKEAHRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK* >Brasy4G358900.1.p pacid=40085629 transcript=Brasy4G358900.1 locus=Brasy4G358900 ID=Brasy4G358900.1.v1.1 annot-version=v1.1 MEEPSSADRGFRFGIPSDGTSDTDDSDVEMGEVPEDPSPKEHDADKAIDLMRFVFKEGLAFLDNGSGRCLAERMLVDMGGFMVNKMLEQPAVAQRNAPCRMRMFKSSTFETEMSRAFAKEARKGVAKELQGDFFGIFVDVCSPPNTWKYYMVLFARYVNSKGEVVERLLGIVPDPDISGPSLKAAVHSMLSEAGFSLLNVRGQGYGLAGYSDRLLRSFLSELKSMFDGENASAHYVHSHVLPLHSLLVTASQHQLQLYELFRTLDVLSNLIQESPQFTEKLCTLIKERGVNLDDDLRKPGETNWGSYHEAIMKFAAYFIPLCDALEFVEEQVSRGDAKNMAWKINQGMDYDFFLGLLLMQDVLSVTNDLSLALDREGWDVENCAALLQEAMKQLQVMRDEGWTPFLNKVGMFCNENDIYMVTMGEKFVPPKRSEGAEATRKTNLEHYHLDFFQKIINKQLEELERRFTKESSELVLLATCLNPRNSFQGFDKDKLVKFARFYPSEFSDSDTATLELQLQAFITDVRSDNRFRGMDTLNSLSVKMVETGKNTAYPLVYLLLKLALILPGTPATVKSASTAMKFIENTVRKEPCNQWISDCLLLFLEPDIFESITNDAVLESL* >Brasy4G358900.2.p pacid=40085630 transcript=Brasy4G358900.2 locus=Brasy4G358900 ID=Brasy4G358900.2.v1.1 annot-version=v1.1 MEEPSSADRGFRFGIPSDGTSDTDDSDVEMGEVPEDPSPKEHDADKAIDLMRFVFKEGLAFLDNGSGRCLAERMLVDMGGFMVNKMLEQPAVAQRNAPCRMRMFKSSTFETEMSRAFAKEARKGVAKELQGDFFGIFVDVCSPPNTWKYYMVLFARYVNSKGEVVERLLGIVPDPDISGPSLKAAVHSMLSEAGFSLLNVRGQGYGLAGYSDRLLRSFLSELKSMFDGENASAHYVHSHVLPLHSLLVTASQHQLQLYELFRTLDVLSNLIQESPQFTEKLCTLIKERGVNLDDDLRKPGETNWGSYHEAIMKFAAYFIPLCDALEFVEEQVSRGDAKNMAWKINQGMDYDFFLGLLLMQDVLSVTNDLSLALDREGWDVENCAALLQEAMKQLQVMRDEGWTPFLNKVGMFCNENDIYMVTMGEKFVPPKRSEGAEATRKTNLEHYHLDFFQKIINKQLEELERRFTKESSELVLLATCLNPRNSFQGFVEWTR* >Brasy4G046400.1.p pacid=40085631 transcript=Brasy4G046400.1 locus=Brasy4G046400 ID=Brasy4G046400.1.v1.1 annot-version=v1.1 MSQATLACTSPQTLQRENMRREAMGGDNLQTEPLLERLPESSYSSSGEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPTAMVFFAGVIVVQSSMLTDCYISHDSERGGGVRNRSYMNAVKFYLGEKSQIFCSFFLSFSLFGSGMVYTLTSATSMRAIYKADCYHKEGQDAPCSAAARGDSYYMLLFGLAQGVLSQIPDFHNMTWLSVFAAVMSFSYSFIGFGLGAAKVIENGVIKGGIGGTPTVSPMQKVWRISQALGDIAYAYPYTLVLLEIEDTLRSPPPESKTMKTASRASMAITTFLYLGCGCFGYAAFGDDTPGNLLTGFGFYEPYWLVDLANLCVVLHLLGGYQVYTQPVFAIVEQRFGAEACDVDVELLLLGRCRVNLFRLCFRTVYVAATTALAMLFPYFNQVIGLRGAFTFWTLSIYFPVEMYLVQAKVAPWTRRWLAIKVFSLTCLLICTFAFIGSAVGVFGSERS* >Brasy4G086600.1.p pacid=40085632 transcript=Brasy4G086600.1 locus=Brasy4G086600 ID=Brasy4G086600.1.v1.1 annot-version=v1.1 MPPRRKRRGAAPAAKQQQPAPPGSDAPLEEQLRWSIHQEVERRKAAIRAIQVAETESVLSRLRLVRSYISKEQEGTCALQFFQENLPNLSVVRNEKEDELELKWKDFDDQIIGDQRDDKIAPPATGFQFSADSVQKNFKEITGFDFNNFTWSDLPEGQMTGAADSLQTPGAMSSRLSFGMTPKTVRLPKPGEMLLSVHGSPLGMYKEDNLAAITESGNASGDAAS* >Brasy4G086600.2.p pacid=40085633 transcript=Brasy4G086600.2 locus=Brasy4G086600 ID=Brasy4G086600.2.v1.1 annot-version=v1.1 MPPRRKRRGAAPAAKQQQPAPPGSDAPLEEQLRWSIHQEVERRKAAIRAIQVAETESVLSRLRLVRSYISKEQEGTCALQFFQENLPNLSVVRNEKEDELELKWKDFDDQIIGDQRDDKIAPPATGFQFSADSVQKNFKEITGFDFNNFTWSDLPEGQMTGAADSLQTPGAMSSRLSFGMTPKTVRLPKPGEMLLSVHGSPLGMYKEDNLAAITESGNASGDAAS* >Brasy4G403600.1.p pacid=40085634 transcript=Brasy4G403600.1 locus=Brasy4G403600 ID=Brasy4G403600.1.v1.1 annot-version=v1.1 MKGVKAVSVCTTLMLVLLFFQQQQQQVCDAFSSSEFCSCYGDCYPDCRDTRGGPAFCKILCSGKCVFDNGGDCRSVCTEASICGTATAGADMGGNADADAAACVQGCAEYLVQLTPLS* >Brasy4G306200.1.p pacid=40085635 transcript=Brasy4G306200.1 locus=Brasy4G306200 ID=Brasy4G306200.1.v1.1 annot-version=v1.1 MTGRAKTRSYKPKQLIWLNSSSAIQTLVGSIKIMLSPFELGLTANDFLCAAG* >Brasy4G375700.1.p pacid=40085636 transcript=Brasy4G375700.1 locus=Brasy4G375700 ID=Brasy4G375700.1.v1.1 annot-version=v1.1 MAATTAASVSRFAVLAPVPSPLASGRRRPAAPFSRPRAVSAASRGRVLCLATSAPASSTDAGQDRLQKVPITNIRNFCIIAHIDHGKSTLADKLLEMTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYVMNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRIAQEIEEIIGLDCSNAIRCSAKEGIGITEILDAIVTKVPPPQDTLKNPLRALIFDSYYDPYRGVIVYFRVIDGSIKKGDKICFMANKKEYLADEIGVLSPNQMQADELHAGEVGYLSASIRSVADARVGDTITHYSKGAECALPGYSEATPMVFCGLFPLEADQFEELREALGKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCANNETVECSNPSLLPEAGKRRSIEEPYVKIELLTPKDYIGPIMELGQERRGEFKEMNYITENRAKLIYMLPLAEMVGDFFDQLKSRSKGYASMEYAVVGYRVSDLVKLDIQINGEPVEALSTIVHRDKAYSVGRALTEKLKELIPRQMFKIPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKSIGRVEVPQEAFMAVLKLEKEVL* >Brasy4G375700.2.p pacid=40085637 transcript=Brasy4G375700.2 locus=Brasy4G375700 ID=Brasy4G375700.2.v1.1 annot-version=v1.1 MSISHTRSPVLLLLVRAHCWLLMLLRCNIYFLPGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRIAQEIEEIIGLDCSNAIRCSAKEGIGITEILDAIVTKVPPPQDTLKNPLRALIFDSYYDPYRGVIVYFRVIDGSIKKGDKICFMANKKEYLADEIGVLSPNQMQADELHAGEVGYLSASIRSVADARVGDTITHYSKGAECALPGYSEATPMVFCGLFPLEADQFEELREALGKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCANNETVECSNPSLLPEAGKRRSIEEPYVKIELLTPKDYIGPIMELGQERRGEFKEMNYITENRAKLIYMLPLAEMVGDFFDQLKSRSKGYASMEYAVVGYRVSDLVKLDIQINGEPVEALSTIVHRDKAYSVGRALTEKLKELIPRQMFKIPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKSIGRVEVPQEAFMAVLKLEKEVL* >Brasy4G086800.1.p pacid=40085638 transcript=Brasy4G086800.1 locus=Brasy4G086800 ID=Brasy4G086800.1.v1.1 annot-version=v1.1 MGNVTSSVAARLAFFPPEPATYEVLAAEGGAGGLRMTGVLPDADVDVHALPTRAGTRVVAAFWRHPAARLTLLYSHGNAADLGQMLGLFMELRSHLRVNIMCYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGIEPEELILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVECPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFVNAMEKLAREKATKAPQMLPSSSSMDEVKHNKCLRFGK* >Brasy4G086800.2.p pacid=40085639 transcript=Brasy4G086800.2 locus=Brasy4G086800 ID=Brasy4G086800.2.v1.1 annot-version=v1.1 MGNVTSSVAARLAFFPPEPATYEVLAAEGGAGGLRMTGVLPDADVDVHALPTRAGTRVVAAFWRHPAARLTLLYSHGNAADLGQMLGLFMELRSHLRVNIMCYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGIEPEELILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFVNAMEKLAREKATKAPQMLPSSSSMDEVKHNKCLRFGK* >Brasy4G270400.1.p pacid=40085640 transcript=Brasy4G270400.1 locus=Brasy4G270400 ID=Brasy4G270400.1.v1.1 annot-version=v1.1 MALINNNARAMCLVILLVMCTTFWSCDASAAKCSTKITYRCFPLADCSDKPCQEFMLLRTIEMIRGFALKRRINDSWCDWLLVTST* >Brasy4G110400.1.p pacid=40085641 transcript=Brasy4G110400.1 locus=Brasy4G110400 ID=Brasy4G110400.1.v1.1 annot-version=v1.1 MAHDHHPSLAGYADYFAAAPGSGGATLVPEVDAAGEHDMYGGVGLHHHHQSLPSGVEMFGLRGFMAPSAAVSVAQRKQVAVDEDDGSSAAISFFRGEQQQQHRQMMSQQLQQAPLSLSLHGSPPDAASASSFMLQHQLGGEMAWQLQGAGAGWHLRGSRFLLPTQQLLQEFCCIPAETTDDTATKAPKRPAQEEHPQGGGSSSSTSWPPPSAQIQAMDAAELQRLKAKLYTMLEEVDRRYRRYREQMRAVAGSFEAAAGRAAAAAYTRTAARTISKHFRTLRDGVAAQARAVRMALGEKVDAAAPPGMTKGETPRLRALDQCLRQHKAYQSGVLESQPWRPQRGLPERAVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDKEEGSGGGGQQYSEQALLASSDQLLGTPNTAAAGSYGGRGGEQKPTRAQLHDAGSLASVVSIGRVHQQQSQSLDFGMSTMDDDGFDAYDAGGVHGFGGNGGAVSLTLGLQHQHADPSHHHHHGGVNVAAFAAASASPSTSSAAAHGVGAAEFLFMAGEQHLGGQFGAGGMGGATDAAPSSHYNHHRGGVLGATTGFHLLRDLAG* >Brasy4G110400.2.p pacid=40085642 transcript=Brasy4G110400.2 locus=Brasy4G110400 ID=Brasy4G110400.2.v1.1 annot-version=v1.1 MAHDHHPSLAGYADYFAAAPGSGGATLVPEVDAAGEHDMYGGVGLHHHHQSLPSGVEMFGLRGFMAPSAAVSVAQRKQVAVDEDDGSSAAISFFRGEQQQQHRQMMSQQLQQAPLSLSLHGSPPDAASASSFMLQHQLGGEMAWQLQGAGAGWHLRGSRFLLPTQQLLQEFCCIPAETTDDTATKAPKRPAQEEHPQGGGSSSSTSWPPPSAQIQAMDAAELQRLKAKLYTMLEEVDRRYRRYREQMRAVAGSFEAAAGRAAAAAYTRTAARTISKHFRTLRDGVAAQARAVRMALGEKVDAAAPPGMTKGETPRLRALDQCLRQHKAYQSGVLESQPWRPQRGLPERAVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDKEEGSGGGGQQYSEQALLASSDQLLGTPNTAAAGSYGGRGGEQKPTRAQLHDAGSLASVVSIGRVHQQQSQSLDFGMSTMDDDGFDAYDAGGVHGFGGNGGAVSLTLGLQHQHADPSHHHHHGGVNVAAFAAASASPSTSSAAAHGVGAAEFLFMAGEQHLGGQFGAGGMGGATDAAPSSHYNHHRGGVLGATTGFHLLRDLAG* >Brasy4G418900.1.p pacid=40085643 transcript=Brasy4G418900.1 locus=Brasy4G418900 ID=Brasy4G418900.1.v1.1 annot-version=v1.1 MGDGGGGGEGGGSPEWLSIYDRVEAMLTKSQARAKALAADRARLEAASKAQRETGEAMLKLQRRVEELQAAAREKDAEVGRLRAEAADARTKLQEAHQADASRRGRWEAAYVELILGANRKLDELRDCGLGDPGTCSETPNFKESESCANVSQNTAEHDIASDLSTELRKLKQAYEILSSKKDKEVSALLSERDFVCDQMSIMEQDFAALLKKLEAAQATESAQKLQQNINELQVLAQKKDDEISRLRAKAVSAKEKIDKLHLLVKEKDDEIERLKGGLPETIPKRNKDISETHKRSRNEGPAVGMESKNSCPRTMIEEDFVSEIETVEKDGQAETSKKRKYASSLSNSGNGGDGDEQSGNDEDGDEQSGGDKDDELIGTDEDGDEQSGTDEDSDEQSGSDDGSEDVDEQNRREDERIWKGRAPAPLLVRKYRNGQSTMKSTGNWALFVSDVNDIFADRPDRHKRFIDFLHTFRHKKPHIDSVASTMECVLDGHPDLVRRFNEFLPNKNWIEVKTKLRRRVPGEQKSHQGQRGGEVGKEEKPIFQTKQIIMEPLNQTLPKKRGRPLAKSWFHRKKGEAQVH* >Brasy4G418900.2.p pacid=40085644 transcript=Brasy4G418900.2 locus=Brasy4G418900 ID=Brasy4G418900.2.v1.1 annot-version=v1.1 MGDGGGGGEGGGSPEWLSIYDRVEAMLTKSQARAKALAADRARLEAASKAQRETGEAMLKLQRRVEELQAAAREKDAEVGRLRAEAADARTKLQEAHQADASRRGRWEAAYVELILGANRKLDELRDCGLGDPGTCSETPNFKESESCANVSQNTAEHDIASDLSTELRKLKQAYEILSSKKDKEVSALLSERDFVCDQMSIMEQDFAALLKKLEAAQATESAQKLQQNINELQVLAQKKDDEISRLRAKAVSAKEKIDKLHLLVKEKDDEIERLKGGLPETIPKRNKDISETHKRSRNEGPAVGMESKNSCPRTMIEEDFVSEIETVEKDGQAETSKKRKYASSLSNSGNGGDGDEQSGNDEDGDEQSGGDKDDELIGTDEDGDEQSGTDEDSDEQSGSDDGSEDVDEQNREDERIWKGRAPAPLLVRKYRNGQSTMKSTGNWALFVSDVNDIFADRPDRHKRFIDFLHTFRHKKPHIDSVASTMECVLDGHPDLVRRFNEFLPNKNWIEVKTKLRRRVPGEQKSHQGQRGGEVGKEEKPIFQTKQIIMEPLNQTLPKKRGRPLAKSWFHRKKGEAQVH* >Brasy4G418900.4.p pacid=40085645 transcript=Brasy4G418900.4 locus=Brasy4G418900 ID=Brasy4G418900.4.v1.1 annot-version=v1.1 MLATVVVFAGGKADASRRGRWEAAYVELILGANRKLDELRDCGLGDPGTCSETPNFKESESCANVSQNTAEHDIASDLSTELRKLKQAYEILSSKKDKEVSALLSERDFVCDQMSIMEQDFAALLKKLEAAQATESAQKLQQNINELQVLAQKKDDEISRLRAKAVSAKEKIDKLHLLVKEKDDEIERLKGGLPETIPKRNKDISETHKRSRNEGPAVGMESKNSCPRTMIEEDFVSEIETVEKDGQAETSKKRKYASSLSNSGNGGDGDEQSGNDEDGDEQSGGDKDDELIGTDEDGDEQSGTDEDSDEQSGSDDGSEDVDEQNRREDERIWKGRAPAPLLVRKYRNGQSTMKSTGNWALFVSDVNDIFADRPDRHKRFIDFLHTFRHKKPHIDSVASTMECVLDGHPDLVRRFNEFLPNKNWIEVKTKLRRRVPGEQKSHQGQRGGEVGKEEKPIFQTKQIIMEPLNQTLPKKRGRPLAKSWFHRKKGEAQVH* >Brasy4G418900.5.p pacid=40085646 transcript=Brasy4G418900.5 locus=Brasy4G418900 ID=Brasy4G418900.5.v1.1 annot-version=v1.1 MLATVVVFAGGKADASRRGRWEAAYVELILGANRKLDELRDCGLGDPGTCSETPNFKESESCANVSQNTAEHDIASDLSTELRKLKQAYEILSSKKDKEVSALLSERDFVCDQMSIMEQDFAALLKKLEAAQATESAQKLQQNINELQVLAQKKDDEISRLRAKAVSAKEKIDKLHLLVKEKDDEIERLKGGLPETIPKRNKDISETHKRSRNEGPAVGMESKNSCPRTMIEEDFVSEIETVEKDGQAETSKKRKYASSLSNSGNGGDGDEQSGNDEDGDEQSGGDKDDELIGTDEDGDEQSGTDEDSDEQSGSDDGSEDVDEQNREDERIWKGRAPAPLLVRKYRNGQSTMKSTGNWALFVSDVNDIFADRPDRHKRFIDFLHTFRHKKPHIDSVASTMECVLDGHPDLVRRFNEFLPNKNWIEVKTKLRRRVPGEQKSHQGQRGGEVGKEEKPIFQTKQIIMEPLNQTLPKKRGRPLAKSWFHRKKGEAQVH* >Brasy4G418900.3.p pacid=40085647 transcript=Brasy4G418900.3 locus=Brasy4G418900 ID=Brasy4G418900.3.v1.1 annot-version=v1.1 MGDGGGGGEGGGSPEWLSIYDRVEAMLTKSQARAKALAADRARLEAASKAQRETGEAMLKLQRRVEELQAAAREKDAEVGRLRAEAADARTKLQEAHQADASRRGRWEAAYVELILGANRKLDELRDCGLGDPGTCSETPNFKESESCANVSQNTAEHDIASDLSTELRKLKQAYEILSSKKDKEVSALLSERDFVCDQMSIMEQDFAALLKKLEAAQATESAQKLQQNINELQVLAQKKDDEISRLRAKAVSAKEKIDKLHLLVKEKDDEIERLKGGLPETIPKRNKDISETHKRSRNEGPAVGMESKNSCPRTMIEEDFVSEIETVEKDGQAETSKKRKYASSLSNSGNGGDGDEQSGNDEDGDEQSGGDKDDELIGTDEDGDEQSGTDEDSDEQSGSDDGSEDVDEQNRSNLNLLVVF* >Brasy4G414400.1.p pacid=40085648 transcript=Brasy4G414400.1 locus=Brasy4G414400 ID=Brasy4G414400.1.v1.1 annot-version=v1.1 MVDMEEEKKKNKKLVVVGLTRRHSMMITTGSASSPPDPPPHAATDSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.7.p pacid=40085649 transcript=Brasy4G414400.7 locus=Brasy4G414400 ID=Brasy4G414400.7.v1.1 annot-version=v1.1 MVDMEEEKKKNKKLVVVGLTRRHSMMITTGSASSPPDPPPHAATDSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.6.p pacid=40085650 transcript=Brasy4G414400.6 locus=Brasy4G414400 ID=Brasy4G414400.6.v1.1 annot-version=v1.1 MVDMEEEKKKNKKLVVVGLTRRHSMMITTGSASSPPDPPPHAATDSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.10.p pacid=40085651 transcript=Brasy4G414400.10 locus=Brasy4G414400 ID=Brasy4G414400.10.v1.1 annot-version=v1.1 MVDMEEEKKKNKKLVVVGLTRRHSMMITTGSASSPPDPPPHAATDSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.2.p pacid=40085652 transcript=Brasy4G414400.2 locus=Brasy4G414400 ID=Brasy4G414400.2.v1.1 annot-version=v1.1 MADSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.3.p pacid=40085653 transcript=Brasy4G414400.3 locus=Brasy4G414400 ID=Brasy4G414400.3.v1.1 annot-version=v1.1 MADSNRNESEGGDRIAEFRRKKGKKANKPLKWRSTNSDMNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.14.p pacid=40085654 transcript=Brasy4G414400.14 locus=Brasy4G414400 ID=Brasy4G414400.14.v1.1 annot-version=v1.1 MNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.11.p pacid=40085655 transcript=Brasy4G414400.11 locus=Brasy4G414400 ID=Brasy4G414400.11.v1.1 annot-version=v1.1 MNNGNGEAEGFDGDRRGDDTVLSSLTTAGSFSSLMSRKRVMKTLGKVAEDCDSVDPPVPRKLRSAINKHAGRTVSSSPRHVKKRRHISAISAQIFLMDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.12.p pacid=40085656 transcript=Brasy4G414400.12 locus=Brasy4G414400 ID=Brasy4G414400.12.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.8.p pacid=40085657 transcript=Brasy4G414400.8 locus=Brasy4G414400 ID=Brasy4G414400.8.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.4.p pacid=40085658 transcript=Brasy4G414400.4 locus=Brasy4G414400 ID=Brasy4G414400.4.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.9.p pacid=40085659 transcript=Brasy4G414400.9 locus=Brasy4G414400 ID=Brasy4G414400.9.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.13.p pacid=40085660 transcript=Brasy4G414400.13 locus=Brasy4G414400 ID=Brasy4G414400.13.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G414400.5.p pacid=40085661 transcript=Brasy4G414400.5 locus=Brasy4G414400 ID=Brasy4G414400.5.v1.1 annot-version=v1.1 MDRETRFDATTSSNPFTKEEEVLASTLLSLSQIPPPCEPTADMAMGEDISDTNVASTSCSEEAIKEDAKITVLPLDADKVATKPAPTDQQVERTGSLSQVNPPLGAPLDSINPHLPKDGQTKDLSLGLVANSPRPSKDLSNNSMRKHPKVQFDGSLSLTNPTGTEASHWLVNCKKSDFAVHDGRKAENNSTQEVTPTPPVQTPLHCTSKGYSTKPSSSTSATWTNPATGTAKASATANSDRLSLSKKGEPAKTWKKSITHVYVSHLIQNHLNKDKASENQVKPDERSHVRISKSPTGAPLNKNAAHLDARHLIQPPIGVRDTVAGQRRTAASSGILNTPTSAAFSGPQHVQYLHPQMIAHRGAAPYPYPQLHCSRGDLAPAMTIQQMQQYICSPGFAPHPGAPASSSAAMKFQRFMPTPQQQQQMWQFHIAQYQPGPGDGVAPMSWQNSRLQDMPSSLRPMPVNRPPLAMMPPQQQMELLCAPYQGGSGGGGRRPPQLRLI* >Brasy4G253200.1.p pacid=40085662 transcript=Brasy4G253200.1 locus=Brasy4G253200 ID=Brasy4G253200.1.v1.1 annot-version=v1.1 MYELILSLSHVAVKFGKVFGMKVTVVSSSPGKKREALELLGADAFVVSNNAREMKAAMGTMDGIINTVSANIPMAPLFGLLKPNGKMIMVGLPEKPIEFPAFALVDQNKTLAGSCIGGMSDTQEMLDLAAKHGVTAEIEVVGADYVNMALERLAKADVRYRFVIDIANTLHKVAGAAAE* >Brasy4G239000.1.p pacid=40085663 transcript=Brasy4G239000.1 locus=Brasy4G239000 ID=Brasy4G239000.1.v1.1 annot-version=v1.1 MMKRHHAADLPASSGGEMHAGTGKAAACKLDKGRSTTSCPRLCCLLVLCTTVSMLVIHCYDYGHADTGVQHGRSSSSSPLHGIRKMSPVSAPADNGDRIKGDLCAGRYVYVQELPPHFNSDMARDCEALSEWTEAGKCKHTANGGFGPPQRSIGGGEETAALFQGQETGGWYDTEEHALDIIFHDRIKRYECLTADSSLASAVFVPFYAGLDVARHLPGKGRYHVATRDEMALAMVEFVTARPEWRALGGRDHFFVAGRGTWDFRRSQDGGGGWGNKLFLLPAVRNMTALVVEASPWHLNDAAVPYPTAFHPATDVHVFLWQHRLRGLKRQSLFSFFVTGAPAGPEEDPKSVSSHLVKQCSASSACSLVLGDEDSSPAGIMKLYQSSTFCLHPRGGAGDAYTRRSVFDAILAGCIPVFFHPGTAYVQYTWHLPRDHARYSVYIPEEDVLLRAGNNNASGSVEETLRKIPQDAVERMRAAVVELIPTVIYADTSSRLEASSVPDAFDVAVEAVIKKATKLRKALVEGRAEDEKLDKFGWKYPLLGEGQKVEDPHEWDPLFAFN* >Brasy4G070800.1.p pacid=40085664 transcript=Brasy4G070800.1 locus=Brasy4G070800 ID=Brasy4G070800.1.v1.1 annot-version=v1.1 MALCPPPSEVAAPLPTGMRYARHELEALRGAPSSEAQALRWAEVYAALAAAGFSGEYDGLLAGDEPVNRRGRKSSGGGRKRHEAAVPQFTEVEEMGAWRNGDLVVHQATGEPFDQCDGVEYEDDSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLGVARNEQTPYMPEIPDIPNCSPDLCASKEWEDTFIAYFSETKLAFSKLDNSDGPSVSGALKNFSKLASSSEPQTEPTLKALRNMDAVSRAATLRNYIDMIQNLDTLSRNNCLWLFAFCVAIEPPLDAETCASLRSLLRKCATILATKSEMDDELVMLNILILISGRYFGQHGK* >Brasy4G214200.1.p pacid=40085665 transcript=Brasy4G214200.1 locus=Brasy4G214200 ID=Brasy4G214200.1.v1.1 annot-version=v1.1 MTSPNIEMIASSLRHCSLNGGGGGRRSRARRRAEGTDDSEGVTVELNSEVALPYHWQQCLDIRTGQVYYINWEDGTRTTVDPRTSSPFSSSPTPRSTPHASPRAASTSSSGASGYTSASSVGAVTAAAWFSGAGYGYNDSGGYNEGDDEESSSSNSSQSSAVSSFSPTDESASSGSGSDKGSNAVHVLVAAGCRACFMYFMVPKSTGVCPKCGTPALLYLGRSGSNNNNAYAA* >Brasy4G228200.1.p pacid=40085666 transcript=Brasy4G228200.1 locus=Brasy4G228200 ID=Brasy4G228200.1.v1.1 annot-version=v1.1 MPGLAAAAEQDAFSLVRRVARALNRRISDLVALLFRHKSAGSFGAVAGFAIAVVFAWKFLRSSPPRPPRRPAAPKRLAPGSAAPAAADAAEPVGDSGKLTTRQIVTRRLSGCRKVTCQLLGVVFEEKTPEELQKHATFRPSVVDLLLEISKCCDIYLMETILDDKSEENALMALESAGLFRTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTNLDIISQLSRFIRCQLFVSSMEGGQLAANVFNSPNLEQFFS* >Brasy4G176800.1.p pacid=40085667 transcript=Brasy4G176800.1 locus=Brasy4G176800 ID=Brasy4G176800.1.v1.1 annot-version=v1.1 MDNNSLSAAWVTMGPGAAGAVFGAGWWFWVDAVVCSAATVPFFHYLPGLFASFAALMFNCVKKEVINDNYYSPYDDSEWRLKLWLFISYVVSFVSLAAAVGFLVQDALTDTGPSTWTGVAGVLQTVFVLFSGLMFWSCRFED* >Brasy4G176800.2.p pacid=40085668 transcript=Brasy4G176800.2 locus=Brasy4G176800 ID=Brasy4G176800.2.v1.1 annot-version=v1.1 MDNNSLSAAWVTMGPGAAGAVFGAGWWFWVDAVVCSAATVPFFHYLPGLFASFAALMFNCVKKEVINDNYYSPYDDSEWRLKLWLFISYVVSFVSLAAAVGFLVQDALTDTGPSTWTGVAGVLQTVFVLFRLM* >Brasy4G341400.1.p pacid=40085669 transcript=Brasy4G341400.1 locus=Brasy4G341400 ID=Brasy4G341400.1.v1.1 annot-version=v1.1 LSLLRPCSAAASVTTGAPDGSQLWGYVEVRPKANLFWWYYKSPRRVSTPSAPWPTVLWLQGGPGASGVGIGNFLEMGPLDVDLSPRNWTWLQKADLIFVDNPVGVGYSYAEDPGSDWEAAEDATALLVALAREVPALQQGSPLFLVAESYGVSAARAIRAGALTGFKETLIRSRNSGFRKAATVKEQIAAGQLTAAWSSWNDLLQFIDTKSAGVDTYNFLLDSGMDPVSATANNNAQAQVMKYSTYLGKTEEAAASGANTIDGIMNGVIKEKLKIIPSNLTWKGLSGPVYNALVDDIMRPRIDEVDELLSYGVNVTVYNGQLDVICSTIGAEAWVQKLKWDGLKNFTSLPRQPLYCGSSKVTQAFVRTYENLHFYWILGAGHFLIALSMISSITQSPAS* >Brasy4G369000.1.p pacid=40085670 transcript=Brasy4G369000.1 locus=Brasy4G369000 ID=Brasy4G369000.1.v1.1 annot-version=v1.1 MKRTQGRQKIQMELIRDPNALQVCFSKRRKGLVKKVFELCVLCDAQVALVVFSPAGKPYSFAHPSFPAVVDRFLDPSAPAPAASGTDQLARLSELQREAERLADAVHEAKEVRGKELEAMVRDAGVWVDGDLSRCGAPQLEAALAALLGVQAEAAQRAHEILAQEAMIQQQQQCLAADGIGTSHGDNLFDYLGSYAAADHGHGGDLFDYLGPGGAYYAPADAMGSTHGHAHEPAMDTSIDLLCNNGAGSFFHYLGSSSCGGSFMADGGGGGGNHGEVGAVDTNMRLMGGGGMNHGLVPMMQPPPPQPVALPFNHGGFDYYNVGYNNQGDLGQDHGRGGGAFYEMDGVYGTTCSFL* >Brasy4G147300.1.p pacid=40085671 transcript=Brasy4G147300.1 locus=Brasy4G147300 ID=Brasy4G147300.1.v1.1 annot-version=v1.1 MALWTLLLGVALPVAALVAAAFFVYRRQQRSPRNAPPELPVASGGGHAADPSASPGLAKLNAKYNASSGRVGVRFQQLHHQHHASRHRGGAPQQQQGPFQWGDHPRLVIEAAENGWAQFVFSVSRAKSTSASSSPLWGLCPVCDAGASSDMADQAAWEVPAGSSERLQAVRLNPAAASAASSASGKKWLPGSITSPLRTSDNQDHLTNNSSSGNALCVARMSMPLPGPPMAGAPFPQEAYFEITIIYLNTRRPEWSASSRAGSRRAQQDGSVESDRIKLISFAPDITNTKDTVQETRATKDDDRRDNKQRHLVMSLGLAAGSPSRPSLAGTYASSIGFHSNGAVYLDGMKLAYESAKSSWAGVDKVVGCGFDPGKRKVFFTVDGQLAHAVSCNADAFSCPLYPAMASSFDVMALVNLGQSKFRYAPANARRTPNPCFVRSASSGSMGGGLDFDDSGDLFSMGRVDSSGWTETSRVCRSRKDSASAAGDLEPDSDLFEISLRD* >Brasy4G142200.1.p pacid=40085672 transcript=Brasy4G142200.1 locus=Brasy4G142200 ID=Brasy4G142200.1.v1.1 annot-version=v1.1 MEAAIAWLAGTILATLPIDKLVEWIRQVGLADDVEKLKFEIQRVNRVVSAVNGRADRNKPLADSLALLKDLLYDADDLVDELHYYTLQQQVQGVTADDPEVVPAAEEVDDTSRGNADMPSNRSGKKQRAESWKEFEVTEKENEKPVKARCKHCLVEVKCGTKNGTSGMRNHLNVCKKYQSRNLSSTGDATAHMAPIVIGDSSSRKRKRTDEVSVQITAPNTHRPSDKAELSSRIQKITSQLQDIRGEVSEVLNLLHGSAFASSSNHPADDHLETSSLVSTIVYGRVSEKNSIMKLIMAGDRSDSVTVLPIVGIAGVGKTTLAQLVYNDPKVEDHFHLRIWVWVSRNFDKVGLTRKMLDSVQSERMLDSFPRERHEGINCFSKLQEILKSHVTSKKVLLILDDVWDEMNIGRWNQLLAPFKSDGSKGNMILLTTRKPSVAKVIGTAEPIQLGALENDDFCLLFKSCAFGDADYKAPGNLSTIGRQIAEKLKGNPLGAVTAGKLLRDSLTVDHWSNILKNENWKSLGPSEGIMPALKLSYDELPYRLQRCLSYCSVFPNNFQFLGNELVYIWISQGFVNCTSLSKRLEETGWEYLIDLTNMGFFQQVGREESFSFDQSNCETCYVICGLMYDFVRVISKTECATIDGLPCNEMLSTVRHLSIVTDSAYIKDQHGKIHRNEKFEENLRNKVTSVSNLRTLVLLGHYDSSFFQVFQDIFQKGQNLRLLQISATAADFNSFQRGLVNPMHLRYLKRVSDGFDGALPQVLIKCFHLQVLDISSDTNCTVPSGMHNLPSLRHLVAEKGVDFSPASIGSMTSLQELHDFKVQFCSSGPEIAQLQSMNKLVQLGLSGLNYVQSREEAYSAGLRNKQHLEKLHLSWALLEFFGMDDGGPSSEPSMDTAREVLEGFEPHMDLKHLQISGYGSTMSPTWLACSISLTSLQTLHLDSCGQWQILPSMERFPLLTKLNLSNLPKVIEVSVPSLEELVLVKMPNLARCSCTSVGGLSSSLKALQIEHCRALKAFDLFQNNDKFEIEQWSWLPAVRKLILRGCPKLEVLNPLPPSTTFSELLISGVSTLPSMEGSYEKLHIGPPSFGPSSELISIGEVLSFHNLRSLKFLSINGGEDNPMPISFKDLSYLVSLKSLEIEACEIVFSSHVMPEPTCEDVAAANCKVFPSLQSLTVESCGISGKGLSLMLQHSPDLEKLVLFDSSDITLLSIEEEENSLSNLTSYREPQDELFLHIPFNLTFTLKEITIGACPCLRFNGKGFSGFTSLEKLNIWGCPELPLSLVRKDGSDDQANGRWLLPESLGELDISGYSSEKTLQPCFPSNLTSLKLVLSSADLVSLQLHSCTALEELDIEDCAPLSEVEGLQSLGSLRDLTVRNCPSLPSYLESFSR* >Brasy4G326600.1.p pacid=40085673 transcript=Brasy4G326600.1 locus=Brasy4G326600 ID=Brasy4G326600.1.v1.1 annot-version=v1.1 MAGAVVAAVSATADPSLREVPEDVVDEILLRLPCPSSLARAAAASASFRALVSSPRFLRRHRALHPAAAAGPFLGVFCSVSASAGEESGSGAFHPAEPPHPAAAAARAVAAAADFSFSFLPDAPDGWIVRDYRDGRFLLDRPSESTSSSKVFTDLAVCDPVSRRHVLLPPIPEELAATVDNPLGVLGGQRRCEPFLAPDSSSSSSSSSAEEPSFVVIWTGRCPRKVVAFAFSSRDREWRAVPSPECFVWRSRRSPFACPVHAVWNRRHYAHGRFYWVDCLANRWLVLDTDAMELRVEEVPSPARYWEENVAVVEGAAGAVGVFAHDFYHADGKASLNYYTILRDGGGGGGGASWQLEKTIPLPWPSTHGRPYCIRNAGNGCLIVEVTQDSPRPFFSSHCSRDVELFKIDVQRFRLERVCKARCAGGVGDGYWPYFGFPPLLALPTV* >Brasy4G160900.1.p pacid=40085674 transcript=Brasy4G160900.1 locus=Brasy4G160900 ID=Brasy4G160900.1.v1.1 annot-version=v1.1 MKLCVGKLEYKAIIESVLKIPCLCCQAVLEIMWGIQQQMRILVPLELQSKLTKENRLPTSQGLMKFLRNCGFDVKPEMVNEKIFFTAFALFRCDDDDKMNLAILRDAGLYIRDISGIACEDWEILKLAIAVKVICRPEEELTDFHEVLAEDVVSKLKGDAPKYKGVAVKVNWLSTYKAVASNDRLRIEKKEMLGSLVGKAKKAYEAEQAEVCQKVKLHGESQKIFGEGVRRSPRLKRKLYENSGEGTPHKRPKY* >Brasy4G335400.1.p pacid=40085675 transcript=Brasy4G335400.1 locus=Brasy4G335400 ID=Brasy4G335400.1.v1.1 annot-version=v1.1 MDRPPHLDIDLNEEPSPPPSPPPPVPLLPPPREVPPPIFALPPTPPPPPPPLTLSLPQWPVPAAIAQEQLRLMHEREARELAVMYHQAELARAAAARAIALPPFPHPGPGEAGWGNPPGPCAVCGKPETPWETIICDACDRAFHQSCVRVWGPPMGGQPPPPPPATPGVRRPSVTVNEDWMCPQCERNGERSQRWKLGPVRLDINAAPPPWTEDAAPTHWTEDPVITRGIDPDGAHLTELPHFEGLHLKNTTLYDGNQFMPAFGLAGCLSMRQPLASMDRDFVADGNVAQSSNHIRRRRRDFPQASTFPMFAEKHEFGSTNIFMDPSVFTKATEPSPSEDRNALMAPKFLLDNNNRRLNHCTVGLPVQYQDFFITSLGEIDKRVSYHNQHQIWPVGFTSYWHDRVTGSLFECEVQDGGSFGPLFKVRRLPCSMFPLPEASTILSQNGARKADTSETKESSSFIGDTAYYMDDNISSLLSDPSETNQDLLSVLANDKVGKRTSVNCNDGHSSNMMAPILPSHSDNVSLAPSKEANIHDRIGDFTFEGTSSSSVWRMISCAMMEACEKMYKEHGHLMFLCSHSSENHSLNKGSGCQNFDGPCAPLTRFCSSNGPTIPRVIEKKNDVESTCTLLKEWLYQDRIGLDLDFVQEILESLPGSLACLNYQFLCNRAKSVSCITVASGSLVAVQKDGQSNGDTMSYGRHGSAVTGLQDHAQPSGSSIRELPPGHLISCKLSPELAGDVFQIWEFLGRFAEIIGLKEVPSYEQLEDELVDPWPICADKNELLSKGIQHCRDLSSPMKSPANVSIPYSNAETGLTNNEEIVSVFIPVETSSMKEADQDKLAAQTLGRCSGVVLPGVHLTLFKVLFGELLSKVAIFVDPNIDPKESKPRRGRKRDTDSLNSAKELNFDMLTANKLTWPELARRYLLAVSSISGCMDLSDISSREGVKLFRCLQGDGGILCGAVPGVAGMEKDASLLVEAESLICNSSSNEGNKVFMMDYKDTDMIDSAEVPAADNKKMPDWAEPLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILEQSISKEVYKGNASGPTKKAVLSVLTQACRVIVPQNPENSRKQKKSISISEAILRKCRIALRRAISSDESKFFGNLLGTTLMNSNENEDEGILGFPGMVSRPLDFRTIDIRLAVGAYCGSWETFHEDVQEVIRNLHTAFGDRPDVLDMVVSLSQGFESLYKTEVLDIVQKFDFYLSNGNAGSEIHEELHDILSAATNLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPQGNWYCPSCMSGQKKSHLDQGVKDLKRQQKKHVGEEFHAFHEVLNKLAAAMEEKEYWELSIYERIDLLKFLCDEMLNTALIREHLDQCPDKLSDLQQKFRALNFELKDLKHKEEIRTSYARQSRISKIEQHLSNSSGLVQNKQNDVPTASGHLEEAEVNLNRPAEGAPPGQLNVDKPCSSGNDMSTIEECKSLGLSGQPSETGSDWIEGGTIDERSQSCEKRLLDGKISTCENLNLGGTPIVERAPVAPPLSIPGGELPDENASTSFQDNLKTSSARSLERDADNDEMDSLSDDISKLQDSVSIVESQLNMASLRRDCLGKDSLGRLYWVLGRPGKRPLLIADGSMLISKERNISMVNNYHVSLFHKGWNSASIFIYESDEEIRCLVDWLKDYDPRDKELKDAILQWQRHHNHQGGYLRDPPVSILSKNEQLMDLPNTKAAVVLEQKYGLQLDQDTSDLSRRRGRKTNVGSEERIYRCDCLEPVWPSRHHCLTCHETYFTSSEYEGHNSGKCNRNSHSPTESKETDELKVKSAKSDIKGKDSLDRSSATESSSSRKLNSCPYDFEEICRKFVTNDSNKEIVKDIGLIGSNGVPSFLPSPAFFLEPAIILNKGKKVDLPDDWTSSLEECQAMSAQKSGQEESKSGQNCPDNTGDENVPKSRKPTPDSTCEASSSVTHKPTSLLAVNGGLVPESSLRPVVGKNSHILKQQKINLLDIDAALPEEALRASKSQQIKRRSWRTFVKDAESICEMVLATSLLESMIRTEFLKNDWWYWSSFTVATKTSTVSSLALRIYTLDDCIIYARDPNVEPADNTKVVNKGKRRKEPEPSAS* >Brasy4G335400.4.p pacid=40085676 transcript=Brasy4G335400.4 locus=Brasy4G335400 ID=Brasy4G335400.4.v1.1 annot-version=v1.1 MDRPPHLDIDLNEEPSPPPSPPPPVPLLPPPREVPPPIFALPPTPPPPPPPLTLSLPQWPVPAAIAQEQLRLMHEREARELAVMYHQAELARAAAARAIALPPFPHPGPGEAGWGNPPGPCAVCGKPETPWETIICDACDRAFHQSCVRVWGPPMGGQPPPPPPATPGVRRPSVTVNEDWMCPQCERNGERSQRWKLGPVRLDINAAPPPWTEDAAPTHWTEDPVITRGIDPDGAHLTELPHFEGLHLKNTTLYDGNQFMPAFGLAGCLSMRQPLASMDRDFVADGNVAQSSNHIRRRRRDFPQASTFPMFAEKHEFGSTNIFMDPSVFTKATEPSPSEDRNALMAPKFLLDNNNRRLNHCTVGLPVQYQDFFITSLGEIDKRVSYHNQHQIWPVGFTSYWHDRVTGSLFECEVQDGGSFGPLFKVRRLPCSMFPLPEASTILSQNGARKADTSETKESSSFIGDTAYYMDDNISSLLSDPSETNQDLLSVLANDKVGKRTSVNCNDGHSSNMMAPILPSHSDNVSLAPSKEANIHDRIGDFTFEGTSSSSVWRMISCAMMEACEKMYKEHGHLMFLCSHSSENHSLNKGSGCQNFDGPCAPLTRFCSSNGPTIPRVIEKKNDVESTCTLLKEWLYQDRIGLDLDFVQEILESLPGSLACLNYQFLCNRAKSVSCITVASGSLVAVQKDGQSNGDTMSYGRHGSAVTGLQDHAQPSGSSIRELPPGHLISCKLSPELAGDVFQIWEFLGRFAEIIGLKEVPSYEQLEDELVDPWPICADKNELLSKGIQHCRDLSSPMKSPANVSIPYSNAETGLTNNEEIVSVFIPVETSSMKEADQDKLAAQTLGRCSGVVLPGVHLTLFKVLFGELLSKVAIFVDPNIDPKESKPRRGRKRDTDSLNSAKELNFDMLTANKLTWPELARRYLLAVSSISGCMDLSDISSREGVKLFRCLQGDGGILCGAVPGVAGMEKDASLLVEAESLICNSSSNEGNKVFMMDYKDTDMIDSAEVPAADNKKMPDWAEPLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILEQSISKEVYKGNASGPTKACRVIVPQNPENSRKQKKSISISEAILRKCRIALRRAISSDESKFFGNLLGTTLMNSNENEDEGILGFPGMVSRPLDFRTIDIRLAVGAYCGSWETFHEDVQEVIRNLHTAFGDRPDVLDMVVSLSQGFESLYKTEVLDIVQKFDFYLSNGNAGSEIHEELHDILSAATNLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPQGNWYCPSCMSGQKKSHLDQGVKDLKRQQKKHVGEEFHAFHEVLNKLAAAMEEKEYWELSIYERIDLLKFLCDEMLNTALIREHLDQCPDKLSDLQQKFRALNFELKDLKHKEEIRTSYARQSRISKIEQHLSNSSGLVQNKQNDVPTASGHLEEAEVNLNRPAEGAPPGQLNVDKPCSSGNDMSTIEECKSLGLSGQPSETGSDWIEGGTIDERSQSCEKRLLDGKISTCENLNLGGTPIVERAPVAPPLSIPGGELPDENASTSFQDNLKTSSARSLERDADNDEMDSLSDDISKLQDSVSIVESQLNMASLRRDCLGKDSLGRLYWVLGRPGKRPLLIADGSMLISKERNISMVNNYHVSLFHKGWNSASIFIYESDEEIRCLVDWLKDYDPRDKELKDAILQWQRHHNHQGGYLRDPPVSILSKNEQLMDLPNTKAAVVLEQKYGLQLDQDTSDLSRRRGRKTNVGSEERIYRCDCLEPVWPSRHHCLTCHETYFTSSEYEGHNSGKCNRNSHSPTESKETDELKVKSAKSDIKGKDSLDRSSATESSSSRKLNSCPYDFEEICRKFVTNDSNKEIVKDIGLIGSNGVPSFLPSPAFFLEPAIILNKGKKVDLPDDWTSSLEECQAMSAQKSGQEESKSGQNCPDNTGDENVPKSRKPTPDSTCEASSSVTHKPTSLLAVNGGLVPESSLRPVVGKNSHILKQQKINLLDIDAALPEEALRASKSQQIKRRSWRTFVKDAESICEMVLATSLLESMIRTEFLKNDWWYWSSFTVATKTSTVSSLALRIYTLDDCIIYARDPNVEPADNTKVVNKGKRRKEPEPSAS* >Brasy4G335400.2.p pacid=40085677 transcript=Brasy4G335400.2 locus=Brasy4G335400 ID=Brasy4G335400.2.v1.1 annot-version=v1.1 MDRPPHLDIDLNEEPSPPPSPPPPVPLLPPPREWPVPAAIAQEQLRLMHEREARELAVMYHQAELARAAAARAIALPPFPHPGPGEAGWGNPPGPCAVCGKPETPWETIICDACDRAFHQSCVRVWGPPMGGQPPPPPPATPGVRRPSVTVNEDWMCPQCERNGERSQRWKLGPVRLDINAAPPPWTEDAAPTHWTEDPVITRGIDPDGAHLTELPHFEGLHLKNTTLYDGNQFMPAFGLAGCLSMRQPLASMDRDFVADGNVAQSSNHIRRRRRDFPQASTFPMFAEKHEFGSTNIFMDPSVFTKATEPSPSEDRNALMAPKFLLDNNNRRLNHCTVGLPVQYQDFFITSLGEIDKRVSYHNQHQIWPVGFTSYWHDRVTGSLFECEVQDGGSFGPLFKVRRLPCSMFPLPEASTILSQNGARKADTSETKESSSFIGDTAYYMDDNISSLLSDPSETNQDLLSVLANDKVGKRTSVNCNDGHSSNMMAPILPSHSDNVSLAPSKEANIHDRIGDFTFEGTSSSSVWRMISCAMMEACEKMYKEHGHLMFLCSHSSENHSLNKGSGCQNFDGPCAPLTRFCSSNGPTIPRVIEKKNDVESTCTLLKEWLYQDRIGLDLDFVQEILESLPGSLACLNYQFLCNRAKSVSCITVASGSLVAVQKDGQSNGDTMSYGRHGSAVTGLQDHAQPSGSSIRELPPGHLISCKLSPELAGDVFQIWEFLGRFAEIIGLKEVPSYEQLEDELVDPWPICADKNELLSKGIQHCRDLSSPMKSPANVSIPYSNAETGLTNNEEIVSVFIPVETSSMKEADQDKLAAQTLGRCSGVVLPGVHLTLFKVLFGELLSKVAIFVDPNIDPKESKPRRGRKRDTDSLNSAKELNFDMLTANKLTWPELARRYLLAVSSISGCMDLSDISSREGVKLFRCLQGDGGILCGAVPGVAGMEKDASLLVEAESLICNSSSNEGNKVFMMDYKDTDMIDSAEVPAADNKKMPDWAEPLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILEQSISKEVYKGNASGPTKKAVLSVLTQACRVIVPQNPENSRKQKKSISISEAILRKCRIALRRAISSDESKFFGNLLGTTLMNSNENEDEGILGFPGMVSRPLDFRTIDIRLAVGAYCGSWETFHEDVQEVIRNLHTAFGDRPDVLDMVVSLSQGFESLYKTEVLDIVQKFDFYLSNGNAGSEIHEELHDILSAATNLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPQGNWYCPSCMSGQKKSHLDQGVKDLKRQQKKHVGEEFHAFHEVLNKLAAAMEEKEYWELSIYERIDLLKFLCDEMLNTALIREHLDQCPDKLSDLQQKFRALNFELKDLKHKEEIRTSYARQSRISKIEQHLSNSSGLVQNKQNDVPTASGHLEEAEVNLNRPAEGAPPGQLNVDKPCSSGNDMSTIEECKSLGLSGQPSETGSDWIEGGTIDERSQSCEKRLLDGKISTCENLNLGGTPIVERAPVAPPLSIPGGELPDENASTSFQDNLKTSSARSLERDADNDEMDSLSDDISKLQDSVSIVESQLNMASLRRDCLGKDSLGRLYWVLGRPGKRPLLIADGSMLISKERNISMVNNYHVSLFHKGWNSASIFIYESDEEIRCLVDWLKDYDPRDKELKDAILQWQRHHNHQGGYLRDPPVSILSKNEQLMDLPNTKAAVVLEQKYGLQLDQDTSDLSRRRGRKTNVGSEERIYRCDCLEPVWPSRHHCLTCHETYFTSSEYEGHNSGKCNRNSHSPTESKETDELKVKSAKSDIKGKDSLDRSSATESSSSRKLNSCPYDFEEICRKFVTNDSNKEIVKDIGLIGSNGVPSFLPSPAFFLEPAIILNKGKKVDLPDDWTSSLEECQAMSAQKSGQEESKSGQNCPDNTGDENVPKSRKPTPDSTCEASSSVTHKPTSLLAVNGGLVPESSLRPVVGKNSHILKQQKINLLDIDAALPEEALRASKSQQIKRRSWRTFVKDAESICEMVLATSLLESMIRTEFLKNDWWYWSSFTVATKTSTVSSLALRIYTLDDCIIYARDPNVEPADNTKVVNKGKRRKEPEPSAS* >Brasy4G335400.3.p pacid=40085678 transcript=Brasy4G335400.3 locus=Brasy4G335400 ID=Brasy4G335400.3.v1.1 annot-version=v1.1 MDRPPHLDIDLNEEPSPPPSPPPPVPLLPPPREWPVPAAIAQEQLRLMHEREARELAVMYHQAELARAAAARAIALPPFPHPGPGEAGWGNPPGPCAVCGKPETPWETIICDACDRAFHQSCVRVWGPPMGGQPPPPPPATPGVRRPSVTVNEDWMCPQCERNGERSQRWKLGPVRLDINAAPPPWTEDAAPTHWTEDPVITRGIDPDGAHLTELPHFEGLHLKNTTLYDGNQFMPAFGLAGCLSMRQPLASMDRDFVADGNVAQSSNHIRRRRRDFPQASTFPMFAEKHEFGSTNIFMDPSVFTKATEPSPSEDRNALMAPKFLLDNNNRRLNHCTVGLPVQYQDFFITSLGEIDKRVSYHNQHQIWPVGFTSYWHDRVTGSLFECEVQDGGSFGPLFKVRRLPCSMFPLPEASTILSQNGARKADTSETKESSSFIGDTAYYMDDNISSLLSDPSETNQDLLSVLANDKVGKRTSVNCNDGHSSNMMAPILPSHSDNVSLAPSKEANIHDRIGDFTFEGTSSSSVWRMISCAMMEACEKMYKEHGHLMFLCSHSSENHSLNKGSGCQNFDGPCAPLTRFCSSNGPTIPRVIEKKNDVESTCTLLKEWLYQDRIGLDLDFVQEILESLPGSLACLNYQFLCNRAKSVSCITVASGSLVAVQKDGQSNGDTMSYGRHGSAVTGLQDHAQPSGSSIRELPPGHLISCKLSPELAGDVFQIWEFLGRFAEIIGLKEVPSYEQLEDELVDPWPICADKNELLSKGIQHCRDLSSPMKSPANVSIPYSNAETGLTNNEEIVSVFIPVETSSMKEADQDKLAAQTLGRCSGVVLPGVHLTLFKVLFGELLSKVAIFVDPNIDPKESKPRRGRKRDTDSLNSAKELNFDMLTANKLTWPELARRYLLAVSSISGCMDLSDISSREGVKLFRCLQGDGGILCGAVPGVAGMEKDASLLVEAESLICNSSSNEGNKVFMMDYKDTDMIDSAEVPAADNKKMPDWAEPLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILEQSISKEVYKGNASGPTKACRVIVPQNPENSRKQKKSISISEAILRKCRIALRRAISSDESKFFGNLLGTTLMNSNENEDEGILGFPGMVSRPLDFRTIDIRLAVGAYCGSWETFHEDVQEVIRNLHTAFGDRPDVLDMVVSLSQGFESLYKTEVLDIVQKFDFYLSNGNAGSEIHEELHDILSAATNLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPQGNWYCPSCMSGQKKSHLDQGVKDLKRQQKKHVGEEFHAFHEVLNKLAAAMEEKEYWELSIYERIDLLKFLCDEMLNTALIREHLDQCPDKLSDLQQKFRALNFELKDLKHKEEIRTSYARQSRISKIEQHLSNSSGLVQNKQNDVPTASGHLEEAEVNLNRPAEGAPPGQLNVDKPCSSGNDMSTIEECKSLGLSGQPSETGSDWIEGGTIDERSQSCEKRLLDGKISTCENLNLGGTPIVERAPVAPPLSIPGGELPDENASTSFQDNLKTSSARSLERDADNDEMDSLSDDISKLQDSVSIVESQLNMASLRRDCLGKDSLGRLYWVLGRPGKRPLLIADGSMLISKERNISMVNNYHVSLFHKGWNSASIFIYESDEEIRCLVDWLKDYDPRDKELKDAILQWQRHHNHQGGYLRDPPVSILSKNEQLMDLPNTKAAVVLEQKYGLQLDQDTSDLSRRRGRKTNVGSEERIYRCDCLEPVWPSRHHCLTCHETYFTSSEYEGHNSGKCNRNSHSPTESKETDELKVKSAKSDIKGKDSLDRSSATESSSSRKLNSCPYDFEEICRKFVTNDSNKEIVKDIGLIGSNGVPSFLPSPAFFLEPAIILNKGKKVDLPDDWTSSLEECQAMSAQKSGQEESKSGQNCPDNTGDENVPKSRKPTPDSTCEASSSVTHKPTSLLAVNGGLVPESSLRPVVGKNSHILKQQKINLLDIDAALPEEALRASKSQQIKRRSWRTFVKDAESICEMVLATSLLESMIRTEFLKNDWWYWSSFTVATKTSTVSSLALRIYTLDDCIIYARDPNVEPADNTKVVNKGKRRKEPEPSAS* >Brasy4G250700.1.p pacid=40085679 transcript=Brasy4G250700.1 locus=Brasy4G250700 ID=Brasy4G250700.1.v1.1 annot-version=v1.1 MAAAAAAADEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVLDLHEMKKAGDRLISALSLARYCHLKVVNLEFAQDIGDRHFLHLKEMGGIVLEELEFMNLNACQKISDKGIEAVTSLCPNLRALSIYWIVGLTDASIGHIVKNCKQIMDLNLSGCKNISDKGMHLVADNYQGLRKLDITRQETQFGHLNILRYIYRCIKLTDDGFQEVLQQCSALESLNLYALSSLTDKVYTKIGYLANLMFLDLCGAQNLTDDGLACISRCGGLKYLNLTWCVRVTDIGVVAIAEGCRSLELLSLFGILGVTDACLEALSKSCSDGLTTLDVNGCTGIKRRSQDDLIQLFPRLCCFKVHS* >Brasy4G250700.3.p pacid=40085680 transcript=Brasy4G250700.3 locus=Brasy4G250700 ID=Brasy4G250700.3.v1.1 annot-version=v1.1 MAAAAAAADEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVLDLHEMKKAGDRLISALSLARYCHLKVVNLEFAQDIGDRHFLHLKEMGGIVLEELEFMNLNACQKISDKGIEAVTSLCPNLRALSIYWIVGLTDASIGHIVKNCKQIMDLNLSGCKNISDKGMHLVADNYQGLRKLDITRCIKLTDDGFQEVLQQCSALESLNLYALSSLTDKVYTKIGYLANLMFLDLCGAQNLTDDGLACISRCGGLKYLNLTWCVRVTDIGVVAIAEGCRSLELLSLFGILGVTDACLEALSKSCSDGLTTLDVNGCTGIKRRSQDDLIQLFPRLCCFKVHS* >Brasy4G250700.2.p pacid=40085681 transcript=Brasy4G250700.2 locus=Brasy4G250700 ID=Brasy4G250700.2.v1.1 annot-version=v1.1 MAAAAAAADEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVLDLHEMKKAGDRLISALSLARYCHLKVVNLEFAQDIGDRHFLHLKEMGGIVLEELEFMNLNACQKISDKGIEAVTSLCPNLRALSIYWIVGLTDASIGHIVKNCKQIMDLNLSGCKNISDKGMHLVADNYQGLRKLDITRCIKLTDDGFQEVLQQCSALESLNLYALSSLTDKVYTKIGYLANLMFLDLCGAQNLTDDGLACISRCGGLKYLNLTWCVRVTDIGVVAIAEGCRSLELLSLFGILGVTDACLEALSKSCSDGLTTLDVNGCTGIKVHS* >Brasy4G250700.5.p pacid=40085682 transcript=Brasy4G250700.5 locus=Brasy4G250700 ID=Brasy4G250700.5.v1.1 annot-version=v1.1 MGQPMSEVLDLHEMKKAGDRLISALSLARYCHLKVVNLEFAQDIGDRHFLHLKEMGGIVLEELEFMNLNACQKISDKGIEAVTSLCPNLRALSIYWIVGLTDASIGHIVKNCKQIMDLNLSGCKNISDKGMHLVADNYQGLRKLDITRQETQFGHLNILRYIYRCIKLTDDGFQEVLQQCSALESLNLYALSSLTDKVYTKIGYLANLMFLDLCGAQNLTDDGLACISRCGGLKYLNLTWCVRVTDIGVVAIAEGCRSLELLSLFGILGVTDACLEALSKSCSDGLTTLDVNGCTGIKRRSQDDLIQLFPRLCCFKVHS* >Brasy4G250700.4.p pacid=40085683 transcript=Brasy4G250700.4 locus=Brasy4G250700 ID=Brasy4G250700.4.v1.1 annot-version=v1.1 MGQPMSEVLDLHEMKKAGDRLISALSLARYCHLKVVNLEFAQDIGDRHFLHLKEMGGIVLEELEFMNLNACQKISDKGIEAVTSLCPNLRALSIYWIVGLTDASIGHIVKNCKQIMDLNLSGCKNISDKGMHLVADNYQGLRKLDITRCIKLTDDGFQEVLQQCSALESLNLYALSSLTDKVYTKIGYLANLMFLDLCGAQNLTDDGLACISRCGGLKYLNLTWCVRVTDIGVVAIAEGCRSLELLSLFGILGVTDACLEALSKSCSDGLTTLDVNGCTGIKRRSQDDLIQLFPRLCCFKVHS* >Brasy4G265300.1.p pacid=40085684 transcript=Brasy4G265300.1 locus=Brasy4G265300 ID=Brasy4G265300.1.v1.1 annot-version=v1.1 MCLRTIFFRALLLLTLLLPSASASSKLYIVYMGEKKHDDPTMVTASHHDVLTIVLGSKDEALKSIVYSYKHGFSGFAAMLTKSQAETLAKFREVVSVKANIYHELHTTRSWDFLGLEYNQPQQQPGGLLQKAKYGEDVIVGVVDTGIWPESRSFDDDGYGPVPARWKGTCQAGQEFNATNCNRKIIGARWYSEGVSDELLRSEYVSPRDMHGHGTHVASTIAGGQVRGVSCYGGLAAGVARGGAPRARLAVYKVCWIGRCAHAAVLAAVDDAVHDGVDVLSLSLGGGAGFEYDGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLMTLGNGEKLVGQSLHHNASAISSDFKDLVYAGSCDPGSLALSNVTGKIVFCYAPAAAAITPPRLALPLAINYTMEAGAKGLIFAQYAANVLGRLAACNGIMPCVLVDFEIAQRIFSYGVIAERPVVKVSPTKSVVGNRVLSPRVALFSSRGPSPLFPGILKPDVAAPGVSILAAKGDSYVLFSGTSMACPHVSAVTALLKSVYPNWSPAMIKSAIVTTASVTDHFGMEIQAEGVPRKLADPFDFGGGHMDPDRAVDPGLVYDVDPREFNSFFNCTLGLSEGCESYDLNLNLPSIAVPNLKDHVTVRRTVINVGPVEATYRVAVAAPSGVEVYVDPSIISFNRSSSRNATFMVTFTARQRVQGGYTFGSLTWSDGSTHLVRIPVAVRTVVQEFVADTS* >Brasy4G265300.2.p pacid=40085685 transcript=Brasy4G265300.2 locus=Brasy4G265300 ID=Brasy4G265300.2.v1.1 annot-version=v1.1 MGEKKHDDPTMVTASHHDVLTIVLGSKDEALKSIVYSYKHGFSGFAAMLTKSQAETLAKFREVVSVKANIYHELHTTRSWDFLGLEYNQPQQQPGGLLQKAKYGEDVIVGVVDTGIWPESRSFDDDGYGPVPARWKGTCQAGQEFNATNCNRKIIGARWYSEGVSDELLRSEYVSPRDMHGHGTHVASTIAGGQVRGVSCYGGLAAGVARGGAPRARLAVYKVCWIGRCAHAAVLAAVDDAVHDGVDVLSLSLGGGAGFEYDGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLMTLGNGEKLVGQSLHHNASAISSDFKDLVYAGSCDPGSLALSNVTGKIVFCYAPAAAAITPPRLALPLAINYTMEAGAKGLIFAQYAANVLGRLAACNGIMPCVLVDFEIAQRIFSYGVIAERPVVKVSPTKSVVGNRVLSPRVALFSSRGPSPLFPGILKPDVAAPGVSILAAKGDSYVLFSGTSMACPHVSAVTALLKSVYPNWSPAMIKSAIVTTASVTDHFGMEIQAEGVPRKLADPFDFGGGHMDPDRAVDPGLVYDVDPREFNSFFNCTLGLSEGCESYDLNLNLPSIAVPNLKDHVTVRRTVINVGPVEATYRVAVAAPSGVEVYVDPSIISFNRSSSRNATFMVTFTARQRVQGGYTFGSLTWSDGSTHLVRIPVAVRTVVQEFVADTS* >Brasy4G428800.1.p pacid=40085686 transcript=Brasy4G428800.1 locus=Brasy4G428800 ID=Brasy4G428800.1.v1.1 annot-version=v1.1 MPTAHTATPMKIGATGYWPRRISHQPARSSRSQHQAASQRPPPIGRRTNPTELALPATASSTHLTALPTLASRRPPKEQAQPKLVPFELMTARTLLKHMDLETTGQGSSSAARPEHHRTTKQRASSTAPACSSRPWHAATKDAGSPPTRGPPSLHPRRRQPAANIRAAPRSRGERAASTRGVKILGERGTRPEVEVGEEEEVARVRRRSPPRCAAPVQRRRQPQGGAARGKRARETAQQCRGEAAGEVPPPSPAGRRPPATAARGAQGGRRRLGFGPRGSPRESPGAAHETCEGGAKFLPTAKLKFG* >Brasy4G313900.1.p pacid=40085687 transcript=Brasy4G313900.1 locus=Brasy4G313900 ID=Brasy4G313900.1.v1.1 annot-version=v1.1 MDSQDDSMGMYTTLLSQHGMADEVWDETQNYIPIGTQPKQNELGTPPIRANNKRTRNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPVGSDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKLVLLPALRR* >Brasy4G330300.1.p pacid=40085688 transcript=Brasy4G330300.1 locus=Brasy4G330300 ID=Brasy4G330300.1.v1.1 annot-version=v1.1 MAYTVREVLYLYSIARAAYERFVSICGNEEQARNAVALLVWLDQGTVSAIHHIPTISPSAVNEVAAEANSILECLRHQEPVLPAIPLISALCQDGDIDPRFFAFHQDLVVRGVAEFLDGVGKLIFDERLYLLLRRYETGLVGNPPELMAPYDSQPVSVPEDCRSMFITFSKGMPIEREEIFEYFRQKWGDCVVRVLMEKTAGGTAPMYGRIIFKSEAFVGLVLNGERLVKITVGHRQIWLRKYLPRPANI* >Brasy4G029500.1.p pacid=40085689 transcript=Brasy4G029500.1 locus=Brasy4G029500 ID=Brasy4G029500.1.v1.1 annot-version=v1.1 MPGNNDAEAGILLHLVHQLSLRGKGPVGVVLGSQAPISEDDPDVFDIKSSEAAKVLRPGSALATASSGGLQPPGAVAAIRMPMLKVSNFDGGKMPDQVSSYAILVLVLHSQDGSREWGTQDLEIVQVVSDQVAVALSHATVLEEWQAMRDKLAEQRRALSQAKQEATNNAIKYVQSAMCDGMRRPMHSIIGVLSMVRQAENMRHEQKLVVDAIARTSTLSLALMNDVDTETLAMNCAPFRLHSLIREAMSVAGCLASCRIVSFSYQLVNSLPEWVVGDETRVFQLLLHMVGDVLSRQCNNAGRLSFSVKRCNVDEEDCVPMRLNLSAGCSICVKFHVGMERSTGCSQFPHRPVSSQISMFKKLVQLMNGTVWSASDGESITLILQFQSQQSGVCRRTPPSIPRFNGMRILLIDSDSMSQVVTQKLLEKLGYQVMSVSSSIHCLALLESADSSFQLLLLDLDMDVFEVALQIRELRNRRWFLIAAALPVSIDDSISEMCRRSGINGLIQKPITLTASGAQLSRVLHNY* >Brasy4G029500.2.p pacid=40085690 transcript=Brasy4G029500.2 locus=Brasy4G029500 ID=Brasy4G029500.2.v1.1 annot-version=v1.1 MPGNNDAEAGILLHLVHQLSLRGKGPVGVVLGSQAPISEDDPDVFDIKSSEAAKVLRPGSALATASSGGLQPPGAVAAIRMPMLKVSNFDGGKMPDQVSSYAILVLVLHSQDGSREWGTQDLEIVQVVSDQVAVALSHATVLEEWQAMRDKLAEQRRALSQAKQEATNNAIKYVQSAMCDGMRRPMHSIIGVLSMVRQAENMRHEQKLVVDAIARTSTLSLALMNDVDTETLAMNCAPFRLHSLIREAMSVAGCLASCRIVSFSYQLVNSLPEWVVGDETRVFQLLLHMVGDVLSRQCNNAGRLSFSVKRCNVDEEDCVPMRLNLSAGCSICVKFHVGMERSTGCSQFPHRPLMNGTVWSASDGESITLILQFQSQQSGVCRRTPPSIPRFNGMRILLIDSDSMSQVVTQKLLEKLGYQVMSVSSSIHCLALLESADSSFQLLLLDLDMDVFEVALQIRELRNRRWFLIAAALPVSIDDSISEMCRRSGINGLIQKPITLTASGAQLSRVLHNY* >Brasy4G052600.1.p pacid=40085691 transcript=Brasy4G052600.1 locus=Brasy4G052600 ID=Brasy4G052600.1.v1.1 annot-version=v1.1 MEGEERKRVLLVGGSGYLGQHLLAALASPGGGGGVDVDVAFTHHSEAAPRQLLDALPRLRVFRVDLRSGDGLEAIAASFGQPHVIVNCAAISVPRACEMDPAAALATNIPSSLVNWSLSFGNSKSLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVASEKFITENCPNYAILRSSIIYGPQTISPVAKSLPIQWMDGVLSQHQQVDFFNDEYRCPVYVKDMVDVILALTKKWLSDGKTIQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVPASSVNRGVASPSDISMDITKLTQMLGIQPITFQDGVRATLDAESSS* >Brasy4G052600.4.p pacid=40085692 transcript=Brasy4G052600.4 locus=Brasy4G052600 ID=Brasy4G052600.4.v1.1 annot-version=v1.1 MQPFQPHVIVNCAAISVPRACEMDPAAALATNIPSSLVNWSLSFGNSKSLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVASEKFITENCPNYAILRSSIIYGPQTISPVAKSLPIQWMDGVLSQHQQVDFFNDEYRCPVYVKDMVDVILALTKKWLSDGKTIQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVPASSVNRGVASPSDISMDITKLTQMLGIQPITFQDGVRATLDAESSS* >Brasy4G052600.3.p pacid=40085693 transcript=Brasy4G052600.3 locus=Brasy4G052600 ID=Brasy4G052600.3.v1.1 annot-version=v1.1 MEGEERKRVLLVGGSGYLGQHLLAALASPGGGGGVDVDVAFTHHSEAAPRQLLDALPRLRVFRVDLRSGDGLEAIAASFGQPHVIVNCAAISVPRACEMDPAAALATNIPSSLVNWSLSFGNSKSLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVASEKFITENCPNYAILRSSIIYGPQTISPVAKSLPIQIVHALWCSGWMVSFHNINKLTFLMMSIAAQFM* >Brasy4G052600.2.p pacid=40085694 transcript=Brasy4G052600.2 locus=Brasy4G052600 ID=Brasy4G052600.2.v1.1 annot-version=v1.1 MDPAAALATNIPSSLVNWSLSFGNSKSLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVASEKFITENCPNYAILRSSIIYGPQTISPVAKSLPIQWMDGVLSQHQQVDFFNDEYRCPVYVKDMVDVILALTKKWLSDGKTIQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVPASSVNRGVASPSDISMDITKLTQMLGIQPITFQDGVRATLDAESSS* >Brasy4G169100.1.p pacid=40085695 transcript=Brasy4G169100.1 locus=Brasy4G169100 ID=Brasy4G169100.1.v1.1 annot-version=v1.1 MASLTMMASLSAAAVAVDRRVSSAPRRGGLVVARAAKEEPAAAKLAVETTNNSRGAEGRRAVVFAAAAAAVSAMGRAAVAEPDVKRGSPEAKKKYATICVTMPTAKVCHN* >Brasy4G142600.1.p pacid=40085696 transcript=Brasy4G142600.1 locus=Brasy4G142600 ID=Brasy4G142600.1.v1.1 annot-version=v1.1 MSTASGRGDSPGDPFSAARRHPRRRRLTLAPAAALPSPDGSRFACLADGHEHEGSSLGLESDGGSEVVRSVLAAGFDAEEVATVSEAELAGGRKAISDEDLHDQFWRFVGFPTRESPWAIAFVSPFVLVGLPSDGLVIGPGSGHGVVLCLAGCRWKGGCGPCIVAACWPGLAGIGADVNPAGFFGSGDVNFGPRARGVQGPAPTTQAARGRSPTQQLPPQPPHVDAHQGAFLGAKEKKKKMVCWRCKSREHVTKDCLVDVYCPVCDKHDHNEIRCPILKLPRPTARLCGYGGDKMGFFQIPDEAMAGNGVAPSIPPTALVSVSGGTITADVLEAELHRMVPARSSWTWEASPHGNNAFVVAFPSREELQRVINLEIRLKAQKVSLEFSEWNPDEVPAAFHLHTIWVHVKGVPSSLKHFLGMWAVGSVIGVTEAVDMLCFRKRGIIRIQVTVLDPTLFPVEVDVAVTKVGYVLNFSREPDNFLVEPPVAPTPMERDDDSNDKSHERDDNGGDPQNKRAKNSNSDKSSSSNNNVQISPSRMHSARFEVSPGVEFCGWGLCRESYSSIFRGTPGAACGPCPVGGCGCGSSSAGCSGGIQRLPTAVKGS* >Brasy4G314300.1.p pacid=40085697 transcript=Brasy4G314300.1 locus=Brasy4G314300 ID=Brasy4G314300.1.v1.1 annot-version=v1.1 MADEAKAKGNAAFSAGRFEEAAQHFSDAIALSPGNHVLYSNRSAALASLHRYSDALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVTAYEKGLALDPSNEGLKAGLTDAKKATAAPPRRSPSGADAIGQMFQGPELWSKIASDPATRAYLEQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQRPEDSELPEASSPSSPPQRQQEQPKPKAREAEPEPEPMEVNDEEKERKERKAAAQKEKEAGNASYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMVARALTRKGTALAKLAKTSKDYDLAIETFQKALTEHRNPDTLKRLNEAEKAKKDLEQQEYYDPKLADEEREKGNEFFKQQKYPEAIKHYNEALRRNPKDVKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYSRKGAIQFFMKEHDKAMETYQAGLKHDPNNQELLDGIKRCVEQINKANRGEISQEDLQEKQSKAMQDPEIQNILTDPIMRQVLIDFQENPRAAQDHLKNPGVAQKIQKLISAGIVQMR* >Brasy4G428600.1.p pacid=40085698 transcript=Brasy4G428600.1 locus=Brasy4G428600 ID=Brasy4G428600.1.v1.1 annot-version=v1.1 MLPSFSPAATAAQPRRIPGSHGPPILGPLRDRLDYFWFQGPDEFFRRRASQHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDGALVDKRDCLIGPYNPSAAFTGGTRVGVYLDTAEPEHAKTKAFAMDLLRRSSKIWADEFLSGLDSMLTNLESELNNGAAAASFVLPLQQCVFKFLCKAVVGADPAMDKLVDRFGFFILDLWLGLQLIPTQKIGALPQPLEELLFHSFPFPSFIIRPGYDVLYRFVSKHGAEAVAVGVDTHGLSQKDAINNILFVLGFNAFGGFSVFLPFLILEIGAATPTAVALRPKLREEVRRVLDENNGEVGFAAVKGMPLVRSTVYEVLRTRPPVPLQFGRAREDFTLRSHGGEGFAVAAGEMLCGYQPLAMRDPAVFDRPEEFVPDRFVGEEGEKLLKHVYWSNGPETADPAVGNKQCAAKDAVVATACMLVAEMFRRYDDFECEGTSFTKLQKRSS* >Brasy4G247500.1.p pacid=40085699 transcript=Brasy4G247500.1 locus=Brasy4G247500 ID=Brasy4G247500.1.v1.1 annot-version=v1.1 MGLDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPNNKKESEAKFKQISEAYEVLSDSQKRAVYDQYGEEALKGQVPPQGAGGPSGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSNMGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGEASMHAPQRSQKAGPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDQFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLTVPINTVVNPGYEEVIPREGMPIPKDPSKKGNLKIKFNIKFPSRLMPEQKLEIKRLLGS* >Brasy4G247500.2.p pacid=40085700 transcript=Brasy4G247500.2 locus=Brasy4G247500 ID=Brasy4G247500.2.v1.1 annot-version=v1.1 MGLDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPNNKKESEAKFKQISEAYEVLSDSQKRAVYDQYGEEALKGQVPPQGAGGPSGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSNMGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDQFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLTVPINTVVNPGYEEVIPREGMPIPKDPSKKGNLKIKFNIKFPSRLMPEQKLEIKRLLGS* >Brasy4G247500.5.p pacid=40085701 transcript=Brasy4G247500.5 locus=Brasy4G247500 ID=Brasy4G247500.5.v1.1 annot-version=v1.1 MWSSNPSANESKVLSDSQKRAVYDQYGEEALKGQVPPQGAGGPSGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSNMGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGEASMHAPQRSQKAGPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDQFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLTVPINTVVNPGYEEVIPREGMPIPKDPSKKGNLKIKFNIKFPSRLMPEQKLEIKRLLGS* >Brasy4G247500.3.p pacid=40085702 transcript=Brasy4G247500.3 locus=Brasy4G247500 ID=Brasy4G247500.3.v1.1 annot-version=v1.1 MGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGEASMHAPQRSQKAGPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDQFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLTVPINTVVNPGYEEVIPREGMPIPKDPSKKGNLKIKFNIKFPSRLMPEQKLEIKRLLGS* >Brasy4G247500.4.p pacid=40085703 transcript=Brasy4G247500.4 locus=Brasy4G247500 ID=Brasy4G247500.4.v1.1 annot-version=v1.1 MGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGEASMHAPQRSQKAGPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDQFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLTVPINTVVNPGYEEVIPREGMPIPKDPSKKGNLKIKFNIKFPSRLMPEQKLEIKRLLGS* >Brasy4G098400.1.p pacid=40085704 transcript=Brasy4G098400.1 locus=Brasy4G098400 ID=Brasy4G098400.1.v1.1 annot-version=v1.1 MDGSAAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPQGIMGADLMDSCRAQSLRFGTNILTETVTAVDFSARPFRVVSDSTTVLADAVVVATGAVARRLHFPGSDTYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEGNFLTKYGSKVHIIHRRNTFRASKIMQARALSNPKIQVVWDSEVVEAYGSADGGPLAGVKVKNLVTGEISDLQVSGLFFAIGHEPATKFLNGQLELHADGYVATKPGSTHTSVEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD* >Brasy4G037800.1.p pacid=40085705 transcript=Brasy4G037800.1 locus=Brasy4G037800 ID=Brasy4G037800.1.v1.1 annot-version=v1.1 MVDKNDGSEGLKFNKSHLMQTTEEVARAFIAAASAATVQPTRPSVVYSSGEESGSPMQKLQQQFSKILKGFSSSPEVSGPYNPEVLTTHKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDDDTKKTRNLFGNNHHQVHAISNLEPQVLFAYPPERSLPLKYKDIVSFCLPGGAQVNAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDPTLYGCCVLVEEIVQRPSKLVSMLTNEKPVFPRRSRYVVTTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSPEETCEDDDIHEDTEIAGVEQYLDGTTLEKSSETIVEVSPKDLSDTDSSSGCRENQLDFTSQEVQPESCSPVKEEQNSPVTGMITQYDKAEESDNCVSGETVTDMSGVKLNEQDSVPIGSNENCDNFSHENVIDGELDIFVNDTILPLIRSRLSEGSESSPSSQDSPSEGINLRSDTHELDLEEPSSIGHGDVVGHSSILQWAKAKKYGSLQVVCQYYQLQCPARGSSLNFHPLEHLHPLRFHRPGETALHIAGSTIDLRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSVIPLIRPYHWQSLLIPVLPNDMLDFLDAPVPYIVGVQNKTSDLQSRLGNAVIIDANKNQIKSTSVPQFPQQRELLSALRLYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLILKESFIGSFPARDRPFMKLFVDTQLFSVHTDLVLSYYQKD* >Brasy4G078100.1.p pacid=40085706 transcript=Brasy4G078100.1 locus=Brasy4G078100 ID=Brasy4G078100.1.v1.1 annot-version=v1.1 LGIPPAPLFCPEYTRPTRLGYTRDPPLFGRAGGDHAHHPQPPHTSLWISLSLSFSPRRRRWCTTRRASPAWTHMQRVDEPRRRWCGDGCRGWTVDAPRAINLQAEVNRVSEMGSGSAASGAGADARASDGDDGKKLQQKAAGGRR* >Brasy4G078100.2.p pacid=40085707 transcript=Brasy4G078100.2 locus=Brasy4G078100 ID=Brasy4G078100.2.v1.1 annot-version=v1.1 LGIPPAPLFCPEYTRPTRLGYTRDPPLFGRAGGDHAHHPQPPHTSLWISLSLSFSPRRRRWCTTRRASPAWTHMQRVDEPRRRWCGDGCRGWTVDAPRAINLQAEVNRVSEMGSGSAASGAGADARASDGDDGKKLQQKAAGGRR* >Brasy4G417900.1.p pacid=40085708 transcript=Brasy4G417900.1 locus=Brasy4G417900 ID=Brasy4G417900.1.v1.1 annot-version=v1.1 MGVFLEATAVAVAVERAPTAENTAANACDSSHRPPPVRRRAAASPRAVARCCPPLARAASRAPASARPRAAALSSPCCPPRAVARAAALSSRCCPPLARAPSCAAAVLPFARRAPSRALLPATLPSARPRAFARCCTPLARALSRAAALRSHACCCSRADQAPVGHGLADGPPVGRARPRRPAVGPGMADGLILGLGLADGSWSARPRPRVYYF* >Brasy4G163900.1.p pacid=40085709 transcript=Brasy4G163900.1 locus=Brasy4G163900 ID=Brasy4G163900.1.v1.1 annot-version=v1.1 MGGASPAIKPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVSLKAYGEEWFKVADNGGGISPSNFQALALKHHTSKISDFSDLNSVVTFGFRGEALSSLCALGKLTVETRTKDESVGTHLEFEHSGVVISNRKIARQVGTTVTIEKLFSTLPVRGKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTVAKNSKMVVLKTQGSSSLKDNIITVFGLNTFKCLEPFNVTISEGCQVEGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVTKLVNELYKSSNSKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHTILLSLREAIENLYSPQQCSFSINLIEDPEKEVDPAIDEPHESTDLIETENVSSPRNDDDKDETDSDDHDLPQNQKVSSSARRVAVGTASRDRSPLPRDPATPVDRSWISAFQHEQPKNFHAQVKKNPAGSFHDRTGVAAKSSPSTTVQSSLANFLSLNKRKHEDSCNLLSEAPVLRRGTCSGQVRRTSSETNSPTILMSCTSGIPNVNSDQETSPLRHHSPQSFVPKRAEVSPQHSEPPNIVSHSTKTPPLDPCGVHSTKSDVDQKNDQHHSNFAAPDKYSEVEPINIPLPDACGHDNGTTVFSTSVSYPVMQFTVAELRRRRKHSFTVSHKKEVYCSNKTARFYKAATLDNYVPNDDEGKSNYLAAATNELDRLFSKDNFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFESLSQSTTLNIQPLLQPLRLELSPEEEVIVSMNMTTIRKNGFVLAEDLHASPGNHYLLKAVPFSKNITFGVQDMKELISMLADSQGDCSIISSYKMDKTDSVCPSRVRAMLASRACRMSTMIGDPLTKAEMKKILRNLTGLRSPWNCPHGRPTMRHLADLHTIKSQEPNQSGVQKLIG* >Brasy4G205000.1.p pacid=40085710 transcript=Brasy4G205000.1 locus=Brasy4G205000 ID=Brasy4G205000.1.v1.1 annot-version=v1.1 MRPLAALLVVATAMLLALSGADATVETTCRAAADKDKHVDYGFCVHELRKHHWSSDADAWGLAKIAANMGVNNAYGAVSDVEGLQAKPGADAKTKAALGRCHELYESQKFAFAGAYDEINGRDYAAGKAEAAKAVSLARQCDDAFDKAAVPVPSPLTQRSSYSVQIATVCTAITNLIK* >Brasy4G377300.1.p pacid=40085711 transcript=Brasy4G377300.1 locus=Brasy4G377300 ID=Brasy4G377300.1.v1.1 annot-version=v1.1 MLLRRSPVPCPLASSLEPGDLPFKLSNTRREQSTLSAPLSLVFIRQSPLPRVRHGGSRRTLAKSSHPVGNLRPEEHIPELKIPGMLILLN* >Brasy4G399900.1.p pacid=40085712 transcript=Brasy4G399900.1 locus=Brasy4G399900 ID=Brasy4G399900.1.v1.1 annot-version=v1.1 MAGILAWAADVVGGADLSDDEAAAADGARAAAMTPEQRLRAADLDARASSLRRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALQREIAIQEENTAYEKAISDCRQKIQEKQMEATLLQSNLKEMEIAELDLKAKVDDVSKEQEATQHKASAATSEATGNALLEAESLINLKSKDLEEKKGELKLLEDKVQSLEKVWSLVEEESLKNPSPAQREKVLEKQLHSLIEQLTAKQAQAERLMTDIHAKEKDLERLYNLHRNLHSGSIEAAAPRNRFTRGLLSGDEDSDGRAFRRPGQLGGVRTEGQKRLMVLRSAFVLYILVLHVVVFIKISVSN* >Brasy4G395000.1.p pacid=40085713 transcript=Brasy4G395000.1 locus=Brasy4G395000 ID=Brasy4G395000.1.v1.1 annot-version=v1.1 MAQPGSSCRAGPSPHQDRRPAPTRSSTVARPGLQLNGRPSSGPVHQPRLAGRGELYITPPAPRPPQTLKHFTPTPPPPSRTVSSPTRRATAGPLRRRPPHSGATGEVSGPTPLPLRESAVRSSHLVLGSSSFLSSLDSSAGRRTLAFSPVSGESICCSCRLLRLRAPVVQVLCCSCCGAASFPSAPPAAALSSSPPSPSWCCAASFPSAAAATQPLTPIPLPLLKVLCCLELVLRGLVPLCCRHHPLVEPSSA* >Brasy4G207500.1.p pacid=40085714 transcript=Brasy4G207500.1 locus=Brasy4G207500 ID=Brasy4G207500.1.v1.1 annot-version=v1.1 MPPMAVTASLSLHLRLRPAPYARRSPPRHAPFLIPPSSFTPPSPQHLRVAHGWSPPPWRPSVRARAGTVEAPSLARPGGAVETDRLPSDVRDRAMDAVDHFGGRVTIGDVASRAGLQVDQAERALQALAADTGGFLEVSGEGEVLYVFPKDYRAKLAGKSFRMRVEPLVNKAKEVGAYVVRVSFGTALVASIVLVYTTIIAIISSSSDEDNRGRRRRSYGSTIFLPTDLFWYLDAGSSRRRRVENENGMNFIESVFSFVFGDGDPNDGLEERRWKMIGQYISSNGGVVTAEELAPYLDVPAPSELSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGGGSREYVGTKWSAMFSGVEKFMEEKPWEFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLFPLLQIYAGSFFAIPLFRWFLLRKTNDDISRRNKAREERAQELVLPEPSLRRKLLSARDMAQRKVVTPEEIVYTTEKDVLDQEYEVKEWERRFKKLESD* >Brasy4G197700.1.p pacid=40085715 transcript=Brasy4G197700.1 locus=Brasy4G197700 ID=Brasy4G197700.1.v1.1 annot-version=v1.1 MAAVRGAPQWLRGLLSEEFFEACGVHPAERKNEKNHFCADCAAALCRHCLPHDPSHNVLQIWKYASCFVVRVDDLKLFDCTGIQSHTVSDHEVVFLNERTARKRSASAENPCAACARPLSSGHDYCSLFCKVKHLGESERGLRCALRVNRKAVAAAAAAAAGEEAAVPEPHNGKRPRASSSDAGASCGGSLRKRIRKQDVPARSPFC* >Brasy4G096200.1.p pacid=40085716 transcript=Brasy4G096200.1 locus=Brasy4G096200 ID=Brasy4G096200.1.v1.1 annot-version=v1.1 MGRGRGRGRKLTIARSHDEKVSSGEEVVPARKRRGRPQKHFADKVEQVDVENLVEDGDGGDEDAKLKASAGSKRGRPLKESPNVVLEENSNSSVRSSSDESARTNGFRQIGNRRKSTPRRAAEAGLECK* >Brasy4G419000.1.p pacid=40085717 transcript=Brasy4G419000.1 locus=Brasy4G419000 ID=Brasy4G419000.1.v1.1 annot-version=v1.1 MRRLRLPLLLLLPVTLTLLLLLLLFPSSPPAPAPRSPLPCGADPSDATAGRWIPTPTPPPSPLYSPSCPFHRNAWNCLRNGRAPVAALSWSPARCGGVALPRLDPAAFLAAARGRRIGLVGDSLAENLVVALLCALRSADGGARRWKRRGAWRGGYFPEEDVVVAYHRAVLLAKYTWQPVENSKLQKDGIKGTYRVDVDIPADDWVNVTKFYDVLIFNTGHWWGPDKFPKETPLVFYQGGKPIDPPLGIFDGLKVVLKSMASYIEREVPSKTLKLWRTQSPRHFDGGEWDHNGTCVSDRLLEEHELNSWFDPRFGGVNKEARLVNSAIQEALVGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARISHYFKQGKG* >Brasy4G329500.1.p pacid=40085718 transcript=Brasy4G329500.1 locus=Brasy4G329500 ID=Brasy4G329500.1.v1.1 annot-version=v1.1 MRHFLRARSSASRLFSALAACGKARPLSGAVYGFGDNSHGAVGQPAPAADVYVPTPVPSLPPSVAAVAAGHYHSLAVSTVGEVWAWGRNDEGQLGRGLHAPRNTWSDPDQVRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEATVPCRVEALANYDVVKVSFGWGHAMALTKDGELFGWGYSENGRLGEMGRSTQAPSPQEYIGKTGDKYSSSMMDAVQKMVADKIRSEDSMPIIWEPSLVHEVGHLEVSDVSCGLDHSLILCSNGTVLSGGDNTYGQLGRKSGRSKLLPVDINCSPFSVSASAGHSLALCHISTLGTDNVETGVLSWGWNCSSQLGRPGQEDVPALVDYLVGEKPVSAAAGRVHSVVLMSNGEAWAWGSGRNGRLGLGSSMDEVEPCLIDTLEGVEVLQVATGMDHNLIMVNE* >Brasy4G221500.1.p pacid=40085719 transcript=Brasy4G221500.1 locus=Brasy4G221500 ID=Brasy4G221500.1.v1.1 annot-version=v1.1 MAPARLIQHKKEALWFYSLISIGYDQVFNPGQYTDDMRDVSLVHADLHNRNLKVVDVGGGTGFTTVGIVRYVDPENVTILDQSPDQLKKARRKKALHGVKIMEGDAEDLPFPADTFDRYVSAGRSVDLPFKTLSVAHVLLFSVSHIQSRRRTRIWSNGWSATFQHGPALLRWPAGGFQVDQLRHHYTVPSFFLLSQQNLVIPFFKFVVISLAVGFYRWRSNGK* >Brasy4G388100.1.p pacid=40085720 transcript=Brasy4G388100.1 locus=Brasy4G388100 ID=Brasy4G388100.1.v1.1 annot-version=v1.1 MGKSDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMAIFGQAPDRVVEGFSDEFLEEFLTLLRRAHRSSRVAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHAKVEETPKGWFLTYIDRDSEQAIKDRLKRKRVKSDLAEDERQELMIARQIERAQQSMSKANGDDDDADAEGVTGSEDEYSGSDDGQEEPEEDVKEANKATGKIAIALQRAAPPPKVNPFDDKPKMKFGFEEEEVVNKKGKDAGKTAETRRSAIEDLMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGLVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKLQVEKGLYDGKVLRAIEYEDICKVSQ* >Brasy4G027200.1.p pacid=40085721 transcript=Brasy4G027200.1 locus=Brasy4G027200 ID=Brasy4G027200.1.v1.1 annot-version=v1.1 MHFPSPRAHPMAVVAAAAPAVSCLRLVHPGFGGLVSASLLASPCCGRGRGVAVRSRGQGAAPPDPAAILRRPAAATTSEEEVEEREGDVGTALGAPVEDEAPEEVRRKGPEREWVDWEDLILEDTVPLVGFVRMILHSGKYASGDRLTPEHEKAILERLLPYHPQYEKKIGCGIDYITLGLHPEFENSRCLFIVRKDGEEVDFSFWKCIKGLIRKKYPLYADSFILRHFRRRQDY* >Brasy4G284800.1.p pacid=40085722 transcript=Brasy4G284800.1 locus=Brasy4G284800 ID=Brasy4G284800.1.v1.1 annot-version=v1.1 MNFESPIARLPNGRPFPPLFSFKQELANVSPELISRLIPEHAKRHCGFNSPATG* >Brasy4G128500.1.p pacid=40085723 transcript=Brasy4G128500.1 locus=Brasy4G128500 ID=Brasy4G128500.1.v1.1 annot-version=v1.1 MARSGTGERAYHQYFSSATTRAHGFTGRLPAAASAAAGADEFDESDIWGSFNSAAGAEQQPRRAAEMPRRPGRKTTTTKAAAAAHGSLPVNIPDWSKILGDEYRGAAGHQEQWELDDADDEDIGGGASVVVPPHELAWRRRAASLSVHEQDGMGIGRTLKVRDAVWKKTGFQA* >Brasy4G140000.1.p pacid=40085724 transcript=Brasy4G140000.1 locus=Brasy4G140000 ID=Brasy4G140000.1.v1.1 annot-version=v1.1 MEMALRAQSPLCSRSRTVLVVRPANAAAALAQPIVNCSRFTRSRLVRCVVASSDYPKRNPRRSSTPKPKGTASRGYAPRPAAESSTKKIEQSSTDEGDLGRSNVMLGSAGIEQTGTAGQSSEVDLSGNVPSSKALEGVNETETETEEEIDQKQPPALSSTSMDVESISEAADQTSTVEEASELDFSGNVSSSGALEGLNEAEAEEEIDQKQTSALASTSMDVESIDRELEEYRGKISALVASNPGPSSLDSNQGQGQPIIGVHEQDKSVINFHEQGISIVDVSKQSQSAVGVTEEDPTKQTTIISEQDVAEEAVEEINSKFFEEGQLALSEDDAWTSKYAHEEPSVSGDQLRISEDGYDEPEIQEQVQKDVNLQVLKRRLEELANENYLIGYKCFVFPEIVKADSVIDLYLNRSITALASESNILIKGAFNGWRWNPFTAKMHRSELAGDWWCCKLHIPKQAYRLDFVFFNGDTVYENNNYNDFVIEIESDMDEHSFEDFLVHEKQRELERLAAEEAERERQAEEERRKEEARAVMEADRAQAKAEVETMKNRLQHVLGSASRYMDNLWYIEPNTYKGGDRVRLYYNRNSRPLMHSNEIWLHGGYNNWTDALSIAERLVKSHEKDGDWWYANVTLPESALVLDWVFADGPPGNARNYDNNGKRDFHAVVSKSISEDLFWVEEENRIFRRLQRERKEREDADRRKAEITAKMKAEMKEKTMREFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGSPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLQATVRVPLDAYMMDFVFSESEEGGTYDNRNGMDYHIPVSDSMAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLGHKVEVIIPKYDCLNLSNVKDLHCCQSFTWSGTEIKVWFGKVEDISVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLLQSGSSPDIIHCHDWSSAPVAWLYKQQYVHNGLPNGRVVFTIHNLEFGANQIGKAMAHCDKATTVSYTYSREVAGHGSIAPHYFKFHGIRNGIDSDIWDPYNDNFIPVHYTSENVVEGKSSAKKALQEKLGLHQTDSPVVGIISRLTAQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQSDFTNLARKLHGEFDGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKERAHEQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWSWNRPALDYMELYHSARKN* >Brasy4G213400.1.p pacid=40085725 transcript=Brasy4G213400.1 locus=Brasy4G213400 ID=Brasy4G213400.1.v1.1 annot-version=v1.1 MLRVAGRRISSHLAWRPAAAASAGRSPLAGGLPGDDSRDQKPRFAIDSPFYAAARGFSAETLVPRNQDVGLAELPATTAALKNPSAKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEADVKLANSVDVASLRDPQEDAVRVKNPEWLVVIGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKMLIG* >Brasy4G190600.1.p pacid=40085726 transcript=Brasy4G190600.1 locus=Brasy4G190600 ID=Brasy4G190600.1.v1.1 annot-version=v1.1 MEITATATAGRAEIDTSRPFQSVREAVEVFGGERCLGGSSRASSSKFTMMPPASSSPSHSSTLLFSLKKLESELAEARSELAELKQRQSRMEAAVSSVSAQLANGAFFSGDGRLRKGKELAIVVAGAQEEDGGGSDDGGGGRVRSDLWDESRAEQWMASLEYLPSLSEALAIKMVEDDVRKVKKSNSNNKAKKKQQHKKQRKNAVSLVGGIFSKRCSR* >Brasy4G219900.1.p pacid=40085727 transcript=Brasy4G219900.1 locus=Brasy4G219900 ID=Brasy4G219900.1.v1.1 annot-version=v1.1 MAYLPPHKRHSGSSEAPSPSPPTASLRSLSISSSPRGRLRPCPSNNKIIHAAGCVSRWSPLPPFSPGSDDAESLRLEPFPCDPIERKTGAKPLVLVPSSPLLEGYSGSAEAAAAAIAGRFLPDLLAAAERAMTHDVDREKEVVKLSLVARVGKVFFQPGGSPVSLDALREAAKAGEEGSRSQVRKSFYTNMPSERLDDMERSAVKMMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQDDGKLVIHKVELKQIRQLVEDITCLSKDLDLRLMLSTKRVLKNLDTEVQSAIETLVSSAIVDPDVKGGLRWPLGKESTGERFSIVGVWHTNYRAFRNKTVRFKLRHADRFDHRSSTGEVSNEVTFKLIGISRILQDGDQEADAVKQMLESVVRMIWDSALNYKLAP* >Brasy4G219900.2.p pacid=40085728 transcript=Brasy4G219900.2 locus=Brasy4G219900 ID=Brasy4G219900.2.v1.1 annot-version=v1.1 MAYLPPHKRHSGSSEAPSPSPPTASLRSLSISSSPRGRLRPCPSNNKIIHAAGCVSRWSPLPPFSPGSDDAESLRLEPFPCDPIERKTGAKPLVLVPSSPLLEGYSGSAEAAAAAIAGRFLPDLLAAAERAMTHDVDREKEVVKLSLVARVGKVFFQPGGSPVSLDALREAAKAGEEGSRSQVRKSFYTNMPSERLDDMERSAVKMMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQDDGKLVIHKVELKQIRQLVEDITCLSKDLDLRLMLSTKRTEVQSAIETLVSSAIVDPDVKGGLRWPLGKESTGERFSIVGVWHTNYRAFRNKTVRFKLRHADRFDHRSSTGEVSNEVTFKLIGISRILQDGDQEADAVKQMLESVVRMIWDSALNYKLAP* >Brasy4G344400.1.p pacid=40085729 transcript=Brasy4G344400.1 locus=Brasy4G344400 ID=Brasy4G344400.1.v1.1 annot-version=v1.1 MGLLPPLEEPGAGGIQERGADEAVGAGETGGRVRRGGSSVGSGRGRAGEVGALRRGAMEAVGAVETGGRGRRGGRGGAMEAVGAGGRRTGAEEPLAAGGACSPPPPAEAATMAGEPRKPAKICRVLLWHAVPSPRQGTGSGSGRETKVGI* >Brasy4G158200.1.p pacid=40085730 transcript=Brasy4G158200.1 locus=Brasy4G158200 ID=Brasy4G158200.1.v1.1 annot-version=v1.1 MRSRTPGQPLPIGGGGEPATRCHGGLRRKRGLCCWGEFGGRPARSLSRRTARLSLQISNAGMCSGLQHRLSSIYMQREAPPPTTPGYHFLLPGAGEDAP* >Brasy4G368300.1.p pacid=40085731 transcript=Brasy4G368300.1 locus=Brasy4G368300 ID=Brasy4G368300.1.v1.1 annot-version=v1.1 MGRHRFYLGHSKYWTGTDYLVEYVLSPSPVCTDPEHSAAPLPRSRPCWPRCPWRGGVHGEVVPPPRRSVVVVYTARNWHRSRRRALFAMDPGPPRRRERPVRAETWRLAEEAVVPGVEKAVGPGDRRQLPPPLEDPVADIFFLEDPVAGGLPLEDPVASGLPREDPGAVRSAVAAMNTAPPSPRRGPSCRGRAGPSLHRRQHKSIQIRCLRARTRMPRPPRRSLGDTGGDNLARHRRGPQRAPPLAAAGGRRRAKPLRQTAAAGPLRALFSAGARSIFGRGGVGSVACGASSGGGGAGSGAGGAGSVVGLGPGGGGGVLRGGGCALRRGALLLRWLVGAAALRACGRSPAVSCWGVRPAVGYGGVRPVAAGSGSGGRIWCPLVESLCILPLASEVSPSESSDLVYLDRAMVASSDVASFLEVLPLEPSSGG* >Brasy4G280500.1.p pacid=40085732 transcript=Brasy4G280500.1 locus=Brasy4G280500 ID=Brasy4G280500.1.v1.1 annot-version=v1.1 MALCRAISAALVLAAAAVLLGSLCPVALSEDAERLENLRFVQHASDAPLVSHFNYIIVGGGTSGCPLAATLSEHSRVLLLERGGLPHANMSSQEHFTDALADTSPASPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASNEYVRTAGWDPRLVNSSYRWVERALVFRPGVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDGNGQRHTAADFLRHARPRGLTVVLYATVSRILFRSQEGVPYPVAYGVVFGDPLGVQHRVYLRDGAKNEVILAAGTLGSPQLLMLSGVGPQAHLEAHGIQALVDQPMVGQGVADNPMNSVFIPSPVPVGLSLVQVVGITKSGSFIEGVSGSEFGIPVSDGARRLAASFGLFSPQTGQLGTLPPKQRTPEALQRAADAMRRLDRRAFRGGFILEKILGPVSTGHVELRTTDPRANPAVTFNYFQEAEDLERCVRGIQTIERVIASRAFSNFTYSNASVESIFSDSANFPVNLLPRHANDSRSPEQYCRETVMTIWHYHGGCHVGAVVDDDYRVFGVRGLRVIDSSTFRYSPGTNPQATVMMLGRYMGVKIQAERWRK* >Brasy4G038100.1.p pacid=40085733 transcript=Brasy4G038100.1 locus=Brasy4G038100 ID=Brasy4G038100.1.v1.1 annot-version=v1.1 MRALLFNCFAPRADDPSEQDSRTTTPATTTTKKKKKKSVRRLRSATGRLRSLSLDDLSRTLASSGLHAFTLSEVRAATRGFSGSSVIGEGGFGPVYKGFIDDRILPGSGLEPQQVAVKCLDADGPQGHREWLAEVVYLGMKLRHPHLVKLVGYCCQDHHRILVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLESDYTAKLSDFGLAKEGPQGDDTHVSTRVMGTHGYAAPEYILTGHLTARSDVYSYGVVLLELLTGRQSVDRRRRGREQNLVDWARPYLRRPDKLHRVMDPGLEGSYSDSAAAKAAMVAYTCLHSVPKSRPRMREVVELLEPLLEMRGDVPAGAFVYTAQPSVKKVQAPAVVVVVGADKADDGADRCVLPRGAGGEPPRQSRDRGA* >Brasy4G237300.1.p pacid=40085734 transcript=Brasy4G237300.1 locus=Brasy4G237300 ID=Brasy4G237300.1.v1.1 annot-version=v1.1 MASENTGKRSTEANRAQQKGEGIELTSPGAPARLRRAFLPYWPPWRARRAVPPLRMTAVTDAAEPWTAGSNIHGGLGVGGSGGRQLVAACGSGGGLGARGERGWEEESGGRERAEGGCRRREGESEPRVARERVRERRRKPRAWRGEPTCSAGGGGWGGALDPVSAGLWARWRALGRGSGVGVVEGERARRRWSREHRIRRRRALGRRIRPRRCRWRPALAGSRAPNPDGAPHARPEQSGEQEEEGGGRRAGQEERKEEEQRKKGGGKKEGGCWRRQERERRKG* >Brasy4G419300.1.p pacid=40085735 transcript=Brasy4G419300.1 locus=Brasy4G419300 ID=Brasy4G419300.1.v1.1 annot-version=v1.1 MIDSVVAAVCARAIFNPSPSFLRRPSMASSALPSRTFHNRRSSAPPVPPPPREIPCCVSRRSAAARLLLSAAAGFLTAVSPPPPPALAARRGRRTVPPEDYSSTPDGLKYYDLVEGKGPAAEKGSTVQVHFDCIYRSITVVSSREAKLLAGNRSIAQPYVFTVGSLPGKERKRDFADNANGLYSAQASPKPPPAMYMITEGMKVGGKRRVIVPPELGYGKKGMSEIPPDTSFELDIELLEVIPPKEN* >Brasy4G419300.2.p pacid=40085736 transcript=Brasy4G419300.2 locus=Brasy4G419300 ID=Brasy4G419300.2.v1.1 annot-version=v1.1 MIDSVVAAVCARAIFNPSPSFLRRPSMASSALPSRTFHNRRSSAPPVPPPPREIPCCVSRRSAAARLLLSAAAGFLTAVSPPPPPALAARRGRRTVPPEDYSSTPDGLKYYDLVEGKGPAAEKGSTVQVHFDCIYRSITVVSSREAKLLAGNRSIAQ* >Brasy4G166800.1.p pacid=40085737 transcript=Brasy4G166800.1 locus=Brasy4G166800 ID=Brasy4G166800.1.v1.1 annot-version=v1.1 MLEKIGLPPKPSMRGATWVLDASNCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKSRAAKANTKAASKPEDEILSELLEGDGAHTQFSRRESLGSELPRRTSTASTASSSSSSRKASMDGNGDGSLSTETQNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSKRMATKAPNVNAVVNTHKIDDSDGAETKRALSGKRVRKEKSDLASELKDLGWSDADLHDETRPTAMTVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALVLKREGRLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIQNMDDDDHDDILLDNSRFPAFSFEQILGGSDDLAFDSNFDVTDDDINDPDMAAALKSFGWSEEDDKQMDSHGPLYSVNQEALKDQVLALKREAVAHKKAGNVAEAMSLLKKAKLLEKDLETEQPDSKVPSPGQKNTHTEDVTAMEINARAVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELRKGGILEKQLEELENSSKRPVAKDNMSFSSAPPYKAETPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVNTTDKPLDRAHVVAQKPKKSKGQIQKELLAIKRKALALRREGKNAEAEEELEKAKVLEQQLAEIEELANSAPSQQGASPSQLENKLDVRHVPSVDATKPTLSNQLKESVSLPVHTEVSGSLDTLASSLSKPQAETVISKPSHASKASSDGAFTVFPRPAITAPLETTVGSHSASDVLEHKELPEARGDDTLRDEILLHKRKAVAFKREGKLAEAREELKLAKLIEKRLEGAQQNSGAYDSATSVVQPSNLVQQPSSSSSHTDTLAYAPPVQENMPVQPQKAMSSRDRLKIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSLGANSGGKSAEANDAFVEDLLDPQMMSALKSIGWSAADLSAQSSNAQPTAKTEARPTIAVASKPQNERIQLEEQIKAEKLKALTFKREGKQSEALEALRSAKRLEKKLASLS* >Brasy4G166800.5.p pacid=40085738 transcript=Brasy4G166800.5 locus=Brasy4G166800 ID=Brasy4G166800.5.v1.1 annot-version=v1.1 MLEKIGLPPKPSMRGATWVLDASNCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKSRAAKANTKAASKPEDEILSELLEGDGAHTQFSRRESLGSELPRRTSTASTASSSSSSRKASMDGNGDGSLSTETQNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSKRMATKAPNVNAVVNTHKIDDSDGAETKRALSGKRVRKEKSDLASELKDLGWSDADLHDETRPTAMTVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALVLKREGRLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIQNMDDDDHDDILLDNSRFPAFSFEQILGGSDDLAFDSNFDVTDDDINDPDMAAALKSFGWSEEDDKQMDSHGPLYSVNQEALKDQVLALKREAVAHKKAGNVAEAMSLLKKAKLLEKDLETEQPDSKVPSPGQKNTHTEDVTAMEINARAVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELRKGGILEKQLEELENSSKRPVAKDNMSFSSAPPYKAETPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVNTTDKPLDRAHVVAQKPKKSKGQIQKELLAIKRKALALRREGKNAEAEEELEKAKVLEQQLAEIEELANSAPSQQGASPSQLENKLDVRHVPSVDATKPTLSNQLKESVSLPVHTEVSGSLDTLASSLSKPQAETVISKPSHASKASSDGAFTVFPRPAITAPLETTVGSHSASDVLEHKELPEARGDDTLRDEILLHKRKAVAFKREGKLAEAREELKLAKLIEKRLEGAQQNSGAYDSATSVVQPSNLVQQPSSSSSHTDTLAYAPPVQENMPVQPQKAMSSRDRLKIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSLGANSGGKSAEANDAFVEDLLDPQMMSALKSIGWSAADLSAQSSNAQPTAKTEARPTIAVASKPQNERIQLEEQIKAEKLKALTFKREGKQSEALEALRSAKRLEKKLASLS* >Brasy4G166800.2.p pacid=40085739 transcript=Brasy4G166800.2 locus=Brasy4G166800 ID=Brasy4G166800.2.v1.1 annot-version=v1.1 MLEKIGLPPKPSMRGATWVLDASNCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKSRAAKANTKAASKPEDEILSELLEGDGAHTQFSRRESLGSELPRRTSTASTASSSSSSRKASMDGNGDGSLSTETQNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSKRMATKAPNVNAVVNTHKIDDSDGAETKRALSGKRVRKEKSDLASELKDLGWSDADLHDETRPTAMTVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALVLKREGRLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIQNMDDDDHDDILLDNSRFPAFSFEQILGGSDDLAFDSNFDVTDDDINDPDMAAALKSFGWSEEDDKQMDSHGPLYSVNQEALKDQVLALKREAVAHKKAGNVAEAMSLLKKAKLLEKDLETEQPDSKVPSPGQKNTHTEDVTAMEINARAVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELRKGGILEKQLEELENSSKRPVAKDNMSFSSAPPYKAETPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVNTTDKPLDRAHVVAQKPKKSKGQIQKELLAIKRKALALRREGKNAEAEEELEKAKVLEQQLAEIEELANSAPSQQGASPSQLENKLDVRHVPSVDATKPTLSNQLKESVSLPVHTEVSGSLDTLASSLSKPQAETVISKPSHASKASSDGAFTVFPRPAITAPLETTVGSHSASDVLEHKELPEARGDDTLRDEILLHKRKAVAFKREGKLAEAREELKLAKLIEKRLEGAQQNSGAYDSATSVVQPSNLVQQPSSSSSHTDTLAYAPPVQENMPVQPQKAMSSRDRLKIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSLGANSGGKSAEANDAFVEDLLDPQMMSALKSIGWSAADLSAQSSNAQPTAKTEARPTIAVASKPQNERIQLEEQIKAEKLKALTFKREGKQSEALEALRSAKRLEKKLASLS* >Brasy4G166800.3.p pacid=40085740 transcript=Brasy4G166800.3 locus=Brasy4G166800 ID=Brasy4G166800.3.v1.1 annot-version=v1.1 MLEKIGLPPKPSMRGATWVLDASNCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKSRAAKANTKAASKPEDEILSELLEGDGAHTQFSRRESLGSELPRRTSTASTASSSSSSRKASMDGNGDGSLSTETQNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSKRMATKAPNVNAVVNTHKIDDSDGAETKRALSGKRVRKEKSDLASELKDLGWSDADLHDETRPTAMTVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALVLKREGRLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIQNMDDDDHDDILLDNSRFPAFSFEQILGGSDDLAFDSNFDVTDDDINDPDMAAALKSFGWSEEDDKQMDSHGPLYSVNQEALKDQVLALKREAVAHKKAGNVAEAMSLLKKAKLLEKDLETEQPDSKVPSPGQKNTHTEDVTAMEINARAVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELRKGGILEKQLEELENSSKRPVAKDNMSFSSAPPYKAETPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVNTTDKPLDRAHVVAQKPKKSKGQIQKELLAIKRKALALRREGKNAEAEEELEKAKVLEQQLAEIEELANSAPSQQGASPSQLENKLDVRHVPSVDATKPTLSNQLKESVSLPVHTEVSGSLDTLASSLSKPQAETVISKPSHASKASSDGAFTVFPRPAITAPLETTVGSHSASDVLEHKELPEARGDDTLRDEILLHKRKAVAFKREGKLAEAREELKLAKLIEKRLEGAQQNSGAYDSATSVVQPSNLVQQPSSSSSHTDTLAYAPPVQENMPVQPQKAMSSRDRLKIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSLGANSGGKSAEANDAFVEDLLDPQMMSALKSIGWSAADLSAQSSNAQPTAKTEARPTIAVASKPQNERIQLEEQIKAEKLKALTFKREGKQSEALEALRSAKRLEKKLASLS* >Brasy4G166800.4.p pacid=40085741 transcript=Brasy4G166800.4 locus=Brasy4G166800 ID=Brasy4G166800.4.v1.1 annot-version=v1.1 MLEKIGLPPKPSMRGATWVLDASNCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKSRAAKANTKAASKPEDEILSELLEGDGAHTQFSRRESLGSELPRRTSTASTASSSSSSRKASMDGNGDGSLSTETQNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSKRMATKAPNVNAVVNTHKIDDSDGAETKRALSGKRVRKEKSDLASELKDLGWSDADLHDETRPTAMTVEGELSQLLREVAPKSSEGKKTGGIDKSQVNALKRQALVLKREGRLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIQNMDDDDHDDILLDNSRFPAFSFEQILGGSDDLAFDSNFDVTDDDINDPDMAAALKSFGWSEEDDKQMDSHGPLYSVNQEALKDQVLALKREAVAHKKAGNVAEAMSLLKKAKLLEKDLETEQPDSKVPSPGQKNTHTEDVTAMEINARAVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELRKGGILEKQLEELENSSKRPVAKDNMSFSSAPPYKAETPSLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVNTTDKPLDRAHVVAQKPKKSKGQIQKELLAIKRKALALRREGKNAEAEEELEKAKVLEQQLAEIEELANSAPSQQGASPSQLENKLDVRHVPSVDATKPTLSNQLKESVSLPVHTEVSGSLDTLASSLSKPQAETVISKPSHASKASSDGAFTVFPRPAITAPLETTVGSHSASDVLEHKELPEARGDDTLRDEILLHKRKAVAFKREGKLAEAREELKLAKLIEKRLEGAQQNSGAYDSATSVVQPSNLVQQPSSSSSHTDTLAYAPPVQENMPVQPQKAMSSRDRLKIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSLGANSGGKSAEANDAFVEDLLDPQMMSALKSIGWSAADLSAQSSNAQPTAKTEARPTIAVASKPQNERIQLEEQIKAEKLKALTFKREGKQSEALEALRSAKRLEKKLASLS* >Brasy4G229500.1.p pacid=40085742 transcript=Brasy4G229500.1 locus=Brasy4G229500 ID=Brasy4G229500.1.v1.1 annot-version=v1.1 MEADGAAPEAGVPAAKPLTPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSEIARMNPRDCWYWLNGNCLNPKCSFRHPPIDGMFGAPTPGIPAVSSHYAAYNLGKQMVPCYYFQKGNCVKGDKCPFNHGPQLTGNNPPEQVAKVSSFPLEQPQTQKNDLSGIKESARTNNLIQRGGPIIDDRSKMAVNRPTANSAKTPADAIPAELASSALKSLPKSEKLQSSMPAAKRSFRTSSGEDHPECYHNNLMESDPAQDWNEDYRPPADDDLPQNSREADELLGESSPGFDVLVDNDGDAGAYLHDEEDFGRDMYPVEDYEYAPADFEIPPHHERELFNRMGEQGPVGQIYDGYERKRRRTSSERNMDRPSHSERSRHRDIGHVEIDGSDLRHRLRRRKINGSSGTSPERSGEHRRRDERYRERAYDRHHTHRDRLRSPRGSTLSSRLQGRIKLPGRSPDRVVNRSEKEQDRRPLRDRLSPVKHMDIQGVRHREAGHHQERTLRRSSELPSSARNADGQHLRRNVTDSLNFGRTANGRVESEASLDFEGPKPLSIILQKKRQATCGNGSSAHNGKQDNSAKVSHRQPESIVETEKEGYDNIVSSEEYKSRSGDEYKEESRIPAEGHSQSSSHADKPETEDIIEVDPVVNQEAENYEQGEGESDYDAAEGQEYKSEDENAYQDDEDEFEDDDDFARQVGVVFS* >Brasy4G299400.1.p pacid=40085743 transcript=Brasy4G299400.1 locus=Brasy4G299400 ID=Brasy4G299400.1.v1.1 annot-version=v1.1 MDAATTVTHDTSTTPSTLGYRKPQHMLQFFSLCLSSSEPSYPVSVYGILAVRDDLDKRRNYVFNCPRNAAVGIGKKDSFILPLCSPCRGMYALERAFLEVDLWVKKEGDGSDDKHILSTYAEIEVRAQVDDMLVGRIPGDDCNLDLGFKILTQSVEAVIQVYAKVDHPHHVRFTALTTAYDDHEIVLFDDKLFGTAEKLLQHIVAVKKNANLDVLLRVDESQFQWTFQDKRVGAIASPDDSILEYGQFFVRVFFAPKNSE* >Brasy4G175000.1.p pacid=40085744 transcript=Brasy4G175000.1 locus=Brasy4G175000 ID=Brasy4G175000.1.v1.1 annot-version=v1.1 MEGSFTNQLAGAAARVGASSANAAPLSAMPRGELADALIGAGVGGEDGDPHAALQRLAALGDRMAAVRHRLAASISGESQPLSPSDIQSVSSEISSAAHFVVLNAANLLSSSLPFLAPPPPPAAPAPVQELPAAPSSAEELPREATKGDAVYDVVELDADELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQAKPAAGGDVRFSCPFAGCNRNRAHRRFRPLKSAVCTRNHFRRSHCPKLYACERCGGKKRFAVLTDLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGQGHMPAISAPNKDVVTASTEASLDMMEEGRLEENCGRENGDEGGFDPEFFKEWVDELRDGAGPVGGTNWPGPAARQ* >Brasy4G138700.1.p pacid=40085745 transcript=Brasy4G138700.1 locus=Brasy4G138700 ID=Brasy4G138700.1.v1.1 annot-version=v1.1 MGVGEYLSSSTFSVGGHDWNIRFYPDGTTQSSADFTSVFLYHLGPAEDVRAKFTLNMLEKEGKEVTKFRELKHTFSLESDNLGFPDFIDKSKLKPSPDIDNGCLTIRCVLNLIVIKDPPKEVKKTLLEAPDSNLPDHLWKMWKDGQGADVTFSVGGQMFNAHRCLLAARSPVFKAELFGPMKEKETRCIQIDDIEPAIFEALLHFVYTDSMPDDERSKQGKTSKSQHLLVVADRYGLDRLRVLCESKLCENMEVETVATTLVLAEQHHCKDLQEACLEFMAPLNVLRAVMATDGFKHLVESCPLLMKEILDKVSRSE* >Brasy4G048100.1.p pacid=40085746 transcript=Brasy4G048100.1 locus=Brasy4G048100 ID=Brasy4G048100.1.v1.1 annot-version=v1.1 MASTTTATTLHPQFRPPPNTRAPRRLRPYSLPCTSTSARPRFSVHAAAASTSAPAQREAGSSVPWGCEIESLESAASLERWLTASGLPEQRMALQRVDVGERGLVALTNVRNGEKLLFVPPSLVISADSEWSNREVGDVMKSYSVPDWPLLATYLISEASLEGSSRWSSYIDALPRQPYSLLYWTRTEIDAYLVASPIRERAISRIGDVIGTYNDLRDRIFSKHPDLFPEEVYNMENFRWSFGILFSRLVRLPSMDGKVALVPWADMLNHNPEVDAFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVEFLVSLNKSDECYREKLQALKRHGLSESESFPLRVTGWPVELMAYAFLVVSPPDMVQRFEEMAVAASNKSPSKPAVNYPELDEQALQFILDCCESNITKYTKYLEGAKGSPQVSINSKQANRTLLLKQLARDLCISERRILYRAQYILRRRLRDMRAGGELKALSLFSGLRKFFK* >Brasy4G371400.1.p pacid=40085747 transcript=Brasy4G371400.1 locus=Brasy4G371400 ID=Brasy4G371400.1.v1.1 annot-version=v1.1 MGNIRPCSAAGLGPWRSSSRRRPLSQRLIASSSMTWHLHLPCSLDSPQRSAYCIAWMPSTPSCCRSTTLLVPGLLKLTGVLAVIPDKLYKTQTTHSWEFLGLESRGKRNPEWKQITKYGQGVIVANVDSASKTMHGLDPLPTPPLRWGLSDRCDVGTDPDFRCNKVESGGSATQNQSDMNSPRDYNGHGSHTLSTAGGGFVPNAGVFGGHGNGTAKGGSPRAHSRRSRDGVDVLSLSIGAPPSDDATELIAIGALYAIRNGVVVVAAAGNDGPEPGSVTNVAPWMLTVGVSTMDRDFPAQATFGAGANKTTIKGRSLSNSTLPAGTEYPMISGEAASATESTGNSTRCLRGSLDKAKVSGKIVVCARGGSDRIEKGLVVKEAGGIDMVLCNDESTGDDTIADPHFIPAAHCSFSQCRGLFTYLSLQSQLGVKPAPAMAAFSSRGPNTITPQVLKPDITAPGVQVIAAYSEEASPSVLPSDDRRVPYNMLSGTSMACPHVAGVAGLLKAKYPKWSPAMIKSAIMTTATTVEKSGSREETGGAAPTPLGYGAGHVNPLKALDPGLVYDTRPYEYASFLCSATKPSSLIDVLGLGALLPIPTPLFSRLISVLLAVGPLQFQCSGSFRPEDLNYPSITAVCLSPGWHSGLPLKKKKKKKPGTTVTVKRRVKNVLDVTTAAPSTYAVAVVQPPGIKVTVEPSTLSFARIYEEKEFSVKLEVYNPAAATDYVFGSIEWSDSGTGGTHRVRSPVVATTKCG* >Brasy4G371400.2.p pacid=40085748 transcript=Brasy4G371400.2 locus=Brasy4G371400 ID=Brasy4G371400.2.v1.1 annot-version=v1.1 MPSTPSCCRSTTLLVPGLLKLTGVLAVIPDKLYKTQTTHSWEFLGLESRGKRNPEWKQITKYGQGVIVANVDSASKTMHGLDPLPTPPLRWGLSDRCDVGTDPDFRCNKVESGGSATQNQSDMNSPRDYNGHGSHTLSTAGGGFVPNAGVFGGHGNGTAKGGSPRAHSRRSRDGVDVLSLSIGAPPSDDATELIAIGALYAIRNGVVVVAAAGNDGPEPGSVTNVAPWMLTVGVSTMDRDFPAQATFGAGANKTTIKGRSLSNSTLPAGTEYPMISGEAASATESTGNSTRCLRGSLDKAKVSGKIVVCARGGSDRIEKGLVVKEAGGIDMVLCNDESTGDDTIADPHFIPAAHCSFSQCRGLFTYLSLQSQLGVKPAPAMAAFSSRGPNTITPQVLKPDITAPGVQVIAAYSEEASPSVLPSDDRRVPYNMLSGTSMACPHVAGVAGLLKAKYPKWSPAMIKSAIMTTATTVEKSGSREETGGAAPTPLGYGAGHVNPLKALDPGLVYDTRPYEYASFLCSATKPSSLIDVLGLGALLPIPTPLFSRLISVLLAVGPLQFQCSGSFRPEDLNYPSITAVCLSPGWHSGLPLKKKKKKKPGTTVTVKRRVKNVLDVTTAAPSTYAVAVVQPPGIKVTVEPSTLSFARIYEEKEFSVKLEVYNPAAATDYVFGSIEWSDSGTGGTHRVRSPVVATTKCG* >Brasy4G371400.3.p pacid=40085749 transcript=Brasy4G371400.3 locus=Brasy4G371400 ID=Brasy4G371400.3.v1.1 annot-version=v1.1 MNSPRDYNGHGSHTLSTAGGGFVPNAGVFGGHGNGTAKGGSPRAHSRRSRDGVDVLSLSIGAPPSDDATELIAIGALYAIRNGVVVVAAAGNDGPEPGSVTNVAPWMLTVGVSTMDRDFPAQATFGAGANKTTIKGRSLSNSTLPAGTEYPMISGEAASATESTGNSTRCLRGSLDKAKVSGKIVVCARGGSDRIEKGLVVKEAGGIDMVLCNDESTGDDTIADPHFIPAAHCSFSQCRGLFTYLSLQSQLGVKPAPAMAAFSSRGPNTITPQVLKPDITAPGVQVIAAYSEEASPSVLPSDDRRVPYNMLSGTSMACPHVAGVAGLLKAKYPKWSPAMIKSAIMTTATTVEKSGSREETGGAAPTPLGYGAGHVNPLKALDPGLVYDTRPYEYASFLCSATKPSSLIDVLGLGALLPIPTPLFSRLISVLLAVGPLQFQCSGSFRPEDLNYPSITAVCLSPGWHSGLPLKKKKKKKPGTTVTVKRRVKNVLDVTTAAPSTYAVAVVQPPGIKVTVEPSTLSFARIYEEKEFSVKLEVYNPAAATDYVFGSIEWSDSGTGGTHRVRSPVVATTKCG* >Brasy4G406700.1.p pacid=40085750 transcript=Brasy4G406700.1 locus=Brasy4G406700 ID=Brasy4G406700.1.v1.1 annot-version=v1.1 MSPLIASAALLLLMIVVATAAAMADVTAATVAVSEGYTALFGFDNILRSADDRTVSLLLDRTTGSGLVSSSMYHHGFFSASIKLPSDYTAGVVVAFYTSNGEVLEKTHDELDLEFLGNIRGKPWRVQTNVYGNGSVSRGREERYVLPFDPTTEFHRYSILWTRDTIIFYVDDAPVRYIPRSRYTVDGFPSKPMSLYATIWDGSSWATSGGRYRVDYLHGPFVASFTDLALVGCRDGSCAEAEVMTLAKQQAMRQFREHNMVYSYCYDTRRYPVPFPECDLVESERRRFKQSGHLRLAFRRRRRGRRPVM* >Brasy4G157800.1.p pacid=40085751 transcript=Brasy4G157800.1 locus=Brasy4G157800 ID=Brasy4G157800.1.v1.1 annot-version=v1.1 MPQPSLSLWRSLGAARALQVLAPCTLSLSSFTHQNLNSINLTVEEEASATQIKNSLLKARNGSVQDLVQSLGVGCPGIQLTSNVVDGLLSKFGDDWKSALGLFQWAQSSGNYKHTAYACSRMIDLLGKMRQIDQMWDLLSEMHCRGLVTVETAATSIRRLAGARRWKDAVLLFDKLEDMGLERNTETMNVLLDALCKEKKVEIARKVFLVLSPHIQPDAYTFNIFVHGWCSARRIDEAMWTIEEMKAQGFPPSVITYTTVLEAYCKQQNFRMVYEILDSMCSEGCHPNIITYTMIMTSLAKCHMFEEALSVSHRMKSSGCKPDTLFYNSLINLLGKAGHLSEASQVFQGEMPMNGVPRSLATYNTMISVFCYKDRAEDALSVLKEMETQSCKPDLQTYRPLLRLFLSRRGQDDTIRNLLNELINEHSLGLDLDTYTLLIHGLCRVGETDWAYRLFDEMVGREIVPRYRTCELLLCEAQRKNMEDWAERIQNYMTRFSVLSSMFECGVNEKEYKSGDNIFSNASCTTNCLALAKDLMLCMLCGTNMRLGADRAGMRLSPFASYLESSNSNPEALGLYMAHALKKLGILYCHAVAPSLWPVAMAGTTGTKQSPLAILIWLPLGACSLPNPDLLRMFGIGAPLNKYSV* >Brasy4G133100.1.p pacid=40085752 transcript=Brasy4G133100.1 locus=Brasy4G133100 ID=Brasy4G133100.1.v1.1 annot-version=v1.1 MENTTTSHSSCISQRAAEEAAASMSAGESSWAMHFAGFLASSSAPHSNREQVDRQGGVLSDSSFSSGFSSSSFDSLGDDEHDSFITSDLMCEDDDDDSLQDTACSSAAGPKVITSMNDMYMKSMAKYFLDASSRQQATGAVQGVTGDADCNTKQPYDCNDLRKKGLCLVPLSMLIHYLG* >Brasy4G138600.1.p pacid=40085753 transcript=Brasy4G138600.1 locus=Brasy4G138600 ID=Brasy4G138600.1.v1.1 annot-version=v1.1 MGNSSTSAVNHGQSSLPKTSSRCVPESFTGAHDFELTNYPLLDDGVGIGNFVSSSTFSVGGYDWAIRFYPNGWKEGSCAGNVSAYIHCVSQAEGVSVRTKFTLNMLEKEGKTKVTNYGRTGEHTFSLPINMCGYSNFAEKSKLKSLSLINNGNFIIRCVLTVIKEPRTERSDLIVLVPQTNLADHLGRMWKDEQGADVTFSVGGQLFSAHRFLLAARSPVFKAELFGSMKEKSAQLIKIDDIEPPIFEALLHFVYTDSMPDDEHCKDGRTEKLQHLLVAADRYGLDRLRVLCESELSKSIDAKTVATTLVLAEQHHCKVLKEACLEFMVSHNVLRAVMATDGFKHLLASCPLVTMEILDKMCRSE* >Brasy4G249600.1.p pacid=40085754 transcript=Brasy4G249600.1 locus=Brasy4G249600 ID=Brasy4G249600.1.v1.1 annot-version=v1.1 MRAPERQMGGGHDRGGEGGGLGGGDDNNRWSTAGVGSWAKVTGEAYWDPRASSKKRQYNNMLVALEGENRRLLQTVAELEVVIKKMKKEKKLNERSHLEEIRGRDRKELCILMLVGACAIGYALTALITRGFI* >Brasy4G166400.1.p pacid=40085755 transcript=Brasy4G166400.1 locus=Brasy4G166400 ID=Brasy4G166400.1.v1.1 annot-version=v1.1 RTLKKTDREVHSQAWRRPRVSLCALSCLPLFSSSPRLSQLKPRRRQPKPACSHRTAAMEAVVATEAADAGTSAATMTAATAAAPTRCRIRSATERAPAEYAFMHGILLYVLCMHAVSTSTTSSP* >Brasy4G340700.1.p pacid=40085756 transcript=Brasy4G340700.1 locus=Brasy4G340700 ID=Brasy4G340700.1.v1.1 annot-version=v1.1 MAANTGAGGSDDTRSEGLAPEPENGAALEVGTAIVMGIGESDYWEGGTWCFTTARAPPCWRSTPLVLVDTHLLPASRSGAVSRAVTAAVSAALEAHPGKFPFVSLTCNFMGRADRGVLARWFQLLATKGVSELVLVNRPWPLPGVCLPVALFRRASLIRLYLGAWVFPDTATLPRGAAFPNLRELVLGCVIMEDKDLDFVLAASPVLEILAVVGSQTQLHARLVSQSLRCAQFCLSTLEEVAVVDAPRLERLFIWCSSNHRSKMGIRVKINRAPQLRMLGYMRPGAHVLEIGNTTIKGAALWPLVPFLLLWVAGTQASPNNTVPSALMLALALHFGICNEVKMMPSFLRCFPNVEALCIQSEETQETTGNIHLKFGQENGLIECVWSHLKNIVFRQYHGHHNEFAFLMFIAENAEVLERMVVELKFGMYAAPKNIAVKMKALECAKWASGGNKLRLSFSSFPSAWSLAKGSDFSFGDPFMCVSDIPTYIKMRDSSGKFQPLGFV* >Brasy4G139000.1.p pacid=40085757 transcript=Brasy4G139000.1 locus=Brasy4G139000 ID=Brasy4G139000.1.v1.1 annot-version=v1.1 MANSSTSVIELSKTLSRCLTESVTGTHDFELTSCGLLDGMGVDKFVSSSNFSVAGYTWVINFFPDGKRDSYGCASVFLDRLVQEDDKHGVRTRFTLNMLEKDGESQVTNHGVIQHVFSQAHFCQGHLRFIEKSKLKSSSEDSSGYLIIRCVLTVITESHTEVKRNTVVVPQPNLQDQLRLMWKDGQGADVLFCVGGQFFNAHRCLLAARSLVFKAEFFGPMKDKETQCIKIDDVEPAIFEALLHFVYTDSMLDDEQYKQGKIAKLQHLLVAADRYGFDRLTAMCAGKLCDGIDVETVATTLVLAVQHHSKDLQEACVEFMAPPNVLRAVMATDGFRHLVASCPSVMEEILEKVSRSE* >Brasy4G278800.1.p pacid=40085758 transcript=Brasy4G278800.1 locus=Brasy4G278800 ID=Brasy4G278800.1.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGKVASSQKSQEVSTSYQYDGHEKNPYSKSDQRFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.6.p pacid=40085759 transcript=Brasy4G278800.6 locus=Brasy4G278800 ID=Brasy4G278800.6.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGKVASSQKSQEVSTSYQYDGHEKNPYSKSDQRFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.7.p pacid=40085760 transcript=Brasy4G278800.7 locus=Brasy4G278800 ID=Brasy4G278800.7.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGKVASSQKSQEVSTSYQYDGHEKNPYSKSDQRFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.9.p pacid=40085761 transcript=Brasy4G278800.9 locus=Brasy4G278800 ID=Brasy4G278800.9.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGKVASSQKSQEVSTSYQYDGHEKNPYSKSDQRFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.2.p pacid=40085762 transcript=Brasy4G278800.2 locus=Brasy4G278800 ID=Brasy4G278800.2.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGKVASSQKSQEVSTSYQYDGHEKNPYSKSDQRFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.10.p pacid=40085763 transcript=Brasy4G278800.10 locus=Brasy4G278800 ID=Brasy4G278800.10.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.3.p pacid=40085764 transcript=Brasy4G278800.3 locus=Brasy4G278800 ID=Brasy4G278800.3.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.4.p pacid=40085765 transcript=Brasy4G278800.4 locus=Brasy4G278800 ID=Brasy4G278800.4.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.8.p pacid=40085766 transcript=Brasy4G278800.8 locus=Brasy4G278800 ID=Brasy4G278800.8.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G278800.5.p pacid=40085767 transcript=Brasy4G278800.5 locus=Brasy4G278800 ID=Brasy4G278800.5.v1.1 annot-version=v1.1 MLQPREADVPALFVVFIVLPVIAYFLLGRWHDAVSKKARVGVLAQKAAEEDFKVETMACPDVVLPGPSLRPMPYLRSVPSFRPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQLWHVNGGSHSGVLPNTEGSEQMPFLSNLNSPLPGGDSHLHDLNFDTVSEPSFATTDSYNLGTDAFPTEKSNQNLHSSENGAAVASSEKCNHSVDDETRSSEIASANKVSNNSFGCSDGKNGNPDLTYPHNGTVQHPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSSEGQYASATESLQRSNSSGKATGKGNMVHKKPPYPSGFTKTSVSTSNNLQGSNGTSKLGISKVDVLRKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCSKNGCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGHLKSKVKCLRCLHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPEMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSPNQVMSEGAYMLFYLRSFPRAPRIYIEKGLLPVTSSAKRHSSKSSKGSKEEQKLAESLFASHDKICGVYDFRPDEEGYRQDQHAELRPRNFYHTDDAFAESVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYSDNAGLDPITSIFGPYYTPDHPPGNFASCTRFSPSNPETRYFSESTGFVSDSSSLPTHPYGNVHRGRYPDRACASSAEPLASANQRSVYGRYGHSRDGFVQTSGFCHM* >Brasy4G154900.1.p pacid=40085768 transcript=Brasy4G154900.1 locus=Brasy4G154900 ID=Brasy4G154900.1.v1.1 annot-version=v1.1 MASPATVVVPRMKLGSQGLEVSALGLGCMGMSANYGPPKPEPDMISLIHHAVAAGVTHLDTSDFYGPHTNEILVGKALQAAGVREKVQLATKFGILTGADGAPEIHGDPAYVRAACEGSLERLGVDCIDLYYQHRVDTKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIVPTCRELGIGIVAYSPLSRVFLSGGPKVVDTLSEQDFRKDFPRFQAENLEKNTMLFERVSVVAARKGCTTAQLALAWVCHQGSDVCPIPGTTKVENFNQNVAALSMELTAEEMAELESDASANVAGDRYHDTSYTWQNSETPHLSSWKAE* >Brasy4G203700.1.p pacid=40085769 transcript=Brasy4G203700.1 locus=Brasy4G203700 ID=Brasy4G203700.1.v1.1 annot-version=v1.1 MGRLRCCDKEHTNKGAWTKEEDQQLMATSEPTGKRAGARFPKRRACFGAATGFVGDDGGRAPAPVYGGGVHISQELPWFCFSL* >Brasy4G013400.1.p pacid=40085770 transcript=Brasy4G013400.1 locus=Brasy4G013400 ID=Brasy4G013400.1.v1.1 annot-version=v1.1 MMKSGEEVNLDRSLEEEKAAGEPGDEGKIQRSHSINLNSLPPMAAGTTEVGVLQGAVESGGNDASKVNGDEFSGVGQKLVPKNEKVDEGEVQGCADVRNNLLEPLNSEDHIIEEKDASVNAPHSEGCADGGGDHKRVQVLSVVKKDESEEEIGDSINPVTVAGYREEKGAVGSTSGITAVRPAASRSSCFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQGSYDTELKAARAYDVAALKYWGLNTKLNFSVSEYEKELAETKDMSPEECVTYLRRRSSCFSRGASVYRGVTRRQKDGRWQARIGLIAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRNNYIDKGMHCIEGAGLKLLATKPE* >Brasy4G096600.1.p pacid=40085771 transcript=Brasy4G096600.1 locus=Brasy4G096600 ID=Brasy4G096600.1.v1.1 annot-version=v1.1 MRGRQPCSERRTGGRSCGERWKRSKSKQFLRACVVGTEMACAPMILQIDAACEDQLLRIDVRGRDNILAPM* >Brasy4G235100.1.p pacid=40085772 transcript=Brasy4G235100.1 locus=Brasy4G235100 ID=Brasy4G235100.1.v1.1 annot-version=v1.1 MLTNWGRGSRRWRRRSRRRGRRGGGRGGGRRRRRGGGPGRREEAAGARGGGEGRGAGGEERGWRGRGGEAEAAGDGGGGGRGRGGGGEEAPAGRRRGRAGSGLGGGGSGRGRGRGGGDGGGGGPAGARESVKAGFKEKKILYLC* >Brasy4G036600.1.p pacid=40085773 transcript=Brasy4G036600.1 locus=Brasy4G036600 ID=Brasy4G036600.1.v1.1 annot-version=v1.1 MKSCQATLLLLAVLVLLATSPAAMATKCPPENVCNQFINGGSACDAAKCMADCVSAYKGLAEGQCFPQGCRCSYCCKPHQGTTA* >Brasy4G337900.1.p pacid=40085774 transcript=Brasy4G337900.1 locus=Brasy4G337900 ID=Brasy4G337900.1.v1.1 annot-version=v1.1 MAGKAVVPKFGSWDAENIGYTVFFEKVRENKTAPVPAAAAPKAAAGGVNDDYYEFDPYEHYETLSSRTAPSRPASSHGHGHAAPPPQQHRPHPHYYPAAQPQHGGSYHRRTGSNGSSVAASESASSRGGSKFSPPRPYQPRYGNNHQAQAQYQQSAGPGPRHQYPAQHAAQRVPAAGPSPPRYAPPAPANERRHYGQGAQQGRASNNKAPSAVPKFGVWDDEKNAAAGAQGYTVQFEKVKRHRAEVATKAAAAGAPDAVPRRFSPERPVAPHRQQHHPRRKAKTSFLSKVYGCLFPVVRH* >Brasy4G012300.1.p pacid=40085775 transcript=Brasy4G012300.1 locus=Brasy4G012300 ID=Brasy4G012300.1.v1.1 annot-version=v1.1 MRLHIRPAPGSWVPDLRSCGKASCSTNHVASTKYEQNLHGIVKTRLIEASGSSSFVHTSLVLKQRHSFAVRSTSVDVCTTFDGRVEGASSRAVEERVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEAYASIGGGSPLRKITDEQANAFKLALQKKNLQADIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAALPISIIESWYQRDGYVKSMAELIQKELSIFANPEEVMIFFSAHGVPLTYVKDAGDPYRDQMEDCITLIMEELRSRGILNSHTLAYQPHLLLLQLHSNLNMKIGQSFTSFTQEEYTM* >Brasy4G132100.1.p pacid=40085776 transcript=Brasy4G132100.1 locus=Brasy4G132100 ID=Brasy4G132100.1.v1.1 annot-version=v1.1 MSMAVASLRFLARRQHRLRLAAPLAVPGTRAAFLSDAAEDAPLVAPPPPGRKVLESFREEFEIGGRLIAFETGKIARFANGSVVISMDDTHVLSTVAASKSSDPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPRGFYHEVQITTNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGSFVLNPTVDELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAVKYIDPQIRLAKRAGKEKREYKLSMISDGSYEKIRTLSEAPIEEVFTDKTYGKFERGEALEKITQSVKLKLEEECDEESLKFLSKAVDTVRKQVIRKRIIKEGLRLDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPSKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDPMTGDISTYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIVCESLEPARKARNQILDRMDQEISSARAINDGSAPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQAVMDKALEKVEFLVGREIEVGKTYKGIVSSIKEYGAFVDFNGGQQGLLHISELSHEPVLKVSDIVTVGQVLSLTCIGQDVRGNIKLSLKATLPHPPKKKSASEDTTPSPSQNVIGWAAVENMPSKDPGVEPSNSKDEDVTIEDTPSFSTPSVIIRSAVDCDAQDDANGPAKKRSKVASSKVAKSSPRVYKQAKEQQEVKTVAAKKASSTSSVKKNKKVKADDSGSNGLDTIPELDISNTPKSSGLKNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFVESSRTNFEMGEELLVKCTSFNAKGVPVFSLLD* >Brasy4G132100.2.p pacid=40085777 transcript=Brasy4G132100.2 locus=Brasy4G132100 ID=Brasy4G132100.2.v1.1 annot-version=v1.1 MRREGAPKERELLCGRIIDRPIRPLFPRGFYHEVQITTNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGSFVLNPTVDELGLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAVKYIDPQIRLAKRAGKEKREYKLSMISDGSYEKIRTLSEAPIEEVFTDKTYGKFERGEALEKITQSVKLKLEEECDEESLKFLSKAVDTVRKQVIRKRIIKEGLRLDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPSKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDPMTGDISTYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIVCESLEPARKARNQILDRMDQEISSARAINDGSAPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQAVMDKALEKVEFLVGREIEVGKTYKGIVSSIKEYGAFVDFNGGQQGLLHISELSHEPVLKVSDIVTVGQVLSLTCIGQDVRGNIKLSLKATLPHPPKKKSASEDTTPSPSQNVIGWAAVENMPSKDPGVEPSNSKDEDVTIEDTPSFSTPSVIIRSAVDCDAQDDANGPAKKRSKVASSKVAKSSPRVYKQAKEQQEVKTVAAKKASSTSSVKKNKKVKADDSGSNGLDTIPELDISNTPKSSGLKNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFVESSRTNFEMGEELLVKCTSFNAKGVPVFSLLD* >Brasy4G132100.3.p pacid=40085778 transcript=Brasy4G132100.3 locus=Brasy4G132100 ID=Brasy4G132100.3.v1.1 annot-version=v1.1 MEFLRSGATSCPYHHLVIRKRIIKEGLRLDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPSKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDPMTGDISTYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIVCESLEPARKARNQILDRMDQEISSARAINDGSAPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQAVMDKALEKVEFLVGREIEVGKTYKGIVSSIKEYGAFVDFNGGQQGLLHISELSHEPVLKVSDIVTVGQVLSLTCIGQDVRGNIKLSLKATLPHPPKKKSASEDTTPSPSQNVIGWAAVENMPSKDPGVEPSNSKDEDVTIEDTPSFSTPSVIIRSAVDCDAQDDANGPAKKRSKVASSKVAKSSPRVYKQAKEQQEVKTVAAKKASSTSSVKKNKKVKADDSGSNGLDTIPELDISNTPKSSGLKNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFVESSRTNFEMGEELLVKCTSFNAKGVPVFSLLD* >Brasy4G171700.1.p pacid=40085779 transcript=Brasy4G171700.1 locus=Brasy4G171700 ID=Brasy4G171700.1.v1.1 annot-version=v1.1 MGAAPGDAKPHAVCLPYPAQGHITPMMKLAKVLHCKGFHITFVNTEYNHRRLVRSRGPSAVAGLAGFRFAAIPDGLPHSDVDDATQDIVSLSYSTMTNCLPHFRDLLADLNGTPDGVPPVTCVVSDHVMSFGLDAAAELGVPCTLFWTASASGYMGYRNFRTLIDEGLAPLKDEEQLTNGYLDTPVDWPCGMSKHMRLRDFPSFIRTTDGGDIMLNFLVHEVERSESGTAIIINTFNELEQPALDAMRAILPPIYTIGPLNFLFEQLVPADGPLTAIRSSLWREDHSCLEWLHGREPRSVVYINYGSITTMSSQELVEFAWGLANCGHEFLWIVRNDLVKGDAAVLPPEFLELTKGRCLLASWCEQEAVLRHEAVGLFLTHCGWNSTMEGLSAGVPMLCWPFFAEQQTNTRYSCMEWGVGMEVGDDVRQEVVEARIREAMGGEVGKEMKRRAAEWKETAVRATTQPGGRSLANFEDLLKDVLIPR* >Brasy4G343500.1.p pacid=40085780 transcript=Brasy4G343500.1 locus=Brasy4G343500 ID=Brasy4G343500.1.v1.1 annot-version=v1.1 MTVEGRVSVVDNGRDRFPVGMRVLAVDDDPTCLKVLENLLLRCDYHVTTTGQAATALRMLRENKDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLKTIWQHVVRRNTKTRGNDNDDAGQKVQNGDGENGGANRNKRQSRRDENGDDGDDSDENSNENADASSQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRMSMDASRQANLVAALGGRNPAYGNMNSLDVFRHYNNAYGRYPPVPTTTHSQSNNLVARMNTPSAFGMHGLLSSQPLQHGHAQTNLGTSLNDLGVNNGNMIRAAQMPTMVTGTSGNSFTNMSNGAPLAPANRSVQPLESSNRQHLGQIHSSSTDSFSSFVGESHHFPDLGRTSNTWQTAVPSNIQELGHNGSMSQATLHVNGPRNPVSSFTSASNQIPTLGNGLQSQVASLASNPLPVTFNQDASPFTYGSSTNSREVLNGNLAFSNSGINTSLPNLRIDNPIVPRHTLDGSNAGDVPSLQDGRIDQQTVGNQLHYNNDLVATSRLQRGLSGSLDDIVVDMFRPDSGNGGSFIDADWGLV* >Brasy4G110100.1.p pacid=40085781 transcript=Brasy4G110100.1 locus=Brasy4G110100 ID=Brasy4G110100.1.v1.1 annot-version=v1.1 MAMVMEVTPSRRSDSIPSLDADPGLGCSSFSSSASFIDMDPADLFSMRWTSCADADADKAAEFDFGLPCAAAQYQYCSSPLLIGAGGLPLLAPSASSPASFYSARSTPAAAASRAPRPLLLAIRRVLQRYLRLLAPLCRKARALAASSSASSARQSTSSYGSAVEQYWCHGNADSAVRDAILYCKRSVREQDV* >Brasy4G363900.1.p pacid=40085782 transcript=Brasy4G363900.1 locus=Brasy4G363900 ID=Brasy4G363900.1.v1.1 annot-version=v1.1 MIPARILSHSKDKEAKEARSRSHLRTELSLLGPMAFAEKIVLAVFGVNYQTYFSMRMHVLNRFYVHNCVHATSSESVASSVHI* >Brasy4G344100.1.p pacid=40085783 transcript=Brasy4G344100.1 locus=Brasy4G344100 ID=Brasy4G344100.1.v1.1 annot-version=v1.1 MVIVHIILSKGVLAIHGVLLVGIPHKLKKFDLWTNALIAAFARLNESLGNELCTLKFLTDKCDTRLVKWVRVTADECLVKVRLAELERKATAEEGIEEKETELSLSPLPPSSVRCGCSPQVSKF* >Brasy4G397200.1.p pacid=40085784 transcript=Brasy4G397200.1 locus=Brasy4G397200 ID=Brasy4G397200.1.v1.1 annot-version=v1.1 MTFTSCRDIVLFQNDECECTIGQVAQAFHPNGMLCSFVVSVGTYLLGQKYKNSDKMVVPYVCCLFEGNYKSRRLQNLFSIYAEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKKLWKQAGNSKGESFTPKSVDHFTMEYFSVPKQGTALILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDL* >Brasy4G124500.1.p pacid=40085785 transcript=Brasy4G124500.1 locus=Brasy4G124500 ID=Brasy4G124500.1.v1.1 annot-version=v1.1 MDVVVPDRTRVAPEAEIEKHQQAEAAEGDMESDPALAAARELQPPSERWQDELTVRGVVAALLIGFIFTVIVLKIALTTGLVPTLNVSAALIAFLSLRGWTRLLQRLGIPCRPFTRQENTVVQTCAVACYTIAFAGGFGSTLLGLNKRTYEQAGDSAGNVPGSWKEPGIGWMTGFLLAISFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHTAQGDKNSKKQIHGFLKYFGLSFLWSFFQWFFTGGDVCGFVQFPTFGLKAWKQTFFFDFSTTYVGAGMICPHIVNISTLFGAIISWGVMWPLISKRKGDWYPANVPESSMKSLYGYKAFICIALIMGDGLYHFTKIIGITSKSMYRQFNRKRVENRVRNVDNTIALDELQRVEVFEKGHISSWMAYTGYVLLSVVAVITTPIMFRQVKWYYVIIAYAFAPVLGFANSYGTGLTDINMGYNYGKIALFVFAGWAGKDNGVVAGLVGGTLVKQLVLISADLMHDLKTSYLTLTSPRSMLVGQAIGTAMGCIVSPLTFMLFYKAFDIGNPDGYWKAPYALIYRNMAILGVEGFSVLPKYCLELSGGFFAFAALASIARDVLPRKYGKYMPLPMAMAVPFLVGGSFAIDMCIGSLVVFVKEKLNKKEAEFMIPAIASGLICGDGIWTFPSSLLALAKIKPPICMKFTPAG* >Brasy4G356900.1.p pacid=40085786 transcript=Brasy4G356900.1 locus=Brasy4G356900 ID=Brasy4G356900.1.v1.1 annot-version=v1.1 MAGYRSRSRSRSYSPRRRYTRSPPRYKHYDDPRDRYRYHGGGGGGGGGPRRGYDQPPAPTGLLVRNISLTARLEDIRGPFEQFGPIKDVYLPRNFHTKELRGFGFVKFRYSEDAAYAKQELNHQVICGREISIVFAEENRKTPQEMRFRTRTGGRHDGNYRRRRSLSRSPRSRYPSYSPEPSPVRRHSRDNREKYSPGGSHSPHARDKGHYRSNGRSPSPDGQERQISPSHNGHGLPVDRRSPT* >Brasy4G356900.2.p pacid=40085787 transcript=Brasy4G356900.2 locus=Brasy4G356900 ID=Brasy4G356900.2.v1.1 annot-version=v1.1 MAGYRSRSRSRSYSPRRRYTRSPPRYKHYDDPRDRYRYHGGGGGGGGGPRRGYDQPPAPTGLLVRNISLTARLEDIRGPFEQFGPIKDVYLPRNFHTKELRGFGFVKFRYSEDAAYAKQELNHQVICGREISIVFAEENRKTPQEMRFRTRTGGRHDGNYRRRRSLSRSPRSRYPSYSPEPSPVRRHSSQQRI* >Brasy4G026000.1.p pacid=40085788 transcript=Brasy4G026000.1 locus=Brasy4G026000 ID=Brasy4G026000.1.v1.1 annot-version=v1.1 MAFMRYDYRALPRQGEATVEEFRAWAAQFDADGDGRLSREELQEALRSLDVWFAWWKAREALRDADANRNGAVDGDEMGRLYAFARRNLHVKAADLQDGS* >Brasy4G198800.1.p pacid=40085789 transcript=Brasy4G198800.1 locus=Brasy4G198800 ID=Brasy4G198800.1.v1.1 annot-version=v1.1 MQAAAPRLRHRWVKEWIPQDLVIAGGPCALYKWVREDRLSALKAKDKEQGADTAKPEPTTEVLFLCSYDGCGKTFVDAGALRKHAHVHGERQYICHYDGCGKKFLDSSKLKRHFLIHTGEKNFFCTYEGCGKAFSLDFNLKAHMKTHFADNYHPCPYPECGRRFTQESKLRAHFRQQHEKNPGMPAAMNRNALGDHPHNTVKPPPVTPPVPSAERPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYSDAGAQAGPSRGTASKSSRRSRPSATAKMPLPKIPKSGAEYTTPSPAINIAEEHQWPRKVLYDDDSEETEEEGDKPADMGWGRIAASSDDDDEETEDEE* >Brasy4G319700.1.p pacid=40085790 transcript=Brasy4G319700.1 locus=Brasy4G319700 ID=Brasy4G319700.1.v1.1 annot-version=v1.1 MTSSPRRRPPPAAHLALRSTAARRGRGEQAPPAPLAPPPLPRRPPPPSAPVSSAPTPSLPRPWRRRRRNQCNGGFRRRRPLRNQRISVPLRPWLRCPISSAPCSDRSACAIPLSKSSETSGHMRCGTRVGVEFPS* >Brasy4G313800.1.p pacid=40085791 transcript=Brasy4G313800.1 locus=Brasy4G313800 ID=Brasy4G313800.1.v1.1 annot-version=v1.1 MALQKAFAPSDVALPGWSRRVPGPAQWEGDVAAGSILVGTHSAPTCSVCPIMAGLAASTLPTAAAAAPIQRWPIPFPIWSRPRYLARDPSSEGSRSGFPIPQPPTVKPPSRGILPSSRYAPSPSSPSSCSSCFARVNGGPDLRGREGRKQEGAALGMELRAAAPTAGHGVEGGGAHRWAWEGRWGGSKRWRRCGWIWGAAAPVAGAAHRAEEGAGEGKAGAQGKGRAQGLGKGRAQGLGRAALGLGKGRAQGKGKGRAQGRAALGLGKGRAQGRAALGLGKGRKESGAGASLGEKRETER* >Brasy4G030800.1.p pacid=40085792 transcript=Brasy4G030800.1 locus=Brasy4G030800 ID=Brasy4G030800.1.v1.1 annot-version=v1.1 MWVASYLALRQVSVKMARNARARRHVARQLRPTPYPIPSYRWKAMKESNRKKSLPTMKKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPSSNFGISLDSAPLTAAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDSCSFVGTYRELRKHVKSEHPLAQPREVDPSIEQKWRSLEFERERQDALSTVTASMGNAVVFGDYVVDLEDGLDLDDEESNDDDRDNGREADNARRLIIFMMRQVAQHHRTQRLQSATGASGNAEEEYVVSSGANGTTPYPYPSEGDDEDDMDFVGGRSTGVLRPERRRRRRRRNRGRLFLDSN* >Brasy4G030800.2.p pacid=40085793 transcript=Brasy4G030800.2 locus=Brasy4G030800 ID=Brasy4G030800.2.v1.1 annot-version=v1.1 MARNARARRHVARQLRPTPYPIPSYRWKAMKESNRKKSLPTMKKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPSSNFGISLDSAPLTAAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDSCSFVGTYRELRKHVKSEHPLAQPREVDPSIEQKWRSLEFERERQDALSTVTASMGNAVVFGDYVVDLEDGLDLDDEESNDDDRDNGREADNARRLIIFMMRQVAQHHRTQRLQSATGASGNAEEEYVVSSGANGTTPYPYPSEGDDEDDMDFVGGRSTGVLRPERRRRRRRRNRGRLFLDSN* >Brasy4G030800.3.p pacid=40085794 transcript=Brasy4G030800.3 locus=Brasy4G030800 ID=Brasy4G030800.3.v1.1 annot-version=v1.1 MARNARARRHVARQLRPTPYPIPSYRWKAMKESNRKKSLPTMKKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPSSNFGISLDSAPLTAAEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDSCSFVGTYRELRKHVKSEHPLAQPREVDPSIEQKWRSLEFERERQDALSTVTASMGNAVVFGDYVVDLEDGLDLDDEESNDDDRDNGREADNARRLIIFMMRQVAQHHRTQRLQSATGASGNAEEEYVVSSGANGTTPYPYPSEGDDEDDMDFVGGRSTGVLRPERRRRRRRRNRGRLFLDSN* >Brasy4G409000.1.p pacid=40085795 transcript=Brasy4G409000.1 locus=Brasy4G409000 ID=Brasy4G409000.1.v1.1 annot-version=v1.1 MGELPPGYRFYPTEEELVRFYLRHKLDGGHRARDIERVIPVADVCSLDPWQLPEAHRGVGGGEPWFYFCARQDREARGGRPSRTTPSGYWKAAGTPGLVYSAQLSGRPIGTKKTMVFYTGRAPAGTKTKWKMNEYRALDHGGVDAAPNPAAALQPRSEFTLCRLYTKSGCPRQFDRRPCTGAAAGSSTADPVSSPFAALANEDDEVGRGQKRKRRAAPNDDASSSSAAYFMQQRQTTHGGADEEEEELLDNMIHWAEFLDWI* >Brasy4G374300.1.p pacid=40085796 transcript=Brasy4G374300.1 locus=Brasy4G374300 ID=Brasy4G374300.1.v1.1 annot-version=v1.1 MFDSLLNTKFYNKCKHAVKCTRTRLDLVRKKKQAMVKFLKKDVADLLTNGLESHAFGRMEGLIVEMNQASCYDMIEQYCEYIVKQLNNLQKQSECPHEALEAVSTLIFAAARFPDLPELCELRHIFTEKYGTSIEPFVSSEFVQKLQDKSFSHDEKLQTVQNIAEEFELPFNTKAFERKISGVPQNKHELLKKGSFNGIGVEASGRGHKVDRPAGLQRKSKSIPEGLDWKQEVLVKPKDIHVIPDRIGQVGEKSRNNYSDKPNEKKHMNNGVPSLDIKRRNGQKEVKRDDKKGGQSWRELMNAEELDLNGSKKQETSVAKSLQREIKKVVPPYTELKETAKKDNIEKVDGNGYHAHRSHMAGDTNDYWGHADLGLKTLGLEKPGTDSANTLNGKTVNKLPPPYSKPYRAKSEKSAEEDNISLSNRARHVGESSPSVQDRHQVPEKVVNMQPPYVKPNSNMKSAHETPTYQAANGYRHTGSEATGQQRDGLVEDDAVRPVSVRRKSTKPPAYGFPYDEATNEEKMANQTPGARTRRSSNRNGPRDDYERRKHASRQNGSASGSDYQTEEDETDNAIDFGNLLPRAPGSHRKHRSRSAHPWEGAHDDEERMMDKLLRHYSKKGIDREEHKTRTKSRTPRPRDDQPADSNGERSNREGAPNPPERAISLPTESGPPVAKAKAPAPARSISQPDTSRGNVHPRMPDFDELAARISALKKA* >Brasy4G155900.1.p pacid=40085797 transcript=Brasy4G155900.1 locus=Brasy4G155900 ID=Brasy4G155900.1.v1.1 annot-version=v1.1 MANTATVIACILVALYLVSPCQAIRPLPQPPAAEQPWSVDGITAIFNFGDSISDTGNFIREGAVGMMWHTGEPPYGSAIADGATGRCSDGYLMIDNLAKDLGLPLLKPYLDKAAGSDDFTHGANFAVTGATALTTAALARRGITVPHTNSSLDVQLKWFKDFMAATTKSPQEIRDKLRSSLVMVGEIGGNDYNYAFVTNKPAAAGGGIYNAIRTTVGAAEAVALVPEVVQSVLGAARGLLEMGATRMDPAAYDGNGCLVGLNLFAQMHNVALQRGIRELRGAYPAATISYADYFSAYVRLLRDAGRMGFDAAAATRACCGAGGGKYNVDMDRMCGAPGTTVCARPDEYVMSWFCHGKYHRMAYVGADGRRWNRRRDDAV* >Brasy4G305000.1.p pacid=40085798 transcript=Brasy4G305000.1 locus=Brasy4G305000 ID=Brasy4G305000.1.v1.1 annot-version=v1.1 MAVNEETSVYVGGLPYDATEEKLRRYFGSCGEIIAVKVINDQRVRGKCYGFVTYSHPKAAQRAINEMDGQQIGNRAVKVNQVRSARSGGRDFGGHDGFRRDPGRDGYWDRRDRDRSYDRDRDGDPYHDRDIDRPRDHDRDRYYERRGFDQDIDYPMDRDHEGDERRPRDHDRGDHDHPVETHNMDSDNDRDKENSKGYGSDRDKDKDQPPRKRFSRPKGRDSRDISSSSDDLHSDVKHQLEKAIQTHDDLEIEVAQIKDKVTSKEHQIADLQRKYQKLEDELAAARKVSTERQVVVTKLYKTFLQVQDFSERAKIYEKELQLLVDAAMVEVDMAEDATTKDGSGYENGVV* >Brasy4G305000.2.p pacid=40085799 transcript=Brasy4G305000.2 locus=Brasy4G305000 ID=Brasy4G305000.2.v1.1 annot-version=v1.1 MAVNEETSVYVGGLPYDATEEKLRRYFGSCGEIIAVKVINDQRVRGKCYGFVTYSHPKAAQRAINEMDGQQIGNRAVKVNQVRSARSGGRDFGGHDGFRRDPGRDGYWDRRDRDRSYDRDRDGDPYHDRDIDRPRDHDRDRYYERRGFDQDIDYPMDRDHEGDERRPRDHDRGDHDHPVETHNMDSDNDRDKENSKGYGSDRDKDKDQPPRKSRPKGRDSRDISSSSDDLHSDVKHQLEKAIQTHDDLEIEVAQIKDKVTSKEHQIADLQRKYQKLEDELAAARKVSTERQVVVTKLYKTFLQVQDFSERAKIYEKELQLLVDAAMVEVDMAEDATTKDGSGYENGVV* >Brasy4G086300.1.p pacid=40085800 transcript=Brasy4G086300.1 locus=Brasy4G086300 ID=Brasy4G086300.1.v1.1 annot-version=v1.1 MPSHKTFRIKQKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF* >Brasy4G184600.1.p pacid=40085801 transcript=Brasy4G184600.1 locus=Brasy4G184600 ID=Brasy4G184600.1.v1.1 annot-version=v1.1 MDDAGGGLASAAVHHNARSPEDVFRDYRARRAGIVKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMVNGLPTVYEIVTGVAKKQPKPSNGGSKSSKSNSKPSRQSNSNSKPAKLSHPKDEEDNGQEDAQDEEQAYLCGSCGETYANGEFWICCDICEKWFHGKCVRITPAKAEHIKHYKCPSCSSKRSRE* >Brasy4G413000.1.p pacid=40085802 transcript=Brasy4G413000.1 locus=Brasy4G413000 ID=Brasy4G413000.1.v1.1 annot-version=v1.1 MSSSAHLRLLSAAANFPAPAATTFSSRRRVRLLQPLPLAPSTRARLSRLFPVSCRCAVAVVEGPGEEEEGQRLGLEAVADGEEEEVVVAPEGIWAQVRDAVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSVATSNMVATSLANKDEELARHQVSMLLFLALSFGIGMFLFTKIFGTQVLTAFTGSRNYEIISSANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSICGYGIAGAAWATMVSQVVAAVMMMQNLNNRGFRAFSFTIPSIRELLQIIEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPEMIYGANRNLMKARMLLKSLLVIGAIAGMTVGAVGTLVPWLFPSLFTNDQMVVQQMHKVLIPYFTALLVTPSVHCLEGTLLAGRDLRYLSQSMGACFCIGTFLLLIVGDKFSSLPLCWWILVFFQWSRFGSAVQRLVSPTGMLYNKNFNQPEYVKVKAT* >Brasy4G057000.1.p pacid=40085803 transcript=Brasy4G057000.1 locus=Brasy4G057000 ID=Brasy4G057000.1.v1.1 annot-version=v1.1 MASRSSSAVAGVAVLVLAVLCCSTEAHTQFGAYNKTCPQAEDLVLKEMTAVLAKSPDLAGPLLRLFSVDCLLGGCDGSILLDSTASNTAEKDSPVNKGLRGYDAVDSIKAKLEAACPGVVSCSDVLALAARDAVRLTEGPYVPIPTGREDGNRSSAADVAPNTPPPDATVADLVAFFSRLNLTAKDLAVLSGAHTIGKARCPSFSPRLYNFTNGNGNNASSSDPALDANYTAALRGRCKAGGDVAALVDLDPGSAGVFDLGYYRAVAASRGLLSTDAALLLDAGTRAYVLRQANATVPDEFFADFAASFVNMGKIGVLTHHKGEIRRQCSAVNPPSEGVSSSAAAAMSVTAVLARSLMAVAVLLFSRFGWDLIDLGCVFVLVLTVF* >Brasy4G351700.1.p pacid=40085804 transcript=Brasy4G351700.1 locus=Brasy4G351700 ID=Brasy4G351700.1.v1.1 annot-version=v1.1 MRTLELATRQKASPSFASLLSVFLGASSGAEQPRPKRSFDVAGGVVGLGIVAAMGRACLAAQPIAIGAARRRAREEAELSESYTCVITHVPGGRSVRKRVYFGDGWLVEAGEAPARARAADFLSRCYLCTKRLDGLDIYMYGGEKAFCSSECRCHQMLMDDRADSCGSEALRANNYSASPCSAPMSFSPSIAAA* >Brasy4G055900.1.p pacid=40085805 transcript=Brasy4G055900.1 locus=Brasy4G055900 ID=Brasy4G055900.1.v1.1 annot-version=v1.1 MEPPPPSPSPPQAASPSPSVCVLSRTPPPTSASPSHDGGVVVVGFVGGAGSAARLADRILDAAVFSPGGSARSLAGSVRYHRDGERKMVFLHLAPPPLEAGGSSSGDDLRELLFMFSVCHVIIFLQEGFRFDTQTLKNFRLLQSSKHAFAPFVRSLVAPATPSKAAPSNTPMRPARRTSSISPPARRGGHSGRQPSAISLMSGTPSHHFVLPGQCIPVVLFVFEDDIIDGSSAVTSLDDTADTSSSNQASSTDGLAKPNLTSKGSGSVVVLARPSNKFEGNFSKKLHSSLEGQIRFLLKKCRTLTSMESGHNGPRGFGNVSHLPLFSLDTSRVVALLDRSVNKKREPLDIIVGLFEDSFSSKSALDVASLENNCQPTNHEDVQLIKDFIFRQSDGLRGKGGYSGNASATSVAGVGMVAAAAAAAAASAAAGKPVSVPDLPSFDKWFSVSTSILSGLISRGDEISRCESMSGSSAHTSSNLKNEQLPSAGFNAIETALSCLESNKGINMKFSSSWCQRVLPVAKEVYLKDLPTFYPTSVHEVQLQKALRSFHSTVKGPAVNVFSKKLEDECKTIWESGRQQCDAVSLTGRPCKHQRHGMLSSSVTVEQHSSGYVFLHACACGRSRRLRDDPFDFEAANISFNCFSNCEDLLPTLVLPRGPDTGSFPVSSWRLVRLGGARYYKQTKGLLQAGFCSKDKYLLRWTISLGKGQGKNSTHATAKSSSMTSNTNPHTPPVVSREVKSTTSQVTPENKSVKLENSRKQPEVQSSNNSAINFGKGLPNFTMKKPFAEVVAGSTARDTEFPALQLKKPAKPGSRKDDRQVSVVEQTNGRGNAALSQGPIAENESEKMSRNVSESADGMPFLQIGSNIVPVIVGNDTKEATQAEQQFVVYVGFEHECSNGHRFLLSEKYLKEIDSSCLQHERPHQNNEAESKHSSQKLLPNASKVTAAAINENNGRIANRTMESSGRNSRQQLLQPSVDAEILQPAHILSDPHNIKEGEHSLQYTTADDGGEAYSLLNRNLPIYMHCPHCKSSDRKGHQDIKVAGAVSQLQRIFIVTPDFPVLLASCPLVQFEGSCLPSNVSDHDQQGLFSLGCRVVLPPESFLTMRLPFVYGVETRDGSTFPLKHLEQQPELTAWLVGGTALQIVSVGHVTEKEAAVL* >Brasy4G014200.1.p pacid=40085806 transcript=Brasy4G014200.1 locus=Brasy4G014200 ID=Brasy4G014200.1.v1.1 annot-version=v1.1 MEDLGIEAKEAAVREVAKLLPLPDLLSSIASIKSDYLSRQQTNDTQLSAMVAEQVEQAHDGINALASSQETINGLRQNFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLTALDGKRRFALATAGSHKEEVGRLREYFEDVDRSWETFEKTLWGHITNYFRLSKESPQTLVRALRVVEMQEIIDQQVAEEAAEAEGAGAMATITNQRRTTKKGAGAASTPRNGTQEKSKVQGKGYKDKCYECIGKAVEDRFNKLLTELVFSEDFMEALDEAKAIGDELGDIYDYVAPCFPPRYEIFQLLVNLYTERFIQMLRLLSERANDIQNINILKVTGWVVQFQDNLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYTNILEADKTQQPKNTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALSENYAEQVNFEDTCKGFLEVAKEAVLQTVGVIFEDPGVQDLLAKVYQKDWMDGMVTEYLVATFADYFGDVKLYIEERSFRRFVESCLEETIVVYVDHLLTQKNYIKEETVERMRLDEEVLMDFFREHTSVTKVENRVRILADLRELASAESLDSFTLIYTNILEHQPDCPSEVVEKLVAMREGIPRKEAKEVVQECKEIYENSLIDGNPPKSGFVFGKLKCLTVRKGIWGKLGS* >Brasy4G215300.1.p pacid=40085807 transcript=Brasy4G215300.1 locus=Brasy4G215300 ID=Brasy4G215300.1.v1.1 annot-version=v1.1 MAFLNMEQHTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCLLWMYYAFLKSGAELLLTINGVGCGIETLYIAMYLVYAPKSARLLTAKLFLGLDVGLFGLIALVTMLVSAGTLRVQIVGWICVAVALGVFAAPLSIIRLVIRTKSVEFMPISLSFFLVLSAVIWFAYGLLKKDVFVAVPNVLGFVFGVAQMALYMAYRNKSPAITVVHQEIVKLPEHVKEVTAKGGGGGAPTEGRISCGAEVHPIDVLPTSAAAGDEQTVHTVEEAAGRDDHNMLRPEQAIKPDMAIVVEV* >Brasy4G105800.1.p pacid=40085808 transcript=Brasy4G105800.1 locus=Brasy4G105800 ID=Brasy4G105800.1.v1.1 annot-version=v1.1 MALPYLEAVLCFMILLYVFETYLNIRQHRALKLPTLPKPLVGVISGEKFERSRAYSLDKSNFNFVREAVTVTSDIIILYYKVLPWFWKKSGELATNIGLNAENEIIHTLAFLAGVMVWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDMIKGILLSTLLAPPIVAAIIIIVQNGGPYLAIYLWGFMFALALLMMTIYPIMIAPLFNKFTPLPEGTLREKIEKLADSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCTNENEIVSVLAHELGHWKLNHTAYSFVAVQLLTFLQFGGYTLVRNSKDLFESFGFEDQSVIIGLIIFMHTIIPVQHLLSFCLNLVSRAFEFQADAFAKNLGYAPELRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSAIEDLDSKKKD* >Brasy4G021500.1.p pacid=40085809 transcript=Brasy4G021500.1 locus=Brasy4G021500 ID=Brasy4G021500.1.v1.1 annot-version=v1.1 MASSSKTSHVALALVSVFLLSIAHGSSAGAASRKLLELYRPQPSELLTYHNGTVLQGSIPVSVLWYGHFTPAQKAVVSDFLLSLTTTSQTPSPSVSQWWNTIDTLYISKSNGASSNFSTQVTLTRQITDNKCSLGKNLKLSHIPELAAKARPNKGGIALVLTAQDVAVEGFCRSRCGLHGSDAASQTAHVWAGNAAAQCPGQCAWPFHKPVYGPQAPALVPPSGDVGMDGVVMNVASMIAGAVTNPFGDGFYQGPREAPLEAATACPGVYGSGAYPGYAGNLAVDGVTGASYNANGARGRRFLLPALFDPATSTCSTLV* >Brasy4G341500.1.p pacid=40085810 transcript=Brasy4G341500.1 locus=Brasy4G341500 ID=Brasy4G341500.1.v1.1 annot-version=v1.1 MVGNDNWINSYLDAILDAGKSAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRHPETEKTRTDATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVEFAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAEMLVSTTFKNSKHEKGENSGGYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQSREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDGEEENHGPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNTSASVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLATRTKGAFVNVAYFEQFGVTLIEAAMNGLPVIATKNGAPVEIHQVLNNGLLVDPHDQNAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNHLSRILTLGPRSPAIGSKEERSKAPISGRKHIIVISVDSVNKEDLVRIIRNAIEAAHTESVPASTGFVLSTSLTISEICSLLVSAGMHPAGFDAFICNSGSSIYYPSYSGDTPSNSKVTHTIDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQMIFEDSEHSSTYCLAFKVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVTPIHASRSQAIRYLFIRWGIELPNVVVLVGESGDSDYEELLGGLHRTIILKGDFNIPANRIHTVRRYPLQDVVALDSSNIIEVEGCTKDDIKSALRQIGVPTQ* >Brasy4G319900.1.p pacid=40085811 transcript=Brasy4G319900.1 locus=Brasy4G319900 ID=Brasy4G319900.1.v1.1 annot-version=v1.1 MGARCGRRPPRSPQASPNEAVTSRGRPQVPRPPTLPPQSRLPLFLLGRRRRRPSRAPVRSGSRSVASAPPSLSPCPPPAPCMRSLSMIFALVMCI* >Brasy4G197800.1.p pacid=40085812 transcript=Brasy4G197800.1 locus=Brasy4G197800 ID=Brasy4G197800.1.v1.1 annot-version=v1.1 MIWSDEFYCCHICDEEGSRSWVIKIYDRICCSGRAQQNKMQS* >Brasy4G061400.1.p pacid=40085813 transcript=Brasy4G061400.1 locus=Brasy4G061400 ID=Brasy4G061400.1.v1.1 annot-version=v1.1 MCRLSRFRRTGSAAAAAPGGFLHLSLLASLRRRPSLQAHAQLLLHGLPLPGHAASRLLRPHLRSGNHLASLRLFLRLLRDHQPLLKAPQETETETVPNSHSFSAALAACSRYASPSPGLSIHAFLLKSGYASDLFAANSLLHFYASFGLPSLSRKLFDEMPVRDAVSFNTLIKSYVSSCCVDDAFGVFRVMVERGFRFDVWSIKALFGACAGLGDLRAAKAMHGVAKRALPPEEFDSGQVVIGLVDMYVKCRGVALARQVFDLAGEKARDVKLWSAMLSGYARSGEVRTARDLFDRMPEKDLVAWTVLIGGFVQAGRYKDALVVFEEMEEAGFEADEVTVVTLLSACVHYGKIDVAKRLHHRARHHGLISRNARLATSFVDMYAKHGCIQTAMDVFCSVGDEFKTVHLFNAMINGLAHRSLGEKAIALFDKMGSLGLHPDKITFTAVLCACSRSGLVSRGFEIFDSMVGKYGVEQDIKHYACMADLLARDGRLGDAYHFIQNMPFKANSVVWSSLLRACRIHRNLKIGKLAEEQLLQFDPSYKPEKLLLSDSFSDGKRKERATRVRKAIKHRPEHRHTKYSYIEWNGNVHQFATTTGTSHPQAKVIGLMLEDMSRQLSFSGHDMSKEKTVWRSEMVALAFGLVNLVQDPETPIKIVSNLRMDASCHFSFKCLSKIYNRDIYVNDGAKLHEMKRGSCSCMDYW* >Brasy4G135900.1.p pacid=40085814 transcript=Brasy4G135900.1 locus=Brasy4G135900 ID=Brasy4G135900.1.v1.1 annot-version=v1.1 MGNHAGVQRLLETTTTPSRGITESVTTSHEFEVTNYRALDGVLGVGKSVRSATFSVGGYDWEIRFYPDGDRTECAGYASIFLACLSPAAKDVSTKFTLTVLTQRAGKVASTDHTRCTFSPTSVAWGWTKFVEKSKLKSPDGDDAYLITIRCDLTVPKEPSTECKGVLIEVPPSELPGHLERALKDKKGADVTLLVGGREFSVHRFMLASRSPVFDAQLFGPVMEKNTRRVEVVDMEPAIFEMLLHFIYTDSLPLPCDAQGGYGTAEMQHLLVAADRYGLDRLKVMCEENLCRGIKVENVMSTMALADRHCCHRLKDACVAFMLQPDVMGAVLRDDGFKQLIGRFPMLGLEDESQESK* >Brasy4G178800.1.p pacid=40085815 transcript=Brasy4G178800.1 locus=Brasy4G178800 ID=Brasy4G178800.1.v1.1 annot-version=v1.1 MIFASAPDELISTKGWLWAMRGVDDNRGNQAAVRLFPCSPYARRSPAASASISSANTSVPLPLLTGHRSPHPLAGGRRSSPSSRTPAVFSLSARRPPFSLSIKGCRRSSSACRPPYLLQRPAPFLLLRRHHRRSSSSASYRRSSVPSAAPPCIEHQTHGCSLGINPSCCIPSCSTPRAMQPVAIRPTKDTLKRPPRKWEVPSGVAGR* >Brasy4G315800.1.p pacid=40085816 transcript=Brasy4G315800.1 locus=Brasy4G315800 ID=Brasy4G315800.1.v1.1 annot-version=v1.1 MSPREEPRWGCGVPSSRRHCLWWRQRKTVGEQRRLQKRRWPYLRHGGSVRRGGSGTGSAGRWPEDRRKKIGALSRVTAGDAFTKSITHAYTTLHPHNLQDQRISP* >Brasy4G247400.1.p pacid=40085817 transcript=Brasy4G247400.1 locus=Brasy4G247400 ID=Brasy4G247400.1.v1.1 annot-version=v1.1 MESDLWISRLMAAKRQYALQRAQHQQATTASHQDRFGYDDIEPEDEVRPDFPCPYCYEDHDITSLCAHLEDDHPFESKVVACPVCSARVSKELLDHITLQHGYLFKLQRHHRLRRVAIPSNHALSLAGRDLQETYLKVLLGNSSRSSGTNTSSSVTDSLLSSLVINLSSPEGEDTTKSSASAVVENSWLRRSIPSKTLKTSPESNLSQEERERRRRRAAVKASFVQHLLVSTLFDD* >Brasy4G318200.1.p pacid=40085818 transcript=Brasy4G318200.1 locus=Brasy4G318200 ID=Brasy4G318200.1.v1.1 annot-version=v1.1 MARRRPTLGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCGAQVAAIVFSPAGKAFSFGTPSVDAVLDRFLGAASARPAGGRAVAARESPVVAELIRQHAELRAQVEVEKARAVALRKEQKAAAAAAGAPKWLDCELSEMSEADLVEFAAALVDVQAAVQGCADQMLREALLANTRAASVNAARATAAARMMMMPPQPQQQLGGFGFAAASTADGGLMDQMQAQQQQQAMEMMIQGFGPQQGAGFLGQPPPY* >Brasy4G005800.1.p pacid=40085819 transcript=Brasy4G005800.1 locus=Brasy4G005800 ID=Brasy4G005800.1.v1.1 annot-version=v1.1 MSTAQELHVLAVDDSLVDRAIISRLLRSSKYRVTTVDSGKRALEVLNLEREVHMIITDYCMPEMTGYELLKRVKETAELREIPVVIMSSENSPARIRRCLDEGAEEFLIKPVRPSDVSRLCSLLLPTMAMSIRA* >Brasy4G413800.1.p pacid=40085820 transcript=Brasy4G413800.1 locus=Brasy4G413800 ID=Brasy4G413800.1.v1.1 annot-version=v1.1 MDMDSEEVGRKRETHHGTEMAEGSRRTSRKITEPKWPSVIERMDASAKVVRERNMKRLVAKWNAENPDAAPLVLEELTEQQRTSLKEEDLAREVVMSKALVARMLEEDRRVEEGGPNQDNDDRFYQEFRERWQRKWAKEFGSFEDKTRIPAMCFTDDPMFHITSALPTMQIFSVKVEEVDENLQWPLDVYGIIAVRDDIDHNRNVIFERTRDNCQTLNEQDPYLVLTGPARAPVMLFGPVRLDAMLMVKCADESEDKNLTLLATRYECCESINYQASHGELVANSCGSSQKFESKLSTLELTCGIVVSSVEATISVRIVEGSWPDGFSGQFSAFTACVSHMKVLLLNFGDGNFLISANGTIELSRRVVSVESFGELRICAAASRGSKQVEREVFFQPLKSGRSSQMLNLGACKMEITVAWSLFPLRYPISRIP* >Brasy4G154000.1.p pacid=40085821 transcript=Brasy4G154000.1 locus=Brasy4G154000 ID=Brasy4G154000.1.v1.1 annot-version=v1.1 MGAQYCSWLLGFLLPSLWEAEVAVSATALLAAAVALLLILDQTASNGTTNTASSPPPPTARTHHRDGRRRRSSARGKKAAAPELRRHACEITSPPADDGSPRRASTTTTTYVIKVELVSAKYLIGANLNGTSEPYAVISLGEQKRFSSMVPSSRNPLWGEGFNFLARELPAEVTVTIYDWDNVCKCKVIGSVTVAILGEDKTDSTWYDLDSRSGQVCMRISSMEVFNSDSYFNQYTRVDSQKKMTLNKQRLAMIEDSGHLQAMFEFPHDEIVQHSYSCALERCFLHYGRMYISSWHLCFHSKVFSKQLNVIIPLQDINEIKRSQHSLINPAITIYLHTGAGGHGAPSLCCQQNGSIRYKFTSFWNRNRTFRALETALQSYRANLEAEKQVRAHSLLQGQNMNVSSRTYNIKTEDRNIERAVTFEQANTFQPFINEHVLVDVTSNTFPGTPEKFFTLILGDNPIFIQQYRDARKDTDLKMSKWYASDEYGGNVREVTFRSLCHSPLCPTDTAVTELQHTSFSKDKRNLIYETKQQAHDVPFGSYFEATKHLVLLVHASTCKSIFPWRTIVVDALVSLLQIHCRWSLRKTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKIFQAACDYAFAAEPNGPACDDIVLTSSA* >Brasy4G154000.2.p pacid=40085822 transcript=Brasy4G154000.2 locus=Brasy4G154000 ID=Brasy4G154000.2.v1.1 annot-version=v1.1 MGAQYCSWLLGFLLPSLWEAEVAVSATALLAAAVALLLILDQTASNGTTNTASSPPPPTARTHHRDGRRRRSSARGKKAAAPELRRHACEITSPPADDGSPRRASTTTTTYVIKVELVSAKYLIGANLNGTSEPYAVISLGEQKRFSSMVPSSRNPLWGEGFNFLARELPAEVTVTIYDWDNVCKCKVIGSVTVAILGEDKTDSTWYDLDSRSGQVCMRISSMEVFNSDSYFNQYTRVDSQKKMTLNKQRLAMIEDSGHLQAMFEFPHDEIVQHSYSCALERCFLHYGRMYISSWHLCFHSKVFSKQLNVIIPLQDINEIKRSQHSLINPAITIYLHTGAGGHGAPSLCCQQNGSIRYKFTSFWNRNRTFRALETALQSYRANLEAEKQVRAHSLLQGQNMNVSSRTYNIKTEDRNIERAVTFEQANTFQPFINEHVLVDVTSNTFPGTPEKFFTLILGDNPIFIQQYRDARKDTDLKMSKWYASDEYGGNVREVTFRSLCHSPLCPTDTAVTELQHTSFSKDKRNLIYETKQQAHDVPFGSYFEATKHLVLLVHASTCKSIFPWRTIVVDALVSLLQIHCRWSLRKTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEERSLQDFPGCM* >Brasy4G154000.3.p pacid=40085823 transcript=Brasy4G154000.3 locus=Brasy4G154000 ID=Brasy4G154000.3.v1.1 annot-version=v1.1 MGAQYCSWLLGFLLPSLWEAEVAVSATALLAAAVALLLILDQTASNGTTNTASSPPPPTARTHHRDGRRRRSSARGKKAAAPELRRHACEITSPPADDGSPRRASTTTTTYVIKVELVSAKYLIGANLNGTSEPYAVISLGEQKRFSSMVPSSRNPLWGEGFNFLARELPAEVTVTIYDWDNVCKCKVIGSVTVAILGEDKTDSTWYDLDSRSGQVCMRISSMEVFNSDSYFNQYTRVDSQKKMTLNKQRLAMIEDSGHLQAMFEFPHDEIVQHSYSCALERCFLHYGRMYISSWHLCFHSKVFSKQLNVIIPLQDINEIKRSQHSLINPAITIYLHTGAGGHGAPSLCCQQNGSIRYKFTSFWNRNRTFRALETALQSYRANLEAEKQVRAHSLLQGQNMNVSSRTYNIKTEDRNIERAVTFEQANTFQPFINEHVLVDVTSNTFPGTPEKFFTLILGDNPIFIQQYRDARKDTDLKMSKWYASDEYGGNVREVTFRSLCHSPLCPTDTAVTELQHTSFSKDKRNLIYETKQQAHDVPFGSYFEIHCRWSLRKTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKIFQAACDYAFAAEPNGPACDDIVLTSSA* >Brasy4G154000.4.p pacid=40085824 transcript=Brasy4G154000.4 locus=Brasy4G154000 ID=Brasy4G154000.4.v1.1 annot-version=v1.1 MGAQYCSWLLGFLLPSLWEAEVAVSATALLAAAVALLLILDQTASNGTTNTASSPPPPTARTHHRDGRRRRSSARGKKAAAPELRRHACEITSPPADDGSPRRASTTTTTYVIKVELVSAKYLIGANLNGTSEPYAVISLGEQKRFSSMVPSSRNPLWGEGFNFLARELPAEVTVTIYDWDNVCKCKVIGSVTVAILGEDKTDSTWYDLDSRSGQVCMRISSMEVFNSDSYFNQYTRVDSQKKMTLNKQRLAMIEDSGHLQAMFEFPHDEIVQHSYSCALERCFLHYGRMYISSWHLCFHSKVFSKQLNVIIPLQDINEIKRSQHSLINPAITIYLHTGAGGHGAPSLCCQQNGSIRYKFTSFWNRNRTFRALETALQSYRANLEAEKQVRAHSLLQGQNMNVSSRTYNIKTEDRNIERAVTFEQANTFQPFINEHVLVDVTSNTFPGTPEKFFTLILGDNPIFIQQYRDARKDTDLKMSKWYASDEYGGNVREVTFRSLCHSPLCPTDTAVTELQHTSFSKDKRNLIYETKQQAHDVPFGSYFEIHCRWSLRKTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEERSLQDFPGCM* >Brasy4G151500.1.p pacid=40085825 transcript=Brasy4G151500.1 locus=Brasy4G151500 ID=Brasy4G151500.1.v1.1 annot-version=v1.1 MTQPTVLSPHPSDLLPTSTGHCIVGHPFHGAAVVLCPPPLAPSPTRRRPIRKPVGPPESSAGNALGRYSPASCSGRRANGRSCRCTAPGSSSMPPMLYRERVRELYPASIRRLQLNAESLPFDARVTDRQGGIDLHTNQSSSPPW* >Brasy4G321900.1.p pacid=40085826 transcript=Brasy4G321900.1 locus=Brasy4G321900 ID=Brasy4G321900.1.v1.1 annot-version=v1.1 MAEPAGFLPDLGPSLGLTGTVGPSSPSPSLADEVVLGQVSDAVEEDLGHISNVVEVGLDPDATSAPPPVGPPVSEGLERPMTAADSLRESLCLSPPRSVLGLRPAVSAPTRRKKTLPPDFTPRRSERLRQKDDGKNRGPYHRAQTVLARKLGLVPEGEVVSQEALDQYLRLFSKPLAPHHLRVVAALFAPDDVDFDEPAYTGFQAFSMPEESFNLSLVCVQESKLQVVDSAVVCQTFGPAFDGFDFIPAAGTRDRIILAWRSDLLQISSVSKGEFSITAEVLSLKNGKSWAVTSYYGPQEPPDKERFLMELSNIGASTHLPWIINGDFNLVCDTADKSNGRVNRRLMNKFRHTLNSVALQDMPLQGRRFTWSNEQEVPILAKLDRILFNPLWEDVFPISDLTALSTNISDHCPLLLTCSSAKPRSCRFRVENFWCKIPGFLEEVQKALNSAPATGDSLQVLDSKLKATANSLRSWGQRKQSQFSLLFQIANEVILRFDEAMELRNLSSEERSLRAFLKGKCLALASLERTRLRQRARIRDIKEGDANSKYFHMKANARRRKHLIPILRHKDRSATSTEDKLELAQNYFADILGSVPRRPHAVDLCALSMTQMRALEAPFSRDEVRKVIMDMPSDRAPGPDGFSGLFFKHCWDVIAEDLLAALDLLHIGQFQHT* >Brasy4G010600.1.p pacid=40085827 transcript=Brasy4G010600.1 locus=Brasy4G010600 ID=Brasy4G010600.1.v1.1 annot-version=v1.1 MAMEGALVSAATGVLKPVLGKLAKLLGDECKRFKGVRGEIKSLCRELAAMDAFLLKMSEQEDPDPQDKVWMNEVRELSYDMEDSIDDFMKHVDDKDTKPHGFINKFKSSLGKMKSRRRIGKEIDDLKKQIVEVAERNARYKTREAFSKTINATVDPRALVIFEHASKLIGIDEPKKEIIKLLTGEGGSAATKEQLKLVSIVGPGGMGKTALANQVYQELKGQFDCQAFLSVSRNPDMTNILRTILSEVSNTRYADTEQGSSQQLIVKISGFLAEKRYFIVIDDIWDIVAWDVIKCAFSVNSCGSRIIITTRINPVAESCRTSFNGDIYSIRPLNMVHSRQLFNRRLFGSKEDCPSYLEEVSVQILEKCAGLPLAIIAISGLLANTERTEHLWNQVKDSIGRALERNATVEAMMKILSLGYFDLPPYLKTCLLYLSIFPEDSIVEKKGLIRSWIAEGFIQKSDKFTVHEIGERCFNELLNRSLIQPAKTYYDEEACRVHDIILDFIISKSIEENFVTFIISKSTEENIVTVGTQGKVRRLSIQAGEQGNSCIPTGLALSHVRSLIVFGDFLEIPSLDEFRHLRVLNFEGWFQLERHHLVNIGRLFQLRYLNLRGTRIRELPEQIGHVRCLEMLDLRQTLVRQLPAAIINLGKLSHLLVGSVVKFPDGIAKMQALEVCKRVGLRYNPFDLMQDLAQLKNLRKLGLDFATYDDKGVIAMVQEELHKEVASCLGNLGTCLRSLTIWDGSSFLQQEGSLCPVPLTLQKLGIDNAFPALPRVPKWMGSLEKLQKLALSVHGVKQEDVCILGALPSLLILILNVRAPVGRTWSNKGNLVFSAAVGFRCLRIFSHNQIGPAGLVFAAGSMPKLEKLELCLRKDPSCRKLPLTASDAIDMGIENLPFLITVKCEIEGTKFNRKALKAAKAAMERAVSTHPNHPTLLFERLRI* >Brasy4G314000.1.p pacid=40085828 transcript=Brasy4G314000.1 locus=Brasy4G314000 ID=Brasy4G314000.1.v1.1 annot-version=v1.1 MIRSRRQRGFRLGRKLLGLWRWALCPRRRRGGGGYLRLNHYPAAKSSPAAVLGSRSAKQQQQLVVLPRGDDDDEQSRRRMLTTWGRSLAQRTLRLLPRRRGGERLLEEAGEATTPKGQVAVYVQGGDGEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFEHPGGITIPCAATRFERAAAMAAAGCRKLPGSWW* >Brasy4G380000.1.p pacid=40085829 transcript=Brasy4G380000.1 locus=Brasy4G380000 ID=Brasy4G380000.1.v1.1 annot-version=v1.1 MPSLGLVLVLLISFASRTSSCTPQEKGFLLQFIDGLSHDGGLATSWQDGMDCCKWEGITCRQDKTVTDVFLASKGLEGYISESLGNLTGLKHLNLSHNLLSGGLPLGLVSSHSITVLDVSYNLLNGALQELPTSISAQPLQVLNISSNLFTGEFPSTIWKAMENLILLNASNNSFSGPIPTDFCNSSPSFTVLELCLNKFSGSIPPGLGNCSKLTVLRAGYNNLSGTIPDELFNTTLLEYLSFPNNNLHGALGDARIINLSDLETLDLGGNKFNGEIPDSIGQLKKLKEFHLNNNKMSGELPSALSNCTNLITIDLKSNNFSGELSKVNFSNLPNLKTLDLYFNNFNGIVPESIYSCSNLTALRLAGNKLHGQLSPQIGNLKDLTFMSLSHNSFQNITNALHILKSCRKLTTLLIAFNFIGETIPEDENFDGLENLQVLGPSLQYRVPIALRKLLNLSKNKLTGEIPLEIGQLKALLALNFSYNRLTGQIPQSICNLTNLQVLDLSSNNLTGMIPGALNSLYFLSAFNVSNNGLEGPIPSGGQFNTFQNSSFDGNPKLCGSTLPHKCSSSPTPLRSTKHRNKAIFAIAFGVFFGGIAILLLLGCLLLLIRKNGFTTKNRRGESGDVEETSFYSRSEQTLALMRMPQGKGEENKLKFTEILKATNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNGETCLMEREFGAEVDALSMAQHENLVPLLGYSIQGNSRLLIYSYMKNGSLDDWLHNRDDDASSFLDWPTRLKIAQGTSLGISYIHDVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLVLPNKTHVTTELVGTTGYIPPEYGQAWVATLRGDMYSLGVVLLELLTGMRPLPVLSKSKELVPWVLDMRSEGKQVEVLDPTLRGIGYEEQMLKMLEAACKCVDHNQFMRPTIMEVVSCLASIDADSQMQNAKE* >Brasy4G044700.1.p pacid=40085830 transcript=Brasy4G044700.1 locus=Brasy4G044700 ID=Brasy4G044700.1.v1.1 annot-version=v1.1 MAALVATSMGVVREVLGDSVVDEVDQPIVDYIANVLADQDFDFGPPDGHGIFDALGELLIDARCVYDTEHCLEVCSKLCEKLGNHGIVKPKQAVRSLATPLRMNAGMEEEVAPKKQENVFDAPLLSSRDKAKIERNKRKEERQREAQYQMHVAEMEALRAGMPPVYVNHTNDGGPVIRDIHMENFSVTVGGRDLIQDVTITLAFGRHYGLVGRNGTGKTSFLRAMAQHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEEAHLGQLQKDLEYEAESNPGVGKSKSGLDKDAISKRLEEIYKRLDFIDADAAEARAASILAGLSFTPEMQRKNTKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDVLHLHGKKLHAYKGDYDTFERTREEHLKNQMKAFETNEKARGHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPLLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFSQHHVDGLDLTVNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQSMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLIFQGGVLMVSHDEHLITGSVDELWAVTDGKVAPFPGTFKDYKKMLTK* >Brasy4G246100.1.p pacid=40085831 transcript=Brasy4G246100.1 locus=Brasy4G246100 ID=Brasy4G246100.1.v1.1 annot-version=v1.1 MAGKGAKATAAKGADKEKGKKVPASRSCRGGPQEKLAPKKDVFQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFIKNHPEVTEILGLDNDVEAEDIVNILLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHPDQEFTENDGFYAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSLLLLRAAIFGVLWVLLGKRVWFFPNINAEETTFRELIRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMDKHSEDNATETSNYTSYAPPTTEGKTMDGSADVDAYETQDSYESQDSDEHADDMSKI* >Brasy4G009400.1.p pacid=40085832 transcript=Brasy4G009400.1 locus=Brasy4G009400 ID=Brasy4G009400.1.v1.1 annot-version=v1.1 MKSYRRSAIMCFIVQYRRIRLLWCSLWKWMSASFVSYYFLSIMKVCLIFHRIIIYLLRFLMQCIQGY* >Brasy4G065000.1.p pacid=40085833 transcript=Brasy4G065000.1 locus=Brasy4G065000 ID=Brasy4G065000.1.v1.1 annot-version=v1.1 MASGRLVLCLVAMVAVVVCSSLPLALAARAFFVFGDSLVDNGNNNYLVTSARADSWPYGIDTPDHRATGRFSNGKNVPDLISEHLGSEPLLPYLSPELDGDKLLVGANFASAGIGILNDTGIQFANIIRIEKQLSYFAQYQHRITKLLGSQAAATRLVNSALVLITLGGNDFVNNYYLIPYSARSREFSLPDYIVYIISEYKQVLRQIHALGARRVLVTGVGPIGCVPAELALHSLDGSCDPELQRAAEAYNPKLVAMLQELNKEVGGDVFVGVNTRRMHADFIDDPRAYGFQTATDACCGQGRFNGIGICTMVSSLCADRDAYVFWDAFHPTERANRLIAQQFVTGSEEYITPMNLSTILKLDRHLHD* >Brasy4G341200.1.p pacid=40085834 transcript=Brasy4G341200.1 locus=Brasy4G341200 ID=Brasy4G341200.1.v1.1 annot-version=v1.1 MSAVVCGKRSSIFGDELIPSSPPSPPHHHPSKRARCSPTRAFDDAAAAYRRETLLHHLHSLFPHMDPKLLERALEASGDDLDSAIRSLKDLHLDSAEAILSAAVCESENGLSTALKSTAEGGCTGGVSNGHLDAISGNSPRAGNCQTNHSSEWVDLFVREMTSASDINDARARASRALEVIEKSILERVGPEVVQNLSKENVMLKEQLAIILRENAVLKRGVAIQHERQKEFDVRTQEVQNLKQLALQYQGQLKTLEINNYALRVHLQQAQQNSSMPGSFHPDVC* >Brasy4G392100.1.p pacid=40085835 transcript=Brasy4G392100.1 locus=Brasy4G392100 ID=Brasy4G392100.1.v1.1 annot-version=v1.1 MWRLKIGEGGGDPWLRTKNAHVGRQVWEFDPTADDPDALAAVDAARRDFAAGRLRHKHSADLLMRIQFAKENPLKLDLPAIKLEEHEDVTEEAVSTSLKRAISRFSALQAHDGHWPGDYGGPMFLMPGLLITLYVTGSLNTVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVCLRLLGEGPDSGDGAMEKGRNWILDHGGATYITSWGKFWLAVLGVFDWSGNNPLPPEIWMLPYCLPIHPGRMWCHCRMVYLPMCYVYGKRFVGRITPLILELRKELYKVPYSEIDWDKARNLCAKEDLYYPHPLIQDILWATLHKFVEPVLTHWPGNKLREKALNLVMQHVHYEDENTRYICIGPVNKVLNMLTCWIEDPNSEAFKLHIPRIYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIAATGLIEEFAPTLKLAHDYIKNSQVIDDCPGDLDYWYRHISKGAWPFSTADHGWPISDCTAEGLKASLVLSKISPEFVGEPAEVNRLYDAVNCLMSWMNENGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRKDVDNCINKAANYIESIQRSDGSWYGSWAVCFTYGIWFGVKGLVAAGRTFKNSPAIRKACDFLKSKELPFGGWGESYLSSQDQVYTNLEGKHAHAVNTGWAMLALIDAGQAERDPMPLHRAAKVLINLQSEDGEFPQQEIMGVFNKNCMISYSQYRNIFPIWALGEYRCRVLSADKK* >Brasy4G206400.1.p pacid=40085836 transcript=Brasy4G206400.1 locus=Brasy4G206400 ID=Brasy4G206400.1.v1.1 annot-version=v1.1 MCTPTKQLACTVDSNSPMTRSRKKQLGLDATMRKVSEVAAKPKATKKTARKMDVKKAKK* >Brasy4G069200.1.p pacid=40085837 transcript=Brasy4G069200.1 locus=Brasy4G069200 ID=Brasy4G069200.1.v1.1 annot-version=v1.1 MEMETPAAAGCDCECEIDRLPEELLVQVISLTSPLDAFRAAAVPRAFGAAADSDAVWSRFLPRDLPRFAKGVFPKPKPTTPPMSKKALFERLSGQPALLPHKSTRMQLDRATGAEWFTLSVSGMQILRRRYFTTIRVDPSRCTTRGRRFSHAYEIYNMPKLQIGAKVQSKVLSRNTTYAAYMVFKLPEKFYGLDFPYQEASIAVGGSESTVTRQVCLQGYIDDEDRKHVLPGHYGIIRHPEGVLPGEEVVFPRRRDDGWMEVEMGEFYNDGGGGGGGEVSMCLKDGHTGRDCGRSTKSSEE* >Brasy4G145000.1.p pacid=40085838 transcript=Brasy4G145000.1 locus=Brasy4G145000 ID=Brasy4G145000.1.v1.1 annot-version=v1.1 MEDLQDCNSKSLVAVPGSLVLHLFRQFGQQDNSWHKYTLAYFLLVRNEYFSREPRKHSAVSGQHVDCYDSSKLDLASKVLPLKEQNAVVKTQSGGDSSANGSNDGFLPGLHDDMAQDCLAWTSRSDYPSLSCLNKKFNMLINGGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRNRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRHWSRCTPMNLPRCLFASGSSGEIAIVAGGCDSTGQVLVSAELYNSEAGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSERNSLTCGEEYNLQTRTWRRIPDMYPGGTSASQSPPLIAIVNNQLYAADQSTNVVKKYDKENNIWNIVKPLPVRADSSNGWGLAFRACGDRLLVIGGHRVPRGEVILLHSWCPEGGNGGADWEVLSMKERAGVFVYNCAIMGC* >Brasy4G145000.2.p pacid=40085839 transcript=Brasy4G145000.2 locus=Brasy4G145000 ID=Brasy4G145000.2.v1.1 annot-version=v1.1 MEDLQDCNSKSLVAVPGSLVLHLFRQFGQQDNSWHKYTLAYFLLVRNEYFSREPRKHSAVSGQHVDCYDSSKLDLASKVLPLKEQNAVVKTQSGGDSSANGSNDGFLPGLHDDMAQDCLAWTSRSDYPSLSCLNKKFNMLINGGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRNRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRHWSRCTPMNLPRCLFASGSSGEIAIVAGGCDSTGQVLVSAELYNSEAGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSERNSLTCGEEYNLQTRTWRRIPDMYPGGTSASQSPPLIAIVNNQLYAADQSTNVVKKYDKENNIWNIVKPLPVRADSSNGWGLAFRACGDRLLVIGGHRVPRGEVILLHSWCPEGGNGGADWEVLSMKERAGVFVYNCAIMGC* >Brasy4G145000.3.p pacid=40085840 transcript=Brasy4G145000.3 locus=Brasy4G145000 ID=Brasy4G145000.3.v1.1 annot-version=v1.1 MAQDCLAWTSRSDYPSLSCLNKKFNMLINGGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRNRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRHWSRCTPMNLPRCLFASGSSGEIAIVAGGCDSTGQVLVSAELYNSEAGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSERNSLTCGEEYNLQTRTWRRIPDMYPGGTSASQSPPLIAIVNNQLYAADQSTNVVKKYDKENNIWNIVKPLPVRADSSNGWGLAFRACGDRLLVIGGHRVPRGEVILLHSWCPEGGNGGADWEVLSMKERAGVFVYNCAIMGC* >Brasy4G232600.1.p pacid=40085841 transcript=Brasy4G232600.1 locus=Brasy4G232600 ID=Brasy4G232600.1.v1.1 annot-version=v1.1 MHQPRPFHVFRAERGGTTAGYHIGDGAALPPPPELYPRTSPNPSSKSSSNLTVANFAPLANADGVVASLGMAAPGALTEVDRFCLPRAAAQFENWGDSSGIVVTSPLTETSTDLDDSADKRLVSMGGGGGGQRRVGGCVDTSERKGDQKVERRLAQNREAARKSRIRKKAYVQQLESSRSKLAQLEQELQRARQQGIFIGSGGSSDHGCSTGGALAFDLQYARWLDEYQHHVNDLRVGVHANISDDELRILVEAVMSHYDHLFRLKSIATKSDVFHVMSGMWMSPAERFFMWLGGFRSSELLKVLASQLEPLTDQQLMGICNLQQSSLQAEDALSQGMEALQQALAETLAFAAAVVPSAGSGDNVTNYMSQMAVAMAKLSTLENFLRQGDLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRA* >Brasy4G232600.2.p pacid=40085842 transcript=Brasy4G232600.2 locus=Brasy4G232600 ID=Brasy4G232600.2.v1.1 annot-version=v1.1 MALPSLLLLSSTLALRFFVVYARREAGPNPSSKSSSNLTVANFAPLANADGVVASLGMAAPGALTEVDRFCLPRAAAQFENWGDSSGIVVTSPLTETSTDLDDSADKRLVSMGGGGGGQRRVGGCVDTSERKGDQKVERRLAQNREAARKSRIRKKAYVQQLESSRSKLAQLEQELQRARQQGIFIGSGGSSDHGCSTGGALAFDLQYARWLDEYQHHVNDLRVGVHANISDDELRILVEAVMSHYDHLFRLKSIATKSDVFHVMSGMWMSPAERFFMWLGGFRSSELLKVLASQLEPLTDQQLMGICNLQQSSLQAEDALSQGMEALQQALAETLAFAAAVVPSAGSGDNVTNYMSQMAVAMAKLSTLENFLRQGDLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRA* >Brasy4G232600.3.p pacid=40085843 transcript=Brasy4G232600.3 locus=Brasy4G232600 ID=Brasy4G232600.3.v1.1 annot-version=v1.1 MAAPGALTEVDRFCLPRAAAQFENWGDSSGIVVTSPLTETSTDLDDSADKRLVSMGGGGGGQRRVGGCVDTSERKGDQKVERRLAQNREAARKSRIRKKAYVQQLESSRSKLAQLEQELQRARQQGIFIGSGGSSDHGCSTGGALAFDLQYARWLDEYQHHVNDLRVGVHANISDDELRILVEAVMSHYDHLFRLKSIATKSDVFHVMSGMWMSPAERFFMWLGGFRSSELLKVLASQLEPLTDQQLMGICNLQQSSLQAEDALSQGMEALQQALAETLAFAAAVVPSAGSGDNVTNYMSQMAVAMAKLSTLENFLRQGDLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRA* >Brasy4G232600.4.p pacid=40085844 transcript=Brasy4G232600.4 locus=Brasy4G232600 ID=Brasy4G232600.4.v1.1 annot-version=v1.1 MAAPGALTEVDRFCLPRAAAQFENWGDSSGIVVTSPLTETSTDLDDSADKRLVSMGGGGGGQRRVGGCVDTSERKGDQKVERRLAQNREAARKSRIRKKAYVQQLESSRSKLAQLEQELQRARQQGIFIGSGGSSDHGCSTGGALAFDLQYARWLDEYQHHVNDLRVGVHANISDDELRILVEAVMSHYDHLFRLKSIATKSDVFHVMSGMWMSPAERFFMWLGGFRSSELLKVLASQLEPLTDQQLMGICNLQQSSLQAEDALSQGMEALQQALAETLAFAAAVVPSAGSGDNVTNYMSQMAVAMAKLSTLENFLRQGDLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRA* >Brasy4G358700.1.p pacid=40085845 transcript=Brasy4G358700.1 locus=Brasy4G358700 ID=Brasy4G358700.1.v1.1 annot-version=v1.1 MQMASKEQQPAATAAGKPPTICNRLQRAFQSRPAFRPLLRLTGRAQQDGEAHGAPATGTEAHGAPPPPILLPARTPAAPPAGKAPAPAPPVTLLPAQVPQKPAAAKGAGKAQREGQVPAVSIPAAATNVAEKKKAAATAGGMPVPVPPPAVVAGRPPVADAKAGDKAKTRVGSRVRKALASSK* >Brasy4G286900.1.p pacid=40085846 transcript=Brasy4G286900.1 locus=Brasy4G286900 ID=Brasy4G286900.1.v1.1 annot-version=v1.1 MSNDSLVTGRVVGDVLDPFRSTVDLEVLFNGRPIINGKEFRTPAVSDKPRVEIGGEDLSVTYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREVVCYESPAPATGIHRMVLVLFRQLARDTVSPPSMRHNFNTRAFARRYNLGAPVAAKFFNCQRQAGSGGPKFTGPYSTSRRHL* >Brasy4G061100.1.p pacid=40085847 transcript=Brasy4G061100.1 locus=Brasy4G061100 ID=Brasy4G061100.1.v1.1 annot-version=v1.1 MAKKKKKSGGGGGGGGGGGGQQQEQKAAASAEERDDKADADADTGGEGQAKAAPEAEEKDKDKEKGGGDKGSEKKDGKEKKPLPVVTAVLKVDMHCDGCARRIRASVRRFPGVEGVAMEVDKGSMTVVGRFDAKKLRDRVAHKTRKKVDLVNNNNNKGDKGGGDQKGDDGEAKPDKKDNDEEQGKEKDDNKGGGNAGKGKGGGKDNKKPAVPVIGTVVLKIGSMGLHCDGCMHRIRNKLFKIKGVEQVHMDMAKNQVTVTGTMDAKALPEKLRKKLRRPVDVVQPNNKQQQDKDGKDGKQQQQQGEGGGGNKDGKQQQQGKEATEKALAAEVELWKTAFYDQQSLLATEFLLSDENPNACAVM* >Brasy4G217300.1.p pacid=40085848 transcript=Brasy4G217300.1 locus=Brasy4G217300 ID=Brasy4G217300.1.v1.1 annot-version=v1.1 MADWGPVVIATVLFVLLTPGLLFQLPAQGRIVAFGSMHTSGLAILVHAILYFALITIFLVAIGVHIYAG* >Brasy4G070600.1.p pacid=40085849 transcript=Brasy4G070600.1 locus=Brasy4G070600 ID=Brasy4G070600.1.v1.1 annot-version=v1.1 MAPLPTPSPRPGPPPTPPAGMTTPLRTPTSKHRIHFTPRSTHHGGGGGGAAGAATEHPVEVIGRVRNLTAAAAGASALEVAGGGTAVRVRGDSGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGSSSSEGENRGEEDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKVRLEVMGKKAKNASYISGNEAGKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHASTPRDKMSSEESSAMLNSRIVAMNQFIYKLQKENKQREKERNEAQNLLRLKEEELAQARAKLRLIEGQGAAAKEEEINSKVIEKTQILKSELRMMEEKMLRQQQELLALKQRLEEVEREKVDARQPVQQDIIGGRLLARLSEMSAGVDPSMSMAMSMSMDLDAEDQPTVLDVKVIKEDTRQQGQVWNHSSTAGSCTSALEQEDIVRLSGFPEKAVLSTVFEEGDEESEEKDNSAEAEVCKEVVEEGSYKVDRMEQPLAEPDRTNRIQNIFRLCGNYRELVKKPNVESPANQQVFGDENKQLGKQFLGDENNQPAKQLFGDENKDPSAWAAIETPMCDVKVTDSPVSSQLSPIVCQVVDEAKLAVPEELKSCTTPEATDQSKPKEREGLLEVYIKWESGNLIKGLKLLQNACLSDLRKLIEAHFEEAGSKQQPHQFTFLLLGDPSGAPVSKEKEATVQISKLPNWNNQPNSYLACLRAVKKPATDQLHLIPFSPLESKLNSALNATGALSPKVVAQMSPNYIRELRA* >Brasy4G347000.1.p pacid=40085850 transcript=Brasy4G347000.1 locus=Brasy4G347000 ID=Brasy4G347000.1.v1.1 annot-version=v1.1 MKLTVKTLKGIPFEIRVQQNDTIMAVKKKIEEIQGKDSYPWGQQMLIHTGKILKDESTLEENQVSEDGFLVVMLSKSKASVSSGASSAQPSSIPVTRQAPPPDAQIQAAESSVPPTTTSQLERPPAETPLNTVDRVASDLLSGSNLDTMINQIMEMGGGSWDRDKVQRALRAAYNNPERAVDYLYSGIPVTAEVAVPVGPQGANSTDAAPSGETGLSGIPNTAPLNLFPQGASNAGGAAGGGSLDFLRNNQQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENNDEFLGLLNESFDAGDGDFLDQPDEDDMPHAISVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAGDEE* >Brasy4G347000.2.p pacid=40085851 transcript=Brasy4G347000.2 locus=Brasy4G347000 ID=Brasy4G347000.2.v1.1 annot-version=v1.1 MKLTVKTLKGIPFEIRVQQNDTIMAVKKKIEEIQGKDSYPWGQQMLIHTGKILKDESTLEENQVSEDGFLVVMLSKSKASVSSGASSAQPSSIPVTRQAPPPDAQIQAAESSTPLNTVDRVASDLLSGSNLDTMINQIMEMGGGSWDRDKVQRALRAAYNNPERAVDYLYSGIPVTAEVAVPVGPQGANSTDAAPSGETGLSGIPNTAPLNLFPQGASNAGGAAGGGSLDFLRNNQQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENNDEFLGLLNESFDAGDGDFLDQPDEDDMPHAISVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAGDEE* >Brasy4G353400.1.p pacid=40085852 transcript=Brasy4G353400.1 locus=Brasy4G353400 ID=Brasy4G353400.1.v1.1 annot-version=v1.1 MADAKEEAAAGPAPPAPQSSQEEEEDWKEAEGDVEVEDRATSNGGGEADRPIRVYADGIYDLFHFGHARSLEQAKKSFPNAYLLVGCCNDELTHKYKGRTVMTAEERYESLRHCKWVDEVIPDAPWVVTKEFLDKHNIDFVAHDSLPYADASGSAYDVYDFVKKLGKFKETKRTEGISTSDIIMRIIKDYNEYVMRNLARGYSRNDLGVSYVKEKRLRVNMGLKTLRDKVKQHQEKVGEKWSTVAKLQEEWVENADRWVVGFLEKFEEGCHSMGTAIKERIQERLKSQSSKDFSLLQYDSDDDDAYEEYEEDAEDAKE* >Brasy4G411000.1.p pacid=40085853 transcript=Brasy4G411000.1 locus=Brasy4G411000 ID=Brasy4G411000.1.v1.1 annot-version=v1.1 MKLIAAYLLAYLGGNSCPTADDIKNILESVGAEADEDKLEFLLAELKDKDITEVIAAGREKFASVPSGGGAISVGAPAAAAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD* >Brasy4G257900.1.p pacid=40085854 transcript=Brasy4G257900.1 locus=Brasy4G257900 ID=Brasy4G257900.1.v1.1 annot-version=v1.1 MGAKGAAKGACREESVAVLEQTEEVKAVAPRKRNPCPGVRSVGGRIYDPENGKTCHQCRQKTTDFAVACKQPQKRGLCPIHFCHKCLLNRYGEDAEKVTKEEAWICPKCRGICNCSFCRKKNGEMPTGILAHAAKASGHSSVHDLLIKGSDMVAAAQTLTSLPLKVKKGNPKRALETDDATNGLLAQGDENIGIDLNALPSAPVNKKLKKSKCRVDNMTADEKLPVENKDRPQVRGDSTDVCKEKLVLPSGTPVTNIVGIELKTEDTGPAIQFYEFCRTFAEVFQIRKGQPEKVLQVITGGGRIFREVPAVVAELHINLLSVIQEDREENPLGYSRDKDTWINDIGKYISESTLLSKELPAGCLNQGVLGYKSLSPSLKLHVLNLLCDETLSTIKLRNWIVNQNESATERRMASREQIRAAKEKEKELKDRLKNEMAKPMFVRKGAEINSLISQIKEANKDKEAAVNEGNHGGALRTKPVRIDKGVAYWKFDGYYNNTAILRQEFDTMGNNDTWFMFTEEEEKVIGQYVASR* >Brasy4G052700.1.p pacid=40085855 transcript=Brasy4G052700.1 locus=Brasy4G052700 ID=Brasy4G052700.1.v1.1 annot-version=v1.1 MGGGPRTFPGGLSKWQYKRMHEKLARQKQRGLLRHEKQLYLARLRSEIRASHLPGAPDAAAAAPDHGGGPTSSRAHIRSLADRFLRPGAEDLWNEDDGPLRRAKPLPRTQPARSLPSGARIVDWKQMDSGKKPSQGGSADWKDWEELHVEQSSVRRGTGVGGSEPRLAAFNPRREYGTVAPWWWQWSSGSGTPSQRKQASFGFFGLKRCYSAIPPCLPHRESSPTLMPLGASKPTGAGNGKETSLAMFNQERLYSVAARRFGQKWRPDSSDEDDEGISTAKRDLRFGKFGAPREEESEDDELGEASTIRRKWSTAALRNCDMKKDRRALKSYEEETNDLTGRIQELREEIRNREVLGAERRRYESRGESLFTSKRFDECGISPLTVKALTDAGYVQTTVVQEAALLICLEGKDILVKAKTGTGKSAAFLLPAIESVLNAMKSHTNHRVSPIFALVLCPTRELAVQVTAEANVLLKYHQGVGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENRSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATVPKEVRRVSQLVLNRDHVFVDTVGLGAVETPTKVQQQYLVVPHELHFHMVHSLLREHIDQEVNYKVIVFCSTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSARGLNYPDVTLVIQVGVPPGREHYIHRLGRTGREGKSGKGILLIAPWEEYFLNEITDLPIEKAQVPHIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKSMLADLASRFCVSIGVEKPPALYRKTALKMGLKDVPGIRIRK* >Brasy4G421300.1.p pacid=40085856 transcript=Brasy4G421300.1 locus=Brasy4G421300 ID=Brasy4G421300.1.v1.1 annot-version=v1.1 MVVETAWGVFRYNISTQETIQVTPKELDAVTPAAISETKVAVATIRQKIPVQYRHIEIFDTKAALPVQITQKTTPECDHYNPFMLDGGRRIGYHRSRTSRYHEKFHKLESPSKDIGLYGASGMFPAISKDGSKLAFVDNKSKVVWLADSQGRRIVYEQTGSDSVFSPVWNQDSNKDILYICVGPSLKSHSPLEIYSITNVSSSDGARVVRRLTIGKFNNAFPSSSPDRGKLVFQSTRDFCHEKAEDRRKKHKNLYILLNAAAGESDDNSWATRLTKGSWTDTQCQWSPSGEWIVFSSTRDRRPTSNHAGGLEDEEYPAGYLSVFLVKACDPMVVVRVVDSGADPVFSPDGRSIAVTADLAAVSVDPISLPKLARLCSNIFVVDIDPEDDDDNKKKNQQYVIKGLHHRMTHSRYVCGTPAWTTTQVDMDPSAPWNMMELVDLRTPAWTTIRVAGDPNAPWNMMEQVDLRSRGIISRILRRRLGEP* >Brasy4G440800.1.p pacid=40085857 transcript=Brasy4G440800.1 locus=Brasy4G440800 ID=Brasy4G440800.1.v1.1 annot-version=v1.1 MADNKDPFEEEEEEANWESSEESVDWESSEGMSEDKESSYVEEEERWSEFCRSPDDGGEGNVDVGSELTVGDSNSEGVPWNLLERPVPCALPEALVSEELAACGCAVCKERYSLCKEIFASGERVVWLPCKHFFHGECIRTWLVIKNPCPVCHYNVDNHSQKNTPSR* >Brasy4G010200.1.p pacid=40085858 transcript=Brasy4G010200.1 locus=Brasy4G010200 ID=Brasy4G010200.1.v1.1 annot-version=v1.1 MLSSFGGGGHPNSPQKHGRCDEQGGQDGFLGLAGASLPPPPSCSFLGSTGSSGAQMLSFSSNDAAGFGLTSGASMQGVLARVRGPFTPTQWMELERQALIYKHIAANAPVPSSLLQPIRRSLNPWVGWGPFRPGGADIEPGRCRRTDGKKWRCSRDSVGDQKYCERHINRGRQRSRKHVEGRKVTPTIAEPAMVVSGGVSSRSHAAWQQQVEGLAANVADPFPRQSNRELLEKQNVVEQSSVPTPMDPFDFPSSHSSSNRDEVAFSPLKLHHDLGQAFTVYGAGSSLEKGNRSQPQESWSPVTRETLDDGPLGEVFRSKSCQSSYGASQLTKN* >Brasy4G010200.2.p pacid=40085859 transcript=Brasy4G010200.2 locus=Brasy4G010200 ID=Brasy4G010200.2.v1.1 annot-version=v1.1 MLSSFGGGGHPNSPQKHGRCDEQGGQDGFLGLAGASLPPPPSCSFLGSTGSSGAQMLSFSSNDAAGASMQGVLARVRGPFTPTQWMELERQALIYKHIAANAPVPSSLLQPIRRSLNPWVGWGPFRPGGADIEPGRCRRTDGKKWRCSRDSVGDQKYCERHINRGRQRSRKHVEGRKVTPTIAEPAMVVSGGVSSRSHAAWQQQVEGLAANVADPFPRQSNRELLEKQNVVEQSSVPTPMDPFDFPSSHSSSNRDEVAFSPLKLHHDLGQAFTVYGAGSSLEKGNRSQPQESWSPVTRETLDDGPLGEVFRSKSCQSSYGASQLTKN* >Brasy4G010200.3.p pacid=40085860 transcript=Brasy4G010200.3 locus=Brasy4G010200 ID=Brasy4G010200.3.v1.1 annot-version=v1.1 MQGVLARVRGPFTPTQWMELERQALIYKHIAANAPVPSSLLQPIRRSLNPWVGWGPFRPGGADIEPGRCRRTDGKKWRCSRDSVGDQKYCERHINRGRQRSRKHVEGRKVTPTIAEPAMVVSGGVSSRSHAAWQQQVEGLAANVADPFPRQSNRELLEKQNVVEQSSVPTPMDPFDFPSSHSSSNRDEVAFSPLKLHHDLGQAFTVYGAGSSLEKGNRSQPQESWSPVTRETLDDGPLGEVFRSKSCQSSYGASQLTKN* >Brasy4G010200.4.p pacid=40085861 transcript=Brasy4G010200.4 locus=Brasy4G010200 ID=Brasy4G010200.4.v1.1 annot-version=v1.1 MQGVLARVRGPFTPTQWMELERQALIYKHIAANAPVPSSLLQPIRRSLNPWVGWGPFRPGGADIEPGRCRRTDGKKWRCSRDSVGDQKYCERHINRGRQRSRKHVEGRKVTPTIAEPAMVVSGGVSSRSHAAWQQQVEGLAANVADPFPRQSNRELLEKQNVVEQSSVPTPMDPFDFPSSHSSSNRDEVAFSPLKLHHDLGQAFTVYGAGSSLEKGNRSQPQESWSPVTRETLDDGPLGEVFRSKSCQSSYGASQLTKN* >Brasy4G229700.1.p pacid=40085862 transcript=Brasy4G229700.1 locus=Brasy4G229700 ID=Brasy4G229700.1.v1.1 annot-version=v1.1 MTSEKAEITEFRSGWEESWGRHCGCFPDMTTVSSMQFTHLTPGCRPRHVGTEITLQIFSFKLAEIKGGLKWPLSIYGVIAARDPLDRNRNLLFSCDRRNSQELSEGDPFLRLTGPSRAIVFTEPVDFEVQLKVKGRAKPDDRALITGTWHFTDGRRVVSTLRFKNCFCTAELCLEPVEGTIQATILGVRVVKDGGLWPFEHGVRVVCSPSTRTAVFNDDSGDDDLTYESNPPSGEIMLLDSKDGSMNKDSEGHLHLSRQVVSVQSIGKLDVTVQSYSPDGGTAAQGHVSFDAKECQVSQAKCLLDNAEVEITVAWSLLVGEKREIAEPGWVFENGEALDKF* >Brasy4G073800.1.p pacid=40085863 transcript=Brasy4G073800.1 locus=Brasy4G073800 ID=Brasy4G073800.1.v1.1 annot-version=v1.1 MAGSSAASGSSSASLLSGSAGATFPLIKCSCCQVRKVMRLVSKTRRNPGRVFFKCPNHKKGTEGAVGYCDFFFWIEEYVEMLLSSGVDVHIDELLRIVIEARENDRMEEVQPLTLAAIASHREMADSVAMKEKQGIEEKKQDAIVKKLGSEEMLMELNVNLGVLVGIGQKLLGVCVLLLAVNLYAALKN* >Brasy4G428700.1.p pacid=40085864 transcript=Brasy4G428700.1 locus=Brasy4G428700 ID=Brasy4G428700.1.v1.1 annot-version=v1.1 MEYKSIYMNPIRSQALLSVLLPLICFAIHAQCRTMEDLGNEKGDNPPYGLCFRRENTRACKTLTFCYCCYVGDYLCYRTIYRRLR* >Brasy4G180500.1.p pacid=40085865 transcript=Brasy4G180500.1 locus=Brasy4G180500 ID=Brasy4G180500.1.v1.1 annot-version=v1.1 MGGFVDPFVPSPAWPQDMVFPGSSWAGSSAQGMGFHHLQNGSSPTQLIMDKELAAATVFPAGDAAKLHEHEQQQLLLQSADDLDYTLGSILGGQNVSVTDSAPVLCSSSNESSGSEQSGAAGVLPQFLMGDQHPVPVAWASGYAGISPSMAAGEETPQSFGFPGDDLLHEAAACGAPNGTNRYQQLGAVAPAQLQLQDDAEFSAGKLLSFAPGQQQIRPDIDTLQQEFGSGLRHLNLVPGAQLAPFNPKQCAEDGRNGNGVAGGGGAPKARVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLEEIIEYIKFLQLQTKVLSMSRLGATDASVPLLMESQNESSGGLVLGSLKSGAAGGGGRGLATHRHTDSDDFVQDSVVLEQEVAQMMEDNMTMAMQYLQNRGLCLMPVTLASAISVQKGTSSAAVRPEHGGKDDVKRVPTVLPSSKEVKPRPKVA* >Brasy4G180500.3.p pacid=40085866 transcript=Brasy4G180500.3 locus=Brasy4G180500 ID=Brasy4G180500.3.v1.1 annot-version=v1.1 MGGFVDPFVPSPAWPQDMVFPGSSWAGSSAQGMGFHHLQNGSSPTQLIMDKELAAATVFPAGDAAKLHEHEQQQLLLQSADDLDYTLGSILGGQNVSVTDSAPVLCSSSNESSGSEQSGAAGVLPQFLMGDQHPVPVAWASGYAGISPSMAAGEETPQSFGFPGDDLLHEAAACGAPNGTNRYQQLGAVAPAQLQLQDDAEFSAGKLLSFAPGQQQIRPDIDTLQQEFGSGLRHLNLVPGAQLAPFNPKQCAEDGRNGNGVAGGGGAPKARVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLEEIIEYIKFLQLQTKVLSMSRLGATDASVPLLMESQNESSGGLVLGSLKSGAAGGGGRGLATHRHTDSDDFVQDSVVLEQEVAQMMEDNMTMAMQYLQNRGLCLMPVTLASAISVQKGTSSAAVRPEHGGKDDVKRVPTVLPSSKEVKPRPKVA* >Brasy4G180500.2.p pacid=40085867 transcript=Brasy4G180500.2 locus=Brasy4G180500 ID=Brasy4G180500.2.v1.1 annot-version=v1.1 MGGFVDPFVPSPAWPQDMVFPGSSWAGSSAQGMGFHHLQNGSSPTQLIMDKELAAATVFPAGDAAKLHEHEQQQLLLQSADDLDYTLGSILGGQNVSVTDSAPVLCSSSNESSGSEQSGAAGVLPQFLMGDQHPVPVAWASGYAGISPSMAAGEETPQSFGFPGDDLLHEAAACGAPNGTNRYQQLGAVAPAQLQLQDDAEFSAGKLLSFAPGQQQIRPDIDTLQQEFGSGLRHLNLVPGAQLAPFNPKQCAEDGRNGNGVAGGGGAPKARVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLEEIIEYIKFLQLQTKVLSMSRLGATDASVPLLMESQNESSGGLVLGSLKSGAAGGGGRGLATHRHTDSDDFVQDSVVLEQEVAQMMEDNMTMAMQYLQNRGLCLMPVTLASAISVQKGTSSAAVRPEHGGKDDVKRVPTVLPSSKEVKPRPKVA* >Brasy4G425300.1.p pacid=40085868 transcript=Brasy4G425300.1 locus=Brasy4G425300 ID=Brasy4G425300.1.v1.1 annot-version=v1.1 MAVGKNKRISKGRKGSKKKIVDPFTKKEWYDIKAPLLFNTRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMIRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMVNQAASCDLKELVAKFIPEVIGKEIEKATSSIFPLQNVYVRKVKILKAPKFDLGKLMEVHGDYGKEDVGVKLDRPAEGDEAIPGAEEVAAAAE* >Brasy4G425700.1.p pacid=40085869 transcript=Brasy4G425700.1 locus=Brasy4G425700 ID=Brasy4G425700.1.v1.1 annot-version=v1.1 MKILSWNCQGLGKRSAVRALVEVHGRTKPDIVFLSETHLTKARAENMRRNLSFDHMIMSESDGRSGGLLLLWRKDLRVTSSEVHNNFLDIRIDEASDGGWRFTGLYGEPSGDRKQFTWEYLRNLHGRADLPWIVAGDFNEILWGHEKDGGAARAQRHMQAFRDALSDCALFDMGYTGDPFTWRRGRVRERLDRALQLFMRTLQNLTTDRW* >Brasy4G127100.1.p pacid=40085870 transcript=Brasy4G127100.1 locus=Brasy4G127100 ID=Brasy4G127100.1.v1.1 annot-version=v1.1 MSSTFRKRVLPCALLAAVLCFAFAAQRYSGHGERRRHPIPSGDDAGAAAGCLPLASEAGRSRAAAGSTGPRNFVVSPLSIHAALAVLAAGARGDTRRQLLELLGSPPSLVEWVYRSGEPLRPKLMDIGGAPRYGAAAESVGFIKDMANDLIREFLPPGGASGVDSYSTAVVVANAVFFGGTWSEQPFDSSATFVAPFHTPDGTAVRVPFMTTDRDDDKRVAVPSSCHTGIQERINLGDLYDQAVSSPGFIEDHSPAAKVPVGRFMVPEFKFTFEYDASSDMRKLGVTEPFKGSGDFSDMVSPGYWFGITEVFHKATIEVDELGTVATVPTAVHMVSSARVASPPLPLVDFVADRPFLFAVVEERSSTVMFLGHVVNPLDH* >Brasy4G327500.1.p pacid=40085871 transcript=Brasy4G327500.1 locus=Brasy4G327500 ID=Brasy4G327500.1.v1.1 annot-version=v1.1 MLRQLLLPCSHSSARTTSRQGNHLSPFPPLARSHWHTFSAGQRGQIIFSFFSFPAYLFVPTHFGDSRMSLSTIARRLCCSRPSPTNQLSVVLACLYSTEAAKDTGAKRYKYPEVYDPYGPMPPPSEKVVGLADRIAALPPEEIKQIAPALLLRLNQPAPQAISGQGFSLGSQGGAGAGAAKSEEKKAEKTVFDVKLEKFEAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIAKIKTAGGVAVME* >Brasy4G327500.2.p pacid=40085872 transcript=Brasy4G327500.2 locus=Brasy4G327500 ID=Brasy4G327500.2.v1.1 annot-version=v1.1 MSLSTIARRLCCSRPSPTNQLSVVLACLYSTEAAKDTGAKRYKYPEVYDPYGPMPPPSEKVVGLADRIAALPPEEIKQIAPALLLRLNQPAPQAISGQGFSLGSQGGAGAGAAKSEEKKAEKTVFDVKLEKFEAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIAKIKTAGGVAVME* >Brasy4G194600.1.p pacid=40085873 transcript=Brasy4G194600.1 locus=Brasy4G194600 ID=Brasy4G194600.1.v1.1 annot-version=v1.1 MAGASATRPAPPTQAAGRVSTKPIPAALVPAAVLLAVVVAVLSLLPSVAQAVWELPQLFLLGLVVSYGVFAQNHNKNAGAAAAGDAAANKDGGGARAWSSRYRPDDPLVVVADHAASVDEDYCAGGRPFSLPVRRLKPVVEESEAGGARSDDTAAIDEETASSAASSTAGFWAGAPGAAAVPSPPSVLDAFDSRKYNNAAAAATAQSAVSKGFPGYVDDDSLSLPRDDDRSSFNDEAEEEDQGTDWDEDADGLMDDMTAASSERSFPGDFVACGNRVYNRDGDGEGESADEELLELAKKTGPEGEDEVDRKADEFIAKFREQIRLQRLS* >Brasy4G294000.1.p pacid=40085874 transcript=Brasy4G294000.1 locus=Brasy4G294000 ID=Brasy4G294000.1.v1.1 annot-version=v1.1 MDSGESSSRLPPQRELPRGRRGRRRRTTSPDPVPTPIRAAAGSRCRRRLANASGASSSSIEQNMNIASSSMAQNVFVQLPEPSVSVTSPTQHHRHRNTSNPSYNLCCKGGRIKLPPYAPPPQPLMDLLTSQTSSTSKHFFEYIRQYNAMFAMTSMGAKVIESINDGHGPYVFKVSGQVCHRIGTLRPSDGHRPEYCQLYIYDTESEITNRINVASSSQGSFHANQGIVASLIRMLDINNPIVQLFRSARERLDGYTSSDHYRIWIFGDVDAQGDIFSAPVASEVVGLLVGDIGATDIGRDLIVEDRSAGLQQINEKHRKFMSMQYPLLFPY* >Brasy4G140200.1.p pacid=40085875 transcript=Brasy4G140200.1 locus=Brasy4G140200 ID=Brasy4G140200.1.v1.1 annot-version=v1.1 MARSSFKLEHPLERRQAEATRIREKYSDRIPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLLL* >Brasy4G045100.1.p pacid=40085876 transcript=Brasy4G045100.1 locus=Brasy4G045100 ID=Brasy4G045100.1.v1.1 annot-version=v1.1 MAADPSADLPNGAPAGADQKKSRESDRRRRRRKQKKNKTPAEAPAADTVGDSAEEDKPDLKPQVEVEVEYVAEVPDLADGLLADFKDIFEKFTFKDSPADAEDGEKKDEAGADAAKKGSGSDSDDDEQEAQQKKEGGLSNKKKKLEQRMKIAELKQICNRPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPPGASFGYRPGEWGKPPVDELGRPLYGDVFGIQQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEDDLMEEEDMEAGIQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQVLEQKEERIAPGTLYGSSHTYVVGAPDKAGVKRVDLLKNQKSDKVDVTIHPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQDKDGKSKKKDFKF* >Brasy4G334500.1.p pacid=40085877 transcript=Brasy4G334500.1 locus=Brasy4G334500 ID=Brasy4G334500.1.v1.1 annot-version=v1.1 MARAISSTAALTLLLCLLTTMAAAQQEYEANKQNACYATNGSSVLGYVCNATAAAAAAAPCATYLVFRSSPPYNSPVSISYLLNADTTAVADANAVPTVSPVPTSQLVLAPIPCGCSPRGFYQHNATYKIQFDGETYLIIANNTYQGLTACQALMAQNPEHDSRGLVKGNNLTVPLRCACPSPAQAAGGVRHLLTYLVTWGDTVSAIAGRFRVEVQAVLDANSLTGSETIFPFTTLLIPLKTAPTADMLLSPAAPPAPSPPQAPQPPGSGGSGSGRWVAVGVGVGVGVLALAGLVVLALIWVRRRWRPRPGVGEESGRPGRKMALDVPSSTDYDALASGKHTTGTTTTATSTSSSSAAAMRTLLSSDARAAMESLTVYKYSELEKATEGFSEQRRVAPNASVYRAVFNGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGLCVHSGNTYLVFEFAENGALSDWLHGDRSAADSTLVWKQRIQAAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDADLRAKVSSFGLARAVPEGGNGGGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKEATFDGGEKKGETTLLWESAEALVLADGGDARGKVREFMDPRLKGDYPLDLAVAVASLAARCVAREPRARPSMNEVFVTLSAVYNSTLDWDPSDYGNSGSSIVGR* >Brasy4G101500.1.p pacid=40085878 transcript=Brasy4G101500.1 locus=Brasy4G101500 ID=Brasy4G101500.1.v1.1 annot-version=v1.1 MRLPRLPLLLKIAAAAAAGALALIAATRLRRDDAVNSLRRDIRDAVAALTSSSDEDSDGAADGGDAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRLLVKAVVAGTDGEMGADEAVVDLLDAPPLPEAARLTRKDIDGAIGVGDPECVVLVLRCDAPGKERTAAIKRLPEISAAVRAKGLNLIVVLTFKKAMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLLHPPVIRNDFGTHFTVLTIIRQCLEFIKLNRSQSEGKGKIKPADLPAETNHKVPEEDAKTGTKV* >Brasy4G096900.1.p pacid=40085879 transcript=Brasy4G096900.1 locus=Brasy4G096900 ID=Brasy4G096900.1.v1.1 annot-version=v1.1 MAKGGGQREGGALKTAVVVTGGLVLAWLTVETAFKPFLDRLRAAISRSTDPSRDPDEEPAAAAVPDEDKGPASAATADVGEEKEPAFATAEPSAPPFPAEEDESENKGEGKEVELGEKEEGVVAAKAQ* >Brasy4G096900.2.p pacid=40085880 transcript=Brasy4G096900.2 locus=Brasy4G096900 ID=Brasy4G096900.2.v1.1 annot-version=v1.1 MAKGGGQREGGALKTAVVVTGGLVLAWLTVETAFKPFLDRLRAAISRSTDPSRDPDEEPAAAAVPDEDKGPASAATADVGEEKEPAFATAEPSAPPFPAEEDESENKGEGKEVELGEKEEGVVAAKAQ* >Brasy4G096900.3.p pacid=40085881 transcript=Brasy4G096900.3 locus=Brasy4G096900 ID=Brasy4G096900.3.v1.1 annot-version=v1.1 MAKGGGQREGGALKTAVVVTGGLVLAWLTVETAFKPFLDRLRAAISRSTDPSRDPDEEPAAAAVPDEDKGPASAATADVGEEKEPAFATAEPSAPPFPAEEDESENKGEGKEVELGEKEEGVVAAKAQ* >Brasy4G096900.4.p pacid=40085882 transcript=Brasy4G096900.4 locus=Brasy4G096900 ID=Brasy4G096900.4.v1.1 annot-version=v1.1 MAKGGGQREGGALKTAVVVTGGLVLAWLTVETAFKPFLDRLRAAISRSTDPSRDPDEEPAAAAVPDEDKGPASAATADVGEEKEPAFATAEPSAPPFPAEEDESENKGEGKEVELGEKEEGVVAAKAQ* >Brasy4G193000.1.p pacid=40085883 transcript=Brasy4G193000.1 locus=Brasy4G193000 ID=Brasy4G193000.1.v1.1 annot-version=v1.1 MQLLLHGFWRSPFIHLDQYQHSREAWSLYFSGEQPSAPFFDPQVTKPSNRSRSFRKIRVSPTCEEPEQISNSRQFF* >Brasy4G226700.1.p pacid=40085884 transcript=Brasy4G226700.1 locus=Brasy4G226700 ID=Brasy4G226700.1.v1.1 annot-version=v1.1 MAAPDARRFAIVPHLDIEQILKEAQQRWLRPTEICEILKNYRNFRIAPEPPNKPPSGSLFLFDRKVLRYFRKDAHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEDNGNFQRRTYWMLEEDFMHIVLVHYLETKGGKSSRARGDSDMLQAAAADSPLSQIPSQTIEGESSLSGHASEYEEAESADIYSGGAGYDSFTQMQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G226700.2.p pacid=40085885 transcript=Brasy4G226700.2 locus=Brasy4G226700 ID=Brasy4G226700.2.v1.1 annot-version=v1.1 MAAPDARRFAIVPHLDIEQILKEAQQRWLRPTEICEILKNYRNFRIAPEPPNKPPSGSLFLFDRKVLRYFRKDAHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEDNGNFQRRTYWMLEEDFMHIVLVHYLETKGGKSSRARGDSDMLQAAAADSPLSQIPSQTIEGESSLSGHASEYEEAESDIYSGGAGYDSFTQMQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G226700.5.p pacid=40085886 transcript=Brasy4G226700.5 locus=Brasy4G226700 ID=Brasy4G226700.5.v1.1 annot-version=v1.1 MLQAAAADSPLSQIPSQTIEGESSLSGHASEYEEAESADIYSGGAGYDSFTQMQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G226700.3.p pacid=40085887 transcript=Brasy4G226700.3 locus=Brasy4G226700 ID=Brasy4G226700.3.v1.1 annot-version=v1.1 MLQAAAADSPLSQIPSQTIEGESSLSGHASEYEEAESDIYSGGAGYDSFTQMQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G226700.6.p pacid=40085888 transcript=Brasy4G226700.6 locus=Brasy4G226700 ID=Brasy4G226700.6.v1.1 annot-version=v1.1 MLQAAAADSPLSQIPSQTIEGESSLSGHASEYEEAESDIYSGGAGYDSFTQMQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G226700.4.p pacid=40085889 transcript=Brasy4G226700.4 locus=Brasy4G226700 ID=Brasy4G226700.4.v1.1 annot-version=v1.1 MQQHQNGIGPMIHTSIFSSYAPASSIGAYQGRQHAMAHNTNLYSSSHDNLSVIPNDSSLGLVMSGRESQTDLSSWNEVMRSDRCSIQMPRQLPVPSEQGTSAEGVGVEYLTFDEVYFDGLGLNDISAAGADGESSWQYPSAIGDLSAMENNFPQNDRPLEAVISQPFLKTKSSNLSDILKDSFKKSDSFTRWMSKELPDVEDSQIQSSSGAYWNTEEALDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVFVTGRFLNANEATERCKWSCMFGEVEVPAEISADGMTLHCYSPPHKPGRVPFYITCSNRLACSEVREFEFRASDPQYMDAPSPHGATNKIYFQIRLDKLLSLGQDAYQATISNPSLEMIDLSKKICSLMENSDEWSKLLKLADDNELLTDDQQDQFAENLIKEKLHVWLLHKVGDGGKGPSVLDYEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTERSASQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYKDEKGGISDEHALSLLSIKSSKPGKLDPRHAAASRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSAEGAMGSSSSGICTNLITDKPAGDDYDFLQEGRKQTEDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDPTEMDEGHFMSEFKELWDDDTPIPGYF* >Brasy4G217500.1.p pacid=40085890 transcript=Brasy4G217500.1 locus=Brasy4G217500 ID=Brasy4G217500.1.v1.1 annot-version=v1.1 MKRAADNGCCSRPACVALLTLATIFLTLAACVLGALYVALDPKLPRYAVHALNVTAFGMDDDLTARARFDAAVRFENPNRAIGISYEAGSSLAVFYGGYRLSQGALPPFYQGHRSKAVVVHVAMSEARLQGTGVVAAMRHVNEAGGELPLVFRGEVPVRVKVGRFTTGKVTPRVRCDVVLDRLSTEDVIGVKRLACNLKVW* >Brasy4G407000.1.p pacid=40085891 transcript=Brasy4G407000.1 locus=Brasy4G407000 ID=Brasy4G407000.1.v1.1 annot-version=v1.1 MPRLLSPLPSRSRRRRLLAILSNTLSASTHAPPPPPPPLPQLSPLLPHTAESHASVSAAAADIAVSFRDWFLVPRASAEPLPALDAIYEALASEDAAALEALALTLTEALVLSVLRHRPRRTPDEDALLLLRLKFFDWSGRRAHYRHTRAVYHAVFRLLSRARRSSVVLDWLRLFSATNSFASQPLFYDTLVVGYAVAGDPQRGLSVLGRMRFHGYDLDAVSTRILLNSLVDASLHNFADSFSRNLAASPVTTCIRIKSLCRRGRLDDAVELLDTLPFAQASRGPAAGSVIMEFCRCGRFDEASRIVDKFSSCDVYGAWIYGLVEAGRLDTTLQFLSDKKEAEGYIPDGHRYDKLVYRLLRKHRLGEVYDLLVEMMEEGIAPGRLTMSAALCFFCKAGLVEVAVHLYRSRTDLGINPNKDVYNNLIRALCRSGATEEARLVLEQSMADGYFPGRQTFSMFANVLCQEGKLDKVRELLDRALKQEAWQMDSVLAKYLVALCKSGNVDEACAVPQIASSKNPAGLYRYESTYKSLIRALILIRRVDVLPRLILEMQDMGHIPTRSLYQSVVCALCEENRYGEVLELLENQLGKNQLKPRVCYNYFISGAGHAKKADVAREVYNRMECAGIAPSIESNVLLLMSYLRSKRIGDALNFFNCIHEKKAPGTKMYNVFISGLCEARKPEQAMVFWREAREKGLIPSISCYEQLVLLLSSVKDYDSVVKVIDDFRETGRPVSAFLCNVLLLHTLRSTDLLKAWTRSEDKSESFEARAGEIKGRGAGRFLIGQLIELFASGIRNRSDLEVLEEGLEQFFPVDIYTYNMLIRGLSMAGRMDSACNMFERLCRKGYQPNRWTFDTMVHGFCRHGNRNEAERWMEAMYRNGFYPTWYTMRLYNNTSLRAHDQKIISFVE* >Brasy4G407000.2.p pacid=40085892 transcript=Brasy4G407000.2 locus=Brasy4G407000 ID=Brasy4G407000.2.v1.1 annot-version=v1.1 MPRLLSPLPSRSRRRRLLAILSNTLSASTHAPPPPPPPLPQLSPLLPHTAESHASVSAAAADIAVSFRDWFLVPRASAEPLPALDAIYEALASEDAAALEALALTLTEALVLSVLRHRPRRTPDEDALLLLRLKFFDWSGRRAHYRHTRAVYHAVFRLLSRARRSSVVLDWLRLFSATNSFASQPLFYDTLVVGYAVAGDPQRGLSVLGRMRFHGYDLDAVSTRILLNSLVDASLHNFADSFSRNLAASPVTTCIRIKSLCRRGRLDDAVELLDTLPFAQASRGPAAGSVIMEFCRCGRFDEASRIVDKFSSCDVYGAWIYGLVEAGRLDTTLQFLSDKKEAEGYIPDGHRYDKLVYRLLRKHRLGEVYDLLVEMMEEGIAPGRLTMSAALCFFCKAGLVEVAVHLYRSRTDLGINPNKDVYNNLIRALCRSGATEEARLVLEQSMADGYFPGRQTFSMFANVLCQEGKLDKVRELLDRALKQEAWQMDSVLAKYLVALCKSGNVDEACAVPQIASSKNPAGLYRYESTYKSLIRALILIRRVDVLPRLILEMQDMGHIPTRSLYQSVVCALCEENRYGEVLELLENQLGKNQLKPRVCYNYFISGAGHAKKADVAREVYNRMECAGIAPSIESNVLLLMSYLRSKRIGDALNFFNCIHEKKAPGTKMYNVFISGLCEARKPEQAMVFWREAREKGLIPSISCYEQLVLLLSSVKDYDSVVKVIDDFRETGRPVSAFLCNVLLLHTLRSTDLLKAWTRSEDKSESFEARAGEIKGRGAGRFLIGQLIELFASGIRNRSDLEVLEEGLEQFFPVDIYTYNMLIRGLSMAGRMDSACNMFERLCRKGYQPNRWTFDTMVHGFCRHGNRNEAERWMEAMYRNGFYPTWYTMRLYNNTSLRAHDQKIISFVE* >Brasy4G307000.1.p pacid=40085893 transcript=Brasy4G307000.1 locus=Brasy4G307000 ID=Brasy4G307000.1.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEDLQNSKSAFERSRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.2.p pacid=40085894 transcript=Brasy4G307000.2 locus=Brasy4G307000 ID=Brasy4G307000.2.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.7.p pacid=40085895 transcript=Brasy4G307000.7 locus=Brasy4G307000 ID=Brasy4G307000.7.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEDLQNSKSAFERSRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.8.p pacid=40085896 transcript=Brasy4G307000.8 locus=Brasy4G307000 ID=Brasy4G307000.8.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.3.p pacid=40085897 transcript=Brasy4G307000.3 locus=Brasy4G307000 ID=Brasy4G307000.3.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.9.p pacid=40085898 transcript=Brasy4G307000.9 locus=Brasy4G307000 ID=Brasy4G307000.9.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGMDESNSAILFIEKPKPTDAFSIKERYIQSKYMDKLLVAKDTNETTMAILEAIRTNDVRAVYRILVLADMSPNMTHDDLNSDVYHVLPVTDKKLLDPASCGRIEDGKPEGCLQGCSLLHLACQYGHSLLVELLLLFGADINKQDFHGRTPLHHCVQKSDDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR* >Brasy4G307000.4.p pacid=40085899 transcript=Brasy4G307000.4 locus=Brasy4G307000 ID=Brasy4G307000.4.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEDLQNSKSAFERSRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G307000.5.p pacid=40085900 transcript=Brasy4G307000.5 locus=Brasy4G307000 ID=Brasy4G307000.5.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G307000.10.p pacid=40085901 transcript=Brasy4G307000.10 locus=Brasy4G307000 ID=Brasy4G307000.10.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEDLQNSKSAFERSRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G307000.11.p pacid=40085902 transcript=Brasy4G307000.11 locus=Brasy4G307000 ID=Brasy4G307000.11.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G307000.6.p pacid=40085903 transcript=Brasy4G307000.6 locus=Brasy4G307000 ID=Brasy4G307000.6.v1.1 annot-version=v1.1 MQPGTGPRSLQRPTNSAQRQTATARPHSSSTSRPRPDLRELRESSLTATRGETWRPSPSSRTPPCSASRGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G307000.12.p pacid=40085904 transcript=Brasy4G307000.12 locus=Brasy4G307000 ID=Brasy4G307000.12.v1.1 annot-version=v1.1 MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKRFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLMQFVNADLHDAKDCRQRLDRATIDYDQAREKFVSVRKGTRAEVVTGLEEGYELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSNKQIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGEQTAGEGTSVFSRFRFLNPKASTQSDDSLSCHTINLKTSTIKMDAEEIDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFSQQMPHGNPGADRHGAASSIDSAFVEENKISEAHNDALNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFCALGNDYNNSIWEALLPKEDQGTWTNFLLPKIPMKLLWLYWKL* >Brasy4G245700.1.p pacid=40085905 transcript=Brasy4G245700.1 locus=Brasy4G245700 ID=Brasy4G245700.1.v1.1 annot-version=v1.1 MGSESTNTASRCVVEKVTRTHVFQIDGYTAADLYAIDRLKLIYQDILYKNLDVEYGEITFALADQHSCEKLKDACIHCYPRLSKSRKSQSSVLVDVFEKTRRFILWW* >Brasy4G412800.1.p pacid=40085906 transcript=Brasy4G412800.1 locus=Brasy4G412800 ID=Brasy4G412800.1.v1.1 annot-version=v1.1 MICLACSVQVLGAEPHFLGDGVKRAFEARVAKPPQYGYSTDALIGRRQMLQIAHDTLTNQSSRTEYDRALSENRDAALTLDVAWDKVPGVLCALQEAGEAQAVLAIGEQLLEDRPPKRFKQDVVLAMALAYVDVSRDAMATSPPDVIRCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLALPLDEKHQNKRQEGLRGVRNILWSVGRGGISTVGGGFSREAYMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALANVAQAIVSKRPELIMMADDLFEQLQKFNIGSQYAYDNEMDLALERALCSLLVGDISNCRIWLGIDNESSPHRDPKIVEFIVNNSSIDQENDLLPGLCKLLETWLISEVFPRSRDTRGMQFRLGDYYDDPKVLSYLEMMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKVFPLIERLDLSAMENPDDGLEQSLEKNGAGYDIYGSKNAALKIVSASAFFALLTVIGLKYTPRKRVLPAIRSEHESVAVADVVNSVDDHALDEPIQIPRMDAKLAEDIVRKWQSIKSKALGSDHSVASLQEVLDGNMLKVWTDRAAEIERNGWFWDYKLSDVTIDSITVSMDGRRATVEASIEEAGQLTDVTNPRNNDSYDTKYTTRYEMTFAKSGGWKITEGAVLKS* >Brasy4G050000.1.p pacid=40085907 transcript=Brasy4G050000.1 locus=Brasy4G050000 ID=Brasy4G050000.1.v1.1 annot-version=v1.1 MYPAELASIPYLSSTSAASFKTHYQVAPNDILFQYNSLPVPQATSYQHVAHLVHEASLPVGNKSNSDESDDYQHSLAEERRKRRMLSNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRILHDNSKLRAEQTEMKKQLEKLPVQNMESSVMGPGTT* >Brasy4G106100.1.p pacid=40085908 transcript=Brasy4G106100.1 locus=Brasy4G106100 ID=Brasy4G106100.1.v1.1 annot-version=v1.1 MLRPGISLKKRHGGGGLVLGFALGCGCKDAKSVSVCASSPSPSGAGTSTTTDQTRPRRGGGASRTTNRRSASTATDTPTSASSSSLWEDAVAELDCNDDDAPRHFKTETTTQSFSGLLRELSELEQSVVSWGARKNCHHRDNEKLSTPPPQEHCRKATRSCGDLTATGGDSVLVQVEVGLDGSLAVVKQSEDPLGDFRESMVQMIVENGIVGGEELREMLRRFLALNAPHHHDVILRAFAEIWDAVFAAAADSFDPVPVPAAASPAHKYARREPPMARTPPRHRHTPSAWRV* >Brasy4G034500.1.p pacid=40085909 transcript=Brasy4G034500.1 locus=Brasy4G034500 ID=Brasy4G034500.1.v1.1 annot-version=v1.1 MAAAPDRATMQPRRRHRIAVAAAAVFSITTLLFFVCIPAGLIALPPGSMSARALLVPPRWMPPLSLLAHHHQPPRSPDTETEAVLLPEWEVLVLLRSPNSSTAAVPGADENATCVFHHGGAGASTTSSARALGPLSSSSSSGQRQVYTCVVPEAARTQSPLAVAFSASTASPGRSREVLKWDGRIVYGSVVINGGDVLVFAKGVNLRRGANRAAADVWCLYYYDEGGTGGVVVASLQATTSAQQVFRCPSPPVEHTSRDLRVTLATVGEEPFIPSLATYDPPRRSSPAISRKRIICVCTMVYDVAKFLREWVIYHASVGVDRFYVYDNGSKDDLVDQVRHLASDGFDISIEVWPWPKTQEAALSHGAGVHQDSCEWMLFIDIDEFIFSPHWSHLEKPTKSMLQSIVSSVEQDVGQVSFWCANFGPSGQTTHPKEGVIQGYTCRKRVMERHKSLVRVNAVDRSLGNLVHHFILQPRFRGITSMQVRVNHYKYQVWDEFKVKFRRRASTYMVDWTEKVDLRSNDRIPDLGFEAIEPSGWAQKFCEFRRRHAPRPHAENPAADIQCMYYRGGNVDHG* >Brasy4G177400.1.p pacid=40085910 transcript=Brasy4G177400.1 locus=Brasy4G177400 ID=Brasy4G177400.1.v1.1 annot-version=v1.1 MAVSRWTAWVGAGLLARLLMLAALLMSVRFVLANHTDVARSPAKTTTSSRATKCRYRYVVASAILGLAGSLLQIPVAIYLLCKSKRMMPSAMILDISMYADMVISLVLTSGVGAGFGAANDVLQYVHGQPYVAVVLLLVGMLLSICATFVSARLRAKAMN* >Brasy4G408700.1.p pacid=40085911 transcript=Brasy4G408700.1 locus=Brasy4G408700 ID=Brasy4G408700.1.v1.1 annot-version=v1.1 MVRKLPLSSLFYTKECTTTPPCSPPTPEATAAQAWMWPSCKHPRTHSSRRTPATKNLFVESAESSSSVTNSSARMHHDHDQDFAASDSLSTETDTAEASASAEDMADAIVHGLRSDRLRFEPRAPSSSILEKKPQPPPMAAARHGAPGAASFPGGSALALESADPYGDFRASMEEMMAAAHGAGDWDWDWLEKMLGWYLRSNGKDTHAAIVAAFVDLVVTITAAAAAAAASSASSGHSSFTLASGSAELEISSSSAGGNVSFRLR* >Brasy4G085700.1.p pacid=40085912 transcript=Brasy4G085700.1 locus=Brasy4G085700 ID=Brasy4G085700.1.v1.1 annot-version=v1.1 MVMAATTSSSLHPHHRARLRSRAAPLLAVVVVSVLALTGLLRASRRGGAAADLAPLSAARAREASNRTIAQRKILLDPAFTPRLPRHSALSLSLASRNALPPRNAARFPRLPDGHLKVVLYVHNRPRYLRLVVDSLSRVDGIAEALLIVSHDGYFPEMDEIVQGISFCQVKQIFAPYSPHLFPDAFPGVSPGDCRDKDKAAEKRCRGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLEETRDFDGHILFIEEDHYIFPNAYRDAQLLVDLKPKKCPQCYAVNLAPSDVKARGEGWESLIAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQGQDSSNVCVDNGAGAVELDAIDKVPNIKADWPVHIIRKQQGYQAGFKGWGGWGDRRDLELCLSFAYMYHVKDPSPT* >Brasy4G196100.1.p pacid=40085913 transcript=Brasy4G196100.1 locus=Brasy4G196100 ID=Brasy4G196100.1.v1.1 annot-version=v1.1 MASTCFRAAARAASAACRSAASRSMPSAGRSAARRAPLISRLPVELGCCAGVSLLPLHSAVAAARLTSRLSTASRSCCALSQGILCRTYPGL* >Brasy4G187900.1.p pacid=40085914 transcript=Brasy4G187900.1 locus=Brasy4G187900 ID=Brasy4G187900.1.v1.1 annot-version=v1.1 MVNSGVAGALGSSSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPETDQSEPTSADPEPHEPEKCNAHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVAKDLHANEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGDNGDLRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKNNKMSVGMRFKMRFEGDEAPERRFSGTIIGVGSMTTSPWADSDWRSLKVQWDEPSAIPRPDRVSPWELEPLVATSIQPPQPPARNKRARPPASPSIAPEHPPVFGLWKSPAESAQTFSFSGLQRTQELYPSSPNSIFSSSLNIGFKTKNEPSTLTNNHFYWPIRDTRADSYSASIYKVPASRKQEPTTAGCRLFGIEISSAVEATSPVVDVSGACHEQPAASVDIESDQLSQPSHVNKSDAPAASSDRSPYETQSRQVRSCTKVIMEGMAVGRAVDLTRLHGYEDLNQKLEEMFDIQGELSASLKKWKLVYTDDEDDMMLVGDDPWSEFCSMVKKVYIYSYEEAKHLTPKAKLPVIVDAIKPSPSKPPLEPDMPQSGMGNNAQVTDNNDC* >Brasy4G116000.1.p pacid=40085915 transcript=Brasy4G116000.1 locus=Brasy4G116000 ID=Brasy4G116000.1.v1.1 annot-version=v1.1 MKDFAGLGHLFVAAFLFHFSSYMVLPAITDVTMEAVCPGRDECSVAIYLSGFQNAITGLGALVVTPVVGNLSDRYGRKALMTLPVTVAILPLFILACNRSEAYFYVYYVVKIVAGIFCEGSMHCLSLAYVADQVGTRRRAAAFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVATAGAIYLRAFVPDSGSAVSFGVGDDEASCDPLLQDSSCSCSSATSSSTSSDGELSPRLPPYKGVLPSLPDMVALLTGSLTLSAAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTAWFLSETKPFNFEGFSIMVAGFCTLISFVISVRMRSGRCGASEKMSIVQNEEAC* >Brasy4G116000.3.p pacid=40085916 transcript=Brasy4G116000.3 locus=Brasy4G116000 ID=Brasy4G116000.3.v1.1 annot-version=v1.1 MKDFAGLGHLFVAAFLFHFSSYMVLPAITDVTMEAVCPGRDECSVAIYLSGFQNAITGLGALVVTPVVGNLSDRYGRKALMTLPVTVAILPLFILACNRSEAYFYVYYVVKIVAGIFCEGSMHCLSLAYVADQVGTRRRAAAFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVATAGAIYLRAFVPDSGSAVSFGVGDDEASCDPLLQDSSCSCSSATSSSTSSDGELSPRLPPYKGVLPSLPDMVALLTGSLTLSAAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTETKPFNFEGFSIMVAGFCTLISFVISVRMRSGRCGASEKMSIVQNEEAC* >Brasy4G116000.2.p pacid=40085917 transcript=Brasy4G116000.2 locus=Brasy4G116000 ID=Brasy4G116000.2.v1.1 annot-version=v1.1 MKDFAGLGHLFVAAFLFHFSSYMVLPAITDVTMEAVCPGRDECSVAIYLSGFQNAITGLGALVVTPVVGNLSDRYGRKALMTLPVTVAILPLFILACNRSEAYFYVYYVVKIVAGIFCEGSMHCLSLAYVADQVGTRRRAAAFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVATAGAIYLRAFVPDSGSAVSFGVGDDEASCDPLLQDSSCSCSSATSSSTSSDGELSPRLPPYKGVLPSLPDMVALLTGSLTLSAAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTAYFLCHQR* >Brasy4G116000.4.p pacid=40085918 transcript=Brasy4G116000.4 locus=Brasy4G116000 ID=Brasy4G116000.4.v1.1 annot-version=v1.1 MIQITGLGALVVTPVVGNLSDRYGRKALMTLPVTVAILPLFILACNRSEAYFYVYYVVKIVAGIFCEGSMHCLSLAYVADQVGTRRRAAAFGLLSGVSAAGFVSGTLTARFLPTSSTFQVAAAVATAGAIYLRAFVPDSGSAVSFGVGDDEASCDPLLQDSSCSCSSATSSSTSSDGELSPRLPPYKGVLPSLPDMVALLTGSLTLSAAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTAWFLSETKPFNFEGFSIMVAGFCTLISFVISVRMRSGRCGASEKMSIVQNEEAC* >Brasy4G330900.1.p pacid=40085919 transcript=Brasy4G330900.1 locus=Brasy4G330900 ID=Brasy4G330900.1.v1.1 annot-version=v1.1 MVLSRRRLALFYLSLPHLPVLAPGDAPNRAELLAIPNPRTPPPPPPCAQPPPPPRTGLQSFKMEHKEAGCQQPEGPILCVNNCGFFGSAATMNMCSKCHKEMVTKEEQAKQAASSFDSIVNGSDSGKGPVVAATVEVAVAQVEVEKLVVQSTDVAGTSEAVAVRPKRKEGPNRCSTCRKRVGLTGFNCRCGNMYCSMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI* >Brasy4G164300.1.p pacid=40085920 transcript=Brasy4G164300.1 locus=Brasy4G164300 ID=Brasy4G164300.1.v1.1 annot-version=v1.1 METDQQSDQSTIYNSIFSIDSERIKQGRNIFVDAAWKEQNPLFSSCADSGKHAGLGVFIYAPYHHRHKTVFLQATSAADSALHAKAQAMELASIVAARLQHLRCSNFLTDNETVALAVATRKPKEKPGHWSIRPNLERFISNTEANDTRVYKIKRESNKNAHREAHDAYRMVPGNPCIFVCSSVDHSSASCPTKAALCNLPMHNFSLVAVTCLI* >Brasy4G361400.1.p pacid=40085921 transcript=Brasy4G361400.1 locus=Brasy4G361400 ID=Brasy4G361400.1.v1.1 annot-version=v1.1 MAAVARARAAALLGGLRRLGTSAAEAERERGAMWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLLVPAQPTAQVYKSIGEKYGVKYSEDEILRRYRWAYEQPWDRSRLRYVDDGRAFWQYIVTSSTGCSDLEYFEELYQYYMTENAWKLCDPDAEHVFEAIRKTGVKTAVVSNFDTRLRPLLQVLKCDHWFDAVAVSAEIAAEKPNPTIFLKACELLGVKPEAAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVDVTGVIEAGSPPEQ* >Brasy4G361400.2.p pacid=40085922 transcript=Brasy4G361400.2 locus=Brasy4G361400 ID=Brasy4G361400.2.v1.1 annot-version=v1.1 MAAVARARAAALLGGLRRLGTSAAEAERERGAMWELSAAREYYDYRKSIYGDVTHRALLVDAVGTLLVPAQPTAQVYKSIGEKYGVKYSEDEILRRYRWAYEQPWDRSRLRYVDDGRAFWQYIVTSSTGCSDLEYFEELYQYYMTENAWKLCDPDAEHVFEAIRKTGVKTAVVSNFDTRLRPLLQVLKCDHWFDAVAVSAEIAAEKPNPTIFLKACELLGVKPEAAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVDVTGVIEAGSPPEQ* >Brasy4G390700.1.p pacid=40085923 transcript=Brasy4G390700.1 locus=Brasy4G390700 ID=Brasy4G390700.1.v1.1 annot-version=v1.1 MHISRAQGAMTSTAGSDSRRLFPGRQNSHQMDRINGKSQKSATQVPPFADGAEDFEEDETLIAPEAMAQRLGGLERNAGFVSLGFFHSVKIVLLKSKLNVLIPCGFLAILVNYLTGNHGWVFPLTLLGIIPLAERLGFATEQLAFFTGPTVGGLLNATFGNATELIISIHALRIGKLRVVQQSLLGSILSNLLLVLGCAFFSGGVTCGKREQNFRKSDAVVSSGLLLMAVLGLLPPTVLHYTHSEVHSGKSGLALSRFSSCIMLVAYACYIYFELSSSRHREESNEGRVENVGDANDSEAPEISKWEAIAWLAILTTWISVLSDYLVDAIDGASKAWNIPVAFISVILLPIVGNAAEHASAVMFAMKDKLDLSLGVAIGSSTQMSMFGIPFCVVIGWMMGQPMDLNFHLFETASLLMTVLVVAFLLQDGTSNCLKGLMLFLCYLIVAASFYVHSDQDPDGNQPPQN* >Brasy4G130200.1.p pacid=40085924 transcript=Brasy4G130200.1 locus=Brasy4G130200 ID=Brasy4G130200.1.v1.1 annot-version=v1.1 MASGILNAQPSSWLAAVAAAATAEPAVLRRAHAALLTSGHLWSRGSVNSLLRTTRIPTACALLLRFLLLHRLPPDHLSISFSLHSCTRLPCHPIASLLHSFAVRLGHASDVYIVNAAVSAYFTAADVASAERLFSDTSKDVADVVTWTTMVAGHARAGDVERARWFFDAMPERNVVSWNAMLGAYASAGMLSEARKLFAGMHSRNAATWSSMVTGLVQSDHCEEALRVFSEMVARGVVPNESILVSIISACAQQRSLEHGVWVHAYVKRELHGSLSVILATAIVDMYGKCGCIDNAIRVFAAMPMRNIYSWNSMITGLAMNGRERQALSLFWKMQMAGVQPNDITFIGLLGACSHSGLVDEGRWLFNRMVNDFGIQPVPEHYGLMVDLLGRAGLVKEAVDFVKNMPVEPHPGLWGALAGACNIHGEVELGEEIAKKLIELEPRHGSRYILLSNIYGTSSRWEDMATVRRLIKERKVPKGTGNAVVGNDVQCMESTLG* >Brasy4G267100.1.p pacid=40085925 transcript=Brasy4G267100.1 locus=Brasy4G267100 ID=Brasy4G267100.1.v1.1 annot-version=v1.1 MSSVHFFPATSQPPGAAARLLKPTQQPPLHNPALATAAFRRSNAPSIPHLRLRRPVRSAAKTPADAPPVGPDGGGGGGGDGGGGGGDEDGEGEKKGLLPEWLNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTYDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVARAGDIVEVHKGKLVVNGEARNEEFILEPPSYDMDPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGNTTVGCNDAETKPDALVDAKLTK* >Brasy4G267100.2.p pacid=40085926 transcript=Brasy4G267100.2 locus=Brasy4G267100 ID=Brasy4G267100.2.v1.1 annot-version=v1.1 MSSVHFFPATSQPPGAAARLLKPTQQPPLHNPALATAAFRRSNAPSIPHLRLRRPVRSAAKTPADAPPVGPDGGGGGGGDGGGGGGDEDGEGEKKGLLPEWLNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTYDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVARAGDIVEVHKGKLVVNGEARNEEFILEPPSYDMDPVQVPENSVFVMGDNRNNSYDSHVW* >Brasy4G058300.1.p pacid=40085927 transcript=Brasy4G058300.1 locus=Brasy4G058300 ID=Brasy4G058300.1.v1.1 annot-version=v1.1 MADGAAAGFAGEDRISALPEDLLLKIISRLPVTDAARSTTLASSWRHLWHSTPLVLDDTQLPEPTRAAAVDCILSGHPGPFRAVLLYNCTFGPQDQDHTEWLRLLAAKGTHELLLFNQEGRPSLRIPADFFRCSSLQLLALCFWTFPDGHLPGIFPNLRKLAMSRVSITDQDFDHLLAASPVLESLSFLCNWRRQRIHLRSQSLRCVLIGISVMTLEVAVVDVPLLQRLFLFWLGCAGGRHYVRVRIACAPSLQVLGYLDTGVHKLQIGGNVIEPNTMASPSTVVPGVKILALKVNFRIFGHVKMLVSFLRCFPDVDTLHIESVLHDPSVTVDEPTPEHHANFWQKVGPVKCLRSHVKKMVFHKFQGDQNEFEFLKFISGNARELQSLLVLPHDETTSTDKVNEMIHKSGCPRFQAWASRVLLVFPGAGNVWSYQKASDLTVDDPFL* >Brasy4G058300.2.p pacid=40085928 transcript=Brasy4G058300.2 locus=Brasy4G058300 ID=Brasy4G058300.2.v1.1 annot-version=v1.1 MADGAAAGFAGEDRISALPEDLLLKIISRLPVTDAARSTTLASSWRHLWHSTPLVLDDTQLPEPTRAAAVDCILSGHPGPFRAVLLYNCTFGPQDQDHTEWLRLLAAKGTHELLLFNQEGRPSLRIPADFFRCSSLQLLALCFWTFPDGHLPGIFPNLRKLAMSRVSITDQDFDHLLAASPVLESLSFLCNWRRQRIHLRSQSLRCVLIGISVMTLEVAVVDVPLLQRLFLFWLGCAGGRHYVRVRIACAPSLQVLGYLDTGVHKLQIGGNVIEPNTMASPSTVVPGVKILALKVNFRIFGHVKMLVSFLRCFPDVDTLHIESVLHDPSVTVDEPTPEHHANFWQKVGPVKCLRSHVKKMVFHKFQGDQNEFEFLKFISGNARELQSLLVLPHDETTSTDKVQHNSTASCCLLIDHVLLMPT* >Brasy4G435000.1.p pacid=40085929 transcript=Brasy4G435000.1 locus=Brasy4G435000 ID=Brasy4G435000.1.v1.1 annot-version=v1.1 MQSGYGGVSEFQQFIMDGGFSMAAAAPPPPPPPQQHQAAHAAAAAGGQQELGAPFRYQPLHHHAMHPQQHHHAPPQMPPHFAHFGGPTAAAGGGIPFTQQLLHHQAAGQHQHHHHLQLFHEQQHHHQQQQQQQHHKPQPQPPPPARWAPHQHHHQHQQQQQHLGLDMEAAVPESSRAAAAAPSGMPPFLAAAMSFKLAVDQSGSGATDDAMNDGGGGGSGMMLHGGGRGGGGAGGGEDEAATESRLRRWTGEEEASIKEPAWRPLDIDFIHSTSSSSKRTAGKDKPPTPESPSPAANAAANYFKKGGDDNVITAAAASAAGGNNSYKLFSELEAIYKPGSGGAGQTTGSGSGLTGDDNAMADLPHAPPPTMCPDGDDLVPHAPPPPPANASETSAGEETETPAVLQPPQPLMADTRRKRKRRRSQEQAATAASSFFERLVQRLMEHQESLHAQFLSAMDRRERDRAARDEAWRRQESENSARERAARARDRASAAAREAAIVSYLEKLSGHPIVLPPPAPSSPAPAASEEIVLTTQPEASSAAVGTELVPYDGGGSLVRSSASPSRWPKQEVEALIRVRSGLERRFQEPGLKGPLWEEVSARMAAAGYGGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELNRLYSGRGDNSSMDGAGDGAAGKAASSELLDAVVKCPAGTAAGDQAAQYGPPPGFAPAGDGEDDEGRREMVVDGGKKYGDGEDEDIVGRSAGGRAMADQDQEEEGESHGGHDDDDDDEDHH* >Brasy4G435000.2.p pacid=40085930 transcript=Brasy4G435000.2 locus=Brasy4G435000 ID=Brasy4G435000.2.v1.1 annot-version=v1.1 MQSGYGGVSEFQQFIMDGGFSMAAGQHQHHHHLQLFHEQQHHHQQQQQQQHHKPQPQPPPPARWAPHQHHHQHQQQQQHLGLDMEAAVPESSRAAAAAPSGMPPFLAAAMSFKLAVDQSGSGATDDAMNDGGGGGSGMMLHGGGRGGGGAGGGEDEAATESRLRRWTGEEEASIKEPAWRPLDIDFIHSTSSSSKRTAGKDKPPTPESPSPAANAAANYFKKGGDDNVITAAAASAAGGNNSYKLFSELEAIYKPGSGGAGQTTGSGSGLTGDDNAMADLPHAPPPTMCPDGDDLVPHAPPPPPANASETSAGEETETPAVLQPPQPLMADTRRKRKRRRSQEQAATAASSFFERLVQRLMEHQESLHAQFLSAMDRRERDRAARDEAWRRQESENSARERAARARDRASAAAREAAIVSYLEKLSGHPIVLPPPAPSSPAPAASEEIVLTTQPEASSAAVGTELVPYDGGGSLVRSSASPSRWPKQEVEALIRVRSGLERRFQEPGLKGPLWEEVSARMAAAGYGGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELNRLYSGRGDNSSMDGAGDGAAGKAASSELLDAVVKCPAGTAAGDQAAQYGPPPGFAPAGDGEDDEGRREMVVDGGKKYGDGEDEDIVGRSAGGRAMADQDQEEEGESHGGHDDDDDDEDHH* >Brasy4G106900.1.p pacid=40085931 transcript=Brasy4G106900.1 locus=Brasy4G106900 ID=Brasy4G106900.1.v1.1 annot-version=v1.1 MALFVITILSVLLCVSQQALGVNYTFMREAMYAPPVAYYDYIIIGGGTAGCPLAATLSRRYRVLLLERGGSPYDDGRVLNMAHFSDVLSDTSASSPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYARAVGWDAREVVSAYRWVEDVVAFQPELGPWQAAVRRGLLETGVVPDNGFTYDHIPGTKVGGSIFDPDGRRHTAADLLQYSRPEGIDVLLRARVARILFSYKGSKPVARGVAFRDSLGRVHVAYLNRGDANEVILSAGALGSPQLLMLSGVGPADHLRSFGIDVVVDNPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEGASGSDWSTRAAASSALSFGMFSPQTGQLATVPPKQRTPEAISRAVEAMSRVPDAALRGGFILEKVMGPQSTGSLALRNLDPDDNPVVRFNYFAHPDDLRRCVAGIQAIERVIRSRAFSRFAYPNFAFPAVLNVTAEFPVNLVMRVRGGSEPAALEQFCRDTVMTIWHYHGGCQVGRVVDREYRVLGIDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIEKERMMVEGSG* >Brasy4G084900.1.p pacid=40085932 transcript=Brasy4G084900.1 locus=Brasy4G084900 ID=Brasy4G084900.1.v1.1 annot-version=v1.1 MHRRRAGTTLTLVMATFLLVIMASGAVSARRLPSPPPPVAKPPVVHAWGRGGRSHERRSWAWDWEEKAAAVGSLTVRTRRLLGQRKPGSPPSPKPHGMTTMFRPPPPPVTK* >Brasy4G227100.1.p pacid=40085933 transcript=Brasy4G227100.1 locus=Brasy4G227100 ID=Brasy4G227100.1.v1.1 annot-version=v1.1 MSAQKVPPMAGGKENRGKNKGFGVENERRRSERNIKLGEQNVVDRAVDRTRFKNLENARVLGGTSEG* >Brasy4G243000.1.p pacid=40085934 transcript=Brasy4G243000.1 locus=Brasy4G243000 ID=Brasy4G243000.1.v1.1 annot-version=v1.1 MAPVRRLEGDPPPVYVIIHCSHCGDQNHNKKGCAKFKAGLPPTAAPQRQRKRRVAPTAANQEHEDGGQPDNEDGGQPDQQQPDSSDDEPVITQVTTNIQCSQNMQCSLNMQSQLTQSSSQHNEDTMLNAMMAERPMPKVVNSGPRPLPDSSFILAARAELTPVADALNVGSRSVSAHHLQQKVDAMKYAKSKVFAARREATLEAKYEAHEKQVAAVLAKRKEAAEKKAAEALAAKQEAQAKKLAIAEAKKKETQQKKAATLEAKKPAAAEKREAAEAAKKRASEQREAAMRQRQEAAAANRAGIAMAREDAQAKKRAKKDSMFDIFR* >Brasy4G243000.3.p pacid=40085935 transcript=Brasy4G243000.3 locus=Brasy4G243000 ID=Brasy4G243000.3.v1.1 annot-version=v1.1 MDGSSLVVIIHCSHCGDQNHNKKGCAKFKAGLPPTAAPQRQRKRRVAPTAANQEHEDGGQPDNEDGGQPDQQQPDSSDDEPVITQVTTNIQCSQNMQCSLNMQSQLTQSSSQHNEDTMLNAMMAERPMPKVVNSGPRPLPDSSFILAARAELTPVADALNVGSRSVSAHHLQQKVDAMKYAKSKVFAARREATLEAKYEAHEKQVAAVLAKRKEAAEKKAAEALAAKQEAQAKKLAIAEAKKKETQQKKAATLEAKKPAAAEKREAAEAAKKRASEQREAAMRQRQEAAAANRAGIAMAREDAQAKKRAKKDSMFDIFR* >Brasy4G243000.4.p pacid=40085936 transcript=Brasy4G243000.4 locus=Brasy4G243000 ID=Brasy4G243000.4.v1.1 annot-version=v1.1 MDGSSLVVIIHCSHCGDQNHNKKGCAKFKAGLPPTAAPQRQRKRRVAPTAANQEHEDGGQPDNEDGGQPDQQQPDSSDDEPVITQVTTNIQCSQNMQCSLNMQSQLTQSSSQHNEDTMLNAMMAERPMPKVVNSGPRPLPDSSFILAARAELTPVADALNVGSRSVSAHHLQQKVDAMKYAKSKVFAARREATLEAKYEAHEKQVAAVLAKRKEAAEKKAAEALAAKQEAQAKKLAIAEAKKKETQQKKAATLEAKKPAAAEKREAAEAAKKRASEQREAAMRQRQEAAAANRAGIAMAREDAQAKKRAKKDSMFDIFR* >Brasy4G243000.2.p pacid=40085937 transcript=Brasy4G243000.2 locus=Brasy4G243000 ID=Brasy4G243000.2.v1.1 annot-version=v1.1 MAPVRRLEGDPPPVYAPQRQRKRRVAPTAANQEHEDGGQPDNEDGGQPDQQQPDSSDDEPVITQVTTNIQCSQNMQCSLNMQSQLTQSSSQHNEDTMLNAMMAERPMPKVVNSGPRPLPDSSFILAARAELTPVADALNVGSRSVSAHHLQQKVDAMKYAKSKVFAARREATLEAKYEAHEKQVAAVLAKRKEAAEKKAAEALAAKQEAQAKKLAIAEAKKKETQQKKAATLEAKKPAAAEKREAAEAAKKRASEQREAAMRQRQEAAAANRAGIAMAREDAQAKKRAKKDSMFDIFR* >Brasy4G088500.1.p pacid=40085938 transcript=Brasy4G088500.1 locus=Brasy4G088500 ID=Brasy4G088500.1.v1.1 annot-version=v1.1 MAPAPSLSQHVTVLSRCEVSPSPPAPAAGQPRALPLTFFDLVFWGFPPVQRLFFYDDPAGLVDVPGFLARDVPLFRDSLAAALHRFYPLAGKLPCELPPEGPGPEVVCSDGDSVRLTVAVAGEDEDDDFRDLAGDQPRDTARLRPLLPPLSEHHGGSRAVFAVQLTVFPRAGICVGTTLHHAVADGSGYAHFVRTWAAFHRRLLLGGKKKDAIDIDVAPPLLDRGVVRDDGGRLREAFLRDHRALAAAGDTRLGDWDLSSSVFQGSGGGGCVQLATFRFTGELLRKLGARVESETSARGCSPYAVACGAAWAGIAQARDVVGIGIGTEQHFGFVTGCKPRASPPVPAGYFGNCLGLCRVRVEEKKELTASAASAAIWREIEGLAEAGRALRGARGWVRCVRESAAARAVTVAGSPKLGMYAAADMGGAPWGRPRKVEIASVERTGALALAEDGGGGIEVGVALPQREMEAFRAFFGDLVASCDSC* >Brasy4G343800.1.p pacid=40085939 transcript=Brasy4G343800.1 locus=Brasy4G343800 ID=Brasy4G343800.1.v1.1 annot-version=v1.1 MQIFVKTLTGKTITLEVESSDTVENVKAKVQDKEGVPPEQQRLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGAKKRKKKTHTTPKAQARARQDRARRAGAIPGGRGDGGRGAAAEGVPQPGVRRRDLHGGARRPPRLRQVRPHLRQEPGTRDAIWDLRTALVFPPNSWHCSVGKELDYPCEL* >Brasy4G380700.1.p pacid=40085940 transcript=Brasy4G380700.1 locus=Brasy4G380700 ID=Brasy4G380700.1.v1.1 annot-version=v1.1 MPRCPVHLPFSSLYPIQHQNPPLPPSTLSSPTLVRLVQGQTGEALPTAPLLRACTYTAMLPLATMPAPSSLYHPMGRGRGQSWQEPSVSGSRSRGDRLSSTISAKASMNITCCANQTQTTTRKSFAGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNMQNGSRRGGDYDSGLAPQ* >Brasy4G331300.1.p pacid=40085941 transcript=Brasy4G331300.1 locus=Brasy4G331300 ID=Brasy4G331300.1.v1.1 annot-version=v1.1 MGDRAWQQPHEQLAASCSANTGMIQASSAAATSSIHGNIIKDPGGYDDMAELDQALFLYLNSQDQTSAQEQPQTLNIFPSRPMHVVVEPSPRTTAANPAAPDAIAVAAAGSSKQQKPSRPAAPAPGKDGKAAVKREGSGGGGGTPSTSEQEGPRTPNAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQMEQEMQRCSAQGAILGGGAGIGGLSPEAAWFDGEHARWVEEHERMMRHLRAAVELDDHNLQRDQEEQQLRQLVDVAAAHHVVLAELKSAVARADVFHLVSGTWLPAAERCFLWIGGSRPSDLIKVVLRHVEPLTEQQVASVCDVQRWVREREEALDQELQAARSSLSDVVCSDALLSPYPDMAAYMAHMSLAIANLSSLEAFVRQADTLRLQMLHRLQQILTAWQAARCFLAIADYSQRLRALSSLWLARPRQGQPTPPGAGGRIHP* >Brasy4G123300.1.p pacid=40085942 transcript=Brasy4G123300.1 locus=Brasy4G123300 ID=Brasy4G123300.1.v1.1 annot-version=v1.1 MARSCKQWGALQVAAPPLALLLIASVQCESPVPPPGWTGGSGSDQGSSPDGSWKYEWGWAAGPGGHGSGFGFGYSGSSSGDAGGGGGGGGSGSRTFGRPGGYGAGGYTGEAAGGGKEDGGRAQKQPDGGGQN* >Brasy4G350400.1.p pacid=40085943 transcript=Brasy4G350400.1 locus=Brasy4G350400 ID=Brasy4G350400.1.v1.1 annot-version=v1.1 MPRKFDPVTIGILCRGEEEFAVAQLVLYKPHRTSRMEAELCVLRSSITNHDHNWELETDIHKVIPFNSCLCLVNYAVGGVLICEVFQKRPDVNYLRLPIHNRCLRQRPLLDRYCSVCITKGAIAGVHELIFVDVVREDGAILTGPLSPHTGFSIAYHVLRTTESSGMQWSMTFFLTSAELWELNPSLPHEALMFPHVSMDDPNVVCFLLSEVEPRCRIDTVSLVTVDTSKRKVLSMVPYIEDSDLRGKDPLLVVLRHNYMQSFLLSELPKFINPACKHVFDASPTPAAHTPTSVSQQVNINSAPSLQKKNSAPDYQDACNK* >Brasy4G282400.1.p pacid=40085944 transcript=Brasy4G282400.1 locus=Brasy4G282400 ID=Brasy4G282400.1.v1.1 annot-version=v1.1 MTGECELRLLGLWLSPAKLALTLKGLSYENVEEDLSNKSELLLSSNPVHKKVPVLIHSGAPVCESSVILQYIDEAFASTGPSLLPSAPHERATARFWAAYVDDKLVVPWVHSFRGETEEVRSEGKKQMFAAVETLEGGLRECSKGKPFFGGDGVGLVDISLGSLVSWVHATEVMSGMKIFDPAKTPLLAAWMERFDELDAVKAVMPDIGRVVEFKTRQAQDLAAAAASQNE* >Brasy4G246900.1.p pacid=40085945 transcript=Brasy4G246900.1 locus=Brasy4G246900 ID=Brasy4G246900.1.v1.1 annot-version=v1.1 MRRLPFRLLRSAAAASVRRPVPSRVGCPVPPTTQPPAETAIAPANLARWLPRRGYSQFASGFTPLQPKTLGSILDIERAKGLSPEHLVAAWDDYHLGRGHIGASMKAKLYHLLEQRSSTCRYFVIPLWRGSGYTTMFMQVQMPHIIFTGLEDYKARGTQASPYYTVTHYTEFAETKDTVLVRGDVVFTSKLTDSEAKCLLETAHSFYLNDVRYKLVERFNKETHDFEFKDVLQVLDMPTM* >Brasy4G207100.1.p pacid=40085946 transcript=Brasy4G207100.1 locus=Brasy4G207100 ID=Brasy4G207100.1.v1.1 annot-version=v1.1 MAAIRRAAATIARPSWAAVAGTRRRMEGTGLSRYFGDSVRTGRVLSEEERAAENVYIQKMEREKLEKERRKADQQKADAAKKAAAAKGDKKGEGAHPT* >Brasy4G012500.1.p pacid=40085947 transcript=Brasy4G012500.1 locus=Brasy4G012500 ID=Brasy4G012500.1.v1.1 annot-version=v1.1 MRICRWGRKDREHWPDQLFWCRVAFFHSISSAVQLVPAGLGKGILKRFAAKVKAGNVCIYINRSSSGKG* >Brasy4G114800.1.p pacid=40085948 transcript=Brasy4G114800.1 locus=Brasy4G114800 ID=Brasy4G114800.1.v1.1 annot-version=v1.1 MPLWWGKSSSKEVKKTARENLIDTFQRFISPSEQKGSTKSRGSRGRCKDSTAEKGCWSTAQSRSTSPSKEVSRCQSFAADRPNAQPLPLPRSHAGVTRTVSDVTDSKPILQRHDKGQKLPLPTQNRLQKRPETTECVAELATASVSSNCSINSDDRGDSQLHSPVGNDAENVTKVTTMSSSSVVHKECSSAITTKSTKEVTKLGSAFLRNQILPTSSSGTVSDRYQTNLQNTRQVALESAPSSLMSSPSQSPRTIFPDQIPTSAFWAVKPHADITFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMMSPGPSSRVHSGSVSPLHSRAGGMAPESPTNQHDEVKKKQTHRLPLPPLSISNSSTFLPNNSAPTSPISCSPGRVENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDRGEMCAMKEVALFSDDPKSKESARQLGQEISVLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGRSIHKLLQEDIKGANILVDPNGRVKVADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTGLEMATAKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHSFIRSALPPEKSVASTPLEQLAGISCKPSSKVVGHARNMSCLGLEGQSIYQRRAAKFSSTHSDIHIQSNISCPGSPCGSPLLRSRSPQQQNGTVSPSPISSPRTTSGASTPLTGGNGAIPLNHTRQPAYSEGVTIASSVLDEHLASRPPDPVLGRLVRAQQLSTNIRERVVSEADIRRPQFGKTRQRNLHDRPLASKHASQYGFGDHLKLKPAVDLTSGNPNLIRNHGH* >Brasy4G141300.1.p pacid=40085949 transcript=Brasy4G141300.1 locus=Brasy4G141300 ID=Brasy4G141300.1.v1.1 annot-version=v1.1 RRSSPAPPPLSGGHPTTGRLSRIRRRLPHGRPPSPDPPSHPADLYGSSLLLPPYSSASCRLVVAGCHVRCCRSPNSTAQHLTRSSSVQFARVKFACEQLQSSEDAAWAPPTLPLILLIA* >Brasy4G393800.1.p pacid=40085950 transcript=Brasy4G393800.1 locus=Brasy4G393800 ID=Brasy4G393800.1.v1.1 annot-version=v1.1 MSGTVFRRRRRPPHSSSLRCRLWCCPAAPFLVRAFDRRRWLGVVQIKWRSSKGGRRGLSGPATRTARSLALEEGEDGGGALDDGDVEALDVGLALPTRGNEEFKSFILCLPEFRPSPPFPEHPSISIVVPGCRHFSSLPLTRRDFTPQALVAPRSHLEAMADCLLVLLSFSSVLNCMCCLFCVYDSG* >Brasy4G212500.1.p pacid=40085951 transcript=Brasy4G212500.1 locus=Brasy4G212500 ID=Brasy4G212500.1.v1.1 annot-version=v1.1 MSVEVEAPDGLVRRDSLYGDAEKVSVDKHHGSGASWSQTLHLAFQSIGVVYGDVGTSPLYVYSSTFPGGIKHRDDLLGVLSLILLPMLKYVFIVLYANDNGDGGTFALYSLLSRYAKIRMIPNQQAEDAAVSNYSIEEPNSQTRRAQWVKQKLESSSAARIALFTVTILGTAMVMGDGTLTPAISVLSAVSGIREKAPHLTQSQVVWISVGILFLLFSVQRFGTDKVGYSFAPIISVWFVLIAAIGVYNLAAHDVTVLRALNPKYIVDYFERNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCILFPSVALCYMGQASYLRKFPDNVGDTFYKSIPAAMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYAGQVYIPEINFLIGAASIVVTIAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKKHMAFVAAFYVVFGLAEFLYLSSILAKFVEGGYLPFCFSLVLMALMATWHYVRVKHYWYELDRVVPAARLTELLGRRDVGRVPGVGLLYSDLVQGIPPVFPRLVDKIPSVHAVFVFMSVKNLPIPRVSPPERFIFRRVGPAEHRMFRCVARYGYTDQIEGTKEFSAFLLERLKMFVQEEAAFSCRGDDGDDDDDVRRREAQAAAEEEKRFIDAEAERGVVYLMGEANVAAAPGSSLMKKIVVNYVYTFLSKNLRESHKALSIPKDQLLKIGITYEI* >Brasy4G381600.1.p pacid=40085952 transcript=Brasy4G381600.1 locus=Brasy4G381600 ID=Brasy4G381600.1.v1.1 annot-version=v1.1 MMVIVLCSETHLEVGVYPAVLLAKIMMVAKLTVLLGTMWWPFGIKIKGHHKIKMPIYLVGFKKAILLDRRLIVDLVDRYNSGSLPLDEFSKLIKSIHANRMMGSAFRRAVIPDKPKGEGLLLC* >Brasy4G381600.2.p pacid=40085953 transcript=Brasy4G381600.2 locus=Brasy4G381600 ID=Brasy4G381600.2.v1.1 annot-version=v1.1 MYHSNNSYLLVAKLTVLLGTMWWPFGIKIKGHHKIKMPIYLVGFKKAILLDRRLIVDLVDRYNSGSLPLDEFSKLIKSIHANRMMGSAFRRAVIPDKPKGEGLLLC* >Brasy4G154100.1.p pacid=40085954 transcript=Brasy4G154100.1 locus=Brasy4G154100 ID=Brasy4G154100.1.v1.1 annot-version=v1.1 MDDPVCTPEEDSLNYQCNLCDTELVHSMTQLLLHGLATASVDSSTGDIFKSPSSVAVGMRAELAGYLIQRSETLVRESINGGEDHSDQLIKASTRPTEFMSDLIDDFVASKRNILSHVSGFLSSENRLNKIKEFLQKLETENFWAQDERKVTGETILKSIDTKCIFHCPERFDMPDKLSEHLSQCKFRMVNCVNDGCAVSCSAIHMEEHDTVCPFKLIPCEQLCEQHVMRCEMDKHCATVCPMKLINCPFYEVGCETAFSQCCLDKHCSGFLQIHLMYILKVITRRNTFVNDMDQRLQLLEKVQSLNELAGALDVRALTLITKEQESKIKKLEQDLKAQEAKMKKLESDFRSRK* >Brasy4G398900.1.p pacid=40085955 transcript=Brasy4G398900.1 locus=Brasy4G398900 ID=Brasy4G398900.1.v1.1 annot-version=v1.1 MPYRAQPSGGHETVNFNCTANPLDCLPISCSGGDDCSDYAAPPPPPLPRATIPVDHQLPVRLLLTVSLLSAFLFLSLALSALLIYRRRRLLRRRRRSATAPLPHGEGFDDGDEEAGGGGGGDVHHVWYIRTVGLDEVTIASIATKEYRRGVVGWGGDCAVCLGEFDDGELVRLLPRCAHPFHAPCIDTWLRAHVNCPLCRSPVVVPSAAVADAGTEGGEAEEHQVFEMSPSESLAEGSEDSDASSDTQSEDTEASVEENGSEPPKPIRRSASMDSPLFLVVVPEVRDDALLGNRKLPSGRNMKIFRAKEKEAPGTSSSSCQAGGLGIGRSMSSSGRAFFFSRNGHSIGAVLPP* >Brasy4G411900.1.p pacid=40085956 transcript=Brasy4G411900.1 locus=Brasy4G411900 ID=Brasy4G411900.1.v1.1 annot-version=v1.1 MKSPSMEPAAVAAAIPRGGAFGRVRVACGGRGPRRAVMGAGLPRPARLRVLVAALPEPLDQLSPAQEGAVALESEADGVHMDAASAEISSRAAVHGTGSTVRVRFVLTEQCTFGHSFHLVGDDPALGLWEPTNAVALDWSEGHDWAVEKDLPANRLIEFKFLLLDSLGKFHWQNGPNRSVQTGETAKTLVVYEDWVDHKKQKVAEEGDASVGVVETVVADVGNGRNGVVSVNELQVDDNPEIKEDESSSEDDENSTVAVIASLQGESVKALEDDADQAELMMNEQKTQDERHDEVDTEPQNGSPTKCADDDYAEGTDDASIMTKDGVPVKNGWTGGFERELLWGWKAMQQLLMSLGFKMDTT* >Brasy4G411900.2.p pacid=40085957 transcript=Brasy4G411900.2 locus=Brasy4G411900 ID=Brasy4G411900.2.v1.1 annot-version=v1.1 MKSPSMEPAAVAAAIPRGGAFGRVRVACGGRGPRRAVMGAGLPRPARLRVLVAALPEPLDQLSPAQEGAVALESEADGVHMDAASAEISSRAAVHGTGSTVRVRFVLTEQCTFGHSFHLVGDDPALGLWEPTNAVALDWSEGHDWAVEKDLPANRLIEFKFLLLDSLGKFHWQNGPNRSVQTGETAKTLVVYEDWVDHKKQKVAEEGDASVGVVETVVADVGNGRNGVVSVNELQVDDNPEIKEDESSSEDDENSTVAVIASLQGESVKALEDDADQAEVYQLFCWTSLFTLFTTEIPQVYQLFCWT* >Brasy4G086500.1.p pacid=40085958 transcript=Brasy4G086500.1 locus=Brasy4G086500 ID=Brasy4G086500.1.v1.1 annot-version=v1.1 MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPLAADANAVAAVASSFLSAALVAKLHRFNTASVQAAQRQREAAAAASAAAASAAMSSRGVDAGWSGGRLLEEQHVEQMIEELLDSNFSMEICH* >Brasy4G169600.1.p pacid=40085959 transcript=Brasy4G169600.1 locus=Brasy4G169600 ID=Brasy4G169600.1.v1.1 annot-version=v1.1 MAGGGQAAVSFLTKIAKAAAGVGLAASAVSTSLYTVDGGQRAVIFDRFRGVLPETVSEGTHVLVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLSRPDVERLPDIFTSLGLDYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDALIRRAGEFNIVLDDVAITHLAYGHEFALAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESESARLISEATAAAGNGLIELRRIEAAKEIAGVLARSPNISYIPSSDNSQMLLGLSAAR* >Brasy4G421600.1.p pacid=40085960 transcript=Brasy4G421600.1 locus=Brasy4G421600 ID=Brasy4G421600.1.v1.1 annot-version=v1.1 MATVLRAAVLRLLLLTAAAGGAATGAKVPAIFVFGDSTVDVGNNGYLPGSSARANFPRNGVDFPGGEPTGRFSNGLIGVDFLAMDMGFSRSPPPYLSLVAGSSGGEVTSNKTEKTMAAATSMRGANFASDIIQFGGEEICGGQCVDLSSQINPLVDEQLVLLMYCLFTAKKSWMLLCGHSEDLYRSGESKSS* >Brasy4G289300.1.p pacid=40085961 transcript=Brasy4G289300.1 locus=Brasy4G289300 ID=Brasy4G289300.1.v1.1 annot-version=v1.1 MSKPIMEATIPSFLVNPPLPVSFKYAAPSPSLLPTTKLKTQIHNHSPLLLDSCRFPKTDIYMAGKDVAASKQQATTAAAKPNKSVPRRLWRVVRAVLYMLRRGALPTGRNKLAADLNLNLLRRGAGNGNGKPSEVDFSFSYGSGHYDAADIAKVFEMLNDADGGTHLFDDNDDDALAVAAETPSPVMSAAFGRRRSPAAARRLRVTDSPFAAAGGEQVVDKKADEFIRRFYEQLRAQQSVAATPDHSYGYAAAGHSPRPVAAGTA* >Brasy4G369200.1.p pacid=40085962 transcript=Brasy4G369200.1 locus=Brasy4G369200 ID=Brasy4G369200.1.v1.1 annot-version=v1.1 MSWRRGNGGGGGGGDGGVSRRWAVLLCLGSFCIGLLFTNRMWTLPEATEIARPNQKDDKDDEGGALVAGDCGSKKIQELHNYKDELPVQDTHHDVQTLDKTIASLETELSAARTLQESLLNGSPVAEEFKVSESIVRRKYRMVIGINTAFSSRKRRDSIRYTWMPRGEQRKKLEEEKGIIIRFVIGHSAISGGIIDRAIEAEDRKHGDFMKIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSKHAWKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWFLGLDAEHIDEKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGESALWNSTF* >Brasy4G377900.1.p pacid=40085963 transcript=Brasy4G377900.1 locus=Brasy4G377900 ID=Brasy4G377900.1.v1.1 annot-version=v1.1 MRLGSLCLVTFTVLAAPISAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARNRLLGSYKVKPVINQLKQTLVGRGALLVGAVSLFAFAAPVQDFVHSFNAAPSAASSKPTMRWVLTGTLLVGSVYYGL* >Brasy4G438100.1.p pacid=40085964 transcript=Brasy4G438100.1 locus=Brasy4G438100 ID=Brasy4G438100.1.v1.1 annot-version=v1.1 MIDSTKQDEKAISKVMVIIGGTPLIRNAMIRENAPLHVFHGLVILFRPTSNHFLGSRKWRRRLVNNCQILLGERNYQSGVRPRRFPLPVIEAFADGGRTNGLDSSSKHLCIISPSHII* >Brasy4G160200.1.p pacid=40085965 transcript=Brasy4G160200.1 locus=Brasy4G160200 ID=Brasy4G160200.1.v1.1 annot-version=v1.1 MAAPPLGLARRVAAPAAAHSSRSRQLRPRLLPSKRWSGVVRMGAAVGGGQEGEEEETRQAKEMAAARRRWESLIREEKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDLDTSSDLSGLGKKAFSFIVGGWWSGSSTMSFNKNFVQQVEEKFSKDTDIILVCQKGLRSIAAAEQLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAGIGGVSEFFGWTDQQRAYAAKEGWSYRLLFTGRLVGAIVLLDALFVGAQNIGPLLQQLKPH* >Brasy4G160200.2.p pacid=40085966 transcript=Brasy4G160200.2 locus=Brasy4G160200 ID=Brasy4G160200.2.v1.1 annot-version=v1.1 MGAAVGGGQEGEEEETRQAKEMAAARRRWESLIREEKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDLDTSSDLSGLGKKAFSFIVGGWWSGSSTMSFNKNFVQQVEEKFSKDTDIILVCQKGLRSIAAAEQLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAGIGGVSEFFGWTDQQRAYAAKEGWSYRLLFTGRLVGAIVLLDALFVGAQNIGPLLQQLKPH* >Brasy4G118200.1.p pacid=40085967 transcript=Brasy4G118200.1 locus=Brasy4G118200 ID=Brasy4G118200.1.v1.1 annot-version=v1.1 MHRHQHAGEVHLQYFMPRQDAADRPDSSASCTSPAASSPAVAMWEYHQAHAALQQPPAPSWSSYAGTSTAALLDGSAFAADSVAAADMRLQVGEHVHGHAWSHGELSNGTGYRENFLDLLASKNVTPEMFEDVPGSRYAAPAGSDVSPMKYEVAGSPLFLGTGPNAALEAPGMNMLSCMPCYAADGHHQVKEGSNHQQQQEHANPMASFLQQIRTRTSAGMHASLDYSGLGALNKICQESRDTEPSPFGMRSLPDFGSFGDYRSTKEPMSVQPYARCADRSDSGRQEQEIVSARSSSSGAASDRKKRPSEERRESTVKKSKKEASTASPPKQQVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYVKFLHEQVQLLSEPYTNASRNKGCNNVPWGDHHAAETSKGEGEPHGLRERGLCLVPVSWTPEVYRDGTAMDYWTPAYRGCLYR* >Brasy4G077800.1.p pacid=40085968 transcript=Brasy4G077800.1 locus=Brasy4G077800 ID=Brasy4G077800.1.v1.1 annot-version=v1.1 MSMGLSTKRQSMETAVAGHEDRISTLPDELLQYVLSFLLSRDAVRTCVLAKRWRKLWKSVPALRIGDIDSYPSVHSFSRFVDELLRLRGPAPMHECEIRSNYMQNSAELFQRMLLWIQYALSRQVQVLRVSFRSHVENMTFVSSHLKSLKLCHIWFWDCSIDFSRCQVLEELEMENCGILENIFSPSLRHLNINGGCTRSGSRTRISTPNLIGLKLGDFWGLTPLLDRMPSLVTACITLGKDCKDLCYIYCGGNPKCKGCDGHPGNSNCSVVLEGLSGATNLELTASHPNVFIFRKDLKWCPMFSKLKTLFLSDWCVVDDFIGLVSFLQHSPILETLTLDLHFGYPEHVMETDERCDQKEHSLLSKHLKVVKIICQMKEDARFHCILRILRIHGVPSKQINIQ* >Brasy4G208700.1.p pacid=40085969 transcript=Brasy4G208700.1 locus=Brasy4G208700 ID=Brasy4G208700.1.v1.1 annot-version=v1.1 MALPPPLLPRSSCICRGVTHATRHKSTTTVPDASTSSATSQYSLLRAHTRAGRMEPARQVFDAMPPPAKSLIAWTAIMSGYATHGPASEALILLVRMMTWSLRPDGFVFSVALRACAAVGSERFGRQLHCAAAKMGYVGADLFVANGLLTMYASCRSLGCAEKVFNGIAAPDLVSWTSMLSGYTENGCHTEAVMLFVEMVHAGIRCDAFTLSVALRAASSLANLSLGHQLHCCIIKSGFSNSGFLENCLIEFYGKSSELHLMQKVFDDMDDKDLVSSNTVIQCYVDNMCDEQALSHFRAMMFEGSECDEFTLGSILHVVTRRGAFDYGMEIHGYLIRAGLDSDKHVMSALMDMYVNWATLHKAQCVLPLRMLRYHLLVQGKFDQFIVASSLRSCASDLDLAAGRMLHAYILKLNMNSDAFVTSSLVDMYAKCGCLEESHLLFSTTKYPGTAEWSAVISGNCFNGQFERALHLFRRMQLDHVRPNEFTYTSVLTACIALGDVVGGIEIHGNSVRNGYGTNASVVKSLISFYLREGQFHQALKLCLSLSSREISWDALVKEFSQAGDHIGVLNLFHVIQRSGGVLDYPTAWHILTSCGKLKLLCEGLQAHAYLTKRGLASKPCISSHLIDMYSKCGTVRDAFDAFRYMSDKNASCWTSVIIAHIENGCPEIAIDLFVQMLRKEKIPTSLAFLSVLKACAEVGLVSEAFQFFVSMTEVYKIQPSEGHYSHMIEVLGRAGMFREAEHFIDSVVPSESSASAWSLLCSAAKQNGNTRIMKLAMDKLASLVPCEC* >Brasy4G116500.1.p pacid=40085970 transcript=Brasy4G116500.1 locus=Brasy4G116500 ID=Brasy4G116500.1.v1.1 annot-version=v1.1 MALSSLFAPLPLPLPKLPSTSKSSRFVPLRTSAAAATATASPSFDLRRYWTSLIAEVEGELDAAMPIRPPESIHSAMRHAVLPGAGKEGAAKRAPPVLCVAACELLGAPRAAALPTAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAYGTDMAVLAGDALFPLAYTHVISRTPSPNPVSHAVLLRVLAELARTVGSTGMAAGQFLDLAGATALGEPEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEASLRRYGRTIGVLYELVDDMRSASGNGKMRSNASVLRSLGMDRALGIVEELKAQAKAEADRFADKYGDRVLPLYSFVDYAVERGFELQDAAAKP* >Brasy4G138300.1.p pacid=40085971 transcript=Brasy4G138300.1 locus=Brasy4G138300 ID=Brasy4G138300.1.v1.1 annot-version=v1.1 MGMGWFARSSTFRAGGHRWHVRLYPDGCNKHCAGSTSAFLVCVLGLGERHAPEVRTRFSLNVLQKEAKEEEGRPEASDKLTDFPVIDGIFSPTADNKEFDYGYPVFFDNSKLVPSKHLDDDGCLTVRCVLTVIKNKKSAVVAPPPKPDLQEQLARMLKDGEGADVTFSVGGRLFPAHKCLLAARSPVFRAKLFGFGPMKEDSSTRCVQIDDVEPAIFEALLHFLYTDCMTDEYKEGETENLQHLLVAADRFGVDRLRVLCEGRLCNSIIKSSVATTLVLAELQGCKALRKACIKFMARSRATLRAVSKTVGYSHLVASCPNLVLEILSVVGKLPVSEMAVVIKPASRSVDAFSKRLR* >Brasy4G421800.1.p pacid=40085972 transcript=Brasy4G421800.1 locus=Brasy4G421800 ID=Brasy4G421800.1.v1.1 annot-version=v1.1 MENRTLGSVMKDITESDWTRLEHYASTGERIEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEESFEVNDKSIESESSSVAEVAHQDQATLQSKLKFEDLLRTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G421800.2.p pacid=40085973 transcript=Brasy4G421800.2 locus=Brasy4G421800 ID=Brasy4G421800.2.v1.1 annot-version=v1.1 MIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEESFEVNDKSIESESSSVAEVAHQDQATLQSKLKFEDLLRTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G228400.1.p pacid=40085974 transcript=Brasy4G228400.1 locus=Brasy4G228400 ID=Brasy4G228400.1.v1.1 annot-version=v1.1 MAKTEDVVLDIEGLPQQPDKCSTGSPKMTRALSRKGSNRTERRGGEDQEPDDLAKKLIIKVVPSQLEHLKLPLVQNKTLVAPQCAGCTPVVTDSVEIRSKRFNRFTSFHPRKILLFFATLSSVGTMILIYFTLAINNRAEA* >Brasy4G228400.3.p pacid=40085975 transcript=Brasy4G228400.3 locus=Brasy4G228400 ID=Brasy4G228400.3.v1.1 annot-version=v1.1 MAKTEDVVLDIEGLPQQPDKCSTGSPKMTRALSRKGSNRTERRGGEDQEPDDLAKKLIIKVVPSQLEHLKLPLVQNKTLVAPQCAGCTPVVTDSVEIRSKRFNRFTSFHPRKILLFFATLSSVGTMILIYFTLAINNRAEA* >Brasy4G228400.2.p pacid=40085976 transcript=Brasy4G228400.2 locus=Brasy4G228400 ID=Brasy4G228400.2.v1.1 annot-version=v1.1 MAKTEDVVLDIEGLPQQPDKCSTGSPKMTRALSRKGSNRTERRGGEDQEPDDLAKKLIIKVVPSQLEHLKLPLVQNKTLVAPQCAGCTPVVTDSVEIRSKRFNRFTSFHPRKILLFFATLSSVGTMILIYFTLAINNRAEA* >Brasy4G228400.4.p pacid=40085977 transcript=Brasy4G228400.4 locus=Brasy4G228400 ID=Brasy4G228400.4.v1.1 annot-version=v1.1 MAKTEDVVLDIEGLPQQPDKCSTGSPKMTRALSRKGSNRTERRGGEDQEPDDLAKKLIIKVVPSQLEHLKLPLVQNKTLVAPQCAGCTPVVTDSVEIRSKRFNRFTSFHPRKILLFFATLSSVGTMILIYFTLAINNRAEA* >Brasy4G152600.1.p pacid=40085978 transcript=Brasy4G152600.1 locus=Brasy4G152600 ID=Brasy4G152600.1.v1.1 annot-version=v1.1 MAFMDPFAFDLPSRPRAPSFFGRFSGRSAHAHVVQPAVEVPVPFFHDDSLSKNSKNRPRLGPDYDADIDANLKMMEKNAGERPLPDYLATVQGDRISPLTRGALVLWMDKFVRHYELAPGTLHLAVACIDRVLSVRTARNYGAYELQLLGATAVFAAAKYEDQSTKYKLNTAEIARYCGLETSEEVRETEREMMKALGFQISGPTAYTFVGHFTRYFSQGKEELRVQRLAHRIADQSLLSHVCVGFLPSVVAASAIFLARFALNPSDVLAWNAEMQELTGYGSLDLSRCVQIMYSFSQSLICNPPF* >Brasy4G069700.1.p pacid=40085979 transcript=Brasy4G069700.1 locus=Brasy4G069700 ID=Brasy4G069700.1.v1.1 annot-version=v1.1 MPSNSEAKASDNGEKQQLVCVTGAGSFVGSWVVKMLLLRGYRVRGTARDPAHAKNAHLLALDGAEERLTLCHADVLDRDGLRAAFAGCRGVFHVACPCSNDDPELMPAAVDGTRNVINAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETFWSDYEHCKQTGELYCCAKMVAEKMATEEAARRGLELAMVVPCVTVGPVLQRQTLNLSTIHVARYMTGVVEACPNAVAAYVDVRDVARAHVLVYERAPAAGQRFLCIGAVLHRAHFLRLLKELFPQYPVTAKFSNQRLRELGLEFTPLSESLYETVVSLQQKGHLPRPDPAPKRAHLWH* >Brasy4G128800.1.p pacid=40085980 transcript=Brasy4G128800.1 locus=Brasy4G128800 ID=Brasy4G128800.1.v1.1 annot-version=v1.1 MPLLASASSSDSHHSQLTSSTHHHHSRENQPPPCSGMITFGDLTDPAPGGAERCVDRQLWLACAGGMCTVPPVGSSVYYFPQGHAEHALGLAAAGPGVGGLSRVPALLPCRVAAVRYMADPDTDEVFAGIRLVPLRQDVQDDGAAGAGEDEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVVAKDVHGASWKFRHIYRGTPRRHLLTTGWSAFVNHKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGAEEGSVPGWENQLYAAGPMRGGGNVSPSCKGGRRGGKVRAEDVAEAARLAGSGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPRSPWRLLQVTWDEPDLLQNVKRVSPWLVEFVSSMPAIHLASSFSPPRKKPRIPAYPEFPFEGQLLNPSFPLNLMAHGHPHHHYHHTQSYHPSFFPFPDGSAPAGIQGARHAQFGPSLPDLHLTHLQSSLLNPGLRRHDHLTPPFIPPRISTDLTIGSSPAPKNGVSSTPPDDGAKKPKPSSGLVLFGQTILTEQQMSRSESAGATSPAASGNSSLNCDTEKAGNVSEGSGSGVIQNASPERLRWFGDGNSVSELGLEPGQCKVFIESETVGRNLDLSAMSSFEELYGRLSELFCIESAELRSRVLYRGATGQVKHAGDESFSDFIKSARRLTILADAGSDNLGS* >Brasy4G264600.1.p pacid=40085981 transcript=Brasy4G264600.1 locus=Brasy4G264600 ID=Brasy4G264600.1.v1.1 annot-version=v1.1 MVRFLRRHSLDKSSSRNHLQQQNREAEDHHSVAEMTDGSTPPLPNGRAAPRGRRDGPPSDLDVMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRRWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEDFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKDTKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLGADAAATNLTASEAARRQEMDAPRKLDAREELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSQLAPKKFSYVDSLAGSGMRSPSARH* >Brasy4G359000.1.p pacid=40085982 transcript=Brasy4G359000.1 locus=Brasy4G359000 ID=Brasy4G359000.1.v1.1 annot-version=v1.1 MDQLVNFIIRPPRADYSPDDDLLEQEFMLKGRWFQRKDLEVINGQGKKLQCSHYMPVVMPEGKPLPCVIYCHGNSGCRADASEAAIILLPTNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNYLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRLAQSPTAPGTTTEDAIAQLRSRRLMSRMEVPSGATTENRGDRTEITDSDVGPSSSSASTATPPNGRNGRMLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAILESLKDMDKSNTKNTQAVASDAGCEENNVAKDCNQATDAAALETDAPSISMRAGDVPGKDVAACNGVTKAAEAQSADGCTASDAVYVTGSGTSESNGSTQAINGKSGSGDSQKSTQNSNGEDGTRATLVVQKSRTSSLMDGLTKWGSFFKNND* >Brasy4G323700.1.p pacid=40085983 transcript=Brasy4G323700.1 locus=Brasy4G323700 ID=Brasy4G323700.1.v1.1 annot-version=v1.1 MEEVTEAVNNLSIAEGAAGAPAAAPGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDARNRPIQKAKIEILIGKTEKFDELMAAAAEEREAAGAEEEQS* >Brasy4G348400.1.p pacid=40085984 transcript=Brasy4G348400.1 locus=Brasy4G348400 ID=Brasy4G348400.1.v1.1 annot-version=v1.1 MDAVDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVAMGFVGFFVKLIFIPINNIIVGSG* >Brasy4G234500.1.p pacid=40085985 transcript=Brasy4G234500.1 locus=Brasy4G234500 ID=Brasy4G234500.1.v1.1 annot-version=v1.1 MDHRLVAPLLFLLGLLLSPAGATAAAGDEDPLIRQVVGGADAEDNDLELSSHFTSFVQRFGKTYKDAEEHAHRLSVFKANLRRARRHQLLDPSAEHGITKFSDLTPAEFRRTFLGLKTSRRSFLREIGGSAHDAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMEVLSEQQFVDCDHECDPAEPDSCDAGCNGGLMTSAFSYLLKSGGLEREKDYPYTGRDGTCKFDKSKIVASVQNFSVVSVDEEQIAANLIKHGPLAIGINAAYMQTYIGGVSCPYICGRSLDHGVLLVGYGASGFAPSRLKNKPYWVIKNSWGENWGEKGYYKICRGSNVRNKCGVDSMVSTVSAAHTSKEE* >Brasy4G303100.1.p pacid=40085986 transcript=Brasy4G303100.1 locus=Brasy4G303100 ID=Brasy4G303100.1.v1.1 annot-version=v1.1 MANKRIQKELMDLQKDPPTSCSAGPAGADLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAQLYKNQRHRYEETATAWTQKYAMG* >Brasy4G246200.1.p pacid=40085987 transcript=Brasy4G246200.1 locus=Brasy4G246200 ID=Brasy4G246200.1.v1.1 annot-version=v1.1 MDLVRLVVALLLLLCVVFLRHSADAENRHVFLDWELSYAVRSPLGVAKKVIAINGNFPGPLLNLTTNDVAHVNVLNTLDEPFLLTWNGLQMRRNSWNDGVAGTNCAIRPGENWTYVFQVKDEVGSFFYRPSLGLHAAAGGHGPIRVNNRPVIDVPFPRPDGDLDVLVGDWYDMDVSEMRKHLDKGHDLPSPDGILIDGLGPYEASLTFKAGRTYRLRVSNVGTRTSLSFRIQGHKLLLVEAEGTYTAQKHYASLDVHPGQSLSVLVAADQAPKPYYMVVSSLFVTPELFGVGTVLYAGGPSAAGSANDAPLHDLSSHNSYNRSMEQAKTIRMNLTSGAVRPNPQGSFHYASINVTRTVLLQNGVADIDGRRRCTVNGVAFANTGTPLKLADYFRVPGVFTVVSGRPERRNRPALGTTVIDASYKDFVQIVFDNRLPSLQTWHLDGYSFFVAGMGWGKWSPDTRSTYNLVDAVYRSTVQVYPSSWTAVLVYLDNEGMWNLRSQDLENKYLGQEVYLRVGQGNSEVPDPRDELPMPSNALLCGKATGLRSWYRLGPGPA* >Brasy4G159100.1.p pacid=40085988 transcript=Brasy4G159100.1 locus=Brasy4G159100 ID=Brasy4G159100.1.v1.1 annot-version=v1.1 MEPSTGLWVSVWSCFKFLPFFCGLLLLGIIKGVLFGPLAWLIMTIGISALILGLWPVHVVWTYYCIIRTKLVGPVVKFLLLMSASGILVLWLIVGIVGSVLAGLAYGFLAPVMATFDAVGEGKERPLVHCFVDGTWSTITGSCTVVRDLKDMLFHSYFSVMDDLRFHAPPGGTPFEIRVLDIPGAVFAAACGLLTDGIMFTVIALYKLPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPLAALGAFLASIVSSVPLGVYAAIVVYQESSLFMGLSYVISSVSIFDEYTNDVLDMAQGSCFPRFAYRKNEVTTQSGPLSRPASFKDRQDEKKAPARMTSFKSSFDEFNPFKLLDHLFAECKHRGETLVTEGVITSKDIEETKSGKVGSGVINVGLPAYVILNALLRSAKANSDGLLLSDGCEITSDNRPKNTLFDWFFDPLMVIKDQIKAENFTEEEEAYLKKRVLLISDPKRLKATLPHLASLNERQQAEIDAFARRLQGITKSISRYPTSKRRFDDLAKALSEELERAMGGSQSVSGPQFQRLKSGVARMLSQRSMGKTTSIRGYDQEAQLTSTGHDA* >Brasy4G159100.2.p pacid=40085989 transcript=Brasy4G159100.2 locus=Brasy4G159100 ID=Brasy4G159100.2.v1.1 annot-version=v1.1 MWFGHITASSGRTKLVGPVVKFLLLMSASGILVLWLIVGIVGSVLAGLAYGFLAPVMATFDAVGEGKERPLVHCFVDGTWSTITGSCTVVRDLKDMLFHSYFSVMDDLRFHAPPGGTPFEIRVLDIPGAVFAAACGLLTDGIMFTVIALYKLPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPLAALGAFLASIVSSVPLGVYAAIVVYQESSLFMGLSYVISSVSIFDEYTNDVLDMAQGSCFPRFAYRKNEVTTQSGPLSRPASFKDRQDEKKAPARMTSFKSSFDEFNPFKLLDHLFAECKHRGETLVTEGVITSKDIEETKSGKVGSGVINVGLPAYVILNALLRSAKANSDGLLLSDGCEITSDNRPKNTLFDWFFDPLMVIKDQIKAENFTEEEEAYLKKRVLLISDPKRLKATLPHLASLNERQQAEIDAFARRLQGITKSISRYPTSKRRFDDLAKALSEELERAMGGSQSVSGPQFQRLKSGVARMLSQRSMGKTTSIRGYDQEAQLTSTGHDA* >Brasy4G191800.1.p pacid=40085990 transcript=Brasy4G191800.1 locus=Brasy4G191800 ID=Brasy4G191800.1.v1.1 annot-version=v1.1 MAECDQELVAGSAQDMLRVVAALAGILERVAERNDAAAAAELELAAAPAMASVSAYRATTKPGISVRAYVARIARFAGCSPACYVVAYIYLDRLLHRGGGGRRRFALAVDSYSVHRLLITTVLAAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFGDYCVVLQSEMLCTEAPPRQLQYCCLSDEEAGAGRSSQQQLAA* >Brasy4G065100.1.p pacid=40085991 transcript=Brasy4G065100.1 locus=Brasy4G065100 ID=Brasy4G065100.1.v1.1 annot-version=v1.1 MLLPRLLLPAHRLLAAGALSCHRRRLLARRMAAAASAARHRAPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDAAYVAAREAGSGSVDILHCIESWGPDEQRRAYEAIARFERDGLDRLQIMPGASELCGFLDARQIRRGLITRNVKGAVDLFHQRFGMMFVPALSREFRPYKPDPAPLLHICSNWNIPPNEVIMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPYDSIPDDVKPDFKVSSLSEVLSILEEHFDLAPVSAAESRI* >Brasy4G289100.1.p pacid=40085992 transcript=Brasy4G289100.1 locus=Brasy4G289100 ID=Brasy4G289100.1.v1.1 annot-version=v1.1 MALSGEASAAVASVAPFLTTIIGNLGNVRQMRATPSWHDHHGHAENPSRELELLGGGTAAPESEVEDAECECCGMSEEYTPAYIGAVRRRFSGRWVCGLCAEAVAEEGKRRGGGHGGLAAHMAVCRRFNGFGRTHPALFQADAVKGILRKLSGPRSPKSDCAKPREATAKAAPPAA* >Brasy4G099500.1.p pacid=40085993 transcript=Brasy4G099500.1 locus=Brasy4G099500 ID=Brasy4G099500.1.v1.1 annot-version=v1.1 MGVSLAQAVAALMGRCARRLSRAAHRLQARVGPGLASSFSSGAVVPFVGGKKNKKKAAALPWTSKSSKRRRRTKAAAGAEEWSFEDVEDSGVWRKEILMGERCQPLEFSGAIYYDAEGRRLGAPPPPRTPMRSPLPASLKLAANA* >Brasy4G044600.1.p pacid=40085994 transcript=Brasy4G044600.1 locus=Brasy4G044600 ID=Brasy4G044600.1.v1.1 annot-version=v1.1 MTTRAWGLLRRLGLGIGLRCRRRTRWRRSSAGPYPSNATYSRTAADATIPAKNSATMQKAKKWYHHSRRSWSRSRRRNRDDDDDDNPCSMSAMSIDRTIEYMYVLLG* >Brasy4G211600.1.p pacid=40085995 transcript=Brasy4G211600.1 locus=Brasy4G211600 ID=Brasy4G211600.1.v1.1 annot-version=v1.1 MGGGGALGDGDGWADQEQGNGGSRGGAEAKRSEIYTYESPWHIYGMNWSVRRDKKYRLAIASLLEQVVNRVEVVQLDESSGDITPVLSFDHPFPPTKTMFVPDPQSVRPDLLATSADLLRIWRITDDDDAAADAAANSNSGSVRCNGVDSAGQQPGAVLRCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESSSGTGSNSAASDGGAMSPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGNNSSGNGNGNAAAAAAAEGGLDPILAYTAGAEVEQLQWSATQPDWVAIAFANKLQILRV* >Brasy4G244300.1.p pacid=40085996 transcript=Brasy4G244300.1 locus=Brasy4G244300 ID=Brasy4G244300.1.v1.1 annot-version=v1.1 MESPRILFGFKNEEEWTPRILFGFKNEEEWNASSSSSSVAASRRSLHNRPPPLLLRPPRPPAAPAAATPCCSGPSEPLLLRPPRPPAAPVCCSGRRDPLLLRPAAPAAATPCCSGLLLRPPRPPAAPAAATPCCSGLLLRPPRPPAAPAPATRAA* >Brasy4G281300.1.p pacid=40085997 transcript=Brasy4G281300.1 locus=Brasy4G281300 ID=Brasy4G281300.1.v1.1 annot-version=v1.1 MPSEKQEEAAVRVLGRWPSPYVIRVLIALNLKGVEHELVEEPMGNKSELLLASNPVHKKIPVLIHDGRPISESLVIVQYVDDAWASQGPAILPSDPHARAAERFWAQYVDDKFPTAIRVLRGRVVGDKEEAAAEVSAALRQLEGAFVECGGGKGYFGGDGPGYLDIALGSHVGWIRAVERINGISLLDAAKVPRLAAWADRLCAHPAVVDVLPDVDRFVEFSVENDGALASK* >Brasy4G328900.1.p pacid=40085998 transcript=Brasy4G328900.1 locus=Brasy4G328900 ID=Brasy4G328900.1.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRRYKRLDLELTNARGHTLKCSHYVPAFITENTALPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKEDLKSVVSFLRTNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAAMVLDSAFTNLHGLMLELVDVYKIRVPKFTVKMAVQYMRRVIQKRAKFDIMDLNVVKLAPKTFIPALFGHGLNDMFIQPHHCDRIHQAYGGDKSIVKFEGDHNSPRPQSYYDSVSIFFYNTLRPPQLPHACSNKLNMGAFKVGAMTNECLFFDIINGLRAAKADAGSSTTDTHGIRNATTSVVELLSESANQLSIKNEDDLDFLLDANHNLSGMDGDSAGSHLPDKTSRHNEESCSYTSSNRESWGRCSSLGAASDGSPLGGTNDKHQNMTVQALATPVRLEKRKSVKSSPPPKSKEKKIHALWKKLKREKEEMGDSLSQRLRMCLGQSRHKRTHSSGQ* >Brasy4G328900.2.p pacid=40085999 transcript=Brasy4G328900.2 locus=Brasy4G328900 ID=Brasy4G328900.2.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRRYKRLDLELTNARGHTLKCSHYVPAFITENTALPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKEDLKSVVSFLRTNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAAMVLDSAFTNLHGLMLELVDVYKIRVPKFTVKMAVQYMRRVIQKRAKFDIMDLNVVKLAPKTFIPALFGHGLNDMFIQPHHCDRIHQAYGGDKSIVKFEGDHNSPRPQSYYDSVSIFFYNTLRPPQLPHACSNKLNMGAFKVGAMTNECLFFDIINGLRAAKADAGSSTTDTHGIRNVVELLSESANQLSIKNEDDLDFLLDANHNLSGMDGDSAGSHLPDKTSRHNEESCSYTSSNRESWGRCSSLGAASDGSPLGGTNDKHQNMTVQALATPVRLEKRKSVKSSPPPKSKEKKIHALWKKLKREKEEMGDSLSQRLRMCLGQSRHKRTHSSGQ* >Brasy4G328900.3.p pacid=40086000 transcript=Brasy4G328900.3 locus=Brasy4G328900 ID=Brasy4G328900.3.v1.1 annot-version=v1.1 MIEQFVNFVIRPPRSEYNPDQYLWETEFILAGRRYKRLDLELTNARGHTLKCSHYVPAFITENTALPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKEDLKSVVSFLRTNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAAMVLDSAFTNLHGLMLELVDVYKIRVPKFTVKMAVQYMRRVIQKRAKFDIMDLNVVKGDKSIVKFEGDHNSPRPQSYYDSVSIFFYNTLRPPQLPHACSNKLNMGAFKVGAMTNECLFFDIINGLRAAKADAGSSTTDTHGIRNATTSVVELLSESANQLSIKNEDDLDFLLDANHNLSGMDGDSAGSHLPDKTSRHNEESCSYTSSNRESWGRCSSLGAASDGSPLGGTNDKHQNMTVQALATPVRLEKRKSVKSSPPPKSKEKKIHALWKKLKREKEEMGDSLSQRLRMCLGQSRHKRTHSSGQ* >Brasy4G035300.1.p pacid=40086001 transcript=Brasy4G035300.1 locus=Brasy4G035300 ID=Brasy4G035300.1.v1.1 annot-version=v1.1 MATPQQEQVRSVDSFSQLPFIRQAAVAAAPARDIMTIRLFGHEFSNNNDERHQHKESSAPGTGSPEYAANNNTSNGSTVTSECGGKERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAAMHHHHRYAAVPAHHMYGALLGYPPPPPAHYPMWTTTAAAAGPPGPAYGLGPGSMAQPNDGSPVPGLWGPRPTQTFVGPADLVPVMRPATAGHDQMAFKVDDEKKAVVSFLSTSPPSLSSCSSTSEPEKLLAAGSRCELGQQRQEAVISLDLHL* >Brasy4G320100.1.p pacid=40086002 transcript=Brasy4G320100.1 locus=Brasy4G320100 ID=Brasy4G320100.1.v1.1 annot-version=v1.1 MGRIIYQTVEDTCSPVSCTVCLDAQHRLNFERLHGPGTFPFQAAYPRMLRRACLKAGIWSPDNGADVALVLRFIMERGGVPTTNVPRESLLLPLKGFKTYPTEHVSREQAAQLIHKNGPCIATLFVCF* >Brasy4G320100.2.p pacid=40086003 transcript=Brasy4G320100.2 locus=Brasy4G320100 ID=Brasy4G320100.2.v1.1 annot-version=v1.1 MGRIIYQTVEDTCSPVSCTVCLDAQHRLNFERLHGPGTFPFQAAYPRMLRRACLKAGIWSPDNGADVALVLRFIMERGGVPTTNVPRESLLLPLKGFKTYPTEHVSREQAAQLIHKNGPCIATLFVCF* >Brasy4G320100.3.p pacid=40086004 transcript=Brasy4G320100.3 locus=Brasy4G320100 ID=Brasy4G320100.3.v1.1 annot-version=v1.1 MGRIIYQTVEDTCSPVSCTVCLDAQHRLNFERLHGPGTFPFQAAYPRMLRRACLKAGIWSPDNGADVALVLRFIMERGGVPTTNVPRESLLLPLKGFKTYPTEHVSREQAAQLIHKNGPCIATLFVCF* >Brasy4G366500.1.p pacid=40086005 transcript=Brasy4G366500.1 locus=Brasy4G366500 ID=Brasy4G366500.1.v1.1 annot-version=v1.1 MERDHAALKKMRLDDVAADSPMTEMPATASSMNQQLFWSQWQLLDSILPTGGFAHSCGLEAAMQSRIVINPEDLRLFLLQALENIGSLLLPFVYCASKSPDATTLVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFTEIQSLQDIRKTFLGSTSVSFHHAPIFGLICGLVGFDCETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAPDAEKMVQKWKDRDVSEASQTAPLLDALQGCHAYMFSRLFSS* >Brasy4G366500.2.p pacid=40086006 transcript=Brasy4G366500.2 locus=Brasy4G366500 ID=Brasy4G366500.2.v1.1 annot-version=v1.1 MERDHAALKKMRLDDVAADSPMTEMPATASSMNQQLFWSQWQLLDSILPTGGFAHSCGLEAAMQSRIVINPEDLRLFLLQALENIGSLLLPFVYCASKSPDATTLVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFTEIQSLQDIRKTFLGSTSVSFHHAPIFGLICGLVGFDCETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAPDAEKMVQKWKDRDVSEASQTAPLLDALQGCHAYMFSRLFSS* >Brasy4G366500.3.p pacid=40086007 transcript=Brasy4G366500.3 locus=Brasy4G366500 ID=Brasy4G366500.3.v1.1 annot-version=v1.1 MERDHAALKKMRLDDVAADSPMTEMPATASSMNQQLFWSQWQLLDSILPTGGFAHSCGLEAAMQSRIVINPEDLRLFLLQALENIGSLLLPFVYCASKSPDATTLVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFTEIQSLQDIRKTFLGSTSVSFHHAPIFGLICGLVGFDCETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAPDAEKMVQKWKDRDVSEASQTAPLLDALQGCHAYMFSRLFSS* >Brasy4G173900.1.p pacid=40086008 transcript=Brasy4G173900.1 locus=Brasy4G173900 ID=Brasy4G173900.1.v1.1 annot-version=v1.1 MSEERALAVGAGGYGGGEITFTVVMSCLTAASGGLIVGYDIGITGGLTQMESFLEAFFPEILRKMSNAQQDAYCIFDSQVLNAFVSSFYLAGMLSSLLAGNVTRTLGRKNSMLIGGLLFFAGALNFTAVNISMLIIGRILLGVGVGFTSLSAPVYLAEIAPARWRGAFTSTFHFFLNVGFFMADLVNYGATTIPRWGWRLSLGVGIVPAAIIVVGAAMIPDTPNSLVLRGKLDEARASLRRIRGPASDIDAELKDIVQAAEEDKRYSSGALRRLGRREYRPHLVMAVAMTVFLEMTGVTVVSIFTPLLFYTVGFTSQKAILGSIITDIVSLASIAAAAVAVDRYGRRSLFFLGGVVLVLSLVAMAWIFGAQLGGDGGASMSRGYAAAVVALVCVYTAGFGVSWGPLSWVVTSEIFPLEVRTAMLGLSGAISGLLAFAQSQSFLEMLCRFKYGTFAYYAGWVVVMTAFVAVFLPETKGVPIESMGAVWAQHWYWKRFVKPAPAPAKQADGPA* >Brasy4G152200.1.p pacid=40086009 transcript=Brasy4G152200.1 locus=Brasy4G152200 ID=Brasy4G152200.1.v1.1 annot-version=v1.1 MEELSMSSEGEVGGTEQGNGWFNRRVYCERMTNVPRRFGPDARGPPSDLGNIFSDVETEWIGEASGAAQPSDVPKATVFVLQLRDKGACASDVVEPIQRFFFLQPLEASREFPSSVSQSPSLKSLNHAAPWAAALSATLATAATTPAAPPRRRARLLPGSCRSSSAAPHLLPCGCSFLGCGAFLRGLSSPRRCRPAVPSTGARLQILVRGAILVRGGTRSG* >Brasy4G152200.2.p pacid=40086010 transcript=Brasy4G152200.2 locus=Brasy4G152200 ID=Brasy4G152200.2.v1.1 annot-version=v1.1 MEELSMSSEGEVGGTEQGNGWFNRRVYCERMTNVPRRFGPDARGPPSDLGNIFSDVETEWIGEASGAAQPSDVPKATVFVLQLRDKGACASDVVEPIQRFFFLQPLEASREFPSSVSQSPSLKSLNHAAPWAAALSATLATAATTPAAPPRRRARLLPGSCRSSSAAPHLLPCGCSFLGCGAFLRGLSSPRRCRPAVPSTGARLQILVRGAILVRGGTRSG* >Brasy4G211000.1.p pacid=40086011 transcript=Brasy4G211000.1 locus=Brasy4G211000 ID=Brasy4G211000.1.v1.1 annot-version=v1.1 MAPAMRLILLLAVIGCATAAGGGGVDLWPMPASDLKMSAVGSKYADGKTILAEAFQRIVSVIQMDHAIVGSYDRLPVLTGVNVVVHSPDDELKFGVDESYNLSIPATGSLMYAQIEAQTVFGALHALETFSQLCYFDFVLSVTRLDSAPWTIMDMPRFPYRGLLIDTARHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPELSNGAYSYSEKYTINDALDIVRYAEKRGVNVLAEIDIPGHARSWGVGYPSLWPSASCQHPLDVSNNFTFKVIDGILSDFSKVFKFKFVHLGGDEVDTSCWATTPRIKSWLVLHGMNESDAYRYFVVRAQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGRGVAEKAVSAGLRCIVSNADKWYLDHLDATWEGFYMNEPLANIYNPEQQKLILGGEVCMWGERIDASDIQQTIWPRAAAAAERLWTPVEKLAKNVTTVTARLARFRCLLNERGVAAAPLDGYGRAAPLEPGSCIRQ* >Brasy4G283400.1.p pacid=40086012 transcript=Brasy4G283400.1 locus=Brasy4G283400 ID=Brasy4G283400.1.v1.1 annot-version=v1.1 MAAAAGGGEGEEVKLLGCWDSPFVNRVQIALNLKGIPYEYVEEDLHCKGALLLASNPVHKKVPVLIHNGKPIPESQVILQYLDEAWAGSGGPSLLPADPLQRAAARFWAAFVDDKVGSPWFTILFAREAEAKMEAAARAVAAMETLEGALGEEKAFFGGDGIGFVDVVLGSYLGWFVVIEKMIGVKILDAARTPALAAWAERFRAAESVKGVLPEDVDKVLEFLQTFL* >Brasy4G023900.1.p pacid=40086013 transcript=Brasy4G023900.1 locus=Brasy4G023900 ID=Brasy4G023900.1.v1.1 annot-version=v1.1 MASDSATAPSDAVVSSSKKADGGPSSKKKKKTSTSAEEKATTAAARIKNHLSATAAAAAREREKKAAAAEQSMEAKLAATKRKLREGYERFADAKRRRRLLVIEAEVARTCCAEFDLCETASDFFSFAFV* >Brasy4G141600.1.p pacid=40086014 transcript=Brasy4G141600.1 locus=Brasy4G141600 ID=Brasy4G141600.1.v1.1 annot-version=v1.1 MISDALLDGEKLPAILISCGFFKAVASLYLIISNAPGGILLHHGMVPFVLCCAILVVTLIFGIFEIVAGLWVSDDLAERREDGKKILYVSIVLLIVMLAALEAFASLK* >Brasy4G410700.1.p pacid=40086015 transcript=Brasy4G410700.1 locus=Brasy4G410700 ID=Brasy4G410700.1.v1.1 annot-version=v1.1 MEIKMKDAWGLLLVLLLGQLVAFSMAVASFASSLIANLGVDAPLTQSFFAYLLLTLAYVPILLCRRQKLRIPWFWYLALALIDVQGNYLGEYWVQRNIVGLLDCWTILWVILLTWYALGTRYSFWQFLGAGTCVAGLGLVLLSDVKSPDEQDPRKIPLLGDALVIAGTVCYAFSTVGQEYGVKTTDRIEVVAMLGLFGLLLLQMSGATLFNLSLLTSDMWVVAIRVFVYQQQINWLYYLAFAVVAIGLVIYSLK* >Brasy4G156200.1.p pacid=40086016 transcript=Brasy4G156200.1 locus=Brasy4G156200 ID=Brasy4G156200.1.v1.1 annot-version=v1.1 MRRFLPGGGGGEPSSSSSSGAPHGEQAAAGRNDGGLRYGGGDISLGRGHDQQQLHHQAQFRGGGGGGEAEMKGRDDGADLLTRHSSSPAGFFSNLMVDDGGFAGSRAGAAAGGGAEAGHRSGTKMKSQLGFTAGGPQTAASHLSRISEGAALFPGTAAAGHSHSGGSGGEHGTVSRSFSAGGAGGFSIVGPWDESRDIIGTLDLGGYESQFSGMASSSSLELAGMDKYMQAQQQQQQDQVAFKVRAKRGCATHPRSIAERERRTRISEKLRKLQDLVPNMDKVEQTSTSDMLDLAVEHIKGLQSQLQAMKQEQDKCTCCSKP* >Brasy4G128700.1.p pacid=40086017 transcript=Brasy4G128700.1 locus=Brasy4G128700 ID=Brasy4G128700.1.v1.1 annot-version=v1.1 MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYITYKDHITLADYEIHDGMGLELYYN* >Brasy4G065800.1.p pacid=40086018 transcript=Brasy4G065800.1 locus=Brasy4G065800 ID=Brasy4G065800.1.v1.1 annot-version=v1.1 MAASTQGQVITCKAAVAWDANKPLVIEDVQVAPPQAGEVRIKILSTALCHTDYYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECKDCKMCKSGKTNLCGKVRSATGVGLMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINQQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSVVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHEKPIQQVIVDLTDGGVDYSFECIGNVSIMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMNKEIKVDEYITHNMNLSDMNKAFDLLHEGGCLRCVLAMEH* >Brasy4G318400.1.p pacid=40086019 transcript=Brasy4G318400.1 locus=Brasy4G318400 ID=Brasy4G318400.1.v1.1 annot-version=v1.1 MAAASPPAASPPSRRRRRDDSPRRGDHRKPLGSPPPSPDREAERRRRSRASPSDRDRPRRDSKPSEDNGIAKPSEADDRPPRRARVPNGEEEEDRRTRRSRASDDEKEDERRRRRSRASDDERDDHRGKRDRARHRDSRRHHRRRSPSSELTSSPEDRRSRHRRNQGSWRRVEDSNREERRSSPVRKELTPPLPPPPPLPEMIPGRTGGIYIPPFRMAQMLRDVEDKASPEYQRLTWDALKKSINGLVNKVNATNIKNLVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVMLQLKRAYKRNDKPQLLAATKFVAHLVNQVVVHEVVALELLTVLLANPTDDSVEVAVGFVKECGAILQDLTPQGLHAMFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQCTHDISLETELDPETNLNVFRLNPNFAEDEKAYENLKKSILGDEMDEDEEGSDDASDDEDEEESDDEEDEEQMEIRDKTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELNIMLLECCSQERTYLRYYGLLGQRFCMINKVFQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFESVFPRDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPALSESESDGESSGLGSSSESESSSDESDRKRSKRRKK* >Brasy4G434500.1.p pacid=40086020 transcript=Brasy4G434500.1 locus=Brasy4G434500 ID=Brasy4G434500.1.v1.1 annot-version=v1.1 MLACSCVGIDLCYYHTKKLIYLLRYIYCRTVKIKQSIELKQRFQ* >Brasy4G086400.1.p pacid=40086021 transcript=Brasy4G086400.1 locus=Brasy4G086400 ID=Brasy4G086400.1.v1.1 annot-version=v1.1 MVRVAGLLWVIWNTRNDACFRNIRLKSPFGIIKRFCYMLNLWSVLQRKEASRDLLQWGVRLVEVVAKEIFETAKGWNPFYRRITAG* >Brasy4G193700.1.p pacid=40086022 transcript=Brasy4G193700.1 locus=Brasy4G193700 ID=Brasy4G193700.1.v1.1 annot-version=v1.1 MMMLNPASGAAALESIRHEPPAPRPAYCRSTSFGSLVADQWSESLPFRPNDADDMVVYGALRDAFSCGWLPDGSFAAVKPEPLPSSPDSYDGSFCLGSFLASPGPPETPTSMGTQEEEEAGLVSAAGASGSSSRGKHFRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAVGDKRPCPQPQPASSDSSSSPSSSGSTSSGSGPSKRRKRGEAAAASMAMALVPPAPVQAPVQLTLPAQPWFAAGHVQQLVS* >Brasy4G285400.1.p pacid=40086023 transcript=Brasy4G285400.1 locus=Brasy4G285400 ID=Brasy4G285400.1.v1.1 annot-version=v1.1 MVNTNLKEIHILDCLENPAASKESIGTDREELSQAVATYKRKFIRWLLQQKDSSSCGLFVIKFMENWLGAKLQERFTRCQIDKFRKEFPCKLLHCNLNKMPYLESPPEGNSLQESEGRQSDVKEVDEDGNSLVSNSTSAERNSHNELLRMGKEETRTKLVTTIFIQVRFSGTCPRKSHKDTQIEYQGKSGQCKENEGSACGF* >Brasy4G283900.1.p pacid=40086024 transcript=Brasy4G283900.1 locus=Brasy4G283900 ID=Brasy4G283900.1.v1.1 annot-version=v1.1 MVAVGCPDLLPCAFYSVCFGRGLCAFCWIDLLLEGSHRFLVVALQVVLPAVFAGRALSLVGDQSSVGSSFVLPWMSPMGVWLLHCGVVSDLVSNRSPPSPFEWEVAMRGRNIHKEVKQHLVEEEVHAAAILGSSTVSPSPAASAPVLVSSCRHRRCRCRCTRLHTRRRRRVWGLAEVLPLSLSRRRCTQRVAGGSRHSCAFSRPCSSPRASCSSPKQLLTRASSMASTCSPLQLLYEQIYQQQLFTSPNQ* >Brasy4G411400.1.p pacid=40086025 transcript=Brasy4G411400.1 locus=Brasy4G411400 ID=Brasy4G411400.1.v1.1 annot-version=v1.1 MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDTHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD* >Brasy4G244900.1.p pacid=40086026 transcript=Brasy4G244900.1 locus=Brasy4G244900 ID=Brasy4G244900.1.v1.1 annot-version=v1.1 MIPHMLSSAGRLILTKAVLSAIPIFHMSVFPIAKWAIKKMNKISRSFLWKGKEEANGGHCLVNWSKVRPRKLGGLGILDLEKFSRALRLRTCPNKPWVGMPPPVNKCDRALFSASTTVQIGDGRKAKFWHDSWLDGLAPNVIAPTIFAITRRKNRSVHDDIIEGAWVRALRGKIFNVVQLDEFVSLWLRLQGVVLNPDTPDSITWCWTSHGSYTSSSAYKAQFLGSIHAQHANTVWKAKAENKCKFFAWLLVQNKILTADNLAVRGWPHTPECSLCADPYETGTHIFLRCPFARQVWENVLYNYNFNILQ* >Brasy4G373700.1.p pacid=40086027 transcript=Brasy4G373700.1 locus=Brasy4G373700 ID=Brasy4G373700.1.v1.1 annot-version=v1.1 MSVVSTSALSSLEAMLSSLMGRSGGGDETQTDDDNDVSDDAIDSPPPPPLPVRPTARGRLPSLPRITAAPWTPLSPPSPRKGDSEGAEVSAFMAELERKAAEAEARLRPKEEENAALRQQIESYHIRWLQHEIRIKSLEEAFHEQLASLQMVRDAAARRARDRESALLPPPCDRHGRVSGELHRKTSEDAPARGPGPTGRLGAEFRRGSQALESGAAALEKPIRPPWQPGVPNADAMGDLKKLGAQFRVWKKEYKARLRKAKAEIDRDRRRRITVSGSKSRWSFHHKARDGCFVVSRFFSTKEEMPEQRYRCIRRPCTPAMLISPPGSSSTREPTSPAATDHPTSPRPSPKRGTATRSDSPSSSPTVSHFCISSAVDFGREPTVLHAEADLVLLSLVLGGPSNRHI* >Brasy4G375300.1.p pacid=40086028 transcript=Brasy4G375300.1 locus=Brasy4G375300 ID=Brasy4G375300.1.v1.1 annot-version=v1.1 MRRARPGPPLPAPQGPGTEEVKYRGVRRRPSGRYAAEIRDPSKKTPIWLGTFDFARDAALAYDAAARNLRGAAARTNFPSPSSATPPLPPVPAPATVAAAATSSHSSTVETWSGGGFNGVTGFPVRPSAAIGAAPAVEEDSRSYCGSSSSALCEDGASASASAAPSTQQLPFDLNLPDPAAADDEMDWRCHDTLLRL* >Brasy4G146300.1.p pacid=40086029 transcript=Brasy4G146300.1 locus=Brasy4G146300 ID=Brasy4G146300.1.v1.1 annot-version=v1.1 MTFPARYMLRFTPWTVTEEKTDVSDDFPKHVYHLTTFSDLSTRVGSQECFIDVLGQVTGVSKVAYLPLSSNSSDTAKRVVALKDARNVEIKLVL* >Brasy4G049700.1.p pacid=40086030 transcript=Brasy4G049700.1 locus=Brasy4G049700 ID=Brasy4G049700.1.v1.1 annot-version=v1.1 MANNNSLSHHGLLLPVAGDFSDDGGYDIFEPAASSSSAISFPSFWPPFPSLLSDSDSDGAPFHATDRCAPAQRGTAASFLGLDFHDDVWAPPDEDGEVGGLPLCWDCLQLEEHDQRWDLGLSDADEWEQVAGRGEHEDEAAEGAATVRSLEWEVLLAANSLGSLTIDDDGGGDIDTFLFHDDDEMLFGQLAAEAEHEEPPAKGGRAAAKAAVEGLPTVVVDAAGSGAQCAVCKDGIEAGEGARRLPCAHLYHGGCILPWLAIRNTCPLCRHELPTDDPEYEKWKATATVCCSNPF* >Brasy4G049700.2.p pacid=40086031 transcript=Brasy4G049700.2 locus=Brasy4G049700 ID=Brasy4G049700.2.v1.1 annot-version=v1.1 MANNNSLSHHGLLLPVAGDFSDDGGYDIFEPAASSSSAISFPSFWPPFPSLLSDSDSDGAPFHATDRCAPAQRGTAASFLGLDFHDDVWAPPDEDGEVGGLPLCWDCLQLEEHDQRWDLGLSDADEWEQVAGRGEHEDEAAEGAATVRSLEWEVLLAANSLGSLTIDDDGGGDIDTFLFHDDDEMLFGQLAAEAEHEEPPAKGGRAAAKAAVEGLPTVVVDAAGSGAQCAVCKDGIEAGEGARRLPCAHLYHGGCILPWLAIRNTCPLCRHELPTDDPEYEKWKATATGMAS* >Brasy4G263800.1.p pacid=40086032 transcript=Brasy4G263800.1 locus=Brasy4G263800 ID=Brasy4G263800.1.v1.1 annot-version=v1.1 MAISAVGARSRVIARAVSSSLLGRSASALLPTSRRASCINRLPLVSGTLLSALPLHSAIASARLRSAIAPESQSWGLIPQGNSMPL* >Brasy4G263800.3.p pacid=40086033 transcript=Brasy4G263800.3 locus=Brasy4G263800 ID=Brasy4G263800.3.v1.1 annot-version=v1.1 MAISAVGARSRVIARAVSSSLLGRSASALLPTSRRASCINRLPLVSGTLLSALPLHSAIASARLRSAIAPESQSWGLIPQGVVL* >Brasy4G263800.2.p pacid=40086034 transcript=Brasy4G263800.2 locus=Brasy4G263800 ID=Brasy4G263800.2.v1.1 annot-version=v1.1 MAISAVGARSRVIARAVSSSLLGRSASALLPTSRRASCINRLPLVSGTLLSALPLHSAIASARLRSAIAPESQSWGLIPQGVVL* >Brasy4G111600.1.p pacid=40086035 transcript=Brasy4G111600.1 locus=Brasy4G111600 ID=Brasy4G111600.1.v1.1 annot-version=v1.1 MKGISVWQLLPYVLFLALSSASTPAAPAVRADLTHVDSGRGFTSRELLRRMAARSRARASRLYQAGAGSHAAHAVTAPLARGTVGDAEIDSEYLIHLSIGTPRPQRVALTLDTGSDLVWTQCACHVCFAQPFPTFDALASQTTLAVPCSDPICTSGKFPLSGCTFNDNTCFYLYYYADKSITSGTIVEDTFTFRSPHGNNGSKAVAVPNLRFGCGQYNKGVFKSNESGIAGFGRGAMSLPSQLKVARFSHCFTTIADARSSPVFLGGGAPDNLGAHATGPVQSTPFASSNGSLYYLTLKGITVGKTRLPFNASAFAGKGGGSGSGGGTIIDSGTGITTLPGPVYRSLRAAFVAQVKLPVANESAADAESTLCFEAARPSKVAVPRLVFHLEGADWDLPRESYVLDLLEDEGGSGLCLVVNSAGESGLTIIGNFQQQNMHVAYDLEKNKLVFVPARCDKM* >Brasy4G254300.1.p pacid=40086036 transcript=Brasy4G254300.1 locus=Brasy4G254300 ID=Brasy4G254300.1.v1.1 annot-version=v1.1 MVCYLLLPPCPSYLPDCHQKLGATLGGYIHYTLNTPSLFLGRSLVVEESIQCCFPGTFQCFWRKGLSCKVVFMGCASSKQFKRAPRHEDASVLAKETTLQEPRTVMISCRSSVNHFLHAVSVNEVEALYVLFEKISYSIFKDGLIHKEEFQLALFRNSNRKNLFADRIFDLFDLKRNGVIEFGEFVRSLHIFHPDTPMEDKISFAFRLYDLRGTGSIEREELKEMVLAILNESDLLLSDDAVEQIVDQTFQQADLNGDGRIDVDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLYSGSGDEDL* >Brasy4G254300.2.p pacid=40086037 transcript=Brasy4G254300.2 locus=Brasy4G254300 ID=Brasy4G254300.2.v1.1 annot-version=v1.1 MVCYLLLPPCPSYLPDCHQKLGATLGGYIHYTLNTPSLFLGRSLVVEESIQCCFPGTFQCFWRKGLSCKVVFMGCASSKQFKRAPRHEDASVLAKETTFSVNEVEALYVLFEKISYSIFKDGLIHKEEFQLALFRNSNRKNLFADRIFDLFDLKRNGVIEFGEFVRSLHIFHPDTPMEDKISCEEILPAVAFRLYDLRGTGSIEREELKEMVLAILNESDLLLSDDAVEQIVDQTFQQADLNGDGRIDVDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLYSGSGDEDL* >Brasy4G254300.3.p pacid=40086038 transcript=Brasy4G254300.3 locus=Brasy4G254300 ID=Brasy4G254300.3.v1.1 annot-version=v1.1 MVCYLLLPPCPSYLPDCHQKLGATLGGYIHYTLNTPSLFLGRSLVVEESIQCCFPGTFQCFWRKGLSCKVVFMGCASSKQFKRAPRHEDASVLAKETTLQEPRTVMISCRSSVNHFLHAVSVNEVEALYVLFEKISYSIFKDGLIHKEEFQLALFRNSNRKNLFADRIFDLFDLKRNGVIEFGEFVRSLHIFHPDTPMEDKISCESHSDYMTSEAPAALSEKS* >Brasy4G363400.1.p pacid=40086039 transcript=Brasy4G363400.1 locus=Brasy4G363400 ID=Brasy4G363400.1.v1.1 annot-version=v1.1 MATTSWPPPLASTAANPASARGPSSSKCFLPFPSGPLRSAPRPRLRAGSLKEWREFEDAVESKDLSRALRFLQSVEPAYSPANPAATQVALPVPPGRDWEVLDACIDSDDMRLVGRAYQFLSDRGLLANFGKCKNIVLEGPREVTPTILKEMTGLEAAKLAPKKWGLSGKSPYVLVTFLGGVSFLLTQGIDVRPNLAAILALATADALLLGGTCAAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELGEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLNPPLSIAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSIVIRRIEEAISSDR* >Brasy4G363400.2.p pacid=40086040 transcript=Brasy4G363400.2 locus=Brasy4G363400 ID=Brasy4G363400.2.v1.1 annot-version=v1.1 MATTSWPPPLASTAANPASARGPSSSKCFLPFPSGPLRSAPRPRLRAGSLKEWREFEDAVESKDLSRALRFLQSVEPAYSPANPAATQVALPVPPGRDWEVLDACIDSDDMRLVGRAYQFLSDRGLLANFGKCKNIVLEGPREVTPTILKEMTGLEAAKLAPKKWGLSGKSPYVLVTFLGGVSFLLTQGIDVRPNLAAILALATADALLLGGTCAAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELGEGHLSSTAFDRSTCIWGGRRRRK* >Brasy4G204900.1.p pacid=40086041 transcript=Brasy4G204900.1 locus=Brasy4G204900 ID=Brasy4G204900.1.v1.1 annot-version=v1.1 MSSGSTPWDIEGPPIQFRNTFDPSIYRRYNDYEDDGELLPPPLGRRRYFGEEIPSSHTPFRLRASLLSREYRSLARSLHRRNTPTLAPPSRRRTAAPSSSSTEAVIVGPTAEVNPPLKHRRSVSASAAAAASTSSGAATAFAVTPTARALSPVASSTADPSPAGLGATLAYGVGRWIPGFGPKLPGVKTPVTRSRTSAEGGRGSSAGAAPKVDKALSAYGRDMTATAGKTDPVIGRDDEIDRIVCILCRRTKNSAVLVGAPGVGKTAIAEGLAQRITAGAVPAALAGARVVEVDLGAMVAGTKYRGMFEERLKNVIKEAEDSEGKVILFIDEVHMLVGAGKCKGGSMDGANLLKPALARGRIRCVGATTFDEYLKYIEKDAALERRLQKVHVEEPSTQATIAILQGLKQRYEEHHGLKIQDAALVTAAQLAGRYVTGRQFPDKAIDLIDEACATTRMLFESQKQVNGAQSSSAEVVKEEIVGPDHVAQVVSRWTGIPVTTLDQDEKDKLVHLADRLHERVVGQDEAVNLVAQAVLRSRAGLDQSGQPIGSFLFLGPTGVGKTELAKALAEQLFDSEKMLVRFDMSEYVNSGSVLRLIGAPPSYHGHEDGGQLTEKIRRRPYSVVLFDEVEKADPSVFNVFLQLLDDGMLTDGKGKTVDFKNTIIIMTSNLGAEHLTAGICGETTMGVARDLVTKAVQKHFKPELLNRLSEMVIFEPLSHENLKEVVKIQMKNVVASLADKGISLFTSDAALDVILSESYNPLYGARSIRRWVQKNVMTKLSELLVNGEAGEGSTVSIDAMADKKGLRYEVVTKKVGDVRGKTPVVEVSSDSDDSSDDEAEDIPAKKKAKTVRFSVPADRK* >Brasy4G047500.1.p pacid=40086042 transcript=Brasy4G047500.1 locus=Brasy4G047500 ID=Brasy4G047500.1.v1.1 annot-version=v1.1 MSDRGGKGYGVPRGKALASKTGTRNGRLDSSANGTPIVLSDSDSDSDGSVEVLTPTYSKSNGKASSDSLKTGGKASSFSNGEAGKGGKTFSAGKGGKGSASSAMPAKSDAELKLELDMPSNSRLLMNCEATELLQQIHEHMAILSEDPKIKIPESFDRTFQFAKEGNHFTTAKSVKEVLDPLKKSGVTDGEICMIANIGPETIEEVYALVPSLKATRSLNEDLIKEALTALANIKAPK* >Brasy4G218000.1.p pacid=40086043 transcript=Brasy4G218000.1 locus=Brasy4G218000 ID=Brasy4G218000.1.v1.1 annot-version=v1.1 MLSTPLLSPAMNHQQSERLELQLILLPSTTPNDTAIFSPPPLGQPPSPCDESSPELLDLSLSMSIGPPPPPSSADQKKPPPVARAAWGEAQALQRQTAEQSRLAAAERAYAERVVELARREVELAEREFARARAIWERARVEVEKMERIKEMAFAGRRIGLGGSAAVEITCHACMQRFHP* >Brasy4G041900.1.p pacid=40086044 transcript=Brasy4G041900.1 locus=Brasy4G041900 ID=Brasy4G041900.1.v1.1 annot-version=v1.1 MMSSSAQLLRRLKPLYQLVVSNILAIVSVPLAALAALKAAELGPDEALARLRALRPAHTFLAAFVPAAAAALYLRLRPRAVYMVDYACFRTSPNCRVPFATFQEHARVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLEPSRAEAELIVFSAIDDLLAKTGVSPADIDILVVNCSLFAPTPSFADMVVNRYRLRKDVRNVHLAGMGCSAGLIAVGLARNLLQVAPMGANALVVSTETITPNYYMGKERAMLLPNCLFRMGGAAVLLSTSPRNARFRLARVVRTLTGGAKDGAYRCVYQEEDDRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFIARKVVSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECVRPPPPEATRDGPWAASVHRYPVDIPDVVKH* >Brasy4G225100.1.p pacid=40086045 transcript=Brasy4G225100.1 locus=Brasy4G225100 ID=Brasy4G225100.1.v1.1 annot-version=v1.1 MAGNSNRFAVTCGLMRQYMREQQQQQQQAGGGGGAPRSLALNLGLPSPDESSADAPRRAMQFFPAAAAAGASSSQLLPKEWAETTTQITKAPLTMFYDGRVVVFEDFPADKAMKLLQLAGSVSSYSSPEAPAGKSPDPEPGALSDLPLARKASLQRFLHKRKHRQVATADHRPYQKPVASPPKDHDHPSTWLGL* >Brasy4G272500.1.p pacid=40086046 transcript=Brasy4G272500.1 locus=Brasy4G272500 ID=Brasy4G272500.1.v1.1 annot-version=v1.1 MPRWQAELRHKIACYMKPDLQDLVLSARINSDEGCMSTMSQAVGRSLDPSPATTSWHAIFLQKMIKLSSARLVANMMAVLAGLV* >Brasy4G358400.1.p pacid=40086047 transcript=Brasy4G358400.1 locus=Brasy4G358400 ID=Brasy4G358400.1.v1.1 annot-version=v1.1 MEKGKGLARRWAVELHDASSSSSSFPDPPGFTRSAPEADDAATARQRKDSEVAWKGQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGIVFSALFQPFNALRSVGKVFEPFKDPRVDTLAPKLLFIALNLAAMGLGVWKLNTLGLLPTNASDWVSSLSPAREVEYSGGGIPLI* >Brasy4G302000.1.p pacid=40086048 transcript=Brasy4G302000.1 locus=Brasy4G302000 ID=Brasy4G302000.1.v1.1 annot-version=v1.1 MGSARVGRDGTAAKLTTSEEELLHRHALAIDRNPCIFPISPPRNCFNLRTISSSRGSKPSLNPTTDFSDSEAHPAVLQEQRPEQGGKEDGGLARGVSDGGVSTGLGRSVANRDPLNATAQRGTRKSTSGVPFSHLELCQ* >Brasy4G360700.1.p pacid=40086049 transcript=Brasy4G360700.1 locus=Brasy4G360700 ID=Brasy4G360700.1.v1.1 annot-version=v1.1 MAAAADAGSDPAATSTCAHCQREIPSSNIDLHSVHCARNLQKCEHCREMVPRKLMEEHYDENHAPVNCSLCKETLQREKLDVHKSEQCTQRMVACEYCEYELPAVDLHEHQDVCGNRTEFCQTCKKYIRLREWIGHEIQCHHINSNGSAESSSARTIPEREVRPPPPVRPARPAHGSQHKRLLFTIAVTGIAVMIGSILFQREDSF* >Brasy4G360700.2.p pacid=40086050 transcript=Brasy4G360700.2 locus=Brasy4G360700 ID=Brasy4G360700.2.v1.1 annot-version=v1.1 MAAAADAGSDPAATSTCAHCQREIPSSNIDLHSVHCARNLQKCEHCREMVPRKLMEEHYDENHAPVNCSLCKETLQREKLDVHKSEQCTQRMVACEYCEYELPAVDLHEHQCQNHSRERSAASSTSKTCTPCSWFTAQEAALHNCRNWNSCHDRINTVPKGG* >Brasy4G176900.1.p pacid=40086051 transcript=Brasy4G176900.1 locus=Brasy4G176900 ID=Brasy4G176900.1.v1.1 annot-version=v1.1 MKGKGAAMERRSSARWRLLLLCVFSFGLGMLFTSRFWTAPDTSNHIMSQRRRQDQELQLVSEDCNTKRKHGADKDIMGEVTRTHEAIQLLDKSISTLQMELAAKRSTLELLHSGVPVTSENSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGEKLLQLEEQKGIVIRFTIGHSATSNSILDKAIDAEEAQHHDFLRLDHVEGYHELSAKTKIFFSTAVSIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNLKYHEPESWKFGEDGNKYFRHATGQIYAISKDLATYVSINQHILHKYANEDVSLGSWFIGLEVNHIDERSMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVDRLKDVHARCGEGDSSVWSALI* >Brasy4G176900.2.p pacid=40086052 transcript=Brasy4G176900.2 locus=Brasy4G176900 ID=Brasy4G176900.2.v1.1 annot-version=v1.1 MKGKGAAMERRSSARWRLLLLCVFSFGLGMLFTSRRQDQELQLVSEDCNTKRKHGADKDIMGEVTRTHEAIQLLDKSISTLQMELAAKRSTLELLHSGVPVTSENSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGEKLLQLEEQKGIVIRFTIGHSATSNSILDKAIDAEEAQHHDFLRLDHVEGYHELSAKTKIFFSTAVSIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNLKYHEPESWKFGEDGNKYFRHATGQIYAISKDLATYVSINQHILHKYANEDVSLGSWFIGLEVNHIDERSMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVDRLKDVHARCGEGDSSVWSALI* >Brasy4G084200.1.p pacid=40086053 transcript=Brasy4G084200.1 locus=Brasy4G084200 ID=Brasy4G084200.1.v1.1 annot-version=v1.1 MGQHKSLLFRASSGCRAKNDPVNIPPHTHRFLRSLIQRSPPRAAAGSTSRQHPSVRPPPTPDGLRQISARDGNGGGRPRGSSSPPPPRRWLYDADGLEVLLRCLLALNDERHCCDIIPSPPVGGERRSKLSDGGDCASSASGGRVSRSWRRPIDGDGFMGPPPRSRPQLRAPPRQEEAPAVVLLPDPAGCAACTGRRSPPAAAQPAPGVDPCCRGQWIREWVMAVGLAAAARSCPPSTASLPTPAMRAIGFRRRRPSPWCPATRLHGIDDGRQPGQLEPHRQNLGAQAPPPFPFAAGHPTIRKSHDAFPSHGRRPDAERAARLLRHRAHRTTEAAASLKTTITSMVPKRE* >Brasy4G276600.1.p pacid=40086054 transcript=Brasy4G276600.1 locus=Brasy4G276600 ID=Brasy4G276600.1.v1.1 annot-version=v1.1 MGKGGQGEVEGENMAAWLVAKDTLKIMPFKLPPLGPYDVRVRMKAVGICGSDVHYLKEMRIAHFVVKEPMVIGHECAGIIEEAGAGVTHLAAGDRVALEPGISCWRCRHCKGGRYNLCADMKFFATPPYHGSLADQIVHPADLCFKLPDAVSLEEGAMCEPLSVGVHACRRAEVGPEKGVLIMGAGPIGLVTMLSARAFGAPRIVVADVDEHRLSVARSLGADATVVVSAAEGDLAAEVERIQAAMGVGGGGEIDVTLDCAGFSKSMSTALEATRPGGRVCLVGMGCNQMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFSQKEVEDAFEVSARGRDAIKVMFNL* >Brasy4G179200.1.p pacid=40086055 transcript=Brasy4G179200.1 locus=Brasy4G179200 ID=Brasy4G179200.1.v1.1 annot-version=v1.1 MEPVRRQPGAPQPQYGISDMLFSVEINHGGFFCGFGMNKSYNLDDGLRIIDRDIDTLGMASVVPKFQLFSLYVDHKDDLYSNIVLDDVCIVGSPTLPAVISPMKPGINIPERSSPRAKRKIFARDVEEDSSGSSSDDSGSEWFDSDNELQKDDDDLFEDCVDHDLRD* >Brasy4G136800.1.p pacid=40086056 transcript=Brasy4G136800.1 locus=Brasy4G136800 ID=Brasy4G136800.1.v1.1 annot-version=v1.1 MAANNSSTSAGGHMTQICSSIHNTEEVTATLDLEVTHYSTRFVGMGAGESVSSETFSVGGHDWDIRFFPDGDGHDDCAGRAVTYVCYLGQSKKKVGARVAMSVPAKDGRQGPVASAGTAELFFAPDNNMSLGNPMFLSKPMLKSLSELGGDDGFKIRCVLTVRTVSGRPPTELSGQLERVLSEGIGADVTFRVGGHKFRAHRALLAARSPVFRAQLFGPAVEEKKGVRRRVKVVGVEPAVFQMMLHYIYTDSLPPCAGDDEVEGGCSAAAIQHLLVAACRYGLDRLKLMCEEELSKRIDVENIVTTFALAKRHHCKRLKDSCLLFMTRPEVLDVVLETDGFKAHFMTCQPVNLEGGDQETRASRRVEEEIAPDQKAKKIRTKYQN* >Brasy4G049100.1.p pacid=40086057 transcript=Brasy4G049100.1 locus=Brasy4G049100 ID=Brasy4G049100.1.v1.1 annot-version=v1.1 MAMGLKAAAAGGGADKLLRFPSVAAVARSRMQLWMLRATTTVLLWTCVVQLTAVGETWGPRVLRGWPSCRMMAPEARLAMPEPVAEKPALPPQRVYRNNGYLMVSCNGGLNQMRAAICDMVAITRYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRMKKRVEMGMFHSMPPISWSHISYYHNQILPLIQKHKVLHLNRTDARLANNGLPLDIQKLRCQVNYASLKFTSQIEELGRRVIRILRKNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYVKRKDGLCPLTPEEIALVLRALGIDRSMQIYIAAGEIYGGKRRMSSLTSEYPNVVRKETLLEPSDLKFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRIMGFKNTIFLDRKLIVDLVDRYNSGSLLWDEFSKQIKSVHANRMGSASRRTVIPDKPKEEDYFYANPQECLRDPNLLRAW* >Brasy4G416300.1.p pacid=40086058 transcript=Brasy4G416300.1 locus=Brasy4G416300 ID=Brasy4G416300.1.v1.1 annot-version=v1.1 MVASPVAPPPPRLAKLASVGVAPGSSALPEEMASPRLPPPPGLPPPPAAFPLPPFEPTLLDSTTPTPQVADLPLHADASGGDGWMEVPSRRRQSRGCNPSPRRHEVDSDLIFRRRTHGRCFRCLARDHRVAACREPVRCLACLCSGHRERDCGFRGPARERSRHRASSPLPCRMVPGPSWAAVASLPKHTEADSSPGAVASLEASIKSMLAALAASLRDDLKVMISACLGELQKGLSRASECFAGHKDGIKSLQEVATSVQKCLASPPLSLRMDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy4G016000.1.p pacid=40086059 transcript=Brasy4G016000.1 locus=Brasy4G016000 ID=Brasy4G016000.1.v1.1 annot-version=v1.1 MTSEDHGRRREKTTGGGGRAGPEEGGGRRGARAEEAGEANGRRRPERSTGGGGRRGARAEGEEGRRRPERSTGGGGRRGARAEGEEGRRRPERSTGRGRGGAADYPGFMASGRRAARLVRWAAAAAPGF* >Brasy4G269200.1.p pacid=40086060 transcript=Brasy4G269200.1 locus=Brasy4G269200 ID=Brasy4G269200.1.v1.1 annot-version=v1.1 MWSEEWELYPSSYIGAQVIEYGPVTEDTDDDRSGDLAVSMDAVLPDDLLDKVISFLPVASVIRSGSVCKRWHQIVHARRQTWSKMIPQKPWYFMFTCSEEAVSGFAYDPSLRKWYGFDFPCIEKSTWSTSSSAGLVCLMDSENRSRIIVCNPITKDWKRLIDAPGSKSADYNALAFSVSRSSHQYIVAIARSNQVPSEYYQWEFSIHLYESETGNWVSPFAEVLLGWRGGDECVICDGVLYYLVYSTGVLVNSSEHRHCVFMYDLSTRPVHTSLMSMAIPVPCPLTCGRLMNLSERLVLVGGIGKQDRPGIIKGIGIWELQNKEWHEVARMPHKFFQGFGEFDDVFASCGADGLIYIQSYGSPSLLTFEINQKLWRWSAKSPVMKKFPLQLFTGFSFEPRLDITS* >Brasy4G138000.1.p pacid=40086061 transcript=Brasy4G138000.1 locus=Brasy4G138000 ID=Brasy4G138000.1.v1.1 annot-version=v1.1 MEFTVDLSKLKQYLEANVDLSKAKQYLRWAWLRCSTKSATVGRRRRNVDQPHQKGLAAVLNGEEEAPDVTFIIGDRLFPAHRGVLAARSPVFKAELFGPMNESSSTNSQPIEIHDMAPSIFEALLGFIYTDDLAVDDKDAAPLLQHLLVAADRYAVHGLAAACEWKLCRSIDMDNVATTLALAEQHHRGKLKDACIGFVSSKSVLEAIRETDGFNHLVQSFPLVMVDILEQKLPSSRVAWGLTVFGTHVKRGLTLFGTKVQQSLILFGTKVQQKLTQFRTQVTTLFADQEFQHKIRICLAFVSLFGFVVVIVKHLDSSSAAEGRTAASLHRLRLMVYQLPRDWRWLLGENGRSSLYRAALLRSLREFRESAWLSSLDRAALFHGLQEFQESAWLNSDI* >Brasy4G305800.1.p pacid=40086062 transcript=Brasy4G305800.1 locus=Brasy4G305800 ID=Brasy4G305800.1.v1.1 annot-version=v1.1 DPSPIDFFKDIHVNKEAGFMSEKAQITYNAMENKRTEAQSEGGKLLSDTQIVAEVLKEHTISNTFLSSIGLQSRTESSKPSASALRIQELEEKSSATR* >Brasy4G036400.1.p pacid=40086063 transcript=Brasy4G036400.1 locus=Brasy4G036400 ID=Brasy4G036400.1.v1.1 annot-version=v1.1 MADRGARYNRGHRLDGFGAGRLGRGAGHQDRGRGGPNVWQRNSPEHGQSSSNTGGELNIGGHRQLKGCSRRVLRKERVRWINPVTPILVSTRCGKSSHLQVACRVVFPWEHMAQMCAFQSKGQGFFYMHDSSAAKQSKDRTSSVVISVIEGVASCRDIELEFTNFFASGWRCSARQIGTDKYVMCFLSAREVEKACYQDRFKMRGCAATLRLSPWTASVGAKAELNIAWVRVASIPLDKRSEKNASFAASLVGVPLEIYTSTLHKPEFVRILLGCRDILQLPSVAEGCLGRHFYDFYYEVDSVLVGGSEKAELNECQAGSLAEIQDYVVHSPSGTLLEECGSEQQVPALSKDHAINEPGHRFSQSLMQQGKLGLVGDMAAELSKKRNLEGNSPSTPAISNPFSFAALENNELVSRAVDMGILFPDNAFTAINLLKELELLDLIYERNYLLLMIRMSYML* >Brasy4G315100.1.p pacid=40086064 transcript=Brasy4G315100.1 locus=Brasy4G315100 ID=Brasy4G315100.1.v1.1 annot-version=v1.1 MGTMEEKPLLGLGSLVSSLGEVYKSGRTRDLAWRQSQLKGLIRLLTEKEEEIFDVLLDDLGKHRTEAFRDEVGVLVKSVKNTLQNLQKWAAPEKAQTPLVSFPATAVVVPEPLGVVLIFSCWNLPLGLALEPVSGALAAGNAVVLKPSELAPSTAAFLAANIPTYLDSEAVKVVQGGPEVGEQLMEHRWDKVLFTGSARVGRMIMTKAAKHLTPVALELGSKCPCIVDWLDSKRDSQVAVNRIIGAKWSTCAGQACIAIDYILVEEQFAPILIELLKSTLERFFTKPEYMARILNEKQFHRLGSLLENHKVGRSVVHGGAMDPKTLIVEPTILLNPPLDSDIMTEEIFGPILPVITVRKIEDSIEFVNSKPKPLAIYAFTRNEKLKEKIIKETSSGSVTFNDAIVQYGLESLPFGGVGQCGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDGSKLGVLRHVFRYDYVSLFLALLGLRRR* >Brasy4G114700.1.p pacid=40086065 transcript=Brasy4G114700.1 locus=Brasy4G114700 ID=Brasy4G114700.1.v1.1 annot-version=v1.1 MPRQSQLLIRIKALLFVQRFCSPPLSCPVPASAGQDPGRASLLLASHLRPLHRRLLRRAVVAARSGCLLRGSRARRSAPRPGPQLRSPPLRWCRRRKERRAALPHMPSALAGLASRAGRLVRGPSSSAALFLDQPGKAATAFILGWPESSASVVPRCSSPLAPRCPSSLGLHAAAEPQDACLQ* >Brasy4G432500.1.p pacid=40086066 transcript=Brasy4G432500.1 locus=Brasy4G432500 ID=Brasy4G432500.1.v1.1 annot-version=v1.1 MRTEVISADTIDEAMGEIIVELGADNTSSRENAIYFDGWDGLGASAVLQAIAERLTISNELSTRPTGLEFKKIIHIDCSKWESRRAMQRKIAVQLKLPNKVLEMFDKDAEDDFNGLDQGSRREIAQVVTEIYQTIQNCRFLVILHNGSNEEIDIFDFGLSLYGYANSKMLWTFQGRFRLDPKRTDIVKKGRTTYVLLSASRRRRDPQELWSYLVHHEAAQVSCNKHGRHIIDPAIAAECVLYMLKQCSIGSQTVDYDWAIHTSNYWVSEGIIARTNIDKAWQVGDFLQHGLQLLDVNNQRSNDESIRMPSFHLARSTERMPYWISTTCGFVMCPSGAIPDSMFQDSHRIGVLKLSSCTFSFSSPPFLCCHSLRFLWLDRCQDLLTRSSTTDHHQPDANKEEKELGNSNTTSWECFHSLWVLDLRYTDWDQILSAQMMELMTQLRELNVMGAKNWDMSHLRGRLRNIHKLRVTKSTCCFTNNVFSEMESLELLDFSGNTISQGMTSLSGAASNSSLETVIIDGNDGLKVISLRGCRELRNLFFKGLFQSLEELDLSGTKVKTLNLSEVRASTLPKRIILLGCQKLHAILWPQNIQWEELPHVLCIETTSTSASADGVEARLARPHGDESLQQHKQEKFKGGWQISLTDARLLRSLSPVVGYLRNASVHIDISSAAAVCGSSVQGTSNDKLVQVKPHTSTIMMDSKYRDVLKHGPVAAIMMWDCPKIYLWSDEKTCIIKVVMPLGQGKKHLEDAPSACTSALLLPDFICEQVTSLHIYNNLSITSISVSPDGLGWSSLMWCRVERCPKLHTVFTIPQGSGGNSFGSLVTFWASQLLTACYIWDWTSFLACRAFSHLRVLHLDYCPRLRHVLPIDGSSLTRLETLEIVYCSDLREVFPLSHVETIIQFPVPRRVHLHELPMLQRICGLRMSAPKLETIKIRGCWSLRRLPAIKRYTKPPKVDCEKEWWDSLEWDGVEEYHHHSLYLSSHSKYYKAKLPRGRLDR* >Brasy4G424800.1.p pacid=40086067 transcript=Brasy4G424800.1 locus=Brasy4G424800 ID=Brasy4G424800.1.v1.1 annot-version=v1.1 MGHGSGADQSACRVLPIIDEEPESESDSESECTAPVPETATTRKKKAAAAVIALAAERRKAIVSRMRELLRRAVAQSKPSPPSTSTAKKWKRVVSFKSSGNGGGISGDRHHSRQQPVVVFPSPARSPASPAQLSRLMQQQSHWITTDSDFVVLEL* >Brasy4G250200.1.p pacid=40086068 transcript=Brasy4G250200.1 locus=Brasy4G250200 ID=Brasy4G250200.1.v1.1 annot-version=v1.1 MGGPTILLQRPSGGADPHSGASRVRMAKPADAKKPKRPESFRDGEPSAKRVVKPQSKPSISSISDLIEKARLAKARPSTAEAEPLDPREIERRRAVARRELEKMVATVEFNDPFIDPLDVTRSRQELIKAREQAWRAQLLAVAPPPPAEAMPQSVIEHCRAEPKQGVVYTLILT* >Brasy4G044500.1.p pacid=40086069 transcript=Brasy4G044500.1 locus=Brasy4G044500 ID=Brasy4G044500.1.v1.1 annot-version=v1.1 MVETSGGRWSRLHLLHGQRRGVAAFLAANKTLLAAVWVAGFTLVFLWQSTSVFVRGGAGLGLRSASWRPPPRLRPEAYNLTDFGGVGDGRTLNTQAFERAVEAIAALADRGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGVTDEKYWTLMPALPSYGYGRERKGPRYGSLIHGQNLKDVVITGYNGSINGQGEVWWLKHRRRMLKNTRPPLVQLMWSNDIIVTNITLRNSPFWHFHPYDCTNVTVANVTILAPVSGAPNTDGIDPDSCKDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSSNILIRNVAVRSLVSAGISIGSEMSGGVANVTVENVRIWDSRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRNAFPDITGISFKEIHGWGVRVPVRAHGSNIIPIKDITFQDMSVGISYKKKHIFQCSYIEGRVIGSVFPKPCENLDVYDEQGQLVKRAATLNSTEVDYDI* >Brasy4G376300.1.p pacid=40086070 transcript=Brasy4G376300.1 locus=Brasy4G376300 ID=Brasy4G376300.1.v1.1 annot-version=v1.1 MGIPSAQSRSNKTTSGAAGARGVVESLYASLARGDAAAATALLAADVDWWFHGPRRCQQHMRRLLTAELSSAAAFRFTPARVAEVVEGWVLAEGWAAGAGEEEGENDYWVHAWRVRGGVISGFREYFNTSVTVRELGRRAGPVKEEEGDVVWAVWESQNPWPKAQRCMPGLVLAI* >Brasy4G077300.1.p pacid=40086071 transcript=Brasy4G077300.1 locus=Brasy4G077300 ID=Brasy4G077300.1.v1.1 annot-version=v1.1 MYALLCRAPSFPPPFHAQKIFWSIARTATRQSSLLLHYFNFYLIKLCYLPIKGIMLSSDERDKG* >Brasy4G315000.1.p pacid=40086072 transcript=Brasy4G315000.1 locus=Brasy4G315000 ID=Brasy4G315000.1.v1.1 annot-version=v1.1 MSLIRIAVPVFVLLAVAESAAATRSPSADVQNAIYSNRITIFSKSYCPYCMRAKRIFRDLKENPYVVELDLREDGQEIQSVLLDLVGRNTVPQVFVNGHHVGGSDDTKEALSNGQLHKLLGKNQTESE* >Brasy4G385400.1.p pacid=40086073 transcript=Brasy4G385400.1 locus=Brasy4G385400 ID=Brasy4G385400.1.v1.1 annot-version=v1.1 MVTWLRRCVLCNGERCSFWSDPWLLNEPLKERFHALFVHSLRRKASISMALLNNQWIRWIKRNLSAAVLLDFVTLWSQLQNVLLSDKPDKIIWKLTSNQCYSAASAYQVQFNGRILSQEANLVWG* >Brasy4G177200.1.p pacid=40086074 transcript=Brasy4G177200.1 locus=Brasy4G177200 ID=Brasy4G177200.1.v1.1 annot-version=v1.1 MADTSSAPLLPRKKSPSSAAAAAQYTRCASHAGDELRSFRACLRWMCVDHTDGLRSAGSWAVFFLLAVAAPSAVTLALPASSPPQRPFDGQVQVSLTLAAALAYFSLSSFLRVGLRRLLCIDRLRHDSDEVRAGYTAQLNRSFRILACFLVPCSLAEAAYEAYWYWAAAPFRSPWWNAAVCALDVASWVYRTAIFFMICVLFRVICYLQVLRMVGFAREFGRFAEVAVVLEHHRRIRDQLRRISHRYRRFIILCLVLVTASQFAALLATTRPHATINLATAGELALCSVSLVAGLLVCLHSAAKITHKTQAITSVAAAWHADATIHAFDNDLEDPDPALPAATGYMAPANAYRLASGEESDDDDDDDARSEDSLDDSKLVPFQVNNMCFQKRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS* >Brasy4G304600.1.p pacid=40086075 transcript=Brasy4G304600.1 locus=Brasy4G304600 ID=Brasy4G304600.1.v1.1 annot-version=v1.1 MRWEPGTSQPATGAKIICPRRSPPRRPTAAAAPPAQLHPPSAFLSSDREARGTGGGMSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTSESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDNETLFPQKLGTPFPPNFKDVVNTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY* >Brasy4G265100.1.p pacid=40086076 transcript=Brasy4G265100.1 locus=Brasy4G265100 ID=Brasy4G265100.1.v1.1 annot-version=v1.1 MARRDRHPEPENHHAREEPSRSRRRHGPTRRRRTPSPPPRQRREERDDRRGSKSHESVVGSAEMSRPRLRSEIGEVRGSLERIGAAEYMAREAAATATPSPSKESAATHDTEAPFSDGSAVHGFAGTGNSLSSVPKPPVPVRRTSNQPLFTAVPGPPPHPNADVDTPASKRRKTTVLEPPPMKSTNAATFKPPSQQDVPERRQHTNAADILKALQHVVVPEPEPDVLARASSLRTRYADIISKANETLSANRQEKLKKKLQPKPAAAADDDAIASGEDEEAEMCREALRKEEERKAQVASQRKTAREELLEVERKARLTMDEELPPGAPMDGRQCSPAVEIMLGLFVKSEDSGDEDI* >Brasy4G184000.1.p pacid=40086077 transcript=Brasy4G184000.1 locus=Brasy4G184000 ID=Brasy4G184000.1.v1.1 annot-version=v1.1 MPLLAPPLSSCHLRPPFLSFPSSTGRPLRRGDLVIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELREAVFHLERPWDPDSASATALAPNLLSVAADDQLKGLADRFHRPGGVDLWNDRDGPQVFASPDTGRTSARFFPKDAVHSVQPYARLGAGVDGGQGVRQNAAVEDVRDDCEPTVELMERDGMWEPVIALDGRASSERSWINGDAISDSDDEDVDFGHEQQEAMVRRDGRRRGIVRRDVSNSMTDGSGRGRDWRAQGSFSDSEGTRKGRLDQRWPDDSSDSRRKRPAGKWKSSTTTKGSNSVEKDRMVGCSFSDSEVNRGGFEPRWRARSREGIMNAEVKWKLSYDSHGNVIRKVRIGGEFDPNSDRGRCENLEPKWKGPNSFNRGENRRGRPALKYRPNAANNGERSGGYTGGNNGDVRDRFGNGFASDLEEPTWNPRIKNGARNNSGHREYNHDMNGRFREGGSGADGMNGRFRRGGSGAARRLDAHPGMNTDREDGSKHGSRQRIDRNGGRPFMGDGYSLRPTSELHSSMDKNGGQQLREYRFLRRST* >Brasy4G385600.1.p pacid=40086078 transcript=Brasy4G385600.1 locus=Brasy4G385600 ID=Brasy4G385600.1.v1.1 annot-version=v1.1 MAEIVSATSGVMNPLLGKLTALLGEEYKKLTGVRKQASFLRDELSAMKALLDKLELMDEPDPLAKDWRDHVREMSYDMEDCVDDFMCDLGSADATSSGFIQKTTQFFQTMWASYQIGRRIEELKVLALEANERRLRYKIDDYINSTSGIVPVDPRISAIYKEAAGLVGIDGPRKDLVNWLTASVRKLNVVSIVGFGGLGKTTLAKQVYEEIRGKFECVAFVSVSQRPDMTSLLSGLELKLGVDESRRAHEVPDIIDRLREHLKNKRYLIVVDDLWDQSAWDIIRCVFAEGDNGGTVIVTTRLDDVACGACHDHHGYIYRMKRLDNEDSKRLFFSRVFRSEDGCPSQFEEVSTQILRKCGGLPLAIITIASLLASRQARSRSDWESIKDSLGTNFAAYPTLEGMKNILNLSYMHLPLRLRACFLFLGMYPEDREIKRDDLTRQWVAEGFVSGVHGADLEEVAKSYFNELINRSMIQPTDEETKLGEVLSCRVHDMMLDLILSKCTENNFLSAAHSYEEMERMHGCNNKVRRLSLNLSAGGAATPCSTIPATSLSQVRSFARFGDCKYTPPLCLFKYLRVLVFEFPDQLRMTIDLTAIGHLFLLRYLKVSAVSAGIDLPVVVKGLVHLETLEIYCRSAAQSFPSDVVCLPNLFCLILPYNTRLPEGIRNMKSIRSLYCFGIGESSVEDIKGLGELTTLRELVLSTLFGYELTEDGVDALVSSVGKLRGLKRLSLNCRREGYDDQLESLPDHPLPRIEVLDLEKWRFSRVPQWIGGLRCLHFLSLCIDQFSSEDIRVPWNAALPRLCLLPCAAYPSRQGGGGHGTIPGSGARAVQLGRRCDDIPRL* >Brasy4G152900.1.p pacid=40086079 transcript=Brasy4G152900.1 locus=Brasy4G152900 ID=Brasy4G152900.1.v1.1 annot-version=v1.1 MSTSASAMSTSASAMSTASSHLDCLLLNVHAFLGQCKNATTASSTTSENQNIEVSLCPARPPLPSEVFVHCPELCFTVLPRVVRAVEDLLLIRVDISCQPDYVSSPDDSDYFIYRAGCGDNKRGIPSLGRLLRPHPFFHDCDVGLLSRGDHYTVAALLASGTPDVYELHILHSESPSEWIYRKVSVDEPQRALPLVIPERCSRLSYHDTTTVIAIGGEGGTMGWVDLWRGILICDVLLDEPTLRGVPLPFPLDLVGSNNGLGTDLGCPEFLRGIAFINRGANNGEDCLKLVHLEANATGLLPDAAGSGSPSFLMHNWAILTYTNTKMTSSWKDWHRDGRVQASAITIDNQI* >Brasy4G353700.1.p pacid=40086080 transcript=Brasy4G353700.1 locus=Brasy4G353700 ID=Brasy4G353700.1.v1.1 annot-version=v1.1 MELDGEPATATTGVVRVEKVRGRSAVTRCFAKYPLKIIVPSKVGPASSGAAWLYALTYGGGIVSGDRISCTVSVGDGCTAAMTTQASTKVYKAVDLKCSEHILEATVGKDALFAAIPDPVTCFSTARYYQKQVFHVSGDSNLVVVDWFTSGRYESGEKWDFNSYKSINHILSEEDQPLFIDSVLLEQGSNCSIAERMQEYNVVAMVVLLGPKLKQIQDKMQDEVKNMMSAQLRPPTSGGGRYATRPQPLHPQRPALIASCSPFGRTGTGMVARVVAVSTESVYGFLRHHLAALEPFLGAAPYSAS* >Brasy4G427900.1.p pacid=40086081 transcript=Brasy4G427900.1 locus=Brasy4G427900 ID=Brasy4G427900.1.v1.1 annot-version=v1.1 MSGAVLICQQLSFHLHNTELSARTYTHTLEKRASSKKKTDTMRSKSFLPLLLLCLAMAAAAPRSSAAVPSRVAAVGGGGILHVPSAAELAHCPTRCGKVDIMYPFGIGPGCFRQGFELTCNNNKLFLGNSTAIQTRHVDYYYVDASTEHSFDMRPGMDTYNVSWEAPIKGVTLSGWSSLYVVGCGVDAYLFDHDTNDIIGSCMSVCLYDREAMEKDYAHTMVGDPSIRCNGKGCCSIGLKWDRRAVALRIGRLRDGSGTTMAQADDRVLSKVKVFLSQDYSFQMSDLYSSRINSTKMDPYLEVVMTDQQSCESVQMNTSSYACNNQSTCEDRSPGGAGYSCWCGLRAGNPYTVDGCTGDIDECAIPNICQGTCENHPGRYSCKGCAHGKEFDPTKGKCVMSAKRRNIFVGIAIGIGCGLVATILALVITTLVNKWKASTQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLGRGGHGTIYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHGDLSVKCLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTIGYLDPEYYHTGQLTEKSDVYSFGVILVELITRKKPIFINNVGTKQSLSNYFVEGLVQGVLMEIMDLQVVEEANQEEIVDIASVAEACLRTKGGERPTMKEVEMRLQILRTTRLRRNQLASKKGGEVELFLCPDTNSSDARTNSTGTIDVPSSCMSGMYSLEQEFASLPR* >Brasy4G427900.2.p pacid=40086082 transcript=Brasy4G427900.2 locus=Brasy4G427900 ID=Brasy4G427900.2.v1.1 annot-version=v1.1 MRESRHHVSFWNWAGLLPARLRANLQQQQAFSGQQYCYSDPACRLLLRRRLHRTQLRHETREAMEKDYAHTMVGDPSIRCNGKGCCSIGLKWDRRAVALRIGRLRDGSGTTMAQADDRVLSKVKVFLSQDYSFQMSDLYSSRINSTKMDPYLEVVMTDQQSCESVQMNTSSYACNNQSTCEDRSPGGAGYSCWCGLRAGNPYTVDGCTGDIDECAIPNICQGTCENHPGRYSCKGCAHGKEFDPTKGKCVMSAKRRNIFVGIAIGIGCGLVATILALVITTLVNKWKASTQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLGRGGHGTIYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHGDLSVKCLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTIGYLDPEYYHTGQLTEKSDVYSFGVILVELITRKKPIFINNVGTKQSLSNYFVEGLVQGVLMEIMDLQVVEEANQEEIVDIASVAEACLRTKGGERPTMKEVEMRLQILRTTRLRRNQLASKKGGEVELFLCPDTNSSDARTNSTGTIDVPSSCMSGMYSLEQEFASLPR* >Brasy4G427900.3.p pacid=40086083 transcript=Brasy4G427900.3 locus=Brasy4G427900 ID=Brasy4G427900.3.v1.1 annot-version=v1.1 MRESRHHVSFWNWAGLLPARLRANLQQQQAFSGQQYCYSDPACRLLLRRRLHRTQLRHETREAMEKDYAHTMVGDPSIRCNGKGCCSIGLKWDRRAVALRIGRLRDGSGTTMAQADDRVLSKVKVFLSQDYSFQMSDLYSSRINSTKMDPYLEVVMTDQQSCESVQMNTSSYACNNQSTCEDRSPGGAGYSCWCGLRAGNPYTVDGCTGDIDECAIPNICQGTCENHPGRYSCKGCAHGKEFDPTKGKCVMSAKRRNIFVGIAIGIGCGLVATILALVITTLVNKWKASTQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLGRGGHGTIYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHGDLSVKCLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTIGYLDPEYYHTGQLTEKSDVYSFGGVLMEIMDLQVVEEANQEEIVDIASVAEACLRTKGGERPTMKEVEMRLQILRTTRLRRNQLASKKGGEVELFLCPDTNSSDARTNSTGTIDVPSSCMSGMYSLEQEFASLPR* >Brasy4G427900.4.p pacid=40086084 transcript=Brasy4G427900.4 locus=Brasy4G427900 ID=Brasy4G427900.4.v1.1 annot-version=v1.1 MRESRHHVSFWNWAGLLPARLRANLQQQQAFSGQQYCYSDPACRLLLRRRLHRTQLRHETREAMEKDYAHTMVGDPSIRCNGKGCCSIGLKWDRRAVALRIGRLRDGSGTTMAQADDRVLSKVKSCESVQMNTSSYACNNQSTCEDRSPGGAGYSCWCGLRAGNPYTVDGCTGDIDECAIPNICQGTCENHPGRYSCKGCAHGKEFDPTKGKCVMSAKRRNIFVGIAIGIGCGLVATILALVITTLVNKWKASTQKRVRRAHFKKNQGLLLEQLILDEGATDKTKIFSLEELDKATDNFDATRVLGRGGHGTIYKGILSDQRVVAIKKSKMVEQVEIDQFINEVAILSQIIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDLLHGDLSVKCLLSWDDRIRIAVEAAGALAYLHSVAAIPIFHRDVKSSNVLLDGNFTTKVSDFGASRSLSLDETHVVTIVQGTIGYLDPEYYHTGQLTEKSDVYSFGGVLMEIMDLQVVEEANQEEIVDIASVAEACLRTKGGERPTMKEVEMRLQILRTTRLRRNQLASKKGGEVELFLCPDTNSSDARTNSTGTIDVPSSCMSGMYSLEQEFASLPR* >Brasy4G220300.1.p pacid=40086085 transcript=Brasy4G220300.1 locus=Brasy4G220300 ID=Brasy4G220300.1.v1.1 annot-version=v1.1 MAGGGELKLLGAWQSPYVARVKLALALKELSYENHEEDLRNKSELLLRSNPVHKKIPVLIHKGAPICESMAIVQYIDEAFPGAGPSSSILPSDPYERSIARFWAAYVDDKLVAASRKWLRGSTEEEKSEGKKQTLAAVETLEGALRDCSSKGNGGGFFGGDSVGLVDIALGSLLPWLKATEAISGDKMFDAAKTPLLAAWVERFSKLDAATLVLPDVDRIVPLAKVPLQRPTTN* >Brasy4G033100.1.p pacid=40086086 transcript=Brasy4G033100.1 locus=Brasy4G033100 ID=Brasy4G033100.1.v1.1 annot-version=v1.1 MADQERDDIPMLLRNIELPRFPRSTSMCIPVRDDDYEEETFVSHTGPLFSHPPTQTAAAGNPFTNRHTPDRLPPRHPQGKPVSKPQAVMPEDAGGNRWSHSGVIPKNEHLMMSGPLGQCDNPDCVNCPPACKNKRHFQKVPNPFDNKLQNILYGHHQGWKNRIERYLSYIPIMNPHAKVVQHWNQFFVISCLVAIFIDPLFFFLLSVEKDNKCIVLNWKFATGLAVVRSVSDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAAHYLRGYFLLDFFVVLPLPQVMILVVIPKYVGLSTADIAKNYLRVTILLQYVPRILRFVPLLGGRQNATGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQNACSASNIPSCEALRDCGRDIDFGWQNYQNRQQWLNDSASTDCFKTGDDATFQYGIYAQAVLLATKRSAVKHYIYSLFWGFQQISTLAGNLVPSYFEGEVLFTMAIVGLGLLLFALLIGNMQNFLQALGSRKLEMQLRRRDVEQWMSHRRLPEDLRRRVRHAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVDKMVFIVRGKLESISADGSTAPLHDGDVCGEELLTWYLEHSSANRDGGKIKFHGMRLVAIRTVRCLTNVEAFILRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAAARIQVAWRYRRRRLKRAERSRLSEESIRIPGWSDHAFQHGQRG* >Brasy4G053500.1.p pacid=40086087 transcript=Brasy4G053500.1 locus=Brasy4G053500 ID=Brasy4G053500.1.v1.1 annot-version=v1.1 MAEDFDALHTSYPLLPLLQQVISLSQAPDPVGQHSQSPRLGDPNTMGGHTHNEHVGGSTLAAEPPLHISSEERADDLESNEDGDDGDDGISAPNMPAVNMRFDSIEAAKQHYVDYARWNGFGVRIDYQRPIKSGETSRAQFVCYKAGRNKKGKEDTQRPESVVPKRKRNITERTGCQARMKVKLDGATYIVEHFEEEHNHNVLKKFNLAKYLRSHRHMPKEEREFVKLLHGCNLRTSQMMQILSTLHGKLDDLSYTRTDMANFRAALRREHCVMDMKFTLQYFKKLKKEDDDFFYSFEVDDEDRRYVSPSNSIYDFARQYTALQEKILGAERQAEAETALTVPRKWGFSPIEEQVKLIYTRRMFNRFQEELQMTSSYHCMRTGMNTYETMSMTGHSGQYGSRTYKLAVDIEAGMYSCECCKFDRDGIVCCHILRVMQHEGVRALPQHYILKRWTWNADAALGPHGTQELNPTQHEMPEDSRKLMRYTTMKKGLGEIAADACDGQDATKIVERHMKAMRSELAALRKRQEKDARARESMGMSRRDRTVPEGSASGAAQGSTSEAPPVGSATGATTEGSAAGAVTDEVSAASSGHVVRDPPMTATKGRPRERRYKSPLDIEPKAPKKKGRCKICRSGEHDARTCPYKMANRATCT* >Brasy4G020900.1.p pacid=40086088 transcript=Brasy4G020900.1 locus=Brasy4G020900 ID=Brasy4G020900.1.v1.1 annot-version=v1.1 MSSDHEQQQPHAVLIPQPAQGHVTPMLHLAKALHARGFHVTFVNSEYNHRRLLRSRGPGSLDGVRGFRFEAIPDGLAPPSDSGHDDDVTQDIAALCLSTTKHSAAPFRALLSRLKQGTPPVSCVIADGVMSFAQRVAEEAGVPALLFWTTSACGFMGYLHFAELVRRGYVPLKDESDMSNGYLDTAIDWIQGMEGVRLKDMPSFIRTTDPDDTMLNFDGGEAQNARGARGLILNTFDALERDVVDALRRTSFFPRLYTVGPLADNKSSARGGGEAILDGIGGNLWKEDASCLRWLDAQAQREPGSVVYVNFGSITVVTPAQLAEFAWGLAGCGRPFLWAVRPDLVAGSKAVLPEEFLSETKQRGMFGSWCPQEEVLRHPATGLFLTHCGWNSTLESICAGVPMVCWPFFAEQPTNCRYACAKWGIGMEVGNDVTREEVARLVREAMDGEKGKAMRASAVAWKERARAATDGGGSSSRNLDRLVEFLRAGCRE* >Brasy4G267900.1.p pacid=40086089 transcript=Brasy4G267900.1 locus=Brasy4G267900 ID=Brasy4G267900.1.v1.1 annot-version=v1.1 MAEPAEKTTAAPPADGVSEEAPPATATATATARGFRFLGEDKSVHKALGGGKTADVLMWKDKKMSAAVIGGATVIWVLFEVVEYNFLPLVSHVLIGTLAIVFLWSKATIFIKKSPPDIPEVQISEDLAVNIVQALRTDINQALGLLREIALGHDLLKFLGLIVALWVLSEIGSLCDFLTLVYAAALMLHTVPILYHKYQDKVDDFAGKAHIELRKLYEVFNAKVLSKIPRGPARDKKHE* >Brasy4G367200.1.p pacid=40086090 transcript=Brasy4G367200.1 locus=Brasy4G367200 ID=Brasy4G367200.1.v1.1 annot-version=v1.1 MLKFLKLQLITIKSFRQNPDPKKKSLPPERQVFPFIFVAALTPSLAALLRQRRRAILRFPALGAPSPPRPPGRLRFPVDSCSQHGGPMAQDDPVVSAQWLQQHLGQPDIKVLDASWYMPQESRDPWQEYKVAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAAVSALGITNHDKVIVYDGKGFFSAPRVWWMFRVLGHNEVWVLDGGLPQWRASGYNLGSNCPDDTILKSKAANSAVETTYNGELANASTFQTEFQPQLFWTLEKVKHNVAAQAHQLVDARSRGRFDGIEPEPRKGVRSGHIPGTKNVPFPEMFDGSPMLLPADELSKKFEQAGISLDHPIVVTCGSGVTACILALGLYRVGKHDIPVYDGSWTEWEAQPDIDYPKVTSTGS* >Brasy4G120200.1.p pacid=40086091 transcript=Brasy4G120200.1 locus=Brasy4G120200 ID=Brasy4G120200.1.v1.1 annot-version=v1.1 MEGAVTENPTVPMVVPEAAVDAAQHVEGSTVPMVVPEEAVDADQRVEGMPEPVDADQRVGGVAASMVVPEAAADADHHIENATTQDTKHGDTDGIVNVTPEEMRSIIEVIAETGKFWHDWSFLKRLLSLQLMQVLGEYSDAQMVIREDGQQQNSLSGETYSELFTQLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTITKCTDPYPAAHGPNSEGTEITENTCSIDVKPEMLQEHPAAVPNGTQHVGGDCDEEMADAEAEVAPGSHDVEMQEEKLDQVAEVNSDTNSGAAVARETVDASERLPDPQS* >Brasy4G217600.1.p pacid=40086092 transcript=Brasy4G217600.1 locus=Brasy4G217600 ID=Brasy4G217600.1.v1.1 annot-version=v1.1 MKEQMRTRSLGVWWKILLQVSQLLEEHKEMRAMFLASQKHQPSQQEHENPDEVIPKDVNVDPVEAKGMRKSYEQLQAEDAMFQQVKIEMVEETNAEEKKRSYEQLQSEENTFKEVKIELAEPSNAEEKNLENKKPISRRTSKNNKTSQPKVPRNKVAKGDTIKCGMEVGLTSPNSAALVALATVQNIDKEAKCMDGKPLSDYVEVLVNVVIKGTTLLPRAQGRVLNTGNAQARSTPWPRENVTSSNGTPLLSKEPAKYRRKVATKIASSQPGIMVGGSVTYYCREDKQDEEKRIGCNHE* >Brasy4G217600.2.p pacid=40086093 transcript=Brasy4G217600.2 locus=Brasy4G217600 ID=Brasy4G217600.2.v1.1 annot-version=v1.1 MKEQMRTRSLGVWWKILLQVSQLLEEHKEMRAMFLASQKHQPSQQEHENPDEVIPKDVNVDPVEAKGMRKSYEQLQAEDAMFQQVKIEMVEETNAEEKKRSYEQLQSEENTFKEVKIELAEPSNAEEKNLENKKPISRRTSKNNKTSQPKVPRNKVAKGDTIKCGMEVGLTSPNSAALVALATVQNIDKEAKCMDGKPLSDYVEVLVNVVIKGTTLLPRAQGRVLNTGNAQARSTPWPRENVTSSNGTPLLSKEPAKYRRKVATKIASSQPGIMVGGSVTYYCREDKQDEEKRIGCNHE* >Brasy4G279600.1.p pacid=40086094 transcript=Brasy4G279600.1 locus=Brasy4G279600 ID=Brasy4G279600.1.v1.1 annot-version=v1.1 MIGERGVGGGPDHIGASLQAPAGKKEVASRVSSVEAGSSLVNFSSAGGKKVGGAWRPLRAPSRQPPPPLDRPRRVGKEGASPAGGKEAGPVGRRWAARGGRRGLPRVGLRRRSLALVGWGRRWLARPAGRRWAARGGGAGSLASASAAARSPAPVGKEAARRGRWEGRRWGGAWRPPRAPSRRPPPPLARPRAGERAAAGADAREPATSSRAAHHLHRRARGLPPSSSPATSRRGRADHLLRRGRASGGGGKQRMGASLQAPAGKKEVASRVSSVEAGSSLVNFSSAGGKKVGGAWRPLRAPSRQPPPPLDRPRRVGKEGASPAGGKEAGPVGRRWAARGGRRGLPRVGLRRRSLALVGWGRRWLARPAGRRWAARGGGAGSLASASAAARSPAPVGKEAARRGRWEGRRWGGAWRPPRAPSRRPPPPLARPRRVGKEVVGIGVVCFSGSGLVGSGCLDRGIERASERRREPTRGSPRLRRAPPTTSTDEPAAYHLLLPRLPPVAGERTIFSGAGERAAAEANSGWSGRASGGGSRREGARDSVARRPPPPPTSPRPTTFFFPGYLRSRASERRRRQTADGISAIYFEPLTATFARSRDAPAGVEEEEARGLFGWRNRSRAAVESAAGSGVLCIWAVHSFSPEEFTHQT* >Brasy4G268700.1.p pacid=40086095 transcript=Brasy4G268700.1 locus=Brasy4G268700 ID=Brasy4G268700.1.v1.1 annot-version=v1.1 MRWDAADAEAVLERIWDLHDRLSDAILTVSTTHFLPAPPPPRPSACARAGGRNGCVFVKGRRGGGLGEEDGGGSALAAAAGAMAEARSLHAIRTALEDLEDHLEFLHTVQSQQLAERDAAVARLEQSRLVLATRLAEHQGKKYRVIEEALAFVGEVSHKSRFISPEDVRATQRQSGEDPVDNGANGSSIMANMLSCSLSLAKNSFRVDKIGSVLGNAAVFAVSMLAFLQLHQVAFRSRTPPMEYRKRIDYSSQSGSSQQNSKGKHLEVYLARG* >Brasy4G268700.2.p pacid=40086096 transcript=Brasy4G268700.2 locus=Brasy4G268700 ID=Brasy4G268700.2.v1.1 annot-version=v1.1 MRWDAADAEAVLERIWDLHDRLSDAILTVSTTHFLPAPPPPRPSACARAGGRNGCVFVKGRRGGGLGEEDGGGSALAAAAGAMAEARSLHAIRTALEDLEDHLEFLHTVQSQQLAERDAAVARLEQSRLVLATRLAEHQGKKYRVIEEALAFVGEVSHKSRFISPEDVRATQRQSGEDPVDNGANGSSIMANMLSCSLSLAKNSFRVDKIGSVLGNAAVFAVSMLAFLQLHQVAFRSRTPPMEYRKRIDYSSQSGSSQQNSKGKHLEVYLARG* >Brasy4G268700.3.p pacid=40086097 transcript=Brasy4G268700.3 locus=Brasy4G268700 ID=Brasy4G268700.3.v1.1 annot-version=v1.1 MRWDAADAEAVLERIWDLHDRLSDAILTVSTTHFLPAPPPPRPSACARAGGRNGCVFVKGRRGGGLGEEDGGGSALAAAAGAMAEARSLHAIRTALEDLEDHLEFLHTVQSQQLAERDAAVARLEQSRLVLATRLAEHQGKKYRVIEEALAFVGELHQVAFRSRTPPMEYRKRIDYSSQSGSSQQNSKGKHLEVYLARG* >Brasy4G316300.1.p pacid=40086098 transcript=Brasy4G316300.1 locus=Brasy4G316300 ID=Brasy4G316300.1.v1.1 annot-version=v1.1 MGFGGGFGSSLLALNKKTYELAGVSTAGNAPGSYKEPGFGWMAGFLLAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTSQGEKNAKKQVRGFLRCFVISLLWSFFQWFYTGGPSCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLFGAILSWGIMWPLISKQKGIWYPANVPETSMTSLFGYKSFMCVALIMGDGLYHFIKVTGITAKSLHRQSNRKHVKRAANEGTVAIDDMQRDEVFNRDYIPNWLAYTGYALLSIIAIIIIPVMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKVALFIFAAWGGKDDGVIAGLVGCGIVKQLVQVSADLMHDYKTGHLTLTSPRSMLVGQAIGTAMGCIIVPSTFLLFYKSFDIGNPDGYWKAPYALIYRNMAILGVDGFSALPKHCLELSAALFAFSVLINLVRDLLPRRYRKYVPLPMAMAVPFLVGANFAIDMCVGSLAVFAWHKMNSKEAALLVPAVASGFICGDGIWMFPSSLLSLAKVNPPICMNFTPGS* >Brasy4G316300.2.p pacid=40086099 transcript=Brasy4G316300.2 locus=Brasy4G316300 ID=Brasy4G316300.2.v1.1 annot-version=v1.1 MGFGGGFGSSLLALNKKTYELAGVSTAGNAPGSYKEPGFGWMAGFLLAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTSQGEKNAKKQVRGFLRCFVISLLWSFFQWFYTGGPSCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLFGAILSWGIMWPLISKQKGIWYPANVPETSMTSLFGYKSFMCVALIMGDGLYHFIKVTGITAKSLHRQSNRKHVKRAWGGKDDGVIAGLVGCGIVKQLVQVSADLMHDYKTGHLTLTSPRSMLVGQAIGTAMGCIIVPSTFLLFYKSFDIGNPDGYWKAPYALIYRNMAILGVDGFSALPKHCLELSAALFAFSVLINLVRDLLPRRYRKYVPLPMAMAVPFLVGANFAIDMCVGSLAVFAWHKMNSKEAALLVPAVASGFICGDGIWMFPSSLLSLAKVNPPICMNFTPGS* >Brasy4G304300.1.p pacid=40086100 transcript=Brasy4G304300.1 locus=Brasy4G304300 ID=Brasy4G304300.1.v1.1 annot-version=v1.1 MAGGGGGGGGRRDADAGVKIGSGNVFAALEALKKKKKKKPPSASSSSSSALGRKGTKGPRKAAPGEENAAPPPEVFWAPAPLKSKSWADVDDDDYFATTAPPRPVWRTAAPAAAEEEEGKARADEVQETESEDDGVDVDMGDDEPEEPEHRHEVDVPSEPEVKISAAMPVHSKDTEKQLSKKELKKKELAELDAVLAELGISENATKDDVTSKSERKTGEQNGDGDKTGLPVPPESKNSKKKKLKKDKPTKDSKEQPNEVDSSKDHGEAADTKSEEEATAVDVKEKMKKIAAAKKKKSSKEVDAAAKHAAIEAATRSAKLAAAKKKEKSHYNQQPVR* >Brasy4G304300.2.p pacid=40086101 transcript=Brasy4G304300.2 locus=Brasy4G304300 ID=Brasy4G304300.2.v1.1 annot-version=v1.1 MAGGGGGGGGRRDADAGVKIGSGNVFAALEALKKKKKKKPPSASSSSSSALGRKGTKGPRKAAPGEENAAPPPEVFWAPAPLKSKSWADVDDDDYFATTAPPRPVWRTAAPAAAEEEEGKARADEETESEDDGVDVDMGDDEPEEPEHRHEVDVPSEPEVKISAAMPVHSKDTEKQLSKKELKKKELAELDAVLAELGISENATKDDVTSKSERKTGEQNGDGDKTGLPVPPESKNSKKKKLKKDKPTKDSKEQPNEVDSSKDHGEAADTKSEEEATAVDVKEKMKKIAAAKKKKSSKEVDAAAKHAAIEAATRSAKLAAAKKKEKSHYNQQPVR* >Brasy4G063300.1.p pacid=40086102 transcript=Brasy4G063300.1 locus=Brasy4G063300 ID=Brasy4G063300.1.v1.1 annot-version=v1.1 MVGTKTHHHEASSSFLPEELNLLQKARGTPDGGQGGALGQWKCRLLGSLLRPRRRRCVVCLQVQHVAGMPAAAEGRAVVVGWRGKGGDGEHTAPARVSRGGGAAFDEVFLHYFTAGATLRSSSFTVWAALVAESAIAGGVDDALGAFPVDLAEAAAADESSDPRFGGKAVCFPLGGVAAGAVLTVSVYCRVMEQEEIHGANGGHARERKNKDKSSGSYASCLPDLNCLRNRPPMAVATSASTRRATSLRSDRGGFITIENSMAEMDVVNGAFGRVEVDDEEGAGFITMEKGTVSSRSRRAFHPETLTDDDDCGGSGVPEEDEKSCLFMELSGDAALEVEEVEEEFLAMLEDKYWPIMSKSKEIEKGLSVSLDVGIDLGLDLDSLIKDAELELARAEQAWKSKVGAAIVEEEEYKELVRRWSVASNSGCSWGFGFGSPI* >Brasy4G320300.1.p pacid=40086103 transcript=Brasy4G320300.1 locus=Brasy4G320300 ID=Brasy4G320300.1.v1.1 annot-version=v1.1 MGCGGRSSTAAVTWPRWRRRHGWQLPLHPLQLVAAAVFSVLVAAFYVVLGPYLGSTVAGNTLLALFSFSAAATAALYVRCTAVDPSDRTHAKKMKRQRNLARGGGGGGKLPRLRYGYILWRYAVRLLKRVEARVMNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPHATEDQDISFCPVCDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYAAFILLMFFVLLMLVIEGGTAIAIFVRCFVDSKGVKMEMEHRLHIRLPKGAHAVLSMAFVIFTLYSTAALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPEKPSFLSRIFCRKDELNESSRKLSIRIDEKEPNDATRRKDDIQINPWALIKMSKEKAMAAAERARERIRQKLPSTTTSPMKPLPLETKRGPLNVDRRQIMTGKEIVPVCTKSWLSGSPTTRLSSPRRRFSGSPSPKPQRYRTNFDLRLAEVSRELDTHISKQVLCSVVMKGVEDEDSLS* >Brasy4G211900.1.p pacid=40086104 transcript=Brasy4G211900.1 locus=Brasy4G211900 ID=Brasy4G211900.1.v1.1 annot-version=v1.1 MSDLRPPEHQVAGHRAAVNKLGPLVDGAGLFYKPLQALDRGEQELAFYTAFSAHPAVPPRIRDTFFPRFHGTRLLPTAASPGESHPHLVLDDILDGLAAPSVTDIKIGACTWPPRAPEPYVDKCLAKDRATTSVLLGFRVSGIRVADAGGAVWRPDRSELKGTDIPGVRRFLRRFVSSVGGDGLDCALATAVYGGEGGVLAQLRELKAWFEEQTLFHFYSASVLLSYDANAASLAGGSVGRAVRVKLVDFAHVVESEGVIDHNFLGGLCSLIKFIDDIVAEVSQTAPSGHS* >Brasy4G380400.1.p pacid=40086105 transcript=Brasy4G380400.1 locus=Brasy4G380400 ID=Brasy4G380400.1.v1.1 annot-version=v1.1 MTKKASSNNDDIEATSSNFNSEYSMVMVQRSKGEQSKLTVTDLLKATKNFDKEHIIGCGGYGLVYKAELPDGSKVAIKKLNSEMCLMAREFSAEVDALSMAHHDNLVPLWGYCIQGDSRLLIYSYMENGSLDDWIHNREDGGGSVLDWPTRLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYIADFGLSRLIFHNRTHVTTELVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVQICPTSKELVQWVQEMISKEKQIEILDPTLQGTGHDEQMLKVLEVACRCVNRNPVLRPAIQEVLSALNNIDANLQKQNSVRI* >Brasy4G124300.1.p pacid=40086106 transcript=Brasy4G124300.1 locus=Brasy4G124300 ID=Brasy4G124300.1.v1.1 annot-version=v1.1 MPKPPPAAEFPGSKRKSRIEIPDSDDDADRQRESRSSVGTGGQRERSAEAERRRAAAIARSRSRAAAASPPEFVDLTGDDDDNIRDEEAAGRREVLVRVKEEPLDDPGLDPEPSVARRGRCRGRARAAAASAPVASRKRRREDDSGSRGRGGRRATRSARQCTRGRRGGLRSLRSRPSSSDEPLGDSGKAKRVRRGHAGGGGSGRRDAAPPKQAGSLVASSSRSRKGKQRAGLPPKHQFPLVSESESSSESSSESDDDDDDGEDDDGLDDVCSETSDESWQPRYNSEFQVAMKGDKKVEGGRNSVEEEAAEKELGENGIPVEEEAAEKELGENGNSIPIEEEAAEKAFGENGNSIPVEEEAAEKELGENGNSIPVEEEAAEKELGENGNSIQDDRYDGEDEEATDDAHAAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEQDDESDEAGEELHPMPNSNADAGGNARSGGEGTPIGKKVFEGLCLVDKADNAVTTKTIGQRTRSNFKDRACLDKKLLGQGTYSKPYCIDTESEEDVPPPPQPPPSGAECEDDGSGGDDRMPVKRRRGKEQIADSDDTQNDSEDSDENRTLARNARKGSSSRRPKNGAPFQQNVKEGSRNYDGPSNPRHIKSYAANAGNPTDRFNMPSGDICFNTNSLLPQRMKHGRVWTKQDTDNLLNSLLDEIENGSAPAQAQNEDRLPLVFSFGDEGQVEEQSDHNKLLDELWAEMDFCMESTNVCPQSCEEGEKSNNGQEKPGDKATLCSQGKHDLVMDEQIGVWCRRCNFIQLEIRHVVADMGKVSTERELRADRELDLSINNLLTSMGYEGTCSIVDHKTGSVWDLVPGVKEGLFTYQVAAIEFMWDNLAGGTKIQQVKNNINSDDLRGCWISHAPGTGKTRSTIAFLQSYRVLFPRSRVLIIAPKAMLATWQDEIGKWNAKVPIHVYSSCDINWDGDETIKRIVDNDEDFAQRLSINKFGRKVRNVLKVRSWCEGSSVLGMSYEMFSKLAKQNSDDETMRKLLLEKTDLLILDEGHKPRNKKSIIWKVLAEVRTKKRIILSGTPFQNNFEELYNVLCLLQGTCDADSKLLGKDEDKGFWTSMSVDNITDERVNEIRKKLKPFLHIYNGEFLQKSLPGLRESVVILNPFPHQKKIIKMLEDSRTKSGTNGHLDFEYKISLASVHPSLITSAQKLPDQVTSVMDKPLLESLRLNPCEGVKTKFVFEIVRLCQPLKERVLVFSQYLQPLDLIMQQLRSEFLWTKDKEILSMSGDDDAETRQKLMNDFNNMESEAKVMLASTKACGEGITLIGASRVVLVDVVWNPSVGRQAIGRAFRIGQKKIVHTYNLIAEGTQEKSKYDRQAQKDHMSKLLFSNETQPAECNKSSEFIISSDKILEKMTEDTNLKTMFANIL* >Brasy4G124300.2.p pacid=40086107 transcript=Brasy4G124300.2 locus=Brasy4G124300 ID=Brasy4G124300.2.v1.1 annot-version=v1.1 MPKPPPAAEFPGSKRKSRIEIPDSDDDADRQRESRSSVGTGGQRERSAEAERRRAAAIARSRSRAAAASPPEFVDLTGDDDDNIRDEEAAGRREVLVRVKEEPLDDPGLDPEPSVARRGRCRGRARAAAASAPVASRKRRREDDSGSRGRGGRRATRSARQCTRGRRGGLRSLRSRPSSSDEPLGDSGKAKRVRRGHAGGGGSGRRDAAPPKQAGSLVASSSRSRKGKQRAGLPPKHQFPLVSESESSSESSSESDDDDDDGEDDDGLDDVCSETSDESWQPRYNSEFQVAMKGDKKVEGGRNSVEEEAAEKELGENGIPVEEEAAEKELGENGNSIPIEEEAAEKAFGENGNSIPVEEEAAEKELGENGNSIPVEEEAAEKELGENGNSIQDDRYDGEDEEATDDAHAAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEQDDESDEAGEELHPMPNSNADAGGNARSGGEGTPIGKKVFEGLCLVDKADNAVTTKTIGQRTRSNFKDRACLDKKLLGQGTYSKPYCIDTESEEDVPPPPQPPPSGAECEDDGSGGDDRMPVKRRRGKEQIADSDDTQNDSEDSDENRTLARNARKGSSSRRPKNGAPFQQNVKEGSRNYDGPSNPRHIKSYAANAGNPTDRFNMPSGDICFNTNSLLPQRMKHGRVWTKQDTDNLLNSLLDEIENGSAPAQAQNEDRLPLVFSFGDEGQVEEQSDHNKLLDELWAEMDFCMESTNVCPQSCEEGEKSNNGQEKPGDKATLCSQGKHDLVMDEQIGVWCRRCNFIQLEIRHVVADMGKVSTERELRADRELDLSINNLLTSMGYEGTCSIVDHKTGSVWDLVPGVKEGLFTYQVAAIEFMWDNLAGGTKIQQVKNNINSDDLRGCWISHAPGTGKTRSTIAFLQSYRVLFPRSRVLIIAPKAMLATWQDEIGKWNAKVPIHVYSSCDINWDGDETIKRIVDNDEDFAQRLSINKFGRKVRNVLKVRSWCEGSSVLGMSYEMFSKLAKQNSDDETMRKLLLEKTDLLILDEGHKPRNKKSIIWKVLAEVRTKKRIILSGTPFQNNFEELYNVLCLLQGTCDADSKLLGKDEDKGFWTSMSVDNITDERVNEIRKKLKPFLHIYNGEFLQKSLPGLRESVVILNPFPHQKKIIKMLEDSRTKSGTNGHLDFEYKISLASVHPSLITSAQKLPDQVTSVMDKPLLESLRLNPCEGVKTKFVFEIVRLCQPLKERVLVFSQYLQPLDLIMQQLRSEFLWTKDKEILSMSGDDDAETRQKLMNDFNNMESEAKVMLASTKACGEGITLIGASRVVLVDVVWNPSVGRQAIGRAFRIGQKKIVHTYNLIAEGTQEKSKYDRQAQKDHMSKLLFSNETQPAECNKSSEFIISSDKILEKMTEDTNLKTMFANIL* >Brasy4G440100.1.p pacid=40086108 transcript=Brasy4G440100.1 locus=Brasy4G440100 ID=Brasy4G440100.1.v1.1 annot-version=v1.1 MASDGEKKMITLKSSDGEEFEVEETVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCKKHVHAAKPADAADAAANTSAAPATPSDDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE* >Brasy4G129400.1.p pacid=40086109 transcript=Brasy4G129400.1 locus=Brasy4G129400 ID=Brasy4G129400.1.v1.1 annot-version=v1.1 MARENTQVAANGICTAIQHADPLNWGKAAEELTGSHLDEVKRMVAEYREPVVTIEGASLSIAKVAAVAAAGEATVELDESARERVKASSDWVMNSMANGVDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGKKVNAAEAFKIAGIHGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIEILKLMSSTFLVGLCQAIDLRHIEENVKSAVKSCVMTVAKKTLSTSSTGDLHAARFCEKDMLQEIDREAVFAYADDPCSHNYPLMKKLRGVLVESALANGVAEYNVETSVFAKVAQFEEELREALPKAVEAARGAVESGTAATPNRITECRSYPLYRFVREELGTVFLTGEKTRSPGEELNKVLMAINQGKHIDPLLECLKEWNGEPLPIC* >Brasy4G093900.1.p pacid=40086110 transcript=Brasy4G093900.1 locus=Brasy4G093900 ID=Brasy4G093900.1.v1.1 annot-version=v1.1 MNHPNVLRSTKFAGPMPPAAGVDLCRALCAAVIKSAFQPHAHLVAAEPSLLAAVLHRLSPHPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARSILRDLSLRHPLSSPFLLPSLLSEPHVPSWLLLALSHSQSARPQDAVRVFDQMRAHGLAPDAHACTALLTALARARMTATARKVFDEMGRAGVAMNTHVYNAMLHVCLKAGDAFRAEGLMTRMDAAGVPLDRFSFNTAIALYVRKGMQYEAMCVRERMQDEGVEADIVTWNTVIHGMSKEGRMKEAAQLHRDMMAAGVEPDSVTYTTLVDGYCRAGDVGEAIKLREEMEARGIIPCVATYNAIIRKLCEDGKMKEVNGLLNEMDGRKVQPDHVTCNTLINSYAKRGDMTSASKVKKRMMESGLQLDQFTYKALIHGFCKAKELDEAKEALFEMMGRGFSPNYSVFSWLVDGFCKKNNTDAMLLIPDELIKRGLPPDKSVYRSLIRRLCKKGLVDLGQKVLHQMQGKGLEVDCLVYATLAYAHLTAGKVAAASDILTEMAKKQMYVTPQIYNCLCTSYGDEKETLNMFWVHTIERGLIAKSVYKLMHQARLKSLKPAVNSEGYAAVSMASSQAPAN* >Brasy4G385500.1.p pacid=40086111 transcript=Brasy4G385500.1 locus=Brasy4G385500 ID=Brasy4G385500.1.v1.1 annot-version=v1.1 MAEIVSATSGVMNPLLGKLTALLGEEYKKLTGVRKQASFLKDELSVMKALLDKLELMDEPDPLAKDWRDHVREMSYDMENCIDDFIHDLGVGGADAKVGFVRKTAQRLRRLGRRHKIADRIEELKVLAAEAKARREMYRIDDCINPSSHGVVAVDPRMSAIYKEAKGLVGIDGPMENVVNWLTASVRKLNVVSIVGFGGLGKTTLAKQVYDKIRGQFGCTAFVSVSQRPDITNLLSGLQLKLGVEKSRRAHEVPDIIDRLREQLKNKRYLIVVDDLWDQSAWDVIRCIFAEGDNGGTVIVTTRLDDVAYGACHDHHGYIYRMKRLDNEDSRRLFFNRVFRSEDGCPSQFEEVSTQILKKCGGLPLAIITIASLLASRQARSRSDWESIKDSMGTNFSAYPTLEGMKNILNLSYINLPLRLRACFLYLGMYPEDREIRRDDLSRQWVAEGFVSGPHGADLEELARSYFNELINRSMIQPADEETKLGEVLSCRVHDMMLDLILSKCTENNFLSAAHSYEEMERTHGCNYKVRRLSLNLSAGGAATPGSTVPATSLSQVRSFARFGDCKYTPPLCLFKYLRVLVFEFPDQLRMTIDLTAIGHLFLLRYLKVLARLARIDLPVEVKGLVHLETLEISCLSAQRFPSDLVFLPNLFHLILPYDTGLPEGIRNMKSIRTLLCYAMGKSSVEDIKGLGELTTLRDLALYGHDLTEDGVDALVSSVGKLRGLKRLSLHCQRERYDDQLESLPDHPLPRIEVLDLGWRFSRVPQWIGGLRCLQVLKLHIDQFSSDDVRVPGMLPSLVDATFLVLSIPEDKVVVGTGLFPALEHVEFSSGEDVTAYLGFEAGAMPKVRTLGLGFRVENWGGATPAGMHNLQALQQINVCLWPTGDETREEQVARDVDSAFGDVSRAHPARPTVSLMRFFNRKFEGLEG* >Brasy4G158900.1.p pacid=40086112 transcript=Brasy4G158900.1 locus=Brasy4G158900 ID=Brasy4G158900.1.v1.1 annot-version=v1.1 MSGGVPELACRKRLRSCDVNVKKKEEGRKKMHSEEEEEEDEWMGMNYMKVFAAKSEKKRREMPTNLSDEEEEEETWDTDKTAKKMMHSGHGKATDRKASMATFEKVKKNKLVSSDGGEKKMQIGDHDRDKRKNMPFPFNKNKDPLKEKNWKMPSRHSSEKKMGSGDHHKDKMRNRPLPLDKSKHSEKEKIKILSSHNGEKKNMWGHDHDKDKRGSMPLQWSKNEMKKRIMQNTCDAKEKKTWPSDRMEMKMHNCDIKEKKKNASLVLSKENKIQMLTNNKRKNTNLTVSNKEKKMRTDGKDNKEESDDRWKGHNEVPKVQSGDKEKKRNLPAFFKFIRNNFQEFLLLPPMIAPKLKDLTNRRVYLKDSEGKSSKIRLSVVEGSLAFYQGWKEFISDHSIKSGDFLLFEYIGKSTFSGMSTFSVRVFGIDSCERLSFNVERQVGKKEQKESHAPDDLVPCHGVRNSEDMNGHLYDSGEYLGSKGTKSKPNGYMNNHEIASCNLVFKSMNAASGTSHMAADSKEDLSRAVSGFECGPLVALDNKDGYLANGENKAKNIYPIFSKGKSKHDVIEITDEPPVAQETEDAAKLTTLDTASEMHDVTAITKLRRNEIISVNDAAPLAQEQADAVELKTFCRHIEDSSMMKESELKVTTATKCPEMHDSDEGLRRKQEGNAVKLKCTTDLDNPNNGKMHIIGNVCNNYEAPGGSQCLEKSKKAIVSGHGDLDSSGLIRPENRLKTEKKPVVNCSAIRLNPADESYLQPMAGTTFQLQTERGQPEPDINIVSRQRNNIPVRANHVVACQSEHNFLRQGDMKSSSHVTPVPLLPVKSEVLESDDHSGLKTGMQFCIPSTSQTWLELPNPLSNAVWQKQRQNRSVIMLKDPMKRLWPVFYHENSLFVGFTRGWESIVAANNLQTGDVCTLLKDLDEVEHVYHVEITRK* >Brasy4G158900.2.p pacid=40086113 transcript=Brasy4G158900.2 locus=Brasy4G158900 ID=Brasy4G158900.2.v1.1 annot-version=v1.1 MSGGVPELACRKRLRSCDVNVKKKEEGRKKMHSEEEEEEDEWMGMNYMKVFAAKSEKKRREMPTNLSDEEEEEETWDTDKTAKKMMHSGHGKATDRKASMATFEKVKKNKLVSSDGGEKKMQIGDHDRDKRKNMPFPFNKNKDPLKEKNWKMPSRHSSEKKMGSGDHHKDKMRNRPLPLDKSKHSEKEKIKILSSHNGEKKNMWGHDHDKDKRGSMPLQWSKNEMKKRIMQNTCDAKEKKTWPSDRMEMKMHNCDIKEKKKNASLVLSKENKIQMLTNNKRKNTNLTVSNKEKKMRTDGKDNKEESDDRWKGHNEVPKVQSGDKEKKRNLPAFFKFIRNNFQEFLLLPPMIAPKLKDLTNRRVYLKDSEGKSSKIRLSVVEGSLAFYQGWKEFISDHSIKSGDFLLFEYIGKSTFSGMSTFSVRVFGIDSCERLSFNVERQVGKKEQKESHAPDDLVPCHGVRNSEDMNGHLYDSGEYLGSKGTKSKPNGYMNNHEIASCNLVFKSMNAASGTSHMAADSKEDLSRAVSGFECGPLVALDNKDGYLANGENKAKNIYPIFSKGKSKHDVIEITDEPPVAQETEDAAKLTTLDTASEMHDVTAITKLRRNEIISVNDAAPLAQEQADAVELKTFCRHIEDSSMMKESELKVTTATKCPEMHDSDEGLRRKQEGNAVKLKCTTDLDNPNNGKMHIIGNVCNNYEAPGGSQCLEKSKKAIVSGHGDLDSSGLIRPENRLKTEKKPVVNCSAIRLNPADESYLQPMAGTTFQLQTERGQPEPDINIVSRQRNNIPVRANHVVACQSEHNFLRQGDMKSSSHVTPVPLLPVKSEVLESDDHSGLKTGMQFCIPSTSQTWLELPNPLSNAVWQKQRQNRSVIMLKDPMKRLWPVFYHENSLFVGFTRGWESIVAANNLQTGDVCTLLKDLDEVEHVYHVEITRK* >Brasy4G013200.1.p pacid=40086114 transcript=Brasy4G013200.1 locus=Brasy4G013200 ID=Brasy4G013200.1.v1.1 annot-version=v1.1 MSCSGQDSILCWFVCQCSGQNSAVSKRQAAMHHRSIKRKSWRGRSRGAERRSMAAEARDRRG* >Brasy4G134200.1.p pacid=40086115 transcript=Brasy4G134200.1 locus=Brasy4G134200 ID=Brasy4G134200.1.v1.1 annot-version=v1.1 MMIPARHMPSSMIGRSSAAYGSSSSALSLGQPNLLDSNHQQLHHQALLNHQIPAPAMAESGDNSGRRSMVRRRSEPLGEDFESRSGSENVDGDGVEDELQQQEQQADPNKRPRKQNRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRGENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAVPFPVLSNPLAAVGAYGHHPGADMFGELQLPMRPTGSDQNKGVVVELAVAAMEELLRMARLNEPLWAGPGVAGPMETLNEEEYARMFGGPRGGGLGPKQYGQLVSEASRESAVVILTPVNLVEILMDVNQYAAVFSSIVSRAATLEVLSTGVAGCYDGALQVMSVEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDTLQGIKCRRRPSGCLIQEAPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGALGRQCERLASVMASNIPNSDIGVITSSEGKKSMLKLAERMVASFCGGVTASVAHQWTRLSGSGAEDVRVMTRQSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSQWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDASGSYVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPAGTIHTGGGPSVTDGGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESSPQ* >Brasy4G134200.2.p pacid=40086116 transcript=Brasy4G134200.2 locus=Brasy4G134200 ID=Brasy4G134200.2.v1.1 annot-version=v1.1 MAESGDNSGRRSMVRRRSEPLGEDFESRSGSENVDGDGVEDELQQQEQQADPNKRPRKQNRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRGENDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAVPFPVLSNPLAAVGAYGHHPGADMFGELQLPMRPTGSDQNKGVVVELAVAAMEELLRMARLNEPLWAGPGVAGPMETLNEEEYARMFGGPRGGGLGPKQYGQLVSEASRESAVVILTPVNLVEILMDVNQYAAVFSSIVSRAATLEVLSTGVAGCYDGALQVMSVEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDTLQGIKCRRRPSGCLIQEAPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGALGRQCERLASVMASNIPNSDIGVITSSEGKKSMLKLAERMVASFCGGVTASVAHQWTRLSGSGAEDVRVMTRQSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSQWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDASGSYVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPAGTIHTGGGPSVTDGGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESSPQ* >Brasy4G317700.1.p pacid=40086117 transcript=Brasy4G317700.1 locus=Brasy4G317700 ID=Brasy4G317700.1.v1.1 annot-version=v1.1 MSTDREKEREAELEGAMYTNCLLLGLDPAVLGSPAGAASPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTTEYRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERISSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDTSSSVPHSELLSGRAGETSPILDKQEQISLFQGKEEALSRLDDRNGRAQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSITELSEEVNSVPSNPMDQTNSRQLLSVQNTGFGRPEESSSEVSEMTSKLSSTHIDKAGSSPSLKLPPLFSLTPSSSGKATQTQRRNALARQPSQEIMPEEKTLILPSTKDQANGSMNENDGYFAHDIRRSVREAALSKPLNNTESPQDKSSDDGSEHFFIPLSTGASRKDVGAVANRRKQKIGPSPKLPRSTSDVYFNSESPIHTIPALSSKLNGHDDPSSVSNFFDSVSGQSFMTDDALDQVFSPPLLSESSLFHDTYEDLLAPLSETDAALMEH* >Brasy4G089600.1.p pacid=40086118 transcript=Brasy4G089600.1 locus=Brasy4G089600 ID=Brasy4G089600.1.v1.1 annot-version=v1.1 MAADPSGRRPRYHTAVSDVFTTLFGASNALSDVQRRLDLELRSSYPDHANPVKLVGRVKRVQEEVAALKALCRDLLAQKQELVDMMRTSLAAQRGATQRLLAASGLPLMTEAAYANLNQVIEEWTAQLRPISVVDEEDEDTNQILVNAIV* >Brasy4G241300.1.p pacid=40086119 transcript=Brasy4G241300.1 locus=Brasy4G241300 ID=Brasy4G241300.1.v1.1 annot-version=v1.1 MGSLCCVAARPHGTSTASREWSSVGRSDPLWRTTTGYSPPLSRRWEYRINSEGLSYGSHVDSGVAANYGSSLSSNSKDASRSWERSEVQPDHRYSTSESAISYFNSPDVSFQNHHIMLPMLQDSSIDEYMRVSMAEPIGALLLSEGMPGQQNSGGSTSSRSDGSEYDIIPKSYSTPRNFPSRRSFLSKPIHPLSLPEHAQEGQETCSPVASTNSNNPLCSEFKGIGERSSPGLMDYASGSHEEPLDWSAPSSMDLTDFTERPEAECIAPFHPINIMDKTRCDLCERLLSKRSPWGSRRIVRTGDLPIAGVLPCCHVYHAECLERSTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCAQAGDCVAAAVQIPRPSGIALLGRSGHRRHGPSKGESGKDET* >Brasy4G079300.1.p pacid=40086120 transcript=Brasy4G079300.1 locus=Brasy4G079300 ID=Brasy4G079300.1.v1.1 annot-version=v1.1 MAALCSAASPAISRAAVLGLSARGGAISLLRLRLRAAATRSCYAAAAPRAAGAPSWRAQRRFAASAASTTEEDADVDTTIPPDDRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHLVIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDSKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKTDLVSETEVSSLVERIRSINRMANLKRAEYGKVDLDYVLGIGGFDLERIESAVSEEPHDDHAEHEHGHHHDHDHDHHHDHDHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPDRMWEPNEPRINKIVFIGRNLNREELETGFKDCLLKQ* >Brasy4G195600.1.p pacid=40086121 transcript=Brasy4G195600.1 locus=Brasy4G195600 ID=Brasy4G195600.1.v1.1 annot-version=v1.1 MKENATVFLQFFDQRKKHVVVSTAAEQKATYSAAAPSTSTLSFEFRSAAPTPARPAPSTNHQRQSKSSNTYRPCSCRRAAAAAMFLTKPHPSLLLPSVSLPNPNLNAAGRITPLHSSARSRRRHALHVSCSSSLATAARPASAAPAHPAAAALNRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLERVGVKPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISLAGATAVGLCGKDARVLTARPSPDAAALGFVGEVTRVDPAVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAIGAEKLLLITDVSGILADRDDPGSLVKEIDIAGVRRMVDEGKVGGGMIPKVECCVRALAQGVRTASIIDGRVPHSLLLEILTDEGTGTMITG* >Brasy4G002300.1.p pacid=40086122 transcript=Brasy4G002300.1 locus=Brasy4G002300 ID=Brasy4G002300.1.v1.1 annot-version=v1.1 MARNPCDIRFLLLAAAAAFIYIQVRLFSTQSHHSERLAEAEKSENKCTSQLRSMIDQVSAQQEKIVALEEMKLRQDEERVQLKILIQDLEKRSLQTLVNKDVQVPVAAVVIMACNRPDYLQRTVESILKYQTAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGEMTAYYKIAKHYKWALDQLFLKHSFGRVIILEDDMEIAPDFFDYFEAAAKLLDDDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFNQYLEPIKLNDVHIDWNSEDLSYLREDKFLIHFGKEVASATPLHGSDALLKAHNMDVDVRIQYDDQGDFERIARQFGIFEEWKDGVPRAAYKGVVMFRYKSSRRRIYLVGPDSLTQLGV* >Brasy4G002300.2.p pacid=40086123 transcript=Brasy4G002300.2 locus=Brasy4G002300 ID=Brasy4G002300.2.v1.1 annot-version=v1.1 MARNPCDIRFLLLAAAAAFIYIQVRLFSTQSHHSERLAEAEKSENKCTSQLRSMIDQVSAQQEKIVALEEMKLRQDEERVQLKILIQDLEKRSLQTLVNKDVVPVAAVVIMACNRPDYLQRTVESILKYQTAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGEMTAYYKIAKHYKWALDQLFLKHSFGRVIILEDDMEIAPDFFDYFEAAAKLLDDDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFNQYLEPIKLNDVHIDWNSEDLSYLREDKFLIHFGKEVASATPLHGSDALLKAHNMDVDVRIQYDDQGDFERIARQFGIFEEWKDGVPRAAYKGVVMFRYKSSRRRIYLVGPDSLTQLGV* >Brasy4G002300.3.p pacid=40086124 transcript=Brasy4G002300.3 locus=Brasy4G002300 ID=Brasy4G002300.3.v1.1 annot-version=v1.1 MARNPCDIRFLLLAAAAAFIYIQVRLFSTQSHHSERLAEAEKSENKCTSQLRSMIDQVSAQQEKIVALEEMKLRQDEERVQLKILIQDLEKRSLQTLVNKDVVPVAAVVIMACNRPDYLQRTVESILKYQTAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGEMTAYYKIAKHYKWALDQLFLKHSFGRVIILEDDMEIAPDFFDYFEAAAKLLDDDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFNQYLEPIKLNDVHIDWNSEDLSYLREDKFLIHFGKEVASATPLHGSDALLKAHNMDVDVRIQYDDQGDFERIARQFGIFEEWKDGVPRAAYKGVVMFRYKSSRRRIYLVGPDSLTQLGV* >Brasy4G002300.4.p pacid=40086125 transcript=Brasy4G002300.4 locus=Brasy4G002300 ID=Brasy4G002300.4.v1.1 annot-version=v1.1 MKLRQDEERVQLKILIQDLEKRSLQTLVNKDVQVPVAAVVIMACNRPDYLQRTVESILKYQTAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGEMTAYYKIAKHYKWALDQLFLKHSFGRVIILEDDMEIAPDFFDYFEAAAKLLDDDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFNQYLEPIKLNDVHIDWNSEDLSYLREDKFLIHFGKEVASATPLHGSDALLKAHNMDVDVRIQYDDQGDFERIARQFGIFEEWKDGVPRAAYKGVVMFRYKSSRRRIYLVGPDSLTQLGV* >Brasy4G002300.5.p pacid=40086126 transcript=Brasy4G002300.5 locus=Brasy4G002300 ID=Brasy4G002300.5.v1.1 annot-version=v1.1 MKLRQDEERVQLKILIQDLEKRSLQTLVNKDVVPVAAVVIMACNRPDYLQRTVESILKYQTAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGEMTAYYKIAKHYKWALDQLFLKHSFGRVIILEDDMEIAPDFFDYFEAAAKLLDDDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFNQYLEPIKLNDVHIDWNSEDLSYLREDKFLIHFGKEVASATPLHGSDALLKAHNMDVDVRIQYDDQGDFERIARQFGIFEEWKDGVPRAAYKGVVMFRYKSSRRRIYLVGPDSLTQLGV* >Brasy4G084500.1.p pacid=40086127 transcript=Brasy4G084500.1 locus=Brasy4G084500 ID=Brasy4G084500.1.v1.1 annot-version=v1.1 MCVEGFEDAERFDFGAAEIERPADFVMERVCENMVSLDLKQTKMVSGIGESWHNFVPDIRSGDWSDIGGRDYMEDAHVCISNLANNFGCNKADDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAEKCSRHDALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGAAIEMSKDHRTCCLNERKRVESLGGYVDDGYLNGQLAVTRALGDWHLDGLKEMGEPGGPLSAEPELKMITLTKDDEFLLIGSDGIWDYFSNQNSVDFARRRLQEHNDLRLCCKEIVEEAIRRGATDNLTAVMVSFHQEAPPQIRVNRTGRVERTISAEGLHSLRVLLEGK* >Brasy4G008300.1.p pacid=40086128 transcript=Brasy4G008300.1 locus=Brasy4G008300 ID=Brasy4G008300.1.v1.1 annot-version=v1.1 MASSSPYLETDENLEAIISRIEQKSRKIETHLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDIDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV* >Brasy4G079900.1.p pacid=40086129 transcript=Brasy4G079900.1 locus=Brasy4G079900 ID=Brasy4G079900.1.v1.1 annot-version=v1.1 MELKFEAPRKNEFLALDKYNVNGKDESIPVRNDYPRHPLPCFSTEDFDLKKVEEFMLERAVMIAKSRANDIIIKDPDPQWVSAALLDTYDELEPILVKDNVRCFLRLFENSAGKGMSSNLTVTSQTLTFIVSFNALRCAKLVLEGMAPELRGMHANPNCINRYGYFPIHEAAERFAVDMIKLLLRHGASANVRTVGGNVIENLLPLHVAVENTCLHKYLEDNLSLSQNHLDYIYKLIHLLCLPEMKIFLDTTRLLAEKTNNLLQELWKYIEDGKIIQSAVLLLAAQEQIRRGSSSKINGSSKTNGFDIINKCIMRLSFSLKWEKGSHGMAQELLEERKTLTDCAWLLVDVFSHAGEDLSAYIKAHSEVPHMEVFQHVSSILKEYGFCPTGDTMDTIKLRPYDCRKSDGAPCKGPVDANMAVMGTANLDAAGKKAVRTKVGGGWDPTYTKRSFYPFWRSVLQARFPKLYPAYASEDPWSGRKPGQLCVPLQKANGSGSTPTPNHKFGLVQRVLPRRSNDQPRRCFITTATGAFRLLKVLK* >Brasy4G079900.2.p pacid=40086130 transcript=Brasy4G079900.2 locus=Brasy4G079900 ID=Brasy4G079900.2.v1.1 annot-version=v1.1 MELKFEAPRKNEFLALDKYNVNGKDESIPVRNDYPRHPLPCFSTEDFDLKKVEEFMLERAVMIAKSRANDIIIKDPDPQWVSAALLDTYDELEPILVKDNVRCFLRLFENSAGKGMSSNLTVTSQTLTFIVSFNALRCAKLVLEGMAPELRGMHANPNCINRYGYFPIHEAAERFAVDMIKLLLRHGASANVRTVGGNVIENLLPLHVAVENTCLHKYLEDNLSLSQNHLDYIYKLIHLLCLPEMKIFLDTTRLLAEKTNNLLQELWKYIEDGKIIQSAVLLLAAQEQIRRGSSSKINGSSKTNGFDIINKCIMRLSFSLKWEKGSHGMAQELLEERKTLTDCAWLLVDVFSHAGEDLSAYIKAHSEVPHMEVFQHVSSILKEYGFCPTGDTMDTIKLRPYDCRKSDGAPCKGPVDANMAVMGTANLDAAGKKQHQAALPTSCGSANGSLTGAQSDGFVLQS* >Brasy4G079900.3.p pacid=40086131 transcript=Brasy4G079900.3 locus=Brasy4G079900 ID=Brasy4G079900.3.v1.1 annot-version=v1.1 MELKFEAPRKNEFLALDKYNVNGKDESIPVRNDYPRHPLPCFSTEDFDLKKVEEFMLERAVMIAKSRANDIIIKDPDPQWVSAALLDTYDELEPILVKDNVRCFLRLFENSAGKGMSSNLTVTSQTLTFIVSFNALRCAKLVLEGMAPELRGMHANPNCINRYGYFPIHEAAERFAVDMIKLLLRHGASANVRTVGGNVIENLLPLHVAVENTCLHKYLEDNLSLSQNHLDYIYKLIHLLCLPEMKIFLDTTRLLAEKTNNLLQELWKYIEDGKIIQSAVLLLAAQEQIRRGSSSKINGSSKTNGFDIINKCIMRLSFSLKWEKGSHGMAQELLEERKTLTDCAWLLVDVFSHAGEDLSAYIKAHSEVPHMEVFQHVSSILKEYGFCPTGDTMDTIKLRPYDCRKSDGAPCKGPVDANMAVMGTANLDAAGKKHQAALPTSCGSANGSLTGAQSDGFVLQS* >Brasy4G392400.1.p pacid=40086132 transcript=Brasy4G392400.1 locus=Brasy4G392400 ID=Brasy4G392400.1.v1.1 annot-version=v1.1 MSSTRLQLWRGSLSPTSILVSCSPATAPSLLAWTALSTYMYITLVALGVFGLGPSSRSISLLLASSRMGGPASFSVRSPWWRRKETFRSGVSFVNKLGGAPFCSVLARTGRHGGGGGADLSGSELLGKMVKLRLHLFVEAHPQRCQDGGRRLAVVSIGRLSGCSKTWCCSASALSSSRTMCLKGGSSTSVRRSTPASSQVVLSPATVRVAVLGSVSGRMVEKDPIVI* >Brasy4G109700.1.p pacid=40086133 transcript=Brasy4G109700.1 locus=Brasy4G109700 ID=Brasy4G109700.1.v1.1 annot-version=v1.1 MDIFLRIFSSFRLGSLPILIPPVAAQVKKRGGSFSTTVPNPSTTSRCGAPTLAPNPTVLSLASGEEDAMDTSGGGGVAGGAAQIQGMATHGGRYVLYNVYGNLFEVASKYAPPIRPIGRGAYGIVCAAVSSDTGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDDFKDVYIVTELMDTDLHQIIRSNQSLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIITRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSDGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHMKELIWRETLAFNPDPPY* >Brasy4G275000.1.p pacid=40086134 transcript=Brasy4G275000.1 locus=Brasy4G275000 ID=Brasy4G275000.1.v1.1 annot-version=v1.1 MGFVLELVLFSVSFIVFTAGIIYMLVELLSEPGTGLGVAVVSFFLLVWLMMGAKVCKHNYFEHPYIYEESALGRCVAKVSGASLWCLRGAGRLLCLPCPCERAELAKLRCLSILVRTCPALASLEACFDFVFHLFFGVLLIVSAIGGICTYVALLLGVLSLLLEPVVGIPVAVVSVFLLVWFIVSSKLCQEHAFFDLSYQWLAAVGQWLGKVLGTPLWCLRGVGRLLCLRPSQWGCPSIVDGGPTSVALPEFVVQINSQLDIPAYEQQQEEDDRGRAGGASECAVCLGEVENGEMVKRLPSCRHIFHQHCVDLWLRDHTTCPVCRCSVFAPLPSQMV* >Brasy4G251300.1.p pacid=40086135 transcript=Brasy4G251300.1 locus=Brasy4G251300 ID=Brasy4G251300.1.v1.1 annot-version=v1.1 MDKHLVLAVAAATEEAVKDDPAARGGGKGNKLDRVGVPLGMLAAQFIKTAMVLLYKLALNDGMPPFVLITYRSLIGAAVVAPMAVICEREMF* >Brasy4G106000.1.p pacid=40086136 transcript=Brasy4G106000.1 locus=Brasy4G106000 ID=Brasy4G106000.1.v1.1 annot-version=v1.1 MAPLLALIMCLLLFSALPCCAAAASPSRSSTPSAAPTPLVPALFVVGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAEKLGLPFVPPYLEQSMRTGVSSVGLGNIDGMIQGVNYASAAGGILSSSGSDLGMHVSLTQQVQQVEDTYEQLALALGEAATTDLFKRSVFFVSIGSNDFIHYYLRNVSGVQMHYLPWEFNQLLVNEMRQAIKNLYNINVRKVVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQYPDSMISYCDTFEGSVDILENRDRYGFVTITDACCGLGKYGGVFICVLPQMACSDASSHVWWDEFHPTDAVNRILAENVWSGEHTKMCYPVDLQEMVKLKQ* >Brasy4G106000.2.p pacid=40086137 transcript=Brasy4G106000.2 locus=Brasy4G106000 ID=Brasy4G106000.2.v1.1 annot-version=v1.1 MAPLLALIMCLLLFSALPCCAAAASPSRSSTPSAAPTPLVPALFVVGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAEKLGLPFVPPYLEQSMRTGVSSVGLGNIDGMIQGVNYASAAGGILSSSGSDLGMHVSLTQQVQQVEDTYEQLALALGEAATTDLFKRSVFFVSIGSNDFIHYYLRNVSGVQMHYLPWEFNQLLVNEMRQAIKNLYNINVRKVVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQYPDSMISYCDTFEGSVDILENRDRYDGVQRRIKPCLVG* >Brasy4G239800.1.p pacid=40086138 transcript=Brasy4G239800.1 locus=Brasy4G239800 ID=Brasy4G239800.1.v1.1 annot-version=v1.1 MFVTMIIWFCKDSYHQYLFLQISCIIIVPNRLDGSTPSSERQNLVERFNEPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEESLDQCCDGSTIIDHTAVGTEKLSTSSSKTTELPVDKLMLNLLSDHSRWIAGYHEHEALLQENEDERLTKEEQDMAWSSFKKAQQLDAVPRKSHDPERKTNVIALPTQTNLVPPKVTSRSRQPQQPKTNSNQKKCTNLTHLLTLRSHGTKAGCTTSCTECGQDISWETLNRDGGRLR* >Brasy4G067700.1.p pacid=40086139 transcript=Brasy4G067700.1 locus=Brasy4G067700 ID=Brasy4G067700.1.v1.1 annot-version=v1.1 MASKPGPLTRWPWHDLGNYKYALVAPWAVYSTYSFVAASRRGAQGDLLSFFVLPALLLRLLYTQLWISVSRHQTARSKHRIVSKSLDFDQVDRERNWDDQIILTALLFYVVNATVPMTQGLPWWNSKGLLVTALLHVGPVEFLYYWFHRALHHHYLYSRYHSHHHASIVTEPVTSVIHPFAEEAVYFTLFAIPLLSTMATGTASVAMANGYLVYIDFMNYLGHCNFELVPKFLFDLFPPLKLLMYTPSFHSLHHTQFRTNYSLFMPLYDYVYGTMDKSSDDLYERTLHGREEDRPDVVHLTHLAAPESVLQLRLGFASLAAAPLAFSSSLLGALWTRPLVALASALGRGRAFRSEANRMGKLNVETWVVPRYSSQYTTDVYGVSRLIEKAVSDAEASGAAVLTLGLLNQGYELNRNGELYVIRNPGLKTKIVDGTSLAVAAVLHMIPRGAKDVLLLGKPSKVVSVLALTLCEREIQVGVVDEELHDALRSQLRPEMQRCLVLQPRNYGSKVWLVGDGLTDRDQEKAQPGVHFVPYSQFPPSRSARREDCVYHSTPALVVPNSYENLHACENWLPRRVMSAWRAAGVVHALEKWDGHECGDAVTGVDKAWRAALAHGFKPYDDAAAAKQG* >Brasy4G067700.2.p pacid=40086140 transcript=Brasy4G067700.2 locus=Brasy4G067700 ID=Brasy4G067700.2.v1.1 annot-version=v1.1 MASKPGPLTRWPWHDLGNYKYALVAPWAVYSTYSFVAASRRGAQGDLLSFFVLPALLLRLLYTQLWISVSRHQTARSKHRIVSKSLDFDQVDRERNWDDQIILTALLFYVVNATVPMTQGLPWWNSKGLLVTALLHVGPVEFLYYWFHRALHHHYLYSRYHSHHHASIVTEPVTSVIHPFAEEAVYFTLFAIPLLSTMATGTASVAMANGYLVYIDFMNYLGHCNFELVPKFLFDLFPPLKLLMYTPSFHSLHHTQFRTNYSLFMPLYDYVYGTMDKSSDDLYERTLHGREEDRPDVVHLTHLAAPESVLQLRLGFASLAAAPLAFSSSLLGALWTRPLVALASALGRGRAFRSEANRMGKLNVETWVVPRYSSQYTTDVYGVSRLIEKAVSDAEASGAAVLTLGLLNQGYELNRNGELYVIRNPGLKTKIVDGTSLAVAAVLHMIPRGAKDVLLLGKPSKVVSVLALTLCEREIQVGVVDEELHDALRSQLRPEMQRCLVLQPRNYGSKVWLVGDGLTDRDQEKAQPGVHFVPYSQFPPSRSARREDCVYHSTPALVVPNSYENLHACEVTPLFPFLRRTVQC* >Brasy4G131600.1.p pacid=40086141 transcript=Brasy4G131600.1 locus=Brasy4G131600 ID=Brasy4G131600.1.v1.1 annot-version=v1.1 MEQFGWGREEGGWRKGPWTAQEDKLLLEYVRQQGDGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEERVILELHGLWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPTSKNIERARARFLKQRREIMLHGHPPGQDDGEDVAASVDNTGCATAAAAASHDADEATVRPAAPTTDKDMEVIMPDMDEFLQYHPMSAYFLQGCCVDGATSASASDAGSSGEIDGGATWGSLWNLDDDDVILDDVDVVGACCWDSFGLAFY* >Brasy4G054200.1.p pacid=40086142 transcript=Brasy4G054200.1 locus=Brasy4G054200 ID=Brasy4G054200.1.v1.1 annot-version=v1.1 MTPPVALRNELEGISRSARVDLFRGVSCNIEKEVLATLANGQDSHASETNAGFRVTDIRLPSGDAYSGTLLGSTPEGSGRYTWSDGCIYDGEWRRGMRHGQGKTTWPSGATYEGEYAGGYIYGEGTYIGHDNIVYKGRWKLNRKHGLGCQTYPNGDMFQGSWIQGEIQGHGKYTWGNGNTYTGNMKNGKMSGKGTFTWKNGDSYEGNWLDGMMHGYGIYTWNDCGYYVGTWTRGLKDGKGTLYPRGCRVPASDELYINNLRNRGVLPDMRRQNHGSRILHSSSVDMGNMKVGLNRGSSGSSSRRNSSEQPKNVSLERRWSLEVAIEKFIGHETSDNSGLESYENTDDSDLPILEREYMQGVLISEVVLNRSFSGSSKKAKRRQKKMVTKKPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKQGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNAALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYCFYLEPSWREALLKQIQTDSEFLKSQRIMDYSLLLGVHYRAPQHLRTRASYRRSMTAERLTVLSEEDAQEDDAFNYPEGLVLVQRGNDENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARVQIQLGVNMPARAEQIPKEDESKSFYEVYDVVLYLGIIDILQEYNITKKIEHAVKSLQYDSVSISAVDPEFYSERFLKFIQTVFPENS* >Brasy4G259600.1.p pacid=40086143 transcript=Brasy4G259600.1 locus=Brasy4G259600 ID=Brasy4G259600.1.v1.1 annot-version=v1.1 MATIISSLVGSCAKKLQDIITEKAILILGVKEELRELQGTMNQVQCFLHDAEQRRIEESAVNNWLCELQDAMYAADDIIDSARIEGRKLLEDHSFSSRTSTGCSGISVLSCFPNIQRRHDIAIEIRKLNTRIEKISKLGKKFLTRSDAAPTGQGSTSKPRKSSHLVEPNLVGKEMIHSTRKLVELMLAHKENKAYKLAIVGTGGVGKTTLAQKVYNDQRIKGNFEKHAWICVSREYSEVTLLKEVLRNIGVQKGYGETIAELQSKLAETIVDKSFFLVLDDVWQFNVWTDVLRTPLHAAAAGVILLTTRNDTIAMKIGVEHTHRVDLMPIEVGWELLWKSMNINEENELRNLRNIGFEIVRKCGCLPLAIKVTASVLASRDQTENEWKKILRKHAWSHSKLPTEIEGALYLSYDELPHHLKQCFLYCALYPEGYTLTVMSLSDYGLLKAS* >Brasy4G310000.1.p pacid=40086144 transcript=Brasy4G310000.1 locus=Brasy4G310000 ID=Brasy4G310000.1.v1.1 annot-version=v1.1 MEGSTNPEHVIGIPVNSTAYGIEEPEFPAEEATPDHGGFVGSFAQSNNDSNSSRTAPDQTSEVRRKGGKKKIAQGIKEHVTLGPNLSETVKGKLTLAAGILQAGGVEKVFRQWFSVDKNERLIRASQCYLSTTAGPIAGLLFVSTERVAFRSDRPLAVTAPHGEKLRVPYKVTIPLRKVRRAVPTENKHKPEQRYIEVVTNDGFEFWFMGFVSYHRSLHHLEQAVAQAAR* >Brasy4G239700.1.p pacid=40086145 transcript=Brasy4G239700.1 locus=Brasy4G239700 ID=Brasy4G239700.1.v1.1 annot-version=v1.1 MMAAVLSLRFARQVVAGRWFMVLACLLILSSSGATYAFGIYSTALKSSLGYDQRTLNTLSFFKDLGSNVGVLSGLLNEVAPPSAVLAVGAAMNLAGYLMVYLDIDRRTARPPLWLMCAYLCAGANSQSFAGTGALVTCVKSFPGSRGVVLGLLKGYVGLSSGIFTQLYLAVYGDDDAKSLVLLIAWLPAAVSVVFLHTVRVTSRRGGGDDDEEGAFFCFLYISIAIAAYILVMVVVQKQTGFSHAAYSVSAAGLLLILFLPLLTVVVRQEYKEPLREKPPPAAALQLQVATASAKTSSSCFGGNWNCFNNMFSPPAKGEDYSILQALVSVDMLLLFLTTICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLVSIWNYAGRVTAGFASEALLARCRFPRPLMLTLVLLLSCAGHLLIALGVPRSLYAASVIVGFCFGAQWPLIYAIISELFGLKYYSTIYNLGALASPVGAYLLNVRVAGQLYDAEAARQHGGTLAGRGDKTCVGVRCFRESFLIITAATAAGALVSLLLVWRTWGFYKGDIYAKFWNTPPESSSSSVSDLAREQRTKEADEDQSTAVNSKRASVEGEGIR* >Brasy4G313400.1.p pacid=40086146 transcript=Brasy4G313400.1 locus=Brasy4G313400 ID=Brasy4G313400.1.v1.1 annot-version=v1.1 MAWSGRPAGPNLRKSAPPPSCYARSKMKMKGAISCAKANVAGTHKLTHCKSPWTGKRLPHTAAQVRLTARLDQYWRPDCEFNSADFELFLTLFGLICCSRPDSKHRPVLPTWRGDTSLLPAS* >Brasy4G228900.1.p pacid=40086147 transcript=Brasy4G228900.1 locus=Brasy4G228900 ID=Brasy4G228900.1.v1.1 annot-version=v1.1 MAGLRRRRSGRSTSAPLGLAPARLPHAVQMNSSTNNRERERERERERERGAGDGLQQETDSVEAALLACCCYSVPSPPRRRIIGKNGIEVILVAASPSCPRRSTARASKMAGARDLTAVLTMEAEHGARLAPNSAFPVMSEEELHDALFAHAQQLCSSPRARQPSPASRPPSSPSSTTSPAASSTSATSAARTPPPHDRRSHRPDRAGSTSLHATAAGHLRRLPPRGGRLPPPPPLSARIPSIVHQEDKGGAAWGGGGDDGADYDEVSDPSDLLRYGVKVQVPLLGSKLVHMACVPTADFTRRDGGGVPPRVSHQLLDPDGKPDGNLNFAYCLRHHGRRGVYFVSSKPRRSSSRPSTAPRSPSSATAGTNATSAASPSCTCSPWTRVATMSSVRSRPDRRRPSRWAHQSSAPPPVFLARKHPPSSSSSRS* >Brasy4G080700.1.p pacid=40086148 transcript=Brasy4G080700.1 locus=Brasy4G080700 ID=Brasy4G080700.1.v1.1 annot-version=v1.1 MTPPPSPPPPAAADALAQILHALLPPLLLAASSARALHSRWRALHGTLLALQSSLASAPPSAAAQPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGLLSVDSSSSPNAIVLQVPAAGASRADKSLFIWDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVATDGDVAALLRMLDASAHSVLRDRAAATVALLATASAASRKVVFDEGGLGPLLRVLDSCSAPATRERALVAIEAITADAGSAWAVSAYGGVPILINASRPGSGSLAVQALAVAALNNVVSIEDVRSALVEEGGLPVLVDLLASGTTDTQKSAALCLQSIASMGDLQTQQQIVQDGALPALLQALHTTIDLVLQDCVLRAIHTLIAVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVADLAPGISDETKRFMAPCVRSLVKMMQVAKPASVQESACQALLTLMTLKSNRKELVRDEKNLTRLVQMLDSRNEEIDKKYPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKVLQRISGNRFKSLLSRGWNS* >Brasy4G080700.2.p pacid=40086149 transcript=Brasy4G080700.2 locus=Brasy4G080700 ID=Brasy4G080700.2.v1.1 annot-version=v1.1 MTPPPSPPPPAAADALAQILHALLPPLLLAASSARALHSRWRALHGTLLALQSSLASAPPSAAAQPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGLLSVDSSSSPNAIVLQVPAAGASRADKSLFIWDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVATDGDVAALLRMLDASAHSVLRDRAAATVALLATASAASRKVVFDEGGLGPLLRVLDSCSAPATRERALVAIEAITADAGSAWAVSAYGGVPILINASRPGSGSLAVQALAVAALNNVVSIEDVRSALVEEGGLPVLVDLLASGTTDTQKSAALCLQSIASMGDLQTQQQIVQDGALPALLQALHTTIDLVLQDCVLRAIHTLIAVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVADLAPGISDETKRFMAPCVRSLVKMMQVAKPASVQESACQALLTLMTLKSNRKELVRDEKNLTRLVQMLDSRNEEIDKKYPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKVLQRISGNRFKSLLSRGWNS* >Brasy4G080700.3.p pacid=40086150 transcript=Brasy4G080700.3 locus=Brasy4G080700 ID=Brasy4G080700.3.v1.1 annot-version=v1.1 MTPPPSPPPPAAADALAQILHALLPPLLLAASSARALHSRWRALHGTLLALQSSLASAPPSAAAQPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGLLSVDSSSSPNAIVLQVPAAGASRADKSLFIWDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVATDGDVAALLRMLDASAHSVLRDRAAATVALLATASAASRKVVFDEGGLGPLLRVLDSCSAPATRERALVAIEAITADAGSAWAVSAYGGVPILINASRPGSGSLAVQALAVAALNNVVSIEDVRSALVEEGGLPVLVDLLASGTTDTQKSAALCLQSIASMGDLQTQQQIVQDGALPALLQALHTTIDLVLQDCVLRAIHTLIAVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVADLAPGISDETKRFMAPCVRSLVKMMQVAKPASVQESACQALLTLMTLKSNRKELVRDEKNLTRLVQMLDSRNEEIDKKYPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKVLQRISGNRFKSLLSRGWNS* >Brasy4G080700.5.p pacid=40086151 transcript=Brasy4G080700.5 locus=Brasy4G080700 ID=Brasy4G080700.5.v1.1 annot-version=v1.1 MTPPPSPPPPAAADALAQILHALLPPLLLAASSARALHSRWRALHGTLLALQSSLASAPPSAAAQPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGLLSVDSSSSPNAIVLQVPAAGASRADKSLFIWDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVATDGDVAALLRMLDASAHSVLRDRAAATVALLATASAASRKVVFDEGGLGPLLRVLDSCSAPATRERALVAIEAITADAGSAWAVSAYGGVPILINASRPGSGSLAVQALAVAALNNVVSIEDVRSALVEEGGLPVLVDLLASGTTDTQKSAALCLQSIASMGDLQTQQQIVQDGALPALLQALHTTIDLVLQDCVLRAIHTLIAVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVADLAPGISDETKRFMAPCVRSLVKMMQVAKPASVQESACQALLTLMTLKSNRKELVRDEKNLTRLVQMLDSRNEEIDKKYPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKVLQRISGNRFKSLLSRGWNS* >Brasy4G080700.4.p pacid=40086152 transcript=Brasy4G080700.4 locus=Brasy4G080700 ID=Brasy4G080700.4.v1.1 annot-version=v1.1 MTPPPSPPPPAAADALAQILHALLPPLLLAASSARALHSRWRALHGTLLALQSSLASAPPSAAAQPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGLLSVDSSSSPNAIVLQVPAAGASRADKSLFIWDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVATDGDVAALLRMLDASAHSVLRDRAAATVALLATASAASRKVVFDEGGLGPLLRVLDSCSAPATRERALVAIEAITADAGSAWAVSAYGGVPILINASRPGSGSLAVQALAVAALNNVVSIEDVRSALVEEGGLPVLVDLLASGTTDTQKSAALCLQSIASMGDLQTQQQIVQDGALPALLQALHTTIDLVLQDCVLRAIHTLIAVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVADLAPGISDETKRFMAPCVRSLVKMMQVAKPASVQESACQALLTLMTLKSNRKELVRDEKNLTRLVQMLDSRNEEIDKKYPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKVLQRISGNRFKSLLSRGWNS* >Brasy4G086900.1.p pacid=40086153 transcript=Brasy4G086900.1 locus=Brasy4G086900 ID=Brasy4G086900.1.v1.1 annot-version=v1.1 MRPEEMRGVAARGRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTATNQAVIALMMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSGNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSTPDYVNLDTYEECNKPPNADSGYVYSQVTCGSPDQNGRPNKKRKEYHSEEQGEDEESNGQDDDDPSAPKRPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQAGMVASLGGKDHFLRMGAFEGLQGYQAFTSPAALPSFSAHGLLNSPRNNQTALVIQGVPSSRSIQTASGSSTTNHSIVDANKYHLSLRGNQQGNLAQGLVTSLGQAQLQQKFINEEIGDLSTILSGNSRANGTPGMLQSVTSSPLLPQDLVEFTQGKVDIQPSIRAPSVRLEHLEGAVGASSGLLESRVSQQCATPLSGYSASRLLTNSSFNNNGATRLCGTTSSAAARDTIVGASSFGRPLPSDTNQKYLNFRSGNGFRQKINERSGDSLLDPRLVWSSSQLTSNFGLGAHHSMSQRTNNDSINSSHCARMTGQTSAPVVVPQTKLDALFSEDLQMLRNASDLSIPRLQSELSSSTCSFDGLLNSIIKVEKDDISFGDDLGCDFYSLGACI* >Brasy4G185100.1.p pacid=40086154 transcript=Brasy4G185100.1 locus=Brasy4G185100 ID=Brasy4G185100.1.v1.1 annot-version=v1.1 MPSWPPSTSDDPDGGRRKQQQGQQGFCGKYFSVKSFLLLALVTVLLLMLPLVLPPLPPPPAMLLLVPVAMMAALLALALMPAGGRNDLADPTSYL* >Brasy4G406100.1.p pacid=40086155 transcript=Brasy4G406100.1 locus=Brasy4G406100 ID=Brasy4G406100.1.v1.1 annot-version=v1.1 MSMISSMLLGRKQPPPPPPPPQHQPGQKTTTANGTGSEAAEPPLSIDILEQPPFTDEGGLSLAAMLGCLGGGGLSSSLSPASMDWKETATAHVFMADVPGLRKEDVKVEVGEEKLLRISGQRAARAVDVKGDRWHRVERGERFSRTVRLPPNASTDGAGVHASLDNGVLTVTIPKDDGRKAFGRIIPITN* >Brasy4G074000.1.p pacid=40086156 transcript=Brasy4G074000.1 locus=Brasy4G074000 ID=Brasy4G074000.1.v1.1 annot-version=v1.1 MGSIRLSAWAAASSGSAARGFPPSPYPSDWSGRERGRRGRAHCAPPSVPPPPSLGASSRLRVGTQHELLWDCRGGGLGGGGRRDYAKEMEAAVRVVKVACTLCQRVQDSLLLANPAGSGSNSGGVHSKLDRSPVTVADWGVQAIVSWLLSDFFRDESVSIVAEENDQTLSSSDGTALLESVVAAVNGCLVEAPKYGLRSPEKDLRASDVLQAIRKCSSAGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVAPPASGSWNKGCVMYAHKGCGQAWMQPLVHDFGMLNWHNSREIQVSSVSDPVSATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVITDAGGCPLDFSRGVYLEGLDRGIIACSGALLHQRILEAVDASWNSSTL* >Brasy4G385100.1.p pacid=40086157 transcript=Brasy4G385100.1 locus=Brasy4G385100 ID=Brasy4G385100.1.v1.1 annot-version=v1.1 MAGGGGVEAGQQVQLDGVDSNGEFLHGEEGEEGEEGELGLGGGGRQWEGVEEVGRGGSREGRMWEGVEEVGRGGGGRRRGGEGGEGEKVGEGAGKEGAGRRWTAAGRRARGRRWTAAGRRRRGGGRGGGGRGGGGGRRRAGGGGEEGAGEEGAGRRWTAAGRRARGRRWTAAGRRRRGGGRGGGGGRRRAGGGGEEGAGEEGAGRRWTAAGRRERGRRWTAAGRRRRGGGRGGGGGRRRAGGGVVGAGAKAGAKRGQWSVAARVFPNRPGLN* >Brasy4G248700.1.p pacid=40086158 transcript=Brasy4G248700.1 locus=Brasy4G248700 ID=Brasy4G248700.1.v1.1 annot-version=v1.1 MAPRSEHAAGSAAAQVHGSEFESMDPLFHVLRVVPFSFLQPPRTRLKVPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSSQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILLDLAVDRTRPRSLRISFGGSGIAAVVIAYAMAMLFLRIKIPGYLW* >Brasy4G331200.1.p pacid=40086159 transcript=Brasy4G331200.1 locus=Brasy4G331200 ID=Brasy4G331200.1.v1.1 annot-version=v1.1 MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTRLVLLERMVKQLFPEAPCQNWPPTAVQPIWKTVWETKNSSLREGVFRTTCDERLIDALPPESHNARVAFLTPKSVSPEKMACVVHLAGTGDHSFERRLRLGAPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQSEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWDALMEDAAALAQDATSLTEDAAQKSGITIEQVKDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMQLQKAWPGSEVRWVTGGHVSSFLLHNDSFRKAIVDGLDRL* >Brasy4G331200.2.p pacid=40086160 transcript=Brasy4G331200.2 locus=Brasy4G331200 ID=Brasy4G331200.2.v1.1 annot-version=v1.1 MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTRLVLLERMVKQLFPEAPCQNWPPTAVQPIWKTVWETKNSSLREGVFRTTCDERLIDALPPESHNARVAFLTPKSVSPEKMACVVHLAGTGDHSFERRLRLGAPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQSEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWDALMEDAAALAQDATSLTEDAAQKSGITIEQVKDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMQLQKAWPGSEVRWVTGGHVSSFLLHNDSFRKAIVDGLDRL* >Brasy4G331200.3.p pacid=40086161 transcript=Brasy4G331200.3 locus=Brasy4G331200 ID=Brasy4G331200.3.v1.1 annot-version=v1.1 MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTRLVLLERMVKQLFPEAPCQNWPPTAVQPIWKTVWETKNSSLREGVFRTTCDERLIDALPPESHNARVAFLTPKSVSPEKMACVVHLAGTGDHSFERRLRLGAPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQSEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWDALMEDAAALAQDATSLTEDAAQKSGITIEQVKDRMTVTSPDTPSCSSRKRGRARRSGG* >Brasy4G331200.4.p pacid=40086162 transcript=Brasy4G331200.4 locus=Brasy4G331200 ID=Brasy4G331200.4.v1.1 annot-version=v1.1 MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTRLVLLERMVKQLFPEAPCQNWPPTAVQPIWKTVWETKNSSLREGVFRTTCDERLIDALPPESHNARVAFLTPKSVSPEKMACVVHLAGTGDHSFERRLRLGAPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQSEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWDALMEDAAALAQDATSLTEDAAQKSGITIEQVKDRMTVTSPDTPSCSSRKRGRARRSGG* >Brasy4G434100.1.p pacid=40086163 transcript=Brasy4G434100.1 locus=Brasy4G434100 ID=Brasy4G434100.1.v1.1 annot-version=v1.1 MAGIMVSASTGAMNSLLGKLATLMGEEFARLKNLRKEVKFITDELINMKDALERLADVDELDPQTKRWRNTLREMSYDMEDIVDDFMQKIGEKDKKSWFVRKTIRRLKTSRFRHQIAGQIEEIKKLVVETSDRRKRYELDNIICPSSNVVVIDPRVTVLYENTASLVGVEGPADELVDLLKDEDNQLKVVSIVGFGGLGKTTLANVVYGRLKGEFNCCAFVPVSQKPDILKLLCSLLSQLGIRPYSHDCELNVLLDNLREHLQNKRYLIIIDDLWSVSAWVIIKCAFSENDLGSRVIVTTRIQEVAAECCSHRRNYILQMKPLSNEDSSRLFFDRIFGSEQACPQQLTEVSAEILTKCGGLPLAIISVSSMLASEGSNQKERWKHVRDSLGSGTNLTLEGVRKILNLSYKDLPPRLKTCLLYLGMYPEDYRIYRSGLERQWMAEGFIGIENEQDVEKAARNYFNELVNRSLIQPVEFDDRGSVTHCRVHDMMLDLILRKSAEENFLTVVDGPQDFARLEYKVRRLSIRLDGASSGQTILPKNTSVSQVRSVMFFGSSQNTPSLSEFKFLRVLFSDFPEVDLTGLCKLYQLRYLWIRYVYSCQLPTEIRVLRHLQTLEVPRCDSLPSDIVHLPRLMHLSAETRLPDGIGNIKSLRYLDRFDIGLNTLDNIKGLGELTNLRHLCLDHDTLGDDKEQRMDALCSSLGRLCNLEQLDVDFEGCMDGLMPLSPPLTPYRLDTLFVHGWFSRVPSWMGEFRNLGCLRCQVGKLLADDVGILADLPALTHLYLQIRTDIEEMIVIYGGGAFPALKCFELKLSSASYLTFQAGAMPKLQRLVLTYNGSRWEQNGAGPAGMEHLSALEELSARIGCQDAESGLRSAINMHPNHPRVGIKLRDYHSYRFF* >Brasy4G327800.1.p pacid=40086164 transcript=Brasy4G327800.1 locus=Brasy4G327800 ID=Brasy4G327800.1.v1.1 annot-version=v1.1 MLSADYIRSFPADHLLLSFPDRPVHAAGSLGGGAPPAAAAAARLGGSGTGSCNGNSPRDSGDGGGLTASSCVILPSAKRPRLVRKPVPESGPSTGHN* >Brasy4G337200.1.p pacid=40086165 transcript=Brasy4G337200.1 locus=Brasy4G337200 ID=Brasy4G337200.1.v1.1 annot-version=v1.1 MATALLLRAHHARNPPSPSPPARAAIVCRAMAASAQALRSLAPPPRPELLSLDLPRYDPARSRPVDLAIVGGGPAGLAVAQRVAEAGLSVCSIDPSPGLVWPNNYGVWVDEFEAMGLSDCLDTVWPSASVFIDDATPPKSLDRPYARVARRKLKSTMMDRCVAHGVRFHQAKVVKAVHNDASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDGHPFDIDKMLFMDWRDSHLPEGSAVKERNSRVPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRIRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADSIVRFLDSGNGSITGDALAAEVWKELWPADRRRQREFFCFGMDVLLKLDLQGTRRFFNAFFDLEPHYWHGFLSSRLYLPELMMFGLSLFAHASNTSKLEIMAKGTVPLAKMVGNLIQDRDR* >Brasy4G293200.1.p pacid=40086166 transcript=Brasy4G293200.1 locus=Brasy4G293200 ID=Brasy4G293200.1.v1.1 annot-version=v1.1 MGICASSEHLEQQGQEADENIVYVMDEQGGGGGGASSPRKVASLFSQKGKKGPNQDAVILCQGFGMEEGVFCGVFDGHGRCGHLISKLVRDYLPFMVLSHRNALLLADADDDPVFSDASPSSSSTDDCSGGSSPQHPSQLLEEWREACTNAFSAMDNELKLQAGMDCSFSGTTAVCAIKQGKDLIIANLGDSRAVLATMSESGYLKAVQLTTDQKPCVPQEAERIKRCEGRVFALKEEPGVMRVWLPGEDCPGLAMARALGDARLKRHGVISTPQVTGRRVTAADLFIILATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWKTKYPSSRVDDCSAVCLFLQDPRWGTAAAAAACRKAGI* >Brasy4G245100.1.p pacid=40086167 transcript=Brasy4G245100.1 locus=Brasy4G245100 ID=Brasy4G245100.1.v1.1 annot-version=v1.1 MHAYSMMHTAMKKSKRSRWQCGRRPSKLLLLLFLLLLANCCCSCSASSSVDGKMGRIHSHLKRINKPAVRTIQSPDGDSIDCVARQQQHALDHPLLKQHKIQLKPTTMPSNSNANFSGGGGGGGRRAWQTWHHVGHCPKGTVPVRRTNADDVLRTGKPLARFGRKQHKHRRADPRAANAPDVVTGNGHEHAIAYTASGQPGEVYGAKATINVWDPSIQEPNGFSLSQLWILSGSFNGSDLNSIEAGWQVSPALYGDSRPRLFTYWTSDAYEATGCYNALCPGFIQTSPHIAIGASISPVSSVSGNQYDMTLLVWKDPRLGNWWLSYGGQLVGYWPAALFTHLGSGGHASMVEWGGEVVNTSPGGAHTATHMGSGRFAAEGFGKASYFRNLETVDAGNSLVPVPLDDLQTLAEDAGCYDINKAFDDGRQGWGTHFYYGGPGHNPACP* >Brasy4G018200.1.p pacid=40086168 transcript=Brasy4G018200.1 locus=Brasy4G018200 ID=Brasy4G018200.1.v1.1 annot-version=v1.1 MYFKTGSMHEAGTDSVISMQLSSRDWGNNLLVDDLPAWGGLMDKGHDYFEQGGLDAIAGLGPCMVPCWLRLVSDGSGVYPGWYLDTVEITVTGPGRRACGQYVFDVKKWLSQDVAPYVLEVFVNRCSGNHGAAA* >Brasy4G018800.1.p pacid=40086169 transcript=Brasy4G018800.1 locus=Brasy4G018800 ID=Brasy4G018800.1.v1.1 annot-version=v1.1 MAELSSFSLVLQDILLSVPDIGVFCLATYPSAQRQYTHHSVPAGCCKCKYYVEPPWIDQGEDVCVAFLQEQVKYQAKPVQKGSHRTSQLAHG* >Brasy4G319000.1.p pacid=40086170 transcript=Brasy4G319000.1 locus=Brasy4G319000 ID=Brasy4G319000.1.v1.1 annot-version=v1.1 MLRSGVSPDDRTFPFALHAAAAAAQAHPAKGLELHAAALRSGHLADVFAGNTLVAFYAACGCAGDARRVFDEMPARDVVSWNSLVSSFLASKMFDDARQALVSMMGSGVPVNVASLVSVVPACGVEQEEGFGLGVHGLVLKTGLDSIVNLGNALVDMYGKFGHVEASMKVFEGLPERNEVSWNSAIGCFLNAGLYGDVLALFREMSERGFMPGSITLSSLLPALVELGYFDLGREVHGYSIKRAMELDIFVANSLMDMYAKFGSLEKACAVFEKIEVRNVVSWNAMIANLVQNGAEAEAFGLVIKMQKDGECPNSITLVNLLPACSRMASLKTGKQIHAWSIRTGLIFDLFISNALIDMYAKCGQLRLAQSIFDLSETDDVSYNTLILGYSQSPWSFESLNLFKQLSSVGIEYDAISFMGALTACTNLSSFKQGKEIHGVLVRRLLSNHPFLANTLLGLYTKGGMLDTASKIFNRIKEKDVASWNNMIMGYGMHGQIDAAFHLFDLMKDHGVTYDHVSYIAVLSACSHGGLVERGKKYFSHMLAQNLEPQQMHYACMVDLLGRSGQLTESVEIILDMPFHANSDVWGALLGACRIHGNIELAQYAADHLFELKPEHSGYYSVLRNMYAEAGRWNEAHKIRKLMKSRKVQKNPAYSWVQSGNKLQAFLVGDG* >Brasy4G438600.1.p pacid=40086171 transcript=Brasy4G438600.1 locus=Brasy4G438600 ID=Brasy4G438600.1.v1.1 annot-version=v1.1 MVWIGYLVYSYVHVHTVKSFFIILWIFSVAKMCKRAICIYLAKGSFDLAKNAALVSGYMVQLVDAGRQLLHDDDHALGSNVMKDCNYVVMGESQLKREVKPHGFEIHDEEVNNILDVARHPRGEGEANKSEQLVRVGNIWDLAENDVIFRYNDNRKRKLENICLALALFKLLRRKIEHFPMAEARTPQARNFVFRGLLALENGEDEEAANAERAFEVVELELRFLDEYYQAVIPLALPKPKLFVANFAFSIAFILLYCITVLLVTGNGDIFSVLGSLFRGLIGLSINMVVQYQCFVHQLDFLIGMVCSSSDLIVTFLLTLTLLAVETYEFMQYLLSDWFVASVLCNYARKPMLRQQRHIRRVVKGALWVKHRSRPVIKVHQVTMLKLHQLHPRRVWMLVSRLLKRRLVGLPDAVVTSDQGGHHQGPKGRPRRRLQPQLLRPLQQWQSFTGQAWLRSA* >Brasy4G231500.1.p pacid=40086172 transcript=Brasy4G231500.1 locus=Brasy4G231500 ID=Brasy4G231500.1.v1.1 annot-version=v1.1 MATHHRTLHALLGGGTVADVLLWRRRNVSAAAVAGATTVWFLFERAGYSLPSVLSNALLLLVVILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADHALVWVNKVLAVGHDIAIKRDRTVFIQVILLLWVVSCIGMVFNFFTLIYIGVMFSLLVPPFYEKHQDLVDEKVGLAHGVLSKHFTTIISKTGQPSKQKKAE* >Brasy4G231500.2.p pacid=40086173 transcript=Brasy4G231500.2 locus=Brasy4G231500 ID=Brasy4G231500.2.v1.1 annot-version=v1.1 MATHHRTLHALLGGGTVADVLLWRRRNVSAAAVAGATTVWFLFERAGYSLPSVLSNALLLLVVILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADHALVWVNKVLAVGHDIAIKRDRTVFIQVILLLWVVSCIGMVFNFFTLIYIGVMFSLLVPPFYEKHQDLVDEKVGLAHGVLSKHFTTIISKTGQPSKQKKAE* >Brasy4G345800.1.p pacid=40086174 transcript=Brasy4G345800.1 locus=Brasy4G345800 ID=Brasy4G345800.1.v1.1 annot-version=v1.1 MGGQEKKHEMAIRVREFEMERDLPAVEELERRCQVGLSGDQADDVASTAAEDGAAAANKKWIRKKTTKKKRGMSLYVEQIGDPFARVRHSPDHVILVAEYGKEEESAEAGEVVGVIKACVRAVSRGKKKKKQQQQQHEFAKTACLLGLRVSPSHRRLGIATALVSRAEAWCAARGAAHATMATTSSNSASLALFTGRFGYAPFRRPAFLGHPVHRHRARVPAAHRVLQLPPPLAAAAYASTTTLQEAEFVPADLPALLAHKLTLGTYLALNRGAPDAGPGRPASYAMLSVWDATRSLRLRVSGAAPLLRASLAAARALDRHAPWLRVPSLPDVFRPFGTYLLYGLRMSGPDGPALLRSLCRHAHNVAHRNPACAVVAADLGPDDPAAAAVPHWPRFSCHDDVWCVKKLAPAASGNGDDDEDDDDDGWMTAPPADVLFVDPREF* >Brasy4G139500.1.p pacid=40086175 transcript=Brasy4G139500.1 locus=Brasy4G139500 ID=Brasy4G139500.1.v1.1 annot-version=v1.1 MSSKHRSAAAAPAPPPPTAPSSLRTPRRIRRRALKAPSSGGGRRSGGPATPLLRWDVRNNGVAVASEEKRAGPGAGEQKPRDVSVRRLAAGVWRLRPPETVVGGGGGGGGGESRVHVGLEHIPRHLQVQLLKQNTLGRHQNLKNEISSPISVLEPKSGELHKVQFHGASAMLPVTNMEKATKWEPESIKGMESHDAYLIASQLNLLNKQQDASYVANLQMELRQARDRVSELESERRSTKKKLDHLFKKLAEEKAAWRSREHEKVRAVLEDMKANVDHEKKNRRRLEMINMKLVNELNETKMSANQLLQEYEEERKTRELTEEVCNELAREVEEDKAEIEALKHDALKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDSKYTQLSKLQQDVEAFIAACSSAKGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAASEDIFSIFEELRPSEEPAIKEIEQCYKNGSTICESEIQEASPMTDIFLEKPAKVYPNKKPHDQSENGDASSWETISHEEMQGSSGSPDGSESSVNKIFDGSISWTSRNDFEYGEIEKLKDDLADAYLTNMTQPKKKESAISKLWKSSRSKNNEVCKKDATESLNGRSSNVRLSVGTHSTIESGVQEIGLSPPSVGQWSSPDSMNIQFNRGFRGCMEYPRTSQKHSLKEKLMEARMVSQKVQLRQVLKQKI* >Brasy4G139500.2.p pacid=40086176 transcript=Brasy4G139500.2 locus=Brasy4G139500 ID=Brasy4G139500.2.v1.1 annot-version=v1.1 MIGHYAVQQQTCPNQATTSCLSHPHGRLFWLHQCTHHIPRHLQVQLLKQNTLGRHQNLKNEISSPISVLEPKSGELHKVQFHGASAMLPVTNMEKATKWEPESIKGMESHDAYLIASQLNLLNKQQDASYVANLQMELRQARDRVSELESERRSTKKKLDHLFKKLAEEKAAWRSREHEKVRAVLEDMKANVDHEKKNRRRLEMINMKLVNELNETKMSANQLLQEYEEERKTRELTEEVCNELAREVEEDKAEIEALKHDALKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDSKYTQLSKLQQDVEAFIAACSSAKGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAASEDIFSIFEELRPSEEPAIKEIEQCYKNGSTICESEIQEASPMTDIFLEKPAKVYPNKKPHDQSENGDASSWETISHEEMQGSSGSPDGSESSVNKIFDGSISWTSRNDFEYGEIEKLKDDLADAYLTNMTQPKKKESAISKLWKSSRSKNNEVCKKDATESLNGRSSNVRLSVGTHSTIESGVQEIGLSPPSVGQWSSPDSMNIQFNRGFRGCMEYPRTSQKHSLKEKLMEARMVSQKVQLRQVLKQKI* >Brasy4G396000.1.p pacid=40086177 transcript=Brasy4G396000.1 locus=Brasy4G396000 ID=Brasy4G396000.1.v1.1 annot-version=v1.1 MAAARRRWRHTWEAPASPGRVFREQVAHLGCGRFCVARFVEEMPRVPQVLLRRRSSRSPSLLPTLLQPRARKTLDLSPPSLAAAAFAVAGGGGGAMYLYSLTLQRATGAVCAVIGSFSGRDTKKSGAAGSSTQEIAVARGGTLDLLRPDPDTGRLRTLLSVDVFGAVRSLAKFRLTGATKDYLVVGSDSGRLVILEYSPDRRVFYWWKIARRASGAKRSGPWQRTG* >Brasy4G429900.1.p pacid=40086178 transcript=Brasy4G429900.1 locus=Brasy4G429900 ID=Brasy4G429900.1.v1.1 annot-version=v1.1 MVPEVAAGDELLCNVRLTKCEDVCYKSAKCSRCCKNHGFNHGHCGPKSHCYCCRSPNSGAGAGDGEQQRMLRVIPASPPPPAARRPPFHA* >Brasy4G190400.1.p pacid=40086179 transcript=Brasy4G190400.1 locus=Brasy4G190400 ID=Brasy4G190400.1.v1.1 annot-version=v1.1 MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVVVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEDKLKPLIDDHYLLFDFPGQVELFSLHTNARNIINRLIKKLDLRLTAMHLVDAHLCCDPGKYVSALLLSLSTMLHLELPHINVFSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCDVIDDFSLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRTAAVQEKYMKDDEVVQQKSRMQ* >Brasy4G190400.2.p pacid=40086180 transcript=Brasy4G190400.2 locus=Brasy4G190400 ID=Brasy4G190400.2.v1.1 annot-version=v1.1 MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVVVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEDKLKPLIDDHYLLFDFPGQVELFSLHTNARNIINRLIKKLDLRLTAMHLVDAHLCCDPGKYVSALLLSLSTMLHLELPHINVFSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRTRKVLVIL* >Brasy4G357300.1.p pacid=40086181 transcript=Brasy4G357300.1 locus=Brasy4G357300 ID=Brasy4G357300.1.v1.1 annot-version=v1.1 MLLLRAARAATAASAVAAGARRKPGLLPIAVAGLSSSSGAPSSGRRKKGQRRGEAKPQPQPLPGHAEPPSKKKTNARPAKDRKVRPLEEALRPPSQEIEMRKQQPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEIFADHPDQLQLYKGMIGPDGWCTNYDKSNRTCNIYQDRPFFCRVEPKVFDEFFGVPRNRFDREACSACVDNIKMVYGEDSAELVNFKHVIKEESKKHEASMNQIKLLDT* >Brasy4G357300.2.p pacid=40086182 transcript=Brasy4G357300.2 locus=Brasy4G357300 ID=Brasy4G357300.2.v1.1 annot-version=v1.1 MLLLRAARAATAASAVAAGARRKPGLLPIAVAGLSSSSGAPSSGRRKKGQRRGEAKPQPQPLPGHAEPPSKKKTNARPAKDRKVRPLEEALRPPSQEIEMRKQQPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEIFADHPDQLQLYKGMIGPDGWCTNYDKSNRTCNIYQDRPFFCRVEPKVFDEFFGVPRNRFDREACSACVDNIKMVYGEDSAELVNFKHVIKEESKKHEASMNQIKLLDT* >Brasy4G357300.3.p pacid=40086183 transcript=Brasy4G357300.3 locus=Brasy4G357300 ID=Brasy4G357300.3.v1.1 annot-version=v1.1 MLLLRAARAATAASAVAAGARRKPGLLPIAVAGLSSSSGAPSSGRRKKGQRRGEAKPQPQPLPGHAEPPSKKKTNARPAKDRKVRPLEEALRPPSQEIEMRKQQPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEIFADHPDQLQLYKGMIGPDGWCTNYDKSNRTCNIYQDRPFFCRVEPKVFDEFFGVPRNRFDREACSACVDNIKMVYGEDSAELVNFKHVIKEESKKHEASMNQIKLLDT* >Brasy4G357300.5.p pacid=40086184 transcript=Brasy4G357300.5 locus=Brasy4G357300 ID=Brasy4G357300.5.v1.1 annot-version=v1.1 MLLLRAARAATAASAVAAGARRKPGLLPIAVAGLSSSSGAPSSGRRKKGQRRGEAKPQPQPLPGHAEPPSKKKTNARPAKDRKVRPLEEALRPPSQEIEMRKQQPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEIFADHPDQLQLYKGMIGPDGWCTNYDKSNRTCNIYQDRPFFCRVEPKVFDEFFGVPRNRFDREACSACVDNIKMVYGEDSAELVNFKHVIKEESKKHEASMNQIKLLDT* >Brasy4G357300.4.p pacid=40086185 transcript=Brasy4G357300.4 locus=Brasy4G357300 ID=Brasy4G357300.4.v1.1 annot-version=v1.1 MLLLRAARAATAASAVAAGARRKPGLLPIAVAGLSSSSGAPSSGRRKKGQRRGEAKPQPQPLPGHAEPPSKKKTNARPAKDRKVRPLEEALRPPSQEIEMRKQQPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEIFADHPDQLQLYKGMIGPDGWCTNYDKSNRTCNIYQDRPFFCRVEPKVFDEFFGVPRNRFDREACSACVDNIKMVYGEDSAELVNFKHVIKEESKKHEASMNQIKLLDT* >Brasy4G011000.1.p pacid=40086186 transcript=Brasy4G011000.1 locus=Brasy4G011000 ID=Brasy4G011000.1.v1.1 annot-version=v1.1 MPSRSQSRRLSTQQAPIPTLRQQPPLPRQHATTLLRLAPTLTPLHLHRRRLGRRPAGLQAPDPARRDPPAPLAPTAPHQPLRARPLRALLLRRRRLRQADKLLTLRRRRRAEREVRVNGTWPQVPTPSYSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRSLDEPTTCSIKLAFVMLVVHAWHWPSP* >Brasy4G282500.1.p pacid=40086187 transcript=Brasy4G282500.1 locus=Brasy4G282500 ID=Brasy4G282500.1.v1.1 annot-version=v1.1 MASGGDKLKLLGTWASPFVLRAQLALSFKGLSFEDIEEDLVNKSELLLASNPVHKKVPVLIHNGKPVFESLIIVQYVDEAFAGIGSPLLPSDPHGRAVARFWAAFIEDKLVTPWHKVFGAKTEEERAEWMRQTMEAVDVLEGGLEECSKGRGFFGGNNTGYVDIVLGGAVPWVHATEALSGVRLFDASKAPLLAAWLERFGALDAAKAVMPDVEKLVEYAKLKQAEATAAAAVGDN* >Brasy4G293700.1.p pacid=40086188 transcript=Brasy4G293700.1 locus=Brasy4G293700 ID=Brasy4G293700.1.v1.1 annot-version=v1.1 MGSYVIVVSCSSNLHLTPPKSYSTYTASRSHKQVMVHREKKDRYLQGQRRPGFSLDLATQTNHQEKHRSYYVLEYYTYATTNLVKNPTTPRRRKER* >Brasy4G351000.1.p pacid=40086189 transcript=Brasy4G351000.1 locus=Brasy4G351000 ID=Brasy4G351000.1.v1.1 annot-version=v1.1 MRANRAGEDNPGTSSKRRGRERAYVHAGHGRRSVEQGAPRLRAAGYVRGARRDLGPGAGEIGTRARAGAVASGSSGGGGGTRTMRGGGGDGGGGTTAPSTAAPPTPGLPRPAGAPQLRSNPRPTRHRQRAPPSPQPPASPVPPPRPSSSVRRARMQLFLPAAPPALRSATPAARPSSRAPRSYGQPRTLVRPRRQARVRPRAHSSSSPPSPRPPARPTG* >Brasy4G296400.1.p pacid=40086190 transcript=Brasy4G296400.1 locus=Brasy4G296400 ID=Brasy4G296400.1.v1.1 annot-version=v1.1 MSTIYMSQLSTLPLMEGDQDQGHFQAFHLPKDPPILFPFMIDNPVEHQGQGYGDQHLRQQFFGESSQQFNDHMMMAGGSDVFATPSQFQPTIQSISSDMIQRSSYNNPYDIKSKQVVSGSTNEWASATPLVKMRIVRKAATTDPEGGAARKPRRRAQANQAEESQQQQHAMGVIRVCSDCNTTKTPCGGVVPVAPSLFATHAA* >Brasy4G229300.1.p pacid=40086191 transcript=Brasy4G229300.1 locus=Brasy4G229300 ID=Brasy4G229300.1.v1.1 annot-version=v1.1 MEGEAKRGNLDSDGHSMPTSSGTTNTGSIMYKSLRLKQALETKIGRTIEKEMGLKQTERDSIIVLVGQGRRRLKDHVEEFALALTRSLFLPEVDEERQFVEAKIQEFVSDLSGLRMEKEDQGEEEQEVMCAEEEQVAAAAAGRPKAEELEEFLERRRKVGVEVQTADEFLERSRKVEMKTGEEELDKSRIMEAEAREKLLHTSCKVEMQGAIVLDEDGPNDHYYNGTSVREMEENFENLSKEHTSLLSSMLFTHCTPGCIPIDGVVGRTFQVYSVRITETKGFKWPLKVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSFLHLTGPCRAIVSHEPVDIEIQLRVKGGKKSEDIALISQTFTYKGEYCPNLRSSLVNNCFCKIELSHQQLKESVQATIFGVHVAEPSSFEFGVRLVSSSLSQDPEEDVGSEYQEVVLFDSKHGEMPMGNGYLNLSRQVVSVELKGLLRVNIQAYTPSGGIAACGVVFVTPKTCNTSQHRCVVGDSNVEFTVAWSLLVEDEMLILSNGCVDPYEVLPPMHPDVEKLLGLSESSWG* >Brasy4G192300.1.p pacid=40086192 transcript=Brasy4G192300.1 locus=Brasy4G192300 ID=Brasy4G192300.1.v1.1 annot-version=v1.1 MGGSGTLISVYPDDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQSKDKFLIQSTKVAASTDMDEIPPDTFNKEGDKVIEEMKLRVVYTLPNGSSDDSGVTSSANRSFRQGSDDLSMLKNASIEEIQTIQRLKEERDNNLQQNQQMQRELDVLRRRRSRKGDTGFSLTFAAFAGIIGILVGLLMSLIFSSPPATA* >Brasy4G373600.1.p pacid=40086193 transcript=Brasy4G373600.1 locus=Brasy4G373600 ID=Brasy4G373600.1.v1.1 annot-version=v1.1 MFGKLAQGALPPESLPATVASTESSVVSRPPPPKPTTPASRPSSESPDTASTPASWAPSAAAGDALDLNASPATMPSLPTKVPHPFPASSFDSGRNLFDDMLVDPANPNYYNEPSQFMDDLISQEAPVFEEEVGEQWGDKTQEGVSIDTELLYTDAGAGTDPGSDTSRCQSKGKSKRTQAYAECEDKMLCEAWLEIGQDPICGAEQKEMGLIQSECNKFADAQDHVKARPVSGVGDMAYQTLEYFKMMYKKPFALIHCWRILKEAPKWQDFYLSNKKSLGDGKKRDSSVIDLEASGHTETQAGPSGLGGGTNSKLDTKREASNLTFEETLKKMWSEKDAVKEKMIQLKEEQMKEFIDVQKRKLAIEETNAAATRTAAAAAMLAEETRIMTADLNLMDEPTRAWFLTKRKMIQERDAPASSEE* >Brasy4G078200.1.p pacid=40086194 transcript=Brasy4G078200.1 locus=Brasy4G078200 ID=Brasy4G078200.1.v1.1 annot-version=v1.1 MSFLPGEDALRTCLIDTRWLGLLRSATSLDFSFGYADGAPPTTKRFVQLVKMVTQLRGTRSPLEKCEINLVKYALTYQAKELIVNAFHFEQMECGIPEFDLPSITHHFKTLHLTYLMVDELLDFSGCPALEDLKMERCCIGASKISSRSLRRLSIVRYCSVDPYDDYVRIEIYAPRLVSLILDDFDGPTPIVQDMPLLQTAFIRINYWYCGVTMHRQCCDDILCGCRAYHGQGDLLLNSFSSAENLELIGEPQMWLIPIDIVCILRRSPILEMLTLQFGYFYTENSEGATEAQETTEQPIACPHLKVNIECRKVDETVCKILKTLSTCGILPEQISIKNHGEKGIFSVHQLVTKFAFGP* >Brasy4G291900.1.p pacid=40086195 transcript=Brasy4G291900.1 locus=Brasy4G291900 ID=Brasy4G291900.1.v1.1 annot-version=v1.1 MAAAMSNGAGAGAGVVVVFDFDKTIIDCDSDNWVVDALGATRRFDELLLRLPWNSAIDTMMGELHAEGRSVSEIRGSLRTAPLPASVVSAVESAHALGCELRILSDANAFFIDTVLAHHGLAGYFSEISSNPARVDAAGRLRISPYHDSHRHGSSGHGCALPTCPPNMCKGKVMEEIMQELSAAAGKRRRKRVVYLGDGRGDYCPTLKLGEMDYMMPRKGYPVWDLIAADRRAVRADVREWADSGDLERVLLGIVQECATASEDGGGDQDSAAAVVGVVVPECRSGLPLAASAPEMAMALLPKAVHAPN* >Brasy4G226800.1.p pacid=40086196 transcript=Brasy4G226800.1 locus=Brasy4G226800 ID=Brasy4G226800.1.v1.1 annot-version=v1.1 MKMVAAHLHHDFFLSPSPHHLAELRIDPPHSAIAFSAPGGADGTRKRRCLLPAASQRKKMLLELHPFDFTPSPSPSPPPSPRRSPATAPPMVSRAGSPASEFSFPSAHPWSGGGGGNIFAFAVTMPTTPSPTGSNVSAVSFVASPGHPTTPTGSTASGGLTFVTSPKKPTTPTGPTANGGFMFTPSPEQPLTPTDSTAVASLPSPKHARTGSTDSGGLAFFPSPIRQTSSPTSPAFVFTASRTVAPPMRKSSGSSKKRPRPQLDVVTARRRSLREWAVPQQVIQPPQKVAKTGVLITSEASCSSIQSGSSARPCCTFFTSPAKASNQDARKAFIEESRSSSPCGSPAGSRCTFAPSPARHSSAEKASKEEPEVEVSSVARECPTHNLLAACTGAEVVVRVTCTCGVQKEFCFDHCH* >Brasy4G123500.1.p pacid=40086197 transcript=Brasy4G123500.1 locus=Brasy4G123500 ID=Brasy4G123500.1.v1.1 annot-version=v1.1 MMINSRLVSQNIRSCRLRAFALPGLRANAARSFLGCLMIKQDIFFFLICCLLLWSEQ* >Brasy4G008900.1.p pacid=40086198 transcript=Brasy4G008900.1 locus=Brasy4G008900 ID=Brasy4G008900.1.v1.1 annot-version=v1.1 MEKISVAVRFRPPKPSAEADTTSPSAGGGGGDREWRIDDTRISLLHRTVPVPGASFAFDHVFDETATNARIYGLLVRSLICAAVDGFNGTAFAYGQTSSGKTFTMNGSGSHPGIIPLAVRDVFDTAAEAVDREFLIRVSYMEIYNEEINDLLALESEKLSIHENLERGVYVSGLREEIVNNAEQVLKLLELGEANRHFGETNMNIRSSRSHTIFRMVIESSANDQMNSGDAIRVSVLNLVDLAGSERIAKTGADGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLANELEEERRLRETLEHRLAEQQKMLEDHKITNISADQFADSSHLDALKTPESKQTPDGFVASRSHYSNDVEFSPVPENLGTVAGEDLWMQLNKGCITDLEMLEMTPGFKCAPSLIEDTIAAAPLDESIAMRCQRLEKDCTSDRQQLEDRCAVLEKERELLKEENSSLLQELSKSKQDANHLIAEKEELLKDLEAERCRMDELKHDIRMFSQAFAQRQGQLASLHTKSKAIVDNFKASQVALPLDQA* >Brasy4G008900.3.p pacid=40086199 transcript=Brasy4G008900.3 locus=Brasy4G008900 ID=Brasy4G008900.3.v1.1 annot-version=v1.1 MAVDREFLIRVSYMEIYNEEINDLLALESEKLSIHENLERGVYVSGLREEIVNNAEQVLKLLELGEANRHFGETNMNIRSSRSHTIFRMVIESSANDQMNSGDAIRVSVLNLVDLAGSERIAKTGADGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLANELEEERRLRETLEHRLAEQQKMLEDHKITNISADQFADSSHLDALKTPESKQTPDGFVASRSHYSNDVEFSPVPENLGTVAGEDLWMQLNKGCITDLEMLEMTPGFKCAPSLIEDTIAAAPLDESIAMRCQRLEKDCTSDRQQLEDRCAVLEKERELLKEENSSLLQELSKSKQDANHLIAEKEELLKDLEAERCRMDELKHDIRMFSQAFAQRQGQLASLHTKSKAIVDNFKASQVALPLDQA* >Brasy4G008900.2.p pacid=40086200 transcript=Brasy4G008900.2 locus=Brasy4G008900 ID=Brasy4G008900.2.v1.1 annot-version=v1.1 MEKISVAVRFRPPKPSAEADTTSPSAGGGGGDREWRIDDTRISLLHRTVPVPGASFAFDHVFDETATNARIYGLLVRSLICAAVDGFNGTAFAYGQTSSGKTFTMNGSGSHPGIIPLAVRDVFDTAAEAVDREFLIRVSYMEIYNEEINDLLALESEKLSIHENLERGVYVSGLREEIVNNAEQVLKLLELGEANRHFGETNMNIRSSRSHTIFRMVIESSANDQMNSGDAIRVSVLNLVDLAGSERIAKTGADGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLANELEEERRLRETLEHRLAEQQKMLEDHKITNISADQFADSSHVCLAKYLARCTENTRI* >Brasy4G213000.1.p pacid=40086201 transcript=Brasy4G213000.1 locus=Brasy4G213000 ID=Brasy4G213000.1.v1.1 annot-version=v1.1 MNFSFFSSSSSSSGKKSAGSKQRRRQQQEESGTNNNSNTTTPRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARAIRGAGARTNFAYPDLPPGSSVTPYLSPDIPADQLQHHHFYGSGNPQIPALPLPAPAAYQIQGDHGHHVGNNNEASCHHMPAAMSYGSGSNAEVDMYAGGGGDGTWCEASELDLGGSYDESNGVYFEDGYVHSPMFSPMPAADEAVDAFQLGGSSSSYYY* >Brasy4G070000.1.p pacid=40086202 transcript=Brasy4G070000.1 locus=Brasy4G070000 ID=Brasy4G070000.1.v1.1 annot-version=v1.1 MADSGDSSPLSAAATDDAHHDNSGSETGAAAAAQVVVPPPSLPVPPPPPKVRLMVSYGGRIQPRPHDNQLSYVNGDTKILSIERPLRFPDFAARLSALAGSRGEICVKYQLPGEDLDALVTVTNDEDLEHLVLEYDRLHLFRASPGSGGGSSRGGSTPRLRVFLFPVQYPPAPPPPQPAGLIEPRQDQTAEWFAVEDFNSVKPPQQEQQQPKQESVPLQSPPPAVQMAAVSQSMMVAPQKGLDYLSGFDYGYGFVPPPAVKVKDPAGDPPTMRENVPVEIPPKNDERHPNPAGDNAMVSPADFPRQIQENLEKVQLTDNASQQLPPSPAQAAPAAPAAPVPVALPRNGSDDSLTRNYPPGTVAQTTPQPQEYYHQKFPEKPPVPPPSSAPPATGFLPVPGRFPSVAPGSGAEHGPFFFIPAPPHGYYATSANPGGTSFYAVAPHNGNSNGNGTAPAPAVSNAPAYPQVAYDSNGRAIYYTSVLPQYPSAVNGMSAAAAVLATEPAKPVAAKPTPTVS* >Brasy4G422000.1.p pacid=40086203 transcript=Brasy4G422000.1 locus=Brasy4G422000 ID=Brasy4G422000.1.v1.1 annot-version=v1.1 MAGRGSFSGGDGAFGRGFGGEGRGRGMGSMNGGWNNWQEGQGRNWQGPPQYWGGDYQGGPPQFFQHGGPEMLQGQFSGQMQGQYQGMRPPVQFQEQIPQLGFQQYQQGQQSQVLQQPQQPQQLAAGQGMGIASASGDNAGVSKVTDSNKSATSVQQGGVRKLICQKCDAEGHVSKECKQEVLCDICGKNNHNTSKCVWPLQVKPVAKLVGYSAKGLGCFHAQNVKRGTGGSILSSLAILVVEKGSLTAKQAVMGLSETYPWKWEWQVNDLGNGRMMVKFPSTARVEEARCYSAFALKETNALVSVKRWSSEAMAKGKLDTAWVRIGGVPDELKHYFGLCEVGSMIGVVLDVDMSLFRKQEIVRMKVGVKDVALVPAVAELIVDLFLFDISFEVEQVVEKGGLFGAGLKRGDDNTDTNADKNRGEKRQKNGERPTDNEPPNTADKTGNTSVQGGQQQLGGGLSDNVLAFQEIEKAAFDRLVQLEVERKLTLILQTREEVRLREKLLAAQKDNVLGHIGMDKNSPVEDGVPRMSGTGNNFSVSDGGGGSRDCLSGEEVSEASFATKVRVVQGAPLNLAELELVDGAGVGQSEMMDDAGTEEEKETDLRRSSRLERLDRADDKVEDRAIHNAKVRNLELPKGIPQFNTVLSTSSDELINCAKKLNVSLGTDNINELASIDLIKCLEKSRLDLYLQTSSKAVTPVAPEILTEQQAAVTDQFHELVDTLDDLSIDEGDDDLSPRVFSHSARKKKKGTSPECFGVKPLVRVRGRRAKKI* >Brasy4G272700.1.p pacid=40086204 transcript=Brasy4G272700.1 locus=Brasy4G272700 ID=Brasy4G272700.1.v1.1 annot-version=v1.1 MIPSPLLSFSLSPQPPPPPPLFPPAAPLPRTLFSTASASTRAHRPPPPPGRANPDPGSPDPAAVGSRRPRSPPLPHVPARRWPPTPAHVPVRQRPPPPAHLPARRRPYPPQPLRHRRRPPPRRHPASPRRHRPRSGRPWARNRQRHPPPAIPRPEPSTQTVLRGYCMGVDNLFLG* >Brasy4G199300.1.p pacid=40086205 transcript=Brasy4G199300.1 locus=Brasy4G199300 ID=Brasy4G199300.1.v1.1 annot-version=v1.1 MALNRGSLSSLRLLAAGGKAASAPASHGLHATGVRRMGAHAHDEPYYLHAKHMYNLHRMKHQALKASLSVVAAVGVGIAVPVYAVVFQQKKTASG* >Brasy4G199300.2.p pacid=40086206 transcript=Brasy4G199300.2 locus=Brasy4G199300 ID=Brasy4G199300.2.v1.1 annot-version=v1.1 MALNRGSLSSLRLLAAGGKAASAPGVRRMGAHAHDEPYYLHAKHMYNLHRMKHQALKASLSVVAAVGVGIAVPVYAVVFQQKKTASG* >Brasy4G057700.1.p pacid=40086207 transcript=Brasy4G057700.1 locus=Brasy4G057700 ID=Brasy4G057700.1.v1.1 annot-version=v1.1 MKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRVPKKQKPT* >Brasy4G098500.1.p pacid=40086208 transcript=Brasy4G098500.1 locus=Brasy4G098500 ID=Brasy4G098500.1.v1.1 annot-version=v1.1 MKIIAWNCRGLGNRPAVRDLLELQKKEDPDILFLSKTKLDGKRMEKFRNLLGLQGMLVRDSVGRSGGVALFWHRGVNVSLRWMGRRHIDVEVMEQDGFKWRLTGIYGNPRAEEKKNTWRLLRTLHQQIDLPWVCVGDFNEILYAHEKQGGAARPQSCMDQFRDVLVSCGLKDLGFEGDVFTWRNNNYRVEGYIRERLDRVVANMSWCSRFADYRVRNIEPEHSDHRPVVLTVQEGRRARGQSSGQQIKRFEARWILEDDCEAVVKNAWEVAGLRGHTSAAAKLRSVSDELHHWSRHVLGDLEKRIKAIKVDLEVCRKQDITSRSVQREHVLRFKLERLEDQHDLVWRQRAHIHWLEKGDRNTKFFHRVASERKKYNTIKSLKNDSGVVVEGEEGLKALVTNYFFSLFTPVAGLEIDQALAERSVWSARGGGG* >Brasy4G266600.1.p pacid=40086209 transcript=Brasy4G266600.1 locus=Brasy4G266600 ID=Brasy4G266600.1.v1.1 annot-version=v1.1 MHTMAASFWFLNLTFILISSFIIAPTVADVGRVNYLPKGSSLSVKHASDVIQSLDGTFSFGFYNLSSTAFTLSIWFTNSADRTIAWSANRDRPVHGTGSKVKLNKDGSMVLTDYDGTVVWQINASSAEVNHAELMDSGNLVVKDRGGNILWQSFDHPTDTLLPNQPITATAKLVSTDLSYAHPSSYYALRFDDRYVLSLAYDGPDISFNYWPNPDHSSWMNYRISYNRGRRALLDNIGQFVATDNATFRASDWGLEIKRRLTLDSDGNLRLYSLNKLDGSWYVSWVAFSEPCDIHGLCGWNGICEYSPTPRCSCPRGYIVSDPSDWSKGCKPVFNITCGHGGQRMSFLSNPQTDFWGFDLNYTMSTSLHNCKEMCLESCACVAFVYKTDPNGCFLKSALFNGKAVSGYPGKAYFKVPESFLSKSHKYDSDLYHGHVCDASKKKTLNYETTHNRDGKGTMWYYYYWFLAVFFLVELCFIASGWWFMSTQQSARSEIWAAEEGYRVLTDHFRSFTHKELRRATKNFKEKLGHGRHGSVYKGTLHDSRVVAVKKLNDVKQGEDEFEAEVSVIGKIYHMNLVRVMGVCSEGKHRLLVFEYVENDSLAMFLFGDKGPIQWHQRYKVAAGVAKGLAYLHHGCMDWIIHCDLKPENIFLDLDFEPKISDFGFAKLLQRGQADSSSMSKVRGTRGYMAPEWVSSVPLTEKVDVYSYGVVLLELVMGRRVSELAVDGSEDAESALRQLECTIREKMESDDMTWVDGFVDPRLNGDFVHSEVLLVLEVSAMCLEKEKGQRPSMNHVVQKFLSCD* >Brasy4G190900.1.p pacid=40086210 transcript=Brasy4G190900.1 locus=Brasy4G190900 ID=Brasy4G190900.1.v1.1 annot-version=v1.1 MWRKGNKRFGGGGAGGEPPAKRQAAGKEAPSEDADDSTVVAQISKNKRVSVKSWNGKVMVDLREFYTKDGKELPTRKVENIKGQHQGYRRGRQGEGEQMIEEALDETVLGKHLLIRCPSMR* >Brasy4G190900.2.p pacid=40086211 transcript=Brasy4G190900.2 locus=Brasy4G190900 ID=Brasy4G190900.2.v1.1 annot-version=v1.1 MWRKGNKRFGGGGAGGEPPAKRQAAGKEAPSEDADDSTVVAQISKNKRVSVKSWNGKVMVDLREFYTKDGKELPTRKGISLPMDQWKILKDNIKAIDEAVKEKENK* >Brasy4G415100.1.p pacid=40086212 transcript=Brasy4G415100.1 locus=Brasy4G415100 ID=Brasy4G415100.1.v1.1 annot-version=v1.1 MGRGRKRRRGDASEAPPPTMHPRNRYAAAPPDFAALAALYPSFAPFVSVPAGGGRATVDFTDFDATRELTRVLLLHDHGVSWWIPDGQLCPTVPNRSNYIHWIEDLLLSNLIPPISSSSEGVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAGLIEIRNANEVPCCSKSETVDEEPIRENTSEAVDDVVRSIPPILVGVVKDNESFDFCMCNPPFFESMGEAGLNPKTSCGGTAREMACPGGEQAFITHIIEDSVSFKTSFRWFTSMVGRKANLKLLALKVREVGASVVKTTEFVQGQTARWGLAWSFIAPRKMVIRANIPGKVHHSFMLQGHRHICGAFKVLKSAETFFCASNLSCKTDSVSFSIDVTIPDEQTQAAVLHGDDFPGSVEDNSTKLHSAVTGTSFRISVFEQMPGTLLIRGSLLNKALSGKHSMMCTGLKLRARDLKLDSIYLHSSCISG* >Brasy4G415100.2.p pacid=40086213 transcript=Brasy4G415100.2 locus=Brasy4G415100 ID=Brasy4G415100.2.v1.1 annot-version=v1.1 MGRGRKRRRGDASEAPPPTMHPRNRYAAAPPDFAALAALYPSFAPFVSVPAGGGRATVDFTDFDATRELTRVLLLHDHGVSWWIPDGQLCPTVPNRSNYIHWIEDLLLSNLIPPISSSSEGVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAGLIEIRNANEVPCCSKSETVDEEPIRENTSEAVDDVVRSIPPILVGVVKDNESFDFCMCNPPFFESMGEAGLNPKTSCGGTAREMACPGGEQAFITHIIEDSVSFKTSFRWFTSMVGRKANLKLLALKVREVGASVVKTTEFVQGQTARWGLAWSFIAPRKMVIRANIPGKVHHSFMLQGHRHICGAFKVLKSAETFFCASNLSCKTDSVSFSIDVTIPDEQTQAAVLHGDDFPGSVEDNSTKLHSAVTGTSFRISVFEQMPGTLLIRGSLLNKALSGTFSSLFSQLEDTLKEEFCCKAR* >Brasy4G415100.3.p pacid=40086214 transcript=Brasy4G415100.3 locus=Brasy4G415100 ID=Brasy4G415100.3.v1.1 annot-version=v1.1 MYTLHAMKRWIPDGQLCPTVPNRSNYIHWIEDLLLSNLIPPISSSSEGVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAGLIEIRNANEVPCCSKSETVDEEPIRENTSEAVDDVVRSIPPILVGVVKDNESFDFCMCNPPFFESMGEAGLNPKTSCGGTAREMACPGGEQAFITHIIEDSVSFKTSFRWFTSMVGRKANLKLLALKVREVGASVVKTTEFVQGQTARWGLAWSFIAPRKMVIRANIPGKVHHSFMLQGHRHICGAFKVLKSAETFFCASNLSCKTDSVSFSIDVTIPDEQTQAAVLHGDDFPGSVEDNSTKLHSAVTGTSFRISVFEQMPGTLLIRGSLLNKALSGTFSSLFSQLEDTLKEEFCCKAR* >Brasy4G102100.1.p pacid=40086215 transcript=Brasy4G102100.1 locus=Brasy4G102100 ID=Brasy4G102100.1.v1.1 annot-version=v1.1 MERSDSMSESPRKRNGLLRDQVQLVKRKDTGRYEIVPFPEPLSFEKGFFVMIRAIQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKMSCRTGYRTVDVPSSRIVIIEGIYALSDKLRPILDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAHIRIINKFNPFSGFQNPMYILKSPRSLTPDEIKAALGEDQTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSCVFSDGKATVKIDWLEQLSRRYIQVQGRDRLYVKFVAEQLGLDGSYIPRTYIEQIQLEKLMNDVMALPDDLKTKLSIDDELVSSPKEAFSRASADRRNKLMKSGLSHSYSTHGDKSIVKLNKLTETNRRFGSGRTPEPPAINQGAINQLSEQISTLNERMDEFTCRVEELNSKFTLMKPSSSQQNLALPSETRNGSAPTNLFVSQLGNGTLIPHSSSSNQLSKESPMMEEVMNLSRGQRQVIHQLDNLTNLLHEHLVLTRQGNSMSRNRILEGFDMAICPLIILTIGSVGYFAFKSLNRT* >Brasy4G004500.1.p pacid=40086216 transcript=Brasy4G004500.1 locus=Brasy4G004500 ID=Brasy4G004500.1.v1.1 annot-version=v1.1 MAPEDDAPPAAAPPSRPALPGGADEYVRDSIHHSLGLPVPDRSLRLKLLASEDLRRRLQDHVFALEEELRAAARRIDQLKMESAMNAEGIRRCVEEKEAIAESCNQLSAQSARLEKECTLYERDLERAMESCDELARENEEIRARLNDNAHLTALNDEVQALQKDKENLKANLNKAEEEVKLLFEENRMLDEANKKLLSMLEKERHHRSERKNSASNSTKQKRKSSSLKDTSPVSLAIDFNSADASRQPLSPLPPNSPDFRVHKK* >Brasy4G004500.2.p pacid=40086217 transcript=Brasy4G004500.2 locus=Brasy4G004500 ID=Brasy4G004500.2.v1.1 annot-version=v1.1 MAPEDDAPPAAAPPSRPALPGGADEYVRDSIHHSLGLPVPDRSLRLKLLASEDLRRRLQDHVFALEEELRAAARRIDQLKMESAMNAEGIRRCVEEKEAIAESCNQLSAQSARLEKECTLYERDLERAMESCDELARENEEIRARLNDNAHLTALNDEVQALQKDKENLKANLNKAEEEVKLLFEENRMLDEANKKLLSMLEKERHHRSERKNSASNSTKKRKSSSLKDTSPVSLAIDFNSADASRQPLSPLPPNSPDFRVHKK* >Brasy4G145700.1.p pacid=40086218 transcript=Brasy4G145700.1 locus=Brasy4G145700 ID=Brasy4G145700.1.v1.1 annot-version=v1.1 MKVVLDATRKSEYLHVRCNTPVIHRDLKSSNVLLDSDFNASVQSFDFLLRFCLAVTGGKSQQRNLRLFGTLGYVAPEYLLGGKLTEKSDTHLE* >Brasy4G206500.1.p pacid=40086219 transcript=Brasy4G206500.1 locus=Brasy4G206500 ID=Brasy4G206500.1.v1.1 annot-version=v1.1 MDNSSATRIVVRVCAYAEFGDDGTQIWHPTRDELKIVDRDCVNFKDFSEELDQDIKHGLDQRLLITFWDKVSHTFAEIKHDALLLTAIDMYWDERRLPIMASVVPKENNSTLACMPELAAPPNPADKPQQQPTDSEHESAHSEHESSSSDEPPVLDDWVDAEVEYVGVDDEHEYKELLSDSDDSNLDDGYDSDESYQDDLAVDDTVGCETIVHVTDFENPKIEVSITFEDDKEDAKETHLCKHRDSGEELHGK* >Brasy4G364800.1.p pacid=40086220 transcript=Brasy4G364800.1 locus=Brasy4G364800 ID=Brasy4G364800.1.v1.1 annot-version=v1.1 MGKKKLAPFFLAILLITTLEMVPEVAGDDLTCDIPWQPCTGVCFKSGECMRCCKKFGYYHGRCNLAKGDGCYCCHEPKSGDGGQH* >Brasy4G429400.1.p pacid=40086221 transcript=Brasy4G429400.1 locus=Brasy4G429400 ID=Brasy4G429400.1.v1.1 annot-version=v1.1 MPPKRGRGRPPKDPAADAEENGEKEFGEEIPQASGVVENDENKEGEIPQASSEEHGENKGTEEMPQASSEENGADERTEASKPTRKRRGDPLADPSSLEIMTRQLRTRRSAPVIDVPVPNAVPNPKPKNTKRMDGTSTMCHQCQRKDKGRVVRCLGCKQKKSRRRYCVPCMTRWYPQLTEDDFVNNCPFCRNNCNCKTCLRRNIIEKVDNWIPNKDTTKFSLRIAHFLLPWLKDFHREQMLEKSVEATLRGIDTRDVRVPQANVDKDERIYCDNCRTSIVDFHRSCSKCSYDLCLSCCQEVRQGLTSGCGTASDMVLRKPVVEGKKDLQKGSDHDIVASERSSYGQSCMQSNNTVPVEASAPSLKQWMLDSNGSIQCPPNAFGGCGDSVLELKCLLEENLIPDLLEKADSVVNNETALEVGSSKCSCFADSGEMINGMSRKLAYRENSSDNYIYCPTARDVQNGDLDHFQEHWLKGQPVIVRNVLELTSGLSWEPMVMWRALREKKDKDEYERLAVTALECLTWFEVDVNIHKFFEGYSRGAIGPQNLPLLLKLKDWPQHSSFEERLPRHGAEFMSALPFRVYTDHTSGPLNLAVKLPKEVIKPDLGPKTYIAYGVSQELGIGDSVTKLHCDMSDAVNILTHTDEIKLKTQRITAVQKKKESLTLHKGSGNLQASGTDPDCDMSMPLSESTKVARPEGFGHGSSITQPVPDVLQEREGDVVAEGNLTVNGQSSIQGNTDPMDISFSKEKAEKKICDMNGIEKVGDGSSSDYKSEPCNDVEGTSEPTGPRTRRKRHSTKELNETSTESEVADEAETRRKRRKLRSTEELNETSTGGEVPEIDITLETKDDDPFVEENQPEGGALWDIFRREDVSKLHDYLMKHSDEFRHYNYEPVKQVAHPIHDQCFYLTNEHKRKLKEEYGIEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVHECIRLTEEFRLLPKGHRVNEDKLEVKKMALYALKEAIRDVTKTNGNESNNDLGNGRAQRKPSSRGVSQKEKRKLAEMGKHETQPAEPMSTMP* >Brasy4G429400.3.p pacid=40086222 transcript=Brasy4G429400.3 locus=Brasy4G429400 ID=Brasy4G429400.3.v1.1 annot-version=v1.1 MIYLKCFNGCWLWRQENGEKEFGEEIPQASGVVENDENKEGEIPQASSEEHGENKGTEEMPQASSEENGADERTEASKPTRKRRGDPLADPSSLEIMTRQLRTRRSAPVIDVPVPNAVPNPKPKNTKRMDGTSTMCHQCQRKDKGRVVRCLGCKQKKSRRRYCVPCMTRWYPQLTEDDFVNNCPFCRNNCNCKTCLRRNIIEKVDNWIPNKDTTKFSLRIAHFLLPWLKDFHREQMLEKSVEATLRGIDTRDVRVPQANVDKDERIYCDNCRTSIVDFHRSCSKCSYDLCLSCCQEVRQGLTSGCGTASDMVLRKPVVEGKKDLQKGSDHDIVASERSSYGQSCMQSNNTVPVEASAPSLKQWMLDSNGSIQCPPNAFGGCGDSVLELKCLLEENLIPDLLEKADSVVNNETALEVGSSKCSCFADSGEMINGMSRKLAYRENSSDNYIYCPTARDVQNGDLDHFQEHWLKGQPVIVRNVLELTSGLSWEPMVMWRALREKKDKDEYERLAVTALECLTWFEVDVNIHKFFEGYSRGAIGPQNLPLLLKLKDWPQHSSFEERLPRHGAEFMSALPFRVYTDHTSGPLNLAVKLPKEVIKPDLGPKTYIAYGVSQELGIGDSVTKLHCDMSDAVNILTHTDEIKLKTQRITAVQKKKESLTLHKGSGNLQASGTDPDCDMSMPLSESTKVARPEGFGHGSSITQPVPDVLQEREGDVVAEGNLTVNGQSSIQGNTDPMDISFSKEKAEKKICDMNGIEKVGDGSSSDYKSEPCNDVEGTSEPTGPRTRRKRHSTKELNETSTESEVADEAETRRKRRKLRSTEELNETSTGGEVPEIDITLETKDDDPFVEENQPEGGALWDIFRREDVSKLHDYLMKHSDEFRHYNYEPVKQVAHPIHDQCFYLTNEHKRKLKEEYGIEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVHECIRLTEEFRLLPKGHRVNEDKLEVKKMALYALKEAIRDVTKTNGNESNNDLGNGRAQRKPSSRGVSQKEKRKLAEMGKHETQPAEPMSTMP* >Brasy4G429400.2.p pacid=40086223 transcript=Brasy4G429400.2 locus=Brasy4G429400 ID=Brasy4G429400.2.v1.1 annot-version=v1.1 MPPKRGRGRPPKDPAADAEENGEKEFGEEIPQASGVVENDENKEGEIPQASSEEHGENKGTEEMPQASSEENGADERTEASKPTRKRRGDPLADPSSLEIMTRQLRTRRSAPVIDVPVPNAVPNPKPKNTKRMDGTSTMCHQCQRKDKGRVVRCLGCKQKKSRRRYCVPCMTRWYPQLTEDDFVNNCPFCRNNCNCKTCLRRNIIEKVDNWIPNKDTTKFSLRIAHFLLPWLKDFHREQMLEKSVEATLRGIDTRDVRVPQANVDKDERIYCDNCRTSIVDFHRSCSKCSYDLCLSCCQEVRQGLTSGCGTASDMVLRKPVVEGKKDLQKGSDHDIVASERSSYGQSCMQSNNTVPVEASAPSLKQWMLDSNGSIQCPPNAFGGCGDSVLELKCLLEENLIPDLLEKADSVVNNETALEVGSSKCSCFADSGEMINGMSRKLAYRENSSDNYIYCPTARDVQNGDLDHFQEHWLKGQPVIVRNVLELTSGLSWEPMVMWRALREKKDKDEYERLAVTALECLTWFEVDVNIHKFFEGYSRGAIGPQNLPLLLKLKDWPQHSSFEERLPRHGAEFMSALPFRVYTDHTSGPLNLAVKLPKEVIKPDLGPKTYIAYGVSQELGIGDSVTKLHCDMSDAVNILTHTDEIKLKTQRITAVQKKKESLTLHKGSGNLQASGTDPDCDMSMPLSESTKVARPEGFGHGSSITQPVPDVLQEREGDVVAEGNLTVNGQSSIQEGTSEPTGPRTRRKRHSTKELNETSTESEVADEAETRRKRRKLRSTEELNETSTGGEVPEIDITLETKDDDPFVEENQPEGGALWDIFRREDVSKLHDYLMKHSDEFRHYNYEPVKQVAHPIHDQCFYLTNEHKRKLKEEYGIEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVHECIRLTEEFRLLPKGHRVNEDKLEVKKMALYALKEAIRDVTKTNGNESNNDLGNGRAQRKPSSRGVSQKEKRKLAEMGKHETQPAEPMSTMP* >Brasy4G339300.1.p pacid=40086224 transcript=Brasy4G339300.1 locus=Brasy4G339300 ID=Brasy4G339300.1.v1.1 annot-version=v1.1 MATVSAHLGSPPPPPLRLPRRRATFSAGARRSATGRCRITRSRRFSRLDSWTTKASMSGAEPSAGGDDASEIVDVLEVESSNPGVSFLAKVAVALGVAATVTAISVFMKQPSSVPSFSMPQIVDASAQSDAATAAIGYTFSLFGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLSRTLCLDGTWQSLVNSFSMNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTRASEDIYSKLGIGKEKALSVSRAVQKYGNLIGFVERFSIGVRNPTSFLAGAVGVSADCYFAGVCCGCLFTLPIQLAVGFLLRERPVVALASVAAAVGIWTVFPYAAAACTALFLYLRQRKSGG* >Brasy4G339300.3.p pacid=40086225 transcript=Brasy4G339300.3 locus=Brasy4G339300 ID=Brasy4G339300.3.v1.1 annot-version=v1.1 MSGAEPSAGGDDASEIVDVLEVESSNPGVSFLAKVAVALGVAATVTAISVFMKQPSSVPSFSMPQIVDASAQSDAATAAIGYTFSLFGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLSRTLCLDGTWQSLVNSFSMNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTRASEDIYSKLGIGKEKALSVSRAVQKYGNLIGFGMHLKMK* >Brasy4G339300.2.p pacid=40086226 transcript=Brasy4G339300.2 locus=Brasy4G339300 ID=Brasy4G339300.2.v1.1 annot-version=v1.1 MATVSAHLGSPPPPPLRLPRRRATFSAGARRSATGRCRITRSRRFSRLDSWTTKASMSGAEPSAGGDDASEIVDVLEVESSNPGVSFLAKVAVALGVAATVTAISVFMKQPSSVPSFSMPQIVDASAQSDAATAAIGYTFSLFGKKVIIPEYTPGWVYFWLLMAAGFGLFISEEALNVWVCPL* >Brasy4G134600.1.p pacid=40086227 transcript=Brasy4G134600.1 locus=Brasy4G134600 ID=Brasy4G134600.1.v1.1 annot-version=v1.1 MASRNLVAGGDSAGFHLHSLSLAVRDSSASAAPASDPNLARSVSLQSLLPVVVRGRRVFPVASEMIKML* >Brasy4G262700.1.p pacid=40086228 transcript=Brasy4G262700.1 locus=Brasy4G262700 ID=Brasy4G262700.1.v1.1 annot-version=v1.1 MDRGLVHKSSPLVGEMGEGHGWWSVNNLRPPFEQHNPASLFLPSTTTTTTASSSPLHSFSSLLLSNHYPLPATSTAPWHDTSSSNQGQQLQDPWSHLFTSSSGGLANGEQERYKNWEGQALLQARTTNGEAADGNSAGYIYSHGSNPSGDEIQLAMSFPSPWAGGVHLHHNTQLHQHQSASSPRSSSITSNTSLGSNMLEFSNNGSPRECISTASGAAFKKARTQEPSPPQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEGLSSPYQLAGSNAGGGCSKQQHHHEASVQQGERNSIFPEDPGQQLLHDKATRKRGHPDLQDGSSGGEESKKDLRSRGLCLVPVSCTLDIGGADAGPADYWTAAPPFNMGFGLDR* >Brasy4G262700.3.p pacid=40086229 transcript=Brasy4G262700.3 locus=Brasy4G262700 ID=Brasy4G262700.3.v1.1 annot-version=v1.1 MDRGLVHKSSPLVGEMGEGHGWWSVNNLRPPFEQHNPASLFLPSTTTTTTASSSPLHSFSSLLLSNHYPLPATSTAPWHDTSSSNQGQQLQDPWSHLFTSSSGGLANGEQERYKNWEGQALLQARTTNGEAADGNSAGYIYSHGSNPSGDEIQLAMSFPSPWAGGVHLHHNTQLHQHQSASSPRSSSITSNTSLGSNMLEFSNNGSPRECISTASGAAFKKARTQEPSPPQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEGLSSPYQLAGSNAGGGCSKQQHHHEASVQQGERNSIFPEDPGQLLHDKATRKRGHPDLQDGSSGGEESKKDLRSRGLCLVPVSCTLDIGGADAGPADYWTAAPPFNMGFGLDR* >Brasy4G262700.2.p pacid=40086230 transcript=Brasy4G262700.2 locus=Brasy4G262700 ID=Brasy4G262700.2.v1.1 annot-version=v1.1 MDRGLVHKSSPLVGEMGEGHGWWSVNNLRPPFEQHNPASLFLPSTTTTTTASSSPLHSFSSLLLSNHYPLPATSTAPWHDTSSSNQGQQLQDPWSHLFTSSSGGLANGEQERYKNWEGQALLQARTTNGEAADGNSAGYIYSHGSNPSGDEIQLAMSFPSPWAGGVHLHHNTQLHQHQSASSPRSSSITSNTSLGSNMLEFSNNGSPRECISTASGAAFKKARTQEPSPPQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEGLSSPYQLAGSNAGGGCSKQQHHHEASLLHDKATRKRGHPDLQDGSSGGEESKKDLRSRGLCLVPVSCTLDIGGADAGPADYWTAAPPFNMGFGLDR* >Brasy4G262700.4.p pacid=40086231 transcript=Brasy4G262700.4 locus=Brasy4G262700 ID=Brasy4G262700.4.v1.1 annot-version=v1.1 MDRGLVHKSSPLVGEMGEGHGWWSVNNLRPPFEQHNPASLFLPSTTTTTTASSSPLHSFSSLLLSNHYPLPATSTAPWHDTSSSNQGQQLQDPWSHLFTSSSGGLANGEQERYKNWEGQALLQARTTNGEAADGNSAGYIYSHGSNPSGDEIQLAMSFPSPWAGGVHLHHNTQLHQHQSASSPRSSSITSNTSLGSNMLEFSNNGSPRECISTASGAAFKKARTQEPSPPQSTVKVRKEKLGDRITALHQLVSPFGKGLSSPYQLAGSNAGGGCSKQQHHHEASVQQGERNSIFPEDPGQQLLHDKATRKRGHPDLQDGSSGGEESKKDLRSRGLCLVPVSCTLDIGGADAGPADYWTAAPPFNMGFGLDR* >Brasy4G262700.5.p pacid=40086232 transcript=Brasy4G262700.5 locus=Brasy4G262700 ID=Brasy4G262700.5.v1.1 annot-version=v1.1 MDRGLVHKSSPLVGEMGEGHGWWSVNNLRPPFEQHNPASLFLPSTTTTTTASSSPLHSFSSLLLSNHYPLPATSTAPWHDTSSSNQGQQLQDPWSHLFTSSSGGLANGEQERYKNWEGQALLQARTTNGEAADGNSAGYIYSHGSNPSGDEIQLAMSFPSPWAGGVHLHHNTQLHQHQSASSPRSSSITSNTSLGSNMLEFSNNGSPRECISTASGAAFKKARTQEPSPPQSTVKVRKEKLGDRITALHQLVSPFGKGLSSPYQLAGSNAGGGCSKQQHHHEASVQQGERNSIFPEDPGQLLHDKATRKRGHPDLQDGSSGGEESKKDLRSRGLCLVPVSCTLDIGGADAGPADYWTAAPPFNMGFGLDR* >Brasy4G175700.1.p pacid=40086233 transcript=Brasy4G175700.1 locus=Brasy4G175700 ID=Brasy4G175700.1.v1.1 annot-version=v1.1 MAASRRRSFVSAILTAVASRAAAQSSAGANNDSMMCFSNAMTVGFFVAILAFPILAVLLAFACIRLFRPPEGNDPTAAESSSRGRPREGLDASEIAALPLVSYRDVKEHRISDGPTVELDPLECAVCLLEFEDDDSLRLLPTCPHAFHPDCIGSWLERHVTCPLCRARVLDQAPKEPREPQPLPPQETAASSSPPPQAPPVLDAALLIGRAEEEEDAARMRREARRLPRSNSTGHERAGGGRGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSTHDYPGVVGVGGKPGRSAVARLLSLFAPGAGWKGDNSEDRSGASGSSRRWWRREQDKKREAIKKTEP* >Brasy4G394500.1.p pacid=40086234 transcript=Brasy4G394500.1 locus=Brasy4G394500 ID=Brasy4G394500.1.v1.1 annot-version=v1.1 MASTVSFSPAVGANVQHSRRRTSSLRPVSRARPVAARASADREGAAAAVQARSGRTIEECEADAVAGKFPAAPPLSRPPAPSGTPEIRPLDMAKRPRRNRRSPSLRAAFQETSITPANLVLPLFIHEGEEDTPIGAMPGCFRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDGLKTQTGDEAYNDNGLVPRTIRLLKDKYPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGVLKMVDEEKVMMESLLCLRRAGADVILTYFARQAANVLAGATRPSKQ* >Brasy4G028600.1.p pacid=40086235 transcript=Brasy4G028600.1 locus=Brasy4G028600 ID=Brasy4G028600.1.v1.1 annot-version=v1.1 MMPSARTCRMYWCYACGRALRIISYPATDVFCPRCFGRFLHEIDPPPRPAPPLLLHPPGFFAPPQHFAPHYDAQHRRWVVYGTGGTPTVPGRAFRHPMPAPSPAPAPAPPPPPARQRPSTPPAIDPGDYFTGGDMNLNSLVEELTQNDRPGPAPASASAIDSLPTVRITAKHMADGSQCPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRFQLPGAGPGASGSNAAGRGNAANRRGGSNGGGREREPPGTMVRWGPLSWMWPPRGMEDPDDGWEYGRHGHGRGRGHGRPEAGDAGAFYALWRFLFLL* >Brasy4G079700.1.p pacid=40086236 transcript=Brasy4G079700.1 locus=Brasy4G079700 ID=Brasy4G079700.1.v1.1 annot-version=v1.1 MDASDDLEVMRICLRCAERQLSTAQDEISLLQSKVWAASGREDFLLGELVKMSTDLREMMPEPYFEAERVKKRLNTAAYSGPGAPAFWSDRDRGYTLALLQDRVARAVTCLESCSHCMTAVHRNLFSELPPPVDLKGLIERFCDGTVIKGSTREEMVEGAILALAFVRLRYPSLNLDFLHIAPPFVPDDAQLGPIYDAVELTARQLVTLMVLE* >Brasy4G041200.1.p pacid=40086237 transcript=Brasy4G041200.1 locus=Brasy4G041200 ID=Brasy4G041200.1.v1.1 annot-version=v1.1 MRWQTNFHELARLLILLLSLSSPPSKSRASSPSLLHCRRPPWFHRRRPPAPEHRRPSSTGGLPHRRPSSTDGLLHRRSSPIGPCSAGAGSGRRGSSRHGSFCAAPGGLLHAAEGHGKQWRAAAAGPEAEPPDPSAPTKPSAGSGVLGNARCRIRCPIPKPSGAAHLPNPVRLHSSRQRPQQLLTSAARVRRRRRGESSSYPSSNLLPCSYYSPAQQFLDGWMHS* >Brasy4G208200.1.p pacid=40086238 transcript=Brasy4G208200.1 locus=Brasy4G208200 ID=Brasy4G208200.1.v1.1 annot-version=v1.1 MVTHQPSLLRAPFPTGLSHRTSHAFLLTAAAHSPPLPPLRLRSLRAAAGEASPVVDDGGKRATPPSLGAKVLDFARSNFLPLALTGGIAWGLLDPTLGCLAHKCSLSKYSTFGIFLISGLTQRTKELGAALEAWPAGLFGLGSILLFTPFLAQFIVQIQFFPREFITGLAMFCCMPTTLSSGVTLTQLVGGNSALALAMTVLSNLLGIMFVPVSLAKYIGAGAGVSLPSEKLFKSLVNTLLIPLILGKVARETSKDIAEFVDENRKSFSVISAVLLSLVPWIQVSRSRSLLLSIQAKAFATTVTIGVLLHLALLAFNATALQVLSCFKQKEESVFARKDYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQIIIDSILVNWWRQRDQQCAIVK* >Brasy4G194400.1.p pacid=40086239 transcript=Brasy4G194400.1 locus=Brasy4G194400 ID=Brasy4G194400.1.v1.1 annot-version=v1.1 MTQEPTSLLPVWGRTTARRPNTRRHSVHATTADAMDGDAHHQPSSSSSGRTFLSGLCAAALRRKPLSARASTAASGEGLVRQLGVLELVLLGIGASIGAGIFVITGTVARDAGPGVTISFALAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFIQFVELIPSAKGHVPSWIGHGEEFFGGVVSINILAPILLIALTAILCRGVKESSAVNTFMTTLKIIIVIVVVFAGVFEVDVSNWSPFMPNGFKAVVTGSTVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLVVCVVLYVAVCLVITGMVPYTLLGEDAPLAEAFSAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHALSHILSVGTLTGYSVVSACVITLRWSDKSTSYRSLGNMSVWQEGVLCLVLVALCGFVAGISYRFSYAIVFIIVAFLIAISASLALQFRQVYVPVDPPRFSCPGVPLVPVVSVFFNMFLFAQLHEEAWYRFVILSLIAAGVYAGYGQYNAAPSTSDHSSVVYHGVPSEAP* >Brasy4G049200.1.p pacid=40086240 transcript=Brasy4G049200.1 locus=Brasy4G049200 ID=Brasy4G049200.1.v1.1 annot-version=v1.1 MASTVSLLLSSPRPLHRAAPSLLKSPVPRLPLLGATPTAAGVGVTALRPRPRSLRLLAPPPRANNDNSDAVGAPDRLVAAVAYLYPFLDGAHHGRFLVAQFPFFNALLGPLAPAARLFHSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIVPDLLAQSFAPSGAGIGLDIFQSLENTVFLFLLVCLVYGGGACMLGMTPRLPIVADAAERQVM* >Brasy4G427200.1.p pacid=40086241 transcript=Brasy4G427200.1 locus=Brasy4G427200 ID=Brasy4G427200.1.v1.1 annot-version=v1.1 MAGVLPWLIFAATLLLASIKSSTASRMAKPGCRETCGNLTIPYPFGIGEGCFREMFDVSCENNTAYWGNLKIYNINVLGGQVKVSTFIASNCFLSKNNYITDGWAWLNTGELFTVSTEANKLTAIGCYTLAFLVGNNEHSSVGAGCFSMCADKQSVDRSGQCSGMGCCQTSIAPNVVSSNITFDPRFNNSDVSSFNPCSYAFVAEQDWFSFKAYYLEYDKFTKEYKDGVPTVLDWVVGYQNCNEAVKNMTAYACIGKNSKCTESKNATGYLCTCNDGFAGNPYLEEGCQDIDECSFPDQYPCHGICSNLIGNYSCLCQSGSHSTDPKKEICNPIGVSERGQNPIGVSERAQLTKVSIGILACVMFLLICIFALLMECQKRKLIKEKEIFFQKNGGLLLYEQIRSKQVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDNREVAIKRSKIMNMAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLPKDEIQFMTLVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDGAGEKKNLASSFLVAMKENSLRSMLDQNILEFGTELLQEVAQLAKCCLGMRGEERPLMMEVAERLKTIRSTWREQLIQNPSKETECLLENSSSHCDPSSTGQHGSLMALDLESGR* >Brasy4G368400.1.p pacid=40086242 transcript=Brasy4G368400.1 locus=Brasy4G368400 ID=Brasy4G368400.1.v1.1 annot-version=v1.1 MGCCCSIFCHERRAFRQESLKHNEDLGNADTTRYTYKELAKATENFNPSNKIGEGGFGSVYKGRLRNGKLIAVKVLSVESRQGLKEFMNELMAISNISHGNLVSLYGYCVEGNQRILVYNYLENNSLAQTLLGSGRSNIQFDWRTRVNICVGIARGLAYLHDVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNASHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTSTRLPYQDQILLEKFPEVTNGVLLLQTWMYYEQGDLEKIIDSSLGNDFDIAQACRFLKVGLLCTQDVTKHRPTMSTVVGMLTGIKDVDSEKISKPATISDFMDLKIRSMRKENEIAFASSSTLLSSIMAHSSPSSSQETTQASITFTTISDR* >Brasy4G312300.1.p pacid=40086243 transcript=Brasy4G312300.1 locus=Brasy4G312300 ID=Brasy4G312300.1.v1.1 annot-version=v1.1 MEGAGIVESFMEITSCGSYSVAVSHLSSCGWRLDDAINLYFSAGPAAADPVVPREPNPIQGLAGADVDGVRAPIPARSETLYNINHAARSSKAYAAPSIWAVERKPPPVRPVDVEMQEASMPETGIKAAVRESDGNNNVANKEEEQVMEHAEDAGAEDEAHGADGMETSDQEDGYDDSSYGYDTEAEEDNDDEQEDDDAYLQAAETDHMDALEGPGHLPRQRADNTKTLHDLFQPPYKIMFKGSFHEAKIQAARTDRWLLVNVQSPGVFTSHLHNRDLWSNEVVVEVIQDNFVFSLMEKQSTEGGKVCCFYKLDDGQLPAVLVLDPITGQLLDKWCGLVQDPGDFLTSIGKYTESKPGVLSRPKKIVKRTATPEPTVAQEPAMPKNPVSSAQEPAPVPKIEAPAAAMVEDEQPVEGETVYKLRVRFSGGNTVTKEFGSKRRVAALFAYCRSVVHEQKGTDQAFRIMRLAAGRAFEELRNDDASFEDLKLNRDTVTVVLDT* >Brasy4G366700.1.p pacid=40086244 transcript=Brasy4G366700.1 locus=Brasy4G366700 ID=Brasy4G366700.1.v1.1 annot-version=v1.1 MFLSLMVLLMMLEEEDHRLEEEKHLPEEEELMLLMLPLNLLEEDLMLLLLPLNLSEEVQNLPPNLSEEEEVLLMFLPLNMLEEEKLLLTLLPLNLLEELQVLILSLNLLEEEKLLLKLLPLNLLEELQVLIMSLLLLEVEKMQALNLLEEETMLLLMLQVLVQPLMLLVPVLRERGQHMKMTFDRMLVMEEALGAEYTHGSLLLQMQQPEIGQQQPEIGVPRNDPNEVYPILILPS* >Brasy4G366700.2.p pacid=40086245 transcript=Brasy4G366700.2 locus=Brasy4G366700 ID=Brasy4G366700.2.v1.1 annot-version=v1.1 MFLSLMVLLMMLEEEDHRLEEEKHLPEEEELMLLMLPLNLLEEDLMLLLLPLNLSEEVQNLPPNLSEEEEVLLMFLPLNMLEEEKLLLTLLPLNLLEEVLILSLNLLEEEKLLLKLLPLNLLEELQVLIMSLLLLEVEKMQALNLLEEETMLLLMLQVLVQPLMLLVPVLRERGQHMKMTFDRMLVMEEALGAEYTHGSLLLQMQQPEIGQQQPEIGVPRNDPNEVYPILILPS* >Brasy4G366700.3.p pacid=40086246 transcript=Brasy4G366700.3 locus=Brasy4G366700 ID=Brasy4G366700.3.v1.1 annot-version=v1.1 MFLSLMVLLMMLEEEDHRLEEEKHLPEEEELMLLMLPLNLLEEDLMLLLLPLNLSEEVQNLPPNLSEEEEVLLMFLPLNMLEEEKLLLTLLPLNLLEELQVLILSLNLLEEEKLLLKLLPLNLLEEVLIMSLLLLEVEKMQALNLLEEETMLLLMLQVLVQPLMLLVPVLRERGQHMKMTFDRMLVMEEALGAEYTHGSLLLQMQQPEIGQQQPEIGVPRNDPNEVYPILILPS* >Brasy4G366700.4.p pacid=40086247 transcript=Brasy4G366700.4 locus=Brasy4G366700 ID=Brasy4G366700.4.v1.1 annot-version=v1.1 MFLSLMVLLMMLEEEDHRLEEEKHLPEEEELMLLMLPLNLLEEDLMLLLLPLNLSEEVQNLPPNLSEEEEVLLMFLPLNMLEEEKLLLTLLPLNLLEEVLILSLNLLEEEKLLLKLLPLNLLEEVLIMSLLLLEVEKMQALNLLEEETMLLLMLQVLVQPLMLLVPVLRERGQHMKMTFDRMLVMEEALGAEYTHGSLLLQMQQPEIGQQQPEIGVPRNDPNEVYPILILPS* >Brasy4G096100.1.p pacid=40086248 transcript=Brasy4G096100.1 locus=Brasy4G096100 ID=Brasy4G096100.1.v1.1 annot-version=v1.1 MEDDSPVVKTAKGAMTGLAAGTIWGTIVATWHDVPRVERHVALPGLIRTVKMCGSYGVTFAAVGGLYIGVEQLVQAQRKKRDFVNGAIGAFVAGATVYGYRGRSIKSALIGGSSLAFTSAVLDVGGNTTRVDNGKAYHAYTTEKKPVH* >Brasy4G061000.1.p pacid=40086249 transcript=Brasy4G061000.1 locus=Brasy4G061000 ID=Brasy4G061000.1.v1.1 annot-version=v1.1 MARRRGVAAAAASPAVLAALSAMGMGYYSTVFVFLDHWLGLGTTAGAAHAAAFSLVVAACFFSFLCAAAADPGSVPAAFFPDAEDPQGLKSRYCDKCCMHKPVRTHHCKACKRCVLKMDHHCVWINNCVGYANYKPFIICVLNATIGSLYASVIFLCDLFQTEHDFGILYVKAIHILAGVILFSLCLIIGSLLCWHIYLICHNMTTIEYREAFRAKWLAEKSGQKYRHRFDQGTRKNIQMIMGPNILCWLCPTATGHLKDGTEFQNTNN* >Brasy4G121000.1.p pacid=40086250 transcript=Brasy4G121000.1 locus=Brasy4G121000 ID=Brasy4G121000.1.v1.1 annot-version=v1.1 MPPSKTKSKALLVQRFAGLGSALAGLMVVWSMVRPFLPRSVFKHYLGRFLKRYLRRALGFLDPCLTINIGEYDGGDRMRRGEVYDQTRAYLSDRCSGRARSLWADLASRGSHAFVLTMGDREEVGDEFRGATVWWQHFMYGGRRGGPGGEGDSGQFYELVFHERHRDLIVQSYLPHVCSEGQAIMARNRRRRLYTNSSTGDRHKSSWSCVLFEHPSTFETLAMDPAKKRSIMDDLDAFRDGKEYYTRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDIYDIELTSVATNIELRRLFIQTSGKSIIVLEDIDCSADLTGKRKKKSTTPRTPAAGADGVPADKKVTLSGLLNAVDGLWSACGGERIIIFTTNYVEELDPALIRHGRMDRHIEMSYCCFEAFKFLAKNYLGLDEHPLFDDVEQLLQAAKITTADVAEQLMIKCADDDADSCLANLLKALALKGEENKLAETKIIKGKKVSEDE* >Brasy4G303900.1.p pacid=40086251 transcript=Brasy4G303900.1 locus=Brasy4G303900 ID=Brasy4G303900.1.v1.1 annot-version=v1.1 MDRRCCNRDGILSCPLCSLNCRETSNHLGINCWLRVGINWAQLGNCSSIRDRVNKIKTCVPISIHREVFLSAAWEIWKGRNDRIFNSISPSSCRFSTGAKEALRAWLVSLASVP* >Brasy4G324900.1.p pacid=40086252 transcript=Brasy4G324900.1 locus=Brasy4G324900 ID=Brasy4G324900.1.v1.1 annot-version=v1.1 MSSSSSSSMGASLALAAATAMALSGSLVLFSLRRFAKHAEHDYDVPASPTSLRPCLSSSSSNSERRPRRKGEKRVRFAAGVVDNEGAAPPVARSSSARPSSSSPSEPTCRGSAGADHHRMPANREALYRGMLRDRSVHRTAYSY* >Brasy4G311300.1.p pacid=40086253 transcript=Brasy4G311300.1 locus=Brasy4G311300 ID=Brasy4G311300.1.v1.1 annot-version=v1.1 MSVEDEMVGEEEAEEHFYESLDRILSSSASSTSASDDDGDHPRRRRGYDAAAAAAALDLWTSQPAPIQERRHRLLQLMGLAGDPALARFEMGRSVSYGAVGGTPASPVTRSRSDGSAPASTAKPPLGGGCLRSTSSDASDATLEVVDEDPSCLIRNLDDGTEFVVREESGLREVGTGRQLTVEEFELFIGRSPIVQELMRRQGVANSNSNSNSQSGASTPMERSSSGSSNGGARSRRRSSWLRSIRSAAGSMVNYSRDRRSDEKDTLSEKGGRGSSSATDDSQDGVSLHGPDRVKVRRCGKSYKELSGLFMNQEIHGHKGSIWSIKFSPDGRYLASAGEDCVIHIWEVLQFGRMREEMEVEDNGTCNPFVSMTCNESPEPVLASVATEGCHWDKKLPAKALCSRRSVRSVRLMVPEHVFALSEKPVITFAGHSEDVLDLCWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWNIPKREIVDWVDLHEMITAACYTPDGKGALIGSHKGSCYAYDTSDNMLCHSKRIDLQNKKKKSSQKKITGFQFVPGSSSKVIVTSADSRIRVADGFELLHKFKGFRNTSSQISACSAANGRYIISASEDSHVYIWRYNDDSKPSRKKNIVSVTNTHEHFHCEGVTVAVAWPCTSVTMTSRANSRKQDDLDCVSGNSNILGSEPAKEDEMPATQHQSNNSIRSNNLNHNGDRVSATWPEELMTKTEQSPKSNTSLPSDEDQAPSQSAWGLVIVTASRDGRIRTFQNFGFPSIASI* >Brasy4G160500.1.p pacid=40086254 transcript=Brasy4G160500.1 locus=Brasy4G160500 ID=Brasy4G160500.1.v1.1 annot-version=v1.1 MSKPWGGLGGAGAWALDAERAEEEERETAAALPAPQAPAASFPSLREVAAAGGTGKSKKKKSTTLSLSEFATYGPGGAPARRAADAAEPKGLTTAEMMMLPTGPRERSEDELDRSRTGGGFRSYGSGYGGAGERRGGGFDDDGRRGPPGRESDLDMPSRADEDRNWSMNKKPFSSSLADSGPRSRYGSLGGGAADGRADDDGDWSRGKKPLPSRYPSLGSGGGFRDSPASTDSDRWSRATPQLNNGERERPRIVLDPPKRDSSTTPMPPAEAGRSRPSPFGAARPREDVLTEKGLDWRKMETEIDEKKSSRPTSSQSSRPGSAHSSRPGSPGSQVSAVGTEGAPKPRPKVNPFGNAKPREVILQEKGKDWRKIDLELEHRRIDRPEANEERALKEEINLLKVDLKETEGNNNDEHAKSLSEKISQMQRDLELLTTELDDKIRFGQRPASGAGRVAAPAPTNLAEAAQVTDSMGRPRSRGGIEQYPKPMEERWGFQGSRDRSSFVGNRSSDRMPTGQRW* >Brasy4G174700.1.p pacid=40086255 transcript=Brasy4G174700.1 locus=Brasy4G174700 ID=Brasy4G174700.1.v1.1 annot-version=v1.1 MAASPSSMSGGGGEAAAVRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSTDTVAALLELVRSTGATHLFFNHLYDPLSLVRDHRVKELLAGEGITVQSFNSDLLYEPWEVLDDDCCPFTMFTPFWEKCLCMADPAAPLLPPKRIHSGDLSRCPSDDLIFEDESERGSNALLARAWSPGWQNADKAFAAFLNGPLMDYSVNSKKADSANTSLLSPYLHFGELSVRKVFHQVRMKQLTWSNESNLDGEEGCSLFLRSIGLREYSRYLTFNHPCSHEKPLLAHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGREPDRIDNPQFEGYKFDPYGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPLPIVELDEAKSRLQEALSKMWELEAASRAEMENGMEEGLGDSSDVPLIAFPQELQQMEVDREMVRGTIHIPTPAGRRRADQMVPSMTTSLVRAETELSVDFGSSEVSRAEVPSQGHFQPQMEIREEVVNGGTAAINNGVHHQQYNLQNNLHRVRSGVAPSTSEASSSWTGREGGVVPVWSPPAASGHSDPYAADEADISSRSYLDRHPESHRLMNWNKLSQSS* >Brasy4G174700.2.p pacid=40086256 transcript=Brasy4G174700.2 locus=Brasy4G174700 ID=Brasy4G174700.2.v1.1 annot-version=v1.1 MFTPFWEKCLCMADPAAPLLPPKRIHSGDLSRCPSDDLIFEDESERGSNALLARAWSPGWQNADKAFAAFLNGPLMDYSVNSKKADSANTSLLSPYLHFGELSVRKVFHQVRMKQLTWSNESNLDGEEGCSLFLRSIGLREYSRYLTFNHPCSHEKPLLAHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGREPDRIDNPQFEGYKFDPYGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPLPIVELDEAKSRLQEALSKMWELEAASRAEMENGMEEGLGDSSDVPLIAFPQELQQMEVDREMVRGTIHIPTPAGRRRADQMVPSMTTSLVRAETELSVDFGSSEVSRAEVPSQGHFQPQMEIREEVVNGGTAAINNGVHHQQYNLQNNLHRVRSGVAPSTSEASSSWTGREGGVVPVWSPPAASGHSDPYAADEADISSRSYLDRHPESHRLMNWNKLSQSS* >Brasy4G152500.1.p pacid=40086257 transcript=Brasy4G152500.1 locus=Brasy4G152500 ID=Brasy4G152500.1.v1.1 annot-version=v1.1 MEAEEASPSRKNPRRPRRRDLNALDPNLVESDGEDIGIPEVGMVFNNHIEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVEFAILDHNHPVSPSMARFLNTYKQLSGPAKRRLRMGGPGAMPVEEPSKMPFDKLDALEELLFGESKHNSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDMDDEGCVRNVFWADARTRAMYEYYNDAITLDTSYVISKYDMPLVTFLGVNHHGQSVLFGCGLLSDETVETYTWLFKVWVACMSGNLPKAIITDQCRGIQSAISEVIPGVRHRICLFQIMRKASEILGGLSEYRAISKTLQKAAYDSLTVDEFEGEWSTLVAYNGLQGHEWLSSLYECRFSWVPIFLKDTFWAGMSGTQRNETITPFFEGYVDSKTTLKQFLGKYEMILQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNIFKKFQDEIEAIMYCHVSFVGIDGPISTFNVKECIFLEDGKRTMSKIFAVTYTTEEEDITCICGGFQFSGILCRHSLSVLKFQQVREIPSQYVLDRWNKDFRQLHVMGRPSSDVVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREVEKFLLNSNTHDDTQPRIKSRIPKVNKPNTVTGLNLVNVPTDNGNGGPNVPEASVRAPQVLKGTENGGPPTGYIGVPANVQQFMGNQAAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQMFQIPQQPNGAVQETSANGKKKRPRVQKLTETSHHSNGTPGPASG* >Brasy4G152500.2.p pacid=40086258 transcript=Brasy4G152500.2 locus=Brasy4G152500 ID=Brasy4G152500.2.v1.1 annot-version=v1.1 MEAEEASPSRKNPRRPRRRDLNALDPNLVESDGEDIGIPEVGMVFNNHIEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVEFAILDHNHPVSPSMARFLNTYKQLSGPAKRRLRMGGPGAMPVEEPSKMPFDKLDALEELLFGESKHNSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDMDDEGCVRNVFWADARTRAMYEYYNDAITLDTSYVISKYDMPLVTFLGVNHHGQSVLFGCGLLSDETVETYTWLFKVWVACMSGNLPKAIITDQCRGIQSAISEVIPGVRHRICLFQIMRKASEILGGLSEYRAISKTLQKAAYDSLTVDEFEGEWSTLVAYNGLQGHEWLSSLYECRFSWVPIFLKDTFWAGMSGTQRNETITPFFEGYVDSKTTLKQFLGKYEMILQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNIFKKFQDEIEAIMYCHVSFVGIDGPISTFNVKECIFLEDGKRTMSKIFAVTYTTEEEDITCICGGFQFSGILCRHSLSVLKFQQVREIPSQYVLDRWNKDFRQLHVMGRPSSDVVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREVEKFLLNSNTHDDTQPRIKSRIPKVNKPNTVTGLNLVNVPTDNGNGGPNVPEASVRAPQVLKGTENGGPPTGYIGVPANVQQFMGNQAAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQMFQIPQQPNGAVQETSANGKKKRPRVQKLTETSHHSNGTPGPASG* >Brasy4G152500.3.p pacid=40086259 transcript=Brasy4G152500.3 locus=Brasy4G152500 ID=Brasy4G152500.3.v1.1 annot-version=v1.1 MEAEEASPSRKNPRRPRRRDLNALDPNLVESDGEDIGIPEVGMVFNNHIEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVEFAILDHNHPVSPSMARFLNTYKQLSGPAKRRLRMGGPGAMPVEEPSKMPFDKLDALEELLFGESKHNSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDMDDEGCVRNVFWADARTRAMYEYYNDAITLDTSYVISKYDMPLVTFLGVNHHGQSVLFGCGLLSDETVETYTWLFKVWVACMSGNLPKAIITDQCRGIQSAISEVIPGVRHRICLFQIMRKASEILGGLSEYRAISKTLQKAAYDSLTVDEFEGEWSTLVAYNGLQGHEWLSSLYECRFSWVPIFLKDTFWAGMSGTQRNETITPFFEGYVDSKTTLKQFLGKYEMILQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNIFKKFQDEIEAIMYCHVSFVGIDGPISTFNVKECIFLEDGKRTMSKIFAVTYTTEEEDITCICGGFQFSGILCRHSLSVLKFQQVREIPSQYVLDRWNKDFRQLHVMGRPSSDVVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREVEKFLLNSNTHDDTQPRIKSRIPKVNKPNTVTGLNLVNVPTDNGNGGPNVPEASVRAPQVLKGTENGGPPTGYIGVPANVQQFMGNQAAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQMFQIPQQPNGAVQETSANGKKKRPRVQKLTETSHHSNGTPGPASG* >Brasy4G317900.1.p pacid=40086260 transcript=Brasy4G317900.1 locus=Brasy4G317900 ID=Brasy4G317900.1.v1.1 annot-version=v1.1 MMITNFVEVWNESGAKEQPFSDFHIVYPKVPTQGNIDDCGIYVMKFMELWSPRNQQACVFPRSDVPNFRVKLANRLMFSKYNLEAKSKMLVMTSSDAVQHRQRQA* >Brasy4G266700.1.p pacid=40086261 transcript=Brasy4G266700.1 locus=Brasy4G266700 ID=Brasy4G266700.1.v1.1 annot-version=v1.1 MAPAPAQVIAVLLLTAGYTALAGSPPAPVVWQRATATFYGGADAAGTMGGACGYGNLYDEGYGTRSAALSTVLFNDGASCGQCYKIACDRKIDPRWCKPGVTVTITATNFCPPNNALPNDNGGWCNTPRAHFDMAQPAWEKIGVYRGGIIPVMYQRVPCVKKGGVRFKINGHDYFNLVLVTNIAAAGSIKSMDVMSSDSKDWAPMSRNWGANWHSLAYLSGKQLSFRITNTNGQTLLFDKIVPSGWKFGQTFASKVQFN* >Brasy4G410000.1.p pacid=40086262 transcript=Brasy4G410000.1 locus=Brasy4G410000 ID=Brasy4G410000.1.v1.1 annot-version=v1.1 MYKATDKTRRSFVLLHCWSMKSSSNASPSMSTPGSDTVHIDDSEATSPAKADHMRRPIGKKAEKERQRRDKNVISTDDSSVVMALDHVFSKRTAVEEAREEAREMARQAREEAREAGKKERYVEALAIEREKFELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy4G118000.1.p pacid=40086263 transcript=Brasy4G118000.1 locus=Brasy4G118000 ID=Brasy4G118000.1.v1.1 annot-version=v1.1 MAATGWADLQPELLRIVTGGITELADIARFRSVCPTWRDASVEAAAAPPPQPPWLVLPSSPSRLFFCPREDRLYPDLRLPAPAHHRRRRLYASPHGWILAIDPTDLAASLLHPFTGAARSLPPLPGFFAETDDLAWDMSPHGVMVSCGEGVLFCALDPISDNWSPIPAMADCNVSSINYALGDFFVFEEDVCRTTIVDAITLAVQAVVPAPHVDLPTEARVVVAGDELILLVKSKWMYIFGDDVDFSKAFHVNHRTPGADWEELTSIGELALFVDSLHGFAVGTAGFRNLESNTVYSVDTKEVNDRRSSTVKCSVSAFSLENRCSKKLACQLNKLKMSQRGEAPSWIIPSLNED* >Brasy4G267400.1.p pacid=40086264 transcript=Brasy4G267400.1 locus=Brasy4G267400 ID=Brasy4G267400.1.v1.1 annot-version=v1.1 MRPETEKRVRIIETARNELVYLRLGGVVSLPNPNRATPEATAPPPQTTAMRPQSSSTGRRGGRTASLRGRGNPSSPPWWGNGTAADDAALTPEERRHLGARRQGMLRWYHHRHLSNEARSPVGCLPCGGGGEGGGGGAGSHVACPRRQRRGGGPSFASSDEVEDSSGTAATTPTTPSRAKVKEQSRASFAEDEARRNAKEQAELDLAIELSKTPVVVISDDDE* >Brasy4G210800.1.p pacid=40086265 transcript=Brasy4G210800.1 locus=Brasy4G210800 ID=Brasy4G210800.1.v1.1 annot-version=v1.1 MGTASADQPAGASPDKLRHVESMSELPSGAGKISGISGVVLGESLADEEHDLVFPSPEFSANALVSSPKQYREMYERSIKDPSGFWSEIAESFYWKEKWNPSEVCSENLDVTKGPVQITWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKVVLTCNAVKRGAKPILLKDIVDAALVESEKNGFSVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTDFPTKCDVEWMDAEDPLFLLYTSGSTGKPKGVMHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGAAVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSKCPISDTWWQTETGGFMMTPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIAKVRNQIGAFAAPDRIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDISTLADPGVVDQLIALKDC* >Brasy4G118400.1.p pacid=40086266 transcript=Brasy4G118400.1 locus=Brasy4G118400 ID=Brasy4G118400.1.v1.1 annot-version=v1.1 MPFGGCKVDAVWCLVQGASHPASANHRPHGLLIYLTIGSAELCFSQKPELRAMIPGTYLVSLCPS* >Brasy4G406200.1.p pacid=40086267 transcript=Brasy4G406200.1 locus=Brasy4G406200 ID=Brasy4G406200.1.v1.1 annot-version=v1.1 MKNGPDVTADTGPQEAERDYKATAQPGGGRVGSRTASPRKCPGQEASILFRDAKSLCRRRPSGSWSWSGVRRLRGPPGAQGRLLDIPLHQGDNKLYGRETLYDDGGEPRCVFADPWPPAPGTRQYLERASERRRRPTRGSPRLRRAPPTSTDEPPGYHLLLPRRGQASGGGGRREGARDGSASYLPPTTSFPQTSGAAADSVGSGSPARRRRLGKEQPAASEDLARESRGVAAAGSSLGATGGCGGFDDEHREEQLDKLEQDAYRELAERNASPTPATSTSPATSPTARPSRRRPGYLRRSGSGGGGWGSGIDDDDRGPSKEQLDNVTCKEVATN* >Brasy4G184100.1.p pacid=40086268 transcript=Brasy4G184100.1 locus=Brasy4G184100 ID=Brasy4G184100.1.v1.1 annot-version=v1.1 MASGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYPSSDKHH* >Brasy4G140700.1.p pacid=40086269 transcript=Brasy4G140700.1 locus=Brasy4G140700 ID=Brasy4G140700.1.v1.1 annot-version=v1.1 MADTEAPFLQLTADDTDDDEDAPPLAGVSDFRGRPVRRNSSGGWRSALFVVVVEIAGSFAYFGVSANLITYLTGPLGQSNAAAAAAVNAWTGTCTLMPLLGAFVADSWLGRYRSIILACTLYVLGYGMITLASTLPALRPPPAGDGSAPSPAQVAFFYGSLYLIAVAQGADKPCGVAFAADQFDPGHPGERAARGSLFNWWYFSMAAGITVAVSVMSYVQENVGWGVGFGAPCAVMLAASAAFLAGSPTYRLLHTPDAAKSSSPFARLARSLLAAIKTKKKHQRQLEVEDESSEEAARSVLRLMPIWAACLAYGVVNAQIMTLFNKQGRTLDRRVHIFGFVSLELPPAALQALGPATILLFVPIYDRVLVPALRPGALTPLRRVGTGMALSTLTVCVAALVEARRLDTVRQHGEAMSWAWLVPQYLTIGVADVFAVVGLQEFFYDQMPGELRSLGLAMYLSVMGIGGFISSAMISVIDRVTSSGGGDSWFADDLNRGHLDYFYWLLAGLSAAELVLYLCLARSYVYSSNKRLVR* >Brasy4G087300.1.p pacid=40086270 transcript=Brasy4G087300.1 locus=Brasy4G087300 ID=Brasy4G087300.1.v1.1 annot-version=v1.1 MVATEKKEQEKDEGPSSRVPHLPWMRYPVDIDGFSGCPVARLPRLDPRLAEAVQRMGIESFFSVQVATWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDVIAPVVGLSVASAVGQSSIADEISDLIKKSKQELYPTLDEEYVEMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQFTRSTNQNHPWRDTAGQTLLHPLTTIRRLGVERGFKGKCYPRLAKIVCSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTTNIKPLCLIVLLQELHGEKCLVFTSSVESSHRLSTLLGFFEGLPFKFSEFSRLQRESTRRKTLADFKEGKIDVLIGTDIMARGIHIDGLKYVINYDMPPYVKTYIHRAGRTARAGESGSCFTLLRKHEVKTFDKMLKKADDSSCSLRSLPDESIETFRPVFSSALKKLEESLEPEASKKSKSGDKIPKSSKRKRTIQT* >Brasy4G087300.2.p pacid=40086271 transcript=Brasy4G087300.2 locus=Brasy4G087300 ID=Brasy4G087300.2.v1.1 annot-version=v1.1 MVATEKKEQEKDEGPSSRVPHLPWMRYPVDIDGFSGCPVARLPRLDPRLAEAVQRMGIESFFSVQVATWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDVIAPVVGLSVASAVGQSSIADEISDLIKKSKQELYPTLDEEYVEMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQFTRSTNQNHPWRDTAGQTLLHPLTTIRRLGVERGFKGKCYPRLAKIVCSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTTNIKPLCLIVLLQELHGEKCLVFTSSVESSHRLSTLLGFFEGLPFKFSEFSRLQRESTRRKTLADFKEGKIDVLIGTDIMARGIHIDGLKYVINYDMPPYVKTYIHRAGRTARAGESGSCFTLLRKHEVKTFDKMLKKADDSSCSLRSLPDESIETFRPVFSSALKKLEESLEPEASKKSKSGDKIPKSSKRKRTIQT* >Brasy4G230200.1.p pacid=40086272 transcript=Brasy4G230200.1 locus=Brasy4G230200 ID=Brasy4G230200.1.v1.1 annot-version=v1.1 MSASPDPSPSSSAPAGLHRTHPHPHAHAHHHHNLHLSTPPPPPHPPTPAGPLAVTPHQQALAPYSAPPPSPASATPRDYRKGNWTLHETLVLITAKRLDDDRRAGGGVSGISPSSSSSPAASLPRSAEQRWKWVENYCWGQGCLRSQNQCNDKWDNLLRDYKKVRDFHSRISSSANSVVPSGSGAASAAAAGAPLPASYWAMERHERKERNLPTNLAPEVYDALCDVLSRRAARRGGSAVAPSPAPPLALPAPTLHPPPASPPKPLLSQQQRILHHHPPLLQLPPPPPPVAAVAPPLPPAMTTTTTTTASVSAEDEMTGSSDDSGSSSGPSGGGGRDEPNAKRQRRRGRVSGGGERGRLGSSVVRSATVLARTMAACEEKRERRHRELLELEERRLRLESERTEARRQGFAGLISAVHSLSGAIHALVSDHSHGRSGDSSR* >Brasy4G017700.1.p pacid=40086273 transcript=Brasy4G017700.1 locus=Brasy4G017700 ID=Brasy4G017700.1.v1.1 annot-version=v1.1 MKIACIITELTVLDITPCPTRRRESARVQKAQEESDLRLETDGHGDELGASGFSKKKKKPGYSSAEDDEPGAGAELSDSGGSPLEEAGSDGEEESAPPKPAAKPRRRANPSSGGDGGGGDSSLPSALDVFAESRRARGGA* >Brasy4G181700.1.p pacid=40086274 transcript=Brasy4G181700.1 locus=Brasy4G181700 ID=Brasy4G181700.1.v1.1 annot-version=v1.1 MEDACLSTQLIDGDGVFNVSGLENFMKEVKLADCGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWMAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVALNSYEEKEELFKQQVSNLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGHEKVTSFTADEEWHHFEEAVQSDYVPGFGKKISSLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEAFKESFDKALEKEGFAVAARHSIQTFLEKFDKGSEDATIQQVKWDPSKVKDKLKRDIEAHVVSVRATKLSELCARYEGKLTKALADPVEALLDTASEDTWPNIRKLLQRETKAALLGLESAISTFELDEATEKELLSRLENHGRSVVESKAKEEAARVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDVDNIDNTLSLALVDSARPGTTDRSIQSLDPLASSSWERIPEERTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMVILGFNEFMTLLRNPLYMGVIFVAFLVVKAVWVQLDIANEFRNGFLPAVLSLSTKFVPTIMNILKRLADEGHTPAAPEQREMELQPKSTRNSSNSNVTSAGSSSITSSENGPEYSSPIAQ* >Brasy4G181700.2.p pacid=40086275 transcript=Brasy4G181700.2 locus=Brasy4G181700 ID=Brasy4G181700.2.v1.1 annot-version=v1.1 MEDACLSTQLIDGDGVFNVSGLENFMKEVKLADCGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWMAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVALNSYEEKEELFKQQVSNLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGHEKVTSFTADEEWHHFEEAVQSDYVPGFGKKISSLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEAFKESFDKALEKEGFAVAARHSIQTFLEKFDKGSEDATIQQVKWDPSKVKDKLKRDIEAHVVSVRATKLSELCARYEGKLTKALADPVEALLDTASEDTWPNIRKLLQRETKAALLGLESAISTFELDEATEKELLSRLENHGRSVVESKAKEEAARVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDVDNIDNTLSLALVDSARPGTTDRSIQSLDPLASSSWERIPEERTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMVILGFNEFMTLLRNPLYMGVIFVAFLVVKAVWVQLDIANEFRNGFLPAVLSLSTKFVPTIMNILKRLADEGHTPAAPEQREMELQPKSTRNSSNSNVTSAGSSSITSSENGPEYSSPIAQ* >Brasy4G230000.1.p pacid=40086276 transcript=Brasy4G230000.1 locus=Brasy4G230000 ID=Brasy4G230000.1.v1.1 annot-version=v1.1 MSAISRAIRTCASRRNLSVQAPAAAAAGAGAGLAGRRAATGRDRRGGEEEKDGRRVQWVFLGCPGVGKGTYASRLSQLLAVPHIATGDLVRLALASPGPLAEQLTEIVNNGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDLDLVINLKLREEALLAKCIGRRTCSQCGANFNVASIDIEGENGGPRMYMPPLLPPPHCESKLISRADDTEEVVKERLRVYHDLSEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA* >Brasy4G040400.1.p pacid=40086277 transcript=Brasy4G040400.1 locus=Brasy4G040400 ID=Brasy4G040400.1.v1.1 annot-version=v1.1 MPPPQPPPPRPPPPPPPPQVVPPDHPQLHGVVIITLPPPDQPSKGKTITAYTYTDDPGTPPTPPPPPRRSRSGMDPAAARRSRRVVSPRRAAAMVLVLGAFALAAYYCFYSDVAVQFLGVEEEEVEKERNETRSFLLPLYPKTRQGRALREFGDIKLAAKKVDDGGVRKGVNKLEAKRATSAGTNSTVLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPRDLLCQELQGDQNYCATCKQCDYEIEYADRSSSMGVLAKDDMHMIATNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAAISLPSQLASQGIISNVFGHCITKEPNGGGYMFLGDDYVPRWGMTWAPIRGGPDNLYHTEAQKVNYGDQQLRMHGQAGSSIQVIFDSGSSYTYLPDEIYKKLITAIKYDYPSFVQDTSDTTLPLCWKADFDVRYVEDVKQFFKPLNLHFGKRWFVIPRTFTILPDDYLIISDKGNVCLGLLNGAQIDHASTLIVGDVSLRGKLVVYDNEQRRIGWADSDCTKPQPQKGFPFFL* >Brasy4G381500.1.p pacid=40086278 transcript=Brasy4G381500.1 locus=Brasy4G381500 ID=Brasy4G381500.1.v1.1 annot-version=v1.1 MADDSMVASFWGPVTSSTELCEENYARSSYIAEFYNTLSNAPCILLALIGLVNALHQRFEKRFSVLHISNMILAIGSIIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLVLYGAAFAAVHFFVRFQVVFNLHYIGLCLLCIPRMYKYYIQTKDLAAKRLAKLWVLTIFLATLCWLVDRIFCKKLSHWYINPQGHAWWHMLMGFNSYFANTFLMFCRAQQRGWEPRITHLFGLLPYVKLQKSRKRE* >Brasy4G397300.1.p pacid=40086279 transcript=Brasy4G397300.1 locus=Brasy4G397300 ID=Brasy4G397300.1.v1.1 annot-version=v1.1 MAHPRGRVCPLLSLAVSLALLCGGADAAVRELKVGYYARTCPGAEEIVRGVMARALAREPRSVASVMRLQFHDCFVNGCDGSVLMDATPTMPGEKEALSNINSLRSFEVVDQVKEALEEHCPGVVSCADIIVMASRDAVVLTGGPRWDVRLGREDSLTASQEDSDNIMPSPRANASTLIRLFAGYNLTITDLVALSGSHSIGQARCFSIVFRLYNQSGSGRPDPHMDPAYRAKLDALCPLGGDEEVTGGMDATPIVFDNQYFKDLVHLRGFLNSDQTLFSDNAGTRQVVAKFSEDQDAFFRAFADGMVKMGELQNPRKGEIRRNCRVANGSPSPMQKQVLLVQSLVQDY* >Brasy4G240600.1.p pacid=40086280 transcript=Brasy4G240600.1 locus=Brasy4G240600 ID=Brasy4G240600.1.v1.1 annot-version=v1.1 MGSSKLTAAVILLLLPALLAPMATAASNSNLFRDYIGAMYNGVRFTDVPVDPRVRVDYILAFAIDYTTSTDPPTPTDGRFNVFWQDTVLTPDAIAEAKRLNPNVRVAVSLGGATVSGKPVYFNATSADSWVSNAVESLTAIVRLYGLDGIDIDYEQFQADPATFAACAGRLVAGLKRAGVISFASIAPYGDAEVQRYYRALWADQHVHGADIDYVNFQFYAYGASTTAARYVSLFDEQMANYPGANILASFTTAATTTSVPVDAALSACRTLQSQGKLYGVFLWAADHSRKQGFKYETEVQALLANATGY* >Brasy4G083300.1.p pacid=40086281 transcript=Brasy4G083300.1 locus=Brasy4G083300 ID=Brasy4G083300.1.v1.1 annot-version=v1.1 MAKNQLFLLLLVAAASIVATSATNTTSNSTAPSPTAYEMLGKYGFPPGILPQGVRHYVLHPDGSFKVSLPGDCEIKVAGYTLRYRSIVHGSIKSMLIDELDGLSFKLVIQSVGGSLGVSEVKRDGDHLKFQAGVVSKSFPLSDFAVSRRCK* >Brasy4G224800.1.p pacid=40086282 transcript=Brasy4G224800.1 locus=Brasy4G224800 ID=Brasy4G224800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPQLTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G362900.1.p pacid=40086283 transcript=Brasy4G362900.1 locus=Brasy4G362900 ID=Brasy4G362900.1.v1.1 annot-version=v1.1 MGGGEGKSRKRRSSASSGEEEERERKRRRDKKESRRSSRDGRGSDEEEEKRRRKKKKKHGDKGKDKERDSKERRSKEKEKSKRKDKDAAFKEISKDDYFAKSNEFATWLKEEKGKYFSDLSSESARDMFVKFVKEWNKGKLPSQYYEGITTGPRTAHNWNIKV* >Brasy4G092600.1.p pacid=40086284 transcript=Brasy4G092600.1 locus=Brasy4G092600 ID=Brasy4G092600.1.v1.1 annot-version=v1.1 MEPTRRFRRLRKASDLSSTAAASYSKPLRMHRSKEDVSCEEDRISSLPDEMLIMILDKLDARTTTTTTILSKRWLDLPRRSHTCYDLSVHEILPPRYHRLKQTMTELKAGYEAEKNTQNLTDFFAVRERYERWMKRVDLLSTILQRYERRAMRYYIKRVNAFLLGAPKKHRPVQKLRLQSLKTSRTNIDQWVAAAIARCGVEELELDFEDGCLYDFRLLDGLQNLRLKRLVLSNCYHNLASSSSVFQRLSSFGHNRCHRMA* >Brasy4G072200.1.p pacid=40086285 transcript=Brasy4G072200.1 locus=Brasy4G072200 ID=Brasy4G072200.1.v1.1 annot-version=v1.1 MSRMKFPRRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIEVNQANKGMILSMFYYGYVLSQVPGGWAAQRMEGRRVLLLSFLLWSLICGLTPLDPNRVAILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLLFPSLVKHMGPQSVFFVEAVLGVAWSVIWLKFSSEPPRSDLPKVSISKVASPEKFKAQAGGVVVPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVIMNWLPTYFELALKLSLQDMGSSKMLPYFNKFIFSNVGGVVADHLIARKILSVTKTRKLLNTIGFVVSAVALMALPSFGTPSGTVICSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEAAKASNMDLTSSETWKTVFFVPGYLCIFSSVVFLVFSTGEKIFE* >Brasy4G051000.1.p pacid=40086286 transcript=Brasy4G051000.1 locus=Brasy4G051000 ID=Brasy4G051000.1.v1.1 annot-version=v1.1 MKPQNSQTAVRRAASTTGLLLILLLVAFTACNYTSLSLNTEEFIDLPAPRSVVRHNNDNDNNAGGAACDVAKGEWVPSRAAPYYTNATCPLIDGRQDCMKYGKPGLASILQWRWQPHGHGCDLPRFDAAAFLRIVRGKSMAFVGDSVARNHMQSLMCLLSQTAGQPTEIGGAKDCVHCTRKYRYEEHGFTVAVFWTPFLVRWNLTRAGGQQFMDPHNVFLDEPDPEWSGHVAGYDYVVLNGAKWFTRPTVLYERGRLLGCVNHDCQKNNAVAVAAPEYAVRAAFRTALGALAGFCGTVVVRTVAPPHYEGGKWYDGGDCVRRRPYRGNETRLPGTEAAFHAAQVEEFRAASEADEDRGNGNGEKKKGRMVLMDVSEMMQMRGDGHPGRYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLSG* >Brasy4G140900.1.p pacid=40086287 transcript=Brasy4G140900.1 locus=Brasy4G140900 ID=Brasy4G140900.1.v1.1 annot-version=v1.1 MAGVFHGRPVLPLPVLVPAATRRLLLLTSLAAASTMDGASASASASASFPDAKTKKITTVFVAGSTGKTGKRVVEKLLEKGFGVVAGTTDVGRARGSLPQDPNLQLVRADVTEGADKLVEAVRGADAVICATGFRRSFDPFAPWKVDNLGTVNLVEACRKAGVKRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKYIRGSGINYTIVRPGGLTEQPPTGSIVMAPEDTLYEGSISRDQVAEVAVEALLCPEESSYKVVEIITRADAPNRPLKDMFASIKQK* >Brasy4G058000.1.p pacid=40086288 transcript=Brasy4G058000.1 locus=Brasy4G058000 ID=Brasy4G058000.1.v1.1 annot-version=v1.1 MGGCCCFSSGRSEADRAPVHIYHQQNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVTENPDSCADLEKSDIKSKTDDQQESLKVDEYESCEKGVSEDKAEEEDVCPICLEEYDEENPRSITKCEHHFHLCCILEWMERSETCPVCDQVTLIDEMYE* >Brasy4G103000.1.p pacid=40086289 transcript=Brasy4G103000.1 locus=Brasy4G103000 ID=Brasy4G103000.1.v1.1 annot-version=v1.1 MVMSLDNLRGFALATSSSAFIGSSFVIKKIGLKKAGDVGVRAGSGGYSYLYEPLWWIGMVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPMERRIDSVSEIWHLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFTGVNQFVYIQTWFFIVVVIICCVVQLNYLNKALDSFNTAVVAPVYYVMFTILTILANMIMYKDWDSQNATQIASELCGFVTIVAGTFLLHKTRDLGNTQPDPNSLRAECELEDHI* >Brasy4G103000.3.p pacid=40086290 transcript=Brasy4G103000.3 locus=Brasy4G103000 ID=Brasy4G103000.3.v1.1 annot-version=v1.1 MVMSLDNLRGFALATSSSAFIGSSFVIKKIGLKKAGDVGVRAGSGGYSYLYEPLWWIGMVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPMERRIDSVSEIWHLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFTGVNQFVYIQTWFFIVVVIICCVVQLNYLNKALDSFNTAVVAPVYYVMFTILTILANMIMYKDWDSQNATQIASELCGFVTIVAGTFLLHKTRDLGNTQPDPNSLRAECELEDHI* >Brasy4G103000.2.p pacid=40086291 transcript=Brasy4G103000.2 locus=Brasy4G103000 ID=Brasy4G103000.2.v1.1 annot-version=v1.1 MVMSLDNLRGFALATSSSAFIGSSFVIKKIGLKKAGDVGVRAGSGGYSYLYEPLWWIGMVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPMERRIDSVSEIWHLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFTGVNQFVYIQTWFFIVVVIICCVVQLNYLNKALDSFNTAVVAPVYYVMFTILTILANMIMYKDWDSQNATQIASELCGFVTIVAGTFLLHKTRDLGNTQPDPNSLRAECELEDHI* >Brasy4G103000.4.p pacid=40086292 transcript=Brasy4G103000.4 locus=Brasy4G103000 ID=Brasy4G103000.4.v1.1 annot-version=v1.1 MVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPMERRIDSVSEIWHLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFTGVNQFVYIQTWFFIVVVIICCVVQLNYLNKALDSFNTAVVAPVYYVMFTILTILANMIMYKDWDSQNATQIASELCGFVTIVAGTFLLHKTRDLGNTQPDPNSLRAECELEDHI* >Brasy4G096500.1.p pacid=40086293 transcript=Brasy4G096500.1 locus=Brasy4G096500 ID=Brasy4G096500.1.v1.1 annot-version=v1.1 MGAGGRMTEKEREKQELLSRASGGAAVQRSPTDKPPFTLGQIKKAVPPHCFERSVLKSFSYVVHDLVIVAALLYVALAWIPALPSALRLGAWPLYWVVQGCVMTGVWVIAHECGHHAFSDYSLLDDVVGLVLHSWLLVPYFSWKYSHRRHHSNTGSMERDEVFVPKQKEALAWYAPYIYNNPAGRLVHIVVQLTLGWPLYLAMNASGRPYPRFACHFDPYGPIYNDRERAQIFISDVGMAAVSLALLKLASAFGFWWVARVYGVPLLVVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGVLNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPADRKGVFWYSNKF* >Brasy4G025600.1.p pacid=40086294 transcript=Brasy4G025600.1 locus=Brasy4G025600 ID=Brasy4G025600.1.v1.1 annot-version=v1.1 MQSAPSTRAGSRLCVRCGERKAALKRPKTLEQICRECFYVVFEDEIHRTIVDNCLFKPGERVAIGASGGKDSTVLAYVLSELNNRHKYGLDLFLLSIDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYDWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIITSGENFRISTTTKMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTTKGGAGADGSTVRQAKQQERNRSSLQGKHGNFDF* >Brasy4G025600.2.p pacid=40086295 transcript=Brasy4G025600.2 locus=Brasy4G025600 ID=Brasy4G025600.2.v1.1 annot-version=v1.1 MSSSRTRFIELLLTIVCLNPVNVWQLELLAEKYGLPLKIVSYKDLYDWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIITSGENFRISTTTKMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTTKGGAGADGSTVRQAKQQERNRSSLQGKHGNFDF* >Brasy4G329600.1.p pacid=40086296 transcript=Brasy4G329600.1 locus=Brasy4G329600 ID=Brasy4G329600.1.v1.1 annot-version=v1.1 MGMGGRGVVGDRWSQRVLWICALGSAVSLYFVAVERQAQNRARAVSEGFKTLDSAAGGGGRGGEDV* >Brasy4G036500.1.p pacid=40086297 transcript=Brasy4G036500.1 locus=Brasy4G036500 ID=Brasy4G036500.1.v1.1 annot-version=v1.1 MDAAERQRSSVSSSPEFEFWPVHANPAASPSCADELFAHGLILPLPVLPPKIARRHDDDNNCCAAPEEPDRAEAACPGASTVGAVLSASITSPAAPGGSKRWTDMFARKPAEDKAEKRKDGAGPRKPPAPTGGSELNINIWPFSRSRSAGGGGSSNSKPRAPPRKISSAPCSRSNSRGETAVATSGPPRRWAASPGRAGGGVPVGRSSPVWQIKRPPPPAKPFAADQPADRRTHHHKDKGNKKAGVGGGGGMRGINLSVNSCIGYRHQVSCRRADAGVAGGRSTGDGGLFGIKGFFSKKVH* >Brasy4G360900.1.p pacid=40086298 transcript=Brasy4G360900.1 locus=Brasy4G360900 ID=Brasy4G360900.1.v1.1 annot-version=v1.1 MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRMLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHFIHRRFDLKGSSLGRTTDKPQTEIDEYTILKDLDLNFIFRLQKHWYQEFQRQVDKDCDFLEQENIMDYSLLVGVHFRDKRVIMTEGSFDSDSSRGSSPHLSPHLSRGDTDPNRLCKIKLGSNMPTRAELTIRKSELEAQLIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEDKADT* >Brasy4G360900.2.p pacid=40086299 transcript=Brasy4G360900.2 locus=Brasy4G360900 ID=Brasy4G360900.2.v1.1 annot-version=v1.1 MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRMLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHFIHRRFDLKGSSLGRTTDKPQTEIDEYTILKDLDLNFIFRLQKHWYQEFQRQVDKDCDFLEQENIMDYSLLVGVHFRDKRVIMTEGSFDSDSSRGSSPHLSPHLSRGDTDPNRLCKIKLGSNMPTRAELTIRKSELEAQLIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEDKADT* >Brasy4G112100.1.p pacid=40086300 transcript=Brasy4G112100.1 locus=Brasy4G112100 ID=Brasy4G112100.1.v1.1 annot-version=v1.1 MPSSKRPSPRAAMDDDAAAAAGLHPSSPRSKKRSSRPKARSGDRRSPNPNPNPRRRADYHGSEPSRKSERKRKPRSFPDSAPLALAVAAPASSSGGGHGAAQKLWTESDEVALLNGAISFRARNGGPPRLPDMGALYESIRNSLSPHLDQAKVYYKLKRLKSKFFNSAPSGTSNPHELLVRGLSADLWDTELAPPVEDDVVAEEEEEPEEGYTGEDVVGAASLPLVSGLLGEYWRRNGSALSGVSLEKGLALLGAEEGRVAEAKWRRQLDAEMRTQVRRHDLAKEVFGLLIDAVKGLGP* >Brasy4G112100.2.p pacid=40086301 transcript=Brasy4G112100.2 locus=Brasy4G112100 ID=Brasy4G112100.2.v1.1 annot-version=v1.1 MDDDAAAAAGLHPSSPRSKKRSSRPKARSGDRRSPNPNPNPRRRADYHGSEPSRKSERKRKPRSFPDSAPLALAVAAPASSSGGGHGAAQKLWTESDEVALLNGAISFRARNGGPPRLPDMGALYESIRNSLSPHLDQAKVYYKLKRLKSKFFNSAPSGTSNPHELLVRGLSADLWDTELAPPVEDDVVAEEEEEPEEGYTGEDVVGAASLPLVSGLLGEYWRRNGSALSGVSLEKGLALLGAEEGRVAEAKWRRQLDAEMRTQVRRHDLAKEVFGLLIDAVKGLGP* >Brasy4G112100.3.p pacid=40086302 transcript=Brasy4G112100.3 locus=Brasy4G112100 ID=Brasy4G112100.3.v1.1 annot-version=v1.1 MDDDAAAAAGLHPSSPRSKKRSSRPKARSGDRRSPNPNPNPRRRADYHGSEPSRKSERKRKPRSFPDSAPLALAVAAPASSSGGGHGAAQKLWTESDEVALLNGAISFRARNGGPPRLPDMGALYESIRNSLSPHLDQAKVYYKLKRLKSKFFNSAPSGTSNPHELLVRGLSADLWDTELAPPVEDDVVAEEEEEPEEGYTGEDVVGAASLPLVSGLLGEYWRRNGSALSGVSLEKGLALLGAEEGRVAEAKWRRQLDAEMRTQVRRHDLAKEVFGLLIDAVKGLGP* >Brasy4G101600.1.p pacid=40086303 transcript=Brasy4G101600.1 locus=Brasy4G101600 ID=Brasy4G101600.1.v1.1 annot-version=v1.1 MDSRRETSETLRNKCAACFRQYNKMEHMVEHMKVSYHSVHEPRCGACGKHCRSFESLREHLIGPLPKVECARVFGARGCGICLNIFDSPATVRYHRPACQYSRAAPMPKAGSARGRAVAMACKMVGGGSDGSLDLCARLCIIGEDETVIFQTYVKPTVPVTNYRYEVTGIRPEYLRDAMPLKLAQRRVQDILCNGEPLWKIRPRSYGRARVLVGHGVDQDLERLGLEYPAFMIRDTAKYPPLMKTSKLSNPLKYLAQAYLGYDVHTGVQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGEVQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDP* >Brasy4G125000.1.p pacid=40086304 transcript=Brasy4G125000.1 locus=Brasy4G125000 ID=Brasy4G125000.1.v1.1 annot-version=v1.1 MAERLNDDGDVKVAVADVEDRISALPEDVIQQLLSWLPSRDAVQTCVLARDWRNRWKTVPCLRIYHPAEDMETYGSFEGLSNFGNNLLRSLNKHLWMNV* >Brasy4G120500.1.p pacid=40086305 transcript=Brasy4G120500.1 locus=Brasy4G120500 ID=Brasy4G120500.1.v1.1 annot-version=v1.1 MAAPPTMVAGARPVAGGGGSLYEALRVGRAATQVEIRTAYRAMAKRLHPDVVVSCSCAGAGGGGPEAFLEIRRAYETLSDPAARARYDSSLGVFRGAGNGGMMRVRRWETDQCW* >Brasy4G084100.1.p pacid=40086306 transcript=Brasy4G084100.1 locus=Brasy4G084100 ID=Brasy4G084100.1.v1.1 annot-version=v1.1 MGIPSGLQFFFHRDQGVHPSGLSVTVREHPANGRGTMVTSSERVRMNSFLGQFGKNTRHTDTHTPSPKHRPPLSPQKTKSPLLQSRFHFSPRRQENQNPINPIRKSEEQLSSQGRIISPLRVFHRIPRPFLVSPPIFFHLKAFPRSYLLFPRRRGGRYCVRSCCAQARRRKEAMALPAGLVRISPLLLLLVVLPFCAGKHHAPREFRTALHSGSATEHGFVVRRSIAEAPADINVTTNTSFILAADRTYRKDPLNGFNKYQGGWNISEVHYWASVGYTAYPLFVVALLWFVLFFLLMLGICCHHCCCPHHSYNYSRLAYALSLIFLILFTCAAIAGSIVLYDGQGKFHSSTTTTLDFIVDQADFTVDNLRNLSDSLSAAKKVDIGQFLLPADVMNQINEIQGKLNSSANDLATRTSDNSAKIQKLLNRVRLALIIIAAVMLLLAFVGFLLSIFGLEFLVNILVVVGWILVTGTFILCGVFLLLHNVVGDTCVSMDQWVAHPTEHTALDDIIPCVEPATANESLTRSRQVTSQLVNLVNQVITNVSNQNFPPAAAPFYYNQSGPLMPTLCNPFTPDLNNRTCTRGEVSLDNATQVWRSFECQTTTVSGAEICTTVGRLTPRIYGQMAAGVNVSQGLYQYGPFLVQLEDCTFVRDTFASISQNYCPGLERYSKWVYIGLVLVSTAVMLSLIFWVIYARERRHRVYNKQHQGVAGQNYPRGDDKPVPMGA* >Brasy4G198600.1.p pacid=40086307 transcript=Brasy4G198600.1 locus=Brasy4G198600 ID=Brasy4G198600.1.v1.1 annot-version=v1.1 MRSAYQIAALAHVARRLVVAAFSSRAPSRGCPLHAELARPRPPPSPSAPAPPPPPTAAPASPRPSRTRRRSRAGALTHARRVFDSGAALDVVSWNTMVSGYGKSGDLAAAREVFGRMPGRNLVSWSAIIDALVRAEEFGEALSASCSRVKACAHLGPVKRARWAHWYLESEGFVGGKGMSWLRLDMYCKCGCMEEAWCVFDGIRCHDVVLWNSMIGGPAMNGHGDRALELFQVMLRKVPRQISRRHVDEGSAIFKSMRYHGIEPQREHYRRLADLLGLGGRMDEAEAVLLDMPMEPHALQWGALMLSCQMHNDITIGEHVGKRLIELEPHHGGRDAVLFNLHAANGRWEDMMLEKGAKKDAGLSLME* >Brasy4G374100.1.p pacid=40086308 transcript=Brasy4G374100.1 locus=Brasy4G374100 ID=Brasy4G374100.1.v1.1 annot-version=v1.1 MLRLRSCILTHLLSSPSASPGSSLRRLLSSAGAAPAVSTNPSFAVEDYLVDTCGLTRTQAFKASTKLSNLKSPTKPDAVVAFLAGLDLSSADIAAVVAKNPRLLGASVERSLAPAVVELTGLGLSRSDIARLLLLAGVSLRLRSIVSKLQYFLPLLGSSENLLRALKYSSYLLTSDIERVIKPNVALLQECGIGGHDIVRVCKRANWILGVNPQRLPAMVEWAEGLGVPRGSGMFIEALQAVAFLSDEQIAAKAEYLKKTFRWSDAETRIAISKAPILLTKSKDILQSRSKFLISEAGLEPAYIAHRPILLKYSLGSRSRPRYYVINFLKANGLLGHDRDYYNTVTIKEKVFVEKYICPHKEAAPHLAEDYAAACRGEVPTRFRFT* >Brasy4G123900.1.p pacid=40086309 transcript=Brasy4G123900.1 locus=Brasy4G123900 ID=Brasy4G123900.1.v1.1 annot-version=v1.1 MDALMANYASGSDDDEPTASTLETQEASALLPPPPLDLLQPPNFVDYSKMAQGSRIRSFPHVEGNYALHVYIPVVIPFDARKQLTIVMRRAASLVPDLYAVDADYALAELCKDEQKLEKVLLAREFHVSLGRTVAIQVHQIDSIVAMLRQKFQSQQSRYWMDFNKWEHFVNDDSTRSFLSLEVTKTGLSEISKQVHMVDEVYRLHGLPEFYKNPRPHISLVWALGDISSKLKQATKEIENFQNSVNSYKNCNLRCKFSRVVCKVGKKVYDICQAVD* >Brasy4G026400.1.p pacid=40086310 transcript=Brasy4G026400.1 locus=Brasy4G026400 ID=Brasy4G026400.1.v1.1 annot-version=v1.1 MHDAKRAQTPRGALKAANGGDAGTRGGGSEKAAGSGPWRAPAHEVFVVGILGTMALLVLVFGTGRGGSLSPSMLPGTAFSFVSCTRAAAAASPDDKPAHDQDQDARLLGGLLSPVFDEQSCRSRYASSLLYRTPSPFRAPPDLVERLRRYEARHRRCGPGSPSFKQSIEHLRSGRNAASSECQYVVRTPLNGLGNRMLALASTFLYALLTDRVLLVHTPEEFHGLFCEPFPGSSWTLPDGFPITDFDGTFTMSSPTSYKNMRQAGAGLNATAERLPAYVFLDLADQRVLAEFSWMVVKSDVYFAAMFFLMPAYSRELALLFPEKEAVFHHLARYLFHPSNAVWGIVRDFYKAYDFAGADERVGLQVRVFPETPIPFDAIYGQIVRCSEQQEGLLPKVENSSVGPPGSGKKKKLTSILVTSLASGYSERIRGVYRAAEGHVAVHQPSHEGEQRTEARGHNQRALAEIYVLSSCDRIVTTAVSTFGYVAHGLAGTRPWVLLRPPAEPACVRSLSAEPCMHAPPSGVLCGASEGGDVGALVPYLRHCEDVPRGVKLFS* >Brasy4G307700.1.p pacid=40086311 transcript=Brasy4G307700.1 locus=Brasy4G307700 ID=Brasy4G307700.1.v1.1 annot-version=v1.1 MGRKPSASAAAVAAAADDHDSLAASAKAAELLEAAANCDGVQGHSQFFDALVQLIPPRFYLSAGDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSVADQEAEGDQDKSQDESNESEDEAGSEDDDDEEEEEEEEIPMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTSQEFLNKPQKEKGKKVKGTKVKGKDGGMKRKREDGTEDADGTDGKKHKKEADGKAPDIVYGNVLVDPKEARRRKKRRVKNKKKELEQAKRMQRAKEDPKKATKMAWDVATRRAAGEKVHDNPKLIKESMKKDKKRQQKHAEQWKDRQKTVDSKRKEKQNTRKENIKERAQQKKMRKIEKREKKLMRPGFEGRKQGYVNE* >Brasy4G265800.1.p pacid=40086312 transcript=Brasy4G265800.1 locus=Brasy4G265800 ID=Brasy4G265800.1.v1.1 annot-version=v1.1 MVLARTFPIPHVGMLASGFTKASPGLPAGGGGELNGEGSAGGGGEGAHWSRRGGDFVGGSGEPTFAVGGGNLTGGGGELTGAGDGLMEPWR* >Brasy4G226100.1.p pacid=40086313 transcript=Brasy4G226100.1 locus=Brasy4G226100 ID=Brasy4G226100.1.v1.1 annot-version=v1.1 MFALFKYLWSMINSSIFQSSSYCLQLKGQTKPFTIAMATKQKSVPSLFAFLKEGLLLPNRNRGLFAAVTALIVASNWVLLVTNDVAIQPLSDEIQLDVKALNGTDPRSPDYAKLLKEIRDDTHKLMLLAPAYLLFAVVAVGSATRIVALFAAVATYSGEEQHTFGSLLGRAKAQLKGPLLTLAFVYVLEMAYAALLALAFVVDVFLMLKGHKALFLVGAPWSSSTSPSSAPSASSWPWPSRAATARARWAGRGGW* >Brasy4G425000.1.p pacid=40086314 transcript=Brasy4G425000.1 locus=Brasy4G425000 ID=Brasy4G425000.1.v1.1 annot-version=v1.1 MADLKQSFQAGQSEAKLQDQAGHAAQAVQDTAGAAADGAQMQAHRAAETVQEAGEQVAHAAANAAAAVADAAAGATQ* >Brasy4G171100.1.p pacid=40086315 transcript=Brasy4G171100.1 locus=Brasy4G171100 ID=Brasy4G171100.1.v1.1 annot-version=v1.1 MHLIIVYYKFKRRWTSELINLAAFVHCCPYSDDEIAAGPPTPLLSTSPATSWFVPTMASFLRRRLLLLEEPAGGSGEKDALAGESGAEAALRGIGSGGPQSPALPAKGGPQQRPAAARASPRPACLPPRAAAFCRSEAMERREIYRRKEKRARGTASTGNLGNRAIVAGLEGVRAIGRAVRNHPTDDGGVFFLFALARRGRRPRKQSSFYYLVRLD* >Brasy4G358300.1.p pacid=40086316 transcript=Brasy4G358300.1 locus=Brasy4G358300 ID=Brasy4G358300.1.v1.1 annot-version=v1.1 MEELLLRHASHGRLSRPAAPRRLRVVAVALRARPSSLAVPVFPPPTPAPPALEHVLPSPPVASDAAAVLLEAGVPQDDLRRAAGMCPELMSVPVETIRAALRFLTEEAGVPAEELPRVLRRRPRLLVSPVSARLRPTLYFLRALGVPDLHRRADLLSFSVEGKLLPRIEFLEESLGLPSRAARSMARRFPALFCYGIDGNMRPKAEYLLGAMGRAADELFDFPEYFSYALATRIAPRHEACAARGVRMPLPAMLRPGDTKFEACLSGCVGSTPPRRRSPLWHAYWVDGAGAGVGEVVERARRDDASDAS* >Brasy4G364100.1.p pacid=40086317 transcript=Brasy4G364100.1 locus=Brasy4G364100 ID=Brasy4G364100.1.v1.1 annot-version=v1.1 MGNKKRLAPFFLAILLITTLLEMLPDQAAGDDLVCNIKWQPCTGVCYKSGKCMRCCKQYGFYHGRCILIKGDGCYCCHDPNGDGDGSGGIDELPR* >Brasy4G401100.1.p pacid=40086318 transcript=Brasy4G401100.1 locus=Brasy4G401100 ID=Brasy4G401100.1.v1.1 annot-version=v1.1 MKLLSWNCRGLQKPSAVQALLDLQEQVRADVIFLSESHLDRVKVDRIRRRLDFDFAIVDESDGRSGGLNRHWSWDCIRDLHARADLPWLMMGDFNEILFQHEKDGGVPRPDHMMRDFGDCLLDCGLADMGFLGDVFTWRRSEIRERLDRAVCNSRWSDMFVCSAVLNLEHHHSDHRPVLVDTDYFDGSQLRARSGGKKFEARWLMEEAVEEIVRTAWLKALADGSAPGLARHTHAVHLELHKWDRNTLKGPRERIKKAKRELEKARRGANDAESRAKQKDLQLLIENLSEQEELRGFKEAERRDRNTSFFHNAATARKKRNLIKKLLDDTGVWREGTAQVCSLASNYFSTLFSSEVAAPDPVVLERPRAKMGFVLSFIRDSGLCWERSWSLKF* >Brasy4G275300.1.p pacid=40086319 transcript=Brasy4G275300.1 locus=Brasy4G275300 ID=Brasy4G275300.1.v1.1 annot-version=v1.1 MGMLLRKYGLAIDNVIDATMVDAEGRVLDKSSMGSDVFWAIRGGGVGGSFGIVLSWQVKLVPVPTTVATFSVQKSTAEGAAGILAKWQELDLPEELFIRVLVQKQGANFQSLYLGTCAELFPVMRAAFPELGVVNATQCKEMTWVQSVPYIYLGAAATAEDLLNRNTSLDTFSKATSDYVRQPIPEAVWAEIFTAWLAKPESGLMILDPFGGSIGRVPECATPFPHRGGVLYNIQYMNFWGKDGGAAQVKWIEEFYAFMEPYVSKDPREAYVNYRDLDLGQNVVLDDGVTSYEDGKVWGEKYYRGNFERLAMAKAEIDPDDYFRNEQSIPPLCNDKRMMAGHAQTA* >Brasy4G352900.1.p pacid=40086320 transcript=Brasy4G352900.1 locus=Brasy4G352900 ID=Brasy4G352900.1.v1.1 annot-version=v1.1 MAEAVMGPLVGRLQELAVTEAWAMVAVNDDVRSLRDKLMWMQAFLREAEPRRRAKNDELIRVCLQQTRDAVFDAEDAVDQYFLQVDLSRCVVLSPDHSGYGHGNSEIDDDSSCSDDNNRTSKRQKALDDALFCFFRDQAVNSLKRNFSTFCKEINVRDEIKRQKVGVMNMSSFTRFSSKYFSSVVKKITPHQRSVIEKFGFGCLLHFDISDIPSGFICWIARHVDSVSSQIIIAEKVIPISKKSVHRIFALPNGGEEIVSDHIAGRDFILSFFQLTEIPHITFFGNKLEGSDDLNDHEVFVCFMVVAMSCLLCPSSRAHPSLSYVSILQDPNKTRGFDLCKLVYDFFIMGINKFKKVMKSHGRIPKDFHFCTYFLAVRYLDCLDFGAQNIDQTLPRILSWRGNMIKRFSELDKRSKKIFGSRKLKLKNCTVAKHHSIIEDDMGALSSSRESMHLCFGPKLCPEAIDGVFDIVQSFYSLKHDSSKLLSNLLSFMSGTTFACTCGGIHRQSKPNGGAGIVDPPAKLDFQKGQDDNSDPTTLAKTDHQEGQHDKALPVTPDCVITKVVDSSAAKEHKEFPMKKVKARFCRLITNEEELNIVNSDEKGVGVNFANIGALDDKELNSSVKIIQKIKEGAHLVSQMSPISRFTMSQPSIGKQGGTATAIMKESLVAPNNHYQSSDKCVDGLGHSAIKSSNPSNSPDVQITGTTSFRERCSVLAKKSDAAYNNLIHSRTTEPNLRSNRNKMTSRQGTVLVSTEPLTNSHPIVYHVKDLAGGRSWGNNVTRGPTNSFANQNIRFPVSDEDIANYHAIISLSMKESGYSQHDAIVYIKVHCNYESLGQSLMPDGHVDNFLVPCFCRKLFHDSHPSISGKHHFFSYIGESILKYETERNMTLIRTSFVGAGKASLDKRLGRSVMLQFPICLDKHWFLFVVHLGYQLFAFLDSFYSSESDYHEEIRGPMINNFIHLWEEIVDPIHSFDKFRILYPKVPRQRNLHDCGVFMMKCMEIWDPTVTLSDQFNVSDIANIRIQYANQLYFSNQNTVDKNVVTNYYH* >Brasy4G427100.1.p pacid=40086321 transcript=Brasy4G427100.1 locus=Brasy4G427100 ID=Brasy4G427100.1.v1.1 annot-version=v1.1 MAGVLPRLIFVATLLLASIKSSTASRMAKPGCKETCGNLTIPYPFGIGEGCFRHGFDVSCENDTVYRHNSSSKLKIYNINLLGGQATHSTYVATNCFYNKNNYSIDGFAWLNTGRFFTVSTKENKLTAVGCNTLAFLGGYNEHSVGAGCFSMCTDKKSVDRSGQCSGMGCCQTSIASNVFSSNITFDSRFTNSEVSSFNPCSYAFVAKQDWFKFKAYYLEYDKFTKEFKDGVSTVLDWVAGNENCNEAVKNMSAYACVSNNSKCIESTNDSVLITRKGDLEEPLAAAGSAASSPLPGGGGGGGGRLIAWGHARPR* >Brasy4G297200.1.p pacid=40086322 transcript=Brasy4G297200.1 locus=Brasy4G297200 ID=Brasy4G297200.1.v1.1 annot-version=v1.1 MTFFRSFGIGDVILPPTQMRAILEVVRQGNRQLQRAQAVDASSMELSVMIHETCVLLLRKLRTRCLRCNLVFVFSTLRVVLICKPLGAILVLFKLLMHCGVILSKETKIFT* >Brasy4G325000.1.p pacid=40086323 transcript=Brasy4G325000.1 locus=Brasy4G325000 ID=Brasy4G325000.1.v1.1 annot-version=v1.1 MALISAYLRPRRPAHARTGINRARRTLSLGLARSWSELSGESGSASAREWTDAASWTPGPESGEGSSVARGGRRQRGQGQQRRAGLQALARAGAAEARAATRGAARWAAGVSAREGRGGAGGGARGGRRQRGDCSRGGARGGGAAAVRGTAGGSGGTAAERAAAATEQQRRAAVGKMKSEQEEEVEKGLDRRPGQPDMWDWLVRNALIRCNRQNQCYVQQVR* >Brasy4G403800.1.p pacid=40086324 transcript=Brasy4G403800.1 locus=Brasy4G403800 ID=Brasy4G403800.1.v1.1 annot-version=v1.1 MSMFLTSSMAAAVRTALPLRDDATTAEPWLPEIGCAQLIPSSSSLSCPAPPPAGCCRPVLISVLLCVEPWTPPRSISRELLVHEATMDVTMDGKGKQPKWMN* >Brasy4G003800.1.p pacid=40086325 transcript=Brasy4G003800.1 locus=Brasy4G003800 ID=Brasy4G003800.1.v1.1 annot-version=v1.1 MGFVSLLLVASSPVVEVLLIAVLGAYLASSSGLLGASARTDINRVVYAVFTPALMLSSLARTVTLRDAVSWWFMPVNIGIIFLAGGLLGWAAVFLLRPPQHLRGLVVASCSAANFGNLLLIMIPAVCREEGNPFVQDAGAGAGVCTDRGLSYASFSMALGGLYIWTHTYSVMKRSSEIYRKMNVHDQYSTIAPAPDHHGKEEAAHDDDPKKGSLQRSEEEELEEPSWNEHEHEDEEEGLVSQPSSDNSFLVHEREQRQALLMPLVSSYHLQHSSGNKISVWDKLKHGTHQILEELTAPPTVGAVLGFSVGAVPWLRSAFVGDGAPLRVVQDALKILGDGTIPCVTLILGGNLTKGVRKTAVSRWVIAAIIGVRYVALPLIGVAVVKSARELGFLPPDPLYQYVLMLQFALPPAMSIGTMAQLYDVAQEECSVIFLWTYLVAALALTLWSTIFMSILS* >Brasy4G103400.1.p pacid=40086326 transcript=Brasy4G103400.1 locus=Brasy4G103400 ID=Brasy4G103400.1.v1.1 annot-version=v1.1 MEALSFCAISLATVILLCFLTSHWGDKSKPAKKNLPPGPWTLPIVGSLHHLIGGLPHRKMTELSRQHGPLMLLKFGEVPNVVVSSAEAAELVMRTHDLAFATRPRSVTLDIVGSGGKGIVLAPYGDHWRQMRKLCIVELLSARQVKRMESIRAEEVAQLLRSISAAPSGVVNLSEAMAVFSNDIIARAVFGGKCARQSEYLWEHGEVVRLVAGFNPVDLFPSSRLVRWLSSGERKIRRCHGGIQSIIGDIIEARKAERDAHGPCSVDDEDFLDVLLRLQEEDTLAFPLTPETMGAVIFDMFGAGSETSTTTLDWAMSELLRTPESMAKAQLEVRKALRQEGAVITNTDLSELQYLRMVIKEVFRLHPPGPLLVPREAREDCEITGYDIPKGTKILVNSFAISRDPRYWDNPEAFRPERFENRNVDYKGTNFEFTPFGAGRRQCPGMLFGTSTMEIALANLLYHFDWVLPDGADPKSLDMSEKFGMAVGRKSDLKLIAIPST* >Brasy4G195500.1.p pacid=40086327 transcript=Brasy4G195500.1 locus=Brasy4G195500 ID=Brasy4G195500.1.v1.1 annot-version=v1.1 MKPQTDLTTNFLLVNFPLSIPHTATKQSGTGRRGLDSVSEEASPVSSSAMRNPPGRHLLKVASRAVRSSSGTSGLGGGGGASTSASSPVSASSGGRPRGGGRLLRATSPPPPSAVAAAACWESRNLRRDGEDDWEEVVATGEEPAPGASEAEEDNDYRVVFWSPPTGDEVRSAFSSIQEVFENSYGAADSDETEKQLALLSTSVHSSSSNSSGSDDWVEPAAYVLNSTAFLTREHRGVLDAFRLLQRDPNVQKMVMSLSCDKAVWNAVMNNEAVQEFRRSFQDAKEIGRKGNPGGPAAVLKWILGKTQAKIVEFFDNVMKIVSMLFNPQSDEEKPDMYSEAVKVSFMLSVFVFIVVAIARINYEPWDFKVW* >Brasy4G195500.2.p pacid=40086328 transcript=Brasy4G195500.2 locus=Brasy4G195500 ID=Brasy4G195500.2.v1.1 annot-version=v1.1 MKPQTDLTTNFLLVNFPLSIPHTATKQSGTGRRGLDSVSEEASPVSSSAMRNPPGRHLLKVASRAVRSSSGTSGLGGGGGASTSASSPVSASSGGRPRGGGRLLRATSPPPPSAVAAAACWESRNLRRDGEDDWEEVVATGEEPAPGASEAEEDNDYRVVFWSPPTGDEVRSAFSSIQEVFENSYGAADSDETEKQLALLSTSVHSSSSNSSGSDDWVEPAAYVLNSTAFLTREHRGVLDAFRLLQRDPNVQKMVMSLSCDKAVWNAVMNNEAVQEFRRSFQDAKEIGRKGNPGGPAAVLKWILGKTQAKIVEFFDNVMKIVSMLFNPQSDEEKPDMYSEAVKVSFMLSVFVFIVVAIARIK* >Brasy4G288500.1.p pacid=40086329 transcript=Brasy4G288500.1 locus=Brasy4G288500 ID=Brasy4G288500.1.v1.1 annot-version=v1.1 MPEQDVCSPASSGGSGTTSSSPPASPGFGRGQGENSKRRARDDGGGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPSAVLNFPDLASSLPRPASSSPRDVQAAAVRAAAMDVMNHHQPAAAALSPLSSSAAPAMMHQDQPVISAAAEDVVDDLDAIFELPRLDEDAAGAGAGHLFGDVARSSWCDPVWMDDDGGGYTQEDLFGFGLGLDGAAVDQYHGWGAPSSVSALLWNL* >Brasy4G031500.1.p pacid=40086330 transcript=Brasy4G031500.1 locus=Brasy4G031500 ID=Brasy4G031500.1.v1.1 annot-version=v1.1 MASLARAAASAARSAVRTAPLAGRSLGSSLPAPTPARAARIMSRSAVEGLETLLPLHTAVASARLKSCIAVDSTCRSSLSQEHQDFTWRISLAARMTQMDIVNALRKGDRQRASVMLSNLQQTKEALTSEDFSDILEYCAEAPDPLFVMETLELMEENAIGLSKNNYRSVTRALSKGGHMKEALNWLNSVGEKESTHSPLPIFNIILGACASIRNLNDAESCLEKMGIHLVGKSEITYCELLKLAVFQRNLSVVNDLWKDCTRYYSPSIIIQRKFLRAFSTLGDLQSAYQILQHMVVLAGESPDHLRVSCKRRYQSTRLDIPVPALNEVEDLKLVVDTDLPSSFERKMGTGGDSIDAQPELFQVETQPSRHEQLKGYVAFLSAGDNHVDNSEIDSGRMAKTLSFAPLAVTKILRWSFNDIIHACMRLDNCELSEQLFLEMRKIGLRPSRFTYDGFIKSVVAGKGVAHAIKVIEVMDRRGIEPYNDTLAALSVGYSKSLQLNLAEDFLERISEIQPKYIHAFNTLLSGCDIENEPERAIRILAKMKHLDLKPNLRTYEHLFSVFGNVNAPYEEGNMLSHAEVLKRISIIEMDMLNHEIKHSFVSMRNLIRAFGAEGMIEEMLRYFNVAENVLWKMTYSQKSDLYGIVLHALVKAKETHKAIRAFKVMRSCGLPANIAIYNMMIECCKLLPCFKSASALLSLMLRDGLCPTIFTFTSLIKVVLVKEDFEGALDLLDICITGGIQPDIEIFNAILSEANAKGQIHVVEYIVECIHRAKTQPDQSTLWHTFCAYVDKELYNTAIEALQVLSMRMISLDASILKEKGAVLEDLILGEETDAELRIMKAFEPTKEHIVTALLNLRWCVTTGSTISWSPEDSLWARRLASSYDGNKRPDVL* >Brasy4G436000.1.p pacid=40086331 transcript=Brasy4G436000.1 locus=Brasy4G436000 ID=Brasy4G436000.1.v1.1 annot-version=v1.1 MTDPAEITQGVKSNSTMLVDAEILPVDAISKVLDDDNLLIEIIVRLGFPTTLVRTALVCKRWLRHASDPAFLCRFRKLHLPRLLGFFVDLRSSYSGYKPFRPCFVPMLPQPPELAGVVNRVASYSFDAYNTIWVDDCQNVHFGELLSPLCPERSMAIVPPPPHDSGSFTHGHLLSKQDGQGLCYFWFSQEGINSEAHVYMLQDGVWCMQMLAKAQLPHVIWGLKPLLVDNKIYLVAQRDIVILDLRASSFSTAQFPQGVEYGDRTIMLSRADDDSRVYLIHVKVSQLSIWLFNGDNWLLVDSICLREMCANLRMSDRTFEDHHTAVVEIIQVGDNAEFVFLTMGRSVLYLDVKCRTLRKVYEMSQNDQCMGRICLFMMIWPPTFPAPKDDPASL* >Brasy4G310100.1.p pacid=40086332 transcript=Brasy4G310100.1 locus=Brasy4G310100 ID=Brasy4G310100.1.v1.1 annot-version=v1.1 MAAVVAAVEAGVVAVASGRSSKLVRSLYWRLRAGIRRLQSPDRRRGWRGRERFSFHYDALSYALNFDDGRAGADFGLV* >Brasy4G213300.1.p pacid=40086333 transcript=Brasy4G213300.1 locus=Brasy4G213300 ID=Brasy4G213300.1.v1.1 annot-version=v1.1 MAGARHGWLPVAALLVATCFCPLLLPPAAAADEVDGRDAQRNTERISGSAGDVLEDNPVGKLKVFVYDLPSKYNKRIVTKDPRCLSHMFAAEIFMHRFLLSSAVRTVNPEEADWFYTPVYTTCDLTRAGLPLPFKSPRMMRSAIQFISNKWPFWNRTDGGDHFFVVPHDFAACFHYQEENAIARGILPLLRRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPKLDSILTSIPIDDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHPESVYLQPGEKHLNWTAGPVGDLKPW* >Brasy4G130100.1.p pacid=40086334 transcript=Brasy4G130100.1 locus=Brasy4G130100 ID=Brasy4G130100.1.v1.1 annot-version=v1.1 MSAELNRDYEICEEIGRGRFGVVHRCTSRSTGEAYAVKSVDRSSLEDGLDRELAEIEPKLAQLAGQGNPGVVQVHAVYEDEAWTHVVMDLCTGPDLLDWVRLRRGAPVPEPVAADIVAQVAQALALCHRRGVAHRDIKPDNILLDVAEDGEGGSPRARLADFGSAAWVGGADGGRAEGLVGTPHYVAPEVVSGGEYGEKADVWSAGVVMYVLLSGGALPFGGETAKEVLSAVMRGSVRFPPRLFAGVSPAAKDLMRRMICRDEWRRFSAEQVLRHPWILSGGGARAMEQPT* >Brasy4G318000.1.p pacid=40086335 transcript=Brasy4G318000.1 locus=Brasy4G318000 ID=Brasy4G318000.1.v1.1 annot-version=v1.1 MKLGAWNCRGLGNGPAVKGLLDFQKQEDPDVLFLVETKLDEYRMKSFKWILKMENVIIKGSDGKGGGLALFWKKDLKVDLHNFSKNHIDVIITEADGFMWRFTGIYGEPASDKKDDTWKLLRVLHSQMKRPWLVMGDGGQPRTEAQLDSFRSALEDCGLMDLGFEGDKFTWRDGKIKEAVWNYFAALFTSCAGNCDDRVDRDMNVSHLL* >Brasy4G094400.1.p pacid=40086336 transcript=Brasy4G094400.1 locus=Brasy4G094400 ID=Brasy4G094400.1.v1.1 annot-version=v1.1 MPSTYDDALAAARPFLRGEEELQSPDPSLPALAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWSAPDAVARCGLYHSAYSNSYVNLAIFDPDVARGHVAGVVGDEAERLVHLFCVVPRQQLIHDDLLFHYSDEDLVVDLVRSEESLRDARQGVFEEGEPWRCKIQRLLPAAGITVKHIRTGEDVALSRRVAAAFLLMTMADFSDQLFDWQDRLFDNTNGRLEFRGNTWTSLWPGTGKPGLWTTSISCMGALYSLIVREEEIYIAHRAHTTGQEGDDSATRDEDIALVIPPVFDGCTKVLNADDQKAARDLYWEAVCSDEEATDRCRVEELLRQSVAKNPFVGEPRLVLAQVCLNAEMYEEAQEQAEEGLKLLLEWGSSWDKRMTWEGWVSWGRAMLTKAKEKDWPHTSFGILSLGLVK* >Brasy4G032500.1.p pacid=40086337 transcript=Brasy4G032500.1 locus=Brasy4G032500 ID=Brasy4G032500.1.v1.1 annot-version=v1.1 MREGDMIVESGHGRAQRIRRAHHRRARRRIEDGERIEHGGEHLLLHQSYHGVFIRDFVQMIRVTRSKMDYTTRNNVRNNTSVCAFLGVLFAKEQSSGGAQHVGSKSPLCSNVCSL* >Brasy4G122500.1.p pacid=40086338 transcript=Brasy4G122500.1 locus=Brasy4G122500 ID=Brasy4G122500.1.v1.1 annot-version=v1.1 MLVGHSVSGSHTPRRGRAWSNSPPNVVAPCRPPSASTTPSLPIFCLLPPLFQAFKSCPCLRCCTSPPLLPSPPNHGLFVSQPKKRRKEGRERVSIMSNSASGMAVCDECKLKFQDLKAKRSFRFIVFKINEKVQQVVVDKVGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL* >Brasy4G327100.1.p pacid=40086339 transcript=Brasy4G327100.1 locus=Brasy4G327100 ID=Brasy4G327100.1.v1.1 annot-version=v1.1 MAADHRMAAERLRKALLFVVDYEGDGYAVFKVNLKDLFSDEDQSVPPPPPPVVDMEMLQFPGPPIALFWEPEVFRGNIAFAMSGTTIVGLGRKRTFLHDTNADSARYAPVTGGLKYGALLLPVGADIYALSIYPHFGPDALPHFEAITPQEPYGPWRALPEPPPELQRVSPDFVLSKPVCVVTACFTMGRRLWLSLRGLGTYSLGTEGPQRTWRKEGDWELPVEGHPAVYVPELGRLLGFCPEQGCLCACDMDARPPVVTEAWGETWPWECVKMGYGIDSAGSLVYLGDGRFCITRIVDVKADISALCVQAVQLQLQLHSKDRHRVEMVRRSIRCYALSKYDRRGYALQTTII* >Brasy4G387600.1.p pacid=40086340 transcript=Brasy4G387600.1 locus=Brasy4G387600 ID=Brasy4G387600.1.v1.1 annot-version=v1.1 MKKTTGENTNMSILERLGASDVPLVKEYGLHGVIGALLLAMVIPILFSSMLSKKVKKRAVQTDVGGEAGLAMRNSRFSSLIQVPWEGATTMAALFEMASKKYPQHRCLGSRNLISSEFIEATDGRKFEKLHLGEYEWNSYAEAFNRACNFASGLVKMGHQPDSRAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPSVCSKLQSVRHVIYIEDEPVDADTLNQLKHLTTLSFYAVEELGKTSHTDPILPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVRTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGVAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVAEKGGMKKKLFDVAYKRNLGAIEGSWFGSWAPERLIWDSIVFKPIRLMLGGRIRFILCGGAPLSSDTQRFINICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLISWEEGGYRISDSPMPRGEVVIGGYSITKGYFNNEEKTNEVYKVDERGIRWFYTGDIGQFHPDGCVEIIDRKKDIVKLQHGEYVSLGKVESALQTSNYVDNIMVYADPFHSYCVALVVPPHQALEKWAQTSGINYKNFEELCQNGQALKEVQQSLSKAAKAARLEKFEMPAKIILLAEPWTPESGLVTAALKLKREQLKTKFKDDLNKLYH* >Brasy4G187000.1.p pacid=40086341 transcript=Brasy4G187000.1 locus=Brasy4G187000 ID=Brasy4G187000.1.v1.1 annot-version=v1.1 MDGHSPRPQQHLRTLEREGSHNLDIESMDGGGGGDWRQSGSAAALLRYDDGGSGRGSVREPLLRKRTLNTTSQIAIVGANVCPIESLDYEVVENNLFKQDWRSRKKKQIFQYIVMKWTLVLLIGLLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGCAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKGLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIILLGVIGGIFGGLFNFLLDKILRVYSIINERGAPSKILLTMTISVITSMCSYGLPWLAACTPCPVDAMEQCPTIGRSGNYKNFQCPPGHYNGLASLFFNTNDDAIRNLFSNGTETEFHMSSLFIFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLQMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIITALRITGHNGFPVVDEPPLAEAPELVGLVIRSHLLVLLKGKMFMKERVKTSGSFILERFGAFDFAKPGSGKGLKIEDLDFTDEEMEMYVDLHPIANTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFMPEHIHGLFPSLNPHKFHSTSMGG* >Brasy4G203800.1.p pacid=40086342 transcript=Brasy4G203800.1 locus=Brasy4G203800 ID=Brasy4G203800.1.v1.1 annot-version=v1.1 MPKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIIVRHIESIIRMSEAHAKMQLRSYVSQGDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLCTLVKDALHFEEIVSGSTTRLTHIEVKVDDLKNKAQEYEIYDLKPFFSSAHFSDNSFVLDEGRGIIRHPIAA* >Brasy4G132200.1.p pacid=40086343 transcript=Brasy4G132200.1 locus=Brasy4G132200 ID=Brasy4G132200.1.v1.1 annot-version=v1.1 MTSIGHLGDPYGLRCVSDLPPPFRPVFGFRYFNSLQSECFPVSFLSDVNMVVSAPTGSGKTALFELCILRLLSRFLTSEWRFNLIKGTLKTIYIAPMKALVQEKMRDWQTKLGPLGINCLEMTGDSEFYNKKAIHDADLILTTPEKFDSMSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGASLEAVVSRIKMLSRLGNMKSSPLANVRFIAVSATISNAEDIAEWLLAPPEGIKKFGEEMRPVKLTTRVFGYAPAKNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTAGSLGYSNPFMKSMQQYEHLKEASLTCSDKQLQSCILHGVGFHNGGLCLKDRSLVEGLFLKGDLQILCTTNTLAQGVNLPAHTVVIKSTQFFNKEKGSYVEYERSMVLQMCGRAGRPPFDDTGTVVIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPEHYGIKRGIPRDLLEKQMRDICVEKIHELGEYGLIWADEDGFCLKPLEPGRLMTKFYLKFDTMKLIVKASACCSLEDLLRIICHSAEITWIQLRRNEKKTLNDINTDIEGRLRFHVVSENGKKKKRIQTREDKIFVLANDCLTGDPQTHDLSLNQETNSICSNGCRIAKCMKEYFIYKKSYRSAINSMLLAKCLDQKLWEISPFLLKQLPGVGIITAKALKTAGVDSFESLATADARKLETATGRNYPFGNHIKESLSSLPPKIDIQIEDAGNRQGKSTIIVTLSRQSQTGRSTKQNYADMVVGSEKDNTILFHEKIRAQEFSSPYSVKFFVPCPQSARVTLKVDLIFEEHVGIDIHKKHVVSREDDLHVTKVCQVEKPKHLSSLPAQICLVGSRPTGANPSQSYIGRSPLSKEVCVIEDDDGPNAPEKAGNMQGTRKFNNLASLEVPSFDLLLEEDDGDMQDVSVSGPAEAGCKSATSKTIFDYIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSMDQFDLDQEGLLVNEVTPMDSERTEARISPTTTAEKCRRILGITSEKSCSLFTEKTGSPFEKSKILSRTQHENSLRFAARMDSPSEEINVPRTTPDEKSRQFAGKRDSPFEKSKILNRVPDETSLQFAAKRDSQSEKSKILWTPAENYSNFAGKRDSPFEKSKISLRFAARGDNPPEKKVRWITPDENSSQFAGKRDSPYEKNKILVTSPNENYLRFAGNRESPSEKSTVLSRTPHENCPPFAGSIDSPSEKNKFCFASPIADFQAMQCTKQVASVVESQRIQDYCKDILGGSKGSETGASFLGFKSVFSFL* >Brasy4G010100.1.p pacid=40086344 transcript=Brasy4G010100.1 locus=Brasy4G010100 ID=Brasy4G010100.1.v1.1 annot-version=v1.1 MQNDGANADDDGQQAPPDACNFAPNEALLRLSALSVRAVLGRVKSGMTTVADNNNNTPDSRPVIPLGHGDPSAFPCFRTAPEAVSAVAAALLSGRHNSYSNGVGLDPARRAVAGHLSADLPYELSPDDVFLTGGCSQAIEVVCAGLARPGANLLLPRPGYRFYEARAGFNGLEARYFDLLPDRGWEVDLDAVEAIADGNTVAMVIVNPGNPCGNVYTYDHLAKVAETARKLGIFVIADEVYAHLTFGKNGFVPMGVLGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFQRTKLLASIKSYLYVTSNPATFIQAALPELLENTKKEFFDKTIDILRQTADICWEKLQGFNGITCPSKPEGSMFVMDVLWDIRIGSVTFAIGPSSLEDGLDRLKSFCLRHSKSKKVI* >Brasy4G174200.1.p pacid=40086345 transcript=Brasy4G174200.1 locus=Brasy4G174200 ID=Brasy4G174200.1.v1.1 annot-version=v1.1 MDKHQQMGETKDDRDVLLRRVREVDPRNAEEIVCAIQSSQPENIKQCAWNSDEDLRSLIDKTKGSMKLNSKVEPSGPVQRPAFTSLRKQLQASSQQGLGYSNSINYPPSSSHPWNIHIPCCYSQTGTCSRDVNCPSTNGFGLFRNLEIEIRGLLIWQRSFSFQKIQWDGKSCQLSSLLQHLQTIMIFHDRYGQNWVVLLEDASVYHYQGWVYGVDQIYITFAATSTLRKIHVANYFSHYGIVSDVQIPAVKGCHMFGFVRFVHPGTVNLLLTEWNPQVPHIICGVRVFAKRYKPKFERIPNEGENVRRLPYASDGPEENIAPYK* >Brasy4G296600.1.p pacid=40086346 transcript=Brasy4G296600.1 locus=Brasy4G296600 ID=Brasy4G296600.1.v1.1 annot-version=v1.1 MAARTRLVAFLIGMASFLSVVAAGQIRPLPSGGQLPSDLFAHSIASKLRTDRNATTKASSDFGRIVAAPPEAVLHPATPADIAALIRFSASSPAPFPMAPRGQGHSARGQSLAPGGVVVDMRALGRGHRRINVSAGAGYVDAGGEQLWIDVLLATLEHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGQLVTCSRDKIPDLFFAVLGGLGQFGVITRARIALEPAPKRARWVRLAYTDVTTFTRDQELLISKQASEAGFDYVEGQVQLNRTLIEGPESTPFFSGADIIKLAGLVSKSGSGAIYFIEAAMYYDEATGSSVDKKLETVLEQLSFTPGFVFTKDVTYVQFLDRVRVEEEVLRSVGVWDVPHPWLNLFIPRSRIIDFDTGVLKGILGGTNPVGVILMYPMNTNKWDDRMTAVMPQTREDVFYAVSLLRSAVAVGDVERLERENEAVLAFCTKEAIQCKQYLPHYKSEDGWRQHFGAKWERIAELKAKYDPHTIMAPGQRIFQSSIASA* >Brasy4G003200.1.p pacid=40086347 transcript=Brasy4G003200.1 locus=Brasy4G003200 ID=Brasy4G003200.1.v1.1 annot-version=v1.1 MPSDGACMRMGNSSCRGSNSSTTALADLSCYGGGTSTSTASDSDSDHLLHHLLHPHSNNMRGHGGHQHHLSSPTAVLGHETPALSSLYTLGRKLGQGQFGTTYLCTDIATGTPLACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHASVVTIRGAYEDPLYVHIVMDLCEGGELFDRIVARGYFSERKAAEIARVVVGVVEACHSLGVMHRDLKPENFLLLGSNHNGAGEDEDDDSVADLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLKGAIDFDSDPWPNISPSAKDLIRNMLRSPPSERLTAHQVLCHPWICENGVAPDKPLDPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDGSGAITFEELKEGLRRHGSNLRESEIRELMHAADVDNSGTIDYDEFIAATVHMNKLEREEHLLAAFAYFDKDGSGYITVDELEEACREHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGIIGNGRLTMRHTSDGSILYHGAGQLT* >Brasy4G147400.1.p pacid=40086348 transcript=Brasy4G147400.1 locus=Brasy4G147400 ID=Brasy4G147400.1.v1.1 annot-version=v1.1 MAEMEDALRSCMEQLLIAREEREQIIVEAASEISAQQKKARDLQHSLDAANRKAAKLAAENSGLCKAMDAKDKLARELRESMASSDEKAAKLDAAQKQAASLQYEARMLQKALEVRSQEREYDLKAVDAARAQQAESAKKIALLEAECQRLRAMVRKRLPGPAALAQMRDEVEPPQQTGPGPRSSPRRQQRSTTPMSPRSVTPMSPRAVTPRRAPEPDQSYAVRLRAVEDENKALKRALATRDAELQVVQMKYADEARELSAVKGQLLELTEESERLTSDAQANAKSQSWASALVSELDHFRAGKQGPGAASSVLVSESDMSLFDDFAEIERLEMASGGHQTPSGPSGAPRQDEQNKADSRSVVSEKNGKELVPDGPVSNGHPEWVQDVWKLVTRKHEASGESIDAILEEITRALERSHVHAKGDDSDVLYDRTKVEKMVCNLVQKITVVIRVPEEDNAARFGSSLHDRSEFRARLEYLIHVCHDVLQRKAKLEDFIDEVCLVLEHIVSQYFSNQVRLDAVDYNAKSFDGSESLSTVNTHGEHDTQSVISAAALEVQKEAHTELVQSSEGQLPDKIQERRPNEELAMVIVDQDDDIQPGRMSSYYEIERSSHDGKGEGLAQQEGKQLATNSEISAAADKLAEWQETITSLSKQLQALQSLPNSGHLDLPVYSPTPSSVDYKPKTLGSILADEGTSTTEGSSSPTPEQAHSTKEHGEPDAAARKSVVREQNPDAADEPTQIVVYHPVLPELRRDGVPADPKKKKRGPSLLGRMIFRKKVEGSS* >Brasy4G135000.1.p pacid=40086349 transcript=Brasy4G135000.1 locus=Brasy4G135000 ID=Brasy4G135000.1.v1.1 annot-version=v1.1 MCFFLMIIVNACRMASVAISTPCLIEVQQLISSFQLSAPFQFVVVYGGRERIEEAIGSCRRMECVSTVGGKKSGKK* >Brasy4G057100.1.p pacid=40086350 transcript=Brasy4G057100.1 locus=Brasy4G057100 ID=Brasy4G057100.1.v1.1 annot-version=v1.1 MGCSMSRLAKATISLVILVMLFMPGAMAAAVASFDGTRSQHLPLPRGYLRGPESVAFDGEGHGPYSGVSDGRILRWNGDKVGWTTYAYGPDYSIEKCTASKLRPETVTESHCGRPLGLRFHHKSGNLYIADAYKGLMRVGPHGGEATVLVNDVDGAPLRFTNGVDADQITGQVYFTDSSMNYQRSQHEMVTRTGDSTGRLMRYDPRTNDVTTLQSGLTYPNGVSISHDRTHLVVASTGPCKLLRYWIKGSNAGKTEPFADLPGYPDNVRRDTRGGYWVALHPEKNELPFGFDSHLLAVRVGPNGKIFEEMRGPKSVRPTEIMERSNGKYYMGSVELPYVGVITPK* >Brasy4G361600.1.p pacid=40086351 transcript=Brasy4G361600.1 locus=Brasy4G361600 ID=Brasy4G361600.1.v1.1 annot-version=v1.1 MVLLATEPAERDAKLVKEALLGKKKKKNKRGGGDDRDAWVLLEASCASTPDHLIAVRRAYRSLFACSLEEDVSDCSTFQQPLTKLLVSLVRSYRCNEERVDVGIARLEAAQLAEAIRKKKQPHDDEVVRIVSTRSKAQLRATFQCYKEDHGSDFQEDIKNCSSGQFARMLRVAVWCLTSPEKHFAEVIRYSILGLGTDEDALTRAIVSRAEIDMEKIKQEYMVRFKTTVTNDVVGDTSGYYMDILLALVGSED* >Brasy4G361600.2.p pacid=40086352 transcript=Brasy4G361600.2 locus=Brasy4G361600 ID=Brasy4G361600.2.v1.1 annot-version=v1.1 MVLLATEPAERDAKLVKEALLGKKKKKNKRGGGDDRDAWVLLEASCASTPDHLIAVRRAYRSLFACSLEEDVSDCSTFQQPLTKLLVSLVRSYRCNEERVDVGIARLEAAQLAEAIRKKKQPHDDEVVRIVSTRSKAQLRATFQCYKEDHGSDFQEDIKNCSSGQFARMLRVAVWCLTSPEKHFAEVRDPTTRRSKCRKMSL* >Brasy4G094800.1.p pacid=40086353 transcript=Brasy4G094800.1 locus=Brasy4G094800 ID=Brasy4G094800.1.v1.1 annot-version=v1.1 MAWLQYAATRRQRAATACLFLTGAALIVAAARISYANVEPQRAKAAERRKVLEAFIRRKRGEQEPPQAQLPDPPKP* >Brasy4G302100.1.p pacid=40086354 transcript=Brasy4G302100.1 locus=Brasy4G302100 ID=Brasy4G302100.1.v1.1 annot-version=v1.1 MLSMIKANVTSSGMQVQQEKLKCIWKYTRLYDLHIHAYINKDNAVHTAKNTYARTRRTIKKGRAEILYLRFQRERRKY* >Brasy4G203100.1.p pacid=40086355 transcript=Brasy4G203100.1 locus=Brasy4G203100 ID=Brasy4G203100.1.v1.1 annot-version=v1.1 MGRKETSGRLSADYHGLEVKVPSLFRCPISLDVMRSPVSLCTGITYDRASIQRWFDSGNNTCPATMQTLPSTDLVPNLTLGRLIALWASTAAPSGASSLSPSPVGPTPAAAAAGLLRRIADPSKDPCPALHKLAAFFSDDDVDEFDKNAVVKASGAAETLVAVLQRSSTSRSDDDAGRGVEASVVRILAAMAASGCGIEEENRKRVAAALATDAASSVASLARVLRSGAPESRVDAARLVESMLHDADAMAMPGVKAAVAESEEMVSELIRLVGLVDGKGRSLDSQAVEAGLSCLDAIAGSTRLPRSEMVRLGAVQAAVRVLSTTDDAASTTGLALRVLEATVSCAEGRAVVCEMAETAIPAVLSKMMRGAEAAVAVLWAVCHKYRDRRAVDAAAASKNGLTRLLLLMQSGCSPAAEQMASDLLKMFKVNAKTCLAGFDSASIHVMPY* >Brasy4G053800.1.p pacid=40086356 transcript=Brasy4G053800.1 locus=Brasy4G053800 ID=Brasy4G053800.1.v1.1 annot-version=v1.1 MVSELSIPVMRSATAMTEEEMTWVLSKLKEIEAQLAPLKDMAAILGVPWPSSAADTTTRVGAAAVVVDTAPPALVPTTCSTSGSDTGAYPVHVPEASLLQGDVSLAVPAPAEASTTLSSPLSMFPEQDSSTPARCSMPCPDPCIPVVAASQFQGGKLLTSPAPGKTPPTHVLPVCAVPKLVTASSPSCSMECPNDAANVLTSRSMLGASLTTTTQANNVHVKPEITTIDVISLGVPAPTTCLPSHDCGTILTDATPESLNKAAASTTITTPWESSPTRSLWSFTHPKLTVPMLAWCSMKFLDDNDNIKLQEDVSVYMVSTVQGMARMKTFKMLAPWDPGGFLLSMGEEHDYHRIGEHRGRLTIDTGVLLSVPWDPGSYDAPAWGQAEFQGRENVTAVTATWAKLDQSPSHAIQRPKGSNATMLYKVRLKATEGANRIDRI* >Brasy4G291300.1.p pacid=40086357 transcript=Brasy4G291300.1 locus=Brasy4G291300 ID=Brasy4G291300.1.v1.1 annot-version=v1.1 MPRRLTTTYSGRIAAATPSPSGPSLTVTVSPTPPPTPHDPRGHPLPRRHLICAAARILRSPASPTPLADLADYLRSLRLTLTASEASEVVKALSPDPALALAFFRFAAASLPGFRHDAFSYNRILALLFRSKADPGEALRLVAEMERDGVAGNISTVNLLVGMGGGGVEVARCLELAKKWGLRLNGYTYKCIVQAHLRSREVSKGFEVYEEMHRKGYKLDIFAYNMLLDALAKAGMVDQAYQVFEDMKQKYCVPDAYTYTILIRMSGRTGQTSKFLSFFNEMVSRGCALNLIAYNTIIEALGKNKMVDKVIFVLSKMIESDCRPNQFTYSLTLDILATEGQLHRLNEVLDICDRYLNRSIYSYLVKSLCKSGHASEAHNVFCRMWNSHESGDRDAFVSMLEVLCNSGKTLEAIDLLHMMPEKGIDTDIGMYNMVFSALGKLKQVSFISTLFDKMKASGIAPDLFTYNIMISSFGRVGLVDKASQLFEEMEASSCKPDVITYNTLINCLGKNGDLDEAHILFKEMQEKGYDPDVFTYSTLIECFGKSNKVDMACSLFDEMIAEGCIPNIVTYNILLDCLERRGKTAEAHKHYETMKQQGLTPDSITYSILERLESRSQRTARIRKPSRVTSWVVSPLR* >Brasy4G001900.1.p pacid=40086358 transcript=Brasy4G001900.1 locus=Brasy4G001900 ID=Brasy4G001900.1.v1.1 annot-version=v1.1 MAPPSPPPPATGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHGGATYNLPAVIWLPEPYPRSPPLFFLSPTRDMLIKPHHPLVDRSGLVANAPYLRSWVFPSSNLLDLVRSLSHLFGLDPPLFTRSVANPPAPAPAPPPAASSPAPRQELYSPAPRPYRFPASPQLAARPPPTEDPAEVFRRNAISKLVDTAYADAAALRTAREAEVDTLFAVQAELRARGDLVGDGVRRVAEEKEALERRLQDVMMATDVTGAWVAQNRKGSAAHDTDADGAIQPADALSRQMLDCTATDLALEDTIYALDKAVQEGSVPFDGYLRSVRALAREQFFQRVLCTKVNNAQQQAHVARMAARAPQYAS* >Brasy4G380900.1.p pacid=40086359 transcript=Brasy4G380900.1 locus=Brasy4G380900 ID=Brasy4G380900.1.v1.1 annot-version=v1.1 MDPPYTGITYDPAKCRLMSVDEKRKLVFDLSKCPESASDKLQSWSRRDIVEILCADLGRERKYTGLSKQRMLDYLFRVVTGKSSAPVVHVQEKEPTPDPNTSNHQFPVNQYPAKRQRKSDNPSRLPIVACNPVTADVPVPLSNARFCQNLACRAILSLEDKFCRRCSCCICFKYDDNKDPSLWLFCSSEQIMQKDSCGFSCHLECALKDGRTGILQSGQCKKLDGGYYCTRCWKQNDLLGSWKKQLVVAKDARRLDVLWHRIFLSHRILYTTEKYLVLHEIVDTAMKKLETEVGPIPADGNIGRVIVSRLTCGPEVQKLCARALDVMESLFSVGSPTNSQLPRSSMISSNFIKFEPITQTSITVAFDFDQLPTLAQGVTGFNIWHRVAAVPFYSSNPTGIVLTPSKTLVVPGLTPATSYIFKVAAFSSSKEFASWEVRTKTSCQKEDDKKGLMLGGAGVDQNNGCTKTNSGGQSDRSSEGVDSNNNTTVYADLNKSPESDFEYCENPEILNSDKASLRLNESTDNVQTAQMATRVTEVTELEEAPGLSVSVLDEEPNSTVQTALLRESSNSMEQNQRTEVPGSQDASNVPAGNELATAPPRYTGSMPPTAPRVMETGKEIGGGSFNLKSGDNVRQNGSSKPEREPGNSSNKRSGKFEDISHKDGCAEASYEFCVKVIRWLETQGYIETNFRVKFLTWYSLRATPHDRKIVSVYVATLMDDPVSLSGQLTDTFSDAIYSKRPPSVPSGFCMDLWH* >Brasy4G097100.1.p pacid=40086360 transcript=Brasy4G097100.1 locus=Brasy4G097100 ID=Brasy4G097100.1.v1.1 annot-version=v1.1 MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAQEVRSLDLRASSSWSQQLIDAGVRFFQGDVRKKEDVERVFRNVDCVFHLASYGMSGKEMVQAGRTDDININGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGDETLPYFPIEDHVDAYGRSKSIAEQLVLKSNARQAKSNKSTCLYTCAIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGGPNVKTDWLYVDNLVLALILACMGLLDDIPGRKGTPVAAGQAYFICDGSPVNTFEFIISPLFRSLGYAVPRVKLDTPIALAISRFFLFICTLFYPWLDSKWIAQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMTSPREGLAATISYWQERKRRELDGPTIFTWLAVTIGMLAIFSAACLPPVGPLKWVLAIHLFVFRKMWVIRLVFLAAVVAHAAEGVYAWFLAKKVDPRNATGWFWQTFALGVFSLRYLLKRARV* >Brasy4G294500.1.p pacid=40086361 transcript=Brasy4G294500.1 locus=Brasy4G294500 ID=Brasy4G294500.1.v1.1 annot-version=v1.1 MTFAALGSNIFIASDNHPGILVFDTETAGLATGPRLPDALLGGINIFVATADMQLYALKYNTKEKQQSFQVMSTAGVKDPHSSNPSRDWSWKSVPSPLPFTKDERITSYAMHPNGHTIFVSVCNKRNLDRTFSFDTRYCEWRCHGEWALPFQGQGYFDSELDAWVGLNEDGYICSCQVASCSSSDALQPEWKMVKEKLFLKHPWSPDATFTYMGNTRFCLVECVEHEELDFDDTFGDCDGFMLYITMFGLKYSREGELQTTIHRSTKSYQVSKHCMSFAPVAFWL* >Brasy4G090300.1.p pacid=40086362 transcript=Brasy4G090300.1 locus=Brasy4G090300 ID=Brasy4G090300.1.v1.1 annot-version=v1.1 MASGSSRSQILCASFNQDNSLFSVGTKEGFKIFDALTGRLCYENKLGGLNVVEMRFGTSLIAIVGTGEQPSLSPRRLCLFNTSKGAPKKDLNFRSSILAVRFSRTRLVVLLQDKTFIYDLHSARILEEIDTVLNIKGLCAFAPNSEWCYLAIPASTSKGSALVYKASEPELICQIDAHQSPLAAMAFSSNGMYLATASEKGTMIRVHIVAQATKSHSFRRGAYPSTIYSLSFGPCNDKPQPDVLVATSSSGSLHMFFLGAARNGRNQTNKLLGSIIPGSKAITDALDPANHHVIHNIAPAEIKSCLAVHSTVEYPQNSSKFPAVRTVVYTITHDGYFREYTISTTKSNESSWVLERELSLLDTGFITSKQNEHQMD* >Brasy4G030900.1.p pacid=40086363 transcript=Brasy4G030900.1 locus=Brasy4G030900 ID=Brasy4G030900.1.v1.1 annot-version=v1.1 MEAAADDLLAALSSPSSQAGLHSRFAAYLQPFTPHLPNGNPNPKPPPKRTTKQNKPPPPPPDADAVRPLAKRFLPFLCRALKLLPPLLRPNNPSPGGAGWLDEMLEIYGLILDCLASISTCLAGKPYSVLLQRGRFVCCLESLGHYARAEAEAAATLDALRCALSPPTTSKPRRGAASVAPLLPDPGIAGEAGTDPEITTLAVELTACLANCASKSKVKEAAPYERVLSLVEQLRPWLRTLSEEARIKYLALLVNALSRCAIFLAAESPFFSSNLVCQFCVATLGECEKAQMIERLPAVARKICSSVDLSWEGSTHLLLDVLKTVVASVLCGKADLPKAVNEFLEFVDYFSRRFLSSNRDVHVGASVLLYREGGYFSEISSPTASILHLYATGLHFSTQQVESEEHSSISNFLMEEKNLRTLNNALVTLARLFNVTYGKFNALDSLGKYSSSLKQAGHSIKKHSYSQSHEHIYFLSYLGSLEFVCKILVQHADEVWKNFSEGETVHSSGNIIYVLTALHQFIDSSLVAYNCTNISEGEKERLQEQRVTLLRALVSAIKMSFVTNEAIEKSLSSISCVISSTWVMLEEVKFLIPSLGNIGVTLHNIGHFEKAPKALELCCQTIWAHARLSYCRLSERTEGQIIEDLPRDTLKDLITDAFARIAKMVDTLHRCGAKMIRDIIVKSLSELLAYGDTPDYHNSSFVLIKLWVKIACKDFKDDQRVDSARLLYHSLMGYPSPLPKKLVGLILEQELLAYGVMESHATVLCAQMQNMIIDILLNELYCSKGYYLERSRVLVRKARVLRASGVQKISSCIESLSEAISLLQGILLDSSRGNAIVIHELAIAYCLHAHCAQEANLGGKVILDNARSAVGLWSKMDTFHHSSPSMVFQQPSETLVPLLCSLVDLLAIKGCFELQFDLCKVLVTIWKQENLPLEKLFSMLFTDGRLNHVCCHLPMDQQFVSYVVHHLGVDCHKTLFWIDCFKGDHPSLSMFLQQLWPIDFFFSQSSEHYFRSQFGFSASVDEVDKVASSLVSEVTSNNRSTYLAGYLYHGLSERLLSRGQLLQAISYGREALQLRKKLLKKKFKFNLGKFVSGESQCSGGQGFVSLEAWGPTIAEIWPDSTRSSSTSDSFFTSWNVLRCYLESVLQVAVMYELIGNGAEAEVLLRTGKEISCFQGLPFFAVVFTSALGQLYRKRQLWDAAESEIKRARDLLVENDNFISCKLCKLTLETSMDVQAGDLFWNLFEKDFQKQSTCNLSSALGMYRSAMKKLNDAGLEFLAGSCDKNNTGSIFCRKDCVAETKRRACNHGKEPLAANDGVLPPCTPCFLLSRTPIDQQNKLAGLKSEKQNLRNVEAAPPLDVKVKRASRSSSRLAKEQNVAAHAKTRTTRSSKRTAHMKGDNDLAELNCKNGISWNDQLSTDALACGKVDCSVDGVDCSRDDICNIFGCWSCLFVNSLNSGCIENILQFRLGCIRRRLLVSILLKTARALGAHGGKHGAHEVHSIYWQCISLLYFRSPPLDCYRTYGPYLIELIMDENTGDFLSFERAEILCSMSFFLLKESLSEQSRDVCCSLSSVQMSDVVSWLLKAFVLSAESPLLLQEVCRLLACIFILSTIDSTIQLPLYSKGSLSLNHWAAYFHQTSVGTYLNYQYLASLQALPRKKDSKGSIADFESETNVFPKFLRFSSADIEHLEKHVTEFFHELPDVPIVCISVLGGDFVNVLGETLLLPSLFPAWMLLSRFESTNKPTTMFLPVDPILEETLDGNSSIIELDYSTRASDKNWKCPWGYTIVDYVAPTFKKLLEDNFRSLSGANLSPMDERANTIRWWSDRMKLNDHLAEILENMEELWLGPWKCLLLGHQLADQHNEEAMENIITGLESEFKLEANPALIKVILGGVTSVDELRECLCQLISYKGYFGRGGCCGRDRLRAFSGQIEAEGLATLEYLTNDIVNKLAERVDRHPVILVLDIDVQMLPWENLPVLRNQEIYRMPSMGSIFLALTRSTNHYKDASAIAPPFPVIDPSDAFYLLNPSGDLIKTQEEFDQLFRSYEWKGNSGDAPTVEELILALRNHDLFLYFGHGSGSQYISGKEIEKLDNCAAALLMGCSSGTLHCKGDYAPQGAPLSYLLAGSPSVIANLWDVTDKDIDRFSKVLLSSWLQENLAAVKNCSKCCELTQEFESMTIALKDNGRPRRGGTRGRKQQQIEIGDSSKSCNCGHIRIASYINEARRACRLPLMIGAAPVCYGVPTIIKKK* >Brasy4G217400.1.p pacid=40086364 transcript=Brasy4G217400.1 locus=Brasy4G217400 ID=Brasy4G217400.1.v1.1 annot-version=v1.1 MAAASLSRLSRRASAAAAPSLRRIFSSTATASPSPAAPSPPLPPAAAAGVDRMRWDYRGQRQLIPLGQWLPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLAPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSTAYLEVEKLKKLFSIPL* >Brasy4G253300.1.p pacid=40086365 transcript=Brasy4G253300.1 locus=Brasy4G253300 ID=Brasy4G253300.1.v1.1 annot-version=v1.1 MLEELLIFTRGGLILWSLAGAPIDALVRSFLLEGRSAGAGAGFSHGPHKLKWAFHNALGLAFVAVYRRVLHLLYVDDLLAAVSGEFSRIYDPRRTSYDEFGERFRQLHLEAEARASRPPPPAAPACEKPGPGTPVGILQGDDGGGEKTGDASGLGDSGDGLSSAEGRFNGVGQNSNAGSGVVLEEEEDKGRISNWAFNLDVLRNRDRKRNKNLAKSVKPGRKEKDIAPSVKKLDFSDPADGREFSVMEQAAANQGPSKMDKPEAASIRKNGWFSSVFQSIAGSNVLKALKDRLMTKNVAEEIAEKLCESVAASLRGKKLGSFTRISSTVQAAMEDALVRILTPRRSIDVLRDVHAAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHDLNVMLAACDTFRSGAVEQLRTHACRLQIPIFEKGYEKDPAVVAKGAIQEAARNKSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFDQKLTDLSTAPTGRSIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCVALGVPLMHDAWVTMGVPSKLRYPGRRVPGSYTRQGRGQPSPQA* >Brasy4G405500.1.p pacid=40086366 transcript=Brasy4G405500.1 locus=Brasy4G405500 ID=Brasy4G405500.1.v1.1 annot-version=v1.1 MATEDDLAWEEDDLFLDEVFRYQDQVESLNPSHAPPPPVTQDTPPAAAASAAPLYHIPASATPSLPLSHGAAWPHASTASATQALPLAAAPRASSAAPVPRLFHVPAGPAAPLTHFAVPPPRASSSATYPFAPSHIADAPCAPASVAVAFSPPREFTQSGRVGGPGFSPPRELSQRPVDESNDCRVVMPVVAPTGGGRGRGGVRRERDSSDSREWRVADEESRIIGTVSPTSGGDRARATRRERDAREAKERKEVEKLKKELMDVSKQMNNYKNECSELKKGMTQKDLEMKAKDAEIDSLKKAYVGRASKDICSMGMDIDQSFHTPANETLHDGVSCRTSTRNGKNKELHSSRDGLGLNETYQTVAPDVLEPNQQTMINNRTSTSGRVSLEETSQTELKSNSEHLERKKVLINSISSNLCAIWGRPANSMLGRSLISKILVSCSEEMLTHFQSKRLSDKCETSSEASSSMNKAISEVYDFIIKVNNDAMPIQILLEALLNLCAFGNAVVAGRALRMLHSILQNLLSHGTKSNQRNNVSIETYVNNNTEIERNNHEDSSTLLNKPDTENLLRSEDGLHTRNMSLPPTFWTSFFTAVLQVALKYSEESIRVDALSIIILIVRTSDPKGDREKIGFTSVMESLHQLLQKENELLVKKHSVHLLFLLLNCPVMLKLLCNGGKGSSALMEAVGFENNRPQQATSSVLKDLSECLTCEAATNSLELKLCRVVVNLLAYIASSGKSGYSVLLGSVTASGANFLELIMEVLASQMESRVDFSTEVHELLKERYLLMREALILLNRLASHPIFSKTTLEALMRSKLCASLTIDIANRLPQRSKYPLKELSERYPQMANDLAELAQKFCARVYGYLEEQQHSIAGRSARSQGA* >Brasy4G405500.2.p pacid=40086367 transcript=Brasy4G405500.2 locus=Brasy4G405500 ID=Brasy4G405500.2.v1.1 annot-version=v1.1 MATEDDLAWEEDDLFLDEVFRYQDQVESLNPSHAPPPPVTQDTPPAAAASAAPLYHIPASATPSLPLSHGAAWPHASTASATQALPLAAAPRASSAAPVPRLFHVPAGPAAPLTHFAVPPPRASSSATYPFAPSHIADAPCAPASVAVAFSPPREFTQSGRVGGPGFSPPRELSQRPVDESNDCRVVMPVVAPTGGGRGRGGVRRERDSSDSREWRVADEESRIIGTVSPTSGGDRARATRRERDAREAKERKEVEKLKKELMDVSKQMNNYKNECSELKKGMTQKDLEMKAKDAEIDSLKKAYVGRASKDICSMGMDIDQSFHTPANETLHDGVSCRTSTRNGKNKELHSSRDGLGLNETYQTVAPDVLEPNQQTMINNRTSTSGRVSLEETSQTELKSNSEHLERKKVLINSISSNLCAIWGRPANSMLGRSLISKILVSCSEEMLTHFQSKRLSDKCETSSEASSSMNKAISEVYDFIIKVNNDAMPIQILLEALLNLCAFGNAVVAGRALRMLHSILQNLLSHGTKSNQRNNVSIETYVNNNTEIERNNHEDSSTLLNKPDTENLLRSEDGLHTRNMSLPPTFWTSFFTAVLQVALKYSEESIRVDALSIIILIVRTSDPKGDREKIGFTSVMESLHQLLQKENELLVKKHSVHLLFLLLNCPVMLKLLCNGGKGSSALMEAVGFENNRPQQATSSVLKDLSECLTCEAATNSLELKLCRVVVNLLAYIASSGKSGYSVLLGSVTASGANFLELIMEVLASQMESRVDFSTEVHELLKERV* >Brasy4G123400.1.p pacid=40086368 transcript=Brasy4G123400.1 locus=Brasy4G123400 ID=Brasy4G123400.1.v1.1 annot-version=v1.1 MKLWCWHGRPSHAIHGVEQSGSTYWQHIHEHYHKIKKTSGDRTQKSLTNRWSAIQDTCSKWASAMEQINIAQKYFWQLTSKNGKLGKPFNMQHCYALLLHAEKWRTRNDEVPNKKSKSASNSYSPDVENIGVVVK* >Brasy4G138100.1.p pacid=40086369 transcript=Brasy4G138100.1 locus=Brasy4G138100 ID=Brasy4G138100.1.v1.1 annot-version=v1.1 MGGTNPCLGKTQRAQVPATETSSRCVTPTATGAHNFTIANYSLLSGMGADKFVSSSTFSPGAWLSLRHAGANAASVKAEYTLSLRGMDGKVHADCKPVTFARTFEPGSRSWGVLKFVDKSKLRDDCFTIRCDLTVIKDPVVEAM* >Brasy4G337700.1.p pacid=40086370 transcript=Brasy4G337700.1 locus=Brasy4G337700 ID=Brasy4G337700.1.v1.1 annot-version=v1.1 MRRGGAAAAAAMAAQGDGDAEARFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKARTNFPLCLAHHSQLPASYPPYPLAAAAAVPPPVAVAAAWPASSSLSSTVESFGVPRARPVLPPRPPPPPILDGDCHSDCGSSASVVDDDCADAAASPSCQLLLPFDLNLPPGCDGFPCDDDDELRLTALRL* >Brasy4G061600.1.p pacid=40086371 transcript=Brasy4G061600.1 locus=Brasy4G061600 ID=Brasy4G061600.1.v1.1 annot-version=v1.1 MIDLASLVVVRRGGRGHGRQEAPRPRRSQDPQEGRPRQQQQQGGQGRRRPEGRRRRGQAGQKGRRRRAREGEGRQQGRRQCREGEGRRQGQQEVSGAGDWDGGAQDRLHGLALRRLHAPHPQQALQNQRRGAGAHGHGQEPGDGHGHHGRQGPAGEAPQEAAPPRRRRAAQQQAAAGQGWEGRKAAAAGGRRRREQGREAAAARQGGGGEGAGGGGGAVEDRLLRPAVAACHGVPAQRREPQRLRRHVKDVISIDRARSG* >Brasy4G172100.1.p pacid=40086372 transcript=Brasy4G172100.1 locus=Brasy4G172100 ID=Brasy4G172100.1.v1.1 annot-version=v1.1 MGSFKRALDFLSLRELHLMGRRFTWSNEQENPTLTKIDRFFCSSGWDLRFPSATLQALLATASDHAPLLLMGSTTYPKANLFRFESFWTRMEGFQEVVQEAWKALAISHDKARGLHIKLARTALALKVWHRASFGNINLQMAVATEIIGRLDVAQDSRALSPAERALHASMNHKFTGLAIISKIKIRQRVRVRNIRMGDANSRFFHIRANGRRRKNFIQSLSSSSGIAISHTEKEREIFEHFNSCLGTASPRLVGLNWAALGYIPRDLSSLEAPFSLEEIRVVIFDLPAEKAPGPEASLAASSATAGTSSRRISRWPSMTWPTQGTD* >Brasy4G052300.1.p pacid=40086373 transcript=Brasy4G052300.1 locus=Brasy4G052300 ID=Brasy4G052300.1.v1.1 annot-version=v1.1 MKILVAVKRVVDYAVKVRVKPDRTAVDTASAKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPAAAADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKILRALAIQENPGLFILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVIVDKEKQTATVEREVDGGIEKICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPAELNVDIRSDMEVVQVDEPPKRKAGVILSSVDELLDKLKNEARVL* >Brasy4G089200.1.p pacid=40086374 transcript=Brasy4G089200.1 locus=Brasy4G089200 ID=Brasy4G089200.1.v1.1 annot-version=v1.1 MVQLAGSPRKRQAAAAAAAAEHVPPLVGGVKVEMGEGFGGGIVPVLKRVRAAQQPLPTPQDMYSNVLDGPSPLGLRLRKSPSLVDLIQTSLSKAKSAPGQSAMGNSISEPPIKKESRTGALTAGERLKASNFPATFLKIGAWEYTSEYEGDLVAKCYYAKHKIVWEVLDCGLKSKIEIQWAHISALKATCPEEGYGTLDLVVSQPPIFFKETDPQPRKYTIWQAASDFTGGQASMHRRHILQCSSSLLSRHFEKLVQCDKRLNQLSQQPDIILDSPFEPGSSMFENPNECEAPSSKFTPLGSPCAVSSVSKTYGVNHLMLEQPEFFSQPVTLDVPTNVVAEELENPNWCNLAMPGLRSSMDGLLNHLGNCITEQQAVGNPPLPNTEAPSKEFLEIAQCLLSDTQGPPSSDEKYLMARVDSLYSLLEKDTAPSTISMPDQNGDIAVGEMSFDEELRSCLEDKELGPAPATKTADVTEPAALSRKDSFAELLENLPRRASISQYLFDIEEDFENSDSRSYD* >Brasy4G239300.1.p pacid=40086375 transcript=Brasy4G239300.1 locus=Brasy4G239300 ID=Brasy4G239300.1.v1.1 annot-version=v1.1 MKPCSVPTIERYAAGDEHPNKGGGAGLLIRPSRLFYLTVLSTAFWTLVFYFNSTAQGNVASTVLFKRSAFSLPSSFRFNLGLALGRDRCAGRYIYMYDLPPRFNADLLPAYQKHSPITDMSNDGLGSPITPDQDGARYLPEKGAYDTDQHVLGMIFHARMKRHECLTRDPAAAAAVFVPFYAGFDASMRLWNTDLPERDALARDLVEWLTRRPEWRAMGGRDHFLVAGRVAWDFLRAKDDDGWGTTFLTFPAIRNTTVLSIEASPWVGHDFGVPYPSHFHPASDADVAAWQGRMRRAGRKWLWAFAGGPRPGSKKTVRAQIIRQCSDSSTCGTFASATGHHNSPGRIMALLESARFCLQPCGDSFTRKSTFDAILAGCIPVFFSPLSAYVQYTWHLPRDHRSYSVFIPQADVARRNVSIEEVLRKIPPAEVARMREEVIRLIPRVMYRDPAAKDTSFKDAFDVAVDAVVHRVAKRRRAAAEGREYVDSVDGNDSWKYDLLEDGQNHVGPHEFDPYI* >Brasy4G157000.1.p pacid=40086376 transcript=Brasy4G157000.1 locus=Brasy4G157000 ID=Brasy4G157000.1.v1.1 annot-version=v1.1 MMSLQAAPRLRLHLAPCEPRGRLLPPSRLVLSPSSCRLYTLISRQLPICNAQSYADDLLIASAQSSTIARSRLLAAEREEAKAVLSLFLRQKGLRSAVAARIANKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALLPYLEALSKEHGDGLFEVVENFPDPFAAERESLSYSMVLTPTSSNKQKAIARVSTPTSGGALPELVLYLLDFGMDHEEIKNIVRKFPAFAYYNVDRKIKPLVDLLLELGVPRSSIPGIIRKRPQLCGISLTDNLKPMMAYMENIGVNKAQWGKVLCRFPAFLTYSRQKVEITVSYLTELGVSSENIGKILTRCPHLMSYSVNDNLRPTAEYFRSIGADAASLIQKCPQAFGLNIESKLKPITKFFLDREFSIEEIGIMVNRFGIIHTLSLEENLLPKYEYFLTMGYPRYELVKFPQYFGYSLEQRIKPRYARMTGCGVRLILNQMLSISDSRFQEILQKKMDKV* >Brasy4G090600.1.p pacid=40086377 transcript=Brasy4G090600.1 locus=Brasy4G090600 ID=Brasy4G090600.1.v1.1 annot-version=v1.1 MRALEDDLFPSTPGKVKIERAGGGAMAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAVRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARKALLTSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNVAGLVTLLEACKNADPQPAIVWASSSSVYGLNDKVPFSEADRTDQPASLYAATKKAGEEITHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCLGSLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILEKHLRVKAKKHVIEMPGNGDVPFTHANISLARQQLGYKPTTNLDAGLKKFVKWYLSYYGYTRGSKNL* >Brasy4G103900.1.p pacid=40086378 transcript=Brasy4G103900.1 locus=Brasy4G103900 ID=Brasy4G103900.1.v1.1 annot-version=v1.1 MARIKVHELRGKNKTELQGQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKNKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPTRKYAIKA* >Brasy4G052800.1.p pacid=40086379 transcript=Brasy4G052800.1 locus=Brasy4G052800 ID=Brasy4G052800.1.v1.1 annot-version=v1.1 MQRGNLDDRLSNLPDDILVNILNRLNVPDVARTSVLSRRWSQLPAMLSRLTISAQDFLPKGTSMSDGELVRINAAVVEATKSILARRDPCVHTIHLLSTTFYLRDDTPTSIGQTVGDAMSTHKIEKAEFTILTEKERLKCTVDDMVYYGTQFVSFFNDCVNAFGGLTRLYMENLRFGEVDIIQNILTTCRQLKYLGFLNCDTESSDMVQVEHAQLSELSIVNCRFEKVNLTSVPKLTRITFEYWMAFYEPPLSFGYVPLLEVANLANVALSWHKMVKLSTFLSETSVRDLKLGFKCEKIWVQPECLTKRLASVFHQLRIVTLVHIPEGCDLTWTMFILEAAPSVEELNMTVIDHPCEMEMDEERRRARLFSKNKGVEWVSTSGFKHHRLAKLVIFCFHAEDYMVSYVRSVMEAAVNLEDVFLYNRLICDKCQGVKPRKPTRFPRTKRQRCSVKKRITQGIESFAIIHFPIAAEIRADHCARML* >Brasy4G052800.2.p pacid=40086380 transcript=Brasy4G052800.2 locus=Brasy4G052800 ID=Brasy4G052800.2.v1.1 annot-version=v1.1 MGRGNLDDRLSNLPDDILVNILNRLNVPDVARTSVLSRRWSQLPAMLSRLTISAQDFLPKGTSMSDGELVRINAAVVEATKSILARRDPCVHTIHLLSTTFYLRDDTPTSIGQTVGDAMSTHKIEKAEFTILTEKERLKCTVDDMVYYGTQFVSFFNDCVNAFGGLTRLYMENLRFGEVDIIQNILTTCRQLKYLGFLNCDTESSDMVQVEHAQLSELSIVNCRFEKVNLTSVPKLTRITFEYWMAFYEPPLSFGYVPLLEVANLANVALSWHKMVKLSTFLSETSVRDLKLGFKCEKIWVQPECLTKRLASVFHQLRIVTLVHIPEGCDLTWTMFILEAAPSVEELNMTVIDHPCEMEMDEERRRARLFSKNKGVEWVSTSGFKHHRLAKLVIFCFHAEDYMVSYVRSVMEAAVNLEDVFLYNRLICDKCQGVKPRKPTRFPRTKRQRCSVKKRITQGIESFAIIHFPIAAEIRADHCARML* >Brasy4G144400.1.p pacid=40086381 transcript=Brasy4G144400.1 locus=Brasy4G144400 ID=Brasy4G144400.1.v1.1 annot-version=v1.1 MAPHHRRDLGRPLLLVALCAIALLAVGSEAHGLDEFRDGKQSEATPEMASFFGAKPEAAMLPDALDASTTAMPAAKPEAASAMPTTTTTGNGAASSSSAPASRSVAVAAGVSCGVAALAVVGVGVAVAYVVRARRGRAAGERREKEVCLG* >Brasy4G116600.1.p pacid=40086382 transcript=Brasy4G116600.1 locus=Brasy4G116600 ID=Brasy4G116600.1.v1.1 annot-version=v1.1 MAAASPPSSPPHPKRSKMSSSSDPEPEPTSPSAATVDPGQPRRRYKRRKVAILLAYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYEWARAARTDKGVSAAAQVVSGRFYVDPPGFVDRLNAQLAPQIRAFGYVRVTNSFSAKKFCDRRRYVYLLPVLALDPTAHPDREAVMASVGSGNQLAKCVECSERGRKVPDIMGREGKVPNPEEEMLLDTSRDESVSTNGEIGSNGNAKFVPASSCDATVTCHGEAGLGGDIMIDIPSSGNGAEAQNADLGSNGAEKCEIEPAGSTSHSEAVPTNASEPFCSDSIVGSVDVAASVVAEKEHKCVPVVCGEEKMEVMDIQKDNGEESPLTKNTFAYTDEVKEKFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFTANRVVSLDGIDFVRCEVVGQSFMLHQIRKMVGLAVAVMRNCAPESIYDVAFRKDIRLNVPTAPEVGLHLDECMFTSYNAKWKDTHEAVSIEPYAEEAEEFKVKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMENAGSEAKVDAVVENMEEAPMPSGDISE* >Brasy4G359300.1.p pacid=40086383 transcript=Brasy4G359300.1 locus=Brasy4G359300 ID=Brasy4G359300.1.v1.1 annot-version=v1.1 MTSQAPNDGTTKADAAHFLFVPLMAQGHIIPAIDTALLLATQGALCTIVATPSTAARVRPAIDSARRSGLAVSLAVFPLDYAAVGLPDGMPGGADNMDDLPVHLIGTYFRATALLREPIERHLRAHAPPTCVVSDFCHPWTVELAASLGVPRLSFFSMCAFCLLCQHNVERFNAYDGVLGYNEPVVVPGLEKRFEVTRAQAPGFFRVPGWEKFADDVERAQAEADGIIMNSFLEMEPEYAAGYAAARGMKVWTVGPVSLYHKQTATLAVRGNTTAIDADECVQWLDGKEPGSVVYVSFGSIVHADPKQVSELGLGLEASGYPFIWVVKGADRQQNEATLAFLRELEARVAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKMAVEVLGIGVSVGVKEPVVYQVRKKEIVVTRETVENAVRAAMDGAEEGEERRRRARALAGKARAAMLEGGSSHGNLCDLIKRFQVGATRGAAA* >Brasy4G210000.1.p pacid=40086384 transcript=Brasy4G210000.1 locus=Brasy4G210000 ID=Brasy4G210000.1.v1.1 annot-version=v1.1 MGKEEQVATVRAVLGEGTPEMDIIRALHMAGDDPTKAINILLDFHHKLPAPPTPSPSPSPPPVKPTNPPAESIPLPKTPAQSKPAADKPRPNPAPTGGGEHWWFVGSAEMAGLSTCKGRRIAAGDPVTFSFPNSAAAAALGKGRPGRLALASCTSEIMRFSTPHNGEVGRIPNEWARCLLPLLKVGKIKVEGQCKSAPEVLSIMDTVLLCASVYINSSMFSDQKQSLPKAARVATDDSTFHPLPALFKVIGLAPFKKVAFTPEDLYSRKRPIERKSSTGVPATKLTSEKLRLSCGGNEDDPGEGAVSDSDLDDIIGISDSSALEETAPPDALQCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTISLLLSDSSKGFITTHHSTQISREASGLGEIHIKSQDPVKNLASPFSFSKLKKLKTPLVGGGNLIICPMTLLSQWKAEIEAHTKPNTMNIYVHYGQSRPKEASFIGQNDIVLTTYGVVASEFSTESSTENGGLYSVHWFRVVLDEAHMIKSSKSSISQAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWYKLVQKPFEEGDERGLKLVQTILKRVMLRRTKNSTDKEGRPILTLPPATIEVKYCDLSEPEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLHGGNTVVNGNSSSLPSKAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECILSSWQSTAAGLCPVCRKSMSKQDLITAPTDSRFQVDVEKNWIESSKISFLLQELESLRSSGAKSIVFSQWTAFLDLLQIPLSRHGISFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIDELKMLFS* >Brasy4G210000.2.p pacid=40086385 transcript=Brasy4G210000.2 locus=Brasy4G210000 ID=Brasy4G210000.2.v1.1 annot-version=v1.1 MGKEEQVATVRAVLGEGTPEMDIIRALHMAGDDPTKAINILLDFHHKLPAPPTPSPSPSPPPVKPTNPPAESIPLPKTPAQSKPAADKPRPNPAPTGGGEHWWFVGSAEMAGLSTCKGRRIAAGDPVTFSFPNSAAAAALGKGRPGRLALASCTSEIMRFSTPHNGEVGRIPNEWARCLLPLLKVGKIKVEGQCKSAPEVLSIMDTVLLCASVYINSSMFSDQKQSLPKAARVATDDSTFHPLPALFKVIGLAPFKKAAFTPEDLYSRKRPIERKSSTGVPATKLTSEKLRLSCGGNEDDPGEGAVSDSDLDDIIGISDSSALEETAPPDALQCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTISLLLSDSSKGFITTHHSTQISREASGLGEIHIKSQDPVKNLASPFSFSKLKKLKTPLVGGGNLIICPMTLLSQWKAEIEAHTKPNTMNIYVHYGQSRPKEASFIGQNDIVLTTYGVVASEFSTESSTENGGLYSVHWFRVVLDEAHMIKSSKSSISQAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWALWYKLVQKPFEEGDERGLKLVQTILKRVMLRRTKNSTDKEGRPILTLPPATIEVKYCDLSEPEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYADLNKLAKHFLHGGNTVVNGNSSSLPSKAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECILSSWQSTAAGLCPVCRKSMSKQDLITAPTDSRFQVDVEKNWIESSKISFLLQELESLRSSGAKSIVFSQWTAFLDLLQIPLSRHGISFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIDELKMLFS* >Brasy4G275100.1.p pacid=40086386 transcript=Brasy4G275100.1 locus=Brasy4G275100 ID=Brasy4G275100.1.v1.1 annot-version=v1.1 MGCLARVFVQAIVSIVCFGGVAMFSLMISESRGGRSKGSVAVLAVFLLFWVFMFIKGGMCIDCCDTQLPLSTTLRRRFRGIGWLLFLPCRCCARSRQRPEIESGSGSDLVVPGQGHMVVLALEPPAGGGGARVATTDHIPTYEQPEGGASECAVCLGEVEKGETVKRLPACLHMFHRRCIDLWLRDHSTCPVCRRNVFTPLLPEHIV* >Brasy4G205700.1.p pacid=40086387 transcript=Brasy4G205700.1 locus=Brasy4G205700 ID=Brasy4G205700.1.v1.1 annot-version=v1.1 MKPIRLPAPPGSGMETPEIFTGGSANVVHRAVVISNSSPSAESQCIGLVRALGLGLADHLTLYRVTRPSGGINEWLHFLPISMHKLIDQVLRHFFRNTRFALVVQGRKPYRVLNGGYVGLSSVLEVDTKKIVATARDTFDKEGPTLVVACGWDTISYSSSIRHLASDNAFVIQIQHPRSRLDRFDLVVTPRHDYYALTASGQQEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGVDLARQLITSLYNVLDSCGSVKISFSRRTPQKVSDVILKEFAEHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREVIAERGWTVG* >Brasy4G219700.1.p pacid=40086388 transcript=Brasy4G219700.1 locus=Brasy4G219700 ID=Brasy4G219700.1.v1.1 annot-version=v1.1 MAPARRARLSSVAATCGRGWLSARRNTSKRQRRADDDGTALSDEILVGIFAGFPEMADLVRSAATCRRWHRLVSSEAAFISRTRRRAPGRFLRPLALGFFSIPHGHGAPRLAPTASATRLLGLGAGGPSLNALVEDDAGLSLDGSRIVASRNGLVVVDLRRGKHDRALKLCVCNPMTGQADVLPPLSGKDGLGHYACTVLTPDDHQADDPPPPARYRLALVYSRRGFTAFRSYTPEDGAAWSPKAKVSDARLGKKQMGVTRCGVVVGGGKAVHWLAKNVAFGLCLDTLRATVLSLPWAWSGFSRAFDVANTLLGVTPEGRLCAVQIEELWLDAATARRPRNVALRVTVLKPARGDWERKELIQVERFLPPEVSRVNLRCFCEKSGVVLFTAGFGDGRSEVYALSLDRQEVEKVASHEPEPEGSGGGGDAVRRSDPWENLHGYEMDRAAYLATLGDGDATEDI* >Brasy4G194500.1.p pacid=40086389 transcript=Brasy4G194500.1 locus=Brasy4G194500 ID=Brasy4G194500.1.v1.1 annot-version=v1.1 MAPATAEQGDKPAEKGRSNSILLPILGILLAYLLYRYALPRLRGLRLHRYVSAIRIPLPACLRRRRDGGATMLPYFAPLADRLGGALQPYLGPIADRFGPGPPGPHGGYGDALVKFPGGEALSVAAILEAPGEVVGKSSHSTVYRAAMRSGEAAVLLRFVRPACAVGAEEAAAAARRIGGVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLQRFLQEGIADSHRWNIICKLSVGIAKGLDHLHTGSEKPIVHGNLKTNNILLDANYECRISDYGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRESDVYSLGVVLLEMLAQKESSDKDKSAPSSRDILLPVSFKNLVLERKISDAFSSDLVRQSRKAGSEKSLNAFFELATACCSPSPSLRPNTKDILRRLEEIAK* >Brasy4G194500.2.p pacid=40086390 transcript=Brasy4G194500.2 locus=Brasy4G194500 ID=Brasy4G194500.2.v1.1 annot-version=v1.1 MAPATAEQGDKPAEKGRSNSILLPILGILLAYLLYRYALPRLRGLRLHRYVSAIRIPLPACLRRRRDGGATMLPYFAPLADRLGGALQPYLGPIADRFGPGPPGPHGGYGDALVKFPGGEALSVAAILEAPGEVVGKSSHSTVYRAAMRSGEAAVLLRFVRPACAVGAEEAAAAARRIGGVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLQRFLQEGIADSHRWNIICKLSVGIAKGLDHLHTGISDYGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRESDVYSLGVVLLEMLAQKESSDKDKSAPSSRDILLPVSFKNLVLERKISDAFSSDLVRQSRKAGSEKSLNAFFELATACCSPSPSLRPNTKDILRRLEEIAK* >Brasy4G246000.1.p pacid=40086391 transcript=Brasy4G246000.1 locus=Brasy4G246000 ID=Brasy4G246000.1.v1.1 annot-version=v1.1 MPVLVLVLVRSGSLLPTPRLIRHATGGGRRPRASASSPPPPAHLPTMDPRPHKPQDDGYFHQHDGACHADPSQRAECKAQGLVTVRKVQKADRERMRRDKLNEQFQELGTTLDPDRPRNDKATILGDTIQMLKDLSSQVNKLKAEYSSLSEEERELTQEKNELRDEKASLKSDIDNLNTQYQQRIRMLYPWTGMEPSVVIGPPPSYPFPVPVPIPTGAVPMHPQLQAYPFFRNQTLGTVPNPCTPYMAYTQPCHPHTDQPSNQFSTPVPRSSSNQSHTPAQDHRSKSCTLQRTSCGRRSDDFGDVATDLELKTPGSSAPSHSEIANKDSSSDLKKKKQCINQINGSILTEGSSSSRCSSSGPPDVSNSVGDGSVPDDQ* >Brasy4G409600.1.p pacid=40086392 transcript=Brasy4G409600.1 locus=Brasy4G409600 ID=Brasy4G409600.1.v1.1 annot-version=v1.1 MKRICSRFFCFSSASSSLLLCLLVSLLSANDGVVRAATAAAAAAQKFGINYGQIANNLPHPTQVSGLLNSLNVNRVKLYDADPSVLTAFAGTGVEFIVSNGDLLNLSDTREASAWVSQHVQPFLPATRITCVIVGNEVLSGAGTGDTAAMQSLLPAMEAVHQALVDSGLDGQVHVSTSHSLNVLASSYPPSAGAFREDVVEYVSPLLDFLAQAGSPFLINAYPFFAYKASPGTVPLPYVLFEPNPGVRDPGNDLAYDNMLYAQIDAVYAAMARLGHADGVAVRVSETGWPSEGDGDEVGAGVRNAAAYNGNLMKRVAAGQGTPLKPDVPVDVFVFALFNEDLKPGPASERNYGLFYPNGTPVYDLGFDDVASSPSFGPLPTASGFPSSKPIKASFPVGVVVLLAAFFL* >Brasy4G206600.1.p pacid=40086393 transcript=Brasy4G206600.1 locus=Brasy4G206600 ID=Brasy4G206600.1.v1.1 annot-version=v1.1 MQAGRHDRSSCPRMDCHQAMHTSRERFHIGASWNLEVVLANTEVQLSGYLSRKTTTVRNRQLWHARSDTERVEQTRTDDGRCTPY* >Brasy4G348800.1.p pacid=40086394 transcript=Brasy4G348800.1 locus=Brasy4G348800 ID=Brasy4G348800.1.v1.1 annot-version=v1.1 MSPGGVFLGVDVGTGSARAGLFDEKGKLLGSASSPIQIWKEKDCFEQSSTDIWHAVCAAVKSACSLANVAPEDVVGLGFAATCSLVAVDADGSPVSVSWSGDARRNIIVWMDHRAVDQAVRINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWPMVYRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWRESDSRDMEACGWDEVFWEEIGLGDLVEGNHAKIGRSVAFPGHPLGSGLTPTSAKELGLLPGTPVGTSLIDAHAGGVGVMESVPDSGSEAGSSDEEAICRRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALIDYIVQNHAAAPLLANHAASQSISIFELMNKILISMSREQNLPFLSALSQDTHVLPDFHGNRSPVADPKSKGVICGLTLDTSEKHLALLYLATIQAIAYGTRHIVEHCNTHGHKIDTLLACGGLAKNSLYIQEHADIIGCPIILPRENESVLLGAAVLGAVAAKKFPGVRDAMKSLNAAGEVVHPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMSQALQ* >Brasy4G001800.1.p pacid=40086395 transcript=Brasy4G001800.1 locus=Brasy4G001800 ID=Brasy4G001800.1.v1.1 annot-version=v1.1 MGNMGQQHLGNATSVASLSHQLRDMWKSPRGTVLRIEALALLAIFLSFFLAIFGSCRRWSNNFLIQKGFMAANALFLSLGTYSIGLMQSSPVKSEMYPIWAVSLLALLCCVDSAAASGLDNRNQLWKMLYQLCLYFGYVLLMSITTISSDIGNIAICVLSAVTFIKGFHRSMALVLPSSMRNMIREIPGDRIKKCSFGDPNEERELIVDDKLDVMIHRRDVNMGDITSMRCEGNNKMLESKLNSCKDVCLSFSLSHLLHRRFLGLSSVKPIKASLAQPLVKNCKRALKVVEIELAFLHDILYTSNTFLHYYEAKSASIWAFASVIGICFVGAVAVIPGSRTSRRASPDTIFVDTTIVDFVITGVVLVSLALLQVLQLLSCWTSNWARVAFACDYARKDKRNRMFRIEKEVVLSWGMRLRASLLKINWYDKYYLWQNQLGQHAVIDESFWMRVHGCVSRHCHQLFCCGFLGNFCGWICSEEARCIAWIYCSLVNMLVQRCFGLLGLQYISRELKEMLRGSCTGSTVGLHPDVKASIGDFVENGIKSNEISGWASSKVENGQGGFPDFSFRFTVDDTAANAAEVNDMIYVSCILIWHVATCYCELAQQCVDHNNGSGHPAVTMEKDHRRVATDLSKYCAHLVASAPRLLPGRSETIKFVYGQVREKAAKVGKGLSSTAPAGDKLLQAMDTKCAGDHISVHVYSGVASVGNYIYGLGVKLGKGLQSMDAAERWKVLADFWVKALVYAAPSDKVEEHIQHLSQGGELITHLWAMLYHAGIQRWQLNPPAPNDINMGWDYIFRVCSWKDLKPSHKGPVQKIQKNLGKMKKKFRLFRRGKINFL* >Brasy4G108700.1.p pacid=40086396 transcript=Brasy4G108700.1 locus=Brasy4G108700 ID=Brasy4G108700.1.v1.1 annot-version=v1.1 MTRMDAKDVTAEPELLLLLERSRAITVQGSDKSGRAVVRIVGKHFPGRALGGRAEEALKGHLHERVLPEVGEREFVVVYVHSLVDRGDNFPGLGAIRVAYESLPAAAKDRLRAVYFLHPGLQTRLFFATVGRFLFSSELSEKLRYMSRLEYLWAHVHKGELEVPECERRHDEELERLPLMDYGIEANERRCMYDAASMDTSSSLHSVRCIS* >Brasy4G363000.1.p pacid=40086397 transcript=Brasy4G363000.1 locus=Brasy4G363000 ID=Brasy4G363000.1.v1.1 annot-version=v1.1 MAMASSRLLWASRAASYLRISTFPRAFSTVLKELKYAETHEWVKVEGDSATVGITDHAQEHLGDVVYVELPEVGTCVSQGNNFGAVESVKATSDINSPISGEVIAVNDELTEKPGLVNANPYEGGWIIKVKVKDAGELNSLMDDEKYSKFCEEEDGKH* >Brasy4G179100.1.p pacid=40086398 transcript=Brasy4G179100.1 locus=Brasy4G179100 ID=Brasy4G179100.1.v1.1 annot-version=v1.1 MNGIEVKPPKYDKVVGRPKLKRKKNPVEEEQGRKMSRHGLIGHCSACNQPGNNKRRCPGLGRGDQAGAADQDQPSADAEADGDAQADAAAQADAQADAAAQATDAAQADDAAAQADAAAAKKLPAPNSATVAESGTTDAHGVCPQNLSVLQILSQGASTQQSQAPAPLPESTFIADCRAAMPVPRATTATFGLAGQGRNKQKTKENKKPAA* >Brasy4G235200.1.p pacid=40086399 transcript=Brasy4G235200.1 locus=Brasy4G235200 ID=Brasy4G235200.1.v1.1 annot-version=v1.1 MRARDWAFRRVAISSEDGTSTTKPLTLVVHGLNERSSPSRALGTRTGRRSPAPGPSSASTAAASATSRATEAGAEDCSMRCAVTGPSTASTSMAMAAVARRLPARITSSAWSRSICAAAPPPSKSLPFLKFEPTATAPLPDAHLAKSAAARQSRVSIHGDTTPQLCSGQRCLVFQIAQACDKAKTTTRSRPSSRDLWTTRVSPSLGTASRQTAARSAPTMGCRSADGGGRGELEGGGGSGRRADRGGHEELEEGGGSSRGGRRRTRGGT* >Brasy4G235200.2.p pacid=40086400 transcript=Brasy4G235200.2 locus=Brasy4G235200 ID=Brasy4G235200.2.v1.1 annot-version=v1.1 MRARDWAFRRVAISSEDGTSTTKPLTLVVHGLNERSSPSRALGTRTGRRSPAPGPSSASTAAASATSRATEAGAEDCSMRCAVTGPSTASTSMAMAAVARRLPARITSSAWSRSICAAAPPPRNLNQQQLLLCRTPISRNPRPHANLAFRSTVTPRRSSAAGSAASCSRSRRPATKPRLRHDPGHPPAIYGRPACRLR* >Brasy4G023500.1.p pacid=40086401 transcript=Brasy4G023500.1 locus=Brasy4G023500 ID=Brasy4G023500.1.v1.1 annot-version=v1.1 MVVRPVRDPFPHIKNECTLKTDVKGRFLNRVTKRTPLIIWNSFLCEDVTGWDFLQSKEPTKKAAFLWGPDFPANLNVRLTNKAMKLRKISGFSGVLALGREFPDLFLRMEISNSQGAISMGRVPCSHASDQKRAVSPSRMEMEVQAEKSSRSDSSKGRSMSAQGLSGHVQRNHSQRSRRALLNLAMSRTPRPPKHLGRQTKSQRTRQLPPPH* >Brasy4G235300.1.p pacid=40086402 transcript=Brasy4G235300.1 locus=Brasy4G235300 ID=Brasy4G235300.1.v1.1 annot-version=v1.1 MTMTSEDGDISALLSEPSLPEEEPEASGSDDFLPAILESIKSSEKAVELSPEEVAWADSCFVQTSELSDIDWGAMRGALLDSLEKPVESPYGTSEVTQHGDVDMEERGKIDDDEAASEVCKVVNLIRGADEHGKQMDGYVAKSEDGDDLVSSEVVEQPESRDSIFKVWDLNVSFSDDEGELELINDMKKLLRDNPPEVAYPPPSDSANALSQINIDELVAGLGDLSLQQTSE* >Brasy4G264500.1.p pacid=40086403 transcript=Brasy4G264500.1 locus=Brasy4G264500 ID=Brasy4G264500.1.v1.1 annot-version=v1.1 MALLGDALRQAIMPRGAYEALRHEERALPRLRRPLAGLAAACVAVAAVAAAGVSLGIVFPAEPAERPFCRERRMLEALPANASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFAASAAYLVAGISVAYAAPRRHPFICIVENNFCASRRGGVRCLSILNAVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYGGTAFFLRRKAAAVLDEGDYAAHSVGLEMLETTIEVTPEMQRRVNDGFKTWMGSSLLSSDDEEEASDDYIEHNTPSPKASVQHRQENDLES* >Brasy4G264500.2.p pacid=40086404 transcript=Brasy4G264500.2 locus=Brasy4G264500 ID=Brasy4G264500.2.v1.1 annot-version=v1.1 MALLGDALRQAIMPRGAYEALRHEERALPRLRRPLAGLAAACVAVAAVAAAGVSLGIVFPAEPAERPFCRERRMLEALPANASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFAASAAYLVAGISVAYAAPRRHPFICIVENNFCASRRGGVRCLSILNAVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYGGTAFFLRRKAAAVLDEGDYAAHSVGLEMLETTIEVTPEMQRRVNDGFKTWMGSSLLSSDDEEEASDDYIEHNTPSPKASVQHRQENDLES* >Brasy4G430700.1.p pacid=40086405 transcript=Brasy4G430700.1 locus=Brasy4G430700 ID=Brasy4G430700.1.v1.1 annot-version=v1.1 MAVLLRASFCSFLFLTCSLLLASPLCRTSHAQSAAMTEAMGCSMSGNYTLGDAYATNLDRFLTALPEYTVSKHGGFFIGRFGKNDSVYGLAMCSADYSRADCSDCLAATAGSNTSGGLPNRCPGSKTVIAVFDKCLVRYSNHNFLGTART >Brasy4G041300.1.p pacid=40086406 transcript=Brasy4G041300.1 locus=Brasy4G041300 ID=Brasy4G041300.1.v1.1 annot-version=v1.1 MKNKNGRRRRNKAICTEDRLSTLPNDLLLNVLERVDTLDAIRTCILSKQMLKLPTMLSQFFISVSSNLARTYGTREVIRINSIVTNTTENILSTRSPEITIRKINVRFFLRHHDFLSICNSVAHAMATQKVDAAEFEIIVEKVSLDCPHADLLHFARQFNTFLAACTDAFAGLTRLWLHDMRFGELDIPNILSTCKRLELLRLTNCDSGIHSVLQVEHAQLVELEIDRGKFETVELTCLPKLQRVSYNNWYSCGNPMHFGFVPQLSKLRLTNTGICSEKTLELSQLLANVPSIIDLHLDFESEKIWVLPESPKLLTPVLSKLQYVNMDNLPEGCDITWTMFILEAAPSLKELCITVWDHWCNMVTDKKFRKANGYCEKADVEWKPSASDFKHEKLAKLTIYGFQPFDDFMQYVRRVLEVAVNMKEVSLHDRKVLGCCGDLDRKIKVCASRYPRNAKERKRTMELLGFASSAVIRLRS* >Brasy4G099000.1.p pacid=40086407 transcript=Brasy4G099000.1 locus=Brasy4G099000 ID=Brasy4G099000.1.v1.1 annot-version=v1.1 MRVRGGIWKVTEEEPGKVATRSVGGEGWFWTRAGGRRREATVKAGWLAGSRWAGGRERNAVLTGGVIKTAAPRGPPDPHIRDLTHYPWRASALNSTARRRLASPPPPPSPASRARRRLARSLLPPRALMRPPPPPRALTRAPPTRARRRASRPHAHAFQSPAPPPSRPASTANSSPAAADALAPRICHQLLSPEADSSSPAAGTREREVPSGVEALPSR* >Brasy4G093200.1.p pacid=40086408 transcript=Brasy4G093200.1 locus=Brasy4G093200 ID=Brasy4G093200.1.v1.1 annot-version=v1.1 MGRNGFTDDGGASMMSWLLDSAGSSSNGSVDKHNLGYFDPDYLVEHEFDDEEELAPVRHGQIVEEEGQNAVLMKEIRLLKSMVKEEEMKSKKFYEAMINHEFELAFQDQLLEELESKIVEGKKENEALMCQVLQGKEKMEDVKVFAVACFSVAITLWAVLFCLF* >Brasy4G095400.1.p pacid=40086409 transcript=Brasy4G095400.1 locus=Brasy4G095400 ID=Brasy4G095400.1.v1.1 annot-version=v1.1 MAISHLARRLLSPTSTAAKLFPEMSFPKPHAHARNPLLLLHPHRRFSTTPDSSSKPETASATANADTAASLESMRHQEIEGPTVERDTSPLADDVRGELDALRRAVQRLSASLALLGGAHLAAGAWIASGAAPVGVESAAAVQGVAAFAFPFTAALVLRRVIKPVAFFQKMEANGRLQVLTLCLQAWKNVNLMLLRTRVVAISCALGVSVGSVAAILMRGGVLSLVHEM* >Brasy4G245200.1.p pacid=40086410 transcript=Brasy4G245200.1 locus=Brasy4G245200 ID=Brasy4G245200.1.v1.1 annot-version=v1.1 MRLPYLCLRLLLPLRPNAPTKSFSSSSSSSSRWWSRSRAGSATNHHRNQMSSAAAGAVAEGSAARRFWIAASSREAAFASYTPFLLSLAAGSLHLDSFRQYLAQDAHFLHAFARAYEMAEECADDDDDKATITALRKAILRELNLHASVLQEWGVDPTKEIPPNPATTKYTDFLLATAAGKVDGGKGSDKIVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELTIFLKDENHPYKKWIDTYASKDFEGNALQIEELLDKLSVCLTGEELEIIGKLYQQAMRLEVEFFSAQLVDQPVVAPLSRYRDPKDNKLVIFSDFDLTCTVVDSSAILAEIAILSHQKASQSGSDNALDRTKSADLRNSWNMLSNQYTEEHEQCIEGLLPPEEAKSVDYDQLYKGLEVLSEFERQANSRVIDSGVLWGMNLDDIRKAGERLILQDGCRNFFQKIGETREELNLDIHILSYCWCAELIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGQINRKMESPLDKVNKFKSIKSEMDSTTSSLSVYIGDSVGDLLCLLEADIGIVVGSSTTLWRVGKQFGVSFVPLLPGLVDKQRRLGKQEASVFKARSGVLHTVSSWAEVQAFVLGNDFS* >Brasy4G299700.1.p pacid=40086411 transcript=Brasy4G299700.1 locus=Brasy4G299700 ID=Brasy4G299700.1.v1.1 annot-version=v1.1 MGSSSLEQTNALFRKNLVIQRRACKTNCCLVLFPLLLCSLFGGLGMLLNHLEFKEQEGHPALIDCSCSNVAVPENAIGVMSCPQECPLPRAPKWPPVLRLHLPREPPSNWSSPDLDAASSGLSDADPCSGGGAPGSCAAAKFLVTGGNQSFVGSVMDNMIPAHNNSVNVSNDGISALADFVLADDEGFSWFSSSGVLDSFLQNKCTPNLTLSYAFQDGNRTVSQDVQCMEGSMLWRDSSLLINLELYRAYYQGNNKTSTSEITAAYDFLSSDQGNFNLLISYNSTYKFIVFQSQVPLLQIPGTWDAPRLLQIPRLTNMASNAYLKLRGNGLKISFDFVKEMPRTARQFGNFDLSSLVGQLLYVWAMELLFPVILSNLVYEKEKKLRIMMKMHGLGDLPYWTISYSYFILLSMIYVLSFMLFGSVLGLSIFRLNNFGVQFVFYFAYMNLQISFAFLMATCFSNVRTATVTGYFYIFVSGLLGEFLFKPYVEDIFLSRSWITLLELFPAFSLYRILYEFAQSALLVRSMGSMGMQWSDLSDPKNGMTSVLTVMVLEWLLFLLLAFYLDHFGSFQNGIIKAATLLRSRTGGNRSQAAQQQTIQLQEFKASVEMEKADVIEERKIVEQLLQEPNSSYSVICDNLKKVYHGKDGNSKKIAVRELSLSMARGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIRLDMDKIYTGIGVCPQHDLLWETMTGREHLMFYGRLKKLKGGQLTQAIEQSLRSVRLHSGGVADKLVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIVLTTHSMEEAEVLCDRIGIVANGSLQCIGNSKELKTKYGGSYVLTVTTAAGEEAEEEVDKLARSISPAVSRVYRISGTQKFEMPKQEVRISKVFHVMENAKSRVAIIAWGLADTTLEDVFIRVAKESEASSVS* >Brasy4G325200.1.p pacid=40086412 transcript=Brasy4G325200.1 locus=Brasy4G325200 ID=Brasy4G325200.1.v1.1 annot-version=v1.1 MIQYQATIVDAKQHTYPVTVNDARSENSTNPNQLPIITQSFKSNGDIKRFPRDI* >Brasy4G381000.1.p pacid=40086413 transcript=Brasy4G381000.1 locus=Brasy4G381000 ID=Brasy4G381000.1.v1.1 annot-version=v1.1 MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLRTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIEEGTHPRFLVDGFEVAKRATLEFLEKFKTPVVMGDEPDREMLKMVARTTLRTKLYEGLADQLTDIVVNAVLCVRQKDEPIDLFMVEIMHMRHKFDVDTHLIEGLVLDHGSRHPDMKRRAENCYILTANVSLEYEKSEINAGFFYSNAEQREKMVSAERRQVDERVKKIIELKNKVCSGTDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAINSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTLEDEAVVLGAGAFEMAAKKHLMDNVKKTVKGRAQLGVAAFADALLVIPKTLAENSGLDTQDVIVALENEHDRGLVVGLNHHSGEPVDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT* >Brasy4G061800.1.p pacid=40086414 transcript=Brasy4G061800.1 locus=Brasy4G061800 ID=Brasy4G061800.1.v1.1 annot-version=v1.1 MAAAFRQTMMRTLLRGGKASATPPSPLPRPSAFSTSGTSKGDVQHPNLQRLHDDLRAEARGIWEEERKKATKRFAYLQSILGVAIFSGASYGLYYGI* >Brasy4G381200.1.p pacid=40086415 transcript=Brasy4G381200.1 locus=Brasy4G381200 ID=Brasy4G381200.1.v1.1 annot-version=v1.1 MSFIPLHNPPIVTEAKRHDAGGKKAAAVTAAMRRSWSKGRDNGSAAASAGKAAAVAVDGDRSSQHALKWAADHVLSRAQSFFLIHVRRKSGSPLSAGGKQFSTSHVQEDVATSFLVQLDLQTKELMLPFQCFCSRRGLQCREVILDGTDVPKAIVDFVVQYNVDKIVLGSSTRSAFTRTIWKMDVATSVTKYAPNFCSVYVIAKGKLSTFRPATHANENDTSKEDTKSDAAGNRLLAVKSEQAHNFPGKEPYSYRLMSTHAAMHIGTNVDESAEGGKFKAPSQQRSVDSHLVKTSSCPSEFIRTMNQRSSHLSPEYPDNRRDTLFLLNKDNEHAFQAPHGKYLGIDDNALSLEYNACDPLMPSGQCASSTFNYQTENVETDPRHFQQKNCNILPRNYRELPLGPRDGIENSYAIDKQDIDPLRSRYDAETSSVVRGPKQKLLTLETSSSDPQHRERIIEEFVDHNSQKQVHPMLRRLPPKFFSPRNDRHGSASEEKHIPEPDSNPLPRPIETKRILECLPTRLECRLYNPNEIAKATRNFSAELKVGEGGYGPVYKATLDNTLVAIKILHSNVTQGLKQFQQEIDLLNNLRHPNMVHLVGACPEYGCLVYEYMPNGSLEDCLYCRSGTPPLPWQLRFKIAVEIATGLLYLHKMKPAAFVHRDLKPGNILLDENFVSKIADVGLARIIPRSMDETKTQYRMTDAAGTFCYIDPEYQKTGLVSTKSDVYALGIIYLQIITAKDAMGLAYGVSDALEEGTFEELLDPKVTGWPVEEAKKFAELALKCCELRHRDRPDLESVVLPELISLHRLAVPSADPSMNQPHQSSASDKDLALGDDGLADDLTEGSVKAPSLSA* >Brasy4G381200.2.p pacid=40086416 transcript=Brasy4G381200.2 locus=Brasy4G381200 ID=Brasy4G381200.2.v1.1 annot-version=v1.1 MSFIPLHNPPIVTEAKRHDAGGKKAAAVTAAMRRSWSKGRDNGSAAASAGKAAAVAVDGDRSSQHALKWAADHVLSRAQSFFLIHVRRKSGSPLSAGGKQFSTSHVQEDVATSFLVQLDLQTKELMLPFQCFCSRRGLQCREVILDGTDVPKAIVDFVVQYNVDKIVLGSSTRSAFTRTIWKMDVATSVTKYAPNFCSVYVIAKGKLSTFRPATHANENDTSKEDTKSDAAGNRLLAVKSEQAHNFPGKEPYSYRLMSTHAAMHIGTNVDESAEGGKFKAPSQQRSVDSHLVKTSSCPSEFIRTMNQRSSHLSPEYPDNRRDTLFLLNKDNEHAFQAPHGKYLGIDDNALSLEYNACDPLMPSGQCASSTFNYQTENVETDPRHFQQKNCNILPRNYRELPLGPRDGIENSYAIDKQDIDPLRSRYDAETSSVVRGPKQKLLTLETSSSDPQHRERIIEEFVDHNSQKQVHPMLRRLPPKFFSPRNDRHGSASEEKHIPEPDSNPLPRPIETKRILECLPTRLECRLYNPNEIAKATRNFSAELKVGEGGYGPVYKATLDNTLVAIKILHSNVTQGLKQFQQEDLALGDDGLADDLTEGSVKAPSLSA* >Brasy4G146000.1.p pacid=40086417 transcript=Brasy4G146000.1 locus=Brasy4G146000 ID=Brasy4G146000.1.v1.1 annot-version=v1.1 MSSTPFPAPVCPTCCRCPGVLLGCWLVSTSAAAAPRPQQWLQFAEGSTLQQGACLHREGARDVLPSWPSATNSHIPGAPDSTILLLVFVNHFNCVCASLNQERKIMHNIRQYQLPLQRYMAMMDLQEDSEAFLQAPH* >Brasy4G172400.1.p pacid=40086418 transcript=Brasy4G172400.1 locus=Brasy4G172400 ID=Brasy4G172400.1.v1.1 annot-version=v1.1 MGVHCDRLAILAMLVLLASVSEAFALRSLGVFAQANGANISPAAQPRELTEHDAAPASARFDVPEKHTAATVTSSSTVFDPDRMSKRRVRRGSDPIHNKC* >Brasy4G356200.1.p pacid=40086419 transcript=Brasy4G356200.1 locus=Brasy4G356200 ID=Brasy4G356200.1.v1.1 annot-version=v1.1 MPRPWRPVLASATKCCAAEDAVVTAGAGPGGLARCRPARSEFSRRLESFRRLSSMANSPATPMDGKDVDDDDDADGEAGQMGVGALQLHSFSLSELRGVTHDFSSGYLLGEGGFGTVHKGFVDAGMRPGLDPQPVAVKQLDIAGHQGHREWMAEVIFLGQFRHQHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKRISATMPWGTRLKVAIGAAKGLAFLHGAHKPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLTMKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQTIKLVEWTRPYLASSRRLRCIMDPRLAGHYSVKGARAVAHLACECTALQPRDRPRMAAVVESLERLQGFKDMAVSVGLWPTAPVVAGRNALSAKFRAEMKGAGAGVGAGAVSRRRSASAKLP* >Brasy4G371500.1.p pacid=40086420 transcript=Brasy4G371500.1 locus=Brasy4G371500 ID=Brasy4G371500.1.v1.1 annot-version=v1.1 MGRGSGRVLVLALALFLTLRMQIITPAYAVPKSYCVFFDDLASASSLLNGLTPVFSILYRLDDINAIVLLISDSLVPGILKLPGVLAVIPDKLYKPHTTHSWEFLGLESGGKPNPHWEQTANYGQGVVIANVDTGVWPTSASFGNDGLEAPWRWRFGDRCDRGKDPTFQCNNKLIGARFFSEAVQVESFQDGTSGKLNRTDLNSPRDYAGHGSHTLSTAGGGFVPNAGVFGGHGNGTAKGGSPRARVASYKACFLPDTCSSMDVLAAIVTAVHDGVDVLSLSIGAPPSDLFTDLLAVGALYAVRNGVVVVASAGNDGPVPGSVSNVAPWMLTVGASTMDRDFPARVTFGATNTTIKGRSLSNSTLPAGEKYPIISGEKASATESTVNSTLCFPGSLDQAKVKGKIVVCTRGVNGRMEKGQAVKEAGGVGMVLCNDESTGESTVADPHVIPAAHCSFSQCKGLFTYLQSESSPVGIITAMDAQLGVKPSPVMAAFSSRGPNTITPQILKPDITAPGVEVIAAYSEGVSATGLPSDGRRVPYNMLSGTSMSCPHVAGIAGLLKAKYPEWSPAMIKSAIMTTANNNSGEIQEESGAAATPLGYGAGHANPLKALDPGLVYDTTPYEYASFLCSATKPSSLLDVLGLGALLPVPVFFRLISLLAGVVSPFQCGSRSRPEDLNYPSIAAAVCLSGSAGATVVKRRVMNVLGATTPSTYRVTVVQPAGIKVTVEPSTLSFAKIYEEKEFSVKLEVYDAAAAANYAFGSIEWSDSGTGGAHRVRSPIVATTKCG* >Brasy4G353600.1.p pacid=40086421 transcript=Brasy4G353600.1 locus=Brasy4G353600 ID=Brasy4G353600.1.v1.1 annot-version=v1.1 MAMTAATLLPRPVTGPPSLSSSSSLSSSSAAHRRSRPAAIRCQSPGVDKTKPKRSLFDNASNILTNLLAGGSLRNMPVAEGAVTDLFDRPLFYSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARYILRENAFCYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTKCSDRTILKLEELTEKGDHGDKSAIVNLEEEFSNLALDIIGLGVFNFDFDSVNKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPTKMRKAQAEIDSVLDNGPITSEKLKKLEYIRLIIVESLRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFTVPKMDENIEGWSGFDPGRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALAMLLGKFDVELRGSPDEVEMVTGATIHTKNGLWCMLRKRT* >Brasy4G353600.3.p pacid=40086422 transcript=Brasy4G353600.3 locus=Brasy4G353600 ID=Brasy4G353600.3.v1.1 annot-version=v1.1 MAMTAATLLPRPVTGPPSLSSSSSLSSSSAAHRRSRPAAIRCQSPGVDKTKPKRSLFDNASNILTNLLAGGSLRNMPVAEGAVTDLFDRPLFYSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARYILRENAFCYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTKCSDRTILKLEELTEKGDHGDKSAIVNLEEEFSNLALDIIGLGVFNFDFDSVNKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPTKMRKAQAEIDSVLDNGPITSEKLKKLEYIRLIIVESLRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFTVPKMDENIEGWSGFDPGRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALAMLLGKFDVELRGSPDEVEMVTGATIHTKNGLWCMLRKRT* >Brasy4G353600.2.p pacid=40086423 transcript=Brasy4G353600.2 locus=Brasy4G353600 ID=Brasy4G353600.2.v1.1 annot-version=v1.1 MAMTAATLLPRPVTGPPSLSSSSSLSSSSAAHRRSRPAAIRCQSPGVDKTKPKRSLFDNASNILTNLLAGGSLRNMPVAEGAVTDLFDRPLFYSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARYILRENAFCYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTKCSDRTILKLEELTEKGDHGDKSAIVNLEEEFSNLALDIIGLGVFNFDFDSVNKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQNPTKMRKAQAEIDSVLDNGPITSEKLKKLEYIRLIIVESLRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFTVPKMDENIEGWSGFDPGRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALAMLLGKFDVELRGSPDEVEMVTGATIHTKNGLWCMLRKRT* >Brasy4G284000.1.p pacid=40086424 transcript=Brasy4G284000.1 locus=Brasy4G284000 ID=Brasy4G284000.1.v1.1 annot-version=v1.1 MAAARSALASAGRALNVAVSFVVFALLDALELLLCVAYKVADYVAEGAWRPCYCSSSAMAAAAASGNSKIVVSERGGSKVVSLLSSTKVHLEDISDTLYTRPSVLAAAAASSSSSSSSESSSRRRENNVSAAGKVTVHSAIVQILRGKDGAGDGEQYKPYPSPRWSDCHCANCNPADSDRLFVHVQPPQGGGVVEEDVLFIHGFISSSGFWTETVLPHVSRRRRLLAVDLLGFGRSPKPADSLYTLREHVEMIERSVIRRHGVGSFHIVAHSLGSILALALAAKYPGAVRSITLVSPPYFPPPPPGEMAAWGSSSSQRLLRAVAPRRVWPAIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDQCLEVVRDQLTCGVTIYHGGDDELLPVSCSYAVQSRIPRAVVKVVDGRDHVTIVVRRQKELARELEEIWDTKRP* >Brasy4G401200.1.p pacid=40086425 transcript=Brasy4G401200.1 locus=Brasy4G401200 ID=Brasy4G401200.1.v1.1 annot-version=v1.1 MARLATILSFGDHAATQVPDPDRPQQQSPAAGGEEEEERDLFDDDASDGSADSFEFAFAPPLTAVGAPAPADDIFAHGRILPAYPVFSFSPDHHGPSASSATAPPSPDTYCAWAPRSAPGSPARERAFPKSASTGQKTVSTTAAAPARFRLRDLLGSNGRSHSDGKDKFLFIQHKPKPTTALSSAVAPATGEKPKRAAKKKQGGGGKKKATEMDMATAHRLFYSKPGGPQQHAQALPYRTGIVGFFAAAHALRRPQHNHPY* >Brasy4G363800.1.p pacid=40086426 transcript=Brasy4G363800.1 locus=Brasy4G363800 ID=Brasy4G363800.1.v1.1 annot-version=v1.1 MGAPRGLLLLLVSVAWLLLLLLLLLLSPAPVAASGRKAYIVHMDESYALPPQHAGHLYTYTKALHGFAAMLSASELRALRRTPGFVSAYPDRAAATEFLDLSPTRGLWPAAKLGEGVIIGMIDTGLWPESHSFDDAGMPPVPARWRGACDSGAAFPCNRKLIGARYFNRGFIAAYPNSTYFGMNTTRDIDGHGTHTSSTAAGRAVPCASFFGYGRGTARGVAPRAHVAVYKVSWPGNLVMSDVLAGMDAAIADGVDVISISLGFDDYPLYTDPVAIASFAAMEHGIFVSASAGNLGPDLGSLHNDAPMDRHIFAATVRYGGGNGTVTGTSWYPLSAWVADIRREADFVAAIFITMYDEDEVSGLEFRFPAILIRPGDAAGLLSYIGSSEHPTATIQFQQTILGTRPAPAVADYSSRGPSKSYPGVLKPDLLAPGDKILASWPPSPLARIGGTDVYIAAEFNVMSGTSMACPHASGVAALLRAAHPGWSPAMIKSAMMTTASTTDNMFQPITDAGNAHAVASPLAMGSGHINPNSALDPGLVFDAGPADYVALLCAANYTGAQIAAITRRTAYSCSNSSISANQANYPSFVATFGANASSGVMRFPRTVTNVGTGRGAATTYRASWASKSNAVAVSVAPETLEFSGAAGETATFHVEIKLTAPTGGEPAFGALVWADASGKYRVTTPYVVL* >Brasy4G309400.1.p pacid=40086427 transcript=Brasy4G309400.1 locus=Brasy4G309400 ID=Brasy4G309400.1.v1.1 annot-version=v1.1 MEPEGSKEAAKQPAEVEAGDPVDPRDLVSSDDEIDYSVEPEFYDPDIDDVDERWVHRQRKGRTSDAVLSCPACFTTLCLDSQRHEKYVNQYRAMFVRNCKIRTDQILREGKGKRKNHKVRAVNSSSTPEAENKGAVYHPVCCEICSTEVGVFDEDEVYHFFNVIPSNS* >Brasy4G354900.1.p pacid=40086428 transcript=Brasy4G354900.1 locus=Brasy4G354900 ID=Brasy4G354900.1.v1.1 annot-version=v1.1 MAHLQLTAVDGGRDDEMEEVALLGPYGSDEVAEEGEAGMRRVQVRVTGMTCSACTGAVEAAVSARRGVRSAAVSLLQSRAHVVFDPTLAKDKDIIEAIEDAGFEAEILPDSSVSQPKSQKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPTAISKDEIVVAIEDAGFEAALLQSSEQDKALLGVIGLHTERDVDVLYDILKKMEGLRQFDVNSAHTEVEVIFDTEVVGLRSIVDFIEMESSGRLKAHVQNPYVRSASNDAQEASKMLHLLRSSLLLSIPVFFMRMVCPHIPFLNSFLLMHCGPFRIGDLLKWMLVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGSFTGFRPPMYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYVGEKEIDALLIQPGDVLKVLPGSKVPADGTVIWGTSHVDESMVTGESVPICKEISSSVIGGTINLHGILHIQAAKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSLLTFCTWFVCGSLGAYPNSWVSETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYIIFDKTGTLTQGKATVTTTKIFSGMDVGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSPKDGIKKRKEEIVSQWLLEVADFSALPGKGVQCLINGKKILVGNRTLISENGINIPEEAENFLVDMELNAKTGILVAYAGYFIGLMGVTDPLKREAAVVIEGLKRMGVYPVMVTGDNWRTALAVAKEVGIEDVRAEVMPAGKTDVIRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE* >Brasy4G354900.2.p pacid=40086429 transcript=Brasy4G354900.2 locus=Brasy4G354900 ID=Brasy4G354900.2.v1.1 annot-version=v1.1 MAHLQLTAVDGGRDDEMEEVALLGPYGSDEVAEEGEAGMRRVQVRVTGMTCSACTGAVEAAVSARRGVRSAAVSLLQSRAHVVFDPTLAKDKDIIEAIEDAGFEAEILPDSSVSQPKSQKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPTAISKDEIVVAIEDAGFEAALLQSSEQDKALLGVIGLHTERDVDVLYDILKKMEGLRQFDVNSAHTEVEVIFDTEVVGLRSIVDFIEMESSGRLKAHVQNPYVRSASNDAQEASKMLHLLRSSLLLSIPVFFMRMVCPHIPFLNSFLLMHCGPFRIGDLLKWMLVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGSFTGFRPPMYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKGKYVGEKEIDALLIQPGDVLKVLPGSKVPADGTVIWGTSHVDESMVTGESVPICKEISSSVIGGTINLHGILHIQAAKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSLLTFCTWFVCGSLGAYPNSWVSETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYIIFDKTGTLTQGKATVTTTKIFSGMDVGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSPKDGIKKRKEEIVSQWLLEVADFSALPGKGVQCLINGKKILVGNRTLISENGINIPEEAENFLVDMELNAKTGILVAYAGYFIGLMGVTDPLKREAAVVIEGLKRMGVYPVMVTGDNWRTALAVAKEVGIEDVRAEVMPAGKTDVIRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE* >Brasy4G354900.3.p pacid=40086430 transcript=Brasy4G354900.3 locus=Brasy4G354900 ID=Brasy4G354900.3.v1.1 annot-version=v1.1 MTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPTAISKDEIVVAIEDAGFEAALLQSSEQDKALLGVIGLHTERDVDVLYDILKKMEGLRQFDVNSAHTEVEVIFDTEVVGLRSIVDFIEMESSGRLKAHVQNPYVRSASNDAQEASKMLHLLRSSLLLSIPVFFMRMVCPHIPFLNSFLLMHCGPFRIGDLLKWMLVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGSFTGFRPPMYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYVGEKEIDALLIQPGDVLKVLPGSKVPADGTVIWGTSHVDESMVTGESVPICKEISSSVIGGTINLHGILHIQAAKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSLLTFCTWFVCGSLGAYPNSWVSETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYIIFDKTGTLTQGKATVTTTKIFSGMDVGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSPKDGIKKRKEEIVSQWLLEVADFSALPGKGVQCLINGKKILVGNRTLISENGINIPEEAENFLVDMELNAKTGILVAYAGYFIGLMGVTDPLKREAAVVIEGLKRMGVYPVMVTGDNWRTALAVAKEVGIEDVRAEVMPAGKTDVIRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE* >Brasy4G038400.1.p pacid=40086431 transcript=Brasy4G038400.1 locus=Brasy4G038400 ID=Brasy4G038400.1.v1.1 annot-version=v1.1 MDAASCCRVFSTQRCRFPLRRLAAASPRPFCTESSEPFAASSSSASKRRSRGPVMAAKKAAQGAKQEDGKYKHTVDLPKTTFGLRANSVMREPELQKLWEENQVLKRVSERNTGATFSLHDGPPYANGDLHMGHALNKVLKDIINRYKLLQNHKVSFVPGWDCHGLPIELKVLKSMDKETLNALTPIKLRQKAAKFAKATVTAQMNSFKRIGIWADWDNPYLTLSPEYEAAQLEVFGQMVMRGYIYRGRKPVHWSPSSRTALAEAELEYSENHVSKSIYAAFKITSPSKSGLLDEFPPNVCLAIWTTTPWTIPANAAVAVNPELTYAVVELQSVLESESTSGGKQRKLGSILSSGNEKPFVIVAADLVSVLESKWGMKLVIRKSFPGSVLEHCRYIHPVNGDECSVVIGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFNGLSVLGAGNAAVVKYLDEHGSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRDAALDAIKRVTWVPSQGEKRIVAMISGRSDWCISRQRTWGVPIPVFYHVDTQEPLITEETIEHIKAIVSKKGSDAWWYMTTEQLLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVVAKRDGLNFPADVYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGLKMSKSIGNVVDPEKVIVGGKNSKEEPGYGADVLRLWVSSVDYTGDVLIGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVPYCDLPKIDQYALFQLENVVASMKDSYDNYQFYKIYQTLQRFAIVGLSNFYFDVAKDRLYVGGRVSYTRKSCQTVLAAHLLYLVRAIAPIMPHLAEDVWQNLPFEHTLEDGSVAKFAFDLKWPNKNEEWLSVQKDDVDFLSIVLELRSEVNKILESARTGKLIGSSLDAKVYLHAGNADTVSKLKELSSATNDADALHRLFITSQVEILPSLNEEATSGVSYTGTFSDPRTGEIWIGVTRADGAKCERCWNYTKDVGSFPDHPSLCLRCHGVIDLHPQPFPAAAAVV* >Brasy4G091600.1.p pacid=40086432 transcript=Brasy4G091600.1 locus=Brasy4G091600 ID=Brasy4G091600.1.v1.1 annot-version=v1.1 MAELFAPLLHLPDVLERLAADHRDRGHGHHNHDAHGHGHGHGQARALGVGGGGGGAPVDIVETPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSANGAGKRKREEDEEEKDKDCRYIRLERRATPRSFVRKFRLPEDADAGGIAARCENGVLTVTVKKQPPPEKKARSVQVAIA* >Brasy4G181300.1.p pacid=40086433 transcript=Brasy4G181300.1 locus=Brasy4G181300 ID=Brasy4G181300.1.v1.1 annot-version=v1.1 MASARLVALAAMAAAVVVCCSLPGPASAADDGEVRALLELKAALDPTGRLLPSWAPGRDPCGGGGFEGVACDALGGVANLSLQGKGLSGTLSPAVAGLRALTGLYLHYNALRGAVPRELAGLAQLTDLYLDVNNFSGAIPPEIGTMASLQVLQLCYNQLTGSIPTQLGLLNKLTVLALQSNHLNGAIPASLGDLPELMRLDLSFNHLFGSIPVRLAKLPLLAALDVRNNSLTGSVPAELAKLEGGFQYGNNTDLCGTGLPDLRPCTPADLIDPDRPQPFSAGIAPQITPDDAGHGHCSRTHCPPSTKALAAAVVVAVVLLAATAAGLFAFSLYRWRKQRTSAAAAGATAPAVGGRCSTEATKEPSSFRKSASSTLVSLEYSNGWDPLSDGRSGAGFSKEVSPSLRFNMEEVESATQYFSELNLLGKKKNRKSSASVSKATYRGTLRDGTPVVVTRLGKTCCKQEEAEFLKGLKLLAELRHENVVGLRGFCCSMARGECFLVHDFVPNGSLSQFLDVHDGGGGGGAPGHGGHVLEWSTRVSIINGIAKGIEYLHSSRANKPPLVHQNISADKVLVDYTYKPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAFGVIVFQILTSKRKTMQLPFESGNTDELIDGNLKGCYSLTEATKLAKIALVCTSENPDQRPTMEEVIQELGTL* >Brasy4G328400.1.p pacid=40086434 transcript=Brasy4G328400.1 locus=Brasy4G328400 ID=Brasy4G328400.1.v1.1 annot-version=v1.1 MMFTEGLDESAISWIKQGTDTPPAARSPLAERPPLAQIPAAPRSPALYNRACAAAGLFSPKSLLPPVRTTARHSGLLGRHSSVLLAADSDDEYEEAEGEESVASWGMTEECGYGNFSDHTAEEDGVCSSDSSLFRRARDLYAAGGDDEVTSQFSRRGVGLARGHSKENLRVEVRAAAAFDGKYSRGQDPVDTSLHERNVDVQKFQDFGPPSAPPIARDDEEDGIFDTVAETNGVFERTGISSVADILAQDVNELPTSTNVHEDGVHVPYLENNLLAQIPSFTTNVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQKFLLHPRYKNQDDAKHAYDKDESCTMKARKLVRQIEIEVQKIRVVPQRPKLRATSSFRNLYVQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTIELQSSCKGQQRDSISPQYLKPGTGESQLFYLESQGDAILVEVQDNNRVVIGRAKIQVSSFTDTHQEEITRWWPLYLEDDECVGKIQLCMNLSMSTDNYGSAKMLQGGLAVDTIIYDMVLEAAMRAQKFNSKMLHISGSWKWLLDEFSDYYGVSDSYRKLRYLSFIMNVATPTKDCLELAYNLLLPVMKARNDRTLTRQERSILLDCEDRINSLLGVVFENYKSLDEHSPTGMSDLFGPIADCAAPALAPAVQIFSVLHDILSNEAQNLLRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCISISHEIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLQHVAELLIATADFERDLDSWEVRPIPGGVVSRELFHDYIMVWIEDTRLHLLDYCKAEKLSCPAATSTTSPFVEQIYEQIKESISEYGVVINRWPQYLMSLENAIADVERETMKALEKQYMETLMPLRDGIPKILEKQVQRLTRRQSIAPYVVPNQLGTFMNTVKRMLDVLHCRIEDILKSWAAYLTISNGNTVFGEQMNSITVMLRKKYKKYLQAIVEKIASDNQANRTTRLKRILEETKETEGESEMRERMQALSAQLSDSIHNLHKVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQRLLGNALQDKDLDPPQSVIDARSILC* >Brasy4G328400.2.p pacid=40086435 transcript=Brasy4G328400.2 locus=Brasy4G328400 ID=Brasy4G328400.2.v1.1 annot-version=v1.1 MMFTEGLDESAISWIKQGTDTPPAARSPLAERPPLAQIPAAPRSPALYNRACAAAGLFSPKSLLPPVRTTARHSGLLGRHSSVLLAADSDDEYEEAEGEESVASWGMTEECGYGNFSDHTAEEDGVCSSDSSLFRRARDLYAAGGDDEVTSQFSRRGVGLARGHSKENLRVEVRAAAAFDGKYSRGQDPVDTSLHKFQDFGPPSAPPIARDDEEDGIFDTVAETNGVFERTGISSVADILAQDVNELPTSTNVHEDGVHVPYLENNLLAQIPSFTTNVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQKFLLHPRYKNQDDAKHAYDKDESCTMKARKLVRQIEIEVQKIRVVPQRPKLRATSSFRNLYVQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTIELQSSCKGQQRDSISPQYLKPGTGESQLFYLESQGDAILVEVQDNNRVVIGRAKIQVSSFTDTHQEEITRWWPLYLEDDECVGKIQLCMNLSMSTDNYGSAKMLQGGLAVDTIIYDMVLEAAMRAQKFNSKMLHISGSWKWLLDEFSDYYGVSDSYRKLRYLSFIMNVATPTKDCLELAYNLLLPVMKARNDRTLTRQERSILLDCEDRINSLLGVVFENYKSLDEHSPTGMSDLFGPIADCAAPALAPAVQIFSVLHDILSNEAQNLLRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCISISHEIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLQHVAELLIATADFERDLDSWEVRPIPGGVVSRELFHDYIMVWIEDTRLHLLDYCKAEKLSCPAATSTTSPFVEQIYEQIKESISEYGVVINRWPQYLMSLENAIADVERETMKALEKQYMETLMPLRDGIPKILEKQVQRLTRRQSIAPYVVPNQLGTFMNTVKRMLDVLHCRIEDILKSWAAYLTISNGNTVFGEQMNSITVMLRKKYKKYLQAIVEKIASDNQANRTTRLKRILEETKETEGESEMRERMQALSAQLSDSIHNLHKVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQRLLGNALQDKDLDPPQSVIDARSILC* >Brasy4G328400.3.p pacid=40086436 transcript=Brasy4G328400.3 locus=Brasy4G328400 ID=Brasy4G328400.3.v1.1 annot-version=v1.1 MMFTEGLDESAISWIKQGTDTPPAARSPLAERPPLAQIPAAPRSPALYNRACAAAGLFSPKSLLPPVRTTARHSGLLGRHSSVLLAADSDDEYEEAEGEESVASWGMTEECGYGNFSDHTAEEDGVCSSDSSLFRRARDLYAAGGDDEVTSQFSRRGVGLARGHSKENLRVEVRAAAAFDGKYSRGQDPVDTSLHDFGPPSAPPIARDDEEDGIFDTVAETNGVFERTGISSVADILAQDVNELPTSTNVHEDGVHVPYLENNLLAQIPSFTTNVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQKFLLHPRYKNQDDAKHAYDKDESCTMKARKLVRQIEIEVQKIRVVPQRPKLRATSSFRNLYVQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTIELQSSCKGQQRDSISPQYLKPGTGESQLFYLESQGDAILVEVQDNNRVVIGRAKIQVSSFTDTHQEEITRWWPLYLEDDECVGKIQLCMNLSMSTDNYGSAKMLQGGLAVDTIIYDMVLEAAMRAQKFNSKMLHISGSWKWLLDEFSDYYGVSDSYRKLRYLSFIMNVATPTKDCLELAYNLLLPVMKARNDRTLTRQERSILLDCEDRINSLLGVVFENYKSLDEHSPTGMSDLFGPIADCAAPALAPAVQIFSVLHDILSNEAQNLLRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCISISHEIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLQHVAELLIATADFERDLDSWEVRPIPGGVVSRELFHDYIMVWIEDTRLHLLDYCKAEKLSCPAATSTTSPFVEQIYEQIKESISEYGVVINRWPQYLMSLENAIADVERETMKALEKQYMETLMPLRDGIPKILEKQVQRLTRRQSIAPYVVPNQLGTFMNTVKRMLDVLHCRIEDILKSWAAYLTISNGNTVFGEQMNSITVMLRKKYKKYLQAIVEKIASDNQANRTTRLKRILEETKETEGESEMRERMQALSAQLSDSIHNLHKVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQRLLGNALQDKDLDPPQSVIDARSILC* >Brasy4G144900.1.p pacid=40086437 transcript=Brasy4G144900.1 locus=Brasy4G144900 ID=Brasy4G144900.1.v1.1 annot-version=v1.1 MSCCFLFGKRAQHVVEGDDEVHSVKVFSYNELRKATQDFSGANKIGEGGFGSVFRGMLKDGTLVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLVGCCAEGSHRILVYNYLEKNSLSQTLLGSSYSNIQFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPHEDQFLLEKTWALYEQGHLDEIVDVDIGDDLDVEEACLFLKVGLLCTQDAMARRPHMTTVVRMLTGSKNVSMEKITRPAMITDFAELKVSSKPQGASETRSYPSRSFTTTEVTEPFSSSETPTQTSM* >Brasy4G144900.2.p pacid=40086438 transcript=Brasy4G144900.2 locus=Brasy4G144900 ID=Brasy4G144900.2.v1.1 annot-version=v1.1 MSCCFLFGKRAQHVVEGDDEVHSVKVFSYNELRKATQDFSGANKIGEGGFGSVFRGMLKDGTLVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLVGCCAEGSHRILVYNYLEKNSLSQTLLGSSYSNIQFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPHEDQFLLEKTWALYEQGHLDEIVDVDIGDDLDVEEACLFLKVGLLCTQDAMARRPHMTTVVRMLTGSKNVSMEKITRPAMITDFAELKVSSKPQGASETRSYPSRSFTTTEVTEPFSSSETPTQTSM* >Brasy4G055300.1.p pacid=40086439 transcript=Brasy4G055300.1 locus=Brasy4G055300 ID=Brasy4G055300.1.v1.1 annot-version=v1.1 MSKKNSLSKRKKQHEFDLQREKQAKEDQAKKLQAKKSKMKIDGSDKKKKGSSFKVGKKKVKTKLSALAKAKAAQAMEVDK* >Brasy4G190300.1.p pacid=40086440 transcript=Brasy4G190300.1 locus=Brasy4G190300 ID=Brasy4G190300.1.v1.1 annot-version=v1.1 MAKGRIFGWMERQMGLAVREKGLTGSSYARPLDNEVLCPCPLSPSSRIYIGTLEMIRQPLDTFK* >Brasy4G355500.1.p pacid=40086441 transcript=Brasy4G355500.1 locus=Brasy4G355500 ID=Brasy4G355500.1.v1.1 annot-version=v1.1 MAALSVPLRASAAALSSSAAGSRAAADPAKVSCVRSTGSAHFGCSFPSIAASSSSARNIQPLRAIATQAPPVVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFVDAKYMAYMFKYDSTHGPFKGSIQVVDDSTLEINGKKITITSKRDPAEIPWGNFGADYVVESSGIFTTIEKASVHLKGGAKKVVISAPSADAPMFVVGVNEMSYNPKMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDTRSSIFDANAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVTAKH* >Brasy4G365300.1.p pacid=40086442 transcript=Brasy4G365300.1 locus=Brasy4G365300 ID=Brasy4G365300.1.v1.1 annot-version=v1.1 MIGSLRGTRLAAALKRPPLTRTMEQATPPAAGVDLSPAGTDLGRVHLLPCGIRHNSAAAVSDYFKPRDTGVEVEGVRVEEAFFRGRKLQGATVALPDGYRGYVLEKKGGAKDMQNMDGDVSNFVSRAEFQNITYWNHDTMPSAEDPLPRCFHWLTIANAMHKPVTAEELANMSATQNKNS* >Brasy4G135700.1.p pacid=40086443 transcript=Brasy4G135700.1 locus=Brasy4G135700 ID=Brasy4G135700.1.v1.1 annot-version=v1.1 MADDQNAAAGVNYGEGLRLKETWSRCFTECGAHNFELPDYSLLDGMGAGKFVRSSKFRVGGYDWKIRFYPDGEKIAPAPTSYASAYLIYLSETVETITAKLTLTMLDKDGKVVASKEGLETYVFSPKGFGWGWFEFVEKHKLKPPPSDQLHEAAGFFTIRCVLKVLKLKDQCNERLIKIPPPELPGQLERALKDGKDADVTLLVGGTEFRAHRFMLAARSPVFHAQLLGPTAENKNTPCVIEVVDMEPAIFEMLLHFIYTDSLPPCDGEEGYGAPEMQQLLVAADRYGLDRLKAMCEEKLCRSIDVKTFMSTLLAI* >Brasy4G403500.1.p pacid=40086444 transcript=Brasy4G403500.1 locus=Brasy4G403500 ID=Brasy4G403500.1.v1.1 annot-version=v1.1 MALAARLVSRSRQLYSAQAALANGGVTQVRSYAKEAAPADRPVSGDELLKGIFFEVKKKFETALGVLKKEKITIDPDDPTAVAGYAQVMRTVREKADLLSDSQRIKYTIETFTKGIPDARTYLNTLEEIRKKSGLIDDLGVEAMMMEALEKVESDIKKPLLRSDKKNMGLLLAEFEKINKKLGIRKEDLPKIEEELELEIAKSELTELKNECVEAMEGQLKREEFKDEVMPDVRKLDIRNFL* >Brasy4G102800.1.p pacid=40086445 transcript=Brasy4G102800.1 locus=Brasy4G102800 ID=Brasy4G102800.1.v1.1 annot-version=v1.1 MASAATPTTTPAKMGAVQYDACGGGAAGLKHVEVPIPSAKKNEVLLRLEAATINPVDWKIQKGDLRPLLPRRLPFIPVTDVAGVVVDVGPGVKDFIAGDQVVAMLNSLNGGGLAEYAVASTNLTVKRPPEVSAADGAGLPIAAGTALQALRSIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLANIHVTATCGARNMDLVKSLGADEVMDYKTPQGVSLQSPSGRKYDGVVHCTVGVSWSVFKPLLSDTGRAIDITPNFSAILTSALHKVTFSKKRLVPLLLWPNKADLEFLVGLLKDGKLKTVIDSRFPLSDASKAWQSSIDGHATGKIVVEMKS* >Brasy4G293600.1.p pacid=40086446 transcript=Brasy4G293600.1 locus=Brasy4G293600 ID=Brasy4G293600.1.v1.1 annot-version=v1.1 MRPLCLPENHWFRIRPPIHPSSMDDPPPESIVLDAQAYLDDRTNASTAEGCTARGHRIKVTFWISHPPRVSYFTVHFPDLPDPSADELGQLPRIIRTDGDLVLLHVSVCPPGLRIRPEFNDLIIYRAGSKTLQVLPPNPDRKRIPLYGQVGLLDCHDNTGSFFVVAALSSVYRRGHYKLELYDSRKRTWSTKPMYVEPSQAHDYSFTSPTKVITIGGKRGSIGFVDLLQGILICDVLMGDDILRYIRLPFLVAPNKMCRGPPTCDRDITISQGYIKYFDMCVHAEPGSCIGTSYTSQDWEAATWKWVDSEKNWHMEYKLKASNVLVDKSHYELLPNPLHPHGPTETKPILSRLHVGHPALSMHDDIVYIMAKVHHMDSHKAWMLAVDMRNKTLNGVTDFSPERTFSFSLTYLQSKISKHLAKRSSNSRHSEGEVCHHLLKFRTTADQRRDQGSAPLRTPRCTCISIAASY* >Brasy4G293600.2.p pacid=40086447 transcript=Brasy4G293600.2 locus=Brasy4G293600 ID=Brasy4G293600.2.v1.1 annot-version=v1.1 MRPLCLPENHWFRIRPPIHPSSMDDPPPESIVLDAQAYLDDRTNASTAEGCTARGHRIKVTFWISHPPRVSYFTVHFPDLPDPSADELGQLPRIIRTDGDLVLLHVSVCPPGLRIRPEFNDLIIYRAGSKTLQVLPPNPDRKRIPLYGQVGLLDCHDNTGSFFVVAALSSVYRRGHYKLELYDSRKRTWSTKPMYVEPSQAHDYSFTSPTKVITIGGKRGSIGFVDLLQGILICDVLMGDDILRYIRLPFLVAPNKMCRGPPTCDRDITISQGYIKYFDMCVHAEPGSCIGTSYTSQDWEAATWKWVDSEKNWHMEYKLKASNVLVDKSHYELLPNPLHPHGPTETKPILSRLHVGHPALSMHDDIVYIMAKVHHMDSHKAWMLAVDMRNKTLNGVTDFSPERTFSFSLTYLQSKISKHLAKRSSNSRHSEGEVCHHLLKFRTTADQRRQDRAHAT* >Brasy4G419900.1.p pacid=40086448 transcript=Brasy4G419900.1 locus=Brasy4G419900 ID=Brasy4G419900.1.v1.1 annot-version=v1.1 MSVKYCIQQIQFGHATNGGNQRFVPLLCPQYTKLFESSGFARRGRKLA* >Brasy4G416200.1.p pacid=40086449 transcript=Brasy4G416200.1 locus=Brasy4G416200 ID=Brasy4G416200.1.v1.1 annot-version=v1.1 MEAAAAPDGGGLEVVVFPWLAFGHMIPFLELSKRLAARGHAVALVSTPRNLARLPAPSDGVRFVPLPLPSVEGLPEGAEATSDVPPDKVGLLKKAMDGLAVPLAAFLADACSAGRRRPDWIVVDFCHHWVPPIADRHKVPCALFMIFPAATMAFCGPRWANAANPRTEPEDFAVPPEWMPFPSTAFFLRHEAEWVAGSFRADSSGVSDAERLWEVMERCRLTVHRSCHELEPGMFALLSDLNRKPAIPAGIMLPRHEEENNQSSSSQALQWLQDKPPKSVLYVALGSEAPLTRENIHELALGLELAGVRFLWALRKPGGNNDDDGELLLPAGFEERTLGRGVVCTGWVPQVKALAHAATGAFLTHCGWGSTVESFAFGHPLVMLPFVVDQPMIARAMAERGVGVAVARNESDGGSFTRDGVAAAVRRVMVEDRGKALAANAKKMQELLVDQQRQDQYIHDLVDHLRRYKDA* >Brasy4G411600.1.p pacid=40086450 transcript=Brasy4G411600.1 locus=Brasy4G411600 ID=Brasy4G411600.1.v1.1 annot-version=v1.1 MDNLQANPAENQEIWTPAMAARRSTPSPNIPGNRPLSLGDAAPSIGCQNLAAGSSPNTGPNLLSGRSRSMMNATHEGSASAPGAALRGSMSSGAQQDAGPSTRASCNLPPGCTKVPISILVFHRRPNGRGSRPPRPLSGTSRPAPALPGVPGDSEDAPSIQLARSDFVPIGRASNDVASDVVMKPSAQATPVGCSSEHPSIHFQPNGLDAEAPKTGIQGDDCQPSSSSAAVTSGVHSKLESAIPASTDETQGQHTKQLIELLGEGVTDHNMLVYQRTPKKPRTQLKQNDPAVVATPAAVKEKTLTQIDIQISATEKVETFQSEDSPARKAKPRRKKHRAKVIREDKRGKKQNSAVTAPEEKSPNQKARRSYVRKKRNLSSLEKCPGPVADQSISGRTENAARSRIASVRRRLQFEFGEEGVQGDQSSTGNSCQHNEKLAHAKSSLCSMSRSAVQIGYGLQANMENLPGGLAFGMTRKLNELLDEHIHLPEITPKQDNAGSSHYPDATRMGVTIMEGNNKDLGVKYSNIDGFDMHRSATSIPETESTESQVTKVSKLEEKEHGQHIESDSSLTNSRDSIILRAATEMLAFCQAGGVKKKRSVRARRISFVPIMDIEKNKSQAFTRLPQSCIEALYESSCIKFTTKKHSQKERLHSPSSTQPNMDKKNMFSSRSIFSGGSNGLKGSEGTFQQTLPQAPDNRRINLDIYCEVPERSPEHTYMDYLQGVTSRLKYLDLNTEHVHRTEMHPSQTMSAVVSFGATGGLSNSLVPYSGQMIFPYERSLHLVKKQRPRAKVNLDYETTRVWNLLMGKATEPVDGTDVDKEGWWQQEREVFQGRANSFIARMRLVQGDRHFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAASFPPGSVNNNCEDDITSQANEEIFSTSAVGDMSLFDFFDSGARSDLEANEGFCIHQETEIDHRAHQFPDFSSVELTASAGSPPDIQSSESVTISETIIETRLSSSSGKNYAPRYFVGGVDGAAFQQLGSNLDGNPLAGNDAATNELECQRIKTGAINDNGVGEHVIPSPSVMYPFISVDNRQPDVANGPYVYSTSHKSSAGSAASHPKNGAVEKELPLFMPSDNHIAQINYSKTVISTLTTPETSTDLPVELRYDKRAGFEASELQQHESHSVTGGNGMIADTASGADESTLKSGFISHNGVPDKAAQASRPKKARTTNKKNMENFDWDKFRRQACDDGHMNERTFERRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQSFLNRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYIWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQEKSLVKSSNQFSFENAGLPTQNSTVLPQLEGSTFGRDFLANNSEPIVEEPASPREEECPETLENDIEDYDADTCEIPTIKLNMEAFAQNLENCIKSNKDLQSDDIVKALVAISPEAASIPAPKLKNVRRLRTEHYVYELPDSHSLVQQLELARREPDDPSPYLLTIWMEDDIKEMSKAPKSCCDSQMEAGFCNNEKCHCCVPERENQSRYVRGTILVPCRTAMKGSFPLNGTYFQVNEVFADHKSSHDPIHVAREQLWSLQRRMVYFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETKAPRPLCPHLHLAASKLPRSRKTAETAQNSGLAKASVS* >Brasy4G085400.1.p pacid=40086451 transcript=Brasy4G085400.1 locus=Brasy4G085400 ID=Brasy4G085400.1.v1.1 annot-version=v1.1 MSPLPSGFGTRPWLVQAARGGHRQTFVDSSDGTAHAVAVPELRGKTCLGCVHGGDWLLMLDESTAECFLLRLTPDDDPSSPTSKKTTIPLPPLREPLESIGTCATLGSPSHLREFTVVAAIADPPPIVDDDDEELEQSSSILFHCRPGDAEWAVLDAAPAPWPSFPGLLITHKARIYSYSVPSRKLTAISRDGADGTLRARAIGTVGGWKKAHDRVPMHRLVESRGKLFAVATEQFGVHGREDGTPTLVAVYRLSLRDMEWKRVKGIGGDRAFVVSGNYAFSVEAAERLYKFCLDDMTVSFRKILSHPTEPWSRAFWAVPPHIQATEVKETESSSEEEYDESEEEEVSESICQSVEKEEEQASGTSWARRQPWQDLQLELLELVVSNLSLVDRLRFPAVCKSWKKVCNPVQEAKVWPWLMHSSGQDGKCKFFDPLRGQEYSLRVRPLDPVGADNNETDELYEHIFRSSKDGWVAVSTGACIDDIFLLNPFTEDTMEVAEFYRKYHFMGLSWTGTDNVYADRVFFGIGSSHSGDSVSIHTCQKYRDAGWTQRHFIEYHQDDAKFPVACTIPVWFRGRYYCLGRTGNLGTFDPASNEWTVLEKPGPVNVLMHVLGDNHEGRDFCYLLDEASMAWVEVQEIGGAALFVDFRASYAVASPEGGCGNRIYFPRYSEDGKRAAYYDMEARKYCPSFDGLKQPLQCVWVVTHLHRYH* >Brasy4G419500.1.p pacid=40086452 transcript=Brasy4G419500.1 locus=Brasy4G419500 ID=Brasy4G419500.1.v1.1 annot-version=v1.1 MKTHEVAANLALAGLSLAPLVINVNPNLNVILTACLAVYVGSYRSVKATPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFVSKDLVNTVLTAYFFILGIAALCATLLPSVKRFLPQGWNDNAIVWRAPYFHSLSVEFTKSQVVASIPGFFFCVWYAMKKHWLANNVLGIAFCIQGIEMLSLGSFKTGGILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGITVTIVVMNLFQAAQPALLYIVPGVTGFVAVHSLWNGEVKPLLEFTESQAEEEEAVKEGKKVE* >Brasy4G419500.2.p pacid=40086453 transcript=Brasy4G419500.2 locus=Brasy4G419500 ID=Brasy4G419500.2.v1.1 annot-version=v1.1 MKTHEVAANLALAGLSLAPLVINVNPNLNVILTACLAVYVGSYRSVKATPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFVSKDLVNTVLTAYFFILGIAALCATLLPSVKRFLPQGWNDNAIVWRAPYFHSLSVEFTKSQVVASIPGFFFCVWYAMKKHWLANNVLGIAFCIQGIEMLSLGSFKTGGILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGITVTIVVMNLFQAAQPALLYIVPGVTGFVAVHSLWNGEVKPLLEFTESQAEEEEAVKEGKKVE* >Brasy4G202800.1.p pacid=40086454 transcript=Brasy4G202800.1 locus=Brasy4G202800 ID=Brasy4G202800.1.v1.1 annot-version=v1.1 MSDEEVSDPKALLEDRSKAKCVSQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCIDKNVAEKLFDSLK* >Brasy4G147600.1.p pacid=40086455 transcript=Brasy4G147600.1 locus=Brasy4G147600 ID=Brasy4G147600.1.v1.1 annot-version=v1.1 MLPCQGVATATANAQLFGFPAANRYGYHARSSVCSKPAFLKLRAPALRHDKKILPLRASVDFSSCAVSPGFPKYDSTKGTNPVKPLLSIRSFRPRTQVSCQASLASFSYPELSSKPKWWWRTLACVPYLLPLHNMWSHADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLVIFMTVYFFVVRRKWSPHFLRYHIILAILLDTGSQALATMCNWNPSIVFQGKPMAYFWMTLAFIQIFTVIECMRCALAGVYPNVPLISHTAFIHSDLNLFR* >Brasy4G398700.1.p pacid=40086456 transcript=Brasy4G398700.1 locus=Brasy4G398700 ID=Brasy4G398700.1.v1.1 annot-version=v1.1 MASSSAALVALLVASCAGMAAAASFTVGDAQGWVAGIDYSGWTSGKSFSVGDTLVFTYASKVHTVTEVSKSGYAACSGSSALGNDDSGSTTVTLATPGTHYYICNIPGHCASGMKLAVNVGGGGGGSSSGSGAGIPSGAAAVRVPAMMSTIVLAAASGALIKAALF* >Brasy4G074700.1.p pacid=40086457 transcript=Brasy4G074700.1 locus=Brasy4G074700 ID=Brasy4G074700.1.v1.1 annot-version=v1.1 MALNPFSSGTRLRDMIRAIRACKTAAEERGVVRRECAAIRASFSDNEQELRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAVGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLMRSRDANTKKKAALCSTRIVRKVPDLAENFMGLAASLLKEKHHGVLISAVQLCTELCKASKDALEYLRKNCIEGLVRILRDVSSSSYAPEYDVAGISDPFLHIRVLKLMRMLGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNILMRAITVDTQAVQRHRVTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDVADPDFKEDLTAKICSIAEKFSQDKLWYLDQMFKVLSLAGKHVKDDVWHALIVAISNASELQGYSVRSLYTALQTYSEQGSLVRVAVWCIGEYGEMLVNNVGMLEAEGPITVTESDALDAVELGLSRYPADVTTRAMCLVALLKLSSRFPSMSERVKQIVSQNKENMVLELQQRSIEFGSIIQRHQSIRSSLLERMPVLDEATYLMKRASTAQASIPAYKPASVVTPGDLKLPNGLAKPAVTPLADLLDLSSDDVTATATASTTTTPSDFLQDLLGIGGTNLPTAGAPSSASTDILMDLLSIGSSPSQNGQLVPDLSLAQAEKKHVSAAPQLVSPVPEPVDLLGSLSSSTSVSGTKSATAVPQAVDLLDGLSSSTSVSGLEDACPSITAFQSATLKITFDFRKQPGKPQESTIHATFTNMSSSTYTEFIFQAAVPKFIQLRLDPASGNIVPANGKGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPPGL* >Brasy4G074700.2.p pacid=40086458 transcript=Brasy4G074700.2 locus=Brasy4G074700 ID=Brasy4G074700.2.v1.1 annot-version=v1.1 MALNPFSSGTRLRDMIRAIRACKTAAEERGVVRRECAAIRASFSDNEQELRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAVGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLMRSRDANTKKKAALCSTRIVRKVPDLAENFMGLAASLLKEKHHGVLISAVQLCTELCKASKDALEYLRKNCIEGLVRILRDVSSSSYAPEYDVAGISDPFLHIRVLKLMRMLGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNILMRAITVDTQAVQRHRVTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDVADPDFKEDLTAKICSIAEKFSQDKLWYLDQMFKVLSLAGKHVKDDVWHALIVAISNASELQGYSVRSLYTALQTYSEQGSLVRVAVWCIGEYGEMLVNNVGMLEAEGPITVTESDALDAVELGLSRYPADVTTRAMCLVALLKLSSRFPSMSERVKQIVSQNKENMVLELQQRSIEFGSIIQRHQSIRSSLLERMPVLDEATYLMKRASTAQASIPAYKPASVVTPGDLKLPNGLAKPAVTPLADLLDLSSDDVTATATASTTTTPSDFLQDLLGIGGTNLPTAGAPSSASTDILMDLLSIGSSPSQNGQLVPDLSLAQEKKHVSAAPQLVSPVPEPVDLLGSLSSSTSVSGTKSATAVPQAVDLLDGLSSSTSVSGLEDACPSITAFQSATLKITFDFRKQPGKPQESTIHATFTNMSSSTYTEFIFQAAVPKFIQLRLDPASGNIVPANGKGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPPGL* >Brasy4G263300.1.p pacid=40086459 transcript=Brasy4G263300.1 locus=Brasy4G263300 ID=Brasy4G263300.1.v1.1 annot-version=v1.1 MATTLSLSSPLFLAAARPKATNVILRGVASASPSRNNAKISSKWYYAGTAHGRKQQRHTSIVSVFGRRTKTTRETVVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDDDSLEVKVGNELQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDVMVERAGPSETSGPTNP* >Brasy4G272800.1.p pacid=40086460 transcript=Brasy4G272800.1 locus=Brasy4G272800 ID=Brasy4G272800.1.v1.1 annot-version=v1.1 MAQVPSKRDEISPPEKSPSEDFISTLPAREGWSQGQPLIQYKKYWLRPRMLEQILKAEDAFKPRADDILLATYPKCGTTWLKALAFTVINRGRHSSFTGDHPVLTRHTHRLVPFIEIAHHRAADGLLETLPSPRLIATHMPLRLLPPGTCSGSSACRVVYLCRDPKDALVSMWKFNSSLAPGSGPAMDQLDKPFGMFAEGFSSESLARPDNVLFLRYEDIKSDPVQVVTRLAGFFGVPFTEEERKSGVGEEVVRLCSFEMLAGLQVNQVGGVDIGHEMYISNSAFFRKGEVGDWANHMSAEMAEKLDRIVQEKLKGSGLSF* >Brasy4G135800.1.p pacid=40086461 transcript=Brasy4G135800.1 locus=Brasy4G135800 ID=Brasy4G135800.1.v1.1 annot-version=v1.1 MGNHAGVQRLPETTTAPSRGITESVTASHEFKVTNYPALDGVLGVGKSVRSGTFSVGGYDWEIWFYPDEDAKDDSAGYASVYLASLSCPPAENVSTKFTLTVLTHRGRVASLENVRRTFSPTNVTWGLAKFVEKSKLKSPDDDDGYLTIRCDLTVAKEPHSTECKTVLIEVPAPELPGHLERALKEEKGADVTFHVGGREFRANMFMLASRSPVFEALLFGPMMEKDTRRVEVVDMEPAIFEMLLHFIYTDSLPPPTCDDIQEGGYGTAEMQHLLVAADRYGLDRLKVICEEKLSRGIKVETVTSTLALADRHYCHRLKDACVAFMSQPDVMGAVVRGDGFKQLIGRCPMLGLEDESQESK* >Brasy4G048400.1.p pacid=40086462 transcript=Brasy4G048400.1 locus=Brasy4G048400 ID=Brasy4G048400.1.v1.1 annot-version=v1.1 MANFLVHAATTSCILLAASLSPASSMPAVYSVGDGRGWKMPAGNGTESYNHWAKRNSFQVGDILDFKYANDSVLLVNHDEYKQCSTESPASRFTDGDTKFKFDRAGAFYFISGAPDHCEAGQRMMPPASAPSAAVIRGPGGASSPGTPSYGSSTGSMDSATPSPLAEPSGASRRAIAAAAGFSVVTVLLLVGVVTMLA* >Brasy4G379000.1.p pacid=40086463 transcript=Brasy4G379000.1 locus=Brasy4G379000 ID=Brasy4G379000.1.v1.1 annot-version=v1.1 MVTSLHPGRMAQIAATGKGSPAGKIRQSPMSCWLQRDLRGTSQSPLGTSPGSSTLTSPTTPCPVVCRWNWCLPAASLSLTSALTSSMEHSSSCHLQPLPGLCSIPTGLGDCSMLRVLKAGYNNLSGKLPDELFNATSLEYLSFPNNHLHGVLDDTHIINLRNLVTLDLGGNNFSGKLPDYIGQLKRLEEFHLDRNMMSGELPSALSNCTNLITVDLKENNFNGELGKVNFFNLTNLKTLDLWSNNFIGTVPESMYSCSNLTALRLSNNRLHGQLSSRIGNLKYLSFLSLGKNNFTNITNALQILKSSKDLTTLLIGHNFRGEILPQDETIDGFENLQVLDIEGCEFTGKIPLWISRITKLEMLLLNSNQLTGSIPEWINSLSNLFFVDVSDNSLTGEIPLTLMEMPMLKSTENATNLDPRVFELPVYNGPSLQYRLLTSFPTVLNLSKNNFTGLIPPEIGQLEVLDVLDFSFNKLSGQIPRSICSLTNLRVLDLSSNNLTGSIPAALNKGPIPSGGQFNTFQNSSFDGNPKLCGSTLTHKCGSASIPPSSTKRDKKAVFAIAFGVLFGGIAILLLLGRLIVAIRMKGFKAKNRRENNGDLEATSFYSSSEQTLVVMWLPQGKGEENKLNFTDILRATNNFDKENIIGCGGYGLVYKADLPDGSKLAIKKLNGEMCLMEREFSAEVDALSMARHENLVPLWGYCIQGNSRFLIYSRMENGSLDDWLHNRDDDATSFLDWPIRLKIAQGASMGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPREYGQAWVSTLRGDMYSFGVVLLELLTGRRPVPVLSTSKELVPWVLQMRSEGKQIEVLDPTLQGTGYEEQMLKVLEAACKCVDNNQFRRPTIMEVVSCLANIDGDLQTQKLAKTG* >Brasy4G007800.1.p pacid=40086464 transcript=Brasy4G007800.1 locus=Brasy4G007800 ID=Brasy4G007800.1.v1.1 annot-version=v1.1 MFYITKLAFIAETIDITKEGTSQSISCWRGTGKSNKVRHVSALQLYRICGKTYVFRRDVSRDEGDWSKMIGVSTEWKALCTGSQPCLLDRSCAVGKYGIRIAAHSLDNVMMEKLAIQSRGDNLQKLN* >Brasy4G148500.1.p pacid=40086465 transcript=Brasy4G148500.1 locus=Brasy4G148500 ID=Brasy4G148500.1.v1.1 annot-version=v1.1 MGGVCSRKRSQLVDEDDSFQSPTRFSKTSSLKWLLLTLPRSSSDASRKGLAKGPGRCPSLMELCVAKVCKDISKYSSLAVLPRDLSQQVFNELVDSSCLKEASLETFRDCALHDICLGEYPGVKDAWLEVVASQRQSLLSVDISCSEVTDSGLNLLRDCSNMQSLACNYCDQISEHGLGVLSGFYNLTSLSFKRSDGVTAEGMRVFTNLVNLVNLDLERCLKIHGGLVHLKGLRKLESINMRYCNNVTDSDIKYLSDLTNLKELQLSSCRITDLGVSYLRGLSKLTRLNLESCPVTAACLVDISGLASLMLLNLNRCGIYDEGCESFKDLKKLKVLNLGFNYITDACLVNLKELINLESLNLDSCKVGDAGLLHLKGLVLLTSLELSDTEVGSNGLRHLSGLRNLQSIDLSFTLVTDTGMKKISALSSLKSVNLDNRLITDVGLAALTGLTGLTHLDLFGARITDNGTSCFRYFKNLESLEACGGSITDVGVKNIKELKALTLLNLSQNANLTDKTLELISGLTALVSLNVSNSRVSNAGLRHLKALQNLRSLTLDSCRVTANEVRKLQETALPNLISFRPE* >Brasy4G094300.1.p pacid=40086466 transcript=Brasy4G094300.1 locus=Brasy4G094300 ID=Brasy4G094300.1.v1.1 annot-version=v1.1 MGCFISKPDDASAIIRRRPASIGEVAVFVPGLRVPESLELTPPLLDSLPRRLTERLAASRDRIAVMATREALSVTRPRRRIGTQHGGSTSADLVQALEEYLPIILGLTKDGSELEDKIQFAWMNQEDDAEETTLASAWYEALSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEENKRASVEIFLKAAGYLECAMQHILPRMSPEKRKGLPLDLSESVLKATCMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWHQAHESLADLPLLDGWGEKHGLFVKWKHMEAKAAAYYYHGLILDEGNSEKSHRTAAAALQTAEESLKESRAVCEAFHAASPVSRSPAMWGSMKYLHDKIHKDSSCKVRINKDLYSSNVDTVLPELPDFAVALKPEEYRLPRFSADAASAND* >Brasy4G044200.1.p pacid=40086467 transcript=Brasy4G044200.1 locus=Brasy4G044200 ID=Brasy4G044200.1.v1.1 annot-version=v1.1 MGARRSPSAAPAVASLLLLLLVSFWSRAAAVATPDGGAQAWKTALQQHVAFFDSDKDGIVSFSETETGLRSIGFGFAAATAAATLINGVIGPKTRPENATTSTFSIYIENIQKGIHTSDSGTYDAQGNFVQAKFDEIFSKYGKTTPNALTESELEQMRHANRKDNDFKGWAASKAEWDQLYGLAKDKNGLLRKDTVRTVYDGSLFYKLANKTGPSGNKA* >Brasy4G330600.1.p pacid=40086468 transcript=Brasy4G330600.1 locus=Brasy4G330600 ID=Brasy4G330600.1.v1.1 annot-version=v1.1 MESHVWVALALSFVGGLSTSLGALLVILNPTPDLKRLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKANLWFFAGVLFFGFIVKFIPEPTFVPTDDAIRKKQTDDDSSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGIAVFLGSVKGLRVGINLAIAIALHNIPEGVAVALPLYFATKSKWQAFKYATLSGFAEPLGVFFVAVFFPSNLNPEILEGLLASVGGVMAFLTLHELLPLAFDYAGQKQAVKAVFVGMAVMSASLYFLEISLPEEIGL* >Brasy4G330600.2.p pacid=40086469 transcript=Brasy4G330600.2 locus=Brasy4G330600 ID=Brasy4G330600.2.v1.1 annot-version=v1.1 MESHVWVALALSFVGGLSTSLGALLVILNPTPDLKRLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKANLWFFAGVLFFGFIVKFIPEPTFVPTDDAIRKKTDDDSSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGIAVFLGSVKGLRVGINLAIAIALHNIPEGVAVALPLYFATKSKWQAFKYATLSGFAEPLGVFFVAVFFPSNLNPEILEGLLASVGGVMAFLTLHELLPLAFDYAGQKQAVKAVFVGMAVMSASLYFLEISLPEEIGL* >Brasy4G333700.1.p pacid=40086470 transcript=Brasy4G333700.1 locus=Brasy4G333700 ID=Brasy4G333700.1.v1.1 annot-version=v1.1 MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASNNVLRYFYGIGYKLDHEVLKVGFAFRFQRGAQFTVTVTSANKMPKLHATDEAVAVTPGIQLVEITAPAAANNYNDVASSVTAFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAKTL* >Brasy4G333700.2.p pacid=40086471 transcript=Brasy4G333700.2 locus=Brasy4G333700 ID=Brasy4G333700.2.v1.1 annot-version=v1.1 MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASNNVLRYFYGIGYKLDHEVLKVGFAFRFQRGAQFTVTVTSANKMPKLHATDEAVAVTPGIQLVEITAPAAANNYNDVASSVTAFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAKTL* >Brasy4G333700.3.p pacid=40086472 transcript=Brasy4G333700.3 locus=Brasy4G333700 ID=Brasy4G333700.3.v1.1 annot-version=v1.1 MECVVQGIIETQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASNNVLRYFYGIGYKLDHEVLKVGFAFRFQRGAQFTVTVTSANKMPKLHATDEAVAVTPGIQLVEITAPAAANNYNDVASSVTAFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAKTL* >Brasy4G231000.1.p pacid=40086473 transcript=Brasy4G231000.1 locus=Brasy4G231000 ID=Brasy4G231000.1.v1.1 annot-version=v1.1 MGVGFRHRSCPKSFEITNTELNSQFRCKLQAKAHFFEGHRFSSSTGHAMPPPPVSSKIWRPAMKPLALLAVLLLLSLSFLLFLLRPSSPPLLPLSVPDRRRLSVYVADLPRALNHGLLDLYWSLPAADARIPASSDPDHPPPRAHPPYPASPLIRQYSAEYWLLASLLRPASSSSAVSVVADWREADVVFVPFFATLSAELELGWGATKGAFRRKEGNADYRRQREVIDRVTAHPAWRRSGGRDHVFVLTDPMAMWHVRAEIAPAILLVVDFGGWYKLDSKSAGSNSSHMIQHTQVSLLKDVIIPYTHLLPTLQLSENMDRPTLLYFKGAKHRHRGGLVREKLWDVMINEPGVVMEEGFPNATGREQSIKGMRTSEFCLHPAGDTPSSCRLFDAVASLCIPVIVSDDIELPFEGMIDYTEFSIFVSVGNAMRPKWLASYLKTISKQQKDEFRRNLAKVQHIFEYENSHHSSRGSAPEDGAVNHIWKKIHQKLPMIQEAIIREKRKPEGASIPLRCHCT* >Brasy4G093600.1.p pacid=40086474 transcript=Brasy4G093600.1 locus=Brasy4G093600 ID=Brasy4G093600.1.v1.1 annot-version=v1.1 MEPPLAALLVLCSLLLLLTHPSSSAGHGHGNHHEHYDNANVSAAAAAGTQGLGAWADALEFLYYHNAVRMAHWELPLAWSPRLESYARWWASQRRADGCALRHSFPDGQFALGENIFWGSAGSSGAASWRPGDAVKEWAAEGVDYSYAANACAPGRECAHYTQLVWRRTALLGCARVVCGDGEGVFMTCNYYPPGNVVGERPY* >Brasy4G120100.1.p pacid=40086475 transcript=Brasy4G120100.1 locus=Brasy4G120100 ID=Brasy4G120100.1.v1.1 annot-version=v1.1 MGKGQSCYYQIAAAAVLSSRLRCRIGDLQCSVAAVGAESKTSCSSCVSRVQRGKEEQRERGGHGREKKTQRGKAPAWLKTIQTQEEESLEAM* >Brasy4G386300.1.p pacid=40086476 transcript=Brasy4G386300.1 locus=Brasy4G386300 ID=Brasy4G386300.1.v1.1 annot-version=v1.1 MFPGHGAQDGPDSGYGAASNVHDTERKGLMTYKQFCLFLEDDVSPGEAGSRYQEYKTAYITTQKRAYFDLHKDEIWLKEKYHPTNLLSVIERRNGFCKAAAKDLILDLRTGVLDCGPGMTAGAASKSGNNNAGSSDNDEDYGNKRRKNRRGPQKEREPLSTAPKAHPISSKYRRIQTDIVQTLALVKKLDAEKGIVGNILSTSDHGKSDVDRSHGSAGPIVIVRGLTTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEMRDAKGFRHVRDDNKSTSAFNISAADWEKKLDSFWHERLMNGKDPLVVLASQDKIDPATVETLEPYVKKILVDKFSWKYGCGALGCIKIFHAPEFVHRHLKLKHPDLVSELTSKVQEDIYHQNYMNDPNAPGGIPVMQQSAPQNRDRIRQKPDEQISGAFDLHGLNAPFAPEFPPPPLLIPVPGAGPLGPFVPAPPEMAIQMMEEQRPPRSNGAQHGKPPLLPRPMMPMYPHFPCDPRPLRNYDDLDAPDEEVTVIDYRSL* >Brasy4G235600.1.p pacid=40086477 transcript=Brasy4G235600.1 locus=Brasy4G235600 ID=Brasy4G235600.1.v1.1 annot-version=v1.1 MQDLYEKIAGGNYGCSWDAFQAYKHLKLLGYIIGRYGVPWTMKHSCTSEIANFSKSMADTDRSFDRANGACNDVTKLFKEMHIDEIYPSFEVHLPNSKFKKSSPGVPSFLLCLLRDKPPSSPELETVENKFEGIALKFCHVDNERVSFLSFDKVVLPSLP* >Brasy4G216200.1.p pacid=40086478 transcript=Brasy4G216200.1 locus=Brasy4G216200 ID=Brasy4G216200.1.v1.1 annot-version=v1.1 MANQAIFVHLVVTLVLLLLCPRASQGEELGDDAAGAPCGAAGLVVRQWATGRVVEGKPEYAVEVRNRCRCAQSMVLLRCYGLSSVEAVDPRAIRPVDAERCVLRGGRRIPAGAPVRFKYAWMTPFDFPLLSSQAHC* >Brasy4G065900.1.p pacid=40086479 transcript=Brasy4G065900.1 locus=Brasy4G065900 ID=Brasy4G065900.1.v1.1 annot-version=v1.1 MATAVLRRPLLASRLPAGSGAGAASSCSCPLPSRFHIRRRRSPPPVLAVSSDSSKPLASESPTGGGRDPDEEPVLPLLQELSDCLVLPPKFLSLLPRDLRLDLNDAAFDLSNGTVLDECGQEAGDLLLNLAKAWEMADTTTSNNLAKQLPSMEPYLTGSAKSAFGKRLVSAGRKFQTMGQYGNGEFKKIAETMIKNGKVLSKRPVIQSEVQAMKEKRKMKFGELEFEMTTQKAYIGAAVGLVFGYFSWQLAQGVQSSPDDSPLQLAKSLKVALLVLGYTSTALSVSAAVGLVILAQRMNPDNKSD* >Brasy4G231800.1.p pacid=40086480 transcript=Brasy4G231800.1 locus=Brasy4G231800 ID=Brasy4G231800.1.v1.1 annot-version=v1.1 MDTSRSSTMAISTSSDLDVWTTEDTRNDLRNFVRVIALQKFHLYSFRQEDDWMSEEDTMSAAKQPRHPTPKLNERIMSSLSRRSKAAHLEIGEELVSPPNTTIK* >Brasy4G170800.1.p pacid=40086481 transcript=Brasy4G170800.1 locus=Brasy4G170800 ID=Brasy4G170800.1.v1.1 annot-version=v1.1 EGNPPEEIKFPFGPAFCFPQLPCRPSLGFQPPPLPFGLRRDSRWFSVVSQHCCRFGSSGRWASIISLIVSLFRVLRYSE* >Brasy4G349200.1.p pacid=40086482 transcript=Brasy4G349200.1 locus=Brasy4G349200 ID=Brasy4G349200.1.v1.1 annot-version=v1.1 MGSVPEESPAVAVAAAGEETVFRSRLPDIEIPSEQTLQSYCFGKMAEVGSRPCLIDGQTGKSYTYSEVESLTRRAAAGLRRMGVGKGDVVMNLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKLVVTEACAVEKVREFAAGKGIPVVAVDGRFDGCVEFEELIAGGGEEGVDEAEIHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKEDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDIGALVDLVRAHGVTVAPFVPPIVVEIAKSDRVSAADLASIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVAPAELEALLITHPEIKEAAVVSLKDDLTGEIPVAFVKRVDGSEITEAEIKQFVAKEVVFYKRIHKVFFTDSIPKSPSGKILRKDLRARLAAGVPSDDTTPRS* >Brasy4G181200.1.p pacid=40086483 transcript=Brasy4G181200.1 locus=Brasy4G181200 ID=Brasy4G181200.1.v1.1 annot-version=v1.1 MALPVQMLLGQMEPWEAVAGALEEAAGLRRTVATEVGEGISQIEEARAPLQEAAALLQESADAVEILYDNLLNLAPHSSATLRQAGMLVDAVFNGPGPLTGAIAAAEDIVASHFDSPPPSGGPLQDARRDLGFLFDSVHGDHARAGSSFRDCANRLGIVPGFVWSINHDAVGIRGRRAVRRVYDAVLASIEAPHAHRLCRDQSALGRPREYILEAERTLQRTITRIDAALAILRELTNDLAALEVLVHNALAGAQALQALIFP* >Brasy4G148100.1.p pacid=40086484 transcript=Brasy4G148100.1 locus=Brasy4G148100 ID=Brasy4G148100.1.v1.1 annot-version=v1.1 MGEEANCNTVMEEVTTKAKENGHAVPVTVPDAKAKAADAVAVVPPPAETTTDPRLQGISDAIRVVPHFPKPGIMFNDITTLLLRPGVFKDAVDIFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLEMHVGAIESGERVLIVDDLVATGGTLCAAINLLERAGADVIECACLIGLPKFKDFYKLNGKPVYVLVESRK* >Brasy4G140300.1.p pacid=40086485 transcript=Brasy4G140300.1 locus=Brasy4G140300 ID=Brasy4G140300.1.v1.1 annot-version=v1.1 MERHAPSHVCLSSFPGLHLLKKLVLHACDFSSTGLCEILQVASTIGDKWDDAPFSSLHVHASCLVRISVKLDRVTVLSNFMFVRRRAYIYMHACLCILGTMHILQCNGELRAVWACGRQDFIKGILCQVKYRHIYVYTH* >Brasy4G254900.1.p pacid=40086486 transcript=Brasy4G254900.1 locus=Brasy4G254900 ID=Brasy4G254900.1.v1.1 annot-version=v1.1 MAVALDALVPYVKKMITSMAQEEVLMLLGVSGEIKKLEANLAYLQGYLADAERRRITDRSVKVWVRRLKDAMYEATDILELCQLEAMEGPEERSSIGSRDASNSFCSLVGHLKNKLQGFLEPFLFCLQNPAFAHEIGGRIKKLNGDLDSIRKDAAAFNFINFGSYEERWRLRDSANHRSSKTTPGFDESAIVWDKMEKDTEELPYGHDSKVKLVSIVGPGGMGKSTLAKKIFAQEAIKEEFKTKIWLSVTQHFDKAELLRAAITHSGGKHGEEKDESILEKILTDALSANKFLLVLDDVWSDGAWKDVLQVPVVNAGRRQPGSCVLTTTRNEDVVLKMGASNSDQLHVSKLDDEDAWALLKKQLPQPQVDNESDFDQLKDVGMKIIKQCDGLPLAIKVMGGLLSTRHPSEREWEIVLNKNLQWEEYGSQEELNYSVHLSYEDPSPELKQCFLYYSLFPKGSDFIEDIVISMWISEGFVQPDERSESNQLDLEEIGVEYHRELVARNLLEPDESTESGWVYVMHDVVRSFAQFMAREEALVVLKEQTDIRNLLLHNQKIRRLSMKLTDSILEWDTLEKLESLRTLVIACSFKPDISRLPYDIHKMKFLEHIGLFNCTKLNKLPDSITKLGRLRYVSLDGSNVDVVPKGFGGLTNLRSIYGFPAKMVGEWCTLQELEPLCHLRTLRIQGLENVPDGLVAARAMISNKKHLGFLDFNCHKNVEGEEAEQVKEKEQQQIEAVFHELCPPRYLEDLYMSGYFGRRLPNWMWTPTATAFKSLRSIMLKRLDYCTQLPNGLCGIMRLEELAIDYAPAIKHVGPDFQTLASGDGGALVSRPFPKLKNLKLYSMSGWKNWDWEEEQGKAIAMPALEHISIMDCKLTHLPPGLASNDRYNLTTMYLSGLSILASLENFPSVVELDVLYCPKLKKISGLFMLLNITITDCLELEVLEGVPVLNSMLLEDEPWEIFGTPARWTPKGYQAGLQHQLPQNLTIIR* >Brasy4G061700.1.p pacid=40086487 transcript=Brasy4G061700.1 locus=Brasy4G061700 ID=Brasy4G061700.1.v1.1 annot-version=v1.1 MGLPLAKGALRAGAGHLAAAGRPLGFLPLELLKPTNLPCSYIPAELYRVCQGGIERIHGGLADISAFAGVSHAAGLDLSMGAVLHVPSAGHLSHTGHHQGVLLPLPGGGGGHGFPHPAFDGCAPLSTACLKAVLPGGGGHDCPHPAVGIAALSPAGGLKGHEGVLPGGGGAVGLGSRAAVFPAINGLAGLMHHLPGGGSLAGPAFTSRELLRMTADGFGRRGLATVACVAAGGSGGGEGGREGGGAGGGSGGAGGGSGGDWTRSTQEINYFVILSLREMKAEVAALKAEHAKLMHEQTKLWIEFYTNHVAVMKLGYTILAWVYGVTTGIAVFLMPIMKRHWTDDAIDEMGKRAANPSSPPAIPSPASPATPPAVAAALPTTTVDAHPLATPPAVVAALPTTTVDAHPPATPTAVVAALPTTTVDAPPPTTARKGWFPFWFW* >Brasy4G244800.1.p pacid=40086488 transcript=Brasy4G244800.1 locus=Brasy4G244800 ID=Brasy4G244800.1.v1.1 annot-version=v1.1 MASAATAAATQVGTYFLRNYYNLLQQNPDVVHQFYSESSTMVRVDDLTGTNTTANSMMDIHSLIMSLNFTQIEIKTANFANSWGDGVLVMVSGLVQTKEYSDQRKFIQMFFLAPQEKGYFVLNDYFHFVDQQQVQPAQVIAQETFETNMAPNTVQTSPEYIHEEEGQATQGAVPITSEENDAVDSYTYSEPPQQVVSQSDHWGDEPLLEEPLSSFSNGMTMAPEEPVQPAPVPPPHVEEPVGEPVKKTYASILRTAKAPPQFPVVQPAPANKPHPTTEPSQAAHPTNHHSVMTSSVAAEKPKSDFYGEAHDEEESKSVYVGNVPSSVTEADLENEFKKFGQLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALRASPLEINGRQIYVEERKPNSGIRGGRRGGGRGRFGGGGGRGYARGDGDYNGSRGRSNGYQRVPHHERGILGARN* >Brasy4G271500.1.p pacid=40086489 transcript=Brasy4G271500.1 locus=Brasy4G271500 ID=Brasy4G271500.1.v1.1 annot-version=v1.1 MGIPRIRGLFQRRGGRPRPILQGNLPPSTPFPAPAASSSPSAPLAPDPKPLNGAPVDLSSGSGSAIGIGAEREPPSHEMVCISSLRALVLRAAAGASRRRGARVLCGRAVDACVTPRTRGHGWRGFRAVAGARTKMMLDSSDSDPASAVGQLQLQRRAAAAGAQPQDGGAGGYASGGWQREDGKLKCGYSSFRGKRATMEDFYDVKLTEVDGQPVSLFGVFDGHGGSRAAEYLKEHLFENLMKHPKFLTDTKLAISETYQKTDSDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVISKAGKAMALSVDHKPNRTDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVDGDLESLVLASDGLWDAVENEEAVSLAKTEDVPESAARKLTEIAYSRGSADNITCIVVQFQHDKTGG* >Brasy4G395700.1.p pacid=40086490 transcript=Brasy4G395700.1 locus=Brasy4G395700 ID=Brasy4G395700.1.v1.1 annot-version=v1.1 MNMGQAPHLSGQMTGQAPQMNQGVGGADGLPQHQQMQDAVALSVGNDPQFVMLRNTMREKIFEYIGRKKSSADWRRRLPELARRLEEILFRKFPNKTEYYSMMKAPVEPQLQFAIKTLSAQNEQNQQMSRQIASSSGYGTMIPTPGITQGVTANSRISYVTDNMGLPSSGAGMVPQNANMGTSMPGSMSNGYQHLTTNVPLSSTTSSGSSTMGPVGIQRQVTHMIPTPGFNNQQNVPANPDYSNGTGYFNGESTMTPHMQQQKQFQSNQSSHQIQQIGGHSNSGIHSSMLENSSAFGLSDGHVNGGMGLHGSNTQITNRSAAPEAYMNISSYGSSPRPLQQQFNQHPTQRVPTSVDMGGSGSFYSPGTSALTTANQRSRMNSMLLNNQLNMQSIQAQPQIKAEVLDQPEKVNFQSSQLSQEQLLRQQLTMQQQQIKPNSQFVQNQYHLNQQLPTSQHQQTMLRSNSFKQSQLNSSHSMQVSEQGALPHTELASSQAAEPAALPNFQGQYQQRTSHDNVKGGQVFGHVSGSQNFHASASHDSQQLLPPNQQLDDSSNDVSYVLKGSQPEQMQQPQWRPQTMEKAPITSNSSLEKQIQDDFCQRTMAQDEAQQPFSSDWRLSGCAVTAVGPTSAKLPTGAFEQPTGNINHLHQMRWLLLLFHAKSCPSPVGSCKFLRCVELQDLVKHVDYCQRKDCPHKKCSKSRKLIEHYKTCVDQQCPVCSNVKKYLRLSHEQASKQKLPEPRKVAQQSTTQRIMNGVEGDIMDIDPVSVEDFDGQPSVPKRLKMRPASPSAPEHEISRASNPHLNAGFVPQETHPDLLEQNKKMAYLKREVDAKADMRPIQKPVKMGYGIDGNVPAARHVIPGVSNEMKPHVKQEILSIDKESSDALEVKNEANDLTDATASKSGKPKIKGVSLTELFTPEQIQAHINSLRLWVGQSKAKVEKTILLGSSENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVKEVQRGLRMPLPQSAVLGASDLPRTVLSDHIEERLFKRLKLERQARATSSGVSFDEVAGAEGLVVRVVSSVDKKVEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRAASGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCHHCCILMSSGKRWVCHQCRSFYICDKCYDAEQQREDREKHPSNSRDTHKLHPVDIVGVPEDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCAHDIETGQGWRCEVCPDFDVCNSCYQKGAVTHAHKLTNHPSAADRDAQNKEARQIRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCALCKKMWYMLQLHARACRDSGCSVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVASHD* >Brasy4G316200.1.p pacid=40086491 transcript=Brasy4G316200.1 locus=Brasy4G316200 ID=Brasy4G316200.1.v1.1 annot-version=v1.1 MAGGKVLYSFEELRKHSDRKDCWLLISGKVYDVTAFMDEHPGGDEVLLACTGKDATTDFEDIGHSDSAKELMSQYCIGEVDAATVPAKLVHAVPTKVAAPAKGTKPGVWLTVLQLAVPVLLVVMAFALQNLAKTKTE* >Brasy4G200200.1.p pacid=40086492 transcript=Brasy4G200200.1 locus=Brasy4G200200 ID=Brasy4G200200.1.v1.1 annot-version=v1.1 MEKYELVKDIGSGNFGVARLMRNRETKELLAIKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRSHPWFLKNLPRELTETVQEKYYKKDNSAPTFSDQTVEEIMKIVEDARAPPPSSTPVTGFGWAEEEDQEDGKRPDEEEKNDDDEEYDVEDEYEKHVEQVRASGEFQIP* >Brasy4G200200.2.p pacid=40086493 transcript=Brasy4G200200.2 locus=Brasy4G200200 ID=Brasy4G200200.2.v1.1 annot-version=v1.1 MEKYELVKDIGSGNFGVARLMRNRETKELLAIKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRSHPWFLKNLPRELTETVQEKYYKKDNSAPTFSDQTVEEIMKIVEDARAPPPSSTPVTGFGWAEEEDQEDGKRPDEEEKNDDDEEYDVEDEYEKHVEQVRASGEFQIP* >Brasy4G167900.1.p pacid=40086494 transcript=Brasy4G167900.1 locus=Brasy4G167900 ID=Brasy4G167900.1.v1.1 annot-version=v1.1 MYSVLFFWWRDRHHRNDVLFNKGEANIEESARFICGYARAQENLKGSELAICNSLNLFKGSKEAEAIADRRPPAPTSGTASSSDAQITAQDP* >Brasy4G340100.1.p pacid=40086495 transcript=Brasy4G340100.1 locus=Brasy4G340100 ID=Brasy4G340100.1.v1.1 annot-version=v1.1 MALAMAMPAELPSYLLLLALLVIVPLLYLKVSRRRSNSGRRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMLLRFGEVPVVVASSADAAREIMKTHDLAFASRPIGPMLRRVFQGAEGILFAPYGDAWRQLRKICTVELLSARRVSSFRPVREDEVGRLLRSVGSAATTGPVNLSERIAAFVADSSVRAISGCRAENRDEFLRLLEEGVKVVPGMSLPDVFPSSRLAMRLSRVPGQIEERRRGMLAFLEAIIQERQENRASGPANGADVEEHEDLLDVLLRLQKDVDSQYPLTTLNIKIVILDLFAAGSETSSTMLHWAMAELMRNPAAMRRAQEEVRRELAGHDKVTEDDLANLHYLRLVIKETLRLHPAVPLLLPRECRSACQVLGFDVPQGATVLVNAWAIGRDPAQWDAPEEFVPERFEEQGGGGGRDFKGTDFEFVPFGAGRRVCPGMTFGLAHIELALAALLFHFDWKLPEGMVPEEMDMTEEGGITTRRRSDLVLVAVPRNPVPIE* >Brasy4G047700.1.p pacid=40086496 transcript=Brasy4G047700.1 locus=Brasy4G047700 ID=Brasy4G047700.1.v1.1 annot-version=v1.1 MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIVANKMVMGSVGFKFPIALSLIHYAVALVLMAILKAMSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFQKKVSFQKVITLAIVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFNWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAVVALGGMSFYTYLGLKESAAAGKKPPSRTNSFLAGKPGGVAGDGGNSDYEDSV* >Brasy4G246800.1.p pacid=40086497 transcript=Brasy4G246800.1 locus=Brasy4G246800 ID=Brasy4G246800.1.v1.1 annot-version=v1.1 MSTSRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLEHPGLAPISTAQGEELKKMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKVKRRNSTRKQRSCWIL* >Brasy4G244400.1.p pacid=40086498 transcript=Brasy4G244400.1 locus=Brasy4G244400 ID=Brasy4G244400.1.v1.1 annot-version=v1.1 MDSSLRPTSRRQEDYYDDDDDDGDEDYEEDEDETGESSPAGSFDMELHSMTAKGIKHLCSELLEINKASQEDFQRKVHLAYLSFLRLFQEAADLEKDVGHLRQQAVVHRTLVQHLSNNLIYSSAAASSSLIQGVDQNSMIHQQLDLDLDLDLDLDLDACSPSVIVDPLDVLLSEQRMEQALELLEMEGQELEKLHMDDAQAMASSMTALLARKARVADRFASLAGNPRTPPHHELLRALSGLCRLGDTQRANHLLFKFYRSGAVDQKLVIRCPSQTRNYIKELACTVFSSIIQASRSFIVLHGQPSPELSRWAREEMEDLSVAFHEYVSSISEAEAAEGLVLTIEAANCALSYYYYYSSSSSMLGMIFLEEDFLAMIQPSMEEVLAMYAKHMKQVVRLLVSSDAWVLGRFLMSGILRIPQEGTDEDYCLLTASGRKFVTLIQEVVEDVSPLLHLGMKSSVLQLLAGLFTEYINSVLAVDVADQQQQYMWQLSFLINCTALVSLFPIIARGVFKSNRLASSDEAPKELDSLILFIEEAAGQVWTQFCQKFIRDTMSILTAPGNKPPTTSIGHVVMMPCSAFQVVFLRVRRLSNMYGTISASKDGTMKKLLQELMEAIIMWLHDNLDPWINHAHKNSLLHQIQLDVHFLLEIAKFGGFSSSSITSSALDLLSKAEEKVEQIPPSSDDDIPAKAWATDAAKRAVQVLLAAGIKSSSSQEETSFESEGVFDAAGVDISTSAQESDDQEINDSVAGSSQTLDSLALGCDERSTDDFISIEDDGMLPESPSGDSENHKLLTIDVLGDSAEGNLSGLGGTDDASTEATPRDSQEDEDEDDESTLPGLLQDKMNRIPVTSEQSSRRRRGAAADSKGSGGRRKKREDNRPRWQ* >Brasy4G226500.1.p pacid=40086499 transcript=Brasy4G226500.1 locus=Brasy4G226500 ID=Brasy4G226500.1.v1.1 annot-version=v1.1 MNLQPLTLLPWNKGSEFSHVIKEAKNLGLALVIPLLGWSGGSVSTVRIAKFNIIVWEGGYVICY* >Brasy4G352700.1.p pacid=40086500 transcript=Brasy4G352700.1 locus=Brasy4G352700 ID=Brasy4G352700.1.v1.1 annot-version=v1.1 MARRERSNQDAILQSLPHKQRSWYPNWSHAILKFVSGFTTQVRVRHHLSRRIKSINTRLEGIAENKCKYKIDDTTDDNSITTWRPSTAISAIIENMSDFVLPLVGRETNIQELGDALFDKQTAVPVVICVIGKSGIGKTKLVKEIYKKPLTKRNFDVQVWVTCAPNLSATNIKKLILQRMTKDTVRSPTEQLQRKLGNKKYLVVIDGETSNTEWKKILLDLPKDKTESRVVKITHATKQETTVAGFKQHIIELNPIGIKDVTNMFMNTLLMDEKVGKKSRGQVEEALRRDGDGSYINVNRIFKVTEGLPLAVELLSGLLRTKEYPREWKKVFDHLEGKSNEWKPLDIILSMCLDDLPHDLKSCFLYFAGFPASTLVKARSLVCMWMAEGLLRPKEGKTMEKVGKKYLHELLRRGLMSFPPLENATPGNERVTVQTKVHEFLLIEAQEENFMEIHDGDDVPTLSNARRLTLQNHKDKYAALTEPLPKLRSIMSNFEKDDSQGSVAEPKEEEEIAGANACSPIPLCLRPHKDRVNSKDHMRKLLQGSQFLRVICLCGLEVGSKLPSEIGSLVHLQYLGITSCFLNEIPSSVGNLTCLQTLDVQGTSVTKLPQELWRIPTLRHVLGFIVLPRRVGDLEQLQTLEAVKPDDASVTASDSCWDAKTFTNMKRLHSLYIWDISNKNVKSLEAVYGLKYLVLLSIQGKVISLDLFTRSKLSRLQEMVLKGKIVAPTTPLTAINRFFFPTLTKLSLNKTKVSKDFIDRLSEDLPLLATLALFRDSYSESCLVFTNGFHSLKELTLDVYLEEIVIKEEALLG* >Brasy4G046200.1.p pacid=40086501 transcript=Brasy4G046200.1 locus=Brasy4G046200 ID=Brasy4G046200.1.v1.1 annot-version=v1.1 MAPACEAFYLPGSYMHTYRQGEEIGAKVNSLTSIETELPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFHVNVNESLYLCTTKPLDEGDVKLLKQRSRDLYQAIQLVIPQKVPLMSTSLIT* >Brasy4G115000.1.p pacid=40086502 transcript=Brasy4G115000.1 locus=Brasy4G115000 ID=Brasy4G115000.1.v1.1 annot-version=v1.1 MEEKSIYDECLRRQSSPELIRSVDYRVTRYLRDPFQNLDLSPAPTHPARPPPTLRRPRAASFPLPGAPPPPCSPRAAAARSARLRPGRIRAAACLPPQPDLVEPRLAPNWPPPSPEKKWVCLCSGRRNDQQPSGQEEEDADGRRLAAAASRTMRRLALGEARGGRRHAEEQRRRGLGGARKEERGGADPAGGEAGGGAAGGGEEASAARGGRRRAGEPEVGGGARGARGGGGGQRGGGVGGVSWGGSGK* >Brasy4G375600.1.p pacid=40086503 transcript=Brasy4G375600.1 locus=Brasy4G375600 ID=Brasy4G375600.1.v1.1 annot-version=v1.1 MAFAKLNADAPPFHPTKSSTRLHLRTPPPPRSFTATNHGAACRFPEYEDHRDPLPPPPPLFLCGGGFRWPRPRLPLPPPPPFLCDGKQQGRPSPPRTRTLVFSRGAFVVEKLYRKALAKARALPDAATRRRRGPAAAQPCGPRMHQQAVARACAWPARSPVLTMRPAAASLPPPPLPEWLGRVSTVMIRNIPRRLTRAGMMELLDDHCAGENRRPGRRGGAVPVAYDFLYLPMDFSMGSRQRSSNKGYAFVNLTTADAAAELYRALHGCRWDPSLRSDKIIHVDAARIQGKERLARHFSVSTFVCRSDEYLPAVFSPPRHGGGAAAGPRLASKPRPVGLRVPPPRATGAIIRQVQPNGRKASSCSSRLVVK* >Brasy4G111100.1.p pacid=40086504 transcript=Brasy4G111100.1 locus=Brasy4G111100 ID=Brasy4G111100.1.v1.1 annot-version=v1.1 MALGSGVVLVALVAGMLLCVAEAQTLRPGYYDQTCRSAEAIVFDEVQKAWNADRSVPAALLRLHFHDCFVNGCDGSVLLESWDRQAEKDAPPNKSLRGYEVIDRAKARIEMACRQTVSCADILAYAARDSVKVATGGFHYAVPGGRPDGTVSRATMANGNLPPPTQRNVDVLAQAFINKGLSKDDLIVLSGAHTLGVTRCGTFDYRLSNSNDRGMDPAFLNSLRAQCNRDANRVVALDDGSQHAFDTKYYANVLANRGVLESDAALNSPSTVARVRQLRDAAPQTFYGAFAAAMGRMGALRGANPGKVRDHCRRVRT* >Brasy4G306100.1.p pacid=40086505 transcript=Brasy4G306100.1 locus=Brasy4G306100 ID=Brasy4G306100.1.v1.1 annot-version=v1.1 MALTQDSIIATSPPPPHFVLVPFAAPGHMIPMADLALLIAERGARASLVTTPVNAARLHDVAERARHDKLPLEIVALPFPPAAAAGDEDDVVLPPGFENIDQIKDNNHFLPLFQAIHSLAGPLEAYLRAQPQARRPSCIVSDWCNSWTAAVATRVGVPRLFFHGPSCFYSLCDINVATAAEHGLIPDDENEAYVVPGMPLRVEVTKATGPGFLNSPGFEAFRDEALEAMRTADGAVVNTFLDLEGQFVARYEAALGKPVWALGPFCLVNNNSRDAVASRGHDECSGADLQSAVTAWLDAMEPGSVVYASFGSLARKLPGQLFEVGHGLEDSGKPFLWVVKESEVASPEAQAWLEALETRTAGRGLVVRGWAPQLAILAHRAVGGFVTHCGWNSVIESMAHGVPVVTWPHFADQFLNEKLVVDVLGAGVSVGAAVAPVKLFDDEAVLVLRGDVARAISELMGDGKAAEERRRKAKEFGERAHRAVEEGGSSYENLTRLIQIFGGSGR* >Brasy4G032300.1.p pacid=40086506 transcript=Brasy4G032300.1 locus=Brasy4G032300 ID=Brasy4G032300.1.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNQLSLSRVWPSILQGVQTYPYNPKSYAAMLTVSCLYSVPNNLRLTLDKCCQRDPSIIALLFALSFEWSKAGSSNRIHGLFERALADDKLKKSVLLWRCYLAYEAEIASNASAARRVFFRAIHACPWSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.2.p pacid=40086507 transcript=Brasy4G032300.2 locus=Brasy4G032300 ID=Brasy4G032300.2.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNQLSLSRVWPSILQGVQTYPYNPKSYAAMLTVSCLYSVPNNLRLTLDKCCQRDPSIIALLFALSFEWSKAGSSNRIHGLFERALADDKLKKSVLLWRCYLAYEAEIASNASAARRVFFRAIHACPWSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.3.p pacid=40086508 transcript=Brasy4G032300.3 locus=Brasy4G032300 ID=Brasy4G032300.3.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNHVPNNLRLTLDKCCQRDPSIIALLFALSFEWSKAGSSNRIHGLFERALADDKLKKSVLLWRCYLAYEAEIASNASAARRVFFRAIHACPWSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.4.p pacid=40086509 transcript=Brasy4G032300.4 locus=Brasy4G032300 ID=Brasy4G032300.4.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNQLSLSRVWPSILQGVQTYPYNPKSYAAMLTVSCLYSVPNNLRLTLDKCCQRSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.5.p pacid=40086510 transcript=Brasy4G032300.5 locus=Brasy4G032300 ID=Brasy4G032300.5.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNQLSLSRVWPSILQGVQTYPYNPKSYAAMLTVSCLYSVPNNLRLTLDKCCQRSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.6.p pacid=40086511 transcript=Brasy4G032300.6 locus=Brasy4G032300 ID=Brasy4G032300.6.v1.1 annot-version=v1.1 MEPSPEHSPPTTADSAGGGYQAFPSTSSSSLFPLFPLSAPAAESQMLSNPSFSFDASSLNIPSTAPSSLPPPLSPSSDEEAAPKPAPAKYDLLPSSPSASSSGEERGSRRKDRKRRKRRRENERYDGAAASRKPGVRAWAVSETKLAKDYYLDGKGDQDNLAFGSIYRMDIARYKTQRTLEEHGRNRRTFYYCGIASSHMDVDSDSDGLDSKVRAGGRYYSAKHAVLERNKVFKHLKVLKRDRIAVQPEDFIPVDTSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPRDEKIWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSHGERDSTESLFVKWEKTLMEHPDSCKLWKQYLLLCQGEFSRFKVSDMRKSYTYAVQAISAACAKLCTQDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNHVPNNLRLTLDKCCQRSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G032300.7.p pacid=40086512 transcript=Brasy4G032300.7 locus=Brasy4G032300 ID=Brasy4G032300.7.v1.1 annot-version=v1.1 MERGIALRVSLLNGRKHLWNTRTVVSCGNSIYFCAKGNSPDSKYLICESLTHMQCRLYLQLVPSYDSQNTDLKAPHPSLIQLELGLVDIFVNLCRFEWHTGHRELATGLFQAQIEFSLFPPPLSLSASSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQDMVMQDNFQEPEGGGWSGWFDPSKSQGETNVSDKSIEPSATDGNDAEDHDAEDTPAQDDVESLLKKLGIDVDAEYSSEVKDAKTWNRWSSMELSRDNEQWMPIHENSGPHLSDDTGEVNDQLSRIILFEDVTEFMFSLSSEVARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMIPSDISEDISTISDLVNKTQSSSHCRLESLLGSTHDLSQRPGLVKFLRNAMLLSLDVFPRNHILAEAVLVTTQMYTTQENTLSAPANASRALAKNLLKKDRQDLLLCGIYGRIEAMHGNSVQARKVFDMALLSTEGATEDLIRKVPILYLWYAEMEVAISTSRSNSDSVHRAIYILSCLGGNVKYTPFVGPISRPMVLRARQGFKEQIRSLQSAFACGGLKEESVALICSASLFESMTSGCSSGLEVIEEAYPFSGSSHSLEFEELWMYYTRLIQKNLNQLSLSRVWPSILQGVQTYPYNPKSYAAMLTVSCLYSVPNNLRLTLDKCCQRDPSIIALLFALSFEWSKAGSSNRIHGLFERALADDKLKKSVLLWRCYLAYEAEIASNASAARRVFFRAIHACPWSKRLWLDGFEKLSSVLTMKELSDLQEVMHDKELHIRTDIYEILLQDETDI* >Brasy4G234900.1.p pacid=40086513 transcript=Brasy4G234900.1 locus=Brasy4G234900 ID=Brasy4G234900.1.v1.1 annot-version=v1.1 MNPFLFSLVLLLLALVPSPEAADPTTPGTRTRKIGRTAASSVFSLFNLKPQSKFWTESIMRTEFDDLKGSTSRDSSNKALLNFTRAGNVANYMSLAEVDSIYLSIPVNFIFIGFDGKGGHEFKLAPEELERWFSKIDHIFEHTRIPPVGEVLTPFYKTSVKKLKQYDLPLVSHVNHNFSVHAIHMGEDVMSVFEHAIKVLSRREDLTDLRENEVVFWQVDSDQMEHIFSTLVDHLQIQEAYNIFIINPKPVEKSNHYGYRKGFSESEINLLRENKTLQVQILQSKSDKKLYLDIEKGVNKRPLYESHPLSSFSWTTTDNVDMGDWSKACKEALSNFELLKAGKSKDEIVYEKAVQILHGAKDELHDVLVSALMSSDLKGLHAECLTDIWIGRDRFAFVDLSAGPFSWGPAVGGDGVRTELSLPNIAKTVGAVAEVTEEEAEERLQDTIRERFSSVGEDYHAVDILLAEIDVYELFAFKHCMGRRVELALCKELEERMHDLKNELEGYNNGDSDEINKKKALDALKRVEKWNLFKDTSEEHHNYTVARNSFLAHLGSTLWGSMRHVIAPSVSHRAYHYYEKLSFQLYFVTQEKVRNINQLPVNVKSIKQGLSSLLLRSQKSMFSQHMLSLSEEPALMMAFSMARRAAAVPLLLVNCTYRSTVRTYLDSAILQHQLQRLSERGSLKGEHSNHRSTLEVPVFWFIHSEPLLLDKHYQAKALSNMVVVVQSDANSWESHLQCNGRSILWDLRKPVKAAIAASAEYVSGLLPSHLVYSSAHETAFEDWTWSVGCNPLSISSKGWRLSEFQQDVIARNYIITAVEESIQVVNSAIQRLITERTTEQGFKIFKTQEGLMVEKYNSVVNLWRRVAVMSKGLRYGDAVKLMSLLEDASNGFSRAVNSTISSLHPVQCARERKLDVQLDLTTLPAFIAVFGLLWFLLRPRRPKPKIN* >Brasy4G293900.1.p pacid=40086514 transcript=Brasy4G293900.1 locus=Brasy4G293900 ID=Brasy4G293900.1.v1.1 annot-version=v1.1 MTGEGRGGRSYRQVGTHRRGKVREGGAYRREGYRRGEGRRRWRSPSKEGRRRWRRSWRSMFPSGERGAASRRRRLTARRVPIVFLTRGRRVPILLLARCVETRNLAQSLDVACGLRPKLFTLQIVPPRQFTTNRTSLNSLAP* >Brasy4G377600.1.p pacid=40086515 transcript=Brasy4G377600.1 locus=Brasy4G377600 ID=Brasy4G377600.1.v1.1 annot-version=v1.1 MSDGSNNSVHSKRWESTLTYSLNRPEHTGRILGEGEGAPWKNFFGKDDSKCRKRKRSEQLEQRKLSLCYSMAYTKAAWTLMRCCKSLGRKAFMVFCFKVSPRAIGVRHVRRGAVALLPGLPLPQFQSLIRVQATTSR* >Brasy4G047800.1.p pacid=40086516 transcript=Brasy4G047800.1 locus=Brasy4G047800 ID=Brasy4G047800.1.v1.1 annot-version=v1.1 MGMARKVAQRGPAAPPAAKKGKKTPPKAAKRAAPKKQKLLESSSDDSEPEQQQHQQEEEENEPDLIPLSGSDDEEFSDSEGGSSLDEADPEDEFEDEEDGDDDPLAGDFLAGSDDDSDEEDDSGEESDASDDFVAKSKALDRQKEKAEEDAEEELKLNIRSESDEFRLPTKEELEEEALGPPNLPNLKRRISEIVRVLSNFSKLRQVDVPRKDYVDQFKADVMAYYGYNEFLIEAFVEMFPAVEVVELVESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISSGATVEYMAGHYMKQGASSFLPVIALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANELNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDAQIKTSKDIDDIRNCAFVQKQLLIAAIDLVDANSKTGGYIVYSTCSMMIPENEAVIDYALKKRDVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKMSNTIPVSSESAKGSEEAAENVDLSDDDVDEEVEDVSDRQPTQSKKRKDGKKKNEHASIIEVAGDQRQAPDRPAIQPKNRKGVKKSSSPKSAEMNGDLIEAHNEQTEQVKSHKVGKKSSGPKSAEIRNRSQKETDNEQQPEQVKSHKGLKRRNEPKSAAINGDKETNGEQTEQKSHKKKPQSDKLKKSGSKSTSGTKEKKPVTDEGKKRKRKWQFKLRRDWEAKQKSVKRTKV* >Brasy4G117100.1.p pacid=40086517 transcript=Brasy4G117100.1 locus=Brasy4G117100 ID=Brasy4G117100.1.v1.1 annot-version=v1.1 MATVITPAWLVLLVLALAVVWVTAEAAAAGTAKVPALYVFGDSTADVGSNNYLPGSAVPRANFPHNGIDFPTSRATGRFSNGYNGIDFLALNMGFKRSPPPFLSVANKTNKQISQGLQGVNFASAGSGILDTTGDSIVAMSKQVEQFATLRCNISARISEEAADDVLSRSLFLISTGGNDIFAFFSANSTPTAAQKQLFTANLVSLYVNHTKALYALGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELTRGLNRGVQDAMHGLSVALTGFKYSIGSSHAVVQNIMKHPQRLGFKEVTTACCGSGRFNGKSGCTPNATLCDNRHEYLFWDLLHPTHATSRLAAVAIYNGSLHFAAPINFRQLVEDQS* >Brasy4G001500.1.p pacid=40086518 transcript=Brasy4G001500.1 locus=Brasy4G001500 ID=Brasy4G001500.1.v1.1 annot-version=v1.1 MSREEAEAGDGSPGQLSLSSFSSLFSISSATSTPTPHLPPLPSLSLSIGGGGEQPPLSSSSSAAVNELEEDERSVRMMKNRESALRSRARKRAYVQELENEVSRLVDHNLKLKRQCKQLKTEMAALVQQQQQPSKSPQYRRTSSSSTHA* >Brasy4G197000.1.p pacid=40086519 transcript=Brasy4G197000.1 locus=Brasy4G197000 ID=Brasy4G197000.1.v1.1 annot-version=v1.1 MDVVTQAVENLKKDWSQLIIQLEVCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNALQCRLDLLAEQLPSFEEVQSGQATLRLRASLRSANLQAKANIRKAAQEERELLLGGGEESTIQRRILQTKAAMTSAAESITESLRRSRQLMVQEVERSANTLSTFDESASVLGKAEGEYQGHHSLLTRTRGLLSTMQRHDVLDRIVLTSGFIIFSLAVLYVVSRRIGLLTLQKKLADAIRSGSISAEDILGKVQHGPAPQNAPTAPIYDEL* >Brasy4G439500.1.p pacid=40086520 transcript=Brasy4G439500.1 locus=Brasy4G439500 ID=Brasy4G439500.1.v1.1 annot-version=v1.1 MMNRLKDATGKERFVLLQKLNRAVRLMECKEAYEPSNPANFGVIQHQQVGSPDDVIDNAGFDKEKQMIQGANEEEDNEEFNEVKEKDDLLIEKLNSIEKTIEGKLADLDHTFGKKGRVLEEEIKDLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEEHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL* >Brasy4G376900.1.p pacid=40086521 transcript=Brasy4G376900.1 locus=Brasy4G376900 ID=Brasy4G376900.1.v1.1 annot-version=v1.1 MWEGITCGTDGMVVDVSLASRSLQGHISPSLGNLTRLLSLNLSNNLLSGELPQELVSSGSITAIDVSSNRLEGELHELPSSTPDQPLKVLNISSNFFTGEFPFSIWGVMKNMIALNASNNSFTRQLPSNLCTSSLLFDVIDLSYNQFNGNIPPELGSCSMLRVLKVGHNNLSGTLPDELFNATSIPESIGHLKRLQELHLNNNLMSGQLPSTLTNCTNLTTIDLKSNNFSGELAKVNFSKLPNLKTLDLMSNTFSGTIPESIYSCMNLTALRLSSNKFHGQLGEGLGNLKFLSFLSLGNNNLTNITNALQILGSSKNLTTLLIAHNFMYEDMPEDGPSLQISSDSAFRKVLDLSVNKLTGKIPPEIGMLKAIWGLNLSSNLLSGEIPQSICDLTSLQVLDLSSNHLTGTIPSALNNLHFLSEFNISNNELQGPIPVGGQISTFQSSSFNGNSRLCGPVINRHCASVEATPVSIDSANRWSIKVIFSIIFSVFFGVGVLYDQLVLSKYFG* >Brasy4G248400.1.p pacid=40086522 transcript=Brasy4G248400.1 locus=Brasy4G248400 ID=Brasy4G248400.1.v1.1 annot-version=v1.1 MGASSSDRISSLPDDLIILILTHLGSAAAAARTSVLSRRWRRIWTHLPEIILNEPAATSDSMDAALAAAHSAGGTLRLLAITMPRDADAARVSPWLRFASQRLAGELTLDVNLRSMRELRLTRATEEEEEGSRKLELPPCEKITEMDVCFNYYTIRLLHDRIPFPALAVLKMSCAQIEARDLECLGSLPRLRELVLRGITLATVSDVSIRSGTLERLVYLASRTRRLEIVAPRLRALRTCSSGGPDHEIAEACIVAPGLADLAWFFYDPHRHRFVGAGRHLRKLSLLDSSTTALMQRFDSADELEIRLAMDWVRERRV* >Brasy4G232700.1.p pacid=40086523 transcript=Brasy4G232700.1 locus=Brasy4G232700 ID=Brasy4G232700.1.v1.1 annot-version=v1.1 MEVAVKRLASHSGQGFMEFKNEVELIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFFIFDENRKTLIDWNKCLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFTSTDTEGNTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEILSGKRNSGSHQCGPFINLLGYAWQLWEEGRWIELVDASLLPKFHSMEMMRCSNIALLCVQENAVDRPTMMEVVAMLSSKTMILRKPKHPAYFNLRVGNEEASIATQSYSINDLTMSITTAR* >Brasy4G119200.1.p pacid=40086524 transcript=Brasy4G119200.1 locus=Brasy4G119200 ID=Brasy4G119200.1.v1.1 annot-version=v1.1 MASRRALHLLTASRGISSTPHLASLGWIDKIKSKFTGKKPDDSPFPPSSSFTLQKFADSMEMAKKVGTFKNYVSGRAGEATVAAAFEKHSAVLRYLATIDPTGEKLKSSDKISATKHCNCTIADVEHILAKYTWAKEAQKKIEKLKEEGKPMPKSFSEVQNLMGNTPLDIGRSNLEKSGQISRNALCPCGSKKRYKRNARCNSQAGQQACLSDSNPPHCLVVPNLQ* >Brasy4G119200.2.p pacid=40086525 transcript=Brasy4G119200.2 locus=Brasy4G119200 ID=Brasy4G119200.2.v1.1 annot-version=v1.1 MASRRALHLLTASRGISSTPHLASLGWIDKIKSKFTGKKPDDSPFPPSSSFTLQKFADSMEMAKKVGTFKNYVSGRAGEATVAAAFEKHSAVLRYLATIDPTGEKLKSSDKISATKHCNCTIADVEHILAKYTWAKEAQKKIEKLKEEGKPMPKSFSEVQNLMGNTPLDIGRSNLEKSGQISRNALCPCGSKKRYKRCCGAS* >Brasy4G096400.1.p pacid=40086526 transcript=Brasy4G096400.1 locus=Brasy4G096400 ID=Brasy4G096400.1.v1.1 annot-version=v1.1 MAPSIVDSKVISPITEDGSMDRRGNPAVKANTGKWKSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLTSWFLLVKPSGCGDGETHCDKPSTAGVALFYLSTYMIAFGNGGYQPSIATLGSDQFDETDPDEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDSGQWVMGFWVSAAAAALALVLFLLGTPNYRYFKPSGNPLTRIAQVLVAASRKWRARAPARGELLHEVDGDESKASGIRKILHSDQLRYLDKAATVTEEEYCEPERMKDPWRLCTVTQVEEVKCILKMLPIWTCTIVYSVVFTQMASLFVEQGTTMDTHIVGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMGAMVVAGVVEVERLNRVAAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGERRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAMWYKGIKLDNSNEEKGKVPAHV* >Brasy4G400800.1.p pacid=40086527 transcript=Brasy4G400800.1 locus=Brasy4G400800 ID=Brasy4G400800.1.v1.1 annot-version=v1.1 MAASPSSSSSSSVPRLAVVAVLLVLLCGGGAGVEGARLMREQKQKQQLGSSTATTATAAGMTMSGQVRRRTVGVTGSAPVPPSAPSKIHH* >Brasy4G025800.1.p pacid=40086528 transcript=Brasy4G025800.1 locus=Brasy4G025800 ID=Brasy4G025800.1.v1.1 annot-version=v1.1 MACINMYNPDGGAAFGGAGQPGPRISFSSDFSMEPPPPPVQNRAMGLRCQDQEDQNFEFSVGSHPMMAADQLFSKGRILPFKAGADGRPPTTLRDELVRADDDRASAASPRGSRRWREMLGLRKSLCVGVGGANAAAANAKKSDRIVAVDADMATDIAAYKQIV* >Brasy4G025800.2.p pacid=40086529 transcript=Brasy4G025800.2 locus=Brasy4G025800 ID=Brasy4G025800.2.v1.1 annot-version=v1.1 MACINMYNPDGGAAFGGAGQPGPRISFSSDFSMEPPPPPVQNRAMGLRCQDQEDQNFEFSVGSHPMMAADQLFSKGRILPFKAGADGRPPTTLRDELVRADDDRASAASPRGSRRWREMLGLRKSLCVGVGGANAAAANAKKSDRIVAVDADMATDIAAYKQDL* >Brasy4G258600.1.p pacid=40086530 transcript=Brasy4G258600.1 locus=Brasy4G258600 ID=Brasy4G258600.1.v1.1 annot-version=v1.1 MAPTPPPAAMACVVMSEVATVLAIMRRNVRWAGGARYGGDEQLDHPLIAGLKSLRRAAATWDPRRWRDVEPLLYLRPFLALVRSDEAGAHITGAALLSLHKILSLDLVGPDAPDVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLVCVRGRAAPALSNRHVCDIVSTCFRVMQQAGTKGELLQRVSRQTMHEVVRCVFARLPDATAIADQQIAGSKNQRWRASEIGNEKSDYLCLSSSDDEVGGRFGLVQDKSMMEPFGVPCMVEILQFLCSVLNVAEDNEVNPRMNQIDVDEDMPLFALGLINSALELSASSIQRNPKLLAFVQDELFYNLMKFGLSISPLILSTVCSIIFTLFYHLRQELKLQFEAFLACVILRLSHSTYGASYQQQEKEFMAEMHTNMDCDLQCSNVFEDLVAVLSKSAAPVESHLSTLNVLALDGLVAVIQAIAERTGNSPRRHHHQTVQEISGYFPFWQLKCENNNDPDQWVRFVNQQKTIKRKVMVGVEHFNQDKKKSFEYLQSAHLLPEKLDPQSVALFFRYTPGLDKNILGDYLGNHDQFSIQVLYEFAKTFDFKKMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPEMFVSPDAALVLSYSVILLNTDQHNVLVKKKMTEEDFIRNNRSINGGNDLPREFLSVLYYSICRNEIKTIPEQGAGCSEMSFSRWADLMFRSKRTSTYIACHSCPFLDHDMFRIMAEPTVSAISVIFDNVEQEEILTRCIDSFLSVAKLAAFYHLNDLLNDLVVALCKLTILSNSSYIDPATAFGEDTKARMATEALFTIAIIHGDHINRGWKNIVDCILRLHKIGILPSCLTNHTADDQEFSSALLPSKLSYSSPAAPQVAPTNARKKTYGLMGRFSQLLNLDVEESWFQPTDEQLAAQEKASETIKKCQIGTIFTESKFLQADSLLNLSRALIQAAGRAGRPQQITSSLDDEGTAVFCLELIIAVTLNNRDRIVLLWHDVYEHITQIVQSTVMPCNLVEKAVFGLLDICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTQLVKANATHIKSQMGWQTIISLLCVTARHPDASDAGFEGLVSIMFEGAHLSPANFVLSVEASRLFAESRLGSAERSVHALNLMAGSLNCLTRWSHEVKEASGEAARMLEGIAEMWLWLVQALRKVCTDQREEVRNHALLSLHRCLLVDGISVSCSAWLMSFDIVFQLLDELLEIAQTSSPKDFKHMEVSLSHAVKLLFKLFVQSFNDLSAQSSFSKLWLEVLEMIEKLMKVEVSGTRTEKLQETTTELLKNILLVMKVGGILLKTGTSGENSLWEETWIQVN* >Brasy4G113000.1.p pacid=40086531 transcript=Brasy4G113000.1 locus=Brasy4G113000 ID=Brasy4G113000.1.v1.1 annot-version=v1.1 MATPQSPSPRGDALLDSAPLLGGRARRRGVLRRPSLRGTARLLRQGGRRAMREPSLLVRESAAEQLEERQADWAYSRPVVALDLLWNLAFILVAAVVLVLSSDESPSVPLRVWIAGYAVQCVLHMVCVAIEYRVRHGQRGGPSMAADEERGTDGSSSSTDEDAGENVTHGRIGDCVSIAKHLESGNTMFSFIWWIIGFYWVSAGGEVLIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVSMTGSSGGMMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCAHHFHCACIDKWLHINATCPLCKLNVRKNSSSSGSEEV* >Brasy4G189200.1.p pacid=40086532 transcript=Brasy4G189200.1 locus=Brasy4G189200 ID=Brasy4G189200.1.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.6.p pacid=40086533 transcript=Brasy4G189200.6 locus=Brasy4G189200 ID=Brasy4G189200.6.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.7.p pacid=40086534 transcript=Brasy4G189200.7 locus=Brasy4G189200 ID=Brasy4G189200.7.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQESFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.8.p pacid=40086535 transcript=Brasy4G189200.8 locus=Brasy4G189200 ID=Brasy4G189200.8.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQESFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.9.p pacid=40086536 transcript=Brasy4G189200.9 locus=Brasy4G189200 ID=Brasy4G189200.9.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.10.p pacid=40086537 transcript=Brasy4G189200.10 locus=Brasy4G189200 ID=Brasy4G189200.10.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.11.p pacid=40086538 transcript=Brasy4G189200.11 locus=Brasy4G189200 ID=Brasy4G189200.11.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQESFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.12.p pacid=40086539 transcript=Brasy4G189200.12 locus=Brasy4G189200 ID=Brasy4G189200.12.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCHMDLLDKEEFTPNFLMKMQFFMCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQESFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.2.p pacid=40086540 transcript=Brasy4G189200.2 locus=Brasy4G189200 ID=Brasy4G189200.2.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.3.p pacid=40086541 transcript=Brasy4G189200.3 locus=Brasy4G189200 ID=Brasy4G189200.3.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.4.p pacid=40086542 transcript=Brasy4G189200.4 locus=Brasy4G189200 ID=Brasy4G189200.4.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G189200.5.p pacid=40086543 transcript=Brasy4G189200.5 locus=Brasy4G189200 ID=Brasy4G189200.5.v1.1 annot-version=v1.1 MCSEKENTYAGTMPQTQIGAARLRRQSPSIQRAANLAGLSGEMPQKLRAKEAAGRRSSGETAGRRSSGGVSQKHLGKATLPSMSLSGEMLQKQMGKVNGSLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVGMGLMPQNQGAKEMMCPPDQLRRHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQKSRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEDSEDKAQELIIETVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G236600.1.p pacid=40086544 transcript=Brasy4G236600.1 locus=Brasy4G236600 ID=Brasy4G236600.1.v1.1 annot-version=v1.1 MCTRALLTSIAIYPRRSSHPHHSPSPLALLQFRRRCLFRRTISIHYTPAAAAMDSVASWGLTPLADADPEVFDLVEREKRRQRSGIELIASENFTSFAVIEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAANGYIDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARLRAVADKVGAMLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQAVTPGFKAYAKQVKANAVATGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIAEFLHRAVSICLNIQKEHGKLLKDFSKGLVNNKDIEDLKVEVEKFATSFDMPGFSLESMKYKD* >Brasy4G290900.1.p pacid=40086545 transcript=Brasy4G290900.1 locus=Brasy4G290900 ID=Brasy4G290900.1.v1.1 annot-version=v1.1 MASRNFLIRSPKEEESNAAVREAILLGGKNAAIAGTVVAVPTLVGCRVFPWAKRNLNYTAQALIITAACVAGFFITADKTILRNARQNTIGRIDKST* >Brasy4G390400.1.p pacid=40086546 transcript=Brasy4G390400.1 locus=Brasy4G390400 ID=Brasy4G390400.1.v1.1 annot-version=v1.1 MASLKLAVAVAACVVLVTTILLNGVAGYESLSEECNDCRKKCRHDCEQPVSHEKCKPECEEDFVAESACEACKDKHLPECTDRCYGAGCVDGQEHRCPALEECDRCIQDSQEASCESTVPTAACAMYCDSGSAVFGTMSSCGEVDCTEEELRQECDDCKEEEVPKCTHLTNRAMCMGYPQMAP* >Brasy4G354200.1.p pacid=40086547 transcript=Brasy4G354200.1 locus=Brasy4G354200 ID=Brasy4G354200.1.v1.1 annot-version=v1.1 MAGVSHFALALVLVMMTTVEMSTDAWRHGRASRLGRPFLQPLSTGGYRTYIVLLEIPAGGDKMDYAAHRAWHESFLPSKMTRDGQPRLIHSYTSAVNGFSALLTDQELKLVTHKPGFVTAIPNSYAYLQ* >Brasy4G147800.1.p pacid=40086548 transcript=Brasy4G147800.1 locus=Brasy4G147800 ID=Brasy4G147800.1.v1.1 annot-version=v1.1 MASGNNWLEFSLSGQENPQPHQDSSPIDISGSGDFYGLPTQPAPDTQLGMPGHHASFGVMEAFNRGTHEPHDWSNMRGDLDYNGGGSELSMLVGSSAVGGKNRGAVDEITEPKLEDFLGGNSFVDSEQDQAGAGGFLFSGVPMAPMAGSSTNSNSGSNTMELSMIKTWLRNNQNHVPQPHPQQHQPQQPHEEMSMSTDAGESSFGDALGRNGVVPAAAGSSHSQSLALSMSTGSGSSHLPMVVAGGSSAAVAGAADQPESSSSENKRASGAMDSPGGAVEAVARKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPINTYEKEVDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESSTLPVGGAARRLKDAPDQATIWRAGDMDAGAGVISHQLTTMGSMGAYAGSYHQGHGWPSTIAFQHQPSPLSVHYPPYAGLQPPRGWCKPEQDVVVAAASHSLQDLQQLHLGTAHNFFQASAGSTVYNGTGNAAGFLMPGPASTVVAEEQGQYSSTATNQGSTCSYGDDEEGKLIGIGYDAMAMASTGDLYAPARAGGYGQLPQGSASTVSIVRTNGYSNNWNSPFNGMG* >Brasy4G151400.1.p pacid=40086549 transcript=Brasy4G151400.1 locus=Brasy4G151400 ID=Brasy4G151400.1.v1.1 annot-version=v1.1 MTLQGVAHQTSAGGPNDNYYGLHAITDVYGHDLKSGQVSATVIWVHHPGDGGESSLNSIEVGWHIHPERYGDSRPRLFAYWTRDGYETTGCFNMDCPGFVQAGAATVSLGDVIDPVSDVNGGSIQNVALKLFKDKNSGDWWVYYGFNSVPTAVGYYPRTLFTYLAKKAKNVSFGAYVIADRSLPTPPLGSGALPNGGPGQAASFTDLQTVDENGASKAVTEDLPTVAECYSITPISGAKFFYGGPGGCAK* >Brasy4G362100.1.p pacid=40086550 transcript=Brasy4G362100.1 locus=Brasy4G362100 ID=Brasy4G362100.1.v1.1 annot-version=v1.1 MASLSPSLHLPCNSRTGFLGKSQGIRLRVIPAGRVGFVRKTVECKESRIGKKPIEVPSNVTLTLEQQFVKAKGPLGELSLNYPNEVKVVKEESGKLRVFKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKRLQLVGVGYRATVEGKDLVMNLGFSHPVRMAVLEGLQVKVEENTRIIVSGYDKSEIGQFAATIKKWRPPEPYKGKGIRYQDEIVRRKEGKDGKKK* >Brasy4G081700.1.p pacid=40086551 transcript=Brasy4G081700.1 locus=Brasy4G081700 ID=Brasy4G081700.1.v1.1 annot-version=v1.1 MAEQEEKAPNPSERKPKPKPPMSRVTRLALRAVDYVADATRRDDGTVNRRLLSILDPRVPAFSTPCRGVASRDLVLDPRLRARLFFHPTRPDPLPVIVFFHGGGFAFLSAGSLPYDAACRRIARHASAHVLSVDYRRSPEHKFPAPYDDGFSALRFLDDYPENHPADVQLDVSRCFLAGDSAGGNIAHHVARRYAAASSSFSSLRISGLIAIQPFFGGEERTGSEIRLDGAPIVSVPRTDWMWRAFLPPGADRSHEAASAEAAVEEEEFPPVLLVVGGYDPLQDWQRRYGEALRGKGKEVEVVEYPEGIHAFFLFPEFSHARDLMGRIAEFVAGSSRGE* >Brasy4G165400.1.p pacid=40086552 transcript=Brasy4G165400.1 locus=Brasy4G165400 ID=Brasy4G165400.1.v1.1 annot-version=v1.1 MDELMNWDFSHGLRIESQVRRRFRSSVHFPGDSSSSEFFLVASFSDFSFVLNVESAALALQSCLGGSATGFRVRLLSDNRFRFSVASNRVGHFIRALDFFSCPDFTCHFSLFRGNALPSGSVFSRIATEDECAAMANYPVNPYSFLPEGMMVDHGPPGRVVRGELFLYGPPPLSHEHFAIGELNHEVPFHLKAQLREQVREVMLDNGYAIDHMEDSAMGLGLFCFQSSYARDSVVGPEYTVDDYWTVTFVKHDAARNMCAAPASRLVWVMFLNFPLDYQTNFWVDAAVAKFGKLDVWHNPRARLTRVLVRARIVDDKHVPKSMVLREIGGNRRSWTVPVYLLRSDAWSAHMHDVPPPGEDPPPDNGDPHPFHGEFQTAEQRFQFRLQQWLAQNGAFGPDGAAGQGGGGNHTQALASPDFPQRGQINYQEFLRAEGLNVADGVTSVNNVVDSPLSAWTDMISDDSSDTSSGNGFVQATGDPLDMLIEPHSTDLVVQNVDVPAIFKVARAAIEAIQMPYLFWGARQLFGPVIPPMSCIRTFFAKNLPTLGSEVLLLDRRVARKLTFTDAPSSALVLPAIQFVVGEVASSVPRSSCIIEEIEDSTEQENNEVITALAGLSASRKRGRRARPATPVVTTGLRRSTRSNIYKGFKSQIISDAHKKKSKIADRVKPIFLVAAPTFDDAAAAADAPGPMPLQVLQKVAVEVCAIPADEVTDEILLSDGAATEASSSG* >Brasy4G091200.1.p pacid=40086553 transcript=Brasy4G091200.1 locus=Brasy4G091200 ID=Brasy4G091200.1.v1.1 annot-version=v1.1 ENLNKAVRVESDSNGGAPGAQSSIPEDDDESQIDGPSQDGGAAAAKKKKKKSKAKKKKEPLQQTDPPSIPVDELFPSRDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIVKPGMLMIELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGLIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGVDARLCDVGAAIQEVMESYEVEINGKVFQVKGVRNLNGHSIGPYQIHAGKSVPIVKGGEHTKMEEGEFYAIETFGSTVLDEFYISQWLCFVRKGFVREDMECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY* >Brasy4G238700.1.p pacid=40086554 transcript=Brasy4G238700.1 locus=Brasy4G238700 ID=Brasy4G238700.1.v1.1 annot-version=v1.1 MVAAGLSPGPRSFHGLVAAHALSGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLSTRAMEILAAMERYKYDVRKAWLILVEELVRNHYLEDANTVFLKGAKGGLQGTDELYDLLIEEDCKAGDHSNALTVAYQMEAAGRMATTFHFNCLLSVQATCGIPEIAFSTYENMEYGGEDYMKPDTESYNWVIQAFTRATAHDRAPDVAELLGMMVEDYKRIQPNARTYALLVECYTKYCMVNEAIRHFRALRRIPGGTTVLYNEGNCGDPLSLYLRSLCLDGRADELLDALEAMADDNQTIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKLRCFEELKLYHRRLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKIELEAQGLPTDGNRQVLYQRVQKARRINRSRGIPLWVPPVEDDEKVDEELDEMISRIKLEDGNTEFWKRRFLGETRNHLCEEDSKEEDPDLDDELDDDDDDDDDDSAKEAEEDEIYDEEVAERTQNQAGDDETKDKPAKRPNQHLQMIGVQLLKDLEKTSVSTKKLKKIPEIDDDEDWFPEDPIEAFKVMRETRMFDVSDMYTTADAWGWTWEREKKKKMPRKWSQEWEVELAIKIMNKVIQLGGTPTIGDCAIILRASMKAPVPSTFMTILQTTHNLGHKFGSPLYDEVILLCLDLEEIDAAIAVVAEMETNGIKVLDETLDRVLAAKQSGNSVLQPPTE* >Brasy4G416700.1.p pacid=40086555 transcript=Brasy4G416700.1 locus=Brasy4G416700 ID=Brasy4G416700.1.v1.1 annot-version=v1.1 MKAHCAASDPEGVLGVLRRMKGDGVEPDLVTYNTLVFGLARAGMVAKARTYVDVMATEGLFPDVITYTSLMNGMCVKGDALGALKLLEEMEAKGCEPNARTYNTLLMGLCKNRKLDKAVEVYRSMVGAAMKLETPAYASFIRALCRAGRVPDAYEVFDYGIESKSLAQVTAYSELESSLKWLRRMKE* >Brasy4G105400.1.p pacid=40086556 transcript=Brasy4G105400.1 locus=Brasy4G105400 ID=Brasy4G105400.1.v1.1 annot-version=v1.1 MGHSSRRCRPGGGRRCSRRRVKRGSCVGAADCGFLHDPREGDGRKGEWVPPAQRSHKNAPRRGPQSPHSPFFSPTQPERTAKTRATPSSPAPPPTHGPHAPTQPAPGATTPARRATDKGRPASLPATPCRDHHRPCHGAEARRSS* >Brasy4G011600.1.p pacid=40086557 transcript=Brasy4G011600.1 locus=Brasy4G011600 ID=Brasy4G011600.1.v1.1 annot-version=v1.1 MAMAGALVSAATGALKPVVEKLAALLGHKYTRFKGVRGEIDSLKHELAAMDAFLLKMSEEEDPDPQDKVWMNEVRELSYDMEDSIDDFMKNVDDKDTKPDGFIEKIKSSLGKMKARYRIGKEIEDLNKQITKMGERNARYKTREAFSRTINATVDPRALAIFEHASKLVGIDEPKKEIIKLLTEEHGIVSTQQEVKLVSIVGLGGMGKTTLANQVYQELKGQFNCQAFVSVSRNPDKMNILRTILSEVSGQGFANTEAGSLQQLIGKINGFLADKRYFIVIDDIWDENTWDVIKCAFPVNSCGCRIITTTRINRVAESCRSSFNGEIYSIRPLNAVHSRQLFNRRLFHSREDCPSDLEKVSVQILEKCGGLPLAIIAISGLLANTERTEHIWNEVKDSIGRALERNSTVEAMMKILSLSYFDLPPYLKTCLLYLSIFPEDSFIEKKELIRRWIAEGFIKKEGRYTVHEIGERCFNELLNRSLIQPAMIDVRKEACRVHDTILDFIISKSIEENFVTLVGVPNLTVGTQGKIRRLSLQVGKQGNCFTPTSLTLSHVRSLGVFGDFVEIPSLEEFRHLRVLNFQDCDQLRDHHLVNIGRLFQLRYLNLRRTRIRELPEQIGQV* >Brasy4G397600.1.p pacid=40086558 transcript=Brasy4G397600.1 locus=Brasy4G397600 ID=Brasy4G397600.1.v1.1 annot-version=v1.1 MKRPGGGSSSSLLQMANPNDMDYGVVGMETEGDAEEEMMACGGGGGGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYNALRHSYDALRLDHDALRRGKDALLAEIKELKGKLGDEDAAASFTSVKEEPAASDGPPPAGMGSSDSDSSAVVNDTDATGATKPAELPAPEVGTLLAAQGAAAGHDEVFFHGNFLKVEEDETGFLDDDEPCGGFFADEPPLAWWTEPTEHWK* >Brasy4G045900.1.p pacid=40086559 transcript=Brasy4G045900.1 locus=Brasy4G045900 ID=Brasy4G045900.1.v1.1 annot-version=v1.1 MSNYHDDHVEDMEDDYDMDEPADEMGDGHHERGIRDSDSEDEEYGQSNDKIPDTSAADARKGKDIQGIPWERLAITREKYRQSRLEQYKNYENVPNSGEEAAKECKRTEKGGMYYEFRQNTRSVKSTILHFQLRNLVWATSKHDVFLMSHYSVLHWSALNGVDTELMNVQGHVAPKEKHPGSLLEGFSQTQVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDDNAITNAVEIFNTSSGAVHFMASNNDSGVRDYDMERFQLCKHFQFNWPVNHTSLSPDGKVVVIVGDDPDGLLIDANSGKTLHSMKGHHDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSVHVLKGNLGAIRSIRFTSDGQFLSMAEPADFVHIFDVSSDYNRRQELDFFGEISGMSFSPDTDTLFVGVWDRTYASLLQFGRMYNNSYLDSLF* >Brasy4G053300.1.p pacid=40086560 transcript=Brasy4G053300.1 locus=Brasy4G053300 ID=Brasy4G053300.1.v1.1 annot-version=v1.1 MDPANGDDGNGICFPYDVLLSILRRVPCRRALAESRLVCRSWRAIVDGHSLLLPHYFPPNAFPGVFTNVHGSEDESSFFAPTPSSPSKQRRRRRRRGGRPEVFRRPFFRHNWASVVHHCNGLLLLEAEKWGYGGGRYVCNPATVRCGVLPRLSEEERLWPCGRSMFLAFDPAVSRHYQVFFFPPKDYKIQRRQTGDEDTAPQGANDDDNNNNKDGGVISVQVFSLREISSQTGRWACRDFSPGRCAPRHLYDKVAVPQDMFMERWKSAEYWRGSLYVHHHEDILMILRCGEAEGVYDMVRLPGEAYIYGDEHIAEYYLPRRSIVASYEGGVRYVTVNKFSTQLKVWTLSESAVDGQLAWMLAHEADLRPYRRKLRNSHFEPKVKWEAVESNRATASLFRPREEMYYGVSDESDVNDDDVVGDADLDEGIHEVDGLGDTNSVADDDCSEGDSREDEDDNTRSVPVDGADSSEGGDSPEDEDDEDNFIDQLDECDSSHRSPSEYWGCRIMGLHPHKDVLLLQLQGQFVAYHLSTSRMQYLGWWAVKNCCQHAHSVKHAFPYRPCYVDVLPTSKMPHSIGF* >Brasy4G172700.1.p pacid=40086561 transcript=Brasy4G172700.1 locus=Brasy4G172700 ID=Brasy4G172700.1.v1.1 annot-version=v1.1 MEEGRSDLKEASKVELAFSLQKAREIEGGDGNVVDGRKKFLGLLKLFFACMVSGGIQYGWALQLSLLSPYSQTLGIPHQYVSLTWICGPVAGFVVQPIVGYYSDRCTARIGRRRPFILVGCVIICISVMLIGFSADIGRRLGDTKEHCSSSTGPRWAAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGNYGPNVGQAIFCLWMAIGNILGYTAGANGKWHDTFPWLKTAACCDACANLKGAFLTAVVLIIISMAVTWVIADEKQLDKDAVEASSGRGCISAFGDLFRSLRNLPPNMYKVLAVTAVTWLSWFPFIQYDTDWMGREIYHGVPQGPKAAQYDAGVREGAIGLLLCSVALGVTSFLIPKLCRTLTSKVVWSISNFLVFVVMTLMVVLSLISTKGYNASLTANLTGPDPKLRAAALTLFAVIGIPQAVLFSVPWAVASEVVANEDGGQGLAIGVLNIAIVVPQLVIALTAGPIDKAFGKDNTPAFGIGGAFAFICTVLALVWLPKTRGTSNAAVMAGGH* >Brasy4G212800.1.p pacid=40086562 transcript=Brasy4G212800.1 locus=Brasy4G212800 ID=Brasy4G212800.1.v1.1 annot-version=v1.1 MEVRPSCQGDDGEHGIRLPRPRAGHDHHGSGRPPICGPAPTNRRIRPPSVWPLLDLEGSRSPAWKGSRRSSSGREPPSPSRRLRAPAADRSARPSLAPDAGRSPRCPRAGSGEVAVGSSLL* >Brasy4G305500.1.p pacid=40086563 transcript=Brasy4G305500.1 locus=Brasy4G305500 ID=Brasy4G305500.1.v1.1 annot-version=v1.1 MEQRHADQMQGEHPKRESPDHGQQQQQQLSSLNPTAARLVRESIVSSNPKTADGEDGKPSADGSSDILAFARSVNRVDSSLE* >Brasy4G413700.1.p pacid=40086564 transcript=Brasy4G413700.1 locus=Brasy4G413700 ID=Brasy4G413700.1.v1.1 annot-version=v1.1 MPSYLNFRPLNFRGNHKADTTIPLGPTTALIHIFHVLINPASTDDSMRAVLLQVVVLFCEASRIQMVKDNIEGKMSYDVYQVEFMDLVWACIRKWRKMCDYCLYMRNKLLVQGGDGVQEIEQQEPQPDQPEDEKETELLSYIAGCQLYNLDQSSAHRVS* >Brasy4G097300.1.p pacid=40086565 transcript=Brasy4G097300.1 locus=Brasy4G097300 ID=Brasy4G097300.1.v1.1 annot-version=v1.1 MDTLNLFGVHQWSNVQVLHRDQSAYFTLLFAAAILRLTVLRKQHMLPRESETI* >Brasy4G045300.1.p pacid=40086566 transcript=Brasy4G045300.1 locus=Brasy4G045300 ID=Brasy4G045300.1.v1.1 annot-version=v1.1 MRVKRRSRHRKVVKFYSTCFGFREPYKVLVDGTFVHHLLVHRLLPADDALRELLSASRPPPLFTSKCVLAELRRLGKSHSEAFGAAQLLATASCEHDKVVSAVDCILSLLGDKNPEHYFVATQDTDLRAKLREVPGVPVIYGLKNSLFIEQPSMQQRKFAQLDEEKRIHMEKSEYKKLLKATSEGKTSGDANTQGVAEKSKFKRNRAKGPNPLSCKKKKPKPQRSATQNQGPQADGEAKRKRVRKRKRGGEKSNGTETAS* >Brasy4G118900.1.p pacid=40086567 transcript=Brasy4G118900.1 locus=Brasy4G118900 ID=Brasy4G118900.1.v1.1 annot-version=v1.1 MRLLVHVIDARNLPVINANGLSDPYAKLQLGRQRAKTKVIRKSLNPAWDEEFAFRVGDLKEELLVCLLDEDKYFSDDFLGQVKVPLSAVLDADHRSLGTQWYQLQPKSKKSKIRDCGEIRLTICLSQSYPEDTMTLAHWASDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKTIMALWKARSLTPEEKIQLVEEESETKDLQNEESGSFLGIEDAKMSEVFSSTKPFDVPILMGIFEGGPVEHRVMEKVGCVDYSVTTWEPVRAGIYQRQVHYRFDMKLARREGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQIASKQKSCSVQVFIGMAWLKSCKNRKKITQEVKSNASSRLKKIFSQLEKEFIPAS* >Brasy4G118900.3.p pacid=40086568 transcript=Brasy4G118900.3 locus=Brasy4G118900 ID=Brasy4G118900.3.v1.1 annot-version=v1.1 MRLLVHVIDARNLPVINANGLSDPYAKLQLGRQRAKTKVIRKSLNPAWDEEFAFRVGDLKEELLVCLLDEDKYFSDDFLGQVKVPLSAVLDADHRSLGTQWYQLQPKSKKSKIRDCDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKTIMALWKARSLTPEEKIQLVEEESETKDLQNEESGSFLGIEDAKMSEVFSSTKPFDVPILMGIFEGGPVEHRVMEKVGCVDYSVTTWEPVRAGIYQRQVHYRFDMKLARREGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQIASKQKSCSVQVFIGMAWLKSCKNRKKITQEVKSNASSRLKKIFSQLEKEFIPAS* >Brasy4G118900.2.p pacid=40086569 transcript=Brasy4G118900.2 locus=Brasy4G118900 ID=Brasy4G118900.2.v1.1 annot-version=v1.1 MTLAHWASDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKTIMALWKARSLTPEEKIQLVEEESETKDLQNEESGSFLGIEDAKMSEVFSSTKPFDVPILMGIFEGGPVEHRVMEKVGCVDYSVTTWEPVRAGIYQRQVHYRFDMKLARREGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQIASKQKSCSVQVFIGMAWLKSCKNRKKITQEVKSNASSRLKKIFSQLEKEFIPAS* >Brasy4G118900.6.p pacid=40086570 transcript=Brasy4G118900.6 locus=Brasy4G118900 ID=Brasy4G118900.6.v1.1 annot-version=v1.1 MTLAHWASDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKTIMALWKARSLTPEEKIQLVEEESETKDLQNEESGSFLGIEDAKMSEVFSSTKPFDVPILMGIFEGGPVEHRVMEKVGCVDYSVTTWEPVRAGIYQRQVHYRFDMKLARREGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHIRYQLEQIASKQKSCSVQVFIGMAWLKSCKNRKKITQEVKSNASSRLKKIFSQLEKEFIPAS* >Brasy4G118900.4.p pacid=40086571 transcript=Brasy4G118900.4 locus=Brasy4G118900 ID=Brasy4G118900.4.v1.1 annot-version=v1.1 MRLLVHVIDARNLPVINANGLSDPYAKLQLGRQRAKTKVIRKSLNPAWDEEFAFRVGDLKEELLVCLLDEDKYFSDDFLGQVKVPLSAVLDADHRSLGTQWYQLQPKSKKSKIRDCGEIRLTICLSQSYPEDTMTLAHWASDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKLCFAEQ* >Brasy4G118900.5.p pacid=40086572 transcript=Brasy4G118900.5 locus=Brasy4G118900 ID=Brasy4G118900.5.v1.1 annot-version=v1.1 MRLLVHVIDARNLPVINANGLSDPYAKLQLGRQRAKTKVIRKSLNPAWDEEFAFRVGDLKEELLVCLLDEDKYFSDDFLGQVKVPLSAVLDADHRSLGTQWYQLQPKSKKSKIRDCDDLASSSDKSSELKKGSSLPNIPIESSTSLSGRDELETAKEDKSNVGSSFVNRLYQYFSANPKDEEASLPPLFKHDRSLDILEKTPSTSSQISDKQESESSVNMSFDEVLKAFESRHEGNEMPANLSGGVLVDQVYAVAPSDLNTLLFSPSSDFLRSLAEMQGTTGLDIQQWRLESDGAVLKRVVSYTKAATKLVKAVKATEDMTYLKADGDTYAVLADVSTPEVPFGNTFRVEILTCIMPGPELNSSRLVVSWRLNFVQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTANNESLSSVQPERESDWKLAFRIFGNFTVVSSFFAFIYVVSHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHVEVNFLRYSISELADIWIPLKGKLAQACQTKLHLRIFLNNSRGTEIVKNYLDRMEKEVGRKIAVRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPSLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKLCFAEQ* >Brasy4G272000.1.p pacid=40086573 transcript=Brasy4G272000.1 locus=Brasy4G272000 ID=Brasy4G272000.1.v1.1 annot-version=v1.1 MHGVQIPDSSRSPVFLGSLTLPVPRRRPPTSSVSFQYPATTHLLADGSL* >Brasy4G038000.1.p pacid=40086574 transcript=Brasy4G038000.1 locus=Brasy4G038000 ID=Brasy4G038000.1.v1.1 annot-version=v1.1 MSYRRGSKVWVEEKGEGWVEAEVVEVKERAVLVLTSQRKKITVLPEKLLPRNTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAVLDDRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDRSGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASTFHYLNQSKTYELEGINNEDEYWKTKRAMDIVGISTKDQDAIFRTLAAILHLGNIEFSPGKEPDSSIIKDSTSNFHLQMTAKLLMCDPDVLVASLCSRSIHTNEGIIIKALDCAAAAANRDTLAKTVYAKLFDWLVENINKSIGQDVDSKAQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKINWSYIEFIDNQDMLDLIEKKPIGIIALLDEACMFPKSTHVTFATKMFRNFSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESLRSSYKFSSVASRFKQQLQALMETLSSTEPHYVRCVKPNSVNRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRSYAEFVDRFGVLVPELILGSYDERALTEAILEKMKLDNFQLGRAKVFLRAGQIAILDVRRAEVLDNAARHIQGRFRTFVARKEFVKTKKASISIQAYCRGCLARKMYMIRRETAAAITIQKYVRMLLLRRNYQQACSAALLIQSCIRGFIARLYFSAIREQKAALVIQSVWRKRKAVMLFQHYRQASIAIQCAWRQKLARRELRKLRMAANEAGALRDAKNKLEKQLDDLTLRLTLERRMRAAGEETKLVEISKREKIIETLSAECAEAKSSARSEHDKNLLLQRQLDDSLREIAMLRSNKILKAETEKENSNLKNIVESLSKKNSLLENELSTARKSSDDTMEKLKDVEGKCTHLQQNLDKLQEKLTNLENENHVLRQKAFNISPKTLSEKFSASIGLGNSEQKRIFESPTQTKYLSPIPQSTGSRRTRLPVERHEGNHEILLRCIKENLGFKDGKPVAACIIYKCLLHWRAFESERTAVFDHVIEAINDVLKAKDSDGRLPYWLSNTSALLCLLQKNLRSNGFFGTPSRRSAGPLGLGGKMAQLVGRGDTLAQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKATRAQTGKASKSPGVGAQPPSNSHWDNIVNFLNLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFTNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEISQDLCPSLSVRQIYRICSMYWDDKYNTQGISNEVVGAMREMVNKDSQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYVDVELPESLHQYPSAQFLLEAS* >Brasy4G342000.1.p pacid=40086575 transcript=Brasy4G342000.1 locus=Brasy4G342000 ID=Brasy4G342000.1.v1.1 annot-version=v1.1 MHQQATWKPAWLEALSAEKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSGVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFYCSLDCKVEYILRKKKDLSAYLRPCKILQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSDNLSTPYTNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPQRSPLC* >Brasy4G199800.1.p pacid=40086576 transcript=Brasy4G199800.1 locus=Brasy4G199800 ID=Brasy4G199800.1.v1.1 annot-version=v1.1 MARTKMTARKSTGGKAPTKQLRAFYTSARKTVPIMGGVKKPHRYRPGTVALREIRKYQKGAELLIRKLPFQRLVREIAQVSKSDLRFQSHAVLALQEATEAYLVGLFEDTNLCAIHAKRVTIMSKDVHLARRIRGEKL* >Brasy4G372200.1.p pacid=40086577 transcript=Brasy4G372200.1 locus=Brasy4G372200 ID=Brasy4G372200.1.v1.1 annot-version=v1.1 MRRLGFVVLFLAAAALVGTSRWGGEEEGVGHFFVGWMRPSPSSSSPAPSSSVLDGDLVDKIWSLCLQDIVSAEEILGIGQSFTLDGLSSRSSEDELKTMLLMELLAILPPQKSSVTHDCIYAHYFSLGIAQELNDGLSNYVENQQPLLGSNFYPRRHLAHQVVGDAPTKSPVFAPAMLSGGEAQFPLSVAEPPFTPPNSPKPGPNRHHDQSAQKHRRVPPPISSLEKQHNYIRLVLTVVLPTAAFSFIIAFLIFYCCGCNKSKVSVSESRDDHPLLHLQLANASGSSSDVHVPAIPLQKSDQGVRTAKVGGSMSQCFPCCFTTTSAQVTEGTQEDIAAGDPPKPMPPPPPPPPPPPPLPPPIKKAPPPPPTPPRGSGAKPPQMSPVDSSHSEGSSAGDQASETSEAEVSAPRAKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFAYGAGNRNNVKDKERAMADPSPQHVSLLDFKKSCNLAVVFKAMNVKVEDIQNALIEGNELPRLLLETILRMKPTDEEEQKLRLYDGDCSQLGLAEQVMKALTDIPFAYKRMSALLLMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIVRSEGVREARLAMENGRTPPPGTSGDNSSGSLQEDDEYYSNLGLKIVSGLSSEMVNAKNIAALDADALSASVLSLRHELLKAKEFLNSDMATIEENSGFHHSLVHFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDVKDDGFGLFVTVRDFLVMLDKACKEVGASQKKTANRSQSSGSYNPLLNPQEKQFPAVLDHHLDSLDSNN* >Brasy4G372200.2.p pacid=40086578 transcript=Brasy4G372200.2 locus=Brasy4G372200 ID=Brasy4G372200.2.v1.1 annot-version=v1.1 MRRLGFVVLFLAAAALVGTSRWGGEEEGVGHFFVGWMRPSPSSSSPAPSSSVLDGDLVDKIWSLCLQDIVSAEEILGIGQSFTLDGLSSRSSEDELKTMLLMELLAILPPQKSSVTHDCIYAHYFSLGIAQELNDGLSNYVENQQPLLGSNFYPRRHLAHQVVGDAPTKSPVFAPAMLSGGEAQFPLSVAEPPFTPPNSPKPGPNRHHDQSAQKHRRVPPPISSLEKQHNYIRLVLTVVLPTAAFSFIIAFLIFYCCGCNKSKVSVSESRDDHPLLHLQLANASGSSSDVHVPAIPLQKSDQGVRTAKVGGSMSQCFPCCFTTTSAQVTEGTQEDIAAGDPPKPMPPPPPPPPPPPPLPPPIKKAPPPPPTPPRGSGAKPPQMSPVDSSHSEGSSAGDQASETSEAEVSAPRAKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFAYGAGNRNNVKDKERAMADPSPQHVSLLDFKKSCNLAVVFKAMNVKVEDIQNALIEGNELPRLLLETILRMKPTDEEEQKLRLYDGDCSQLGLAEQVMKALTDIPFAYKRMSALLLMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIVRSEGVREARLAMENGRTPPPGTSGDNSSGSLQEDDEYYSNLGLKIVSGLSSEMVNAKNIAALDADALSASVLSLRHELLKAKEFLNSDMATIEENSGFHHSLVHFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDVKDDGFGLFVTVRDFLVMLDKACKEVGASQKKTANRSQSSGSYNPLLNPQEKQFPAVLDHHLDSLDSNN* >Brasy4G372200.3.p pacid=40086579 transcript=Brasy4G372200.3 locus=Brasy4G372200 ID=Brasy4G372200.3.v1.1 annot-version=v1.1 MRRLGFVVLFLAAAALVGTSRWGGEEEGVGHFFVGWMRPSPSSSSPAPSSSVLDGDLVDKIWSLCLQDIVSAEEILGIGQSFTLDGLSSRSSEDELKTMLLMELLAILPPQKSSVTHDCIYAHYFSLGIAQELNDGLSNYVENQQPLLGSNFYPRRHLAHQVVGDAPTKSPVFAPAMLSGGEAQFPLSVAEPPFTPPNSPKPGPNRHHDQSAQKHRRVPPPISSLEKQHNYIRLVLTVVLPTAAFSFIIAFLIFYCCGCNKSKVSVSESRDDHPLLHLQLANASGSSSDVHVPAIPLQKSDQGVRTAKVGGSMSQCFPCCFTTTSAQVTEGTQEDIAAGDPPKPMPPPPPPPPPPPPLPPPIKKAPPPPPTPPRGSGAKPPQMSPVDSSHSEGSSAGDQASETSEAEVSAPRAKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFAYGAGNRNNVKDKERAMADPSPQHVSLLDFKKSCNLAVVFKAMNVKVEDIQNALIEGNELPRLLLETILRMKPTDEEEQKLRLYDGDCSQLGLAEQVMKALTDIPFAYKRMSALLLMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIVRSEGVREARLAMENGRTPPPGTSGDNSSGSLQEDDEYYSNLGLKIVSGLSSEMVNAKNIAALDADALSASVLSLRHELLKAKEFLNSDMATIEENSGFHHSLVHFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDVKDDGFGLFVTVRDFLVMLDKACKEVGASQKKTANRSQSSGSYNPLLNPQEKQFPAVLDHHLDSLDSNN* >Brasy4G372200.5.p pacid=40086580 transcript=Brasy4G372200.5 locus=Brasy4G372200 ID=Brasy4G372200.5.v1.1 annot-version=v1.1 MGILLSHGWMQVDKIWSLCLQDIVSAEEILGIGQSFTLDGLSSRSSEDELKTMLLMELLAILPPQKSSVTHDCIYAHYFSLGIAQELNDGLSNYVENQQPLLGSNFYPRRHLAHQVVGDAPTKSPVFAPAMLSGGEAQFPLSVAEPPFTPPNSPKPGPNRHHDQSAQKHRRVPPPISSLEKQHNYIRLVLTVVLPTAAFSFIIAFLIFYCCGCNKSKVSVSESRDDHPLLHLQLANASGSSSDVHVPAIPLQKSDQGVRTAKVGGSMSQCFPCCFTTTSAQVTEGTQEDIAAGDPPKPMPPPPPPPPPPPPLPPPIKKAPPPPPTPPRGSGAKPPQMSPVDSSHSEGSSAGDQASETSEAEVSAPRAKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFAYGAGNRNNVKDKERAMADPSPQHVSLLDFKKSCNLAVVFKAMNVKVEDIQNALIEGNELPRLLLETILRMKPTDEEEQKLRLYDGDCSQLGLAEQVMKALTDIPFAYKRMSALLLMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIVRSEGVREARLAMENGRTPPPGTSGDNSSGSLQEDDEYYSNLGLKIVSGLSSEMVNAKNIAALDADALSASVLSLRHELLKAKEFLNSDMATIEENSGFHHSLVHFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDVKDDGFGLFVTVRDFLVMLDKACKEVGASQKKTANRSQSSGSYNPLLNPQEKQFPAVLDHHLDSLDSNN* >Brasy4G372200.4.p pacid=40086581 transcript=Brasy4G372200.4 locus=Brasy4G372200 ID=Brasy4G372200.4.v1.1 annot-version=v1.1 MLLMELLAILPPQKSSVTHDCIYAHYFSLGIAQELNDGLSNYVENQQPLLGSNFYPRRHLAHQVVGDAPTKSPVFAPAMLSGGEAQFPLSVAEPPFTPPNSPKPGPNRHHDQSAQKHRRVPPPISSLEKQHNYIRLVLTVVLPTAAFSFIIAFLIFYCCGCNKSKVSVSESRDDHPLLHLQLANASGSSSDVHVPAIPLQKSDQGVRTAKVGGSMSQCFPCCFTTTSAQVTEGTQEDIAAGDPPKPMPPPPPPPPPPPPLPPPIKKAPPPPPTPPRGSGAKPPQMSPVDSSHSEGSSAGDQASETSEAEVSAPRAKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFAYGAGNRNNVKDKERAMADPSPQHVSLLDFKKSCNLAVVFKAMNVKVEDIQNALIEGNELPRLLLETILRMKPTDEEEQKLRLYDGDCSQLGLAEQVMKALTDIPFAYKRMSALLLMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIVRSEGVREARLAMENGRTPPPGTSGDNSSGSLQEDDEYYSNLGLKIVSGLSSEMVNAKNIAALDADALSASVLSLRHELLKAKEFLNSDMATIEENSGFHHSLVHFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDVKDDGFGLFVTVRDFLVMLDKACKEVGASQKKTANRSQSSGSYNPLLNPQEKQFPAVLDHHLDSLDSNN* >Brasy4G354800.1.p pacid=40086582 transcript=Brasy4G354800.1 locus=Brasy4G354800 ID=Brasy4G354800.1.v1.1 annot-version=v1.1 MRVYIKLLEVVTDQFSKRRLIGSGWYGSVYKASGEAAFFPRSWPSRPGEQGRRRAGARRAAAHRSRVRRAGARATGGGALSSGARGGHGRGGHGRGGGAQGHGLGAARGARRAGARRSNARQATGVARSLAWPSLVVRPVTELGDP* >Brasy4G317800.1.p pacid=40086583 transcript=Brasy4G317800.1 locus=Brasy4G317800 ID=Brasy4G317800.1.v1.1 annot-version=v1.1 MPDRDDLPNRRRLRRYSNRWRSEDTVVPDRERVSPTGGSGELDRQAAAGGTARRGSRVSTTDGPESGRSSGGLARKHGRRTRSHVWGGGLTRKNGLRALSHVRRQDRPQDQRCGSRPVEGRQSGPRRGLKTNAFFRELPADGGAAGGLASESSA* >Brasy4G133800.1.p pacid=40086584 transcript=Brasy4G133800.1 locus=Brasy4G133800 ID=Brasy4G133800.1.v1.1 annot-version=v1.1 MASSYKMKGIFKGFKIISHIFAAKEEQEMKIGSPTDVKHVAHIGWNSSTLPTTTNASPSFRMDGSALGISCDFSSLGNLAPSSAAAATSWASSQDSEQHQATRDVQPSLGLAVSENTAQDAGGAAPDVPRPPPAGESPVDSSMSEDSGASASLAPPPPPAAAAANGAVAGCSEST* >Brasy4G168000.1.p pacid=40086585 transcript=Brasy4G168000.1 locus=Brasy4G168000 ID=Brasy4G168000.1.v1.1 annot-version=v1.1 MAHEIATAHIGIESIPESSSLHSIQSSAAAMRVASRVVVLVRDASGYGAALADALLPPPGLTRNSSPLELSLDKYGLDGEKASCELVSFSDSSRSPQVSFFVLPDYKPPVAACAMNEILALISSEAPPVQPVIIVPFITRPSSYYGMVRTTKTGQLSTLHGSEIGATTEFTRMLVDGTTKPPPSLQIRSEPILCLLEMVRVLRIPMVLLVASGGQHQGKSSSDTDLEVLQCLGDHLGRHINLEFSKQAVLERGVEKLPTVEEPWRELYR* >Brasy4G126300.1.p pacid=40086586 transcript=Brasy4G126300.1 locus=Brasy4G126300 ID=Brasy4G126300.1.v1.1 annot-version=v1.1 MPILRLAAHSRPLATHSRLSWLVPLSLRFFFPNSGCDLRQPLGVEEQCDLRRRRRINSGTRRPEQQRHGVLQQRAPLLLAVVRRELLRGQTDHGGCGGALQRAQEPRAASSAGVRCPRRRPCRLRGLQTAPLLPAPAASAGCSPPPPPPAPAASAGPSPLPVPRKTTPVSPARGGYGICASPSHPTSGDDGAGPPWGAPLGQAQTMVASSLGRPSNSHARFLLPRAPLSANPRPRAPSRPGPIPPP* >Brasy4G331900.1.p pacid=40086587 transcript=Brasy4G331900.1 locus=Brasy4G331900 ID=Brasy4G331900.1.v1.1 annot-version=v1.1 MPWPRWFCPSRCWPVCGRRPAAPRLSLHSFSLQLSFFQIPIRPALPTSTSSCRGLPPPSLEAASSRSGAERPPLLDPVMAAAASIDGRRSRSGSAVPVVPPPSARIRPPVEPPTRIQPATRPRFPSNCPLLHILAAFPDPSPGRSRSHRPCLQQPPGRRRRPMADLPAPLAGAGRGTISTSSTSVPRNCSHLQITDGHWDAGGEGFLVLYLPICSLRSIIRSPRSYNGLLM* >Brasy4G063200.1.p pacid=40086588 transcript=Brasy4G063200.1 locus=Brasy4G063200 ID=Brasy4G063200.1.v1.1 annot-version=v1.1 MAAQAIHQFAECITCHAWSPDQSMIAFCPNNTEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGQDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKIIRKKHESSVTSVAWHPNNIHLATTSTDGKCRVFSTIIKGVDTRGAQAGASADWKFGEQIAQLDLSSTWTFGVRWSPSGKTLAYAGHSSMIYFVDDVEASPAAQNLTLRDLPLRDILFVSEKMAIGVGFDCNPMIFAADETGLWSFVRFLDERKVTPSTSKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIVPLRKGSESIVKRFSTSGLDGKIVVWDLENHITIPK* >Brasy4G323500.1.p pacid=40086589 transcript=Brasy4G323500.1 locus=Brasy4G323500 ID=Brasy4G323500.1.v1.1 annot-version=v1.1 MAHVLVCVLAAALLLVIGPCQATVDGITAIYNFGDSLSDTGNFIREGADLGLPLLSPYLDKGAEFTHGATALDTPALARRGVDVLHTNSSLGVQLQWFEHLMGATTKSAQEVRAKLGESLVLVGEIGGNDYNYAFLAMGLVPEVVQSILNASNALLEMGATRMVIPGNFPIGCAPGNLSAVGEQQQGEDPAPEYDGEGCLVALNLFAQTHNVLLQRGIQELREAYPAATIAYADYFAAYLQMLGDARKLGFDGDGGASLAKACCGVGGGKYNVDLDRMCGAPGTTVCGRPYGFIS* >Brasy4G262600.1.p pacid=40086590 transcript=Brasy4G262600.1 locus=Brasy4G262600 ID=Brasy4G262600.1.v1.1 annot-version=v1.1 MCCSPKRQYDLDTSTSTTGVSWPTKINNDANEFKDHLWSQLQSTTQWEKGLCRLTAYTSQMQCCTSHIPFIRKKEIQNRGHMYLEETKLFS* >Brasy4G070400.1.p pacid=40086591 transcript=Brasy4G070400.1 locus=Brasy4G070400 ID=Brasy4G070400.1.v1.1 annot-version=v1.1 MDDVSRYAHSPAHLAVARRDHAELRRLVAALPRLPRAGEVSTEEQSIAGEGVADAVSAVIDRRDVHRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELLPGSLIVLSHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQADLVPHVNWRRQERTEAVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRSTELESELDEVLTAEERKQLDSALRMGNQDEESEDRAEEGDKGAENLDANGVGKDKKGWFGWSGKKGAKNDEKPPKAVSKDESGDPGKGKEKGNGKKKKAASSGDSNKLESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKSKESEGSASWYSWVRGGRGAQSSDSGDSRNWKDEVDPFHIPSEYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSSEGAQHPMMDGFE* >Brasy4G082600.1.p pacid=40086592 transcript=Brasy4G082600.1 locus=Brasy4G082600 ID=Brasy4G082600.1.v1.1 annot-version=v1.1 MTSSSPLLLLVLILALSSSSSALFAAARAGASYHGGRLTHIRMFMHETFAGPNATLITAVPSPVGSDATFGSVGVLDNEMRDGRDRKRSALLGRFQGVFAGAGQVSPPGLASAINLVFTAGEHRGSTLAMLGPVLAFGVPIERALVGGTGKFRMARGYCVSAS* >Brasy4G401300.1.p pacid=40086593 transcript=Brasy4G401300.1 locus=Brasy4G401300 ID=Brasy4G401300.1.v1.1 annot-version=v1.1 MSISMGAFQLIRRTEMQWQWEHFNWYLPGSLLNWFQFLMHVRCLWDGNDQALQKYQRPDFQVLMVRSCSGGWLIVYSLSPTKFQFKSVPIFMAEGVVVMFFYAFIPQNISD* >Brasy4G060000.1.p pacid=40086594 transcript=Brasy4G060000.1 locus=Brasy4G060000 ID=Brasy4G060000.1.v1.1 annot-version=v1.1 MSAARLLPLLRRRLAGVLAQPPAPSSQGFVFPSPTTAGLRSLQTIIEATNNAPNEPCQDLEDSKNATPPATAPAAESSFKVRDASSLKISPRHDLAMIFTCKVCETRSMKMASRESYENGVVVARCGGCNNLHLMADRLGWFGQPGSIEDFLAEQGEEVKKGPTDTLSFTLEDLTGSQVNAKDTSDQN* >Brasy4G295500.1.p pacid=40086595 transcript=Brasy4G295500.1 locus=Brasy4G295500 ID=Brasy4G295500.1.v1.1 annot-version=v1.1 MVRTWVRQVRDLAYDVEDCVEFVVHLDKKSAWWWRVVPSCMAPPLPLDKAIADIKQLKARVEDVSQRNTRYSLISDSGSKPVTQPAAVVGAGAAASFDIFTEVKEAARKQRGHLGDLTKLLITSEDSDHRQVISVWGTGGDLWTSSVIRNAYDDPEVRRKFTNRAWVKLTRPFDLDDFLWSLRTR* >Brasy4G311800.1.p pacid=40086596 transcript=Brasy4G311800.1 locus=Brasy4G311800 ID=Brasy4G311800.1.v1.1 annot-version=v1.1 MDMPSLLASSSDDETKALNALLDAFSCAFSLEDIADAYCRANGDVNKAGDFLTELLMPQGNAVDPSVDTNFPQIGKVVEENYMENSNQTRCLSHIEKAAEESYVGNSSQIRPREKSQKSSASFGTVSSMLGKGSACVTTAPVSRASEKDKPLKVELPEYMREDLKTDESDSAPKRETLNNRDVEEFLFSMLGEGFKLSMEVIREVLGSCGYDIKRSMDELMSFSPKDLCKNSENGNIVIQDMAVESSFSKGSCLGSQNTPSGYSLREDKHKPRVQISPGELLESMFTVPERSEEEPKGRRYELGANRKRVPDRKPVLKPLDDISSSSTDLPVKIIVCSKEPVVRDEGDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQEEVEYLLNEGKNYYRMARLSDEKSAGEITKSMQDSKNELRLDLRSQDAANVANLLRLHLKQLANIPSFEYLRVIIGVDDGTFKMGQRRRKVEKFLEKKSVQWTEDELNPGTILIPINQVKDQQV* >Brasy4G311800.2.p pacid=40086597 transcript=Brasy4G311800.2 locus=Brasy4G311800 ID=Brasy4G311800.2.v1.1 annot-version=v1.1 MDMPSLLASSSDDETKALNALLDAFSCAFSLEDIADAYCRANGDVNKAGDFLTELLMPQGNAVDPSVDTNFPQIGKVVEENYMENSNQTRCLSHIEKAAEESYVGNSSQIRPREKSQKSSASFGTVSSMLGKGSACVTTAPVSRASEKDKPLKVELPEYMREDLKTDESDSAPKRETLNNRDVEEFLFSMLGEGFKLSMEVIREVLGSCGYDIKRSMDELMSFSPKDLCKNSENGNIVIQDMAVESSFSKGSCLGSQNTPSGYSLREDKHKPRVQISPGELLESMFTVPERSEEEPKGRRYELGANRKRVPDRKPVLKPLDDISSSSTDLPVKIIVCSKEPVVRDEGDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQEEVEYLLNEGKNYYRMARLSDEKSAGEITKSMQDSKNELRLDLRSQDAANVANLLRLHLKQLANIPSFEYLRVIIGVDDGTFKMGQRRRKVEKFLEKKSVQWTEDELNPGTILIPINQVKDQQV* >Brasy4G311800.3.p pacid=40086598 transcript=Brasy4G311800.3 locus=Brasy4G311800 ID=Brasy4G311800.3.v1.1 annot-version=v1.1 MDMPSLLASSSDDETKALNALLDAFSCAFSLEDIADAYCRANGDVNKAGDFLTELLMPQGNAVDPSVDTNFPQIGKVVEENYMENSNQTRCLSHIEKAAEESYVGNSSQIRPREKSQKSSASFGTVSSMLGKGSACVTTAPVSRASEKDKPLKVELPEYMREDLKTDESDSAPKRETLNNRDVEEFLFSMLGEGFKLSMEVIREVLGSCGYDIKRSMDELMSFSPKDLCKNSENGNIVIQDMAVESSFSKGSCLGSQNTPSGYSLREDKHKPRVQISPGELLESMFTVPERSEEEPKGRRYELGANRKRVPDRKPVLKPLDDISSSSTDLPVKIIVCSKEPVVRDEGDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQEEVEYLLNEGKNYYRMARLSDEKSAGEITKSMQDSKNELRLDLRSQDAANVANLLRLHLKQLANIPSFEYLRVIIGVDDGTFKMGQRRRKVEKFLEKKSVQWTEDELNPGTILIPINQVKDQQV* >Brasy4G311800.5.p pacid=40086599 transcript=Brasy4G311800.5 locus=Brasy4G311800 ID=Brasy4G311800.5.v1.1 annot-version=v1.1 MDMPSLLASSSDDETKALNALLDAFSCAFSLEDIADAYCRANGDVNKAGDFLTELLMPQGNAVDPSVDTNFPQIGKVVEENYMENSNQTRCLSHIEKAAEESYVGNSSQIRPREKSQKSSASFGTVSSMLGKGSACVTTAPVSRASEKDKPLKVELPEYMREDLKTDESDSAPKRETLNNRDVEEFLFSMLGEGFKLSMEVIREVLGSCGYDIKRSMDELMSFSPKDLCKNSENGNIVIQDMAVESSFSKGSCLGSQNTPSGYSLREDKHKPRVQISPGELLESMFTVPERSEEEPKGRRYELGANRKRVPDRKPVLKPLDDISSSSTDLPVKIIVCSKEPVVRDEGDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQEEVEYLLNEGKNYYRMARLSDEKSAGEITKSMQDSKNELRLDLRSQDAANVANLLRLHLKQLANIPSFEYLRVIIGVDDGTFKMGQRRRKVEKFLEKKSVQWTEDELNPGTILIPINQVKDQQV* >Brasy4G311800.4.p pacid=40086600 transcript=Brasy4G311800.4 locus=Brasy4G311800 ID=Brasy4G311800.4.v1.1 annot-version=v1.1 MDMPSLLASSSDDETKALNALLDAFSCAFSLEDIADAYCRANGDVNKAGDFLTELLMPQGNAVDPSVDTNFPQIGKVVEENYMENSNQTRCLSHIEKAAEESYVGNSSQIRPREKSQKSSASFGTVSSMLGKGSACVTTAPVSRASEKDKPLKVELPEYMREDLKTDESDSAPKRETLNNRDVEEFLFSMLGEGFKLSMEVIREVLGSCGYDIKRSMDELMSFSPKDLCKNSENGNIVIQDMAVESSFSKGSCLGSQNTPSGYSLREDKHKPRVQISPGELLESMFTVPERSEEEPKGRRYELGANRKRVPDRKPVLKPLDDISSSSTDLPVKIIVCSKEPVVRDEGDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQEEVEYLLNELLST* >Brasy4G336600.1.p pacid=40086601 transcript=Brasy4G336600.1 locus=Brasy4G336600 ID=Brasy4G336600.1.v1.1 annot-version=v1.1 MGTVRLKLLHAPCLGFAGAGSHRPAPNKQVKQAWAVRIPLRFGPDRAESVMTRPGPGLCWPEPAHSGVRNKTNLTVCSKKKNLTDAFASSLVFLAVPSTSLDFAHHFCRLAADKGRPETSLSRERRGGKQTHARE* >Brasy4G292900.1.p pacid=40086602 transcript=Brasy4G292900.1 locus=Brasy4G292900 ID=Brasy4G292900.1.v1.1 annot-version=v1.1 MADDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGAPVPSSYNSVPPPPDEIQLAKQRAQEIAARIFSAAEAKRPRVDNGDDDVGAGSGGGSLGGGGRIGGGGLGFSSSAGGGHGSNILPLSSQGSTHQYSSYGGYQSGSTTKKIDIPNGRVGVIIGKSGETIKHLQLQSGAKIQVTRDMDVQPGSQTRSVDLSGTPEQISRAEELIRDVLAEADAGSSGTVSNRKYNAPQPGAEQFQMQIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPPGDTSTERTLYIDGTTDQIEIAKQLVAEVTSENRARNPMSGGYSQQGYRPPRPQGNWGAGAPPTQQPGYGYMQPGAYPGAPPQYGQPPYGGYPPASGGYQTGWDQSSNQQSQQTPPGTGYDYYNQQPQPQQQQSAPGTAAPTEASSYNYNQPATYASQGYGDSTYSQQSGGQQAYDYSAYQTQGQQQQAYSQQTGYDQQSYGATGYGSAANSTQEGSAPSYGGPGGTTQASPGQQASTPATGSHPGYSSQPPTSAAASYPAQGSAPQSGYVAPQTQPGYGTQAPPQGGGYGQGAYGQSPQGQKPPASAPYGQAPPAGSAQGYGQYGYSQPGYGAPPPYPGAPPASHPGYGQQQSYGDPYGTGSYGQHTAYSTEATAPAASQDQSAVAPVPTAATAAPAPDNGGGAQASPS* >Brasy4G005600.1.p pacid=40086603 transcript=Brasy4G005600.1 locus=Brasy4G005600 ID=Brasy4G005600.1.v1.1 annot-version=v1.1 MASQPPPSPPPSMAATGDAAPSGLFSGVWSRLHAVASGWRQRRGEASGEGGNEEEETVRSRLVRRAAAARWVGRKLAFVSFNLEVLVFVYAFWRARRRSFWRARRWSWRQPIQALLMLVIPALATLIYAAFVHFTRMLDLKDKKRLERLQEEQQKIDSEPREFDQNNQRNIQNCDDMNDASNSLVATDSMAQTPKLSKQRQSSINLRDDVQADLAWGHSKDFQPMPSDGLRQRRFSSGKTYTTNSSAIESSEERTQKTPSLSAHSNQHVHVGDNHRSRSAISSMLAVPANIPHELPAGDGEEEGFGGLCDTVDTRSSPSKENPTSPVGSHNSFRDSDDSRLSEHGISSLPPVELHKVSEEHSLSLPENLEFSTVFIEEAPASPPEYFVAHSILNALSLNPSDVVLSTSGTSEKLLAEGVKEESLLESYKLAPLHTYPLTSENIPTPCSVVDSGVIITSDEETYPLPSVCSNVRSSAAIVNIDTVSPTLNLLPGLLDQGVEDLELSGFHVAEENMTLSNFVEEVSICPHAVNNIEHCLETPEFSLSGHGTEKMKVAENVRELAEEGGEDAREKETCELYTEKTLVKLEDEAPQSEHVVSTTDKWLETSEFSLCSQDAKMTEVLGIVSSVTVSPELNYPAFPELLAKGDEDSKEDETSDLHLNEQKGLPFNLENEPFLDTLVVDAAEDSLVSPEFLLCSEEVKMAELHEVSEEVLSESEDEGAFNHRKLVVASPDDSSNTENLVSNSMSAQCVPDVIVKEVLQGDQEALSALPHESTCHSEEIFLSSGEINNDKVEVQSPSGGQGGLPKSEDEIAFTSLDIPNLLDEVISTEILPDNSGSSECIPDSYGIQSPRDREHAPSETLQEVLLDGSLASSDEGINSEIFSLYSRSSSCVSDVKIPEILRGGTSSEPENDLEFSFDERHPVIFPNMDCTENDANNPRTAEFILEANMFETLNVAEEATAGSLHEVSSNFFGTLVAPDVSNGTRQSDKQLDLLSSSFTPVVDAFEPLQTGQGFSEMQFENDFTFQETKMSPKEVNNAENYLANTSDDDQDGDKTSTVALQTAEPRLSESQYEDIFTLQRTYMSPEDISDTQTYLSNDYASDLPQTVESHCPSEKESPQDPLSFEEILVLPDGVSEAAKDLGSVKSPSCSKEVNLAVDVPETILAETLQGADRLSSEILYDGIFSFEGTSISLDGDNDVEKISNNSGSAVHTAQINTTSLLGLQEGSLKHEDEKAINYISPYKVDTEETNSSNRGNSVSASSCNDISIMEAPQELPTNAGSEKVFSKDKEPKEAEPEEMKEDLEDLDGDHVNIPIDPFGVDEITTALVPMHSVEIYAQDATWRDSAKGTSSNNTDNSTVSELADMQYTESVGDDTEPISTPLPSSVATDTQSRSNDMTKRVSVEHPQKSV* >Brasy4G018000.1.p pacid=40086604 transcript=Brasy4G018000.1 locus=Brasy4G018000 ID=Brasy4G018000.1.v1.1 annot-version=v1.1 MKQPTRKVQEEAATYASRQQTDTEMSLALLGGYSSAEDDEPAAGAGAELSDSGGSSLEESGSDGEEESAAPKPAAKPRRRANPSSGRDGGGGDSSLPSALDVFAEISGPPDFLNRRVAEPEEAREALGVLDRRSKEGRKLPPPGAVVVAKPQMVAIRERVSSDMKNGANPPGAVVKAKPQLVATHERVSSDTNSGADPPGSIEGKRKIGATNPGPEDAADLLRMCLQCGIPKTYSHAQGMVCPVCNDRPAQTKEPEKKKGSGIKDKEKVKRMRGQSSHASWKSETEMALRQQFD* >Brasy4G058700.1.p pacid=40086605 transcript=Brasy4G058700.1 locus=Brasy4G058700 ID=Brasy4G058700.1.v1.1 annot-version=v1.1 MNARGYRSTRTALFDGIEEGGIRASAYSSHEIDEHENERAIDGLQDRVSILKRLSGDINEEVEAHNRMLDRMGNDMDTSRGFLSGTVDKFKTVFETKSSRRMGTLVASFVALFMLVYYLTR* >Brasy4G333900.1.p pacid=40086606 transcript=Brasy4G333900.1 locus=Brasy4G333900 ID=Brasy4G333900.1.v1.1 annot-version=v1.1 MTHLHQASSCRHGRRGRRLRHVRLGSLLRLRVRLFRLVGMVARCLEELNWCPRRRSTRSAGRPAAVPAAHRENSASFHADAIADCLEFIKRSYVKPVQDDHHRASTDC* >Brasy4G071800.1.p pacid=40086607 transcript=Brasy4G071800.1 locus=Brasy4G071800 ID=Brasy4G071800.1.v1.1 annot-version=v1.1 MSGAGPALLHHHHGVSIRAALPLYHGDGRFLPGSCYLSKSPILRTMSVFSVCGASPNNNRPRNLDISRQQKRGSSRGKSKPFQERDDAENNDEFDSDTVFSKNGPPVSLASNSRPQATSTPGEREKEIVELFKRVQAQLRARGKGREDKKPEPAKSQGERGSVDSLLNLLRKHSVDQRRKGSDEKEQNLDQTWRSNDSGSKQSSRIFGTKSDTQEGQKPPPASFQRPPSSFRRRSPVPGVKFQLVTNADADADAKSSVNGMADAVLKAKMPLEEETASDEPDSVSLYEPDAVISSENTSLDDFVVSDDDSYALDNDEPSEYLEPLEDPDVTDGSTSHDDSLECSSAEVPDLSLLKVTELRELAKSRGIKGYSKMKKSELVAVLSNTA* >Brasy4G440400.1.p pacid=40086608 transcript=Brasy4G440400.1 locus=Brasy4G440400 ID=Brasy4G440400.1.v1.1 annot-version=v1.1 MQIYHFYQRFSVDQKPRSEIHRHGSDDSTGTAAVGRQGDSQLVPVDDEVMHMLMDPWSRITLVSLAFPNPKRSLAIKSYHMRYKQHDKSRDPDLMRSWSSITVPILRRFRQQAGLEHVSGGDADG* >Brasy4G361000.1.p pacid=40086609 transcript=Brasy4G361000.1 locus=Brasy4G361000 ID=Brasy4G361000.1.v1.1 annot-version=v1.1 MADGDEEFPRSHGHKVGFPPEKGLLAEISDGVKETFFADEPLREYKGQPRSKKLWLGLQHVFPVLDWGRRYTLGKLKGDLVAGITIASLCIPQDIAYAKMAHLPPHIGLYSSFVPPLIYALMGTSRDLAVGPAAVVSLLIGTLLQNEIDPVKNPLEYSRLAFTATFFAGLTQALLGFFRLGFIVEFISHAALVGFMSGAAITIALQQLKGFLGIVHFTSKPDIISVMKSIWENVHHGWNWQTILIGASFLAFLLATKYIAKKNKKLFWVSSIAPLISVIVSTFFVYITRADKHGVVIIKDIKQGINPPSFHLIYFSGPYLMKGFRIGVITGMVALTDAIAFGRVFASMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSLSRSAVNYMAGCKTTVSNVVMAIVVVLTLVLITPLFKYTPIAILSSIIISVVVSLIDYESVQLIWKVDKMDFVACLGAFLGVIFASVEYGLLAAVAISFAKILLQVTRPRTSLLGNLPRTFIYMNAEQYPEAIKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEDEQQKEQGLPETELLIVELSAVTDIDTSGIHALEELLKALEKRQIQLILANPGPTVIRKLRSAKFMELIGDDKIVMSVDDAVKKFALKPAENV* >Brasy4G361000.2.p pacid=40086610 transcript=Brasy4G361000.2 locus=Brasy4G361000 ID=Brasy4G361000.2.v1.1 annot-version=v1.1 MADGDEEFPRSHGHKVGFPPEKGLLAEISDGVKETFFADEPLREYKGQPRSKKLWLGLQHVFPVLDWGRRYTLGKLKGDLVAGITIASLCIPQDIAYAKMAHLPPHIGLYSSFVPPLIYALMGTSRDLAVGPAAVVSLLIGTLLQNEIDPVKNPLEYSRLAFTATFFAGLTQALLGFFRLGFIVEFISHAALVGFMSGAAITIALQQLKGFLGIVHFTSKPDIISVMKSIWENVHHGWNWQTILIGASFLAFLLATKYIAKKNKKLFWVSSIAPLISVIVSTFFVYITRADKHGVVIIKDIKQGINPPSFHLIYFSGPYLMKGFRIGVITGMVALTDAIAFGRVFASMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSLSRSAVNYMAGCKTTVSNVVMAIVVVLTLVLITPLFKYTPIAILSSIIISVVVSLIDYESVQLIWKVDKMDFVACLGAFLGVIFASVEYGLLAAVAISFAKILLQVTRPRTSLLGNLPRTFIYMNAEQYPEAIKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEDEQQKEQGLPETELLIVELSAVTDIDTSGIHALEELLKALEKRQIQLILANPGPTVIRKLRSAKFMELIGDDKIVMSVDDAVKKFALKPAENV* >Brasy4G361000.3.p pacid=40086611 transcript=Brasy4G361000.3 locus=Brasy4G361000 ID=Brasy4G361000.3.v1.1 annot-version=v1.1 MADGDEEFPRSHGHKVGFPPEKGLLAEISDGVKETFFADEPLREYKGQPRSKKLWLGLQHVFPVLDWGRRYTLGKLKGDLVAGITIASLCIPQDIAYAKMAHLPPHIGLYSSFVPPLIYALMGTSRDLAVGPAAVVSLLIGTLLQNEIDPVKNPLEYSRLAFTATFFAGLTQALLGFFRLGFIVEFISHAALVGFMSGAAITIALQQLKGFLGIVHFTSKPDIISVMKSIWENVHHGWNWQTILIGASFLAFLLATKYIAKKNKKLFWVSSIAPLISVIVSTFFVYITRADKHGVVIIKDIKQGINPPSFHLIYFSGPYLMKGFRIGVITGMVALTDAIAFGRVFASMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSLSRSAVNYMAGCKTTVSNVVMAIVVVLTLVLITPLFKYTPIAILSSIIISVVVSLIDYESVQLIWKVDKMDFVACLGAFLGVIFASVEYGLLAAVAISFAKILLQVTRPRTSLLGNLPRTFIYMNAEQYPEAIKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEDEQQKEQGLPETELLIVELSAVTDIDTSGIHALEELLKALEKRQIQLILANPGPTVIRKLRSAKFMELIGDDKIVMSVDDAVKKFALKPAENV* >Brasy4G071400.1.p pacid=40086612 transcript=Brasy4G071400.1 locus=Brasy4G071400 ID=Brasy4G071400.1.v1.1 annot-version=v1.1 MKGLLKGLRYISQIFDPKETESEMQIGAPTDVKHVAHIGWDNASVTNPTWMDEFKGQPGGGGPEPSSQPGATGAEQPGGGAGAGKAEQSEKPRRTRGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIPDRVMTRHKG* >Brasy4G295400.1.p pacid=40086613 transcript=Brasy4G295400.1 locus=Brasy4G295400 ID=Brasy4G295400.1.v1.1 annot-version=v1.1 MKRMRLDSNGGKEIPAESSSSKLCEDLLEEIFSRLPARSAARCAALSKRWREFITAPDFWLHHHRPPPPGSSVAYVHEYAAEHDGGQKPLQHALHHVGPCSSCVLAQGFLSSSARHEEASLVYLGLAGEAQCYCLRGFGYGPGSKTYKFLVVRRRDPLSGGPLQVVSLGGEARTVFPELDGDHAFSLCIGGQVYLLDSRKRRVLVFDVDGETVRSVQLPPAAAAAGAVLCSELMPELCCADGRANPRGCALAAHGEPRLAEAVRDGSVGAWDCGGNRLLVWFRGEGLRLYDVDPRSARLPAGRWTLEDQTGRLPFPPPRIAIGNCTGAAPPVLCHFQSGRRTPERPTMRFVNVISGLVTTLLAGKELHDVHGG* >Brasy4G225000.1.p pacid=40086614 transcript=Brasy4G225000.1 locus=Brasy4G225000 ID=Brasy4G225000.1.v1.1 annot-version=v1.1 MAATGSAKGRRFAAACGVLSRCVKAAEIRTTTAEARPVSTTVALPLMPGADVPVLQDEHPAAAHAQMTIFYAGRVLVLDEFPAGRAEELLRVAAAASGAARETGVAAAAGGGDLPMARKASLQRFMEKRKARRPLQPAWRGLH* >Brasy4G325300.1.p pacid=40086615 transcript=Brasy4G325300.1 locus=Brasy4G325300 ID=Brasy4G325300.1.v1.1 annot-version=v1.1 MALARLCLNKALACRAPALARPAYAVAPGGIGPIGSLGGLNNRLLSSSVQSEGEENRRVTDRSGSVRRWPWRDLRQLVPFRLVNGLGSALSSAAEALRRPLQGKVREDEERYRLRFEVPGLGKDDVRVTVEDGALVIRGEKRVDDVRGDDGEWWSASSYGWYHASLLLPDDARADGVAAEVKDGVLYVTVPRAPAAGSRERNVTEVEVQ* >Brasy4G386000.1.p pacid=40086616 transcript=Brasy4G386000.1 locus=Brasy4G386000 ID=Brasy4G386000.1.v1.1 annot-version=v1.1 MHERSPGTPSTLAAAAVRFSLLLLLLLLLVVAGRSSSAWSWCREGEAQEVKGCSRIMGFGGDGSPATGGGISENGRFSYGFASCAGKRASMEDFYETRVDDVDGETVGLFGVFDGHGGARAAEYVKKHLFSNLIKHPQFIADTKSAIAETFTHTDSEFLKADSSHTRDAGSTASTAILVGGRLVVANVGDSRAVVCKGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNDEAVAMVRPIEDPEQAAKGLLQEASKRGSADNITVVIVRFLEGTTEVGDGPSEEVAKDQSS* >Brasy4G349100.1.p pacid=40086617 transcript=Brasy4G349100.1 locus=Brasy4G349100 ID=Brasy4G349100.1.v1.1 annot-version=v1.1 MAATAVLKVLGSARAELWLRGACACASAAAALLLGLSAQTKTVFFVRKKAVPRDVEALWVLVVAAAVAAGYHAARFLKLLCCPGSDGRGGGRAVAWISFLLDKATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYMRGVPEDTEIEDAE* >Brasy4G301200.1.p pacid=40086618 transcript=Brasy4G301200.1 locus=Brasy4G301200 ID=Brasy4G301200.1.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.3.p pacid=40086619 transcript=Brasy4G301200.3 locus=Brasy4G301200 ID=Brasy4G301200.3.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.2.p pacid=40086620 transcript=Brasy4G301200.2 locus=Brasy4G301200 ID=Brasy4G301200.2.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.8.p pacid=40086621 transcript=Brasy4G301200.8 locus=Brasy4G301200 ID=Brasy4G301200.8.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.7.p pacid=40086622 transcript=Brasy4G301200.7 locus=Brasy4G301200 ID=Brasy4G301200.7.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.4.p pacid=40086623 transcript=Brasy4G301200.4 locus=Brasy4G301200 ID=Brasy4G301200.4.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.5.p pacid=40086624 transcript=Brasy4G301200.5 locus=Brasy4G301200 ID=Brasy4G301200.5.v1.1 annot-version=v1.1 MGGIFTAALVLLVAGLVGTAIANVGDECSTSADCGAGQWCFDCEPELSGSHCVRSVATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.9.p pacid=40086625 transcript=Brasy4G301200.9 locus=Brasy4G301200 ID=Brasy4G301200.9.v1.1 annot-version=v1.1 MLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.10.p pacid=40086626 transcript=Brasy4G301200.10 locus=Brasy4G301200 ID=Brasy4G301200.10.v1.1 annot-version=v1.1 MLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G301200.6.p pacid=40086627 transcript=Brasy4G301200.6 locus=Brasy4G301200 ID=Brasy4G301200.6.v1.1 annot-version=v1.1 MLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFNEIQAFLSANPSEIVTLILEDYVSAPNGLTNVFSSSGLRKYWFPVSKMPSNGGDWPLVSDMVASNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDDGMDAGQCSNRAESAPLADKTKSLVLMNYFPSVPVKLLACLQHSKGLTDMVNTCYTASGNRWANFLAVDYYKRSDGGGVFQAMDLLNGRLLCGCQDVQACPKGSSVVCSA* >Brasy4G371900.1.p pacid=40086628 transcript=Brasy4G371900.1 locus=Brasy4G371900 ID=Brasy4G371900.1.v1.1 annot-version=v1.1 MITTLQELLLSYNSLGGSIPASLGKLQLLELLLISYADLVSTPSPELGNLTSLWYMVLSGNQLFGSLPPSFARMQELSFFDISDNRISGTIPQEMFTNWTKLEHFDMETNLLTGSIPSQISKWKEIEFLSLSNNNFTGSTPVEIGSLQNLGELSLSINMPSDIGNATSLTFLGICDNHLEGEVPATISSLVNLVVVSLCRKKFMGMIPNHGSMKLPVVQGANNTGNSRFLGESMSAFCRLTALQLLDLESNQLFGEIPGCFWNLNDLQCLDLSSNAFSGNFPTSTYNNSSLRSLHLSNNNFTGCFPAVLKNLKSLVVFDLGSNNFSGVIPPWIGVSNPMLRILGLRSNMFNGSIPWQLSQLSHLQLLDLAENKFVGSIPESFAHFSLMRQISIMQPIIIIDRVSGRLGFSVPDSMNIFWKGREFIFQRSPFVTGIDLSSNSLFGEIPLELTSLRGIQLLNMSRNYLSGSIHKEIGNLKLLESLDLSWNKLSGPIPPSI* >Brasy4G218200.1.p pacid=40086629 transcript=Brasy4G218200.1 locus=Brasy4G218200 ID=Brasy4G218200.1.v1.1 annot-version=v1.1 MEEELRALLGELDALKQRPDLAAIDRMRDRVVGMMGPDSAATAVATRSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYELIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVKGFETFLGSLTARNSHGRSTGVDLILSCVDNYEARMVVNQACNELRQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKRDGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNPACLERQKEYMQSKPERDAAAKAKMEAESAAAIEYPVHIDNEWNISVVDDSDTASSSIQRSQRSAVDSLPEGLVRELPSEDSYLEPPASATSAPIDDDLEELQRQLDALNSS* >Brasy4G394900.1.p pacid=40086630 transcript=Brasy4G394900.1 locus=Brasy4G394900 ID=Brasy4G394900.1.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSKQDVWTGLSDWLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYIIGCDLDNDLSVVEVYDVRDVQVGPFQSALESLPSQVLAVGRDTSGEIMVKTVELNGGSMVSEDRRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLTFMQKKTGLAQSKKMKVHRPEASIGEKSCRRPEVHLDFLNQEQLDLNSMGYPKLPSSLLGAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCEFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNSWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKQGKNSLENLKKAAKVGALPSHIQLAAKSLPITGTIADFPKKSRKEDLENVVGMGSATASGGKFDEKLPGEKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDRDVLEI* >Brasy4G394900.4.p pacid=40086631 transcript=Brasy4G394900.4 locus=Brasy4G394900 ID=Brasy4G394900.4.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSKQDVWTGLSDWLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYIIGCDLDNDLSVVEVYDVRDVQVGPFQSALESLPSQVLAVGRDTSGEIMVKTVELNGGSMVSEDRRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLTFMQKKTGLAQSKKMKVHRPEASIGEKSCRRPEVHLDFLNQEQLDLNSMGYPKLPSSLLGAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCEFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNSWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKQGKNSLENLKKAAKVGALPSHIQLAAKSLPITGTIADFPKKSRKEDLENVVGMGSATASGGKFDEKLPGEKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDRDVLEI* >Brasy4G394900.2.p pacid=40086632 transcript=Brasy4G394900.2 locus=Brasy4G394900 ID=Brasy4G394900.2.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSKQDVWTGLSDWLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYIIGCDLDNDLSVVEVYDVRDVQVGPFQSALESLPSQVLAVGRDTSGEIMVKTVELNGGSMVSEDRRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLTFMQKKTGLAQSKKMKVHRPEASIGEKSCRRPEAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCEFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNSWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKQGKNSLENLKKAAKVGALPSHIQLAAKSLPITGTIADFPKKSRKEDLENVVGMGSATASGGKFDEKLPGEKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDRDVLEI* >Brasy4G394900.3.p pacid=40086633 transcript=Brasy4G394900.3 locus=Brasy4G394900 ID=Brasy4G394900.3.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSKQDVWTGLSDWLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYIIGCDLDNDLSVVEVYDVRDVQVGPFQSALESLPSQVLAVGRDTSGEIMVKTVELNGGSMVSEDRRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLTFMQKKTGLAQSKKMKVHRPEASIGEKSCRRPEAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCEFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNSWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKQGKNSLENLKKAAKVGALPSHIQLAAKSLPITGTIADFPKKSRKEDLENVVGMGSATASGGKFDEKLPGEKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDRDVLEI* >Brasy4G216600.1.p pacid=40086634 transcript=Brasy4G216600.1 locus=Brasy4G216600 ID=Brasy4G216600.1.v1.1 annot-version=v1.1 MGEEEKGKEAAAEKSEEAEEKKEEGTGAEEKGEEKKEDVPPPPPEEVVMRVYMHCQGCARKVKKILKGFDGVEDVNADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPKEEKKEEEKKEEPEPPKPEEKKEPMVIAVVLKVHMHCEACAQVIKKRILKMKGVQSAEPDQKASQVTVKGVFEVAKLADYVRKRTGKHADIVKSEPVESPENAGDSNDKDEAKAAEGGEEKKDESKEEKDVGDAAGDEKEKEKEKDDTNTGDEEKDYEKDHTAMSAANLYMHHPRYSYPTGYGAPANAYPYAPQLFSDENPNACSVM* >Brasy4G056600.1.p pacid=40086635 transcript=Brasy4G056600.1 locus=Brasy4G056600 ID=Brasy4G056600.1.v1.1 annot-version=v1.1 MEAPGSPYASSPETAPKRAPRSPPPPQERPETQPEAEPEDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELVLEKLLAEGEEFNEAEARPKFRLVVPNSSCGGIIGKGGATIKAFIEDSHAGIKISPQDNNFVGLHDRLVTITGPFNNQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPAPVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPGTPVRSPATNDAQESHTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTPEAIQAAESMIMQRVSASSER* >Brasy4G073100.1.p pacid=40086636 transcript=Brasy4G073100.1 locus=Brasy4G073100 ID=Brasy4G073100.1.v1.1 annot-version=v1.1 MALGRGLKEEMEQKNMSHGTHACMHCPEEAPPTHHLHTSSCSIMHYTYTNTRCLPDSDRLQPFFVCFGLGNNTGTCMVDSGSSVG* >Brasy4G153300.1.p pacid=40086637 transcript=Brasy4G153300.1 locus=Brasy4G153300 ID=Brasy4G153300.1.v1.1 annot-version=v1.1 MGRLAVFSRVGRPASTLETRMAVAFSRIRDTTQRHCHTPHRRLPAPKHFPSRSRPPAAALSHRRLRRRRLSSPLTRRPPRRPTPPPFTTAASPPAPTDAASLHHRITVVAAVTACPGFLLHIQICKFCGIVTVESCDTR* >Brasy4G412700.1.p pacid=40086638 transcript=Brasy4G412700.1 locus=Brasy4G412700 ID=Brasy4G412700.1.v1.1 annot-version=v1.1 MASPLAASLLRVSCSSASTSTPKSAKPSSSTQAPRPTAAAPVPALRAMPPSAATMASAAAPLLLLAGALTPPEALAVGGELGILEGRSVALLHPAVMGGLFAYTLWAGYLGWQWRRVRTIQDDISALKKQLKPSAVPTAVAAGADSSESPPPPPAPKSPLEIQIDELTEERKKLVKGSFRERHFNAGSILLGLGVAESVGGALNTWMRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNDTARSLHIALNAVNVLLFVWQIPTGLEIVGKVFEFTNWP* >Brasy4G155800.1.p pacid=40086639 transcript=Brasy4G155800.1 locus=Brasy4G155800 ID=Brasy4G155800.1.v1.1 annot-version=v1.1 MAKYNVVQKNKREFNQDRKRQAHGDPNSGKLKHRNAPVSMSGKRKRKLLRRHNRDQKEAVMVKALENNMGDVEMASAEASLETAKDKSQMKFNVKNSRIQIKRLKGKGRKKAKNAKQPTKEKTDAMVE* >Brasy4G158600.1.p pacid=40086640 transcript=Brasy4G158600.1 locus=Brasy4G158600 ID=Brasy4G158600.1.v1.1 annot-version=v1.1 MDGGGGGNGSGEDGKQEKHLVLAHKLFLLSHSDVDDLSKVALRSEVLSAVKSDDMAPLFESLSAAGVLEPDASLVAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFVRVGEKEKALEQLKITEGKTVAIGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKKLFDEGGDWERKNRLKVYEGLYCMATRNFKKATSLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQSTIKQGDFLLNRIQKLSRVIDL* >Brasy4G294800.1.p pacid=40086641 transcript=Brasy4G294800.1 locus=Brasy4G294800 ID=Brasy4G294800.1.v1.1 annot-version=v1.1 MAAITNCSIALITSTNGHSAAASPTTAAPAALLLLLSLIIAFLAYFHAPFWAVRKVPGPPTRFPLGHLHLLAQHGPDVLRAVAKEHGPIFRFHMGRQPLVMVASAELCKEVGIKRFRDIRNRSAPPPTAGSPLHRDALFLARDSAWASMRSTVVPLYQPARLAQLVPTMRASVDALVDAVGQDQERVPFSQLSLRLAIDIIGKTAFGIEFGLLSKQGSNGDDEARELLGEYERSMEFMKMDLSSSLSTILGLFLPCVQAPCKRLLRRVPGTADHKMEQNERRLCRRIDAIIAARRRSSPPSPSPPPAPLDFIAALLEDSRGRVAALEDRHVRALAYEHLIAGTKTTAFTLSSLVYLVSCHRPVEEKLLAELDAFGPQSQSPDADELHTKFPYLDQIIKESMRFHLVSPLIARETSEAVEIGGYLLPKGTCVWLAPGVLARDAAQFPDPDEFRPERFASDGEEERARHPYAHIPFGIGPRACVGHRFALQQVKLAVVGLYRRYVFRHSPDMESPLEFDFDLVLGFRHGVKLRAIRRRTRED* >Brasy4G145500.1.p pacid=40086642 transcript=Brasy4G145500.1 locus=Brasy4G145500 ID=Brasy4G145500.1.v1.1 annot-version=v1.1 MPEQLCFPSRKRLRRGLWSPEEDMKLRNHIATFGHGCWSYVSKLAGLERCGKSCRLRWINYLRPDLKRVALSQEEKDLILHLHSVLGNRWSQIAARLPGRTDNEVKNFWNSFVKKKQQLRHHRAIVDGDPVKVSTGTTVLFGETEHILPSMGVQHNDDMAAPLLDPVVQIEAESYIYIQGISMDDVGERVSAQAPVAGGCSDVTLATSGHIYNGTAEFTAGYLDTRGPSGTVHPLVSSSSTLASMAGIGAGGSCCDPAATDTQNLPWLDDLPGLIGTFTDDDAAEADHGHYGAAAALDELKWSNHHCVFDTADYQQMQY* >Brasy4G176700.1.p pacid=40086643 transcript=Brasy4G176700.1 locus=Brasy4G176700 ID=Brasy4G176700.1.v1.1 annot-version=v1.1 MKSLRENDPSWPSLMLKLCRALKTSDKLLSSANTNAEQLLQKVETLERVLERGDLVVGAIVESLEDHRPSKSKPPSK* >Brasy4G129600.1.p pacid=40086644 transcript=Brasy4G129600.1 locus=Brasy4G129600 ID=Brasy4G129600.1.v1.1 annot-version=v1.1 MECENGLVGSLNGEGLCMSAPPRAAADPLNWAKTSEELTGSHLEEVKKMVAQFRMPLVKIEGATLGIAQVAAVAAGAGEARVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGSKVSAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANIQAILAEVLSAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKKQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKIMSSTFLIALCQAIDLRHLEENMKTAVRNCVMQVAKKTLSMNAMGGLHIARFCEKDLLTAIDREAVFAYADDPCSPNYPLMQKLRAVLVEHALANGDGERALETSIFAKVAEFEQNLRAALPKEVEAARASVENGTPLAPNRIKDCRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAMNQRKHIDPLLECLKEWNGEPLPLC* >Brasy4G196600.1.p pacid=40086645 transcript=Brasy4G196600.1 locus=Brasy4G196600 ID=Brasy4G196600.1.v1.1 annot-version=v1.1 MAKSCTLALLGALVALSLLVSPIACSRKLATKQVSYKPAPAGKANKNQTTSAAAYSSGGWLAAGATYYGTPNGDGSDGGACGYQSAVGHRPYSSMIAAGSTPLFLGGKGCGACYQVKCTANKACSGNPATVVITDLSPGGLYPGEPAHFDMSGTALGAMAKPGKADALRAGGVLRIQYKRVPCKYPGVNIAFRVDQGSNPFYFKALIEFEDGDGDLKRVALKQAGSNKWAGMVQDWGALWRLNNGQRLRGPFSIKLTSDSNRVLVVNNVIPANWKAGATYRSLVNYPY* >Brasy4G332600.1.p pacid=40086646 transcript=Brasy4G332600.1 locus=Brasy4G332600 ID=Brasy4G332600.1.v1.1 annot-version=v1.1 MAFIRGLAAVSRLRSRMGQDATTLGGVRWLQMQSASDLDLRSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPAAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELLARSNVPDYVYKAIDALPVTAHPMTQFTTGVMALQVDSEFQKAYDKGMPKTKFWEPTYEDCLNLIARLPQVASYVYRRIFKDGKIISADNTLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKTVMEETGSNITTDQLKEYVWKTLKSGKVVPGYGHGVLRNTDPRYSCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKKAAA* >Brasy4G343300.1.p pacid=40086647 transcript=Brasy4G343300.1 locus=Brasy4G343300 ID=Brasy4G343300.1.v1.1 annot-version=v1.1 MGEASGEMSLVLSMLDRTTTSARMRQQALPSLGHGGGVNPNLDLPPRLSASAGMQVRAQPEPIGDGELKLDLSLSLSSTAAANMRSHLPPASVVSYLQAGRAGAKYGGGDRRRQVGNFACGVCNRKFTSMQALGGHQNKHRAERSRAMRRCLSSAVGPNAIQVGNFACGRCNRKFTSMQALGGHQNKHIAERTRAMRRCLSSALGRNAGAGSSFHPSTSSPTPVVSWT* >Brasy4G083100.1.p pacid=40086648 transcript=Brasy4G083100.1 locus=Brasy4G083100 ID=Brasy4G083100.1.v1.1 annot-version=v1.1 MTRVLRGYIDRRRRTKMRSFASNFRGPHLRLSRMIAQQLKRAFVSSHRDEGRQKRDFRRLWITRINAARRIFKVFDSYSKLIHNLYK* >Brasy4G408000.1.p pacid=40086649 transcript=Brasy4G408000.1 locus=Brasy4G408000 ID=Brasy4G408000.1.v1.1 annot-version=v1.1 MGGCFSTNNSATPAAERRRRRRRRQRAASPEKGSGGAEGAARVVEFGYERDFEGRYEVGRLLGHGQFGYTFAATDRGSGDRVAVKRIDKAKMNRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWPSISTSAKDFVKRLLVKNPRARLTAAQALSHPWVREGGDASEIPVDISVLYNMRQFVKYSRFKQFALRALASTVNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMVQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPTGVPNPQAL* >Brasy4G240100.1.p pacid=40086650 transcript=Brasy4G240100.1 locus=Brasy4G240100 ID=Brasy4G240100.1.v1.1 annot-version=v1.1 MRGLSGWFGGWQLQASREGLRTAGAGRGGEVGRGAPRGEETAAAAGEEKEEEEEVFEAGGKRFFFFLLTPPAFPSPAPCGRRAPLARRRHPSSFPPPAGAAASSPPPPRRPPPPRGPPLPCLSPPLPSLSLASRPRRSPHSSRRPRAPDAAPADVARPLPVRLFRVCATSRAGSLFPLDLGAWPPGWLRLAGRCKFVMAS* >Brasy4G308600.1.p pacid=40086651 transcript=Brasy4G308600.1 locus=Brasy4G308600 ID=Brasy4G308600.1.v1.1 annot-version=v1.1 MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFMTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKD* >Brasy4G360800.1.p pacid=40086652 transcript=Brasy4G360800.1 locus=Brasy4G360800 ID=Brasy4G360800.1.v1.1 annot-version=v1.1 MSSAPAPGAAFDRYVQRGGAAAAAAASGNTVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEQESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPRHGVHGQISTQLACNFSSPWVW* >Brasy4G360800.4.p pacid=40086653 transcript=Brasy4G360800.4 locus=Brasy4G360800 ID=Brasy4G360800.4.v1.1 annot-version=v1.1 MSSAPAPGAAFDRYVQRGGAAAAAAASGNTVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPRHGVHGQISTQLACNFSSPWVW* >Brasy4G360800.2.p pacid=40086654 transcript=Brasy4G360800.2 locus=Brasy4G360800 ID=Brasy4G360800.2.v1.1 annot-version=v1.1 MSSAPAPGAAFDRYVQRGGAAAAAAASGNTVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEQESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPR* >Brasy4G360800.3.p pacid=40086655 transcript=Brasy4G360800.3 locus=Brasy4G360800 ID=Brasy4G360800.3.v1.1 annot-version=v1.1 MSSAPAPGAAFDRYVQRGGAAAAAAASGNTVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPR* >Brasy4G360800.7.p pacid=40086656 transcript=Brasy4G360800.7 locus=Brasy4G360800 ID=Brasy4G360800.7.v1.1 annot-version=v1.1 MVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEQESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPRHGVHGQISTQLACNFSSPWVW* >Brasy4G360800.8.p pacid=40086657 transcript=Brasy4G360800.8 locus=Brasy4G360800 ID=Brasy4G360800.8.v1.1 annot-version=v1.1 MVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPRHGVHGQISTQLACNFSSPWVW* >Brasy4G360800.5.p pacid=40086658 transcript=Brasy4G360800.5 locus=Brasy4G360800 ID=Brasy4G360800.5.v1.1 annot-version=v1.1 MVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEQESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPR* >Brasy4G360800.6.p pacid=40086659 transcript=Brasy4G360800.6 locus=Brasy4G360800 ID=Brasy4G360800.6.v1.1 annot-version=v1.1 MVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNTLPQRSGELNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEVRINAMRSAISETFPEPNRRLLQRILKMMYTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEQYESIFDDEHLVRSSPSPESQIEDSGSEASTDDVNMDVKGNGFHDAENDVEQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAHADDSDAEHSVDDKALEEKADLSKGPKIHSTENGSANMETLPSDKNPSNPTSGHETPLSMGEILSALDPGISLPSHSSEYSADRHSNKTNGSHPHVKRSNFWGRNNARKNLHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDANDRFHRIPGHFPQQNFVQPGFDMNLAFCNQEKQRNEESSMDASQWRNIKQHVLPYGSSRPMTRKLSLDASSSDSRGMEASTRMSSESTSVAINVPKLAEAVEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPR* >Brasy4G348600.1.p pacid=40086660 transcript=Brasy4G348600.1 locus=Brasy4G348600 ID=Brasy4G348600.1.v1.1 annot-version=v1.1 MQGIGRYWGVGGRRCGSCGGSPATSHCRTCPADAYLCAGCDAAHARAGHERVWVCEVCELAPAAVTCRADAAALCASCDADIHDANPLALVAPGATSASPSAPSAPPKTTMLMVSARRW* >Brasy4G188800.1.p pacid=40086661 transcript=Brasy4G188800.1 locus=Brasy4G188800 ID=Brasy4G188800.1.v1.1 annot-version=v1.1 MDISDSEEEKDVTSGPSKMREKKIHKVRYDLLEKSMNHVDQQAVCKLKIPSNVPLRYDSAVGVNPWTALNVKQPAQDTYCVTVMREVLHKNILHAKVVMEKDNHLLVWSDPYSGKLSKCLESKEYYIPNNTRSYARQVIPLPKLQSIVSQILDGMEGLWSYGKYHGNFRLDNTYYYKPRQSDIIVKLANFECNGTTMSVLYQIEDLRAMSVGLDRISEIVDGLIQEGFWFECSQLEDLATKLKEVSPGDFCYAMREIRKRPFFWTRAERKYFFASQVPLALHNPSFRAKIEKQEKLCNLPWGESFNGFLEVMVTYRKEQNMDAYDYNSRVSYVQFISGLYTHEFFVKLQFACVDTTVMKENPGLCVQLYSLLPPPPRYGVN* >Brasy4G188800.2.p pacid=40086662 transcript=Brasy4G188800.2 locus=Brasy4G188800 ID=Brasy4G188800.2.v1.1 annot-version=v1.1 MDISDSEEEKDVTSGPSKMREKKIHKVRYDLLEKSMNHVDQQAVCKLKIPSNVPLRYDSAVGVNPWTALNVKQPAQDTYCVTVMREVLHKNILHAKVVMEKDNHLLVWSDPYSGKLSKCLESKEYYIPNNTRSYARQVIPLPKLQSIVSQILDGMEGLWSYGKYHGNFRLDNTYYYKPRQSDIIVKLANFECNGTTMSVLYQIEDLRAMSVGLDRISEIVDGLIQEGFWFECSQLEDLATKLKEVSPGDFCYAMREIRKRPFFWTRAERKYFFASQVPLALHNPSFRAKIEKQEKLCNLPWGESFNGFLEVMVTYRKEQNMDAYDYNSRVSYVQFISGLYTHEFFVKFACVDTTVMKENPGLCVQLYSLLPPPPRYGVN* >Brasy4G326300.1.p pacid=40086663 transcript=Brasy4G326300.1 locus=Brasy4G326300 ID=Brasy4G326300.1.v1.1 annot-version=v1.1 MTDGEDAAAARRRSAVTEYRKKMLLCREIESRVGVARENLKNAKKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE* >Brasy4G283700.1.p pacid=40086664 transcript=Brasy4G283700.1 locus=Brasy4G283700 ID=Brasy4G283700.1.v1.1 annot-version=v1.1 MDGGFPIGQVVAHDFFLGFRRQRQRQRHLIDVIDPTRLPSRRAAAYGSRPLPLSRLGERPTGSPGTLASSPPPNHRRLSRPRGALSYLTEARRARSLRPDRRDGPPLEHLRALTVRTHLYPPPPPPPPPPKLVASGLSLPS* >Brasy4G034700.1.p pacid=40086665 transcript=Brasy4G034700.1 locus=Brasy4G034700 ID=Brasy4G034700.1.v1.1 annot-version=v1.1 MSSGACHSGLPAPPLPNPVAACLRLRASAATPPSPYRCRAAPQPPRSVSRLPPHASASTAVRCRFLSWLKLIRHDVRVQSSNVNIGAGSYQGDEAGNHGEQLDNSGTKSSNEPTKPFPGYHYLQAIAAVLLLCALASAFIVFFKGQPSAVVAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSMAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDAWALPDNANGDLEEKSESGELAEAEELVKEKVSQKLTSPLAVLWKSFSLVFFAEWGDRSMLATIALGAAQSPLGVASGAIAGHLIATLLAIVGGAFLANYLSEKLVGLLGGVLFLLFAAATLLGVF* >Brasy4G288900.1.p pacid=40086666 transcript=Brasy4G288900.1 locus=Brasy4G288900 ID=Brasy4G288900.1.v1.1 annot-version=v1.1 MASGEGSPGHPKTMEVGGEAVVEVPEADLCLDLTSCQLHDLSEVEIPLSLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDDAVAPLSTWDAIAGLQELVLRDNKLTKIPDASIFKGLLVFDVSFNEISSLNGLSKVSSTVKELYVSKNEVAKMEELDHFHALELLELGSNRLRVMENLQTLTNLQELWLGRNRIRTINLCGLKSIKKLSLQSNRLTSMNGLQECVALEELYLSHNGIQEMEGLSTLQHLRVLDVSSNKITAIEDIETLTRLEDLWLNDNQIPSLDGIESALSGSREKLTTIYLERNPCAKTPNYASTLKKMFQNLEQIDSDMLA* >Brasy4G288900.2.p pacid=40086667 transcript=Brasy4G288900.2 locus=Brasy4G288900 ID=Brasy4G288900.2.v1.1 annot-version=v1.1 MASGEGSPGHPKTMEVGGEAVVEVPEADLCLDLTSCQLHDLSEVEIPLSLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDDAVAPLSTWDAIAGLQELVLRDNKLTKIPDASIFKGLLVFDVSFNEISSLNGLSKVSSTVKELYVSKNEVAKMEELDHFHALELLELGSNRLRVMENLQTLTNLQELWLGRNRIRTINLCGLKSIKKLSLQSNRLTSMNGLQECVALEELYLSHNGIQEMEGLSTLQHLRVLDVSSNKITAIEDIETLTRLEDLWLNDNQIPSLDGIESALSGSREKLTTIYLERNPCAKTPNYASTLKKMFQNLEQIDSDMLA* >Brasy4G118100.1.p pacid=40086668 transcript=Brasy4G118100.1 locus=Brasy4G118100 ID=Brasy4G118100.1.v1.1 annot-version=v1.1 MPSTSVHPAGARSLLVLVATLSLALPVAASCLPEERDALLAFKDGITSDPGGVLASWRRGGQEDCCRWRGVRCSSNKTGHVLALRLRNVPPGGPELDDRGYYAGTALVGRISPSLLSLSRLRHLDLSRNYLEGSPDAAAGCALPAFLGGLRSLRYLNLSGIYFSGEVPPQIGNLSRLHTLDLSSDFDAQLMRSSDLSWLERLPLLQHLSLGSVDLSRARDWHRAVSMLPALKTLRLSSCSLPVSVRRSNPPLLFRNFTNLEELDLSMNQLEHPAEASWFWNLTSLTSLNLMGTLLYGQLPDALDAMVSLEILDFSYNGNMATMPRSLKNLCNLRYLDLDSSLADGADIGEMLESLPQRCASSRLQELYLPNNGMSGNLPDYTRLMHLTGLRVLDLSYNNITGYIPPSLGNLTSLATLDISSNNLTGLIPTGQGYFPSLSTLVLSSNYLIGNIPAEIGFLASLTTLDLGDNCLTGPVPSQISMLSNLTYLDLSLNSLVAVITEEHLASFVNLKKLDLSQNLLVKVEVNSKWKPPFSLHEATFGSCLMGPLFPAWLQWQVELFYLDISSTGINDRLPDWFSSTFSKVVDLDISNNSLYGELPADMEAMSLIEAYLSLNKLTGHVPRLPRNITVLDISMNSLSGPLPSLGASRLRVLILFSNRIVGHLPVSICEARSLAILDLANNLLMGELPSCSAMEAVRYLLLSNNSFSGTFPPFVQSCTSLGFLDLAWNSLTGTLPMWIGNLMQLQFLRLSHNMFTGNIPIVITKLKLLHHLNLAGNDISGSIPWGLSNLTAMTQKAGKVGSFPYQGYADVVGEYGNSLSAVTKGQDLNYGVGILQMVSIDLSFNSLTGIIPEEIASLDALLNINLSWNHLSGKIPDNIGAIKSLESLDLSKNMISGEIPSSLSSITYLSFLNLSENNLAGRIPPGSQLDTLYQEHPSIYDGNSGLCGPPLQKICLTNDITKQDGQKRSKHGFEPMSFYFGLGLGLMLGLWLVFCILLFKKAWRIAYFRLFDKLYDQIYVLVVVKWNSLTRAGTAN* >Brasy4G189100.1.p pacid=40086669 transcript=Brasy4G189100.1 locus=Brasy4G189100 ID=Brasy4G189100.1.v1.1 annot-version=v1.1 MDLNFERYKLGYRKCSPPWECLVTDLKVKHEDLDKTIMKYYEGTYAMGPIISNCLLEKFPQDSLQKQEEPPLNSSKRKEKKLALDKHKYRSYCLLKNLRHSNIVTIENFYDENGQPRFVLSWVDGSLSAWLKTEGAGKIFKSSLRGSVPTGSLRQMIIDLCSGLEHLLSDGPYPSKIGIEDIYVRKLGNKWIAQLLITDAEELDGGVQVRKDKLWADMRNVLKDICKEAKAAIDPISLRFMDYIGVTDVTRLQHYPDNWTTREKAHYLFSIFADNISVVQPKVQGVGIEWPRTPKQRLRSPFYEMVKSEEIRRGRATYNESDPYDYLRLCKNMIKHWRTLPDSVKAECDRWEQIIDKMEKENSKIWCILYEVLCDKGRKL* >Brasy4G208600.1.p pacid=40086670 transcript=Brasy4G208600.1 locus=Brasy4G208600 ID=Brasy4G208600.1.v1.1 annot-version=v1.1 MASETVVLKVAMSCEGCSGAVKRVLTKMQGVETFDIDMKEQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAEATSAPAPAAETTPEAPPANTDA* >Brasy4G107900.1.p pacid=40086671 transcript=Brasy4G107900.1 locus=Brasy4G107900 ID=Brasy4G107900.1.v1.1 annot-version=v1.1 MSLGFVLIRFMSYPIAVSFIVVSQCQLVKGKGVATKNTFPRFPRHRAHILHAAAELASGKNKRHPIARASGRGTTKSSLFCIARICSSLPAAVVAAAVATMGLEMEPMAAAIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLSGAALSYLSFGATSNLLFVVPMALGYLAMLLCRRQAGLVTFLGALGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGLLKEEGLRDAQKKYRLAKLPSLVEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASSTPSPLLPTLRALVQAGICMSLYLYLSPRFPLSRFSEPLYYEWGFWHRLFFQYMSGFTARWKYYFIWSVSEASVIISGLGFTGWSDSSPPKAKWERAINVDILGVELAGSAVQLPLKWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYMIFFVQSALMINGSRVIYRWQQAVSNSVLHTILALLNFAYTMMVLNYSCIGFQVLSFQETLASYKSVYYVGTIVPVLCVLLGYVIKPARPVKPKARKAE* >Brasy4G382300.1.p pacid=40086672 transcript=Brasy4G382300.1 locus=Brasy4G382300 ID=Brasy4G382300.1.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.6.p pacid=40086673 transcript=Brasy4G382300.6 locus=Brasy4G382300 ID=Brasy4G382300.6.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.2.p pacid=40086674 transcript=Brasy4G382300.2 locus=Brasy4G382300 ID=Brasy4G382300.2.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.4.p pacid=40086675 transcript=Brasy4G382300.4 locus=Brasy4G382300 ID=Brasy4G382300.4.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.7.p pacid=40086676 transcript=Brasy4G382300.7 locus=Brasy4G382300 ID=Brasy4G382300.7.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.5.p pacid=40086677 transcript=Brasy4G382300.5 locus=Brasy4G382300 ID=Brasy4G382300.5.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGFISIDCGIPLNSSYQDSVTTIVYVSDYGFVTSGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G382300.3.p pacid=40086678 transcript=Brasy4G382300.3 locus=Brasy4G382300 ID=Brasy4G382300.3.v1.1 annot-version=v1.1 MNLCVAVILFYIFQFVHGQPDYRGENHGISSDYIKPSLANLNFNVRFFPHGPRNCYTLRSLVAGNKYLVRASFCYGNYDGLNRPPVFDLYIGANYWHEVNISNAGAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLKTTLYPEVNSSQSLVLINSSRFNLGPTDNSIIRYPLDPHDRLWSTYDTIPNWNEISATSVIQNHLTDVHDVPLAVMQNAVTVNGSRMDFSWDPSENISSSYFFIFYFAELQNVPSNAIRQFDIIINNKTWNTQPYSPPFLFADSFSGIVQGLASYSVSLVATKNSTLPPILNAMEMYLVKPITEVATDSGDARAMMAIQENFGVKKNWMGDPCAPKAFAWRGVNCSFSPAYPSRITALNLSSFGLAGPISTDFRDLDKLQYLDLSDNNLSGTIPTFLGKLPLLVFLDLSSNDLHGPIPYDLLQKSQNGSLSLRVGNNENLCGSDTTCGSGQKKINGAVLAAIVAPIVAVVALFAILFLLLQQKLKGKAKRKAAGPKDESGLLENREFSYRELKYITSNFSQEIGKGGFGAVFLGYLGNGNPVAVKVHSDSSSQGGKEFLAEAQHLTRIHHKNLVSLVGYCKDKNHLALVYEYMPEGNLQDHLRGSTSKPLTWERRLQIALDAAQGLEYLHFACKPALIHRDVKSRNILLTAHLEAKVADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHINEKSDVYSFGVVLLELITGRPPVIPISDSVCIHVGEWVHQGLDHGNIASIVDAKMRGDYDVNSVWKAADLALHCKREVSRDRPTMAEVVARLRESLELENRRDQRQSLGSDGSSCPGEAEEEQGGEISVAASGPAKR* >Brasy4G322500.1.p pacid=40086679 transcript=Brasy4G322500.1 locus=Brasy4G322500 ID=Brasy4G322500.1.v1.1 annot-version=v1.1 MGRRLWACGCLCAGWAPTRWTRPGRRRSGPGGKRGTGKIPVEGHPAVFVPELGRLLGFCPERRCLCACDMDARPPVVREAWGETWPWECVRMGYGVDNAGSVVYLGDGRAVELRLQDDRRRVEMVKRSIRCYELSKYARRGYALQPST* >Brasy4G431700.1.p pacid=40086680 transcript=Brasy4G431700.1 locus=Brasy4G431700 ID=Brasy4G431700.1.v1.1 annot-version=v1.1 MTLMEARDGALPCSSYEALPDAEAAQPGRGRRRASGGAVCAAAVLLTTAAVLLSLAALAGVRLAGQLPANGGVLEEQPPAAVLGSRGAEAGVSEKTSGAAVESGGRLGAGAGEENAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPSGAIWGNKIAWGHAVSRDLLRWRHLPIAMLPDQWYDINGVWTGSATVLPNGTLAMLYTGSTNASVQVQCLAFPSDPEDPLLIEWTKDERNPVMYPPAEIGERDFRDPTTAWHDPEDDTWRIVIGSKDAHHAGIAMTYKTNDFVNYDLVPGLLHRVPATGMWECIDLYPVSGKHGVDMTAAMAASSNEGGGEETVYVMKASMDDDRHDYYALGKYDAKANKWTPLDEEADVGIGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSLPRTVVLDTKTGSNLLQWPVDEVETLRTNSTDFGGVTVDHGSVFPLSLHRATQLDILAEFRLDPLDVAAAQEADVGYNCSTSGGAAGRGALGPFGLLVLADARHHGDGTEQTAVYFYVARGLDGRLRTHFCQDETRSSRANDIVKRVIGNVVPVLDGEALSVRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATGARVTATSLVVHEMDSSYNQAYMASL* >Brasy4G431700.2.p pacid=40086681 transcript=Brasy4G431700.2 locus=Brasy4G431700 ID=Brasy4G431700.2.v1.1 annot-version=v1.1 MTLMEARDGALPCSSYEALPDAEAAQPGRGRRRASGGAVCAAAVLLTTAAVLLSLAALAGVSEKTSGAAVESGGRLGAGAGEENAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPSGAIWGNKIAWGHAVSRDLLRWRHLPIAMLPDQWYDINGVWTGSATVLPNGTLAMLYTGSTNASVQVQCLAFPSDPEDPLLIEWTKDERNPVMYPPAEIGERDFRDPTTAWHDPEDDTWRIVIGSKDAHHAGIAMTYKTNDFVNYDLVPGLLHRVPATGMWECIDLYPVSGKHGVDMTAAMAASSNEGGGEETVYVMKASMDDDRHDYYALGKYDAKANKWTPLDEEADVGIGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSLPRTVVLDTKTGSNLLQWPVDEVETLRTNSTDFGGVTVDHGSVFPLSLHRATQLDILAEFRLDPLDVAAAQEADVGYNCSTSGGAAGRGALGPFGLLVLADARHHGDGTEQTAVYFYVARGLDGRLRTHFCQDETRSSRANDIVKRVIGNVVPVLDGEALSVRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATGARVTATSLVVHEMDSSYNQAYMASL* >Brasy4G216400.1.p pacid=40086682 transcript=Brasy4G216400.1 locus=Brasy4G216400 ID=Brasy4G216400.1.v1.1 annot-version=v1.1 MHQTFPDSNTPPHLLSVALPLPQVLCVRLSRPSIEDMDLYILFHSVVMHVTALMVVLVYIPLSVPVKLFMWALVKPFRKEDLRGNWEGRAHHRRLLRHRRGTGLPVRAERGMPSAGGAEEEGAGMRRRGRSRTRRAGCPCHPSRRLRRRGVEARRRGNSRAFRQIEPSGRQCRGLGHRLLRRSHQHNCIRQDDGCEFLGFRLSNPLCAATPQGQQGKTHQSCSGAGTVATPRMSFYNATKAAQLRFYETLRSEFGTEVGITILTPGYVESEITQGKAIQKDGVLAVNEETRDAQVGVFPVGRVETFCEIALDSIQKGDWYLTWPSLYRPMELIACIAPEVLNWICYQLYNTRNGGRSLALRIAEATGVRQLYPSTLQSPGIKTE* >Brasy4G216400.2.p pacid=40086683 transcript=Brasy4G216400.2 locus=Brasy4G216400 ID=Brasy4G216400.2.v1.1 annot-version=v1.1 MPSAGGAEEEGAGMRRRGRSRTRRAGCPCHPSRRLRRRGVEARRRGNSRAFRQIEPSGRQCRGLGHRLLRRSHQHNCIRQDDGCEFLGFRLSNPLCAATPQGQQGKTHQSCSGAGTVATPRMSFYNATKAAQLRFYETLRSEFGTEVGITILTPGYVESEITQGKAIQKDGVLAVNEETRDAQVGVFPVGRVETFCEIALDSIQKGDWYLTWPSLYRPMELIACIAPEVLNWICYQLYNTRNGGRSLALRIAEATGVRQLYPSTLQSPGIKTE* >Brasy4G232500.1.p pacid=40086684 transcript=Brasy4G232500.1 locus=Brasy4G232500 ID=Brasy4G232500.1.v1.1 annot-version=v1.1 MQFLLVFLCFVFWERDGFGEQLPAAQAQDLIQAGSMVVRYLTVYPHGDRFSSNCLSLYLCMDGSAEFCRQSGRVVDMSLTIEDQKHGKHFTKRIPGLAVFAGKCRWGFSDFITHETLRDPSRGYLVGSSCVVKADITVVGSSNYE* >Brasy4G169800.1.p pacid=40086685 transcript=Brasy4G169800.1 locus=Brasy4G169800 ID=Brasy4G169800.1.v1.1 annot-version=v1.1 MRCSAAHLAVLIFFLLAVAVSRLRLGAAAAADVRAEASAPAPTPDPLLPCLEEVLPCTAYLKSAKRPAQTCCTALSRAAGAGMPCLCRLLADPGMLFTFNVTREQTLRLPSRCGLPVGCRSSATGTSEPVVEAPPPPPAVPPPRRRVADPSNGGGRCGSGARRAIVTVLLGGLVSIALLG* >Brasy4G436200.1.p pacid=40086686 transcript=Brasy4G436200.1 locus=Brasy4G436200 ID=Brasy4G436200.1.v1.1 annot-version=v1.1 MASGKRSSAVAAALCLLLLAISSCSSCAGASRSMRDEKAEEVLLHCRRYIRAALHDPFLQPQDVCCRVVRGKNVQAVCDAFTPADLAGISLPRWAAVTHVCSNALPQGANCAGYIVHYGAAVVGDKA* >Brasy4G246500.1.p pacid=40086687 transcript=Brasy4G246500.1 locus=Brasy4G246500 ID=Brasy4G246500.1.v1.1 annot-version=v1.1 METCSLARMMTRLQSLAMHPRDLCSLVFRLLPELLGLPPFLLKKLCKDEHVHPRLMRTMLGMLPELTKDILIDIFVLLDTPDLVRAGSVCSSWYSAYNSLCNLGLCRRSQKPCLLYTSESAGESAAGLYSLAEKRSYELTLPDPPIRSRYVIGSNHGWIVTADNRSLGAFGHNH* >Brasy4G102900.1.p pacid=40086688 transcript=Brasy4G102900.1 locus=Brasy4G102900 ID=Brasy4G102900.1.v1.1 annot-version=v1.1 MAASPPPPPAAAPAAVAAPGSTPPAQVVGNAFVQQYYNILHQSPDLVYRFYHEASRIGRPVSTGAEMDTVTTMEAINEKIMSMDIARAEIRGVDAQESLCGGVTVLVTGHLTGKDDVCREFAQSFFLAPQEKGYFVLNDILRYVGQGEAETSPPPPQQQQPAPEVDAVVAPAAVLANGTVSGPTESVPREPETLPEPEQDLSEPATHPHEEDLKEEVYNPPNDAEVPVVEETPVPEVIDEVPNNVATSVPVSAPTIPHEEAPKKSYASIVKVMKAVLPPNSVVPYRPAPPKLEKQAPPTPAPTPVVDAPAFSPNPESSNIQDPEVDALAVYIKNLPIHATPSQLEEEFKRFGTIKHDGIQVRSHKIQGFCYGFIEFEDASSVQSALAASPVTIDDRPCHVEEKRTPGSRGSSRGRFPTGRGGNFRGEGMRGRGSYTGGRGYGRGDFNYRSEYGGRGGGRGGSSRGVDVGYQRVDHSGAGGRGARAAAK* >Brasy4G418000.1.p pacid=40086689 transcript=Brasy4G418000.1 locus=Brasy4G418000 ID=Brasy4G418000.1.v1.1 annot-version=v1.1 MSSPNPRRCSLPAAVESPLDDDDLLQEILLRLPPQPSSLPRASAVSKRWRRLASDHRFTRRFRRRHRRNPPLLGFFKIGTVEGLSFVPTLDAPNRVPWNGFSMFLMPEELLGCRHGLALVSDKSLNQVLVMDTVDDDLHILDLPPGFDMKGGRTSIHGAVLADDDDGDGHFQVVLIGNDDQHHAREIACTYSSKKGAWGNFVSTMLPPGFSDPQHPVRIDMSSPAILVGDSFYWNYDRPRAILEFDLGTQNLAVIPLPRDVVTRELSPDLFTCAYFTVMRAEGGGLGFLFLSPLDSSTAKLWKRETDRRGVASSSWVLTRTIELDKLLPLDQVYPVGLDSLCIQGYAEHNNVVFLQTKPHLFMLHLDTMKFKQFETASDYSYPFESVYTAGIGGETDGAELLHDSDSEDE* >Brasy4G277700.1.p pacid=40086690 transcript=Brasy4G277700.1 locus=Brasy4G277700 ID=Brasy4G277700.1.v1.1 annot-version=v1.1 MAHLPPRAPSAAGQQDWSAAGEFLGFAASRRGAHRRSASDSAAFLEAVPMDDDVIGGGEFDRLDDEQLMSMFSDVDAPAVSSDGGGGGFMDMGDGEEGSAGARAMAAAEGGYGDPKRVKRILANRQSAQRSRVRKLQYISELERSVTGLQMEVSALSPRVAFLDHQRSLLTGCITFRESSKP* >Brasy4G173300.1.p pacid=40086691 transcript=Brasy4G173300.1 locus=Brasy4G173300 ID=Brasy4G173300.1.v1.1 annot-version=v1.1 MASMMGGDFVEAYVLKNAYKEKLRRMDKAAAEGKSGKQDGAAGSAGEKKAAAGASSRGGFFGLMKKKVHPKAAAGIDGAASSS* >Brasy4G246700.1.p pacid=40086692 transcript=Brasy4G246700.1 locus=Brasy4G246700 ID=Brasy4G246700.1.v1.1 annot-version=v1.1 MILPFAKLGTLALKTMAKPIAIRLKTEASRHPQFRQFIINLAQTNHRISTNIQRRIYGHSTNIEIRPLNEEKAVQAAADLIGEVFVFSVAGAAVIFEVQRSARSEARKEEARKKEVEELRQKEDQLALEIETLKLKLSELEHLTNSRGLSGVLFRSSSMTDQKKVS* >Brasy4G432000.1.p pacid=40086693 transcript=Brasy4G432000.1 locus=Brasy4G432000 ID=Brasy4G432000.1.v1.1 annot-version=v1.1 MRTEVIKADTIDAAVDRILNELATDTRRSSNRENVIYFDGWDGLGASAVLQAVAKRLAEPLTRPAGLEFEKIIHIDCSKWQSRRAMQREIAEQLKLPNRVMQMFDKQDEEDDFNGLDQGSRGEITQVVGEIYQTLKNRRFLVILHNGSSEEIDIFNFGLSIDRYANSKMLWTFQGRFRLDPKMIDTVKKSITTDVLLSSSSDGRDMLELWLYLVYHEAAQVCCNEHGHGIIDPAIAAECVLYVLKQSWIGSHIIDYDWAIHTSNYWICDGIIALTDVDQAWKVGDVLQREMRLLNADNQLNNDKSRIVSSSSHLARSAGRMPYWISTATCGFVLSPSGLIPDSMFRHSHRLGVLNLSSLRFLLLDHCQDLLTKSSTTDHHQPDANKEEKELGKGNTTSWECFQSLWVLDVRYTDWDQILSAQVMDFMTQVRELNVVGAKNWDMSQLQGRLRNIRKIRVTKSTCCFNSNVFSELESLELLDFSGNTIRQGMTSLSGAASNSSLETVTIDGCDGLKVISLRGCKKLRSLFFKGLFQSLEELDLSGTKVKTLDLREVEASSLPKRIILLGCEELHAILWPQEVTNLTDGEGWDGLLLIDTTLTSASADGSLGQQKEKKLKGGWQISLTDTRLLRSLSPVASYLTQKYVHIDIGSEAPIVQGTSSDKLVQVQYTGATVDSNYRDALKHGPVTEMMMWDCPEMPVASSTCFIEVIMRGWKGKELLEDAPSACTSAVLLPDFVCEQVTSLHVYNNSSITSIPIPPNGSGWNYLFWCQVERCPKLHTVFTIPQGSSVNSFSRLETFWASQLLTTCYIWDWTISLISHTFSDLKFLHLDYSPRLIHVLPIRESSLSGLETLEIVYCSDLREVFPLSPQLILMILLISPSAPSSVSPKPIRFSR* >Brasy4G119500.1.p pacid=40086694 transcript=Brasy4G119500.1 locus=Brasy4G119500 ID=Brasy4G119500.1.v1.1 annot-version=v1.1 MAAHVPEWLFSSLASLGALYVSAVAIRLFSHLALCLRRPKDLRRRYGAWAVVTGPTAGIGRSMSLELARRGLNLVLVGRDPAKLRDISETISSTHAVQTRTVLFDFSLVSTPQGEKAVRRLREAVEGLDVGVLVNNAGVAKPGAAYLHEVGVEAWVRMIRVNLWALTEVTAAVLPGMAERGKGAVVNIGSGSGSLLPSYPLYSVYSATKRYAAGFSRSLAVEYRGRGIDVQCQAPMLVETSMVSDDVKGTFLPRFVLAPDAYARDAVGWIGHGATLCVPSVAHRLQAWAIGLSPDFAYDAHILKKHLLHRDIFRRLRQWRKNSQGGSRDGSGVATHQQDESRVSSECPPSG* >Brasy4G045200.1.p pacid=40086695 transcript=Brasy4G045200.1 locus=Brasy4G045200 ID=Brasy4G045200.1.v1.1 annot-version=v1.1 MAVGFLAGFILGLLALAAVEAAAVLWVVRRLRRRQETAAAASSAPEEELPGERPFPYEKKGSLWVLEPEKLPKVSNERLSGGGPKEANDKKNVMEVFPAKKMAKIKGHTLSLSGPDGAGTTIELLNCTVVAVSASSMASRKWTKRYPIKLESQESEIYNGGKVCYLYAETSWEKESWCKALRLAATVDKKKLNWHAMLSKEFCNYISSLDSEYPCFLKPTILSAEDHEVIDSTIKTDGSSKVRLFLKKLAKKASSKAPVEGKTSVTSSAQGERKVFDKIRSYQGTQFIEALLGPQEDKLSSSSSQDTGKPSAPTAPSSHTGQLPAFPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDVINKAIKARIQRTLSSMRTPAYVGEITLTDFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVEEPELQKDLMKTNFGTDPNGEVDSDLLESIEQYGNQFRNSQNSVSSVEGKEEADASQSKSTGWTSAYLSRWKNILHSIADHVSQVPLSLSIKISSVRGILRIHVKPPPSDQLWFGFTSMPDLEWDLESSVGDRKITNSHIASLIGNRFKASLRDSMVLPNCESISMPWMLAEEDDWAPRKDAPFIWLNHEPTETRSHATAAAPTHSEEAIPKEDASNINAAPSLRASSAGSEESRKSIDETTEEPAAEGSPVQSLLAPAGEASPPHLDAPDELRKPLLVTEKRQEDTSESRLGSPLYTSLKTISAGDEAKRKSGRRSRMMDLGKKVGDKLEEKRRQVEEKGRHIVEKMRENARTNSLERTTGSTS* >Brasy4G242900.1.p pacid=40086696 transcript=Brasy4G242900.1 locus=Brasy4G242900 ID=Brasy4G242900.1.v1.1 annot-version=v1.1 MRGLGFRLLVLALAAAAAEVEARFVVEKNSLMVTSPTTLRGRHDSAIGNFGIPQYGGSMAGAVVYPNGNTDACDSFDGGGKEHIFRNNPGALPSFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLIHFLNEFKGAAQLLEKGGYSQFIPHYITWYCPKAFIVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFNVANEIKRPWIWWDYVTDFHIRCRMKDKNYSKTCAETVIKSLGLDTKKVDRCMGDPNADSDHPLLKTEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSNDMETNECLSDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEAAGPGKCLINHGGCWHETRNGKTFSACQESGDGECKCPAGFQGDGVKKCQDIDECKEKKACQCLECSCRDTWGGYECTCSQDLLYIKEHDTCISKTTVQAKAAWAALCGVLVALVVLALGSYLVYKYRLRSYMDSEIRAIMAQYMPLDSQAEVSNHSDEDHQ* >Brasy4G178300.1.p pacid=40086697 transcript=Brasy4G178300.1 locus=Brasy4G178300 ID=Brasy4G178300.1.v1.1 annot-version=v1.1 MRSLRAAQTLASRSLLLSARALHGTASPGAAAAAGGRWGALPPAPSSRVVPAGIAGAVSFSLTFATVAAAEVQAKERLPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEHLVDSSDIINILQRKIRPDADVMSEEEAKWRRWVDEHLVHILSPNIYRTTSEALESFDYIAKHGNFSTVERFAAKYAGAAAMYMVSKKLMKKYNITDARASLYDACNTWTEALNGRNFLGGSKPSLADLAVFGVLRPIRYLRSGKDMVEHTQIGEWYQRMEDAVGEPSRIQDE* >Brasy4G294300.1.p pacid=40086698 transcript=Brasy4G294300.1 locus=Brasy4G294300 ID=Brasy4G294300.1.v1.1 annot-version=v1.1 MGSGEDRGGGGNNSRSSEGGGAWARVTGEVYWDPRASSKGNVRAQIDDVKKNATVSLRQYNNMVSTLEGENQRLLQTVVELEVLIQKMKQEIKLMERRHRLELRVRDRIVMIVGICAIVYVFVALITRGFI* >Brasy4G129300.1.p pacid=40086699 transcript=Brasy4G129300.1 locus=Brasy4G129300 ID=Brasy4G129300.1.v1.1 annot-version=v1.1 MECENGQFAANGTGLCTATPRADPLNWGKAAEELTGSHLDEVKRMVAEYRKPVVTIEGATLSIAKVAAVAAAGEAKVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVTPDGRKVNAAEAFKIAGIHGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAVGKLMFAQFSELVNDFYNNGLPSNLAGSRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIERAEVFAYADDPCSANYPLMKKLRGVLVERALSNGMAEFNAETSVFAKVAQFEEELRTALPKAVEAARSAVESGTAATPNRIKECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC* >Brasy4G073500.1.p pacid=40086700 transcript=Brasy4G073500.1 locus=Brasy4G073500 ID=Brasy4G073500.1.v1.1 annot-version=v1.1 MVALVRPAVLPVCAVTGGGGGGENDKWTPRQQQSWWGRSKQSLPHQPRRNGERGGGGGGGALDQVLGVLRRDSEFLQAAAGAPLRDVLLLRFLENKKQRRKQPKPKPAQQQQEEEEEEAPARRAPAFPPPAYPPGLSCVELMAADLQALKVYVGSSKLEFVHRFLGSKQQPQSQQQCIKSKPVLQKQFEPKPKEQQQQQQQALQPPAFPPHSYPPGLSCMELMMADLTALKLYINYYYAILTTPLPQHYDPDLLAQYFVSRPHILAFRTVQILWAFVLAVVKVQISKRMNLTTDATYRTNIISNEGFNASQYMVGQLLKDTFLDLGPTFVKVGQSLSTRPDIIGSEICEALSELHERVPSFPREDAMKIIEGEFQRPVSQVFSYISDEPVAAASFGQVYQGRTFDGALVAIKVQRPNLLPSVLRDIYILRLGLAFVRKIAKRSSNISLYADELGRGFVGELDYNIEAANATKFLECHSRYSFIVVPKILKQLTRKRVLTMEWMAGENPKELLSLSKGISGDIHESSEKQKLEAKARLLDLVNKGVEASLVQLLETGLLHADPHTGNLRYTPEGHVGFLDFGLLCAMEKKHQRAMLASIVHIVNGDWTSLVYDLTEMDIVPPRTNLRRVTMDLEDTLGEVTFDDGIPDIKFSKVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAIAADKSFKTFRAAYPFVVRKLLSDNSLETRRVLYQVIFNRRKEFQWHKIAVFLKLASARGNFRQSTGVLPERKGMNVANLAEISDAYSLDRATPERALHTANLCLRLLLSQDSIVIRRLIMTANMKSLARDLISKDASIFRVLLSRALADVVCQWMVKVTRLKRLRQLKPDSPMTAKRDEGDLGLSKEASIMVSLQDALRDRRLKVIFSKFLRDLREEPVLMFRVSWSMLLVSAISAAIGVHRFVVLLSEEYLPTSPPRIQSL* >Brasy4G057600.1.p pacid=40086701 transcript=Brasy4G057600.1 locus=Brasy4G057600 ID=Brasy4G057600.1.v1.1 annot-version=v1.1 MEPDHTGAAEQNSPRGNDWEVVQLTASNYTSAPGPPRSEPYQEEEAEGQEYGAKGDDSAAAALLMSGHFSVSQNEAEELLKGADSKERQEVCGSQYAVSDKGGDDVRYEDKKLKDDFDRIPSFDKGKSLSSVDMESDDGNALHGVHLASEDPVKFSSHGDAEKELSWSATESKTEEPITPHNLGPATDSSKVASGEHNKPDGSGVPRDTWWRKQLISLYRSAKESNKLWPIFVAAAALMGMAYFRRRWQKGKLQLQQVKLQPASSKERINRASVPLNRIKDILVAGNHSIPAPHGHARLS* >Brasy4G293100.1.p pacid=40086702 transcript=Brasy4G293100.1 locus=Brasy4G293100 ID=Brasy4G293100.1.v1.1 annot-version=v1.1 MLMNPVRCVMRKMSWYGLVTEGYHSPTALKHVIIPNHERFTKLALSYLVLQPTSYCSQMPGNIFSKSIKNF* >Brasy4G300300.1.p pacid=40086703 transcript=Brasy4G300300.1 locus=Brasy4G300300 ID=Brasy4G300300.1.v1.1 annot-version=v1.1 MANPYAFLRRPHIDPFFFPFQRSLVSPSSPTKQALPLLSLLPAASSHDHSKTLSSRGYACDHRQHKKEDDHDMNISLQIGPPNPNCAPNPVNSRDIDAPVAASQDRCSGGDQKVEEEEEELEDDDDDGSDDLCLEYLAVGKLTKGKYWIPTVTQILIGPTTFTCPVCCKTFSRYNNLQMHMWGHGAQYRRGPESLRGTQPAAMLRLPCFCCAPGCRSHVDHPRARPLKDFRTLQTHYKRRHCGKPFLCRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHVRAFGHVERPPA* >Brasy4G018700.1.p pacid=40086704 transcript=Brasy4G018700.1 locus=Brasy4G018700 ID=Brasy4G018700.1.v1.1 annot-version=v1.1 MPRWRFRSGDLACAMAIAVATAAAVGGAAAGVGDGEAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDSTKLFSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDKHIHAYFAVGAPLLGSTESIRATLSGTTSGLPVSEGTARLMFNSFAASLWLLPFSEYCKADNIYWKHFFEGKGGYPHRQQCDEREYTSEYSGWPTTLVSIEVPTVRGMDAYPSIMDLTENITSSMECGKPTLLSFSAREVSDGTLFKTIQDYDPQSKALIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEFERSLLSRSGHSVSGKPNNSSGDGTVSYNSLSMCKHWLGPKVNITRAPQAEHDGSDLQTSMNVEHNHGQDLFPNMTRAPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVVMRELWLEMWHDMHPYSKSKFVTKAFRGPLRHEDCHWDYSKARCAFPEFCEYRYTFGDVHLGMSCRLKNSSTKLLRQYL* >Brasy4G087100.1.p pacid=40086705 transcript=Brasy4G087100.1 locus=Brasy4G087100 ID=Brasy4G087100.1.v1.1 annot-version=v1.1 MAMAALARRSRLCLPHLRRASRPNPKPLSSAATPTTDLERKQEGDLLSWRLLRLGSPRGAAASAAIERWAQERGHVSRPDLRRAVSQLRRARRYDHALEILSWMDSRKDLKLSPLDHAARLDLIAKVHGTCHAEEYYKKLQNAACREAASFPLLHCYVAERNVQKAESFMASLQSIGLPVDPHSFNEMLKLYVATCQFEKALAVIDLMKRNNIPRNVLSYNLWMNTCSVSGVASVQSVFKEMVNDGTVEVGWSTYCTLANIFIKHGVNSKALACLRTAETKLSPRQRLGYSFVMTCYASLDDSDGVIRLWEASKSVPGRIPSANYMSAIICSIKVGDIAQAEWIFGSWEAECGRKYDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILVEGFVQSRQMDKAVNAMKKALSLLKSCHWRPPLELVEAIATFFEEHGNADDANRYIKVLQKFNLTSLPLYKSVLRAYIKADVVPPNISEMIAKDQIVMDEEMDRLVIRANKLDITGSG* >Brasy4G200600.1.p pacid=40086706 transcript=Brasy4G200600.1 locus=Brasy4G200600 ID=Brasy4G200600.1.v1.1 annot-version=v1.1 MLHRLVPLLMLGSPNPPPPRVVLDSCLATFFCFRCPRGLGPCGASLDRRPVTRRMKAVPLGGLLVFSFKLRGAYNMMAKLSQAQLDRGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPKIKWRSVERLGATVVLKGDSYEEAQSYAKLRCEQEGRTFVPPFDHPDVISGQGTVGMEIVRQLQGPLHAIFVPVGGGGLVAGIAAYVKRVLPEVKIIGVEPSDANAMALSLCHGQRVMLEHVSGFADGVAVKVVGEETFRLCRKLVDGIVLVSQDAICASIKDMFEEKRTILEPAGALALAGAEAYCKHYGLKGETVVAIASGANMNFERLRLVTELADVGRKREAVLATFLPEEQGGFKKFAELIGQVNITEVRYRYDSGVKYALVLYSVGIYTDDDLRAIVTRMESSKLKTVNLTDNDLAKDHLRYFIRGRSEIKEEIIFRFIFPERPGALKKFLDAFSPRWNISLFHYRAQGETGADVLVGVQVPAEDLGEFRSYADNLSYEYTSESNNEIYRLLLHCPEMRCC* >Brasy4G200600.2.p pacid=40086707 transcript=Brasy4G200600.2 locus=Brasy4G200600 ID=Brasy4G200600.2.v1.1 annot-version=v1.1 MLHRLDRRPVTRRMKAVPLGGLLVFSFKLRGAYNMMAKLSQAQLDRGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPKIKWRSVERLGATVVLKGDSYEEAQSYAKLRCEQEGRTFVPPFDHPDVISGQGTVGMEIVRQLQGPLHAIFVPVGGGGLVAGIAAYVKRVLPEVKIIGVEPSDANAMALSLCHGQRVMLEHVSGFADGVAVKVVGEETFRLCRKLVDGIVLVSQDAICASIKDMFEEKRTILEPAGALALAGAEAYCKHYGLKGETVVAIASGANMNFERLRLVTELADVGRKREAVLATFLPEEQGGFKKFAELVNPLIGQVNITEVRYRYDSGVKYALVLYSVGIYTDDDLRAIVTRMESSKLKTVNLTDNDLAKDHLRYFIRGRSEIKEEIIFRFIFPERPGALKKFLDAFSPRWNISLFHYRAQGETGADVLVGVQVPAEDLGEFRSYADNLSYEYTSESNNEIYRLLLHCPEMRCC* >Brasy4G200600.3.p pacid=40086708 transcript=Brasy4G200600.3 locus=Brasy4G200600 ID=Brasy4G200600.3.v1.1 annot-version=v1.1 MLHRLDRRPVTRRMKAVPLGGLLVFSFKLRGAYNMMAKLSQAQLDRGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPKIKWRSVERLGATVVLKGDSYEEAQSYAKLRCEQEGRTFVPPFDHPDVISGQGTVGMEIVRQLQGPLHAIFVPVGGGGLVAGIAAYVKRVLPEVKIIGVEPSDANAMALSLCHGQRVMLEHVSGFADGVAVKVVGEETFRLCRKLVDGIVLVSQDAICASIKDMFEEKRTILEPAGALALAGAEAYCKHYGLKGETVVAIASGANMNFERLRLVTELADVGRKREAVLATFLPEEQGGFKKFAELIGQVNITEVRYRYDSGVKYALVLYSVGIYTDDDLRAIVTRMESSKLKTVNLTDNDLAKDHLRYFIRGRSEIKEEIIFRFIFPERPGALKKFLDAFSPRWNISLFHYRAQGETGADVLVGVQVPAEDLGEFRSYADNLSYEYTSESNNEIYRLLLHCPEMRCC* >Brasy4G336800.1.p pacid=40086709 transcript=Brasy4G336800.1 locus=Brasy4G336800 ID=Brasy4G336800.1.v1.1 annot-version=v1.1 MATPAAAAAAGQRDELAESLAELFTNVSLMVRGELQGTNNQLALLEKMNDRVAEEYNNNGDVASGLRVFVEQLNEKNQRFNEYTSQIDAIDKQVTEFEAVVSMLDKHVSLLEKKVKSAYHIAPTQ* >Brasy4G336800.2.p pacid=40086710 transcript=Brasy4G336800.2 locus=Brasy4G336800 ID=Brasy4G336800.2.v1.1 annot-version=v1.1 MGTNNQLALLEKMNDRVAEEYNNNGDVASGLRVFVEQLNEKNQRFNEYTSQIDAIDKQVTEFEAVVSMLDKHVSLLEKKVKSAYHIAPTQ* >Brasy4G434300.1.p pacid=40086711 transcript=Brasy4G434300.1 locus=Brasy4G434300 ID=Brasy4G434300.1.v1.1 annot-version=v1.1 MDVLCSSLRRLCNLEQLAVNFQGCMDGLMLLFPPSTPYRLEKFSNLVNGWFSRVPSWMGELRNLGYLRCQVGELLADGVGILAELPALIHLKIGIRKATKEMIVICGGGGFPALNHFELAISSASYLTFQAGAMPKLQRLVLTYNGSGSEQNGTGPAGMEHLSALEEIDAYIGCKGATEAEKESAESALRSAINVHPNHPRVVIKLPDDRRFNFWPSTQQQTAGN* >Brasy4G214800.1.p pacid=40086712 transcript=Brasy4G214800.1 locus=Brasy4G214800 ID=Brasy4G214800.1.v1.1 annot-version=v1.1 MAKRKRSKKLKSEKRRCPAASLTSDLFIEILSRLPVKAVGRAMCVSRTWRKLILHPDHRSKLPQTLTGFFYSSFSRERFPSSALHFTNVSGNPCPFIFTVLLNSSRLRFVCYQSTRLRLKLSGCTGALAGAPPVHRAGAPPVHCLGPVHRCWGAAGALGAPRRCTVHRRVADSAGSAFSREHRGAPVHSPERRQCTASGRCTAVGAPPVHSVHRGGAQCTAASQTVHFEVNAESRRSSPPPATRQRTHADPFIYPCFDFLPTHQRIVLLDCCNGLLLFSLLDNQNAFCYVVCNPATKNWTALPNSIQAGEKTVLLGFDPAVSSHFHVFEFLEDDEEDCAAVIGLGVYSSEKRGWVYTEVVGWGDYMYLFDHHSGSVFLNGCLHFVTMRPSVVAVDTEGKTRRIIHAPYGGSEDDGGSEDDGGSEDEEADLGYGFIQHSQGCLHYADFERHNEGIRLVVYVLKDYDSQEWILKHIIRVSYLFQWRRVDPLLGFKWVKWVAIHQECNLIFFTVEWDNTLISYDMDRQQVREMRILGHDSHPQYLPYVPLYSELEALHI* >Brasy4G214800.2.p pacid=40086713 transcript=Brasy4G214800.2 locus=Brasy4G214800 ID=Brasy4G214800.2.v1.1 annot-version=v1.1 MAKRKRSKKLKSEKRRCPAASLTSDLFIEILSRLPVKAVGRAMCVSRTWRKLILHPDHRSKLPQTLTGFFYSSFSRERFPSSALHFTNVSGNPCPFIFTVLLNSSRLRFVCYQSTRLRLKLSGCTGALAGAPPVHRAGAPPVHCLGPVHRCWGAAGALGAPRRCTVHRRVADSAGSAFSREHRGAPVHSPERRQCTASGRCTAVGAPPVHSVHRGGAQCTAASQTVHFEVNAESRRSSPPPATRQRTHADPFIYPCFDFLPTHQRIVLLDCCNGLLLFSLLDNQNAFCYVVCNPATKNWTALPNSIQAGEKTVLLGFDPAVSSHFHVFEFLEDDEEDCAAVIGLGVYSSEKRGWVYTEVVGWGDYMYLFDHHSGSVFLNGCLHFVTMRPSVVAVDTEGKTRRIIHAPYGGSEDDGGSEDDGGSEDEEADLGYGFIQHSQGCLHYADFERHNEGIRLVVYVLKDYDSQEWILKHIIRVSYLFQWRRVDPLLGFKWVKWVAIHQECNLIFFTVEWDNTLISYDMDRQQVREMRILGHDSHPQYLPYVPLYSELEALHI* >Brasy4G192600.1.p pacid=40086714 transcript=Brasy4G192600.1 locus=Brasy4G192600 ID=Brasy4G192600.1.v1.1 annot-version=v1.1 MFSMTLLFVVALHTVSQLRYGTSSPCIWIIMYSFISSTVSFGGQSIALLGIPSPGSFVASLNMCYYSSFQSSPFCSSRSHGIFIQSSLSSGANFPSPVRSIHSANPSIFCFCMGSSDRQLNRMFCVSLNI* >Brasy4G411200.1.p pacid=40086715 transcript=Brasy4G411200.1 locus=Brasy4G411200 ID=Brasy4G411200.1.v1.1 annot-version=v1.1 MAGFFSMDSCRLLTVAGLLGFLIPSIGSADADAAGAGAPAEQYWKSALPNTPMPTSLSRLINTQMSVVNKEQLFIIDDINNGYDKSATKAKLRETCVIYNTYDKSATEEQMHHAAGVALFFLESDIQPGKKLTLHFMPAAAAGEKFLPRGEADAIPFSSDKIPEILSLLSLNPGSAEALEVAETLRDCERPAAKGERKACATSLESMVDFAVSSLGTSHIRAMSTATVAGKKGSPKQEYTVTGVKRASAATGAQRLVVCHAEPYAYAVFSCHLTRATRAYTVSVVGEDGTAAEAAAVCHADTTGWNPRHLALQMLKVKPDTVPVCHFVPQNDVVWARSG* >Brasy4G092900.1.p pacid=40086716 transcript=Brasy4G092900.1 locus=Brasy4G092900 ID=Brasy4G092900.1.v1.1 annot-version=v1.1 MYMAYGWPQSIPLDPGDSDGGVVLLRVLGRLLLAVCPASLHLWSASQHKVRLARLDRSLDSLAAHGHNAHAVWSPDAKTVAVLTSSFYLHIYKVQLSGKPLIVGGKQLPGLCLASLSLIIVEKVPLANGISITSNFACDSKSMLLGLSNGHLQVVSWNAEFSDSFKLRCSTCSSDKTAAVVDALVFDPPSSRENSNARPAPCCTGDSAIFHVELSVKLRLLVALYSDCQIALCTVGKKGLKQTSGIRVERWLNTGDAMCTSVASGQQILAVGCSRGVVELYDLAENARHIRTVSLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQAGSNSASSPMVKPNAQKFEPLMGGTSHIQWGDYGYKLFAVEESLSERVLAFSFAKCCLNRGLSSTTYTRQILYGEDRVLLVQPDDTDELKMLHLNVPVSYSSQNWPVQHVVASDDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDHSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVMISGELSPTSSPVLQLSTVRELSIMSPKGPPVSMRLIPEPTDEGELKRDTDRSSDLLSQQPSRCLILRVNGELSVLDMDDGHEQALTNSVELFWVTCSQMEEKGNLIKEVSWLDYGHQGMQVWYPSHGANPFRQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLIQRDKHEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSTSKNQLSPKSESPKRSLLEKTCDLLRNFSEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANTDSEKLSPRFMGYFLFRSPYKRQSSDLKSNSTKELSPHIASVMNILESHASFLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWNAYSITLQSHDVFREYLDLLSALEDELSSVSNLTLQQNGPMS* >Brasy4G092900.2.p pacid=40086717 transcript=Brasy4G092900.2 locus=Brasy4G092900 ID=Brasy4G092900.2.v1.1 annot-version=v1.1 MLLGLSNGHLQVVSWNAEFSDSFKLRCSTCSSDKTAAVVDALVFDPPSSRENSNARPAPCCTGDSAIFHVELSVKLRLLVALYSDCQIALCTVGKKGLKQTSGIRVERWLNTGDAMCTSVASGQQILAVGCSRGVVELYDLAENARHIRTVSLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQAGSNSASSPMVKPNAQKFEPLMGGTSHIQWGDYGYKLFAVEESLSERVLAFSFAKCCLNRGLSSTTYTRQILYGEDRVLLVQPDDTDELKMLHLNVPVSYSSQNWPVQHVVASDDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDHSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVMISGELSPTSSPVLQLSTVRELSIMSPKGPPVSMRLIPEPTDEGELKRDTDRSSDLLSQQPSRCLILRVNGELSVLDMDDGHEQALTNSVELFWVTCSQMEEKGNLIKEVSWLDYGHQGMQVWYPSHGANPFRQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLIQRDKHEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSTSKNQLSPKSESPKRSLLEKTCDLLRNFSEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANTDSEKLSPRFMGYFLFRSPYKRQSSDLKSNSTKELSPHIASVMNILESHASFLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWNAYSITLQSHDVFREYLDLLSALEDELSSVSNLTLQQNGPMS* >Brasy4G346400.1.p pacid=40086718 transcript=Brasy4G346400.1 locus=Brasy4G346400 ID=Brasy4G346400.1.v1.1 annot-version=v1.1 MRKLADGNAREFSLAAKWCPSLDSSYDRSTLLCEAIARRLFPRGSSPELAEAELSDEHYAYRARERLRKAAIVPLRRALELPEVFISARAWGSVAYTRVASVAMKNYKALFLEHGADRFNAYLADVKAGKKRIAAGALLPHQIISSLDDEDESGVAELQWRRMADDMRALGGSKLGSCVAVCDVSGSMSGVPMDVCVALGLLVSELTEDPWRGRVITFSERPEIHRVTGDTLSEKIGFIRAMDWGMNTDFQAVFDKILEVAVGAGLPAEKMVRRVLVFSDMEFDQASAQPWETDYEAIVRKFSEAGYGAAVPEVVFWNLRDSKAVPVMSGQKGVALISGFSKNLLKLFLDGGGSFTPRAVMEKAIAGPEYDQLAVFD* >Brasy4G096300.1.p pacid=40086719 transcript=Brasy4G096300.1 locus=Brasy4G096300 ID=Brasy4G096300.1.v1.1 annot-version=v1.1 MEWTTVDAGAGAKLSVRLFKPAAPVEEAEDVAVVLVHPYTILGGVQGRLRGMAQGLAQRGYRAVTFDMRGAGRSTGRASLTGSSEVGDVVAVCRWVADSLKTRAILLVGSSAGAPIAGSAVDKVDQVFGYVSIGYPFGLMASILFGRHHDAIIKSEKPKLFIMGTKDGFTSVKQLQNKLKSVAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKPLPK* >Brasy4G082800.1.p pacid=40086720 transcript=Brasy4G082800.1 locus=Brasy4G082800 ID=Brasy4G082800.1.v1.1 annot-version=v1.1 MGAYNRPGPMRGEDRLVVLDVSFLGVRRLGGGARVPERHLLVCVGEEDGSVEAGRVILHLGSSEFARSLPAKSGRAKAISIHFGICLCVARGMDRPFLLLHQFHVRGNLPTH* >Brasy4G433800.1.p pacid=40086721 transcript=Brasy4G433800.1 locus=Brasy4G433800 ID=Brasy4G433800.1.v1.1 annot-version=v1.1 MEEYLVDACGLSRAQALKASAKLSHLKPPSNPDAIVAFLSGGLGLSIADIAAVVAKDPKFLCASVKKTLAPNVAALTAGARGLSRSEVASLVILAPGHFRRRSIVSKLRYYLPLLGSAANLLRTLRKNSRLLSADLDAVVRPNVAFLREQCGLGARDIAKLCNPLPLLLSADPERVRAMAACAEGVVGVPRGSGMFRQALHAKKTAAKVDYLKTTFGWSDDEVAVAVSKAPMVLTNSKDMLRRRAEFLISEVGLEPAYIARRPVMLSYSLEGRLRPRYCIVKFLKAHGLLDHDRDYYKTVMVSEKVFMEKFICPHSEAAPHLAEAYDAACRGELPANLRLT* >Brasy4G433500.1.p pacid=40086722 transcript=Brasy4G433500.1 locus=Brasy4G433500 ID=Brasy4G433500.1.v1.1 annot-version=v1.1 MPRDRGEPAAVRVYTVCDESKYLIVRNVPSLGCGDELGTLFSTYGPLEECTPMDAEDCEEYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESVLDTKEKLEVRRKEVLSRIRSPAGSRPEGLSQYSLGQGSSSGNSHHHMSSNKREYTQAMHASHIEDARFSHVSSNKDYFPSESMNATVNLVREKLDQIQSGSDNSNAVSTSKKPKVDNRRRI* >Brasy4G014800.1.p pacid=40086723 transcript=Brasy4G014800.1 locus=Brasy4G014800 ID=Brasy4G014800.1.v1.1 annot-version=v1.1 MVGVTKIPTMLWVLGVVFTFGAAAVGLAEANNVHNFYIKEADHPRLCKNKTILTVNGQFPGPTITARRGEVVIVNVYNQGNKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYRILLSDEEGTIWWHAHSDFDRATVHGAFVIHPKHGSFYPFKMPHKEIPIILGEWWKADVTHLLEESKRTGGEVNLSDANIINGQPGDFFPCSKDNIFKLPVQTGKTYLLRIINAGLTNDLFYGIAGHLLTIVGTDGRYTKPFTVKHIMISPGQTMDALLEADRAINGSSNGRYYMAARTFASNPDLDFNNSTATAILEYTDAPPSRRAGTPDFPNLPANLDMNAATEYTAQLRSLASKDHPVDVPMHVDHPMLITIAINVLPCAPNQTCDGPNGNRLAASLNNVSFQNPSIDILDAYYSSVNGVFEANFPNKPPFFFNFTDTVVPPELEVTKVGTKVKMLNYGDVVEVVFQDTAINGAETHPMHLHGFAFYVVGRGFGNYDKLKDPTTYNLIDPPYQNTVTVPKAGWTAIRWRATNPGVWFMHCHFDRHTVWGMNTVFIVKDGKTPDTKMMKRPPSMPRC* >Brasy4G286500.1.p pacid=40086724 transcript=Brasy4G286500.1 locus=Brasy4G286500 ID=Brasy4G286500.1.v1.1 annot-version=v1.1 MAGGGDNAQTNGAAREQAAMEEGRKDDYDQGCGLAISVPFVQKIIAEIFGTYFLIFAGCGAVTINASRNGQITFPGVAIVWGLAVMVMIYAVGHISGAHFNPAVTFAFATVGRFPWRQVPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPGGSEVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARTVGPALVGSEYRSIWVYVVGPVAGAVAGAWSYNLIRFTNKPLREITKSTSFLRSMSRMNSVAV* >Brasy4G422700.1.p pacid=40086725 transcript=Brasy4G422700.1 locus=Brasy4G422700 ID=Brasy4G422700.1.v1.1 annot-version=v1.1 MVGVPASGPKSRRSCHWVLGPRLRVQLGGIEHNGTTGQLFDAGCFARGMKTFQLQRLCVSENGISQPRAYSNFPPSLSSPRLSTGHHMDNCSAWGRELTMAQFVGSANGGLGFFHINIDEKASSKWLNLTNCGVIAVTHGSISVVELELCMATTWDDSWPWKVRQLEEKSFLVRFPPNKKVSDLVGLPSINLREGGDQDRVTIKILPWDGDIAEVGELTEVWLQIKGIPPKWLSWKVITQISKCFGLLLDVDWNEIFRSMYKTLRVKLAVRNPMKIPPERMIVMRKKFYLLEFTVEWEGVDIDKIMGLQEKDYEEEDDYDTDNLMNDEFEDLEKERGNQQNDQGDATSANPPPAGSQTVPTHNVQQLSLQNLMGAGLVDSDDEEVGDVALIPLGSGSLAQDQSFVQQKDAFGMPETTLEEDAVVQDTDNLQGGLVVAMENPASNSQPAEVHSFMEDFPTPAEAKRKTTKKNKWGPVVAARQSTRIAQDGKSILSRAQEMALQKNLEKPVTKGAVEEVTALVKALATTVPQARHTDLGQMPLAPGEQGFGNSPAGLLKKRRMTMAGPGTPKPLKLF* >Brasy4G422700.3.p pacid=40086726 transcript=Brasy4G422700.3 locus=Brasy4G422700 ID=Brasy4G422700.3.v1.1 annot-version=v1.1 MVGVPASGPKSRRSCHWVLGPRLRVQLGGIEHNGTTGQLFDAGCFARGMKTFQLQRLCVSENGISQPRAYSNFPPSLSSPRLSTGHHMDNCSAWGRELTMAQFVGSANGGLGFFHINIDEKASSKWLNLTNCGVIAVTHGSISVVELELCMATTWDDSWPWKVRQLEEKSFLVRFPPNKKVSDLVGLPSINLREGGDQDRVTIKILPWDGDIAEVGELTEVWLQIKGIPPKWLSWKVITQISKCFGLLLDVDWNEIFRSMYKTLRVKLAVRNPMKIPPERMIVMRKKFYLLEFTVEWEGVDIDKIMGLQEKDYEEEDDYDTDNLMNDEFEDLEKERGNQQNDQGDATSANPPPAGSQTVPTHNVQQLSLQNLMGAGLVDSDDEEVGDVALIPLGSGSLAQDQSFVQQKDAFGMPETTLEEDAVVQDTDNLQGGLVVAMENPASNSQPAEVHSFMEDFPTPAEAKRKTTKKNKWGPVVAARQSTRIAQDGKSILSRAQEMALQKNLEKPVTKGIEEVMLGQGLELLRTEELNNGNHSDSTADDLDAGGQAGVSRNLEMAAMIEVVLQNKYKLPPAL* >Brasy4G422700.2.p pacid=40086727 transcript=Brasy4G422700.2 locus=Brasy4G422700 ID=Brasy4G422700.2.v1.1 annot-version=v1.1 MVGVPASGPKSRRSCHWVLGPRLRVQLGGIEHNGTTGQLFDAGCFARGMKTFQLQRLCVSENGISQPRAYSNFPPSLSSPRLSTGHHMDNCSAWGRELTMAQFVGSANGGLGFFHINIDEKASSKWLNLTNCGVIAVTHGSISVVELELCMATTWDDSWPWKVRQLEEKSFLVRFPPNKKVSDLVGLPSINLREGGDQDRVTIKILPWDGDIAEVGELTEVWLQIKGIPPKWLSWKVITQISKCFGLLLDVDWNEIFRSMYKTLRVKLAVRNPMKIPPERMIVMRKKFYLLEFTVEWEGVDIDKIMGLQEKDYEEEDDYDTDNLMNDEFEDLEKERGNQQNDQGDATSANPPPAGSQTVPTHNVQQLSLQNLMGAGLVDSDDEEVGDVALIPLGSGSLAQDQSFVQQKDAFGMPETTLEEDAVVQDTDNLQGGLVVAMENPASNSQPAEVHSFMEDFPTPAEAKRKTTKKNKWGPVVAARQSTRIAQDGKSILSRAQEMALQKNLEKPVTKGAVEEVTALVKALATTVPQARHTDLGQMPLAPGEQGFGNSPAGLLKKRRMTMAGPGTPKPLKLF* >Brasy4G422700.4.p pacid=40086728 transcript=Brasy4G422700.4 locus=Brasy4G422700 ID=Brasy4G422700.4.v1.1 annot-version=v1.1 MDPKYKDLICYNCGGPGHYVGNCVQPKVCFICNMAGHHMDNCSAWGRELTMAQFVGSANGGLGFFHINIDEKASSKWLNLTNCGVIAVTHGSISVVELELCMATTWDDSWPWKVRQLEEKSFLVRFPPNKKVSDLVGLPSINLREGGDQDRVTIKILPWDGDIAEVGELTEVWLQIKGIPPKWLSWKVITQISKCFGLLLDVDWNEIFRSMYKTLRVKLAVRNPMKIPPERMIVMRKKFYLLEFTVEWEGVDIDKIMGLQEKDYEEEDDYDTDNLMNDEFEDLEKERGNQQNDQGDATSANPPPAGSQTVPTHNVQQLSLQNLMGAGLVDSDDEEVGDVALIPLGSGSLAQDQSFVQQKDAFGMPETTLEEDAVVQDTDNLQGGLVVAMENPASNSQPAEVHSFMEDFPTPAEAKRKTTKKNKWGPVVAARQSTRIAQDGKSILSRAQEMALQKNLEKPVTKGAVEEVTALVKALATTVPQARHTDLGQMPLAPGEQGFGNSPAGLLKKRRMTMAGPGTPKPLKLF* >Brasy4G422700.5.p pacid=40086729 transcript=Brasy4G422700.5 locus=Brasy4G422700 ID=Brasy4G422700.5.v1.1 annot-version=v1.1 MVGVPASGPKSRRSCHWVLGPRLRVQLGGIEHNGTTGQLFDAGCFARGMKTFQLQRLCVSENGISQPRAYSNFPPSLSSPRLSTELELCMATTWDDSWPWKVRQLEEKSFLVRFPPNKKVSDLVGLPSINLREGGDQDRVTIKILPWDGDIAEVGELTEVWLQIKGIPPKWLSWKVITQISKCFGLLLDVDWNEIFRSMYKTLRVKLAVRNPMKIPPERMIVMRKKFYLLEFTVEWEGVDIDKIMGLQEKDYEEEDDYDTDNLMNDEFEDLEKERGNQQNDQGDATSANPPPAGSQTVPTHNVQQLSLQNLMGAGLVDSDDEEVGDVALIPLGSGSLAQDQSFVQQKDAFGMPETTLEEDAVVQDTDNLQGGLVVAMENPASNSQPAEVHSFMEDFPTPAEAKRKTTKKNKWGPVVAARQSTRIAQDGKSILSRAQEMALQKNLEKPVTKGAVEEVTALVKALATTVPQARHTDLGQMPLAPGEQGFGNSPAGLLKKRRMTMAGPGTPKPLKLF* >Brasy4G360000.1.p pacid=40086730 transcript=Brasy4G360000.1 locus=Brasy4G360000 ID=Brasy4G360000.1.v1.1 annot-version=v1.1 MAPPAKDASSSWTQEVTVAVDYTAELRQMLSVLGRYLQPVYSSQQYHFQGDACDGVTCQLTLSPINNGDQPPRIFRERRKRSEEGFQETARAAMLGLTRIHAAGTAYRLYPSLDRLRLTPAAAAVLPG* >Brasy4G175500.1.p pacid=40086731 transcript=Brasy4G175500.1 locus=Brasy4G175500 ID=Brasy4G175500.1.v1.1 annot-version=v1.1 MASIAPPPPSSVAALRRHPVQFLRGSDVSKETKGSVSYSFVANSRNANMKSVGLRVAASLKNISSFPDDGYLENDDTLLPKSTSVRGQDYPTTDSVLPTDTIVVPEIISADVFRAVDTFSNDDDDTEHDLDSPTEGFSSISEAIEDIRQGKLVIVVDDESRENEGDLILAASLVTPEAMAFIVRYSTGIVCVSMKEDDLERLNLPLMVSTKENEEKLCTAFTITVDAKEGTTTGVSAKDRAKTVLTLASPHSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLPPVGLLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEVGDGQDILVRVHSECLTGDIFGSARCDCGDQLAKSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLITNNPAKYVGLKGYGLSIVGRVPLVTPITTENRRYLETKRSKMGHVYGAANDQVNHPTTGNSTDEKH* >Brasy4G066400.1.p pacid=40086732 transcript=Brasy4G066400.1 locus=Brasy4G066400 ID=Brasy4G066400.1.v1.1 annot-version=v1.1 MEEVEVPLPLEKLALDPHRLQLMDGARRGVVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAVHRIRFCELACESSSFVMVDRWEAMQKGFQRTLTVLKRVKDSLCNNGLADQDSLKVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGKDVQKLVSNSEILQECRDNIISVDEIVPNQISSSRVRECVRRCLSIKYLTCDEVIEYIREHKLYMETEESVSRP* >Brasy4G066400.2.p pacid=40086733 transcript=Brasy4G066400.2 locus=Brasy4G066400 ID=Brasy4G066400.2.v1.1 annot-version=v1.1 MEEVEVPLPLEKLALDPHRDGARRGVVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAVHRIRFCELACESSSFVMVDRWEAMQKGFQRTLTVLKRVKDSLCNNGLADQDSLKVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGKDVQKLVSNSEILQECRDNIISVDEIVPNQISSSRVRECVRRCLSIKYLTCDEVIEYIREHKLYMETEESVSRP* >Brasy4G066400.3.p pacid=40086734 transcript=Brasy4G066400.3 locus=Brasy4G066400 ID=Brasy4G066400.3.v1.1 annot-version=v1.1 MEEVEVPLPLEKLALDPHRLQLMDGARRGVVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAVHRIRFCELACESSSFVMVDRWEAMQKGFQRTLTVLKRVKDSLCNNGLADQDSLKVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGKDVQKLVSNSEILQE* >Brasy4G066400.4.p pacid=40086735 transcript=Brasy4G066400.4 locus=Brasy4G066400 ID=Brasy4G066400.4.v1.1 annot-version=v1.1 MEEVEVPLPLEKLALDPHRDGARRGVVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAVHRIRFCELACESSSFVMVDRWEAMQKGFQRTLTVLKRVKDSLCNNGLADQDSLKVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGKDVQKLVSNSEILQE* >Brasy4G306700.1.p pacid=40086736 transcript=Brasy4G306700.1 locus=Brasy4G306700 ID=Brasy4G306700.1.v1.1 annot-version=v1.1 MGSPRLIAGMGDGDDVLTAVAVLVRPGTTRYRRWCRCYSCSCGRRCLRVAAVGAADRDPKRLGAGGSIPRTTGLGRRASRADRGAVGRKKRRGREGRGPAVGRKRGEKGARCGGDPRGTGTGGGGHDGAARVRRNGAAQGGVETMRGERRDFFY* >Brasy4G325100.1.p pacid=40086737 transcript=Brasy4G325100.1 locus=Brasy4G325100 ID=Brasy4G325100.1.v1.1 annot-version=v1.1 MLLGCGSLSSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLKIQGRSIKHRTLSGDYWSTSPPEMENSALQSQRSMSSISTAAQSSDQHGAGSSSNPKEFVNQGLLLWNQTRQQWVGNRMPNSQRQKSREPKIGWNATYESLLGSTKAFARPIPLGEMVDFLVDGWEQEGLYD* >Brasy4G411800.1.p pacid=40086738 transcript=Brasy4G411800.1 locus=Brasy4G411800 ID=Brasy4G411800.1.v1.1 annot-version=v1.1 MSHSLKKALWWWWHVVAVLPISLLLPPTPDLRTENRSGNPVSPLPSHRPAADQPTTPLLPSHPSVATLLPVSSVEDAGGTKQSLDGRTCKCRWHRRVAVSAGGSGLDGVSKLSGSRSGQIRPGWRWE* >Brasy4G026900.1.p pacid=40086739 transcript=Brasy4G026900.1 locus=Brasy4G026900 ID=Brasy4G026900.1.v1.1 annot-version=v1.1 MANKLLPLTLFLILLGLSSNLASGQILFQGFNWESWKQNGGWYNLLKGKVNDIAAAGVTHVWLPPPSQSVGEQGYMPGRLYDLDASKYGNAAQLKALIGAFHGKGVKVVADIVINHRTAERKDGRGIYCLFEGGTPDARLDWGAHMICRDDRPYADGTGNPDTGADFAGAPDIDHLNTRVQEELTAWLDWLKTDVGLDAWRLDFAKGYSADVAKVYIEKTEPDFAVAEVWTSLAYGADGKPDADQDAHRQELVNWVDRAGGAAGRAAAFDFTTKGILNVAVDGELWRMRAADGKAPGMIGWWPAKAVTFVDNHDTGSTQRMWPFPSDKVMQGYAYILTHPGSPCIFYDHFFDWGLKEEIEHLVAIRTKQGIHKDSKLRIIEADADLYLAEIDGKVITKLGSRFDVGHLIPAGFQVVAHGKDYCVWEKK* >Brasy4G415500.1.p pacid=40086740 transcript=Brasy4G415500.1 locus=Brasy4G415500 ID=Brasy4G415500.1.v1.1 annot-version=v1.1 MALRRIVKELKDLQRDPPTSCSAGPVSPEDMYQWQATIMGPAESPYAGGVFVASIQFPADYPFKPPKVAFRTKVFHPNINSSGSICLDILKDQWSPALTIAKVLLSVCSLLTDPNPDDPLVPEIAHMCKADRARYEQTARTWTHKYAMG* >Brasy4G271000.1.p pacid=40086741 transcript=Brasy4G271000.1 locus=Brasy4G271000 ID=Brasy4G271000.1.v1.1 annot-version=v1.1 MARLAAAVLLLSLLAVASCRALEAEPDAYEGDDSAVVAISDAANGAAVVPQGQAAPAAEAIPAVAAGGEGFLTRLLSDGHRRLHHRRPCRHGFLHRHFLWARLHGLSGRRGVHPDPAELGNKGEERRELDQEEELKHVAEPDPDSRRPADTDGEQEVVEEMKAVAEPDPDSRAEQESEAVKAWKGEMVRRFRHGLRFHHHGHYEQVEENVDQERAAGGMKGFHHHHHEEEEKEEEATRKRFFHHHHGDSENEADEVEELAMRLSRAILRRSFHGRRHHHHHHAEEGGVKKWFKGLVNRF* >Brasy4G169300.1.p pacid=40086742 transcript=Brasy4G169300.1 locus=Brasy4G169300 ID=Brasy4G169300.1.v1.1 annot-version=v1.1 MVAAGGHIDNGDDAVDFRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNAKSANIVTNFMGTLNLLAIVGGFLADAKLGRYLTIAISATIAALGVSLLTVDTTVPGMRPPPCGDPRQSTQEECVPASGGQLALLYAALYTTAAGAGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRSWGYGVSAAAMALGVAVLLAGTAMYRYRRPQGSPLTVIGRVLWAAWKKRKLPLPADAGDLNGFRTAKVAHTDRLRCLDKAAVVDEADLGAPPSKQPEQQTATSTVTQVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLGSFVVPAGSLSVFLFLSILLFTSLNERLLVPLARRITRRPQGLTSLQRVGTGLALATAAMAVAALVEKMRRDHAGNGARISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFVVDAVTRGAWLPNDLDAGRLDLFYWMLAVLGVVNFVAFLVIARGHEYKPSTSAVVAPAGEDSGSSTGKEMDDMIVVKENVEGMDV* >Brasy4G016900.1.p pacid=40086743 transcript=Brasy4G016900.1 locus=Brasy4G016900 ID=Brasy4G016900.1.v1.1 annot-version=v1.1 MAGRSIFHVVLPLLLLLQSAMASDPPFSCGSPSSFPFCDRKLPLGQRAADLASRLTVEEKVSLLGDVSPGVPRLGVPDYKWWSEALHGVANAPADRAGVLFNGPIRAATSFPQVIVTAASFNPHLWYRIGQVIGREARGIYNTGQAQGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYGASGAVNSSGLEASACCKHFTAYDLENWNGVTRFAFNAKVTEQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADQNLLSKTARGDWRFNGYITSDCDAVAIIHDVQGYAKEPEDAVADVLKAGMDVNCGDYVQKHGVSAFHQGKITEQDLDRALTNLFAIRMRLGLFDGNPKYNRYGDIGADQVCKKEHQALALEAAQDGIVLLKNDAGTLPLPLPPKQKISSLAVIGHNANDAQRLQGNYFGPPCISVSPLQALQGYVREAKFVAGCNAAVCNVSDIPGAAKAASEAEYVVLFMGLDQDQEREDLDRLELGLPGMQESLVNAVADAAKKPVILVLLCGGPVDVSFAKGNPKIGAIIWAGYPGQAGGIAIAQVLFGEHNPGGRLPVTWYPKEYAAAVAMTDMRMRADASTGYPGRTYRFYKGKTVYNFGYGLSYSKYSHSFVSKPPTSMMASKLDGLKLKAIETSSGATITSYDVEEMGEEACERLKFPATVRVQNHGAMDGKHPVLLFLRWPESNESGGHGRPARQLIGFRSVRLKAAEAKHVEFEVSPCKHFSRAAVDGKKVIDKGSHFVAVGDDHDEFELSFMA* >Brasy4G051400.1.p pacid=40086744 transcript=Brasy4G051400.1 locus=Brasy4G051400 ID=Brasy4G051400.1.v1.1 annot-version=v1.1 MTEQERSCTEDLGSSSYKETNYTQDCGSNKQMERNCLQHWRKPEFTDKLPAKHIDKVATFSLCFQSSCF* >Brasy4G172900.1.p pacid=40086745 transcript=Brasy4G172900.1 locus=Brasy4G172900 ID=Brasy4G172900.1.v1.1 annot-version=v1.1 MNIGFVDSRPVGNQNLMDGSAVTMSGHSVPSYATAGYGGQTSFSLDFRGSAAMDSYSHGNRGGRRSIHGSAQDDACRLVLGLGPSPELSSADYRPAEGAGKSKAPVTLFGRSLSFNNPGTMSLGLRDQGDGAEATVQNSEAPAGNIISFSVDEGSTSGLRSSGGYLPSMLFAPRPNLSVAEEARDDLLDHTDNTNGGSSAQHGLQFSPEPSATTMTETSFGMSSDAVTNNSGQPAQRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPRCHKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGYNGCPKAARGKSGRCIKHGGGKRCAVEGCIRSAEGKVGLCISHGGGRRCQHPDCRKGAQGSTLYCKSHGGGKRCVFEGCSKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTSYCVAHGGGKRCSVAACGKSARGRTEFCVKHGGGKRCRVESCGKSAQGSTEFCKAHGGGKRCTFGGLTGGGCEKFARGRSGLCAAHATLVASQSSRQQQKNGGGSLIGPGLFRGIVSSSAAAASAMNCDYSSSGVSTVSDCDGSPVGRQELIPPQVLVPLSMKSSSASVPSTSSDRRREGGELSVPEGRVHGGGLLSLLGGSFRNVVDVDKL* >Brasy4G165600.1.p pacid=40086746 transcript=Brasy4G165600.1 locus=Brasy4G165600 ID=Brasy4G165600.1.v1.1 annot-version=v1.1 MEQYEVVEQIGRGAYGTAYLVVHRAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPHIVEYKDGWVDEGTSVCIVTSYCEGGDMAQRIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLGDFGLAKLLMEDLASSIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRSAFKATDMATLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQSRKPSGGRKRVVKANEALQTAAEQTVETRDSSTNYSDVSTVGTQDACISQISLHPEARNKDQQTTDVLSLQHTENLTETTDRQIDETICLKAIRTSSSVEVAPVNDANQKLNEAPIPNEELTIGVVQEQRKDVKTHSFQGTKPGMGDVDAVTEESSPVSTLKLGNAVSTPAEFDHLNIVQQRADALESLLEICAKLLEQERLDELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKFEGSPKLS* >Brasy4G165600.2.p pacid=40086747 transcript=Brasy4G165600.2 locus=Brasy4G165600 ID=Brasy4G165600.2.v1.1 annot-version=v1.1 MEQYEVVEQIGRGAYGTAYLVVHRAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPHIVEYKDGWVDEGTSVCIVTSYCEGGDMAQRIKKARGVLFSEECSNILLTKDNNIRLGDFGLAKLLMEDLASSIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRSAFKATDMATLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQSRKPSGGRKRVVKANEALQTAAEQTVETRDSSTNYSDVSTVGTQDACISQISLHPEARNKDQQTTDVLSLQHTENLTETTDRQIDETICLKAIRTSSSVEVAPVNDANQKLNEAPIPNEELTIGVVQEQRKDVKTHSFQGTKPGMGDVDAVTEESSPVSTLKLGNAVSTPAEFDHLNIVQQRADALESLLEICAKLLEQERLDELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKFEGSPKLS* >Brasy4G272600.1.p pacid=40086748 transcript=Brasy4G272600.1 locus=Brasy4G272600 ID=Brasy4G272600.1.v1.1 annot-version=v1.1 MKVVIFENETFKRTLTDALRPVAALALRRVPESVSRHMGGDNHRSGGFSWRLYIELPSALLWALAYCGAMGSELLMGCLALQTWIRQGRQHGSFALTSLFPDHGVALLPYPGRPPSSPTTASPGARR* >Brasy4G097400.1.p pacid=40086749 transcript=Brasy4G097400.1 locus=Brasy4G097400 ID=Brasy4G097400.1.v1.1 annot-version=v1.1 MEVAAPVPKHKVKKQINLFYCAECEELALKVAASSDAIQLQSINWRNFPDGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARVLSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDAENITIAFPDDGAWKRFHKLLVNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPNQSYERFMTANSAGPGDQFAYFWITDSCPQTVKAISRHSPFEVLSLAGSIADALQI* >Brasy4G137600.1.p pacid=40086750 transcript=Brasy4G137600.1 locus=Brasy4G137600 ID=Brasy4G137600.1.v1.1 annot-version=v1.1 MFLTEKYHALFPFHHHRKAPASDASSGSRFDAALAARLGSLLPLPASPLAVLARVADLLAATLADAAPALLAAGGEGDASSAVAEHLEAGVALLDACNAIAARVDRLRRRRLLARFALHLLSPSPLSPSSTRRARAALADRDAVDPKSPPLPSIPFDPPRRHHHRGHQITPAARVLLAVNAVSSLAASVAAAILDRSNTTTLFPLVPPTSNLPWAEPFNAVSISLSALASTTTTEVDAVDEAVEGSDEAAAAVRAAAREVEARTEELAARLERLSDAVNGVFRAALRLRDAELGTFMAAGPAAQPTRK* >Brasy4G332900.1.p pacid=40086751 transcript=Brasy4G332900.1 locus=Brasy4G332900 ID=Brasy4G332900.1.v1.1 annot-version=v1.1 SVAVGGETSSDERRRSRGGSNQQHGRGLGAATAGVESGEAAVGGRIRGWGAAKQQFGGGLYPGEATARASWAAAAAEAAGGGTLTERRRGDRRMAREGMREKKRKAEPVSIPRWHFGYK* >Brasy4G364700.1.p pacid=40086752 transcript=Brasy4G364700.1 locus=Brasy4G364700 ID=Brasy4G364700.1.v1.1 annot-version=v1.1 MGNKKRLAPFFLAILLVTTLLETVPDQVAGDDLVCNIQWQPCTGVCYESGKCMRCCKEYGYYHGRCNLAKGDGCYCCHDPNDSGDGGDDGQQQPRH* >Brasy4G145800.1.p pacid=40086753 transcript=Brasy4G145800.1 locus=Brasy4G145800 ID=Brasy4G145800.1.v1.1 annot-version=v1.1 MEEQVPMIDSKLKLQMKTDPVTDADAGTNKQSRFKSEKSAVKTHCTPTNIIPEVEEDDLIIPSFIMPNDDYYADGAVEMDTGKLDGQLVMEKEDDLSTPPLIMPSDDDYYADGAVKLDGQLVMEKEADLSTPPLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPSLIMPNDDDYYADGAVDEMDRGKLDGQIGMEKEDDLIIPSFIMANDDDYYADGTVDEMDRGKLDGQLGTEKEDDLINPSFIMPNDDDYYAGGAVDEMDRGKPDAQLAIEKDEMAYMEWNITQYRSYWETIWGKTCSSFSDITTLSSMQFTHLTPEGTSRYDVGTETTLQIFTIKLTEIKGGFRWPLSVYGLVAARDHVDGYRNLLFFCDSTKPQELSKDDPFLRLIGPSRAIVLTDTVDFEIQLKVKRSAKPDRTLITDIWRYTCPGVSTFSFKNCFCTAEVCLEQVKRSVQATILGVRVVKCGSWPSEHGCRVAAYPSTRKDVSSDIDGITNYANLSSRLILLLDSKDGKLTKSSDGYLNLSRQVVSVQLAGKLEVVIESYLPSGVIAERGLVCFKAKDSHISQDKCLLGDAEVEITVAWSLLVKEQQDIESPDFLDGF* >Brasy4G145800.2.p pacid=40086754 transcript=Brasy4G145800.2 locus=Brasy4G145800 ID=Brasy4G145800.2.v1.1 annot-version=v1.1 MEEQVPMIDSKLKLQMKTDPVTDADAGTNKQSRFKSEKSAVKTHCTPTNIIPEVEEDDLIIPSFIMPNDDYYADGAVEMDTGKLDGQLVMEKEDDLSTPPLIMPSDDDYYADGAVKLDGQLVMEKEADLSTPPLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPCLIMPNDDDYYADGAVKLDGQLLMEKEDDLSTPSLIMPNDDDYYADGAVDEMDRGKLDGQIGMEKEDDLIIPSFIMANDDDYYADGTVDEMDRGKLDGQLGTEKEDDLINPSFIMPNDDDYYAGGAVDEMDRGKPDAQLAIEKDEMAYMEWNITQYRSYWETIWGKTCSSFSDITTLSSMQFTHLTPEGTSRYDVGTETTLQIFTIKLTEIKGGFRWPLSVYGLVAARDHVDGYRNLLFFCDSTKPQELSKDDPFLRLIGPSRAIVLTDTVDFEIQLKVKRSAKPDRTLITDIWRYTCPGVSTFSFKNCFCTAEVCLEQVKRSVQATILGVRVVKCGSWPSEHGCRVAAYPSTRKDVSSDIDGITNYANLSSRLILLLDSKDGKLTKSSDGYLNLSRQVVSVQLAGKLEVVIESYLPSGVIAERGLVCFKAKDSHISQDKCLLGDAEVEITVAWSLLVKEQQDIESPDFLDGF* >Brasy4G256200.1.p pacid=40086755 transcript=Brasy4G256200.1 locus=Brasy4G256200 ID=Brasy4G256200.1.v1.1 annot-version=v1.1 MSPSSSTSSSPASPRTPTRTCNGGPWSWAKLASKFQLGLVEDAMVLLQTGRRLASAAFRRESVCWSEDSYSSSAAAAWPRSIVAKPERPARH* >Brasy4G178500.1.p pacid=40086756 transcript=Brasy4G178500.1 locus=Brasy4G178500 ID=Brasy4G178500.1.v1.1 annot-version=v1.1 METRSRKRAEASSSSTTSPSTRSKRSRTNPNPSPTAPTSSPAQATQRTRRSAASNPPPPPAPQPSMDTPADNNPNPPPRRRGRPSNADKGKERQQQQEPSESSRLREAERMLGLSFDGMEDDDDAGLGSGALPHSLTTASSALQGLLRKLGAGLDDYLPSSALSAAAAASSSVSGQHGGRLKKMLVGLRADGEDGKQVEALTQLCEMLSICTEDSLGAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCRKLPSDASDFVMEAVPLLTNLLNYHDTKVLEHASVCLTRIAEAFASSPEKLDELCNHGLVAQAAGLVSVSNSAGQASLSTATYTGVIRLLSICASGSPLAAKTLLLLGISGTIKDILSGSGLVAGITVSPTLTRPADQMYEIVNLADEVLPPLPVGTVSLPAHSHVFMKGSAVKKPASIKQEESGSVNNEVSGREKLLRDQPQLLQQFSMDILPIMTQVYGSSVNGPIRHRSLSVIAKLMYYSSAEMIEFLLGTTNISSFLAGMLASKDPHVLVPALQIAEILMEKLPGTFSKMFVREGVVHAVESLICLEISSPMLSQVSLPDNDIDSGTCTSSRSRRSRRRSSAVNTENNSLDESKGSHPIMANSQPSTLENPNTSLRAAVSDRAKSFKDKYFPSGPGSSDTAVTDDLLKLRALCATLNTTADTVKTKAKGKSMSLGGDDFDILSDVEKQLDDTVAEILSELSKGDGVSTFEFIGSGVIETLLNYLSCATFGREKVSEANLPKLRRQALRRYKSFIYVALPNDAVGNRTPMAFLVHKLQSALSSLERFPVVISHSGRTSSLGGSRQSSGLSALSQPFKLRLCRAQGEKSLKDYSSNIVLIDPLASLAAVEEFLWPRVQRNESIASTAVSSVKNSESVTPDAPAPVPSSTPSVRRPSTRSKSLAAASCAAKKDSQDGSINTSKGKGKAVVQSTSDEPKGLRYTRAAASRKAASEKDAEVKLPHGQSSSEDEELDASPFEDEDAMMIDDDNDDVSDDDHEVLRGSHPLRVPDRVHDVKLGDGHDSSIASVANDNQIQPSSGSTMKDTNSSRGSGAAEFRNPSMLGSRGALSFAAAAMAGLASAGSRGIRGSRDRHGLSFGTSASDHNKLIFASGGKQLSKHLTLYQAMQRQVVHDEDDEERLGGSDLPNDGSRFWSDIFTITYQKADNEVDMKGSASVLKSSKSDFSGATSEVQCTSLLDSILQGELPCDFEKSTQTYKILALLRVLEGLNQLSPRLRLQATCDDFAEGKVATLDGLSGIGAKVPSEEFVSSKLTPKLARQIQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQHFYSTAFGLSRALNRLQQQQGDNNNLATEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRVGLGLWRSDSPHNSDSLEAKKHDSAERRNLIQAPLGLFPRPWLSTTVSSEGSKFFKVVEYFRLAGRVMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDTEFGKILQELQVLVERKRFLESCDLNQQVGDLCFHGSPIEDLCLDFTLPGYPDYVLKEGKENTLVCIYNLEEYISLVVDATTKTGIMRQIEAFKAGFNQVFDMSSLQIFSPQELDYLICGRRELWEPETLVEHIKFDHGYTSKSPAIVNLLEIMAEFTLEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSTSQNNSNTAGATETADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAINEGQGSFDLS* >Brasy4G306300.1.p pacid=40086757 transcript=Brasy4G306300.1 locus=Brasy4G306300 ID=Brasy4G306300.1.v1.1 annot-version=v1.1 MDLTRACPGSFTHFFPSLPHLDAESPQKVTALGGSSLETREPARRQRGGQATVAWRPTTLPPPIGSRDAQIGPSPAMASPAAEVSGEPAEGKGKEEETKKGGGVLGRIWRGLFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRRTVRNIIVLSVLAEVVAVAYAFMMTRNEDLTWQMRAIRVLPMFALPAISSVLYSAVVNFTRMRERKDEKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASALASKLGVESGLKIHLGEEPNLDAAVARSNEVDILPTDGLRNRKQPNVRGGRTGSTTAAHTSAQGAESSSTLSAGLENVQPQRVVEHYQGSGASDGGWVAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSTVHYSGSSSGPSTPVAPADGLSATSSVVESELINMATVQELPKEEHEEKQEVEAS* >Brasy4G082000.1.p pacid=40086758 transcript=Brasy4G082000.1 locus=Brasy4G082000 ID=Brasy4G082000.1.v1.1 annot-version=v1.1 MKKPSTMLRSLSTRLSARLAPSPAVAPWPPVRSAYDRWLSAELDELRAAPCTRAAWLARALALAVAAQRRLAASTATDIGIDRKTIEDCVEDTAELLDACAGLRARLDLIRGHASSLRVALHWLEGAGTGAQDAVTAVTARQRASAALAECEAVERRCGAELAKCGSNLRKLGERASKQQNSSASPLAGARATALLAVGTLGAALSFRARRAVPGIGIGTGTGIGKGGSGWEHAMQEAQRHVREEYERRRKEGVPCLAELEAAAADARTLKLALGGGHGRRFPDRAELEAARRRCDELEEKASALEEGIVEMRRELIGVRMVLLEWSQRARGHEVLRL* >Brasy4G279400.1.p pacid=40086759 transcript=Brasy4G279400.1 locus=Brasy4G279400 ID=Brasy4G279400.1.v1.1 annot-version=v1.1 RLQKLFSILAEERLDKKEIILFATFDPPDPPVPGNIGHFCVVGVNLKLKRFELLDSLRGSDDPDAHKVFHKMVHGIKKLWKQADNAEGESFTPKSIDHFNMEYVRVPKQGTAHDCGFFMLQILQSWDGESLVIFKQADILNIRMTLLYSWLTTGDFNIDLQAVLGVDGGTPLGLEEDNFTLFETQFCTPEVHVIPEIDYLNQTSKAKKALSR >Brasy4G318300.1.p pacid=40086760 transcript=Brasy4G318300.1 locus=Brasy4G318300 ID=Brasy4G318300.1.v1.1 annot-version=v1.1 MPSVTVKLYSLIFKLLLRRRLSSLSVSDPAPASSFGVSSRPADHHPSPHSNPAFSSAAPDAVATKDLHPDPLSSLHLRLFLPNPHHAAPLNNPPPPLRRNSFPPPASDVDGQHLLSRRPSASFHGVSSSASSQPHYGGYLPTARSARKLPVIVQFHGGAFVTGAADSAANDAFCRRIARHCDAIVVAVGYRLAPENRYPAAFEDGVTVLRWIAKQANLAACGRMMAKGAGTCGTDSFGAAMVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLQDPVKVVAQVLMYPFFTGSSPTQSELKLANSYFYDKSTCLLAWKLFLPEDEFSLDHPAANPLLPGRGPPLKLMPPTLTIVAELDWMKDRAISYSEELRKVNVDAPVLEYKDAVHEFATLDGLLKTPEAQACAEDIAIWVKKYISLRGHEFSY* >Brasy4G384700.1.p pacid=40086761 transcript=Brasy4G384700.1 locus=Brasy4G384700 ID=Brasy4G384700.1.v1.1 annot-version=v1.1 MSGRGRNGGGWFDLLLFPYLALCHGHRRRLRLPPQNPAYTASSASLDLSKQICRLHGMPSFPPLPVPLDFPPPPPLLISVFFFF* >Brasy4G246300.1.p pacid=40086762 transcript=Brasy4G246300.1 locus=Brasy4G246300 ID=Brasy4G246300.1.v1.1 annot-version=v1.1 MLHVCDWIYSFPYQHRRLILIGVAALCWTIWKTRNETCFQSKFPKDPTNLVFLLCYWIKFWAGLQKSDVMKKLISGAQLIQLVASEVLNFLECWSLDVHPLYKLRDAHD* >Brasy4G035100.1.p pacid=40086763 transcript=Brasy4G035100.1 locus=Brasy4G035100 ID=Brasy4G035100.1.v1.1 annot-version=v1.1 MATRPASRQRKASPAAKSSQQQQQPPQSGSPTSTATTSSSRLTPEMSVDGPASPLFAGLDEDPGTKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVGYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGIYGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQSLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSYGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPLKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKSALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMLDNESTELLTSGEGFGVTLEESSKEEKKNRKGLLNWFKLRKRDAGGASTLTSSECDKSSLTKSTAPSTPIGESLNFHAEPRISNSFVADSASADMLSIGNGEFPSDGLHGEETPLVSTRTIDHVDLLREQLKILSGEVAFHKSVLKRLTDEAEKNPNSEKIQMKMKKISDEIKGKQQQIASLEKQIPHSMSNSQVKDEKSELSPSYAELLEQLNEKSFELEVKAADNRVIQDQLQEKTSECLELQDAVSRLKEHLSQALQANDSLSNSIMTQQSAGVNHEDQHHADQGKSVPKEISAEPLQKEQQNFQSVEIGELKQRLCELTEVKAQLEVRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASLRSPTPRRVSNGPRGTTRRDSMSRRHEPASRRDGNANHERERVLETILAEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSHGDDQEDLEAKYNGS* >Brasy4G356000.1.p pacid=40086764 transcript=Brasy4G356000.1 locus=Brasy4G356000 ID=Brasy4G356000.1.v1.1 annot-version=v1.1 MAPPPQSLEYWRGFFNSSQASIFDAIDAVIRVAAADHPDGLRARRDAIAQHLYTVLPPRALLPRAEKAVLAADISPPLLPEGPAVAPHRDPVVAEAFRVKVALISRNKQKSEDELLDLLRRLQLLKFTVYVIRVTEIVKAVKPLRKHVSKKIRELARSLIEGWQAKVNESTSNNEAATVDHTPRSMDPSWLEQEEGGLPCPPMDEAALFANQCTFVDLSEFFNGIDDDGNIILNAKENGQQYPTNKEHLVKRQPPMGQQVDPKQNCRLDHSVARQSQLPGWQTRHQSTAEAQRTPSNAAFGPGRPPRLHSEPVGNMVSPKQREDISVAQTQRRPKSTMPDLEGTKRKLQNSYQEDNEAKKQRTIILLDPQDLPKQGRRRLKLNGKPRNNSTSNIRNRIGGASVASIRLR* >Brasy4G206300.1.p pacid=40086765 transcript=Brasy4G206300.1 locus=Brasy4G206300 ID=Brasy4G206300.1.v1.1 annot-version=v1.1 MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL* >Brasy4G367800.1.p pacid=40086766 transcript=Brasy4G367800.1 locus=Brasy4G367800 ID=Brasy4G367800.1.v1.1 annot-version=v1.1 MDMSGMVAADSPSGGGGSPLPRPSRYESQKRRDWQTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHGPGCPFFGLPSPPGPCPCPLKQAWGSLDALVGRLRAAFEEHGGRAEANPFGARAVRLYLRDVRDSQAKARGIAYEKKRRKRNNPQKPKQEKKEKPPKQEAAAEVASAAAGEIRPPEPVATPYPPYYLFPHGHLFQGHYLAAAPASGEGGYAAAAALDGVVHGGGAGAGEDIVLVMAAAAAAAEAHAAGCLMPLSVFN* >Brasy4G437200.1.p pacid=40086767 transcript=Brasy4G437200.1 locus=Brasy4G437200 ID=Brasy4G437200.1.v1.1 annot-version=v1.1 MELFPRQPDLARPTSSCSSASSPPAPAPTTAWRRPLDTTTAGNNMDQFSHLGFWRATDSAKDGDLRTPSARTAAPPSNHLLSSATAGSYRQQQQVNGISHGGCYSNGNNHHYYERMNALLKPIRGVPIYHHHPFQLQQQQQQQKHMVMGSRSINSSGVGGGFLSSRFSAGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPTVSSGQLEGFDNGSAGEISDESLPETLSTRRISTDQNGTSANSHSSNTYNGLWSNSSSRVGCPELGPNTTEIGKLRHKEAAAAPSKSIEISAEMNASCISYQTSSPPAQPNLEFTLGIRTTPAHH* >Brasy4G437200.2.p pacid=40086768 transcript=Brasy4G437200.2 locus=Brasy4G437200 ID=Brasy4G437200.2.v1.1 annot-version=v1.1 MELFPRQPDLARPTSSCSSASSPPAPAPTTAWRRPLDTTTAGNNMDQFSHLGFWRATDSAKDGDLRTPSARTAAPPSNHLLSSATAGSYRQQQQVNGISHGGCYSNGNNHHYYERMNALLKPIRGVPIYHHHPFQLQQQQQQQKHMVMGSRSINSSGVGGGFLSSRFSAGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPTVSSGQLEGFDNGSAGEISDESLPETLSTRRISTDQNGTSANSHSSNTYNGLWSNSSRVGCPELGPNTTEIGKLRHKEAAAAPSKSIEISAEMNASCISYQTSSPPAQPNLEFTLGIRTTPAHH* >Brasy4G437200.4.p pacid=40086769 transcript=Brasy4G437200.4 locus=Brasy4G437200 ID=Brasy4G437200.4.v1.1 annot-version=v1.1 MELFPRQPDLARPTSSCSSASSPPAPAPTTAWRRPLDTTTAGNNMDQFSHLGFWRATDSAKDGDLRTPSARTAAPPSNHLLSSATAGSYRQQQQVNGISHGGCYSNGNNHHYYERMNALLKPIRGVPIYHHHPFQLQQQQQQQKHMVMGSRSINSSGVGGGFLSSRFSAGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQDSLKVLITDQRVRSPMRAYRKPSAPAGLVQIKMEPVQILTAATLTMVCGATLQAG* >Brasy4G437200.3.p pacid=40086770 transcript=Brasy4G437200.3 locus=Brasy4G437200 ID=Brasy4G437200.3.v1.1 annot-version=v1.1 MELFPRQPDLARPTSSCSSASSPPAPAPTTAWRRPLDTTTAGNNMDQFSHLGFWRATDSAKDGDLRTPSARTAAPPSNHLLSSATAGSYRQQQQVNGISHGGCYSNGNNHHYYERMNALLKPIRGVPIYHHHPFQLQQQQQQQKHMVMGSRSINSSGVGGGFLSSRFSAGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQDSLKVLITDQRVRSPMRAYRKPSAPAGLVQIKMEPVQILTAATLTMVCGATLQG* >Brasy4G437200.5.p pacid=40086771 transcript=Brasy4G437200.5 locus=Brasy4G437200 ID=Brasy4G437200.5.v1.1 annot-version=v1.1 MELFPRQPDLARPTSSCSSASSPPAPAPTTAWRRPLDTTTAGNNMDQFSHLGFWRATDSAKDGDLRTPSARTAAPPSNHLLSSATAGSYRQQQQVNGISHGGCYSNGNNHHYYERMNALLKPIRGVPIYHHHPFQLQQQQQQQKHMVMGSRSINSSGVGGGFLSSRFSAGRRSLRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPTVSSA* >Brasy4G198700.1.p pacid=40086772 transcript=Brasy4G198700.1 locus=Brasy4G198700 ID=Brasy4G198700.1.v1.1 annot-version=v1.1 MAFVGGGAAAAAALAVAFLLPLLAAASDSDHKYQEEDPVTLWVNKVGPYNNPQETYNYYSLPFCHKSDNPVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKETICSMELDPDKAKQLSDAIENSYWFEFFIDDLPLWGFVGEADKNNDNKYFLFTHKNILIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVMFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLSGLVSMILMRTLRNDYAKYARDDDDLETLERDVNEESGWKLVHGDVFRPPRSLALLSALVGIGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKSMIMTASLFPFMCFGIGLALNTVAIFYRSLAAIPFGTMVVMFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYNVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD* >Brasy4G198700.2.p pacid=40086773 transcript=Brasy4G198700.2 locus=Brasy4G198700 ID=Brasy4G198700.2.v1.1 annot-version=v1.1 MAFVGGGAAAAAALAVAFLLPLLAAASDSDHKYQEEDPVTLWVNKVGPYNNPQETYNYYSLPFCHKSDNPVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKETICSMELDPDKAKQLSDAIENSYWFEFFIGFVGEADKNNDNKYFLFTHKNILIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVMFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLSGLVSMILMRTLRNDYAKYARDDDDLETLERDVNEESGWKLVHGDVFRPPRSLALLSALVGIGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKSMIMTASLFPFMCFGIGLALNTVAIFYRSLAAIPFGTMVVMFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYNVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD* >Brasy4G341300.1.p pacid=40086774 transcript=Brasy4G341300.1 locus=Brasy4G341300 ID=Brasy4G341300.1.v1.1 annot-version=v1.1 MEQATYCYLFLALLLPLLLKLLTKKKDGNKRLRLPPGPWRLPLIGSMHHLAKDPLTHRVMADLARRLDAPLMYLKLGEVPVVVATSPEAARDVMRTHDVLLATRPWSPTIKIMMADGQGLVFARYGAAWRQIRKICILELLSARRVASFRAVREDEASRLVSAVSADAGSGSGAVNVSARIAVLITDSAVRAMIGDRFERREEFLQALEEGLKIVTGFSLGDLFPSSRLAGLVGGTARLARENHRKCSELMDYAIKQHEDRIKANANGAGDGGEEDLVGVLLRIQKEGGLDEPLTMGMIKAVILDLFSAGSETSATTLQWAMSELMRNPDVMRKAQAEVRGKLQGKPKVTEDDLGELKYMRLVIKETLRLHPAAPLLIPREAMEQCEILGYDVPKGATVMVNAWAIGRDPKHWEEPEEFRPERFESGAVDFKGTDFQYVPFGAGRRMCPGMAFAQASMEIVLAALLYHFDWELPGGAKPAELDMAEEMGITVRRKHDLCLNAVVRVPPAC* >Brasy4G262800.1.p pacid=40086775 transcript=Brasy4G262800.1 locus=Brasy4G262800 ID=Brasy4G262800.1.v1.1 annot-version=v1.1 MGLVSAAASVVPGATPVPPAPRQRWSPRPSRSFTARVAAEPPQPAVAPVYAPTPRDRPLRTPHSGYHYDGTARPFFEGWYFKVSIPEVRQSFCFMYSVENPFFRDGMSALDRILYGPRFTGVGAQILGADDKYICQFSEKSNSFWGSRHELVLGNTFIPNKGSTAPEGEVPPQEFSNRVLEGYQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPLCGWGDVTSKQKSTAGWLAAFPLFEPHWQICMAGGVSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVALTAAGGLRKIGLGDTYESPSLIGVHYDGVFYEFVPWTGTVSWDIAPWGQWEMSGENKSHLVEIEATTKEPGTTLRAPTMEAGLVPACKDTCYGDLKLQLWEKRHDGGKGKMILDTTSNMAALEVGGGPWFNEWKGTTVSNELVNSIIGTQVDVDSLLPIPVLKPPGL* >Brasy4G262800.3.p pacid=40086776 transcript=Brasy4G262800.3 locus=Brasy4G262800 ID=Brasy4G262800.3.v1.1 annot-version=v1.1 MYSVENPFFRDGMSALDRILYGPRFTGVGAQILGADDKYICQFSEKSNSFWGSRHELVLGNTFIPNKGSTAPEGEVPPQEFSNRVLEGYQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPLCGWGDVTSKQKSTAGWLAAFPLFEPHWQICMAGGVSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVALTAAGGLRKIGLGDTYESPSLIGVHYDGVFYEFVPWTGTVSWDIAPWGQWEMSGENKSHLVEIEATTKEPGTTLRAPTMEAGLVPACKDTCYGDLKLQLWEKRHDGGKGKMILDTTSNMAALEVGGGPWFNEWKGTTVSNELVNSIIGTQVDVDSLLPIPVLKPPGL* >Brasy4G262800.2.p pacid=40086777 transcript=Brasy4G262800.2 locus=Brasy4G262800 ID=Brasy4G262800.2.v1.1 annot-version=v1.1 MGLVSAAASVVPGATPVPPAPRQRWSPRPSRSFTARVAAEPPQPAVAPVYAPTPRDRPLRTPHSGYHYDGTARPFFEGWYFKVSIPEVRQSFCFMYSVENPFFRDGMSALDRILYGPRFTGVGAQILGADDKYICQFSEKSNSFWGSRHELVLGNTFIPNKGSTAPEGEVPPQEFSNRVLEGYQVTPIWHQGFIRDDGRSKYVPNVQTARWEYSTRPLCGWGDVTSKQKSTAGWLAAFPLFEPHWQICMAGGVSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFSGASGEVALTAAGGLRKIGLGDTYESPSLIGVHYDGVFYEFVPWTGTVSWDIAPWGQWEMSGENKSHLFLRLK* >Brasy4G195900.1.p pacid=40086778 transcript=Brasy4G195900.1 locus=Brasy4G195900 ID=Brasy4G195900.1.v1.1 annot-version=v1.1 MVKLAFGSCGDSFSATSIKSYVAEFIATLLFVFAGVGSAIAYGKLTDDGALDPAGLVAVAIAHAFALFVGVAIAANISGGHLNPAVTFGLAVGGNITILTGIFYWVAQLLGATVACFLLKFVTHGKAIPTHGVAAGMNELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVADQDYA* >Brasy4G389500.1.p pacid=40086779 transcript=Brasy4G389500.1 locus=Brasy4G389500 ID=Brasy4G389500.1.v1.1 annot-version=v1.1 MSPLRSFASLLVGKISSRRFPMGEKPHGAVTAGGALSAFLGGVWYFNKEEAQEPVARKLTDGESLKESFVLTDGESLKESFILTDGKFLKESIVLTDGESLKESFNARGSDTFETNDFSNETEEVRPGYRGGSVPTAEEFEMLKLRLRELGRGH* >Brasy4G389500.2.p pacid=40086780 transcript=Brasy4G389500.2 locus=Brasy4G389500 ID=Brasy4G389500.2.v1.1 annot-version=v1.1 MGEKPHGAVTAGGALSAFLGGVWYFNKEEAQEPVARKLTDGESLKESFVLTDGESLKESFILTDGKFLKESIVLTDGESLKESFNARGSDTFETNDFSNETEEVRPGYRGGSVPTAEEFEMLKLRLRELGRGH* >Brasy4G375200.1.p pacid=40086781 transcript=Brasy4G375200.1 locus=Brasy4G375200 ID=Brasy4G375200.1.v1.1 annot-version=v1.1 MEIAAAAVGCSKEHQKIYADWFALADPDGDGRVTGADATKFFAMSGLSRTDLKQVWAIADSKRQGYLGFGEFAAAMQLVSLAQAGKEITQNSLKLEDLSSLDPPVMKGVDELLARSMAIVNVVRKEENDTPQVQAPFGNNWFSSKSAKKMQTPLTAVTSVVDGLKRLYVEKLKPLEVAYRFNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGPDERTVPGNTIAVQADMPFNGLTTFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNESAVGPIGKDLFEKEQEDLLADLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAVMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPDVEHFKEVLGGYSIDKFEKMKPKMVQAVDDMLAYDIPELLKNLSNPYQ* >Brasy4G393700.1.p pacid=40086782 transcript=Brasy4G393700.1 locus=Brasy4G393700 ID=Brasy4G393700.1.v1.1 annot-version=v1.1 MAQTPPSSALAAAAAPCEGERKAATINGELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVEAQVPNYPNLPSKLICLLHSVILQADPDTDEVYAQMTLQPVNTYAKEALQLSELALRQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPSLDFSLQPPCQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVSGKKLFAGDSVIFVRDEKHQLLLGIRRANRQTTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKAMYSNQISLGMRFRMTCETEELGTRRYMGTITGISDLDPVRWKSSQWRSLQVGWDESAAGERRNRVSIWEIEPLAAPFFICPQPFFGVKRSRQLDDESSEMENLWKRAMPWLGEEVCIKDAQTQGATIPGLSLVQWMNMNRQQSSSLASTSMQSEYLRSASNPAMQNFGAADLARQLYMQNHLLQQNNIHLNSPKLHEQAKPVNDLCKETLPLDQLGAIRKQQDQNQDGGSQQRQQQSSNQVIPLSQAQTNIVQAQVILQNRMQQQQQQQPSPTQNQQGASGQQLLQSHLQQDQNLQLQQQLLLQQQLKQQQQQQQQQQQQQQQQQQQQQQLNKLPGQLVNPASQQTKLSDQELHLQLMQKLQQHSLMSQSAVTLSRLPLIQDQQKFLVDMQQQLSNSDALAQQVIPQQQERRTSLQTTQVPLPMQQEQQHKLSQKQVAPADVSEAAFTPISSSSVISKTGNTKIISRAAQSALTEEMPSCSTSPSTANGNHFAQPNISRNEHCRISTEKVPHSTAQMFIPTSTEAVTPIITKELPKLNNNVIPSVTTSKLPNGVSGLQNFMNNVVPTDNLETASSATSLWPSQTDGLLHQGFPSSNFNQHQMFKDALPDVEIQGVDPSSSALFEMNNDGPLGFPIETEGLLGNALDSVKYQNYISTDDENNYQMQKDARQEISTSMVSQSFGQSDMAFNSIDSAINDGALLNRNSWPPAPPPQRMRTFTKVYKRGAVGRSIDIGKYSGYGELNQALARMFGIEGQLEDRQRIGWKLVYTDHEDDVLLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNTVLPNQACSSSDGGNAWRPRCDQNSRNPSIGPFDQFE* >Brasy4G400600.1.p pacid=40086783 transcript=Brasy4G400600.1 locus=Brasy4G400600 ID=Brasy4G400600.1.v1.1 annot-version=v1.1 MMRGKSASARPRAGTSQPRRFSAPRTATKAAASAVGSSPSGELSRQLESVTLRNFFSDAAALKPIGEEAPAPAPAPPQPMDADVPMEDKDCCILSQDFFCTPDYLTPDAPPLASCFDSDKENIPCPKSPEKSVARSKRYKRDCSPKDLRSASLLELDEQELTPVPCGLCQDDSEEEQMARPSLQKRGSIVPQSARTLRSQVTPPPCIKNPYNTDPRIDDGVFNLRQGKSSGSSPSIGAYGLSRYRSDFHEIEQIGRGNFSVVFKVLRRIEGCLYAVKRSIKELHSDRDRRLALKEVQTLVALGNHENIVGYFTSWFETEKLYIQMELCDRCLSMSGNPLLKHEEALELLCQVSKGLDFIHGRGIAHLDVKPDNIYVKNGIYKLGDFGCATLIDRSLAIEEGDARYMPPEMLNDEFEHLDKVDIFSLGATVYELIRGTPLPLSGPQFTSLREGKFALLPGRPIQFQNLIKLMMDPDPTRRPSAKEILRHPIFEKLHQGSGPGKDIEQLHPIFRSRQ* >Brasy4G223800.1.p pacid=40086784 transcript=Brasy4G223800.1 locus=Brasy4G223800 ID=Brasy4G223800.1.v1.1 annot-version=v1.1 MNAGEADTAEQEKGGDGGAVGCDGEVGAKAVSLEELRKKMADFARERDWEQFHFPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSKKHTCYSSDTNAVANENATTLTGDSEHNNGV* >Brasy4G102600.1.p pacid=40086785 transcript=Brasy4G102600.1 locus=Brasy4G102600 ID=Brasy4G102600.1.v1.1 annot-version=v1.1 MKNKGGRKIFGFMVKEEKEEKKGSVEFQVFGFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLISQLNIREQ* >Brasy4G044100.1.p pacid=40086786 transcript=Brasy4G044100.1 locus=Brasy4G044100 ID=Brasy4G044100.1.v1.1 annot-version=v1.1 MAANQQQLLQHTIHHPSFSSSSPSSLFYKRASSIKIHSSRVDRMAVLVARQGRELQRYSASTGGRIVVGCIPYRVREKEGEDKGDEMEVLVISSQKGHGMMFPKGGWEVDESMDEAARREALEEAGVRGDTEPVLGMWHYKSRRYHDQTYEGIMFPLHVTHELLQWPEMASRKRTWATVQEVMEGCQHAWMREALQQLVARHAKLQSAL* >Brasy4G001100.1.p pacid=40086787 transcript=Brasy4G001100.1 locus=Brasy4G001100 ID=Brasy4G001100.1.v1.1 annot-version=v1.1 MSRRICSSNSVAMLFLLMLLLSPANIHHAAGGNPANDLVALINAKRTASKLPPLRNSKGLGCMALQYISHCITANANSCSATTNNNTVCQAPDTDITEVYAANCGVELPTVDVISGRLAGCSTDAQAADPLADINMTVIRGKEHTQVGAGFDRARRRHGPFFWCLLFSSGSPNSTFQLEAAGKGITQTHGCFSSPDTLLTCSSGTGYASSAALLPLLLFLIFLLS* >Brasy4G241600.1.p pacid=40086788 transcript=Brasy4G241600.1 locus=Brasy4G241600 ID=Brasy4G241600.1.v1.1 annot-version=v1.1 MADELNELIDFLSNSSPQVRGAAADIVRGLTGDGEGLRSLTARADRALPALLRLLASVGGGAGEAAADSLVNLSQDGALAARLVALGAVAAAMDVMVKRSGEQPKLARSLVMLLVNLTQVESGIAALLQVGDEKVQGLYVAKLVRSFCRSSSECEDEDIFEHIASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKIYSEEDRSKMPLELANALSHEREAVEDSEIRERALEAIYMIVMQDDGRRAFWSVNGPRILQVGYEDEEDLKVMGAYELIGSLGMSASPFLSCAGLAEAGLSRLDRHMPTVISRSSGQK* >Brasy4G241600.2.p pacid=40086789 transcript=Brasy4G241600.2 locus=Brasy4G241600 ID=Brasy4G241600.2.v1.1 annot-version=v1.1 MADELNELIDFLSNSSPQVRGAAADIVRGLTGDGEGLRSLTARADRALPALLRLLASVGGGAGEAAADSLVNLSQDGALAARLVALGAVAAAMDVMVKRSGEQPKLARSLVMLLVNLTQVESGIAALLQVGDEKVQGLYVAKLVRSFCRSSSECEDEDIFEHIASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKIYSEEDRSKMPLELANALSHEREAVEDSEIRERALEAIYMIVMQDDGRRAFWSVNGPRILQVGYEDEEDLKVMGAYELIGSLLVGKGEIEQDQEQGQDKP* >Brasy4G241600.3.p pacid=40086790 transcript=Brasy4G241600.3 locus=Brasy4G241600 ID=Brasy4G241600.3.v1.1 annot-version=v1.1 MADELNELIDFLSNSSPQVRGAAADIVRGLTGDGEGLRSLTARADRALPALLRLLASVGGGAGEAAADSLVNLSQDGALAARLVALGAVAAAMDVMVKRSGEQPKLARSLVMLLVNLTQVESGIAALLQVGDEKVQGLYVAKLVRSFCRSSSECEDEDIFEHIASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKIYSEEDRSKMPLELANALSHEREAVEDSEIRERALEAIYMIVMQDDGRRAFWSVNGPRILQVGYEDEEDLKVMGAYELIGSLVLLLNF* >Brasy4G241600.4.p pacid=40086791 transcript=Brasy4G241600.4 locus=Brasy4G241600 ID=Brasy4G241600.4.v1.1 annot-version=v1.1 MADELNELIDFLSNSSPQVRGAAADIVRGLTGDGEGLRSLTARADRALPALLRLLASVGGGAGEAAADSLVNLSQDGALAARLVALGAVAAAMDVMVKRSGEQPKLARSLVMLLVNLTQVESGIAALLQVGDEKVQGLYVAKLVRSFCRSSSECEDEDIFEHIASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKGDHFCGHDCWFQ* >Brasy4G145100.1.p pacid=40086792 transcript=Brasy4G145100.1 locus=Brasy4G145100 ID=Brasy4G145100.1.v1.1 annot-version=v1.1 MGEADDARDAVVEEMDVDGGERHRSKERRERHRREKDHHAKRDRGRDRDRGKDRERDTERERDKEKERRDRDKERNRNRDKDKEKERPEKEDREREKSRGKDADLSKSNEGDQKKGVDPPREAEQTSTSTLRERIARAKEGRSKDKKEGGILDDKDDASEILSWVGKSRKLDEKRQAEQEKALRLARVFEEQDDMLAENSDDDDEEDKHGGDHLSGVKVLHGLDKVIEGGAVVMTLKDQSILADGDINEEADMLENIEIGEQKQRNEAYKASQKKGTYDDKFNDDPLSKKPMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVLKKTEDLTSAAKMASDYFTPDEMLQKDGKRQSAREEEQKVDAEKRSSAYQIAITKAEEASKALREEKMSGKSAQEEGLVFGEDYEDLQKSLEQARKLSLRKQEEAAGSGPLAFAELASANKGQVDADAAEGDTQQNKVVITEMEEFVWRLQLNEETRKPEVEDVFMDEDDDTMPLGTLAKDDIRGLPVIKEETNIEDPVNDEEEEVKPDDVVHEAAVGKGLAGALKFLQERGTLNEGTNWGGRTTDKKKSKLVEIRIERMDEFGRVSICHKLLIFTMTRVLFWDYCNRTSPKEAFRDLSHKFHGKGPGKMKLEKRQKKYQDILKTKQMKSSDTPLMSAEKMREAQARGQIPYLVLSGNAKSGSTGDASGFASVEKAHPGSLTPMLGDKKVEHFLGIKRSAQAGSMPPLPPKKPKN* >Brasy4G383000.1.p pacid=40086793 transcript=Brasy4G383000.1 locus=Brasy4G383000 ID=Brasy4G383000.1.v1.1 annot-version=v1.1 MSSVSTISSGGMAMAISMDDSPEDHQLVDTRLSLFSGAGISRPPPPKAQALLMQAAPQPEHQASPAGRKKNKGSKQNKRAATMSTHSDVEDGQQMGSGDGARRKKLRLTEEQTALLEESFRAHNVLSHGEKQDLARRLRLRARQVEVWFQNRRARTKLKQTELDCDLLRRLCDRLTHDNALLRRQLADFRSAGSSSSSSSSSRLTWNSDACPSCSKIAGAGLSL* >Brasy4G417000.1.p pacid=40086794 transcript=Brasy4G417000.1 locus=Brasy4G417000 ID=Brasy4G417000.1.v1.1 annot-version=v1.1 MLVLLSLLLITHGACSSILCSAIPTDASTDKLSLLDFKRAITKDPTRALRSWNASTPHCPWEGVECSRRHPGRVTVLSMWNLGLVGTMSPSLGNLTFLKILELTNNNFTGELPPLNRLHRLLELSLKNNSWQGMIPLTLANCSNLEYLDLSSNMLIGEIPLTLANCSNLEYLDLSSNFLTGEIPLNIGFLSNLERVYLSDNHLTGSIPDGIGQLQNLLGLLLDGNRRLSGGISPSVLNLTFLEVLYLHNNMLEGTLPSNIGDMLPNLMWFRLDYNKFEGHIPASLGNISGLETLDLSFNNFIGQLP* >Brasy4G218600.1.p pacid=40086795 transcript=Brasy4G218600.1 locus=Brasy4G218600 ID=Brasy4G218600.1.v1.1 annot-version=v1.1 MLKLDVGEAAACEVTTRRPCCATKIRKRCALSSSSGATSDPLRRLRLKRGVVVLRRTGGGNNAGGGSPCPSRRKMSESSWKVNSSAKVSARKLVNALWQMNEGSLLLQEEEEEAGLRGSAAHRRCASSVEISKRSRTKNRVLDADGEWFSDKLSNGGSVGVHARGEDSSSMCSMGRDGNRTAHLQDMYRSLTASKELVRVLASIWAGPGDLNPSTAASLLAALRSELDLARAHARRLAKEESRRGDEAELLKKRLSAEAHAWKSRQREKAAATVRVVAEELDGERRSRRRAERVNCKLGEALAEAERALRAAEKELERERKSRERLEKVCEELVGSDPGEEVMRAAQAELDKEREMLRLADELREERVQMKLLEARLQFEEKNAVVDQLRGELQAFLDTTKKESPAAADEKNRLENASQGAAFDEPIAPVAALGNKTDREDEDDSEGSDMHSIELNADGSRQECGWSYSTAASKEKAASSDRGITECAVDPWSAGAAAFDRQSLGEFEGGQLWDNNEDGGCSVRTSRDDVDEDSERYQAIKNLREQMLAGHGFIFLSQADEADADRQYDRCGLMCHDEDGKR* >Brasy4G218600.2.p pacid=40086796 transcript=Brasy4G218600.2 locus=Brasy4G218600 ID=Brasy4G218600.2.v1.1 annot-version=v1.1 MLKLDVGEAAACEVTTRRPCCATKIRKRCALSSSSGATSDPLRRLRLKRGVVVLRRTGGGNNAGGGSPCPSRRKMSESSWKVNSSAKVSARKLVNALWQMNEGSLLLQEEEEEAGLRGSAAHRRCASSVEISKRSRTKNRVLDADGEWFSDKLSNGGSVGVHARGEDSSSMCSMGRDGNRTAHLQDMYRSLTASKELVRVLASIWAGPGDLNPSTAASLLAALRSELDLARAHARRLAKEESRRGDEAELLKKRLSAEAHAWKSRQREKAAATVRVVAEELDGERRSRRRAERVNCKLGEALAEAERALRAAEKELERERKSRERLEKVCEELVGSDPGEEVMRAAQAELDKEREMLRLADELREERVQMKLLEARLQFEEKNAVVDQLRGELQAFLDTTKKESPAAADEKNRLENASQGAAFDEPIAPVAALGNKTDREDEDDSEGSDMHSIELNADGSRQECGWSYSTAASKEKAASSDRGITECAVDPWSAGAAAFDRQSLGEFEGGQLWDNNEDGGCSVRTSRDDVDEDSERYQAIKNLREQMLAGHGFIFLSQADEADADRQYDRCGLMCHDEDGKR* >Brasy4G218600.3.p pacid=40086797 transcript=Brasy4G218600.3 locus=Brasy4G218600 ID=Brasy4G218600.3.v1.1 annot-version=v1.1 MLKLDVGEAAACEVTTRRPCCATKIRKRCALSSSSGATSDPLRRLRLKRGVVVLRRTGGGNNAGGGSPCPSRRKMSESSWKVNSSAKVSARKLVNALWQMNEGSLLLQEEEEEAGLRGSAAHRRCASSVEISKRSRTKNRVLDADGEWFSDKLSNGGSVGVHARGEDSSSMCSMGRDGNRTAHLQDMYRSLTASKELVRVLASIWAGPGDLNPSTAASLLAALRSELDLARAHARRLAKEESRRGDEAELLKKRLSAEAHAWKSRQREKAAATVRVVAEELDGERRSRRRAERVNCKLGEALAEAERALRAAEKELERERKSRERLEKVCEELVGSDPGEEVMRAAQAELDKEREMLRLADELREERVQMKLLEARLQFEEKNAVVDQLRGELQAFLDTTKKESPAAADEKNRLENASQGAAFDEPIAPVAALGNKTDREDEDDSEGSDMHSIELNADGSRQECGWSYSTAASKEKAASSDRGITECAVDPWSAGAAAFDRQSLGEFEGGQLWDNNEDGGCSVRTSRDDVDEDSERYQAIKNLREQMLAGHGFIFLSQADEADADRQYDRCGLMCHDEDGKR* >Brasy4G218600.4.p pacid=40086798 transcript=Brasy4G218600.4 locus=Brasy4G218600 ID=Brasy4G218600.4.v1.1 annot-version=v1.1 MLKLDVGEAAACEVTTRRPCCATKIRKRCALSSSSGATSDPLRRLRLKRGVVVLRRTGGGNNAGGGSPCPSRRKMSESSWKVNSSAKVSARKLVNALWQMNEGSLLLQEEEEEAGLRGSAAHRRCASSVEISKRSRTKNRVLDADGEWFSDKLSNGGSVGVHARGEDSSSMCSMGRDGNRTAHLQDMYRSLTASKELVRVLASIWAGPGDLNPSTAASLLAALRSELDLARAHARRLAKEESRRGDEAELLKKRLSAEAHAWKSRQREKAAATVRVVAEELDGERRSRRRAERVNCKLGEALAEAERALRAAEKELERERKSRERLEKVCEELVGSDPGEEVMRAAQAELDKEREMLRLADELREERVQMKLLEARLQFEEKNAVVDQLRGELQAFLDTTKKESPAAADEKNRLENASQGAAFDEPIAPVAALGNKTDREDEDDSEGSDMHSIELNADGSRQECGWSYSTAASKEKAASSDRGITECAVDPWSAGAAAFDRQSLGEFEGGQLWDNNEDGGCSVRTSRDDVDEDSERYQAIKNLREQMLAGHGFIFLSQADEADADRQYDRCGLMCHDEDGKR* >Brasy4G245900.1.p pacid=40086799 transcript=Brasy4G245900.1 locus=Brasy4G245900 ID=Brasy4G245900.1.v1.1 annot-version=v1.1 MANPYAFLRRPHIDPFFFPFQRSLVSPSSPTKQALPLLSLLPAASSHDHSKTLSSRGYACDHRQHKKEDDHDMNISLQIGPPNPNCAPNPVNSRDIDAPVAASQDRCSGGDQKVEEEEEELEDDDDDGSDDLCLEYLAVGKLTKGKYWIPTVTQILIGPTTFTCPVCCKTFSRYNNLQMHMWGHGAQYRRGPESLRGTQPAAMLRLPCFCCAPGCRSHVDHPRARPLKDFRTLQTHYKRRHCGKPFLCRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHVRAFGHVERPPA* >Brasy4G079000.1.p pacid=40086800 transcript=Brasy4G079000.1 locus=Brasy4G079000 ID=Brasy4G079000.1.v1.1 annot-version=v1.1 MSSSSGPQAVGQPSRSASPITADRSSGHLLLKIHNYSSTTNLPTGQFISSDYFSLGGHRWRVDYYPNGVRADVADYISLCLVLAQDAPKPVKVRCELSLVSESGQENDVVPPVAWAWVDTFFFPYGRLCCARFVRRADLEASKHLRTDDSLTVRCVVTKKYTAEEIAAFVPVPPCDLARNLGELLETGKGADVVFEVGGKTFAAHRCVLAARSSVFRAELFGPTKEGNTALGVVRVQDMEAEAFKALLHFAYTGSLPEMPKEGESIAFQHLLVAADRYKMERLKLICEQKLCEHIDRDTVASIFAVAGQHHCAGLKKACFRFVACPENLGALLGSDSFKRLIRSFPDIMSELVTKAMCCRSSSPRP* >Brasy4G199700.1.p pacid=40086801 transcript=Brasy4G199700.1 locus=Brasy4G199700 ID=Brasy4G199700.1.v1.1 annot-version=v1.1 MASAPAAGACGDAGGLAEPLLAAANGSSLGAKDKYWVPADEEEERLGASQEDGRQLLYRTFRVKGALINLYRLLTLVRVIVVILFFTWRMKHRDSDAMWLWWISVVGDLWFGVSWLLNQLTKLKPRKCIPNLSLLREQFEQQPVDGSSSGLPVLDVFINTVDPVDEPMLYTMNSVLSILATDYPAEKHATYFSDDGGSLVHYEGLLETAKFAGLWVPFCRKHCVEPRAPESYFWMKTRLYAGNAPEEFVDDHRCMRMEYEEFKARLDALSTVIAQRSEACNHANTKVKCENATWMLDGTQWQGTWIEPAKGHRKGHHPAILQVMLNQPSNEPQLGMPASSDNPLDFSTVDVRLPMLVYISREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSQAFRAAMCFMLDRRDGDGTAFVQFPQRFDDVDPTDRYCNHNRMFFDATLLGLNGIQGPSFVGTGCMFRRIALYGADPPRWQPDDDSKALQQHSPNIFGTSAAFVNSMPMAADQERSVATPVTLDEAELSDVMTCAYEDGTEWGNDVGWVYNIATEDVVTGFRLHRAGWRSMYCAMEPDAFRGMAPINLTERLYQILRWSGGSLEMFFSRFCPLLAGRRLHPMQRIAYVNMTTYPVSTFFIVMYDLYPVMWLFHGHFYIQKPFQTFALFVAVIIATVEVIGMVEVKWAGLTLLDWFRNEQFYIIGTTGVYPTAMLHILLRSLGLKGVSFKLTAKKLMTAGSARERLAELYDVQWAPLLAPTVVVLAVNVAAIGAAVGKAVAWRWSAVQVAEAATGLTFNVWMLLLLYPFALGIIGRWSKRPYVLFALLVAAVAAIASVYVVLVGFVPDFLSSRDLGPVPSSKLV* >Brasy4G174400.1.p pacid=40086802 transcript=Brasy4G174400.1 locus=Brasy4G174400 ID=Brasy4G174400.1.v1.1 annot-version=v1.1 MALCGQTDDVLEKCIKDVLSVIKPVDYDRELRLRAIKELRDSISSLETVELQNNLGVATSKDKKMDVLEQVQKALQIKGIHVEFIRTAKVPILQYVSSTGIPCDVSADNYPGQIKSRVFFWINSLDCGFRDMVLLVKEWAKSQNINDPKNGTLNSYSLCLLVIFHFQTCKPAILPPLKEIYDDNIRDTSVWCIIAGNSPLTYLDKVCQANIARFRCKNKWERNQSSLSHLFATFFHMFPCTNTTHTAISTFEGQLQDRTNFSSHLLVEDPFERPGNAARAVTLEKIYTITSAFNRAKSVFAVDGYRDELLSLLCIPEVGKIISAIDEEEAMTFIDMLLEEDDLQEEDHLHLSDNILDLLQFLHISEEDEEKEHDNR* >Brasy4G296000.1.p pacid=40086803 transcript=Brasy4G296000.1 locus=Brasy4G296000 ID=Brasy4G296000.1.v1.1 annot-version=v1.1 MRGKSRRLSPPAMAADATARLEDLQLAQIFLRLPSPATLARAAFACRRWRRVALSPPFLRQFRRLHPPQLVGFFVCNGGYRVRRVGGERPVLEISDPTFLPAAPTPPRGDEGGVAAACRDFSLHRLPKIDRWTLADARDGLLLLCSSSSADRMNFPRNFVVCDPLSCRSVLVEDVPRYELDHESAYLGAALITLDDDGVASAGGSSTTTVSFEVLLVSYFMYGPRLCVFSSRTGQWSVLPEARCGNKFVMPMLSGVGDPAHANGRVYWVMDDDSEAYLMVLDTRTKEFSTVRLLASMREQYDGNMRVVRTEDGELWIVAMARGVVLHLWHLDRSRSTKGRWVRERVVELALFEGVVELCVDSCGGRIRIMDAGEGIVFLKNFSSWVFAVSLEGRRMLKLPHEKFSSGPALPYRMALSPPLPRQPQGFTSNLLVLF* >Brasy4G406000.1.p pacid=40086804 transcript=Brasy4G406000.1 locus=Brasy4G406000 ID=Brasy4G406000.1.v1.1 annot-version=v1.1 MGLFRRIAGMLGMSRDDADHHDAAAAGTGGGGVPHDKVAAAAAAAAAAGHQTRRGFSVQVPVPVDRPAAGPVLMPCAPGDGGVQGFRWYTRRLRIDEDGDVADEFLEEVLPESLINNDATPVGRFQVKYNTRPTALAMRKQTIAIDGDIRHSLEYQGQLRWV* >Brasy4G371100.1.p pacid=40086805 transcript=Brasy4G371100.1 locus=Brasy4G371100 ID=Brasy4G371100.1.v1.1 annot-version=v1.1 MGRGSGRVLLLALALFLTLHTPASAVPKGYCVFFDDLASSSSLLNGLTLEFTILYRLDSIGVIGLLIDDSLVAGLLKLSGVLAVIPDTLYKPQTTHSWEFLGLESGGKANPEWEQTTEYGQGVTIANVDTGVSPTSASFRDDGLAAPWRWRHRAACNAGKECNKKLIGARFFSKAVQVESLHHGNFSRLNHTDLNSPRDYDGHGSHTLSTAGGGFVGGASAFGHGTGTAKGGSPRARVASYKACFLPNTCSGIDILAAIVTAVDDGVDVLSLSLGEPPAHYITGLMELGGLYAVRKGVVVVAAAGNDGPDPGSVTNVAPWMFTVGASTMDRDFLAQVTFRITTTTTKTIKGRSLSDSTVPAGQEHPMISGEKASATESTKNSTLCLPGSLDQAKVKGKIVVCTRGVNGRTEKGQVVKEAGGIGMILCNDESTGESTMAEPHVIPAAHCSFSECKDLLTYLQSESSPVGYIEAKDAQLGVKPAPVMAGFSSRGPNTITPQILKPDITAPGVGVIAAYGELQATATDLPSYNILSGTSMACPHVAGIAGLLKTKYPEWSPAMIKSAIMTTADNYSQILEETGAAATPFGFGAGHVNPLKALDPGLVYDTRPDEYASFLCSTTRTKPTQAQTLTGILGLAAGGLLRLPIPLFSRLLSLLLGVSPFQCSSSGFRPEDLNYPSITAVCLSPGTAVTVKRRVKSVLDAMTTPRTYAVAVVQPAGIKVTVEPSTLSFVKMYEEKEFSVKLEVYDAAAAADYVFGSIEWSDSDGKHRVRSPVAGTTKCA* >Brasy4G374000.1.p pacid=40086806 transcript=Brasy4G374000.1 locus=Brasy4G374000 ID=Brasy4G374000.1.v1.1 annot-version=v1.1 MIPSIYTRTGGRRTTISVHTFRLSPAAGRELAELISSPLPDTMSKQGGKQKPLKAPKAQKKEYDETDLDNLKKKKDEEKALKELRAKAAQKGPLGGAGLKKSGKK* >Brasy4G006100.1.p pacid=40086807 transcript=Brasy4G006100.1 locus=Brasy4G006100 ID=Brasy4G006100.1.v1.1 annot-version=v1.1 MDSSHETTVEVVMATPAMYSGCSDSDASFDGYQHTGMMSGIQALEEDGFGSTQLHEEIIADSEEESLSSSPETSSTSNYGYMQQDLQNISNALHEMVDKEGPVVLNPDFAFCDSALHLRPHLTFSSEGFKIEHFDMASCEDDEMMTTLCWDVCDIVSIGCKWTESVESAFITLLVGSSAETRNSGPVRVEFCLTDPHWPMNQEKIWHLASRYQEIWNTPSEDFAPESWSIEPSLFYPKQYFFGTSDFEDIIYPKGEPDAVSISSRDVELLLPETFVNDTIIDFYIKYLSTRIETTVKRRFHFFNSFFFRKLKDLDKDQGRAPEGRTAFLRVRKWTRKIDIFAKDFLFIPVNFNLHWSLIVICHPGEVATYEDGETKVPGKVPCILHMDSLKDSHSGLKDIVQSYLWEEWKERHPESALDISDKFLNLRFVSLELPQQDNSFDCGLFLLHYVELFLMDVPSSFNPLKIDVHSSFLSDDWFVPAEASLKRSLIRKLIHELVTEPSPNFPKLACGLEQLNERHRRSEHTEQEGAREFPALGCSVGEPDTVCQIPETCQQSTSICLNDSERGLPLSGSISETGGVAMFAVQNMLVCPPDSDSVVCLPSPGAKNKSLLADLDSQLDLRSCAEALGDGCVVKDKDTYEESVLDSLHNNQKNSSQAEVNVLGIMDSKELSLEANRNEVEDDCDDSKDMDSFMMLDASKDDIGPDAESTRGEAEHSRCDLPDVMDCVAPGDINGDSEQINIAEVDNGRGPISDDMGSVAEGETSKDVDVHSAETNVDAESEDTRHEDTRVDVKAEDATEDDTKEASAAVIERHVSSEPKEGRNTDSATAGDSRNGTNEACADGEDDACDNLAAVPLCEDDAPRSDDAEMPHLGGGTSSAENETVVTEGSSSSKPSDATKRPLPDDRCSQNDAAAAAAEECGEAKLQEHHYKRRKVSPPEPEPEPEPEPEEEE* >Brasy4G323200.1.p pacid=40086808 transcript=Brasy4G323200.1 locus=Brasy4G323200 ID=Brasy4G323200.1.v1.1 annot-version=v1.1 MAPQHFLVVAFPGQGHINPARALAERLARAVPGAQVTLSAAVSAHRRMFPSLASPDEEIIIPDAADGISYVPHSDGYDDGFNLFDATGDEAWAHVETAARVGRATLSAALDRLAARGRPVTCVVYAMLMWWAAEVARERGLPRALYWIQPATMLAAYYHYLHGYEGLITAHADEPGFTVAMPGLPPMAIRELPSFFTKLADRTLAAAFDDIRKTFEQLDLDTSTGEKPMVLVNTVEALEAGVLASLPGLDLFPVGPAVVSLFADTRRSPGTDTVRDLYEHDDEKRYMEWLDAKPARSVVYVSFGSMSAVSKRQKQEIKRGLAAAGRPYLWVVRKNNRDDDEDDHFVEQDAGMVVEWCDQGRVLEHGAVGCFVTHCGWNSTLESVACGAPAVAVPQWSDQDTNARLVAEEWGTGVRAAIDADRVVEAGELARCLELVMGDTEKGAAIRGSSAAWKAKVQEAIADGGSSDLHLRSFLGFLDRFITRET* >Brasy4G084700.1.p pacid=40086809 transcript=Brasy4G084700.1 locus=Brasy4G084700 ID=Brasy4G084700.1.v1.1 annot-version=v1.1 MPAHTIHSPARVPDPLSLRSPDGVSSPFDPCPPRLTKGDEGFALELARRGGFACLTALHRPPPPFPLGSSPLRPASLEIVGFLGAPDCPSRAAAVTDGGSPGATACRYR* >Brasy4G136200.1.p pacid=40086810 transcript=Brasy4G136200.1 locus=Brasy4G136200 ID=Brasy4G136200.1.v1.1 annot-version=v1.1 MANRSISARDQLQPEITLSRGPLSRCLTENATATLTLDVTSYLELDGMGVGEFVSSRAFSVGGHDWVLRFYPDGDWDNDYAGINTSAFVHYQGEARHVRAKFTMEMLQKIAGAEVAVASYDSVSSVFSPGLWKDDWGFQVFVEKSKLRELSELGDGCSFTIRCVLTVVTNESPPMELPGSLERALKDGKGADVTFDVSGSAFRAHRFMLAARSPVFEAQLFGPMAEKDMGRVEVVDVEPAIFEMMLHYIYTDSLPPCGDDDEGGGGYGAAVMQHLLVAADRYGIDRLKTMCEEKLCESIDVKTVTSTLALANQHFCKRLKDACLEFMSSPEVLGAVLETDMYKELIASCPRLSLENES* >Brasy4G051700.1.p pacid=40086811 transcript=Brasy4G051700.1 locus=Brasy4G051700 ID=Brasy4G051700.1.v1.1 annot-version=v1.1 CLCGTILIKYIQVKVTCYFWEKSWWKRGGETLAVCESDGGKRLHKSFFFPSVDETGLKRFLFYKHIYAMY* >Brasy4G241100.1.p pacid=40086812 transcript=Brasy4G241100.1 locus=Brasy4G241100 ID=Brasy4G241100.1.v1.1 annot-version=v1.1 MCCSWKCLESLFCQIMLLTFFSANFARWTCMYSSTEMSGCSVVCRFYIVHYCSDVSV* >Brasy4G117300.1.p pacid=40086813 transcript=Brasy4G117300.1 locus=Brasy4G117300 ID=Brasy4G117300.1.v1.1 annot-version=v1.1 MASSSAAEPGARLSDRTKRSPLGLRAMVLLMHVVFVGAVFMLDSTLDRRIHQEPWYIGVYGVLVLVTLVQYFYTAGSSPGYVIDAMQASGGMHSTFINTATLSKQSSSKHGSLKSPMNRPQLEKPGPMSSSSSWLHQIVDLYPPGSSNRDWTCTYCKVFQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKKNHCRFWWYIFEETILSTWTVALYIESLHLDIDKAWWKDFIGVILLAILIFILIFLLLLLMFHTYIALTNQTTYEVARRKRIFYLRGAPDKVHPFSKGICRNIYDFCFSRESGFVLEAVPPLEELEARAAPYTCRDIFCCRCC* >Brasy4G343000.1.p pacid=40086814 transcript=Brasy4G343000.1 locus=Brasy4G343000 ID=Brasy4G343000.1.v1.1 annot-version=v1.1 MADDDAVAADIICSLRGADLAGWTPPWSKLVEAAPSAGELSWPAVARGKRSRRRSPSAPEGKKGRRCAARSSPPSPLDYSAGSASLRSGASTSGGEDGGGGFCSPWHRRAAPATTKVESIRRPQLTFPAPPPRPTGQRQRKKMRLPEVQQLVRSLTAENGSLHEEMRALQRACSALAKENDKLEARVEQRSNSRNAIALKEQKGKQQTDQQPPDDSFALPDLNLPAQDNADAIHC* >Brasy4G351800.1.p pacid=40086815 transcript=Brasy4G351800.1 locus=Brasy4G351800 ID=Brasy4G351800.1.v1.1 annot-version=v1.1 MQDSTHTTTCDLLPRIETRRSPSSFFKCRAPPFMAHVGAAAVRSNVPVKAVAFEPAHPKDSDATPTRLSGGPPTYSERPKPRTAPHLYFIRRSYSYTSPVAAFPSRRRLLPRPVAGASCPDLSPAPPLSHPSLPLLLCSPPQASLVPAGPRSSPPQRPATKLHCRRLIHRWPQQGKGPKGNRVTLHRWWLQILPCTIKLKQPLRDHALGEALAFLT* >Brasy4G372500.1.p pacid=40086816 transcript=Brasy4G372500.1 locus=Brasy4G372500 ID=Brasy4G372500.1.v1.1 annot-version=v1.1 MASPQPPAPPPAESPPPPAPTTVLALGDDLLREIFVRLPSLPSLVRAAFTCHAFLDAIRSSPAFRSRFREDHPQPLLGFFFDPDGPAIPSFAPLRRRDEPDLAAAVCGADFFLTRLPDEDDASPGWSISDCHDGYVLFYNSGTEQFAAYNPIARALDLIPPTPDEIFDGCRGPPAYLDGFIVFSGEGNGPFRLVNTCYDDSRARAAVFSSESREWQIFPWSEAMTKPGEEYWLKGGTMVNGFIYWVHTSDAYMLVLDTATLQFSQMDLPACLAGQDYLFRVGEAKDGKLCIVCPIEFKLLVWVWRPDDDGTKRWMLDHKFHLETIVDETKGSLEEHAELDTVFQKRYDSHVHPYIMAWPPSLVQNKVCPQLEDA* >Brasy4G372500.3.p pacid=40086817 transcript=Brasy4G372500.3 locus=Brasy4G372500 ID=Brasy4G372500.3.v1.1 annot-version=v1.1 MASPQPPAPPPAESPPPPAPTTVLALGDDLLREIFVRLPSLPSLVRAAFTCHAFLDAIRSSPAFRSRFREDHPQPLLGFFFDPDGPAIPSFAPLRRRDEPDLAAAVCGADFFLTRLPDEDDASPGWSISDCHDGYVLFYNSGTEQFAAYNPIARALDLIPPTPDEIFDGCRGPPAYLDGFIVFSGEGNGPFRLVNTCYDDSRARAAVFSSESREWQIFPWSEAMTKPGEEYWLKGGTMVNGFIYWVHTSDAYMLVLDTATLQFSQMDLPACLAGQDYLFRVGEAKDGKLCIVCPIEFKLLVWVWRPDDDGTKRWMLDHKFHLETIVDETKGSLEEHDSGAGHGLPEEI* >Brasy4G372500.5.p pacid=40086818 transcript=Brasy4G372500.5 locus=Brasy4G372500 ID=Brasy4G372500.5.v1.1 annot-version=v1.1 MASPQPPAPPPAESPPPPAPTTVLALGDDLLREIFVRLPSLPSLVRAAFTCHAFLDAIRSSPAFRSRFREDHPQPLLGFFFDPDGPAIPSFAPLRRRDEPDLAAAVCGADFFLTRLPDEDDASPGWSISDCHDGYVLFYNSGTEQFAAYNPIARALDLIPPTPDEIFDGCRGPPAYLDGFIVFSGEGNGPFRLVNTCYDDSRARAAVFSSESREWQIFPWSEAMTKPGEEYWLKGQDYLFRVGEAKDGKLCIVCPIEFKLLVWVWRPDDDGTKRWMLDHKFHLETIVDETKGSLEEHDSGAGHGLPEEI* >Brasy4G372500.2.p pacid=40086819 transcript=Brasy4G372500.2 locus=Brasy4G372500 ID=Brasy4G372500.2.v1.1 annot-version=v1.1 MASPQPPAPPPAESPPPPAPTTVLALGDDLLREIFVRLPSLPSLVRAAFTCHAFLDAIRSSPAFRSRFREDHPQPLLGFFFDPDGPAIPSFAPLRRRDEPDLAAAVCGADFFLTRLPDEDDASPGWSISDCHDGYVLFYNSGTEQFAAYNPIARALDLIPPTPDEIFDGCRGPPAYLDGFIVFSGEGNGPFRLVNTCYDDSRARAAVFSSESREWQIFPWSEAMTKPGEEYWLKGGTMVNGFIYWGRITYLGLARPRMGSFASSARLNSSFLFGFGDPMMTAPRDGC* >Brasy4G372500.4.p pacid=40086820 transcript=Brasy4G372500.4 locus=Brasy4G372500 ID=Brasy4G372500.4.v1.1 annot-version=v1.1 MASPQPPAPPPAESPPPPAPTTVLALGDDLLREIFVRLPSLPSLVRAAFTCHAFLDAIRSSPAFRSRFREDHPQPLLGFFFDPDGPAIPSFAPLRRRDEPDLAAAVCGADFFLTRLPDEDDASPGWSISDCHDGYVLFYNSGTEQFAAYNPIARALDLIPPTPDEIFDGCRGPPAYLDGFIVFSGEGNGPFRLVNTCYDDSRARAAVFSSESREWQIFPWSEAMTKPGEEYWLKGGTMVNGFIYWGRITYLGLARPRMGSFASSARLNSSFLFGFGDPMMTAPRDGC* >Brasy4G116400.1.p pacid=40086821 transcript=Brasy4G116400.1 locus=Brasy4G116400 ID=Brasy4G116400.1.v1.1 annot-version=v1.1 MDQVQPNSSNLRSCGPAKSLSRSGEQPALPDQGERREVVVKIDANGNGPAPFSVLGAADGGAGPAGNGRNSAGSTPRASVSAASPPRSPAKVWRDGSYEFWKDEGGGGGRVGGGGAGLRGEDFSFKNRPPQPSQASSPSLSPQQAVEGGGEDPPTRLIGNFLRKQRASGAELSLDLDPEMEDLGRSPQLSVSNSREKEARVSFKDRQKRASSSSSSSDSDDGGSSRRRAGDEGEVIRCATTSTAAGAGPLLRAKTRSRLMDPPPQSPQAPVVDEERKSSAMRPPRSGQFPSGRMAEKPGQSPSGRMGGKSGQFPSGRMGGKSGPMMSKSGTMDEEEDDPFIHEDIPDDFKRGKLDALTILQWVSLVLIIGALACSLTIPVLSRKKVWELHLWKWELLVFVLICGRLVSGWVIRIAVFCVERNFVLRKRVLYFVYGVRGAVQNSLWLGLVLASWHFLFDENVQRETNTPVLPYVTKVLFCLLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPQLVDEDYVLAEVCELQRAGAVIPKELHAAMPTKNLLTQKSIRISGLISKGGSKQLSKEKKEREIDEGITIDKLHRLNQKNVSAWNMKRLMKIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAQMAAKKIFNNVAKPGSKYIYLADLMRFMRQEEAIKAMHLFEGAQEHCRVSKRSLKNWVVTAFRERKALALTLNDTKTAVNKLNQMTNIIVGVIVFALWLLILGIATTHFFVFLSSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQLVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNFYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIKVLKDLDIEYRMLPLDVNVRNAPPLQSTRMPTTWNYS* >Brasy4G076200.1.p pacid=40086822 transcript=Brasy4G076200.1 locus=Brasy4G076200 ID=Brasy4G076200.1.v1.1 annot-version=v1.1 MYVVKRDGRQEAVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTMKSFSDTVKVMYTHFNERSGLMAPLIADDVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFDFLDLRKNHGKEENRARDLFYALWIPDLFMERVQRNEQWSLFCPSEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPIESHPAKLVGSNGSKNRYFDFDKLAEITSTVTCNLNKIIDINYYPIETARRSNMRHRPIGIGVQGLADTSILLGMPFDSPEAQQLNKDIFETIYYHALKASAGLAAKEGPYETYNGSPVSKGILQPDMWNVVPSDRWNWPAIREMISSVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPALKNNIIYEDGSVQKISEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQANSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKVVQDKQQSTEEEDLQAKMAQVACSLNNREDCLACGS* >Brasy4G076200.4.p pacid=40086823 transcript=Brasy4G076200.4 locus=Brasy4G076200 ID=Brasy4G076200.4.v1.1 annot-version=v1.1 MYVVKRDGRQEAVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTMKSFSDTVKVMYTHFNERSGLMAPLIADDVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFDFLDLRKNHGKEENRARDLFYALWIPDLFMERVQRNEQWSLFCPSEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPIESHPAKLVGSNGSKNRYFDFDKLAEITSTVTCNLNKIIDINYYPIETARRSNMRHRPIGIGVQGLADTSILLGMPFDSPEAQQLNKDIFETIYYHALKASAGLAAKEGPYETYNGSPVSKGILQPDMWNVVPSDRWNWPAIREMISSVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPALKNNIIYEDGSVQKISEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQANSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKDKQQSTEEEDLQAKMAQVACSLNNREDCLACGS* >Brasy4G076200.2.p pacid=40086824 transcript=Brasy4G076200.2 locus=Brasy4G076200 ID=Brasy4G076200.2.v1.1 annot-version=v1.1 MYTHFNERSGLMAPLIADDVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFDFLDLRKNHGKEENRARDLFYALWIPDLFMERVQRNEQWSLFCPSEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPIESHPAKLVGSNGSKNRYFDFDKLAEITSTVTCNLNKIIDINYYPIETARRSNMRHRPIGIGVQGLADTSILLGMPFDSPEAQQLNKDIFETIYYHALKASAGLAAKEGPYETYNGSPVSKGILQPDMWNVVPSDRWNWPAIREMISSVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPALKNNIIYEDGSVQKISEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQANSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKVVQDKQQSTEEEDLQAKMAQVACSLNNREDCLACGS* >Brasy4G076200.3.p pacid=40086825 transcript=Brasy4G076200.3 locus=Brasy4G076200 ID=Brasy4G076200.3.v1.1 annot-version=v1.1 MYTHFNERSGLMAPLIADDVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFDFLDLRKNHGKEENRARDLFYALWIPDLFMERVQRNEQWSLFCPSEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPIESHPAKLVGSNGSKNRYFDFDKLAEITSTVTCNLNKIIDINYYPIETARRSNMRHRPIGIGVQGLADTSILLGMPFDSPEAQQLNKDIFETIYYHALKASAGLAAKEGPYETYNGSPVSKGILQPDMWNVVPSDRWNWPAIREMISSVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPALKNNIIYEDGSVQKISEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQANSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKDKQQSTEEEDLQAKMAQVACSLNNREDCLACGS* >Brasy4G063100.1.p pacid=40086826 transcript=Brasy4G063100.1 locus=Brasy4G063100 ID=Brasy4G063100.1.v1.1 annot-version=v1.1 MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRADVVISTKLFWGGQGPNDKGLSRKHIVEGLKASLKRLDMEYVDIVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVETEFVPLYSTYGIGLTTWSPLASGVLTGKYSKGNIPAESRFALDNYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLGIAWCASNPNVSSVITGATKESQIVENMKALDVIPLLTPEVLDKIEAVVQSKPKRTESYR* >Brasy4G063100.2.p pacid=40086827 transcript=Brasy4G063100.2 locus=Brasy4G063100 ID=Brasy4G063100.2.v1.1 annot-version=v1.1 MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRADVVISTKLFWGGQGPNDKGLSRKHIVEGLKASLKRLDMEYVDIVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVETEFVPLYSTYGIGLTTWSPLASGVLTGKYSKGNIPAESRFALDNYKGYGTGPGLREARMAAERRKGKLIEEAKRKWEKEVAKNLQYKFCVLGFTLGVGGGYVLRYGI* >Brasy4G336300.1.p pacid=40086828 transcript=Brasy4G336300.1 locus=Brasy4G336300 ID=Brasy4G336300.1.v1.1 annot-version=v1.1 MSEDKESSYVEEDDRWSDFCRSPEQVPELRSEEEEWTELDAAELERAEEQRLEAIAHYYSSEDGGTNEDYGGWISGTEFSVADDSEDLPERPPAPRVLPEAPVSKEQAACGACAVCKELFAVGERAAWLPCGHFFHGDCIHPWVAVKSTCPVCRYALDDPDQNRLPTGTGDYGAPQGAARAPQQV* >Brasy4G090500.1.p pacid=40086829 transcript=Brasy4G090500.1 locus=Brasy4G090500 ID=Brasy4G090500.1.v1.1 annot-version=v1.1 MLIQSAIEGPVNGLHATAFSRSMRFSPALQLSPAFLSLAFSLLHMGISGTGICNSQLTMLIVPALSRGPPRQAACRHAFRLSSRDAQRHKLKPMCVQGSVTGCSSVFMVQYGTWLTREDGDAPFLDSRALLYVLYFGSIFLLLL* >Brasy4G014400.1.p pacid=40086830 transcript=Brasy4G014400.1 locus=Brasy4G014400 ID=Brasy4G014400.1.v1.1 annot-version=v1.1 MAGVTKMPAMLWVLGVVITFGAAAVGLAEADNTYDFVVKEAKYKRLCRDKTILTVNGQFPGPTITARKGEVVIVKVHNQGNKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTSFTYRILLSDEEGTIWWHAHSDFDRATVHGAFVIHPRRGSNFPFKAPEREIPIILGEWWKEDVTHMLEQSKRTGGEVDLSDANTINGQPGDLFPCSKDDTFKLPVRTGKTYLLRIINAGLTNDLFFGIAGHPITIVGTDGRYLKPFTVKHIMISPGQTMDALLDTDRAIRGSSNGRYYMAARTFASNPDLDFNNSTATAILEYMDAPRARRVGPPDFPNLPANLDMDAATEYTAQLRSLASKDHPVDVPMHVDEEMFITIAVNVFPCAHNKTCEGPRGNSLAASLNNVSFQILPSTSLMPIIVQSTACTRRTSPTSHLSSSTSQTPQSP* >Brasy4G163400.1.p pacid=40086831 transcript=Brasy4G163400.1 locus=Brasy4G163400 ID=Brasy4G163400.1.v1.1 annot-version=v1.1 MSHLFCAAPPRHIRNSSPIASTPSPWLTRSRHGRYTGKAVPRSCLGLFMTIQPSFHLRLRNRMNYDGSE* >Brasy4G337500.1.p pacid=40086832 transcript=Brasy4G337500.1 locus=Brasy4G337500 ID=Brasy4G337500.1.v1.1 annot-version=v1.1 MGGEKKGGPAALPAFGSSLASVFMHADAADVALMALGLLGAVGDGMSTPVMLLVTSRIFNDLGGGPDVLNEFSSKINENARNLVFLALACWVMAFLEGYCWSRTAERQASRMRARYLRAVLRQDVEYFDLKVGSTAEVIASVSNDSLVVQDVLSEKVPNFVMNVSMFLGSYAVGFALLWRLTLVALPSILLLIIPGFMYGRILVGLARRIREQYAVPGALAEQAVSSARTVYSFAAERSTMARFSAALEESARLGVKQGLAKGVAVGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAVSASIVVGGLALGSGLSNLKYFSEASAAGERIMAVIRRVPKIDSASDVGEELANVAGEVEFRGVEFSYPSRPESPIFGGGFSLRVPAGRTAALVGSSGSGKSTVVALLERFYDPSAGEVTLDGVDIRRLKIKWLRAQIGLVSQEPALFATSIRENILLGKEDATPEEVTAAAKAANAHNFISQLPQGYETQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVVAHRLSTIRNADMIAVMQYGEVKELGSHDELIANENGPYSSLVRLQQTKESNEADEVSGTGSTSAVGQSSSHSMSRRLSVASRSSSARSLGDAGNADNTEEPKLPVPSFRRLLMLNAPEWRQALMGSLSAIVFGGIQPAYAYAMGSMISVYFLTDHAEIRDKTRTYALIFVALAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQAQSESSKLAAEAVSNLRTITAFSSQDRILGLFNQAQNGPRKESIRQSWIAGLGLGTSMSLMTCTWALDFWFGGRLIAERHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAIASVFAVLDRVTEIDPDNPEGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGLVKIDGRDIRTYNLRALRQHIGLVSQEPTLFAGTIRENIVYGTETASEAETENAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTVQNCDLITVLDKGIVVEKGTHSSLMSKGPSGTYFSLVSLQQGGSQN* >Brasy4G000600.1.p pacid=40086833 transcript=Brasy4G000600.1 locus=Brasy4G000600 ID=Brasy4G000600.1.v1.1 annot-version=v1.1 MMSANGYSSLWEFQLFRVRKMSSNLTGDSSSSNKRKKARKSRKLTRNLLDDGSSSETSSSSESSQGTLSELDQEVVSKLSDTVVSLASFNGDQIHVVCSGTVVKNDGSGTSILTAATLVRSLNIDDGFKIIPTLTIEVDLPNKQSANGWLVHYDLNYNVAVVKISHYPGFRAAHVDQHMRSVSESKALALGRCFKTGELKAKSGAVTSRVYYKHHMISTCNGWRAPC* >Brasy4G228500.1.p pacid=40086834 transcript=Brasy4G228500.1 locus=Brasy4G228500 ID=Brasy4G228500.1.v1.1 annot-version=v1.1 MASSQEPKSLCVKRKLVNDCLSKECKSRRVQVEKGPSSDPSAKQCKCCCTRPNLASDCDNYLKSGVPSRIMFYKQGSWQKFPEVIMKSLVQEFKGRKSSVVSVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDSGKGFFPSMFFDEEADGVYSGNVDGIEEGIMLGKPSNSQPEVVKQVVIESSSPIPQNASAADVLRKKITSVERGSKDFLFVRDLFLSGMGSFATENSILHIHRYSPKDISALCRLQAFERQMSYTKEDRGDSNVRYGWLGSRKSDIVRILINGFGTTGKPAEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLSRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVVWPSHLSSHIRLEYLVSFRLTPKVQNYLLGLKGLWFHPSLKESVMDISTLQPIMGQTGEAPTSPWMSFRVLLAMIQDNISSVARELLFHHYEELKENRITREEMVKKMIIIVGEKLLLETLKKLHYCPSLWNKTSIEVMSSDPAMPPSEDLGRTIEKHISLDKPTRSRALTLGNLGDSKAPNAMAESSAALSSKGCDTLVVGTVPKSSDSLPPNGVTETSTSAGAVSRASPSVETRGSHSPMQILSPVNSANHGAKRHDTFSARMAPIVCDGLSKTASGNSASLGAEGCSYVAPSMRPRGYAPLAPTNASKSRGIDAPGLGLKGSESLGPILALGNSICIGKKGPSSAPRMTPEEPEFLSLSIAPQRPVLQPGKGHSNFISGAAPPVHVPGNGNLPAFSTECCDSLALSIAPIGHDHPSSSINEPKCRGAPTTDRVQESQHSQAHSAATKGEGSTAPTPITVKWEHQAAQLGPHNKPSGSILEPDSNVAVCTDIVLALPTLREMGDQ* >Brasy4G228500.2.p pacid=40086835 transcript=Brasy4G228500.2 locus=Brasy4G228500 ID=Brasy4G228500.2.v1.1 annot-version=v1.1 MASSQEPKSLCVKRKLVNDCLSKECKSRRVQVEKGPSSDPSAKQCKCCCTRPNLASDCDNYLKSGVPSRIMFYKQGSWQKFPEVIMKSLVQEFKGRKSSVVSVMDDEPVLVDFLSMTLVNLKTRKQRSVAWFDDSGKGFFPSMFFDEEADGVYSGNVDGIEEGIMLGKPSNSQPEVVKQVVIESSSPIPQNASAADVLRKKITSVERGSKDFLFVRDLFLSGMGSFATENSILHIHRYSPKDISALCRLQAFERQMSYTKEDRGDSNVRYGWLGSRKSDIVRILINGFGTTGKPAEKACLSAGVYLSPEDRAFTSVGLCDVDEKGVQYMLLSRVILGNMEAITPGSQDYFPSSEIYDSGVDDCSNPKCYVVWPSHLSSHIRLEYLVSFRLTPKVQNYLLGLKGLWFHPSLKESVMDISTLQPIMGQTGEAPTSPWMSFRVLLAMIQDNISSVARELLFHHYEELKENRITREEMVKKMIIIVGEKLLLETLKKLHYCPSLWNKTSIEVMSSDPAMPPSEDLGRTIEKHISLDKPTRSRALTLGNLGDSKAPNAMAESSAALSSKGCDTLVVGTVPKSSDSLPPNGVTETSTSAGAVSRASPSVETRGSHSPMQILSPVNSANHGAKRHDTFSARMAPIVCDGLSKTASGNSASLGAEGCSYVAPSMRPRGYAPLAPTNASKSRGIDAPGLGLKGSESLGPILALGNSICIGKKGPSSAPRMTPEEPEFLSLSIAPQRPVLQPGKGHSNFISGAAPPVHVPGNGNLPAFSTECCDSLALSIAPIGHDHPSSSINEPKCRGAPTTDRVQESQHSQAHSAATKGEGSTAPTPITVKWEHQAAQLGPHNKPSGSILEPDSNVAVCTDIVLALPTLREMGDQ* >Brasy4G323900.1.p pacid=40086836 transcript=Brasy4G323900.1 locus=Brasy4G323900 ID=Brasy4G323900.1.v1.1 annot-version=v1.1 MPPPQPRELLAVIEAALLGPAPPSPGQRVELLHAVRDAAPAFRGLLSYPGPKASDRTQVEAKEVLLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVSANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFSDGLRQRIITLVKELNREEPSGIGRPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALVKLMGPKEIKDAFAILKDCAAEVNENTSVELQITYGVLFSLVITFVSDALSTSHEKASLPSSDSSFRHEFHELVTRTCNNTTVEGFVGVVRLAWTVLLMLTQDRSSTRDSITNASSTFMTDIWSCMDIICRQNAFEFLRERVMQTAAYQNDDDDIVYMYTGYAHKLMMCFLSHPTSKDKIKEIKEKAMNALSPYAQARDHREDSSISGEQTGQPVNQPFVSLLELVGEIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLGLLSTLASNEVGAAKVYELLQGKIYRSVGWNTLFDCLSIYEEKFKKSLQSSASMLPDFPEGDAQALVAYLAVLRKVVENGNPAERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTVPPGQHMATQVYDMRFELNEVEARRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELALACLEHFRMVLRMYDIKDDDIYAAFNTSGPSTSHASIERQLPVLELVKDFMCGKVAFRNIMNIVLVGVDTLINERATQTYGILLEKTVHLSLEIFILVMERDLVLADVYRPLYQPLDVVLSQNHRQIVALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKEDAAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNISRPAPNMTHLLLKFDVNGPIERTVLKPKSHYSCLKIILDNLEKVAKTDINSLLHEFSFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIAVSPLPKRNNNQALRISMLHERAWLLKMLALALHVSDISSSVYREACLAILSDTFGHCAETMKSATIFQSPGTPVYTSNGPMHRNKVLDLLEVVQFRCPDTSMKYPQLLSNLNVESKIEEILRNSATSEFGGVYYFSERGDRLIDLDAFHQKLLQMSQELNPQLSESEKGELKESFHHILKWAWRYNKNLEEQAAQLHMLTSWSQIVEVAVSRRMSLLDDRSQLLFELLDASLGATSSPDCSVKMSYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACNSLLFKLIMAILRSESSEHLRRRQYALLLSYFQYCGSVLDSDVPPSVIRFLVLEEQEGDDDELTLQKVLKEQSELARSNFAIIRKEAQAVIDLVTKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQLQSRGIIRSCLSDVSNYLSKDISFSSEFAQRFCTVDSQFSLLLRISHHYGKHGSQILLSMGALQNLSSCNLIGYQKKGNSRLNSNVVKERAGQIDKKRSLTAPVLRIVTSFTSLVDSDDFLEVKNKVVREIVDFAKQHQFIFNSILRENISGANAFTLERLNMAVSILSKVWAYEEDDECSYVQDLFSMMHSLFSLDFGSLNVIQSPNMIENQKSELVLFGLCFGLLSYLYFLATKKNMRFEISYGDNSERGQQQPTLQMVSDLLNSVTLALERVGEEKYLLLNKVRDLNELSRKEVDEIIKVCMKQDCISPSDNIRKRRYIAMIDLCCMAGNRDQLITLLLQVAECAITILLVHFHDESCAKDMSSFSDELLPILERLEHLKEDKVGRNLKLFHRSVTTLKELTVRSMSL* >Brasy4G323900.2.p pacid=40086837 transcript=Brasy4G323900.2 locus=Brasy4G323900 ID=Brasy4G323900.2.v1.1 annot-version=v1.1 MPPPQPRELLAVIEAALLGPAPPSPGQRVELLHAVRDAAPAFRGLLSYPGPKASDRTQVEAKEVLLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVSANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFSDGLRQRIITLVKELNREEPSGIGRPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALVKLMGPKEIKDAFAILKDCAAEVNENTSVELQITYGVLFSLVITFVSDALSTSHEKASLPSSDSSFRHEFHELVTRTCNNTTVEGFVGVVRLAWTVLLMLTQDRSSTRDSITNASSTFMTDIWSCMDIICRQNAFEFLRERVMQTAAYQNDDDDIVYMYTGYAHKLMMCFLSHPTSKDKIKEIKEKAMNALSPYAQARDHREDSSISGEQTGQPVNQPFVSLLELVGEIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLGLLSTLASNEVGAAKVYELLQGKIYRSVGWNTLFDCLSIYEEKFKKSLQSSASMLPDFPEGDAQALVAYLAVLRKVVENGNPAERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTVPPGQHMATQVYDMRFELNEVEARRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELALACLEHFRMVLRMYDIKDDDIYAAFNTSGPSTSHASIERQLPVLELVKDFMCGKVAFRNIMNIVLVGVDTLINERATQTYGILLEKTVHLSLEIFILVMERDLVLADVYRPLYQPLDVVLSQNHRQIVALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKEDAAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNISRPAPNMTHLLLKFDVNGPIERTVLKPKSHYSCLKIILDNLEKVAKTDINSLLHEFSFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIAVSPLPKRNNNQALRISMLHERAWLLKMLALALHVSDISSSVYREACLAILSDTFGHCAETMKSATIFQSPGTPVYTSNGPMHRNKVLDLLEVVQFRCPDTSMKYPQLLSNLNVESKIEEILRNSATSEFGGVYYFSERGDRLIDLDAFHQKLLQMSQELNPQLSESEKGELKESFHHILKWAWRYNKNLEEQAAQLHMLTSWSQIVEVAVSRRMSLLDDRSQLLFELLDASLGATSSPDCSVKMSYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACNSLLFKLIMAILRSESSEHLRRRQYALLLSYFQYCGSVLDSDVPPSVIRFLVLEEQEGDDDELTLQKVLKEQSELARSNFAIIRKEAQAVIDLVTKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQLQSRGIIRSCLSDVSNYLSKDISFSSEFAQRFCTVDSQFSLLLRISHHYGKHGSQILLSMGALQNLSSCNLIGYQKKGNSRLNSNVVKERAGQIDKKRSLTAPVLRIVTSFTSLVDSDDFLEVKNKVVREIVDFAKQHQFIFNSILRENISGANAFTLERLNMAVSILSKVWAYEEDDECSYVQDLFSMMHSLFSLDFGSLNVIQSPNMIEISYGDNSERGQQQPTLQMVSDLLNSVTLALERVGEEKYLLLNKVRDLNELSRKEVDEIIKVCMKQDCISPSDNIRKRRYIAMIDLCCMAGNRDQLITLLLQVAECAITILLVHFHDESCAKDMSSFSDELLPILERLEHLKEDKVGRNLKLFHRSVTTLKELTVRSMSL* >Brasy4G245500.1.p pacid=40086838 transcript=Brasy4G245500.1 locus=Brasy4G245500 ID=Brasy4G245500.1.v1.1 annot-version=v1.1 MATSALPLQRRRAPLRRPSRITSWIPRLPGGEIAGRFRAGLARLPGRQIQDGRWLQRGGRQQRQLHRLQRRVGMHEAVQDVHHPMHRRPVRRLPLCLQRQLEHRGRRRGCGGRGRTGRGVRGGSRTYQADGRRKQSWQSRRRCAVVVVVFGAVDAERRRRRLAGLVRKRSWVLAMQREAGGWLGPENGRRTKTNDGNTTAFIIRYRYGNLL* >Brasy4G027700.1.p pacid=40086839 transcript=Brasy4G027700.1 locus=Brasy4G027700 ID=Brasy4G027700.1.v1.1 annot-version=v1.1 MGIVNARRLIFLLSSLAVAALAAGAGHGRSGFAMANGTRFTVGGRPFHSHGFNAYWLMYMASEPGDRGKVSGTLEQAARLGARLVRTWAFSDGGSNRPLQISPGVYNEDMFKGLDVVIAEAKKRGLYLILSLVNNWDAFGGKKQYVQWARDQGHHLGSDEDFFTDGLTRSFYKNHVKSVLTRVNKITGVAYKDEPTIFAWELMNEPRCLSDLSGKTLQGWVTEMAGYVKSLDPNHMVEIGLEGFYGESMPNRIQFNPGNYTVGTDFVSNNLVPAVDFATIHSYPDQWLPGASNAAQVEFMRRWMASHMEDAAAAVRKPLLVAEFGWSARSNGYTVQARDSYYQMVYDAIYASAKAGGPSAGGMFWQVMAPGMEGWTDGYDVVLERSPSTAQLVAQECARITVV* >Brasy4G338300.1.p pacid=40086840 transcript=Brasy4G338300.1 locus=Brasy4G338300 ID=Brasy4G338300.1.v1.1 annot-version=v1.1 MAAPTGISLLSALLQLPLAPFSGKSSPPSVAHVAPRRAPTAVLATKGYNVQILVDENEGEDSIFRRFRREVMKAGLLQEIKRRRRHENKKDEKIRKAREAGRRNRRRRMMDDRRFPEDEGDSEAVRTRRDEDNDNWEVNGLL* >Brasy4G230800.1.p pacid=40086841 transcript=Brasy4G230800.1 locus=Brasy4G230800 ID=Brasy4G230800.1.v1.1 annot-version=v1.1 MVPAVAALLLITIFGAASAAAAAPVSGADVSSSAGIAKAREMYDLWLARHGRVYNAIGEYERRFRVFRDNLDFVRAHNAKADDAGEEGPSFRLGMNRFADLTNAEYRAAFLSTPIPASNAAVVVGERYRFNTSSGAGAGLEAPLPEHVDWRTKGAVAPVKNQGQCGSCWAFSAVAAVEGVNKIFTGGDLVTLSEQELVDCARNGQNSGCNGGLMDDAFAFIAKAGGIATDADYPYTGKQSKCDTTAMKKQAAVSIDGFERVPADDELSLRKAVAGQPVSVAIEAGGREFQLYDSGVFAGRCGTELDHGVVAVGYGADEASGKEYWLVRNSWGAQWGEGGYIRMERNGAEKSGKCGIAMAASYPVKTTPNPPKPAPETKTTRCDGHSTCPAGSTCCCAYGVRRACLAWGCCPARAAVCCRDRAACCTAEHPVCGRDAGGEKRTCSSSRNSTDVVDALPRAPATRRWSPVSEMADLIFSM* >Brasy4G075800.1.p pacid=40086842 transcript=Brasy4G075800.1 locus=Brasy4G075800 ID=Brasy4G075800.1.v1.1 annot-version=v1.1 MAGSSTQSANGAVEPPSLPLIPCPRCGRAELITNYQRRAGPRRGQRFYKCQIEEVEGGCGYFAWEAEYKALLERLTGDGGQQREAPRQHAVALDGRVALLPARATISHQYACRIESLLLFAISLHYATLLMLALLYAKLLS* >Brasy4G360400.1.p pacid=40086843 transcript=Brasy4G360400.1 locus=Brasy4G360400 ID=Brasy4G360400.1.v1.1 annot-version=v1.1 MLALSDGGILVLLRPETLLWATCDSLLGLSLLGEQGRKASPIDREDQPSLPPATTRTTCRYSPPFLLLLRFGAGCGQIRVAAARRGVESSGQHGMRRRRGAAARSGRQRPAGDEEEEEEGGGGQQGSGGRRRTTRDEMGAAPDSMQGMRCGLRDKEQGRAGCSMGKKGEAGGLLGGEERKQRGEREMRRGRAARWGRKEAVREKEREMRRGRAARWGRRSWVTQSS* >Brasy4G286800.1.p pacid=40086844 transcript=Brasy4G286800.1 locus=Brasy4G286800 ID=Brasy4G286800.1.v1.1 annot-version=v1.1 MAPTSKMALGIKRASRSHSYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRTVSTRKPKPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQTYVIATSTKVDISKVNVGKFDDKYFARDKKARAKKTEGELFETEKEATKNLPDFKKDDQKTIDAELIKAIEAVPDLKNYLGARFSLRDGDKPHEMTF* >Brasy4G334900.1.p pacid=40086845 transcript=Brasy4G334900.1 locus=Brasy4G334900 ID=Brasy4G334900.1.v1.1 annot-version=v1.1 MPDLPSTHARSVLLCSRGRAATAPISLYQRLLLAHTRPDTPPPPLTRPATIRFLLVLARGRGVRGGCRRARRGRRPQGRELPPRRGGGLLAAASAPSRTDARKAARVAAPAPKVTEAELVHRHEEERLCLEREAEAAKKRAARTAKEEYEERRALLLFSRKGAAAGGDNGHR* >Brasy4G091400.1.p pacid=40086846 transcript=Brasy4G091400.1 locus=Brasy4G091400 ID=Brasy4G091400.1.v1.1 annot-version=v1.1 MADVDMDELPQTPRSAAGDDDLSMFSGERDLAAAILARLGGSPREDDQHLCATAAAMAQAVGDSGVAATTVAYFAAASAALAPLARAGPGAADRHVAGALLAFLSAAVPALPPAVVRARGREVADDVARMLEFPSTPDSGVMAGTRCLAHLISAGDKASWEAVEPLYALVLRLVTDHRPKVQMQSRACLRDILLSFQRQAVLVPASEGIARCFERFLLLAGGSNDVNTGSAAEGPKGAKEVLYILNALKCCLPLMASKPSNTILKYFKALLGLHQPILTRNILEILHAVGDSPTLQLKPDVLLDLMCSLGLSVSTERKSGDELASIARLLNIGTRKVYSQNKNIFIVKLPLVFTSLGDILASEFEEARFSAVETFRGLIDNCIDENLVSQGITQIKARRQGLKSDPTVIEKICAILEGLLDVRYSDVWDKSFHVISVAFDKLGESSSDLLPEALRNLADMQNLSDDDFFFRKQLNACLGSAVAAMGPKNVLDILHIQSICDENEWILPVLERHIIGASLQFFLRDILGIVRAVEKTIPKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSINFGVLQNVLSDTLQNQPDLRGIICSSIQILIKQNKEALSVTKGDDILVDDETSKSEKRAKERYTKGTAEENLKAIQAFSSKFLELLCSIFLSSSKDSIGFLQPAISEIASISDKDVVGKFFLDAMRKLLDATKAVSAQQVDDSSMQIEDGSNTNNMTRALCLDFAASLMPGLAAKSISVLFSYVKPAIKDSDSLVQKRAYKVLSMLLKDAEFLERNLDVLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDPSKLRKREIVSSFLTEILLALKEANKKTRNRAYDVLIEIGHACEDAENDGRKDSLHQFFDMVAGGLAAQTPHAISAAVTGLARLTYEFSDLIGVAYKLLPSTFLLMQRNNRELVKANLGFIKALVAKSKADVLDEHLKGVVEGLLSWQSDKKNSLKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKGNSSEDGEAMSMTSRATRQSGWNHTQMFSDFGSDEDDSNGAFSKQHTVASRQGSKASTRLNRKRQDKNLMEKFIDHSTGEPLDLLDQKTMRLALKSTVPGRKRAATDDDDDEMELDPEGRIIVRDERERRKKKPVSHDDEPDDKSSVRSQSMKRRKTADSGWSYTGHDYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMASVMKMTKRLEGKSASGVLAAKKARKRKQKKNK* >Brasy4G091400.2.p pacid=40086847 transcript=Brasy4G091400.2 locus=Brasy4G091400 ID=Brasy4G091400.2.v1.1 annot-version=v1.1 MADVDMDELPQTPRSAAGDDDLSMFSGERDLAAAILARLGGSPREDDQHLCATAAAMAQAVGDSGVAATTVAYFAAASAALAPLARAGPGAADRHVAGALLAFLSAAVPALPPAVVRARGREVADDVARMLEFPSTPDSGVMAGTRCLAHLISAGDKASWEAVEPLYALVLRLVTDHRPKVQMQSRACLRDILLSFQRQAVLVPASEGIARCFERFLLLAGGSNDVNTGSAAEGPKGAKEVLYILNALKCCLPLMASKPSNTILKYFKALLGLHQPILTRNILEILHAVGDSPTLQLKPDVLLDLMCSLGLSVSTERKSGDELASIARLLNIGTRKVYSQNKNIFIVKLPLVFTSLGDILASEFEEARFSAVETFRGLIDNCIDENLVSQGITQIKARRQGLKSDPTVIEKICAILEGLLDVRYSDVWDKSFHVISVAFDKLGESSSDLLPEALRNLADMQNLSDDDFFFRKQLNACLGSAVAAMGPKNVLDILHIQSICDENEWILPVLERHIIGASLQFFLRDILGIVRAVEKTIPKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSINFGVLQNVLSDTLQNQPDLRGIICSSIQILIKQNKEALSVTKGDDILVDDETSKSEKRAKERYTKGTAEENLKAIQAFSSKFLELLCSIFLSSSKDSIGFLQPAISEIASISDKDVVGKFFLDAMRKLLDATKAVSAQQVDDSSMQIEDGSNTNNMTRALCLDFAASLMPGLAAKSISVLFSYVKPAIKDSDSLVQKRAYKVLSMLLKDAEFLERNLDVLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDPSKLRKREIVSSFLTEILLALKEVAGGLAAQTPHAISAAVTGLARLTYEFSDLIGVAYKLLPSTFLLMQRNNRELVKANLGFIKALVAKSKADVLDEHLKGVVEGLLSWQSDKKNSLKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKGNSSEDGEAMSMTSRATRQSGWNHTQMFSDFGSDEDDSNGAFSKQHTVASRQGSKASTRLNRKRQDKNLMEKFIDHSTGEPLDLLDQKTMRLALKSTVPGRKRAATDDDDDEMELDPEGRIIVRDERERRKKKPVSHDDEPDDKSSVRSQSMKRRKTADSGWSYTGHDYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMASVMKMTKRLEGKSASGVLAAKKARKRKQKKNK* >Brasy4G261000.1.p pacid=40086848 transcript=Brasy4G261000.1 locus=Brasy4G261000 ID=Brasy4G261000.1.v1.1 annot-version=v1.1 MGLCASMLQRKPKKRYPEPRQGISKALFVVRGDRRPANPEQAPVFRAQEDSSGRSQLQKTGTRSTTRESVWHEGSTGALDKRFCGQLMPRLPCGCTRSTAAVEPDVPTPMPPKSTAPANRGPLPERTPMTPSSTPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPLHIGTPARRNQGKKKTARTSATTTLRELL* >Brasy4G351400.1.p pacid=40086849 transcript=Brasy4G351400.1 locus=Brasy4G351400 ID=Brasy4G351400.1.v1.1 annot-version=v1.1 MKHSRIVSLKELQVFCASAATKLSSFAKSGQQSMKYSCKFICQTSPLFLQLTYFTLISFAGYEALKVLKSQDKSNTLKDLDLLFTSVSASTVSSMATVEMEDFSSTQLWILAILMLIGSELFTSILGLHFIRVKFNTEKPANKSDHSSHVDIESIDAANFSPRPSQGTEVTVPSTQLCLANKEHVDPKTIEFLGTAVMAYLLITNLGSLLLIYIYLKLVPDAQEVLKRKGIGNFLFSVFTAISSVANCGFTPVNENMVIFQKNSILLLLIIPQILVGNTLFAPCLRSMVWTLKKITGKEACHFILQHPKAIGCRHLMSTRRCIYLVVTVVSFIILQTILFCSLEWSSEALQEMSSYQKIVGALFQSTNARHAGESVVDLSSVSSAITVLYTVMMYLPGYTSFLPNYEDPCSKAKERDNSRRLLKDWVFSQLSYLAIFLMLICITEQEAMTTDPLNFNVFSILFEIVSAYGNVGFSVGYSCKRLLKHDIYCKDASYGFVGKWSDKGKLILIVVMVFGRLKTFNLKGGSAWKLR* >Brasy4G351400.2.p pacid=40086850 transcript=Brasy4G351400.2 locus=Brasy4G351400 ID=Brasy4G351400.2.v1.1 annot-version=v1.1 MKHSRIVSLKELQVFCASAATKLSSFAKSGQQSMKYSCKFICQTSPLFLQLTYFTLISFAGYEALKVLKSQDKSNTLKDLDLLFTSVSASTVSSMATVEMEDFSSTQLWILAILMLIGSELFTSILGLHFIRVKFNTEKPANKSDHSSHVDIESIDAANFSPRPSQGTEVTVPSTQLCLANKEHVDPKTIEFLGTAVMAYLLITNLGSLLLIYIYLKLVPDAQEVLKRKGIGNFLFSVFTAISSVANCGFTPVNENMVIFQKNSILLLLIIPQILVGNTLFAPCLRSMVWTLKKITGKEACHFILQHPKAIGCRHLMSTRRCIYLVVTVVSFIILQTILFCSLEWSSEALQEMSSYQKIVGALFQSTNARHAGESVVDLSSVSSAITVLYTVMIAYGNVGFSVGYSCKRLLKHDIYCKDASYGFVGKWSDKGKLILIVVMVFGRLKTFNLKGGSAWKLR* >Brasy4G388600.1.p pacid=40086851 transcript=Brasy4G388600.1 locus=Brasy4G388600 ID=Brasy4G388600.1.v1.1 annot-version=v1.1 MLCVLRHEMRVVQRPRRRLRADVRQVPSVGLRELQAAGRPDLRHPVLPALLRQLHFHRLLTRAQPS* >Brasy4G121800.1.p pacid=40086852 transcript=Brasy4G121800.1 locus=Brasy4G121800 ID=Brasy4G121800.1.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKEIKTVMELSKKMRLGLGAASELAKPSEKPLAATVKDGPTEPIPSGERNQFPQTGPTAISPNNANDGVPTTQA* >Brasy4G121800.2.p pacid=40086853 transcript=Brasy4G121800.2 locus=Brasy4G121800 ID=Brasy4G121800.2.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKGGTDSPGWQHRFHSSQKR* >Brasy4G121800.3.p pacid=40086854 transcript=Brasy4G121800.3 locus=Brasy4G121800 ID=Brasy4G121800.3.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKVIFSTSPASSQ* >Brasy4G121800.4.p pacid=40086855 transcript=Brasy4G121800.4 locus=Brasy4G121800 ID=Brasy4G121800.4.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKVIFSTSPASSQ* >Brasy4G121800.5.p pacid=40086856 transcript=Brasy4G121800.5 locus=Brasy4G121800 ID=Brasy4G121800.5.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKVIFSTSPASSQ* >Brasy4G121800.6.p pacid=40086857 transcript=Brasy4G121800.6 locus=Brasy4G121800 ID=Brasy4G121800.6.v1.1 annot-version=v1.1 MAAPTAAADTAAPPPQPPSAPETAATVSLAAPIPAADTAAPPPPAPAPPVTAPPSPPPAPEPAATVSHTPPHVPVPAPAADTAARPPLPPPAPELAAKVSHTFPPAPPQPDAPAPKKRKPEEAGFHTSSYYKIRATVADLRLRFVQVYEATDFRNSEAAREILKVIFSTSPASSQ* >Brasy4G298100.1.p pacid=40086858 transcript=Brasy4G298100.1 locus=Brasy4G298100 ID=Brasy4G298100.1.v1.1 annot-version=v1.1 MKGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSETVALSNMPVIEEKVNGPTKVVCFQETPIMSTYLVAVIVGMFDYVEAFTTDGTSVRVYTQVGKSAQGKFALEVAVKTLILFKEYFEVPYPLPKMDMIAIPDFSAGAMENYGLVTYRETALLFDERHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSFFPEWNVWIQFLDESTTGFRLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAEIFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVNTLMHSWTKQQGYPVVSVKLKDGKLELEQTQFLSSGSAGVGQWVVPVTLCCCSYSLQQKFLFRGKQEDFNLSGLIECQKKDDFWIKLNVDQTGFYRVSYDEELASRLRHAVETNKLSAADRYGVLDDTYALCMAGKQKLVILLHLIAAYKDETEYTVLANAINTSLSIFEMMSVAAPEELGNMKKFLIGFLEPFAQRVGWDAKSGEGHLNALLRGTLLNALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNKSNKAGYESLLKIYRETDLSQEKVRVLGSLASSPDPDVVREALDFLLSPEVRNQDCIFVLRGVTAAAQEVAWTWLKENWDYISETFTGHLLTYFISATVSPLATNEKGDEAEEFFKGRTKASIARTVTQSLERVRIKAKWVENTKGEADLGHVLKELAHKH* >Brasy4G319100.1.p pacid=40086859 transcript=Brasy4G319100.1 locus=Brasy4G319100 ID=Brasy4G319100.1.v1.1 annot-version=v1.1 MAASASSGNGAATALLLLLVIVFAGGCGAAPQAALVTRVPGFDGALPSKHYAGYVTVDEQHGRRLFYYMVESERDPAKDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSVKSLPKLHLNPYSWSKVSTMIYLDSPAGVGLSYSKNVSDYNTGDLKTAADSHTFLLKWFGMYPEFLSNPFYISGESYAGVYVPTLSHEVVKGIQGGAKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMGLVSDDIYQEANMACQGNFWNASGNKCNTALSKIDGLIGELNIYDILEPCYHSKTMKEVIPSKLPKSFKDLGVTNKTFPVRTRMLGRAWPLRAPVRDGRVPSWLEYASGVPCMSDEVATAWLDNESVRSAIHAEPVSSIGPWLLCTDVINFNHDAGSMISYHKNLTSQGYRAFIFSGDHDMCVPFTGSEAWTKSIGYGVVDSWRPWFLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL* >Brasy4G319100.2.p pacid=40086860 transcript=Brasy4G319100.2 locus=Brasy4G319100 ID=Brasy4G319100.2.v1.1 annot-version=v1.1 MSEQFLVQSRKHNGQLEILINCQVHTIHLGLLSGPFNFESGGSVKSLPKLHLNPYSWSKVSTMIYLDSPAGVGLSYSKNVSDYNTGDLKTAADSHTFLLKWFGMYPEFLSNPFYISGESYAGVYVPTLSHEVVKGIQGGAKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMGLVSDDIYQEANMACQGNFWNASGNKCNTALSKIDGLIGELNIYDILEPCYHSKTMKEVIPSKLPKSFKDLGVTNKTFPVRTRMLGRAWPLRAPVRDGRVPSWLEYASGVPCMSDEVATAWLDNESVRSAIHAEPVSSIGPWLLCTDVINFNHDAGSMISYHKNLTSQGYRAFIFSGDHDMCVPFTGSEAWTKSIGYGVVDSWRPWFLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL* >Brasy4G011700.1.p pacid=40086861 transcript=Brasy4G011700.1 locus=Brasy4G011700 ID=Brasy4G011700.1.v1.1 annot-version=v1.1 MLDLRGTIVCELPAAVVNLGKLSHLLVHSDVEFPYGIAKMQALEVLKHVGLPKHPFDFMQDLGQLKNLRKLDLEFRPYDENGVRVIVEEECYKDVVSCLRNLGTQNLRSLTIFNGSSFLQQEGPLCPVPLTLRKLKIHESQDSDSDGSPLPQVPKWVGFLVDLQKLCLRMQEVRQEDLCILGALPALLILELTAASKSNSLKVSGELGFRCLRHFCYYLGDELVPGLVFAAGSMPKLEGLELSFDMDEELSSAFDIGIENLPCLISVICEVRRRFEVTMGNHLSTEILLF* >Brasy4G223300.1.p pacid=40086862 transcript=Brasy4G223300.1 locus=Brasy4G223300 ID=Brasy4G223300.1.v1.1 annot-version=v1.1 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDSIAQDQEKSDALKTQMEDLKTNIQAVENKILRTETSIDELRRLQEQISTKTSARSTYVTLREQQYAALSEENEDTDEELKEWRAKFDERIALQGTKISKLERDMNDEKAKSSLLSETINDSTLHIGKLQAEADAHISMKHKRDSAIRKIFTKHNLGPIPDAPFTNDVAMNLTNRTRARLSNLEDDLQDKKKSNETQLEFLWGRYLKVNARYSEVDGQIQSKKESKLGILRRMKDKENERDAAEKELSKLNLARIDERERYLQIEVERKTVALAERDYDKIISQKRTEIYTMDHKIKALNREKDNIASDADDRVKLELKKYELEKCKSKLNKIYDEHNDKIRSVLKGRRPPEKDVKKEITQAFGCIDAEYNGLHSKSQEAEQQLKLVQMKIDGARSHLSKLQKDLDAKRRHLNSKLQSITKVSVDIDTFPKVLEGAMEARDKLNSEKIVANGLQKMYDPFEKMAREQHKCPCCDRGFTPDEEDLFVKKQRTFGSSNADLMKALEMELSNAEDFFQQLDNLRVIYDQYVKLGKETIPSAEKDLKQLLADESEKAQTFEDLVSVLAQVKMDRDGLEILLHPSDAIDRHMQEIQELEPEVEDLEYKLDSRGQGVKSKEDIQLELNSAMRTRDTLLSEMDDLRDQHKMLSEDFTNAQMRWHAVREEKLSASSILHTFKKAEEDLVLFAEEKVQLTLDQKHLEEALIPLRKESESLLQEHKALKERLDQEYDHLAERRRGFQQEIDALGTLNTRIKEYVDSKKAERLHELQERYTLSLSQLQECEAKKQEISDELDKSKEKLRSQDQFKRNIDDNLNYRKTKAEVDRLTHDIELLEDNVLSFGSMSTIEADLKRNAQEKERLLSEYNRCQGTLSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMQNGGAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIIITHDERFAQLIGQRQLAEKYYRVSKDEQQHSKIEAQEIFD* >Brasy4G223300.2.p pacid=40086863 transcript=Brasy4G223300.2 locus=Brasy4G223300 ID=Brasy4G223300.2.v1.1 annot-version=v1.1 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDSIAQDQEKSDALKTQMEDLKTNIQAVENKILRTETSIDELRRLQEQISTKTSARSTYVTLREQQYAALSEENEDTDEELKEWRAKFDERIALQGTKISKLERDMNDEKAKSSLLSETINDSTLHIGKLQAEADAHISMKHKRDSAIRKIFTKHNLGPIPDAPFTNDVAMNLTNRTRARLSNLEDDLQDKKKSNETQLEFLWGRYLKVNARYSEVDGQIQSKKESKLGILRRMKDKENERDAAEKELSKLNLARIDERERYLQIEVERKTVALAERDYDKIISQKRTEIYTMDHKIKALNREKDNIASDADDRVKLELKKYELEKCKSKLNKIYDEHNDKIRSVLKGRRPPEKDVKKEITQAFGCIDAEYNGLHSKSQEAEQQLKLVQMKIDGARSHLSKLQKDLDAKRRHLNSKLQSITKVSVDIDTFPKVLEGAMEARDKLNSEKIVANGLQKMYDPFEKMAREQHKCPCCDRGFTPDEEDLFVKKQRTFGSSNADLMKALEMELSNAEDFFQQLDNLRVIYDQYVKLGKETIPSAEKDLKQLLADESEKAQTFEDLVSVLAQVKMDRDGLEILLHPSDAIDRHMQEIQELEPEVEDLEYKLDSRGQGVKSKEDIQLELNSAMRTRDTLLSEMDDLRDQHKMLSEDFTNAQMRWHAVREEKLSASSILHTFKKAEEDLVLFAEEKVQLTLDQKHLEEALIPLRKESESLLQEHKALKERLDQEYDHLAERRRGFQQEIDALGTLNTRIKEYVDSKKAERLHELQERYTLSLSQLQECEAKKQEISDELDKSKEKLRSQDQFKRNIDDNLNYRKTKAEVDRLTHDIELLEDNVLSFGSMSTIEADLKRNAQEKERLLSEYNRCQGTLSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMQNGGAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIIITHDERFAQLIGQRQLAEKYYRVSKDEQQHSKIEAQEIFD* >Brasy4G223300.3.p pacid=40086864 transcript=Brasy4G223300.3 locus=Brasy4G223300 ID=Brasy4G223300.3.v1.1 annot-version=v1.1 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDSIAQDQEKSDALKTQMEDLKTNIQAVENKILRTETSIDELRRLQEQISTKTSARSTYVTLREQQYAALSEENEDTDEELKEWRAKFDERIALQGTKISKLERDMNDEKAKSSLLSETINDSTLHIGKLQAEADAHISMKHKRDSAIRKIFTKHNLGPIPDAPFTNDVAMNLTNRTRARLSNLEDDLQDKKKSNETQLEFLWGRYLKVNARYSEVDGQIQSKKESKLGILRRMKDKENERDAAEKELSKLNLARIDERERYLQIEVERKTVALAERDYDKIISQKRTEIYTMDHKIKALNREKDNIASDADDRVKLELKKYELEKCKSKLNKIYDEHNDKIRSVLKGRRPPEKDVKKEITQAFGCIDAEYNGLHSKSQEAEQQLKLVQMKIDGARSHLSKLQKDLDAKRRHLNSKLQSITKVSVDIDTFPKVLEGAMEARDKLNSEKIVANGLQKMYDPFEKMAREQHKCPCCDRGFTPDEEDLFVKKQRTFGSSNADLMKALEMELSNAEDFFQQLDNLRVIYDQYVKLGKETIPSAEKDLKQLLADESEKAQTFEDLVSVLAQVKMDRDGLEILLHPSDAIDRHMQEIQELEPEVEDLEYKLDSRGQGVKSKEDIQLELNSAMRTRDTLLSEMDDLRDQHKMLSEDFTNAQMRWHAVREEKLSASSILHTFKKAEEDLVLFAEEKVQLTLDQKHLEEALIPLRKESESLLQEHKALKERLDQEYDHLAERRRGFQQEIDALGTLNTRIKEYVDSKKAERLHELQERYTLSLSQLQECEAKKQEISDELDKSKEKLRSQDQFKRNIDDNLNYRKTKAEVDRLTHDIELLEDNVLSFGSMSTIEADLKRNAQEKERLLSEYNRCQGTLSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMQNGGAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIIITHDERFAQLIGQRQLAEKYYRVSKDEQQHSKIEAQEIFD* >Brasy4G102000.1.p pacid=40086865 transcript=Brasy4G102000.1 locus=Brasy4G102000 ID=Brasy4G102000.1.v1.1 annot-version=v1.1 MSLAPLFSRFRPRQTRKLSSPPARGAPLPVLRSPRVRVVGSLAMDQGDVAVAVPPAAASPSCAGAGCKKGKRFEVKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH* >Brasy4G028000.1.p pacid=40086866 transcript=Brasy4G028000.1 locus=Brasy4G028000 ID=Brasy4G028000.1.v1.1 annot-version=v1.1 MAAETAAEAMDVEAPARPSTTTTTAPNHRCPHDLLAETRASVEEVAARILAIKKDGAPKSELRELVAQMSLLLITLRQVNREILMEEDKVKAQTEAAKAPVDSTTLRLHNLLYEKNHYVKAIRSCLDFQTKHPGIDLVPEEEFHRSAPADIRDKTLAADAAHDLMLKRLNFELVQRKELCERHEKLEQQKSSLLGTIANQKKFLSSLPSHLKSLKKASLPVQQQLGMLHTKKLKQHHAAELLPAPLYITYTQLLGQKEAFGDNIEVEINGSTKDAQTFALQQAKQENAGTLSNDDRMDDDAIDDEEDAQRRRSRSRKNSKEASNPALAYQLHPLKVVLHVYDTEDSGTKRRKLITLRFEYLAKLNVVCVGPEDSEGMDNNILCNLFPDDTGLELPHQMAKLYVGKTPQFSEKDSRPYKWAQHLAGIDFLPEVPVSVGDDSSRALRSADLSSGLALYRQQNRAQTILQRIRSRKIAQMALTWQLSYLTKLRWPQIEHENTPWASRTPLCSMHSWSLTGFSPEPSARSALMLTEPTGSADSDMERRSVTNGQETESIREDGELPVVVRAEHTLNGSKTSHSEVSPEVRNHSRGLSLISKSSTPSKLTISHSFGRNEDDLDFLMDSDSELEELTCADQETEQGSLTIDKSWEDYASREFTMVLSKTLKNGPKVMLEAKIKISMEYPLRPPLFRLQLLSEKTEALKWHNDLRAMEAEVNLHILRIMPSSYEDYILTHQVLCLAMLFDMHFDEDHEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSYL* >Brasy4G028000.2.p pacid=40086867 transcript=Brasy4G028000.2 locus=Brasy4G028000 ID=Brasy4G028000.2.v1.1 annot-version=v1.1 MAAETAAEAMDVEAPARPSTTTTTAPNHRCPHDLLAETRASVEEVAARILAIKKDGAPKSELRELVAQMSLLLITLRQVNREILMEEDKVKAQTEAAKAPVDSTTLRLHNLLYEKNHYVKAIRSCLDFQTKHPGIDLVPEEEFHRSAPADIRDKTLAADAAHDLMLKRLNFELVQRKELCERHEKLEQQKSSLLGTIANQKKFLSSLPSHLKSLKKASLPVQQQLGMLHTKKLKQHHAAELLPAPLYITYTQLLGQKEAFGDNIEVEINGSTKDAQTFALQQAKQENGTLSNDDRMDDDAIDDEEDAQRRRSRSRKNSKEASNPALAYQLHPLKVVLHVYDTEDSGTKRRKLITLRFEYLAKLNVVCVGPEDSEGMDNNILCNLFPDDTGLELPHQMAKLYVGKTPQFSEKDSRPYKWAQHLAGIDFLPEVPVSVGDDSSRALRSADLSSGLALYRQQNRAQTILQRIRSRKIAQMALTWQLSYLTKLRWPQIEHENTPWASRTPLCSMHSWSLTGFSPEPSARSALMLTEPTGSADSDMERRSVTNGQETESIREDGELPVVVRAEHTLNGSKTSHSEVSPEVRNHSRGLSLISKSSTPSKLTISHSFGRNEDDLDFLMDSDSELEELTCADQETEQGSLTIDKSWEDYASREFTMVLSKTLKNGPKVMLEAKIKISMEYPLRPPLFRLQLLSEKTEALKWHNDLRAMEAEVNLHILRIMPSSYEDYILTHQVLCLAMLFDMHFDEDHEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSYL* >Brasy4G124900.1.p pacid=40086868 transcript=Brasy4G124900.1 locus=Brasy4G124900 ID=Brasy4G124900.1.v1.1 annot-version=v1.1 MAYSLLLGALLTLLVAAILQLLYRHYRYYSTYNLPPGNLGIPIIGRTFSLLRAFSINSDDQWFRDRIKKYGPVSMLTLLGSPTVLLAGPAANRFIFRNDGLILTQTSALRTLVGRSVLTLAGDELKQVRGALQGFLKPEMVRRYVCKIDREVRSHVELNWVGRDTVTVLPTVRRLTLGIICSVVLGQEAAHFKESLCTDFVTLGKAILSFPVKIPFSRFSKGMAASTKIRKAITNIAQKKQESLLQEGHGAPGNDFITYMLILSSQGAHSLTMEDIVDNAMSLIVGAHETSSVLITFMIRFLANEQDILDKVTKEQDEIASNKKPEDSLTWDDVAKMRYTWKVAMETLRTVPPVFGSFRTATKDIEYQGYHIPKGWKVFAAQSVTHMDAQIFHEPHKFDPTRFEKFVPPYCYMPFGGGPRMCPGNEFARVEIMVAIHYLVRQFRWKIMCTEETYKRDPKPTPALGLPIKLNMRATP* >Brasy4G020600.1.p pacid=40086869 transcript=Brasy4G020600.1 locus=Brasy4G020600 ID=Brasy4G020600.1.v1.1 annot-version=v1.1 MSTQSPIVYAQDESSNNTPPVPQPAAIDTDKDKLIKSAAKRSIQFTEPDLMNKGSENEVDLTDGTKELQDLKRNKHEHNQPCRKQHRRSQLHCLLPKISYSVA* >Brasy4G064700.1.p pacid=40086870 transcript=Brasy4G064700.1 locus=Brasy4G064700 ID=Brasy4G064700.1.v1.1 annot-version=v1.1 MKGAQDSKQKKKQDLQVLPPFPGCLGRVINMFDLGNGVVATKMLTEKAHRDVSPVGKDRSGTFKMASNQFPAQIEDKQRDSQLRRNSPTKRSNSPTNRFGVSPVKMLMEQDMWKEGAVPDDEPLNVVARLMGLNDAPVHQSDFISGRRPNKEYQSCGFELNNRNVKPKKEIKCFQNQKAGTRHDHIWGGLSDQPSKVNSSKNNHQGKESSCEKRMSLVREKFAEAKRLATDEKLLHSKEFQEALQFLSSNKDMFLKFLDEPSPLLSSSNYDFQPVTPPSELKQITILKPAESMKRKVNIHAGRLLYSDANESEGNKCRHHQSLNATPADSTLSEPTRIVVLKPGLAKSHEAMIPVSPLSSSAEPDSEDDSMVAVDEIVSSRRLAKEITWQMRMRLKESQYEENSVSYEYHDTYIEDDSLSKSEAENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQASHRREPSVVKEAKRRILERWSAVSSTVSGEEDWGARRSTGTLGEMLTIPEVKKDHGEFEGITLEGRTVELDTEEPFLCLPRSRSLPVSLSYVGSESNRGAITSQEADNEKSRKSSSFRERVSSLFSKHKKSAREKLDPSGISSANDMLKNGCAVTIGGSSEGSNHFTLDNVDENAMQRLVASSCHANDVASISAKDISPLPSLGALGVLGESQGQPSPVSVLDLDGPFLCDNNRRLLYSSENFIASSPQALSRSPLIGSFSRSLSWEDPPPEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVSSAGMDREGCILSSPLDPKLLEKLSDYQGEGIKLRERRSKERLLFDAVNEALAELTRTTELAAYPWGRACCSARKDCEDDFCNSAADEIWRVIRNWSILEKYPPGEIIERNLLVDMILKREVVETAIADTTRLETFELNMMVCEVILEDLLEEALVDLTNNT* >Brasy4G040700.1.p pacid=40086871 transcript=Brasy4G040700.1 locus=Brasy4G040700 ID=Brasy4G040700.1.v1.1 annot-version=v1.1 MRRQLHYLRGRRKYDTETCITCGKGASPRTNFGLFGLMSFSKKTVAVLRCWSAEVWLHEEVHNVLSVAVMTVTWHIIKYQLIVTSLLFRSCLGFS* >Brasy4G137100.1.p pacid=40086872 transcript=Brasy4G137100.1 locus=Brasy4G137100 ID=Brasy4G137100.1.v1.1 annot-version=v1.1 MGLEEARGSSCRAAATLDQGGAATARQQARGRGREGVVTRAAAGAAEWEQVRRTRSSLGEAAAEREQARGGGEAGAGAAVREQARRRRSHRREAATAKEQAWRPGAGAAATHRREAAAEREQSLGGGAVAGAAALEQQAWRPGAGAAAELLGCALSCQAGHNGSGGSGTAAATEQACCEGVAVLHAIRVKATTSSWTVEAGAGPRLEEIALAFDGDAEVDEIAGQEDEALFLFILFPAAGFFFLASHGSGS* >Brasy4G022000.1.p pacid=40086873 transcript=Brasy4G022000.1 locus=Brasy4G022000 ID=Brasy4G022000.1.v1.1 annot-version=v1.1 MASMVASKRVPLVRAMEKLLAASAPGAASALRPVAVAGGLRGYNTGAQLRRYERDESDDDVSRRGAREVAMPSFFSGNVFRDPFSAPQSLGRLLSLMDEVAAPGGRAATVRRGWNAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKDSEEDGAAAPRYSSRIELAGDVYQMDKIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVD* >Brasy4G022000.2.p pacid=40086874 transcript=Brasy4G022000.2 locus=Brasy4G022000 ID=Brasy4G022000.2.v1.1 annot-version=v1.1 MASMVASKRVPLVRAMEKLLAASAPGAASALRPVAVAGGLRGYNTGAQLRRYERDESDDDVSRRGAREVAMPSFFSDVFRDPFSAPQSLGRLLSLMDEVAAPGGRAATVRRGWNAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKDSEEDGAAAPRYSSRIELAGDVYQMDKIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVD* >Brasy4G139800.1.p pacid=40086875 transcript=Brasy4G139800.1 locus=Brasy4G139800 ID=Brasy4G139800.1.v1.1 annot-version=v1.1 MPSSSRHMSSTSGSDSTSWLIEGRERGGDGEIEPDAELEAEVVQGIKEDPGARRPSQQTGSEPTAAGAPSAVGAGRSSAGSARDRRGAGRTRRRARRPRLIPRVRFQPAKDLQQHVVLVWVGRGMEGRDLGRWPAGGGEGPWPVAWPVGGGEGSRPTADVGGEGEGTARWSGHRVASGCCG* >Brasy4G195100.1.p pacid=40086876 transcript=Brasy4G195100.1 locus=Brasy4G195100 ID=Brasy4G195100.1.v1.1 annot-version=v1.1 MESDAIHAPTTSSSSSSCDSTVISGTQETSKKKNKPKHLKRKRTATSPETGRGEESSWCTTTKISAAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGGARLLNFPHLAGELPRPASTSPADIQAAAALAAAQCETPPASSSSVDAETGTSASASASAASSLFGSEEKAENALFDLPDLLLDLRDGLWCSPAWASAPDEYAVEAAVHEPLLWAEQCWMDAAPAPVHPA* >Brasy4G126500.1.p pacid=40086877 transcript=Brasy4G126500.1 locus=Brasy4G126500 ID=Brasy4G126500.1.v1.1 annot-version=v1.1 MALLKKDTGMAVFLASIVVIAMISLPCNAQPYGLLCFDQYNRGCDLTMCGFMCARMKCERGMCFPPNKGQTNEWCCCHGRCGEKGTTSAASILGEREKVY* >Brasy4G013300.1.p pacid=40086878 transcript=Brasy4G013300.1 locus=Brasy4G013300 ID=Brasy4G013300.1.v1.1 annot-version=v1.1 MGPAQVSLSKLHLPIKSTKAGTERSKRKKTRKRVGRRRRGEGTRRRKKFDSLFRRAGRAAEMGSGSASFLKVVVKNLDVLAGPIISLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKEDASQSDDVISSAQKYIEQNGSKAFETLVNKFKASNPRRSILEEVEVERRARAERESEARDVNPFFHQNY* >Brasy4G013300.2.p pacid=40086879 transcript=Brasy4G013300.2 locus=Brasy4G013300 ID=Brasy4G013300.2.v1.1 annot-version=v1.1 MGSGSASFLKVVVKNLDVLAGPIISLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKEDASQSDDVISSAQKYIEQNGSKAFETLVNKFKASNPRRSILEEVEVERRARAERESEARDVNPFFHQNY* >Brasy4G173800.1.p pacid=40086880 transcript=Brasy4G173800.1 locus=Brasy4G173800 ID=Brasy4G173800.1.v1.1 annot-version=v1.1 MKIEGNRRTYVPSVLARVEINTEILETNTGAGPQFLGNTSSQVGVLSMQSYMMAFFPNTAAANQGMHSLYNNWAHIFEKSSENTSLGFHVIRKMAHLPVLLLKRFVFLAGSAILTISIHYRALIDIWLLQMFAVSQGAQIHCAMILRSCYQRRSLPLFVGGGVLMARLYNSITIPNHIYGRQLTRGVEANLQGRLLIQNNDLCNQESFLPSLLGSKHDYVRLVLQCICSTAILKVHTSPKVISFQGRCVQKNHVSSAFRKIVSKNGQYLTYIGALATLQIFLQLNRVNTTTSLLPLLSQTASLRSNASVVSNIVIILVNSIGILGSSFTVKHHGRAATLTVSSALMVFCQTAIPFIVEFHTGLGGGSRMPRGFTIAMFVLTCVVSCGLSWSWGSLLWTVPGDKKIQSAGQVAGIALSVALCFAQMKYFLVILCRQKNAILAYYAMWMWS* >Brasy4G166500.1.p pacid=40086881 transcript=Brasy4G166500.1 locus=Brasy4G166500 ID=Brasy4G166500.1.v1.1 annot-version=v1.1 MHAGGTADEIPPQLARSRIEYFEVASVSYVQDSLVLVLLAAAFLVATAEQTQAKKEETKADVQPQDHHGGGARGYPGHGGGGGGYPGHGGGGGYCRRQCCGHHGGCHCCGPDEIPEV* >Brasy4G038800.1.p pacid=40086882 transcript=Brasy4G038800.1 locus=Brasy4G038800 ID=Brasy4G038800.1.v1.1 annot-version=v1.1 MSIALKSGPGLGGGGGGARFGRVGRCGAYVASPPASAGRGGSSSLGARDSDSPAAAAQWEWDGEEVEGGDGEVQSSYKGPFDTMDALQEALPHRKQVSKFYNGKSGSFPKPPDAIIASPALKGLPKPGTPSPRKRKGLLPFSFKWGKAQNKEVFPEDDVVDSPPTCRRMTLSPAATSSSGSNSGSDDEAQKLPPRRPHRRPGNATGVFATPPAPRPPKLFPDHMRSQSMRHLQDETDSTVVVSPRDKRRKN* >Brasy4G266800.1.p pacid=40086883 transcript=Brasy4G266800.1 locus=Brasy4G266800 ID=Brasy4G266800.1.v1.1 annot-version=v1.1 MRMVITNEEAGSSRTTLSSPTAARRVLTANPGSAALERRGDLLAASHPRAAPFPLFLTDACSCRKALDRTYTLLAVPLWWRCVFAWYVPRGCATC* >Brasy4G190000.1.p pacid=40086884 transcript=Brasy4G190000.1 locus=Brasy4G190000 ID=Brasy4G190000.1.v1.1 annot-version=v1.1 MPLWWLGRRKSKSKSKHRAGGRSPAAAAVSAVSSPRSSVDIGSPPSCAAPSHCGPLWRPKPHGLDPQAPRRVERYGGGGGGATGGAPAGGQGQPLPPPVSSSFPLPLASLPATAWGACPGCASAAASLSSGSSSGSFDVDEEQLIYRYADLVVSSRGRLMPPDEHNKGMVQDKYLVSCSALREHQKFSEVPIDNVREVHLPSCEPSMSESSCSHDGMLPDATFYARTRSLSPGPRRHGFASSDASPRDFVFSPRSPLKRMGDVRILPQPLPLPPLPAASSPLPSSGIGSTQSQSQWKKGKLLGSGTFGQVYLGFNSESGKFCAIKEVQVILDDPHSKERLRQLNQEVDLLRQLSDRNIVQYYGSQLTDEALSIYLEYVSGGSIHKLLRDYGPFKEPVIRNYTRQILSGLSYLHGRNTMHRDIKGANILVGPTGDVKLADFGLAKDITSFAEICSFRGSPYWMAPEAVMHSKGYSLAVDIWSLGCTVIEMATARHPWHPLEDVPALFKIANSKDIPEIPESISKEGKDFLSLCLKRDPLERPSATQLLDHPFVYCHQAIRVAKCSATQLRNGPSSQSSNRESSSKRSIAPLRDIEELRARDFSGFATAYPSPHNTWTLRDFEELRARDFAGFATAYPSPHNTSSSTAATTNMSLPVSPCSSSSPVRQFKQSNWNGLPSPPHPVDSLQDLMRQSTVVPDPWLDIGGLRPGSPSPYGSPKRF* >Brasy4G068900.1.p pacid=40086885 transcript=Brasy4G068900.1 locus=Brasy4G068900 ID=Brasy4G068900.1.v1.1 annot-version=v1.1 MEPPPPEACEIERLPEELLEHVLSLTSPAGACRVAAVSRAFRAAAYSDALWSCFLPRDLPQFAKGEFSKRTLLFWTKKSLFGRLSVQPTYLPPPGQAHLLICYRSIGLLRVVQRMQLDRATGVQCFAISARAMQIWCPKERPWSSVDWFPVPSSGCIKAGHQRFSEAIELHCIPGLDVRAKPTYWLKYCTYLEVSVGVAGRESTRYVGLQCCVDDRPGSAGTGPSREYILPSHAICPRKGDVVYPRKVELGEFHNEKGDDSCKVSIGLMDMYVEGRCRGHFVLRSIELRSIKATYVERS* >Brasy4G047900.1.p pacid=40086886 transcript=Brasy4G047900.1 locus=Brasy4G047900 ID=Brasy4G047900.1.v1.1 annot-version=v1.1 MLSIFPKLSKQFPFPPLLPSQYAPSSRRVLLQHRLLPVLLMADPSHSSPTAASAGDSLLAPAADAPDAVLPVAAPDLDKAFGFQRPELGKEKLAGTVGFHERHVFLCFKGPEEWPSHVEASQSDSLPGLLAAALKARKPNLKKSTKLTICEGEDGTESTLGDVLIFPDMIRYRGLTHSDVDNFVEEVLVKDIEWGPGSPEAIKGSYVFVCCHGSRDKRCGVCGPALIKRFKEEIEGQGLDGQVAVSACSHVGGHKYAGNVIIFSSDAKGEVTGHWYGYVAPDDVPVLLNKHIGQGEIVDHLWRGQLGLSEEQQKEALELRHMTNGVTEEESRAKESPEANGTAGAACNPAAAGGCCQGNGSFTCCQSDVPEEKQDEGIPDEQNHKSAKAEIDKESVTGSKKRHMKICQMPSWLETWESADTYATLGVVAAAASVFIAFKFYKSMN* >Brasy4G213600.1.p pacid=40086887 transcript=Brasy4G213600.1 locus=Brasy4G213600 ID=Brasy4G213600.1.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEEKPGQFIVRTQPKRGKLFWSQVKKIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTGSYRKQKLLKFFNTYDTTEDIFVFLRLLAAIWICSHSEEYEPLIPGVRGNYDLKNWCTQNLVLSRQFTDHVQMRALASALEISLKVEQLRGVPAQDIYTAPGVSRVSVTLLYTGIHYDIIYPRPPSDGQHSPR* >Brasy4G213600.2.p pacid=40086888 transcript=Brasy4G213600.2 locus=Brasy4G213600 ID=Brasy4G213600.2.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTGSYRKQKLLKFFNTYDTTEDIFVFLRLLAAIWICSHSEEYEPLIPGVRGNYDLKNWCTQNLVLSRQFTDHVQMRALASALEISLKVEQLRGVPAQDIYTAPGVSRVSVTLLYTGIHYDIIYPRPPSDGQHSPR* >Brasy4G213600.3.p pacid=40086889 transcript=Brasy4G213600.3 locus=Brasy4G213600 ID=Brasy4G213600.3.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEEKPGQFIVRTQPKRGKLFWSQVKKIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTATVNRNFSSSSTLMIQRKTFLFSSDYWQLSGYARTVRSMSRLYLGSEEITI* >Brasy4G213600.4.p pacid=40086890 transcript=Brasy4G213600.4 locus=Brasy4G213600 ID=Brasy4G213600.4.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEEKPGQFIVRTQPKRGKLFWSQVKKIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTGSYRKQKLLKFFNTYDTTEDNYWQLSGYARTVRSMSRLYLGSEEITI* >Brasy4G213600.5.p pacid=40086891 transcript=Brasy4G213600.5 locus=Brasy4G213600 ID=Brasy4G213600.5.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEEKPGQFIVRTQPKRGKLFWSQVKKIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTATVNRNFSSSSTLMIQRKTITGSYLDMLAQ* >Brasy4G213600.6.p pacid=40086892 transcript=Brasy4G213600.6 locus=Brasy4G213600 ID=Brasy4G213600.6.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTATVNRNFSSSSTLMIQRKTFLFSSDYWQLSGYARTVRSMSRLYLGSEEITI* >Brasy4G213600.7.p pacid=40086893 transcript=Brasy4G213600.7 locus=Brasy4G213600 ID=Brasy4G213600.7.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTGSYRKQKLLKFFNTYDTTEDNYWQLSGYARTVRSMSRLYLGSEEITI* >Brasy4G213600.8.p pacid=40086894 transcript=Brasy4G213600.8 locus=Brasy4G213600 ID=Brasy4G213600.8.v1.1 annot-version=v1.1 MESGPGKEDPEDRIKIPARWHRHGQREYSNDPTSTAAQRRAVPADGYSPPPPPPPRPASLEIGPPKERVHAQAGGGSRRTEGSSSAAAGVQQRNKKVGGGIPSPALYPPSSVRRSPSNPLADDDAASGPGAGMSSPAVGSDKGKGVAWRHPIVTETMTVPQEVRPPTDPFDCKLVFEELLQEQANINRVSRRWAEQEEEKQKQVKSPDVKETHFRKFKIYFTRKKEIFDRGGRQSYGEHVCYQTIPISEVWNYYPRLRHRAVDQAVVPFNVMSLDAYSEFRRVLGDGECFYRSFIFSYLEQVLDWQDINEEDRLLAVVNRVATQHANLGWTSEFTRSQKAFQNLIKKVIRWKTQDTQSSFASTATVNRNFSSSSTLMIQRKTITGSYLDMLAQ* >Brasy4G130500.1.p pacid=40086895 transcript=Brasy4G130500.1 locus=Brasy4G130500 ID=Brasy4G130500.1.v1.1 annot-version=v1.1 MGRAPCCEKMGLKRGPWTAEEDRTLVAHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIQLHDMLGNRWSAIAARLPGRTDNEIKNVWHTHIKKRLEGKTQGTEATGAPAKRRAKKHVASVNTTLQQQQGPASSVPVSPEQSLSTSSSTGNDSMASSLENTGSSASDSHEFQIDDSFWSETLAMAVDSSSGSGMEAGDSFDADTASPSSSNDEMDFWVNLFMQAGDMQSLSQI* >Brasy4G302800.1.p pacid=40086896 transcript=Brasy4G302800.1 locus=Brasy4G302800 ID=Brasy4G302800.1.v1.1 annot-version=v1.1 MPVDPADPNYYNEPSQFMDDLISQEAPVFEEEVGEQWGDETQEGVSIDTEPLYTDAGAGTDPGSDASWCQRKGKSKRTQAYAECEDKLLCEAWLEIGQDPICGAEQKGAAYWKRIYDYFHEHRLLPPYNFMSDRGEVSLQKTWGLIQTECNKFAAAQDHVKARPVSGVGVGDMEAPNWQDLYLATKKSPGDGKKRDCSVIDLEASGHTEAASRAVRPRGRTNSKLDAKHEASNLAFEETLKKMWSEKDAVKEKMLQLKEEQIKEFIDETRIMTADLSLMDEPTRAWFLAKRKMIQERDAPVPSEE* >Brasy4G323600.1.p pacid=40086897 transcript=Brasy4G323600.1 locus=Brasy4G323600 ID=Brasy4G323600.1.v1.1 annot-version=v1.1 MFAASARRVAAAVSASSSSSSSSSSRATQLASALNHQRWMHDRNKKAMELVAKGWSALQEVDRVIDFADRNDKRLIPLLRGAKENFELALEIDNMNTHARCWLAKMHFKYHVPGACKAIGAALLVEAANMGDPEAQYELGCRLRIENDHVQSDQQAFHYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRLNSGKSPSTGKAWKRSIQQDPVKLAKEQFQIAAEAGCDLGLRWLKNLGDYETQLLKQIKQ* >Brasy4G343100.1.p pacid=40086898 transcript=Brasy4G343100.1 locus=Brasy4G343100 ID=Brasy4G343100.1.v1.1 annot-version=v1.1 MWSMQPPPPPPLPLLAFSVFFLLPLLCCPLAANGRSPAPMASRSFFPVVPAATTTVGVDAFSSNGSAAATPAAGPSAPFVLKVEGRHHRLRKELIIAIVLASIAGLAIVVAAIVWCRYRRTLDDFKDTQSTDTARVALVPILNKFNSFKASKKDLVAMMEYASLEAATGNFSESNVLGIGGFGCVYKANFDGGCVAAVKRLGREGQDCGKEFENELDLLQRIRHLNIVSLVGFCIHEENRFIVYELMVNGSLETQLHGPSHGSALSWHIRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKMAPSQCQSIVTWAMPQLIDRAKLPTIIDSVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLTPLVPLELGGTLRINPESPCAMHNRSPC* >Brasy4G176100.1.p pacid=40086899 transcript=Brasy4G176100.1 locus=Brasy4G176100 ID=Brasy4G176100.1.v1.1 annot-version=v1.1 MTMDFSGDIDDFSLQYIHEQLLGADACLQLQAVPVAAVDDFAPHLQQPSDFQLPSEFSLPQLLPLPPPGYVDLTSQYADAEAYGAAEPVMIRFGGENSPVPSSDPARRRPSLTVALPPASHPSWAAADALNDFRKYRGVRQRPWGKFAAEIRNPNKRGSRVWLGTYDTSIEAASAYDRAAFRMRGAKAILNFPNEVASRGATDFLAPSPPAVSNNNNKRKRADGVDEEETVNAKHVKAEAAASAAASPASSLTATSTVTTTTSSSSATTTPSSSSSDYYYPAGGNSEMFGVPGPLASSTSWTWEQLLAEGMFGGSLSPHQQLAGGFPEVCTVN* >Brasy4G201000.1.p pacid=40086900 transcript=Brasy4G201000.1 locus=Brasy4G201000 ID=Brasy4G201000.1.v1.1 annot-version=v1.1 MSLYAHGCHGCSPSITPHHRGDEAPSRSCARSPAQAASSSEFRDPREEEVVQYSGRRELHYCSCARTRDAVCDPIVPIRREILLAS* >Brasy4G134300.1.p pacid=40086901 transcript=Brasy4G134300.1 locus=Brasy4G134300 ID=Brasy4G134300.1.v1.1 annot-version=v1.1 MASPKLAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCEMCKEAKGANDEMVARAFPVISKLFQRCAAAPTLSTASSGVLLLTILQFFLDFGEAVLHDTDGSLRTFFRSCLSREFADPIVAERTLEFLIANKTKILSCFPTLVPQYFPLLLKLIASNGERLDKKFSELLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDQSGNSGSDDSGPLDLADPLFLDLLKDENDGIAAKHWTSPTISSTLQAALNSPQSDRLKQSLKMAPRFLTVYFATALRDVNNSLLCALIPVVMSRYAAMFPDKDFSFEVRKRLSDFLLAAFQRSPDIIALLKKPITDRLGEAHANPAKTELALHLCWAIGEHGAGGINHKDVARELFENLELLLYENLATSRLGLSQEPGFDSMGATSRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGPPCHDFSYADLLPAE* >Brasy4G440700.1.p pacid=40086902 transcript=Brasy4G440700.1 locus=Brasy4G440700 ID=Brasy4G440700.1.v1.1 annot-version=v1.1 MKSLLSEESTFIIESDDDEEDPTGLQDAGLNEDGDGSSSDSSSCATPRCDSRPGSYTQQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEIISNLGKPLLRPTTSDEQQQQQQLPQQQQQQHEDIRKSSQYLLPSRKPSLQQIPEYQKPLVVGHEVSPYQQCSYTQGAMNGINVLCGVGILSTPYAIKQGGWLGLVILVLFALLAWYTGVLLRRCLDSKEGLQTYPDIGHAAFGTTGRIAISVILYIELYACCIEYLILESDNLSKLFPNVHLTIGSLTLNSHVFFAILTTIIVMPTTWLRDLTCLSYISVGGVIASILVVICLFWIGVVDHVGFENKGTTLNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCITFSTILFAAAAVMGYKMFGESTESQFTLNLPENLLVSKIAVWATVANPITKYALTITPLAMSLEELLPRSQQKYSNIIMLRSALVASTLLIALSVPFFALVMSLIGSLLAMLVTYILPCACFLAILETKVTWYQITACSFIIIVGVSCACVGTYSSLSGIIQNYT* >Brasy4G145300.1.p pacid=40086903 transcript=Brasy4G145300.1 locus=Brasy4G145300 ID=Brasy4G145300.1.v1.1 annot-version=v1.1 MSAAAAATSPGPFAFHAPLPTPPADHTEGGEFEFRIPANAGALLTAADELFSGGKLVPLLPPPPPLPLPRSPPAPALCVELHLQQEENEEEEALSESEPASPRAPRCAGRRWRDLLLLVTTKKQHPKPGDTATKSKSPSEPHCYSRPLLSRDSSSSSSSTATSSSSGDDSARRRPPLRTRSAPVASLLHLMSKKPTAGAAPMKHPLLTRVSSSSSSSSDSGRGSSSAPPWHPRAPALARPRRPAVAAESPRVSASGRVVFRGLERSSSTPAAAGAGIGVGMGARRPRPRGMERSYSTNVRVDPVINVFGFGHLFFSPASPAKEKKERDSGRRKNRPEKKLAMVLRDPHD* >Brasy4G213700.1.p pacid=40086904 transcript=Brasy4G213700.1 locus=Brasy4G213700 ID=Brasy4G213700.1.v1.1 annot-version=v1.1 MPSQVMDQRHQLSQYRHPIVTASSFSDELLLPTERQIGFWKPESIPHNMGSKSVASSPLEKPQPIGTKIAGRLELLQQYDPKDQKTAYSLEHKPFGKERHANLPPSPWRPQQNPSSQSASSLKASPLLFHDERRTTANEVYNENGLFSSSLSDIFDKKLRLTNNNALVGKPIQRVDLNHVDDEPFELTEEIEAQIIGNLLPDDDELLSGVLDEVGYAAHTNNGEDVDDDIFYTGGGMELETDESKKLQELNGGASDGLGFLNGALNGEHPHGEHPSRTLFVRNINSNVEDSELKLIFEHYGDIRTLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNVDPSVANDDLRRIFGGYGEIKEIRDTAQKGHHKIIEFYDIRGAENALRALNRNDIAGKKIKLENSHLGGTRRLMQHMSPELGQEEFGVYKLGSPSTSSPSMASFGSSNLATLTSTGFENGSMGMLSGIQTSISSFRDASFPGLSSTIPQSLSSPVGITSGVNKATLGELSHSLGRMNGHMNYGFQGMGGLTNGSPYNTMAPIGVDSNSRVAEAVDSRHLHKVGSGNLNGHPFDRAEGAPGFSRSGSLPLRGQHLMWNNSNNFHHHPNSPVLWPNPNPASFLNNAPSRPPAQMHGLPRAPAHMLENAPPMHHHHVGSAPAINPSVWDRRHGYAGDLTEAQSFHPGSVGSIGFPGSPQLHSVELNNIFSQNGGNCMDPAVSPAQIGAPSPQQRGMFHGRNPMVPHPSFDSPGERMRNRRNDTSANQSDNKRQYELDVDCILRGEDSRTTLMIKNIPNKYTSKMLLTAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIVPFYQTFNGKKWEKFNSEKVASLAYARIQGKLALIAHFQNSSLMNEDKRCRPILFHSNGPNAGDQEPFPMGANIRARSGRARTSSGEENHQEISSTLASCDTSSNGADTSGPTKDTE* >Brasy4G364900.1.p pacid=40086905 transcript=Brasy4G364900.1 locus=Brasy4G364900 ID=Brasy4G364900.1.v1.1 annot-version=v1.1 MASPLTGPAAFKDVFVEGHDAPLVPLPEDQAGVDVEALPGNPAHGLRLYQGTWVAEPWIQSGGFAARPGDVFLASPPKCGTTWLKALAFATMARAVHPLVPAAAGAGAGDDGHPLLRHSPHECVPFMEGFFGAGWGSKLDALPSPRLLATHMPCSALPACIAQNPDCKIVYICRDPKDMVVSLWHFVRKLRPHVSFSDVFELTCEGKSLCGPIWDHILGYWNASSHINNVDDESRTGPKSTMMAPVLFLRYEEMLQDTPSNVRKLARFLGQPFSAAEEESGTAEAIVELCSFNKLSNLEVNKTGYMKTFSRQSYFRKGGAGDWENHMTPEMARRFGDVLRDKLRGSGIQGGRKSPRGSNVVCACTGEPTADQKSLVPRGAGCMQGGGARGANRARRQRS* >Brasy4G362800.1.p pacid=40086906 transcript=Brasy4G362800.1 locus=Brasy4G362800 ID=Brasy4G362800.1.v1.1 annot-version=v1.1 MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGRMFEYSSPTSSLRELIQQYQNTTNSQFEEINHDQQIFVEMTRMRNEMEKLESGIRQYTGDDLSSLSLADVGDIEQQLELSVSKVRARKHQLINQQADNLRRKGHILEDQNTLLCRMYNESQQHGVGGSGGGEVKMMGMSPVLSMLPPSAFPATPYYGGGGGEESSSTALQLTSPLHAAAAAAGFRLQPTQPNLQDPACSSLHAGHGLHLW* >Brasy4G053100.1.p pacid=40086907 transcript=Brasy4G053100.1 locus=Brasy4G053100 ID=Brasy4G053100.1.v1.1 annot-version=v1.1 MEFGLWHPFAKEGCIFRLLWSEEMLLGDDSMLATSGIEYSVAARRFGQKWRPDSSDEDDEGISTAKRDLRFGKFGAPREEESEDDELGEASTIRRKWSTAALRNCDMKKDRRALKSYEEESNDLTGRIQELREEIRNREVLGAERRRYESRGESLFTSKRFDECGISPLTVKALTDAGYVQTTVVQEAGLPICLEGKDVLIKAKTGTGKSAAFLLPAIESVLNAMKSHTNPRVSPIFALVLCPTRELAVQVTAEANVLLKYHQGVGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENRSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATVPKEVRRVSQPVLNRDHVFVVTVGLGAVETPTKIPLVSPFLELRCSSIILWYHMNCTFMVHRLLREHIDQEVNYKVIVFCSTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSARGLNYPDVTIVIQVGVPPGREHYIHRLGRTGRQGKSGKGILLIAPWEEYFLNEITDLPIEKAQVPHIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKSMLADLASRFCVSIGVEKPPALYRKTALKMGLKDVPGIRIRK* >Brasy4G057500.1.p pacid=40086908 transcript=Brasy4G057500.1 locus=Brasy4G057500 ID=Brasy4G057500.1.v1.1 annot-version=v1.1 MASSPSIGSGNGICFPYDVLLTILRRVPCRRALAESRLVCRSWRAIVDAHNLLAPHYFSQNVFPGVFTNNFGCHNESSFLVRPSHSAAESQQARRLPAPALSPVTAGRASCTTATAWAPWPDVLKGLFLAFDPLKSRHYQVFFFPIQHVQTRADVQQQTWFSEEQLHLTRLFEEEHYNQEETGEQEDKVIPSLVFSSQTGQCQAARTPGCYGRAIERSKRRVRWFGERYFVEGEEEDGGEEEDVGSKEGSEHSWDSDEDNFVDVDKSVTECVFGSSGIMGSHPHKDVLLLEFPHHAVAYHLGTSRMQYLGQDLVREQHSPARGIYRAFPYRPCYVDALPARKMPCHPLS* >Brasy4G341600.1.p pacid=40086909 transcript=Brasy4G341600.1 locus=Brasy4G341600 ID=Brasy4G341600.1.v1.1 annot-version=v1.1 MASEIEVLEDTTAAAATATAGAVVAVAGEAEPAEEPLKDDVYTGAAYGDLEKLHRLVEQEGRSVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIIAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLGTYRVRQDKEGCTPLHWAAIRGNLESCTVLVQVGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGANSYFGKISKLGLAPLLWCIIIGLIVVYIHSVISGQYTTNMTFLFGLFSWLGIFLATAGLVMFYRCSRKDPGYIDKNIRDSQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPIRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAIIRIVADPASPASFGGWLNYAATNHPWVVSFVIMDFLLFFGVITLTVVQASQISGNITTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFFLKGYNEDIEKVQQTLHPDEEMATIQMTRSAVSQDDESMPLHANCTDHGCADSQANTKSHRQVSPSKCCNHSKKTDKTPLGLGLGLGRNNPSSRYARSLLPL* >Brasy4G341600.2.p pacid=40086910 transcript=Brasy4G341600.2 locus=Brasy4G341600 ID=Brasy4G341600.2.v1.1 annot-version=v1.1 MASEIEVLEDTTAAAATATAGAVVAVAGEAEPAEEPLKDDVYTGAAYGDLEKLHRLVEQEGRSVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIIAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLGTYRVRQDKEGCTPLHWAAIRGNLESCTVLVQVGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGANSYFGKISKLGLAPLLWCIIIGLIVVYIHSVISGQYTTNMTFLFGLFSWLGIFLATAGLVMFYRCSRKDPGYIDKNIRDSQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPIRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAIIRIVADPASPASFGGWLNYAATNHPWVVSFVIMDFLLFFGVITLTVVQASQISGNITTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFFLKGYNEDIEKVQQTLHPDEEMATIQMTRSAVSQDDESMPLHANCTDHGCADSQANTKSHRQVSPSKCCNHSKKTDKTPLGLGLGLGRNNPSSRYARSLLPL* >Brasy4G295900.1.p pacid=40086911 transcript=Brasy4G295900.1 locus=Brasy4G295900 ID=Brasy4G295900.1.v1.1 annot-version=v1.1 MMDTLFVLGQESRLRILQQAAARVPGCAYICVWAPINRPGAQQQLLPPPPLSSARSRGGHLLCCLDAWLRGDVGDRGRALFQAYRGSLCGVASGCVPGWAFREGRSYMELPEHDLAASASLPAQLQFYQEAGIEMAAFMGCESGEIEMGVSAPTNNLRPSVEQVFSEDFFQQSLLEELLQLPPTRPSSSSSSIPSISVGSPAADAASTSLPRGTMAMPMAASSATPSPRALSLAQQPLLHPLFTRHGAHGHGHGHAQQHFPSAEADDAAMAQAMLAVISASSPSSSSSSMPAFGRHRGARRGSSTRTTAFRAYNAALAPRRAAPGPGAAAGQRMIKRGISILRRMHVLKCSYSQNHQDQQRATAGAAVQRRREEEQEEEAVQPAPTSSQLHHMISERRRRERLNGSFEALRGLLPPGSKNDKATVLANTLEYMNILIAQISDLESKNRALEAAAQVYRPIVNGSSRESRRPDQALVQQGLLRGGSSEKVLVEVSTNSGAGASTSSTPVSREVTIRVAAPGGDLSDLVTRVLRLLKETGHRFTVVAVDASRHPAADAGGGGIAQASMTLRATAAGELDEASLREAVAKAVEGLVTPSDDDESP* >Brasy4G174300.1.p pacid=40086912 transcript=Brasy4G174300.1 locus=Brasy4G174300 ID=Brasy4G174300.1.v1.1 annot-version=v1.1 MGTPAQLPHDVIVEILLRLPAHSVARCRAVCRSWLSAISHPSFDRSYAERRPAAVAKITINLELRAVVFDLFRGCWHRDDFLTRTPSPRTLCFATSWHALVLGSWDGVVCLERGDLLPDHHVLWNPLTMACATVDCPDRLGGHIIGGYAHPETRRFHLLQASGGTYGSRLIFPAVFRIRRLGDALCMNTHGPRFVSLHGNLHWLVKSSGSGTLQLLAFDMATEELWSIGTPAHPNLAISKICLVSSGKLCIFAVEPSTSTMKMWVLDRYSGRPHGPGSWQLKETISLVTLDRSDLSKTFCMNTELQVVEGVRQGREIFVTQHSKGRIDAYNVRQKAWRIVKVSFGEASVGLYHYWVGNYGQRLMFC* >Brasy4G280800.1.p pacid=40086913 transcript=Brasy4G280800.1 locus=Brasy4G280800 ID=Brasy4G280800.1.v1.1 annot-version=v1.1 MCLSSPRDSAPGVVSSPSALPPSAPFSSGGSSPGGGSGGGMRMGPYGAAEGGPLNGGVSPSLMVVLLHGSLDIWVHGARNLPNKDMFSKKIGDLVGSCIAGCVGDKTSNASMTSDPYVIIKLSQHDTIGRTYVISNSENPDWKQNFLVPVGHETAEVEFIVKDSDVFGAQLIGAVAIPAERLISGNKIEGVYPLLKRNGKPCAPGAVLRLSIQYIPAARLAMYHHGVIGGPDCLGVPNTYFPLRRGMRVTLYQDAHVPDGSLPDICLDHGLRYQHGQCWHDMYNAISQAQRLIYIVGWSVFHTIRLIRDGADDVPSLGDLLKMKSVKGVKVLLLVWDDPTSRNILGFKSDGFMHTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQKETGTIFTHHQKTVIVDADAGSCRRKIIAFVGGLDLCGGRYDTPEHPLFRTLQTLHKEDYYNPNFAPVDARGPREPWHDLHSKIDGPAAYDVLQNFEERWLKASKRHRIKKLGKSYDDALLRIETIPDMINTNDAAYFSDNDPETWHVQVFRSIDSNSAKGFPKDPQEATRKNLVCGKNVLIDMSIHTAYVHAIRAAQYFIYIENQYFVGSSFNWGSKKRAGANNLVPIEIALKIANKIKVKERFSAYIVLPMWPEGNPTGPSTQRILYWQKKTMEMMYEIIYGALKEAGLDDIYEPQDYLNFFCLGNREVADNPSASNTANNPQEQARKNGRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGAIEEDFNHPESVECMRRVRHLGEHNWDQFIASEVTEMRGHLMKYPVSVDRKGKVKPLPSCPTFPDTGGNICGTYLPIPENITI* >Brasy4G280800.2.p pacid=40086914 transcript=Brasy4G280800.2 locus=Brasy4G280800 ID=Brasy4G280800.2.v1.1 annot-version=v1.1 MCLSSPRDSAPGVVSSPSALPPSAPFSSGGSSPGGGSGGGMRMGPYGAAEGGPLNGGVSPSLMVVLLHGSLDIWVHGARNLPNKDMFSKKIGDLVGSCIAGCVGDKTSNASMTSDPYVIIKLSQHDTIGRTYVISNSENPDWKQNFLVPVGHETAEVEFIVKDSDVFGAQLIGAVAIPAERLISGNKIEGVYPLLKRNGKPCAPGAVLRLSIQYIPAARLAMYHHGVIGGPDCLGVPNTYFPLRRGMRVTLYQDAHVPDGSLPDICLDHGLRYQHGQCWHDMYNAISQAQRLIYIVGWSVFHTIRLIRDGADDVPSLGDLLKMKSVKGVKVLLLVWDDPTSRNILGFKSDGFMHTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQKETGTIFTHHQKTVIVDADAGSCRRKIIAFVGGLDLCGGRYDTPEHPLFRTLQTLHKEDYYNPNFAPVDARGPREPWHDLHSKIDGPAAYDVLQNFEERWLKASKRHRIKKLGKSYDDALLRIETIPDMINTNDAAYFSDNDPETWHVQVFRSIDSNSAKGFPKDPQEATRKNLVCGKNVLIDMSIHTAYVHAIRAAQYFIYIENQYFVGSSFNWGSKKRAGANNLVPIEIALKIANKIKVKERFSAYIVLPMWPEGNPTGPSTQRILYWQKKTMEMMYEIIYGALKEAGLDDIYEPQDYLNFFCLGNREVADNPSASNTANNPQEQARKNGRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQNNKSAMIYKHSKIRTEGDVFVYQSW* >Brasy4G101900.1.p pacid=40086915 transcript=Brasy4G101900.1 locus=Brasy4G101900 ID=Brasy4G101900.1.v1.1 annot-version=v1.1 MPGIVTEEVPNEVNSSQNKENLSAPRSPVASNMMASMQSETLEMHVENSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEETGGADNVVTPVENGTPAKAPSSNSSKKSKKATKSQLESDASVGPNGKASPEEGESEVSKPGSQVGRRRKASVNPQNGAEEAGLDNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFERCTGGKPSLNLVMSLHVVAAIHCNLGKYEDAVPVLQRSLEIPVTEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAKGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHRDNGQPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVCAYQKALTVFKTSKGENHATVASVFLRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHDQALKLLQKALKMYNNSAGQQSTIAGIEAQIGVLQYISGNYGEAYNSFKSAITKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRSTVAA* >Brasy4G101900.2.p pacid=40086916 transcript=Brasy4G101900.2 locus=Brasy4G101900 ID=Brasy4G101900.2.v1.1 annot-version=v1.1 MPGIVTEEVPNEVNSSQNKENLSAPRSPVASNMMASMQSETLEMHVENSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEETGGADNVVTPVENGTPAKAPSSNSSKKSKKATKSQLESDASVGPNGKASPEEGESEVSKPGSQVGRRRKASVNPQNGAEEAGLDNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFERCTGGKPSLNLVMSLHVVAAIHCNLGKYEDAVPVLQRSLEIPVTEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAKGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHRDNGQPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVCAYQKALTVFKTSKGENHATVASVFLRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHDQALKLLQKALKMYNNSAGQQSTIAGIEAQIGVLQYISGNYGEAYNSFKSAITKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRSTVAA* >Brasy4G280000.1.p pacid=40086917 transcript=Brasy4G280000.1 locus=Brasy4G280000 ID=Brasy4G280000.1.v1.1 annot-version=v1.1 MSCSRTQPATRCLHELLARAAHHALGHERGHELLGQEVGPEHPHQNPPASHGSREAQTVTTPVRGRRRGRGREEDDALVVQYTRPLFVGGRLSALSLALASARAAAALHQPAAPISPSSWRRRPAPDPRLSARRRHPTPSPRWQPGPGVGRPLPARGAAALPLALASARAGTALDPARGAVLPQRAPPPPSTHPAPPSSQRSSALEIEIVAALIFPHINRATAATTCDVMKLRAVRLLMS* >Brasy4G405200.1.p pacid=40086918 transcript=Brasy4G405200.1 locus=Brasy4G405200 ID=Brasy4G405200.1.v1.1 annot-version=v1.1 MAPGSSIVTEVLESDCIDHGLSEALSSIRLDGDSTSKPSWAASLVNVGLSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVDNHCPTCRQELGNIRCLALEKVAESIQLPCKYQSLGCTEIHPYQHKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMSPVYMAFLRFMGEESEARNFCYSLEVGGNGRKLTWQGTPRSIRDGHKKVRDSFDGLIIHRNMALFFSSGTRQELKLRVTGRIWKEQ* >Brasy4G268200.1.p pacid=40086919 transcript=Brasy4G268200.1 locus=Brasy4G268200 ID=Brasy4G268200.1.v1.1 annot-version=v1.1 MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTVTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHG* >Brasy4G423600.1.p pacid=40086920 transcript=Brasy4G423600.1 locus=Brasy4G423600 ID=Brasy4G423600.1.v1.1 annot-version=v1.1 MESAVLQTPLLHGLPDEIALLCLSRVPRQCHNVLRCVSRGWRALLCSEEWHACRKRNNLDEPWIYLICRGTGIKCYVLAPDPATRSLKVLQVMEPPCSGREGISIETLDKRLFVLGGCSWLKDGTDEAYCYDASSNRWSKAAPMPTARCFFVTSALNDKIYVTGGLGLTDKSPNSWDIYDKSTNSWFPHKNPMLTPDIVKFIALDGELITIHKAAWNKMYFAGIYDPINQTWRGTENEIALCWSGPTVVLDGTLYMLDQSLGTKLMVWRKETKEWVMLGRLSDKLTRPPCELVAIGRKIYVIGKGLSTVTIDVDTAARVDGFLVSSSTGPLMEHDFSPEQCRVITI* >Brasy4G423600.2.p pacid=40086921 transcript=Brasy4G423600.2 locus=Brasy4G423600 ID=Brasy4G423600.2.v1.1 annot-version=v1.1 MESAVLQTPLLHGLPDEIALLCLSRVPRQCHNVLRCVSRGWRALLCSEEWHACRKRNNLDEPWIYLICRGTGIKCYVLAPDPATRSLKVLQVMEPPCSGREGISIETLDKRLFVLGGCSWLKDGTDEAYCYDASSNRWSKAAPMPTARCFFVTSALNDKIYVTGGLGLTDKSPNSWDIYDKSTNSWFPHKNPMLTPDIVKFIALDGELITIHKAAWNKMYFAGIYDPINQTWRGTENEIALCWSGPTVVLDGTLYMLDQSLGTKLMVWRKETKEWVMLGRLSDKLTRPPCELVAIGRKIYVIGKGLSTVTIDVDTAARVDGFLVSSSTGPLMEHDFSPEQCRVITI* >Brasy4G402300.1.p pacid=40086922 transcript=Brasy4G402300.1 locus=Brasy4G402300 ID=Brasy4G402300.1.v1.1 annot-version=v1.1 MASSSGSGSATTTGSRSLSPSMAAAGASEEEMRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLAAQAAHLRRENAHVAAALGLTARGLLAVDAENAVLRTQAAELAARLASLNDILNCMTAANSSSSASGSNNSTAAAAVALTVAAAAAATGGDPLLLGGFDGALDDMFRSSPDLFMFQPC* >Brasy4G255500.1.p pacid=40086923 transcript=Brasy4G255500.1 locus=Brasy4G255500 ID=Brasy4G255500.1.v1.1 annot-version=v1.1 MALMRAKWLALGEAVGFVGEWKTKSNAWEVEKLVEAAAPRPAAKQIADLLSNGSADRRQKRRLEESLRENARAAEESVRKQEETIRMQEDAMRKQEETIIRLLQTVLQRLQESDERVMRMQRLQCPPPVPTGPTRSS* >Brasy4G303000.1.p pacid=40086924 transcript=Brasy4G303000.1 locus=Brasy4G303000 ID=Brasy4G303000.1.v1.1 annot-version=v1.1 MGEEAEGIEVEAGSPPPAIVGDGGEKTKRGRGRPRGSGTGRGRSAKPSDEARGVAETKRGRGRPNGRGRGRGRSGDRALASPEVRSPDEAQGGDGAEETKRGRGRPKGSGTGRGRSGDRAFASPAFKPSDEARGVAAGNRVLRERRPAPESFRERGSDDEETVDNQVFERLKKPKKSAAVKKTEPQKRRADQEGSKVQFSKGEIHGGNNGTSNNGVLEPAKKRQRRDSGKAPNTKEEVDKQVPSSKNKNSGERYKNGKKKLTGENARMCHQCQRNDKGRVVWCKACNNKRFCVPCITQWYPDLTEDEFAAKCPYCRKNCNCKSCLRMRGVEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKVEQVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLTCCRELRNGEIPGGQEVESMPYEDKGKDYVFAKKILPNADNRRISLRRQMGSPNSPPLLWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEKVLAELESRADKAVKSEIFAKETACRRDQCSCFDHSGKIRSDIKTLRVAANRKDSRDNYLYCPVATGIQDDDLVHFQMHWAKGEPVIVSDVLLLTSGLSWEPLVMWRALRERAQGRAEDEQFAVRAIDCLDWCEVEINIHMFFMGYKIGRAHPRHCWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPNGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYNVPQIEKVKKNMRDQDLQELYGDLNSHSEIILEPSIVKPQNKSADEAPKLICGMENDDTHKDRCNGLHIDALPPGDNRGEAKDIAPSYEYLIQNGIHQGLDHIHEVNKSGKIHNGSHCISNNQGHPDRRVHQNKVSDPPTPVLKNSEKEKTGGALWDIFRREDSAKLQDYIRKHASEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSTHRAKEDKLEIKKMAIHALTNVLGFLDPHLKGSKNWGSAEKKPGRCGRPKGVSRAGR* >Brasy4G303000.2.p pacid=40086925 transcript=Brasy4G303000.2 locus=Brasy4G303000 ID=Brasy4G303000.2.v1.1 annot-version=v1.1 MGEEAEGIEVEAGSPPPAIVGDGGEKTKRGRGRPRGSGTGRGRSAKPSDEARGVAETKRGRGRPNGRGRGRGRSGDRALASPEVRSPDEAQGGDGAEETKRGRGRPKGSGTGRGRSGDRAFASPAFKPSDEARGVAAGNRVLRERRPAPESFRERGSDDETVDNQVFERLKKPKKSAAVKKTEPQKRRADQEGSKVQFSKGEIHGGNNGTSNNGVLEPAKKRQRRDSGKAPNTKEEVDKQVPSSKNKNSGERYKNGKKKLTGENARMCHQCQRNDKGRVVWCKACNNKRFCVPCITQWYPDLTEDEFAAKCPYCRKNCNCKSCLRMRGVEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKVEQVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLTCCRELRNGEIPGGQEVESMPYEDKGKDYVFAKKILPNADNRRISLRRQMGSPNSPPLLWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEKVLAELESRADKAVKSEIFAKETACRRDQCSCFDHSGKIRSDIKTLRVAANRKDSRDNYLYCPVATGIQDDDLVHFQMHWAKGEPVIVSDVLLLTSGLSWEPLVMWRALRERAQGRAEDEQFAVRAIDCLDWCEVEINIHMFFMGYKIGRAHPRHCWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPNGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYNVPQIEKVKKNMRDQDLQELYGDLNSHSEIILEPSIVKPQNKSADEAPKLICGMENDDTHKDRCNGLHIDALPPGDNRGEAKDIAPSYEYLIQNGIHQGLDHIHEVNKSGKIHNGSHCISNNQGHPDRRVHQNKVSDPPTPVLKNSEKEKTGGALWDIFRREDSAKLQDYIRKHASEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSTHRAKEDKLEIKKMAIHALTNVLGFLDPHLKGSKNWGSAEKKPGRCGRPKGVSRAGR* >Brasy4G303000.5.p pacid=40086926 transcript=Brasy4G303000.5 locus=Brasy4G303000 ID=Brasy4G303000.5.v1.1 annot-version=v1.1 MGEEAEGIEVEAGSPPPAIVGDGGEKTKRGRGRPRGSGTGRGRSAKPSDEARGVAETKRGRGRPNGRGRGRGRSGDRALASPEVRSPDEAQGGDGAEETKRGRGRPKGSGTGRGRSGDRAFASPAFKPSDEARGVAAGNRVLRERRPAPESFRERGSDDEETVDNQVFERLKKPKKSAAVKKTEPQKRRADQEGSKVQFSKGEIHGGNNGTSNNGVLEPAKKRQRRDSGKAPNTKEEVDKQVPSSKNKNSGERYKNGKKKLTGENARMCHQCQRNDKGRVVWCKACNNKRFCVPCITQWYPDLTEDEFAAKCPYCRKNCNCKSCLRMRGVEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKVEQVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLTCCRELRNGEIPGGQEVESMPYEDKGKDYVFAKKILPNADNRRISLRRQMGSPNSPPLLWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEKVLAELESRADKAVKSEIFAKETACRRDQCSCFDHSGKIRSDIKTLRVAANRKDSRDNYLYCPVATGIQDDDLVHFQMHWAKGEPVIVSDVLLLTSGLSWEPLVMWRALRERAQGRAEDEQFAVRAIDCLDWCEVEINIHMFFMGYKIGRAHPRHCWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPNGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYNVPQIEKVKKNMRDQDLQELYGDLNSHSEIILEPSIVKPQNKSADEAPKLICGMENDDTHKDRCLHIDALPPGDNRGEAKDIAPSYEYLIQNGIHQGLDHIHEVNKSGKIHNGSHCISNNQGHPDRRVHQNKVSDPPTPVLKNSEKEKTGGALWDIFRREDSAKLQDYIRKHASEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSTHRAKEDKLEIKKMAIHALTNVLGFLDPHLKGSKNWGSAEKKPGRCGRPKGVSRAGR* >Brasy4G303000.3.p pacid=40086927 transcript=Brasy4G303000.3 locus=Brasy4G303000 ID=Brasy4G303000.3.v1.1 annot-version=v1.1 MGEEAEGIEVEAGSPPPAIVGDGGEKTKRGRGRPRGSGTGRGRSAKPSDEARGVAETKRGRGRPNGRGRGRGRSGDRALASPEVRSPDEAQGGDGAEETKRGRGRPKGSGTGRGRSGDRAFASPAFKPSDEARGVAAGNRVLRERRPAPESFRERGSDDEETVDNQVFERLKKPKKSAAVKKTEPQKRRADQEGSKVQFSKGEIHGGNNGTSNNGVLEPAKKRQRRDSGKAPNTKEEVDKQVPSSKNKNSGERYKNGKKKLTGENARMCHQCQRNDKGRVVWCKACNNKRFCVPCITQWYPDLTEDEFAAKCPYCRKNCNCKSCLRMRGVEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKVEQVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLTCCRELRNGEIPGGQEVESMPYEDKGKDYVFAKKILPNADNRRISLRRQMGSPNSPPLLWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEKVLAELESRADKAVKSEIFAKETACRRDQCSCFDHSGKIRSDIKTLRVAANRKDSRDNYLYCPVATGIQDDDLVHFQMHWAKGEPVIVSDVLLLTSGLSWEPLVMWRALRERAQGRAEDEQFAVRAIDCLDWCEVEINIHMFFMGYKIGRAHPRHCWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPNGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYNVPQIEKVKKNMRDQDLQELYGDLNSHSEIILEPSIVKPQNKSADEAPKLICGLHIDALPPGDNRGEAKDIAPSYEYLIQNGIHQGLDHIHEVNKSGKIHNGSHCISNNQGHPDRRVHQNKVSDPPTPVLKNSEKEKTGGALWDIFRREDSAKLQDYIRKHASEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSTHRAKEDKLEIKKMAIHALTNVLGFLDPHLKGSKNWGSAEKKPGRCGRPKGVSRAGR* >Brasy4G303000.4.p pacid=40086928 transcript=Brasy4G303000.4 locus=Brasy4G303000 ID=Brasy4G303000.4.v1.1 annot-version=v1.1 MGEEAEGIEVEAGSPPPAIVGDGGEKTKRGRGRPRGSGTGRGRSAKPSDEARGVAETKRGRGRPNGRGRGRGRSGDRALASPEVRSPDEAQGGDGAEETKRGRGRPKGSGTGRGRSGDRAFASPAFKPSDEARGVAAGNRVLRERRPAPESFRERGSDDETVDNQVFERLKKPKKSAAVKKTEPQKRRADQEGSKVQFSKGEIHGGNNGTSNNGVLEPAKKRQRRDSGKAPNTKEEVDKQVPSSKNKNSGERYKNGKKKLTGENARMCHQCQRNDKGRVVWCKACNNKRFCVPCITQWYPDLTEDEFAAKCPYCRKNCNCKSCLRMRGVEEPPKKEISEENQIRYACHIVRLLLPWLGELRREQMEEKKLEASIRGVSINEVKVEQVECDLDERVYCSMCKTSIFDFHRSCKNCLYDLCLTCCRELRNGEIPGGQEVESMPYEDKGKDYVFAKKILPNADNRRISLRRQMGSPNSPPLLWKAKNDGSIPCPPKEIGGCSGPVLDLKCMFPEKVLAELESRADKAVKSEIFAKETACRRDQCSCFDHSGKIRSDIKTLRVAANRKDSRDNYLYCPVATGIQDDDLVHFQMHWAKGEPVIVSDVLLLTSGLSWEPLVMWRALRERAQGRAEDEQFAVRAIDCLDWCEVEINIHMFFMGYKIGRAHPRHCWPEMLKLKDWPPSSTFDKRLPRHGAEFISALPFPEYTDPRYGPLNLSVKLPNGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDVSDAVNILTHTAEVPIETYNVPQIEKVKKNMRDQDLQELYGDLNSHSEIILEPSIVKPQNKSADEAPKLICGLHIDALPPGDNRGEAKDIAPSYEYLIQNGIHQGLDHIHEVNKSGKIHNGSHCISNNQGHPDRRVHQNKVSDPPTPVLKNSEKEKTGGALWDIFRREDSAKLQDYIRKHASEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVGEFVKLTNEFRRLPSTHRAKEDKLEIKKMAIHALTNVLGFLDPHLKGSKNWGSAEKKPGRCGRPKGVSRAGR* >Brasy4G030100.1.p pacid=40086929 transcript=Brasy4G030100.1 locus=Brasy4G030100 ID=Brasy4G030100.1.v1.1 annot-version=v1.1 MASVGVGGEAPAAETMASEAYLAGDAVREARELVAELCRHFYLQGWVTGTGGSITVKANDPAVPLAQQLIIMSPSGVQKERMVAEDMYVMSADGKVLSAPVAKPWPHKHPKCSDCAPLFMKSYLMRGAGAVIHSHGIETCMATMLDPGAKEFRITHMEMIKGIKGHGYNDELVIPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDAAIKLHQLGIDWTTPEHGPINSAKRLRTLLSPGIPNGCHAAGSSKQCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTCTYDSEETKEDIKLLRIQVEEDLTNGIVGATPIPHDDAGKEEVINSVVANVESMIKADRKITSLKQLQGHIWRTGFERKELQGVVFEDVPEALKNWHSSGTKVYIYSSGSREAQKLLFGHTTYGDLRQFLCGFFDTTTGKKREARSYFEISQSLGVDKPSQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTVNFFSEI* >Brasy4G015500.1.p pacid=40086930 transcript=Brasy4G015500.1 locus=Brasy4G015500 ID=Brasy4G015500.1.v1.1 annot-version=v1.1 MGLSPAQISRLVNFVPGILVTPSGIPRLEFYISLLGSYDKVEAALKTRLYLLGRNIEKVVEHNTAFLQQCGLTARHIAKLVVRTELLTMEPERVEEMVARAEILGVRRCSGLFMKALVIVCNYAPGNISAKRDCLEKALGCSEAELAVCKAPGILSMSEGKLAQTMEFLRMEVRLDASYIARRPVMLSYSLEKRLMPRHYVIQVLKAKELVDKDIDLYTVCSDREEICREVP* >Brasy4G085200.1.p pacid=40086931 transcript=Brasy4G085200.1 locus=Brasy4G085200 ID=Brasy4G085200.1.v1.1 annot-version=v1.1 SNQGRRPTSAARMRTRKAASAARTPGGRRLLPEHQLAAAGPRPGEPKPSLGGGETAWFRRRRGSWRSPANSLSAASTSSSPPATPTADGTPQRSSGRRRRGTAGRSSSDAAHFGDVFVTARDAKSWITCFLDLHQPS* >Brasy4G098200.1.p pacid=40086932 transcript=Brasy4G098200.1 locus=Brasy4G098200 ID=Brasy4G098200.1.v1.1 annot-version=v1.1 MDARRVGGRISAARRALAAAGALPLPMQRITNGLAMISLVLSSCDLLRLCSDRDRPLGFRTLGGRAFAPVVCQLASVVYLLSLFGVPFSQPEAPASRDGEEEEGDSPRSPPASAPAAVAPAPMPDGLQAGDEEIVAAVVSGALPSHHLESRLRDCRRAARLRREALWRITGRGLEGLPFEGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAIAASGGAMSVLFRDAMSRAPAIKLPSAKRAAELKVFIEAPANFEALAAVFNKSSRFGRLQGIQGGLAGRNLYMRFTCSTGDAMGMNMVSKGVENVLGYLQNNFPDMDVISISGNYCSDKKPTAINWIEGRGKSVVCEATIKGGIVQSVLKTTVGKLVELNIIKNLAGSAVAGALGGFNAHASNVVTALFIATGQDPAQNVQSSQCITMLEAVNGGKDLHVSVTMPSIEVGTIGGGTYLASQAACLNLLGVKGSNHASPGANARLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVTKPDS* >Brasy4G098200.2.p pacid=40086933 transcript=Brasy4G098200.2 locus=Brasy4G098200 ID=Brasy4G098200.2.v1.1 annot-version=v1.1 MDARRVGGRISAARRALAAAGALPLPMQRITNGLAMISLVLSSCDLLRLCSDRDRPLGFRTLGGRAFAPVVCQLASVVYLLSLFGVPFSQPEAPASRDGEEEEGDSPRSPPASAPAAVAPAPMPDGLQAGDEEIVAAVVSGALPSHHLESRLRDCRRAARLRREALWRITGRGLEGLPFEGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAIAASGGAMSVLFRDAMSRAPAIKLPSAKRAAELKVFIEAPANFEALAAVFNKSSRFGRLQGIQGGLAGRNLYMRFTCSTGDAMGMNMVSKGVENVLGYLQNNFPDMDVISISGNYCSDKKPTAINWIEGRGKSVVCEATIKGGIVQSVLKTTVGKLVELNIIKNLAGSAVAGALGGFNAHASNVVTALFIATGQDPAQNVQSSQCITMLEAVNGGKDLHVSVTMPSIEFLFLR* >Brasy4G367700.1.p pacid=40086934 transcript=Brasy4G367700.1 locus=Brasy4G367700 ID=Brasy4G367700.1.v1.1 annot-version=v1.1 MPFPARLPPKAAAAATAAAVLAAVAVRRYLSSHSSRAATSAAAAAASATTLLVLSGKSSQDQRLLASAATSLSLEDGADLSVSLASDAGGGDGDSDGFDAAAYMGALRARRFGRWMLWSPRMASTHDLVAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMNDARKLPLMQYVVCLAMTEAIKELSSAEGLSELDVRIKWPNDLYLNGLKVGGILCTSSYELKTYNICTGIGLNVDNEKPTTCLNAALQELTVNSPGLKREDILASFFNKFEVLFEIFSNQGFHALEERYYNSWLHSGQRVVVQDPSEGHSVESLVTIQGLTPSGYLYADGDDGKSYELHPDGNSFDFFAGLVRRKMGA* >Brasy4G419400.1.p pacid=40086935 transcript=Brasy4G419400.1 locus=Brasy4G419400 ID=Brasy4G419400.1.v1.1 annot-version=v1.1 MEIAFLMLFVLSLLLFPNGICKSLAARPPVVNIGSILQINSTIGGVSAVAIHAALEDINADPTVLNGTTLKVMLKDTNCYDGFLGMVQALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTAPSDLYQMAAVAAIVDYNQWKLVTAIYVDDDYGRNGIAALDDALAARRCKISYKVGFPGTAKKSELINLLVQVSYMESRIIILHTGAGPGLKLFSMANRLGMMANGYVWIATDWLSAYLDANSSVPAETMNGMQGVLTLRPHIPNSKMKSNLISKWSRQSQKYNHSDLRISAYGFYVYDSVWAVAHALDAFFNDGGRISFSNDSRLRDATGGTLHLEAMSTFDMGNKLKDKIRKVNFSGVSGQVHFDNTGNLIHPAYDIINVIGNGMRTIGFWSNYSGLLSTVTPESLYSKPPNTSLANQRLYDVIWPGETAQRPRGWVFPSNAKELKIGVPNRFSFKEFVTKDDVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHFDKIIQLIESNEFDGAIGDIAITMNRTKLADFTQPFIETGLVILSPVKKHITTSWAFLQPFTLEMWCVTGLFFLIVGVVVWVLEHRINDEFRGSPRQQMITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSISGIDDLKNSDDPIGFQVGSFAQDYMALELNISRSRLRALGSPQEYAEALKLGPKKGGVMAIVDERPYVELFLSSYCKIGVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECAADSSEFIDSNQLRLESFWGLFLICGVTCILSLTIYFAIMLRKYLRHEPKKSFRRFISFVDDKEPPKNRKRSLSLPGSSMPMTPMSGLTAVDIERPARPVRNGSIADIES* >Brasy4G419400.2.p pacid=40086936 transcript=Brasy4G419400.2 locus=Brasy4G419400 ID=Brasy4G419400.2.v1.1 annot-version=v1.1 MEIAFLMLFVLSLLLFPNGICKSLAARPPVVNIGSILQINSTIGGVSAVAIHAALEDINADPTVLNGTTLKVMLKDTNCYDGFLGMVQALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTAPSDLYQMAAVAAIVDYNQWKLVTAIYVDDDYGRNGIAALDDALAARRCKISYKVGFPGTAKKSELINLLVQVSYMESRIIILHTGAGPGLKLFSMANRLGMMANGYVWIATDWLSAYLDANSSVPAETMNGMQGVLTLRPHIPNSKMKSNLISKWSRQSQKYNHSDLRISAYGFYVYDSVWAVAHALDAFFNDGGRISFSNDSRLRDATGGTLHLEAMSTFDMGNKLKDKIRKVNFSGVSGQVHFDNTGNLIHPAYDIINVIGNGMRTIGFWSNYSGLLSTVTPESLYSKPPNTSLANQRLYDVIWPGETAQRPRGWVFPSNAKELKIGVPNRFSFKEFVTKDDVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHFDKIIQLIESNEFDGAIGDIAITMNRTKLADFTQPFIETGLVILSPVKKHITTSWAFLQPFTLEMWCVTGLFFLIVGVVVWVLEHRINDEFRGSPRQQMITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSISGIDDLKNSDDPIGFQVGSFAQDYMALELNISRSRLRALGSPQEYAEALKLGPKKGGVMAIVDERPYVELFLSSYCKIGVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECAADSSEFIDSNQLRLESFWGLFLICGVTCILSLTIYFAIMLRKYLRHEPKKSFRRFISFVDDKEPPKNRKRSLSLPGSSMPMTPMSGLTAVDIERPARPVRNGSIADIES* >Brasy4G419400.3.p pacid=40086937 transcript=Brasy4G419400.3 locus=Brasy4G419400 ID=Brasy4G419400.3.v1.1 annot-version=v1.1 MEIAFLMLFVLSLLLFPNGICKSLAARPPVVNIGSILQINSTIGGVSAVAIHAALEDINADPTVLNGTTLKVMLKDTNCYDGFLGMVQALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTAPSDLYQMAAVAAIVDYNQWKLVTAIYVDDDYGRNGIAALDDALAARRCKISYKVGFPGTAKKSELINLLVQVSYMESRIIILHTGAGPGLKLFSMANRLGMMANGYVWIATDWLSAYLDANSSVPAETMNGMQGVLTLRPHIPNSKMKSNLISKWSRQSQKYNHSDLRISAYGFYVYDSVWAVAHALDAFFNDGGRISFSNDSRLRDATGGTLHLEAMSTFDMGNKLKDKIRKVNFSGVSGQVHFDNTGNLIHPAYDIINVIGNGMRTIGFWSNYSGLLSTVTPESLYSKPPNTSLANQRLYDVIWPGETAQRPRGWVFPSNAKELKIGVPNRFSFKEFVTKDDVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHFDKIIQLIESNEFDGAIGDIAITMNRTKLADFTQPFIETGLVILSPVKKHITTSWAFLQPFTLEMWCVTGLFFLIVGVVVWVLEHRINDEFRGSPRQQMITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSISGIDDLKNSDDPIGFQVGSFAQDYMALELNISRSRLRALGSPQEYAEALKLGPKKGGVMAIVDERPYVELFLSSYCKIGVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECAADSSEFIDSNQLRLESFWGLFLICGVTCILSLTIYFAIMLRKYLRHEPKKSFRRFISFVDDKEPPKNRKRSLSLPGSSMPMTPMSGLTAVDIERPARPVRNGSIADIES* >Brasy4G419400.4.p pacid=40086938 transcript=Brasy4G419400.4 locus=Brasy4G419400 ID=Brasy4G419400.4.v1.1 annot-version=v1.1 MMVSLAWFKFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTAPSDLYQMAAVAAIVDYNQWKLVTAIYVDDDYGRNGIAALDDALAARRCKISYKVGFPGTAKKSELINLLVQVSYMESRIIILHTGAGPGLKLFSMANRLGMMANGYVWIATDWLSAYLDANSSVPAETMNGMQGVLTLRPHIPNSKMKSNLISKWSRQSQKYNHSDLRISAYGFYVYDSVWAVAHALDAFFNDGGRISFSNDSRLRDATGGTLHLEAMSTFDMGNKLKDKIRKVNFSGVSGQVHFDNTGNLIHPAYDIINVIGNGMRTIGFWSNYSGLLSTVTPESLYSKPPNTSLANQRLYDVIWPGETAQRPRGWVFPSNAKELKIGVPNRFSFKEFVTKDDVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHFDKIIQLIESNEFDGAIGDIAITMNRTKLADFTQPFIETGLVILSPVKKHITTSWAFLQPFTLEMWCVTGLFFLIVGVVVWVLEHRINDEFRGSPRQQMITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSISGIDDLKNSDDPIGFQVGSFAQDYMALELNISRSRLRALGSPQEYAEALKLGPKKGGVMAIVDERPYVELFLSSYCKIGVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLKTGECAADSSEFIDSNQLRLESFWGLFLICGVTCILSLTIYFAIMLRKYLRHEPKKSFRRFISFVDDKEPPKNRKRSLSLPGSSMPMTPMSGLTAVDIERPARPVRNGSIADIES* >Brasy4G371200.1.p pacid=40086939 transcript=Brasy4G371200.1 locus=Brasy4G371200 ID=Brasy4G371200.1.v1.1 annot-version=v1.1 MADDNGDPAAQAAAQAQQQAAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDAAGLITDFNKQTQEKTTAPPLRSRLPFAAAAPRVVSFASTAALALAVPPRRRAAFEHRPR* >Brasy4G125700.1.p pacid=40086940 transcript=Brasy4G125700.1 locus=Brasy4G125700 ID=Brasy4G125700.1.v1.1 annot-version=v1.1 MTVSLLRASPIPAPPSLLAHNGLCLVFSSEILAFSTETLQELVQSSPRRGMTCSCSLACSHGWMPCSIGPATILYRYLAMRQAIIIPTDR* >Brasy4G125700.2.p pacid=40086941 transcript=Brasy4G125700.2 locus=Brasy4G125700 ID=Brasy4G125700.2.v1.1 annot-version=v1.1 MTVSLLRASPIPAPPSLLAHNGLCLVFSSEILAFSTETLQELVQSSPRRGMTCSCSLACSHGWMPCSIGPATILYRR* >Brasy4G352100.1.p pacid=40086942 transcript=Brasy4G352100.1 locus=Brasy4G352100 ID=Brasy4G352100.1.v1.1 annot-version=v1.1 MDPNDAGLPCIVQALASIDPSDTTVLPCIVQALAALPPSPTPKTPAPATPIAQPPPPPAPESSPSMPPSPRRTRSGRAPEWTAAETLALVAAVAATDDGWARSVSAFQKWAIVAENLASSEGAGGSSSRRRARPAGDCKRRWEALAAEYAAVRRWEARTGGRYSEMGAAARRKAGLPSEFDTEVYGIMDALLADAGDAGGGGGKEGLVGAGGGGADVGEEEGDDAGVGEGDDDDDDDDEEEVDGDDNEEEMQVDGGNANAPDDLEYCETEETQNEPKRSQIEAWELANKLNDNAQHIHMILEGEVGDDAGQNHAPADPISPNAMETTRQKADELIKSLGGLVSYLNQFTDLIKDNGFEDVVGVN* >Brasy4G196000.1.p pacid=40086943 transcript=Brasy4G196000.1 locus=Brasy4G196000 ID=Brasy4G196000.1.v1.1 annot-version=v1.1 MSGTVCSMCGDVGFPDKLFRCARCRCRFQHSYCTNYYGDAAPAEAGAGVCDWCLSDDSLVKKRPPSAMQMQQHAAAAGSEELFSSRSCGKVKVTGGGEQDQSGRRVNAKGAVRRYKLLKDVLC* >Brasy4G392800.1.p pacid=40086944 transcript=Brasy4G392800.1 locus=Brasy4G392800 ID=Brasy4G392800.1.v1.1 annot-version=v1.1 MQRIQSAAFTNVPTSSQPHDDIMHDKENINYDDEGSWLHRNDAYQMQRISGRMRAVGVPFVHNTPAKFNITLDATQGIESLYASNQNQHMEERRGKEQTPDAGKANQNLISTIASCMSTQHGERATQQDNERLDDTGPNEGNVAYDDLVEDETIFSERVEYESVREERQSAGGIPVDNSFYGVYRNLPRQHHVLKKVKDCGFCEAMRFQYEGPAFCCKKGKIRIFIPEVPEELKRLWTSQDDDDAKYFRERIRYFNSHFSFTSLGVTLDRRVSTCGRDQQNNYTIDFLNSITPNGLPPHVLRVKVNCPVILLRNLDPHNGLCNGTRLMIRAFQDNERGCSYQGSLCLHQRTSRFPSSLKESSSPSA* >Brasy4G387400.1.p pacid=40086945 transcript=Brasy4G387400.1 locus=Brasy4G387400 ID=Brasy4G387400.1.v1.1 annot-version=v1.1 MNTSENKSACSIPRRSSRRRKQSSSELVSLSKRPSHSTTSSFYKPDQCSPKRTSRTARNANLAKYIKNKYYRSPLSQRRSSSAVSGKTATGPVRRRSRKRRRQNIDCDEATRLERRARYLLMKIKSEQNLLDAYSGDGWNGQSREKLKPEKELHRAKKQIIKSKIAIRDIIHQLDLYSSSGNMDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEVGTLTAFHPSQEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.4.p pacid=40086946 transcript=Brasy4G387400.4 locus=Brasy4G387400 ID=Brasy4G387400.4.v1.1 annot-version=v1.1 MNTSENKSACSIPRRSSRRRKQSSSELVSLSKRPSHSTTSSFYKPDQCSPKRTSRTARNANLAKYIKNKYYRSPLSQRRSSSAVSGKTATGPVRRRSRKRRRQNIDCDEATRLERRARYLLMKIKSEQNLLDAYSGDGWNGQSREKLKPEKELHRAKKQIIKSKIAIRDIIHQLDLYSSSGNMDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEVGTLTAFHPSQEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.5.p pacid=40086947 transcript=Brasy4G387400.5 locus=Brasy4G387400 ID=Brasy4G387400.5.v1.1 annot-version=v1.1 MNTSENKSACSIPRRSSRRRKQSSSELVSLSKRPSHSTTSSFYKPDQCSPKRTSRTARNANLAKYIKNKYYRSPLSQRRSSSAVSGKTATGPVRRRSRKRRRQNIDCDEATRLERRARYLLMKIKSEQNLLDAYSGDGWNGQSREKLKPEKELHRAKKQIIKSKIAIRDIIHQLDLYSSSGNMDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.2.p pacid=40086948 transcript=Brasy4G387400.2 locus=Brasy4G387400 ID=Brasy4G387400.2.v1.1 annot-version=v1.1 MNTSENKSACSIPRRSSRRRKQSSSELVSLSKRPSHSTTSSFYKPDQCSPKRTSRTARNANLAKYIKNKYYRSPLSQRRSSSAVSGKTATGPVRRRSRKRRRQNIDCDEATRLERRARYLLMKIKSEQNLLDAYSGDGWNGQSREKLKPEKELHRAKKQIIKSKIAIRDIIHQLDLYSSSGNMDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.3.p pacid=40086949 transcript=Brasy4G387400.3 locus=Brasy4G387400 ID=Brasy4G387400.3.v1.1 annot-version=v1.1 MNTSENKSACSIPRRSSRRRKQSSSELVSLSKRPSHSTTSSFYKPDQCSPKRTSRTARNANLAKYIKNKYYRSPLSQRRSSSAVSGKTATGPVRRRSRKRRRQNIDCDEATRLERRARYLLMKIKSEQNLLDAYSGDGWNGQSREKLKPEKELHRAKKQIIKSKIAIRDIIHQLDLYSSSGNMDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.6.p pacid=40086950 transcript=Brasy4G387400.6 locus=Brasy4G387400 ID=Brasy4G387400.6.v1.1 annot-version=v1.1 MDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEVGTLTAFHPSQEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.7.p pacid=40086951 transcript=Brasy4G387400.7 locus=Brasy4G387400 ID=Brasy4G387400.7.v1.1 annot-version=v1.1 MDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEVGTLTAFHPSQEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.8.p pacid=40086952 transcript=Brasy4G387400.8 locus=Brasy4G387400 ID=Brasy4G387400.8.v1.1 annot-version=v1.1 MDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G387400.9.p pacid=40086953 transcript=Brasy4G387400.9 locus=Brasy4G387400 ID=Brasy4G387400.9.v1.1 annot-version=v1.1 MDDSVMPPDEPVNPDNTICSRCKSDESVPNNKIIFCEGSCKMSYHQKCSEPPFDKILPTGGHGWLCKFCLCKMKILEAVNAHLGTSLTVTCPSKDIFKEATEHIDSDDSPGEDWLSEYSGDEDYDPEENDGTSSSLGRGEESISSESNCSGSPLYSPNDDIPGFISADFTDAEGFCHANSHLEIDSGEDVTAEMVTYQRPKRDVDYRRLNEEMFGKLAENEKQSDDEDWGVNRKKKRRVDSAGGAKSVEGVSGVTSNENLQPHRRKLFRMPPAAVEVLREVFAVDELPARDVKEKLATELGISYEKIDKWFKNTRCAALRDRKAEGNSHIAGPSKSSGKRVEKAEVSGKFDSVDNSYLPPLSKTIETKPESNSRPVRRRLHNKGVSLCPTSEVKETTSPTIKHCSNTHPSHLADRNINTEDRAISQVDTGPSDDPFLDAILAYPNINTSDKVVSTGDLVTLADEPFLDAMLADSHVFYTERTVSREDVRGPSNDQPFLDVIENVCGLKHRLQRLEDMLSSAAPGDTGTAKGDVENQLVVLVPTAELKDKPQPGN* >Brasy4G362700.1.p pacid=40086954 transcript=Brasy4G362700.1 locus=Brasy4G362700 ID=Brasy4G362700.1.v1.1 annot-version=v1.1 MEPSSQPEPVMGVATAGSQAYPPAAAYPPPGMVPGAPAVIPPGAQSTVPFPTNPAQLSAQHQLVYQQAQQFHEQLQQQQKQQLRDFWASQMVEIEQAADFKNHNLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDDMKEEGLGLPRVGLPPPPGAPAEAYPYYYVPAQQVPGVGMMYGGQQGHPVTYAWQQPQGQQVETPEEQQQSP* >Brasy4G079100.1.p pacid=40086955 transcript=Brasy4G079100.1 locus=Brasy4G079100 ID=Brasy4G079100.1.v1.1 annot-version=v1.1 MAAGLLLQVVGFCVWAVFSLGGSSATVSSTGAAAAPAAGEGAVVVDGRSAISETEESFVCATLDWWPPEKCDYGTCAWGLASLLNLNLNNKILLNAVKAFSPLKLRLGGSLQDVLVYGTGDGPEPCTPFTFNSSVMFSFTQGCLPMHRWDELNAFFKKSGAQIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARIGADQYSADVITLNQIIDKTYQGSKKPLVLAPGGFFDAAWFNELLSKTKPNQMDVITHHIYNLGPGVDTHLIEKILDPSYLDGEASTFSNLQGMLKSAGTSAVAWVGESGGAYNSGHHLVTDAFVFSFWYLDQLGMSAKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYTHCARDTEGITLLLINLSGNDTNHIYVTSEGAHPYSAKKEHRRFAHVPGLGEAAEFKRQEYHLTPKDGNLQSQHMLLNGNVLATDANGDIPKLEPVQVEESQPITVGPYSIVFAHIPSFYAPACM* >Brasy4G079100.2.p pacid=40086956 transcript=Brasy4G079100.2 locus=Brasy4G079100 ID=Brasy4G079100.2.v1.1 annot-version=v1.1 MFSFTQGCLPMHRWDELNAFFKKSGAQIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARIGADQYSADVITLNQIIDKTYQGSKKPLVLAPGGFFDAAWFNELLSKTKPNQMDVITHHIYNLGPGVDTHLIEKILDPSYLDGEASTFSNLQGMLKSAGTSAVAWVGESGGAYNSGHHLVTDAFVFSFWYLDQLGMSAKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYTHCARDTEGITLLLINLSGNDTNHIYVTSEGAHPYSAKKEHRRFAHVPGLGEAAEFKRQEYHLTPKDGNLQSQHMLLNGNVLATDANGDIPKLEPVQVEESQPITVGPYSIVFAHIPSFYAPACM* >Brasy4G323100.1.p pacid=40086957 transcript=Brasy4G323100.1 locus=Brasy4G323100 ID=Brasy4G323100.1.v1.1 annot-version=v1.1 MARSHRLGWGGRRWPSAGLGEELLAWPLGRAAGGVFGRLRRMLSVGQRVCQSCAAAAASSSPRPHHPACHPFSPCAFREVTNSISLRLSRALLRRRARLGHGATAMKFFRGLRASRERRLHVRQVRPRHIQRAFALY* >Brasy4G290200.1.p pacid=40086958 transcript=Brasy4G290200.1 locus=Brasy4G290200 ID=Brasy4G290200.1.v1.1 annot-version=v1.1 MAKIATYGYNPLLRLSLLLLLLASNSISDSTAQLPNAGDLAKLRAIAKDWGSPAALSPWAAGNWTGVTCNSNGQVTALSFTKLHVGTPIPAAAICSLQNLSSLDVSYNNLTGMFPTALYGCSALQFLDLSNNQLAGSLPRDIGKLPSEMLHLNLSANGFVGQVLSAIAGFPKLKSLVLDTNGFNGSYPAEAIGRLPELETLTLAKNPFAPGPIPDAFGKLTNLTLLWLSGMNLTGEIPSSLSALTELSILDMSMNKLRGGIPEWIWKLQKLQCLYLFGNKFTGGIGPFDAAVSMFQLDLSSNRLTGPIHETIGSMKNLSLLFLYYNDIAGPIPASLGLLPNLADIRLFDNKLSGPLPPELGKHSPLGNFEVSNNLLSGELPDTLCANRQLYDLVVFNNNFSGAFPARLGECDTLDNIMAHYNRFVGDFPEKIWSFPELTTVQIHDNGFTGTLPAKISPLISRIEMENNRFSGAVPTAAPGLKVFWAQNNLFSGELPPNMTGLANLTDLNLSGNRISGSIPASIQLLGRLNYLVLGNNKISGPIPPGIGSLPALNILDLSNNELTGSIPPEFGNLHLNNLNLSDNDLSGQVPPLLQNPAYEQSFLGNPLLCASANKKMNLPACEDGSSRKSKLSMELIIVFSLLALLALLGAVATGCLIIRRQKQRKEDLTVWKMTPFRAVEFSERDIVASLREENVIGSGGFGKVYRVLLPGGAKGSGAGAVVAVKKLWNAAGKKSDAKLDKEFESEVRILGDIRHNNIVSLLCCISGGATKLLVYEYMENGSLDRWLHRRDRAGAPLAPLDWPTRLAVAIDAARGLGYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARMLVKSGEPEALSAIGGTFGYMAPEYGYRAKVNEKVDVYSFGVVLLELTTGRVANDGGADCCLAEWAWRRYKAGGQMRDAVDADIVQDGAFFLDDVVSVFVLGVICTGDDPASRPSMKEVLDQLLRYDRTSSVASACRDEYGEESGGAPKGSYEAKKKGDKQEKGGTDARAVWSGGEEDSGSFVAHPV* >Brasy4G011900.1.p pacid=40086959 transcript=Brasy4G011900.1 locus=Brasy4G011900 ID=Brasy4G011900.1.v1.1 annot-version=v1.1 MQQKLDDLFAEMNSLQQQYIKCDSFISTDREKIELVGSKALDAVCVHCALPETAATPHKTKDLCEKDDANSDEVSTASISLVEHDERRMSDLSDFCWSVMSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDATIKKLTAAAYASSSADAKRIAELQDILRRKNMVISKLKKDMSALKQVVVELARAKRASSANLNKVCSELPVMSNNVLYDMSSTSPSSSDSDSPIARREYLNVHLVNDTPGDCESKVSSRVPVRKTSLAPTKSSACNLRSISPLKEKSLNLKVESGSVVRQKQLVSSNGDFKKTRRQSHQDLRNKAVKRWM* >Brasy4G423700.1.p pacid=40086960 transcript=Brasy4G423700.1 locus=Brasy4G423700 ID=Brasy4G423700.1.v1.1 annot-version=v1.1 MAPAAEPSRAPRFPPVSTYDPSAPHERRTAAADLDGTLLASSSAFPYYFLVALEAGSPLRAALLLLTSPFLLFLYTLISEPAAISLLVFVTFAGLRVRAVEAVARAVLPRHYAAGVRADTWEIFRRCGGGRRVVVTASPAVMVGHFVREFLGAEVAGTELRTCEWFGGGRRFTGLIEKVLVGERKRETVEKLFAGGDLPDVGLGDRESDHDFMAICKEAYMVPTNKRAPRAAPDALLSRAIFHDGRLVRRPDAPQAFFALAYLPVGFGLALLRVFLNLFVPAHLVRYTYRLTGIRLAIRGTPPPTPRHGTPGSLLVCNHRTALDPIIVSVALGRPVTCVTYSVSRVSTWLSPIPAVALSRTDRAADAARIAALLDSGRDVVVCPEGTTCREPFLLRFSALFAELTDRIVPVAVEAAQGTYYGSTASGWKMLDPWFFYMNPRPGYTVTFLPALRPEETCGGSGRSAVDVANHVQAVIAKELGYECTSLTRKDKYMKLAGNDGTVAAGAESKENGKKFE* >Brasy4G165900.1.p pacid=40086961 transcript=Brasy4G165900.1 locus=Brasy4G165900 ID=Brasy4G165900.1.v1.1 annot-version=v1.1 MASPSAAATPKAVARPAGRYAVYVAAVPLRAPQGPAQALMSAGYSLGLWDLQHFMVLLRPDPARTQASLVFDFQPRDPEDVLAAFSVLSRSKIPGVVRRRTLRRIPERRSWFVGLCGGDAAEAAERFSEQWPTDLVVGEHDCRHYTNGLVEVLTGEKRVLDSLRSAANEAAPWWFPACVTEFILMNDQSEWELLSHRSANAYTRNEDTSTNSLAGA* >Brasy4G351100.1.p pacid=40086962 transcript=Brasy4G351100.1 locus=Brasy4G351100 ID=Brasy4G351100.1.v1.1 annot-version=v1.1 MAFGDRMTTFEDSEKESEYGYVRKVSGPVVVADEMGGSAMYELVRVGYDKLIGEIIRLENDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWEFQPKKLGVGDVITGGDLYATVFENTLMNHHVALPPGSMGKVNYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGMNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAAGVDGQKITYTVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR* >Brasy4G103300.1.p pacid=40086963 transcript=Brasy4G103300.1 locus=Brasy4G103300 ID=Brasy4G103300.1.v1.1 annot-version=v1.1 MTSPSPSKSAVRVPLLGAAACSPPPQWSCARCTLLNPSSFPTCDACGAARPVEVDGDALDLATIAGAPFLPLRGCSRKRVREASPEVVDLVAEEGGNANERDEATAKKGSSVTHLDKKTIKIMTYNVWFREDLELSRRMHALGDLIRHHCPDLICFQEITPNIYLLLQKSDWWQEYKCSLSHKMAMERPYYCMQMSKLPPNSFACIPFANSVMGRELCMAEVNIGGAIKLVLATSHLESPCLGPPKWDQTYSKERVAQANESLTILGDHRNVIFCGDMNWDDKADGPFPLPDGWIDAWAELKPGENGWTYDTKANGMLSGNRNLQKRLDRFVCKLADFKISSIEMVGMDAIPGITYLKEKKVRKEVRKLELPVLASDHFGLVVSITYPTSG* >Brasy4G103300.2.p pacid=40086964 transcript=Brasy4G103300.2 locus=Brasy4G103300 ID=Brasy4G103300.2.v1.1 annot-version=v1.1 MTRCRTAGHGSSVTHLDKKTIKIMTYNVWFREDLELSRRMHALGDLIRHHCPDLICFQEITPNIYLLLQKSDWWQEYKCSLSHKMAMERPYYCMQMSKLPPNSFACIPFANSVMGRELCMAEVNIGGAIKLVLATSHLESPCLGPPKWDQTYSKERVAQANESLTILGDHRNVIFCGDMNWDDKADGPFPLPDGWIDAWAELKPGENGWTYDTKANGMLSGNRNLQKRLDRFVCKLADFKISSIEMVGMDAIPGITYLKEKKVRKEVRKLELPVLASDHFGLVVSITYPTSG* >Brasy4G103300.3.p pacid=40086965 transcript=Brasy4G103300.3 locus=Brasy4G103300 ID=Brasy4G103300.3.v1.1 annot-version=v1.1 MTYNVWFREDLELSRRMHALGDLIRHHCPDLICFQEITPNIYLLLQKSDWWQEYKCSLSHKMAMERPYYCMQMSKLPPNSFACIPFANSVMGRELCMAEVNIGGAIKLVLATSHLESPCLGPPKWDQTYSKERVAQANESLTILGDHRNVIFCGDMNWDDKADGPFPLPDGWIDAWAELKPGENGWTYDTKANGMLSGNRNLQKRLDRFVCKLADFKISSIEMVGMDAIPGITYLKEKKVRKEVRKLELPVLASDHFGLVVSITYPTSG* >Brasy4G236500.1.p pacid=40086966 transcript=Brasy4G236500.1 locus=Brasy4G236500 ID=Brasy4G236500.1.v1.1 annot-version=v1.1 MDGHTSPMGLYTNMLSEGYNEEAWGQNMSSPMGLYTTDHTPMHAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYESHKPEGSDRNVNSLSHRWSAVKEQVGRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTNKGFVLMHCWNMLRFEQKWLSQVDRSSQSNKKQKSSSNASPSMSTPGVDAIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQEREMARETARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIMNKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G396400.1.p pacid=40086967 transcript=Brasy4G396400.1 locus=Brasy4G396400 ID=Brasy4G396400.1.v1.1 annot-version=v1.1 MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPVSIALPLRGCDFGTVLHVTAQLLSTKTGFREFEQQRETGARSSQQLVNQRSHDPSEVAVASSEIGSDKANARNKLKETSLGFPLAEDSAGSTEDYENSSHNSDGYFAEKNDPYGGHEISSFRSIHSGDLPLCPTSQSPTSEKGPLRDKRLSPQGSSDWSHGWSPELSAGHDLAAAREENNRLRTRLEVAESAFSHLKSEATSLQDVTDKLGTETQGLTKQLAVELMSRNELTTEVSFLRTECSNLKRELGEMKSDKLLRYKADGQVPLMTTAEQDNTSSKFGNGGLATNSPAHDLQTEWLKGLLLLESKVQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGHMKEDNYLEHFPPSNAAHQSSSGHDSHKKNSGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFILKLEESQKHTAFELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQNKEFERRAVATETALKRVRWNYSAAVDRLQKDLELLSFQVLSMYESNETLAKQSIIEDTESLPEEHSTIANLCGNKEHEQDRSVVKQLGHEDLHAATESQVFSAENGASCNFSYKMDGQKNLLRALKIEELRSRSEVLCSTDSRVNCSNIEGLKVACSAVESEHLEMYVANIEWQVFSDVLRESHYTALDMIKRMQERLYMLEKQLHDSNDARNSLVIKLNSALDQSKSLKESEAGYILKCDDLTVKNQILEAKLQDISVENALFTEKLVVSERLVEEHKACTEERKRFEDLLLTESLQTSQLKDELRLVMEDFEAMKDELHKQSSLINDQQIVSTTVQEQMSILCSKLIPLSKDMGISGFDEASLQHELQNKNYTAVILSLDIFQQQACQKVLHLLQEKEALEEMCDVLRRRSGNSETELLDVKQKFQCDLDGTKEKFNISEEHVEKLEQALQEMKHKFNIISEAQEKHSSTNGNLTSKLAQMEVELQIVTCENETLVEKMRDIAAVVQELERTKVSLAEFEEDNKTLALSLQSKEEVLVHMVNENRGLQNGLSCADENLLKEKRAREDLESALASLTSQLIEKDQVLLSFSEDKSELLRLGDQILGLEKENSLMQDALSKSEQIQRDLICKNCSLHSQLSNAEHQLGTVLEDMLATDTEASYMRSHVEEVAAQLDVLRNDLVKLQQENKDADKLLRVHMLTEAELTDRNATLQAAIHSLEISLTRVNQEKEGLEEIMKRNEEASAQVSDNKSRDTSVSIDNCDTVLKCQDEVLQLRAVQTNLQEQVDDLTSMKDEVEILNVVLKSKLEEQHTEMSSLLQDSGYQLTKLKEQNKELTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYESKVQELKSQVFVSKKYSEEMLLKLQSALDEVETGRKNEIALAKRIEELSMKVSELEVEMQDLSADKRELSNAYDSIMTDLECTKLNFDCCKEEKQKIEASLQECSDERKRIRVELDLVKKLLENMALTDNITSPGNSGSCTPGATSIGQILGDVTSGSAPELIPNTPNVDSGLNEDEGGIQSTKFSSNIKESEDVGSERPHAKSTLSKNLENCHKECEPSSENHMIVNSAIKDISKEHKKLANDLNLFQKELERLKNENPSPLLPLDVNLIDPSLSGLERALSQLDMANEHLRRIFPSFKELPGSGNALERVLALELELAEALQAKKKTDIVFQSSFLKQHNDESAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLAMTLKNRSPRESYS* >Brasy4G396400.2.p pacid=40086968 transcript=Brasy4G396400.2 locus=Brasy4G396400 ID=Brasy4G396400.2.v1.1 annot-version=v1.1 MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPVSIALPLRGCDFGTVLHVTAQLLSTKTGFREFEQQRETGARSSQQLVNQRSHDPSEVAVASSEIGSDKANARNKLKETSLGFPLAEDSAGSTEDYENSSHNSDGYFAEKNDPYGGHEISSFRSIHSGDLPLCPTSQSPTSEKGPLRDKRLSPQGSSDWSHGWSPELSAGHDLAAAREENNRLRTRLEVAESAFSHLKSEATSLQDVTDKLGTETQGLTKQLAVELMSRNELTTEVSFLRTECSNLKRELGEMKSDKLLRYKADGQVPLMTTAEQDNTSSKFGNGGLATNSPAHDLQTEWLKGLLLLESKVQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGHMKEDNYLEHFPPSNAAHQSSSGHDSHKKNSGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFILKLEESQKHTAFELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQNKEFERRAVATETALKRVRWNYSAAVDRLQKDLELLSFQVLSMYESNETLAKQSIIEDTESLPEEHSTIANLCGNKEHEQDRSVVKQLGHEDLHAATESQVFSAENGASCNFSYKMDGQKNLLRALKIEELRSRSEVLCSTDSRVNCSNIEGLKVACSAVESEHLEMYVANIEWQVFSDVLRESHYTALDMIKRMQERLYMLEKQLHDSNDARNSLVIKLNSALDQSKSLKESEAGYILKCDDLTVKNQILEAKLQDISVENALFTEKLVVSERLVEEHKACTEERKRFEDLLLTESLQTSQLKDELRLVMEDFEAMKDELHKQSSLINDQQIVSTTVQEQMSILCSKLIPLSKDMGISGFDEASLQHELQNKNYTAVILSLDIFQQQACQKVLHLLQEKEALEEMCDVLRRRSGNSETELLDVKQKFQCDLDGTKEKFNISEEHVEKLEQALQEMKHKFNIISEAQEKHSSTNGNLTSKLAQMEVELQIVTCENETLVEKMRDIAAVVQELERTKVSLAEFEEDNKTLALSLQSKEEVLVHMVNENRGLQNGLSCADENLLKEKRAREDLESALASLTSQLIEKDQVLLSFSEDKSELLRLGDQILGLEKENSLMQDALSKSEQIQRDLICKNCSLHSQLSNAEHQLGTVLEDMLATDTEASYMRSHVEEVAAQLDVLRNDLVKLQQENKDADKLLRVHMLTEAELTDRNATLQAAIHSLEISLTRVNQEKEGLEEIMKRNEEASAQVSDNKSRDTSVSIDNCDTVLKCQDEVLQLRAVQTNLQEQVDDLTSMKDEVEILNVVLKSKLEEQHTEMSSLLQDSGYQLTKLKEQNKELTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYESKVQELKSQVFVSKKYSEEMLLKLQSALDEVETGRKNEIALAKRIEELSMKVSELEVEMQDLSADKRELSNAYDSIMTDLECTKLNFDCCKEEKQKIEASLQECSDERKRIRVELDLVKKLLENMALTDNITSPGNSGSCTPGATSIGQILGDVTSGSAPELIPNTPNVDSGLNEDEGGIQSTKFSSNIKESEDVGSERPHAKSTLSKNLENCHKECEPSSENHMIVNSAIKDISKEHKKLANDLNLFQKELERLKNENPSPLLPLDVNLIDPSLSGLERALSQLDMANEHLRRIFPSFKELPGSGNALERVLALELELAEALQAKKKTDIVFQSSFLKQHNDESAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLAMTLKNRSPRESYS* >Brasy4G396400.3.p pacid=40086969 transcript=Brasy4G396400.3 locus=Brasy4G396400 ID=Brasy4G396400.3.v1.1 annot-version=v1.1 MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPVSIALPLRGCDFGTVLHVTAQLLSTKTGFREFEQQRETGARSSQQLVNQRSHDPSEVAVASSEIGSDKANARNKLKETSLGFPLAEDSAGSTEDYENSSHNSDGYFAEKNDPYGGHEISSFRSIHSGDLPLCPTSQSPTSEKGPLRDKRLSPQGSSDWSHGWSPELSAGHDLAAAREENNRLRTRLEVAESAFSHLKSEATSLQDVTDKLGTETQGLTKQLAVELMSRNELTTEVSFLRTECSNLKRELGEMKSDKLLRYKADGQVPLMTTAEQDNTSSKFGNGGLATNSPAHDLQTEWLKGLLLLESKVQQTRNNALHGLQASDLDFLLADLGALQRVIENLKQGVQPGHMKEDNYLEHFPPSNAAHQSSSGHDSHKKNSGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFILKLEESQKHTAFELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQNKEFERRAVATETALKRVRWNYSAAVDRLQKDLELLSFQVLSMYESNETLAKQSIIEDTESLPEEHSTIANLCGNKEHEQDRSVVKQLGHEDLHAATESQVFSAENGASCNFSYKMDGQKNLLRALKIEELRSRSEVLCSTDSRVNCSNIEGLKVACSAVESEHLEMYVANIEWQVFSDVLRESHYTALDMIKRMQERLYMLEKQLHDSNDARNSLVIKLNSALDQSKSLKESEAGYILKCDDLTVKNQILEAKLQDISVENALFTEKLVVSERLVEEHKACTEERKRFEDLLLTESLQTSQLKDELRLVMEDFEAMKDELHKQSSLINDQQIVSTTVQEQMSILCSKLIPLSKDMGISGFDEASLQHELQNKNYTAVILSLDIFQQQACQKVLHLLQEKEALEEMCDVLRRRSGNSETELLDVKQKFQCDLDGTKEKFNISEEHVEKLEQALQEMKHKFNIISEAQEKHSSTNGNLTSKLAQMEVELQIVTCENETLVEKMRDIAAVVQELERTKVSLAEFEEDNKTLALSLQSKEEVLVHMVNENRGLQNGLSCADENLLKEKRAREDLESALASLTSQLIEKDQVLLSFSEDKSELLRLGDQILGLEKENSLMQDALSKSEQIQRDLICKNCSLHSQLSNAEHQLGTVLEDMLATDTEASYMRSHVEEVAAQLDVLRNDLVKLQQENKDADKLLRVHMLTEAELTDRNATLQAAIHSLEISLTRVNQEKEGLEEIMKRNEEASAQVSDNKSRDTSVSIDNCDTVLKCQDEVLQLRAVQTNLQEQVDDLTSMKDEVEILNVVLKSKLEEQHTEMSSLLQDSGYQLTKLKEQNKELTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYESKVQELKSQVFVSKKYSEEMLLKLQSALDEVETGRKNEIALAKRIEELSMKVSELEVEMQDLSADKRELSNAYDSIMTDLECTKLNFDCCKEEKQKIEASLQECSDERKRIRVELDLVKKLLENMALTDNITSPGNSGSCTPGATSIGQILGDVTSGSAPELIPNTPNVDSGLNEDEGGIQSTKFSSNIKESEDVGSERPHAKSTLSKNLENCHKECEPSSENHMIVNSAIKDISKEHKKLANDLNLFQKELERLKNENPSPLLPLDVNLIDPSLSGLERALSQLDMANEHLRRIFPSFKELPGSGNALERVLALELELAEALQAKKKTDIVFQSSFLKQHNDESAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLAMTLKNRSPRESYS* >Brasy4G183000.1.p pacid=40086970 transcript=Brasy4G183000.1 locus=Brasy4G183000 ID=Brasy4G183000.1.v1.1 annot-version=v1.1 MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASAGGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIINYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSDGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNSTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNLDLNLDRLLARMWEEMGLVRVYTKPQGQQPDFGDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT* >Brasy4G058100.1.p pacid=40086971 transcript=Brasy4G058100.1 locus=Brasy4G058100 ID=Brasy4G058100.1.v1.1 annot-version=v1.1 MAARDHRRNQSSSSWRRGRPAAASPAWSQPLSADSSSSSRAKSLFRSIGLWFSSLSTSSSPSSTAASKQKKRPKPPDDAIKKPPSFGSSGGGGRPWIRGAGLLYSSKRSGGAGQQQNREFPFQSSLFSMDEILKATSNFSPALKIGQGGFGAVYKGSLPDGRVVAVKRAKQRAQNPHVDVEFRSEVQVMARIEHQCLVRFFGYLESGEERVVVIEFVPNGTLRDHLDRGHGRLLEFGARLDVAIDVAHGVNYLHVYSDHPIIHRDIKSSNILLTTSLRAKVADFGFARLGAANFASHVSTQVKGTAGYLDPEYLKTCTLTDRSDVYSFGVLLVELVSGRRPIEAKREMKERLTARWAMHRLVEGRAAEEVLDPCLLRTAAAAAALEAVLELAFRCLGPVRSERPSMDDCCRALWAVRKTYRDMAAATAAADGFSDRASSSSASTGAGDLYRV* >Brasy4G214000.1.p pacid=40086972 transcript=Brasy4G214000.1 locus=Brasy4G214000 ID=Brasy4G214000.1.v1.1 annot-version=v1.1 MSISPTESSRGSHPLTPRTSNPSPSPRHGITSHRGRRSSPRASVSPASAAALGAGGSGDISDSVVPAIRASVDASQQVVGFRISREENDPCTQEVGNISECQSSGRASSGLPVHDVSSDQADSRRLGMVGSLQIDHSSNGLQGNGALMTARKNQAVNANHLLNFQYDPISRPQPRGPRIYPAKRQRKIKPYNKDLFLQANFKFVVLDTGNYQIESMDPDKMLQWEDIICVRYCSPSEVRCPICLESPLCPQITSCGHIYCFPCILRYLQMGKEDYRGECWKKCPLCFMMVSTKELYTIYITQLQHFHVGDNATFTLLWRPKNTLTPFIRSPSSDFSSVDKDPGDIFSKFILTSDVELSVREAKSDLSSWLHRADLGLVDDLERLPYVSAALEQLDERMKYWTEYRNYTSSPPLKDSFSPVPSNKWKNSDNLKTSRLNSGQRLSPVSDGDIITGVSELSISPQSDKIAIKGMQTKMEENNTALIDSSEHDSYTFYQVSDGQHLILHPLNMKCLLNHFGTPDMLPPRVTGKILELETITQSEATRKRYRFLSHFSLTTTFQFCEIDLSEKLPRTSLGPFMDEMKKRENQRKRTAKKEESDRARAEAAAAVQLSAMRLEHANFSHSHNDVMFSLDDFEALGNIAGPSTSPPASERKLFSDVTRLGFASAQDSPPLGVDNGPGDTAGKHESSRDQAPAATPSLSFASILSSTRAAAGNSVEMHRPNGAGKKGKKPSKVLLSTGGGRRY* >Brasy4G214000.2.p pacid=40086973 transcript=Brasy4G214000.2 locus=Brasy4G214000 ID=Brasy4G214000.2.v1.1 annot-version=v1.1 MVGSLQIDHSSNGLQGNGALMTARKNQAVNANHLLNFQYDPISRPQPRGPRIYPAKRQRKIKPYNKDLFLQANFKFVVLDTGNYQIESMDPDKMLQWEDIICVRYCSPSEVRCPICLESPLCPQITSCGHIYCFPCILRYLQMGKEDYRGECWKKCPLCFMMVSTKELYTIYITQLQHFHVGDNATFTLLWRPKNTLTPFIRSPSSDFSSVDKDPGDIFSKFILTSDVELSVREAKSDLSSWLHRADLGLVDDLERLPYVSAALEQLDERMKYWTEYRNYTSSPPLKDSFSPVPSNKWKNSDNLKTSRLNSGQRLSPVSDGDIITGVSELSISPQSDKIAIKGMQTKMEENNTALIDSSEHDSYTFYQVSDGQHLILHPLNMKCLLNHFGTPDMLPPRVTGKILELETITQSEATRKRYRFLSHFSLTTTFQFCEIDLSEKLPRTSLGPFMDEMKKRENQRKRTAKKEESDRARAEAAAAVQLSAMRLEHANFSHSHNDVMFSLDDFEALGNIAGPSTSPPASERKLFSDVTRLGFASAQDSPPLGVDNGPGDTAGKHESSRDQAPAATPSLSFASILSSTRAAAGNSVEMHRPNGAGKKGKKPSKVLLSTGGGRRY* >Brasy4G214000.3.p pacid=40086974 transcript=Brasy4G214000.3 locus=Brasy4G214000 ID=Brasy4G214000.3.v1.1 annot-version=v1.1 MVGSLQIDHSSNGLQGNGALMTARKNQAVNANHLLNFQYDPISRPQPRGPRIYPAKRQRKIKPYNKDLFLQANFKFVVLDTGNYQIESMDPDKMLQWEDIICVRYCSPSEVRCPICLESPLCPQITSCGHIYCFPCILRYLQMGKEDYRGECWKKCPLCFMMVSTKELYTIYITQLQHFHVGDNATFTLLWRPKNTLTPFIRSPSSDFSSVDKDPGDIFSKFILTSDVELSVREAKSDLSSWLHRADLGLVDDLERLPYVSAALEQLDERMKYWTEYRNYTSSPPLKDSFSPVPSNKWKNSDNLKTSRLNSGQRLSPVSDGDIITGVSELSISPQSDKIAIKGMQTKMEENNTALIDSSEHDSYTFYQVSDGQHLILHPLNMKCLLNHFGTPDMLPPRVTGKILELETITQSEATRKRYRFLSHFSLTTTFQFCEIDLSEKLPRTSLGPFMDEMKKRENQRKRTAKKEESDRARAEAAAAVQLSAMRLEHANFSHSHNDVMFSLDDFEALGNIAGPSTSPPASERKLFSDVTRLGFASAQDSPPLGVDNGPGDTAGKHESSRDQAPAATPSLSFASILSSTRAAAGNSVEMHRPNGAGKKGKKPSKVLLSTGGGRRY* >Brasy4G279700.1.p pacid=40086975 transcript=Brasy4G279700.1 locus=Brasy4G279700 ID=Brasy4G279700.1.v1.1 annot-version=v1.1 MAAATTGASASAMEKKTTETRPASTEDEPTPRPHPLPLPHVVLLASPGIGHLTPLAELARRLVTHHGFAATLVTLSTAGLSDAAAEAAVLSSMPAAVSTAALPPVALDDLSPDVGFGAVMFELLRRSLPHLRALMASPAIPTAAALVCDFFGTAALPVAAELGVQGYVFLPNSFALLSVMRRVAELHDEGAAPGEEYYRGLPDPLFLLPGCGLRHAELPDGFRDRADPVYAYVVEEARRYARADGFLVNSFEELEPDMAEGFRRDAAEGAFPPVYAVGPFVRQKAGPEDEEEEADELGCLAWLDRRPVGSVVFVSFGSGGALSVEQTAELAFGLERSGHGFLWVVRMPSLDGNCYSLGTGSRAHDANVNDPFAWLPEGFLERTKDRGLAVAGWAPQTRVLAHPATAGFVSHCGWNSTLESLESGVPIIAWPLYAEQKMNAAILTGVTGVALRLPVGREDGFVARDEVAACIRELMENDKGRAVRLRAKQFQEAAARACMPEGSSRRALEEVAAKWRTELGNGTRVHEL* >Brasy4G271400.1.p pacid=40086976 transcript=Brasy4G271400.1 locus=Brasy4G271400 ID=Brasy4G271400.1.v1.1 annot-version=v1.1 MSDGGGSGGGEPGAGGSAPVCNFVRKPPKNIRKRPAASAGSDDEGGGDDSGAIAAARSKKPPSTTSKLFFSSAEVSSEPRRFQFESSRTIQSSTDSRATATLETETAYDRDARAIRERQLKQAEESLKKNPSASSSSGEVYKGIHGYTDHKAGFRREHTVSGEKAGGAHGPLRASAHIRLSTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKARKRRIAMRGEDGSDGEAEDDDSDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQQNL* >Brasy4G320400.1.p pacid=40086977 transcript=Brasy4G320400.1 locus=Brasy4G320400 ID=Brasy4G320400.1.v1.1 annot-version=v1.1 MEKSKVLVVGGTGYIGRRIVKASLAQGHPTYVLMRPDIGFAVDKIQMILSFKAAGARVIEASVDDHRRLVDAVKQVDVVVSAMSGYQPSRQLKLVDAIKEAGNIKRLLPSEFYMDPARMEHALAPGRNTFDEKMEIRRAIEEANIPHTYVSANCFAAYFVPNLCQLGTLLPPKEKVQVYGDGNVKAVFMNEDDIGTYAIKTVDDPRTLNKTLYLRPPENILSQNELIDTWEKLSGKVLEKIHVPNDELLASMEGAEFLHQVALCHFHHIFYEGCLTNFDIGNGCEEASLLYPEVQYTRMDEYMKRYL* >Brasy4G359200.1.p pacid=40086978 transcript=Brasy4G359200.1 locus=Brasy4G359200 ID=Brasy4G359200.1.v1.1 annot-version=v1.1 MDRRRTLRTSELDPEVPSSHTRWLPAHRSLLQSPRTFVLGLVPLVSPASLPLPFAGGRSGRGREVCACIAVARCLLLAAMAALPLSSSSVSPSNKSIPACFLPCFLSKNRIVEAANPWRSHVVDGGGDHGWFDHGSPRFPIFCKQAPLRTNLTARQPWNRRDWGRRCGSPSRGCPQI* >Brasy4G023800.1.p pacid=40086979 transcript=Brasy4G023800.1 locus=Brasy4G023800 ID=Brasy4G023800.1.v1.1 annot-version=v1.1 MMFTEGLDRDALKWVREGHGAALHSHDRVDALRAVRGAAGLGMPPPEKFRSGHLPRAAVPPTALRTDDGSLSSASDMDESSDAEDVEVCSGRYSVDSSPRRTAVPLYRYANVPGQQNYYSSDGYSDLSSSRDTALPRAKPQQVRRPQARPAGYVEEEEYSDSAGSSEFSSQVVGRSKGGYASEYSHTGPVRREVNNAVPKPRMAGKPSNSRAYQPDHYSAQVNARGNAKPSPKMDGLSDVPSAPPIHDYDQETSPAPRCDTRTSASAKVPESSTVVKEEQDDGIVGSNLPEKTERSTLNGRHSSRPSSSVPIRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRDSFSLQDVLLRSEEELMAKRTSELVTEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCSFSSLPVVKLDSVRHRLSNVQSTFSSGWESVRRVQVLPAVPSNSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRNSSSYEVQQETYSCKLRLKSSPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQIASMAEEPGDKLRWWSIYREPEHELVGRIHLYVQYTTAADENNTKYGSVAETVAYDIALEVAMKAQHIQQRNLVLQGSWKWLLTEFASYYGVSDAYTKLRYLSYIVDVATPTADWLNLVHELLLPVLMKSHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESLLSGLVEGFRPPTGLTASSLEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRHMLETDEFVAGNSEGIKMDLMTFRTAYEKMKSLCHNIRNEIFTDIEIHNQNILPSFVDLPNLAASIYSVELSNRLRSFLVACPPTGPSSPVSDLVIATADFQKDLSSWNICSIKAGVDAKELFHLYIVLWIEDKRRTLLENCRLDKVKWSGVRTQHMTTPFVDEMYALLKDTLTEYEVIICRWPEYIYVLENAIADVEKAVIDSLEKQYVEILAPLKDCIAPKKFGLKYVQKLTKRNSTCPYIIPEDLGILLNTMKRLLDVLRPRIESHLRSWSSCMPNGGNTAAIGERLSEVTVTLRAKYRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDHLTEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAVSVLDDTFASQMQQLLGNTLQQKDLEPPRSIMEVRSILCKDAPRPKNSSFYY* >Brasy4G023800.2.p pacid=40086980 transcript=Brasy4G023800.2 locus=Brasy4G023800 ID=Brasy4G023800.2.v1.1 annot-version=v1.1 MMFTEGLDRDALKWVREGHGAALHSHDRVDALRAVRGAAGLGMPPPEKFRSGHLPRAAVPPTALRTDDGSLSSASDMDESSDAEDVEVCSGRYSVDSSPRRTAVPLYRYANVPGQQNYYSSDGYSDLSSSRDTALPRAKPQQVRRPQARPAGYVEEEEYSDSAGSSEFSSQVVGRSKGGYASEYSHTGPVRREVNNAVPKPRMAGKPSNSRAYQPDHYSAQVNARGNAKPSPKMDGLSDVPSAPPIHDYDQETSPAPRCDTRTSASAKVPESSTVVKEEQDDGIVGSNLPEKTERSTLNGRHSSRPSSSVPIRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRDSFSLQDVLLRSEEELMAKRTSELVTEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCSFSSLPVVKLDSVRHRLSNVQSTFSSGWESVRRVQVLPAVPSNSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRNSSSYEVQQETYSCKLRLKSSPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQIASMAEEPGDKLRWWSIYREPEHELVGRIHLYVQYTTAADENNTKYGSVAETVAYDIALEVAMKAQHIQQRNLVLQGSWKWLLTEFASYYGVSDAYTKLRYLSYIVDVATPTADWLNLVHELLLPVLMKSHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESLLSGLVEGFRPPTGLTASSLEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRHMLETDEFVAGNSEGIKMDLMTFRTAYEKMKSLCHNIRNEIFTDIEIHNQNILPSFVDLPNLAASIYSVELSNRLRSFLVACPPTGPSSPVSDLVIATADFQKDLSSWNICSIKAGVDAKELFHLYIVLWIEDKRRTLLENCRLDKVKWSGVRTQHMTTPFVDEMYALLKDTLTEYEVIICRWPEYIYVLENAIADVEKAVIDSLEKQYVEILAPLKDCIAPKKFGLKYVQKLTKRNSTCPYIIPEDLGILLNTMKRLLDVLRPRIESHLRSWSSCMPNGGNTAAIGERLSEVTVTLRAKYRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDHLTEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAVSVLDDTFASQMQQLLGNTLQQKDLEPPRSIMEVRSILCKDAPRPKNSSFYY* >Brasy4G304000.1.p pacid=40086981 transcript=Brasy4G304000.1 locus=Brasy4G304000 ID=Brasy4G304000.1.v1.1 annot-version=v1.1 MPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWACTHVFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLDGHSKGVNCLDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGTALEFVWSSDGEYAIRESTSRIKIYSKNFQERKSIRPTFSVERVFGGVLLAMCTNDFICFYDWADSRLIRRIDVNVKNLYWADSGDLVTVASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANEVLPSIPKEQYDSVAHFLESRGMLEEALEIATDLNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLQHAMDLSGLLLLYSSTGDAEGITKLASMAKEQGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEATVAPKRGIYPPAGEYLIHAERSNESLVEAFKNMHVHEEDDVHEEEVLTNENDTVHEVIEEDGAEESQEDAVEVDADGSTDGTIHVNGNDSEEQWGMNNEEPSA* >Brasy4G152100.1.p pacid=40086982 transcript=Brasy4G152100.1 locus=Brasy4G152100 ID=Brasy4G152100.1.v1.1 annot-version=v1.1 MLNSSMSVSSSHSLRPSPPAAAAGPDSRPGCAARVSLRPWHQAPLRRAVAAGASAGGEAAAAVSAVSAGSTAAAKRDTVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLKQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKLNVGPDQRADVMFVADVFRARVVDISENSLTLEVTGDPGKIVAAQRNLSKFGIEEICRTGKIALTREKLGATARFWGFSTASYPDLIEALPKNPLLTSVKKTVNGSFDQPSSAGGDVYPVEPYESLSMNQVLDAHWGVLDDEDSSGLCSHTLSILVNDCPGVLNIITGVFARRGYSIQSLAVGRAEKEGISRITTVVPGTDESIEKLVQQLYKLIEVHKVHDLTHLPFAERELMLIKVSGNTAARREILDIGEIFRAKCVDLSDHTVTLQLTGDFDKMVALQGLLEPYGICEVARTGRVALVRESGVDSKYLRGYSHPL* >Brasy4G073000.1.p pacid=40086983 transcript=Brasy4G073000.1 locus=Brasy4G073000 ID=Brasy4G073000.1.v1.1 annot-version=v1.1 MASGRFMEEMMREHEQVLLEATLYDHIDDLLDFPKEEDCAADVLLLDAPAPGSPLSARIIGAPPVAPLPSMELAPPPPPPAAAVPAFFAAKDCHIGPCEELDMDMAQLEWLSGLFDDASIPHEPAFNCAAPIKASAMGATNAAAAVVIPDKLEDALFRSSSPTSVLEDNSFKNASGAAKNNNNINNGSGGSSASSSSSSSASSCSESFSGSGGARTTWSAPVSPRPEPPVLIIPARARSKRSRQSAFVRSNAPAAEPTILVPTPMYSSTSAHSDPESCNIAETNSQAPAMKKKKKAKKPAPPVTSDAEGDADADYEEGGGSALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPAIHSNSHKKVVEMRQKVAPKGDDLLQYIRRRD* >Brasy4G118800.1.p pacid=40086984 transcript=Brasy4G118800.1 locus=Brasy4G118800 ID=Brasy4G118800.1.v1.1 annot-version=v1.1 MALVPSGDPAAVCNTTIPWSEMFRDASVRRPKQPEDPPPKPTAPGKKAAAASPDIAGLSLEPDARLALYIAMAHAGLATALLVVYGLYMLLADFLRPMQWALLCSIPLRETQHALVAFWEPPLRGGFSATVLALPLAALRSCAATLADARAALLRRPLPPSPSFPRLLRWLASSLFFLLLFERLGSAAALVLLALSLAFFAASPKPSSFLSRAATSRISSRNPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFLAGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKNWLDDNDIPGLVDQYSAKLYDTVWEQLDQLAVQYNLTDFTSGFRHFLISQSVGPSGAKSKELITSGPHPYSMKLQSIAVRVRKREWVEIYKELDSFFRELLITREDLVVKAKDLALQGAEIAKSLLSSSTSVLGGSANLMLSIALRILSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPVSRQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLLKFFKVHFVYTSTVLTIISALFPILPPWLSSIFAAGQLLTEGRYVLTVMVTVIHLVIMDYGTTVIQEDIRGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADTEETSS* >Brasy4G393200.1.p pacid=40086985 transcript=Brasy4G393200.1 locus=Brasy4G393200 ID=Brasy4G393200.1.v1.1 annot-version=v1.1 MISRRFVHLVLGGTATGRRVEYSLWNIDTSRFFYPARLPPCPVSLRPPSNLPPPAMCFSPPRSSTSPGEAAMEFMLLGRDHKVLAADQTGRALLYDPARHTVRTMPKLSSPKHGPVVAIPLPAPVACEEDVDAVSDPRFGVITAAAATVAGDLWVSKEGMGTYSLDGGRWTKAGEWMLPFAGFVPDHGLWFGLTSHGLLCAADLGPLSSTTTAAPEVRGLWEHVAPPGEWGWLPEASRLVHVGSGARFCVASFFTCQMSPAHRFAVFAGVEVEQCGGGDRHEEAAGLRMLMHRSEVYLLPREIKYWVL* >Brasy4G108900.1.p pacid=40086986 transcript=Brasy4G108900.1 locus=Brasy4G108900 ID=Brasy4G108900.1.v1.1 annot-version=v1.1 MKATILRRRGGLRLKSKAAGGRGFMCGCGGSKAVSVISDGSSDNQHFSPMATPPTTNTSTATTASTTTATRTKTAASTVSTALAAPSSSFSPSSSTDDASASSTPSVAALLRQLGELEQTVSSLTLHQGPGAGGRPRHRRSASEGRVEAESVAVVKESADPLADFRRSMLQMIVEKEIVGGDELRDLLHRFLSLNSPCHHHLILRAFAEIWEELFSGAAHQLQRRRTTNFLLVDDRKKKNKAANHAPPTIYTGR* >Brasy4G406400.1.p pacid=40086987 transcript=Brasy4G406400.1 locus=Brasy4G406400 ID=Brasy4G406400.1.v1.1 annot-version=v1.1 MENASRRMRCFATQPAAGGWADLPSELCGVILSRVPSLADRARFRCVCRHWRRAASQQWPLLPPPLPWLATADDNRRFVSLPDGATHHIVAPQINFDPSSPSAAFGEWLLFRNADSGTHLLLVNPLVPGATIELPPMALRKLVVSPGGDLVAAIACPDGGGYRYGLAFCRRRQPSGSWSWSGLRDPQEPRPGAPVVLDIAVHRNKLYARNALYAYDLDGGDCGPECVIADPRPLGLAEERLMAFYHTGNYLVPGGGGGKKLLLVRSEGARFAVLEAAGSGGRWSEPVARLDDDEVAFVSANCSRALPAASLYGGGNCIFFAGALRVVRAQ* >Brasy4G077900.1.p pacid=40086988 transcript=Brasy4G077900.1 locus=Brasy4G077900 ID=Brasy4G077900.1.v1.1 annot-version=v1.1 MALSRSMRTCLHSGRFAALAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDSPSIMSNEGDGWVNFTKFLTGASVVGSIAIPSILKHAGIIGWGALTMELSSFAVFGLAILWFIQMNGEDNSYSSFAF* >Brasy4G077900.2.p pacid=40086989 transcript=Brasy4G077900.2 locus=Brasy4G077900 ID=Brasy4G077900.2.v1.1 annot-version=v1.1 MALSRSMRTCLHSGRFAALAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDSPSIMSNEGDGWVNFTKFLTGASVVGSIAIPSILKHAGIIGWGALTMELSSFAVFGLAILWFIQMNGEDNSYSSFAF* >Brasy4G190800.1.p pacid=40086990 transcript=Brasy4G190800.1 locus=Brasy4G190800 ID=Brasy4G190800.1.v1.1 annot-version=v1.1 MKEAGADGRSWEDHLAAARLNGEAKAAVPEVGDGLGADKACAMSAEEDEEKDKRCSLAVKLEESVEAIPPSFEVRGQISEEKGSSMEERPLNMDEEKTCNFLVESAESGNLQTCCVANGRVLSKALCITYGDTLCSKDAGCVRGSVDKVTERSQYELGGLVGNGGLSDSIKNDADRCPHGVDDIIFMTDDNYKLTQDDLMLKIEAEASGQLLEDSVPSVSGSIDVSLNGKAGRFVTSGDSVACNVADGGFWNKVLCAPLSKGSESKCRGCMVDNATDGSRCEEDGLCNGALGKTSLEDVQMRYKKPHCGDGGLPDPVKFDIQQLPHDMDVTPLKKYINQLDEDGLLQKIGAGVSIPVHEDSVPSNFGIGEISEHKTGMEKVACDSMGVFGVLSCEAGFWKEALEDENQSPRMEVKAREDNLQTCWVETCHGNGSLSDLRECDSGNLPCGANGLSLMIDANHELEKGVFLPSIDAEVSLPVYEASLHSVNDRPMDVPVDGRSGWVGELSANRTCVEKMKYESPGEDTLLCENEVGFQTEASVNARMGIAKGPISYICKDEIIASSEACGPFPEIKVPLQHQQTDQKYETIDLPLERDLIRSSYNQPWEDEPFHSCKGSSAPCLGHRDSSGVKLGSPDHLAQELNTCNSAIDKPCSADFVENGNDGELQNQTLESLNVFRRRNPRRAASSRINLEKHDEINKGSKNIRKSKKIKSSWSLVESTMIKFPNKTTKGRSGINRPPKSTGWGSLQKLTDGFSQNCGASTSNSHLTCIEKGRSNTRSEDKKQPSIRKTRSLRGSRNKCPAFSDIRYALDEVNGESAFLATTGTNAPSDDYIGNFPKLVPDSSVNVSCDARKTAQYMSIQTETQQLDACLESVTQETCPTYIHGQFAKSTLEPSLNNAGVGFSPDSVLEVASVTCENNTSASHDVTLRENPSYPAALTGGCHQASTLSSSDLQKNHASSSTDLEQCSKNVKGDENTRKEEMNPSHARIGNGISEVGVRCLEMSNAVRRSKNLRKQECQKKDGIKGKNMKNISSTKIPSSEASKLRASFKDSSSLGPSELLLSTGPAKFGSCFEAVTSATQDLCMHEYNSMQGPSVTGSVRDPNVKRKNKMINITDEVFLDHVSSTLPSQLVTDLAGSRMNEQSNHSPATERAFKTSAALSLELPRNAACKKDGASLPQPVRSAWACCDDCQKWRCIPAELADTIGETNCRWTCKDNQDNAFADCSIPQEKTNAEINAELELSDASADEADNDGSNSKASRAPSWTAVKTNSFLHRNRRTQSIDESMVCNCKPPQDGRMGCRDGCLNRMLNIECTKRTCPCGEYCSNQQFQRRSYAKISWFCSGKKGFGLQLKEEVTEGRFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGSGIIAQDNADAEHFETLAADKDAEEMLANGACSHGVNPNIAEHGTSIQIEDLNDCAPASPESEPHQETSLILFDTSEPEYSLEALSPQDSEEITRTPVHVSQTENSLQQFPVHDTQPLDFLQKTRDTMDLQFPVYDTQPLDTKAPNAMIGSTPSSDLGSNLVPSFHANKKDNLKRRRNVKPSLSPIDNEHALGVEGTLNNLLDRDGGISKRKDSANGYLKLLVLTAAEGDNAGGTSKSVRDLSLILDALLKTKSSSVLLDIINKNGLQMLHNILKQNRDNFHRTPILRKLLKVLEFLASKEILTPGDINAGPRCAGMESFRDSMLSLASRHSDVQVYKIARKFRNDWITPYIAGPVSTSNCSTDSYFTRRKRKSRWDYQPESHYRMVGLQVQKVYSRHGELDLQTGLKRNRSQGNWANVYNDDDPVMRRSTDGADDEVPPGFEPQQEHGPAQASLDWGADDEVPPGFEPQQKQRPAQASLDCGVAPGFCQERYLPHLSISHGIPIALVQHLGTSEVEGGHGGEKWKVAPGMPFSPFPPLPTYPRGSPCPSTSSTQMSHHNGAPVMKHNNSEYMGRADDRGGRGHRNWRNGARTRFPYNQGRRFPSNHHRFERCQPPRPQEHGGSGFRGRE* >Brasy4G063600.1.p pacid=40086991 transcript=Brasy4G063600.1 locus=Brasy4G063600 ID=Brasy4G063600.1.v1.1 annot-version=v1.1 MAAALRQTMMRALLRHHPPPPRPSRFSTSSGTNQGYGTRAGFHSAQMAAERRQAELIEATDEVMRNLQKERAKTDKIMLGLLSLPFVATAGYEVMRNLEKERAKTNKDEVMNNSV* >Brasy4G357600.1.p pacid=40086992 transcript=Brasy4G357600.1 locus=Brasy4G357600 ID=Brasy4G357600.1.v1.1 annot-version=v1.1 MASGDNKPRTEEEWRAVLSPEQFRILRLKDTELPGTGEYNKFYGSGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAIQRTPDPDGRRVEITCTACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS* >Brasy4G117000.1.p pacid=40086993 transcript=Brasy4G117000.1 locus=Brasy4G117000 ID=Brasy4G117000.1.v1.1 annot-version=v1.1 MANQTALLVALFLLVLVSKHAEARQPRLVPAVFVFGDSTVDVGNNNFLGTRKEGRANFPQYGVDFPTSKPTGRFSNGFNTADQLAQLLGFAMSPPAYLSLTGRKLRSQMFKGINFASGGSGLGDHTGRLVVGEVIPMSLQLEYFATVVEHMYESAGSKKTASLLSRSIFLISVGSNDMFEYSFSRSNDIKFLLGLVASYKYYLKALYHLGARKFSIVSIPPLGCTPSQRLRRLAQMGTQGCFDPLNDLSLRSYPLVAAMLQDLSRELPGMAYSLADAYTMVSFVVANPKTKDWSFTELEAACCGEGPFGASGCNQTVPLCGNRNDHLFWDANHPTQAVSGIAAQTIFAGNRTFVNPINVIQLAML* >Brasy4G017000.1.p pacid=40086994 transcript=Brasy4G017000.1 locus=Brasy4G017000 ID=Brasy4G017000.1.v1.1 annot-version=v1.1 MSKNTQDSGRRALGDLTNVLGKRPASFDLEKNAGGLKISRVDKVVEPRKESDENAKATGGMSGNFTGYLFDGVGKQNFAATSIFHDAKVQHMAAEAAGLLSKQVVDVRNHGVSLDSSGLNDKEDSSLESEGGCEEEDGDDIDSAVLPYAIETSKLATNDRVNEGECLTQEEMGVSSGNQNPQSSFDFTAGDGVPCTNAQHPSMGVGVLEEAGVATKSCACSFCLKAAFMWTDLHYQDVRSRLGALKKSIKLARSLGAKSHGNEYPFNAGGYNSKRAAEMGFELSQQQRSLFLHTENVLLRESAQLHSGVVKLKDLRDDCKTDLNI* >Brasy4G001400.1.p pacid=40086995 transcript=Brasy4G001400.1 locus=Brasy4G001400 ID=Brasy4G001400.1.v1.1 annot-version=v1.1 MAPELNQHDHQHGSSWACRAWPWSSALILLLVLLASGSIVAVEALSLEHYRQSCPKAEEAVTAAVKQAMSSDHTVPAALLRLHFHDCFVRSCDGSVLLDSTSKQAKAEKDGAPNASLHALYVIDNAKRAVEALCPGVVSCADILALAARDAVALSGGPSWALPLGRRDGRVSLASDATANLPAPTARFEQLKQAFHARGLSVKDLVALSGAHTLGSAHCSSFQDRIASPALRPSFAKALRRACPANNTDGAAGWAFDSSSPGSKACFDNGYFRALQSGRGLLTSDEALLTHPKTRAFVALYAASQDHFFRDFVASMLRMSALNDPAGEVRAHCRRRNNS* >Brasy4G122200.1.p pacid=40086996 transcript=Brasy4G122200.1 locus=Brasy4G122200 ID=Brasy4G122200.1.v1.1 annot-version=v1.1 MAGGKIQKKRQAGGGGGARLQGGIPFEKSKGQHILKNPALVDSIITKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELSRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRVCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLAQDSEMGEEKMSPDDVAVLANMVEDLSMETCDEKEDDEMEMDDADMAGDGRASFKEKIMGILQQGDFADKRSSKLSQVDFLYLLSLFNKAGVHFS* >Brasy4G342200.1.p pacid=40086997 transcript=Brasy4G342200.1 locus=Brasy4G342200 ID=Brasy4G342200.1.v1.1 annot-version=v1.1 MRVAKDLRLSLARDIEEANNGTGAEFPDVVKWPIKSYESPQQTDGISCGIFVMRAMEHWDGEMFTSHYSQTTINASRRRIIAEITLCEENQIGRVRNEIQNLAEEFTQRAD* >Brasy4G104900.1.p pacid=40086998 transcript=Brasy4G104900.1 locus=Brasy4G104900 ID=Brasy4G104900.1.v1.1 annot-version=v1.1 MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGTTKTLERYQHCCYNAQDSNSALSETQSWYQEMSKLKAKLEALQRTQRHLLGEDLGPLSVKELQQLEKQLECSLSQARQRKTQLMMEQVEELRRKERHLGEINRQLKHKVPIEYVPLDSEGSSSSNYRAMQQVSWAAGAVVDEAGAAAYHVQQQQQQQPHHSAAMDCEPTLQIGYPHQFVTAPEAAAANNIPRSSAPAGGENNFMLGWVL* >Brasy4G188500.1.p pacid=40086999 transcript=Brasy4G188500.1 locus=Brasy4G188500 ID=Brasy4G188500.1.v1.1 annot-version=v1.1 MWPPHEAKIGVKTEEKRTTQATKEQEKGRGSSRDGDAPRDSRAGEGSRNRSSASPSLELSRSDTSEAYKMGVDLKNNRSEKKKQSES* >Brasy4G324300.1.p pacid=40087000 transcript=Brasy4G324300.1 locus=Brasy4G324300 ID=Brasy4G324300.1.v1.1 annot-version=v1.1 MVNPKVFFDMSVGGAPAGRIVMELYADTVPRTAENFRALCTGEKGVGKCGKPLHYKGSSFHRVITDFMCQGGDFTKGNGTGGESIYGEKFADEKFVHKHTGPGVLSMANAGPNTNGSQFFICTVKTPWLDGKHVVFGQVVEGLDVVKAIEKVGSGSGKTSKPVVIADCGQLS* >Brasy4G001000.1.p pacid=40087001 transcript=Brasy4G001000.1 locus=Brasy4G001000 ID=Brasy4G001000.1.v1.1 annot-version=v1.1 MAVAAGFSFFSTRLSLNHPKPTARTRICCCALKASETAELTVSITGATGFIGRRLVQKLLSDDHKVCVLTRSATKAASVFPPSAYPGVRIAEQGDWEQCVKGSTAVVNLAGMPISTRWSPQIKREIMQSRINATSKVVDHINNAGADARPSVFVSATAIGYYGTSETNSFDETSPSGNDYLAEVCREWEGRARQVNEEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHVDDLVDLIYESLKNPAYRGVINGTAPNPVRLSEMCERLGQVMGRPSWLPVPELALKAVLGEGATVVLEGQKVLPVRANQLGFSYRYPYVGDALKAIAKDLVS* >Brasy4G363300.1.p pacid=40087002 transcript=Brasy4G363300.1 locus=Brasy4G363300 ID=Brasy4G363300.1.v1.1 annot-version=v1.1 MATKRSVGTLGAADLKGKKVFVRADLNVPLDDAQKITDDTRIRASIPTIKFLLEKGARVILASHLGRPKGVTPKFSLKPLVPRLSELLGIDVVMANDCIGEEVEKLAAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLAKVDILILGGGMIFTFYKAQGLAVGKSLVEEDKLELATSLIETAKAKGVKLLLPTDVVVADKFAADAESKVVPASAIPDGWMGLDVGPDAIKTFSEALDTSKTIIWNGPMGVFEFEKFAAGTDAIAKQLAELTAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA* >Brasy4G168500.1.p pacid=40087003 transcript=Brasy4G168500.1 locus=Brasy4G168500 ID=Brasy4G168500.1.v1.1 annot-version=v1.1 MNCRSTTASTLLTVALFISLLALDHPVVHALHHVKDSAIPTGDSSSTNEGLHQWSRKLDVGKTKQVENVGVKASKGQASFGSSFRNGGRSGVESAKMVVVARRGGGPVPHPKKHN* >Brasy4G021200.1.p pacid=40087004 transcript=Brasy4G021200.1 locus=Brasy4G021200 ID=Brasy4G021200.1.v1.1 annot-version=v1.1 MAVSSAPRRAFLAAMFLGFLLGAATCSRTTPTLSSFQNLAEDKSRLGSTPPSCHNRCNACNPCKPVQVTTLHGGAAGLDVDVPEAAADAQYSNYKPLGWKCRCAGRLYNP* >Brasy4G080500.1.p pacid=40087005 transcript=Brasy4G080500.1 locus=Brasy4G080500 ID=Brasy4G080500.1.v1.1 annot-version=v1.1 MGGVGEKIVVGVLTGVMSSLLGKLNGIIGEEYAKLKGARKKLESLRMELIAINEMLEKYAAMERPDVQVRGWVKAVRELAYDMEDRIDLFTYHVDREPPAAADKPATGLKGFLRRSTRKLKKLRNRRRFAGEIQELQALVDQAYQRRLKYKLEESTPGTVHTEVDPRLPALYVTMEKLVGMEGPMEEIIDRFVGEDPIKQRRVVSIVGSGGAGKTTLANQVNSKLKNQFVNLEKEKKVYTAFLPVSQKPNISNLLRFLLSKTERIDGSSEQTGSYGDQQLIDRLREYLQDRRYLIVIDDIWSKSAWETIKCALPENDHASRIITTTRINSVAQCCCPSDEDFVYKIKPLSNSDSRELFLKRTFNADDKCPGQLEGITNEILHKCDGLPLAIVTLASLLANKPRIKEEWKRVLNSMRSTDEKDEKLEVIDKILALSYNDLPHNLKTCFLYLSMFPEDYEIDKYHLVWRWIAEGFVVSKHGYTLEEVGESYFYELINRIMLQPLYKKNECGSKVAACQVHDIILDFIVSRSTQENFVSILDGKDITSPNDKIRRLYFREDNQHAGEMSQQTINLSHLRSLNLFTSVTWMPSLLDLQVLRVLYLEGCDPSHNLENIGSLIHLRYLGLRSTKVKSLPVQIGKLEFLQTLDLRGTKIKELPGTIVHLNRLVRVLGHELILPDGFGNMEALQELGEIDGYNSSVNFGQKLKQLRMLRIHFKLSETSDSKIKMIKMNSLVSSMCSLGEHSLLSVHIRNDNRSGGIDSFADSWYPAPRRLQNFVLKGREWFSRFPKWVNPSLSDLMYLNFNVDRMKKEDRGVAYPS* >Brasy4G380100.1.p pacid=40087006 transcript=Brasy4G380100.1 locus=Brasy4G380100 ID=Brasy4G380100.1.v1.1 annot-version=v1.1 MMSHVVDDGNRPVNKLLEMFSTCRGWAGVEDGSSCISPVRRLKLTSRTMMLSDDTNSSGRPPDSELWDRLRRSRPARLPSAGDTCPSRPFEARETSVTIPSLPQVIPSHVQQSVPFRFHDDARPPSRDSPMRNCRREPFSCSVQELAVKAMESSRSSTRARPWKGIGNPLLHFVLHEKWSCCFCMIP* >Brasy4G159900.1.p pacid=40087007 transcript=Brasy4G159900.1 locus=Brasy4G159900 ID=Brasy4G159900.1.v1.1 annot-version=v1.1 MGNVFVKKPKITEVDKAILALKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKSQEELLKQVDTWQMNVEQQLADIELASKQKAVLESLKTGNAALKSIQSEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFDNLEAELSLESLPDAPITEVRPEEKTKVPADTEASAEDIDEVIELPDVPTKAPERPGAQEKTKVLEEPLPA* >Brasy4G100800.1.p pacid=40087008 transcript=Brasy4G100800.1 locus=Brasy4G100800 ID=Brasy4G100800.1.v1.1 annot-version=v1.1 MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPVCVQGPQLSTLCSILTLLAWIVVISPIAVLLVWGGILIALMESNITGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGLSAISLAINMLFICKILFNGSGFDVDEYVRRSYKFADSDCVEVVPVSCSPDPPDPSELYMTKSSRVVHLGLLYICSLVVLVVYSILYGLTSKEARWLGALTSVAVVILDWNLGLCSFRFELLKSRTVALFVAGTSRVFLICFGVHYWYLGHCISYAFVASVLLAAAVSCWLSISNPSVARVDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSLKRSSGSVEAVQHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQESRSSDKNIDSGRASLAHRSNSCLSAVQDSETAIVSADRHVDTTASLVACSNSGLESQGCESSGSAIALGNQQQLDLNLAAIFQDRLNDPRITSMLKRNGGLGDIELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDADHATATDSDRLDTTIANQISLSEELRRNGLESWLNISRLIFHQVAGTPIRSFVIFTLIFIIETVTVAVHRPEPIKVINAIHEQFEFGFAILLLSPVVCSIMAFTWSLRAEEMMMTSKPQKYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTIPLMVACLSFAIPIWMRNGYRFWILGGELENRENIRQAPGKKERALFAISIAVFIASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGMIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAMFALFTGLYKWKDDDWKISRGVCLFVGMGMLLLLGAISAITVTIVPWTVGVACLLFILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQGKPFLGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLIMNPPFVGAAICAITLVIAFSFAVSRPCLTLKMMEDAIHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLKNEEITAGSFFCGVKNCFMICPGSLADVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGESALEDSFARDRVSSIARHIRKAQLARRAEQTSIPGTVCVLDDEPRSTGRHCGELDLCLCQSQRVSFSISVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMESGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHIVTVTIDADLGEATSFIDGVYDGYQNGLPLPKNTGIWEPGADIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVAVLHSVMCPAEYGLCDLAAEDAWHGSYSARVDDWESEEPNFEFYDQEDVEWDGQYSSGRKRPVRDAVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERTFTDQEFPPDDRSLYVDPVNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPTYNEEGIYTIRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFNQEGFLLGAGSPSGSDAHISSSGIVQGHAYSVLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV* >Brasy4G021800.1.p pacid=40087009 transcript=Brasy4G021800.1 locus=Brasy4G021800 ID=Brasy4G021800.1.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.2.p pacid=40087010 transcript=Brasy4G021800.2 locus=Brasy4G021800 ID=Brasy4G021800.2.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.3.p pacid=40087011 transcript=Brasy4G021800.3 locus=Brasy4G021800 ID=Brasy4G021800.3.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.4.p pacid=40087012 transcript=Brasy4G021800.4 locus=Brasy4G021800 ID=Brasy4G021800.4.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.5.p pacid=40087013 transcript=Brasy4G021800.5 locus=Brasy4G021800 ID=Brasy4G021800.5.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.6.p pacid=40087014 transcript=Brasy4G021800.6 locus=Brasy4G021800 ID=Brasy4G021800.6.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G021800.7.p pacid=40087015 transcript=Brasy4G021800.7 locus=Brasy4G021800 ID=Brasy4G021800.7.v1.1 annot-version=v1.1 MGECSSSSSSSVGEYRCWEELLPDALGLVFRKLPLREVLTVVPRVCKPWGRVVAGPYCWQEIELEEWSQQQQSRPEQLARLVETLVRRSSGSCRRISVSSGLPCDPLFSFIGDHARALRTLEIPRSEISDAIVEAVAPRLPNLTFLDISSCTKLGARALEAFGEHCRALAGLRRVMHPTDVAAGRGGKACQRDEARAIARGMPALRHLEMGYMLVTTDAVLEVLARCRKLEFLDLRGCWAVDDGLILQQGRRRCPELRVLGPRVDDCFENGYLEECSDDDEDDDVFEWELMEDDDDYYYYAVVGSDDDEGIIWDEGQGLENLEVRFYGGGFNESYAGFDWPPSP* >Brasy4G236800.1.p pacid=40087016 transcript=Brasy4G236800.1 locus=Brasy4G236800 ID=Brasy4G236800.1.v1.1 annot-version=v1.1 MDLVQILPSMASICQIPEQKSNMAEAPAWPSTSPRRAPGGERADHQRARHAPSSPPPALPSLLLI* >Brasy4G211700.1.p pacid=40087017 transcript=Brasy4G211700.1 locus=Brasy4G211700 ID=Brasy4G211700.1.v1.1 annot-version=v1.1 MAVQQAQYLAHAFPHDPRAIVRLPALDNASVFKLDDRGLAAAVQQQAAAAAGNTVFSDPRSELTCNQQHNGDTGFFVPRKRARVGAGDHGTTPLIMEGQRALLPPVPQAFADVRSSSSRAVGSGAASTSGRPAVSQGLVSHLYRQSVEIDALVRLENERLRAGLEEARHRHVRAVVSAVERAAARRLRAADAELQQALGRNAELDEKLRQVGAEGQAWLGIAKSNEAVAAGLRATLDQLLQSPPCGAEGGEGGDAEDAQSCCFVDRGGGGDLRRKACKACGGADACVLLLPCRHLCLCGECEAVADVCPVCAATKNASLHVLLP* >Brasy4G223700.1.p pacid=40087018 transcript=Brasy4G223700.1 locus=Brasy4G223700 ID=Brasy4G223700.1.v1.1 annot-version=v1.1 MALLGSCLWKAADLLMSCCNPREAGPDDPEGLASQTIFSVSEIEALYVLFKRIDRAVVEDGKINKEEFNLRIFGANRGSTMFADRVFDLFDTTQTHALGFEEFARALSIFHPDAPADDKINFAFRLYDLKNQGFIQKQELKQMMEATLAESNLDLSDEVIDTIIDKTFEEADTKKDGKIDIDEWRNLVNVHPSLLKNMTLTYLRDVTMTFPEFVFHSRLRET* >Brasy4G095700.1.p pacid=40087019 transcript=Brasy4G095700.1 locus=Brasy4G095700 ID=Brasy4G095700.1.v1.1 annot-version=v1.1 MASASPEPAASEADGSVQIRRLEIADRERGFLSLLSQLSSCPDLTASEFAGCFNDLAALGDDHVILVAVDPSAAPERRILSTGCLFVERKFLRGGGKVGHVEDIVVDAAARGRGLGLRVVRRLVEIAKAAGCYKVILDCTPELRAYYAKCGFVEKGIQMAVYF* >Brasy4G337800.1.p pacid=40087020 transcript=Brasy4G337800.1 locus=Brasy4G337800 ID=Brasy4G337800.1.v1.1 annot-version=v1.1 MTTAAAMDGRLCLWVTAMDGRLSAIKLRESFMAPFPVLVSACFSWQSVVGAFQLRFLLMHQMLMNCEPAIIEWSLYAAPVQLAMLKRRTLFRR* >Brasy4G157400.1.p pacid=40087021 transcript=Brasy4G157400.1 locus=Brasy4G157400 ID=Brasy4G157400.1.v1.1 annot-version=v1.1 MTPTVLMECGPQRQIKRGYDEMTCRGVAAAPPRGYAETVGESGSPIRVDSEDSSAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRALLKKPEFLVTMPVSRAPAFSSSAAPRPKKVQRGSHVVRGAKGRFLPTKPRPETTTVLPEAAVLKQCEAILKKLMTQKCSHIFNVPVDVEKLNIPDYNDIVKHPMDLGTIKKKLDSGSYTSPSSFAADVRLTFNNAITYNPRGHAVHDMAIQLNKIFESRWKTVEKKLASATADPHVEVDRADSKRRKTPPVDCSDLSMECVRPTEIVKPKMTFEEKESFGNCLASLSEDPELPGYIIDLLQQCIDSSNTDHLGDGEIEIDIHALSDDILFELKKQVGKYLQERDNQPTKSEPSENEAVNVSGLSHSSTNPCKGGEPVEEDVDICGNASPILIEKDSQIKASKCGSPSSSSSDSGSSSSDSDSGSDSESEPEKVGSPTKLTKGIKIPEQPAEQEKSDVISAIDANHIATDVELREQDSESKAAPEGENAKPDREVSPDKLLRAAVLRGRYADVIVKARGILSQGGDKQEELEKLQKEEKERLLAEGNAAMEARKAEAEAESKRKRDLEREKARQALQEMEKTVEINYNVYPKELEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGSMLEKLGLFMKVDEEEEEEEPNSIPSSKDAEEGEIN* >Brasy4G417500.1.p pacid=40087022 transcript=Brasy4G417500.1 locus=Brasy4G417500 ID=Brasy4G417500.1.v1.1 annot-version=v1.1 MAPSSALPLLVRRLLLALTLAAASSSAARIPASLTPISRDIYHTSDSILREIKALVARNSDRLTMDTVRASNKGYSAEMVVVTYNHAKETIDNGSKINILLSFGQHGRELITSEVALHLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENFNGRKRVEAGELCDRRNGRGVDLNRNWSVDWGKKEKDYNPFEEDPGTAPFSEPEAQIMRELSKSFKPHIWVNVHSGMEALFMPYDHKNTTPDGVSSQLMRSVLENLNHRHFQDGCLVGSGGGAVGYLAHGTTTDYLYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLPDGQVVSQGTLDNWVPMGGEIVERNVERKSSNEHRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCSRISKNRNRESDEQSI* >Brasy4G417500.2.p pacid=40087023 transcript=Brasy4G417500.2 locus=Brasy4G417500 ID=Brasy4G417500.2.v1.1 annot-version=v1.1 MAPSSALPLLVRRLLLALTLAAASSSAARIPASLTPISRDIYHTSDSILREIKALVARNSDRLTMDTVRASNKGYSAEMVVVTYNHAKETIDNGSKINILLSFGQHGRELITSEVALHLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENFNGRKRVEAGELCDRRNGRGVDLNRNWSVDWGKKEKDYNPFEEDPGTAPFSEPEAQIMRELSKSFKPHIWVNVHSGMEALFMPYDHKNTTPDGVSSQLMRSVLENLNHRHFQDGCLVGSGGGAVGYLAHGTTTDYLYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLPDGQVVSQGTLDNWVPMGGEIVERNVERKSSNEHRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCSRISKNRNRESGNF* >Brasy4G169000.1.p pacid=40087024 transcript=Brasy4G169000.1 locus=Brasy4G169000 ID=Brasy4G169000.1.v1.1 annot-version=v1.1 MGGKSAFSFFCPMFSFLSRSSSSRRYDEDGGYTSDWEYNRPPARYGSKVRSSDEDYGWWVGERDVDRKAADYINDFHQRKEQVSA* >Brasy4G225300.1.p pacid=40087025 transcript=Brasy4G225300.1 locus=Brasy4G225300 ID=Brasy4G225300.1.v1.1 annot-version=v1.1 MATSFRGYDEPPSSAPSYTRDRGRRKRLTAQKRKEIKEAFDLFDTDGSGTIDARELNVAMRALGFEMTPEQIRQMIAEVDKDGSGTIDLDEFVHMMTDKIGERDARDELTKAFRIIDQDGNGKISDVDIQRLAIDAGEHFTLDEVREMIEAADQDGDGEIDMDEFMKMMKRTSFGTGF* >Brasy4G254600.1.p pacid=40087026 transcript=Brasy4G254600.1 locus=Brasy4G254600 ID=Brasy4G254600.1.v1.1 annot-version=v1.1 MADIVERLIVLSKAVSAKVWNGMAVVGNPCAIVDVQKTISKRAAASERESTSALAFDDDEKESDAALEELVPLVGARKPPRPLNNGRVFSEAGDRERRNLLELMARIDGVAGQRFGITRARTVRATSDLIEKDAVLILRNNQTVRVVESESLGKAERVLRLDPKIKHKAGKEQEKTGKIEKKAEQVQEKTEKILEKEGKVEETVREILHKAENVQEKTGQILDKTEKIQDTAEVIKEEIKENAAVVQLNAENIQDKSEKIQCKMGES* >Brasy4G238100.1.p pacid=40087027 transcript=Brasy4G238100.1 locus=Brasy4G238100 ID=Brasy4G238100.1.v1.1 annot-version=v1.1 MKAPLPLPGDDLFRCFPLKRKDDSLVFQLYSSCTKMISCSIRTKYLDSTDGDVLMLSCYCTHWCIHITNY* >Brasy4G365200.1.p pacid=40087028 transcript=Brasy4G365200.1 locus=Brasy4G365200 ID=Brasy4G365200.1.v1.1 annot-version=v1.1 MATETWMAQEALAAKDELLQKTAKKIEEDEATVGALSVELAEHKALVGKLQERLSISESDMLNLDAELEAERKRSAKLSTLCLDVEIARRTLCDAAGDLSEALGASPGPFEASIDGDDEALQRLAWATSTCTTARARFGQVWAQAAAELLLSCEDPSGHGVDEIFSADS* >Brasy4G374700.1.p pacid=40087029 transcript=Brasy4G374700.1 locus=Brasy4G374700 ID=Brasy4G374700.1.v1.1 annot-version=v1.1 MKKATSGPKLLAAHPASMSRRRLWLPVVFLALFACVSLLTLLSTARAPSSPPPGTPRPLIPGATTAAGAGGSGLPAHVFDALVQYAATAGNNSTSSMPEQDVRAIAAVLRRRAPCKALVFGLGAETPLWRALNHGAGGRTVFLDENAFYVAHMEATHPGLEAYDVSYSTAVRDFQNLLEHARATRHSECRPVQNLLFSDCRLAIGDLPNALYEVAWDVILVDGPRGFASGSPGRMAAIFSAAVMARTKGKETDVLVHDLGREVESVCAGEFLCEENRVQGSTSTPSLGHYLIRGGADVDRKAFCRPMAKKKLAVKDAS* >Brasy4G037500.1.p pacid=40087030 transcript=Brasy4G037500.1 locus=Brasy4G037500 ID=Brasy4G037500.1.v1.1 annot-version=v1.1 MAAAAEAADQKIVVHVRSTGDAPVLKQTKFKISGREKFLKVIEFLRRQLHQDTLFVYVNSAFSPNPDELVIDLYNNFAIDGKLVVNYALSAAWG* >Brasy4G107600.1.p pacid=40087031 transcript=Brasy4G107600.1 locus=Brasy4G107600 ID=Brasy4G107600.1.v1.1 annot-version=v1.1 MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAVRAEAEADVVSLAAARAMAPGAAPFDFDVEFRTADLDRTIGHTCSGLEPFVVAAIPTMKYSSEAFHSKDDAQCSICLGEYNEREVLRIMPTCRHNFHLSCIDMWLQKQTTCPICRISLDLPGGKTTASPARSPPQLFGHPESSVSRSPHWILPIHRDRTGGRENRPVSQDSLEVVIEIQHEMR* >Brasy4G300400.1.p pacid=40087032 transcript=Brasy4G300400.1 locus=Brasy4G300400 ID=Brasy4G300400.1.v1.1 annot-version=v1.1 MMMSDDDDDSEPQVVVVKDYYFVDADKNALCFSVLPIWFKEDADAVPECKTGVFLRGTVDPGIPVYKQVVAWKLGLDARQPDLAVLSKEGGWINLSKPKNSYEESFRTIFITVQMLHFLRRKPEEPEKDLWIHLRKVFDKFDVRPSKDDFRNHHTLMKQFAEKDLRLANSEILKAFIGERFRKQISEVDSGNFEVKESFIAADEDVEDMVADDNVESDEDEDDDLFDSTCAICDNGGDLLGCDGPCMRSFHAKIGTGEDSYCQTLGFTEAEVEAMKTFLCKNCEYKQHQCFICGALEPSDGPTAKVFLCNNATCGYFYHPKCVAQQLHPNNKIEALEKEKKIAGGSSFTCPIHWCFRCKGLEDRTEERLQFAVCRRCPKSYHRKCLPSEIPFEDSDEDKVTRAWDLPQRILIYCMEHEIDLDIETPVRNHIKFPGLPIKPTEYLKKKTKVLIKKKKRTFDESFLDEPSIKPTKLPGKVRVQENEHARKIAVKSSSEQLVEKPEKKKVKLLKQRTQPESNMVRDASASSPKPANKQEKYWSNSTSSTTMNMPQSSFPIVDSETERRVIALVEKEVSSLTLNDISRKCLMPSTHVYSGRQTDKIIATGKLECSVQAVREALNWLDRGGDVNTAKATCEPQVLKQLARWHMKLKVYISPFIYGSRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDMIVDFCCGANDFSRLMKEKLDLVQKKCHFKNYDLIQPQNTFCFERRDWMTVQRNELPRGSRLVMGLNPPFGVKAALANKFIDKALSFNPKLIILIVPKETKRLDQKKTPYDLVWEDSDCLAGKSFYLPGSVDVNDKVVQGWNASAPPLYLWSHPDWTKKHKKVAEEHNHTSLAKIACRIEEGNLSDDVPMKKEAESSDVHNSRPRKENENTGRTSCHLEAASLSNVVPVQRQAEPKSKQNARSGKAKWTKERTSCDVREVVPSDETLVKKQDRSGEDQAKEPNHLVQKQSRSGEDKAKEPNRLVKKQARSGEEKAKEPNRLVKKQARSGEDKYSNLAGGLSAKNQAEAALQQMCRSGKHNSRDGSKSSDDRSRKRTPDEVDSLPPEKQVEVAYEERQAPIKMSIQREQRDAFCENLRNDHIKEANRGSSDMSISSPDTSNAPNRSTSYSPYMPTEQPSEFRPTAYLDGNMSYPVKEPHVSAFSSAAYQESYLARSDRHNDAALGVRNDPMLYTHAVDGSKYSPSFEELTMRYAANPAGNGHSMQAQGDDYLPMSRHSLGSSGARYDQPSLSSYYGLSGTTAPQSSITDKYGPGLFGPSGSGASVTDKYAPGFLGGPSAPGSSVIDKYAAPLNGTNYATQSVIDMPGYGREMPPQYPYRGPGSSGGGLPYT* >Brasy4G111900.1.p pacid=40087033 transcript=Brasy4G111900.1 locus=Brasy4G111900 ID=Brasy4G111900.1.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDVEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKQAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.14.p pacid=40087034 transcript=Brasy4G111900.14 locus=Brasy4G111900 ID=Brasy4G111900.14.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDVEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.2.p pacid=40087035 transcript=Brasy4G111900.2 locus=Brasy4G111900 ID=Brasy4G111900.2.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKQAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.3.p pacid=40087036 transcript=Brasy4G111900.3 locus=Brasy4G111900 ID=Brasy4G111900.3.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.4.p pacid=40087037 transcript=Brasy4G111900.4 locus=Brasy4G111900 ID=Brasy4G111900.4.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKQAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.5.p pacid=40087038 transcript=Brasy4G111900.5 locus=Brasy4G111900 ID=Brasy4G111900.5.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.6.p pacid=40087039 transcript=Brasy4G111900.6 locus=Brasy4G111900 ID=Brasy4G111900.6.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.7.p pacid=40087040 transcript=Brasy4G111900.7 locus=Brasy4G111900 ID=Brasy4G111900.7.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKQAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.12.p pacid=40087041 transcript=Brasy4G111900.12 locus=Brasy4G111900 ID=Brasy4G111900.12.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.8.p pacid=40087042 transcript=Brasy4G111900.8 locus=Brasy4G111900 ID=Brasy4G111900.8.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKLIDQGNVSVGDIESELGLSSESLEAALVPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.9.p pacid=40087043 transcript=Brasy4G111900.9 locus=Brasy4G111900 ID=Brasy4G111900.9.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKQAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.13.p pacid=40087044 transcript=Brasy4G111900.13 locus=Brasy4G111900 ID=Brasy4G111900.13.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEWLLESTFKRGQYNAVGGTESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.10.p pacid=40087045 transcript=Brasy4G111900.10 locus=Brasy4G111900 ID=Brasy4G111900.10.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDEGLSCPRRAADLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G111900.11.p pacid=40087046 transcript=Brasy4G111900.11 locus=Brasy4G111900 ID=Brasy4G111900.11.v1.1 annot-version=v1.1 MEAYFREFTLDDFQALEPKPLFGPNWLDPCLLLPFVVGGKKLGEYSDPSDVAVIAENSDSNLILGKENEEMQNKNDIVHSNHDTQEGSCSVGCNVEVVNNNGINEGHCEQDMQEVILQQEEQPEEIELDQGKGNAVVLPVCKPNLDGSLNWLLGAKDRFVLTSERPNKKRKLLGADAGLDRLVLLPSLEGQAGSRCDVCSLGESDTTSNRVLKCNSCEVSVHQKCYGVQVVPDGYWMCAWCNSSWLARRLTRSDAGTTVFMPCVLCPKDKGALKPVKWEPGRTADGGNINFAHLFCSLWAPEVLVEDMDSMEPITNVGDIQENRTKMVCGVCKIMHGACLRCSHGTCRACFHPICAREAKHHMEIWGKYGHTNVEMRAFCAKHSAARSINSLHNVNGVAEHDTPQVGLADENLTSDEKQQMRFTRKSKEKLLNDTFVSSSLSSLNKVQTTEVVSSLCTVQSVESQQIQHTNMVVDRPTRDEKLVSNSGDVSTVLRKPETTTTSPGLKLKIIKLLQNSVHVPSVQVKSLKEGSMTPQGTLPRIESKNLTDSLLGSELEEGISSFDYCFPEGYRAKDSADSVENCLQNCPDFDDDHISGPCIFNIDGYRCYIHPSIEKKLRSLRDQISNKKDQSVYYDDLGGSSMKLEQLTDIAAADQASKAESSGILEYSPNNEIEGEIVYLQSRLLDDVVAMNRRYDDIRFKVVQNLSHELDSFNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAAAAPYVAHNSRNVTVIKEAENDVAPAKQEIIPKVNAGSLRVSQLASLPQTKDPSFSNSKVSADTNFGFFDLAKFSKKNGLPCDVCMRSETVLNRIFLCSSCKAAVHLDCYRSRTNPTGPWKCELCEETLSDAVIPGMQSDCSGAKSFLVQCCLCDGTSGAFRKTTKGKWVHAFCAEESLLKGKDTCSICHHSVGTCLKCGTVGCQVTFHPACARDAGLYMNTKKVGSLWRHKAYCGNHSIEQRKVDSQQYGPAEVKIMKQMRVELERLRLICERIVKREKEKKEVVVCEHDILAARRDHIAFSTRSLYYTSGPGGASSESATTSVNNNSYSGKRQRSDEENVTVRSDDVTVDSTITRKHTVRFSVHSRETDRNTADSSTSTISYKRKLDDGESLADKDLQERGAIASEKSEGETKSMDKKHEETFQKELVKTSDQDMTQKQHPPKRLVYTRRSSSKKKERIQDVQVPGGDKS* >Brasy4G041700.1.p pacid=40087047 transcript=Brasy4G041700.1 locus=Brasy4G041700 ID=Brasy4G041700.1.v1.1 annot-version=v1.1 MGKPVKENTKTIRCGCPALIRLLRSEDRGWYIREHRESHNHPLSSTFGEKLHWQSHRQIDRYTKELIKQLRENNVSLGKVYIIIGSFFGSMDQIPFTKRSLKTLCGKISHEQSDNDAMKTMDVFSKIHSRFIACELAGWLYDLPPLVANITVGHRQDNAETPLSQGWKGMNARSKWLGGNCV* >Brasy4G358100.1.p pacid=40087048 transcript=Brasy4G358100.1 locus=Brasy4G358100 ID=Brasy4G358100.1.v1.1 annot-version=v1.1 MLVVDVGVKSSSRYVHAGNTYFTRKNGEFPTQADSLMRDPHRLLVSSPHAPAAASDPADLGSAVADPAVATADPAARRRPPPRPLRPRLQPPRPPRAVRLLGLLRAPLVPAAAMEEGGSPRIRHLCHGPGHDNYDSDGRPLSVRVRKGT* >Brasy4G222000.1.p pacid=40087049 transcript=Brasy4G222000.1 locus=Brasy4G222000 ID=Brasy4G222000.1.v1.1 annot-version=v1.1 MTSRSHVGSSSERGKKKGSPPPALPPQPAGTFPLVKCPCCRWRTVLRLRQPQGCNFFHWEDGEDNYVEYLASLGVNLGNVVVFGGDSGGLTEAEEEEEVGRQPASESKNNASDVVLKELVQKMDEIIGLCRMMLVVFVVFVAMMMYVVAVK* >Brasy4G322800.1.p pacid=40087050 transcript=Brasy4G322800.1 locus=Brasy4G322800 ID=Brasy4G322800.1.v1.1 annot-version=v1.1 MAPEHFLVVAFPGQSHINPARALAERLARAHPGARVTLSAAVSSHRLMFPSLAAPDEELIHDGDGAVSYVPYSDGYDHGFNIFAATGDETWARVEAFASVGRATLSAALDRQAARGRPVTRVVYAVLMWWAAEVARDRGLPRALYWIQPATMLAVYYHYFHGYEKLITEHADEAGFTVAMPGGLPPMPIRDLPSFFVTKLTDARMAAAFDMIRNTFQQLDMDTRPMVLVNTVEALEAGALASVPELDVFPVGPAVVSLVTDGNGAAGVRDLYEHDEKGYMEWLDAKPPGSVAYVSFGSMAAVSKRQKEEIRRGLAASGRPYLDDDDDDDDGVASGGGMVVEWCDQVRVLKHPAVGCFVTHCGWNSTLESMACGAPMVAVPQWSDQDANARLVGEWGTGVRAATTADNLLDSEELARCLEVVMGDTEKGAAVRRSSVAWKAKVQEAIAEGGSSDNNLKSFLDQFTNGA* >Brasy4G088600.1.p pacid=40087051 transcript=Brasy4G088600.1 locus=Brasy4G088600 ID=Brasy4G088600.1.v1.1 annot-version=v1.1 MVGVAAGFAFAPAVSRILPYRSSTATTHASVPSSSSPARVLAARWGRHQRRLVVVARYSSSYGSDEEDEEGGRRDRAPEQEQDPALDIERIESSTVRLLDAQKRMVGVLSVSEAVKIADENDLILAILSLDGDPPVLRLFEEKDYKKHKYEQQKKKRVQQKRSVAKRMGLKELKMGYNIDIHDYSVRLRAAKKFLKAGDKVKIMVNLKGRENLYKKQAIELIRRFQTDVGELATEGGKNFAERNIYVILVPNKLAIQKEQDGVNKKDTAEGETDQSDDELDGDELVVEELEQSALDGDEPVIEQLEESKEPETEVSANV* >Brasy4G405700.1.p pacid=40087052 transcript=Brasy4G405700.1 locus=Brasy4G405700 ID=Brasy4G405700.1.v1.1 annot-version=v1.1 MAIPIGPSRGLPAAAPPPPPPPRSPLPPSLSPNYCDAATSTGIGRQELAIPTRSRSRRPGWFSATPVHNKLRRSGHLARLLRPALALPS* >Brasy4G040900.1.p pacid=40087053 transcript=Brasy4G040900.1 locus=Brasy4G040900 ID=Brasy4G040900.1.v1.1 annot-version=v1.1 MRRRARWYCAADDAFLCQSCDTSVHSANPLARRHERLRLRGAMPMPMPAEGGVASETMTTTTATKPKRLQGGVAWAKRKARTRRPPVKSVGQLLLSKRLVLVPDQACAGESSDEQRAAAAEEEEKQLLYRVPVFDPALGEFCSPPTAPVDDYYAIGVGNDNTFVVEEDTNKQLAAPTSSSSPVQELPDCFASFGPTDAELREFAADMEALLGGGQDALGGNEQLLAEDSFYMEALGLVSPLSGDHNGVDAGRAVKVETDGGARRSSPPTLVDDDDSFEHKAASATASNGEAADVGFLKRSLDLRLNYEAVIEGWGSSPWTDGRRPHGGQLDDLLLHDHCYSGMWTAGGGRAARPAADDGGWREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAGIAPCAVA* >Brasy4G040900.2.p pacid=40087054 transcript=Brasy4G040900.2 locus=Brasy4G040900 ID=Brasy4G040900.2.v1.1 annot-version=v1.1 MSSSERKARTRRPPVKSVGQLLLSKRLVLVPDQACAGESSDEQRAAAAEEEEKQLLYRVPVFDPALGEFCSPPTAPVDDYYAIGVGNDNTFVVEEDTNKQLAAPTSSSSPVQELPDCFASFGPTDAELREFAADMEALLGGGQDALGGNEQLLAEDSFYMEALGLVSPLSGDHNGVDAGRAVKVETDGGARRSSPPTLVDDDDSFEHKAASATASNGEAADVGFLKRSLDLRLNYEAVIEGWGSSPWTDGRRPHGGQLDDLLLHDHCYSGMWTAGGGRAARPAADDGGWREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAGIAPCAVA* >Brasy4G131100.1.p pacid=40087055 transcript=Brasy4G131100.1 locus=Brasy4G131100 ID=Brasy4G131100.1.v1.1 annot-version=v1.1 MEGMWKKAKKALGIGLCAHLPAVAGDREDGASERRASDAFSQDYVALAAAHTSAPNTPVQAEAGALRRCKSGAKSSKKTCAICFDSMKPGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIIPRGRSGLNVNQARLPQQDAYMALLRQVPNRQRETPALHTCEPVEFNDDEPLQQMEASHNCDVRSSRTVEMKTYPEFSAISQSSSPDDFAVLIHLKAPCANPEKVTSRMVNATSVGSLSTSRAPIDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGAGGGTNIADALKKAAKVIEDRSYKNSVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTVGIVPVHGFGFGADHDSDALHTIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQDMRLTVECVHTGVKLRSIKSGSYLSMVAGDGRNGSIDVGHLYADEERDFLLSMSFPQSREQISLLKVAFSYRDPVTNEGIKVQGNEVKILRPKSPTSEPVCMEVDRERNRVRAADSIEAARAAAERGVLSDAVAILEECRRILSESYSSKNGDRLCMALDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSSTLVYSYQTPSMVQMLQRSQNHCPSPQAPRPQIIVPTRSFMQKPQPR* >Brasy4G254200.1.p pacid=40087056 transcript=Brasy4G254200.1 locus=Brasy4G254200 ID=Brasy4G254200.1.v1.1 annot-version=v1.1 MRSLDAAVSARSDQHNSKKPRLDPEMPLADSFVAGSGGGCGGSEESGGCLSMRVEDIVQHPLPGYGAPVALSFSPDDRQVAFLYSPDGTLHRKVFTLDPGQRRQELLFAPPDGGGLEEGNLSAEERLRRERSRERGLGVTRYEWRARRSGAPSSRAGIVVPLPSGVYFQDLSGSEPVLKLQSTPTSPIIDPHLSPDGSMIAYVRDDELHNLGFADGETWQLTYGARESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSDIPLYRIMHQGKSCVGPDAQEDHAYPFAGAANVKLRLGVVPSHGGEITWMDLLCGEPLGSHGDEEYLARVNWMHNNALAVQVLNRAHTKLKLLKFDITTGKREVSLEEEHDIWITLHDCFTPLDKGVNSKYPGGFIWATEKTGFRHLYLHDKNGECLGPVTQGDWMIDQIAGVNESSGLIYFTGTLDGPLETNLYCTNLFPDWSLPLQAPKRLTQGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVVMPLYEQPLTVEPLKKFQQLSPEIVQFSGKDGTSFYGTLYLPDENKYGPPPYKTLINVYGGPSVQLVSDSWISTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYRIGRVDAEDQLAGAEWLIEQGLAKAGHIGLYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTAWDGYDTFYTEKYMGLPLEHRDAYEYGSIMHHVNNLRGKLLLIHGMIDENVHFRHTARLINSLTAERKPYEILLFPDERHMPRQLDDRIYMEERIWDFVQRSL* >Brasy4G142800.1.p pacid=40087057 transcript=Brasy4G142800.1 locus=Brasy4G142800 ID=Brasy4G142800.1.v1.1 annot-version=v1.1 MEAAEDSSLEPAIGWLAQAILETLLMDKLDAWIRQAGLADAVERLKSEVERVEMVVAAVRGRAAGNKPLSRSLARLKDLLYDADDVVDEFDYYRLQQQVEGVVYDDPQSTHGAEAERADETSRGTAAAADIPNSSGGNLRSKHWKDFTVITWESGKAVEAKCNLCGAEPIKCGSGNGTSVLSNHLKSKGCIRKRRGPPNPSSTGDDTEIARPIVIGDSSSRKRKRADEESSEITATNTGTPWDKAELSNRIQKLTSQLQDIRGQVREFLKLHGSDSASCSNYHHRTVVDQHLRTTSSLAPSKVYGRVAEKNDIIKMIMEDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVKCKFDTKIWVSVSRNFDEVRLTREMLDFASQDRQEGSLNRNERHEGISSFAKLQEILKERMEYQPKRFLLILDDVWDNLDDERWNKLLNPLISNQVKGNVILITTRNLCVAQKKGTLEPIQLCALADEDFLLLFKSRAFGDENYKGDPSLSLIARQIAEKLKGNPLAAETAGELLREHLTIDHWTNILKHEHWKSLQLSTGIMGALRLSYDQLPYHLQQCFSYTSIFPRSYRFPGEMLVRIWISQGFVKGNQSSKRLEEIGRHNLTVLVNLGFIQQVEIFLSRQPCYAICGLMHDFGRIVSRTEYAIIDGLQCNEMLPTVRHLSIVTDHAYNKGQHGEFSRNELFEETLRNTVTSVSKLRTLVLLGHYDSFFLQLLQHIFRKAQNLRLLQMSGTSFDFNSSQCNFVKPAHLRHLKLGSVEVHGDFPRVFSKLYQLQVLDVGLYAHPTAPKGIHNLVSLRLLDFKVQTSSGSEITQLQSMNELVQLGVSQLDNVKSSEEAYGAELRNKVHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYNGTSPTWLASNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMRKVTKILVPSLEELVLVDMPELERCSCTSVEGLNSSLRTLHIQECPALEGFDLFESSDKFKVEHRSWLSGVRELILLECPLLEVFNPLPPSATFSELLISGVSTLPSMRGSYENLDIGPPDFEPSSEWITVGEVLAFHNLRSLKFLSIGNEENRMSILFKDLSHLVWLKSLQIQECDIAFSSCVMPVHTRDDVLAANCKVFPSLQSLSIESCGIMGIGLSLMLQHSPDLEKLDLCGITLLSVYEEGYSPSNLFSVPQDGLFLHIPFNIISSLKKITIVDCPSLIFNRRKKGFSGFTSLEELYGSDDQANGRWLLPESLGELDISNYSQESLQPCFPSNLTSLKKLVLRNSTNLKSLQLHSCTALEELKIRYCDSLSIVEGLQSLGSLRDLTVLGCPSLPSYFQSISRQCHELLPRLETLVVNDPDVLTTSFCHHLTSLHSLKLKDLRMAVTRLTEEQERALVLLKSLRELSFKGCRCLIHLPAGLHALQSLKRLKICDCPHIVRLPETGLPVSLEELEIMYCNNELKDQCKLLATRKLKVKIM* >Brasy4G142800.2.p pacid=40087058 transcript=Brasy4G142800.2 locus=Brasy4G142800 ID=Brasy4G142800.2.v1.1 annot-version=v1.1 MYVSLGAISDSNSQAHHPSFYCSTGDDTEIARPIVIGDSSSRKRKRADEESSEITATNTGTPWDKAELSNRIQKLTSQLQDIRGQVREFLKLHGSDSASCSNYHHRTVVDQHLRTTSSLAPSKVYGRVAEKNDIIKMIMEDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVKCKFDTKIWVSVSRNFDEVRLTREMLDFASQDRQEGSLNRNERHEGISSFAKLQEILKERMEYQPKRFLLILDDVWDNLDDERWNKLLNPLISNQVKGNVILITTRNLCVAQKKGTLEPIQLCALADEDFLLLFKSRAFGDENYKGDPSLSLIARQIAEKLKGNPLAAETAGELLREHLTIDHWTNILKHEHWKSLQLSTGIMGALRLSYDQLPYHLQQCFSYTSIFPRSYRFPGEMLVRIWISQGFVKGNQSSKRLEEIGRHNLTVLVNLGFIQQVEIFLSRQPCYAICGLMHDFGRIVSRTEYAIIDGLQCNEMLPTVRHLSIVTDHAYNKGQHGEFSRNELFEETLRNTVTSVSKLRTLVLLGHYDSFFLQLLQHIFRKAQNLRLLQMSGTSFDFNSSQCNFVKPAHLRHLKLGSVEVHGDFPRVFSKLYQLQVLDVGLYAHPTAPKGIHNLVSLRLLDFKVQTSSGSEITQLQSMNELVQLGVSQLDNVKSSEEAYGAELRNKVHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYNGTSPTWLASNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMRKVTKILVPSLEELVLVDMPELERCSCTSVEGLNSSLRTLHIQECPALEGFDLFESSDKFKVEHRSWLSGVRELILLECPLLEVFNPLPPSATFSELLISGVSTLPSMRGSYENLDIGPPDFEPSSEWITVGEVLAFHNLRSLKFLSIGNEENRMSILFKDLSHLVWLKSLQIQECDIAFSSCVMPVHTRDDVLAANCKVFPSLQSLSIESCGIMGIGLSLMLQHSPDLEKLDLCGITLLSVYEEGYSPSNLFSVPQDGLFLHIPFNIISSLKKITIVDCPSLIFNRRKKGFSGFTSLEELYGSDDQANGRWLLPESLGELDISNYSQESLQPCFPSNLTSLKKLVLRNSTNLKSLQLHSCTALEELKIRYCDSLSIVEGLQSLGSLRDLTVLGCPSLPSYFQSISRQCHELLPRLETLVVNDPDVLTTSFCHHLTSLHSLKLKDLRMAVTRLTEEQERALVLLKSLRELSFKGCRCLIHLPAGLHALQSLKRLKICDCPHIVRLPETGLPVSLEELEIMYCNNELKDQCKLLATRKLKVKIM* >Brasy4G021700.1.p pacid=40087059 transcript=Brasy4G021700.1 locus=Brasy4G021700 ID=Brasy4G021700.1.v1.1 annot-version=v1.1 MAPSTSTIAASLLVCALLLQTCVATRKLTALVQEQPITMKYHKGALLSGRIAVNLVWYGNFSASQRTVITDFLSSLSTTTTPSPQPEPSVATWFKTAQKYYSTSKARFPALTMGAHVLDSSCSLGKRLREKDLVKLAARGGASRAINVVLTAADVAVDGFCSSRCGSHGASPRTRAGRFAYVWVGNPGTQCAGQCAWPYHQPQYGPQAAPLTPPNGDVGVDGMVVSLASMVVGTVTNPFGNGFFQGPAEAPLEAATACAGVYGKGAYPGYAGSLLVDPTTGASYNANGANGRKYLVPALVDPDTSACSTLG* >Brasy4G109500.1.p pacid=40087060 transcript=Brasy4G109500.1 locus=Brasy4G109500 ID=Brasy4G109500.1.v1.1 annot-version=v1.1 MQICTTTEGCCFHCDYKGAKIIHPTSEAYFGNCNFGEMARGQNRVNNKEPTEFKPIEYRQLRTPIDGTLQDDDLYI* >Brasy4G274500.1.p pacid=40087061 transcript=Brasy4G274500.1 locus=Brasy4G274500 ID=Brasy4G274500.1.v1.1 annot-version=v1.1 MLPAWFRGGEGENGHGRRRGTCYGVAVSFLTMLVFCVLVATVSVWKAFLFAVLVLVAFGIGECLAPESWRVGIVRGRSSAAAEPEPATAPRTAWSFGLPKAAIEALPRFAYAAPRGGSGNEGAAAGVDLESGGGGAEPCSVCLEDMEEGEMVRQLPKCMHLFHVECIDMWLHSHRTCPVCRCDLSPPRKVAGKAVAVEMEPPAEGALPPV* >Brasy4G217100.1.p pacid=40087062 transcript=Brasy4G217100.1 locus=Brasy4G217100 ID=Brasy4G217100.1.v1.1 annot-version=v1.1 MADWGPVVIGVVLFILLSPGLLFEIPGSHRHVDFGSFRTNGKAIFIHTLIFFAAFTILTLALHIHIYAG* >Brasy4G321600.1.p pacid=40087063 transcript=Brasy4G321600.1 locus=Brasy4G321600 ID=Brasy4G321600.1.v1.1 annot-version=v1.1 MASKSLGAFPKINDSYCSYGVSAACLQVSSLGALNPACVKLSLPCYGLYNTFFITSHWVVSANGQDFTEADREARFCCYCRLSFFQEAMEKGMQWMWILFLMLHSEASELPSRGILS* >Brasy4G004400.1.p pacid=40087064 transcript=Brasy4G004400.1 locus=Brasy4G004400 ID=Brasy4G004400.1.v1.1 annot-version=v1.1 MVIENQNQNALLASHPILCFPAPFLASLPNFFFFFFLLAYINLPPSGSLHKKTPPAINLPSTMASSSTVSGSGGGLNRSDSIADMMPEALRQSRYQMKRCFQRYVSKGSRLMKNQQLMEELQMSVDDKLEKTKLEEGFLGSIICSTQEAVVLPPFVSFAVRMNPGIWEYIKVHSGDLSVEQVTPADYLKSKETLFDDRACDDNSLEVDFGALDLSTPRLTLPSSIGNGMQFVSRFMCSKLSGKPEDMKPLLDYLLTLNYRGEKLMISDTLDTVNKLQTALLLAEVFVAGLQRNTPYQKFEQKFQEWGLEKGWGDTAETCRETLNFLSEVLQAPDPINMEKFFNRVPSVFNIVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEEELLQRIKQQGLNVTPKILVLTRLIPEAKGTKCNVELEPVEHTKHSSIVRVPFKTDDGKDLRQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFVVASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNDEHIGYLEDRSKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVIVGGLLEPSQSKDREEIEEINRMHRLMNKYQLQGQIRWIKAQTERVRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPAFATNQGGPAEIIVDEVSGFHINPLNGKEASEKIAGFFQKSKEDPTYWNKMSTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTLNKEERQAKLCYLQMFYNLQFRQLVKTVPKLGEQPAQPTESAVPGRIVPRPKERRTQTRIQRIASKLLGPVLPASNFSNDTA* >Brasy4G004400.2.p pacid=40087065 transcript=Brasy4G004400.2 locus=Brasy4G004400 ID=Brasy4G004400.2.v1.1 annot-version=v1.1 MVIENQNQNALLASHPILCFPAPFLASLPNFFFFFFLLAYINLPPSGSLHKKTPPAINLPSTMASSSTVSGSGGGLNRSDSIADMMPEALRQSRYQMKRCFQRYVSKGSRLMKNQQLMEELQMSVDDKLEKTKLEEGFLGSIICSTQEAVVLPPFVSFAVRMNPGIWEYIKVHSGDLSVEQVTPADYLKSKETLFDDRACDDNSLEVDFGALDLSTPRLTLPSSIGNGMQFVSRFMCSKLSGKPEDMKPLLDYLLTLNYRGEKLMISDTLDTVNKLQTALLLAEVFVAGLQRNTPYQKFEQKFQEWGLEKGWGDTAETCRETLNFLSEVLQAPDPINMEKFFNRVPSVFNIVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEEELLQRIKQQGLNVTPKILVLTRLIPEAKGTKCNVELEPVEHTKHSSIVRVPFKTDDGKDLRQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFVVASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNDEHIGYLEDRSKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVIVGGLLEPSQSKDREEIEEINRMHRLMNKYQLQGQIRWIKAQTERVRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPAFATNQGGPAEIIVDEVSGFHINPLNGKEASEKIAGFFQKSKEDPTYWNKMSTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTLNKEERQAKLCYLQMFYNLQFRQLVKTVPKLGEQPAQPTESAVPGRIVPRPKERQVCPLLRNLLRRERGSN* >Brasy4G281900.1.p pacid=40087066 transcript=Brasy4G281900.1 locus=Brasy4G281900 ID=Brasy4G281900.1.v1.1 annot-version=v1.1 MAGAGGDLKMLGMWASPAVLRVRLALSLRGVSYEYVEEDFKNKSDLLLKSNPVHNKVPVLIHGGKPVCESLAILEYIDEAFAGVVPSLLPADPYERAVARFWAAFVDETLLKAMYQASWGTAEEKEEGKKKVTAAAETLEGALRECSKGKPFFGGDSAGYLDVVLGGLLAWVRAFDGIQGLKTFDPSTMPLLAAWADRFGALDEVEAVMPDVNRLIEFARAM* >Brasy4G260300.1.p pacid=40087067 transcript=Brasy4G260300.1 locus=Brasy4G260300 ID=Brasy4G260300.1.v1.1 annot-version=v1.1 MLAMGSMVVPMEPINHVDGNGDPPASRTTSLRSWRRPKCPNMAAVPVPLIKKAVAEFLGTFILMFTQVSAIIMDEQHDGVEGLMGIAVSVGLAVTVLVFSTIHISGCHLNPAVSIAMAVFGHLPPAHLAPYIAAQVLGSTAASFVGNAVYHPVNPGIATVPRVGTAEAFAVEFIATFVLLFVITAVATDPHAVNFLLPLLS* >Brasy4G409300.1.p pacid=40087068 transcript=Brasy4G409300.1 locus=Brasy4G409300 ID=Brasy4G409300.1.v1.1 annot-version=v1.1 MTVATLVEEEEEGGATPGEEDEDGGAMRGERREDGERNKNEGRENKLRKKGEVGAWAGRKIIHLWWTAHQNFPSQPPLPLLSSTTRAFLLSSLSPPLLHPACLPLHELRRRPGALLSHLRRDGDQAPHACGSGGRGPESGAPPRPPLPVDPATTGLGATSPPCLTTSSPPDRLHQPDPPSKTPLAAGSAASEPACRRIRRPRPRLLPLIFSTTIFAFVNSRDAASMALSSMIRMVFLSLFLVAGLAARAATAADIYWAHHGGRHQPRAAPVPAAAPAPAPSSKVGQQTASPSIAPAPGPGSPAPSPAAENSNAVAAVALPLAWPALLVVAAVAVF* >Brasy4G072900.1.p pacid=40087069 transcript=Brasy4G072900.1 locus=Brasy4G072900 ID=Brasy4G072900.1.v1.1 annot-version=v1.1 MGITRAHIVKADSQEDKLGDYVGAKPRPRPSNASKHSSRVVAALTCLQLGFAIYATFLLYYMSPAAVDDLRPGNPADFSWATRIARRWKHIMVVSSDLTPPEACEHESIEFEQKKSTDALMIRLKRELYDDVLAFQRRTFSPETLPELLRMRSRWSPASSSKPRVTVILNHFKRRTLCAQLDTLRAQTLPFHRVWVLAFGSPNEPALRRIVGSYNDSRVSFVSSGYDFKYYGRFQMALQAESDFTYILDDDMIPGTRMLEILCHVAGTDKYRNAVLGSIGRILPFRQGKDLTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLPTELVKALFLETPFTFATGEDLHLSYQLHKYMGAGSFVLPVDASDKATWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRAVAPLLEKFRATAGRKAYLVVSGGPHCPCEEAAAVLKWPKVVCRERRFKVFDLGLGALSGPSGSEVPVLQAVYASMRGLVRMHNPSVVIAVADIDGSVKDALRMAAFNRTALVLLPRNTIPKVLWMATLPPASLTNWNKMRVSVNIITQTRAGSLKRLLSSLKTAYYVGDEVPISFNMDSRVDASTLNVVHAFDWPHGPKTLRRRIIQGGLIRAVSESWYPANDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPSVSLPELSSISLYTPRLVEVTKERPRWNATRFFRRAPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKTNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNKLKHDKGDFEVPLVADDFATLLPGGKMPPASKLPVLNLFNQAVTIKGLKSAGGKLRQDVISCVATQLVSVDHVTGLPNNCTAF* >Brasy4G249900.1.p pacid=40087070 transcript=Brasy4G249900.1 locus=Brasy4G249900 ID=Brasy4G249900.1.v1.1 annot-version=v1.1 MSFSEIPKGVRERFEFSKSGKCLCKLLTWHDVWQSLLPNKLFNIVRNKHVSVASVIGAAHPKVDFQRVFSGNHVAAWQEIVIKVVNVKLSVKPDSFRWDPHATGNFWICSMYSALMDKRFRFRSKRLWRLKLPLNIKGFIWFPTPLS* >Brasy4G431200.1.p pacid=40087071 transcript=Brasy4G431200.1 locus=Brasy4G431200 ID=Brasy4G431200.1.v1.1 annot-version=v1.1 MPGRSGFTDDGAESMFSWLHDDGCSSASVQFGPSQEPSEEEIEYSDGEQEIMWNGQSTGCFGCKKAMADQSKLEAQRLNLEIELAYQDMVITDLEDEVEAEKRKRGKLELEVQEMNKVAVIAVLVACAASVMWLLYPEM* >Brasy4G431200.2.p pacid=40087072 transcript=Brasy4G431200.2 locus=Brasy4G431200 ID=Brasy4G431200.2.v1.1 annot-version=v1.1 MPGRSGFTDDGAESMFSWLHDDGCSSASVQFGPSQEPSEEEIEYSDGEQEIMWNGQKAMADQSKLEAQRLNLEIELAYQDMVITDLEDEVEAEKRKRGKLELEVQEMNKVAVIAVLVACAASVMWLLYPEM* >Brasy4G431200.3.p pacid=40087073 transcript=Brasy4G431200.3 locus=Brasy4G431200 ID=Brasy4G431200.3.v1.1 annot-version=v1.1 MPGRSGFTDDGAESMFSWLHDDGCSSASVQFGPSQEPSEEEIEYSDGEQEIMWNGQAMADQSKLEAQRLNLEIELAYQDMVITDLEDEVEAEKRKRGKLELEVQEMNKVAVIAVLVACAASVMWLLYPEM* >Brasy4G003700.1.p pacid=40087074 transcript=Brasy4G003700.1 locus=Brasy4G003700 ID=Brasy4G003700.1.v1.1 annot-version=v1.1 MGTMGTRGGGRRQRRGPPLHAGGELGTPRRPRTPLHADRELGTPLRRRTLLQTEIDGGWGELRVDLHPPVHGIRRRWARRRRIRWRRARRGRIRRRAKVGGGAPCGDAPEVEGAAPYFSGRHEAGMAAVARPKPTSEEARAAGGAARRGEVDGDGSSAFPTARRLEGGGSGEARGRERGGEAKGPVAPGGGRG* >Brasy4G106800.1.p pacid=40087075 transcript=Brasy4G106800.1 locus=Brasy4G106800 ID=Brasy4G106800.1.v1.1 annot-version=v1.1 MAVSTNGSSAPALKFLIYGRTGWIGGLLGKLCTAQGIPFAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRVETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDTVKLKTEFPELLSIKESLIKNVFKPNQKTTKA* >Brasy4G029900.1.p pacid=40087076 transcript=Brasy4G029900.1 locus=Brasy4G029900 ID=Brasy4G029900.1.v1.1 annot-version=v1.1 MQGEQQEKKAGKVKKGWLAVRVGDVDGGAGRRFVIPIAYLYHPVFQRLLEQARDAYGYDSSPGPLRLPCSVDDFLRLRARVDRDTASSSSSSHRVHAGQHQYSLSPCTRAKVSS* >Brasy4G095800.1.p pacid=40087077 transcript=Brasy4G095800.1 locus=Brasy4G095800 ID=Brasy4G095800.1.v1.1 annot-version=v1.1 MPMEYGRRQAAAGVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELCIGVGARGGATAHAAPFKGGRAALQKLLHAAYKRGDTSVEVRVRRPAQAQAQAQQLGDSGELLAGTVEAAAAATTMMQACIVPQEAAVGGGGGGVGAVVSGMGMVGRSRQYVLRSIRDPNYAVGLVDRMESECVAFRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREVLPVPSSSSFLSLLVLPTALDRANSRYNSVDDTLARANAWFLSSQASGVPVAFLNVQTEALLTKISGDMASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRLWYTAAAGEMPVEITLEEGDTKLGFAISRTEEGFLYISSVMEDDGDRPAPSTRSGLRDLYREAKRASKLLVISRVSCHKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDLNTGAPARQPGPCPTPQPSPEFAELLRQNSFSWVDQQAQADGGEQGVIQGRDTAGDASFRFHNFSLPNNWV* >Brasy4G141000.1.p pacid=40087078 transcript=Brasy4G141000.1 locus=Brasy4G141000 ID=Brasy4G141000.1.v1.1 annot-version=v1.1 MSLLLRVNQLRNVVWSSCPVMLQMQYAVLPSGKSFGPRSYEKRHFTTRVQTLETAVMDAPGTGLGSCNMDTTGTGLGSCNSGIQQLKLQRTSEHGQSATILVFDIETTGFLDKNNNNRIVELALRDLSGGKNSTFETLINPEMDIPTFVEKVHKISTKMVRRHDIPSKFVFRVLINFHGSKAVFLSTYFSAILCILFGDVLPLLLAFVQSRQTPGKPVIWVAHRAKAFDAPFLAREFDRCSVQMPTDWLFVDSVCLARKLPKLPKSSDGKKHLLNLEALRKHYGIRMEGSAHRAMQDVTTLCQVFQKITFDLNLSYEGLMNDATKSSAFSKVS* >Brasy4G030200.1.p pacid=40087079 transcript=Brasy4G030200.1 locus=Brasy4G030200 ID=Brasy4G030200.1.v1.1 annot-version=v1.1 MGTPRRPRPQLARINAMRNSSYSAEGEDGDDLVAYGELQLGGASGGGGGRGYPPQPSFRIRGGRGGAEEVAELLRKLGLSSTDDFTIPPAVYAAAMSHIPNAARRRASLEKQERIPAAGPEGSSPSTSEVPEISGHGVVVAARMERAAEGDDGKLVQPETIQVNARNYQGPRAEPSRKLVELEIVETSTRVITAASKPENAVQDKEADKIAKADTVREERTRGVVVEATRETTGALPLVVAAESTSLDIERLFSPSPNRRFRRTITSWVKGGHIGSGSFGSVYEAMSDDGFFFAVKEVSLVDQGINAKQRIIQLEHEVSLLSRLEHDNIVQYYGTDKEDGKLYIFLELVSQGSLAALYQRYCLQDSQVSAYTRQILNGLNYLHQRNVLHRDIKCANILVDANGSVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTCKVPYPDMEWTHALLKIGRGIPPKIPDRLSEDARDFIAKCVQANPKDRPSAAQLFDHPFVQRPLQH* >Brasy4G030200.2.p pacid=40087080 transcript=Brasy4G030200.2 locus=Brasy4G030200 ID=Brasy4G030200.2.v1.1 annot-version=v1.1 MGTPRRPRPQLARINAMRNSSYSAEGEDGDDLVAYGELQLGGASGGGGGRGYPPQPSFRIRGGRGGAEEVAELLRKLGLSSTDDFTIPPAVYAAAMSHIPNAARRRASLEKQERIPAAGPEGSSPSTSEVPEISGHGVVVAARMERAAEGDDGKLVQPETIQVNARNYQGPRAEPSRKLVELEIVETSTRVITAASKPENAVQDKEADKIAKADTVREERTRGVVVEATRETTGALPLVVAAESTSLDIERLFSPSPNRRFRRTITSWVKGGHIGSGSFGSVYEAMSDDGFFFAVKEVSLVDQGINAKQRIIQLEHEVSLLSRLEHDNIVQYYGTDKEDGKLYIFLELVSQGSLAALYQRYCLQDSQVSAYTRQILNGLNYLHQRNVLHRDIKCANILVDANGSVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTCKVPYPDMEWTHALLKIGRGIPPKIPDRLSEDARDFIAKCVQANPKDRPSAAQLFDHPFVQRPLQH* >Brasy4G073300.1.p pacid=40087081 transcript=Brasy4G073300.1 locus=Brasy4G073300 ID=Brasy4G073300.1.v1.1 annot-version=v1.1 MMGTALLSLPRSSSSPAVSPCHISPGRRSAAAQRHRPSFPSNPAAGICYASQAVELLPSFYPGVVVRDARLEDCWEVADTHCGSFFPGYKFPLDVVLRIDRYIALLSGFSVPPGCTRTCLVAVNPTAVNNAISIECGDLRDAEFHGKYGLSKGSIAGILTVDTVADYLPRKGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEARARSWGCRSVALHCDVSNLAALRLYKNQGYKCIRVPEDAKWPAPKIAPGVRYNFMMKLVPKM* >Brasy4G258800.1.p pacid=40087082 transcript=Brasy4G258800.1 locus=Brasy4G258800 ID=Brasy4G258800.1.v1.1 annot-version=v1.1 MGLYTNMLSEGYSEEAWGQNLSSPMGMYTTNHTTMQAEVPTPPVKANNKRKGNFTDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYDSHKPEGSDRNVNSLSHRWSAVKEQVSRFCGCYEQIMHRRESGKTEQDKIVDALKLFKSQDKTHKEFVLMHCWNMLRFEQKWLAQVNRSSQSNKKQKSSSNTSPSMSTQETNTIHLDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKRTELENAREMARETAREAREMAREASKKERYAGALAMEQRKIEIEERKMEMEIMNKDLSSFDDDQKEYYKMLRRDIIDRRSKRSI* >Brasy4G271200.1.p pacid=40087083 transcript=Brasy4G271200.1 locus=Brasy4G271200 ID=Brasy4G271200.1.v1.1 annot-version=v1.1 MAALLSAVAVLAVLHAVLLQCGTTVAAAAAAATCAGAVPARPRAEVVPITEFGGVGDGRTLNTWAFRKAVYRIQHQRRRGGTTLLVPAGAWLTGSFNLTSHMTLFLARGAVLKATQDTRSWPLVEPLPSYGRGRELPGARYASFIHGNGLRDVFITGDKGVIDGQGDVWWNMWRRRTLQHTRPNLVEFMHSSGIHISNIVIKNSPFWNIHPVYSDNVVITNMIILAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDAVAIKSGWDEYGIAYGRPSSSITIRRVRASSPFSGVAIGSEASGGVSGVRVEDCSVFDSGYGIHIKTNAGRGGYIRNITVDGVRMRGVREGIRVAGDVGDHPDGRFSPGALPDVEDVTVRNVWGVGVRRPGSLEGIRDAPFTRICLSNVKLFGAGGRRDAAAAWKCRDVRGAALGVLPWPCAELTARFASGSCSR* >Brasy4G271200.2.p pacid=40087084 transcript=Brasy4G271200.2 locus=Brasy4G271200 ID=Brasy4G271200.2.v1.1 annot-version=v1.1 MCVPQVRPPPHHYPSPVEIGLRHCLRELRAVQSSVAVPFLSFPPSQTTPPPRPFLGRRHCVDLPLRQELDSGAASVSSAPSRGVLRCLPQAFPCPETLPRRTPSSAAACRRRWTAAGLLRAVRCHLEVHGDKGVIDGQGDVWWNMWRRRTLQHTRPNLVEFMHSSGIHISNIVIKNSPFWNIHPVYSDNVVITNMIILAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDAVAIKSGWDEYGIAYGRPSSSITIRRVRASSPFSGVAIGSEASGGVSGVRVEDCSVFDSGYGIHIKTNAGRGGYIRNITVDGVRMRGVREGIRVAGDVGDHPDGRFSPGALPDVEDVTVRNVWGVGVRRPGSLEGIRDAPFTRICLSNVKLFGAGGRRDAAAAWKCRDVRGAALGVLPWPCAELTARFASGSCSR* >Brasy4G414500.1.p pacid=40087085 transcript=Brasy4G414500.1 locus=Brasy4G414500 ID=Brasy4G414500.1.v1.1 annot-version=v1.1 MAEEEFDEREMEVAAILVDLPSLVLARAGLRRRRQQKSPLGPEILSWGRRRPRTARPEPAVAAEEEKPAAAVAAAADSAAGEDRGEGAASPDTPLAFPEHTDADEEEAPAEETKELAHKKWVQEQRGVVASLSSENAHLSKQIEQFKARLLASKSTNDSLKQLQQQQTKQQQHKKRNRPEEEDEAARKQKWAAAGDRPALDLNEPAAAEEAEDGKPQPQQPHRGQQELLQKAALSAAARRRRQDIRRAKAAAAGGRTRGPRG* >Brasy4G003600.1.p pacid=40087086 transcript=Brasy4G003600.1 locus=Brasy4G003600 ID=Brasy4G003600.1.v1.1 annot-version=v1.1 MAELALGLTRKAVEVTLGRVTSALEKEAEVNEQVEQDLAFITGEFQMMQSFLGVVTNAERANDQVLRTWVRQLRDLAFDVEDWVELVVHLEDDRWAWWRRVVPSCVTQLVLPPRPLDEAADEIKLLKARVEEVSQRYTRYNLTSSDDSKTAEEQPASASVPAFTILREAWETECGLGLHDLITTSTAADDDLGVIPVWSSAGGAAAALLSEILIRAYGDCQEFKRRAWVKLTDPFDRHGLCKSLLAQFYPDDDADGTINSQQQQVVDINHCLQRLNKDKYLVILEQVSSVAQWDAIRNCLLDSNNGSRIVVSTTQLGVALFCTGEPHTVLSDLSWSSDGQSLCAVFRNKGMEGDMDGENAARPGNEDGPEGILNMDSNSENENKKNGEKKVRSAAFRRKEARDEKKQILGRASELSSLQNRLRRKLVAFVSVWGIAGVGKSAFVRSIYHNQEEEADFAMFSWVDVPAKFHLTDLCWHLLLDFHSNNFENKDTAAIGIMEGRDPVQECCKILRQNRCLVVIDGLRSTHDCDLIKHAFSSEINTNKSCIVVISNAKNVAEDVIRDVAGSKVLIIKSLPADVARSIFRQEAPKHINRLNSDGMARLTDGAIAASGGLPKVIVALGKELESATSDWHAREILDKYRRIGNLRGVFSWMHSYFDACSDSLKPCIFYLSVFPINQDIRRRRVVTRWIAEGYSRDRFGGTAEDWDISRRSSDATTADENGEKYFSDLIELSIIQQFTTSNVCQINSFFHEYITSRPMEDNLVCALEGHCKLNSQRTGQHLTIRRDWDRDMNVFQSIDFTRLRSLTVFGKWKSFLIPDKMERLRVLDLEDADGVEDGHLDKMVKQLTRLKFLSLRGCKRVNRLPDSLGGMRQLQTLDVKHTPIAVLPPEICKLAMLQYIRAGNTEPWYEGDGMVPIQPRADDDDWNTTPLQEGDGRGVEVPTRIGNLTALHTLGVVNVSQLLGLEGLKKLTQLHRLKVSGIKRGNIKQFFCAISGHRHLESLSVRLEENMQPGILATLAPPPKTLRNLKLYGEHAPNLKLWIELFQSVKLWDIEMCVTRGEDLQFLDELLVHKKKVLRRLCVKLVRDDLDHLDLCLPDCQSVKFQNLKIDCRSKCKVTFGKSKLVEVLKIRCSSGSDLQVCELVNLTSLKEVWLMGTCGDALKQGLKQQLKGERPVVKLVQSQPCHDGC* >Brasy4G003600.4.p pacid=40087087 transcript=Brasy4G003600.4 locus=Brasy4G003600 ID=Brasy4G003600.4.v1.1 annot-version=v1.1 MEGDMDGENAARPGNEDGPEGILNMDSNSENENKKNGEKKVRSAAFRRKEARDEKKQILGRASELSSLQNRLRRKLVAFVSVWGIAGVGKSAFVRSIYHNQEEEADFAMFSWVDVPAKFHLTDLCWHLLLDFHSNNFENKDTAAIGIMEGRDPVQECCKILRQNRCLVVIDGLRSTHDCDLIKHAFSSEINTNKSCIVVISNAKNVAEDVIRDVAGSKVLIIKSLPADVARSIFRQEAPKHINRLNSDGMARLTDGAIAASGGLPKVIVALGKELESATSDWHAREILDKYRRIGNLRGVFSWMHSYFDACSDSLKPCIFYLSVFPINQDIRRRRVVTRWIAEGYSRDRFGGTAEDWDISRRSSDATTADENGEKYFSDLIELSIIQQFTTSNVCQINSFFHEYITSRPMEDNLVCALEGHCKLNSQRTGQHLTIRRDWDRDMNVFQSIDFTRLRSLTVFGKWKSFLIPDKMERLRVLDLEDADGVEDGHLDKMVKQLTRLKFLSLRGCKRVNRLPDSLGGMRQLQTLDVKHTPIAVLPPEICKLAMLQYIRAGNTEPWYEGDGMVPIQPRADDDDWNTTPLQEGDGRGVEVPTRIGNLTALHTLGVVNVSQLLGLEGLKKLTQLHRLKVSGIKRGNIKQFFCAISGHRHLESLSVRLEENMQPGILATLAPPPKTLRNLKLYGEHAPNLKLWIELFQSVKLWDIEMCVTRGEDLQFLDELLVHKKKVLRRLCVKLVRDDLDHLDLCLPDCQSVKFQNLKIDCRSKCKVTFGKSKLVEVLKIRCSSGSDLQVCELVNLTSLKEVWLMGTCGDALKQGLKQQLKGERPVVKLVQSQPCHDGC* >Brasy4G003600.3.p pacid=40087088 transcript=Brasy4G003600.3 locus=Brasy4G003600 ID=Brasy4G003600.3.v1.1 annot-version=v1.1 MEGDMDGENAARPGNEDGPEGILNMDSNSENENKKNGEKKVRSAAFRRKEARDEKKQILGRASELSSLQNRLRRKLVAFVSVWGIAGVGKSAFVRSIYHNQEEEADFAMFSWVDVPAKFHLTDLCWHLLLDFHSNNFENKDTAAIGIMEGRDPVQECCKILRQNRCLVVIDGLRSTHDCDLIKHAFSSEINTNKSCIVVISNAKNVAEDVIRDVAGSKVLIIKSLPADVARSIFRQEAPKHINRLNSDGMARLTDGAIAASGGLPKVIVALGKELESATSDWHAREILDKYRRIGNLRGVFSWMHSYFDACSDSLKPCIFYLSVFPINQDIRRRRVVTRWIAEGYSRDRFGGTAEDWDISRRSSDATTADENGEKYFSDLIELSIIQQFTTSNVCQINSFFHEYITSRPMEDNLVCALEGHCKLNSQRTGQHLTIRRDWDRDMNVFQSIDFTRLRSLTVFGKWKSFLIPDKMERLRVLDLEDADGVEDGHLDKMVKQLTRLKFLSLRGCKRVNRLPDSLGGMRQLQTLDVKHTPIAVLPPEICKLAMLQYIRAGNTEPWYEGDGMVPIQPRADDDDWNTTPLQEGDGRGVEVPTRIGNLTALHTLGVVNVSQLLGLEGLKKLTQLHRLKVSGIKRGNIKQFFCAISGHRHLESLSVRLEENMQPGILATLAPPPKTLRNLKLYGEHAPNLKLWIELFQSVKLWDIEMCVTRGEDLQFLDELLVHKKKVLRRLCVKLVRDDLDHLDLCLPDCQSVKFQNLKIDCRSKCKVTFGKSKLVEVLKIRCSSGSDLQVCELVNLTSLKEVWLMGTCGDALKQGLKQQLKGERPVVKLVQSQPCHDGC* >Brasy4G003600.2.p pacid=40087089 transcript=Brasy4G003600.2 locus=Brasy4G003600 ID=Brasy4G003600.2.v1.1 annot-version=v1.1 MEGDMDGENAARPGNEDGPEGILNMDSNSENENKKNGEKKVRSAAFRRKEARDEKKQILGRASELSSLQNRLRRKLVAFVSVWGIAGVGKSAFVRSIYHNQEEEADFAMFSWVDVPAKFHLTDLCWHLLLDFHSNNFENKDTAAIGIMEGRDPVQECCKILRQNRCLVVIDGLRSTHDCDLIKHAFSSEINTNKSCIVVISNAKNVAEDVIRDVAGSKVLIIKSLPADVARSIFRQEAPKHINRLNSDGMARLTDGAIAASGGLPKVIVALGKELESATSDWHAREILDKYRRIGNLRGVFSWMHSYFDACSDSLKPCIFYLSVFPINQDIRRRRVVTRWIAEGYSRDRFGGTAEDWDISRRSSDATTADENGEKYFSDLIELSIIQQFTTSNVCQINSFFHEYITSRPMEDNLVCALEGHCKLNSQRTGQHLTIRRDWDRDMNVFQSIDFTRLRSLTVFGKWKSFLIPDKMERLRVLDLEDADGVEDGHLDKMVKQLTRLKFLSLRGCKRVNRLPDSLGGMRQLQTLDVKHTPIAVLPPEICKLAMLQYIRAGNTEPWYEGDGMVPIQPRADDDDWNTTPLQEGDGRGVEVPTRIGNLTALHTLGVVNVSQLLGLEGLKKLTQLHRLKVSGIKRGNIKQFFCAISGHRHLESLSVRLEENMQPGILATLAPPPKTLRNLKLYGEHAPNLKLWIELFQSVKLWDIEMCVTRGEDLQFLDELLVHKKKVLRRLCVKLVRDDLDHLDLCLPDCQSVKFQNLKIDCRSKCKVTFGKSKLVEVLKIRCSSGSDLQVCELVNLTSLKEVWLMGTCGDALKQGLKQQLKGERPVVKLVQSQPCHDGC* >Brasy4G186000.1.p pacid=40087090 transcript=Brasy4G186000.1 locus=Brasy4G186000 ID=Brasy4G186000.1.v1.1 annot-version=v1.1 MADRHWIYFGHLVKVAVVFNRHWKSELSVVWGTCFPDGALGRGSSGAGADAGLLGTGLGMAGFEASWGLGGDGGFWSPLSSLQSNSATPGEAPSGDGDVSCRPGGDGPWRGDGDGDGEGSVPRTTNLLGQMT* >Brasy4G161000.1.p pacid=40087091 transcript=Brasy4G161000.1 locus=Brasy4G161000 ID=Brasy4G161000.1.v1.1 annot-version=v1.1 MEATSGADEWEIENDDGFVYKSPRVLFPVGGEDAGAAAASSTPASAAKSVRLQRRRRALLRLRAKYLAELSQWESLSSDLVPVPTPSAAPSRAPSVTPRPVTASAATISADHTVLDDLIAEVEVQGETFKKASQMCDEITEFCNDCEAAIVDAVTTLSAWGNPRELMKSLCSQDEQPTVLPAQGDPRELMNLLSSPAE* >Brasy4G161000.2.p pacid=40087092 transcript=Brasy4G161000.2 locus=Brasy4G161000 ID=Brasy4G161000.2.v1.1 annot-version=v1.1 MEATSGADEWEIENDDGFVYKSPRVLFPVGGEDAGAAAASSTPASAAKSVRLQRRRRALLRLRAKYLAELSQWESLSSDLVPVPTPSAAPSRAPSVTPRPVTASAATISADHTVLDDLIAEVEVQGETFKKASQMCDEITEFCNDCEAAIVDAVTTLSAWGNPRELMKSLCSQDEQPTVLPAQGDPRELMNLLSSPAE* >Brasy4G235700.1.p pacid=40087093 transcript=Brasy4G235700.1 locus=Brasy4G235700 ID=Brasy4G235700.1.v1.1 annot-version=v1.1 MAWRSNLSRGVKELRFLFCQSSPASAATRDFVQKNYGEIKSLNPALPILVRDCSGVQPQLWARYDKGVERCVKLDGLTEAQINKKLEELAKAGKAI* >Brasy4G235700.2.p pacid=40087094 transcript=Brasy4G235700.2 locus=Brasy4G235700 ID=Brasy4G235700.2.v1.1 annot-version=v1.1 MAWRSNLSRGVKELRFLFCQSSPASAATRDFVQKNYGEIKSLNPALPILVRDCSGVQPQLWARYGAGRWVLMRSLLIDGDYYY* >Brasy4G076900.1.p pacid=40087095 transcript=Brasy4G076900.1 locus=Brasy4G076900 ID=Brasy4G076900.1.v1.1 annot-version=v1.1 MPRPEVQAPPEIFYNEAEARKYTTSSRIIEIQARISERALELLALPDDGVPKMLLDIGCGSGLSGETLTEHGHHWIGCDISKSMLDVALERETEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMLVSFAMRAGFAGGVVIDWPHSSKAKKSYLVLTCGAPSVNTSLPKGKGQDGEACSDDDDDSDDDQTVGTYGRDRMKKRQKVNKNNRGKDWLLRKKEQMRKRGRDVPADTKYTGRKRKSRF* >Brasy4G089400.1.p pacid=40087096 transcript=Brasy4G089400.1 locus=Brasy4G089400 ID=Brasy4G089400.1.v1.1 annot-version=v1.1 MATELTAAQLRAYDGSDASKPIYVSIRGKVYDVTTGRGFYGPGGDYALFAGREASRALAKMSKDSADVSGDLSGLSDKELGVLADWETKFQAKYPVVASLK* >Brasy4G266500.1.p pacid=40087097 transcript=Brasy4G266500.1 locus=Brasy4G266500 ID=Brasy4G266500.1.v1.1 annot-version=v1.1 MHVQEGGYPRITTPHVDTQKLPFTSLMSMVFLSSLVSSLSIIWDILQCLSNSTAGVCCCSFATPFAGYCCCNHYCLCCFGSCTLLGHVAFREQVFVP* >Brasy4G082300.1.p pacid=40087098 transcript=Brasy4G082300.1 locus=Brasy4G082300 ID=Brasy4G082300.1.v1.1 annot-version=v1.1 MLRLLCIKHDDAVGVQAGDKDDVHHPIRDDLVHLAQLDGHPVGVEVAEHGAVFRAVHMTAWRARWSARWRLSSAEATARCFAAFAGLSEERVASSVHAQVAMARQSSLFRLALLAAALMATARLKISLRTLTLPATKRTIDSIRSEPLACACGHGPPVPETSSPTEKDSRGRQGLPRRRPVGGGRDGRIRCVLAEDCQIRRSLAEHGRIRRAMAEDGRPSRARRPRTEAGERRSAAEEKGSAPLAGRARPDPSRHGRRRPAQPRAPAENRGGGEAVRGGGGGQRAVGYRGGGARENGGEGRRGRLGGEEMGRGGSGPRRGDGARGETYEHRCNYGVTYDGLTKL* >Brasy4G232800.1.p pacid=40087099 transcript=Brasy4G232800.1 locus=Brasy4G232800 ID=Brasy4G232800.1.v1.1 annot-version=v1.1 MVCFLLLLSFLLLMPLSSTATSQICGANGGNYTANSTYEANLAVLAATLPDNTSSSPQLFAAATAGQQAGPDAVHALALCRGDFANDTACRDCVAASFQDARQACPYHKTAAVYYDYDNNSQKPGCLLGFSNENDFLSPAASIADNGTLFESWTNQQQPQNISGADDFAADVQELLNGTAHDAAAATNRRFATAIMDSVSGGGGIRRTLYSLAQCTPDLSAGDCLACLQRIVGMVDATRSGGGRVLLLRCNIRFEAFMFFDQPMRRIIPSSSRAPPAPAPAGNSKYVWFTSC* >Brasy4G011400.1.p pacid=40087100 transcript=Brasy4G011400.1 locus=Brasy4G011400 ID=Brasy4G011400.1.v1.1 annot-version=v1.1 MELKAGYEAEKNSQQHLTDFAAVRARHEWWMKRVDLLSPILRRYERRAMRCYIKRVNAFLLGAPKKGRPVQKLRLQSLKTSRTNIDQWVAAAIARCGVEELELAFEDFGCLYDFRLLDGLQNLRLKRLVLSNCYHNLASSSSVFQRLTQLTLYRMSNLGRVCDVVANCVQLVDLRLRHSCIDYACFRIDAPASKLKKLQLDRCKIRKIYLHSLPCLETFAFRGQPAKLYYVEVPRLRHVSLNFLQEIGDDSGSKDGSSSSSSMTYPLSKFFKGWIPPLEYLVLQLKGSQIDNSSSAEKMSVGLDVQVEHREYHLLKELVVIGFDGAGWQTGFVKRIVRASPRLRRVHLLDGRVVEDDDELGDLGMVPRWREWHECERSEVLDDLADGFPLQRLEIILE* >Brasy4G155500.1.p pacid=40087101 transcript=Brasy4G155500.1 locus=Brasy4G155500 ID=Brasy4G155500.1.v1.1 annot-version=v1.1 MGSEAAAAAIDLLRSAAPVLPDGDLLLTPRAAPAAGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVEESARLAKVFCERKWPVFAFLDTHYPDKPEPPFPPHCIVGSGEENFVPALEWLENDPNVTIRRKDCIDGYLAAFEKDGSNVFSDWIAKFKIKTVLVLGICTDYCVLDFASSTLAARNIGRVPPLEDIVIYSEGCATFDLPVEVAKSIKGALAHPQDLMHHMGLYMAKSRGAKIVDRIILEG* >Brasy4G324200.1.p pacid=40087102 transcript=Brasy4G324200.1 locus=Brasy4G324200 ID=Brasy4G324200.1.v1.1 annot-version=v1.1 MLQPTTQASPQAGQPPPGLHVHRPAAISPSTCASAASRRLHHLRLSSSQHTSSLHSLRNMSSSSTNSRPAAPPAHQQQQATPVPPPPTGVRVQSQRRLPLLPAPSSLVVSFFSFFRRCRARTPTAPEPLAVVGHLHLLLLLPQEQEPPLALAPLQEPLEETQGRILLETPRGIRLSTRMRTKGTLSGSPRPSSAAAAPRQSTPTLSLSAAACRTTPRGAASGGAATVEAGGGCSRGGGAGERRRLLPGVHRRAAAAGGSTQLETGRGCVWRRAMAEWGIEKEGKGQANQAENWFAYEGQTTCAGDATVCPGADAVFHMGSPPPISKPPPENTSIDEAAVTISTAYRDDPSHENNREPRDAIAISPQEVHMRQYWPGHLHEPAYHTITGPLDTKSVERADLSTTTADGHTPADEHPSTPGKPPPIPNSPWSCLQLPSGGCAHHLCKDIGG* >Brasy4G313500.1.p pacid=40087103 transcript=Brasy4G313500.1 locus=Brasy4G313500 ID=Brasy4G313500.1.v1.1 annot-version=v1.1 MSSSSSSSSAVFNLQDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLYKTVAVRCGHCANLLSVNLRGLLLPPAAPPANQPQFSHSLLSPTSPHGLLDDVAFQTPSLLMDQASGNNLSSGGFTGRSNSSCASNMPAMPMPAATKPAQQETEQTTKTAPSANKPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGFKRSFVKAQDGAEDMLLKDSLYAAAAAAAANMGVNPF* >Brasy4G380300.1.p pacid=40087104 transcript=Brasy4G380300.1 locus=Brasy4G380300 ID=Brasy4G380300.1.v1.1 annot-version=v1.1 MQPHHYSYWSRFPVAFIGLAVVLLISLPSPTSSCTEQEKSSLLQFLAELSQDGSLTASWRRNGTDCCTWEGITCGLNGTVTDVSLASRGLEGSISPFLGNLTGLSRLNLSHNLLSGGLPLELVSSSSITVLDVSFNRLTGGLSELPYSTPPRPLQVLNISSNLFTGRFPSTIWEVMKSLVALNASTNSFTGQIPTIPCVSAPSFAVLEISFNEFSGNVPKGLSNCSVLKVLSAGSNNLTGTLPDELFKVTSLEHLSLPGNLLEGALNGIIRLTNLVTLDLGGNDLSGSIPDAIGELKRLEELHLEHNNMSGELPSSLSNCTNLITIDLKNNHFSGELTKINFVSLPNLKNLDLLYNNFTGTIPESLYSCSKLTALRLSQNNFHGQLSDRIGNLKSLSFLSLVRSSLTNITRTLQILRTSSNLTTLLIGFNFMHETMPEVDSIDGFENLQVLSINDCSLSGQIPLWLSKLTNLEMLFLHNNQLTGPIPDWISSLNFLFYLDISNNSLRGEIPSALMDMPMLKSDKTAPKIGQLKALISLNLSSNTLSGEIPESISNLTNLQVLDLSVQLKHLQSPRNGIKRMVSLHLHLVYFLEGLPSFSC* >Brasy4G067200.1.p pacid=40087105 transcript=Brasy4G067200.1 locus=Brasy4G067200 ID=Brasy4G067200.1.v1.1 annot-version=v1.1 MARQALTNGFLSCFMHVGLALVLLVYLPVAFLCRLVHRLLVRPFAAGEDLRGKVVLITGASSGIGEHLVYEYAKKGACVALVARTEIALRAVAKAARELGAADTLVVPADITNVDEAKRAVEETVAHFGKLNHLVANAGVWSSCFFEEITNIAAFHDVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILVPGYVVSNLTMGKGIQKDGNVGFDEEARDINVGPLPVGKTETLAKVVVASVRRGDSYVTWPGWYWPFHMVMCAAPELVDWFSRAFYVSKSSDKDSQALSKKILMAVGGKKFLYPKNIHSQVMTQN* >Brasy4G099400.1.p pacid=40087106 transcript=Brasy4G099400.1 locus=Brasy4G099400 ID=Brasy4G099400.1.v1.1 annot-version=v1.1 MDPDVLWSQLTDSAPRYLVARFNIGKVVVSDHVVAFRAWRGTEPRICARGPPQTCAPPVELELCADDIEFFQGMLYVVNTKYVLSPTYASRHHHRELHVLEPTGDPPRPVLCIPGTTTGACSTGRYKHYYYLVVSGDRLLMVDREIEFHMLSGKYIRTRRLEVFEATDLHNGVGNGRWTKVDNLMGHALFVSQDCSRSLPVANQYQDCVYFLSEHELDDLANGRKPEDDFLESGVYNIREQTLAPLPMEMKTTVVSHAGPWSLSWFFCPKTDPVYAIHITNSIFVGFAFVFCFGFCVVNFDPLVEMIYL* >Brasy4G185900.1.p pacid=40087107 transcript=Brasy4G185900.1 locus=Brasy4G185900 ID=Brasy4G185900.1.v1.1 annot-version=v1.1 MGSLCSKERVRDPPLPAPAPADIRGLHKAPSQSLKQLITLTAKEDSAVVAPVVHAVIARSESSVKPRPKPQPQPQPAAEKKTPVVVIASLNKSYSTAGAAPPTHHRRATVDAAASRNPAQDAADDNSPYGAVPQGFSGEHVIAGWPSWLTSVAGEVVHGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIRLEGIVTSRLSHSLYLVFEYMEHDLAGLASIPGLRFTEPQVKCFMTQILDGLHHCHSRGVLHRDIKGSNLLIDDNGVLKIADFGLATFFDPAKPKPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRRIAETFRDFPPTGLDLLDTLLAIEPSDRGTAASALDSEFFRTKPLACDPSSLPKHPPSKEYDAKLRGKEAMMRQNAAAIGGKGSISVKPGRNDPMPKAAPAQEAIGGDHQRRQQASAARVNTKSSSHHYTALEDSVAGFRMEPPAAPAPSNVQMQMQSAGQFGSTWYRKDEHHQRGGGMKRTASSLRVSNLPAAHHLTSQRSCAPARGGTDLHPSSSAVRNTNSKYNRLDVAEPANALDRPGPAAGKKDHLGIRDAPSAYQGQGFGGRNRRMNYSGPLVPPGGNMDDMLKEHERQIQQAVRKARVDKERTNNSRNHY* >Brasy4G039200.1.p pacid=40087108 transcript=Brasy4G039200.1 locus=Brasy4G039200 ID=Brasy4G039200.1.v1.1 annot-version=v1.1 MEPRPLAALCVVLALVVAAAAVPAEELETEAMSAYIVHVAPGHAAKLPLRGLHTTRAYASFMRAHIPVEIMSSAKPKVLYSYSHAAAGFAARLTSRQAEHLASVSSVLAVVPDTMHELHTTLTPSFLGLSESSGLLQASNGATNVVIGVIDTGIYPIDRASFAADPSLPPPPSKFRGSCVTTPSFNGSAYCNNKLVGAKFFSKGQRFPPDDTPLDTNGHGTHTASTAAGSAVAGAAFFDYARGKAVGIAPGARIAAYKVCWEAGCASIDILAAFDEAIADGVDVISVSLGAVGQAPEFYDDLTTVGAFSAVRKGIVVSASAGNAGPGEKTAVNIAPWILTVGASTINRVFPADAVLGNGETFTGTSLYAGKPLGSAKLPLVYGGDVGSNVCEAEKLNATKVAGKIVLCDPGVNGRAEKGEAVKLAGGAGAILASTEEFGEQAMTSPHVLPATAVPFAAAEKIKKYISTQKSPVATIVFRGTVVGRSPPSPRMASFSSRGPNLHAPEILKPDVTGPGVDILAAWTGASSPSGLESDKRRVKFNIISGTSMSCPHVSGIAALLRQARPKWSPAMIKSALMTTAYNVDSSGGIIGDMATGKESTPFARGSGHVDPNRAADPGLVYDAGTDDYVNFLCALGYTDEQVAIVTRDATSCSTRSKGAAVGDHNYPAFAATFTSSKFTVTQRRVVRNVGSNVDATYRVKVTTPAGTRVTVSPQTLRFSKTRETLEYEVTFAQRIIGTVAEKHAFGSIEWSDDGGEHKVTSPIAITWPTPATQVAEM* >Brasy4G014600.1.p pacid=40087109 transcript=Brasy4G014600.1 locus=Brasy4G014600 ID=Brasy4G014600.1.v1.1 annot-version=v1.1 MTEMAENWIEGSKHTSAPASFSGSVPRYQTSLPRKPRQRNIFHLLSHREVSPRTKHQAKRHWSKPSTGHTGFTELRYLASDAKHDLFSWAESQSLHRWSAKYCPLQPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGKCLKVLGGHRRTPWVVRYHPLHSDILASGSLDYEVRLWDANTSDCIGSHDFHRPIASIAFHARGEILAVASGHKLFIWKYNNREEASAPSIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDAADSQLTRATSTGYSNYPSALFFANVNSRGYPHLESNMSSPCLIWPAFLRDDGSLYIPRSDLASSSTNVQQSSPSLAQNLLASDAENQQSDQFVTPMDICPGEPSASNDIVDNASAAGLSAIQMHAARGQTDSRLQGSSSSSSLERSSARDDVPMASTSTSVPIPAIARPSGPAVNRLPTNSFTTSSGLDVQMFLRNSEGGNHHHDLFSDSRSWELPFLHGWLMAQNHTGVPSSIPIATGSTRGSNRHYDSRPHAFSVPGVGSSLLGPQIDEAEAHVASLGVGSELTTSLFAAGAAELPCTVKLRVWRHDIKNPCVALETAACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGSQLPVQYDSTGPGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDGETTGIPVYTILEVYRVSDMELIRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADAASMGLNCFIEENMLEGTHLYAAYPTS* >Brasy4G014600.4.p pacid=40087110 transcript=Brasy4G014600.4 locus=Brasy4G014600 ID=Brasy4G014600.4.v1.1 annot-version=v1.1 MTEMAENWIEGSKHTSAPASFSGSVPRYQTSLPRKPRQRNIFHLLSHREVSPRTKHQAKRHWSKPSTGHTGFTELRYLASDAKHDLFSWAESQSLHRWSAKYCPLQPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGKCLKVLGGHRRTPWVVRYHPLHSDILASGSLDYEVRLWDANTSDCIGSHDFHRPIASIAFHARGEILAVASGHKLFIWKYNNREEASAPSIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDAADSQLTRATSTGYSNYPSALFFANVNSRGYPHLESNMSSPCLIWPAFLRDDGSLYIPRSDLASSSTNVQQSSPSLAQNLLASDAENQQSDQFVTPMDICPGEPSASNDIVDNASAAGLSAIQMHAARGQTDSRLQGSSSSSSLERSSARDDVPMASTSTSVPIPAIARPSGPAVNRLPTNSFTTSSGLDVQMFLRNSEGGNHHHDLFSDSRSWELPFLHGWLMAQNHTGVPSSIPIATGSTRGSNRHYDSRPHAFSVPGVGSSLLGPQIDEAEAHVASLGVGSELTTSLFAAGAAELPCTVKLRVWRHDIKNPCVALETAACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGSQLPVQYDSTGPGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDGETTGIPVYTILEVYRVSDMELIRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADAASMGLNCFIEENMLEGTHLYAAYPTS* >Brasy4G014600.3.p pacid=40087111 transcript=Brasy4G014600.3 locus=Brasy4G014600 ID=Brasy4G014600.3.v1.1 annot-version=v1.1 MTEMAENWIEGSKHTSAPASFSGSVPRYQTSLPRKPRQRNIFHLLSHREVSPRTKHQAKRHWSKPSTGHTGFTELRYLASDAKHDLFSWAESQSLHRWSAKYCPLQPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGKCLKVLGGHRRTPWVVRYHPLHSDILASGSLDYEVRLWDANTSDCIGSHDFHRPIASIAFHARGEILAVASGHKLFIWKYNNREEASAPSIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDAADSQLTRATSTGYSNYPSALFFANVNSRGYPHLESNMSSPCLIWPAFLRDDGSLYIPRSDLASSSTNVQQSSPSLAQNLLASDAENQQSDQFVTPMDICPGEPSASNDIVDNASAAGLSAIQMHAARGQTDSRLQGSSSSSSLERSSARDDVPMASTSTSVPIPAIARPSGPAVNRLPTNSFTTSSGLDVQMFLRNSEGGNHHHDLFSDSRSWELPFLHGWLMAQNHTGVPSSIPIATGSTRGSNRHYDSRPHAFSVPGVGSSLLGPQIDEAEAHVASLGVGSELTTSLFAAGAAELPCTVKLRVWRHDIKNPCVALETAACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGSQLPVQYDSTGPGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDGETTGIPVYTILEVYRVSDMELIRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADAASMGLNCFIEENMLEVQRYALEG* >Brasy4G014600.2.p pacid=40087112 transcript=Brasy4G014600.2 locus=Brasy4G014600 ID=Brasy4G014600.2.v1.1 annot-version=v1.1 MTEMAENWIEGSKHTSAPASFSGSVPRYQTSLPRKPRQRNIFHLLSHREVSPRTKHQAKRHWSKPSTGHTGFTELRYLASDAKHDLFSWAESQSLHRWSAKYCPLQPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGKCLKVLGGHRRTPWVVRYHPLHSDILASGSLDYEVRLWDANTSDCIGSHDFHRPIASIAFHARGEILAVASGHKLFIWKYNNREEASAPSIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDAADSQLTRATSTGYSNYPSALFFANVNSRGYPHLESNMSSPCLIWPAFLRDDGSLYIPRSDLASSSTNVQQSSPSLAQNLLASDAENQQSDQFVTPMDICPGEPSASNDIVDNASAAGLSAIQMHAARGQTDSRLQGSSSSSSLERSSARDDVPMASTSTSVPIPAIARPSGPAVNRLPTNSFTTSSGLDVQMFLRNSEGGNHHHDLFSDSRSWELPFLHGWLMAQNHTGVPSSIPIATGSTRGSNRHYDSRPHAFSVPGVGSSLLGPQIDEAEAHVASLGVGSELTTSLFAAGAAELPCTVKLRVWRHDIKNPCVALETAACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGSQLPVQYDSTGPGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDGETTGIPVYTILEVYRVSDMELIRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADAASMGLNCFIEENMLEVQRYALEG* >Brasy4G142100.1.p pacid=40087113 transcript=Brasy4G142100.1 locus=Brasy4G142100 ID=Brasy4G142100.1.v1.1 annot-version=v1.1 MEVARLTEEQERALVLLKSLQELNFCVCHYLHLPAGLHTLPSLKRLKIHGCSDILRLPETGLPVSLEELEIIYCRKELNDRCMPLATSNLNVKIIPRDPSTHTTTFMARCFPQPRRTRRRCRAAVCWSACCARFEREGRSVKAIPTREPLHCQRRQRSSNPVSPSRLQFRLNLGIDHGLSCKRVRSCEPIFLLVLPIGVINLSAALKLLGTCSHRFTLSGAC* >Brasy4G132800.1.p pacid=40087114 transcript=Brasy4G132800.1 locus=Brasy4G132800 ID=Brasy4G132800.1.v1.1 annot-version=v1.1 MGQTHTDVQRITSELKRTIDSDKVHLEGQIAAGGNFSSTKSNTTSLKMLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G132800.5.p pacid=40087115 transcript=Brasy4G132800.5 locus=Brasy4G132800 ID=Brasy4G132800.5.v1.1 annot-version=v1.1 MGQTHTDVQRITSELKRTIDSDKVHLEGQIAAGGNFSSTKSNTTSLKMLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G132800.6.p pacid=40087116 transcript=Brasy4G132800.6 locus=Brasy4G132800 ID=Brasy4G132800.6.v1.1 annot-version=v1.1 MGQTHTDVQRITSELKRTIDSDKVHLEGQIAAGGNFSSTKSNTTSLKMLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G132800.2.p pacid=40087117 transcript=Brasy4G132800.2 locus=Brasy4G132800 ID=Brasy4G132800.2.v1.1 annot-version=v1.1 MGQTHTDVQRITSELKRTIDSDKVHLEGQIAAGGNFSSTKSNTTSLKMLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNATVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G132800.3.p pacid=40087118 transcript=Brasy4G132800.3 locus=Brasy4G132800 ID=Brasy4G132800.3.v1.1 annot-version=v1.1 MAAGGNFSSTKSNTTSLKMLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G132800.4.p pacid=40087119 transcript=Brasy4G132800.4 locus=Brasy4G132800 ID=Brasy4G132800.4.v1.1 annot-version=v1.1 MLLAKETSKEVESKSNPPSVVARLMGLEEDFPAKEPVLHHAKRDFRRSKSSNHLKETKKALQQHDSIQSVMKVIHPSCETIECNGVYEGCEVKARMNLFQDQSSQRGRCSENRSGTMDIVPEKFREGKCLATEENLLHSGGLQESLEVVSSEKDLFLKFRDEPNFILQRRMSGLHTTPASPQTKRITVLKPMRSVESNGGRQSRTERAIGQNGLEMRKLDERPSSKEGIPSLPSRIVLLRPTPGKPSMTKAKLTPKAIPFRQIEWNDFNGVLDDSGVTLGSRHRQYGFHQRDESLLSSRYSNGYGGDESSFTDSEIDCNGDSGVDYIEEDDGSFSDSEEDSAVLKYSWDHTRRYGSPYPGSSFSKISHFPESLVIKEAKQRLSERWAMVTCDEISEEQVQLPRRTRTLGEMLSLQEEKKEDSITGVHSVSSSRSCGTENELTTTAMYVATCRKLPRSKSVLAISKTSDNMVGKVQFPNTESCKPTAQVSNKGKPSFKGRVSDFFFPKRKPIRHKSTHHPSDCFDEMVEACFSDSQSDANHNVENNEEQVLCEEKIDISAIKKSISTSEGTASVDVPISLVCPSRELDILGLNEGLNSTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSVIEAVACSLPWDDASSESPSVGIPRLPCLPSDIDDDESECHVLVQNIMSSAGLDDTHSSMVFTGWHLPDCPVDPVLCNKLLALREQRSCQRLLFDCVNVALVEIGENALLSAFSWSKAHTRTWRYTSSPALGVEVWSILKDWIYGTRMFVVSKRDSTGIMMDRIVKQEVEGSGWVKMMMSQVVDITEQIEEGVLEELVGEALQDFVTCFQQ* >Brasy4G276200.1.p pacid=40087120 transcript=Brasy4G276200.1 locus=Brasy4G276200 ID=Brasy4G276200.1.v1.1 annot-version=v1.1 MHFLIAKYLSSSSSSRRRGRSSSKNTAAPSSEMPAAAAGEREREREHGCMAGCVPVAMRGGKRTSTAKVVTVTASTARTSRHGFVRSAASGLFRGGAAARGRYFTNHESLPTLPEARAEFAAAFPQYSAAGAGDGEEASSAADAIRAREYPHLARHACLDYTGVNLFSHAQMDSSSLPSTSSSSPAPAAWQPPFFDVAYRSATLRSQVEQCGGVDSGGIGGAVARRIMASMKMPEEEYVMVCAANRTSAFRLLAESYAFSSPNSGGRNTKKSKKKLLAVYDYESEAVGAMSAAARRRGAEVLHATFAWPSLRLHAADLRKKLLRGRHRGLMVFPLVSRMTGARHPYLWMSAAAERGWHVALDASAMGAKDLDTLGLSLLRPDFVVCNFFKVFGENPSGFAGIFVKKASLAALVEDSPAAAARSIGVVSLVPPRRWSLRDDYSTDLDLSRSFTNPDPPPPQSAEIDITSSFSGPLSTAAAAPATAEICEEEEAPPPPLPPRQEAEEEAGGKEEEEEVAVELRGLDHADSLGLITIGNRLRCISNWLVIAMQKLKHPHPEDVAIAGGGGGGQQLVRIYGPRVSFSRGPAVAFNVYDWKGEKVAPGMVQKLADRHAVSLTCGFLRNVSFADKYDADRSAVLERRRSGEREEEVWGIHVVNASLGFLSSFEDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV* >Brasy4G316500.1.p pacid=40087121 transcript=Brasy4G316500.1 locus=Brasy4G316500 ID=Brasy4G316500.1.v1.1 annot-version=v1.1 MHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEASCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKVKKSIPEQVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANMLINLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPTPQQMLIHQSSVHLSMIACRKMLMQGLHVSSFWDTHSSKGMKMLVWT* >Brasy4G314600.1.p pacid=40087122 transcript=Brasy4G314600.1 locus=Brasy4G314600 ID=Brasy4G314600.1.v1.1 annot-version=v1.1 MTRRTNHTASSSPPMNATAATMTTTASRSPPPTPADAWGPYSSSRAFFSNVATILIILACVSLLAFSLHAAVRFLLRRLARRRASASTSSHAQPPKPPSDDDAAAATGCSVEAGAASVELAGGWAEAECAICLSELADGGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRLSRAGEP* >Brasy4G342800.1.p pacid=40087123 transcript=Brasy4G342800.1 locus=Brasy4G342800 ID=Brasy4G342800.1.v1.1 annot-version=v1.1 MSFHYPDHGLAMDAAAAAAAASSPNPSFSPGGGGGLGVGVGVGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLVAAAGSAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSETNLFDGNDGSDGMGFGPLILTEGERSLIERVRHELKSELKQGYKEKLVDIREEIMRKRRAGKLPGDTAATLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSSNASSSSEKTKKKRNVTGNDGTEQSW* >Brasy4G342800.2.p pacid=40087124 transcript=Brasy4G342800.2 locus=Brasy4G342800 ID=Brasy4G342800.2.v1.1 annot-version=v1.1 MSFHYPDHGLAMDAAAAAAAASSPNPSFSPGGGGGLGVGVGVGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLVAAAGSAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSETNLFDGNDGSDGMGFGPLILTEGERSLIERVRHELKSELKQGYKEKLVDIREEIMRKRRAGKLPGDTAATLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSSNASSSSEKTKKKR* >Brasy4G408200.1.p pacid=40087125 transcript=Brasy4G408200.1 locus=Brasy4G408200 ID=Brasy4G408200.1.v1.1 annot-version=v1.1 ATPGAFLYLISQISYTRNTYPICPPQIRTLFGRFPKSHPLSFTCLYYIHICVSSSSSDEDNGNEDNQYEDNQYSSWILFQIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDFLLVSSGPNRSSTPCLVCSSNEVTTATETNGVASSCLSCFLAGGALYRFEYAVNPALFLAKARGGTCTLAATDANEVVVRRANYLLSNGFRCYSLFKNNCEDFAIYCKTGLLVAEQGSVGLGQSGQAVSIIGGPLAAVVSTPFRLVTTNVYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAANISQLVTPGQVQVPAVTTLVAA* >Brasy4G368500.1.p pacid=40087126 transcript=Brasy4G368500.1 locus=Brasy4G368500 ID=Brasy4G368500.1.v1.1 annot-version=v1.1 MAPARLIQHKKEALWFYSLISIGYDQVFNPGQYTDDMRDVSLVHADLHNRNLKVVDVGGGTGFTTVGIVRYVDPENVTILDQSPDQLKKARRKKALHGVKIMEGDAEDLPFPADTFDRYVSAGSIEYWPDPQRGIKEAYRVLNVGGVACMIGPVRPTFWLSRFFADMWMLFPTEEEYIEWFERAGFKDVKLNRIGPKWYRGARRHGLVIGCCVTGVKRESGDSPLKLGPKAEDVSKPGNPIVSFFRFLVGTICATYFFLVPIYMWIKDKIVPGGMPI* >Brasy4G242200.1.p pacid=40087127 transcript=Brasy4G242200.1 locus=Brasy4G242200 ID=Brasy4G242200.1.v1.1 annot-version=v1.1 MPRWKPQLTSSSIGRDSLVFLHRSPSTVLSRSFSLGLVPSVSLLRRCHRPEWEGKGSMPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGTIDVPLSGKAVSVVLVTCRLHGSILLVAFVKEIGILGSAMFLKAGALCLGVLQDLCFRVVPVYFVLKLLTRGVKCVSLECFFYILKSRVVFAHSGRFTDGR* >Brasy4G242200.2.p pacid=40087128 transcript=Brasy4G242200.2 locus=Brasy4G242200 ID=Brasy4G242200.2.v1.1 annot-version=v1.1 MPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGTIDVPLSGKAVSVVLVTCRLHGSILLVAFVKEIGERAVVADLQIV* >Brasy4G242200.6.p pacid=40087129 transcript=Brasy4G242200.6 locus=Brasy4G242200 ID=Brasy4G242200.6.v1.1 annot-version=v1.1 MPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGTIDVPLSGKAVSVVLVTCRLHGSILLVAFVKEIGERAVVADLQIV* >Brasy4G242200.5.p pacid=40087130 transcript=Brasy4G242200.5 locus=Brasy4G242200 ID=Brasy4G242200.5.v1.1 annot-version=v1.1 MPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGTIDVPLSGKAVSVVLVTCRLHGSILLVAFVKEIGERAVVADLQIV* >Brasy4G242200.3.p pacid=40087131 transcript=Brasy4G242200.3 locus=Brasy4G242200 ID=Brasy4G242200.3.v1.1 annot-version=v1.1 MPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGERAVVADLQIV* >Brasy4G242200.4.p pacid=40087132 transcript=Brasy4G242200.4 locus=Brasy4G242200 ID=Brasy4G242200.4.v1.1 annot-version=v1.1 MPWLNHYGSGGVSFLIIGAAFEPVVEMVGDDGRQTSRSQRDFNSAVDLDLEVDCVPVTVSAEWRLVPSTTSKSKVLASCAYTSLDSFLHWMCSRDGSPIQGAPLCLLSSELYGAISCKAMMRIQGERAVVADLQIV* >Brasy4G085800.1.p pacid=40087133 transcript=Brasy4G085800.1 locus=Brasy4G085800 ID=Brasy4G085800.1.v1.1 annot-version=v1.1 MVDPVSKKMKKPRDRRREGEQAPTPTRPQIRQATPSAPPPPTPPLHPVSGEAGRRSTARFPLPRSRYAGRSRRSRSSGGAMARLFLVALMAAAVAVLMSAPVAHGFYLPGSYPHKYNPGENLNVKVNSLTSIDTEIPFSYYSLPFCTPPEGVKDSAENLGELLMGDRIENSPYRFKMHTNETDVLLCRSDPLAPDAFALLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDPNVARVMGTGDATDGIPTGAGKDAAGSSGWMVVGFEVVPCSIKHSPEDVKSLKMYSKYPSKIKCDPTTVSMSIKENEPIVYTYEVTFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCMMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYASVRVWKTIKCGDHSGWVGVSWRTACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD* >Brasy4G025400.1.p pacid=40087134 transcript=Brasy4G025400.1 locus=Brasy4G025400 ID=Brasy4G025400.1.v1.1 annot-version=v1.1 MVSTSSPRLLSSLLGDRLGALSARPLLRVGAPGHRRGTSCQATRTLSNLVDALFNRRSRDDSLENNRRRLQPGKVSPRLTVPKHIQRPPYVDSRQRPGMNNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVNPGITTDEIDKAVHQMIIDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVQVTRESLDKAISICAPGVEINRIGRTIQDHADKFKFGVVQQFVGHGVGKVFHAEPAVLHFRNNERGRMMLNQTFTIEPMLTVGSTNSTIWSDDWTAVTEDGSLSAQFEHTLLITEDGVEILTQC* >Brasy4G206200.1.p pacid=40087135 transcript=Brasy4G206200.1 locus=Brasy4G206200 ID=Brasy4G206200.1.v1.1 annot-version=v1.1 MPMQRKEMLRLPRFVIYCLLPLSDPTAKQPARGPEPPCVYPKPSSQRLVPYAQRHTGSGSLGRSGPAMYCLAASAASPPSARPVTRRLFVAAVAPSPWRSVEPHRAVWRSGDAGPRPRTMLRRCSSAGDSRAVGDGSPSSFCIIEGPETIQDFIQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRAAESRGGSSEENEMPEMPSTIPFLPYTSPKTMKQLYLTSFSFISGIIIFGGLIAPILELKLGLGGTSYEDFIRNMYLPLQLSQVDPIVASFSGGAVGVISALMLVEVKNVRQQEKKRCTYCHGTGYLPCARCSASKMLLSTKRFSLSTTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD* >Brasy4G370000.1.p pacid=40087136 transcript=Brasy4G370000.1 locus=Brasy4G370000 ID=Brasy4G370000.1.v1.1 annot-version=v1.1 MAKAAAGFGLVLACFALAASMAGATQFMVGGAGGWSVPGAAGESFNTWAMKNRFQVGDTLVFVYPKDTDSVLLVSASSYNTCNTTSYDKKFADGDTVFALDRAGAFFFVSGVEANCRANEKLIVMVLAAGRNGTGTGAAPPPSSSSSAPPPASATPPPSPSASAPPPATPPAAAPKSPSPKSAPPPASISSPPPATASSPPPAASPPSSPPTTPASPPSPTPSAPASAPPTANAPGAAGGSATNSTGASPRPAGPSDRNGAAGVTAGLAGSAAACAVVGYAMLFAL* >Brasy4G193100.1.p pacid=40087137 transcript=Brasy4G193100.1 locus=Brasy4G193100 ID=Brasy4G193100.1.v1.1 annot-version=v1.1 MPIAATMPGAVAAPVHVGPRHASPARTAPRREQSPLNPSSQAALRAGSAPSPGHANAAGGCCSSAADGVRTHIANLDRVLGKPPTVPRPTPAHASKQEQEQEQEQEQEPLNIRHGLLNALNLSFFIPMPGMRARNAADEHMSPRSLMHMQQLLSADSQRASPRSTIGLRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESCACGDDSSSAGAGAGDPKPKVARGFRSLYKTAGEKVNSLSEDVMDEVKRLMEKYKGEELSITVVGHSLGGALALLVADEIATTIPDAPPVAVVSFGGPKVGNAAFVEKLKESGKVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHAGAELRIDSKNSPCLRPDAGPASRHDLEAYLHLIDGFTATGHPFRYDARRSVIRLLQLQKGNVKKEYVNRARELGVDPAAPADVGRSMAYGSCAVASPS* >Brasy4G191000.1.p pacid=40087138 transcript=Brasy4G191000.1 locus=Brasy4G191000 ID=Brasy4G191000.1.v1.1 annot-version=v1.1 MKIAWNKNVKAKRQPVVAPLKPRLPFGTEVGEIEDGKDGSRESDASCPGSRPPDSAQSLQDQGNKLAEEGKYHEALSKWEASLSLIPDNAIVHEQKAQVLLELGDTWRALTAATRATEVEPSWPEAWVTLGRAQLNFGEPDKSIESFDRALAMKPDYTDAKADRETASRLVRKRGQLHSSGDLSANKRRFTVGGNSEKENESKEEEA* >Brasy4G191000.2.p pacid=40087139 transcript=Brasy4G191000.2 locus=Brasy4G191000 ID=Brasy4G191000.2.v1.1 annot-version=v1.1 MKIAWNKNVKAKRQPVVAPLKPRLPFGTEVGEIEDGKDGSRESDASCPGSRPPDSAQSLQDQGNKLAEEGKYHEALSKWEASLSLIPDNAIVHEQKAQVLLELGDTWRALTAATRATEVEPSWPEAWVTLGRAQLNFGEPDKSIESFDRALAMKPDYTDAKADRETASRLVRKRGQLHSSGDLSANKRRFTVGGNSEKENESKEEEA* >Brasy4G067300.1.p pacid=40087140 transcript=Brasy4G067300.1 locus=Brasy4G067300 ID=Brasy4G067300.1.v1.1 annot-version=v1.1 MWKYFHYSRGSSSPGGSPVRRLGRRSLLRGGRGGRGGRGARGGRGARGGASPAGAGVGRGTAGDSGGRRRSSTGQGMSGASADDDAARRLAPSEKGRKRGLRRLTNYRGLTDHNDDFQDSIRRVQDTLRRVDEACNSDYVRNPLADEAESSRAAVRRRGRAAGSSSDGEAPPGDDYIAIDSDDSDLDRIVESSKLTEVDDEIRRYRKDDVNLDNAINRSISGDDDVAKGIINISSDDE* >Brasy4G198200.1.p pacid=40087141 transcript=Brasy4G198200.1 locus=Brasy4G198200 ID=Brasy4G198200.1.v1.1 annot-version=v1.1 MGGVTAFFYILLSLIWVQDAAAIIGFTRSDFAQDFVFGAGTSAYQYEGAVAEDGRSPSFWDTFTHAGKMPDKSTGDIAADGYHKYKEDLKLISETGLEAYRFSISWSRLIPNGRGAVNPKGLEYYNNIIDELVKHGIQIHITLHHIDLPQILEDEYGGWLSPRIIEDFTAYADVCFREFENRVKYWTTVNEPNIGAIAAYGSGQLPPGRCSDPFGITKCTAGNSSTEPYIAVHTTLLAHASVVKLYREKYKADQKGVVGINIYSFWSYPFTKSSMDLEATQRAKDFIFGWMLEPLVFGDYPQVMKNIVGSRLPSFTKVQSVLIKDSFDFVGINHYYSLYVNDRPIETDVRDFNADMSVYYRASRTGPPAGQGAPTNVPSDPKGLQLVLEYLKEAYGNPPLYVHENAGLGSANDDLDDTDRVDYLSSYMGSILDAIRNGVNVRGYFAWAFMDLFELLAGYQSKYGLYRVDFDDVRRPRQPRLSARWYSGFLKKNGSPSVKNTGGPDAEHCVLSLVHVREMARVRMHALV* >Brasy4G209500.1.p pacid=40087142 transcript=Brasy4G209500.1 locus=Brasy4G209500 ID=Brasy4G209500.1.v1.1 annot-version=v1.1 MEAVTAAGKRIIVMCMAVAALLVSLGVRAGEAAPTAGAPAQELGRGFKAVHGTSYSQFQPVLSDPTGVFALGFLRVNTSQLDIAVLHLPSAFPLWRAIPDRPAPWSAAASLSFNGSLVLTGGATNQVLWSTPEAAAGDRAVLLNTSNLQIQSSSSPGAAWQSFDNPSDTIVQDQNLTSSAALHTVDRRFAMRLGSHYFGLYIEPPPSTSRGVAPAMYLKHTALEAKAQIEIAPNGDPIYARVEADGFLGMYQKEGPPADVMSFDTFNHGIRAFRRMTLEPDGNLRAYYWDGSGSRWVLDYTAITDSCELPTTCGAYSVCVPPSGRCACLANATDGSGSCAAASGGSSGLCGTTGGEVGGLYWTVRKQGVEPVNKELLGFEHAPSAEDCEARCARNCSCWGAVYSNGTGYCYLMDYPAQLMVAADERRVGYFKVRSLEEEKASSGGRAAAGVKAALLAVGIAVVVAAAAFGAYRVWDRRRRTEAETMRQMGADGDGLSPGPYKNLGSFSSVELSSSFNSFRR* >Brasy4G302700.1.p pacid=40087143 transcript=Brasy4G302700.1 locus=Brasy4G302700 ID=Brasy4G302700.1.v1.1 annot-version=v1.1 MSSPHATVFLFVFLLASPPHAHARAVPDDSADASSSGDVPATAPPADTPANGGAAGRSRTLWSTPSDGVGH* >Brasy4G436900.1.p pacid=40087144 transcript=Brasy4G436900.1 locus=Brasy4G436900 ID=Brasy4G436900.1.v1.1 annot-version=v1.1 MWVEEWRPVIGMLVFDLITAVMTALVKKALEQGLNRLVLVTLRQLVATVFLAPIAYFKERSTRPKLTMEIFVYLFFSAVFGAALSQYTFFYGLQYTTATFAITFINLSPVLTFIIAVVLRMESLKVKSMTGAAKITGTLLSLAGLLLLSLYKGVPLTHQASAALSPAAAAAAEGSGNKSWMLGTVALLANCLCFSFWLLLQTRLTKKYPAIYSSTALMFFISTLQGGALTAAMERRVSPWMLTSKLEIITVLYAGIVGSGTGYLIMTWCVEKKGPVFTAAFIPIIQIMVAIIDFFFLHEQIYLGSVLGSALMILGLYLVLWGKKRDEASSVSWAANKQVDEEADNRQ* >Brasy4G041000.1.p pacid=40087145 transcript=Brasy4G041000.1 locus=Brasy4G041000 ID=Brasy4G041000.1.v1.1 annot-version=v1.1 MQKAACMEDRLSKLPNDLLLNILERLDTPDAIRTCILSKQMLNLPSMLSHFCISLMRINRAVTHITDNILSTRSPEICIRKLNIRFHLRNHDCLSIGKSVARAMASQKVDTAEFEIITEKPWQISTPDDLLHHGKQFGELDIPNILGTCKSLESLRLTDCDSGINSVLQAEHAQLVELEIDEGRFERIELTCLPKLQRVSYNGWSCYECPMDFGFVPQLSKLTLRQIGIESDNILVLSKLLANVPSISDLHLNFRSEKIWVLPECPEPLIPVLSKLQHVNLDNLPEGCDLSWTMFILEAAPSLEELCITVWDHWCNMVKDREFRKANGYCEKENVKWKPTVSDFKHMNLAKLSGIFCGSGPLANPGGKARKRHVDFPPIALAECTVARTVAVHVQICGFQHDDNFVQYVRRVLEIALNMKEISLHDRKVCGRCADMDPEIVCPSRYPRTAEERKQITEGLDLGLASPAVIHFRS* >Brasy4G002500.1.p pacid=40087146 transcript=Brasy4G002500.1 locus=Brasy4G002500 ID=Brasy4G002500.1.v1.1 annot-version=v1.1 MADPKPWNPNYGVVGSGDRRLAYSRQLSISSPLAPTPRLARSDSSISMPSALLQGPASLRSRWLATRHMRRLALLLALNVAYSAVELSIGLLTGRIGLVSDAFHLTFGCGLLTFSLFAMATSRTKPDSIYTYGYKRLEVLAAFTNALFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVSIVYRKAEDMNHHSICLHVLADSVRSAGLILASWFLSLGVENAEVLCLGIVSVAVFMLVLPLFKASGNILLQIAPGNVAPSAFIKCGRQITAHEDVSEVCQGRFWELVPGHAVGCLSIRVKNGGDNDDSVLKYVHGLYEDLGIQELTIQTED* >Brasy4G304400.1.p pacid=40087147 transcript=Brasy4G304400.1 locus=Brasy4G304400 ID=Brasy4G304400.1.v1.1 annot-version=v1.1 MMVADQDHTPYPSGSQCKAITIGGEQGTVAWATLGKYTRRIIFCDVLAITDTGSPRPPAMLRCLHMPGMESPGEYTVCLQDIDLLDDGSIAYIELQLPQEHAWEANTWRIKIDPSSPLSSAQWQRECAFRSSDISQSLHDRMDAGDAEAVFYVGPPILSLQDDGIVYILTKTDAEDPCKSWLLAIDMKKKKVRQVQELVGNRGFSLCRPYFTTTRISNYIQVNPGKQKAKRQKMVPLQCKKLGGVSMEPHWQDDMELDDEVDDTQSLPEPVPLTKQESELDYLSKPWLFRALIEREREEACKPCQIPQSQGSVAPAWEREGEEVIYSS* >Brasy4G325400.1.p pacid=40087148 transcript=Brasy4G325400.1 locus=Brasy4G325400 ID=Brasy4G325400.1.v1.1 annot-version=v1.1 MAGDSVLHVVASRGDGDEFLNGAKAIHGKSSHLLVARNKKGDTPLHCAARAGRGGMVTRLVALASGENGDGLEKVKAFLRMQNEQGETALHEAVRLGSRDLVDRLLKEDPELARVPPAAGASPLYLAVSLGHEDIARQLHEKDNALSCSGPDGRTALHAAVLKDKEMTKMLLEWNRDLIKQAERSTGSTALHFAASWSGTHEMISLLLAADPSLAYHSDNNGSFPIHVAALANEVKAVSILLDGRHDCSELRDANGRTFLHVAVVEESQPVVRKACRSQHQNFGSYSIPRRSRAI* >Brasy4G002800.1.p pacid=40087149 transcript=Brasy4G002800.1 locus=Brasy4G002800 ID=Brasy4G002800.1.v1.1 annot-version=v1.1 MVMETSLRLRGATGTTASNAGLRIHAKQKFPLLASNALLQAHAEVDTVKGKPTYLALLARHFFPQLSANVGAGVQLERHGLHPPRSDLAYILRGKKAVSFTSNCLLGINVKGRVLADRHFNPRKKSGAVELVWTILDFRRGQDVRIKAGYEFYRKMPYFQVRENNWTLNGYMDGKWDVRFDMFS* >Brasy4G400500.1.p pacid=40087150 transcript=Brasy4G400500.1 locus=Brasy4G400500 ID=Brasy4G400500.1.v1.1 annot-version=v1.1 MKASLRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFGKPDDQLQEQMNSSIRMETDQPAAAAVEEEQEDEEEVEEPPATTAKASTTSAAAVVSGAGKKKPKSKSKPKKPTCYMTSKRSERCEAAGDIRVEGNASLIHISPLSKEWRTKPYARYHDPVAMAHVREFTLKPFSSSSSPPPPACTKNHSVPGFLFSNGGFSGNLYHDYTDVLIPLFLTTRSFRGEVRFLLSGLKPWWVTKFTPLFKQLTNYDVLDVDNDGEIHCFPRIVVGSTFHKDMGVDPSKSPGGVSVVDFKRTLRAAFDLPRASASRAGARGDGKPRLLIISRKSSRRFLNEKEMAAAGAAMGFEVRIAEPDQHTDMATFARLVNSADVMFGVHGAGLTNMVFLPAGAVLVQVVPFGELEWLTRVTFKEPAADMEVRYMDYNVQLEESSLLDQYPRSHQVLADPYAVHKQGWDALKTAYLDKQNVRLDLDRFRATLRDALALLPPAAAPLPA* >Brasy4G400500.2.p pacid=40087151 transcript=Brasy4G400500.2 locus=Brasy4G400500 ID=Brasy4G400500.2.v1.1 annot-version=v1.1 MKASLRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFGKPDDQLQEQMNSSIRMETDQPAAAAVEEEQEDEEEVEEPPATTAKASTTSAAAVVSGAGKKKPKSKSKPKKPTCYMTSKRSERCEAAGDIRVEGNASLIHISPLSKEWRTKPYARYHDPVAMAHVREFTLKPFSSSSSPPPPACTKNHSVPGFLFSNGGFSGNLYHDYTDVLIPLFLTTRSFRGEVRFLLSGLKPWWVTKFTPLFKQLTNYDVLDVDNDGEIHCFPRIVVGSTFHKDMGVDPSKSPGGVSVVDFKRTLRAAFDLPRASASRAGARGDGKPRLLIISRKSSRRFLNEKEMAAAGAAMGFEVRIAEPDQHTDMATFARLVNSADVMFGVHGAGLTNMVFLPAGAVLVQVVPFGELEWLTRVTFKEPAADMEVRYMDYNVQLEESSLLDQYPRSHQVLADPYAVHKQGWDALKTAYLDKQNVRLDLDRFRATLRDALALLPPAAAPLPA* >Brasy4G427000.1.p pacid=40087152 transcript=Brasy4G427000.1 locus=Brasy4G427000 ID=Brasy4G427000.1.v1.1 annot-version=v1.1 MMALIVDWQDRSIPSAPGPERRVTVGDLPPPPSLSPGKVEALAWHVTAHLCAPTPTCTGMAASASRSRSRSRVLRIVFNLSVTSVINGRSSPLILKQHFASSATSWSSSISNSNIFWSKMD* >Brasy4G407900.1.p pacid=40087153 transcript=Brasy4G407900.1 locus=Brasy4G407900 ID=Brasy4G407900.1.v1.1 annot-version=v1.1 MPRPPPPVAPNPAAVLHAALLKSSSQSHLPPRLSFNSLLAAAASSPSPRLRSLVLPALALAHRYPGAAGPIDSYTLCSALRHASANEAAPLHALAARSGWLGSVFVSCALAACYGGSGRSLDARRLFDESPVRNGVFGNAVLAGYVGAGMWGPALEFARRFPELGLPADGYTMTAVVRACGETANADLGAEAHGHAVRRATGGGMESDVFLSSALVDMYGKCGLVRRAELVFGLAQRWADAGGGDVVLWTAMMNAYGRHGQCKEVIRIYDQMVTLGVWPDELAILAVLSACQHAGEVVKGLEYFESVRADYGLVPTPEHYGCVVNMLCRAGGIAKAWEITTREGGGADIGVSTWGALLSACRDCGNVEYGRMAAQKAIDLEPTNVGIYVELSNLYARAGLWEEIDDLRELMKDKGFEKHVGCTWVEQSS* >Brasy4G386500.1.p pacid=40087154 transcript=Brasy4G386500.1 locus=Brasy4G386500 ID=Brasy4G386500.1.v1.1 annot-version=v1.1 MEDERAMEIDGQQQQEDTAAVPEGFNADYLRIYYGKLFPYGDFFKWLCYGNDAKHPGCDQSYIGRRELSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADTCLDCWPLMTIVIKILDTSLRGDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRSAIADYFRVYKGGENTSKKVSLTGPVLHPFLARSYTDVLKCFFEGKLLHSQQLFSSEERFNKILELIPDENVASELHDKWQGNRRFSISKEDVNEARWEQLKTTLQSGKHKAQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNSCDDFDPAAVPTLSQLLGELNAAGMQIDSESDWERTSLEKSIRFFRTSFLQPMLKACKEELETAYNAKLQQSKNALNW* >Brasy4G404800.1.p pacid=40087155 transcript=Brasy4G404800.1 locus=Brasy4G404800 ID=Brasy4G404800.1.v1.1 annot-version=v1.1 MIRIFQNLLEPSRRPHHTSIPLRGFYSGAPPPPPAAPPHAGDIFQWNAVITAHLRAGRVAAARRVFDEMPERNVFTWNCMISGLVGNRMLADARRVFDAMPARNPVSWAALLTGYARCGRVAEARELFDWMPDRNVVSWNAMMSGYLRNGMVERARELFDMMPSRNDVSWLTMVSGYIKRRRLREARELFYLSPSHPTSVCNALLSGYVVLGCLKDAEELFGRMQRRDPVSWNVMITGYARAGRMQVAQSLFDEMPQKDTISWTAIMRGYLQNGDVDASWKVFQDIPDRDVVAWNTMMGGFVQSERLDDALRLFAEMPERDLVSWNTILQGHVQQGDMATANTWFRGMPEKDETSWNTLISGYKDEGALALLSEMIRGGLRPDEATLSVVISICASLVALGYGKMVHLYSVKTGFEHDALVMSSLISMYSKCGLIAEASQVFKLLVQRDTVTWNAMIATYAYHGMASEALKLFDKMTKDGFRPDHATFLSVLSACAHKGYLYEGCRYFRRMQEDWNLTPRSDHYSCMVDLLGRSGFIYQAYDFTRKIPSDLQINAWETLFSACNAHGDVQLGEVISKNILQARHSDGGMYTLLSNIYASKEMWSSAASVRGVMKEQGLKKETGCSWVELKGEVVSFSSNDNAHPLIEQICQQVDNLSVMIEEGA* >Brasy4G383600.1.p pacid=40087156 transcript=Brasy4G383600.1 locus=Brasy4G383600 ID=Brasy4G383600.1.v1.1 annot-version=v1.1 MAAHRVEHFADVHAREARAMARALFLQAQARARVELKSRLGATTAPAAAGDGEVSEEARWFRSMVEETMALSGASTVWDFLPAPVRWLDVGGVGRRLWRLRESRTEFLQGLIDEQRKEMDNNGGPPGRTTMIRVLLSLQSKDPGACPDHLIRSLCISSPEAGTDTSADTIEWAMSLLLNKPEAMVKARDEIDACIGGERLLEAADLPKLRYLRCVIMETLRLYPPAPLLVPHEASADCTVAGFLVPRGTMLLVNTFAIHRDPQLWITPQASFLKGVHPFDQCFFYCGSAHGKHCFFPNVFLLFEDGANGGKMIPFGMGRRRCPAELLGMQMVGLALGTMIQCFDWERVREDQLVDMTEGSGLTMPKQVPLEAFYQPRSSMVHLLSGI* >Brasy4G056900.1.p pacid=40087157 transcript=Brasy4G056900.1 locus=Brasy4G056900 ID=Brasy4G056900.1.v1.1 annot-version=v1.1 MTTLSRWRPTLNSIAHACSAGSRARGLRLLRWAGRPGRHAVCPRPPCFRQARCSGAMACAHGLAILVRVEDDGFFATTPVGLYAACAHGSLADAPRVFDRMPKIQL* >Brasy4G215000.1.p pacid=40087158 transcript=Brasy4G215000.1 locus=Brasy4G215000 ID=Brasy4G215000.1.v1.1 annot-version=v1.1 MATVRVPGKLAGAPPASALDPQPSAGLTASLALDKERFRRCFQAARHHVAVGGDLSEIFPIRDDLLPEIADLRQRMLDLIRSPLKKAISKEFLPADYAAGPPAAPPHDPAVMEGQHSCPRIPSWVDPLVDEEGSRISRHEVLPLPPPLTTSLGSAAINSMTAADSQGRVYCGQIRPPAMPAPTDHLITGDATCSAAHYTHRPSVLDLPDVPPVPPWKSTMAGLGKQAGEGQGSSTGAQASEYIRRKGKDHVVDGVPLACAVVTARPGDETPVRRPFTASPAKVLVVDFEKLKLDMADQWLVVGRFVTTGTFSAKRLFQRMREIWQLRGGLEYREFTDNRFLMEFRHEGDYRHVLAGGPWTYLGDVMLVAPYDGRSSVADMKINTMLVWVRVLDLPVPMLNATAGRVIGELLGPVRMVFADKRGKVWDDFLRVRIEHDITKPIESVVRIKDKADKEPTRYDVKYERLPRFCYFCGIVGHDTRSCLIPEEQKKNRFSVDQVASPYRSFDHRSFYLPGEQLKAKKQLQYTPRAKKLDMPAMHKGQASASPKLLNKAGAETRTTVSSERTVSVPGLLATKLASEAASKLTVPGGGAIANVAPPADTRPIAPATAGAQRRSGWTRLREAVRVGKSRATNTEQLSLGKRGGGDQVLGPLTEKDSKKRKKKGAAGGSEMSQGTDVQEQHLEAAGLGATGELTDAIDRARQEK* >Brasy4G167300.1.p pacid=40087159 transcript=Brasy4G167300.1 locus=Brasy4G167300 ID=Brasy4G167300.1.v1.1 annot-version=v1.1 MAQKIVIRVQMTCDKCRSKAMALVAAFVGVNSVSLAGDDKDQVVVVGDGVDSVKLTSALRKKVGPAQLVQVGDAKKEEPEKKKNPEPTVIEYTPYPWQYHHQPGPIVYEYPDYGYNSRPGTCSIM* >Brasy4G053900.1.p pacid=40087160 transcript=Brasy4G053900.1 locus=Brasy4G053900 ID=Brasy4G053900.1.v1.1 annot-version=v1.1 MFGRVVTPEAIKFCRVVNPSLQTSAADLRRVMGKDLDDMLSNLPDDILLTILDRLDVRDAARTGVLSTRWSQLPAMLSRLIINVWDFRPPGVSEFREDEFAWTNAAAIEATKSMLERRDSTRNRIHQLSVTFFLRESDPISIGHTVAQTMATHKVGMAEFIVLTKKEPIGCDERDLIDYGQQFMLFFDACPDAFAGITRLHLENLRFGESDISNVLVTCKRLKDLRFLNCDSEGVTTLQMEHSQLSELSIVDCRLGKVMLNWLPQLTRMTFEGWIAFHYALSVVYAPLLEVVNLTNVGLSWHKMIEFSKFLRGTSVRDLKLGFKSEKIWVQPECLTKSLSSVFCQLRFLNLDDIPEGYNLTWTLFVLKAAPSLKELWMTVWDHLCKMETDEEKRRAVSYSENKGVEWESSALDFQHRCLATLTIFGFESEEYMVSYVRRVMEAAVNLEEVFLYHRLTCGKCRANPNTLKRIRYPWTKRQRSSVKKRITDGVDSFAIIHFPTGSVRGDHIAKKKYP* >Brasy4G053900.2.p pacid=40087161 transcript=Brasy4G053900.2 locus=Brasy4G053900 ID=Brasy4G053900.2.v1.1 annot-version=v1.1 MLSRLIINVWDFRPPGVSEFREDEFAWTNAAAIEATKSMLERRDSTRNRIHQLSVTFFLRESDPISIGHTVAQTMATHKVGMAEFIVLTKKEPIGCDERDLIDYGQQFMLFFDACPDAFAGITRLHLENLRFGESDISNVLVTCKRLKDLRFLNCDSEGVTTLQMEHSQLSELSIVDCRLGKVMLNWLPQLTRMTFEGWIAFHYALSVVYAPLLEVVNLTNVGLSWHKMIEFSKFLRGTSVRDLKLGFKSEKIWVQPECLTKSLSSVFCQLRFLNLDDIPEGYNLTWTLFVLKAAPSLKELWMTVWDHLCKMETDEEKRRAVSYSENKGVEWESSALDFQHRCLATLTIFGFESEEYMVSYVRRVMEAAVNLEEVFLYHRLTCGKCRANPNTLKRIRYPWTKRQRSSVKKRITDGVDSFAIIHFPTGSVRGDHIAKKKYP* >Brasy4G187200.1.p pacid=40087162 transcript=Brasy4G187200.1 locus=Brasy4G187200 ID=Brasy4G187200.1.v1.1 annot-version=v1.1 MGAGEGMEELVRVLVVDDSPVDRRIVELLLNNHRGSAFHVTVVDSGKKAMELLGPKPQAQEEQQRGSIDIVLTDYCMPEMTGYDLLKAIKALNSPTPIPVVVMSSENEPQRINRCLTAGAEDFIVKPLRTKDVQRLRNCSAVKPAKKDVASESEQRPHKLAGGLAMVLKASSSVELLSHYVQFLLKFLLLAYAALCLAELLHRWLLQSNGCFGSNGIMSV* >Brasy4G391900.1.p pacid=40087163 transcript=Brasy4G391900.1 locus=Brasy4G391900 ID=Brasy4G391900.1.v1.1 annot-version=v1.1 MDSRPEWVGYMGRCFRPQVPPMGNPISHESGTSFFFYRHLPPTSSLRHENTEGIKSISREKGVEITSN* >Brasy4G087900.1.p pacid=40087164 transcript=Brasy4G087900.1 locus=Brasy4G087900 ID=Brasy4G087900.1.v1.1 annot-version=v1.1 MAEAAAAAAPEGLTQRRIEFHAATRPHAAAQAAGGFRPERLCPDPDERASAAAAARSEKWETGEPSGFGRELTAARIYLRRIGAGLQNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHKSSCWTAGFCALCALQNHVRCALQSTGKILTPMQFVKNLRCISRSFRNYRQEDAHELMVNLLESMHKCCLPSGMPSQSPSAYEKSLVHRIFGGRLRSQVRCVSCSHCSSKFDPFLDLSLEIANAATLVKALQNFTEEELLDDGEKQYNCQHCRQKVAAKKRFTIDKAPDVLTIHLKRFSPFNPRHKIDKKVDFQPTLNLKPFVSNSEDMDFRYNLYGVLVHAGWNTQAGHYYCFVRTSSGIWHNLDDNQVHQVREADVLRQKAYMLFYVRDRVRSSVIFKGNGAANYYKKNPIPEKITCMNGTNRNSLMETTLNVSPFINGDVKLQKQNSDNDHSGIFGSSSRGQCSKNPSNIEVIEVATVQNNDMVSVQQAPNLHPVGAATLSIQTNKTTSDSQTETTSPAQPDAIVLHDSSFDQKAHEKLLQEKQLESNGAVSDLGKDITAALPICNGGDGLLGENCQASEPQNPYGEPILDTSKAVASPQIVETKDTGVSNETLSSREDLIWCNETKESAESAKQHDEVVTVKELSAEKIAPIESTVEQTPVQSSTSEVGQATMKELYLKYTDHIAYEEEQASVRNSELEIVQVNSEKQMCPEDSAQPICSEVSAQPICSEVSAQSICSEVSAQAICSEVSAQVICSEVEAQVICSGDSAPVLDKDPGLGNLHGMMDLKSKKHVKFPVAHLLFGSKRMLLVALKLPQKRKYRRSKRRSTFFMDNESIVDDQKTSTSETGLAKNISCKSHRRKRSRASASPDTGDQMFNKKQHLAGDSSSAADLPMGKKDDKDAALASAELPRSCPSLVADPTDSINCADANKKIPRHFDLLTRGLSEITVPRWVDIDVPYTKTTEFQHLRTNSIGYVLDQSYVTNYI* >Brasy4G087900.2.p pacid=40087165 transcript=Brasy4G087900.2 locus=Brasy4G087900 ID=Brasy4G087900.2.v1.1 annot-version=v1.1 MAEAAAAAAPEGLTQRRIEFHAATRPHAAAQAAGGFRPERLCPDPDERASAAAAARSEKWETGEPSGFGRELTAARIYLRRIGAGLQNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHKSSCWTAGFCALCALQNHVRCALQSTGKILTPMQFVKNLRCISRSFRNYRQEDAHELMVNLLESMHKCCLPSGMPSQSPSAYEKSLVHRIFGGRLRSQVRCVSCSHCSSKFDPFLDLSLEIANAATLVKALQNFTEEELLDDGEKQYNCQHCRQKVAAKKRFTIDKAPDVLTIHLKRFSPFNPRHKIDKKVDFQPTLNLKPFVSNSEDMDFRYNLYGVLVHAGWNTQAGHYYCFVRTSSGIWHNLDDNQVHQVREADVLRQKAYMLFYVRDRVRSSVIFKGNGAANYYKKNPIPEKITCMNGTNRNSLMETTLNVSPFINGDVKLQKQNSDNDHSGIFGSSSRGQCSKNPSNIEVIEVATVQNNDMVSVQQAPNLHPVGAATLSIQTNKTTSDSQTETTSPAQPDAIVLHDSSFDQKAHEKLLQEKQLESNGAVSDLGKDITAALPICNGGDGLLGENCQASEPQNPYGEPILDTSKAVASPQIVETKDTGVSNETLSSREDLIWCNETKESAESAKQHDEVVTVKELSAEKIAPIESTVEQTPVQSSTSEVGQATMKELYLKYTDHIAYEEEQASVRNSELEIVQVNSEKQMCPEDSAQPICSEVSAQPICSEVSAQSICSEVSAQAICSEVSAQVICSEVEAQVICSGDSAPVLDKDPGLGNLHGMMDLKSKKHVKFPVAHLLFGSKRMLLVALKLPQKRKYRRSKRRSTFFMDNESIVDDQKTSTSETGLAKNISCKSHRRKRSRASASPDTGDQMFNKKQHLAGDSSSAADLPMGKKDDKDAALASAELPRSCPSLVADPTDSINCADANKKIPRHFDLLTRGLSEITVPRWVDIDVPYTKTTEFQHLRTNSIGYVLDQSYVTNYI* >Brasy4G256800.1.p pacid=40087166 transcript=Brasy4G256800.1 locus=Brasy4G256800 ID=Brasy4G256800.1.v1.1 annot-version=v1.1 MQGEVAGGGGGEQPMQMVLRVKHPSSLSSGGGGSSEEAAEGEGSSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVESIQRRIDTVNRQLKPLSKNCVKKEKEYKEILEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY* >Brasy4G263500.1.p pacid=40087167 transcript=Brasy4G263500.1 locus=Brasy4G263500 ID=Brasy4G263500.1.v1.1 annot-version=v1.1 MRTQAIGMDAVGTRCLQSAETGRTCSNFHLFAATVKRMAQLLVSLGPKRAMPLFLFDKTRGPPSHSFISGDHPQLVQLAGAIPLPFAAWLLEACHGIEEG* >Brasy4G263500.3.p pacid=40087168 transcript=Brasy4G263500.3 locus=Brasy4G263500 ID=Brasy4G263500.3.v1.1 annot-version=v1.1 MRTQAIGMDAVGTRCLQSAETGRTCSNFHLFAATVKRMAQLLVSLGPKRAMPLFLFDKTRGPPSHSFISGDHPQLVQLAGAIPLPFAAWLLEACHGIEEG* >Brasy4G263500.2.p pacid=40087169 transcript=Brasy4G263500.2 locus=Brasy4G263500 ID=Brasy4G263500.2.v1.1 annot-version=v1.1 MRTQAIGMDAVGTRCLQSAETGRTCSNFHLFAATVKRMAQLLVSLGPKRAMPLFLFDKTRGPPSHSFISGDHPQLVQLAGAIPLPFAAWLLEACHGIEEG* >Brasy4G121600.1.p pacid=40087170 transcript=Brasy4G121600.1 locus=Brasy4G121600 ID=Brasy4G121600.1.v1.1 annot-version=v1.1 MSSNRRASKAQKSTINYHRLPLDAHQLFEPDAFLRKDRNANHQSQSTQSGSRHTDRRLTTQLISALTGIWNLVGQPESSVTDERSVSDGILHKEDPLCFSRARKGHALTSCCTERSTGLTSQTCLSTPKSIHEDLSLVKKMLMVTSCGNMFSASFTWRHVHLTKPRNMNFLQCENIYPTKTEKIRTNSDAASSIMDIKEDECFGRDGNYFSQTRNMPTEQCTSSSEEANITNADESFLYDAKSNVEISREHPDSSACSSEQMEVSKDARMMLEKYISSTCEHIQVEDLTCTSSLAADAVIVNPPNADQYTSEEYMPQQHSVEKCSPEYGSFFRRRCHDAVNANKHAVAGAIAGTVVSISLHPVDTVKTIIQANSSGQSSFYHILRRALVERGVLGLYGGLASKVACSAPISAIYTLTYEIVKGALLPTLPKDYHSIAHCAAGGCSSIATSFVFTPSEYIKQQMQVGSQYQNCWKALVGCLQRGGIASLYAGWGAVLCRNIPHSVVKFYAYESLKQFLLNASPADAKLDSGQTLLCGGFAGSTAALFTTPFDVVKTRVQLQALSPVRKYEGVLHALQQIFEQEGLRGLYRGLTPRLVMYVSQGALFFTSYEFLKTIMFPEQELPATSF* >Brasy4G014900.1.p pacid=40087171 transcript=Brasy4G014900.1 locus=Brasy4G014900 ID=Brasy4G014900.1.v1.1 annot-version=v1.1 MVGAKIPARLWVLGVVFTFGAAAVGLAEANNVHNFIIKEANYPRLCQNKNILTVNGQFPGPTITARRGDTVIVNVINQGNKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYRILLSEEEGTIWWHAHSDFDRASVHGAFVIHPKNGTYYPFKMPHEEIPIILGEWWKADVTHLLEESKRTGGEVNLSDANIINGQPGDFYPCSQSNIFKLPVRTGKTYLLRIINAGLTNDLFYGIAGHLLTIVGTDGRYTKPFTVKHIMISPGQTMDALLEADRAVNGSSNGRYYMAAQTFASNPNLTFTNSTATAILDYEDAPPARRAGPPDFPNLPNFFDMKAATEYTAQLRSLASKDHPVDVPMKVDVPMLITIAVNVLPCASNETCEAPDNTRLAASLNNVSFQNPSIDILDAYAQSQNGVYEVNFPDKPPFFFNFTDTVVPKELEVTKVGTKVKMLNYGEVVEVVFQDTSINGAETHPMHLHGFAFYVVGRGFGNYDEKKDPATYNLIDPPYQNTVTVPKAGWTAIRWRASNPGVWFMHCHFDRHTVWGMNTVFIVRDGQKDDQKMFSRPASMPRC* >Brasy4G301300.1.p pacid=40087172 transcript=Brasy4G301300.1 locus=Brasy4G301300 ID=Brasy4G301300.1.v1.1 annot-version=v1.1 MVKRRSSLLIRKKCQRKHAVKLLCTTARPPQERWSIRESANFKIALGRFGQDWPRVAQFVSTKTTLQICVYAEKYFLKHRLTFQVK* >Brasy4G356500.1.p pacid=40087173 transcript=Brasy4G356500.1 locus=Brasy4G356500 ID=Brasy4G356500.1.v1.1 annot-version=v1.1 MEHVLLALHETEAEREAQIRDMFGFFDTSGRGLAALQVPAECKYGGELLRACDHDGRVGYEDFRRYMDDPVRL* >Brasy4G219500.1.p pacid=40087174 transcript=Brasy4G219500.1 locus=Brasy4G219500 ID=Brasy4G219500.1.v1.1 annot-version=v1.1 MAGLSLRCGDCGVQLRSVEEAQAHAEATSHTNFAESTEAVLNLICADCGKPCRSQTEVDLHTKRTGHAEFTDKTMEAAKPIDLEAPLKPAAEAMDVDASGSGEPQEMVAPEVNKEMLADLEAMGFSTARATRALHFSGNSTIEGAINWLSEHQDDPDIDEMPMVPANTNTDANKPSLTPEEKKIKAQELRERARKKKEEEERRMEREKEKERIRIGKELLEAKRMEEANERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPAEDPAASKPSAPPPVEEKKSALPVRPATKADRMRDCLRNIKQQNKEEDAKVKRAFQTLLTYIGNVVKNPDEEKYRKIRLSNATFQERVGNLGGIQFLELCGFEKLEDDEFLFLARDKVDKAILNTAGAELNSAITNPFFGVL* >Brasy4G216300.1.p pacid=40087175 transcript=Brasy4G216300.1 locus=Brasy4G216300 ID=Brasy4G216300.1.v1.1 annot-version=v1.1 MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYELTDESLQMYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPTTKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMVFHLPSPSKAQRYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSTLRAATSGQAFPQCVFDHWDIMSSDPLEAGTQSATLVTEIRKRKGLKEQMTPLSEFEDKL* >Brasy4G342300.1.p pacid=40087176 transcript=Brasy4G342300.1 locus=Brasy4G342300 ID=Brasy4G342300.1.v1.1 annot-version=v1.1 MTDARLEEVIKRVMQPFMAQIDSKIDRAADQCAKIMIERLNKAGVTYKPRKTSSNEEDHEDNWTDVKGRYDDKYFTGAYSEMAYSVPAAGVEEKISTPQQTVPAATGETKCTAGVEVLGTPSVPIDVDANAATSSESSQFVSVDRCLRSAGLKPRVGQKSNPSEDSGSIHTKCERAASAVRTEGLGKRVRKVSNVLQSPFIVQPQKKRKKGVAKKGLFEVSSSGRKLIDEEVPDVLTPGLIDAAVLYVLTAATSKDDRKKGAIFKDRDGTIVTAEQLRSLVVDQMLGGENYRWGNYSKKDTQQR* >Brasy4G208900.1.p pacid=40087177 transcript=Brasy4G208900.1 locus=Brasy4G208900 ID=Brasy4G208900.1.v1.1 annot-version=v1.1 MPVSKPSSSKAAPIDSDSDDDLVPKRPATKYTAPAGAKKQQYKDGFRDAGGLENQSVQELENYAAYKAEETTDTLNGCLRIAENIKEDASNTLITLHKQGEQISRTHEKAVEIDQDLTKGESLLNSLGGFFSKPWKPKKTRQIKGPAQVSRDDSFKKKANRMEQRDKLGLSPRGKGNSRTYDDPTNAMDKVQVEKQKQDDALDDLSGVLGQLKGMAVDMGSELDRQNQALDNLHDDVEELNSRVKGANQRARKLVAK* >Brasy4G435700.1.p pacid=40087178 transcript=Brasy4G435700.1 locus=Brasy4G435700 ID=Brasy4G435700.1.v1.1 annot-version=v1.1 MRRMAYKWRCMEATTMVLVVWLAALSSTTTTQAQQNSSGNDNLTRGSFPKGFVFGAAASAYQYEGAVKEDGRGPAIWDKFAHTFGKILDFSNADVAVDHYHRFEEDIQLMADMGLDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKVIDALLAKGIEPYVTLYHWDLPRALEDKYMGLLDRQIINDYVAYAETCFEAFGDRVKRWITFNEPHTVTVQGYDSGIHAPGRCSVLRHLYCKQGSSGTEPYIVAHNIILAHATVSDMYRTKYKAKQNGELGMSLDVIWYEPASNSTADVEATKRAQEFQLGWFADPFFFGDYPATMRSRVGVRLPRFTTKEAELVKGSLDFMGINHYTTFYTKDDNSTYIKKFLNDTLVDSGSISLPFRDGKPIGDKANSIWLYIVPGSMRSLMNYVKDRYNTPTVYITENGMDDSNNPFISLKKALKDRKRIKYHNDYLTNLADSIREDGCDVRGYFVWSLLDNWEWTAGYTSRFGLYFVDYRDNLKRYPKNSVQWFKDFLASS* >Brasy4G435700.2.p pacid=40087179 transcript=Brasy4G435700.2 locus=Brasy4G435700 ID=Brasy4G435700.2.v1.1 annot-version=v1.1 MRRMAYKWRCMEATTMVLVVWLAALSSTTTTQAQQNSSGNDNLTRGSFPKGFVFGAAASAYQYEGAVKEDGRGPAIWDKFAHTFGKILDFSNADVAVDHYHRFEEDIQLMADMGLDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKVIDALLAKGIEPYVTLYHWDLPRALEDKYMGLLDRQIINDYVAYAETCFEAFGDRVKRWITFNEPHTVTVQGYDSGIHAPGRCSVLRHLYCKQGSSGTEPYIVAHNIILAHATVSDMYRTKYKAKQNGELGMSLDVIWYEPASNSTADVEATKRAQEFQLGWFADPFFFGDYPATMRSRVGVRLPRFTTKEAELVKGSLDFMGINHYTTFYTKDDNSTYIKKFLNDTLVDSGSISLPFRDGKPIGDKANSIWLYIVPGSMRSLMNYVKDRYNTPTVYITENGMDDSNNPFISLKKALKDRKRIKYHNDYLTNLADSIREDGCDVRGYFVWSLLDNWEWTAGYTSRFGLYFVDYRDNLKRYPKNSVQWFKDFLASS* >Brasy4G435700.3.p pacid=40087180 transcript=Brasy4G435700.3 locus=Brasy4G435700 ID=Brasy4G435700.3.v1.1 annot-version=v1.1 MRRMAYKWRCMEATTMVLVVWLAALSSTTTTQAQQNSSGNDNLTRGSFPKGFVFGAAASAYQYEGAVKEDGRGPAIWDKFAHTFGKILDFSNADVAVDHYHRFEEDIQLMADMGLDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKVIDALLAKGIEPYVTLYHWDLPRALEDKYMGLLDRQIINDYVAYAETCFEAFGDRVKRWITFNEPHTVTVQGYDSGIHAPGRCSVLRHLYCKQGSSGTEPYIVAHNIILAHATVSDMYRTKYKNGELGMSLDVIWYEPASNSTADVEATKRAQEFQLGWFADPFFFGDYPATMRSRVGVRLPRFTTKEAELVKGSLDFMGINHYTTFYTKDDNSTYIKKFLNDTLVDSGSISLPFRDGKPIGDKANSIWLYIVPGSMRSLMNYVKDRYNTPTVYITENGMDDSNNPFISLKKALKDRKRIKYHNDYLTNLADSIREDGCDVRGYFVWSLLDNWEWTAGYTSRFGLYFVDYRDNLKRYPKNSVQWFKDFLASS* >Brasy4G026100.1.p pacid=40087181 transcript=Brasy4G026100.1 locus=Brasy4G026100 ID=Brasy4G026100.1.v1.1 annot-version=v1.1 MYPAAPADAYDRYSTGTPPPSAPAPAPYQHAMHQGRPAGGLTRWSTGLFHCMDDPGNCLITCLCPCITFGQVADIVDKGTCPCAGSGAAYAAICATTGMGCLYSCVYRTKMRAHYDLEEGDCPDFLVHWCCEYCALCQEYRELKNRGFDMGIGWDANMERQRRGVAGRQVMGAPATPVGMMR* >Brasy4G151300.1.p pacid=40087182 transcript=Brasy4G151300.1 locus=Brasy4G151300 ID=Brasy4G151300.1.v1.1 annot-version=v1.1 MHHLLIPVLLLFAGAAADAYPAACSNAACGGQAITYPFWLAHSGPNCGYPGLGLLCVDDAPILDIQFHQYRVLHIDYANHTVSLADADAWNKTCPRLSFSLAVDPNAWLQLTPANSELAFLYNCKSDVSRGSSSAVRLDGCVPDEQNMSNWYVFPDNTITTGKADGYGLAYGCEKTVVTPVLMSSHNRLMINPGLGVGEVLSDGFEMSYGARSEQCGACERSGGRCRYGRIEQHGGTEFACFCTDGECGMYFLPNLEFAWRCTSPFQPVFQKNIYKSLH* >Brasy4G283300.1.p pacid=40087183 transcript=Brasy4G283300.1 locus=Brasy4G283300 ID=Brasy4G283300.1.v1.1 annot-version=v1.1 MENFLTNCVHGHGASLVMRCTYSHKVQLANSKAAAPDYCLGCLLRKRTKQGCSCTDENALQERRTEREITTMAAGGDLKLLGLRVSPFVIRVRMALHMKGLSYDYIEQDLYNKSELLLASNPVEKKVPVLIHNGKPILDSPSIVQYIDEVWETKGPSILPADPYQRATARFWTTYVDDKLSPAFVGATKAATEEERAGKVSEIFEVIGQLEAELARCSDGKDFFAGDSMGYLDLAVGCQLLLLEVLRDMYGVEFLDTGRTPLLATWAKRFGETEVAREVVPDVAVWVEYTKKRQAIWNALATMATK* >Brasy4G428900.1.p pacid=40087184 transcript=Brasy4G428900.1 locus=Brasy4G428900 ID=Brasy4G428900.1.v1.1 annot-version=v1.1 MTPFNYSATGYTTMLVFGDSTVDPGNNNRLQTVMRANFLPYGASFLGSSRPTGRFSNGRLITDLLAEKLGIARSIPGFHEPRLRLRQLRRGVSFASAGSGYDDATARISSALSFSNQVEDLWRYKRNLQRLVGPRRAEQLVRRATFIISAGTTDVFFHYLATNHSGAANSWPQYENLLISHVSNYTQVMRALGGRRFVFVGVPPVGCLPLVRALLGTGTEKCHENINSLATSFNRGLAEVVRLLKNERDTRATFIDIYTIVAMATVDPRTFGFTETSRGCCGTGVIEVGQTCRGRLTCTDPSRYMYWDAVHQTERMNQIITDHAIMNSIGEIYV* >Brasy4G108600.1.p pacid=40087185 transcript=Brasy4G108600.1 locus=Brasy4G108600 ID=Brasy4G108600.1.v1.1 annot-version=v1.1 MASTPTYPAGSSPLPWGRCLAMGSGRPALQVHGADLFLSLRHGGAASPWGPTLPVHGAAAVHGAGSGRPRPRRRWIYSPRPSASPRLRLPRHCRWPIGLRLPAGAISPSSEVPASRASAPPQAPDRIWPPSLRRRPIVRRRPSLHCRIRPAPPMSAAFCQTPPAVPAPMPLPAKSQSGTDGAARGGG* >Brasy4G355400.1.p pacid=40087186 transcript=Brasy4G355400.1 locus=Brasy4G355400 ID=Brasy4G355400.1.v1.1 annot-version=v1.1 MTEATCRAAARRRRRSCHHVCAAVQSPLAVTTRAELRRPASGYPEEALTSSAHMVDGYTQQTSL* >Brasy4G122000.1.p pacid=40087187 transcript=Brasy4G122000.1 locus=Brasy4G122000 ID=Brasy4G122000.1.v1.1 annot-version=v1.1 MPSSSSSPTPTPPAEETTAAAIGFHLTEPSFLESLMPKKETGVDRFLAKHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGTRLVVNPLWKNPSEQWHVGCKLVYELFTDTLISRLKKERKKKWDEENQEAISGALNQLNEFEKKHSKPDDAKLKMAHEDLQNRLDCLRKQAEGYDDRGPVIDVVVWHDGDVWRVAVDTQGLEGDKNCGKLADFVPLTNYRHERKFGIFSKLDACSFVANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPEQPLLNGVAPGAQLISCRIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLVNEVVDRHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQPPSEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYARQAKKLPLVSYRISVNQVGKSIPRLRGIYLRGSNACQQTSEWTVQLDPKFHEDASNLEQLVPFEECLQLHSTDSSVVNIPEYILLTNNGRSFNIVVNPANISSGLHYYEVYGMDCRAPWRGPIFRVPITVIKPIALSGEPPVLTLSKLYFKSGHIERRFINVPIGASWVEVTMRTSDFDTPRRFFLDTVQISPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIAFHGISVDQKVIALDGSESPVRIVARSLLASEKLVPVATLNKIKIPYRPVECNFCPLPTTRDRLPSGKQIIALTLTYKFKLEDGAEVKPHLPLLNNRIYDNKFESQFYRISDSNKCIYSSGDVYPSYVKLQKGEYTLQLYIRHENVQFLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPVIGNGTFKSSILVPGEPEAFYVGPPSGEKFPKSAPPGAVLVGSITYGIVSSFNKNNEQNQHAPASYSILCPIPPSKVDDSKEKGVSIGTKKSISERLNEEVRDTKIKFLSSIKQESEDQKSAWTELVASLKSEYPKYTPLLAKILECVLQEAPSDDKISHHKEVIVAADEVLDSIDKEQLAKILSLKPDPEEEESQTTKKKMEETRDQLADALYQKGLALAEIESLKPDESTETSAKDAFEENYKELIKWVDAKSAKYGTLTVLRERRCGRFGTALKVLNDMIQDDSGQPKKKLYDLKIQLIEEIGWAHVSAYEKQWMHVRFPPSLPPF* >Brasy4G056200.1.p pacid=40087188 transcript=Brasy4G056200.1 locus=Brasy4G056200 ID=Brasy4G056200.1.v1.1 annot-version=v1.1 MRDTFYVPEGVATNTQFFFQKKVAPAFGVAHSTLRYVQFAMQISNVAKRQSSYSMASGLHGVGKTASTTMSTCAPVFSPVLPHLLASCREMFLKKYGTPLVHIVFFTE* >Brasy4G357800.1.p pacid=40087189 transcript=Brasy4G357800.1 locus=Brasy4G357800 ID=Brasy4G357800.1.v1.1 annot-version=v1.1 MGHLFAPTTKHNHANLDYWPALTDSRNLHSFNWARYILEELCDAAIKLRLDIRKNVTVSNITGCTLFLQVFYLDSINTGSLNITTEAIPRIQFFNMERLKSMIASDMVKKGGVRLDTEFGVSLPRGTATGASNPNQAIPMHPDMNCEERQRHTAKRLCRIFKLPTDALSSVYELLRRRKDMLVNAIIELDENLCSTLEMAVENYGSELPKVSHEAGNSLNVVRSVTTNNTLAVNMAGKRNVSMREPQQVLWHEQAPRQAQRFH* >Brasy4G298400.1.p pacid=40087190 transcript=Brasy4G298400.1 locus=Brasy4G298400 ID=Brasy4G298400.1.v1.1 annot-version=v1.1 MPPHGDLDRQIAQLRECKYLPEAEVRGLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLMELFRIGGESPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMDHNFLQFDPAPRQIEPDTTRKTPDYFL* >Brasy4G427600.1.p pacid=40087191 transcript=Brasy4G427600.1 locus=Brasy4G427600 ID=Brasy4G427600.1.v1.1 annot-version=v1.1 MGKLVLGVMLVVLGVVLVLVLQMIQVQGDSVCCCDQEFQLGCVAGCMDRGQGRDCYIHTCYCAVYKRRCPLVMRLKRRDDVSAIYRRCKSECVSSMCSNINPRRMGAGHEEEGEKMAMERCTNECHRRCAKEELDKDGSVEAVQIAQVVA* >Brasy4G228100.1.p pacid=40087192 transcript=Brasy4G228100.1 locus=Brasy4G228100 ID=Brasy4G228100.1.v1.1 annot-version=v1.1 MGRASGGARGRAAWAERAGELRGQSKRRRAGRAAWAERAGERGAAPRGGRRPRREAADPGREGGGGGRGGRGGRHGRRWRRAAVSCVGRAARRHAGPSKRR* >Brasy4G155400.1.p pacid=40087193 transcript=Brasy4G155400.1 locus=Brasy4G155400 ID=Brasy4G155400.1.v1.1 annot-version=v1.1 MAFPAPAAVFLDENLPIHRGKRVDGLNAKPLKPSAKPSARKALRDVSNTSKPPVPNISKGTALKERSVLKEKSTLRSQEAIKKNPVKKATIFADEATKKCHEWAKDGVESTHFTGNDAQKLDNDKLDKRVKKKVEKIMSALHDWPDVIFDPVLFPAKTVATFYEEVNGLELEPEILPDISRRLSSSGDLSKLAEDSFGETELDNYLFLENKPIEFQLKDETSCHPLSLK* >Brasy4G155400.2.p pacid=40087194 transcript=Brasy4G155400.2 locus=Brasy4G155400 ID=Brasy4G155400.2.v1.1 annot-version=v1.1 MAFPAPAAVFLDENLPIHRGKRVDGLNAKPLKPSAKPSARKALRDVSNTSKPPVPNISKGTALKERSVLKEKSTLRSQEAIKKNPVKKATIFADEATKKCHEWAKDGVESTHFTGNDAQKLDNDKLDKRVKKKVEKIMSALHDWPDVIFDPVLFPAKTVATFYEEVNGLELEPEILPDISRRLSSSGDLSKLAEDSFGETELDNYLFLENKPIEFQLKDETSCHPLSLK* >Brasy4G063800.1.p pacid=40087195 transcript=Brasy4G063800.1 locus=Brasy4G063800 ID=Brasy4G063800.1.v1.1 annot-version=v1.1 MTRALLKQIRGGTALAVPPPPSRPAFTGGTTFPQASQLPDLASPPSVRARLCAARRRRAPARVVPPHPARCRRLPPAGMRAAIPSSSSRVPMARFQPSDTHPATPRARASRG* >Brasy4G341100.1.p pacid=40087196 transcript=Brasy4G341100.1 locus=Brasy4G341100 ID=Brasy4G341100.1.v1.1 annot-version=v1.1 MASETWASLNRDVLGRIFRSLPTLTDRVYTSAVCKEWRFVAVQTENQPAELPWLLMPSTASTSHFRVFGSTTHQENSEHLPVGARFCGSFPGGWFVVQLHARRGRYALLNLHSGNQIALPDNMEAPVRSNSGIQHNNLYPVLAIHAAVLSAAPTPDGAYIVAAITNYNTNIAFWRPGMAHWSPPLHTEVDRRASWADEMIPTATEDGSMEDIVHYRRGDFECFCVLTSTEIVYSYEPVITEDGALTMRRTGTAPTRMFRAEEPVARYLVVSGKDLLIVRRFASLPVAPDVIGLHVVRLQDRQGARYTLNCWDIPPGQLLFLGRGCSRASTTGVHTKPGGYIFFLDADRFPGSTTNGYHCSDTGRCDYRHIVLEIHRVLPRGPASDCSPWIWFFH* >Brasy4G302400.1.p pacid=40087197 transcript=Brasy4G302400.1 locus=Brasy4G302400 ID=Brasy4G302400.1.v1.1 annot-version=v1.1 MMRAPPRLLSRCSSAPATARYFLLYASPAPPAAACSRQLPPSAAAAVSRSASVSTVVEAPAAAAEPVSSDSASVTPRRRLILLRHGDSAVGGRFTTDHDRPLSKAGRADAISVSDKLQQMGWIPELILCSDSTRTKETLQILQEHVEGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVLLQTCNAALLEAAGNSWVEAFSQAGLGGWKLHGIVKP* >Brasy4G318500.1.p pacid=40087198 transcript=Brasy4G318500.1 locus=Brasy4G318500 ID=Brasy4G318500.1.v1.1 annot-version=v1.1 MAGHEAAETAVREPQPPAAAEGRGLATAEAKRLVRLAGPMVASCFLQNAVNIVSLMFVGHLGELHLAGVSLAISITSATGLNIITGMAFALDTLCGQAFGARQYHLLGIYKQRAMLVIGLACAPFALLWVYAGQILVFLHQDHAIAAEAGAYARWLIPSILLYVPLQCHIRFLQTQSLVLPVMASSGATALCHLAVCWALVYKAGLGSKGAALSNAVSYAVNLVILALYVRLSSACERTWNGFSMEGFKELRQFANLAVPSAFMICVEFWAFEIIVLLSGLLPNPQLETSVLSICLNTSILLFMVPLGLGYSVSTRVSNELGAGQPQAAKLAMRVVMCMALCSGFLLGLAMILLRAVWGHVYSNEKEVVAYIAKMMPVLAISFFIDGIHGSLSGVLTGCGKQKIGAITNLGAFYLAGIPMAVLLAFFFHMNGMGLWLGMVVCGSLTKVLLFASVAWFIDWNKEAVKAKDRVFSSSLPAT* >Brasy4G375500.1.p pacid=40087199 transcript=Brasy4G375500.1 locus=Brasy4G375500 ID=Brasy4G375500.1.v1.1 annot-version=v1.1 MAVTKLSADAPPYLSRNRLRLSAHPPHMAMCAAPYHAAALPFPAVVPPYPYGAPFLLAPPRPVAYGFTRPPPPAHLLLKPAVNANLPFLSPLPPPLSSESIPSPTSTLLPLGGTALSSSPSPPTSPPVRALGPKPKALSSPPSSPPVVRALSPEAPPFVPLPSDPFVPPPFGSAPHKLISLAGAGGVEAEKRLQAEAAEAAAAGAKHAKPPRRGITKGPRRRRQAAEPAPVVVPRGTKQRARSPPPLFTTRPETPVPEPLWPENPQLTTLMIRNIPNKLTPGQLMLLLDDHCARANKKRVHGAPLAAYDFIYLRMDFSRMGSSNMGYAFVNLTTAEAAHGLHHALHGARWKVFASKKVIDICPARIQGKEALVRHFSRAKFACRTAAFLPAVFSPPRDGAAGPSALTCYVGNLVAPCPPPRPRPRPAAAQPARGTTWKPKPIRIVQKEEELASVAASV* >Brasy4G208000.1.p pacid=40087200 transcript=Brasy4G208000.1 locus=Brasy4G208000 ID=Brasy4G208000.1.v1.1 annot-version=v1.1 MTTRGPTLPPSVATVASCYHACSVAAAVPLPPLPLCPAWHDKAHPSNSAAAPAPGRHACCSSAAAPATAPPPSDCSRSATASRLWLRGRRACSRSPCLLLCRRGLPPVAAPPPRLLPFRRGLTQAVARPPHLLPFCRACRGPRDYSLSTASSRLLPRRRSPALIQRRRGPAAAAPRLLQPHRVGDPGARGSPQMRRPRARERQHGSPPVRPWRRKTNRRFCEQEVGGSPTAVRW* >Brasy4G292800.1.p pacid=40087201 transcript=Brasy4G292800.1 locus=Brasy4G292800 ID=Brasy4G292800.1.v1.1 annot-version=v1.1 MAPPDGAILSYLSQSQRVDNEKRPLCLPENHWFRIRPPIHPSSMDDPPPESIVLDAQAYLDDRTNASTAEGCTARGHRIKVTFWISHPPRVSYFTVHFPDLPDPSADELGQLPRIIRTDGDLVLLHVSVCPPGLRIRPEFNDLIIYRAGSKTLQVLPPNPDRKRIPLYGQVGLLDCHDNTGSFFVVAALSSVYRRGHYKLELYDSRKRTWSTKPMYVEPSQAHDYSFTSPTKVITIGGKRGSIGFVDLLQGILICDVLMGDDILRYIRLPFLVAPNKMCRGPPTCDRDITISQGYIKYFDMCVHAEPGSCIGTSYTSQDWEAATWKWVDSEKNWHMEYKLKASNVLVDKSHYELLPNLLHPHGPTETKPILSRLHVGHPALSMHDDIVYIMAKVHHMDSHKAWMLALDMRNKTLNGVTDFSPERTFSFSLTYLQSKISKHLAKRSSNSRHSEGEVCHHLLKFRTTADERRHKAQGMSYRAVARAGEQWSYCNSCSGDADATR* >Brasy4G040300.1.p pacid=40087202 transcript=Brasy4G040300.1 locus=Brasy4G040300 ID=Brasy4G040300.1.v1.1 annot-version=v1.1 MSESAAAPIGLSWAPKLPSLPAAGGGKKGLGTDLSAQGSSLWSPGNELVDGLFVAPRDPRKANKLARKNVKDTTGKGWFDMPAPSITPELKKDLQILQLRHVMDPKRHFKRSGKSKVLPKYFQVGTVIEPAHEFWSSRLTKKERKTTLVDELLSDQKLKNYRMRKVREIQETRKPGGNEKWKNKGRQTFKRAKDRRK* >Brasy4G421000.1.p pacid=40087203 transcript=Brasy4G421000.1 locus=Brasy4G421000 ID=Brasy4G421000.1.v1.1 annot-version=v1.1 MSSGDEIDGDEMVEETHCRDIRRYKCEFCTVIRSKKCLIRAHMVAHHKDELEISEIYNSDGEKIIQEGEPTCEECGASFQKPAHLKQHMQSHSKERSFVCPLEDCPFSYIRKDHLNRHMLKHEGKLFTCPMEGCNKRFSIKANVQRHVKEMHEVENGTKSNQQFVCKEVGCNKAFKYASKLKKHEESHVKLDYVEVVCCEEGCMKTFTNVECLRAHNQSCHQYVQCDICGEKHLKKNIKRHLRAHDTAVPTERIKCTFEDCECSFSNKSNLTKHMKACHDQIRPFACRFAGCGKEFTYKHVRDNHEKSSAHVYVEGDFAEMAEQLRSRPRGGRKRTAVTVETLTRKRVTMPGEAVSLEDGTEYMRWLLSGGDDSIPAE* >Brasy4G432100.1.p pacid=40087204 transcript=Brasy4G432100.1 locus=Brasy4G432100 ID=Brasy4G432100.1.v1.1 annot-version=v1.1 MRTEVIKADTIDAAVDRILNELATDTRRSSNRENVIYFDGWDGLGASVVLQAVAKRLAEPLTRPAGLEFDKIIHIDCSKWQSRRAMQREIAEQLKLPKWVMEIFDKQDEEDDFSGLDQGSRGEITQVVGEIYQTLKNRRFLVILHNGSSEEIDIFNFGLSIDRYANSKMLWTFQGRFRLDPKMIDTVKKSTTTDVLLSSSSDGRDIQELWSYLVRHEAAQVCCNEHGHGIIDPAIAAECVLYVLKQSWIGSHIIDYDWAIHTSNYWICDGIIALTDVDQAWKVGDVLQREMRLLNADNQLNNDKSRIVSSSSHLARSAGRMPYWISTATCGFVLSPSGIIPDSMFRHSHRLGLLNLSRCTFSFSSPPFLCCHSLRFLLLDHCQDLLTKSSTTDHHQPDANKEEKELGKGNTTSWECFQSLWVLDVRYTDWDQILSAQVMDFMTQVRELNVVGAKNWDMSQLQGRLRNIRKIRVTKSTCCFNSNVFSELESLELLDFSGNTIRQGMTSLSGAASNSSLETVTIDGCDGLKVISLRGCKKLRSLFFKGLFQSLEELDLSGTKVKTLDLREVEASSLPKRIILLGCEELHAILWPQEVTNLTDGEGWDVLLLIDTTLTSASADGSLGQQKEKKLKGGWQISLTDTRLLRSLSPVASYLTQKYVHIDIGSEAPIVQGTSSDKLVQVQYTGATVDSNYRDALKHGPVTEMMMWDCPEMPVASSTCFIEVIMRGWKGKELLEDAPSACTSAVLLPDFVCEQVTSLHVYNNSSITSIPIPPNGSGWNYLFWCQVERCPKLHTIFTLPQGSSVDNFYFLETFWASQLLTTCYIWDWTIFLTSHAFPDLMFLHLDYCPRLMHVLPIHASSLSGLETLEIVYCGDLREVFPLSPQLQDQDAIIEFPELRRIHLHELPTLQRICGRRMWAPKLETIKIRGCWSLRRLPAIGHDTEPPKVDCEKEWWDNLEWDGVEKYHHPSLYEPTHSKYYKTKLPRGSLLR* >Brasy4G093000.1.p pacid=40087205 transcript=Brasy4G093000.1 locus=Brasy4G093000 ID=Brasy4G093000.1.v1.1 annot-version=v1.1 MAPPAATATAAAGGGSSSNNAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGDTRIVMLPRDIPYADLAARMRELYEEADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRVFLFSQHLDDEAASVAVHYHGDERETERRYVDALNSLGDMRSPSSPVSVEQLFGIGGNDSGIPDSLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPVSPSSARFQVGAEDFDERIPDDFVRHSPKYRHYEAQSPPHVDNLVWLPPGAVVQQNAGFPGNLGRSGSFLDGNSVYDISRSPFQKGQGSVSDPRYVDPRWRPVQQHFDQSGMTNEYSAAHPANNARPDFGRPGEQYVVPQDVRLENGVYVKEQTGGHPPVFYTESHSHDRAWHAHPNQSHQRYEDPRLNLPGNGRVMEPYIDSNSANSAFTTGKVYEMHSASHSRSSHESPHYYHGSGEHINDAYHNQQVVSSGSYVQTSGFDESTGQHYGHSSTYGADTFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGSINSNFVRNTGDVSPRIPGVPVYDRLPNAWPAPNGNIPYRVVGLDSPAVVENPSALVPRPNPNTTQYVQPFFAPESVQQQPGVPLIEIYPERACAGPMLSSLDGRVAVPALPLTDQLSTLDINTTKKPEGPEDEKHMQNVTGTRPSHAVSDPSTLVHHVGVAHEIDLKQWKPTEHEASTTKVHQSGAIAMQECGDIPEDRLNFIPELVASVKKAALEDTEKPAEAQPDARPAVSPVCDDENDAKKFDEITDGDQDSDVHGSGDQHKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVFHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSDKIDVYSFGIVMWELLTGDEPYSDMRAAEIIGGIVNNSLRPQIPSWCDPEWKSLMEGSWAGEPAERPSFTEISQRLRKMAAAMNVK* >Brasy4G093000.2.p pacid=40087206 transcript=Brasy4G093000.2 locus=Brasy4G093000 ID=Brasy4G093000.2.v1.1 annot-version=v1.1 MAPPAATATAAAGGGSSSNNAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGDTRIVMLPRDIPYADLAARMRELYEEADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRVFLFSQHLDDEAASVAVHYHGDERETERRYVDALNSLGDMRSPSSPVSVEQLFGIGGNDSGIPDSLRHLNVPRPSHSQRYGDMDSPWSPAYISPGQYGVHDPRDFPVSPSSARFQVGAEDFDERIPDDFVRHSPKYRHYEAQSPPHVDNLVWLPPGAVVQQNAGFPGNLGRSGSFLDGNSVYDISRSPFQKGQGSVSDPRYVDPRWRPVQQHFDQSGMTNEYSAAHPANNARPDFGRPGEQYVVPQDVRLENGVYVKEQTGGHPPVFYTESHSHDRAWHAHPNQSHQRYEDPRLNLPGNGRVMEPYIDSNSANSAFTTGKVYEMHSASHSRSSHESPHYYHGSGEHINDAYHNQQVVSSGSYVQTSGFDESTGQHYGHSSTYGADTFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGSINSNFVRNTGDVSPRIPGVPVYDRLPNAWPAPNGNIPYRVVGLDSPAVVENPSALVPRPNPNTTQYVQPFFAPESVQQQPGVPLIEIYPERACAGPMLSSLDGRVAVPALPLTDQLSTLDINTTKKPEGPEDEKHMQNVTGTRPSHAVSDPSTLVHHVGVAHEIDLKQWKPTEHEASTTKVHQSGAIAMQECGDIPEDRLNFIPELVASVKKAALEDTEKPAEAQPDARPAVSPVCDDENDAKKFDEITDGDQDSDVHGSGDQHKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVFHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSDKIDVYSFGIVMWELLTGDEPYSDMRAAEIIGGIVNNSLRPQIPSWCDPEWKSLMEGSWAGEPAERPSFTEISQRLRKMAAAMNVK* >Brasy4G360200.1.p pacid=40087207 transcript=Brasy4G360200.1 locus=Brasy4G360200 ID=Brasy4G360200.1.v1.1 annot-version=v1.1 MVSLSADILHDILKRLDGAALARAGCACADLRDISREELLWENACRSLWPSTNHDDVRSLIVSVGGFRKFYADCFTLILNKDAPAIQTNETNLFAEEWAESDYYYDYMDELENALPSDFVSLIDVRYRDRALYSDVIWGIPSSDGANGWFNNCPFRIDVFHHSPENNEELFLSTINDLPWMPSLEQERKDGKLWRELNDGIKLSWIIVNQKMKLAVNLSSWHPLGGQRHWPTDTDFVLRFGSVLPAKEVLLPCGVAKCILLTKFRVTSMGGTEVGEPTTLALMELNMGIEDMGGVHLNGHRSLLVLKEALSCHRSRNYDEVLESCHLYLRVQSDLKEEKVRSECRFDTLCVVSGITVFVALCVMCYRKFDRF* >Brasy4G088900.1.p pacid=40087208 transcript=Brasy4G088900.1 locus=Brasy4G088900 ID=Brasy4G088900.1.v1.1 annot-version=v1.1 MNKLMRGSSVLPRWTFHLKYPAFFWMHNQELHSGSASSAFSRQRDLSRVCVCCAVPTLSGSQQLPPVKRGPPGTTSPRFLRVF* >Brasy4G245600.1.p pacid=40087209 transcript=Brasy4G245600.1 locus=Brasy4G245600 ID=Brasy4G245600.1.v1.1 annot-version=v1.1 MAPPPPPAHLLSPKPEPDAPLSPQTQQMLLTPKAEPDAAPPADLALEHFDPHRQQQLEPYPDPQHRLCATPAANLYLPPAGKGSLPPPPQSAIVASASSTGKKRKRQGNQMMRASAATAQDCLYSRSLVRAARLTFEALRVHFARHPLPDAPRNRIDLRACNAMRVQGLSLYREPRIVGAIPGVSVGDAFFYRAELCVVGLHRTTQSGISFVPAGLVLQGHPVATSIVSSGVYHDDQDSGDVLVYTGSGGRKRNRVEHFEDQKADSGGNLALHNSYVYGIEVRVIRCHPCQVSPSSKVYVYDGLYNVVSSSYGPGKSGPSVCTFKLMRIAGQDQLGSSTWCMAKDIKDKLASQVFPPGYISLDLSNGKEVLRVPVRNNVDNVSSPLHFEYITHPEFPLPPILGPVRRHKGCHCRGGTCGSKCSCARKNSGGPVYNEDGTLVRGRPVVYECGDLCDCTMSCLNRATQRGMKHQLELFRSRETGWGVRTLDLIQPGAFVCEFSGDVVAARDASMDQGSFIDPRRFPERWKEWGDASAVFPDQKKAPSHPFQGPDYVLDLSQRRNFASYIRHSSIPNVFVQLVIRGNENLSFPHLMVFGMDTIPPLRELSIDYGITQ* >Brasy4G393300.1.p pacid=40087210 transcript=Brasy4G393300.1 locus=Brasy4G393300 ID=Brasy4G393300.1.v1.1 annot-version=v1.1 MYANLGAPRDARRVFDGILRRDVVSWNAMMKAYERAGMAADVARMFRDMVDEGAVAPNEVTVAVVLAACRDAGDLVLGRWLEEWVRSAAIEVGSLVGSALVGMYEKCGEMAEARRVFDGITHKDVVAWNAMITGYAQNGMSKDAIALFHSMRQEGTSPDKITLVGVLSACAAVGALELGTELDTYASHRGLYSNVYVGTALVDMYSKCGDLDKAVQVFGKLSCKNEATWNALICGLAFNGRGHEAIRQFEVMRNEEGLRPDDITFIGVLSACVHAGLLEDGRRWFNSLTSEFQIIPKIEHYSCMVDLLARAGHLEEAWDFVEKIPGKADAVMLGALLAACRKCKNVEVSERVINRIMQLEPSNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVSKIPGCSWVEVSGKVLEFYAGDEPQHGADDMYQLHDMLIDEMRLEGYVPNLDLV* >Brasy4G393300.2.p pacid=40087211 transcript=Brasy4G393300.2 locus=Brasy4G393300 ID=Brasy4G393300.2.v1.1 annot-version=v1.1 MYANLGAPRDARRVFDGILRRDVVSWNAMMKAYERAGMAADVARMFRDMVDEGAVAPNEVTVAVVLAACRDAGDLVLGRWLEEWVRSAAIEVGSLVGSALVGMYEKCGEMAEARRVFDGITHKDVVAWNAMITGYAQNGMSKDAIALFHSMRQEGTSPDKITLVGVLSACAAVGALELGTELDTYASHRGLYSNVYVGTALVDMYSKCGDLDKAVQVFGKLSCKNEATWNALICGLAFNGRGHEAIRQFEVMRNEEGLRPDDITFIGVLSACVHAGLLEDGRRWFNSLTSEFQIIPKIEHYSCMVDLLARAGHLEEAWDFVEKIPGKADAVMLGALLAACRKCKNVEVSERVINRIMQLEPSNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVSKIPGCSWVEVSGKVLEFYAGDEPQHGADDMYQLHDMLIDEMRLEGYVPNLDLV* >Brasy4G393300.3.p pacid=40087212 transcript=Brasy4G393300.3 locus=Brasy4G393300 ID=Brasy4G393300.3.v1.1 annot-version=v1.1 MYANLGAPRDARRVFDGILRRDVVSWNAMMKAYERAGMAADVARMFRDMVDEGAVAPNEVTVAVVLAACRDAGDLVLGRWLEEWVRSAAIEVGSLVGSALVGMYEKCGEMAEARRVFDGITHKDVVAWNAMITGYAQNGMSKDAIALFHSMRQEGTSPDKITLVGVLSACAAVGALELGTELDTYASHRGLYSNVYVGTALVDMYSKCGDLDKAVQVFGKLSCKNEATWNALICGLAFNGRGHEAIRQFEVMRNEEGLRPDDITFIGVLSACVHAGLLEDGRRWFNSLTSEFQIIPKIEHYSCMVDLLARAGHLEEAWDFVEKIPGKADAVMLGALLAACRKCKNVEVSERVINRIMQLEPSNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVSKIPGCSWVEVSGKVLEFYAGDEPQHGADDMYQLHDMLIDEMRLEGYVPNLDLV* >Brasy4G238800.1.p pacid=40087213 transcript=Brasy4G238800.1 locus=Brasy4G238800 ID=Brasy4G238800.1.v1.1 annot-version=v1.1 MPIFRRGDRGTAGWRFFRFLPKIGIGGVVGELLDLLLPGWFGSEPANPPTQTRGKEGCAAAGGKGGTAAGGCAVAGGKGGAAAGSVAADGAPEWIGGRPEKHQSCLGMWGCCAAADGAPGWVGGWPEKQHQPCLDNADPPTPQHAAAVGICRPP* >Brasy4G024800.1.p pacid=40087214 transcript=Brasy4G024800.1 locus=Brasy4G024800 ID=Brasy4G024800.1.v1.1 annot-version=v1.1 MASTVLEATRAAHEDLERLERLAVRELQRDPANARDRLFQSHRVRHMIDLVVSTSEKLVEIYDDKDSARKDEISTHLTAPVQDDIFNKFYDRLKEIRNYHRRNQSARFVSETDDYEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGSLMEYSAYVGTFAQTEKITHSLKATRQYKEYLEHILEYLTSFLYRTEPLQDLEKIFTKLESEFEEQWANGEVPGWENKGTEKETASQESAIDLDYYSTVEELVEFGPEKLKEALAARGLKSGGTVQQRAERLFLLKHKALELLDRKHFAKGSRSSFSNASNGNNFKDDLKKEIALLEIKMRRLCEILNEVIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIDEAKALWDRIQSKQGLNKWRPDLEEEYEDQDGNIYNKKTYTDLQRQGLI* >Brasy4G171000.1.p pacid=40087215 transcript=Brasy4G171000.1 locus=Brasy4G171000 ID=Brasy4G171000.1.v1.1 annot-version=v1.1 MEHDVHQQQQLEEPMELPPGFRFHPTDEELVTHYLARKTADPVGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFVAKDRKYPTGTRTNRATESGYWKATGKDREILRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLEGKHAPAPYSLPRAATSKQDEWVLCRVFKKSLEPSAAGSNNGARKGACTGMAADAAGTSSMSLAALPPLIDVAGSGSFAPPAAANVTCFSNALEGHQFLNPPSFLVPASSSAPAAAGQGAGDNIHIAMESAAASPFFASMQMQYAQEVSGGMEHEFLLDGGGRGWYSSKGERERLSGASQDTGLTSEVNPTEISSSRQHMDHEPTFWGY* >Brasy4G171000.2.p pacid=40087216 transcript=Brasy4G171000.2 locus=Brasy4G171000 ID=Brasy4G171000.2.v1.1 annot-version=v1.1 MEHDVHQQQQLEEPMELPPGFRFHPTDEELVTHYLARKTADPVGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFVAKDRKYPTGTRTNRATESGYWKATGKDREILRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLEGKHAPAPYSLPRAATSKQDEWVLCRVFKKSLEPSAAGSNNGARKGACTGMAADAAGTSSMSLAALPPLIDVAGSGSFAPPAAANVTCFSNALEGHQFLNPPSFLVPASSSAPAAAGQGAGDNIHIAMESAAASPFFASMQMQYAQEVSGGMEHEFLLDGGGRGWYSSKGERERLSGASQDTGLTSEVNPTEISSSRQHMDHEPTFWGY* >Brasy4G171000.4.p pacid=40087217 transcript=Brasy4G171000.4 locus=Brasy4G171000 ID=Brasy4G171000.4.v1.1 annot-version=v1.1 MEHDVHQQQQLEEPMELPPGFRFHPTDEELVTHYLARKTADPVGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFVAKDRKYPTGTRTNRATESGYWKATGKDREILRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLEGKHAPAPYSLPRAATSKDEWVLCRVFKKSLEPSAAGSNNGARKGACTGMAADAAGTSSMSLAALPPLIDVAGSGSFAPPAAANVTCFSNALEGHQFLNPPSFLVPASSSAPAAAGQGAGDNIHIAMESAAASPFFASMQMQYAQEVSGGMEHEFLLDGGGRGWYSSKGERERLSGASQDTGLTSEVNPTEISSSRQHMDHEPTFWGY* >Brasy4G171000.5.p pacid=40087218 transcript=Brasy4G171000.5 locus=Brasy4G171000 ID=Brasy4G171000.5.v1.1 annot-version=v1.1 MEHDVHQQQQLEEPMELPPGFRFHPTDEELVTHYLARKTADPVGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFVAKDRKYPTGTRTNRATESGYWKATGKDREILRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLEGKHAPAPYSLPRAATSKDEWVLCRVFKKSLEPSAAGSNNGARKGACTGMAADAAGTSSMSLAALPPLIDVAGSGSFAPPAAANVTCFSNALEGHQFLNPPSFLVPASSSAPAAAGQGAGDNIHIAMESAAASPFFASMQMQYAQEVSGGMEHEFLLDGGGRGWYSSKGERERLSGASQDTGLTSEVNPTEISSSRQHMDHEPTFWGY* >Brasy4G171000.3.p pacid=40087219 transcript=Brasy4G171000.3 locus=Brasy4G171000 ID=Brasy4G171000.3.v1.1 annot-version=v1.1 MEHDVHQQQQLEEPMELPPGFRFHPTDEELVTHYLARKTADPVGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFVAKDRKYPTGTRTNRATESGYWKATGKDREILRGKALVGMKKTLVFYTGRAPKGGKTGWVMHEYRLEGKHAPAPYSLPRAATSKDEWVLCRVFKKSLEPSAAGSNNGARKGACTGMAADAAGTSSMSLAALPPLIDVAGSGSFAPPAAANVTCFSNALEGHQFLNPPSFLVPASSSAPAAAGQGAGDNIHIAMESAAASPFFASMQMQYAQEVSGGMEHEFLLDGGGRGWYSSKGERERLSGASQDTGLTSEVNPTEISSSRQHMDHEPTFWGY* >Brasy4G355800.1.p pacid=40087220 transcript=Brasy4G355800.1 locus=Brasy4G355800 ID=Brasy4G355800.1.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKVLLQGSTLTSDAVAWTA* >Brasy4G355800.3.p pacid=40087221 transcript=Brasy4G355800.3 locus=Brasy4G355800 ID=Brasy4G355800.3.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.5.p pacid=40087222 transcript=Brasy4G355800.5 locus=Brasy4G355800 ID=Brasy4G355800.5.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.2.p pacid=40087223 transcript=Brasy4G355800.2 locus=Brasy4G355800 ID=Brasy4G355800.2.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.12.p pacid=40087224 transcript=Brasy4G355800.12 locus=Brasy4G355800 ID=Brasy4G355800.12.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.13.p pacid=40087225 transcript=Brasy4G355800.13 locus=Brasy4G355800 ID=Brasy4G355800.13.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.10.p pacid=40087226 transcript=Brasy4G355800.10 locus=Brasy4G355800 ID=Brasy4G355800.10.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.6.p pacid=40087227 transcript=Brasy4G355800.6 locus=Brasy4G355800 ID=Brasy4G355800.6.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.11.p pacid=40087228 transcript=Brasy4G355800.11 locus=Brasy4G355800 ID=Brasy4G355800.11.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.4.p pacid=40087229 transcript=Brasy4G355800.4 locus=Brasy4G355800 ID=Brasy4G355800.4.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.7.p pacid=40087230 transcript=Brasy4G355800.7 locus=Brasy4G355800 ID=Brasy4G355800.7.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.8.p pacid=40087231 transcript=Brasy4G355800.8 locus=Brasy4G355800 ID=Brasy4G355800.8.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.9.p pacid=40087232 transcript=Brasy4G355800.9 locus=Brasy4G355800 ID=Brasy4G355800.9.v1.1 annot-version=v1.1 MPVSFKYWDDCLDPDDLRLMWADPQVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.15.p pacid=40087233 transcript=Brasy4G355800.15 locus=Brasy4G355800 ID=Brasy4G355800.15.v1.1 annot-version=v1.1 MIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKDCSYKAYTVNSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G355800.14.p pacid=40087234 transcript=Brasy4G355800.14 locus=Brasy4G355800 ID=Brasy4G355800.14.v1.1 annot-version=v1.1 MIGALAEIASGRRLFVDNYDHKTKETKMGMMQVTPEVAQWLGRELGYKNYDIELEENTNLLYWPFVNVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPESFNVLTLDLLENSSSTGAQLICWDSKVSEEDMDAMWSQPDVVNEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAGIIIWRHLSSRGVTPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLYKERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALKHYEDPKKDHTGCCIL* >Brasy4G092100.1.p pacid=40087235 transcript=Brasy4G092100.1 locus=Brasy4G092100 ID=Brasy4G092100.1.v1.1 annot-version=v1.1 MLPLARAAVRRSLGHAGPVATCGSPPARHLLLLAPLSSKSSSASPPEYQMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELAAVELPSSLDVLQERLDFLLRLGLSTDDLSSYPFLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLAPVVKALRGLDVDRQDIPRVLDRYPDLLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLSMRVGTTIKPLCDYITSLGLPMRILARIVEKRPYILGYDLQETVKPNVEALLSFGVRKEVLPLVIAQYPSILGLPLKVKLAAQQYFFNLKLKIDPDGFARAVEKLPQLVSLHQNVILKPVEFLRGRGITDEDVGRMLVRCPQILLLRNELMKNSFYFFKSELKRPISELLDYPEYFTYSLESRIKPRYMRVASKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNEDSDDEVLYRRTVML* >Brasy4G061300.1.p pacid=40087236 transcript=Brasy4G061300.1 locus=Brasy4G061300 ID=Brasy4G061300.1.v1.1 annot-version=v1.1 MGKERHKDNASKTYILKVNMHCCCNGCIKKIKDGVKEIILSEGVDSADLVVEKSEVTVVGTMDPENLCCLFHELTQKDVKIETQRNMSGGGTTPSQETNYRDGQDFPDSFAPETPRRLRNSLSASPATPSAPPLPETWRDQAVPSERCAYRWSAPSAGALCVWTASEVTGILAVYEL* >Brasy4G117900.1.p pacid=40087237 transcript=Brasy4G117900.1 locus=Brasy4G117900 ID=Brasy4G117900.1.v1.1 annot-version=v1.1 MGLGNEASSSSSGLDSAPLLPHHSAVKGHLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGTILLLSVALLTFYCMMLLVACRRRLADEHPKKISSFGDLGDAVFGAPGRLAVDAMLVLSQASFCVGYLIFISNTMAHLYPIFAPSSNIFLSPKALFMYAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVVGQDVSAWLASHPPVVAFGAPAALLYGVGVSVYAFEGVCMVLPLEAEAADKKKFGATLGLSMAFIAAMYGLFGVMGYVAFGEATRDIITTNLGSGWLSAAVQLGLCINLFFTMPVMMNPVYEVAEHLLRGKRYCWWLRWVLVVAVGMSAMLVPNFTDFLSLVGSSVCVLLGFVLPATFHLKVFGAEMGWHGVLSDVLLMVLGLVLAVSGTYSSLVQIFHSSSA* >Brasy4G036700.1.p pacid=40087238 transcript=Brasy4G036700.1 locus=Brasy4G036700 ID=Brasy4G036700.1.v1.1 annot-version=v1.1 MKSCQAALLLLLALVLVASSPAMAAQCSDPEHTCYQFIKRGPCDAGKCMSDWARPMLSPGVQVLLLLQQAKSPREETTPVD* >Brasy4G408900.1.p pacid=40087239 transcript=Brasy4G408900.1 locus=Brasy4G408900 ID=Brasy4G408900.1.v1.1 annot-version=v1.1 MAFYGGMGMGMGMGAGASSSSQAHGQQQQQQQPMMMMPAAPPPMPMPGARPWTRAEDKVFESALVAFPDHVQNRWAYVASQLPGRTPQEAWEHYQALIEDVDLIEAGFIETPASWDEEEEAAAAAAEAAAAAAAAAAAAAGGSGARRGRGEERRRGVPWSEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFLRLAGKGDTKRKSIHDITNP* >Brasy4G268500.1.p pacid=40087240 transcript=Brasy4G268500.1 locus=Brasy4G268500 ID=Brasy4G268500.1.v1.1 annot-version=v1.1 MVADSSSSPAMSAPEGVARRLVQHHKAMIGFLFGFFLVVGLYTTVSGQFGSTNTIAAVLQSAPTAQHSDKNTTASSPGSSSPPASAPKNSTTQGAVHDVDNGQNVDATKKTGDQRLQDNVHGSDSINNKTSANLTVNLDNLQANRTDKSGQTVNTASDKQEEELVQQELDLDGDKNERNTQHGAPRKPICDLSDPRYDVCDMSGDARAVGGPNRTVLYISAGAEEGHEWAIRDQSRKHLEYINTVPVKSLSAAQAQSKAQAPECTSRHAVPAIVFAMNGLTSNPWHDFSDVLIPLFITARGFDGRVQFLATGIQPWFVDKYRLILTNLSRYEIVDFDKDPGVRCHPRVIVGLRSHRDLGIDPARFPAGNKNYTMLDFRLYVRELFSLPPASVDIPYKEQISAAAAEKQRIKKPRLMLINRGRNRKFVNAPEIAAAAESAGFETVVVEPRRDLRLEEFSRAVDSCDVLMGAHGAGLTNFFFLRTGAVLLQVVPWGHMERPSMEFYGVQAQEMRLRDVEYSITAEESTLYGKYGKDHPAVRDPESIHRQGWQLGMRYYWLEQDIRLNVTRFAPTLHQVLRTIGG* >Brasy4G268500.2.p pacid=40087241 transcript=Brasy4G268500.2 locus=Brasy4G268500 ID=Brasy4G268500.2.v1.1 annot-version=v1.1 MVADSSSSPAMSAPEGVARRLVQHHKAMIGFLFGFFLVVGLYTTVSGQFGSTNTIAVLQSAPTAQHSDKNTTASSPGSSSPPASAPKNSTTQGAVHDVDNGQNVDATKKTGDQRLQDNVHGSDSINNKTSANLTVNLDNLQANRTDKSGQTVNTASDKQEEELVQQELDLDGDKNERNTQHGAPRKPICDLSDPRYDVCDMSGDARAVGGPNRTVLYISAGAEEGHEWAIRDQSRKHLEYINTVPVKSLSAAQAQSKAQAPECTSRHAVPAIVFAMNGLTSNPWHDFSDVLIPLFITARGFDGRVQFLATGIQPWFVDKYRLILTNLSRYEIVDFDKDPGVRCHPRVIVGLRSHRDLGIDPARFPAGNKNYTMLDFRLYVRELFSLPPASVDIPYKEQISAAAAEKQRIKKPRLMLINRGRNRKFVNAPEIAAAAESAGFETVVVEPRRDLRLEEFSRAVDSCDVLMGAHGAGLTNFFFLRTGAVLLQVVPWGHMERPSMEFYGVQAQEMRLRDVEYSITAEESTLYGKYGKDHPAVRDPESIHRQGWQLGMRYYWLEQDIRLNVTRFAPTLHQVLRTIGG* >Brasy4G268500.3.p pacid=40087242 transcript=Brasy4G268500.3 locus=Brasy4G268500 ID=Brasy4G268500.3.v1.1 annot-version=v1.1 MVADSSSSPAMSAPEGVARRLVQHHKAMIGFLFGFFLVVGLYTTVSGQFGSTNTIAAVLQSAPTAQHSDKNTTASSPGSSSPPASAPKNSTTQDNGQNVDATKKTGDQRLQDNVHGSDSINNKTSANLTVNLDNLQANRTDKSGQTVNTASDKQEEELVQQELDLDGDKNERNTQHGAPRKPICDLSDPRYDVCDMSGDARAVGGPNRTVLYISAGAEEGHEWAIRDQSRKHLEYINTVPVKSLSAAQAQSKAQAPECTSRHAVPAIVFAMNGLTSNPWHDFSDVLIPLFITARGFDGRVQFLATGIQPWFVDKYRLILTNLSRYEIVDFDKDPGVRCHPRVIVGLRSHRDLGIDPARFPAGNKNYTMLDFRLYVRELFSLPPASVDIPYKEQISAAAAEKQRIKKPRLMLINRGRNRKFVNAPEIAAAAESAGFETVVVEPRRDLRLEEFSRAVDSCDVLMGAHGAGLTNFFFLRTGAVLLQVVPWGHMERPSMEFYGVQAQEMRLRDVEYSITAEESTLYGKYGKDHPAVRDPESIHRQGWQLGMRYYWLEQDIRLNVTRFAPTLHQVLRTIGG* >Brasy4G268500.4.p pacid=40087243 transcript=Brasy4G268500.4 locus=Brasy4G268500 ID=Brasy4G268500.4.v1.1 annot-version=v1.1 MVADSSSSPAMSAPEGVARRLVQHHKAMIGFLFGFFLVVGLYTTVSGQFGSTNTIGAVHDVDNGQNVDATKKTGDQRLQDNVHGSDSINNKTSANLTVNLDNLQANRTDKSGQTVNTASDKQEEELVQQELDLDGDKNERNTQHGAPRKPICDLSDPRYDVCDMSGDARAVGGPNRTVLYISAGAEEGHEWAIRDQSRKHLEYINTVPVKSLSAAQAQSKAQAPECTSRHAVPAIVFAMNGLTSNPWHDFSDVLIPLFITARGFDGRVQFLATGIQPWFVDKYRLILTNLSRYEIVDFDKDPGVRCHPRVIVGLRSHRDLGIDPARFPAGNKNYTMLDFRLYVRELFSLPPASVDIPYKEQISAAAAEKQRIKKPRLMLINRGRNRKFVNAPEIAAAAESAGFETVVVEPRRDLRLEEFSRAVDSCDVLMGAHGAGLTNFFFLRTGAVLLQVVPWGHMERPSMEFYGVQAQEMRLRDVEYSITAEESTLYGKYGKDHPAVRDPESIHRQGWQLGMRYYWLEQDIRLNVTRFAPTLHQVLRTIGG* >Brasy4G398800.1.p pacid=40087244 transcript=Brasy4G398800.1 locus=Brasy4G398800 ID=Brasy4G398800.1.v1.1 annot-version=v1.1 MTMLALPPTDAATLPAQEDPSASEKLATDGSSAADPSGIDSGWVFLGKSDVVPPELAAAAVAADHRRLGFSPLPMIPIWVQMVLGGVVYTAVPFYKRARKVEGKAIENVETALDVLERAAEVTEKLAANVANSLPEDGSLHKLAEEIEYIAEVVDKDAQKVEVIVKKIEMLSDQMDAAVEPVIKELEEEFKPNPASTV* >Brasy4G377000.1.p pacid=40087245 transcript=Brasy4G377000.1 locus=Brasy4G377000 ID=Brasy4G377000.1.v1.1 annot-version=v1.1 MSASSSYSYKAILLGIVCLLLTLGFLSVAPPFPTASSNNTRKSRYIVITGCNNYCNVACCYCNIHRFPPVCEKCCK* >Brasy4G392000.1.p pacid=40087246 transcript=Brasy4G392000.1 locus=Brasy4G392000 ID=Brasy4G392000.1.v1.1 annot-version=v1.1 MPPPPLQGLPVKARGRAVRCGWKGSRRSGCWRRALRLPPRPRRRADHAPRSGVRALSARGGGRGGERRKEGHQRLRTADPAARGRADERTRPAALEFLGARRRADQPPRGWGWGGGGGVRSRREEEGGRLEGRRSSSPQGHGVSRRRERGRRER* >Brasy4G282700.1.p pacid=40087247 transcript=Brasy4G282700.1 locus=Brasy4G282700 ID=Brasy4G282700.1.v1.1 annot-version=v1.1 MAGGGELKLLGMWASPYVTRVKLALHLKGLTYEYVEEDLGNKSELFLASNPVHKTVPVLIHNGKPICESLAILQYIDEAFAGTGPSLLPADPYERAVARFWAAYVEDKLLAPWRMAFRVKTDEERAEWMKQTVAAVDTLEGGLRECSKGKPFFGGESVGLVDVLLGAVSSWVRGAEELSGHVLFDAEKAPLLAALMERFGELDAAREVLQDVDSLVEYAKMILARASAAEPASN* >Brasy4G227500.1.p pacid=40087248 transcript=Brasy4G227500.1 locus=Brasy4G227500 ID=Brasy4G227500.1.v1.1 annot-version=v1.1 MGETGNYSGGFGLDGENSWYEWEMPKVAVGRRWRDDEGGGCRGEIGKPVADLGAGCRGGGRRWGGGGEAGAACGEGGRRWSGHPLERERRRQWSAR* >Brasy4G104700.1.p pacid=40087249 transcript=Brasy4G104700.1 locus=Brasy4G104700 ID=Brasy4G104700.1.v1.1 annot-version=v1.1 MDQPKPQPSAAGPDTTANPHAFTCELPHSIFALAFAPSAPVLAAGSFLEDLHNRVSLLAFDSVHPSATSFRAIPALSFDHPYPPTKLQFNPRAAAPPLLASSSDVLRLWHTPLDDLSPSAPAPELRSVLDNRKASASEFCAPLTSFDWNEIEPRRIGTASIDTTCTIWDIDLGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRYDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHGGCVNAVAWAPQATRHLCSGGDDGQALIWELPEAAVPAEGIDPVLVYDAGAEINQLQWVAAHPDWMGIAIENKVQLLRV* >Brasy4G079800.1.p pacid=40087250 transcript=Brasy4G079800.1 locus=Brasy4G079800 ID=Brasy4G079800.1.v1.1 annot-version=v1.1 MAPRVSRRPCPCPRLPEPLPHRSSSAARTPRRRPAPTAPCRPSRQPAPCCRASSWRTSARSGRALSPLSASRSTPLPIGEHAKGTCPSRPGASLCYLSPRSTVMRLSSPSLFPRGTPSTSA* >Brasy4G093800.1.p pacid=40087251 transcript=Brasy4G093800.1 locus=Brasy4G093800 ID=Brasy4G093800.1.v1.1 annot-version=v1.1 MEAKMVVATSYSLLSKEDASTLPKRLDYQLLAAACSGSFQNLESLLNGEGRRQARTIEISSAMPRASDDEEAFLRESLLDGVTSNGDNLLHVVATNGDNEDFLNKAGLIHRKAVNLLLLQNNQGDTPLHCAARAGKSRMVALLIDLSKGQDNNASTSVKALLETENKIKETALHDAVRIGNNDMVKLLMEEHPQLASFPEIGTSPLYVAILLGHQIIAKTLYQMSDKILSYSGPNGQNALHAAVFRGKALTKMLLDWKKQDLTIQRDEKGNTPLHFAASVLRPRAVRLLLLEANPDALFQPDNNGSYPIHIAASVGANMATNDFLRKSPTCAGLRDARGRTFLHVAVDKSEISVVFYAFWNTPSLSSWILNMQDKDGNTALHVAVQARSLPLFCALFGIKQVQLDLTNKKGETPLDIACCNVPTGMYYNQISEAKIRCALRLVGAKRGICRRDYFEKNDIVQARQYEIHRVEKVKDATQNLCIGSILVATVTFGATFAMPGGYRADDHTNGGTPTLAGRYAFDAFTVANALAFTFSAMATISLMTSGSPFINPGSRTVHLGMAYYLMSISVTSLVVAFALGTYLMLAPVAHNTVIAVCVLSSLVLLYQNLEFAAKTFVLIPPFCMRKGIPFTFRWSVPSILMTILFKHWPIIVIFCSTAKNYHVPIVEPPVQPPTPLA* >Brasy4G012000.1.p pacid=40087252 transcript=Brasy4G012000.1 locus=Brasy4G012000 ID=Brasy4G012000.1.v1.1 annot-version=v1.1 MLPPSAGTPPASLSSPSSFLPSLPLLRPRRRRCLPVPKAAAFPPSSRSPSPLSAKNLPSPDNTPPPPSSASASASRQPSRPSSTFTAVGSEEDPLVSKLRTQLGVIHPLPSPPLPPISRSVLGLFALFFFVGAAFDKLLTLRRRRRAEREVRVNGTWPQVPTPSYSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKSSAVPIVVPVWVRDFDIDGELWVKLSLIPTEPWVGAVSWAFVSLPKIKFELSLFRFFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVAGDVASDIIQNVASGIMQDVATDLIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVAMILGDQVIKSKKNSQTTVTGLPGEPIWNQDFHLLVANPRKQKLRIQVKDSIGLTDITIGTGEVDLGSLKDTVPTDKIVTLYGGWGFFGKRSSGEVLLRLTYKAYVEEEEDEEVKSEYAVGYVSDEDVLDYVQISGTKPSDFNNGNERETFMDLLAALLVSEEFQGIVSSSETRSSIDSEQVEESEAGDDVTAATVSDEVTVSNSPEDRALVWLAAITSVMLLVSSNLGGSGYFNP* >Brasy4G012000.2.p pacid=40087253 transcript=Brasy4G012000.2 locus=Brasy4G012000 ID=Brasy4G012000.2.v1.1 annot-version=v1.1 MLPPSAGTPPASLSSPSSFLPSLPLLRPRRRRCLPVPKAAAFPPSSRSPSPLSAKNLPSPDNTPPPPSSASASASRQPSRPSSTFTAVGSEEDPLVSKLRTQLGVIHPLPSPPLPPISRSVLGLFALFFFVGAAFDKLLTLRRRRRAEREVRVNGTWPQVPTPSYSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKSSAVPIVVPVWVRDFDIDGELWVKLSLIPTEPWVGAVSWAFVSLPKIKFELSLFRFFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVAGDVASDIIQNVASGIMQDVATDLIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVAMILGDQVIKSKKNSQTTVTGLPGEPIWNQVDLGSLKDTVPTDKIVTLYGGWGFFGKRSSGEVLLRLTYKAYVEEEEDEEVKSEYAVGYVSDEDVLDYVQISGTKPSDFNNGNERETFMDLLAALLVSEEFQGIVSSSETRSSIDSEQVEESEAGDDVTAATVSDEVTVSNSPEDRALVWLAAITSVMLLVSSNLGGSGYFNP* >Brasy4G155300.1.p pacid=40087254 transcript=Brasy4G155300.1 locus=Brasy4G155300 ID=Brasy4G155300.1.v1.1 annot-version=v1.1 MQKNYPRIQTVANKVGAISNEFRVPKFEILAGKSDMVTEVKQYGATFRLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAGQKGCVVYANDLNPDSIRYLKTNAKINKVEDYIFTYNLDARVFMQTLMSVPGPETKSEPQLATANCSSGEMVCADGQSTSNGNHNDVQEIFQKSLNDHSMVGTTSKRRQDTSTEGDLACQEDGNQTKKRNNKKVKCTGPLPVKPWEHIDHVVMNLPASSLHFLDCFSGLVQKRCWKGSLPWIHCYCFIRSSETEELILSEAENKLNAKIEEPIFHRVRDVAPNKAMFCLSFRLPTECLKEETEDHMSIS* >Brasy4G059100.1.p pacid=40087255 transcript=Brasy4G059100.1 locus=Brasy4G059100 ID=Brasy4G059100.1.v1.1 annot-version=v1.1 MAATRVLDRLAVSAAEHGGGVLPLTFFDVPWVFTGPVERVFFYAYPHPVEHFKASLLPSLVSSLSAALAAFYPLLGRVRPCPGGGGGFEFWSEAGDSVEFTVAESDDDFDELSGDAPRDVGRLYSLVPRLPARHTDDGGFSLAAVQVTVFAGRGLAVGVSIHHVACDDSSYMHFMKTWAGHCRAAAGGEEDTVPPPPFLDRAVVKDPDGLAARTLDEMRQLASSNGPPPPAPPPPPPKLVIASFALGRDSIDKLKQRVAAANGAGAVHCSAFTVACAFAWACLARSAPNGCSSERAHLLFSVECRRRLAPPIPHEYLGNCLRPCFVEVATADLLPSASTDGVASAAAAIGAAIRALDEDGGVLAGAEGWFHRILSLVPRRPMSVGGSPRYGVYETDFGLGVGRPRKVELVSIDKTPGTVSMAEAGDGLGGIEVGVVLPEPDMARFASCFSQGLDQL* >Brasy4G059100.2.p pacid=40087256 transcript=Brasy4G059100.2 locus=Brasy4G059100 ID=Brasy4G059100.2.v1.1 annot-version=v1.1 MAATRVLDRLAVSAAEHGGGVLPLTFFDVPWVFTGPVERVFFYAYPHPVEHFKASLLPSLVSSLSAALAAFYPLLGRVRPCPGGGGGFEFWSEAGDSVEFTVAESDDDFDELSGDAPRDVGRLYSLVPRLPARHTDDGGFSLAAVQVTVFAGRGLAVGVSIHHVACDDSSYMHFMKTWAGHCRAAAGGEEDTVPPPPFLDRAVVKDPDGLAARTLDEMRQLASSNGPPPPAPPPPPPKLVIASFALGRDSIDKLKQRVAAANGAGAVHCSAFTVACAFAWACLARSAPNGCSSERAHLLFSVECRRRLAPPIPHEYLGNCLRPCFVEVATADLLPSASTDGVASAAAAIGAAIRALDEDGGVLAGAEGWFHRILSLVPRRPMSVGGSPRYGVYETDFGLGVGRPRKVELVSIDKTPGTVSMAEAGDGLGGIEVGVVLPEPDMARFASCFSQGLDQL* >Brasy4G030300.1.p pacid=40087257 transcript=Brasy4G030300.1 locus=Brasy4G030300 ID=Brasy4G030300.1.v1.1 annot-version=v1.1 MAVAGTPSLSLPPPAQRCATSKPRRLPPRDVVSWTSAIARPARQGDLLAAAAALSAMLSSPTAPPPNDVTLLTVLSACAGDPSSALARPLALSLHALAIKLFPCNLLLCTCLARFYLASRLPHLALKLFDSMPDRSVVTYNTMITGLMRNGLVAAAREVFDEMPAPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVEPDYVTLVAAISACAEVGALGLGMWVHRFVVDKRLEHNVRVANSLIDMYVRCGQVDFARQVFGRMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDTVTFTGVLTACSHAGLTDEGLRYYDAMRAEHGVVARMEHYGCVVDLLGRAGRLDEAMRVVESMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQHLLEQDPGGDSNYVLLSNIYAAVGKWGGAGKVRSLMKARGVKKRPGQSTVEIDGEVHEFVCGDRSHPQAEEVFEVLGLLTHEMAGCEL* >Brasy4G333100.1.p pacid=40087258 transcript=Brasy4G333100.1 locus=Brasy4G333100 ID=Brasy4G333100.1.v1.1 annot-version=v1.1 MAEAPELDRLSALPDDLLHHIMGFLDTRTAVGHLSLLSRRWRYLWASMPRVDLDDRSVSERWGSTLLLLRDRYDAQLHTFSLRSSRENQFPYQRWWLRHVVVGNVIRVLHISLGGVSRFDLPDCVFNSLTLEEIVLSSRIQEQIAPESVCLPRLKKLRLVNVRLLVSSVAESLNSGFPELEELDLDRCCLYRFRISSHTVKTLSVTACTYAEMQVSSPNVVSLRLTVAGRVRLDAMPSLSRAWVNISGDAAKHLAPDGHDFLGALCSAQHLELFRFDSLLQVMKQNPATEGPIFGKLKSLYLGEWLVADFYQPVAYFLNQAPNLASLTLDTLKVCEENAGKLAPRQASTRKEPNDKLKLASASTGLEMLRLRISKGRDAAGFSKMRGLLKEKTKPKEMEVIWF* >Brasy4G319300.1.p pacid=40087259 transcript=Brasy4G319300.1 locus=Brasy4G319300 ID=Brasy4G319300.1.v1.1 annot-version=v1.1 MADAPSSPAAAAMLPPADSAPIIPDTNAPPPSPAAAAMLPPTDSAPIVPDADAPPPSSPAAAIIPPPTTDSSAPEFKFPPPPTIHRYTSKRSRKGGRKAKQQPGASSSSNNPNAGAEEDEYFHLDPVKPGLLEDDTPDLPILLSRFHKDRKIELSADRLTAGSTKGYRMVRATRGVASGAWYFEVRVLHLGPTGGARLGWATDKALLVAPVGNDAFSFGYRSVDGSKVSMAWRSDYGDGFEAGDVLGFYISLPEGEVYLPPKEAEMVKFRGVYFFAKPAKAAKDKEKQTEKPVPVPVPGSEIVYFKNGVCQGTAFDNILGGRYYPAASMYTMPDEPNCEVKFNFGPDFTFFPEDFGGRPVPRPMSEVPYQPYVLMNEGSASAEKPASTAEKAASSAEKAA* >Brasy4G077700.1.p pacid=40087260 transcript=Brasy4G077700.1 locus=Brasy4G077700 ID=Brasy4G077700.1.v1.1 annot-version=v1.1 MCIVSSRPSCQAHRLFPSRSPPPPRPWTGGAPASQPSPPPTTVDGSEIDRSINRPDRSEEMGKIQYAVVARGAVVLAEHNGAGAATNAGAVARQILERLHASGGAAAADCNVSYTQGLFVFHVKRTDGLTALCMTDDAAGRRIPFAFLEDIHGKFVKAYGRAALTALAYTMNDEFSRVLSQRMDYYSNDPNADSINRMKGEMDQVRSVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAALIFILTVIIYIVLVYLCHGFTLPSCVR* >Brasy4G310300.1.p pacid=40087261 transcript=Brasy4G310300.1 locus=Brasy4G310300 ID=Brasy4G310300.1.v1.1 annot-version=v1.1 MAAAPSSAPAAITCRAAVAWGPGQALVMEDVEVAPPGAMEIRVKVVSTSICRSDVTQWQSTAQPDLFPRVFGHEASGVVESVGEGVTEFQVGDHALTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSIRGKPVFHYCAVSSFSEYMVVHSGCAVKVSPTVPMDRICLLSCGVSAGLGAAWNVADISKGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDTNPDKEEKGKAFGVTDFINPGEVSEPVQQVVKRLTDGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKTKPEVSSHYGLFLSGRTLKGSLFGGWRPKSDIPSLVEKYTNKEIQVDGLVTHDMSFSDINKGLELMLENRCLRCVIHMPH* >Brasy4G009500.1.p pacid=40087262 transcript=Brasy4G009500.1 locus=Brasy4G009500 ID=Brasy4G009500.1.v1.1 annot-version=v1.1 MLPSSRPAEAAYTAAQLKQMRAQCIVFLAFKNQQQPRKLQLEIALGGCLPPGHGEGETSSSSQASYSHAVELPPPHLLPISELRLSPRGRRRPRRRGVSPRHEDHAPAPHDE* >Brasy4G060100.1.p pacid=40087263 transcript=Brasy4G060100.1 locus=Brasy4G060100 ID=Brasy4G060100.1.v1.1 annot-version=v1.1 MAWVAAQQLAAVLLLLNAAAAARAIATGAPFTVVAVAVPRVSLAEEEAAAVEGFDDGFGGDGVGGGAAAGGSGAKGLAGNPIVAEVVNKRLKSLTSTFARAIRAELGYCIKDTDSEWDAAFNFSRDTSFLNNCMKQTNGDLHQRVCTAAEMKFYFNSLLGSDEETRGEKNYVSPNKNCNLTSWSDGCEPGWACSAGEQKVNLQDAKDIPLRSQDCQACCPGFFCPHGLTCMMPCPLGAYCPVSTLNKTTGICDPYNYQPPPGNPNHTCGSADNWADVVSTDDIFCPAGFYCPSTTKKLPCSSGFYCRKGSISQTRCYKKSSCPPNSDNQDITIFGALLVFASCLVLLIIYNFSGQLLTNREKKQAKSREAAAKYARETAQARERWKSARDVAKKASSGLQSQLSRTFSRKQKPGQTGGMSSKGLPSLGGDGGGKKDLTDMNSLEDYPEGEGFNLETGDKGARKNMPKGKQMHSRSQIFKYAYGQIEKEKALQQELQENDNNMTLSGVVNMAKDHEGGSRLAIEVAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCDTSGLVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPERVNPPDYYIDILEGIVKPSMSAGVTVKDLPLRWMLHNSYDVPRDMLQSSSGSESSVRGSADPSSPSAESGPSFAAELWANIKDTIMQKKDEFDYNKSTEDLSNRCTPGILRQYRYFLGRVGKQRLREARILGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMAKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYQVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTIIADLCYTKWALEAFVIANAHNYSGVWLITRCGSLVKSGYDISNRSLCIWVLMANGVVFRCVAFFCMVVFQKH* >Brasy4G060100.2.p pacid=40087264 transcript=Brasy4G060100.2 locus=Brasy4G060100 ID=Brasy4G060100.2.v1.1 annot-version=v1.1 MAWVAAQQLAAVLLLLNAAAAARAIATGAPFTVVAVAVPRVSLAEEEAAAVEGFDDGFGGDGVGGGAAAGGSGAKGLAGNPIVAEVVNKRLKSLTSTFARAIRAELGYCIKDTDSEWDAAFNFSRDTSFLNNCMKQTNGDLHQRVCTAAEMKFYFNSLLGSDEETRGEKNYVSPNKNCNLTSWSDGCEPGWACSAGEQKVNLQDAKDIPLRSQDCQACCPGFFCPHGLTCMMPCPLGAYCPVSTLNKTTGICDPYNYQPPPGNPNHTCGSADNWADVVSTDDIFCPAGFYCPSTTKKLPCSSGFYCRKGSISQTRCYKKSSCPPNSDNQDITIFGALLVFASCLVLLIIYNFSGQLLTNREKKQAKSREAAAKYARETAQARERWKSARDVAKKASSGLQSQLSRTFSRKQKPGQTGGMSSKGLPSLGGDGGGKKDLTDMNSLEDYPEGEGFNLETGDKGARKNMPKGKQMHSRSQIFKYAYGQIEKEKALQQELQENDNNMTLSGVVNMAKDHEGGSRLAIEVAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCDTSGLVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPERVNPPDYYIDILEGIVKPSMSAGVTVKDLPLRWMLHNSYDVPRDMLQSSSGSESSVRGSADPSSPSAESGPSFAAELWANIKDTIMQKKDEFDYNKSTEDLSNRCTPGILRQYRVGKQRLREARILGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMAKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYQVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTIIADLCYTKWALEAFVIANAHNYSGVWLITRCGSLVKSGYDISNRSLCIWVLMANGVVFRCVAFFCMVVFQKH* >Brasy4G141100.1.p pacid=40087265 transcript=Brasy4G141100.1 locus=Brasy4G141100 ID=Brasy4G141100.1.v1.1 annot-version=v1.1 MAKNSSFGENVRRKPPTPSAIVIGGGFAGIAAANALRNASFEVVLLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGHQVPQEFVEKMGKVFEAILEETGKLREETEEDISIAKAIAIVMERNPHLRQEGMAHDVLQWYLCRMEGWFATDADAISLQCWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVKIVRHWNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIVLHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKMSDEAAAQFAFSQLKKILPNAAEPINYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSIQYTGTVHGAFSTGEMAAEECRMRVLEKFRELDMLEMCHPMAEQTATVSVPLLISRL* >Brasy4G016300.1.p pacid=40087266 transcript=Brasy4G016300.1 locus=Brasy4G016300 ID=Brasy4G016300.1.v1.1 annot-version=v1.1 MGGGSVKRLVLGLLGAVGGGGHGGKKRTRRRHQQQLQTVVVELRVRMDCERQVKKALAGIKGVEHVEVNRRQQRVTVTGNVDPHKVLRRAQSTGKKAELWRTQNNPTYSSSGAAHMALYGMGTAQAHDRWAAAAPYQRNPDATFGAEHITDLFSDDNPNACLIM* >Brasy4G034300.1.p pacid=40087267 transcript=Brasy4G034300.1 locus=Brasy4G034300 ID=Brasy4G034300.1.v1.1 annot-version=v1.1 MAASLLLRAARRRDLYAPLGNLTTSVQSTFATNACSRWGGFARTFSAKPIGNEVIGIDLGTTNSCVSVMEGKNAKVIENSEGTRTTPSVVAFSQKGERLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSDAIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADTSGAKHLNITLTRSKFESLVSSLIARTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSESEIKKMVREAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYREKIPAEIATEIETAVADLRSEMASDDIEKIKNKMEAANKAVSKIGQHMSGGASGGGAAGGPQEGGSQGGGDQAPEAEYEEVKK* >Brasy4G105300.1.p pacid=40087268 transcript=Brasy4G105300.1 locus=Brasy4G105300 ID=Brasy4G105300.1.v1.1 annot-version=v1.1 MKVAAGASPGGGKETPAASCLRFVLLSLLPLTVLYILYTLHAILSFTPSCPPQHGRSNSVSHITADNRTSSTPPPPAMVTVLTARTPLPPPAMVTVLTPRTVLPPPAMATVLTPPPPAPVTVLKPMTTPPPPATVPVTAATTLQHVVFGIAASARLWEKRKEYIKIWWRPNAGMRGFVWLDRAVRGSSVPEGLPSIKISSDTSRFPYTHRRGHRSAIRISRIVSETFRLGLPGARWYVMGDDDTVFLPDNLLAVLARLDHRQPYYVGCPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRVQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRAAALRRLFEGPVALDSAGVMQQSICYDAANRWTVSVAWGFVAMVSRGATPAREMEMPARTFLNWYKRADYKAHAFNTRPLARNHCEKPALYYLASARRTVVRTGETTVTTYRRWRHRNDIRPPCRWKIPDPDALLDTVIVLKKPDPGLWDRSPMRNCCRVLSSPRKGENGNKTMTIDVGVCNDWEFSQM* >Brasy4G264700.1.p pacid=40087269 transcript=Brasy4G264700.1 locus=Brasy4G264700 ID=Brasy4G264700.1.v1.1 annot-version=v1.1 MKGSKNWSTIAHAVPGRSRIQCRERSCWVLHGIIVNIDYLCDYASMLYQFFVHFSKRKSDGFLRPNPALATDPLQCNLHILAKHKSKILNYIGIDFTKFVGKGPCNSHVYTYYLDPAVNKQAWSEQEEITLICAHQIHGNNWCQLAKLFPGRTGKSIKNQWVGPMKRKLNSYLDGGLLKQFQNLPEDPSVPNCRSPSTLMGSQGSSKNNQLLSDLLVGPKYKQGLRETGENASTFDGKSSGSVNTKGFEACPVDTQQKVDGQMDISDSSEAPVATDKKTDVSSSSVDPKMYVPAPSSMSKEKPMSSTYSLEGPSYWAKPGLSPDYSPQSINEHFDEICSGADPELLHQADIADLLDMSYCESLMIIPPDSPQSGNGGVPGM* >Brasy4G209600.1.p pacid=40087270 transcript=Brasy4G209600.1 locus=Brasy4G209600 ID=Brasy4G209600.1.v1.1 annot-version=v1.1 MHRNQGADEHPHLSTPPVVVSTCRSTLRGTHALCCSSPPSPSPRAPRRRRTKKAQGSAGCARCSLREGRREMKDAKWPAPMMGGGGRRAPAPYSLLATSPSTSISNDGCSPHYPPAASDAAAAAASSFEPPPQRHSPQLGVGVADWLRLQRQSSGSSVGGDDGEVFSSVSTVANAELRESKGDAHRPPGSSGGGGSKSWAQQAEEAYQLQLALALRLCSDAASAADPNFLDSSAADHHDIATSPQSLSHRFWVNGSLSYSDKVSDGFYLIQGMDPFIWTLCTDVQDGGRVPSIESLKALNPTESSIEVVLIDKVADYDLRQQISTAIDVSRSCADTKEITTRLAGIVSVKMGGSVASTEEHDLAPRWRDSVGFLKISSGSVLLPIGKLSVGHCSHRALLFKTLADSINLPCRIVRGCKYCKAVGAASCLVRFSHDREYLIDLIGNPGFLSEPDSLLNGLSSMSISSPLRPPKHSSVAIVDNFKSLAKQYFLDCQSLNLLFNVPAAGTVVDLDEGMGSNLGPNPSCATKSDLQATFSHIKGDAQRNGQDGNFIRQRSFPEDTLSGQSDPFSDLSLNIEDLIIPWSELAVREKIGAGSFGTVHRADWNGSDVAVKILMDQDLHPERLKEFLREVAIMKSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHGARENLDERRLLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKENTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQQPWSNLNPAQVVAAVGFRGRRPEIPSSVDPKVAAIIESCWAKEPWRRPSFANIMESLKPLIKGFRKVHADRWEFAHEGFLRNNKHLLKTIVRRRSSPTQQSSLQPGSSIFRKAQPCSSGESTVDPELHILKREKNALLQEVARLKQEHRQTIAHMSTLNQRLESAEDRQKQVVSFLAKLLRNPAFLRQLTMLREQKDIESSRVKRKFLKHAPHGSTDSGESSSPHTGESGSELPASSPAPPCAHDAIADLQSFLLEDTDLSDGMLPGNFGLEGVEAPGDVGALVQAFNTQDGLDFGTGAELLGTASGAAHCQDPTIGRSKGKNVVCPGLDGSTSSQPDCLVSLPDNMGMIPGTVLETAGKLMDADDEQIWGVDAYLQSSCSDTRQQAYGSGASDPYLMEIANKPEKFWEMDFEELDDGDLHLDKCVIGDPALQQQRGNMKP* >Brasy4G040500.1.p pacid=40087271 transcript=Brasy4G040500.1 locus=Brasy4G040500 ID=Brasy4G040500.1.v1.1 annot-version=v1.1 MDAAVCSLLLLGGGLTVPPTISIISTPHLFPPALPCTSRRRRFIRLAAAGASSSPLPAASTHPRCSRWAVSMDEPPVPEGSGEVSRAEAVDYYVATLARVLGSEQDAQMCIYDALWDRSYEFWCEIDEEAAKELAKMPGVLAVRAVEDDASEEDNRSSSLSPANLASFSDAACNPSSSRRKNEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVVGNDKDAQVSIYHVSWEKDYGFCCHIDEECAKELADVPGVLSVLPDANFGSDNKDYKGDDSLKSSEATQVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEDAGGAALKAMNGEIINGWMIVVDVAKTKLRDRQPPSSVSGFRPRFHTR* >Brasy4G040500.2.p pacid=40087272 transcript=Brasy4G040500.2 locus=Brasy4G040500 ID=Brasy4G040500.2.v1.1 annot-version=v1.1 MCIYDALWDRSYEFWCEIDEEAAKELAKMPGVLAVRAVEDDASEEDNRSSSLSPANLASFSDAACNPSSSRRKNEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVVGNDKDAQVSIYHVSWEKDYGFCCHIDEECAKELADVPGVLSVLPDANFGSDNKDYKGDDSLKSSEATQVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEDAGGAALKAMNGEIINGWMIVVDVAKTKLRDRQPPSSVSGFRPRFHTR* >Brasy4G040500.3.p pacid=40087273 transcript=Brasy4G040500.3 locus=Brasy4G040500 ID=Brasy4G040500.3.v1.1 annot-version=v1.1 MMHQRRIIAARVSHQLTLQASVMLLVTPLLLGGKMSFGLSGWRSQGLKLSQKHKCDKDAQVSIYHVSWEKDYGFCCHIDEECAKELADVPGVLSVLPDANFGSDNKDYKGDDSLKSSEATQVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEDAGGAALKAMNGEIINGWMIVVDVAKTKLRDRQPPSSVSGFRPRFHTR* >Brasy4G040500.4.p pacid=40087274 transcript=Brasy4G040500.4 locus=Brasy4G040500 ID=Brasy4G040500.4.v1.1 annot-version=v1.1 MMHQRRIIAARVSHQLTLQASVMLLVTPLLLGGKMSFGLSGWRSQGLKLSQKHKCDKDAQVSIYHVSWEKDYGFCCHIDEECAKELADVPGVLSVLPDANFGSDNKDYKGDDSLKSSEATQVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEDAGGAALKAMNGEIINGWMIVVDVAKTKLRDRQPPSSVSGFRPRFHTR* >Brasy4G388500.1.p pacid=40087275 transcript=Brasy4G388500.1 locus=Brasy4G388500 ID=Brasy4G388500.1.v1.1 annot-version=v1.1 MSRCYAEMPLRHFMLLGKDKILAVGGDGHAALYDDAARCSHTLPPARSRHRIEPLSVAVGDDSLYVLGANPRDEDGGGGDDEEFAEALVREDPKEGWRYSWRVVPPPPPYAEKKQDVPTPAACAEVGGSSLWVSAEGHGTYALDTASGGGGAWSKVGDWELPFEGRAEYAPELGLWFGFSASSDPDSDDDDPRRLCAWDLLRAGDGDGRGAAPPVASHAWRSFGVPEPAAPRSRTPSPCESRFAHGERVAHLGRGRFCVARFVDVGRRTPRRCRCSCYAGCLFQGPSRRFAVLTGVEVLQQQHGGGGGGLRLVEHKTCRYKLGF* >Brasy4G117800.1.p pacid=40087276 transcript=Brasy4G117800.1 locus=Brasy4G117800 ID=Brasy4G117800.1.v1.1 annot-version=v1.1 MKGKADTSSKGEGRLKAAGGASKRKKAAAASGKPKRPPSAFFVFMSEFRQEYQAEHPNNKSVANVSKAAGEKWRSMSDQDKAPYVEKAGQKKQDYEKTKATFDKKESTSSKKAKTQDDEGSDKSKSEVDDEDAGSDEENDEDDE* >Brasy4G204500.1.p pacid=40087277 transcript=Brasy4G204500.1 locus=Brasy4G204500 ID=Brasy4G204500.1.v1.1 annot-version=v1.1 MKEKHHKHEHRLRRFCGGFAACLLALVLIVAFTALVVYLALRPTKPTFFLQDLQLRSIHLGDPSLSATAQVTLASRNPNDRVGVFYRRLDVFVTYRDEPVTVPVSLPPMYQGHRDVTIWSPVLSGESVPVAGYVADAMRQDIAAGYVVLQVKVDGRVKWKVGSWVSGSYHLFVSCPAVLAAGPPGGMSTSLAGSGGLNGSLSVASLKFTQPTGCTVGT* >Brasy4G129100.1.p pacid=40087278 transcript=Brasy4G129100.1 locus=Brasy4G129100 ID=Brasy4G129100.1.v1.1 annot-version=v1.1 MVFDQRLAVEEQHGVLWFTNRQNDLEVQHLKASALGSTPSPSSSSRKHKKPHDQRKCKPGSTSQGWPDPEKPCRRSLKDRVLSRAFSEELESLMHAGNNQIQPPLDPRGRVIHLWNKIFLAACLVSLFVDPLFLYLTGTQQNMCIEFKHSLALTLSMTRSLLDVFYAVHIFLRFRTAFIAPSSRVFGRGELVIQPCKIARRYLLSTFWFDLITALPLPQFVIWIMVPMLKESATANRKDILRFSIIFQYLPRLFQIFPLTRQIVMATGAMTENAWASAAYNLILYMLASHVLGALWYLFSVQRQEACWRAACHLDGPMCQAEFFDCNTVSNNRTIWYQLSNITSLCTPSNSFYQFGIYAEALDQKLTTSAFTQKYFYCFWWGLKNLSCLGQNLATSLFIGEISFAIVIGVLGLVLFALLIGNMQSYLQATMIRLEEWRTKRTDMERWMHHRQIPQQLKQCVRRYQQYTWVATRGVDEEALLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERTLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSEYLPRSTRTVRAVSEVEAFALVAEDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMELRMMGEGGGGARAGGGGVRCRRHSCDGKALKKPVEPDFTVEEEED* >Brasy4G129100.2.p pacid=40087279 transcript=Brasy4G129100.2 locus=Brasy4G129100 ID=Brasy4G129100.2.v1.1 annot-version=v1.1 MACCGSRTVRFQNDLEVQHLKASALGSTPSPSSSSRKHKKPHDQRKCKPGSTSQGWPDPEKPCRRSLKDRVLSRAFSEELESLMHAGNNQIQPPLDPRGRVIHLWNKIFLAACLVSLFVDPLFLYLTGTQQNMCIEFKHSLALTLSMTRSLLDVFYAVHIFLRFRTAFIAPSSRVFGRGELVIQPCKIARRYLLSTFWFDLITALPLPQFVIWIMVPMLKESATANRKDILRFSIIFQYLPRLFQIFPLTRQIVMATGAMTENAWASAAYNLILYMLASHVLGALWYLFSVQRQEACWRAACHLDGPMCQAEFFDCNTVSNNRTIWYQLSNITSLCTPSNSFYQFGIYAEALDQKLTTSAFTQKYFYCFWWGLKNLSCLGQNLATSLFIGEISFAIVIGVLGLVLFALLIGNMQSYLQATMIRLEEWRTKRTDMERWMHHRQIPQQLKQCVRRYQQYTWVATRGVDEEALLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERTLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSEYLPRSTRTVRAVSEVEAFALVAEDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMELRMMGEGGGGARAGGGGVRCRRHSCDGKALKKPVEPDFTVEEEED* >Brasy4G030600.1.p pacid=40087280 transcript=Brasy4G030600.1 locus=Brasy4G030600 ID=Brasy4G030600.1.v1.1 annot-version=v1.1 MASGGMKIRPSPFVGEAPDGRGLGKRMPTYINDLPTQQAEPGSGLVCRHGLLPLTRVAFEGESTGRRFYGCPFEEVDDCGYVYWIDPKCPPHLENALRELWAKFDAFPKLSLFDVQFMQQDLKDALAEKARAVDDRMRLELLLADLRCEMEKDAKLKKRK* >Brasy4G278100.1.p pacid=40087281 transcript=Brasy4G278100.1 locus=Brasy4G278100 ID=Brasy4G278100.1.v1.1 annot-version=v1.1 MASSSALAPAAVREMQRDLESQANALSKIQKDISKNHEVRKQFTIQVGENELVLKELELLNDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKESIFRLQQKMQAVQAKA* >Brasy4G127900.1.p pacid=40087282 transcript=Brasy4G127900.1 locus=Brasy4G127900 ID=Brasy4G127900.1.v1.1 annot-version=v1.1 MALSRRMAASALVLLVLLVATEMGTTKVAEARHCLSQSHKFKGTCVRSGNCANVCKTENFPDGECKTQGLERKCFCKRVC* >Brasy4G264200.1.p pacid=40087283 transcript=Brasy4G264200.1 locus=Brasy4G264200 ID=Brasy4G264200.1.v1.1 annot-version=v1.1 MAQLSALPPPAASGHRAHPSYRPFLAQKKIAPSPGGATAPDGLLPSRTAVARAATRTRSNWAASAGTIVNPIDVPLLSFSEVAERLEAFQASGARKQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELDQHMDRFLKSAQMAKIQLPFDRSRIRSVLIQTVCASKCTQGSLRYWLSVGPGDFQLSSLGCTNPALYAIVIDSPSLPVPSGCKVVTSSIPMKSPQFAVMKNVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRNKELILPRFDKILSGCTAKRVLDLAGQLVEDGRLSGITTRNVSVQEGKAADEMMLIGSGILVKPVLQWDEQMVGSGKEGPIAQALFNLILEDMRSGPPSVRIAVPY* >Brasy4G264200.2.p pacid=40087284 transcript=Brasy4G264200.2 locus=Brasy4G264200 ID=Brasy4G264200.2.v1.1 annot-version=v1.1 MAQLSALPPPAASGHRAHPSYRPFLAQKKIAPSPGGATAPDGLLPSRTAVARAATRTRSNWAASAGTIVNPIDVPLLSFSEVAERLEAFQASGARKQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELDQHMDRFLKSAQMAKIQLPFDRSRIRSVLIQTVCASKCTQGSLSPSLPVPSGCKVVTSSIPMKSPQFAVMKNVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRNKELILPRFDKILSGCTAKRVLDLAGQLVEDGRLSGITTRNVSVQEGKAADEMMLIGSGILVKPVLQWDEQMVGSGKEGPIAQALFNLILEDMRSGPPSVRIAVPY* >Brasy4G295300.1.p pacid=40087285 transcript=Brasy4G295300.1 locus=Brasy4G295300 ID=Brasy4G295300.1.v1.1 annot-version=v1.1 MAAPSSSSSQREDLWHRPHAGGQRAGRGRVTSDSTDRGGCDAKPAVRPVMTCSWRKENGVSRFLHIRPVKTRTWKSKVRPSACVSCI* >Brasy4G003300.1.p pacid=40087286 transcript=Brasy4G003300.1 locus=Brasy4G003300 ID=Brasy4G003300.1.v1.1 annot-version=v1.1 MGFLSLLLVASSPVVEVLLIAVLGAYLAFSGNGHNVLLGAGARTDINRVVYAVFTPALMLSSLARTVTLRDAFSWWFMPVNIGIIFLAGGLLGWAAVFLLRPPQHLRGLVVASCSAANFGNLLLIMIPAVCREEGNPFVHGEDAGSAGVCTDRGLSYASFSMALGGLYIWTHTYSVMKRSSEIYRKMNNETASAAAAHHGKEEAANDDPKKGSLRSIGGRRRTIRGAQQERTRRRGRRARLLMPLVSSYHLQHSSGNKISMWDKLKHGTHQILEELTAPPTVGAVLGFSIGAVPWLRSAFVGDGAPLRVVQDALKILGDGTIPCCKQKNGGVRKTAVSRWVIAAIIGIRYVALPLIGVAVVKSARELGFLPPDPLYQYVLMLQFALPPAMSIGTMAQLYDVAQEECSVIFLWTYLVAALALTLWSTIFMSILS* >Brasy4G131500.1.p pacid=40087287 transcript=Brasy4G131500.1 locus=Brasy4G131500 ID=Brasy4G131500.1.v1.1 annot-version=v1.1 MQHEAVLGQRPTRLVGPTLIRALKVAGGGRRSRTGDVNTTGVVHGVRAGLLAPAQILLLPLPNSYSSCPGATRPHALIALPRPPALSISQPDRRKSASSLVNGQRAWPPSPPNSAAPRNAAARRRRRPAPGALSRRRAPRRPGHGRRTPPPRAVASRRRPTAALHAAVAAPPHAPCPAVTRHDAPATVALLRPPSPGRHAAAPSCVG* >Brasy4G388000.1.p pacid=40087288 transcript=Brasy4G388000.1 locus=Brasy4G388000 ID=Brasy4G388000.1.v1.1 annot-version=v1.1 MDGIDAELSRAQDERRKLEEALEAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDDHAEPAANPAARRLASYTGHAVAAADIPRSDDDGMPVKKSQRIIDREDDYRRRRLDRIISPERHDAFAAGEATPDPSVRTYVDAMRENKVQQEKEYVLREIAKKKKEEEEKAKEKKAAPEPVPAATKRRNRWDQSQDGDGAAGVKKAKTASDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTAATPANYTPGVTPFGAENLATPTPGHLARGPITPEQYQLMRWERDIEERNRPLTDEELDSMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQYDVPKEMVGMPLMKPEDYQYFGTLLNEDEEDQLTPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKNLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVTGIVGKIVEDLKDESEPYRRMVMETIEKVVANLGASDIEPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALGDDGDNIFSRPELAMFV* >Brasy4G189600.1.p pacid=40087289 transcript=Brasy4G189600.1 locus=Brasy4G189600 ID=Brasy4G189600.1.v1.1 annot-version=v1.1 MGVPEAVALEIPVEEGSPVSRVPPRIRRRLLQARESSAGAPATAEEIEAKLREAHLRRQQFHEALSCKARRTVRSTSQLSQEEDPKQRLEAKLVAANQKRLNLLAKEQNRLAKLDEVRQAAKNDAEMRFNREREELGMRVQYRVRQAEENRIQLLQARLQRRAALEERTKRFLGQRVAYENKYRERVRSAFLQKRNAAEKRRIGLLEAEKTRAQGRLSQVQLAAKTAFGQGETDRSKLKEQLEDKLQRAKRQRAEYLRQKERPQCSIHISSVKHGDFLSRKLARCWRRFIMSRKTTVVLARAFDVLEINQESAESLPFEKLALCIESPKVVQTTRALLDRLESRFILSQSSSSSSPENINHLLEHLGSPKRMVLSSSAGRARVTPKKTNRNADTGKLPRYSPRVVLCSYMIRGHPSAVFNVRGEREKVLLESAENFVKEFELLMKTILDGLDGACISRQPTLDAVSPGPPNHQESSSVVADRKKFRSQLVSFDKAWCTYLYHFVVWKAKDAKALEEDLVTAACKLELSMMRTCKLTTEGRQDNLSNNLKAIQKQVMVDQKLLREKVWHLGGEAGIERMQLALSETRSKFLGAKENGSPLATAVANAASPSRQPPLSAIKDNSDIAERPSSLQSLCGSSSSPSECNTGDKDNSGPESRRVSEKLTTEIEAVQSLVAASPAPSESSAGDRAMIGQMSTVPEKMPTENEHMVNEILHGSFPDSFDDVGKAEGDFKAKVKETMEKAFWDVVVDSMRGDTPDYSYLINLVKEVRDALHQMAPNGWKEEITNNINLEMLSQVLESNTQDTQYLGQILQYSLGMLRKLSSPAKGDQMKNSHDKLLNELIEHSECNDRGRNSFIIAIIKGLRFTMEELKALQAEVSKARIQLLKPIIKGSGGIEYLQKAFADRYGSRSSASISLPSTIQWISTSKDMVEEEWNEYVSSFQILPATDHQVQPFVTTLRTGRGFPDQQHSTVPVAECTGLPECTGERLDKLIRIGLLQLVSSMEGLQRKLVPETFKLNWLRLRSVQSRFQQVIVIATSMLVQRQVLTSENSETTPSELESAILELFNTLTELLDDLPDVSTDKIIEVMIHSSTSSGSCSYEMIANRKQILTRVFLKSLQTDDTVFRKVSRSVYCAFRAITLGGSGPKGKKLAEAALRRIGATNLTDRVVKASELLIKVATVSEQVHGPWYIHLL* >Brasy4G189600.2.p pacid=40087290 transcript=Brasy4G189600.2 locus=Brasy4G189600 ID=Brasy4G189600.2.v1.1 annot-version=v1.1 MGVPEAVALEIPVEEGSPVSRVPPRIRRRLLQARESSAGAPATAEEIEAKLREAHLRRQQFHEALSCKARRTVRSTSQLSQEEDPKQRLEAKLVAANQKRLNLLAKEQNRLAKLDEVRQAAKNDAEMRFNREREELGMRVQYRVRQAEENRIQLLQARLQRRAALEERTKRFLGQRVAYENKYRERVRSAFLQKRNAAEKRRIGLLEAEKTRAQGRLSQVQLAAKTAFGQGETDRSKLKEQLEDKLQRAKRQRAEYLRQKERPQCSIHISSVKHGDFLSRKLARCWRRFIMSRKTTVVLARAFDVLEINQESAESLPFEKLALCIESPKVVQTTRALLDRLESRFILSQSSSSSSPENINHLLEHLGSPKRMVLSSSAGRARVTPKKTNRNADTGKLPRYSPRVVLCSYMIRGHPSAVFNVRGEREKVLLESAENFVKEFELLMKTILDGLDGACISRQPTLDAVSPGPPNHQESSSVVADRKKFRSQLVSFDKAWCTYLYHFVVWKAKDAKALEEDLVTAACKLELSMMRTCKLTTEGRQDNLSNNLKAIQKQVMVDQKLLREKVWHLGGEAGIERMQLALSETRSKFLGAKENGSPLATAVANAASPSRQPPLSAIKDNSDIAERPSSLQSLCGSSSSPSECNTGDKDNSGPESRRVSEKLTTEIEAVQSLVAASPAPSESSAGDRAMIGQMSTVPEKMPTENEHMVNEILHGSFPDSFDDVGKAEGDFKAKVKETMEKAFWDVVVDSMRGDTPDYSYLINLVKEVRDALHQMAPNGWKEEITNNINLEMLSQVLESNTQDTQYLGQILQYSLGMLRKLSSPAKGDQMKNSHDKLLNELIEHSECNDRGRNSFIIAIIKGLRFTMEELKALQAEVSKARIQLLKPIIKGSGGIEYLQKAFADRYGSRSSASISLPSTIQWISTSKDMVEEEWNEYVSSFQILPATDHVQPFVTTLRTGRGFPDQQHSTVPVAECTGLPECTGERLDKLIRIGLLQLVSSMEGLQRKLVPETFKLNWLRLRSVQSRFQQVIVIATSMLVQRQVLTSENSETTPSELESAILELFNTLTELLDDLPDVSTDKIIEVMIHSSTSSGSCSYEMIANRKQILTRVFLKSLQTDDTVFRKVSRSVYCAFRAITLGGSGPKGKKLAEAALRRIGATNLTDRVVKASELLIKVATVSEQVHGPWYIHLL* >Brasy4G189600.3.p pacid=40087291 transcript=Brasy4G189600.3 locus=Brasy4G189600 ID=Brasy4G189600.3.v1.1 annot-version=v1.1 MGVPEAVALEIPVEEGSPVSRVPPRIRRRLLQARESSAGAPATAEEIEAKLREAHLRRQQFHEALSCKARRTVRSTSQLSQEEDPKQRLEAKLVAANQKRLNLLAKEQNRLAKLDEVRQAAKNDAEMRFNREREELGMRVQYRVRQAEENRIQLLQARLQRRAALEERTKRFLGQRVAYENKYRERVRSAFLQKRNAAEKRRIGLLEAEKTRAQGRLSQVQLAAKTAFGQGETDRSKLKEQLEDKLQRAKRQRAEYLRQKERPQCSIHISSVKHGDFLSRKLARCWRRFIMSRKTTVVLARAFDVLEINQESAESLPFEKLALCIESPKVVQTTRALLDRLESRFILSQSSSSSSPENINHLLEHLGSPKRMVLSSSAGRARVTPKKTNRNADTGKLPRYSPRVVLCSYMIRGHPSAVFNVRGEREKVLLESAENFVKEFELLMKTILDGLDGACISRQPTLDAVSPGPPNHQESSSVVADRKKFRSQLVSFDKAWCTYLYHFVVWKAKDAKALEEDLVTAACKLELSMMRTCKLTTEGRQDNLSNNLKAIQKQVMVDQKLLREKVWHLGGEAGIERMQLALSETRSKFLGAKENGSPLATAVANAASPSRQPPLSAIKDNSDIAERPSSLQSLCGSSSSPSECNTGDKDNSGPESRRVSEKLTTEIEAVQSLVAASPAPSESSAGDRAMIGQMSTVPEKMPTENEHMVNEILHGSFPDSFDDVGKAEGDFKAKVKETMEKAFWDVVVDSMRGDTPDYSYLINLVKEVRDALHQMAPNGWKEEITNNINLEMLSQVLESNTQDTQYLGQILQYSLGMLRKLSSPAKGDQMKNSHDKLLNELIEHSECNDRGRNSFIIAIIKGLRFTMEELKALQAEVSKARIQLLKPIIKGSGGIEYLQKAFADRYGSRSSASISLPSTIQWISTSKDMVEEEWNEYVSSFQILPATDHVQPFVTTLRTGRGFPDQQHSTVPVAGLPECTGERLDKLIRIGLLQLVSSMEGLQRKLVPETFKLNWLRLRSVQSRFQQVIVIATSMLVQRQVLTSENSETTPSELESAILELFNTLTELLDDLPDVSTDKIIEVMIHSSTSSGSCSYEMIANRKQILTRVFLKSLQTDDTVFRKVSRSVYCAFRAITLGGSGPKGKKLAEAALRRIGATNLTDRVVKASELLIKVATVSEQVHGPWYIHLL* >Brasy4G435900.1.p pacid=40087292 transcript=Brasy4G435900.1 locus=Brasy4G435900 ID=Brasy4G435900.1.v1.1 annot-version=v1.1 MAAAARRRDRLSDLPDDLLIHILSFACAREAASTAALSRRWGSRLWLHASAINLDYRSYLPTAGRRLPLLRWIQASAINRDARHALAFHRSFSGKPTTRKVTVVTRDDAMAMHDDILRAATGEEEEGGVEELRIDCARDGGGRAPSSYALSLDSLPFGAAALRVLDLKGCNIVSHGRRLVAFPCLGCGGASSTSRCWRPWSTPRTGSPTSASSPARCWGPPFASAARRPPAGPPPLPLRPGPDRARRGRRLLPQGSGPSAAGRRAHLELHHARPLAAAVDLLSGLRHARALKLTVFSVDDLLDDAHDAQSPLITFPDLETLEIEELTTCGSPASRVAAVVSLLRRCPAVRKLRLRSRWREYLCQTVPDPEIRAAAMADFSPCRSINCDQDEAADCCEDLGEFRCTVLDSLRRVAVDFDAEELSYFHVRLLRFLAQNAVHLQEVLVAGNNGFDSSRIDRKVAAWMTQRSSAPALAEYSSCSWCCGAAGGSSSSSWAPAPESPPVWPPFLVEFPPLEPPGLPNQPCSDGEDEDGDISPRHFMDVARKRGKDWSSPDCFSVKPPKANKGGRL* >Brasy4G313600.1.p pacid=40087293 transcript=Brasy4G313600.1 locus=Brasy4G313600 ID=Brasy4G313600.1.v1.1 annot-version=v1.1 MALASTSPLAAAAAVTRPTTSAPSTRCLSRRLLRFSCQANTDGARGGNVSSTSQAPPKWRVAVSAALAAAIVTAMPAYADLNKFEAEQRGEFGIGSAAQFGNADLKKTVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAVLARTVLTRSDLGGATIEGADFSDAVIDLQQKLALCKYASGTNPVTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK* >Brasy4G338200.1.p pacid=40087294 transcript=Brasy4G338200.1 locus=Brasy4G338200 ID=Brasy4G338200.1.v1.1 annot-version=v1.1 MVPQGQSSSLQRLHHVEKRIVRVLELAGAVMEELGNSQGPRADAVAAQCREFMLSMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKMDAMQLNIEQSTNEV* >Brasy4G165800.1.p pacid=40087295 transcript=Brasy4G165800.1 locus=Brasy4G165800 ID=Brasy4G165800.1.v1.1 annot-version=v1.1 MLSRTMRWLTSSARGRHALAAQASSVDSPSPSKRTAHASASSALASAPPTVGAAAGAPPLPSSGTTATASALSSTTRAADLLPLRRRHRRPCRTSDTTSPHM* >Brasy4G168900.1.p pacid=40087296 transcript=Brasy4G168900.1 locus=Brasy4G168900 ID=Brasy4G168900.1.v1.1 annot-version=v1.1 MAAGLEAVAGRLLAAVDWEREAYPAYDDFLALPLFALFFPTARFLLDRFVFERVARKLMFGKRHEKADQEVDQTRKKMRKFKESAWKCIYFLSGELLSLSVTYNEPWFTNTKYFWIGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRADFGVSMSHHVATVVLIILSYVFRFARVGAIVLAIHDASDVFLEIGKMSKYSHCDWLANVAFLLFVVSWVVLRLTYFPFWILRSTSYEVLLTLDKKKHNFEGPIYYYVFNSLLFALLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEDEDGHED* >Brasy4G168900.2.p pacid=40087297 transcript=Brasy4G168900.2 locus=Brasy4G168900 ID=Brasy4G168900.2.v1.1 annot-version=v1.1 MYLSQRVARKLMFGKRHEKADQEVDQTRKKMRKFKESAWKCIYFLSGELLSLSVTYNEPWFTNTKYFWIGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRADFGVSMSHHVATVVLIILSYVFRFARVGAIVLAIHDASDVFLEIGKMSKYSHCDWLANVAFLLFVVSWVVLRLTYFPFWILRSTSYEVLLTLDKKKHNFEGPIYYYVFNSLLFALLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEDEDGHED* >Brasy4G285200.1.p pacid=40087298 transcript=Brasy4G285200.1 locus=Brasy4G285200 ID=Brasy4G285200.1.v1.1 annot-version=v1.1 MSTEKKQLNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFYVVLERAGYRVSRRRRCKARVGIHQRVTKEDAMKWFQVKYEGVILNKSHAS* >Brasy4G370100.1.p pacid=40087299 transcript=Brasy4G370100.1 locus=Brasy4G370100 ID=Brasy4G370100.1.v1.1 annot-version=v1.1 MAIKMKGIFKGLKIFSQMFAHKEHEMEIGFPTDVKHVAHIGLGTSDTSPSWMNDFKSTEDLSAGSLSTAGQSRQTSWASIDFEPARSMLPIDIFPDKPGQETSSCPPRGPRKARRKKTRTSSPTSSARSSSSRSRASFATAYDDFNESQRGFRV* >Brasy4G356600.1.p pacid=40087300 transcript=Brasy4G356600.1 locus=Brasy4G356600 ID=Brasy4G356600.1.v1.1 annot-version=v1.1 MMNDYKPTRSRWRMTGCSSSWLNSVGLMKVWSIDAHNLFDSVLCIDYSIDADFLSR* >Brasy4G138200.1.p pacid=40087301 transcript=Brasy4G138200.1 locus=Brasy4G138200 ID=Brasy4G138200.1.v1.1 annot-version=v1.1 MGNSSSSSTSEANECPSNTAPSRSRCTVQRFTTTHDFQLANYPLLLEAAAGMDAGWFRDSGTFRVGGHSWNIRLYPNGSTEDLAGNASVFLSFVSLVPGNRDVRTRFSINVVEKEGQPKLTDFPLLDSTFSPASYEFDRGFPVFFNNSDLIPCSHLDNNGTLTVRCVITVIKESRTKLKTRAVVAPPRPNFQDHLAKMLKDGEGADVTFNVGGQVFRAHRCLLAARSPVFRAELFGPMKEESSTQCIKIDDMEPSIFQALLHFLYTDCVTDDYKQGKTENLQHLMVAADRYGVDRLRVMCEGELCGSIKTKTVATTLVLAEQHDCRALKKACVEFIMASGDRRRAVRKTDGFNHLLATCPRLLSEMAKLPI* >Brasy4G260400.1.p pacid=40087302 transcript=Brasy4G260400.1 locus=Brasy4G260400 ID=Brasy4G260400.1.v1.1 annot-version=v1.1 MDPTRRLTNQTNLLGRRDHSLFALTANSNATSPEKLGQVVVHLHRHEAVQLDPAPSSADDDDDDGLPCDEPWPRGASTPASPAEVSSSSLLLMLPSASVLSAAGVGESALVSVRPPQTVIHSSPLHVQQSAAHSAAASARTRSAGATTVVSSPDTLLGETHCAIGAANLDEEDAAAAATKGCSSSCSAVHRFVGSLTKHLARKSFPCSENHAGDSGTSPECATWWSAATGLLNRHGAPPVAISMTVHPSAQMSAAAPCASPRAASGDMNIGVPMMALPMPSSLALAVQRAHPKSASLARPSAPRSTFLALTSQCAIPAPWTTSPARDATRGSSHRPPHRFISSARDPPGEPTEETTYGELRAESTLCSRRRAPASAIPTDFTANESPVRASVAIDTVADAPRPMTGPRRHSPTTPILATMGFPPRLPC* >Brasy4G220000.1.p pacid=40087303 transcript=Brasy4G220000.1 locus=Brasy4G220000 ID=Brasy4G220000.1.v1.1 annot-version=v1.1 MAYLPPHKRHSGSSEAPSPSPPTASLRSLSISSSPRGRHRPCPSNNKIIHAAGCVSRWSPLPPFSPGSDDAESLRLEPFPCDPIERKTGAKPLVLVPSSPLLEGYSGSAEAAAAAIAGRFLPDLLAAAERAMTHDVDREKEVVKLSLVARVGKVFFQPGGSPVSLDALREAAKAGEEGSRSQVRKSFYTNMPSERLDDMERSAVKMMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQDDGKLVIHKVELKQIRQLVEDITCLSKDLDLRLMLSTKRVLKNLDTEVQSAIETLVSSAIVDPDVKGGLRWPLGKESTGERFSIVGVWHTNYRAFRNKTVRFKLRHADRFDHRSSTGEVSNEVTFKLIGISRILQDGDQEADAVKQMLESVVRMIWDSALNYKLAP* >Brasy4G220000.2.p pacid=40087304 transcript=Brasy4G220000.2 locus=Brasy4G220000 ID=Brasy4G220000.2.v1.1 annot-version=v1.1 MAYLPPHKRHSGSSEAPSPSPPTASLRSLSISSSPRGRHRPCPSNNKIIHAAGCVSRWSPLPPFSPGSDDAESLRLEPFPCDPIERKTGAKPLVLVPSSPLLEGYSGSAEAAAAAIAGRFLPDLLAAAERAMTHDVDREKEVVKLSLVARVGKVFFQPGGSPVSLDALREAAKAGEEGSRSQVRKSFYTNMPSERLDDMERSAVKMMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQDDGKLVIHKVELKQIRQLVEDITCLSKDLDLRLMLSTKRTEVQSAIETLVSSAIVDPDVKGGLRWPLGKESTGERFSIVGVWHTNYRAFRNKTVRFKLRHADRFDHRSSTGEVSNEVTFKLIGISRILQDGDQEADAVKQMLESVVRMIWDSALNYKLAP* >Brasy4G273200.1.p pacid=40087305 transcript=Brasy4G273200.1 locus=Brasy4G273200 ID=Brasy4G273200.1.v1.1 annot-version=v1.1 MCFHQQAAQMIQNSHFFLLFLLTKEKLHVSLCISCQKCMQNTGGSDKKKLLVSKGCQNFLFLPFLAMDRRL* >Brasy4G202000.1.p pacid=40087306 transcript=Brasy4G202000.1 locus=Brasy4G202000 ID=Brasy4G202000.1.v1.1 annot-version=v1.1 MVSPSYVVRLFDPQAPAIPFVRFPWWASLSGIYKVCHRQVFPRPSAAAASSPGCTAAPSSLCSRPRPPPSSHRRCLLYSRPSHPPHPAVSAGGGRPPSCPQSPPPYAVAASSAGCYPRLRTSSDPPLAKQNIETTAIPHTRLTQSPNRSINQSVPRLPSTVPHPRCSTREALAGSGGAPTAGNFPSPSLASPLAPVMAAAGLGGRHYRRPRGSRHGEAAAWSWQRGPAGPAPFAADPPCPKRARVASLTPSAAESDGDDIDAFASSLEEDILGVVSSQDETAHINIDNYIDRLNFSVCVPNEQGKEKGQKDEVEVLLLW* >Brasy4G007600.1.p pacid=40087307 transcript=Brasy4G007600.1 locus=Brasy4G007600 ID=Brasy4G007600.1.v1.1 annot-version=v1.1 MGMAGLINTRTSLRHPLSVPRSFSNQSSQASQLGTRANPLFPCAKLSKAGAVVMAAMEVSKAPSSFDLANRQPSKDVLEIWRNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALTARMSLIKPSLSQVEDCLEKRPPRISPGIADLIKKLKANNTEVFLVSGGFRQMIKPVAFELGIPTENIIANQLLFGTSGEYVGFDPAEPTSRSGGKAHAVQQIKQDRGYKTLVMIGDGATDLEARQPGAADLFICYAGVQMREAVATKADWAVFEFQELIAELP* >Brasy4G344900.1.p pacid=40087308 transcript=Brasy4G344900.1 locus=Brasy4G344900 ID=Brasy4G344900.1.v1.1 annot-version=v1.1 MASSEANARPPCADQGWRPHRYKNVALKDGMVKGMVPPTCWCGDPCKAKESMVKAEEERKKKEEEERIKKEARQAERERKRERARIAQEEEEERNRKGKWPRVTQ* >Brasy4G342600.1.p pacid=40087309 transcript=Brasy4G342600.1 locus=Brasy4G342600 ID=Brasy4G342600.1.v1.1 annot-version=v1.1 MGTDLLLLESHEAVGACGGPARGWGGGPRRGTPAAASGERSGGGRRRRGRRAGVERRPRGEEIRRRAAASGRGEPATGGGVGGRRDRGGRRRRGEEEIRRGAAASGGGEPARAAARSPPSFFPGDLPALRSCCGLWLAVLVRLGCSAVRCEWMVDLGGQGTLPDPSPADRMPAAAVQPAAAVIKY* >Brasy4G080900.1.p pacid=40087310 transcript=Brasy4G080900.1 locus=Brasy4G080900 ID=Brasy4G080900.1.v1.1 annot-version=v1.1 MESAQQDEHMHIWWCPQTSSSFEIFKKTIGSFGRNTILVHLSCSTRLGPFVDYNVNGRSLLEYSFSTGATLVHLSSDLFSGSRTNTAARHRFIHGGLDHAAALN* >Brasy4G228300.1.p pacid=40087311 transcript=Brasy4G228300.1 locus=Brasy4G228300 ID=Brasy4G228300.1.v1.1 annot-version=v1.1 MYSECGAPRDAGRVFDEMLLRDEVAWNCAIHCSVRYGYLGRALGLFCKMARSGLAPTESTISSVLSGCGRAADRRGRVLHGWVVKSEDLDPDMPLQNALLGMYSSCGDLDAALRVFERIDTPDLVSWNTLIAGFSCVGDGWSAMDAFVRLKDVQFGELVFPDEYTFVAVVSAAAALPAICSGMALHAEIVKAGWENSVFVVNTLINMYFTNDEPGSARILFDSLPEKDVIVWTEMVAGHSSLGECELALKYFVSMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGNICASGSLLDMYAKNGALHCAYLVFCTIRKQDLKCWNSMIGGYGNYGNSEMAFKLFGEMIRDELQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGIMPGFKHYTSMVSLLGRAGLLEEAVDLLRKSPFAKKCPELWRILLSSCVALKDLSIGVHAAEQALELDPDDMSTHILLSNLYASAGKWDVVAEIRKRIRGMMVEKEPGLSWIEIKNMVHVFSADDEYHTQIDDCRGELLRLKGNMELSDGSENKLLSCG* >Brasy4G132500.1.p pacid=40087312 transcript=Brasy4G132500.1 locus=Brasy4G132500 ID=Brasy4G132500.1.v1.1 annot-version=v1.1 MDAALVPLLVSLVLTVAAAPTASAAPRAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPSHRATGRFSNGLNIPDIISEHLGAEPALPYLSPRLRGAKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLRYFGKYQRKLRALVGEERAARLVKGALVLITLGGNDFVNNYYLVPMSFRSRQYSLPEYVRFIVSEYRKVLARLYKLGARRVIVTGTGPLGCVPAELAQHSRNGECAAELNRAVDLFNPQLVSMVRGLNRDIPNAGDVFVTANTYRANFDYLANPRNYGSGVPHVGVASGAFARMPARSIWPAPRAAARSLSPACTRPLHFTWPT* >Brasy4G098600.1.p pacid=40087313 transcript=Brasy4G098600.1 locus=Brasy4G098600 ID=Brasy4G098600.1.v1.1 annot-version=v1.1 MSSPASSQAEESYERLGRAMRWRRRARGFRLCAGRSNRFSVRRLRAKLVAFLGLVGRSARLLATRLSSSGGRRCARTGSTRELVAAPTPATKNNKGAPRKVAASFMRTNSFYAQAIADCLEFIKRNSVPVEDYSTAAEAAAAAGSIGAGKRTLAG* >Brasy4G412500.1.p pacid=40087314 transcript=Brasy4G412500.1 locus=Brasy4G412500 ID=Brasy4G412500.1.v1.1 annot-version=v1.1 MAPPVSADAVVVEAAPAAAAASKVAVLNQMVCASVVPAAPIVTVVSKQTVVPDGVWAATGDLKLSVSDMPMLSCHYIQKGLFFPKPPVGMPVTARLASALARALAVFPALAGRLVTLPDDRIVIRCDAEEASVEFYHAVAPALSLADFLVPDADVPTRLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFVGFIANHAVVDGTSFWHFFNTWAGLCRGAAAPEVQPPDFRRNFFGESTAVLRFPGSEGPAVTFDAAAPLRERVLHFSAAAIRDMKAAANRRKTSGGSAKDNAEVNGKLAHDSREISSFQSLCAHMWRAVTRARLRLSADAVTTFRMAVNCRHRLRPSISPLYFGNAIQSVATHATVSELATEDFPWAAARLHATVVAHEDGAIRRAAADWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRALAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMARLLGDEEFLQCVSRAPL* >Brasy4G402600.1.p pacid=40087315 transcript=Brasy4G402600.1 locus=Brasy4G402600 ID=Brasy4G402600.1.v1.1 annot-version=v1.1 MGPPGSGASPPPPLAEELGGSAAGSGAVVDEACGQGSRPVDGSGDPRMGILESSDQVKGCLHPCGKDIPQPSTEDTTKCEDGVSSLPRTCAPLQSEASDLTLCHALDTVLAGNSHGTDPLARGKENSSTGLQSRPDENRMSDAPLGLDLNIVNSSDAAELNPFFPYKNLGQSKVSGPSDCGSTTGATGGTESYRRWQEMKKNGFLSSSHGAAVVPKPRGRPPKRKRDDEPKRSNFTQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVYSIINAMVRSEKLENASQPVFACQTGERGKEVSERIQDQNMGSLMKCHFTVDGNNTMFHRGLPTTSKYLPEDGRNMKLQLSSTVTMASDRTCSMSADDLESKHDCMTMLSVKAAGVAFQWLELLQQDFRGRLAALKRSRKRVRNALQTELPYLISTEFPENEACTAQSSEAGSTGNKVSEAHIARWRSLFVQMDRTLQEEGRHLENRLKEVQVMLSNCDKGLQHMTCDAPSLGPGPVAELWKLKSPEISESEWAVQAAAASIYSTCNVVMKTGNVPCF* >Brasy4G402600.3.p pacid=40087316 transcript=Brasy4G402600.3 locus=Brasy4G402600 ID=Brasy4G402600.3.v1.1 annot-version=v1.1 MILNMMLYTLLPKFKELGGSAAGSGAVVDEACGQGSRPVDGSGDPRMGILESSDQVKGCLHPCGKDIPQPSTEDTTKCEDGVSSLPRTCAPLQSEASDLTLCHALDTVLAGNSHGTDPLARGKENSSTGLQSRPDENRMSDAPLGLDLNIVNSSDAAELNPFFPYKNLGQSKVSGPSDCGSTTGATGGTESYRRWQEMKKNGFLSSSHGAAVVPKPRGRPPKRKRDDEPKRSNFTQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVYSIINAMVRSEKLENASQPVFACQTGERGKEVSERIQDQNMGSLMKCHFTVDGNNTMFHRGLPTTSKYLPEDGRNMKLQLSSTVTMASDRTCSMSADDLESKHDCMTMLSVKAAGVAFQWLELLQQDFRGRLAALKRSRKRVRNALQTELPYLISTEFPENEACTAQSSEAGSTGNKVSEAHIARWRSLFVQMDRTLQEEGRHLENRLKEVQVMLSNCDKGLQHMTCDAPSLGPGPVAELWKLKSPEISESEWAVQAAAASIYSTCNVVMKTGNVPCF* >Brasy4G402600.4.p pacid=40087317 transcript=Brasy4G402600.4 locus=Brasy4G402600 ID=Brasy4G402600.4.v1.1 annot-version=v1.1 MVAYNVLRQIQFYPRMGILESSDQVKGCLHPCGKDIPQPSTEDTTKCEDGVSSLPRTCAPLQSEASDLTLCHALDTVLAGNSHGTDPLARGKENSSTGLQSRPDENRMSDAPLGLDLNIVNSSDAAELNPFFPYKNLGQSKVSGPSDCGSTTGATGGTESYRRWQEMKKNGFLSSSHGAAVVPKPRGRPPKRKRDDEPKRSNFTQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVYSIINAMVRSEKLENASQPVFACQTGERGKEVSERIQDQNMGSLMKCHFTVDGNNTMFHRGLPTTSKYLPEDGRNMKLQLSSTVTMASDRTCSMSADDLESKHDCMTMLSVKAAGVAFQWLELLQQDFRGRLAALKRSRKRVRNALQTELPYLISTEFPENEACTAQSSEAGSTGNKVSEAHIARWRSLFVQMDRTLQEEGRHLENRLKEVQVMLSNCDKGLQHMTCDAPSLGPGPVAELWKLKSPEISESEWAVQAAAASIYSTCNVVMKTGNVPCF* >Brasy4G402600.2.p pacid=40087318 transcript=Brasy4G402600.2 locus=Brasy4G402600 ID=Brasy4G402600.2.v1.1 annot-version=v1.1 MGILESSDQVKGCLHPCGKDIPQPSTEDTTKCEDGVSSLPRTCAPLQSEASDLTLCHALDTVLAGNSHGTDPLARGKENSSTGLQSRPDENRMSDAPLGLDLNIVNSSDAAELNPFFPYKNLGQSKVSGPSDCGSTTGATGGTESYRRWQEMKKNGFLSSSHGAAVVPKPRGRPPKRKRDDEPKRSNFTQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVYSIINAMVRSEKLENASQPVFACQTGERGKEVSERIQDQNMGSLMKCHFTVDGNNTMFHRGLPTTSKYLPEDGRNMKLQLSSTVTMASDRTCSMSADDLESKHDCMTMLSVKAAGVAFQWLELLQQDFRGRLAALKRSRKRVRNALQTELPYLISTEFPENEACTAQSSEAGSTGNKVSEAHIARWRSLFVQMDRTLQEEGRHLENRLKEVQVMLSNCDKGLQHMTCDAPSLGPGPVAELWKLKSPEISESEWAVQAAAASIYSTCNVVMKTGNVPCF* >Brasy4G139300.1.p pacid=40087319 transcript=Brasy4G139300.1 locus=Brasy4G139300 ID=Brasy4G139300.1.v1.1 annot-version=v1.1 MAQSSAEKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRTLFAILYFFSFFCDGLDGWFARRFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKDTGYWLLKLYYGYRPFMAFCCVASEVLYIILFLFADEKSTSLLKVCRGMLKESPLIVFIFISTLIGWALKQVINVIQMKTAADACVVFDLKRGK* >Brasy4G234100.1.p pacid=40087320 transcript=Brasy4G234100.1 locus=Brasy4G234100 ID=Brasy4G234100.1.v1.1 annot-version=v1.1 MFCAISSFPSHPARRLGPAETKPKTLSLFRGHLSTTTAMATAASSSSSVSSSSFSLYAGACTIKSFPFPAPPNPPRTHRLRLRLAAAAASRWVNRGRAPPERGAANSIWVNPTAPARPGGAGQTLRRLVQLDDLDAALRFLSSMPSSEPPAVIACNILIKKLCAHRRFADAERVLDALKASGAADAVSHNTLVAGYCRDGRLADAERVLEAAKVSGAANVVTYTALINGYCRSGRLADALNLIASMPVAPDTYTYNTVLKGLCGAKQWGNAEELMEEMIRNNCHPNEVTFATQIRSFCQNGLLDHAVQLLERMPRYGCTPDVVIYSTLVNGFSEQGRVDDALALLNTMLCKPNTVCYNAALKGLCMAERWEDVGELIAEMVRKDCPPNEATFSMLTSCLCQHGLVDCAMEVVEQMQKYGCRPDVVIYNTLINYFSEQGRVDDALMLLDSMMCNPDTISFNAALRALCRAERWYDVEELIAQMLREDCPLIEMTFNILIDSLCQHGLVNHAIEVFEQMPKYRCTPDIVTYSSLINGFSEQGLVESAIELFQSMPCKPDIFSYNAVLKGLCRAARWEDAGELIANMARKDCPPNEITFNILINFLCQKGLADRAIEVFEQMPEYGSTPDIFTYNALINGFSEQGRLDDALNLLSTMSCKPDAISYNSTLKGLCRAERWKEAEEIVAEMLRKKCPPNEVTFKYANQLFIPNRIT* >Brasy4G266100.1.p pacid=40087321 transcript=Brasy4G266100.1 locus=Brasy4G266100 ID=Brasy4G266100.1.v1.1 annot-version=v1.1 MSTCGMCGGSNNCPHLNGAAWPAHDRYEYEPQAPVEYHDFFHHSVQEAAWLRLDHPPQPPPADHDQAAAAAGLIHGGHGHGTFEVHRPLTDQQLLPPPTIMPFGGGTFSDTTGREAIMAVDGEMMMVAAHHPTVHEREAKVMRYREKKKRRRYEKQIRYESRKAYAEMRPRVKGRFAKVPESAAPPLPQPSGSYDPSKLDLGWLP* >Brasy4G000700.1.p pacid=40087322 transcript=Brasy4G000700.1 locus=Brasy4G000700 ID=Brasy4G000700.1.v1.1 annot-version=v1.1 MHGANSVKTLLQIYPKVLSLASFKGEERVFACTGIFIDFDGPTFTSTVLTSASLVEASADEYKIADDLEIKVYLPDKQLVLGTLERCSLSYNIAVVSVKGFRCRRTAGLHNQVQIEPLQEVVAVGRIFESGKLMATSGILTEKGSNLDCKELMISTCKVTKAGIYIGGPLVDFGGNFIGMNFYGMEETHYLPRSMVQKLVFERSDFDDAS* >Brasy4G218700.1.p pacid=40087323 transcript=Brasy4G218700.1 locus=Brasy4G218700 ID=Brasy4G218700.1.v1.1 annot-version=v1.1 MKKASRFLKQLFSAIVAAVRESSAAMRSKTSAVRTRLIFLGILRNRKLLMSAISSKIHALVAGAAQAQAQAQAHQHGNNNINNGAGLLAARGKKKAAVLQSLPSFVVDQERKAAAVMLLNSLPSFAMGRDTGSCPDSPLPLVGAEEEDKEEEGGEDEGNKQQLQLGNNEGSVIELARGAAERSGVEFRLEDEIDRVADVFIRRFHDQMKLQKLDSFKRFCEMLDRN* >Brasy4G148800.1.p pacid=40087324 transcript=Brasy4G148800.1 locus=Brasy4G148800 ID=Brasy4G148800.1.v1.1 annot-version=v1.1 MAEQTEKSFLKQPKVFLCPKKITKGNNKPGKQGNRFWKSVGLGFKTPKEAIEGTYIDKKCPFTGTVSIRGRIIAGTCYSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVREGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTGA* >Brasy4G385000.1.p pacid=40087325 transcript=Brasy4G385000.1 locus=Brasy4G385000 ID=Brasy4G385000.1.v1.1 annot-version=v1.1 MAAEIVSATSGVMNPLLGKLTELLGVEYEKFTGMRKQASFLRDELSAMKALLDKLELMDEPDPLAKDWRDHVREMSYDMEDCVDDFMRDLVSADATSSGFIQKITQFFQTMWASYQIGHRIEELKVLALEANERRLRYKIDDYINSASGIVPVDPRISAIYKEAAGLVGIDGPREDLVNWLTASARKLNVVSIMGFGGLGKTTLAKQVYDEIRGRFECMAFVSVSQRPDMTSLLSGLQLKLGLDVSRCAHEVPDIIDRLREHLKNKRYLIVVDDLWDQSAWDTIRCVFPEGDNGGTVIVTTRLDDVACGACLDHHGYIYRMKPLDNEDSKRLFFSRVFRSEDGCPPQFQEVSTQILKKCGGLPLAIITIASLLASRQARSRSDWESIKDSLGTNLAPYPTLEGMEKILNLSYINLPLRLRACFLYLGMYPEDREIKRDDLTRQWVAEGFVSGVHGADLEEVAKSYFNELINRSMIQPADEETKLGEVLSCRVHDMMLDFILSKCTENNFLSAAHSYEEMERMRGCNYKVRRLSLNLSAGGAATPGSTVPATSLSQVRSFARFGDSKYTPPLCLFKYLRVLVFEFPDQLRMTIDLTAIGHLFLLRYLKVSAESAVFDLPVEVKGLLHLETLEISCWSAPSFPSDVVCLPKLFCLVLPRGTGLPEGIRNMKFIRTLHCSAMGKSSVEDIKGLGELTTLRDLELVGGDLTEDGVDALVSSVGKLRDLKRLLLNCQRTIYDDQLESLPDHPLPRIEVLNLRFWQFLRVPQWIGGLLYLQVLCLCIHQFSSDDVRVPGMLPSLVDATFLVLSIPEDRVVVGTGLFPALEHVAFRSFEGATEFLCFEAGAMPNLRTLRLDFEVRNWGGATPLGIHNLQALQQINVRLWRMDHETREQQVARVESAFGDVSRAHPARPTVSVKLW* >Brasy4G068000.1.p pacid=40087326 transcript=Brasy4G068000.1 locus=Brasy4G068000 ID=Brasy4G068000.1.v1.1 annot-version=v1.1 MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQQRHAQMQRTNSGHASLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLIDASRMALLKSAATNHTGQLVPGTPGNVSTTLQQIQARNQQTIDIKSEGNMGVAQRSMPMDPSSLYGQGIIQQKPGLGGPGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLTTQSQFQLMSPQQQQQLLAQAQVQGNLSNSTNYGDMDPRRFTTLTRGGMNGKDGQPAGTDGCISSPMQSNSPKVRSDQEYLMKMQQTSSQQPQEQLQQQQQQQQNQQQQQQQMQQNNRKRKQATSSGAANSTGTGNTVGPSANSPPSTPSTHTPEGIGMASNMRHAPKNLLMYGADGTGLASSSNQMDDLEHFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPTEPNPATAKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMDTFQTQYLPEEHALIITDVRFRPNSAQLATSSFDRTIKLWNAADPFSLHTFAGHSYQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMNAIKGGTAQVRFQPNTGQFLAAATENVVSIFDVETHTKKYTLQGHNTDVQSVCWDNTGSYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLWK* >Brasy4G298200.1.p pacid=40087327 transcript=Brasy4G298200.1 locus=Brasy4G298200 ID=Brasy4G298200.1.v1.1 annot-version=v1.1 MIRPGSKESQNYDNNSQKVYPQPIDENMNQNMDSMDSMIGRIFNNISSLKAAYIQLQEAHTPYDPDKIQTADKLVIDELTRLSELKHTYREKNPKPVAASPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQNRDTEISHLQQQIDEAKHRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSTTDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPDVVYTRRAHKKYAFESYICQRMFSGFHEESFSIKSANATVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVRVFQVKKGNEFSEIHMESVVKNIILDENADRPKVGLMVMPGFLIGTSVVQSRVYLSDVKCAD* >Brasy4G346700.1.p pacid=40087328 transcript=Brasy4G346700.1 locus=Brasy4G346700 ID=Brasy4G346700.1.v1.1 annot-version=v1.1 MATLDVYESPNVKDGKISSAEIWVSNFENGQVENGIQVGWNADGYKSTGCLDLKCAGFVPIKSAPITPGDTLKGRRSRAGEPSPPMGNGNRSGPDSAAFEDVQYVNTVGQGYPGGVYAMISHDACYQVSAITHGKFYYGGPGGCTN* >Brasy4G280900.1.p pacid=40087329 transcript=Brasy4G280900.1 locus=Brasy4G280900 ID=Brasy4G280900.1.v1.1 annot-version=v1.1 MDGGSNQGGQGQPQHHQYPPEPYQYQQYPPPSAAPSPSFPAHSPEPTPPQFAHHSAPLQPCPPPAPHQQQAYPWHSQQHGYPPPSPSPYGYEPYPGASFHQQPPSASASFSSGGSSHGDGGGGGGSQQGGVRASLKVVLLHGSLDIWVHDARSLPNKDMLTKRVGELFGPRITGSVSDQMANGSITSDPYVIIQVSYATVARTYVVSNSNNPVWAQNFVVPVGHEAAEVEFIVKDNDVFGAEVMGTVGIPAEMLLSGNRIEGVYPVLEPNGKPCAPGAVLRLSIQYIPAAHLKMYHHGVVAGPDFLGVPNTYFPLRHGMRVNLYQDAHVPDGCLPDIWLDHGLLYQHGKCWHDMYNAIIQARRLIYIAGWSVFHTIDLIRDGAEKVPPLGDLLKMKSKEGVRVLLLLWDNPFSTNILGFKTDGYMKTRDEETRRFFKHSSVQVLLSPRSAGKRHSWVKQQETGKIYSHHQKTVIVDVDAGSYRRKIIAFVGGLDLCGGRYDTPRHSLFQTLQTLHKEDYHNPNFSTVDARGPREPWHDLHSKIDGPAAYDVLQNFEDRWLKASKRHGIKKLGKSYDDTLLRIERIPDMIDSNDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFVGSSFNWDSKKHVGANNLVPIEIALKIANKIKVNERFSAYIVLPMWPEGNPTGKPAQRILYWQNKTMQMMYETIYRALKEAGLDDIYEPQDYLNFFCLGNREVADSPSASNTANNPQEEARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGMRDTEIAMGAYQPQYTWANKVSSPRGQIYGYRMSLWAEHIGVIEKDFNHPESLECMRRVRHLGEHNWDQFIASEVTEMTGHLLKYPVSVDCKGKVKPLPGCPTFPDTGGNICGTYLPIRENITI* >Brasy4G280900.2.p pacid=40087330 transcript=Brasy4G280900.2 locus=Brasy4G280900 ID=Brasy4G280900.2.v1.1 annot-version=v1.1 MDGGSNQGGQGQPQHHQYPPEPYQYQQYPPPSAAPSPSFPAHSPEPTPPQFAHHSAPLQPCPPPAPHQQQAYPWHSQQHGYPPPSPSPYGYEPYPGASFHQQPPSASASFSSGGSSHGDGGGGGGSQQGGVRASLKVVLLHGSLDIWVHDARSLPNKDMLTKRVGELFGPRITGSVSDQMANGSITSDPYVIIQVSYATVARTYVVSNSNNPVWAQNFVVPVGHEAAEVEFIVKDNDVFGAEVMGTVGIPAEMLLSGNRIEGVYPVLEPNGKPCAPGAVLRLSIQYIPAAHLKMYHHGVVAGPDFLGVPNTYFPLRHGMRVNLYQDAHVPDGCLPDIWLDHGLLYQHGKCWHDMYNAIIQARRLIYIAGWSVFHTIDLIRDGAEKVPPLGDLLKMKSKEGVRDGYMKTRDEETRRFFKHSSVQVLLSPRSAGKRHSWVKQQETGKIYSHHQKTVIVDVDAGSYRRKIIAFVGGLDLCGGRYDTPRHSLFQTLQTLHKEDYHNPNFSTVDARGPREPWHDLHSKIDGPAAYDVLQNFEDRWLKASKRHGIKKLGKSYDDTLLRIERIPDMIDSNDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFVGSSFNWDSKKHVGANNLVPIEIALKIANKIKVNERFSAYIVLPMWPEGNPTGKPAQRILYWQNKTMQMMYETIYRALKEAGLDDIYEPQDYLNFFCLGNREVADSPSASNTANNPQEEARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGMRDTEIAMGAYQPQYTWANKVSSPRGQIYGYRMSLWAEHIGVIEKDFNHPESLECMRRVRHLGEHNWDQFIASEVTEMTGHLLKYPVSVDCKGKVKPLPGCPTFPDTGGNICGTYLPIRENITI* >Brasy4G280900.3.p pacid=40087331 transcript=Brasy4G280900.3 locus=Brasy4G280900 ID=Brasy4G280900.3.v1.1 annot-version=v1.1 MDGGSNQGGQGQPQHHQYPPEPYQYQQYPPPSAAPSPSFPAHSPEPTPPQFAHHSAPLQPCPPPAPHQQQAYPWHSQQHGYPPPSPSPYGYEPYPGASFHQQPPSASASFSSGGSSHGDGGGGGGSQQGGVRASLKVVLLHGSLDIWVHDARSLPNKDMLTKRVGELFGPRITGSVSDQMANGSITSDPYVIIQVSYATVARTYVVSNSNNPVWAQNFVVPVGHEAAEVEFIVKDNDVFGAEVMGTVGIPAEMLLSGNRIEGVYPVLEPNGKPCAPGAVLRLSIQYIPAAHLKMYHHGVVAGPDFLGVPNTYFPLRHGMRVNLYQDAHVPDGCLPDIWLDHGLLYQHGKCWHDMYNAIIQARRLIYIAGWSVFHTIDLIRDGAEKVPPLGDLLKMKSKEGVRVLLLLWDNPFSTNILGFKTDGYMKTRDEETRRFFKHSSVQVLLSPRSAGKRHSWVKQQETGKIYSHHQKTVIVDVDAGSYRRKIIAFVGGLDLCGGRYDTPRHSLFQTLQTLHKEDYHNPNFSTVDARGPREPWHDLHSKIDGPAAYDVLQNFEDRWLKASKRHGIKKLGKSYDDTLLRIERIPDMIDSNDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFVGSSFNWDSKKHVGANNLVPIEIALKIANKIKVNERFSAYIVLPMWPEEQNNANDVRDNL* >Brasy4G186100.1.p pacid=40087332 transcript=Brasy4G186100.1 locus=Brasy4G186100 ID=Brasy4G186100.1.v1.1 annot-version=v1.1 MDNNLRAAAAPSPQYRGVRRRKWGRWVSEIRQPGTKLRVWLGSFDTAEMAAVAHDVAALRLRGARDAQLNFPGSVGWLPQPPTTDPADIRAAAAEAAERVRREPALVAASAANANRAIDISPGELVVDGEFDDDGLLVESPKLWDQMAEAMLLAPPRWDSQDGSGGGDAAESSHSWPQGSLWDGC* >Brasy4G171500.1.p pacid=40087333 transcript=Brasy4G171500.1 locus=Brasy4G171500 ID=Brasy4G171500.1.v1.1 annot-version=v1.1 MDAAPPAGEKPHAVCLPFPAQGHITPMMKLAKVLHRKGFHITFVNTEYNHRRLIRSRGPGAVAGLPGFVFAAIPDGLPSSDADATQDPASLSYATKTYCLPHFRSLLAGLNSGSARAPPVTCVVADSLMSFSIDAAKEVGVPCTLFWTASACGYMGYRNFRPLINQGIIPLKDEEQLTNGFMDTPVDWAPGMSKHMRLKDFPSFLRTTDPQDTLMTFQLREVERAEAADAVVINTVDELEQPALDAMRAIMPAVYTIGPLNLLAGQIAPSGGPLDAVSSGLWKEDHACLEWLDGKKDKAPRSVVYVNFGSVTVMSSQELAEFAWGLADSGHDFLWIVRPDIVKSSEAAAAALPPGFLEATEGRGLLASWCDQEAVLRHGAVGAFLTHSGWNSTVEGLCGGVPMLCWPFFAEQQTNCRYTCVEWGVAMEIGDNVRRETVAGRIKEAMGGGEKGREMRKKAAEWKEAVVRSKARSLANLDGLIQDVLLSGQKN* >Brasy4G389200.1.p pacid=40087334 transcript=Brasy4G389200.1 locus=Brasy4G389200 ID=Brasy4G389200.1.v1.1 annot-version=v1.1 MHCLLRHEMRVVQRPRRRLRADVRQVPSVGLRELQAAGRPDLRHPVLPALLRQLHFHRVLIDLDAWSLFPSLVIYMRGSISM* >Brasy4G274900.1.p pacid=40087335 transcript=Brasy4G274900.1 locus=Brasy4G274900 ID=Brasy4G274900.1.v1.1 annot-version=v1.1 MVEFVLKLVSFSFVFIVGTTTLICTLVVLLSDSEPGAGLGVAMVSFCLLVWFMVCAEFCNQMFFDDPYEYQGSALGRCLAKVTGAPLWCLRGAGRLLCLPCPWERAELAKLRCLSTVLRTLASMGDCFHCMFTLVSGLMAIIATIGAIFLYAALFLVVPFLLLEPGVGAGLAVVFIFLLVWSKLCQEHAFFDLSCQWLAAMGRWLGKVLGTPLWCLRGVGRLLCLQPSQWGCPSIVDGGPTSVALPEFVVQISSQLDIQAYEQQEEEEDDRGRAGGASECAVCLGEVENGEMVKRLPSCRHVFHQHCVDLWLRDHTTCPVCRCSVFAPLPSQMV* >Brasy4G414900.1.p pacid=40087336 transcript=Brasy4G414900.1 locus=Brasy4G414900 ID=Brasy4G414900.1.v1.1 annot-version=v1.1 MAPNPKVFFDMSVGGAPAGRIVMELYADTVPRTAENFRALCTGEKGVGKCGKPLHYKGSSFHRVITDFMCQGGDFTKGNGTGGESIYGEKFADEKFVHKHTGPGVLSMANAGPNTNGSQFFICTVKTPWLDGKHVVFGQVVEGLDVVKAIEKVGSGSGKTSKPVVIADCGQLS* >Brasy4G212700.1.p pacid=40087337 transcript=Brasy4G212700.1 locus=Brasy4G212700 ID=Brasy4G212700.1.v1.1 annot-version=v1.1 MLAMPSSGCTGGWRVSRGASVMQNKKGKSYRQGKAKPFFQVSLSPSKYVFGGEGYS* >Brasy4G437400.1.p pacid=40087338 transcript=Brasy4G437400.1 locus=Brasy4G437400 ID=Brasy4G437400.1.v1.1 annot-version=v1.1 MAEAMDSGSWQDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYELPRVDPVEIPATVPTAEPVSGPQGLLGNGGGLPVAPVVARIARPVPAQDAAAGGGGGGSSESVNSVLQNEEFDDEDDSRSHSHGSAQSSPGPRNGHDGRRAQMVTFGFTPDSKYESNEFDEMSEQFVAVSKKDRKRRKPCNRCGKRKWESKEACLVCDARYCGHCVLRMMGSMPEGRKCVTCISLPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRQEELTDLLSCSRPPHKLKPGKYWYDKESGLWGKEGQKPGRIVSSNLNFSGKLHAKASNGNTKVYINGREITKSELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGDSNGTKDEITYLPRTVPDYLDQKRIQKLLLLGPPSAGTSTIFKQAKYLYGTRFTQEELDGIKLMIQSNMFKYLGILLEGRERFEEETLSRLHCTISEDEAAQQDDANDSSCIYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIEFTLDDRSPMSEPFIDNPEAHSQPLTKYQLIRVNAKGMNDGCKWVEMFEDVRMVIFCVALSDYDQVGPPVNGNSRLLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSTCEWFNDFSPVRTHNNNQSLAQQAYYYVAMKFKDMYAAHTNRKLFVWQARGRDRQTVDEAFKYIREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE* >Brasy4G416400.1.p pacid=40087339 transcript=Brasy4G416400.1 locus=Brasy4G416400 ID=Brasy4G416400.1.v1.1 annot-version=v1.1 MAGEPEPEVLLHGDLDLTIHEARGLPNMDVLSTLLRRLCLIPRRRRLPRPRSVPASDEEDEESTSTHHKHHHHHLHRRRRPKQPHGPRHLLPTSDPYAVLLVPPDTVLARTHVVRNADRPAWSARVRVPLAHRASRVVFNVRDADPFGSDLIAAASLPAADLLSGTPVVSRWIDLLRPDGRGKPKPDSAIRVSASFTPAYESFDRRIIPAYFPERRGCEVKLYQDAHGGEGKSGCWEDVCMAVLGAQSLVYVAGWAVGARVRLARKEEMSPEMKKKAAEAMALAAAGGADEVPPELREMTLGALLKYKSQEGVRVCLLVWDDKTSHDKFFLKTGGVMGTGDEDTKRFFKHSTVTCVLSPRYPSSKLSMAKQKLVGTLYTHHQKLVLVDTPASETTRRVTAFLGGLDLAAGRYDTPAHRLFAGLGTVFRGDVYNPTLGLGSGAMAAAGPRMPWHDLHCRVDGAAAYDVLANFEQRWRKATRLNDALGRKRWMDDALLRLHRIPWILSPSAAAGAGEDDPALRVFPEDDDDPQQWHAQIFRSIDSGSVKGFPRSWETQEMAERNLQCDKNVAVEASIHAAYVAAIRRAQRFIYIENQYFIGSSYAWPRTYRSSAAGNLVPMEIALKVASKITAGEDFAAYVVVPMFPEGGSPASGPAQEILFWQAQTMRTMYRVVADAIASAARSGNKLGGRGGRAHPQDYLNFYCLGNREPLGGDNGMQTRPAPETRWGTTSSSPSSSVVTATALENAKRNRRFMVYVHSKGMIVDDEYVILGSANINQRSLAGSRDTEIAVGAYQPHHSRKSPCGEVYMYRKSLWEEHLGATAMAAVEKVVELPEKKECVRMVNRAARENWEKYTAEDEAAEMMGHLMRYPVEVGVDGSVGPLQGHEFFPDVGGQVLGSTNKLPDYLTT* >Brasy4G233100.1.p pacid=40087340 transcript=Brasy4G233100.1 locus=Brasy4G233100 ID=Brasy4G233100.1.v1.1 annot-version=v1.1 MPAGGRVVARSSRSRRKRRWRSKRREASSRRPLLELRRRAPAPLAYVRRPRNRARGSDEQEEERPVLYACKASPKTSAKEAARPVAFEAEDLMRGFEAEKEHQRKMRSGMRRRSSKRSSKTRRPIELLNQLNRLFERDVLTPARSIGFAIWFPNRVVDIQNRSRWPSSSLILCSSFSSNV* >Brasy4G033400.1.p pacid=40087341 transcript=Brasy4G033400.1 locus=Brasy4G033400 ID=Brasy4G033400.1.v1.1 annot-version=v1.1 MARIRGRLRQKVPVCLCRRCNPGNLVLALRSLAPQGHVVPSCELQLVFGGAGAGRRLGAAAAAAADDGHASLENGRTGDNNPEHWPPMVVNAENPLLNEVVSAAMPPAPTEAVLEITGKKKRGGRAVPAAGSSRCSRSNGKNWRCENRTQGTSFLCEHHLDQSRERSARWASRRRAAAALAAATAAHEAEV* >Brasy4G402500.1.p pacid=40087342 transcript=Brasy4G402500.1 locus=Brasy4G402500 ID=Brasy4G402500.1.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.6.p pacid=40087343 transcript=Brasy4G402500.6 locus=Brasy4G402500 ID=Brasy4G402500.6.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.3.p pacid=40087344 transcript=Brasy4G402500.3 locus=Brasy4G402500 ID=Brasy4G402500.3.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.4.p pacid=40087345 transcript=Brasy4G402500.4 locus=Brasy4G402500 ID=Brasy4G402500.4.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.8.p pacid=40087346 transcript=Brasy4G402500.8 locus=Brasy4G402500 ID=Brasy4G402500.8.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.10.p pacid=40087347 transcript=Brasy4G402500.10 locus=Brasy4G402500 ID=Brasy4G402500.10.v1.1 annot-version=v1.1 MIWVLFIMQLASHGTQSDEATQLVSHRDHAEVSDSQEMLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALARHLNILSVLYVKHLPRFCLNIFNNYSNCSTGYYFGWFAVWILHSYNMWTEDH* >Brasy4G402500.2.p pacid=40087348 transcript=Brasy4G402500.2 locus=Brasy4G402500 ID=Brasy4G402500.2.v1.1 annot-version=v1.1 MLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.9.p pacid=40087349 transcript=Brasy4G402500.9 locus=Brasy4G402500 ID=Brasy4G402500.9.v1.1 annot-version=v1.1 MLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.7.p pacid=40087350 transcript=Brasy4G402500.7 locus=Brasy4G402500 ID=Brasy4G402500.7.v1.1 annot-version=v1.1 MLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.5.p pacid=40087351 transcript=Brasy4G402500.5 locus=Brasy4G402500 ID=Brasy4G402500.5.v1.1 annot-version=v1.1 MLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALAILAIILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADLVPDLDPSHVTELRTLGLY* >Brasy4G402500.11.p pacid=40087352 transcript=Brasy4G402500.11 locus=Brasy4G402500 ID=Brasy4G402500.11.v1.1 annot-version=v1.1 MLSQSNTAGSSTEYLVSCEIKPVIVEDDNENIDANEETHLVIQDFPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVFLGMLVYRLYGDELREMFGYEEHPYAFYALARHLNILSVLYVKHLPRFCLNIFNNYSNCSTGYYFGWFAVWILHSYNMWTEDH* >Brasy4G136000.1.p pacid=40087353 transcript=Brasy4G136000.1 locus=Brasy4G136000 ID=Brasy4G136000.1.v1.1 annot-version=v1.1 MGNHAAVQRLPETTTAPSKGTTESVMATHEFEVTNYPALDGAMGVGKFVRSATFSVGGYDWQILFYPGGQTKECAGYASVFMASLSPTAKNVSTKFSLTMQSTGATSPWPNKEPSTECKRILLEVLPPELPGHLERALKDKKGADVTLLVGGREFSAHRFMLASRSPDTRRVEVVDMEPAIFEMLLHFIYTDSLPPPTCDDSQEGGYGTAEMQYLLVAADRYGLDRLKMICEEKLSRGIKVETVTGTLALADRHYRHRLKDACVAFMSQPDVMGAVARDDGFKHLIGRCPMLGLKEESK* >Brasy4G349500.1.p pacid=40087354 transcript=Brasy4G349500.1 locus=Brasy4G349500 ID=Brasy4G349500.1.v1.1 annot-version=v1.1 MKVAGRAQYGARARQRLALLGRAAKQRRQKAHATRRGAGRAGREADGLQPELGKRKRNRQSAASSAGPSPTAQQMRRFPARRARLHLQEMAPPHVRTRPPPSSSGGSSGGGASATISGCGYMVAAATGRVLRGRV* >Brasy4G224100.1.p pacid=40087355 transcript=Brasy4G224100.1 locus=Brasy4G224100 ID=Brasy4G224100.1.v1.1 annot-version=v1.1 MVLQNDIDLLNPPPELEKRKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD* >Brasy4G152800.1.p pacid=40087356 transcript=Brasy4G152800.1 locus=Brasy4G152800 ID=Brasy4G152800.1.v1.1 annot-version=v1.1 MRMQTRVVLVTVAAAALVLGAAVLGLIAERARSKSFVGYDGRYCVYRTTAALGCGIGAAVLLLAGLSVLSADSGCFGRRTRTRSISGRTRSVVARTSAIYLTVTLAATALFLLGAWRNAGGERAPTRGRYQSVYGCSVFNGGFFLSASVASLVAVLFGIAAYVYQETAEPEDQPPQAVAMGQPQQMYFPPQQQAYYPAMGYPVAAPPPPYGGYGAKAPAGTS* >Brasy4G157200.1.p pacid=40087357 transcript=Brasy4G157200.1 locus=Brasy4G157200 ID=Brasy4G157200.1.v1.1 annot-version=v1.1 MAAGRHGGYRAYEVARERELDLEASRRGKEYHQRHRHPSRHRDSDDRRDGGRSRDRELSNGYNRRHSPHLPPKSRPSGRREEREPGEVSSRSGSEESFGRPLKPRQTNGNGVPVVRREGGAMSPSKKRKHSPVILDRNGSKPRVQDIVKSTKEVDTVVAELPDVSTTASMDLDVSVDVQHDERLQEHGKNRIMEEEEEEEDGYPITRNIMTSRWADADGEEEIVPKKKKSVSPQQGSTKKVASPELGELVVGSSGGDSASSDSGVVRCSANGDLEVDKGDCIVEKDAGDDSSVVHMLDIDSESHACRSRTPEPARSSRRCINMLQGCRSVDEFERLNTINEGTYGIVSRAKDLKTGETVALKKVKMEKEREGFPLTSLREINILLSFNHPSIVDVQEIVVGSGDSTYMVMEYMEHDLKAVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVIHRDLKTSNILLNNRGELKICDFGLSRPYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLTKKPLFNGKRDIDQLSKIIQMLGTPNEGIWPGYSKLPGARAKFPKQPYNKLREKFPAVSFTGGLTLSEAGFDLLNRMLTYDPETRISADAALNHEWFREVPLPQSRDFMPTFPSLNEQDRRMKKCMRSPDPLEEQRMKEQGSIGDRGIFG* >Brasy4G439100.1.p pacid=40087358 transcript=Brasy4G439100.1 locus=Brasy4G439100 ID=Brasy4G439100.1.v1.1 annot-version=v1.1 MTWQHPSRTSLSAFCLPHPAHLFFFVCLISLAFPLPHQRTIQPVPTSAYPSPPPATSSAPLSSASPFFLPPRTSLHRLSLLPPTPPLSLHSSSLPGLLSPFFFPTAGAWPRRGTRAAVGRGAAARRGLRWREALRLGEGRRGEALRRLWTAASGRGAAAAGRGARGSRWRTRRRALSLHLSLCVTRLRPAGQVPQCAAAPWQASSARQQRRAADSAGQARAAASGAGCGGVERVREAACPLSPSATEGARRRRAAGGQLRRLPVRAAAAPASSGSARRGEEHGAVAAAQSRRRGSSGSVGQGGEAATASGGVKQQQDFFCFF* >Brasy4G431500.1.p pacid=40087359 transcript=Brasy4G431500.1 locus=Brasy4G431500 ID=Brasy4G431500.1.v1.1 annot-version=v1.1 MAVVRPHPNVLRLLAHCNEEGEHILVYEYMPRRSLDIYIFGGIGERGALDWETRLQIIRDIAQGVNHLSSCDVIHGDLKPANMLLDNEWNAKVADFGTARLYVATAGAAAARPRTIIGTPGYMAPEYVVQDIDVGGGEITSKSDVYSFGVTLLETLSGRQNSASRPSLVSIARRNWSENNPMALLASAGVPVPNGDSALDLLLRRCIQIGLLCVETAQDDRPDMPAVVRMLSDHNEQIPVPRMPPIPMPQERWIEEDVASLQEARLSRPTVYETLDLR* >Brasy4G146900.1.p pacid=40087360 transcript=Brasy4G146900.1 locus=Brasy4G146900 ID=Brasy4G146900.1.v1.1 annot-version=v1.1 MATYLIDSLATSLFLTLSLVAAITADPSTSVEASRKISEHSQSYSPQPKDFPNERLYRAYRVIQRFKSSITSDPKNITTTWSGHDICGRKTYLGFDCGTRPHRGELTVMSVVLNDFGLSAPMLEGFIDQLPDLALFQASSNNFGGDIPHLDSLEYRFVDDDLHDRFYESKQYTIAIIVTIDSLLELLLLNNQLSGCLPHELGMLTKTAVIDAGMNQLTGLIPSSFSCLTGVEQLNLGGNRLYGQVPDALCKLAGPSGRLANLTLSSNFFTSVGPACSALIKDGVLDVQKNCIPGLANQRAPAECASFMSQPETSCPAPSAPVSCPAAAADAKTKMAPEGRAAAKGYSSYVTYATLHE* >Brasy4G440500.1.p pacid=40087361 transcript=Brasy4G440500.1 locus=Brasy4G440500 ID=Brasy4G440500.1.v1.1 annot-version=v1.1 MEEEVIWKDMSSLSSTPVAGLQQHFYHLSSPVRSCLHRGPPPPTALTLSSTLEFTYLGCATASNSSSGDDSQAQLMPPPADLDDILISLAAASNNHTGALAGPGSNNNNKRSTSAITAGGGCERRQRRMIKNRESAARSRARKQAYTNELELELAQLRRDNQMLLKRHQDLNARLAMEAQVPVSRSTLQRCRSAPAP* >Brasy4G098300.1.p pacid=40087362 transcript=Brasy4G098300.1 locus=Brasy4G098300 ID=Brasy4G098300.1.v1.1 annot-version=v1.1 MAGMDPGPGGGSPGGASSSRYFHHLLRPQQQPSPLSPTSHVKMEHSKLTSPDNNSPAGADADAAGGSGDQPSSSAMAPGGADGSGGSGGPTRRPRGRPAGSKNKPKPPIIVTRDSPNALHSHVLEVAAGADIVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSVVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGSLVAAGPVVLMAASFANAVYERLPLEGEEEDQAAAAAAAAAAGAEAQQDQVVQSAGPHGQQPAASQSSGVTGGDAAGGMSLYNLAGNYQLPGDNFGGWGGGGGSGGVRPPF* >Brasy4G234400.1.p pacid=40087363 transcript=Brasy4G234400.1 locus=Brasy4G234400 ID=Brasy4G234400.1.v1.1 annot-version=v1.1 MALRRLLQGSVLPRMTGRAAAAPFSTEAGETIRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHFVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMTLVKNPGTFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDISGMNLANPTALMLSAVMMLRHLGFDDKADRIHNAILQTISEGKYRTADLGGKSSTSDYTKAVCDHI* >Brasy4G328800.1.p pacid=40087364 transcript=Brasy4G328800.1 locus=Brasy4G328800 ID=Brasy4G328800.1.v1.1 annot-version=v1.1 MHPPSASLLPPFRFIPSRHPRPSSHSPSVRNPRARGPSRRSISSPSRPHDRSIPGGASLSRGCSDRCIELLLPAQVKGFYHFYKIEQFAEMGGVIGRGDSPRHGSPASKLEQKMVEAMQQRAQQGTTMKSFNSVIMKFPKIDESLRKCRIIFQQFDEDSNGEIDQEELKHCFQKLDISFTDEEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGNLEPTFETLVDSFVFLDKNKDGYVSKNEVIEAINETTAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGLDENEDDEDDE* >Brasy4G386700.1.p pacid=40087365 transcript=Brasy4G386700.1 locus=Brasy4G386700 ID=Brasy4G386700.1.v1.1 annot-version=v1.1 MAGGGEQAVPMDADADPAAAAAAQAQLHGAAANADAASSSTLTLSYQGEVFVFESVSPDKTSTVNTAHRMASLLRYREKRSKRNFDNKIRYPVRKEVAHRIQRNRGQFASAKAKAQEGAASGTAVDGSKNRGAMEDQTPYAAAICQNCGVSSDITPMMRKGPNGQRILCNACGLFWAKKQNGMTETAPADDEQQRIAIEVAPGAQPAPVANGNES* >Brasy4G325500.1.p pacid=40087366 transcript=Brasy4G325500.1 locus=Brasy4G325500 ID=Brasy4G325500.1.v1.1 annot-version=v1.1 MNMQDNDGNTALHLAVQVGNLWIFNHLMENRLVKLNLTNNKGQTPLDLSWTMKLVPFTFHGRNLIRQLLQAAGAPSGIYRRDFLHKERLHEKEATRKINEATQTVGISSALIATLAFAVAFTLPGGYRADDHENGGAPTLAGHYAFDVFIVADILAFVLSSLSITCLIYARTVVIDIRSRLRCVALAEPLMADPVKSLCAAFAFGLYVVLAPVERTTAISACAITALVLLDTVWFMAIVVTGELTLVKRLGGVRAWWRLAKVILTVFLLQFWSYIVIATVCFQIKRIH* >Brasy4G083200.1.p pacid=40087367 transcript=Brasy4G083200.1 locus=Brasy4G083200 ID=Brasy4G083200.1.v1.1 annot-version=v1.1 MKKYKYKSMLTLQLSEIFNGKTMDAPVPLPLMLLRLVFLLCFFSALPRNCRRLFSVEAAAPTLVSSLPGFDGALPFRLETGYVVVDEENGSELFYYFIESEGDPRRDPVILWLTGGERCTVLSALFFEIGPLKFVVEPYNGGIPRLRYHPYSWTKAASVLFVDSPVGAGFSFSKKPKGYDVGDVSASLQLRKFITKWFSEHQDFLVNPFYVGGDSYGGKIAPFLTQKVSEDIEAGLRPTINLKGYLVGNPGTGERIDTESRVPFLHGMGIISDQLYEAIMEHCQGEDFANPKKALCAQSMDKFNRLYQEIQEGNILYKKCIFVSPRPNDWTTERKILKEEPAGVLKHQPPRPPLDCLDYCNYLLYFWANSNITRATLGIKKGSVEEFVRCHDGDLPYSRDIKSTIKYHRNITSKGYRALVYSGDHDAMVPFVGTQSWVRSLNFHVVDEWRAWHLDGQSAGFTITYANNMTFATVKGAGHTAPEYQPERCLAMVRRWISDEPL* >Brasy4G128000.1.p pacid=40087368 transcript=Brasy4G128000.1 locus=Brasy4G128000 ID=Brasy4G128000.1.v1.1 annot-version=v1.1 MAPPSPSPPEADGPATPATTNNNPTQSPPTQLLPLRAPPLSWSTPVRLSWADACEEEEEGEFVPDSLPPPASPLAQIRSTVVRPEPGAARIYVPPQRRPPSPDKNASPAFIAGSGVRSPFKARLPLPPAGSPPCGQPRLFNAGGSSIDGARHVNRWILPPPPPGLPPRGQPRIQDAPAGSHPRGIHSPPARVVNSRPARQDGDSRRFDVGWQLVRRPHWWRRGPLGAPRLASTLKAERRAALLRRMRGRCFRCLSHRHIATHCREPRHCWRCHRPGHIEESCRAPPVSPPPPPPARRHSSLVTAGRSFADALMADDGDFQGRPAEDQSVMEVTQDMVLAEHSYRTHAVLVTIGGDRPRTKPRHVADAFCREFDIALDEVRVSAHYPEDYLVFLSEPEIREKAVDRGRFHSHGRELLILPWSAERHSDWVAMPFHVRLCVENLPVHAWTPETAARIIGRRAKVHFVEDQSTRREDTSTFNFWVWTANPAALPWRHKLWLMENHDATQPTAPLFMPIVAHNTPSAGIEGRRCPLLIHIDVVEDLTALTPAREGGSVPSRGRRVIKGYAWTHGEEDTGRRDVGRRPPPPTRNCNDGRRNERRDDHDRDGDKDGRRSRSSRRDGRKDSSLLTRLARAAPYPHRRAVPPDATSRYGGRRVGCSSGPVRCRARRGPVVPTTPPATLPAPPMQPDPLLPFTDLDLCPTPPSSTTTTPMTMDSFVASICMYIPSPILDMPAPPPPATTDPEATVRRSARLASRPSAGLTTELAAQALVARRLGSLPPAAPFDATAKAAYLALFKAPCLTTPSPRLKIL* >Brasy4G015400.1.p pacid=40087369 transcript=Brasy4G015400.1 locus=Brasy4G015400 ID=Brasy4G015400.1.v1.1 annot-version=v1.1 MSEHTQCHLMKMKMNLWNSSQFIEAHVEKMGPIPSTTNIKVSNLERKIHALRQAKMKAAEGIREVADQSKFDAVMRELEEAEQKKKEELDKKAAAAEARKNAAEAKKQAAEEAKKQAAEARMLAAEEKKREAKKLADLKKEVAAQKRKEAADKKKEAKERQEAAQMAAFARRSSAPGPSGPAAKKGKKSSIMHDYLSGV* >Brasy4G439900.1.p pacid=40087370 transcript=Brasy4G439900.1 locus=Brasy4G439900 ID=Brasy4G439900.1.v1.1 annot-version=v1.1 MASPAPPTTVRRNPPRRARPPPTPLRSAKPKPTVSSLLDVAAAAPDAPSISPPPPTPAAASSSSSSSHPQEERLKVYLRIRPLPVPERERCKPSGRLPNPNPKQPRKPSKQQPGAGDGDGVCLVATGANSVALTVPQSKLVDLKRGRTEVFDGFSAVLPPQSSQHDIFTQVMNPLVDEFLGGKSGLLVAMGPTGSGKTHTVFGTPRNPGIVPLTLQKIFSATDESEGGNQPTRLFSLSMFEILCEGKGERILDLLSDAADLVLQQSTTIKGLKEVGISNSMDAESLVSRGMLKRSTAATNANSESSRSQCIITIRAIPKNNDLQSEHQISGSVLTIADLAGAEQKKCTGNKGSRLLESNFINNTSMVFGLCLRALLDHQKNQKKTLEKHFKNSLLTRYLRDYLEGRKRMTLILNVKPGDDDYSDTSFLLRQASPYMKIRYTSLDDCSDLVTQKRSNASLICQENRKKRKVHKPEVLTAEGKDTIDKPDDIEVSERDEFLNLELQRVSRSEQIMKNLFRTLWAVSKQKLLESECAAKRMRELLRDKDIQIIELKKELNDLKSRSHEKFPIAADTYVEQNDAVTVSQAALSSVSQSNQTELGSSDATLDDVHSSTESAVEEVSEEFTCYHPERSSAYGDTKGKSIGCDTSVNNSMDEQELSSRYLKTDESCTDAFSPECDVKKRNTEIVAQVVDKESDSSGSCSEQIPAHDAGVAHATSHLDHPSDQSFAELHILPCMKSEHASLSQFSVCGKKAPVEQSEEALSNNIEVEDIQHNADIEEMKHHDSPSFSPQANSEVVDVSSNQPFLGLQGMGAVQQNPEEPVCESDSNDHGDMLPHVLNERESQMEASVVLSKDDREAEGVRDKKEDLCASRPCKTKKTTSRRLRPLADMMLKEFSGTELDAAADTKKQGGGGDALAGRSDKLIRLLKSRP* >Brasy4G256000.1.p pacid=40087371 transcript=Brasy4G256000.1 locus=Brasy4G256000 ID=Brasy4G256000.1.v1.1 annot-version=v1.1 MAAAGAASSLVPSPSPRRLPFRSTRKPLLAAIPNSLTLSPKPPCRLHPLAASSSPPSAPPPEDAETRDPVKLAFARAAAYKKEKANPTPRPPPPPPPQTSAKESSKGAFEKALEYRNGDGGGLGGGSAFLKASPTFGQNTFSSKDGAFGKAANKKGEYVYDETDFLGLDFFEKKRYQGPPPGLSPAVDPSPNEDFPEVEIVIGDPSKFGKSRRTTENQPVDDNESDQTSRSRIIEQNEGGKVEETTPSSVIEPAEEDKNSEFYKPRVTSWGMFPRPQNISKAYGGGRNISLGGEKQSAEEKAAKDKRTRELLAAYMSGQNKTMDAKTKAECTEALKKGDELMNAGRLKQALPYYEKVMQAADFKTELHGMAALQWSVCLDSLCRSKEAMSMYSKLKYHPNDLINKKAKMFMFSFQAMDFLKVDGLPVPQNTGYEGYFDQFSGQRNYYANPDEPEVGIRQIIPYMIFLVSPIFFVAFVAWRKSFML* >Brasy4G160800.1.p pacid=40087372 transcript=Brasy4G160800.1 locus=Brasy4G160800 ID=Brasy4G160800.1.v1.1 annot-version=v1.1 MASHLDFRYLDEGLGGERGKRKRREEEEAADSMDLDTDVPRPSKLRAVSSLSDPSKPAAFGQPTYDGVIAGRVSGRNWKEPRTRRSSAVMVSRKPVPLEQRVKDKSLKKAYQVRVAELKDEIRQNKIAKREKREQREKRKKENVLRTGTRLQKVTNPKTIQKIAKSKKRKQLRVVSDDIFAGGKKSDASRRMQVPGLDN* >Brasy4G110000.1.p pacid=40087373 transcript=Brasy4G110000.1 locus=Brasy4G110000 ID=Brasy4G110000.1.v1.1 annot-version=v1.1 MAPVGFGYGYSTTIPVPDDPILNRYPYPWSGVGAQELARGRRTRGGAAKSDGADAEPVPAPAAAEHDDEEEVDADAEWSGAVVPWRRRGGAAADCSLVVGCRRNGAVEEAGRR* >Brasy4G237800.1.p pacid=40087374 transcript=Brasy4G237800.1 locus=Brasy4G237800 ID=Brasy4G237800.1.v1.1 annot-version=v1.1 MEKAALWLVLISVASLRCKGDPDFVTEGQYIKIKRSLFAMLIVFAVMVFALAIVILKYLRPGKRSADETISGGSSADNKFHGAGEVINRWSGLYRFTKAEIERAMDYANNRIYLGSGSAGQVYQGVLPSGQLVAIKHIHRTAMSGSFTREADGLSKVRHPNLVCLFGYCADGTDQYLVYEYCANGNLAQNLLRSDSVLPWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLTNILLTENMEPKLSDFGLAKMLAMEETKVFTDVRGTIGYMDPEYITHSKLTCSSDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLDEFIDNRIRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVYEEMDKAWRNTITKAARARNEISSSNTVHYPKVIDV* >Brasy4G237800.3.p pacid=40087375 transcript=Brasy4G237800.3 locus=Brasy4G237800 ID=Brasy4G237800.3.v1.1 annot-version=v1.1 MEKAALWLVLISVASLRCKGDPDFVTEGQYIKIKRSLFAMLIVFAVMVFALAIVILKYLRPGKRSADETISGGSSADNKFHGAGEVINRWSGLYRFTKAEIERAMDYANNRIYLGSGSAGQVYQGVLPSGQLVAIKHIHRTAMSGSFTREADGLSKVRHPNLVCLFGYCADGTDQYLVYEYCANGNLAQNLLRSDSVLPWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLTNILLTENMEPKLSDFGLAKMLAMEETKVFTDVRGTIGYMDPEYITHSKLTCSSDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLDEFIDNRIRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVYEEMDKAWRNTITKAARARNEISSSNTVHYPKVIDV* >Brasy4G237800.2.p pacid=40087376 transcript=Brasy4G237800.2 locus=Brasy4G237800 ID=Brasy4G237800.2.v1.1 annot-version=v1.1 MLIVFAVMVFALAIVILKYLRPGKRSADETISGGSSADNKFHGAGEVINRWSGLYRFTKAEIERAMDYANNRIYLGSGSAGQVYQGVLPSGQLVAIKHIHRTAMSGSFTREADGLSKVRHPNLVCLFGYCADGTDQYLVYEYCANGNLAQNLLRSDSVLPWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLTNILLTENMEPKLSDFGLAKMLAMEETKVFTDVRGTIGYMDPEYITHSKLTCSSDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLDEFIDNRIRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVYEEMDKAWRNTITKAARARNEISSSNTVHYPKVIDV* >Brasy4G237800.4.p pacid=40087377 transcript=Brasy4G237800.4 locus=Brasy4G237800 ID=Brasy4G237800.4.v1.1 annot-version=v1.1 MLIVFAVMVFALAIVILKYLRPGKRSADETISGGSSADNKFHGAGEVINRWSGLYRFTKAEIERAMDYANNRIYLGSGSAGQVYQGVLPSGQLVAIKHIHRTAMSGSFTREADGLSKVRHPNLVCLFGYCADGTDQYLVYEYCANGNLAQNLLRSDSVLPWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLTNILLTENMEPKLSDFGLAKMLAMEETKVFTDVRGTIGYMDPEYITHSKLTCSSDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLDEFIDNRIRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVYEEMDKAWRNTITKAARARNEISSSNTVHYPKVIDV* >Brasy4G425100.1.p pacid=40087378 transcript=Brasy4G425100.1 locus=Brasy4G425100 ID=Brasy4G425100.1.v1.1 annot-version=v1.1 MAARVQTLVLAALSVVVFFSVSGVASGGGDERAALLALKSGFVDPLGLLADWKAGAGSHCNWTGVGCTAGGLVDALDLAGKNLSGEVSGALLRLTSLAVLNLSSNAFAAALPKSFSPLSGLRAFDVNQNSFDGSFPSGLAATLVFVNGSGNNFVGALPLDLANATSLDTIDLRGCFFSGAIPAAYGRLTKLKFLGLSGNNLGGEIPPELGELEALESLVIGYNELEGAIPPELGNLASLQYLDLAIGNLEGPIPQELGKMPSLSSLFLYKNKLTGEIPAELGNVSSLAFLDLSDNLLSGTIPPEVGKMSQLRVLNLMCNRLTGEVPAAVGTMAALEVLELWNNSLSGPLPAALGRSSPLQWVDVSSNSFTGGIPSGICEGKALAKLIMFGNGFSGAIPAPLASSCDSLVRVRLQGNRINGTIPAGFGKLPWLQRLELAGNDLSGEIPVDLASSSSLSFVDVSRNRLRGSLPAGLFAVPSLQSFMAAENLISGGVPDEFQECPALGALDLSGNRLSGEVPASLASCQRLVSLNLRRNGLSGAIPPALGKMPALAVLDLSGNSLSGGIPESFGSSPALETMNLADNNLTGPVPANGVLRTINPGELAGNPGLCGAVLPPCPGSSSSLRGTARHGSTSSSTRSLRRVAIGLLVGTLVIVLAMFGGWHVYYRRRYGGGSGEELGGGAWSWRMTAFQRVGFSCGDVLACVKEANVVGMGATGVVYKAESLPRARAAIAVKKLWRPSAGAPATVDLAADVLKEVALLGRLRHRNIVRLLGYMRNDAGDAMMLYEFMPNGSLWDALHGDSPSPSPDTKKTKGTLLTDWPSRYDVAAGVAQALAYLHHDCHPPVLHRDIKSNNILLDGNLQARLADFGLARAIAGGSDSASASEPVSSVAGSYGYIAPEYGYTLKVDTKSDIYSYGVVLMELITGRRAVEGQEDIVGWVREKIRTNAMEEHLDPLHGGCAGVREEMLLALRIAVLCTAKLPRDRPSMRDVLTMLAEAKPRRKSGSAAVVPAPPVAAAAAVVDKDRPVFSTTPEDSV* >Brasy4G117500.1.p pacid=40087379 transcript=Brasy4G117500.1 locus=Brasy4G117500 ID=Brasy4G117500.1.v1.1 annot-version=v1.1 MGCKGSKLDEQEAVALCRGRADLLAAAVRHRDALADAHAALADSLASISNSLHRILVSASAPTRLTLPAAGKGVDPSPPPPPQDSASPPHSSSHINFAPSSGSESGSVTSSPLHRLAGHQEQFRHPHPHPHALQFPHYGYGYGYGYGYAPDPPFGYPPGSLQLYYARSRPPPASVAVEQRAAPAERVYFGSFEPAGGNPRYYSYGGEPTVAGRAAAPPPSPPRASSWDFFNVFDNYDVHDNYCYDAVNAGTTATTPYTPSRCSREVREEEGIPELEEDDVVVKEVSSEYSTPGSGGARSRRNSVSGVSSGIAQGDEEENHVVDKGVVGGGSVARQRAPLQPNVIPTMPTHRRASESADIASEIKAQFVRAADAVRALAPILEARKRSYQYHSRSSVYHVSSRMVSAIALPNSVYGGEELDIGGGEKVVGGRSLSLTLQKLYIWEKKLYNEVKSEEKMRLLLIKTSKRLKFLDQKGAEAHKIEATQNLVRKLSTKIRMAVRVIAKVSKKIDRVRDEELWPQIKALIQGFVNMWQDKLECYQIQCEAISEVKKLDSIISGGISADLAMELEIDLVKWIVNFSSWVNAQRSFVKALNGWLALCLNHQQEETPDGAPPYSPGRVGAPLVFVICNSWSQCMDRISEKDVVTAMQALVSSVRSLSEQKIVELSEQISVIREREKWNKILERKAMEINKETDTLNRKLALVPGRQSLLPTAQTYQAHFLEADSLHISLRRVLQALESFASSSLQAFQNTQRHAEDEMLSRENPKVS* >Brasy4G351600.1.p pacid=40087380 transcript=Brasy4G351600.1 locus=Brasy4G351600 ID=Brasy4G351600.1.v1.1 annot-version=v1.1 MLENQGQMATSGSVLILHDPIQETTVCGCVFLPGQYASSISLVYLIHNLLTWEQELCYIKTGKVTTISNLDYMEQISF* >Brasy4G000500.1.p pacid=40087381 transcript=Brasy4G000500.1 locus=Brasy4G000500 ID=Brasy4G000500.1.v1.1 annot-version=v1.1 MGWAARFLTAVSFLAAGVLFAPDALRLGGSGAAAAARLVHVLAFATAWGAGLWVTFIGGIVMFKYLPRQPVWESAGENVPGLLHVDISMFSDIRGSICVPAPLEDSINHRALPAWIPYFSPWL* >Brasy4G050400.1.p pacid=40087382 transcript=Brasy4G050400.1 locus=Brasy4G050400 ID=Brasy4G050400.1.v1.1 annot-version=v1.1 MAMEAVLAVCSLLLLVFVSFPCTPASGLLSPKGVNYEVQALMMIKNYLKDPHGVLRNWDQDSVDPCSWTMVTCSQENLVTGLEAPSQNLSGLLSPSIGNLTNLEIVLLQNNDINGRIPAEIGKLTKLKTLDLSSNHFSGEIPSSVSHLRSLQYLRLNNNSLSGAFPSSSANLSKLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICGTATEQDCYGTLPMPMSYSLNSTQEGTLVPAKSKSHKAAIAFGSTIGCISILFLVTGLLFWWRHTKHRQILFDVDDQHIENVNLENLKRFQFRELQAATENFSSKNMIGKGGFGNVYRGKLPDGTVLAVKRLKDGNAAGGELQFQTEVEMISLAVHRNLLRLCGFCMTTTERLLIYPYMSNGSVASRLKGKPPLDWITRKGIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDFCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRNSYDHIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRTDSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR* >Brasy4G050400.2.p pacid=40087383 transcript=Brasy4G050400.2 locus=Brasy4G050400 ID=Brasy4G050400.2.v1.1 annot-version=v1.1 MAMEAVLAVCSLLLLVFVSFPCTPASGLLSPKGVNYEVQALMMIKNYLKDPHGVLRNWDQDSVDPCSWTMVTCSQENLVTGLEAPSQNLSGLLSPSIGNLTNLEIVLLQNNDINGRIPAEIGKLTKLKTLDLSSNHFSGEIPSSVSHLRSLQYLRLNNNSLSGAFPSSSANLSKLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICGTATEQDCYGTLPMPMSYSLNSTQGTLVPAKSKSHKAAIAFGSTIGCISILFLVTGLLFWWRHTKHRQILFDVDDQHIENVNLENLKRFQFRELQAATENFSSKNMIGKGGFGNVYRGKLPDGTVLAVKRLKDGNAAGGELQFQTEVEMISLAVHRNLLRLCGFCMTTTERLLIYPYMSNGSVASRLKGKPPLDWITRKGIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDFCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRNSYDHIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRTDSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR* >Brasy4G370700.1.p pacid=40087384 transcript=Brasy4G370700.1 locus=Brasy4G370700 ID=Brasy4G370700.1.v1.1 annot-version=v1.1 MVTSTSRGRTAGRGSAFGSVHAEEIWSPKLFGCQKPNILHEMFAKFTPFKLLLMKDIAFDGLTDMPKQQWNRQFSLFCLNQTDSEGDPMEFEFPDGTRAPIYPSDVKKIIGVQCEGKHISVIDDDVPEELLQEVCRALRLQQLTISSVCDVVERSIDVHSRKQEQEAFQIGVVILCFAFMLDCRDRDPKVPMYLLPHLSNVQKLKEVNYARCVLDILGIAARKVQESKRAGYSTCTVGGCCIVPQIFYLDRINFGAHKAPANVFPRINAYVKSKLDTLIGMEWKCHDVDLSQWYGNYKRNDDVKVEDKGKSIATGSRRHKRKKKYRSARQSRRQQRFNSLMKVVEEHHASDAYLVEDLKRELQKRKNLLLYRIATHMENEGSSDSSDEDRPSEVNLMAGNPMFKRPNRLPLSAGVWQREKDKGKDGSEGANVNEGPNGNEDAIINNVLLDIAGAAEQIQHEGQEHLKKSAGANVNEGPNGNEDAIINNVLLDIAGAAEQIQHEGQEHLKKSAGKEEDADSSVKLEDVKVYQRRNISTKMK* >Brasy4G188200.1.p pacid=40087385 transcript=Brasy4G188200.1 locus=Brasy4G188200 ID=Brasy4G188200.1.v1.1 annot-version=v1.1 MAWFGDFMCRSDTAKPPSPFWARPKTGAQAELVHGEGETLLRRDKTSARTSPAILLRISSTSGRRLVDLARSSRRRTSSSLSALSTKERNRSLKAPRFTSANTSKGASLSSSHVPDSAGLPLEIPSFSVPIAGAGDLRV* >Brasy4G400400.1.p pacid=40087386 transcript=Brasy4G400400.1 locus=Brasy4G400400 ID=Brasy4G400400.1.v1.1 annot-version=v1.1 MADKPSRALVLYAAGHAALLPAAAAAGGGGGKSHLDAFASLASCGFLSVRTPAVNDEGDKNSDTIVELAQLLDVYDALYPAKDAQTGLETARVDPQELVVPKLSERFMGMRAAMVTNCSSVSSFAANLGFHVFRTEDFVADSGSGASSKDIGIINRAFGLLGFSEGNVQDASEFDLVFVHVAMENTASKLGKLGMKTDLNRLDKLVAAVMEAAPVKSAIAARVHVSVILSYGSATENKEESCLILNSSTETDSDLKLLRPRQSYTMKAGRTLDDVRNHHPMLLAQWQEGVTRSDLAKGFSFEEFIKRGGNFAMLGERCLHEVAFKLWKAPKYGA* >Brasy4G303300.1.p pacid=40087387 transcript=Brasy4G303300.1 locus=Brasy4G303300 ID=Brasy4G303300.1.v1.1 annot-version=v1.1 MAASSSSVLILLMAGALLAAAGVGATTFSITNQCGFPVWPAAIPVGGGRQLNGGETWNLEVPAGTSSARIWGRTGCSFNGAGRGSCATGDCAGALSCGLSGQPPATLAEFTLGGAQDFYDISVIDGTGDALRCRDSGCPDAYHQPNDDKTHACSGNRSFRVVFCP* >Brasy4G114500.1.p pacid=40087388 transcript=Brasy4G114500.1 locus=Brasy4G114500 ID=Brasy4G114500.1.v1.1 annot-version=v1.1 MEETGAGGAAYRRWDTSGSGSRYSFRTSVSSLADMGGDVVEEEALVSQQAEAAGAEDDRVFVAVPQEVKHGKSALLWALQNLAKDGARVVVAHVHCPSQMIPMMGAKVHYTRMNPEQVKDHREKERQKASEKLDEYVVMCTTLKVSCEKIMIDKDDVAKGLEELIALHGITKLVMGAASDKHFSKKLKTPKSKTSLRLMEAGAPSCKIWFTCKGHLICTREANTTVPAIPPSPAPTVASTLSENSISSHMRSLTIHHTESEASSSNRSPKQGLNRSVTAVTRHTSRAAGSTPSRLFEPFEPNANSRPPRTPMSSLDSWDDSGRRSESSWYNLSRNGDATSVSESAMQHPLNESDDDCLSSPSHELENSGVDAEMYARLEEALRESQESKKEAFEESTKRRKADHDLFSALHKAKELEKSYHHEIRQRKTIEETLLRQTQEIQEMKIQRDTIYNELHDAEEQKIMLEQRVTKAKSTLESHEEKLATSKYLIEVLQADKVKLQQERDAAVTAAEELRQKNEQRISMPAEALSTEFSAIELEQATRGFDEALKIGEGGFGCVYKGSLRNTTVAIKLLHPKSLQGQSEFNQEVAVLGRVRHPNLVALIGSCRETFGLVYEFLPNGSLEHRLACTNNTRPLTWQVRTRIIYEMCSALSFLHSNKPHPVVHGDLKPANILLDANLVSKLGDFGICRFLTQSNASATTTLHRTTTPRGTFAYMDPEFLSTGELTPRSDVYSFGIIILQLLTGRPPQKIAELVEDAVVNRDLHSILDPSAGSWPFVQANQLAHLGLHCAEMSRRRRPDLARDVWMVVEPLMKAASLTAGRPTFAAASRGEASTPSYFVCPIFQEMMNDPHIAADGFTYEAEAIRGWLDSGHDTSPMTNLKLAHRELTPNRGLRSVILEWQQQQRQQQLDEDWRFLVPIPKKGPLIVGGSNGPRQRRAEKL* >Brasy4G114500.2.p pacid=40087389 transcript=Brasy4G114500.2 locus=Brasy4G114500 ID=Brasy4G114500.2.v1.1 annot-version=v1.1 MEETGAGGAAYRRWDTSGSGSRYSFRTSVSSLADMGGDVVEEEALVSQQAEAAGAEDDRVFVAVPQEVKHGKSALLWALQNLAKDGARVVVAHVHCPSQMIPMMGAKVHYTRMNPEQVKDHREKERQKASEKLDEYVVMCTTLKVSCEKIMIDKDDVAKGLEELIALHGITKLVMGAASDKHFSKKLKTPKSKTSLRLMEAGAPSCKIWFTCKGHLICTREANTTVPAIPPSPAPTVASTLSENSISSHMRSLTIHHTESEASSSNRSPKQGLNRSVTAVTRHTSRAAGSTPSRLFEPFEPNANSRPPRTPMSSLDSWDDSGRRSESSWYNLSRNGDATSVSESAMQHPLNESDDDCLSSPSHELENSGVDAEMYARLEEALRESQESKKEAFEESTKRRKADHDLFSALHKAKELEKSYHHEIRQRKTIEETLLRQTQEIQEMKIQRDTIYNELHDAEEQKIMLEQRVTKAKSTLESHEEKLATSKYLIEVLQADKVKLQQERDAAVTAAEELRQKNEQRISMPAEALSTEFSAIELEQATRGFDEALKIGEGGFGCVYKGSLRNTTVAIKLLHPKSLQGQSEFNQEVAVLGRVRHPNLVALIGSCRETFGLVYEFLPNGSLEHRLACTNNTRPLTWQVRTRIIYEMCSALSFLHSNKPHPVVHGDLKPANILLDANLVSKLGDFGICRFLTQSNASATTTLHRTTTPRGTFAYMDPEFLSTGELTPRSDVYSFGIIILQLLTGRPPQKIAELVEDAVVNRDLHSILDPSAGSWPFVQANQLAHLGLHCAEMSRRRRPDLARDVWMVVEPLMKAASLTAGRPTFAAASRGEASTPSYFVCPIFQEMMNDPHIAADGFTYEAEAIRGWLDSGHDTSPMTNLKLAHRELTPNRGLRSVILEWQQQQRQQQLDEDWR* >Brasy4G267200.1.p pacid=40087390 transcript=Brasy4G267200.1 locus=Brasy4G267200 ID=Brasy4G267200.1.v1.1 annot-version=v1.1 MVKQKIVLKLALDDERKRRKAFKAAVGTTGVTSATLEGDKIIIVGDGVDPITLTTMLRRGLGYAELLSVSSGDDKKKDSYGYGGGEKKKADSSYGYGGADGTKDSGGSHSKGGSSGGGVGGGYHQNAVVAPVVPYPAYQQQPPYPVYSYSPYQQQQEQDPGCSIM* >Brasy4G216800.1.p pacid=40087391 transcript=Brasy4G216800.1 locus=Brasy4G216800 ID=Brasy4G216800.1.v1.1 annot-version=v1.1 MSSGGGGSEKSGSGSGGPVKTPSDFLKSIRGRPVVVKLNSGVDYRGVLACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTVEDGA* >Brasy4G135500.1.p pacid=40087392 transcript=Brasy4G135500.1 locus=Brasy4G135500 ID=Brasy4G135500.1.v1.1 annot-version=v1.1 AHLFLLCPGSAQLWNTLGVPINGLVFFHLWTLPAPAALPQSVWPSVLLSILWRIWKIRNSMLFDGDHVPIARSVRLIADDLSLWSFRLKDNNLKVAVGLWRDYLLSLL* >Brasy4G384300.1.p pacid=40087393 transcript=Brasy4G384300.1 locus=Brasy4G384300 ID=Brasy4G384300.1.v1.1 annot-version=v1.1 MVTTGDGRSSGIQRLPDDLLSQIYGGITSPLCRVRFAAVCRSWRAVASWNPPPPPLPLLLLSTISTPRRTDPAFVSGSGLRLRLRLQPTTTARHPVQPRARRRWIVGTHDGGWVAAASAGPVLQILNLFSGAEVVLPAQQRIDPSDHEADPSKISKIVFSQEPDSPGCILAAITHGWRGMPKLDDIAFCNGELYGLDLDRFDGVVRLLKFGIAIVEDDAGVAITNIDAVVIQSLHLLLEEHEDDLFVEAAYIFPMRGDKPAMAVDTGGFIFRVFELVRNEPPATPAAAAYGYGYRWVEMTSLGDYALFLSPRCSRAVEVSPAGGGRGGVQRNRIYYANHRYGRLGFHCRHLMKMADGQDVYYDEDELVCRGGKVIVSRGFFGQRGVRPAMWLLPPRV* >Brasy4G121900.1.p pacid=40087394 transcript=Brasy4G121900.1 locus=Brasy4G121900 ID=Brasy4G121900.1.v1.1 annot-version=v1.1 MKDIQNVPSRKVAVPPASKTKPNASAHQQKPKIVTQKRKVDTLRENRDYSNLFSDDAETPPMKEEPEIKPVVAPKSGVEVGGMKPTQSAGKNKVPTTTTQPARASKDHGPIQSRVQSNVASQVKRPLPNGRKTINAVKNGSMSPKVMKSPGLQPSSNGQNLERSMQSKKPLSSPAVQRQQSQIQRPPQQSQRQPNALPSTQARKMSSSAQSQQPAQKGSAQSHDRPKLGQRQLAPSSKPQPSRANAVYGDQAKKKGAVKRKPREEDKAIQMIRSMFKYNPDKWAGRDEDDRGMEADYASIQKEERRSAKLARKEDVEQYQLIQEEERRERARKKRKQREQS* >Brasy4G126600.1.p pacid=40087395 transcript=Brasy4G126600.1 locus=Brasy4G126600 ID=Brasy4G126600.1.v1.1 annot-version=v1.1 MSTFRKRVLSCALLAVLCFVAQSRAPEEIILIRKKTTPSGHTDAAAVGCLPLAREAAAGSAGRNFVVSPLSIHAALALVAAGARGDTRRQLLEFLGSQSLDALHGAPAMELIRKLNGLEQTSFACGVWVDRRRALRPEFVAVGESRYAAVAESVDFVTDAAKARRRLNAFVRDATNNLIDDVLPPGSVRSSTVVVLANALYFKGTWSEEPFDPSATFDAPFRTLDGTAVRVPFMTSSNEDDKHIGVYPGFKALKLPYESAQRQAAAFYMLLLLPDDNSTSTLELSDLYDQAVSIPGFIKNHTPAAKVPVGRFMVPKFKFTFEFEASSDMRKLGLTNPFDGGDFSGMVSIEDELNITGVYHKATVEVNELGTVAAAATALLLDGTSARIAPAPRPRVDFVADRPFLFAIVEERSSAVMFLGHVVNPLDH* >Brasy4G398600.1.p pacid=40087396 transcript=Brasy4G398600.1 locus=Brasy4G398600 ID=Brasy4G398600.1.v1.1 annot-version=v1.1 MACFSAALVALLVGTCAAVAVATTFDVGDGHGWETGVDYAAWTSDKTFAVGDTLVFNYTRKAHTVTEVSESGYDSCAGANSLSNDDSGATTITLTTAGLHYFICGIPGHCAAGMKLAVTVTVAGGGLGSTTTGGLTPAAATATVHVLPTLGAAVAGALIVLALF* >Brasy4G268800.1.p pacid=40087397 transcript=Brasy4G268800.1 locus=Brasy4G268800 ID=Brasy4G268800.1.v1.1 annot-version=v1.1 MADKPPLPPAPGMTTKPKQPKPSVVASAAPQPPATRPMPAPPLKKPPPLAPPRQGVPPLKPPPAHHYRQHQQAPPRKRQYRHHGSCCSCRRVCCVATGLVLLFLCLAFAASCLAYLYYHPHPPSFHLQPLSLTRFKITNSSAVSAMDVTLRAKLVSWNPNDRVAFLYGSGSGEGRVALADADGDVALGWGPVVLGGQGAAGIEHAPRSVAALGFVAAAKGVVVDEAVAGRVRDRYRRRQLAFKVVVDTHVGVRLAGVALRTGLVPVRVFCDGGVMAPRGSSAAGSPMSKCQVYLFRMKWLSLN* >Brasy4G184900.1.p pacid=40087398 transcript=Brasy4G184900.1 locus=Brasy4G184900 ID=Brasy4G184900.1.v1.1 annot-version=v1.1 MEVGFLGLGIMGKAMATNLLRHGFRVTVWNRTLSKCQELAALGATVGETPAAVVAKCKFTIAMLSDPRAALSVVFDKDGVLEQIGEGKGYVDMSTVDAETSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVVLAAGDKALYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSVMNAFSEGLCLADESGLSPQTLLDVLDLGGIANPMFKMKGPSMLQGSYNPAFPLKHMQKDMRLALSLGDENAVAMPVAAAANEAFKKARSLGLGDQDFSAVHEVLKGADGSGQA* >Brasy4G123100.1.p pacid=40087399 transcript=Brasy4G123100.1 locus=Brasy4G123100 ID=Brasy4G123100.1.v1.1 annot-version=v1.1 MFCLSSPPLGLKGQLKLTTTTTTILLVVFFLFSLYITSCEARHLRANSKDSSNKSTLTPKDEAAGVGDEKQIRTASTVHGTGKTGVVAGSTIDQSMSTGATLINGSGGIPSSTHSTRVSQQLPHRDHEDDQGIHLDYAQPRSRTPYHN* >Brasy4G123100.2.p pacid=40087400 transcript=Brasy4G123100.2 locus=Brasy4G123100 ID=Brasy4G123100.2.v1.1 annot-version=v1.1 MFCLSSPPLGLKGQLKLTTTTTTILLVVFFLFSLYITSCEARHLRANSKDSSNKSTLTPKDEAAGVGDEKQIRTASTVHGTGKTGVVAGSTIDQSMSTGATLINGSGNSSRGSNGQQRGSKAATAYTEGTLVAMDYPVARAAPAVHNR* >Brasy4G237600.1.p pacid=40087401 transcript=Brasy4G237600.1 locus=Brasy4G237600 ID=Brasy4G237600.1.v1.1 annot-version=v1.1 MKLLLLLFASVTAAALLTGRGGFAAAGAHATPLPVRALRSVEEAELGLVEREQAAYPRRRVLYDASTSATEGSRRARPRATAPAPARGGRTPAAAARRSTAAPAGEHSARCLFRVRKLFKGSQMVFSLSSGDICLFRCSV* >Brasy4G031300.1.p pacid=40087402 transcript=Brasy4G031300.1 locus=Brasy4G031300 ID=Brasy4G031300.1.v1.1 annot-version=v1.1 MGDSSVPGALVPTVPKPEPARSGDGSAAAGAQAVVARQQAEEAGAAASRSPEEEEQALADGEAAAAGAPDGDLLCPICMGVIKDAFLTACGHSFCYMCIVTHLGHKSDCPCCGNYLTKAQLYPNFLLDKVVKKMSARQIAKTASPIDQFRHVVQQGNDMTVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKDDISSVERHRLDLYRTKERYSMKLRMLLDEPAASKMCPSPTDKPSSLFASNSRAPLSASSQGGLQNRRLDLRHQANHQGFQRRDALASSDPPNPPTQSGNVIARKRRVQAQFNELQEYYLQRRRNGAQSRRQEERDTVMMNKEGYHAGLDDFQSVLTTFTRYSRLRVIAELRHGDLFHSPNIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSYYVAVGSADHNIHYFDLRNPSTPLHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVSNDYIACGSETNEVFVYHKAISKPASSHRFVSSDVDDADDDPGTYFISAVCWKSDSPTMLTANSQGTIKVLVLAP* >Brasy4G242700.1.p pacid=40087403 transcript=Brasy4G242700.1 locus=Brasy4G242700 ID=Brasy4G242700.1.v1.1 annot-version=v1.1 MDDGIKQVRVRFSGVGQEDQGSGQTITIPPQQGTLFGRGQMSNGEGYDPAYAATVAAVAYAIAAREKDKLESEEKPIPEKLVSRKKPIAIHEPTDAPPFKRGESLKKPAEGSKISRWFGSKEPTEDGDDHDQDNVLVRRPLKPVQKKPGAENVVEKVVDSVPNIKKDPSFTRKTPEKKGGRKFEQEQAIHRTNPEVKPTSSFPREKNESRKFEQDLANQRAPPTARPSAMAVSGEAETMAAAWEKDKLAKIKKRYNEAMETIAEWEAEKKAKARRQKEPREGDSERKRAKALEEYNDEMKRISKVAAASRLTAEEKKRSAEANVWEKAAKIRSTGKLPQSCSCF* >Brasy4G002600.1.p pacid=40087404 transcript=Brasy4G002600.1 locus=Brasy4G002600 ID=Brasy4G002600.1.v1.1 annot-version=v1.1 MDPSQMMPRSFPMWAPPPPPPPAPSDAMPPPPLPFLPLPPPNRGWKRKNPNNNAYQPPAIGDLQVQNRAKARRWFKNPNNPNSNNNPNNNRKYFFRKPKAAAPRNTTSFIIRAKRAGGIASLVSPCPVTPAVLPTPVISPSREGLSDMAQEQWGVDGYGSMKGLIRLRSSPQPPNAANASDDEVEENSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEEAGGYLLEDDDEYDQDVHVARLEEENLTLKERLFLMEQEVGDMRRRLEAIEARFSGAGGGGSGENVVVEALENGTERANAVGVADDAVEVALENGTERANAVDVADSAVEGALEKGDTDVDNAVEESLENGLESAVGADNVVEEGLKNDTEMGDAAADADKTVEQASENGTERFDAASGADNAVEESLENDMGSVAVEPEKSCNDSEKNAEMIDAGSGEDNGEERKQA* >Brasy4G287700.1.p pacid=40087405 transcript=Brasy4G287700.1 locus=Brasy4G287700 ID=Brasy4G287700.1.v1.1 annot-version=v1.1 MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCKLFNLEADKVIQYVDNRPFNDQRYFLDDAKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGCEGSEEIKGMLNLFTNNQTKMKTATSNGSSQTHSLKFLIYGRTGWIGGLLGKICEKQGIPHEYGKGRLEERSSLILDIQTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLVMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYLDPSYKWTNFTLEEQAKVIVAPRSNNEMDATKLKKEFPELLSIKDSLVKYVFEPNRKVPAN* >Brasy4G287700.3.p pacid=40087406 transcript=Brasy4G287700.3 locus=Brasy4G287700 ID=Brasy4G287700.3.v1.1 annot-version=v1.1 MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCKLFNLEADKVIQYVDNRPFNDQRYFLDDAKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGCEGSEEIKGMLNLFTNNQTKMKTATSNGSSQTHSLKFLIYGRTGWIGGLLGKICEKQGIPHEYGKGRLEERSSLILDIQTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLVMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYLDPSYKWTNFTLEEQAKVIVAPRSNNEMDATKLKKEFPELLSIKDSLVKYVFEPNRKVPAN* >Brasy4G287700.4.p pacid=40087407 transcript=Brasy4G287700.4 locus=Brasy4G287700 ID=Brasy4G287700.4.v1.1 annot-version=v1.1 MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCKLFNLEADKVIQYVDNRPFNDQRYFLDDAKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGCEGSEEIKGMLNLFTNNQTKMKTATSNGSSQTHSLKFLIYGRTGWIGGLLGKICEKQGIPHEYGKGRLEERSSLILDIQTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLVMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYLDPSYKWTNFTLEEQAKVIVAPRSNNEMDATKLKKEFPELLSIKDSLVKYVFEPNRKVPAN* >Brasy4G287700.2.p pacid=40087408 transcript=Brasy4G287700.2 locus=Brasy4G287700 ID=Brasy4G287700.2.v1.1 annot-version=v1.1 MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCKLFNLEADKVIQYVDNRPFNDQRYFLDDAKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGCEGSEEIKGMLNLFTNNQTKMKTATSNGSSQTHSLKFLIYGRTGWIGGLLGKICEKQGIPHEYGKGRLEERSSLILDIQTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVAGTLTLADVCREHGLLVMNYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYLDPSYKWTNFTLEEQAKVIVAPRSNNEMDATKLKKEFPELLSIKDSLVKYVFEPNRKVPAN* >Brasy4G328100.1.p pacid=40087409 transcript=Brasy4G328100.1 locus=Brasy4G328100 ID=Brasy4G328100.1.v1.1 annot-version=v1.1 MWRAIDLLCGDSFCVCVPFVASDELCWWGRGLSLPFPACLVLARWRKGKGDFATCFSPFFFHPSFSPTRFLPLSPSAALCVFGSPAGFIELSVRVFVDAGYGAVLSPWRVDLFVQWWGAPWLFAMFYVPLAESLFWIRFHKSRS* >Brasy4G440200.1.p pacid=40087410 transcript=Brasy4G440200.1 locus=Brasy4G440200 ID=Brasy4G440200.1.v1.1 annot-version=v1.1 MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTDNDCRLEICTEKKTILSVDDILALIGDHCHGVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVDAANRNGIAIGNTPGVLTETTAELAASLSVAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQGVTWKRAGSMEEVLREADVISLHPVLDKTTYHLINPERLAMMKKEAVLVNASRGPVIDEAALVEHLKANPMFRVGLDVFEDEPYMKPGLAEMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNAVEPFLDENATPPPACPSIVNAKQLGLPSSKL* >Brasy4G180300.1.p pacid=40087411 transcript=Brasy4G180300.1 locus=Brasy4G180300 ID=Brasy4G180300.1.v1.1 annot-version=v1.1 MARATSSAGTSLVICLLSLLIVLHRCRICGAVERERTLAMIKPDGLSGNYSQKIKEVILESGFDIVQEAVVRLDAEKASFFYAEHSERSFFESLVKYMTSGPVLAMVLERPDAISHWRTLIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSLQSAAREISFFFGDVKSVTVEHDEL* >Brasy4G041600.1.p pacid=40087412 transcript=Brasy4G041600.1 locus=Brasy4G041600 ID=Brasy4G041600.1.v1.1 annot-version=v1.1 VLRVLARPPRADCPRLRDPPPALAPHTPVEQAADEGHECPPLRLLDPPQEEDPYPDEMGGGDTSSDDQGFIDAYPKDGDSDFCDHSLISKVIQEA* >Brasy4G334100.1.p pacid=40087413 transcript=Brasy4G334100.1 locus=Brasy4G334100 ID=Brasy4G334100.1.v1.1 annot-version=v1.1 MQDPRGMPREDFSGSRTHKRKVVYRSLPSDQIKTETELLRREVPHLSAKMQKPPKRSFKSEHRPPTPQSDRGTPDSLPDSGPADEYRALRRKYLLLEEENYTLDTQLGVAEEEAKTLEDEKFALLDQLVVLEGLVDPLQLQPQRRL* >Brasy4G334100.2.p pacid=40087414 transcript=Brasy4G334100.2 locus=Brasy4G334100 ID=Brasy4G334100.2.v1.1 annot-version=v1.1 MQDPRGMPREDFSGSRTHKRKVVYRSLPSDQIKTETELLRREVPHLSAKMQKPPKRSFKSEHRPPTPQSDRGTPDSLPDSGPADEYRALRRKYLLLEEENYTLDTQLGVAEEEAKTLEDEKFALLDQLVVLEGLVDPLQLQPQRRL* >Brasy4G334100.3.p pacid=40087415 transcript=Brasy4G334100.3 locus=Brasy4G334100 ID=Brasy4G334100.3.v1.1 annot-version=v1.1 MQDPRGMPREDFSGSRTHKRKVVYRSLPSDQIKTETELLRREVPHLSAKMQKPPKRSFKSEHRPPTPQSDRGTPDSLPDSGPADEYRALRRKYLLLEEENYTLDTQLGVAEEEAKTLEDEKFALLDQLVVLEGLVDPLQLQPQRRL* >Brasy4G334100.4.p pacid=40087416 transcript=Brasy4G334100.4 locus=Brasy4G334100 ID=Brasy4G334100.4.v1.1 annot-version=v1.1 MQDPRGMPREDFSGSRTHKRKVVYRSLPSDQIKTETELLRREVPHLSAKMQKPPKRSFKSEHRPPTPQSDRGTPDSLPDSGPADEYRALRRKYLLLEEENYTLDTQLGVAEEEAKTLEDEKFALLDQLVVLEGLVDPLQLQPQRRL* >Brasy4G149400.1.p pacid=40087417 transcript=Brasy4G149400.1 locus=Brasy4G149400 ID=Brasy4G149400.1.v1.1 annot-version=v1.1 MQVSIFGQVFATYGAACVRFLARIPSLFPSPLGTIEELAGQPFCFHKFLHLFQGIRFNRYLLRHAPWEGEKHLLRPSSSSTLQL* >Brasy4G059500.1.p pacid=40087418 transcript=Brasy4G059500.1 locus=Brasy4G059500 ID=Brasy4G059500.1.v1.1 annot-version=v1.1 MIYSSETGAWTALQSKLAKEIVLLREPQCVFLNGIMYLSSLYCWIIMVDIERKTWREIEWLADMADRAEIVTIGQSQGILHAWLTYNDNDSSQVQLYVWVLEDIASRRWTLKHTATNILELFGRECYDDDEFFWAFAIHPDRNLLYITDYENITVSYDMDSQEAQVMCTAEELWWGVPYTPCFAEWSSGGCN* >Brasy4G089000.1.p pacid=40087419 transcript=Brasy4G089000.1 locus=Brasy4G089000 ID=Brasy4G089000.1.v1.1 annot-version=v1.1 MPRASGSAGRPAAAAKNVSSNSGLSEPSCSTPACQQVFRPVTRSMTRIPPAVASSSDAKERVNSTSSCQSTPDACFSTQSAASRATATRARTPHEATRSAWKPLTQPAVPSEELKRASIPTTNPTAKRSRVASSQAAKDSAPKATRNVRSGKKKTEESPSQGDHLDGAVIPSPPKKLQSGKSSSDVVSKGRPTTRNQGAKSAAPLHVGKSKTEIGKDSASVLAKVAPAGTDDVSQSIAPLLAHQLQLETAKDSNITTEAVGSGISQVNQPVAPVITEAIASGTRQVNQLVAPAITEAVGKGTSQVNQLVAPVITLPRQQVQIDNQQKFTKIPVSTSQVSRLARAPGPMVAPKLQIGNVKDSSNVLSNPAYTRALLIKQQEQLLQQYKLANSQPGLHIKGPALFEDDDASVPAPAIEPLGTRCALCKLDVAYQPQGGAVQDASAPPVVAVLECHHAFHSSCIEAVYGLTEPSQCIACHDSATAH* >Brasy4G124700.1.p pacid=40087420 transcript=Brasy4G124700.1 locus=Brasy4G124700 ID=Brasy4G124700.1.v1.1 annot-version=v1.1 MTGFLLAVSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHTTQGNKNSKKQIHGFLKYFGLSFFWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSATYIGAGMICPHIVNISTLFGAIISWGLMWPLLSKRKGDWYPANVPESSMKSLYGYKAFICIALIMGDGVYHFTKIIGITSKSMYRQVNRKRVDNRVANVDAAIALDELQRDEVFKRGHISSWMAYTGYAMLSMLAVITMPIMFRLVKWYYVILAYAFAPVLGFANSYGTGLTDINMGYNYGKIALFVFAGWAGKDNGVVAGLVGGTLVKQLVLISADLMHDLKTSYLTLTSPRSMLLGQVIGTAMGCIISPLTFMLFYKAFDIGNPDGYWKAPHALIYRNMALLGVEGFAVLPKYCLELSGGFFAFAALSSIVRDVLPHKYGKYVPLPMAMAVPFLVGGSFAIDMCIGSLVVFIKEKLNKKEAGFMVPAIASGLICGDGIWTFPSSLLALAKIKPPICMKFTPAG* >Brasy4G155100.1.p pacid=40087421 transcript=Brasy4G155100.1 locus=Brasy4G155100 ID=Brasy4G155100.1.v1.1 annot-version=v1.1 MARLHRRMEEGLNNPWFQAAAGGSEAFTIVRLPSHFHETNEGLYEPRLVSIGPYHLSTALTRGMQSHKWRLLRDFLLRNPDGRGAARLAAYTQMVRSKEVRARRCYGESLEMGSDDFVQLLVLDGCFILEFLLKFLNLHEPLCHLRAPNQQFNIQPVHHLLHLQYMRMVMSPANEADGDAGHEQVIGIRIPVDVVAANAGAGAAGREQAVGGITPPLAIRCVTELQEFGVTFKEKASPASQFDVTFRRGKTEIPRLAINAGTRILVANLFAFEQTTKEDWKDGVVTGYVVLMNALVNTAADVSVLQRRGILDNMLSNEEDAAAFFNRLGGCALFDPAAHRYAEVFRDANE* >Brasy4G024100.1.p pacid=40087422 transcript=Brasy4G024100.1 locus=Brasy4G024100 ID=Brasy4G024100.1.v1.1 annot-version=v1.1 MATGGNLGSVVVAVDGSEESMKALRWALDSVRLRPDGALVVLHVQPRPGITAGLNPGPIPFGGPREVEVPAFTQAIEAHQRRITDAILEHALKICAEKNVEVKTEVVVGDPKEKICEVAAELKADLLVMGSRAIGPVKRMFLGSVSNYCINSVGCPVVVIKGT* >Brasy4G359700.1.p pacid=40087423 transcript=Brasy4G359700.1 locus=Brasy4G359700 ID=Brasy4G359700.1.v1.1 annot-version=v1.1 MVASAAHVTLAAAAVLLFLPPLLASVVAAQQGKAKAFCISQFAIASQACSILPPSPPEDEPHHHDDDDDDRRVRHSGHHGHAAVHSFASLARLMATDSNDSIVVDVAGHGSRSNGTGGGGHGARNGTGAGHHAGGRNHTRRGRGRGRGSGGRRGRLRDEDPDHDDDHEEDPDHDDDHDEDPDHDDDHDDDDEHDDDDDDDDDDDDDDDDDDDDDHDDHEHHHDEELRAYRDCCRWLQEVQKDCVCDALLRLPPFLVKPQHKYVVRVGRTCRIVYRCGGV* >Brasy4G169400.1.p pacid=40087424 transcript=Brasy4G169400.1 locus=Brasy4G169400 ID=Brasy4G169400.1.v1.1 annot-version=v1.1 MGHDAMASDASSPAYAAVARDPKKKRGNRSAKLKQSKLDVRREQWLSQVKDAKEVKAVSSPAAAGANSGSPILASPHPPLPRRRAETPTRAEEPEQNKEEADVANQEAGSSDADSPMHSPVFYSSTGRCMQQKHCSGSGGIHSLSSGSSAWSSSRSVSDAEDEGTGGGTKEKEEEEEEDVLDDWEAVADALSVDNNNNHQDLGPEAPPAAPVASPEPARAATRPESNKNQTRAWSPDDVFRPHTLPSLSKQVSFPSSMGNCWVGIGAAQKSIISFQMSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADARCPGCRNQYKSTPLGGEAGREIENLVQMRLSRSCSMGPRY* >Brasy4G420300.1.p pacid=40087425 transcript=Brasy4G420300.1 locus=Brasy4G420300 ID=Brasy4G420300.1.v1.1 annot-version=v1.1 MATPAPLSTAARFRTRSSPAHPLPVPSPPTGLSMAAPLGERRRSLRSLRAEAAAEDAAGVGVGEQLKSGVSVYKPRSYEVLVADAARSLACAIDDGRTRLEIEFPPLPSNISSYKGSSDEFIDANVQLVLAVARNLKELRGTRSCIVFPDQPEKRRASQLFRTAIDSIEGVTVSSLDDLPSGPVNNFFKSIVSTLDFDFSDDNEDRWKSDESPSLYIFINSSTRDLSSIEKYVETFAPSTPSVLFNLELDTLRSDLGILGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESASRFTLGQAKEELLRVLGLQEEEGSSLEFLRRGYKNATWWEENVDQEKSPAWRT* >Brasy4G132400.1.p pacid=40087426 transcript=Brasy4G132400.1 locus=Brasy4G132400 ID=Brasy4G132400.1.v1.1 annot-version=v1.1 MECENGQFAANGTGLCMATPSADPLNWGKAAEELTGSHLDEVKRMVAEYRKPVVTIEGATLSIAKVAAVAAAGEAKVELDESARERVKASSDWVMSSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVTPDGRKVNAAEAFKLAGIHGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGSRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRHIEENVKSAIKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRGVLVERALSNGMAEFNAETSVFAKVAQFEEELRTVLPMAVEAARAAVESGTAATPNRIKECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC* >Brasy4G105600.1.p pacid=40087427 transcript=Brasy4G105600.1 locus=Brasy4G105600 ID=Brasy4G105600.1.v1.1 annot-version=v1.1 MASASSLFLASPIPTAPRTRTNPASSPSPARPSLRLRQPSPAGTVAAALQAEHQPAVVAAPKPPALPFRVGHGFDLHRLEPGLPLIIGGINIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDTDPRWKGAESWVFMKEAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVILLMRK* >Brasy4G137000.1.p pacid=40087428 transcript=Brasy4G137000.1 locus=Brasy4G137000 ID=Brasy4G137000.1.v1.1 annot-version=v1.1 MGRPAIDTSSSRAVQRQVDQATPPASPASVATICMARRGGGCRFASRRATSSVPSIPRHSAERGQNPSASRSSGSRPPPLPTVHPRLILGVPPVPPSPSTDTIDHISSGRNTRGDAARRT* >Brasy4G005900.1.p pacid=40087429 transcript=Brasy4G005900.1 locus=Brasy4G005900 ID=Brasy4G005900.1.v1.1 annot-version=v1.1 MGPRCQRSTVIVFSTMLLSTVSFLLASSARLPAAALSRNPRQAHRLLLLSVLPSSSPLRAFCPAATRPSPATCSAYSSHAAAMTEADNPLLADFDFPPFDRVDPSHVRPGIRALLSRLEGELEELEKGVEPAWGKLVDPLERIVDRLDVIWGMVDHLKAVKDSADLRAAVEDVQPEKVKFELRLGQSKPIYEAFNAIRNSSGWDSLSDARKRVVEGQIKDAVLGGVALEDEQREKFNQIQQELEKLTEKFSENVLDATKKFEKLITDKKEIDGLPATALGLAAQTAVSKGHENASAENGPWIITLDAPSYMAVMQHAKNRALREEVYRAYLTRASSGDLDNTNIISRILKLRLEKAKLLGYKNYAEVSMARKMATVDRVQELLEKIRAASWDNAVQDMEDLKVFVKDSGSAEANDLTHWDLSFWSERLRESKYDIDEEGLRPYFALPKVMDGLFSLANKLFGISVEPADRLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPIAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTRQDEAFVAGIRGIEWDAVELPSQFMENWCYHKHTLLSIAKHYETGEPLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPSGPVSVYDVDRKVAERTQVLAPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAA* >Brasy4G019300.1.p pacid=40087430 transcript=Brasy4G019300.1 locus=Brasy4G019300 ID=Brasy4G019300.1.v1.1 annot-version=v1.1 MCIGFIARHSLCTVQRINRCSKSINRRVVIEIYREFIQVNQLHLHHKTNESEAGNPVHMLTPIPIPFPTRDAAPVRHDLATTRRPEEACRCRSLAAAAVSGSPLSRSRLARDDLWLQPSSPTRPRRPLPPRLLAAHSLPGVAGRQLLPPSDTQAGRPPTLSVRFHMEFGSQILPRAWADISSSPNRTAAQTLIPVRIELCSGPDRAYIGPTGQAPNISCALYRIPNRNSQPPSKSACVLAAMGRLRRVRTAGGIGKDRLSALPKRVLRLILSRLDTRTALSTAVLARRWARIPRKLPALDLRVSDVLPPEYDRTVTLRQRNLPHDKCLAAVLDGLMADCELGTMRSFVHGVTGFLDADGHGRRAKTLRLEFFQTHDGGVVDRLIAAAVGAWGVTDLEVVVRPSCHGQCHSFPHDSLEDSHRSRLRSLTLGGHCTVPPLGSYGALTTLVLKGMPAATPVDVYQRAFSECARLQALRLVSCRCAAQDRLVVDAPRSELRELVVEDCSFAAIELRALPMLARLACLTNTVELVLGAVPCLTRTNLTFHVDDDVPMVPPRHDRLGQFIGTMSPAMASLVVRFTGRRSTWMYPKRLDQPLHGLKRLLIADLPSNWDVSWIRMLLMDTPSLEVLHIHVAHAEEEPERYGVIWSRKCQEWRHRSIKELVMAGFTQRHVESFLRHAVGACTSLQRLALLKDGHVRYDGLWDWEMIGQQRCAWSHGDEKVVRRMIRSGPRPLAELILG* >Brasy4G072100.1.p pacid=40087431 transcript=Brasy4G072100.1 locus=Brasy4G072100 ID=Brasy4G072100.1.v1.1 annot-version=v1.1 MSYMSLSGSAYRFSAAKNRLVALGCPNLGYFVDGAGYYVSGCMSVCRPSQYAMPGPCTGVGCCQSTIPPGVDFFEPYQRDFPRGQQDYAFTNNATSCRYVFLVEAQWFNYTDREFFNRADDFAVPLVLDWAIRNVGNCSAAKRNAADYACRSARSDCVDVTNGPGYRCNCSDGYDGNPYLDGGCTDVDECQRRDKYPCYGVCANTLGNYTCECASGTSGDAYSKDGCRPKDKFTLALKVVTGVGVGVFGSVFMCFWLYLGLQKRKFIKTKQSFFEHNGGIILQQQMRADNGGGGFKIFSTEELEKATDNFDADRVLGRGGHGIVYKGVLEDNTVVAIKRSKMMEAAETKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEHVSNGTLYHYIHGSSKLKDLDSDKALDARLRIAAESAEALAYMHSSASPPILHGDVKTANILLDGSLTAKVSDFGASKLAPSDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTGRKALYFDGPEEDRSLVSRFTVATKAGRHDELLDGQVREAMGPEALEEVTHLVARCVSMSGEERPTMKEVAERLEALRRYRRHPWGKAGSGHHGDPEEEQSLLLGGEQHGDVDYMFKPQDVLDLEGGSTYNFSS* >Brasy4G376200.1.p pacid=40087432 transcript=Brasy4G376200.1 locus=Brasy4G376200 ID=Brasy4G376200.1.v1.1 annot-version=v1.1 MMNYIYISGMREVASVPYISVTSMAVELLAVAMTTESSLDARKKKGVGKLVQDLGPESRVSPILSRNVDFEPSHELSLSDFFEHLRIPLLHCWVIHPDDALLGPNIQNYSYGTLQKYSQTLEKLREILPDEEYAHKRRVIQNLLDAHNKQLTSHGYASLCEFMDEDKLAVLYWDNRFHVLHKQHGDVLLLETSSSILKEYPEARWRVFEEVDTHTIYLNDQYFPANSQPNYERAMSWHQSKAGETKPEEPEGSGAEIFLSKGQKRRLKKRLKKEVDKMEEDALNRHDLGEGQQEDSTEATSARSDEKLGHTDGPDGYDPHVAIVGHSHKQGFSAEAEALGEGHQMDSTEAASALSDNKQLEHTDGSNPEATCSQLPTVGDYRQEENESMSLVGEDF* >Brasy4G414800.1.p pacid=40087433 transcript=Brasy4G414800.1 locus=Brasy4G414800 ID=Brasy4G414800.1.v1.1 annot-version=v1.1 MAAANPRVFFEVSIGGKPQPGRIVMELYADTAPRTAENFRALCTGERGLGKLGKPLHYKGSAFHRVIPHFMCQGGDFTRGDGTGGESIYGAKFPDERFVHKHNGPGVLSMANSGANSNGSQFFICTEACPWLDGKHVVFGKVVEGMETVKAIEAVGARSGTPSRPVLITDCGQLS* >Brasy4G227300.1.p pacid=40087434 transcript=Brasy4G227300.1 locus=Brasy4G227300 ID=Brasy4G227300.1.v1.1 annot-version=v1.1 MAVLPLTGSLLDLRPGKLSAKPPPPPPLPMPARRSPFRSQAAAAVASPRRAVPELHSTTERADGSIVFHFAARPPVEDPEPEAAGLDPGSADASGSPPPELVLAAATEVNEPNPANLAAEVSLVSSDAVGKITCQEAPEQVVSSSVGDAGVETELDNDGNGVEIGVQARVAVEESESVEAGVTGPAGFEGVEDADAEASSEVSTTQDFDTNDTEESSASSGDEQEAAEFGIPIPTADEVSNKVDLENDTSEFESSDRMVPLATSALVLTSGAAMLPHPSKVATGGEDAYLIAPNGWFGVADGVGQWSFEGINAGLYARELMDGCKKFITENGDAELKPEQVLSKAADEARSPGSSTVLVAHFDGQFLHASNIGDSGFLVIRNGEVYTKSKPMVYGFNFPLQIEKGVDPITLVQNYTIDLEEGDVIVTATDGLFDNVYEQEVAAIILKTLQAELKPTSCMQEMAEHLAARAHEVGRSGAGRSPFSDAALAAGYLGFSGGKLDDTAVVVSIVRKSEI* >Brasy4G439200.1.p pacid=40087435 transcript=Brasy4G439200.1 locus=Brasy4G439200 ID=Brasy4G439200.1.v1.1 annot-version=v1.1 MGATISKDMNRRLLNSVTKRAPLVIGDVLASEDIRGRNSSMGSQPPKEPAFFRRPHPPKHAVERSSDKALKLCKVSTLSGVATAGRGVPDDTIRHQVHCSGSEILSFCQG* >Brasy4G044000.1.p pacid=40087436 transcript=Brasy4G044000.1 locus=Brasy4G044000 ID=Brasy4G044000.1.v1.1 annot-version=v1.1 MQSEGSNADPEVQKLEIDVLSSRFIQRATSVFSSLGDDKVLLLESIGFGGTRLIGERKYIDDQLSMWLLSIVDTDKMTMVSPGLGHNLPMKPRDVHLTLGLPYQGVDVDDSSNVPEATMSAVRAKLFLKKSGEAITVEYLEHVLLKDYSNDMAADEEEAFKIAAVLYSMNCLRFTC* >Brasy4G420800.1.p pacid=40087437 transcript=Brasy4G420800.1 locus=Brasy4G420800 ID=Brasy4G420800.1.v1.1 annot-version=v1.1 MFPTMEDAYDVNEGMSTERAYEAEPVPSLSETITPRSILMSIILGVILSVVAMKISLNSGFLPSLSIPAGLLGFFLSRASIRVLDYLEVPHLPFTRQENTVIQTCVVACTSIAFSGGFGTFLLAMGKKSAGGDIKDDINVEEPNIFRMVTFLFLVSFAGIFIIMPFRKVMIIRHQLTFPSGTATAHLINSFNTPQGANQARMQLSVLFKSFGGSMAWSIFQWFFSGGTVCGFKVFPTFGLEAYKRGFFFDFSMTNVGIGMICPYMITISMLVGSAISWGIMWPYIETKEGSWYPNSLGSGSLSGIKGYKVFVGMSMILADGFFNFLCIMFQTSDAMSKRRQQPMQGNGNAQPFQCMNGACDLDQNKPIKSFDDRRRAQVFLRDQILNSVMIGSYILLAAISTITIPHLYPQLRYYHVALTYLAAPVFSFCNAYGYGITDMNLSSTYAKMAMLVFGSWVGLKGGGVVAGLVACGIMMCTLCNGGDVMQDLKTGYLTLTSPRAILISEVIGTALGCIINPSIFWVFYKVYKTATIGDIPEVPYARVYRGMAMLSVGQAGLPRHSMLLAKVFFVLALVMCVLRKVAHRRQWRMRHYIPSTMAMAVAFFVPPDMPIGMCIGSLALRLWERTDPGKEQLLSPAVASGLICGDGLGSLVSSLLTLTKAAPPICIMFLSRGDNVKLDEFLAKLPAT* >Brasy4G184300.1.p pacid=40087438 transcript=Brasy4G184300.1 locus=Brasy4G184300 ID=Brasy4G184300.1.v1.1 annot-version=v1.1 MSWPAAAETETASQVQAGDGDAVAGRGGDGAGKQGDGRGGGGSAVMVAGTGRCGEASRERACRRWRKTIGWASAGRRVNGGRCPPLFSWSESSHPPGQKSSAPSSSSCTHHTGRHTRFALEPLEFRRRPPAPERLQHRGARQRRDPRTRPLRPGAPQRVPRHGLPRLLPLRRVRPAAGRPPAADGPRPRARRPALGGLVRALRRVPAPVGRGATDAPLRHGLRPGDQRRRVRVHEMPRRFPPLDRVDPRGSRSRRACRSGGHRGTGPGGADARFRRRGVRRRHGDPRQLLST* >Brasy4G010500.1.p pacid=40087439 transcript=Brasy4G010500.1 locus=Brasy4G010500 ID=Brasy4G010500.1.v1.1 annot-version=v1.1 MLIMILDKLDARTTVTTTILSKRWLDLPRRSHTCYDLSAHEILPARYHRLKQIMLELKAGDRHERWMRWVRLLSPILRRYEHRAMRCYIKRVNAFLLGAPKKRSRPVQKLRLQSLKTSRTNIDQWVAAAIAGCGVEELELDFGDFGCPYNFRLLDGLQDLRLKRLVLYDWFHHLASGSSLVDLRLRHSCIDYACFRIDAPASKLKKLQLDRCKIRKIYLHSLPCLETFAFRGQPTKLYYVELCREIGDGNGSKEGSSSSSSMTYPLSKFFQGWIPPLEYLVLQLKGSQMGIEPIAFPGLLSHLRKLFVANVPTNWDTFWIFSLLDAAPALESLHVHIDNSSSAENMGVVLDVQMEHREYHLLKELVVIGFDGTGWQTGFVKRIMRASPRLRRVHLLDGRVVEDDDELGDLGMVPQRREWHECERSEVLDDLADGFPLQQGPEIILE* >Brasy4G057300.1.p pacid=40087440 transcript=Brasy4G057300.1 locus=Brasy4G057300 ID=Brasy4G057300.1.v1.1 annot-version=v1.1 MQSGGEMRPVHNSVDTVNAAAAAIIAAESRALPTDEPRRKWADWLSAYFCFGSQKNGRRISHAVLVPEPASQRIDATVPEIPNHQPPPVFPFVAPPSSPASFLQSGSASIVQSPMGAPSFSPRSPNSPSPSGTPSIFATGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSLNSSKNGERGELHPYHIYPESPIGRLISPSSVCSGTSSPFPDPELQTSSHCTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGDSAQSSFHLLPTSNGDHSRESNETRAGLYVDETYHDLPEKARRSLSLRLAKEFKFNNIDGAHVEETGALGSDWWANDKVAAITTEPRKSWSFRPMAQPGVS* >Brasy4G057300.3.p pacid=40087441 transcript=Brasy4G057300.3 locus=Brasy4G057300 ID=Brasy4G057300.3.v1.1 annot-version=v1.1 MQSGGEMRPVHNSVDTVNAAAAAIIAAESRALPTDEPRRKWADWLSAYFCFGSQKNGRRISHAVLVPEPASQRIDATVPEIPNHQPPPVFPFVAPPSSPASFLQSGSASIVQSPMGAPSFSPRSPNSPSPSGTPSIFATGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSLNSSKNGERGELHPYHIYPESPIGRLISPSSVCSGTSSPFPDPELQTSSHCTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGDSAQSSFHLLPTSNGDHSRESNETRAGLYVDETYHDLPEKARRSLSLRLAKEFKFNNIDGAHVEETGALGSDWWANDKVAAITTEPRKSWSFRPMAQPGVS* >Brasy4G057300.2.p pacid=40087442 transcript=Brasy4G057300.2 locus=Brasy4G057300 ID=Brasy4G057300.2.v1.1 annot-version=v1.1 MQSGGEMRPVHNSVDTVNAAAAAIIAAESRALPTDEPRRKWADWLSAYFCFGSQKNGRRISHAVLVPEPASQRIDATVPEIPNHQPPPVFPFVAPPSSPASFLQSGSASIVQSPMGAPSFSPRSPNSPSPSGTPSIFATGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSLNSSKNGERGELHPYHIYPESPIGRLISPIREPPKILDGEGIATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGDSAQSSFHLLPTSNGDHSRESNETRAGLYVDETYHDLPEKARRSLSLRLAKEFKFNNIDGAHVEETGALGSDWWANDKVAAITTEPRKSWSFRPMAQPGVS* >Brasy4G057300.4.p pacid=40087443 transcript=Brasy4G057300.4 locus=Brasy4G057300 ID=Brasy4G057300.4.v1.1 annot-version=v1.1 MGAPSFSPRSPNSPSPSGTPSIFATGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSLNSSKNGERGELHPYHIYPESPIGRLISPSSVCSGTSSPFPDPELQTSSHCTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGDSAQSSFHLLPTSNGDHSRESNETRAGLYVDETYHDLPEKARRSLSLRLAKEFKFNNIDGAHVEETGALGSDWWANDKVAAITTEPRKSWSFRPMAQPGVS* >Brasy4G167200.1.p pacid=40087444 transcript=Brasy4G167200.1 locus=Brasy4G167200 ID=Brasy4G167200.1.v1.1 annot-version=v1.1 MAVKQKIVIKVEMASGRCRSKAMALVAATPGVDSVALAGDGKDQVVVVGEGVDSISLTSALRRKVGPAEIVQVGEAKKEEPDKKKPADPAAIDYPGYYYYPYHYPPQTAPVYEQHYPAAVAGYGHGYPQYAEPERDNCSIM* >Brasy4G122600.1.p pacid=40087445 transcript=Brasy4G122600.1 locus=Brasy4G122600 ID=Brasy4G122600.1.v1.1 annot-version=v1.1 MAVRKRPAAAVLSGQAQAAEPEAKKTRFAKRTRCGTITEPATYGSLDEYKQTSILGQGTYGVVFEGRHKATYGRDRGDQFLLPPDDDTKEPPAPVDPRELLQEARFLEACVGNPHVVGFRCLVRDPANGDIGLVMEHVGLQSLLDFLHERRSSLREATVRAFMRQLLTGAKGMHDLGVIHRDIKPGNILVAQHDGGEQDQFDQEAAVLKICDFGLAMSASQWPPHSQVGTMLYRAPEMLMGKLGYDAVADTWSLGCVMAELVAGETLLKRQVESCLSQSQCFEEVAYLRSIFSLLGMPDDATWPGFSSLPLANVMTQLQLGKHGRLRELFPREMLSDEGFEVLNGLLTCNPDERLTADAALELPWFASFVDDEE* >Brasy4G316900.1.p pacid=40087446 transcript=Brasy4G316900.1 locus=Brasy4G316900 ID=Brasy4G316900.1.v1.1 annot-version=v1.1 MRAATLSALSPSSRAQPSSSSLSLTTALCRLPATPTWPPAAPPPHAADRVRVLPPPPYRRRIQAGSGRPRAAAPEPLRCRIGPRRHGSGLPCPCPCRIGPAAAVPGADAAAAAGIPFFLSLSLSLTHCPSFSHVDPRPVAASAMETSREGNVAAAALVEHKDGDGVNAAEDEPEAQLCSRGLRRRLCLCPRQLQQPQLDETVAEPLRRLDGAAEEMGSGRGLGSRGVGSGLESSRGREGGGGWRRQSRGVGARARLAEAGQGGAVRTWGGGGGAGRLGRWGRRRRRVRGSAAAEGSGLWGGGDGGLGGGGGGTRRGGKEEEAVAEAGGVRRRKGRRRRQRPMQAA* >Brasy4G072300.1.p pacid=40087447 transcript=Brasy4G072300.1 locus=Brasy4G072300 ID=Brasy4G072300.1.v1.1 annot-version=v1.1 MASKAFPALLLLVCFCGELVIHGHAQAPYAGGSIMGGQPAGMQPSTYTTYRHSTIGGDHGGRRLSGEEEEKAFMIHSLPAAHDRPLPVPPSS* >Brasy4G173000.1.p pacid=40087448 transcript=Brasy4G173000.1 locus=Brasy4G173000 ID=Brasy4G173000.1.v1.1 annot-version=v1.1 MAAVAAIERWRALVVAVVVVAAGVGHVVANTEGDALYNLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVSQLGQLKNLQYLELYSNNISGPIPAELGNLTSLVSLDLYLNKFTGVIPDSLGNLLKLRFLRLNNNSMSGQIPKSLTDITTLQVLDLSNNNLSGAVPSTGSFSLFTPISFANNPLLCGPGTTKPCPGEPPFSPPPPYIPPTPPTQAAGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVASDNFNNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWDTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSNYEETEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPLRNDWIVDSTYNLRAVELSGPR* >Brasy4G023200.1.p pacid=40087449 transcript=Brasy4G023200.1 locus=Brasy4G023200 ID=Brasy4G023200.1.v1.1 annot-version=v1.1 MVPSYDCAASVLLCAEDNTAILGLDDEVGDEECSWAAATPRRHAATAAAADGFLMDYSVQSDECIAVLVEREEEHMPKEGYPQALRRPLGELDLAAVRRDAVDWIWKVIEHYNFAPLTAVLSVNYLDRFLSVYELPEGKAWMTQLLAVACLSLAAKMEETYVPLPLDLQVIEAVGDAKYVFEARTIKRMELLVLRILKWRMRAVTACSFIDYFLHKFNDCDAPSMLAYSRSADLILSTAKGADFLVFRPSELAASVALASFGECNSSVLERATTSCKYINKERVLRCYELIQDNITMGNIVLKSAGSSIFSVPQSPIGVLDAAACLSQQSDDTTAGSPATCYQNSSASKRRRIGR* >Brasy4G023200.2.p pacid=40087450 transcript=Brasy4G023200.2 locus=Brasy4G023200 ID=Brasy4G023200.2.v1.1 annot-version=v1.1 MVPSYDCAASVLLCAEDNTAILGLDDEVGDEECSWAAATPRRHAATAAAADGFLMDYSVQSDECIAVLVEREEEHMPKEGYPQALRRPLGELDLAAVRRDAVDWIWKVIEHYNFAPLTAVLSVNYLDRFLSVYELPEGKAWMTQLLAVACLSLAAKMEETYVPLPLDLQVGDAKYVFEARTIKRMELLVLRILKWRMRAVTACSFIDYFLHKFNDCDAPSMLAYSRSADLILSTAKGADFLVFRPSELAASVALASFGECNSSVLERATTSCKYINKERVLRCYELIQDNITMGNIVLKSAGSSIFSVPQSPIGVLDAAACLSQQSDDTTAGSPATCYQNSSASKRRRIGR* >Brasy4G034900.1.p pacid=40087451 transcript=Brasy4G034900.1 locus=Brasy4G034900 ID=Brasy4G034900.1.v1.1 annot-version=v1.1 MAGAATAAPMDIDAAAPPPSKGKAPLSAAAAVRSSPWVEKYRPQSLADVAAHRDIVDTIDRLTDENRLPHLLLYGPPGTGKTSTILAVARKIYGSQYGNMILELNASDERGIGVVRQQIQDFASAHSLSFGAKPAVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVSERLQHIIKSEGLDVDEGGLTALVRLSSGDMRKALNILQSTHMASQQITEEAVYLCTGNPMPKDIEQIAFWLLNEPFSTSFKYISYMKMRKGLALIDIIREVTMFVFKIKMPSNVRVKLINDLADIEYRLTFACNDKLQLGALISTFTSARTAMVAAAD* >Brasy4G288300.1.p pacid=40087452 transcript=Brasy4G288300.1 locus=Brasy4G288300 ID=Brasy4G288300.1.v1.1 annot-version=v1.1 MEMPPPPPPPPRRPAAAAPAGGKPDRKTVERVRRNQMNALYSRLDNLIRAGGGAPSPAPAATRPDRLGEAAAYITQTAERVERLKERKRELTACRATPTAEAGSGSGSGSSQQLEVQVQHLGSGLHAILVTGGPPSDGASFHRAVRAVEDAGGEVQNAHFSVVAARAVYTIHALVGEQPGSIERVVQRLKEAVRGNGR* >Brasy4G032700.1.p pacid=40087453 transcript=Brasy4G032700.1 locus=Brasy4G032700 ID=Brasy4G032700.1.v1.1 annot-version=v1.1 MAGGPLLQLWNVWATQILVLLSFTLQIFLFVFARTRRHGSSAMLRILLWLVYLMADSTAVYTLGHLSINGSPHKQKLAVFWAPFLLDNKLWPRHLLNLGVQAFGVTYVLYKHIAEIPTSLGLATGLIFVIGLINFKEMSWKATYKVVEMELSLMYDIIYTKAVVIHTWYGYLFRLVSPLATIAAFILFHLGGNKNGYSRVDVATTYILLVGAFLLDMASVFSTLGSTWTCSFLWTRGWRKLGLVILSLRRHVKAAGSRGWSGSVGQFNLLHFFSQDTYKMRSRVAKMMGLEDWWNKRRYVRELVFKYVWRLVKNIHLQSAEIESPSPPYENGMMPPISDLPGFRPELYNEAAMRCERLDDALNFDAELQEDAAFSTYLKAIKVLSDYMVFLVAVRPDMIPGLELRSVYESTVEDLSRDAHASQINHGGELMTVVWLMEEHANVFFKPAPDGIESLLLRALG* >Brasy4G269800.1.p pacid=40087454 transcript=Brasy4G269800.1 locus=Brasy4G269800 ID=Brasy4G269800.1.v1.1 annot-version=v1.1 MDPRQLSGFTISFSNGSTFVNEFSVIPGAIHPTASPSQLDVLGGHSLYNQPRGTKRKFDGLSLSLGNSSCSDSSKQSMPTGCTISSAKGTDDGSSVDLGLSCFTPGNEGTSRLDKTASDFRRTSAKAGLDLELSLSVGPCQSGITGADLIAATEQNNTFLETCMMHDVPTVDEGSTSLRRPSGGQVRAFMHKTAKMAGVPLRQVFPGSSSRTQGSIIMPTFPQLQDSPPAYTSGFVSPPQRSISTKVCSFPGCRKGARGSSGRCIAHGGGRRCQRGGCNKGAEGKTIYCKAHGGGRRCGELGCTKSAEGRTDFCIAHGGGRRCINEGCRRAARGKSGRCIKHGGGKRCQQVNCTKSAEGRSGLCIAHGGGRRCMHAGCSKGAQGSTDFCKAHGGGKRCTNPTCTKGAEGSTPFCKAHGGGKRCATQGCTKSVHGGTQFCVAHGGGKRCAVEGCTKSARGRTDRCVGHGGGKRCKSSGCDKSAQGSTDFCKAHGGGKRCSWGHQGSELGGPPCNRLARGKMGMCALHNPLLEDDRIHGGRSLGAFSITGDALDHGDCFANTETSRNNLFMHPVEAPSRVPFPAPERRVHGGKSIVSMFANGLSFGNKSANDTEASTSTPHSWKPSSDRRNRL* >Brasy4G281200.1.p pacid=40087455 transcript=Brasy4G281200.1 locus=Brasy4G281200 ID=Brasy4G281200.1.v1.1 annot-version=v1.1 MATEIPVWSPAFLAIAGLGLAVLAICTSYFVTGRKKRYPPAAGTVFHQVYHLRQLHDYYTGLFREHKTFRLLAPGRRLVYTSDPSVVEYILKTNFANYGKGEFNYENMSSWGTASSRWTAKSGSSSARSLATTSRRGPSGTSAAACSTRTPPSLPASSPTTPRRRNPWTSRQHGSTNQSRNFNNN* >Brasy4G154400.1.p pacid=40087456 transcript=Brasy4G154400.1 locus=Brasy4G154400 ID=Brasy4G154400.1.v1.1 annot-version=v1.1 MAPSRLALGAALLLLAGSAATMAAAQNCGCASGECCSRWGYCGTTKDYCGTGCRSGACEVPVTNNASVATIVTPAFFGALVAQAADGCEAKGFYTRDAFLSAAGGYPAFGRTGSLDDSKREIAAFFAHVNHETIKFCYINEINGPSKDYCDPTSTQYPCAAGKGYYGRGPLQVSWNYNYGAAGQSLGFDGLNDPDAVARSPVLAFRAALWFWMNSVHEAIVSGQGFGATIRAINGALECNGKNPNAVSNRVAYYEQFCQQFGVDPGSNLTC* >Brasy4G000200.1.p pacid=40087457 transcript=Brasy4G000200.1 locus=Brasy4G000200 ID=Brasy4G000200.1.v1.1 annot-version=v1.1 MNFWRACMHGHGGRLVKWGHHEWVLDSGAWNHHTSNLELLLEGGDFQFQEMDNKDAPSSGDDAAAPDVQGRGSVRTGCFDLPGVHYVVGDDTRNVVSVSQLAHDHGLVTVFEPTSCHVKEKKTGKIVGKGRLRNGMYILDSLRIVGQETEEQAVEPNTVMEEVEVEAMEDEVIMEKKVIAAWLKGVEEVDEAEMVGEEGPRLAETRNKAAVEVELPEEILMTKK* >Brasy4G118700.1.p pacid=40087458 transcript=Brasy4G118700.1 locus=Brasy4G118700 ID=Brasy4G118700.1.v1.1 annot-version=v1.1 MGVWESILRGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVSVGIILANKMVMGTVGFNFPVALSLIHYVAAWVLMAILRALYLMPIAPPSKSTPFSSLFALGAVMSFSTGLANVSLKHNSVGFYQMSKIAVTPTIVAAEFILLQRSVSLRKVITLVLVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITMFFFLILMPLMDPPGLLSFNWNFKNSSAIMISALLGFLLQWSGALALGATSAVSHVVLGQFKTIVIMLSSFLVFNSDPGFTSICGAVIALGGMSIYTYLGLKDSTTGGKRIPSASRQSSHSPKSKIIMEGEKLEARLMDSV* >Brasy4G118700.2.p pacid=40087459 transcript=Brasy4G118700.2 locus=Brasy4G118700 ID=Brasy4G118700.2.v1.1 annot-version=v1.1 MVICTTFQLTKSELLTLDFIFDDLPGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVSVGIILANKMVMGTVGFNFPVALSLIHYVAAWVLMAILRALYLMPIAPPSKSTPFSSLFALGAVMSFSTGLANVSLKHNSVGFYQMSKIAVTPTIVAAEFILLQRSVSLRKVITLVLVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITMFFFLILMPLMDPPGLLSFNWNFKNSSAIMISALLGFLLQWSGALALGATSAVSHVVLGQFKTIVIMLSSFLVFNSDPGFTSICGAVIALGGMSIYTYLGLKDSTTGGKRIPSASRQSSHSPKSKIIMEGEKLEARLMDSV* >Brasy4G118700.3.p pacid=40087460 transcript=Brasy4G118700.3 locus=Brasy4G118700 ID=Brasy4G118700.3.v1.1 annot-version=v1.1 MGVWESILRGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVSVGIILANKMVMGTVGFNFPVALSLIHYVAAWVLMAILRALYLMPIAPPSKSTPFSSLFALGAVMSFSTGLANVSLKHNSVGFYQMSKIAVTPTIVAAEFILLQRSVSLRKVITLVLVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALAATSAVSHVVLGQFKTIVIMLSSFLVFNSDPGFTSICGAVIALGGMSIYTYLGLKDSTTGGKRIPSASRQSSHSPKSKIIMEGEKLEARLMDSV* >Brasy4G118700.4.p pacid=40087461 transcript=Brasy4G118700.4 locus=Brasy4G118700 ID=Brasy4G118700.4.v1.1 annot-version=v1.1 MAILRALYLMPIAPPSKSTPFSSLFALGAVMSFSTGLANVSLKHNSVGFYQMSKIAVTPTIVAAEFILLQRSVSLRKVITLVLVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITMFFFLILMPLMDPPGLLSFNWNFKNSSAIMISALLGFLLQWSGALALGATSAVSHVVLGQFKTIVIMLSSFLVFNSDPGFTSICGAVIALGGMSIYTYLGLKDSTTGGKRIPSASRQSSHSPKSKIIMEGEKLEARLMDSV* >Brasy4G118700.5.p pacid=40087462 transcript=Brasy4G118700.5 locus=Brasy4G118700 ID=Brasy4G118700.5.v1.1 annot-version=v1.1 MGVWESILRGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFVVSVGIILANKMVITLVLVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITMFFFLILMPLMDPPGLLSFNWNFKNSSAIMISALLGFLLQWSGALALGATSAVSHVVLGQFKTIVIMLSSFLVFNSDPGFTSICGAVIALGGMSIYTYLGLKDSTTGGKRIPSASRQSSHSPKSKIIMEGEKLEARLMDSV* >Brasy4G153000.1.p pacid=40087463 transcript=Brasy4G153000.1 locus=Brasy4G153000 ID=Brasy4G153000.1.v1.1 annot-version=v1.1 MDPSPNAVPVVVAARRPILAEAARRLGATAPMYQVSENNGSYTCSVQLALPMRCHADCVRIAHAIGRAATSAIVAEERAADCMIRALRRRFGVQFDDVNWARFNRCYRRYVMARTALRTMVERYAELAEKARLMEKGWEQALADIKAVNEMCSYISSKSDGSLDTSESLTPHEHCLRKHYQWQSKNRVGGGDHARHQLCATGVFPTSGG* >Brasy4G281000.1.p pacid=40087464 transcript=Brasy4G281000.1 locus=Brasy4G281000 ID=Brasy4G281000.1.v1.1 annot-version=v1.1 MESRWLLRLAFLSFLSLQPLLGASYYLQERKNYIVHLDPRGSPAVDSVEEWHRLFLPQAAAPDSEEGDDGPRIIYSYTDVFTGFAARLTDSEADALRATPGCLRLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGLDPPPKTWKGTCEFKAIAGGGCNNKIVGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGSFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSSGTQFNYDPVAIAAFKAMERGIVVSCAAGNAGPEPGSVGNGAPWMLTVAAGTMDRAIRTTVRLGNGEVFDGESLYQPGTNNSAAEPLPLVFPGADGSETSRDCSVLRGAEVSGKVVLCESRGLNGRVEAGQTVAAYGGAGMIVMNRAVDGYTTFADPHVLPASHVSHDAGTKITAYLNSTANPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHPEFSDGGVSLSFFVESGTSMSTPHLSGIAALLKSLHPSWSPAAIKSAIMTTSDAVDRTGVPLKDEQYRHATFYAMGAGYVNPALAVDPGLVYDLHADDYLPYLCGLGIGDDGATEIAHRPVACGGLRPTTEAELNYPSLVVNLLAQPITVTRTVTNVGRPSSVYAAVVDMPGDVSVTVNPPMLRFRELGEKQSFTMTVRWAGQPSIAGAEGNLRWVSDDHVVRSPIVIPAKAA* >Brasy4G371300.1.p pacid=40087465 transcript=Brasy4G371300.1 locus=Brasy4G371300 ID=Brasy4G371300.1.v1.1 annot-version=v1.1 MPCLQFLYLNGNQLNGPFPRFIQNNRFTLDLSHNAFSGSIPENLHDMVPNLVLLDLSSNMFSGLIPHSFSRLANLTELRLAENNFTGGIPKKLGNLTNLKVMDLSWNMFSGGIPKELGNIIYLVSMDLSRNMFSGRIPAELGNISHFLLMDLSWNMLSGALPPSISRMYNMREFDVENNLHLSDSIPFEWFSNQTLAVFNIANNTFTGGISKAFCQLGNLQVLDLSNNLLSGVFPGCLWNLLYLSYMDLSSNAFAGQVPISTNLISSRALSSLVYVHLSNNNFTGCFPPAIKNLQNLMSLDLGHCKFSGKIPAWIGVALPSLRMLRLRSNMFHGSLPLEVSQLSHLQLLDLAENNLTGYIPMSFGNFPYMEEMPEMYISTNISIGSLYGETYGFDGMVYSQNGQMDIIWKGRDYTFSRSIMLLTGIDLSSNYLSGEIPAELLNLQVLRFLNLSRNNLSGGIPNNIGNLKDMESLDLSWNKLSGPIPSSISQLMFLSTLNVSNNFLSGDIPRGNQLQTLNDPSIYSNNLGLCGPPLSTPCKNNSISTTALDGAKEQHHELETLWLYYSVIAGTVFGFWLWFGALFFWKIWRFSFFGCIDAMQHNVLQRMRHT* >Brasy4G163800.1.p pacid=40087466 transcript=Brasy4G163800.1 locus=Brasy4G163800 ID=Brasy4G163800.1.v1.1 annot-version=v1.1 MEAHYHNKCLLYALLLVGSWICSFLLHFQFFHLSLLRYSFPYYHRLRAASVPLEATFVSSHANFAQDFTSSRRSSCEGRYVYMLDIPPRFDLLNGCVDGSPAFEDEHGACVLMSNAGLGPALGERGGVISSNGWFNTNQYSLEVIFHNRMRHYECLTDDPSSSAAVYVPYYPGLELNRHACEANATERDGPSGEFLRWLSSRPQWAAHGGRDHFMVVAKTTWMLRRRVEDAVEGCGNRFLDRAEARNMTVLTYESNIWDRRDMAVPYPSYFHPSSSGAASAWQARARAAARPWLFAFAGARRPNGTLLLRDRIVDACRASPTRCGMLDCGSGSSGLEGCRSPERLVALFASSRFCLQPRGDSFMRRSSVDAVIAGCVPVFFHEASTFEKQYRWHAPQGNNNSGGNYSVFIDPDDVLQGKADIEEVLGRYTDEEVAAMREEVIRMIPRLLYKDPRVGFQEHMRDAFDIAIDEVLARTRRIKNGEDLGWEI* >Brasy4G170000.1.p pacid=40087467 transcript=Brasy4G170000.1 locus=Brasy4G170000 ID=Brasy4G170000.1.v1.1 annot-version=v1.1 MKCRSDSSGGDDPWAPRPPAPPAAGDGSSNEPTKKQRTDRPSSSTQAGASSSSQVEPPQQQQLTPDAREDGEPPRVPDLGDDLMFEVLRRAEARTLAASACVSRGLRQLAQDERLWEAACVREWANLGFSEQQLRRVVLSLGGFRRLHAVHIRPLQRRGAGVPRQGRRQLPVRLGRDQVQLSLSLFSIGFFQSMPNAPFPKKDKGNDSDKSGGGQCG* >Brasy4G198300.1.p pacid=40087468 transcript=Brasy4G198300.1 locus=Brasy4G198300 ID=Brasy4G198300.1.v1.1 annot-version=v1.1 MGVTAFFYILLFLWVHDAAAIVGFTRSDFAQDFVFGAGTSAYQYEGAVAEDGRSPSFWDTFTHAGKMPDKSTGDIAADGYHKYKEDIKLISETGLEAYRFSISWSRLIPNGRGAVNPEGLKYYNNIINELVKHGIQIHITLHHIDLPQILEDEYGGWLSPRIIEDFTAYAGVCFREFGDRVKYWTTVNEPNIGAIASYGSGQLPPGRCSDPFGITKCTAGNSSTESYIAVHTTLLAHASVVKLYKEKYKGEQKGAVGINIYSYWSYPFTNSTVDLEATQRAKDFMFGWILEPLVSGDYPHVMKKNVSSRLPSFTKNQSGLIKNSFDFIGINHYFSVCVNDRPIETGARDFNGDMSVYYRVSRTDPPAGQGAPTNVPSDPKGLQLVLEYLKVTYGNPPLYVHENGVGSPNDSLNDNDRVDYLSSYMGSTLDAIRNGVNVRGYFAWAFMDLFELLAGYQSKYGLYRVDFDDVRRPRQARFSARWYSSFLKKNGSSLLVSRTHEDLTLNTQYPKFGARAQDGTCTY* >Brasy4G097900.1.p pacid=40087469 transcript=Brasy4G097900.1 locus=Brasy4G097900 ID=Brasy4G097900.1.v1.1 annot-version=v1.1 MGAGNGLSNGAGEAAADGTTVFKATAYSPLRTMVALALWLGGIHLNVVLVLACLFLLPRRIAAMVLGTQLFFMLVPVSDKSRLGRKIARFISKYVIGYFPVTLHVEDYNAFDPKRAYVFGYEPHSVLPIGLGALLDLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKSFYSYLGAGYTCIIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFCFGQSFVFKWWRPGGKLIVKIARAIKFTPIVFWGKFGTPIPFATPLHVVVGRPIEVKKNPQPNYDEINEVHEQFVVALQELFEKYKTKAGYDKLHLRVL* >Brasy4G081400.1.p pacid=40087470 transcript=Brasy4G081400.1 locus=Brasy4G081400 ID=Brasy4G081400.1.v1.1 annot-version=v1.1 MPLRGRGGRGKGGKGGGGGRAAGGVHHAAHVVVLRLHDAREDTPPSTSSWTYARAASSLTASSPVANIPKASRRQELLHHRLD* >Brasy4G278700.1.p pacid=40087471 transcript=Brasy4G278700.1 locus=Brasy4G278700 ID=Brasy4G278700.1.v1.1 annot-version=v1.1 MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAGGDLEECPICYLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQLEEQKVIEAQMRMRQQALQDEEDKMKRKQSRCSSSRTIAPTTEVEYRDICSTSYSVPSYQCTEQETECCSSEPSCSAQSNMRPVHSRHNRDDNIGMNIEEMMVMEAIWRSIQQEQGSIGNPVCGNFMPVIEPPSRERQAFVPAPLEIPHPGGFSCAVASMAEHQPPSMDFSYMAGNSAFPVFDMFRRQCNISGGSMCAVDSSPDSWSGIPPSCSREMIREEGECSTDHWSEGAEAGTSYAGSDIVADAGTMQQLPFAENYNMAPSHFRPESIEEQMMYSMTVSLAEAHGRTHSQGLAWL* >Brasy4G278700.2.p pacid=40087472 transcript=Brasy4G278700.2 locus=Brasy4G278700 ID=Brasy4G278700.2.v1.1 annot-version=v1.1 MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAGGDLEECPICYLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQLEEQKVIEAQMRMRQQALQDEEDKMKRKQSRCSSSRTIAPTTEVEYRDICSTSYSVPSYQCTEQETECCSSEPSCSAQSNMRPVHSRHNRDDNIGMNIEEMMVMEAIWRSIQEQGSIGNPVCGNFMPVIEPPSRERQAFVPAPLEIPHPGGFSCAVASMAEHQPPSMDFSYMAGNSAFPVFDMFRRQCNISGGSMCAVDSSPDSWSGIPPSCSREMIREEGECSTDHWSEGAEAGTSYAGSDIVADAGTMQQLPFAENYNMAPSHFRPESIEEQMMYSMTVSLAEAHGRTHSQGLAWL* >Brasy4G424600.1.p pacid=40087473 transcript=Brasy4G424600.1 locus=Brasy4G424600 ID=Brasy4G424600.1.v1.1 annot-version=v1.1 MEVETGDMAAKSKFSLPVDSEHKAESIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFSAIQKCGSTPFVAWRIAYFVPGLMHVVMGLLVLTMGQDLPDGNLRSLQKKGEMNKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANLVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGMTGAGGNVGAGLTQLLFFTSSKYSTGRGLEYMGIMIMLCTLPVALVHFPQWGSMLFPANAGATEEEYYAAEWSEEEKSKGLHLPGQKFAENCRSERGRRNVVLATSATSPDNTPQHV* >Brasy4G042500.1.p pacid=40087474 transcript=Brasy4G042500.1 locus=Brasy4G042500 ID=Brasy4G042500.1.v1.1 annot-version=v1.1 MDGGHGHGGHGQRQPLSPAISASAVLPHQRQMQLHQHPARPAIADLFTLYLGMNSKQRAEDPARETANKLQKRVSALSRDLPPRDEQFIPDFEQLRMPFPDQEQLQAVTESVLISFVLQCSSHAPKSEFLLFATRCLCARGHLRWDSLLPSLLSAVSSAEAPMAQGGPVTVGGPVSSSSSAIVAPSAPSFHASNPTSPLSAMNTIGSPTQSGIDQPIGANASPIKRTEFSTPGQLGTAARGDQSRRGEEISYLHHLSCRIILAGLESSLKPATLAVIFQHMVNWLVNWDQRPHGVDEADTTQTWRIGRPVHEWMHLCLDVIWILVDEEKCRVPFYELVRSNLQFLENIPDDDALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFGTHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQQSSTPGAVFSPDMIGEAVADRTIELLRFTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNNDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERAMGMFWVLSFTMAQPACEAVMNWFTSAGTDVIQGPNMQPNERIAMMRETCPLSMSLLSGLSINLCLKLAFQLEDSIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRMFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGHAIQAWQQAENTVINQCNQLLSPSAEPNYVMTYLNHSFALHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHLVQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERTELQQRIKAFCSSRSSEHWLKNQPPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSNFLAFHPLRFTFVRDILAYFYGHLPSKLIVRVLSVLGASIKTPFSESFTQYLGPSTICPPQEYFANLLLSLVNNVIPPLSSKSKSHPADASGNAGRTSFSKPHASAQAGGISNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVRASQIVTSLVQLIAHVQAMLIQSNSGQGMSGGLGQNSGLPTSPSGGGAEAAGGNRANTSASGISANFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARIIKDCRWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNVVALLHSFFSNLPQEWLDSTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPHVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDLNSSIYAATHPKLVQHPS* >Brasy4G314800.1.p pacid=40087475 transcript=Brasy4G314800.1 locus=Brasy4G314800 ID=Brasy4G314800.1.v1.1 annot-version=v1.1 MTHQTLISSAPAFSSASHLLHASSPSSPTLFRRSSSTSSAAASVMSSFAHHHGSLVEKEGRMAVLRSSLRPCEAAEEMAAAVAVGPAAWGAGLLGDGFLVEDLLDLEDLCEVDKEGGGVFPEAAPAADEEKSGDDSHGSSVVSYELMPLMPPEMDMDLPAHDAEELEWVSRIMDDSLAELPPQLPAAPSAAWQHRPRPREAAASSAPADPMRTPTICALSTEASVPVKAKRSKRSRATVWSLSGASLSDSASSSTTTASSSGSSSTSLSSFFLLDSPTFAAGSRLFEPARLKKKSKHGKQHKPKKRGRKPKHLASSPFLPLVPVPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVGTIHSNSHRKVLEMRRKKDPVVGFEAAAPPAVASF* >Brasy4G103500.1.p pacid=40087476 transcript=Brasy4G103500.1 locus=Brasy4G103500 ID=Brasy4G103500.1.v1.1 annot-version=v1.1 MFNLATELLTLFPPSFSKCEVSSKVPSMEEPLVRSSTEKTRGPGDSLVVTEVKKQLYLAGPLIAGSLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVIWAYTGQILLFFGLDPEIAMGAGTYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSAGVMALSHVLVCWLLVYKLGLGNSGAALANTISYLANLLVLSLYVRFSQSCKKTWTGLSTEAFRDILGFLRLAVPSALMVCLEWWSFELMVLLSGFLPNPKLEASVLSISLNTVALVFRIPSGLGAAISTRVSNELGAGRPHAARLATRVIMALGIVSGVSVGLLMILVRNLWGYAYSNEEEVVEYVARIMPILAVTFLFDDLQCVLSGIVRGCGFQKIGAFVNLSAYYLVGIPAALCFAFLYHFGGMGLWLGLICGLVVQMLLLLFITLSTNWEKEALKAKNRVFSSSLPADLMT* >Brasy4G103500.2.p pacid=40087477 transcript=Brasy4G103500.2 locus=Brasy4G103500 ID=Brasy4G103500.2.v1.1 annot-version=v1.1 MEEPLVRSSTEKTRGPGDSLVVTEVKKQLYLAGPLIAGSLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVIWAYTGQILLFFGLDPEIAMGAGTYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSAGVMALSHVLVCWLLVYKLGLGNSGAALANTISYLANLLVLSLYVRFSQSCKKTWTGLSTEAFRDILGFLRLAVPSALMVCLEWWSFELMVLLSGFLPNPKLEASVLSISLNTVALVFRIPSGLGAAISTRVSNELGAGRPHAARLATRVIMALGIVSGVSVGLLMILVRNLWGYAYSNEEEVVEYVARIMPILAVTFLFDDLQCVLSGIVRGCGFQKIGAFVNLSAYYLVGIPAALCFAFLYHFGGMGLWLGLICGLVVQMLLLLFITLSTNWEKEALKAKNRVFSSSLPADLMT* >Brasy4G103500.3.p pacid=40087478 transcript=Brasy4G103500.3 locus=Brasy4G103500 ID=Brasy4G103500.3.v1.1 annot-version=v1.1 MEEPLVRSSTEKTRGPGDSLVVTEVKKQLYLAGPLIAGSLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVIWAYTGQILLFFGLDPEIAMGAGTYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSAGVMALSHVLVCWLLVYKLGLGNSGAALANTISYLANLLVLSLYVRFSQSCKKTWTGLSTEAFRDILGFLRLAVPSALMVCLEWWSFELMVLLSGFLPNPKLEASVLSISLNTVALVFRIPSGLGAAISTRVSNELGAGRPHAARLATRVIMALGIVSGVSVGLLMILVRNLWGYAYSNEEEVVEYVARIMPILAVTFLFDDLQCVLSGIVRGCGFQKIGAFVNLSAYYLVGIPAALCFAFLYHFGGMGLWLGLICGLVVQMLLLLFITLSTNWEKEALKAKNRVFSSSLPADLMT* >Brasy4G103500.4.p pacid=40087479 transcript=Brasy4G103500.4 locus=Brasy4G103500 ID=Brasy4G103500.4.v1.1 annot-version=v1.1 MSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVIWAYTGQILLFFGLDPEIAMGAGTYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSAGVMALSHVLVCWLLVYKLGLGNSGAALANTISYLANLLVLSLYVRFSQSCKKTWTGLSTEAFRDILGFLRLAVPSALMVCLEWWSFELMVLLSGFLPNPKLEASVLSISLNTVALVFRIPSGLGAAISTRVSNELGAGRPHAARLATRVIMALGIVSGVSVGLLMILVRNLWGYAYSNEEEVVEYVARIMPILAVTFLFDDLQCVLSGIVRGCGFQKIGAFVNLSAYYLVGIPAALCFAFLYHFGGMGLWLGLICGLVVQMLLLLFITLSTNWEKEALKAKNRVFSSSLPADLMT* >Brasy4G204000.1.p pacid=40087480 transcript=Brasy4G204000.1 locus=Brasy4G204000 ID=Brasy4G204000.1.v1.1 annot-version=v1.1 MSPSMTHLLSTAAVAAILTLTSSVANADVVATCKAAADLDRRVNYEFCASELGKHRDSLYADTWGFAKIAAILGGGEAQDTLSEIKALLGKPSINAKTRMALEQCQKFWINERNYAAGKLEVGKTTPLAHQCDDVFTKAAVPSPLTDYSSYTMKMAIICTAITNLIK* >Brasy4G028300.1.p pacid=40087481 transcript=Brasy4G028300.1 locus=Brasy4G028300 ID=Brasy4G028300.1.v1.1 annot-version=v1.1 MSGYVKVQSSRPQGSSSSDSSSSSKTLIAILLPTITAFVLIVVVGAIVIASWRKEERREGRRSSRDVQLRRQRSPGSASAHLVHDLQDVDGGDDDDIVIPGLPTRFTHSEIEEMTNSFRVKIGAGGFGAVYKGELPDGSLVAVKKIEGVGMQGKREFMTEIAVIGNIHHVNLVRLRGFCTEGRRRLLVYEFMNRGSLERPLFRPTGAPLEWKERMDIAVGAARGLAYLHFGCNQRIIHCDVKPENILLADGGQVKIADFGLAKFLSPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYGFGMVLLELVHGRKNRSEHVSDGMASGEESSNGSSSLRGAARSNNDYFPLAALEAHEAGRYAELADPRLEGKVVAKEVERMVKVALCCLHEDPGTRPSMAVVAGMLEGTMELGEPRAQLLGFLRLYGRGHAGPSDGNMKQVVGMGMGTAVGDRNRSETTQTTMSGCPSYMSSSQLSAPR* >Brasy4G355700.1.p pacid=40087482 transcript=Brasy4G355700.1 locus=Brasy4G355700 ID=Brasy4G355700.1.v1.1 annot-version=v1.1 MPSSAVSYADIKGKHPSIYMPANNTMSLTSLLIVLAATSTIFTAANGSGSCIPAERAALLSFKAGITSDPTDLLGSWQGHNCCQWSGVICDDRTGNVVELRLRNTYISADPRLFWCVPEGEPDPLQGKISPSLLALQHLEHLDLSGHNLGGVGVPIPKFLASFNKTLTYLNLGCMNFDGKLPPQLGNLSRLLHLNLASPVSTQTLLHSEDMSWVSNLRLLRSLDMSGVNLTTVGDWVRVVTLLPSLEDLRLSNCGLGLPHQPAVNSNRSSLQLLYLDNNRIDTLNPAYWFWDVGTIKELDLSTNQIAGQIPDAVGNMTMLETLALGGNYLSGIKSQLFKNLCNLRVLGLWSNEVQQDMPEFVDGFPGCANSKLRSLDLSLTNLTGGIPSSIKKWSNLTELGLSNNMLVGSLPSEIGHLSNLEVLVLQNNKLNGSVSEKHFTSLLKLRYVDLSRNSLHIMISSNWVPSFSLKVARFAGNKMGPHFPSWLKGQKDVFDLDISGASIADRLPGWFWTVFSKVRYLDISFNQISGRLPGTLKFMTSAQRLDLSSNSLTGLLPQLPEFLTVLDISNNSLSGPLPQDFGAPMIQEFRLFANRINGQIPIYICQLQYLVVLDLSENLLTGQLPQCSKQKLNTTVEPGCTELSALILHNNSLSGRFPEFLQQSPQLTLLDLSHNKFEGELPTWIAGNLPYLSYLLLRYNMFNGSIPLELTELVELQILDLANNRMSGIIPRELASLKAMNQHSGIRSNNPLASQDTRITLHADRVRVIKYDSGLQMVMKGQELFYTSGMVYMVSLDLSYNNLVGEIPDEIASLVGLINLNISHNQFTGKIPDNIGLLRALESLDLSFNELSGEIPSSLSDITTLSHLNLSYNNLSGRIPSGNQLQALYDPESIYVGNKYLCGPPLSKKCLGPEVTEVHPEGKNPINRDIYFGLALGFATGLWIVFVTFLFAKTWRVTYFKLLDKLQDNMQLSVAMISTKGYCFQSTIVTP* >Brasy4G423100.1.p pacid=40087483 transcript=Brasy4G423100.1 locus=Brasy4G423100 ID=Brasy4G423100.1.v1.1 annot-version=v1.1 TDSSSLVPKPSPSSSPYPRTLPAATRLPPPRTAAAAKPSDLSPSTRSSRPLQLRPARPRAGRVRRGGRPARRAQAVQEEASIQGQLQMLLWIKTDCSRRRHVSWKSKHVLLALEQMKGLTAKTAAATRESTALLLGSSIPTLSLLACIPILIKRQDAVDNFRAGKSWVLIAMEVLARGMDFKEKC* >Brasy4G425800.1.p pacid=40087484 transcript=Brasy4G425800.1 locus=Brasy4G425800 ID=Brasy4G425800.1.v1.1 annot-version=v1.1 MAGALPWLIFAATLLLASIKSSTASRMAKPGCTETCGNLTIPYPFGIGRGCFRERFDVSCENNTAYWSKSSSNFKIYDISLPEGQITISTLIASKCFSNKKPRWVSLWTGRLFTVSTKANKLTAVGCNTFAILGGYNKHNVVGAGCFSMCRDNQSVDESGRCSGMGCCQTSIAPKVVFSNITFDDRFSNSEVSSFNPCSYAFVAKQDWFEFKPYYLDGDKFTKELMDGVPTVLDWVAGNESCNEAAKNMSAYACIGKNSKCIESSNATGYLCTCKDGFIGNPYLEEGCQDIDECNLPDEYPCHGICSNLIGSYSCLCKSGTTSTDAKKNTCNPIGVSDSGKLTKVSIGISACAMLLLIFIFALLMECQKRKLIKEKEIFFQQNGGLLLYEQIRSKHVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDNREVAIKRSKIMNVTEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNGTAKVTDFGASRILLTDEIQFMTLLQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASAFLLAMKENSLQSILDQNILEFGTELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRSTWREQLIQNPSNETECLLESSSSHYDPSSTGQHGSPMALDLESGR* >Brasy4G083800.1.p pacid=40087485 transcript=Brasy4G083800.1 locus=Brasy4G083800 ID=Brasy4G083800.1.v1.1 annot-version=v1.1 METATARRPSGPVLSISHYRSASPTRVKLASAAGARSPATSVSVSSSPSSSPGAGGRSRRSCMCSPTNHPGSFRCSLHKERNKAPGGHGHSHSKPVSPPSPGGHGPSKLGTKHRMGSAMAQFVVVEGGGHWARRALAPPSAAAQQSQHRRRVGGLRPRPSRLSAVSIAGDRASDNPRQGN* >Brasy4G014300.1.p pacid=40087486 transcript=Brasy4G014300.1 locus=Brasy4G014300 ID=Brasy4G014300.1.v1.1 annot-version=v1.1 MDPTEPRWRMNSSFSPPVSRGWDCMYSSDGLPQGTLDAPHDHPPYVSSISSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPVDSFQAPRWTPSLQRFDLGEFSTPAGGPEPESSDYPQSSERQLTAVSSFSSASPFSESSQLASSSKRTGSHLARNHLGRRSFMSKPVYPLVFRNPVSEAETSGMPEASNAGRTTPSDDSRASPVWRRSLASPELKFHDTLSELRKMEASPEPNTSSRREGFRWSSASSYDFGYDGDAIDISDHISVDSQRSPTSSASFLKCGLCERFLRQKSPWSSNRIVRNTNMPVAAVLPCRHAFHADCLEESTPKSEVHEPPCPLCVRATDNEGCVSFSEPLHLALRSARRNQGIRFPSGGAGGSSSADPSRSDHVLKRNQSALVPRHSGSLFRSRFKKQFPFKGRIGKDLFSGRVFRKVGSSSSSGQQDAPHQPAVEPDQSMK* >Brasy4G156400.1.p pacid=40087487 transcript=Brasy4G156400.1 locus=Brasy4G156400 ID=Brasy4G156400.1.v1.1 annot-version=v1.1 MPPRIVADPDASGLLLLDFSHNSFSGEIPPAITAIRSLQGLFLADNQLSGEIPPGIGNLTYLQALDLSHNRLSGVVPPGLAGCFQLLYLRLGGNQLTGALRPELDALDSLKVLDLSNNQISGEIPLPLAGCRSLEVVILSGNEITGELSGAVAKWRSLRFLSLADNQLSGQLPDWMFSFPFLQWLDLSGNRFVGFIPDGGFNTSSVLNGAGGVQGFPSEGVIPPQLFVSASVDAMGMQLKLGYDLWAAPGVDLSRNVLHGEIPEGLVAMKGLEYLNLSCNYLAGQIPAGLGGMGRLRTLDFSYNGLSGEVPPAIAAMTELEALNLSYNRLSGPLPTTDGLRKFPGALAGNPGICSGEGCSGDAGMSEGKMARSNLHGWLGGWHGENGWVSLGAFCISTMTSLCVSMATLLCSSKARSFVFQPVRIEY* >Brasy4G232000.1.p pacid=40087488 transcript=Brasy4G232000.1 locus=Brasy4G232000 ID=Brasy4G232000.1.v1.1 annot-version=v1.1 MSSLVRVLFSLAAAIAATSLLAATLRRRAQQPGLPDQLVPGPMAARNRSFVLWLHGLGDSGPANEPIRTFFSAPEFRLTKWAFPSAPNSPVSCNQGAVMPSWFDIHELPMSPGSPQDESGVLKAVENVHAMIDREVANGIHPDNIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVIERISPEARKTPIMWSHGMADRVVLFESGQAGPPFLQSAGVSCEFKAYPDLGHSIVKEELNALESWIKGRLKASQNKES* >Brasy4G119600.1.p pacid=40087489 transcript=Brasy4G119600.1 locus=Brasy4G119600 ID=Brasy4G119600.1.v1.1 annot-version=v1.1 MGSGSVSGDAVVLRQDPWFASLAILGALYVAAVVLRLISHSHLALYLLRRPTDLRRRYGPWAVVTGPTSGIGRSMALELARRGLNIVLVGRDPAKLRDISQAISQASSNTVQTKAVVFDLSLVSTPQGDEAVGRLREAVAGLEVGVLVNNAGVAKPCAVYLHEVDVEAWVRMIRVNLWALTEVTAAVLPGMVERGKGAIVNIGSGSSEAIPSFPLYSVYAASKRYVAQFSRSLYVEYRNKGIDVQCQAPLYVETKMTSGVHARSSRKQRPALSRLIVPTSDSYAVAAARWIGAHRPLCMPNLGHRLQWALCRAVPDRVHDALRLRENLRQRALFQRLRSARLPGAPQQTKLD* >Brasy4G010900.1.p pacid=40087490 transcript=Brasy4G010900.1 locus=Brasy4G010900 ID=Brasy4G010900.1.v1.1 annot-version=v1.1 MEPTRRFCRLRKASDLSTVTAAAASSCFKPLRQAAGTLRSNPWCSLTIVMASVSARRPKNIERTTYCSSVLLDLGATAERSLVAAPGANLLHDPDARLVTSKRRPATATSDVFFFRWIVRICSQLV* >Brasy4G291000.1.p pacid=40087491 transcript=Brasy4G291000.1 locus=Brasy4G291000 ID=Brasy4G291000.1.v1.1 annot-version=v1.1 MLPSSPSPCPGQAAPRHRELGWVKQPLAVAVCSGQDHLLLLSTSLMQKKQHPWSRFAADLLQHASAPEPLLLLRCAGGGRRGGHEREMQQALDVTASSDIDLGMPGGGPGGTAPAPSLLRIACPCRRRHRAALAPQRLRRGDDSREVQEGGGRRTAAPCSGFGAACALHCFNREEKLRRWKKKIRQRQGKRIERSRKRERSFGRKRRTVRPSGARAPLRIPVYLIVSFFVFSS* >Brasy4G209100.1.p pacid=40087492 transcript=Brasy4G209100.1 locus=Brasy4G209100 ID=Brasy4G209100.1.v1.1 annot-version=v1.1 MGIAEVALHTMPGTFAGHSPASSLFLRTDAGRRRKRNTNLFYRTLKGTPKFPGLRSVERQCQRIDDVARVIEAGNGTWDKDVVNKASQVLGDVSVPGQVLGGNVNLNGNAAKPLPQRQKVSSVEDEAWDLLRESIVNYCGSPVGTIAANDPNDSNPANYDQVFIRDFIPSGVAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDDDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFALGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAAKAVEIAERRIATDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV* >Brasy4G123600.1.p pacid=40087493 transcript=Brasy4G123600.1 locus=Brasy4G123600 ID=Brasy4G123600.1.v1.1 annot-version=v1.1 MLCSGRMLACSGLSPARLRPPRAYADRLRPPAPSRRWRVAASAAASGGSPDLPSSSSSTPPSFGAGDDQAAASSSGFCIIEGPETVQDFAKLDLQEILDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISVEEHEGELPDFPSFIPFLPPLSAANLKVYYATCFTLIATIMVFGGFLAPILELKLGIGGTSYADFIRNVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEDKRCKYCLGTGYLACARCSSTGAVVLTEPVSTFSDGDQPLSAPKTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD* >Brasy4G303400.1.p pacid=40087494 transcript=Brasy4G303400.1 locus=Brasy4G303400 ID=Brasy4G303400.1.v1.1 annot-version=v1.1 MADDSSIRCAPPARDNRVIRRQRSFPAAVVAAFAQCGGGGGEGARRRSLGSARLGGRLQVGNHNVGEGEQKADQLGVHAHVPAAAAWPSGGAGNGVGYSAPTLPVISSSVGALWRHVVRKVVTIRRTRSGSSVVKERLYYGQEDYARNFDEGDAAAAGDEEEPGNLSRAPSPRATRPAGVLLLGLRSRMRPRPTRVTGSADCFIGTAASTRKKKNTRKGRYAYSWARPSGIGVPRAACRPARVKAGTADRGDSRTGKMAFPNRINRGGYRLTSTWRIPPGAARLARSFLARPPSTPASRRVYNLRAHTHAVALILGSLPAHLTYRIIATPAN* >Brasy4G037600.1.p pacid=40087495 transcript=Brasy4G037600.1 locus=Brasy4G037600 ID=Brasy4G037600.1.v1.1 annot-version=v1.1 MMMMLGGRAAGAGGGRCPFTATQWQELEHQALIYKYMASGVPIPSDLLLPLRRSFLFDSALATSPSLAFSPQSSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPSSSSSLSAASSSTNATSAINAAPRQPPHPLPRPPTTSPTTTATTPRRIPTTRSTAPAPAPAAAGSRTRSAAARTISTSTPHTRTRRRPTTPPPPPWITTRITTPTGTPSSRSRRTPTASTPSSPTPITTPPTEAQTTAMAASGSSSSWAWSPTSNTTTLPSSSPAAATETATARRTPSICARRTTRRRSGGSSSSTASCWAPT* >Brasy4G129900.1.p pacid=40087496 transcript=Brasy4G129900.1 locus=Brasy4G129900 ID=Brasy4G129900.1.v1.1 annot-version=v1.1 MAASSSYDGVLLGMGNPLLDISAVVDEAFLTKYDVKLNNAILAEEKHLPMYDELSSKGNVEYIAGGATQNSIRVAQWMLQVPGATSYMGCIGKDKYGEEMKNAAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAENNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEARIFSKVRGWETENVEEIALRISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDSVKAGCYAANVIIQRSGCTYPEKPDFN* >Brasy4G332200.1.p pacid=40087497 transcript=Brasy4G332200.1 locus=Brasy4G332200 ID=Brasy4G332200.1.v1.1 annot-version=v1.1 MSVCELRWVMVRTDIGRTHVSLGDTVGRWRRTKNLRIPLEMLLFPRPQNLAEIFHSPRALPLSRRSLCRAALWVGFGNLGTGLRARVWDPLDRFPMAAPAAGAEGPGEADGGGARQQGLAERRMLRSRYLAMKSLISEEKDDMAKEDSDKFTSIITQVESLHELVQRPREQIADAEALLDITTTLVKSVRSQSSEGITPGDFVTALLKKFGQQGALDSEPASLRWADIGFSASHVFRAVPGCRTMLGPMDTEVKQRKVSVVNRKRTAKPTDNTRPEELADSSEGAKTDTDRNVSVVFDILRKKKSARLENLVLNRHSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDYRDWKLMREVVVDGEELMPHRTQGSPCNEEKEHLEQCAQQTPIRKLCRNRGFVLQEQMVVAETPEADKTSSKRRRLFRDQE* >Brasy4G276400.1.p pacid=40087498 transcript=Brasy4G276400.1 locus=Brasy4G276400 ID=Brasy4G276400.1.v1.1 annot-version=v1.1 MAHVSFKAKEADSSAPRWAEYLAADASSSSPSPATSVTWRTMGVDGAQASASGGGQKHLQMEPVVQLTKVAEGLLAKMYRLNSVLDYPDPNAHSFSEAFWKAGVMPNFPKVCITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWIMLLLDLLEFREQVLRLILDLSSTVITLLPHQNSLILHAFMDLICSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYNRLVQFVDSYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDVAMVVLKENLVLPLFRDEYILLHENYQHYVLPKVLESKRMAKSGRAKQKEADMEYNIAKQVEKMLTEVHEQALVACDSIHHERRILLKQEVGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVVWYFQHVGIASSKSTRGRTIDIDATDPTIGFILDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSINIRHLEKATMSTGKEGLVSEGNAAYSWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNNATRAKAVPGLLAPGNESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHSMIRTDNCLQRPSIIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGLFSNLQVSERPVETQGGGSAIEIICNWYIENIVRDASRSGVVYDSTHNCFRSSQPIGGGYLAESFTDKRELKALVRLFGGYGIDRMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRQLLVEAVGVVLEEKVPLIFSLLKGLATQLPDEAPEKNEIVRLRRVASSVGVGDKHDAEWVHYILAESGSANDNSWILLPYLCSAFMVSNMWSSAVYDVNTGGFSNNLHCLARSISAVVGGSEYTRMEREQRINSLSNGHANELQDSELLSRASAEANIKSAMQLHVKLSAGIVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEAHIPYTILRSIYHQLYGASQMASETMGPSPRQSPLISLAHASPSSRPNRADTTPRSHSFEPAGYHSSSGSQHDDGYEVDRRTGERQLRSMRRSGPLDYGGSRKVKFVEGSSSGSHGSGGSLQRFAVSRSGPLSYK* >Brasy4G330500.1.p pacid=40087499 transcript=Brasy4G330500.1 locus=Brasy4G330500 ID=Brasy4G330500.1.v1.1 annot-version=v1.1 MGPRRDVRFISSGVKFPCASPAPDPAPAHALLSAALPFAHIGRAIDAAARRVAASFPRVPVARAETAAAPLPRRHGKDGGGGEERVLISEVAVRGKDGEPLERPELEEAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLDDSFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGMVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTIGKTQPDTILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTVMVQNSRTPGTLIHGGDHPDHGAIIIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDSYNSQLTASGNPYDDTVLAKLEGVYTDSGDHSSTMFVFNVEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGHRN* >Brasy4G330500.2.p pacid=40087500 transcript=Brasy4G330500.2 locus=Brasy4G330500 ID=Brasy4G330500.2.v1.1 annot-version=v1.1 MGPRRDVRFISSGVKFPCASPAPDPAPAHALLSAALPFAHIGRAIDAAARRVAASFPRVPVARAETAAAPLPRRHGKDGGGGEERVLISEVAVRGKDGEPLERPELEEAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLDDSFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGMVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTIGKTQPDTILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTVMVQNSRTPGTLIHGGDHPDHGAIIIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDSYNSQLTASGNPYDDTVLAKLEGVYTDSGDHSSTMFVFNVEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGHRN* >Brasy4G113100.1.p pacid=40087501 transcript=Brasy4G113100.1 locus=Brasy4G113100 ID=Brasy4G113100.1.v1.1 annot-version=v1.1 MDDLGVLARGEGWTEERHAAFLDSVELSFVQEVLVGAGGGAVSDERRASRRISRRGAPAGGRGQLPLDRPLPDSAVESNRRGPSSNRPAARDAK* >Brasy4G437900.1.p pacid=40087502 transcript=Brasy4G437900.1 locus=Brasy4G437900 ID=Brasy4G437900.1.v1.1 annot-version=v1.1 MRGGRAGRDGGGRRRSCDSGDCAGARPRLGGGRRGAMAAGDGKGRRTWGEGTGACESGCVAGQRRAAGVGGGGGRREGRRRRRWRLDG* >Brasy4G149200.1.p pacid=40087503 transcript=Brasy4G149200.1 locus=Brasy4G149200 ID=Brasy4G149200.1.v1.1 annot-version=v1.1 MLEERPPVHLDHAPVNDAAYLDESTLLLAARERPGSGGRRDGHHGNGGLAVFSALTGEIRHRFRVTHDRQPRPFTPVALAFDDQQNSKIFASCKGRLNEYGVGAWDAATGQQTDFFYEPPGCALGDADKLQWLEGTSTLMAATMFPRTDSSFISVLDFRAKDVAWSWSDVGTPASLEDKHAVHAVAMDDGRSVCVVNQYDDLGFLDLRSNAGGVRWRSRSKLTSGAVRKTKKAMMHAEETCYPKLAAHGGQLFASTDDAVSVFSGPDHALTSTLRGSDGGGAICDFSIGGGRLFALRNEENVFDVWETPPPPII* >Brasy4G024200.1.p pacid=40087504 transcript=Brasy4G024200.1 locus=Brasy4G024200 ID=Brasy4G024200.1.v1.1 annot-version=v1.1 MCADVECSSDAQLAMFGQRFMSFFHACPLAFKSLARLTLENLGFQDTDVTNLLNTCSKLKILSLRSCELVQESVLEIDAPSSELNSLELKCFGCIQVELICLPKLEQLVCDTWYGENPPVSFGYVPQLHHVCFACPALSWQMPFALSECLSGPQQLTPMFSKLRDVHFCNIFTECDLNWTLFILEGAPSLQNFYLSRHSCEINNSEDSAEKTNLVREASNFKHLNLKLFVTIGFEEEYKVMNYIRLVMERAAGLKRIELPAKITCKECTAVSPRFPVDEVSKHRIREQLTHGLSSSSVDIIIG* >Brasy4G182500.1.p pacid=40087505 transcript=Brasy4G182500.1 locus=Brasy4G182500 ID=Brasy4G182500.1.v1.1 annot-version=v1.1 MAAAYLLCPRVAKPLRRGCRLAVSCSASSSSSSSDAAGGVGFQGRVGFLGLGIMGAPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAKFEASPAKVASSCDVTFAMLADPESAAEVACGTNGAAEGMAPGKGYVDVSTVDDVTSKLIGERITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVTPLLDVMGKSRFFLGDVGNGAAMKLVVNMVMGSMMVSFAEGLLLSEKVGLDPNTVIEAISQGAINSPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQPIPTAAAANELYKAAKSLGLADQDFSAVIEALKAKVHSSQQ* >Brasy4G435600.1.p pacid=40087506 transcript=Brasy4G435600.1 locus=Brasy4G435600 ID=Brasy4G435600.1.v1.1 annot-version=v1.1 MAAALTATLPSSPAAGLPASVPINGGSSSHFLACPPRLRNGGGRGLTLRVSTTDTAVSAEAAPVKAAKVSKKQDEGVVTNKYRPKEPYVGRCLLNTRLTGDNAPGETWHMVFSTDGEIPYREGQSIGVIADGEDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGELVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHEDYQFNGLAWLFLGVPTSDTLLYREEFEKMTEIGGDKFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWEMLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWIDYKKQLKKAEQWNVEVY* >Brasy4G240800.1.p pacid=40087507 transcript=Brasy4G240800.1 locus=Brasy4G240800 ID=Brasy4G240800.1.v1.1 annot-version=v1.1 MQVSEPALKKENPLGMDATASEEYASQSKLLQEFICVPSIDSAWVFKTSNGERSTAMFSVSQPDLLANNNRKHILYSHIMRHATNPLECYWSPFPIEMTGVSLVVPSNLGSKLLVVRNGEKGSPTKLQIVDQSNVEKEIHVDPSIHGPLFTDEWFHGVSWNQEETFLAYIAEEPPQPKPAFDDAGYRKEGSSEKDCNTWRGQGDWEEDWGETYCKRGRPSLFVLDVARGQVRAAKGIAKTLSVGQVVWAPPSSSGYQKNLVFVGWLEHNGFHNTARKLGIKYCSNRPCSLYAINHPFQEPIADNASVSGGISECSSIATNLTPSISSAFFPRFSKDGNLLVFLSAKCAVDSGAHNATDSLHKIKWPADWNMDEHLGVMDVVPAVMCPPDGCFPGLYCSSMLSDPWLSDQRTMILASAWKSTQVILSIDVLSGEVTRISPEGSDYSWSALAVNGNNVLSVSSSPIDPPQLSYGRQAATEDQICGWVWDEVTSPLMAASNKVKALLSRHKFGGQLPFEAIFVSCEDSWQKPTALVLHGGPHSTSVSGYSKSSAFLASLGFNLLIVNYRGTPGFGEKALQSLPGKVGSQDVQDCLTALDFVIKEGLIDASKVAVVGISHGGFLATHLIGQAPDRFAVAAARNPVCNLSLMIGTTDIPDWCYAVACGSEAKIDGSENPSLDHLRLFYQKSPIAHISKVKVPLLMLLGGADLRVPISNGLQYARALRERGGKIKIMLFPEDIHEINIPQSDFESFLNIGVWFKKHLK* >Brasy4G252200.1.p pacid=40087508 transcript=Brasy4G252200.1 locus=Brasy4G252200 ID=Brasy4G252200.1.v1.1 annot-version=v1.1 MASAVLLVVALAVLGLATGGDAQLQNGFYRGKCGSNDVEAIVQGVVSARFARDPKIVAFLLRLLFHECGVNGCDGGLLIDGFGTEKTAIPNLSVNGYDLIAEIKTELERRCPRLVSCSDIEILATRDAVALAGGAKYSVRTGRRDGRQSRASDVNLPAPNSTVAQATSFFGRLGLGPFDTVLLLGAHTVGVTHCGVIKGRLYSHGGKAGATDPSLDPGLASVFKKSVCPNTPSSDNNIVFLDDPPSALRVDNSFYKMLQRRRGVLPVDQNLYFDGSTRWIVDMLANTDNFRAFFPQALVKLGEVKVLTGAQGEIRKVCNRFN* >Brasy4G413100.1.p pacid=40087509 transcript=Brasy4G413100.1 locus=Brasy4G413100 ID=Brasy4G413100.1.v1.1 annot-version=v1.1 MVESRGGEKEKPRPMRRLDGDFAWNGARTAGFERRSVRGPVAKTNRGEAAARAGTRRAAAAAQVQRKEKVWVAVGKKGGDGNGGSDAEDQAALGRGYAGGDEAEGSLEADEQPELEDDTDEPDGDGRLPGEEMGDSLLRAVDHDQHPDDDGREETSENQPNGLPRMRVKMRRGWIECRHDIDSFAPGLLSLYESLKPSEEQKSKQTQLIDSLAKSVSKEWPNARLHLYGSCANSFGTSHSDVDVCLEIEIGTESAAEILLRLADILRGDNFDDVEAITSARVPIVRMLDPGSGFSCDICINNLLAVANTKLLKDYAQIDGRLLQLASIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQLREPKILPCLQAMDPTYIMVVDDTKCTYFDDIHQLHDFGAENKESIAELLWAFFHYWAFQHDYRKDVISIRMGKIISKKEKDWTTRIGNDRHLMCIEDPFEISHDLGRVVDRQTIRIIMEEFERAADVLQHDNDPCATLFKPYNYGT* >Brasy4G333000.1.p pacid=40087510 transcript=Brasy4G333000.1 locus=Brasy4G333000 ID=Brasy4G333000.1.v1.1 annot-version=v1.1 MPPVFRGGVGPRRCFARDPAKRMSMPAEASELDRLSALPDDLLHHVLGFLDTRLAVGQLGLLSRRWRHLWASMPRVILDDSVSERFGSALLLLREDAKLHAFSLRSSLASQFAYQRCWLRHAVSKGIRVLHVALGSACRFHLPDCVFNCATLEEIALSSTVPEDIAPRSVCLPRLKKLRLDNARLLDSSVVWSLNSGCPALEELDLDRCSLDRFWISSDTVKTLSVTACAYEEIHVSAPNVVSLRLTVAGRVKLDAMRPMASLSRAWVNISGDGANHLAPDEHAFLGALSSAQHLELFRFDSLLQDMMENPATEGPNFGKLKSLYLGEWLVADFYQPFAYFLNHAPNLASLTLDTWKVCEENAGKVPRLVAMPKEPNDILRLASASTGLEMLRLRISKGRDAAEFSKMRRLLKEKTKPKEMEVVWF* >Brasy4G217000.1.p pacid=40087511 transcript=Brasy4G217000.1 locus=Brasy4G217000 ID=Brasy4G217000.1.v1.1 annot-version=v1.1 MATVRDAGGGAVGEDKGRRKKVELLQEAIHGLLQEKRGKPQHQRHREDEDASSTMVLRDQEEDLLLSSLLSKLDGVEKDVDLDHDEPNSFHPKPESSNEVGLGDIAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLRVQEKLSNPFKYLGDMIKSSLKRDRKASIEAAPLPPVGVPDVTRADLPMLVIGNDDG* >Brasy4G036900.1.p pacid=40087512 transcript=Brasy4G036900.1 locus=Brasy4G036900 ID=Brasy4G036900.1.v1.1 annot-version=v1.1 MKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCALIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG* >Brasy4G424100.1.p pacid=40087513 transcript=Brasy4G424100.1 locus=Brasy4G424100 ID=Brasy4G424100.1.v1.1 annot-version=v1.1 MATTTTSRSRLVLLCCCLVAGLGLAGADDGLRLPRDASFPAAQAERLIRALNLLPNGAGPNGGGEGLDAPEAVAPGELLERRVTLPGVPDGVGDLGHHAGYYRLLTTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLVWNKFGWDKISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFVKNDFFITGESYAGHYIPAFASRVHQGNKANEGTHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYERINKYIPPCELAIKLCGTNGKASCMAAYMVCNTIFNSIMDIVGTKNYYDVRKECEGKLCYDFSNLDKFFGDKAVRQAIGVGDIEFVSCSTSVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGKADFASSSEASFIVDDTKAGVLKSHGALSFLKVHNAGHMVPMDQPKASLEMLKRFTQGKLKESIPEEEPSTFYASM* >Brasy4G251900.1.p pacid=40087514 transcript=Brasy4G251900.1 locus=Brasy4G251900 ID=Brasy4G251900.1.v1.1 annot-version=v1.1 MKFVMTEMVTELDMAETDITEKSSKLTGTCIVAGDEGFHNPESRGKKAIKIGGTATASASELSKKPVGNQSMTEARLRPANANSQELSSGSIEKNIEEPVANQSVTEARVQPSSDNSQELSSDSIEKNTEGLLEDEVDIQTNSVEHTLQQAGEQNQLHGNVQIIEMPSNNISSESDTDSSSGSDSDSELGKYFYPKIEELENARQPEPGMKFQTLEDAHGFYNTYALLTGFAVKRGTNYMRKKFHLVCNRSGKPKPTRLNRKRKRSSIEKTNCQAKVIVKLTRGQWEFTTVRNEHNHPLCPSASLTKFFLSHKDISTEEKSLLKVLQKSRIPPNKVMKIFRRMRDIPLKKKDVTSLQYAEHRRTENSDVEVTLKHLKELQLRNPCFLYTKQTDEDNIARSIFWSDARSKLDYEIFGDFLLIDTTYTTFRHNMPFTPIIGINNHGRTLLLGCALLHDEKSETFIWMFQKLLQMMGGKMPGSIITNQDEAMAKAIAEVMPQVRHRFCKSDVMGKAQEKISAFIAVRGNIMEELDSLVDNSLTETEFEEGWISLIKRYDASENEYLRIMWKTRKSWVPVYFRQDFFPFVESHGRGERMNLLFKDYVLTNDRIEKFIERYEEIQKEIIGTDDEDRLQTGTVPSCFSLHPIEKHAANIYTRQIFLKVQKEVLNSTAFNVHEVQRGAVYRLDKVFNYENPEFDRNYFEVIVEPGTNSFTCQCAKFTRDGILCCHIIRLFTQFGINEIPEQYILPRWTNKFKEEKAKQYKEKCLRKTENTTRYAKFMSKMADLGKRICGDGERYNAVMLEVDKIEKMSRAEGENPEFDELDSMCCGQGPRQQKHWHDCRAAEAMSDMCGNQDVQVQVGAHVQDEG* >Brasy4G101100.1.p pacid=40087515 transcript=Brasy4G101100.1 locus=Brasy4G101100 ID=Brasy4G101100.1.v1.1 annot-version=v1.1 MADDVAFAPPWMPSPSMLITPPAAPLQPGPPGYYQGSSAADATVPGSREDHGTGGSFGAFFAVLAAVLVLTALSCVFGRVCRAQAEGADELYDCARLARRWRWWRPAPRRVVRRDQPPKPPPVVGEALPPPAALPLPEP* >Brasy4G431300.1.p pacid=40087516 transcript=Brasy4G431300.1 locus=Brasy4G431300 ID=Brasy4G431300.1.v1.1 annot-version=v1.1 MGSSTTTPRRYVAPETSPSSARQEPAGLSFRPCFQGLLHAQTLRTLHSAAWGCGARHRPRLPANPGDASAHTKGIRHTTRRHPCCVLELRRWRPQSPDTQMASAERPDLSSGRFRGFGSQNLDGGSEEERRRHVTESQRLRQSEENL* >Brasy4G402700.1.p pacid=40087517 transcript=Brasy4G402700.1 locus=Brasy4G402700 ID=Brasy4G402700.1.v1.1 annot-version=v1.1 MDLARRVFDAMPARNEFSSGILARGYCRAGRSADALAVLDAMPEMNLVVCNTVVAGFCREGRVDEAERLVGRMRAQGLAPNVVTFNGRISALCKAGRVLEAYRIFNDMQEAWEQGLPRPDQVTFDVMLSGFCDAGMVDEATVLVDIMRCGGFLRKVESYNRWLSGLVKNGRVGEAQELLSEMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRRVEDFVRSGVMTPDVVTYTSLLHAYCLKGNTTAANRILDEMAHKGCAPNLFTYNVLLQSLLKAGRTTEVERLLERMSEKGYSLDTASCNIIIDGLCRNSKLDMAMDIVDGMWNEGSLALRRLGNSFVSLVSDSSISKNCLPDRITYSTLMNALCKEGRFDEAKKFFVEMIGKDISPDSVIYDTFIHGYCMHGKTSLAIKVLRDMEKRSCNPSIRSYNLLIWGFQEKHKSDEILKLMSEMKEKGISSNVMTYNSLIKSFCGRGMVNKAMPLLDEMLQNEIVPNVTSFGLLIKAFCKTADFSAAQRVFDVALSTCGQKEVLYCLMCTELSTYARWIEAKNILETALEMRISIQSFPYKQIIAGLCDVCEADRAHSLLKLFIAKGYSFDPATFMPVIDALSESGKKHDADMLSEKMMEIADRNDGHSAVSGVVTPRSRKHEQDKYAESDWHALLHRDDSARTIMKITNRVRTGWGQRGNIHEHKRQQDDDIYVLENIG* >Brasy4G400300.1.p pacid=40087518 transcript=Brasy4G400300.1 locus=Brasy4G400300 ID=Brasy4G400300.1.v1.1 annot-version=v1.1 MAGKKRAPTALAELEAAAASDSSQDEAPAKTKGMAGEVPAKKKKKLAMELRKQRKVLDKERHRQAAEKSDAAAKPQAQEQPAAAEAAAAAAPAAAAVVPVPAPPAPVVAGPGLHMNVFRDLASLEASLREAAAEALVAELREVQRAYEKAAREEEKQASDRDGPSQMEADKEDGLDNCAPAVRYAIRRLIRGISSSREYARQGFALGLAVVLESIHAISVEAVMKLIPNLLEYSASMKGPEAKDNLLGGLFAFGSLARSGRVSGQWTRDKCSPIIKDFISEVVQLGHKKRYLTEPAVALILDFTRKLPDEAVLSEAVKSPAVQDWFNRAAAVGDPDALFLALKFQERTNVQRDIFGKLLPYPFSPDKFFTEEHLLSVAACFKESAFCLPRIHSLWHVITDMLTRDEASQNESNISSSKKHKKNKKSSSSEDSKKNLRSFCEVIIESSLLLSSHDRKHLAFNIILDLLPRLSPSSIQIVLSSRVVLGLMDILSNASSWLYNAGQHFLKELVSSVRNDNDRCVAVIVNLQKYSGGRFDSLTKTKTVKELIAKFHNGQDCLCLVQNLMALFVDEGSVDDEPSDQSQTTDENSEVGSIEDKDLVGQGNADLLKSWVVNTIPFVLKNLKLTSKGSSLTDSEMAKCIEEKFQVQTEILKFFAVQGLFSASLGTEVTSFELQEKFKWPKAAISTSLRNECIGQLQLLLEDAQKDEALHVVNEVKSNDLGFYFMRFINTVCNIPSVSLFRTLSSNDDDAFKKTLATESALFHEERKIGPGLDSTKMHVIRYLLIQLLLQVLLHPDEYWEAAIDVIICCNKTFPSIAQGDNSTGLESLEIGSKESDEHGSEESDEDVPLEFMDVLVQTFLSVLPHASGPVCFTIEQVFRVFCDEVTETGLLDMLRVVRIDLKGSRPQTGSDDDEDDALVGIEDDDAVMEDVDAGDVDDATDEIDEEMEDEESEDDSADEVVQDDLKETAHHEAKDGDAAESSKDGEDSDDSDGMDDDAMFRIDPYIARIFKERNNLPGSGTQQSQLMRFKLRVLTLLEIYLQRNPGKNLVLEVYTFLMQAFVNSHSADGSEQFKQRIGGILQKRIFKAKECPKGSDLELVSLERLLEKALKLASRSRYKAVASAAQNATFWILKIINSKSCSKQELATVFDKFQFMLNDYFNNKKSRLKIGFVKEIVRRNPWVGRELFGFALQKAGSTKAEYRRVQTLELVDCILKSWVSEDVASASKVLKKHLPMLCELIQEILTKMPENKSRRQEVRRFCTRALQTVVKLNLRERFQKKLSSEAYSLCQAQLGAAFAPFQQQ* >Brasy4G270900.1.p pacid=40087519 transcript=Brasy4G270900.1 locus=Brasy4G270900 ID=Brasy4G270900.1.v1.1 annot-version=v1.1 MMMARMVTSALLISFLLGVAQCRVLGAEPSSTEDTSSVVGLVAQHDELGLPKPGVIQAAGATEALLRANRRFSAGESFLRLSSSRRAPPPPLAQEYQLRFRASPPPYSHSHQGLLSSRASPPPMERYHHEQDMARAGGAVIQVHPGLRRFRAPPPPFSYSQQHHVEEAEKEEEKKGGLMKWFMDLMDQF* >Brasy4G023700.1.p pacid=40087520 transcript=Brasy4G023700.1 locus=Brasy4G023700 ID=Brasy4G023700.1.v1.1 annot-version=v1.1 MWWEWWDGEEAAAGPREEVPVDFDFISLLCKPKDYYKILEVDYDASEETIRSSYIRLALKWHPDKKKGEENSTSIFQDINEAYQVLSNPVKRQKYDKKGILYVQDQNAADYLNRHKGLILTCNGLGVRYSVW* >Brasy4G068100.1.p pacid=40087521 transcript=Brasy4G068100.1 locus=Brasy4G068100 ID=Brasy4G068100.1.v1.1 annot-version=v1.1 MATRAAPVLLLALVLLSCCHCRASRDFPAAGDLKKEKERPMSEIAPKCDVFNEICSVKSCTNYCLSLGLSGERRAGLLQRLYLAGLLLLPVCGPSTSTPHRPTTLK* >Brasy4G435500.1.p pacid=40087522 transcript=Brasy4G435500.1 locus=Brasy4G435500 ID=Brasy4G435500.1.v1.1 annot-version=v1.1 MDPAEIHPRRLLGFYLQPPGGSASRFFPMLPQPEGGVGVADDAAFSLEDAADDHLIHHTFDCRNGRVLVALYNSQSDMTLRVHSPLLRDAEGGPTPPTVLPAFPVQRFLYMGGFAQIFSRQEAGGGVSYFYVVVESTTIDDGSTRSTVHAYMLRDGDAVWQQHMTLADMARFPHPRSDPKAVLAGDKIYIPAATSDVVVLDLTAPGFAVVPLPEGVMYGTGDTAFSMAAADASGVYLFHIKDFHLRRWLHGGDDTSSNWLLVDTICLQELCANLRRSGCEVDDERTAILRINHAGDYAEFVFLEIGRCAFHLDIQARTLSKVYQMPEEGDPSLGLGVIHPLLGIWPPVFPAYAP* >Brasy4G437500.1.p pacid=40087523 transcript=Brasy4G437500.1 locus=Brasy4G437500 ID=Brasy4G437500.1.v1.1 annot-version=v1.1 MAMAAQCRQAEEKLRCTKEPFVDDAGAQTIKSMRFCTLSGKEIRQSAAAQVWNDRIYDDSFKPVPNGLLDPRMGAADKFAEECATCHGSYAECPGHFGYIKLALPVFNVGYFNKILNVLKCICKGCSRVLLTEQIHQEFLKKMRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGCRAPNGFIDRTLPHFPINSKTPTAKGFVANSFYTGLTASEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVFLDTCFSRYHSKTIEAGASIGPIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAVKIISTPIITTELLSGQDMSFAVKVKSSIEKVVLGEVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G437500.2.p pacid=40087524 transcript=Brasy4G437500.2 locus=Brasy4G437500 ID=Brasy4G437500.2.v1.1 annot-version=v1.1 MAMAAQCRQAEEKLRCTKEPFVDDAGAQTIKSMRFCTLSGKEIRQSAAAQVWNDRIYDDSFKPVPNGLLDPRMGAADKFAEECATCHGSYAECPGHFGYIKLALPVFNVGYFNKILNVLKCICKGCSRVLLTEQIHQEFLKKMRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVFLDTCFSRYHSKTIEAGASIGPIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAVKIISTPIITTELLSGQDMSFAVKVKSSIEKVVLGEVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G437500.3.p pacid=40087525 transcript=Brasy4G437500.3 locus=Brasy4G437500 ID=Brasy4G437500.3.v1.1 annot-version=v1.1 MAMAAQCRQAEEKLRCTKEPFVDDAGAQTIKSMRFCTLSGKEIRQSAAAQVWNDRIYDDSFKPVPNGLLDPRMGAADKFAEECATCHGSYAECPGHFGYIKLALPVFNVGYFNKILNVLKCICKGCSRVLLTEQIHQEFLKKMRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGCRAPNGFIDRTLPHFPINSKTPTAKGFVANSFYTGLTASEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G437500.4.p pacid=40087526 transcript=Brasy4G437500.4 locus=Brasy4G437500 ID=Brasy4G437500.4.v1.1 annot-version=v1.1 MCSSVSASRVLLTEQIHQEFLKKMRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGCRAPNGFIDRTLPHFPINSKTPTAKGFVANSFYTGLTASEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVFLDTCFSRYHSKTIEAGASIGPIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAVKIISTPIITTELLSGQDMSFAVKVKSSIEKVVLGEVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G437500.5.p pacid=40087527 transcript=Brasy4G437500.5 locus=Brasy4G437500 ID=Brasy4G437500.5.v1.1 annot-version=v1.1 MRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGCRAPNGFIDRTLPHFPINSKTPTAKGFVANSFYTGLTASEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVFLDTCFSRYHSKTIEAGASIGPIGAHSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAVKIISTPIITTELLSGQDMSFAVKVKSSIEKVVLGEVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G437500.6.p pacid=40087528 transcript=Brasy4G437500.6 locus=Brasy4G437500 ID=Brasy4G437500.6.v1.1 annot-version=v1.1 MRNPRADRSSIMTKVRDKCRPSRCSWCGYINGVVKKGGAPKEGLVIVHDCSKTLDASTEELRSALSHKKEKLSITSVHTLDPVTVLSLFRRITDEDCELLAIGERPEKLIITDIAVPPVPIRPSAFVGGTRMSEEDSITCILRNIVNANSNLKLTIQDGEVPNKCFTWWQQLQTNVIEYINSDAPSLQDSKERGLVQRLKGKTGRFRGNLSGKRTEHTGRTVISPDPNLRITEVAVPVLMARVLTYPERVTYYNIEKLRQRIQNGPHKHPGANFIIQPDGTKLHLNYCDRRSAARDLKYGCIVERHLEDEDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARAEALLLMGVQSNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSCFTLLCSYLGDAMENIDLPTPAIIKPVELWTGKQLFSVLVRPNACTKVFLNLTVEEKVYIKCKERDKKAITVLEETMCPNDGFVYFRNSELLSGQLGKKTLGNGNKEGLFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGQSLNQKKKVIIDEGYEECHKLIALYSKGHLKPQPGCSRAQTLESQISCVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGCRAPNGFIDRTLPHFPINSKTPTAKGFVANSFYTGLTASEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGSPLNLDQLFMKVKATCPQRGHDTLSPDVILQMFNDTLFKQETSSGGCSNKLKEMLTKFLEERVKMLRSTRRALHIDEDHVGRRDSSVEECIAADISGISAKQLQVAAAINIVFKEIEPNLVVKLDMERIEAQGYMGISSDSVRLSILNHRKIRLELKLESEHVCVVDQAKLRIRAAGKDKSGLLFELHNLKSMLPKLIVKGIPTVERAVVSPVLRHDKTLDRYNLLVEGTNLLAVLGTPGVDAKKTKGNHIMEVSQTLGIEAARRSIIDEIRYTFENNNIMIDLRHMMLLADVMTSKGEVLPITAHGIAKMKSSVLMLASFERTMDHLFNASYAGRVDEIEGVSECVIMGIPMKLGTGILKVKQRLPDLPEFKYQPDPIVLS* >Brasy4G150300.1.p pacid=40087529 transcript=Brasy4G150300.1 locus=Brasy4G150300 ID=Brasy4G150300.1.v1.1 annot-version=v1.1 MAVLSVADLTPVSAIGFEGYEKRMEITFSEAPVFSDPNGRGLRALSRAQIDSVLDLAKCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLSAVKYSRGTFIFPEAQPAPHKNFSDEVAFLNGYFGNLKSGGNAYVIGDPAKPGQNWHVYYATQQPEHPVVNLEMCMTGLDKKKASVFFKTSADGHTSCAKEMTKLSGICDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGLDPASISYGDLVKRVLSCFGPSEFSVAVTVFGGRGLAETWAEKLVMGSYDSTNMVEQELPAGGLLIYQNFTAIGEVSTGSPRSVLSCFADEHVVSGPKEGKMDTFLCWEEDHVDDTDVRDGKKMRSS* >Brasy4G150300.2.p pacid=40087530 transcript=Brasy4G150300.2 locus=Brasy4G150300 ID=Brasy4G150300.2.v1.1 annot-version=v1.1 MAVLSVADLTPVSAIGFEGYEKRMEITFSEAPVFSDPNGRGLRALSRAQIDSVLDLAKCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLSAVKYSRGTFIFPEAQPAPHKNFSDEVAFLNGYFGNLKSGGNAYVIGDPAKPGQNWHVYYATQQPEHPVVNLEMCMTGLDKKKASVFFKTSADGHTSCAKEMTKLSGICDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGLDPASISYGDLVKRVLSCFGPSEFSVAVTVFGGRGLAETWAEKLVMGSYDSTNMVEQELPAGGLLIYQNFTAIGEVSTGSPRSVLSCFADEHVVSGPKEGKMDTFLCWEEDHVDDTDVRDGKKMRSS* >Brasy4G432300.1.p pacid=40087531 transcript=Brasy4G432300.1 locus=Brasy4G432300 ID=Brasy4G432300.1.v1.1 annot-version=v1.1 MRAEVIKADTIDVAVEGILSELNTSRQNIIYFDGWDGLGASAVMRAVAQRLKLKEPARPPGLEFEHVIHVDCSKWESTRAVQREIAKQLKLPNRIMEMFDKQDEEDDFNGVTDQGSRTEMEDVAVEIQRRTQGRRFLLVLHNGSNEEIDLSSLGLSVFRYMTNKVVWTFQGRFRINSKMRDKVMKKNTTDVLLSASRSKRDLQELWSYLLREEATQVACKHGIDPSIAVECFQYIFTLNYIHGHHADTVYDLAKHTCNYWVCDGIIQEASDIDEAWQVGDALQGEMRLDKDNHLKELPFDLVRHAAESIPHWSSPANGFLVVPAGVIPKGMFQHFDKLCVLKLSRCAFSFSSPPFLCCHILRFLWLDHCQDLRSSDAEKKEEDENTTGLWACFQSLWVLDVRYTDWDQILSAQIMDLMTHVRELNVMGAKNWDMSHLRGRLRNIRKLRVTKSTCCFNNDVFLEMESLELLDFSGSTIRQGMTILSGAASNRSLETVIIDGCDGLNVISLSGCKEMKNLLLKGIFHRLEELDLSGTKVKTLNLREVESSTLPKRIILLGCEELHAILWPQKVEEEGWKGLLHVDTTSMSASADGGEAPHAHAYGDRSLQLQKEEIFKGGWQIFLADTRLLRSLSPVASYLRNAPIHIDVSSAAAVGGSNVQGTSSDKLMQVLPHTSAIMDSKYRDVLKHVPVAAMMMRDCPEIFIGNWTTLVTCIIKVIMHGGLGNKLLEDASRACTSALLLPEIICDQATSLHMYNNSSITSIPVPPNGSGWNWLIWCRVERCPKFHTVFTIPQGSSVNSFSRLETFWASQLLMTCYIWDWTSFLTSHSFSCLKFLHLDYCPRLIHVLPIHASSLSVLERLEIVYCGDLREVFPLSPELQEQDAIIEFPKLRRIHLHEVPTLQHICGRKMSAPKLETIKIRGCWSLRRLPAIACDSKPPKVDCEKDWWDNLEWDGVEKCHHRSLYEPTHSKYYKAKLPRGSLLR* >Brasy4G430900.1.p pacid=40087532 transcript=Brasy4G430900.1 locus=Brasy4G430900 ID=Brasy4G430900.1.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPEHSMRSFSNDSFTRGASSSTIRGSSPYGNVLDEQISCLIGAQVRSTVQTIEGGVMNLLRSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGCGFVPDIPSLQRWLEIAWDKDFDTVGSSHFHNKVYGAKKWIGTTECVTLLRSFGLRSRIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFTSKRSGVLHNSKNVTISDKTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASLEEMEQLKTVDSILIRF* >Brasy4G177500.1.p pacid=40087533 transcript=Brasy4G177500.1 locus=Brasy4G177500 ID=Brasy4G177500.1.v1.1 annot-version=v1.1 MAVSRRTAWIGGGLLARLLMIAVLLMAVRFVLANHTDMDYPDGYNKLQSYAYVVASAIVGVAGSVLQVPVALYLLCKSKRAMPSAMILDVSMHADMVISVVLASGVGAGFGATNDVLRYVRVIEWKGGSSERQDLTDYYNRAIVALIFLLVGMLLSFCATVVSARLRAKAVNESEV* >Brasy4G279900.1.p pacid=40087534 transcript=Brasy4G279900.1 locus=Brasy4G279900 ID=Brasy4G279900.1.v1.1 annot-version=v1.1 MITREEEEIMRDVKEKQVLVEILEDEDDGKKRSMDGIRPLDLNKGVDVESEEGEVGDEDDDGDDGGSTTDVAGSGSSSNDVSGSQKGAGSGEQRVPSVRQYNRSKLPRLRWTPDLHMAFIHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHDGRQIRGSAISSVFSPMDFHSMRGDRRFHDMYLQRSAGSFSSRPAEQYGSFFTASRSGETSSRLYGILQGRSPPMQTFGFKNCGFRNQEWSFNQHDMIGRRDGKASSSSSATPHLFASSPLRRWPLAPGAGAAGEHRPERSFGYFTTGNNGSLAPLSRVVQAPAMSSALPGEGNRRLPFRWHGGDGSNGANKNRSSDPVVIDEALESRLERQKHQLEPRAPTATPADDARHNKRPPPPETETIDGTMPDLKLSLSPTTVDTDTDRTSKRKKITAPSEQDMDDYCSNKISISLSLSPPTAPMSMSMQRQEKTIRGGSEEAALGQSTLDLTMSIKALE* >Brasy4G279900.2.p pacid=40087535 transcript=Brasy4G279900.2 locus=Brasy4G279900 ID=Brasy4G279900.2.v1.1 annot-version=v1.1 MKMMGRRDRWTGSGRWTSTRASMWRARKEKLATRMMMVMMEVAPLMLPGAGALATMFRGAKRVLGVASRGCHRCGSITGQSCLGSGGHPTSTWPSSTPSRGLVDKRMYRSKKLDHDGRQIRGSAISSVFSPMDFHSMRGDRRFHDMYLQRSAGSFSSRPAEQYGSFFTASRSGETSSRLYGILQGRSPPMQTFGFKNCGFRNQEWSFNQHDMIGRRDGKASSSSSATPHLFASSPLRRWPLAPGAGAAGEHRPERSFGYFTTGNNGSLAPLSRVVQAPAMSSALPGEGNRRLPFRWHGGDGSNGANKNRSSDPVVIDEALESRLERQKHQLEPRAPTATPADDARHNKRPPPPETETIDGTMPDLKLSLSPTTVDTDTDRTSKRKKITAPSEQDMDDYCSNKISISLSLSPPTAPMSMSMQRQEKTIRGGSEEAALGQSTLDLTMSIKALE* >Brasy4G072400.1.p pacid=40087536 transcript=Brasy4G072400.1 locus=Brasy4G072400 ID=Brasy4G072400.1.v1.1 annot-version=v1.1 MNVQRIASQIKKKKRRKMASKAVFVAVVLIALVASASAGKLAHSQHLGWMNGMKGGPPNGMQPSARKAAATSAGKKASSSHPCRGLGGRPFLRRRPDEISGVVLASWHSL* >Brasy4G305200.1.p pacid=40087537 transcript=Brasy4G305200.1 locus=Brasy4G305200 ID=Brasy4G305200.1.v1.1 annot-version=v1.1 MESGLVASHRLRLPALPSAAHAHLLRHRRLAAAAPVHLPTTPTPLRQPAALPLRPCLRPPRAAASVDSPAPVPGDDAAGGNPPKFLGVDARTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGVFGYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLKGMMSIVVALGFVIAGIYWGVNKFVIDKSSLPAVERKKKNKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLVTGVGFFSLLLFGEPLTPLLTKFGMTPLLAAVFIGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQDLEREQMLKANAVETTAQVVGTGNGSLQENVASQSYTNGAVIKQSQEPESAEPEKSGQQSQ* >Brasy4G390800.1.p pacid=40087538 transcript=Brasy4G390800.1 locus=Brasy4G390800 ID=Brasy4G390800.1.v1.1 annot-version=v1.1 MSTQSVIAVKQFTTPGSMAHTCSATQPPVNRMFSVISDDWASTSDAQSSCNMQFSTIKTELIRSSSMTKILPFELQKCSTADFNPGRSLSHVSQADLSDPILSSSSTLCTSLYSSSSTNSKCRETSALPFLPHPPKLEQQHSSAGHSSRSLLFAADPSNSGPGHAQHSDDLKDFLNLSGDASGGGFHGGISNFMDFNEQMEFQFLSEQLGIAITDNEEIPRLDDIYDRPQQPLALPVSPSSEQEDRRSAGSPVKVQLSSSSSSSGAAGCNKTRMRWTVELHERFVEALKKLGGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRHAKYIPEKREEKKPSSEDKKAQSAADGIDPAKKKSLQMAEALRMQIEVQKQLHEQLEVQRELQLRIEENARYLQQILAQQKASMRSSTEGESSGSKLKKEAGTRADTSSAPSSKRKFPDIDIEDNPETDNKRAELQFDLESEPL* >Brasy4G441100.1.p pacid=40087539 transcript=Brasy4G441100.1 locus=Brasy4G441100 ID=Brasy4G441100.1.v1.1 annot-version=v1.1 MTLMISLITHRGRPGGPLPPPPCLYVKKDQTRPAALVRTSSLAAPALALDLSASRKRKAASLFMSLITDDPGESPRDCATKHHHRRGRRRRVVIAVSSATASLVSLSIILWLTLRPSSPTFSLLAATVVSSNATTTHIVDAAFVAHNPNARAAALYDRLQLHVLELAAAPSPIPPFQQSQGDVVISAQAAAAAPAMEGTTAPLLLRLRVEGQLRWKVAAWVSASHVITADCVAVVPLRAVVAQGSHCATTLS* >Brasy4G399300.1.p pacid=40087540 transcript=Brasy4G399300.1 locus=Brasy4G399300 ID=Brasy4G399300.1.v1.1 annot-version=v1.1 MELRRRPPPSGARSASPCPRATAPCFDRLLLPPPPTRSASPALGPCRGGIDAGNRGREVRAHREADGGLGEREEEPEEAGVGVAGVERDGVDAGRLERRKLRGEGTSGFAASVRSFRCRWRGLGKNSYMRCRE* >Brasy4G348900.1.p pacid=40087541 transcript=Brasy4G348900.1 locus=Brasy4G348900 ID=Brasy4G348900.1.v1.1 annot-version=v1.1 MLAPRMSSVLVSLLLAPLLLWVLMVLLFRFLGMVIQEGTSSCGWTIGLLTRLRKLILTIHWHCNFMVGVSTQKCKLQRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITGCKIILPRENESVLLGAAILGAVAAKKFSGVRDAMKALNAAGKVVHPSSDPRVKKYHDAKYQIFRSLYDQQLSHRSTMAQVLQ* >Brasy4G348900.5.p pacid=40087542 transcript=Brasy4G348900.5 locus=Brasy4G348900 ID=Brasy4G348900.5.v1.1 annot-version=v1.1 MLAPRMSSVLVSLLLAPLLLWVLMVLLFRFLGMVIQEGTSSCGWTIGLLTRLRKLILTIHWHCNFMVGVSTQKCKLQRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITGCKIILPRENESVLLGAAILGAVAAKKFSGVRDAMKALNAAGKDHAKMRKLHKQNKKPAANRKGKKKEKRSKRKPN* >Brasy4G348900.4.p pacid=40087543 transcript=Brasy4G348900.4 locus=Brasy4G348900 ID=Brasy4G348900.4.v1.1 annot-version=v1.1 MDHRAADQAEKINSHHSLALQFYGGGVYPEMQAPKLLWVKENLQESWSMVSRWMDLSNWLTYRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITGCKIILPRENESVLLGAAILGAVAAKKFSGVRDAMKALNAAGKVVHPSSDPRVKKYHDAKYQIFRSLYDQQLSHRSTMAQVLQ* >Brasy4G348900.2.p pacid=40087544 transcript=Brasy4G348900.2 locus=Brasy4G348900 ID=Brasy4G348900.2.v1.1 annot-version=v1.1 MDHRAADQAEKINSHHSLALQFYGGGVYPEMQAPKLLWVKENLQESWSMVSRWMDLSNWLTYRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITGCKIILPRENESVLLGAAILGAVAAKKFSGVRDAMKALNAAGKVVHPSSDPRVKKYHDAKYQIFRSLYDQQLSHRSTMAQVLQ* >Brasy4G348900.6.p pacid=40087545 transcript=Brasy4G348900.6 locus=Brasy4G348900 ID=Brasy4G348900.6.v1.1 annot-version=v1.1 MDHRAADQAEKINSHHSLALQFYGGGVYPEMQAPKLLWVKENLQESWSMVSRWMDLSNWLTYRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITGCKIILPRENESVLLGAAILGAVAAKKFSGVRDAMKALNAAGKDHAKMRKLHKQNKKPAANRKGKKKEKRSKRKPN* >Brasy4G348900.7.p pacid=40087546 transcript=Brasy4G348900.7 locus=Brasy4G348900 ID=Brasy4G348900.7.v1.1 annot-version=v1.1 MLAPRMSSVLVSLLLAPLLLWVLMVLLFRFLGMVIQEGTSSCGWTIGLLTRLRKLILTIHWHCNFMVGVSTQKCKLQRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITERTSLCF* >Brasy4G348900.8.p pacid=40087547 transcript=Brasy4G348900.8 locus=Brasy4G348900 ID=Brasy4G348900.8.v1.1 annot-version=v1.1 MDHRAADQAEKINSHHSLALQFYGGGVYPEMQAPKLLWVKENLQESWSMVSRWMDLSNWLTYRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITERTSLCF* >Brasy4G348900.3.p pacid=40087548 transcript=Brasy4G348900.3 locus=Brasy4G348900 ID=Brasy4G348900.3.v1.1 annot-version=v1.1 MDHRAADQAEKINSHHSLALQFYGGGVYPEMQAPKLLWVKENLQESWSMVSRWMDLSNWLTYRATGDDTRSLSHMEQWRESVSRDMDGADVDFWVEIGLEDLYNGSGRKIGHNVAFPGHPLGSGLTPASAKELGLLPGTPVGISLIDAYAGGIGVMESVLDEDFKSDISDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSVMIPEFWLTECGQSATGALLDYIVQNHAAAPLLANQAASQSVSIYELMNKILLSVSHEQNMPFLSALSEDTHVLPDFHGNRSPVADPKSKGMICGLTLDTSEKHLALLYLATVQGIAYGTRHIVDHCNAHGHKIDTLLACGGVAKNSLYIQEHADITERTSLCF* >Brasy4G217900.1.p pacid=40087549 transcript=Brasy4G217900.1 locus=Brasy4G217900 ID=Brasy4G217900.1.v1.1 annot-version=v1.1 MTMACRALALQLQHFLPCSTPSYHLRAAAASLPRVSTRRRLVSSVLCCGAGDQEEPPQDSVLKATPPGACINMEWRGTIPQQISEQCIVIHEVASSHGRVALTTNFITRRTVTDDAINEWLVLDKKVNTYPTVREFTAIGIGGDDFVHSMVIAVESELQESIPKGQISQKVSSKGKYTSVKIGPLSVVSSEQVQAVYIAMKKDDRIKFFL* >Brasy4G217900.2.p pacid=40087550 transcript=Brasy4G217900.2 locus=Brasy4G217900 ID=Brasy4G217900.2.v1.1 annot-version=v1.1 MTMACRALALQLQHFLPCSTPSYHLRAAAASLPRVSTRRRLVSSVLCCGAGDQEEPPQDSVLKATPQVASSHGRVALTTNFITRRTVTDDAINEWLVLDKKVNTYPTVREFTAIGIGGDDFVHSMVIAVESELQESIPKGQISQKVSSKGKYTSVKIGPLSVVSSEQVQAVYIAMKKDDRIKFFL* >Brasy4G112200.1.p pacid=40087551 transcript=Brasy4G112200.1 locus=Brasy4G112200 ID=Brasy4G112200.1.v1.1 annot-version=v1.1 MGLLGKRAVEDVKPYVMMVFLQFGYAGMYIVSVASMKRGMSHFVLVTYRNLVATILMTPFALYFERGARPKMTVPIFIKVCGLAFLEPVLDQNLYYLGTKLTSASFSSALVNILPAVTFLMAIILRMEKLRLRSRHSQAKVMGTVCTVTGAVLMIMYHGPVVRFPWSPRGLHHGGDASAAAQSSGTWLKGTITVIGSCVAWAGFFVLQSNTLRSYPAQLSLTSLICVVGTTMSGSVALAAERRDMSAWKIGFDTRLFTVIYSGVVCSGVAYYVQGLVTKTRGPVFVTAFQPLCMIITAVLGSTLLKEETTRGSVIGAAIIVVGLYSLIWGKSNDVDLDNEKPAAQKLALPLTTTVANGNGANHGAGHGRHVCGGQVADDAETPAPALKGVCY* >Brasy4G363200.1.p pacid=40087552 transcript=Brasy4G363200.1 locus=Brasy4G363200 ID=Brasy4G363200.1.v1.1 annot-version=v1.1 MARYLLPSTTTIASSLPKYAKASPAPILALRSLASRSTQARPIMAVASEQPAAPSKFPKVAAPTTGPIPADELLGVIEAAAKAGAEVIMEAVNKPRNIQYKGVADLVTDTDKLSESVILEVVRKNFKDHLILGEEGGLIGDSLSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAAATVVEFCGGPMCWSTRTISASSGKGAYCNGQKIHVSPTDKVEQSLLVTGFGYEHDDAWLTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVSRMDGGEFTVFDRSVLVSNGVVHEQLLDRIRPATEDLKKKGIDFSLWFKPDKYPTDF* >Brasy4G323300.1.p pacid=40087553 transcript=Brasy4G323300.1 locus=Brasy4G323300 ID=Brasy4G323300.1.v1.1 annot-version=v1.1 MKYIAAYLLAYLSGNSSPSAEDLTTILESVGCEIDNEKMEFMLSQVKGKDIVELLAAGREKFASVPSGGGGVAVAAAAPASGGAGAAPAAEKKEEKVEEKEESDDDMGFSLFD* >Brasy4G322100.1.p pacid=40087554 transcript=Brasy4G322100.1 locus=Brasy4G322100 ID=Brasy4G322100.1.v1.1 annot-version=v1.1 GDGTTTTFWHSNWIGGRPLRLAFPLLYARSRRKFHSVADATLSLQWIRDLRHDRSEAFLSEFILAWRELVMVTLQPGAPDSIRWILTADGSYSARSTYQLQFAGRISSPMPQATSTHLFVECPFSGQLWCAVALWPNCRSIAVAIRDAVSVLSFRDLLMAATRAELRKGMSSLFILVCHSIWRERNSRVFHDKALAQPQLASFIKDEAQEWAFAGAKALRKLIWEPP* >Brasy4G325800.1.p pacid=40087555 transcript=Brasy4G325800.1 locus=Brasy4G325800 ID=Brasy4G325800.1.v1.1 annot-version=v1.1 MPRLGDAASRPAEDHVIISATPEMQQEAALLSTNAAVAWLNGAREDVSTAAVVEAFATTFGARPADISVVRHYPEQFFVRFIHQHHCTDAIARRDFPFRDFHVQVRPWRLEANADNVDMLHHVQLCLEGIPLHAWNDHVVSKVIGKACSLDYIENRSRNKTDTRTLGLWAWTENPSAIPKVKWITLPARGQRARGRRGLRHRVLIHLAIVEDYSGSGDGPPPPPHEFQWEYGVIDGQGRRRDYREPPRRGDDRRDRHDDHRRDRRDDGNGGRRGRDDTRGQGGWRERLQRSLSRAPRDRSRDQVDGRRHQAAPPTLLLGLGSMGPASASSRGRSPLRSRSPGTSRRRSAAARTPPVSPVAPSSPTSVLPMTSPEPKGRSETSMMLPRAGNLFQVQLSGAADCSEGLQRVIRILTPPRLIPLLPSSGGTPKYPPGFDSTPAATTESTPSAATPPLVPTEPTTPTNRVSLFVPAPAALLESPAAGSSRPLPPANRRKTFAGGFTVRRSSIRIKSKHRETPIAKMAEKNLCRRLGIIGKEEQVTDQAIESFVKLFQQQLPSTAIAAMRALFRLDCVHAEAVEEALIRHGGQGAMDQEVHLEASSA* >Brasy4G420500.1.p pacid=40087556 transcript=Brasy4G420500.1 locus=Brasy4G420500 ID=Brasy4G420500.1.v1.1 annot-version=v1.1 MAGDLRHRGGGEGGADAPAAKAKAKAGGGEVKEAEVEQGRKEALGWLEWSRGWMGVVGEFFFQRIAASHLANPLELPPLDGVSVVVTGATSGIGLEIARQLAQAGAHVVMAVRRPKVAHDLIQKWQNEKPECSMPLNVEVMELDLISLDSVAQFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAMLLLPSLLRGSPSRIVNVNSVMHTIGFVDAEDMNITSGKHKYRSWLGYSNSKLAQVKFSSMFHKRMPAEAGVNIVCASPGIVHTNVARDLPKIIVAAYHFIPYFIFDAQEGSRSTLFAASDPQVPEYCETLKSEDWPVCACINYDCNPMNASEEAHNLETSHLVWEKTLEMIGLPSDALEKLIAGEPVQCRYGQQKAE* >Brasy4G422600.1.p pacid=40087557 transcript=Brasy4G422600.1 locus=Brasy4G422600 ID=Brasy4G422600.1.v1.1 annot-version=v1.1 MTSRPSSSSSSSSTSAHQETSRPPRRQPDEADGGGIAATAAEEANDADELSPRGCEWEFRLAATVPSPAMAGASDAIGSVDFDPSGALLATAGIARKVRIYDAAALLDQQSSSPAACICVPAKLSSVRWRPASSSSPSVGCGDYDGVVTEYDLDRGGAATWERDEHSGRRVWALDYSPPSSSPTMAASGSDDRTAHVWDPRAPSAGWATAKASGPVLCVEFDPSGAPRLAVGSADRRAALYDVRNMERGPVATAEGHARAVTYVRWAPGDRLVTSAADGTHRLWDWAAAAGMVGPGREVRAYSGHTSGRSFVGMGLWRGPGLVACGSESNHVFVYDLRWPKPVWVHPFVVPGAGGGGFVSAVAWRQQAEDGGGGEGDAAGALVAGGSDGVLKLFTCRRRRRRRGDGEEDDDQVDVDGEHQ* >Brasy4G326200.1.p pacid=40087558 transcript=Brasy4G326200.1 locus=Brasy4G326200 ID=Brasy4G326200.1.v1.1 annot-version=v1.1 MGAANEDAVKELGLLMEQVEAPLRRSFQNVHQGYPKETLRRFLKAREWNVSKAHKMLVDSLNWRIENEIDSVLERPIVPVDLYRSIRDTQLVGLSGYTKEGLPVFGIGVGQSTYDKASVHYYVQSHIQINEYRDRIILPMLAKKFGRPITTCVKVLDMTGLKLSQLSQMKILSSISTVDDLNYPEKSETYYIVNVPYIFSACWKVVKPLLQERTKKKVKVLTGSGRDELLKIMDYSSLPHFCRREGSGSSKHSSRDVDDCFSPDHPFHKELYGHTKEQSSHKELLKMGSLHVNIPEPDPDDAKIVEVIEAEFHKMGEQNGSTNGHTV* >Brasy4G326200.2.p pacid=40087559 transcript=Brasy4G326200.2 locus=Brasy4G326200 ID=Brasy4G326200.2.v1.1 annot-version=v1.1 MGAANEDAVKELGLLMEQVEAPLRRSFQNVHQGYPKETLRRFLKAREWNVSKAHKMLVDSLNWRIENEIDSVLERPIVPVDLYRSIRDTQLVGLSGYTKEGLPVFGIGVGQSTYDKASVHYYVQSHIQINEYRDRIILILSSISTVDDLNYPEKSETYYIVNVPYIFSACWKVVKPLLQERTKKKVKVLTGSGRDELLKIMDYSSLPHFCRREGSGSSKHSSRDVDDCFSPDHPFHKELYGHTKEQSSHKELLKMGSLHVNIPEPDPDDAKIVEVIEAEFHKMGEQNGSTNGHTV* >Brasy4G339800.1.p pacid=40087560 transcript=Brasy4G339800.1 locus=Brasy4G339800 ID=Brasy4G339800.1.v1.1 annot-version=v1.1 MVLVVKQHRCTHSASCACIKGHLSEDALFLVFRHMNWNPRQIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSDGSHIVDGNWKALGKLLIYCNGCTKGGLFSNVHVPGHFVFRTRFSRTAGKSFLPVQCRMDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKILIEKRTRFHPREVCPYCKAKLWNMLQADMMPASASSRLGAYDDSVEYFVCLNGHVIGLGTLLPLSDSDGAAEE* >Brasy4G367900.1.p pacid=40087561 transcript=Brasy4G367900.1 locus=Brasy4G367900 ID=Brasy4G367900.1.v1.1 annot-version=v1.1 MPDCQSSPSSSSSSSPPARAPPPIPPSDRFRRPGDPPPRSRPPAGVAPSQPSLAQPRRYPDAAVRGLAVAARILVWWLRRRENPGRWEVAVGKKRAYGEIRGREKEEGR* >Brasy4G149500.1.p pacid=40087562 transcript=Brasy4G149500.1 locus=Brasy4G149500 ID=Brasy4G149500.1.v1.1 annot-version=v1.1 MASLFKDPSKLSVYRDRRFPGTQEEFEAALLASLTVYVGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKMVQKELEAQRELVDYGVGFQTNAPPQYDRADRKRGYNDQNDRDYQRRRSAPDMSRRAPDSDSRKDANQEPEKNPRFREKGDSDDEDDDYDKKRRR* >Brasy4G290100.1.p pacid=40087563 transcript=Brasy4G290100.1 locus=Brasy4G290100 ID=Brasy4G290100.1.v1.1 annot-version=v1.1 MAKIGTYGYNPILRLSLLLLLLASNSSSDSTARPNAGDLAKFTGSILPEVGNLHLNGLNLSDNELTGDVPPLLKNPAYERSFLGNPWLSCAATVNKKMNLPACEDGCGHNRMLSTGLTILFSFLALFASLGAVAIGCLILRQQKQRREDLTVWKMTPFRSVEFSERDIVTSLREENVIGSGGFGKVYRVHLACGFGKGAGAGAVVAVKKLWSAAGKKPGAELDKEFESDVRILGDIRHNNIVSLLCCISGGATKLLVSEYMENGSLDRWLHRRDRAGAPGPLDWSRRLGIAIDAARGLSYMHHESAQPIMHRNVKSSNILLDRGFRAKIADFGVAQMLVKSGEPEALSAIGGTFGYMAPEYGYRANVNEKVDVYSFGVVLLELTTGRVANDGGVDGCLAEWAWRRYKAGGQMRDAVDADIAQDGAPFFLDDVVAVFTLGVICTGDAPASRPSMKEVLDELLRYDHTSSVARQASTCPAEDIFYSVSNTISRDMLHESQIIVL* >Brasy4G060800.1.p pacid=40087564 transcript=Brasy4G060800.1 locus=Brasy4G060800 ID=Brasy4G060800.1.v1.1 annot-version=v1.1 MATASVSAYAAATGAGVEAAAAAAGLSGARVRARPPRPRLALLSRSPPARWSSSISLRQGLHRAAAAAEAREEEGPAWVELEPVGSEQQFDRVLAEAQQHGAPIVVLWTASWCRKCIYLKPKLEKLAAEYYPRIQFYCVDVNAVPQKLVNRAGVTKMPAIQMWSDSLKQAEVIGGHKSWLVINDVRSMIEQEE* >Brasy4G108400.1.p pacid=40087565 transcript=Brasy4G108400.1 locus=Brasy4G108400 ID=Brasy4G108400.1.v1.1 annot-version=v1.1 MEYINYIKSKSDVQEEELIKIHDLSLNYKILRSLTDDRAWLEGDVISAYIYDLRTRKNMLTRAGGKVYLETSITSAMLKRDGGNNEDGTEIDVNPSKRKRQLIVKLILEYLDNDMVFLPVNIKDSHWYLANINAPKRVVQVIDSFGAIMNRNDLHKTLKGLSKYIKIVQETIPVLTCNRWPDMDVTKWAVEEMLQHKTQTDSSSCGLFMLKYMEHFTGHEVSEPVKQSDMSAFRRKMPFILFDTELNTNPRIFFECDQDPTPEITEPPVTTKRSSMGVVPGTSKITDPPVTTKKCTSGVFPGISEVTTKQISDGLEPDMIIVVGIVRNLGWGEGYAHFNIDDCTGPGMLSFRRWLDHLENNMYMESRQSY* >Brasy4G377800.1.p pacid=40087566 transcript=Brasy4G377800.1 locus=Brasy4G377800 ID=Brasy4G377800.1.v1.1 annot-version=v1.1 MAVAKVLTRKKFGKGTLMETMYSAWGANREVSFHELEPNLFLLQAFCLGDWKRIMEDGPWLFRKCALMTEPYDGASMAPTKIPNQVDVWIQIHKIPHLYRSQEIIKQLASRVGEVLSADPAAGCPALTGGAGTHVVAVLYEKMPRFCEVCGLMGHGKLECGSGEHAEEDLQFGEWMLSDEALWRPGTPGMRVGRNSGGQSGRGGGRSNSRGGRPVSRGRGEERVFRKWKPRKPADSGGRKRSSTDAGLNKDDDLEDTAISPLKPPADSKSADKADPSAKKHLVLDDVPPPPPQYIPPRDLKKLKKMAGGEHKAKANDHEANSSKAGSATSLEEDRRAQ* >Brasy4G248500.1.p pacid=40087567 transcript=Brasy4G248500.1 locus=Brasy4G248500 ID=Brasy4G248500.1.v1.1 annot-version=v1.1 MIDDEADFGNITDDLEEVKTAAKFRLKAQAKSRNASFPSRSLLPNPCVEKTAEKVEALNQDNFSQDIISSTLLGSKMIGDAAAYEGIVSTPSGDVLTDSTVGRVSQNEDHSYDPSEVATYQGNLVVSDTQASSSRFGGKTIDDLADFKGMFDTNVEQEKVAKFKPKTQVKLGKVASKSWKMDQKAVASTIDVASQSKDNNRAPRHQEYVHTSDYQPSMGISNIAVDKANHESILEEPAQEEAVTKMISESRRKLDVVYQDTRIDSHDEGHISDLRPKPRDQKATTVPYTWRPQDIDANIDLESQDDLINPHIDNTGQIIGEPSAEATAEFHPNVGRRKGKGKSVTFILPDASKGVAAADTSSERSNINHCRNEVGTDESLNNLIEQTTQKHSLAEKQHSKDQEYTDRENQHHEGEPSDQAVKKLPGLDVGKQPELSMKLRSRKKVLKVGISEDATDNNFDDYLEALAVEQVNDSDKECSAGAKQKVRRKSRVKYLEKETLRGSKRTSKDSTIEESQQQNLQKGKSEVTSRGRKRDSKDTEEPEKKLTHRIRQKRTKVSEVKTLLEKPDHEIDRMKLSVMHLRLLQQARERIESKTIP* >Brasy4G128300.1.p pacid=40087568 transcript=Brasy4G128300.1 locus=Brasy4G128300 ID=Brasy4G128300.1.v1.1 annot-version=v1.1 MAKDIEASAPEGGEFSAKDYSDPPPAPIVDLEELTKWSLYRAAIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVGLVKGFQSAYYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNTDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSSS* >Brasy4G354400.1.p pacid=40087569 transcript=Brasy4G354400.1 locus=Brasy4G354400 ID=Brasy4G354400.1.v1.1 annot-version=v1.1 MTLLNQCWNSSSCLISKFQTQLTSEKKHDVALSKASYGLGECGASGSSKKLLVVIHRSSKLASADDDEGCRRLCTGFRPLRYSFKWRKHRCARCWALCSEDKPKAKEDDHGGAPAAAFFCHRMINSKFY* >Brasy4G270000.1.p pacid=40087570 transcript=Brasy4G270000.1 locus=Brasy4G270000 ID=Brasy4G270000.1.v1.1 annot-version=v1.1 MEGEEGLGASCSVPPAAAAEQKRQQQVRVVRCPKCEKFLPELPNYSVYVCGGCGATLQAKNSVSETSLEKSDGVHVKYLEVLESLPGKKVPLPETSFGTIPEGNSESVEAAGEERSVPNRMVSEHRDSRYSSDDNQIPREPSTSKFEAALRDDSREIREAKYRRVRTEEKGEVKQPVRLRDRSPRPLVDSIPPNACPAERHSECHMKSRFRDTNGGEHPERRNLDGSNSVSGLEKDRAELLRMLDELRDQVQRSCEITEKPNGSASTDKAADASSSYSPHERLSRLRHGSPQLQRNSSQHSPSLNGQTPGPSVPNVYASVPSQQDLRGYKEPVTHMRAPCYPVGPYPWRNFDNYFFGQYNPDPLVSYHHDGFYHQPACSCLHCYHREFLPVQAASMGFNHPRAPYLMNNHGAYPVEGPVMFGMQNGNSRGRGINASTQRGHMRATMSKKPTQSCEPIACGAPFTICYNCYEVLQLPKKSPMPGKDEYKLRCGSCSHAIVVKLDGSRLDVSAPSPISHMSTGSKNNSNDVHGSSANTATDDRLLPLYSFSAGSHGSQERDLHSNSTDAEKRQGVSSSSSIFEDENSPTRSYSQRGTPGSRDVPLQAEVVTRVPSLPLRDHFGYSPSERVVDGSGKGSRSTRSEHEKAVLTESFKHNTIKDVRVVSVMDLSDDEYEDPEYSQDPGDAAQHGNHPRVAKTGDSFFTNLIKKSFKINNGIGNGRAKVFINGYPMSDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKNCAGGNTGVFINGRELHQKDFDLLVGRGLSESPGRSYRVEMSGKVYDEVSGEELYCLGKLAPTVEKMKRGFGMRPPRVIH* >Brasy4G183100.1.p pacid=40087571 transcript=Brasy4G183100.1 locus=Brasy4G183100 ID=Brasy4G183100.1.v1.1 annot-version=v1.1 MTPAAAASPRRKSPPTVLLLCVVTFSLLLFILVASYSPRLQPHGRNPHRRLKLHPKTSAAVASSYGASTVSKSGGAAGNGAQQQHHAVPFDPSIAELERRLEDKEWEREHYRILHGGEGDGEADEHMKEWEEFLKEEEDFINDDDRFNVSDRIRALFPKIDLAPQDGFISLDELIRWNLEQARDDQLHRSAREMELYDKNGDGIVSFEAFRPVYQASHGERNSLGFPWWKEEHFNASDGNGDGFLNKAEFHDFLNPSDSENPKIINLLCRQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNMTIAKQRFSKLDKDNDGFISEHEIEPVLDKLHLSERYYARQQATHAMSEADKDHDGRLTLEEMIENPYSFYGSVYFSDDEDYFHEEFR* >Brasy4G297300.1.p pacid=40087572 transcript=Brasy4G297300.1 locus=Brasy4G297300 ID=Brasy4G297300.1.v1.1 annot-version=v1.1 MAPPRVAGGRGLLLLLAAFAALCFAVANAAVSYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVVQTYVFWNGHEPVKGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQRFVEKIVSMMKSERLFEWQGGPIIMSQVENEFGPMESTGGVGAKPYANWAAKMAVATNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKNKPAIWTEAWTGWFTSFGGAVPHRPVEDMAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFVATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPTLVSGDPTIQSLGNYEKAYVFKSKNGACAAFLSNYHMNSAVKVRFNGRHYDLPAWSISILPDCKTVVFNTATVKEPTLLPKMHPVVRFSWQSYSEDTNSLDDSAFTKDGLVEQLSLTWDKSDYLWYTTFVNIGPGELSKNGQWPQLTVYSAGHSMQVFVNGKSYGSVYGGFENPKLTYDGHVKMWQGSNKISILSSAVGLPNVGNHFERWNVGVLGPVTLSGLNEGKRDLSHQKWTYQVGLKGESLGIHTVAGSSAVEWGGPGSEQPLTWHKASFNAPSGSDPVALDMGSMGKGQMWVNGHHVGRYWSYKAHSRGCGGCSYAGTYREDKCRSSCGELSQRWYHVPRSWLKPGGNLLVVLEEYGGGLAGVTLATRST* >Brasy4G105500.1.p pacid=40087573 transcript=Brasy4G105500.1 locus=Brasy4G105500 ID=Brasy4G105500.1.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKEDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYNSAPDGLELESSRSSLLADSALSLF* >Brasy4G105500.5.p pacid=40087574 transcript=Brasy4G105500.5 locus=Brasy4G105500 ID=Brasy4G105500.5.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYNSAPDGLELESSRSSLLADSALSLF* >Brasy4G105500.6.p pacid=40087575 transcript=Brasy4G105500.6 locus=Brasy4G105500 ID=Brasy4G105500.6.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYNSAPDGLELESSRSSLLADSALSLF* >Brasy4G105500.2.p pacid=40087576 transcript=Brasy4G105500.2 locus=Brasy4G105500 ID=Brasy4G105500.2.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKEDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQGLPSCPWFLVLSVQLLPLCRTTGIFGQPSCV* >Brasy4G105500.3.p pacid=40087577 transcript=Brasy4G105500.3 locus=Brasy4G105500 ID=Brasy4G105500.3.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKEDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQQKLFLSYKETAKDFNSMLVSP* >Brasy4G105500.4.p pacid=40087578 transcript=Brasy4G105500.4 locus=Brasy4G105500 ID=Brasy4G105500.4.v1.1 annot-version=v1.1 MVSANAPPPPLAEAGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTLKTDSSSMLRNSGMNVAVSSWTHNSIPPVVPSSLVKEDLGAGSMGPNIFCSGSSEGPPRAWQPGETNDQINQVPSLHIKPDFAQVYSFLGSVFDPSTNGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQQKLFLSYKETAKDFNSMLVSP* >Brasy4G162900.1.p pacid=40087579 transcript=Brasy4G162900.1 locus=Brasy4G162900 ID=Brasy4G162900.1.v1.1 annot-version=v1.1 MGSQLASVQMDPHVEHERVSSRLNNLETSNRSSFWSDRDRGYALALLQERVSQVGAFAEACRQTLKLVYKSLFPLRQPPVGLEALMQKFRGGAAMADFARSLLTMGAQTALAFVVSRHPEVNMERVHELPFPPEGGVDLRPQLGIALEPARRIISYREQIQQKQIEAQARSSGPSD* >Brasy4G168100.1.p pacid=40087580 transcript=Brasy4G168100.1 locus=Brasy4G168100 ID=Brasy4G168100.1.v1.1 annot-version=v1.1 MAPSPASSGSPAAHLAHLNAILSAPNWHLHPSLPHLPALLAPSLPHTLNVPLPVRLAAAAARAAAPCRHLLALSLPILFRLHSLSPPPLRRLFDRPFASLLNHLSRFGLNPLILRLFAYMHRHSPPAPTGATYNALIRSLCRRADLARALRYLSLMVRSGWCPDAYTFNSLIMGYCRTHQADAARDLFDKMPLRGFPLGVVSYTAMIEGLCEMARIDEALELSGEMEQPDVHTYGVLVKGLCEAGRGEEGLQMLQRMKKLGWRPSARVYAAVIDFWCRERKVTEAEEMLNELSHKGLVPSVVTCTALVNAYCKEGRISDAVRVFEAMELRGCKPNVWTYNVLVCGFCKEGKVHSAMALLNRMRAHGVEPDVVTYNLLIRAQCLDGHIESAFRLLRLMEGDDLAADMYTYNALVDALCKDGRIDQACSLFDSLEVRGKKPNLVTFNSLIDGLCKSGKVDVASSLLEKMVSAGYTPDTYTYSPFIENLCKTKGSQGGLSFIDEMLKKNVKATTVNHTIVIDKLFKEANYGLATKIWGQMVSLGCNADAVTYTTSMRAYCNEGKLGEAENVLTEMNKSGVTVDTIAYNTLMDGHVRIGQTDCAVSTLKHMKNAASMPNQFTYMILLRHLLQKRLPESVSLNAASVWKTTELTDIFELFEMMNKNYIIPNTITYSVILERFSEDGRLNEMTSLVSRMKDDKLSLNENIYTSLISCFCKSGRHSDAWALLQSMIEHGFVPQLMSYQHLLCGLISEGQDRRVKEIFGNSRWKDYNPDEIVWKVIIDGLIRKGHSDICLDMILKLEQMNCRPSNQTYAMLAEELSNRE* >Brasy4G216100.1.p pacid=40087581 transcript=Brasy4G216100.1 locus=Brasy4G216100 ID=Brasy4G216100.1.v1.1 annot-version=v1.1 KGPPERARREEREGSGRFASRPATARTTKTNRLLAARCDRQSRRFRSRASPSSPALPPPSPRAKSCPSPRLRSSPAPKSKPPESPLNPTSRTLEPPPPAPHHGGDGSAVQTDGCAGASSCSAGNGASSRPASNALVRPVGASVHPSVSLSFHTRICTWVPPRACDRRILMQMGGCSFLSKLTAWLPEILFITPYYFWLTLSYKD* >Brasy4G289800.1.p pacid=40087582 transcript=Brasy4G289800.1 locus=Brasy4G289800 ID=Brasy4G289800.1.v1.1 annot-version=v1.1 MCYLMRTSSLRRREIQSLKRLQAKRKRLERRNSTNSGKSGGGSRDDGQEPLYPKTFQLRRSVVPQGSTSSGEQAGC* >Brasy4G079600.1.p pacid=40087583 transcript=Brasy4G079600.1 locus=Brasy4G079600 ID=Brasy4G079600.1.v1.1 annot-version=v1.1 MRVPHRLVLAEAAEGLGTTTPTYRTHESEDNDTCMVQMNLPLKCHSRCVQTVQPTGQAAATASEAKEHTANYMVNLLRKRFRVQFDDVNLYRLNHYHRKHGMARATLRIMIRKYTVMSRKVGLMEKGWQQALIDLKGIHDVCTKISLRGRETSYTPESPTNHNKTFARVHSLGTWAQDRFEQGTAGLSTVRSGANP* >Brasy4G354500.1.p pacid=40087584 transcript=Brasy4G354500.1 locus=Brasy4G354500 ID=Brasy4G354500.1.v1.1 annot-version=v1.1 MAPSAHIAVYKVCADDRCASDDILGGIDAAVTDGVDVITVSLGGHTEGSYDHSSIAIGAYGAMKHGIPLLAAGGNDGPVASSVMNDVPWLMTVAAGTLDRCIQAKLLLGVDSAGANPVLGESIGGNWLWGRRPPTVGWSSLLYAEEGDRRYCAYPDDEAYRFRDKIVICDLRNERDPRVYEINKDLDKLLNNNASGVVLIGTILDGYTFDLVDHGPRVMQIAYDDQHRLKDIELQGTILGFTPAPVVAGFSGRGPSPFSPGILKPDIMAPGVNILAGVPLPPDTDEDYALKSGTSMATPHVAGLVALFKKYHPDWSPAAVKSALMTTADIVDNSGNPILDEHRATAASAYATGAGQVNLGRALDPGLVYDLTEKDYATYLCSILGEAALRRVSRDTSQSCSDLGSTMHQSNLNYPTIIVPLQPGPLPFILARTVSNVSPLALDPQQQESYTLKVEMPSPVRVTVEPSTLNFTFPGEKASYFLTVSCYGSLVDGAVYEGSVTLSSGMHTVRSRMVAVVGLANPPPSTAWKII* >Brasy4G164700.1.p pacid=40087585 transcript=Brasy4G164700.1 locus=Brasy4G164700 ID=Brasy4G164700.1.v1.1 annot-version=v1.1 MAPKLAWPRKTRNEAEASSSTAPRRSSAPPPKRSRSRSPLPPAPFSIGPPAVQRGGPARVQVPRSIATMGSGPDYRRIVVRCHRCSSGAPSSLRRSGGHDDYLPHGGHLNPERVLVPPAPLTPRARQDEIARRRRHLSAADRLRNIYASESPYWDQWFQSEHDLRRESRFAANVAPVHIDGPPLLPVKQQEEEDPELMAAIEVSKDDLAPLPRTEEEEAMLRKEKEDSALEELAEWLELGLALHLSAQEATAAPAPAIARSRRSSSGGRRSSGGTLCGQRRTRSRRRTRRWWRSSHRRRRRRRRRRRRLCAGRRRRHR* >Brasy4G376600.1.p pacid=40087586 transcript=Brasy4G376600.1 locus=Brasy4G376600 ID=Brasy4G376600.1.v1.1 annot-version=v1.1 MAATTARDGESAWTETGRTCLPFPLLSSGCKWSMHPATESALETWPRQSGDGKLAISSRQQQLDEDK* >Brasy4G001300.1.p pacid=40087587 transcript=Brasy4G001300.1 locus=Brasy4G001300 ID=Brasy4G001300.1.v1.1 annot-version=v1.1 MSTATKPKMLALESSDGEQFEVAEEAMGKASGMIRGIIDEGCDDDEPIRLPQLKGPVLARVLEYVNRHFADPNDALAASFHIPNADDFVQVDQETLFDLIDAANYLDIQSLLDLTCKTVADQMKGKTIDEIRKHFHIVNDYSKDEEEEVRRENSWAFE* >Brasy4G414600.1.p pacid=40087588 transcript=Brasy4G414600.1 locus=Brasy4G414600 ID=Brasy4G414600.1.v1.1 annot-version=v1.1 MAIQHLLLTVLVASILHAASSATTAYDVLEQNNLPRGLLPQGVQSYSLSGGDLAVTLQNVCEFSVPVAGKQYRFRYDKAVGGVIQSGSITKAYGVRVQVEFAWLGFSQVQRVGDQLNLHLETSTLPFPVSAFSQSPSCS* >Brasy4G006300.1.p pacid=40087589 transcript=Brasy4G006300.1 locus=Brasy4G006300 ID=Brasy4G006300.1.v1.1 annot-version=v1.1 MAKLIKASSSVFLILIIVILGVNSAKAKLPEDDEGGPSPPISEAAKKICPPPFPNYFMCYRFLANVSGVPDKSALPALAAAGLEAEANKEGENIERELKDMPDKYGDEANCMKTCQGFILDIGSKAKELAAASDFTGLLAFLNGTSSGPGGACDSQAWDLCCHNKCRPNDSGYRQLDPLDVQMAVPAACGLVGLALNNTYSIPH* >Brasy4G135400.1.p pacid=40087590 transcript=Brasy4G135400.1 locus=Brasy4G135400 ID=Brasy4G135400.1.v1.1 annot-version=v1.1 MRDGVRHTQLRGDALLSGTGIGSYVDSSTFSVGGYGWNIRFYPDGDKNAPAGYASAFLCYLSETKNVRAKWTLTMLDKDGEVVANKEISPTHVFSPEGNSWGWSGFVEKLKLISPPSDDQLGNGGCFTIRCFLTVIKEPPADQKLFEIPPPELLGQLERVLKDGKGADITLLVGGREFNAHRFMLAVRSPVFHAQLLGPMAEEDTPRVIEVVHMEPAIFEMLLHFIYTDSLPPCEGEEGYGAPEMQHLLIAADRYGLDRLKAMCEEKLCKSIDMKTVTSTLALANQHFCERLKNACEEFMSKPGRVSAVLLSEGFRQVMASCFQLVLKDKEVPATKKKL* >Brasy4G327900.1.p pacid=40087591 transcript=Brasy4G327900.1 locus=Brasy4G327900 ID=Brasy4G327900.1.v1.1 annot-version=v1.1 MWSSACTGSICCCRCLCVLAAGCGAADRTALWLVPGAPLLLCAGDWTLDTSSSRSMWFAGWCWNWVCC* >Brasy4G054100.1.p pacid=40087592 transcript=Brasy4G054100.1 locus=Brasy4G054100 ID=Brasy4G054100.1.v1.1 annot-version=v1.1 MSPSLPDHSPEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDSQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVFHRGKAVGMKKTLVFHAGRAPKGDRTNWVMHEYRLLDNDGPQDLHVVCRIFQKLGTGPQNGAQYGAPYMEEEWEEEDDAIENAPASGASTEMAAITDTASPESNVEDENMYSKTNELVQTQEVLNPPETAPLLAQGLEETDEGSYGDGVISLEEILQEPVSNVSENIGEPEVQTAIDDHFSLADLSGYPSQDDGYVDLNGPIICRDPSNGDHAYWPLRTYGNQNHANGTLNAEEFFDTGNDTNTYSVQQQICPSDGQNLYLQTNGLPAPQQVDDNMAFYDASSTHKWVDGKDDFANVNELLYQPENEPLFDVDDLMAYFDATEDDFKFDMLGSVEGPNCQLPDMLDFAPKDENMDGFTFDGISKTSAKVQYVASSSGSHENLYPDTAVPDIPMDDNAGKNFGKRFASVLGSIPAPPAMASEFPPATGKSVASLSAVNGPSSIHVTAGIVQLGGLNFSGSSEHWPLQKNGDFSLLLSFTVESDVSTKSIGFDEPATQLSTVPMVLRGGLYLFFVSAMILMLSYKVGSCIYSR* >Brasy4G226300.1.p pacid=40087593 transcript=Brasy4G226300.1 locus=Brasy4G226300 ID=Brasy4G226300.1.v1.1 annot-version=v1.1 MAKMSCFSALLGGRRQKPADQVANAKTKQGGSPRPRVKPVEFVHAPPVPVTAPEPDVEKCGDKAAPGDGADVVSVKPRKGGGIDTSPVKKDKLSDTDVHRAVIAEEEAADDPSAKLKRSCSNIETKRAGPSPRGGAMAARRSRSYGDLQPGPGGVISTMEGTPGTGRPDQRSPASAKSADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRPGDAAGGYTSDTLHEDPKKKKKTAVLADHEWPPAPGHSNSNQWVAFCAENSLNDRVSAWIENECLRVAEDDEEEEESMAMACAVEMEVGEPSSGKGHANGSSSKPWKQQRCAADEEVAQGNSIVHSLNALSSVAHISGMGLKVVPMIAPFSSLRALNLSANFIVHVSPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRIARIAHGLSNCTAIRVLYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTAKALGQLVANYHSLLALNLVGNPVQANVGDDATRKLVTGLLPQLAFLNKQPLKRPQRAREVATDSVARAALGGAGGRSTRRRASRRLSQSPGASVSRSASKGRHHLGSSLSLTARK* >Brasy4G399600.1.p pacid=40087594 transcript=Brasy4G399600.1 locus=Brasy4G399600 ID=Brasy4G399600.1.v1.1 annot-version=v1.1 MDPGAPHLMVEILEEIFLRLPTPAALARASMACVSFRSIISERSFLRRYRKRHPPPLLGFVHTGGHRIPPASPPLGCVDPRGFHPAQAPHPSAPLARALADAADFTYSFVPEPRDSRGWKPSDIRDGRVLLEATPSCDIFPILAVCDPLSRRYLLLPHIPVGLAEGKEPYETVPMLAPIGEEDEDETTFKVICVSGFATKLVVIVFSSVTRQWCIAASLSYPFAVILPKSNYMDLSCLYYARGCFYFAPTSFQDELFVLDTQRMEFYTVGDRTGYHIQLRHMPGQIDEAHDFAERDRPGQIRTQPSCVVVGREGALEMFSLVGEGDSSPNGSFHLYHTTQPNNGQPSNEWQLENIIPLPGQYDYYSLGAAEGFFFLEPPQKTSWIWILFALMSYQGLSGM* >Brasy4G025000.1.p pacid=40087595 transcript=Brasy4G025000.1 locus=Brasy4G025000 ID=Brasy4G025000.1.v1.1 annot-version=v1.1 MSGGSGSGSGGERRGWSPMDAIRSFPSTPESLMSQIDAAIASTEYARACALLDPASASSRLQPPATRSNNGEEASSARASPAPAPAPAPAPCHDARVADEAYRAACAALGAGRPDAAVRSLRAALASCPPEKAAAVAKVRSMLAIASAQLHRQQHQAQQHSSRR* >Brasy4G195400.1.p pacid=40087596 transcript=Brasy4G195400.1 locus=Brasy4G195400 ID=Brasy4G195400.1.v1.1 annot-version=v1.1 MKALAGDSAASTPLLPTTKFAIPASSAAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSAGDLAASASGDLDAPFSQDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELVGDDMQVRDAAISEIARMSAHRLELEQPGNENEEEGTVNIRNKDHGRVNQ* >Brasy4G098700.1.p pacid=40087597 transcript=Brasy4G098700.1 locus=Brasy4G098700 ID=Brasy4G098700.1.v1.1 annot-version=v1.1 PRFARTAGPTSEDESCASIYSLNASLDRPRCTVCLIKYAMLLNWLSKLLIVKAVVHSRISQKYKVDSRWNISQLVSSHLLANKTHPKVPADSFHDARVT* >Brasy4G324800.1.p pacid=40087598 transcript=Brasy4G324800.1 locus=Brasy4G324800 ID=Brasy4G324800.1.v1.1 annot-version=v1.1 MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGDPAPAAGRDVRGGNGGGSSSSSMSNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKGVGPSSDVEAQSTISTATTSSSVSTMDDEEKLTLQMIEELLSRSGPVSPSHGEDEGDFVV* >Brasy4G149000.1.p pacid=40087599 transcript=Brasy4G149000.1 locus=Brasy4G149000 ID=Brasy4G149000.1.v1.1 annot-version=v1.1 MAAEGANNELEEHLRDVGARLQAAPDDADGLLKLLAEVEDYLTRVEQSPPGSTSDAVRPAMAALVREDLLSHSNADVRLGVASCISEITRITAPEAPYDDNVMKDVFSIIVGAFQNLDDIESPSFARIFSILDTVAKVRSCVVMLDLELDDLIRDMFNHFFKTVSSNHPEYVISSMVTTMRLVIDESEEVQTALVSCLLQNVRHEEREKSPASFELAEKVISSCDAEKLKPILLELLQVEGTSLDDYSKAVTLVCEGAEVVKEDNNVDPSGKDTVDDGKLSERTISDELPQESSKVEQDGTPTTAISSGATLEDNNEASEAPPSPKEKPCNTDENTEDVGQLKSGNSEGAESLDANPKKSSDVNSDKGLKLKSSKSEATPHSDVDTDKDASGELSADKKAVNGVAAKTSKLADSAPDVVKPKRGRPPGPKSSEKKAGRNNKPSGLDLKKAEEATNSAGKLTKRSAKDDVKSSTRKAGEEESSKKQQNHKDETLSEDDTAKDLTLKEMASPKSLTKGSGRTKGQGRENSGMKRKREQETEETPRSRKNKGLDGSLVGSRIKVWWPDDEMFYKGVVDSFDTNSKRHKVAYDDGDVEVLLLRDEKWDFISEEKDKTPDVATQMRRGRKGRGNSVQSVKEEKTETPKSDGGSLPKKRGRPKGWRPNNGGTPSTTPSKSKGKIASKDVKATPKTGSDLKREGEKGSKDKATQSADKTKVDVPKDSSKGKDSKDASKSGDGSVKGRPGRKPKVAATPAADGESVKEKLKDKEAEASEMQEASGKGKDSKDENKSGDASVKGRPGRKPKVAATPTADGVSVKEKRKEKEAEASELEQEASGKASAGNKRRRKA* >Brasy4G375100.1.p pacid=40087600 transcript=Brasy4G375100.1 locus=Brasy4G375100 ID=Brasy4G375100.1.v1.1 annot-version=v1.1 MAMQRPADAVKDQVALSVRLLRQLGSDRKNVAFSPTSLHAVLSLLGAGATGALRGQIASLLGPAGADAHAALASHVVATSQQSPSSWHEEEDEDEDGGGSGSATKVLYATGVWVDSSLRLKPAFAAVAASAYKAEARAVSFRSGPEHARSEINDWFERSTGGRWKELLPEGSVDAANANATAIVLANALYFRGYWYDPFDESLTRDGDFHVSPGHAVRAPFMVGSVDRMCIACHAGFKVLRMPYAGRDFSMCIFLPDDDRDGGLPGLVRALGSDPAPLLDAVPERRVLVGDLRIPKFEVSVRLEASGVLRDLGLDLPFRPAPAGESLSGMLDLDDDDTTPVAVSSLVHQCSVHVNEMGTVAAAASAVEALGFGPPSDPETPIDFVADRPFLFFIRQEDEHSSGVVVFAGQVVNPLLD* >Brasy4G144700.1.p pacid=40087601 transcript=Brasy4G144700.1 locus=Brasy4G144700 ID=Brasy4G144700.1.v1.1 annot-version=v1.1 MAATTAAPASSSSSGWDFTCNFEVDYGSEEHATIVYKTLAVDKELQPDKVRREMTVSGGKLVVRFEAVEARFLRATFSAFVDLMVLVTKIVEEYSVSKEECS* >Brasy4G178200.1.p pacid=40087602 transcript=Brasy4G178200.1 locus=Brasy4G178200 ID=Brasy4G178200.1.v1.1 annot-version=v1.1 MGMGQDSPIVLSSDSKEGEPDQGFKKVKEDREDLKEPPNWLPDGWIMEVQRGEDGCFYQYFISPVTDVRFRMKAEVLNYLFSGMEERFLETKKCAASNTLPSTHLWLPKGWLIEIRAGGENMEKMFKFYIYPAMGIRLLSKEDVLLYANDMVVAACDTEGQCDTGSEDNILAQVELNPSPLPEGWVKEIVYRKTKKGIRKDPYFTDPVSHYVFRTLRSAMSYLQTRKVTSRSCIQKTSVHDLYNFDKCADLHKFLRKRLNNNWKTNNTPTRSSKSVRSSSPMENEDCNDQTSDRFDGGESSTNIGSPRDHQESKKKKRKVNKEKEPCSDKIIKRPTNKSWREGKAKQTNGDTNGKITGV* >Brasy4G252300.1.p pacid=40087603 transcript=Brasy4G252300.1 locus=Brasy4G252300 ID=Brasy4G252300.1.v1.1 annot-version=v1.1 MEAQNQEVAALVQKITGLQAAIAKLPSLSPSPEVDALFTELVTACVPPSPVDVTKLGPEAQRMREELIRLCSTAEGHLEAHYADKLAAFDNPLDHLDCFPYYSNYINLSKLEYELLARYMPSSGIEPARVAFVGSGPLPFTSLVLAARHLPDALFDNYDWSESANERARKLVRADKGVGARMSFHTADVAKLTGELGEYDVVFLAALVGMAAEDKATVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPALITQGGFDVLAVYHPDDEVVNSVIIARKAAADARGLGKENGRGARGHEPVPVPVVSPPCRCCSKMEAGMLEKSEEFATTELSF* >Brasy4G195200.1.p pacid=40087604 transcript=Brasy4G195200.1 locus=Brasy4G195200 ID=Brasy4G195200.1.v1.1 annot-version=v1.1 MEEIKGALSPASNASDISSNRSGSPMASSSSDDSSGGGGGRKRPRRDLKHPTYRGVRMRAWGKWVSEIREPRKTSRIWLGTFNTAEMAARAHDVAALAIKGPRAAAAHLNFPELANDLPRPASAAPEDVRAAAALAAHMVVARAPAPAADTGNTEADEPSSRPLPGDSSIASVDLALFDLPDFLHEFGFALPPPSSMMACDLSSWQQDVAAPTDDFRFEPAPLLLWEHY* >Brasy4G352200.1.p pacid=40087605 transcript=Brasy4G352200.1 locus=Brasy4G352200 ID=Brasy4G352200.1.v1.1 annot-version=v1.1 MAEGARFHGMIGGGGKGMQENEFNGFNNMPYYHKIGTGEGSHMSVDSADNYNLSNYAGGSITMSVDNSSVGSNESRTVILKHPGLRDAPTANYSVGNSVFRPNRVAAQTLNEDALARVLMDPSHPTEILSEYQQWAIDLGRLDMGAPFAQGAFGKLYRGTYIGEDVAVKLLEKPDNDTERARSLEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIVTEYAKGGSVRQFLARRQNKAVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADRSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTKMTAVQAAFAVVNKGARPVIPHDCLPSLSHIMTRCWDANPEVRPPFTEIVCMLENAEMEVVSHVRKARFRCCVAEPMTTD* >Brasy4G238500.1.p pacid=40087606 transcript=Brasy4G238500.1 locus=Brasy4G238500 ID=Brasy4G238500.1.v1.1 annot-version=v1.1 MGGVVGAAEVEVRRREATAAVGGSRKRKARVGGWIQNPEAEQQEAGRWLAAGVGHTEHLTSPGGRWRPSGAQTTMEEASVGLGCGAKGGGVGGGRRRYRSGRTSSSSAERRGRDPGKRRCRQGGRKWSK* >Brasy4G301100.1.p pacid=40087607 transcript=Brasy4G301100.1 locus=Brasy4G301100 ID=Brasy4G301100.1.v1.1 annot-version=v1.1 MEASTESSVVSRPLPPVPTTPASRPSSESADTASTPVSWAPSAAAGDALDLNASPATTPSLPTKVPRPFPTSPFDNGRNLFNDMPERAVDPADPNYYNEPSKFIDDLISQEAPVFEEEVGEQWGDETQEGVSIDIEPLYTDAGAGNDPGSDASRCQRNGKSKRTQAYDECEDKLLFMSDRGEVSLQKRWGLIQFECNKFADAHDHVKARPVSGVGDMGETLKKMWSEKDAVKEKMLQLKEEQMKEFLDVQKRKLAIEEANAAATITAAAAAMIAEETRIMTADLSLMDETTRAWFLAKRKMIQERDVPAPLEE* >Brasy4G258700.1.p pacid=40087608 transcript=Brasy4G258700.1 locus=Brasy4G258700 ID=Brasy4G258700.1.v1.1 annot-version=v1.1 MVADRAPTTSAVRSCWAPPPTAGTLRGAQRSGACRVVPCTSSWNTCGPVPLFPANKPLSASRPSRRSRPRASAWRSGSDRRPGTRAASSSASNRRRGAVANTTLPSSRRNPTTSRRRGWRKSRCSAPSTRPATPPTRPAGPPPWPCRWASSSRRRTTKPASGPAATTWQRPVAPQSPAQATPPTMMTAGPSTRTAPTMTKTAQTPAGKAEPTPAAWRPRRRGGQRNQMGLAHFPFLF* >Brasy4G344800.1.p pacid=40087609 transcript=Brasy4G344800.1 locus=Brasy4G344800 ID=Brasy4G344800.1.v1.1 annot-version=v1.1 MASAGDAIDGAETTMAKRKKRISDCLVDSDGPDDAPPSPGTPRLRIPMFTCARLRFGRKGSGQRKEAAAAAAAEKSEEGASVDSSAGWKVASSGASSAEAAAGMGLGLLFLLAKTCAELKKMAEVRAQMEALLDEMRGQLAAARTTKITSKGNSNNHAAATGSTRAGAAASSSSRSHRPRRHSRRRDYQGRERRGEPSPSPFYAMTGNPLFHDLDRRESCGRAAAASSETASGESESETTTAELESPAATSMSVDVAGQCQHNRDTNLETSEWSSSDGEFIELDGGFFRGCGDRRGDYTQEYRAESEEERAGEGVSALEVERRLQEIVHWRSQERIEELESSLRRAERELVEKEMEAQLWKDTAKLALHLPPRQQEKDADGAMASSLQ* >Brasy4G367000.1.p pacid=40087610 transcript=Brasy4G367000.1 locus=Brasy4G367000 ID=Brasy4G367000.1.v1.1 annot-version=v1.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLTMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEYDEEEEEEVAA* >Brasy4G239600.1.p pacid=40087611 transcript=Brasy4G239600.1 locus=Brasy4G239600 ID=Brasy4G239600.1.v1.1 annot-version=v1.1 MEEGSNYYVVKKGETVAVYKTLNDCQSQICSSVSGPAASAYKGHCWSKDKAEYLSSRGLINASYAINAAELREDLLGALVPCTFQEITGPSSNQTGICNDIGYQPGIHNDIRYQPGEQLVDLNYNAAGSGQAQGYSDQEQAFSRLEARPMSSSHLSPNNISYSGAVDAQPVSKQYMVCVIHFDGASKGNPGKSGAGAVLMTEDGRVISRLREGLGVATNNVAEYRGLILGLKYAIKHGFKRIKVYGDSQLVCYQVKGTWQAKKENMMELCKEVRKLQENFISFEVNHVRREWNVEADRQANIALTLASGAVSEERGDVF* >Brasy4G290300.1.p pacid=40087612 transcript=Brasy4G290300.1 locus=Brasy4G290300 ID=Brasy4G290300.1.v1.1 annot-version=v1.1 MDKYAKPVLLVLLLISFAIHSQCKSIEADMDKAEKINFPDGLCAYKPSGDCKISFCYCCFLNSKCYMTMEGCKDCCEHPCPLGTAAVAAPAISPLPSPE* >Brasy4G337600.1.p pacid=40087613 transcript=Brasy4G337600.1 locus=Brasy4G337600 ID=Brasy4G337600.1.v1.1 annot-version=v1.1 MVQSAPSSPAPAPKADHPSPPSRLLSKHRPRRRAAPPRQTPPAPAPTRGQPDLNLCRCCGARFPPPPPGAKPRPVRPLRSVWRIVLLCLECLPLIRSAVVCSYCLSLDNLPPEDSSVTCRSCNRCVHRHCIPSEHRTSLIQPVDLENFVCVDCCPTLKPGSKNVCAREPTSSVRGKGEAVTVSKLNSPGKTVLASKRAKEATILIAGAEGSGSKGTSDPDLPDEKLALQLHLAMNGSQRISRSSSASWAVSAGKGKGQKVVVSATNANGDHGLCVTNMMDQLEDDLSGAEMEDNSDAEHDTLLDPSVKVVLALECKGKQSQERVRGKRKGPPGTNHNDLVDRYKKKYSKRNSSKKAKVISTESKTMPDGKDMDRDDCGKGIAPMI* >Brasy4G423400.1.p pacid=40087614 transcript=Brasy4G423400.1 locus=Brasy4G423400 ID=Brasy4G423400.1.v1.1 annot-version=v1.1 MGCRSCDKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMSSSNSSSRNPELMTSMSATTELIINNGAGSSATSYDDHDVSAGSHGISAATAEPLFDQQQQQQQQQAAKSFVFSDWMPAAAPPPAAAAGPESYSMSGAHWPASTASSSGTATPSHAAFLGDQMSGGSYAGAAPAGGIVAGGAGGGYFDLLNMGDIYGGFSTTNDDLLF* >Brasy4G027000.1.p pacid=40087615 transcript=Brasy4G027000.1 locus=Brasy4G027000 ID=Brasy4G027000.1.v1.1 annot-version=v1.1 MLQSNLYEENRLYLNFKTAIAKICRKHLVILQTNAILGVAPTANHQDRATGTPAESRACTSPRWLELYCSRSLASIIEPRSVHRSHTVPRSPKFPTPSLPPSHESGIPSQLPCAAMEESKLKAARLLLQMRDHLATDAGKELAEKVGFVYQLNISPKKLGVDEEIFVVDLKKGAVSKGPYEGKPDATFTFTDDDFLAISSGKLNPQMAFIRGKLKIKGSISAAQKFTPDIFPKPSKL* >Brasy4G254100.1.p pacid=40087616 transcript=Brasy4G254100.1 locus=Brasy4G254100 ID=Brasy4G254100.1.v1.1 annot-version=v1.1 MSGAGVATLSPLLDQLAHVPHCLHRLEPLDSILIFLAMPGMPPMPMRVLRSESIASVKLRIQRSNGFVVTKQRLVFSGHELSCNNSHVRDCGLADGNVLHLVLRLADLRAITIKTASGKKFKYQMASGSNVGYLKKKLAAETGEQLERLEDQRLVCDGEELEDNQLITDICRKGAAVIHLFIRTPAKVKTQQIDKDTVVTVVNPEGSDNFQIDALDLTKPASGVHPPVEPIIVNRKVELSPAVMEMISSTRAGLDNGFLPVMSTEGSGGVYFMQDPSGHSNVAVFKPIDEEPMAENNSRGFPFSVDGEGLKRGTRVGEGALREVAAYILDHPIDGCNSSEATGFSGVPPTALVRCSHMGKGFKIGSLQIFVNNHGSCEDMGPRDFPVQEVQKIAVLDIRLANADRHAGNILVCRDGEDHLKLVPIDHGYCLPEKFEDCTFEWLYWPQARESFSAETTAYIASLDADKDIALLKFYGWNLSPQCARVLRISTMLLKKGAERGLTPYDVGSILCRKTANKESEIEGIINEAEDAVLPETSEEMFLEAVSEIMDRHLDNMLSKLTK* >Brasy4G143500.1.p pacid=40087617 transcript=Brasy4G143500.1 locus=Brasy4G143500 ID=Brasy4G143500.1.v1.1 annot-version=v1.1 MATPQNRGRPLPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPGQSGIPPAFRNNYNDGGSSRSGFKSGKSYQYTRVPPTPRRVKKKWFFCCGC* >Brasy4G391700.1.p pacid=40087618 transcript=Brasy4G391700.1 locus=Brasy4G391700 ID=Brasy4G391700.1.v1.1 annot-version=v1.1 MGSFGMDWNQKSSVLWDWENLLPAGANAAENPKNGMQAEQRFASVVAAMANESRHSSGSSGTFSSNSEMGYGSSKSSLSASIDSSSKVGNSMEFRFAPAVNPDSNNSKNTELGKVDDTGTGTSPSSVIAVSSGEPVIGLKLGKRTYFEDVCGAQNVKNSPLGASAPNPSPASVKKAKVDQQKPHNSYCQVEGCKVDLSSAKDYHRKHRVCEVHSKTPKVVVAGLERRFCQQCSRFHALAEFDQIKRSCRRRLNDHNQRRRKPQPEAISFSTSRLSTMFYDARQQTSLLFSEAPYVQMRNCASSSWDDPGPGGFKFTETKAPWLKPTTAAGVDAMHLSSQQVSNSIMPNGAHHGFDGFMSFKGTGMKFLNQGVEASAVASDTSGAPDLQRALSLLSNNPAGAGNLQPSPQMHSGTAADIAGTSNPAMHVLGSSPGLWLDGPPLDNHHPRFQAFDRLGAHNSTMPHELQLPKPSYDHHAASHFDRMH* >Brasy4G364600.1.p pacid=40087619 transcript=Brasy4G364600.1 locus=Brasy4G364600 ID=Brasy4G364600.1.v1.1 annot-version=v1.1 MARAAHPPPAAADDHPLLRHSPHDCVPFVEALLAAGRGTKLEALPSPRLMATHMPYSVLPDCITRNPGCKIVYICRDPKDMVVSMWHFIRKIRPHVSFSDMFEHTCNGRSLCGPIWDHILGYWNASNHAINDNDESRRESESTTTSPVLFLRYEAMLRDTVGNVRKLARFLGQPFSAGEEESGTAEAIVELCSKLSSVEANKTGDMGLHVKFSCQSYFRKGGAGDWANHMTPEMACRFDTVMRDKLHGSGLAFA* >Brasy4G380800.1.p pacid=40087620 transcript=Brasy4G380800.1 locus=Brasy4G380800 ID=Brasy4G380800.1.v1.1 annot-version=v1.1 MQARIVVFPVRGRAWCFARQRDLAPAAGHGALPPPPPTLRDLWRGIAGGGRTSPENAEAVADFVADKMNRAWIGFGSAPEGSVKSRIHSFGLKLLSRVRPSEMLLKSVTKDVSMLEIVHPASIHPRLVRRRLRHIAVRGAAVHRKFLYGSICMLPITSIFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDCSDQWKILLEKTKVMGTRKDGNPSVNERVPWNLQPSKKLDQFLEKRGLDEGLDCNTISSICKAYDLDKVDVLKYRDLE* >Brasy4G380800.2.p pacid=40087621 transcript=Brasy4G380800.2 locus=Brasy4G380800 ID=Brasy4G380800.2.v1.1 annot-version=v1.1 MQARIVVFPVRGRAWCFARQRDLAPAAGHGALPPPPPTLRDLWRGIAGGGRTSPENAEAVADFVADKMNRAWIGFGSAPEGSVKSRIHSFGLKLLSRVRPSEMLLKSVTKDVSMLEIVHPASIHPRLVRRRLRHIAVRGAAVHRKFLYGSICMLPITSIFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDCSDQWKILLEKTKVMGTRKDGNPSVNERVPWACLDNIQQ* >Brasy4G380800.3.p pacid=40087622 transcript=Brasy4G380800.3 locus=Brasy4G380800 ID=Brasy4G380800.3.v1.1 annot-version=v1.1 MQARIVVFPVRGRAWCFARQRDLAPAAGHGALPPPPPTLRDLWRGIAGGGRTSPENAEAVADFVADKMNRAWIGFGSAPEGSVKSRIHSFGLKLLSRVRPSEMLLKSVTKDVSMLEIVHPASIHPRLVRRRLRHIAVRGAAVHRKFLYGSICMLPITSIFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDCSDQWKILLEKTKVMGTRKDGNPSVNERVPWVLEW* >Brasy4G372100.1.p pacid=40087623 transcript=Brasy4G372100.1 locus=Brasy4G372100 ID=Brasy4G372100.1.v1.1 annot-version=v1.1 MLPPPRRGPAYKTKLCALFRNGHCDRESCSFAHGPDELRRPPSSRPSFPPHHAGRRDYRGGDFRGRIERRFSPRRRHSPGRDFRGHRSLHNRRPTSQERESSFSRSPSRKSERRHEKKTDDGETNSPKSLSVSDNSDMKKDKFSSGDEKEDHEKKLKQIRLDMEALREDKSHLEIVLDEKIDEMRKISSKVNDLDQQLQREKNECHRMTSKMKKFIKAHGRFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSVHADEDLNGSYERSPNTAVTKKRSIPYSTSDEAKAAKKRRDRDSDTITRSDKYRSEGDATDFDKTSKGSEATKSIYLKKRLWEDEKNKLGNVVSSADKVKDSPVKHALPSTGMAAHALYDLNEAIELDDRHETIDALLENDADDRTRSPVMPPQPPPVVQNAYEQYEDLDEEVDVE* >Brasy4G372100.2.p pacid=40087624 transcript=Brasy4G372100.2 locus=Brasy4G372100 ID=Brasy4G372100.2.v1.1 annot-version=v1.1 MLPPPRRGPAYKTKLCALFRNGHCDRESCSFAHGPDELRRPPSSRPSFPPHHAGRRDYRGGDFRGRIERRFSPRRRHSPGRDFRGHRSLHNRRPTSQERESSFSRSPSRKSERRHEKKTDDGETNSPKSLSVSDNSDMKKDKFSSGDEKEDHEKKLKQIRLDMEALREDKSHLEIVLDEKIDEMRKISSKVNDLDQQLQREKNECHRMTSKMKKFIKAHGRFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSVHADEDLNGSYERSPNTAVTKKRSIPYSTSDEAKAAKKRRDRDSDTITRSDKYRSEGDATDFDKTSKGSEATKSIYLKKRLWEDEKNKLGNVVSSADKYEDLDEEVDVE* >Brasy4G052200.1.p pacid=40087625 transcript=Brasy4G052200.1 locus=Brasy4G052200 ID=Brasy4G052200.1.v1.1 annot-version=v1.1 MRKARDGTTWWKSNVRISLPLKHRYRILGIAGGYLLLQVIPKCPVPEGLEMDCLSLDLQTLQLERFCGTRVMIWDATMYAGFPPSLSAPTI* >Brasy4G120000.1.p pacid=40087626 transcript=Brasy4G120000.1 locus=Brasy4G120000 ID=Brasy4G120000.1.v1.1 annot-version=v1.1 MLPRSTASLPLRSLRWARGFSSSSPSAVGSDGKIVASVLFERLPVVIPKIHPVVYAFQEFSFRWGQQYKRKYPDDVLGKADARGKGDYQIDYVPAPRITEADKANDRKSLQRALDNKLYLLLYGNTFGAPDGKPVWHFPEKVYENEDTLRLCAESALKSVLGGLDNTYFVGNAPMAHMVAEPNEDSSASSLKRFFFKSQVIGATKFNIGKCEDHVWVTKDELLEYFPEHKALFDKMIIHIR* >Brasy4G253500.1.p pacid=40087627 transcript=Brasy4G253500.1 locus=Brasy4G253500 ID=Brasy4G253500.1.v1.1 annot-version=v1.1 MENTSQPTVEPCPPAAPESDPPAAPVSDPPAAPESDPPADPVAPPATPPMDPDDENRSGAESRTVDKPRGRGGRGR* >Brasy4G044400.1.p pacid=40087628 transcript=Brasy4G044400.1 locus=Brasy4G044400 ID=Brasy4G044400.1.v1.1 annot-version=v1.1 MSSSSTSQTEGGDGGRKYKGVRRRKWGKWVSEIRLPNSRERVWLGSYDAPEQAARAFDAAFVCLRGPHAAGADLNFPDSPPPVPPRHFAHSAAVVDPQEVQAAALSHANRAPASSSTAAALFTDSSPAAATTEPPTPAAFSMDDDVLAGSCQGVGPPEDGSMDWRPAMAPLFSPTGWPGSSNAYDFLLQVPPPFGDDEDMEEEESGHGAVASLWSFDPRSDSYFRY* >Brasy4G277600.1.p pacid=40087629 transcript=Brasy4G277600.1 locus=Brasy4G277600 ID=Brasy4G277600.1.v1.1 annot-version=v1.1 MPIIEVVEGQEIIEAMANGAHGPGIAVAVVMANDIHCTGGAMALTMANGVECPGVVKVGPNNGVYFEMLTDPLVRFWPWFVPYVLAICATVFSVMMYINDCPGNTPAGGAASCVAGGFGRASFQPIHENPLLGPSYATLEKMGALDWAKVVHGHQISRLFICMWLHAGLIHLVVAQLSFSFVGTRFEQQFGFLRIAIIYLLSGLGGSVLSALFLPAGSVSVGSSGAVLGLIGAMVSEIAINWNAYSNRKPALATLGLIAVINMVMGIFPHADNFTNVGGFLTGFLLGFLVLADPAKIGFLPQSRTSEGPKYKSYHYAVFGASLLLLLVGFAVALTVLFEGKSGGGDGGFLSCVPTSGWKCSSGA* >Brasy4G253000.1.p pacid=40087630 transcript=Brasy4G253000.1 locus=Brasy4G253000 ID=Brasy4G253000.1.v1.1 annot-version=v1.1 MAVERDIFGISGPTYLNPVNWNCEDNRRSVAACLVQAVYILERDRQLERQSVEALAPPWWEFFHFEMIRKLVDDADLSIFGAIFEFNPPSSKEASTQNAPRFVLAFRGTITEKETISRDLSLDLHLVQNGLHRTSRFTIAMQAVQNIASVFPGSTIWLAGHSLGAGMAILTGRNMVRKGALLESFLFNPPFVAAPIERIKDERVKHGFRIARSVITAGLTIAMKAKTEGNNHRFVAEESFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCNKYRYA* >Brasy4G273100.1.p pacid=40087631 transcript=Brasy4G273100.1 locus=Brasy4G273100 ID=Brasy4G273100.1.v1.1 annot-version=v1.1 METNHAPGFGVELKSGPGNAVEADIIPGKQAVEVLGSEVNQKFAEMCLDTAMETDIISEEQADLVARMEINQKFGEICLDTCVEAASNPENQADLETKSLVDRKPAETCQDTVLVSDVNPEEQAANAGVIYRCKKCRRMVATQEYVVTHEVGLGERSFSRHNSYHADEKEPECTRCIFVEPMKWMQAVEEGYISNKLWCMGCKTRLGSFNWAGMQCGCGAWVIPAFQLIKSRIDESQI* >Brasy4G273100.2.p pacid=40087632 transcript=Brasy4G273100.2 locus=Brasy4G273100 ID=Brasy4G273100.2.v1.1 annot-version=v1.1 METNHAPGFGVELKSGPGNAVEADIIPGKQAVEVLGSEVNQKFAEMCLDTAMETDIISEEQADLVARMEINQKFGEICLDTCVEAASNPENQADLETKSLVDRKPAETCQDTVLVSDVNPEEQAANAGVIYRCKKCRRMVATQEYVVTHEVGLGERSFSRHNSYHADEKEPECTRCIFVEPMKWMQAVEEGYISNKLWCMGCKTRLGSFNWAGMQCGCGAWVIPAFQLIKSRIDESQI* >Brasy4G357100.1.p pacid=40087633 transcript=Brasy4G357100.1 locus=Brasy4G357100 ID=Brasy4G357100.1.v1.1 annot-version=v1.1 MGIVRTADDVESVVVGAGGGGGGGEVTAPLLLRDHKDDAAAAVEDAKIQVDSCGGGPEGSIWMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISEFAIFGSVLTIGAMIGAVTSGRLADVVGRKMTMRISATICIFGWLSIYFAKGATMLYFGRTLLGYSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYITGALVAWRNLVLVGILPCVLLLAGLFFIPESPRWLANVGREKEFHTSLQKLRGEKADISEEAIEIKEHIESVQSFPKARVQELFLSKNIYAVIVGVGLMIFQQLGGINGVGFYASYIFTSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFMTGISFYLKAHGLFLEWVPALAVSGILVYIGAYSIGMGPVPWVIMSEIFSIKMKAIGGSLVTLVSWFGSFVISYSFSFLMDWSSVGTFFMFSAASMLTILFVVRLVPETKGRTLEEIQDSLNSRR* >Brasy4G251500.1.p pacid=40087634 transcript=Brasy4G251500.1 locus=Brasy4G251500 ID=Brasy4G251500.1.v1.1 annot-version=v1.1 MEEYINPAAYEEQSVNGTDWSSGVLPDKLENGANSVDCSLQLAEEQNQLPDILGINEMLIDDIGSGSDSDSSSGNEADKEPGKYFYPSFEELDNQRPPEVGMKFPTLEDANRYYSTHALLTGFVAIRGQNYVRKKFHLECNRSRKLTPSQDLKRKREIDSINRTQCQAKGVVKPVKGQWEFTAIQSEHNHPLCPSPSLTRFFLNCKHMTTEEKSFLRVLQQSSIHPKKAMKIFKRMGSSLGNLPFKKKGANNSQSAEQQRKPNSDVEKTLKHLKELELQNPCVSCTMQTDEDGIVRSIFWTDARSRMDYEIFGDFISLDTTYSTNRHNMPFAPIIGINSHGRSLVLGCALLQDQRAETFAWMFRTFLQAMGGKLPRSIITNQDEAIGKAIAEVMPQVRHRFCKFHVMTKAREKLGAFMAERGNINVELHSLVDNSLTETEFEEGWEALIEIYSASENEHLQILWQTRKNWVPAYFREDFYPFVGATKRGEGTNLLFKDFVLPKDRIEKFLEKYEEMQERIMKIDDEDRLQSKTELSCFLLQPIENHAAHIYTRPIFQKVQKELLHSTAFNVQEIQRGTLYRLDKVFNYENPEFDRISFEVLVTPDTSTIKCECTKFARDGILCCHIFRLFTQFGINEIPEQYIVPRWTGKFKEEQVVLYKEKCLDKHDINQSENTLRYAMLMTKVSDISKEICCDGSKCDKFVLELDKIREKLPTVRGEIPEKNDS* >Brasy4G251500.2.p pacid=40087635 transcript=Brasy4G251500.2 locus=Brasy4G251500 ID=Brasy4G251500.2.v1.1 annot-version=v1.1 MLIDDIGSGSDSDSSSGNEADKEPGKYFYPSFEELDNQRPPEVGMKFPTLEDANRYYSTHALLTGFVAIRGQNYVRKKFHLECNRSRKLTPSQDLKRKREIDSINRTQCQAKGVVKPVKGQWEFTAIQSEHNHPLCPSPSLTRFFLNCKHMTTEEKSFLRVLQQSSIHPKKAMKIFKRMGSSLGNLPFKKKGANNSQSAEQQRKPNSDVEKTLKHLKELELQNPCVSCTMQTDEDGIVRSIFWTDARSRMDYEIFGDFISLDTTYSTNRHNMPFAPIIGINSHGRSLVLGCALLQDQRAETFAWMFRTFLQAMGGKLPRSIITNQDEAIGKAIAEVMPQVRHRFCKFHVMTKAREKLGAFMAERGNINVELHSLVDNSLTETEFEEGWEALIEIYSASENEHLQILWQTRKNWVPAYFREDFYPFVGATKRGEGTNLLFKDFVLPKDRIEKFLEKYEEMQERIMKIDDEDRLQSKTELSCFLLQPIENHAAHIYTRPIFQKVQKELLHSTAFNVQEIQRGTLYRLDKVFNYENPEFDRISFEVLVTPDTSTIKCECTKFARDGILCCHIFRLFTQFGINEIPEQYIVPRWTGKFKEEQVVLYKEKCLDKHDINQSENTLRYAMLMTKVSDISKEICCDGSKCDKFVLELDKIREKLPTVRGEIPEKNDS* >Brasy4G039800.1.p pacid=40087636 transcript=Brasy4G039800.1 locus=Brasy4G039800 ID=Brasy4G039800.1.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQETPASSQDSWDLQVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.8.p pacid=40087637 transcript=Brasy4G039800.8 locus=Brasy4G039800 ID=Brasy4G039800.8.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQETPASSQDSWDLQVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.5.p pacid=40087638 transcript=Brasy4G039800.5 locus=Brasy4G039800 ID=Brasy4G039800.5.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVSVVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.4.p pacid=40087639 transcript=Brasy4G039800.4 locus=Brasy4G039800 ID=Brasy4G039800.4.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.2.p pacid=40087640 transcript=Brasy4G039800.2 locus=Brasy4G039800 ID=Brasy4G039800.2.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.3.p pacid=40087641 transcript=Brasy4G039800.3 locus=Brasy4G039800 ID=Brasy4G039800.3.v1.1 annot-version=v1.1 MTTCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEAPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSILTIVETTLEQNRHDDLRIIACQTLFDFVNYQVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.6.p pacid=40087642 transcript=Brasy4G039800.6 locus=Brasy4G039800 ID=Brasy4G039800.6.v1.1 annot-version=v1.1 MFLSGATVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQETPASSQDSWDLQVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.7.p pacid=40087643 transcript=Brasy4G039800.7 locus=Brasy4G039800 ID=Brasy4G039800.7.v1.1 annot-version=v1.1 MFLSGATVDSTYMFNLESQIPKLCQLAQEMGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTTEDKRARWVNEVLKAEGHEPSSVTILARVSSWKDIRAAHGGLNLTIEESGSPNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNLWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.10.p pacid=40087644 transcript=Brasy4G039800.10 locus=Brasy4G039800 ID=Brasy4G039800.10.v1.1 annot-version=v1.1 MQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQETPASSQDSWDLQVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G039800.9.p pacid=40087645 transcript=Brasy4G039800.9 locus=Brasy4G039800 ID=Brasy4G039800.9.v1.1 annot-version=v1.1 MQIVMEKSGQNAHILLSMLVKHLEHKNVSKQPDMILDIIEVTACLAEHSKAQSSTAIMAAISDMVRHLGKSMQSLVDDPGPGGDMVTWNNRYGKAADECLVQLSRKVGDAGPILDTLAVVLENISTTTPVARSTISAAYRTAQIIASLPNLSYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLQRTLSRTSSVFSSSAALFGKLKRDTFSFRGSPRRDNTNLMPIREDSDQASHDEPKLFKSQTLQRMTSTKETSSPSATEISNPSGPSQEADPVTLVLSARQADLLLSSLWIQALSPENIPRSYEAISHTYSLMLLFSGAKNSRLEVLVGSFQLAFSLRSVSLQAGLLPPSRRRSLFTLATSMLVFFSKAFNVPSLIPVVKDLLTESTVDPFLRLVEDLRLQAIDNAVDPCLRAYGSKEDDDLALKSLSNINMNDQSKQIGVSLILDSLELSESELCTVRNQLLEDFSANDACPVGSHFIASPSKSSGYKAKMHHKSLEVIPMGFVFEDDTIVEPTDSLAEPSSRHPLDNSLLDVNQLLESVSETSHHIGRLSVSTNHDLPFKEVANQCEALLIGKQEKLSVCMSAHQQEVDESFTGKLESSQPDSPTARLILHTNDDQFHSNFCKLPALSPYDKFLTPAGC* >Brasy4G018100.1.p pacid=40087646 transcript=Brasy4G018100.1 locus=Brasy4G018100 ID=Brasy4G018100.1.v1.1 annot-version=v1.1 MAKLAALLVAFFAVASSAALPTQTKLTKGGLGSDSMECVYTVYVRTGSIWKAGTDANITLELKTANGNGVLIQDLPSWAGLMPAGHSYFERGNLDIFSGRGPCLGAAPCRMRLASDGTGPHHGWYCNYVEVTVTGPHRGCKQQLFTVEQWLANDAPPYQLEAVVDRCPRNDDAADGGVAAA* >Brasy4G018100.2.p pacid=40087647 transcript=Brasy4G018100.2 locus=Brasy4G018100 ID=Brasy4G018100.2.v1.1 annot-version=v1.1 MAKLAALLVAFFAVASSAALPTQTKLTKGGLGSDSMECVYTVYVRTGSIWKAGTDANITLELKTANGNGVLIQDLPSWAGLMPAGHSYFERGNLDIFSGRGPCLGAAPCRMRLASDGTGPHHGWYCNYVEVTVTGPHRGCKQQLFTVEQWLANDAPPYQLEAVVDRCPRNDDAADGGVAAA* >Brasy4G007400.1.p pacid=40087648 transcript=Brasy4G007400.1 locus=Brasy4G007400 ID=Brasy4G007400.1.v1.1 annot-version=v1.1 MDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWVAMIFDLQKKKHVHSLYSQGNSTCVVCCHPTRPVLVAALGGVLHVWDSTTFRLKKVYKHLARGNKPIHIGFAGSKR* >Brasy4G175100.1.p pacid=40087649 transcript=Brasy4G175100.1 locus=Brasy4G175100 ID=Brasy4G175100.1.v1.1 annot-version=v1.1 MATRDAITDKRHAVFFPFPAQGHVKPALQLAKLLHHCHGFQVTFVHTEHNRRRLLRSRGPDALAGIPGFRFAAVPDGLPPSDVDASQDMAALLLSLETSIPHFRNLVSDLPPVSCVISDIEHILIAAKEMGLRCVTFWTTGACAFMACQQCQQLVDMDILPLKEAEQLRNGYLDRTVVDWVPGMPKHIRLRDLPSFIRTTDPEDPMLKILLSSMACHRTTPSAVIFHTFDELERETIAAMAGILPPIYAVGPLPLLVGQIPVGGALDTLESNLSKENHACLEWLKGKGPNSVVYVSFGSIATLTNEQLVEFAWGLANSKQEFLWVIRDDLVNNGADEPAAVLPPEFLETTKARNFMTNWVPQDAVLRHEAIGAFLTHCGWNSMLESISAGVPMLCWPFGADQHTNSRYACSEWRVGTEIGSDAKRGEVESAIREVMEGERGKEMKRTVMEWKEKATVAAMPGGTSWVNLEKVIREVICQAQGAAEPLAEKHSET* >Brasy4G356700.1.p pacid=40087650 transcript=Brasy4G356700.1 locus=Brasy4G356700 ID=Brasy4G356700.1.v1.1 annot-version=v1.1 MAKQRPTTSRTQNENSENQNSESSMWVDVGCGGSTPAGRIGEILNETVREVRELRG* >Brasy4G401800.1.p pacid=40087651 transcript=Brasy4G401800.1 locus=Brasy4G401800 ID=Brasy4G401800.1.v1.1 annot-version=v1.1 MSGHLDRLARPCFEGCSSHDERRDHKSDMEVSEDEKKTRMGSLKKKAIDASSKLRHSLKKKNRRKSGSRVLSVSIEDFRDLEELQAVEAFRQALILDELLPAKHDDYHMLLRFLKARKFDIEKAKQMWMDMLQWRKEYHTDTIIEDFEYSELDTVLQYYPHGYHGVDREGRPVYIERLGKVDPNKLMNVTTLERYVQYHVKEFERSFLIKFPACSLAAKRHINSSTTILDVQGVGLKNFSKTARELIMRLQKIDNDNYPETLYQMFIVNAGPGFRMLWGTVKSFIDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCPEYGGCLRGEKGPWKDANILKKVLNGEAQCARQIVTVSNGEETIISYAKSKYQTIRGSDTSTAESGSEAEDVTSPKALRSYISHPKLTPVREEVKMIRATSFSTRMPEYDIPVVDKAVDATWKRELPRKAPFPSKDSSLTSTTRASNRSLGQIVPALMAFVLAILTLFRSVKDLATKRLQDKKDSEEQCSALYPDPIAKGEFRPPSPGPGFAEADLFALVLQRLAELEEKVQTLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYVDSKAVAKAQKKKKAMFCY* >Brasy4G029800.1.p pacid=40087652 transcript=Brasy4G029800.1 locus=Brasy4G029800 ID=Brasy4G029800.1.v1.1 annot-version=v1.1 MSARDMPRAAGSPEGPPRGAGASGFDPYAQARKALSFRTPFEGDEAAPRVPTLPARLVSWSGSSDGRKKHKKIQPPDETAAEHPPLLAAASSGKKGIWDQFEAYFRPVTLNDVDMLRPKFPFSYDELDPCIVVPFLGSGEELLNKDETFDVAVAETSSYLGVGGEEVISNKERSGQSADLFSNRSAEQGIHDVVVQQLGSTRELSEQSIEQGVHEVVVQREEWPLEVEQSSSSGGFVPPKCGEEAGTSINWLLGARERVVLTSERPNKKRKLLGVDAGLEQLVLLPCLGAEAGSICDVCCLGESEAVSNRMLHCNNCKVSMHQKCYGLRVVPDSQWLCAWCKHLELTGWSSKKDAGSTLSMPCVLCPKEKGALKPVQGEPNRAADGGNLKFAHLFCSLWTPEVLVEDIESMEPVTNIGCVQENRRKLVCSICKVKHGACIRCSHGACRAAFHPICARESKHRMEIWGKSGHPNVELRAFCSKHSSVGQSNNASAQSPEVRPRDANPGKITKLRFTRKNKDTFMNYEASRFNPDNLIKVKTMEHGALPHNVRSLDTQATRSMVYTDHPSVGGDLMRNSGDIATVLKKLIESGEVSVVDIASEVGISPASLEAALVGETTTFSHGLKLKIIKWLQNSAHMKAVQGNKLDGSESADSTNLKSSFVPEDKGAAVETSDSAVPEPLPTKFKDIDKILKDKKALCATGTTLENGNMKVVKESAADECFPAEDLSKESTGTLFPVGSNDTSKEVHEELIPNNTSGNKVFDTSMEIPNQFEGTSLQSKTNDLAEAEVGSEVEGHVSSLDQTFSSGENAEHGSDAAENGASNHRNCNLDHVHGQPFLSLDDSHSYIHPFIKRKIASLWEHAFKQNKQTQCHPEEELCTSDEKWAVDSSIKLMEATEIDVSDQVSKAKSLGILDDSPDDEVEGEMLFLQARLLDNAIVLKHRYEDLIVKVVHNLSRELDVFSKRKWDFILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVAASSRNYTVRKDANDDLVPAIQKSSPKFGAGSSRVAQRTASLPRFKDSSKPSNNKVSPDTSFGTFHMPIFSKENALCCDVCLRSETVLNRIFVCSRCKAAVHIDCYRNLGISTGPWKCELCEDISSEAADSTDRLDCNETNLSLVQCGLCHGRSGAFRKAIDGQWIHAFCAEWLLETKYMRGQDNPVDGMETLAMEKDTCCVCIRKVGACVKCNSGDCETTFHPSCARHAGFYMNTKGFGSMLQHKAYCGKHSVEQKETDAHQSGPEEFKSLKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDAVVFSYLAPGASSESATTSVNNKSYSGTLQRSDDVTVDSIISGENTTRFSLNNRDADKNTADSSRTLISFKRKLSERGQLAGKQLPQRPVTALQKREDGKKKTKDKKQVETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPWKQNTQTHEPQEPGG* >Brasy4G029800.2.p pacid=40087653 transcript=Brasy4G029800.2 locus=Brasy4G029800 ID=Brasy4G029800.2.v1.1 annot-version=v1.1 MSARDMPRAAGSPEGPPRGAGASGFDPYAQARKALSFRTPFEGDEAAPRVPTLPARLVSWSGSSDGRKKHKKIQPPDETAAEHPPLLAAASSGKKGIWDQFEAYFRPVTLNDVDMLRPKFPFSYDELDPCIVVPFLGSGEELLNKDETFDVAVAETSSYLGVGGEEVISNKERSGQSADLFSNRSAEQGIHDVVVQQLGSTRELSEQSIEQGVHEVVVQREEWPLEVEQSSSSGGFVPPKCGEEAGTSINWLLGARERVVLTSERPNKKRKLLGVDAGLEQLVLLPCLGAEAGSICDVCCLGESEAVSNRMLHCNNCKVSMHQKCYGLRVVPDSQWLCAWCKHLELTGWSSKKDAGSTLSMPCVLCPKEKGALKPVQGEPNRAADGGNLKFAHLFCSLWTPEVLVEDIESMEPVTNIGCVQENRRKLVCSICKVKHGACIRCSHGACRAAFHPICARESKHRMEIWGKSGHPNVELRAFCSKHSSVGQSNNASAQSPEVRPRDANPGKITKLRFTRKNKDTFMNYEASRFNPDNLIKVKTMEHGALPHNVRSLDTQATRSMVYTDHPSVGGDLMRNSGDIATVLKKLIESGEVSVVDIASEVGISPASLEAALVGETTTFSHGLKLKIIKWLQNSAHMKAVQGNKLDGSESADSTNLKSSFVPEDKGAAVETSDSAVPEPLPTKFKDIDKILKDKKALCATGTTLENGNMKVVKESAADECFPAEDLSKESTGTLFPVGSNDTSKEVHEELIPNNTSGNKVFDTSMEIPNQFEGTSLQSKTNDLAEAEVGSEVEGHVSSLDQTFSSGENAEHGSDAAENGASNHRNCNLDHVHGQPFLSLDDSHSYIHPFIKRKIASLWEHAFKQNKQTQCHPEELCTSDEKWAVDSSIKLMEATEIDVSDQVSKAKSLGILDDSPDDEVEGEMLFLQARLLDNAIVLKHRYEDLIVKVVHNLSRELDVFSKRKWDFILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVAASSRNYTVRKDANDDLVPAIQKSSPKFGAGSSRVAQRTASLPRFKDSSKPSNNKVSPDTSFGTFHMPIFSKENALCCDVCLRSETVLNRIFVCSRCKAAVHIDCYRNLGISTGPWKCELCEDISSEAADSTDRLDCNETNLSLVQCGLCHGRSGAFRKAIDGQWIHAFCAEWLLETKYMRGQDNPVDGMETLAMEKDTCCVCIRKVGACVKCNSGDCETTFHPSCARHAGFYMNTKGFGSMLQHKAYCGKHSVEQKETDAHQSGPEEFKSLKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDAVVFSYLAPGASSESATTSVNNKSYSGTLQRSDDVTVDSIISGENTTRFSLNNRDADKNTADSSRTLISFKRKLSERGQLAGKQLPQRPVTALQKREDGKKKTKDKKQVETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPWKQNTQTHEPQEPGG* >Brasy4G029800.3.p pacid=40087654 transcript=Brasy4G029800.3 locus=Brasy4G029800 ID=Brasy4G029800.3.v1.1 annot-version=v1.1 MSARDMPRAAGSPEGPPRGAGASGFDPYAQARKALSFRTPFEGDEAAPRVPTLPARLVSWSGSSDGRKKHKKIQPPDETAAEHPPLLAAASSGKKGIWDQFEAYFRPVTLNDVDMLRPKFPFSYDELDPCIVVPFLGSGEELLNKDETFDVAVAETSSYLGVGGEEVISNKERSGQSADLFSNRSAEQGIHDVVVQQLGSTRELSEQSIEQGVHEVVVQREEWPLEVEQSSSSGGFVPPKCGEEAGTSINWLLGARERVVLTSERPNKKRKLLGVDAGLEQLVLLPCLGAEAGSICDVCCLGESEAVSNRMLHCNNCKVSMHQKCYGLRVVPDSQWLCAWCKHLELTGWSSKKDAGSTLSMPCVLCPKEKGALKPVQGEPNRAADGGNLKFAHLFCSLWTPEVLVEDIESMEPVTNIGCVQENRRKLVCSICKVKHGACIRCSHGACRAAFHPICARESKHRMEIWGKSGHPNVELRAFCSKHSSVGQSNNASAQSPEVRPRDANPGKITKLRFTRKNKDTFMNYEASRFNPDNLIKVKTMEHGALPHNVRSLDTQATRSMVYTDHPSVGGDLMRNSGDIATVLKKLIESGEVSVVDIASEVGISPASLEAALVGETTTFSHGLKLKIIKWLQNSAHMKAVQGNKLDGSESADSTNLKSSFVPEDKGAAVETSDSAVPEPLPTKFKDIDKILKDKKALCATGTTLENGNMKVVKESAADECFPAEDLSKESTGTLFPVGSNDTSKEVHEELIPNNTSGNKVFDTSMEIPNQFEGTSLQSKTNDLAEAEVGSEVEGHVSSLDQTFSSGENAEHGSDAAENGASNHRNCNLDHVHGQPFLSLDDSHSYIHPFIKRKIASLWEHAFKQNKQTQCHPEEELCTSDEKWAVDSSIKLMEATEIDVSDQVSKAKSLGILDDSPDDEVEGEMLFLQARLLDNAIVLKHRYEDLIVKVVHNLSRELDVFSKRKWDFILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVAASSRNYTVRKDANDDLVPAIQKSSPKFGAGSSRVAQRTASLPRFKDSSKPSNNKVSPDTSFGTFHMPIFSKENALCCDVCLRSETVLNRIFVCSRCKAAVHIDCYRNLGISTGPWKCELCEDISSEAADSTDRLDCNETNLSLVQCGLCHGRSGAFRKAIDGQWIHAFCAEWLLETKYMRGQDNPVDGMETLAMEKDTCCVCIRKVGACVKCNSGDCETTFHPSCARHAGFYMNTKGFGSMLQHKAYCGKHSVEQKETDAHQSGPEEFKSLKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDAVVFSYLAPGASSESATTSVNNKSYSGTLQRSDDVTVDSIISGENTTRFSLNNRDADKNTADSSRTLISFKRKLSERGQLAGKQLPQRPVTALQKREDGKKKTKDKKVETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPWKQNTQTHEPQEPGG* >Brasy4G029800.4.p pacid=40087655 transcript=Brasy4G029800.4 locus=Brasy4G029800 ID=Brasy4G029800.4.v1.1 annot-version=v1.1 MSARDMPRAAGSPEGPPRGAGASGFDPYAQARKALSFRTPFEGDEAAPRVPTLPARLVSWSGSSDGRKKHKKIQPPDETAAEHPPLLAAASSGKKGIWDQFEAYFRPVTLNDVDMLRPKFPFSYDELDPCIVVPFLGSGEELLNKDETFDVAVAETSSYLGVGGEEVISNKERSGQSADLFSNRSAEQGIHDVVVQQLGSTRELSEQSIEQGVHEVVVQREEWPLEVEQSSSSGGFVPPKCGEEAGTSINWLLGARERVVLTSERPNKKRKLLGVDAGLEQLVLLPCLGAEAGSICDVCCLGESEAVSNRMLHCNNCKVSMHQKCYGLRVVPDSQWLCAWCKHLELTGWSSKKDAGSTLSMPCVLCPKEKGALKPVQGEPNRAADGGNLKFAHLFCSLWTPEVLVEDIESMEPVTNIGCVQENRRKLVCSICKVKHGACIRCSHGACRAAFHPICARESKHRMEIWGKSGHPNVELRAFCSKHSSVGQSNNASAQSPEVRPRDANPGKITKLRFTRKNKDTFMNYEASRFNPDNLIKVKTMEHGALPHNVRSLDTQATRSMVYTDHPSVGGDLMRNSGDIATVLKKLIESGEVSVVDIASEVGISPASLEAALVGETTTFSHGLKLKIIKWLQNSAHMKAVQGNKLDGSESADSTNLKSSFVPEDKGAAVETSDSAVPEPLPTKFKDIDKILKDKKALCATGTTLENGNMKVVKESAADECFPAEDLSKESTGTLFPVGSNDTSKEVHEELIPNNTSGNKVFDTSMEIPNQFEGTSLQSKTNDLAEAEVGSEVEGHVSSLDQTFSSGENAEHGSDAAENGASNHRNCNLDHVHGQPFLSLDDSHSYIHPFIKRKIASLWEHAFKQNKQTQCHPEELCTSDEKWAVDSSIKLMEATEIDVSDQVSKAKSLGILDDSPDDEVEGEMLFLQARLLDNAIVLKHRYEDLIVKVVHNLSRELDVFSKRKWDFILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAVAASSRNYTVRKDANDDLVPAIQKSSPKFGAGSSRVAQRTASLPRFKDSSKPSNNKVSPDTSFGTFHMPIFSKENALCCDVCLRSETVLNRIFVCSRCKAAVHIDCYRNLGISTGPWKCELCEDISSEAADSTDRLDCNETNLSLVQCGLCHGRSGAFRKAIDGQWIHAFCAEWLLETKYMRGQDNPVDGMETLAMEKDTCCVCIRKVGACVKCNSGDCETTFHPSCARHAGFYMNTKGFGSMLQHKAYCGKHSVEQKETDAHQSGPEEFKSLKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDAVVFSYLAPGASSESATTSVNNKSYSGTLQRSDDVTVDSIISGENTTRFSLNNRDADKNTADSSRTLISFKRKLSERGQLAGKQLPQRPVTALQKREDGKKKTKDKKVETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPWKQNTQTHEPQEPGG* >Brasy4G112700.1.p pacid=40087656 transcript=Brasy4G112700.1 locus=Brasy4G112700 ID=Brasy4G112700.1.v1.1 annot-version=v1.1 MATILCWAVWAVVSAMAVASILWAYRWSHPKVNGRLPPGSLGLPLLGETMQFFAPNPTCDVSSFIKDRMNRYGAIFKTSVVGRPVVVSADPDLNHYVFQQEGKLFESWYPATFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRAVLLAETHTACRATLASWAGLPSVELKDGLSTMIFDITAKKLIGYEPTKSSENLRKNFVAFIRGLISFPVNIPGTAYHECMKGRRDAMKVLKRMMRERMADPSRQREDFLDVMVEELRREKPVLTEAVALDLMFVLLFASFETTALALTLGIKLLTENPRVLEALTEEHEAIVRDREDPDAGLTWAEYKSMTFTSQVTLEIVRLANIVPGIFRKALQDIEFKGYTIPAGWAVMVCPPAVHLNPEIYEDPLAFNPWRWQNKIEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHSLVTKYRWRTVKGGNTVRTPGLSFPDGFHIKLFPKH* >Brasy4G106400.1.p pacid=40087657 transcript=Brasy4G106400.1 locus=Brasy4G106400 ID=Brasy4G106400.1.v1.1 annot-version=v1.1 MELEPAAAGTRKPPPTAPAGDAIGAATSRAREPSLLAAAAGGLVAVGLGGAALLVWWAVAFHRANARLWMVPAGLVLLGTPIVAWLAVFASGPCDRSRVPPRAVLYELR* >Brasy4G240500.1.p pacid=40087658 transcript=Brasy4G240500.1 locus=Brasy4G240500 ID=Brasy4G240500.1.v1.1 annot-version=v1.1 MAQLLPLSSASPRFNVAASPGNGVSRLCTTSTRSAVRGMSRRSVRLNSVKSVRCEQGSKSGSGPGLDVWLSRGAMLGFVGAVGVELTTGKGVLQNVGLMAPLPVVALALTGVVGVVTAFLILQSGSSD* >Brasy4G010700.1.p pacid=40087659 transcript=Brasy4G010700.1 locus=Brasy4G010700 ID=Brasy4G010700.1.v1.1 annot-version=v1.1 MCRYFIVIDDIWDVKTWDVIKRLFDSREGCPSDLEEVSVQILEKCGGLPLAIIAISGLLANTERTKHLWNHVKDSIGRALERNSTVETMMKILSLIQPVKMEVYGAQPKEACQVHDTILDFIISKSIEENFVTLVGVPNLTVGTQGKVRRLSIQVTQVGKQGNSCLPTDLTLSHVRSLNHLRVLNFGGCRQLKDDHLVNIARLFQLRYLNLKRTGISKLPEQIGDVRCLEVLDLRGTEVCRLPAAIVNLGKLSQLLVDYCVQFPDGIAKMQALEVLKRVILSKNPFGLMQDLAKLKNLRKLDVGLVSYDDNGVRAIVEEKCHKVVASSLRYQGTQNLRSLTISDWIGFLRQEGPLFPVPLTLQKLKVDRFPALPQVPKWMGSLVNLQKLCLELQEFRQEDLRILGSLPALLILKLEARSKSYSPKVSGEIGFRCLRHLCCRLWLKLAPGLFASGSMPKLEGLELMFAVDEELSCAFDMGIENLPCLFTVKCLVMSRKCRSRVEPIMAAIERAASTHPNHPSIEIRQVE* >Brasy4G341800.1.p pacid=40087660 transcript=Brasy4G341800.1 locus=Brasy4G341800 ID=Brasy4G341800.1.v1.1 annot-version=v1.1 MIMASRGAPVAVLFVFSVLASLLAAVASAAAGADSAFVLAASRTQRKDPLDGLRYYAGGWNISSEHYWASVGFSAAPVFAAAGVWFALFGAALFLAGCCYCCFPSRSGSYSRAVISISLLLLLVFTAAAAVGCAVLYEGQGRFHGSTAATVDYVVARADETAGNLRDFSGFLQAAKAAGVGPVSLPDDVKGRIDDVVRKVSAASDELADRTASNSARIRAALDTIRKILIVLAAAMLILAFAGLVFSACGLESLVYLLVFLGWIMVTATLVLGGTFLLLHNVVGDTCVAMGEWVQRPPQEHTALDDILPCVDTAAAAEALNRSKEVNHRLVAVLNGVIANVSNADNIPPQAAAPPLYYNQSGPPVPLLCNPYTPGRLLPRACAPGEVPLGPDGPAQAWQAHVCRTTPAAPDVCATAGRLTPGMYAQMVGAANVSYGIARYGPVMADVADCEFVRRAFRSIGESHCPGLGRYSEKVYGGLLIVAGGLVVSLVMWVVHSRERRRRRDAGEMERAMAASARAPGAYNFQAMEEKGLLGSPIRR* >Brasy4G293000.1.p pacid=40087661 transcript=Brasy4G293000.1 locus=Brasy4G293000 ID=Brasy4G293000.1.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLTVGTWDDARANRPSLRTVLFIGLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.6.p pacid=40087662 transcript=Brasy4G293000.6 locus=Brasy4G293000 ID=Brasy4G293000.6.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLTVGTWDDARANRPSLRTVLFIGLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.2.p pacid=40087663 transcript=Brasy4G293000.2 locus=Brasy4G293000 ID=Brasy4G293000.2.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.3.p pacid=40087664 transcript=Brasy4G293000.3 locus=Brasy4G293000 ID=Brasy4G293000.3.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.7.p pacid=40087665 transcript=Brasy4G293000.7 locus=Brasy4G293000 ID=Brasy4G293000.7.v1.1 annot-version=v1.1 MTSSFLCAVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.8.p pacid=40087666 transcript=Brasy4G293000.8 locus=Brasy4G293000 ID=Brasy4G293000.8.v1.1 annot-version=v1.1 MTSSFLCAVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRRQVANPTRPTRTLTSLTRAVRRGGENAGADGNGNSYDFSTKLLHLAWHPTESSIACAAGNSLYMYYA* >Brasy4G293000.4.p pacid=40087667 transcript=Brasy4G293000.4 locus=Brasy4G293000 ID=Brasy4G293000.4.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRWRKCRSRWQWKFL* >Brasy4G293000.5.p pacid=40087668 transcript=Brasy4G293000.5 locus=Brasy4G293000 ID=Brasy4G293000.5.v1.1 annot-version=v1.1 MDPKSPDDRPEAAAAQQPQPLPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYAVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSSDNGTASSSSTSSSRGLPNGGCSEKLYNCANNALSFPPGGCASLRLPVVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHAKLFEEHEAPGSKSFFTEIIASVSDIKFAREGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSTEATTLEASRNPMRWRKCRSRWQWKFL* >Brasy4G377500.1.p pacid=40087669 transcript=Brasy4G377500.1 locus=Brasy4G377500 ID=Brasy4G377500.1.v1.1 annot-version=v1.1 MQPLHFPYSKYIEKFHMPYHGLVLVLLVSLVPSTSSCTQQEKGSLLQFLAGLSQDGGLATAWRSNTDCCTWEGITCNQDRKVTGISLASRGFEGSISPNLGNLTSLLRLNLSRNSLSGGLPLELVSSRSIVILDVSFNYLTGGLSELPSSTPARPLQVMKSLVALNASNNSFTGQIPTASCLSAPSFAVLELSYNQLSGSIPLGLSNCSELTLLSAGHNQLSGTLPDELFDVTSLEHLTLPNNRLEGALNGIIKLTNLVTLDLRGNGLSGNIPDSIGELKRLEELHLDHNNMSGELPSGLSNCTNLITIDLKSNYFSGELKVNFSNLPGLKNLDLVYNNFTGTIPESIYACSRLTALRLSENNFHGQLSEKIGNLKSLSFLSLVKNSLTNITRTLQILGSSRSLTTLLIGFNFMHETMPDDDSIDGFENLQVLAMNDCSLSGKIPHWLSKLTNLRMLFLLNNQLTEPIPDWISSLDFLFCLDLSNNSLTGEIPSALMEMPMLKSDKTAPMVFELPVYNKIPTLPYFRPGSFPKVLNLGINNLTGVIPKELGQLQALQSLNLSSNKLSGEIPQPLCTLTNLQVLDLSNNHLTGAIPAALNNLHFLSKFNISNNDLEGTILTVGQLSTFLESSFGGNPKLCGHMLVNHCRSAVADPISIISTNLRGTKVFFALAFGVFFGVGVLYDQIVLARYFG* >Brasy4G317600.1.p pacid=40087670 transcript=Brasy4G317600.1 locus=Brasy4G317600 ID=Brasy4G317600.1.v1.1 annot-version=v1.1 MVQRPADHGARRRHDEASSAARGGGGRRQHYQQELLLNKGKGACRRFKRSCFSEEEDAASSAMLLLACVVCSPSL* >Brasy4G110900.1.p pacid=40087671 transcript=Brasy4G110900.1 locus=Brasy4G110900 ID=Brasy4G110900.1.v1.1 annot-version=v1.1 MVRGKTELKRIENTTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGRLYEFASSTSLQKTIDRYKAYTKDNVNKKTAQQDIQQIRADTVGLAKKLEALEDSKRKILGENLGGCSTQELHILEAKIEKSLHIIRAKKSQLLEQQIAKLKEKETMLLKDNEELREKQQQQHLAALTVVPSLNRVALSPLQPQPEPEPSSDAIDAVETELYIGLPGRERSSNRQSG* >Brasy4G110900.2.p pacid=40087672 transcript=Brasy4G110900.2 locus=Brasy4G110900 ID=Brasy4G110900.2.v1.1 annot-version=v1.1 MVRGKTELKRIENTTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGRLYEFASSTSLQKTIDRYKAYTKDNVNKKTAQQDIQQIRADTVGLAKKLEALEDSKRKILGENLGGCSTQELHILEAKIEKSLHIIRAKKSQLLEQQIAKLKEKETMLLKDNEELREKQQQHLAALTVVPSLNRVALSPLQPQPEPEPSSDAIDAVETELYIGLPGRERSSNRQSG* >Brasy4G036800.1.p pacid=40087673 transcript=Brasy4G036800.1 locus=Brasy4G036800 ID=Brasy4G036800.1.v1.1 annot-version=v1.1 MRTSQALLSLVVLVLLSSETCNIVAVASVEEGLSSSTDDYSQYTCTKFFATRKQCYNGACDKFCSQRLSGYGKCFATGCQCSYYCQTPPSK* >Brasy4G068300.1.p pacid=40087674 transcript=Brasy4G068300.1 locus=Brasy4G068300 ID=Brasy4G068300.1.v1.1 annot-version=v1.1 MATRSASALVLALLLISCCHCHASRDAPGDLKKEAVIRPMADPSCFLFKDQMCTVESCTDYCLMIGLGSDVGFCSFRANEMQMYCCCPIPDPPPPARPT* >Brasy4G008500.1.p pacid=40087675 transcript=Brasy4G008500.1 locus=Brasy4G008500 ID=Brasy4G008500.1.v1.1 annot-version=v1.1 MKASAKKAQVSWWKKKYSRFPPERPGYTHALRVARLISVGGTEEGFRLEQAGPGALSYSMDDRYRSRWAPRPNEDFRKVAVIWRLPRGDGISVMSLVYGLGVKVRDVLFVLQCPSVSVALSFTERSFHVSSKFVEEPLYAAAGLDFIDITAPLENLIEKLYRLYEEEEREEKIEQDQRVRQDQLIPALQNEGEAGEEEMN* >Brasy4G052000.1.p pacid=40087676 transcript=Brasy4G052000.1 locus=Brasy4G052000 ID=Brasy4G052000.1.v1.1 annot-version=v1.1 MATGILSTVLLVAGVALMVLVHVLVVVWVLRRGFSESRRRSRVGLPADQEAGDSGLTEEEVGELPCHDFKAELAGEEGGDCAVCLEALRDGERCVALPRCGHGFHAECVGSWLRKSRLCPVCRAEVAAGPRKEQAGADDAEAAMEVV* >Brasy4G304800.1.p pacid=40087677 transcript=Brasy4G304800.1 locus=Brasy4G304800 ID=Brasy4G304800.1.v1.1 annot-version=v1.1 MPGRKQEQAEATTNPSPGLFAIHPTLFFLFSSLDSNFQFPPTAAKRPRMDEGEEGRQKLSAMDHVKKRHEEKGLLYACFFMLCCCFCCYEACEHCLECFCCCGNKDE* >Brasy4G434700.1.p pacid=40087678 transcript=Brasy4G434700.1 locus=Brasy4G434700 ID=Brasy4G434700.1.v1.1 annot-version=v1.1 MGQELGKEKLGSNLTVDEIASAFYFSLLLSPVVSFWDCIFRKIRYSFRPEWV* >Brasy4G412200.1.p pacid=40087679 transcript=Brasy4G412200.1 locus=Brasy4G412200 ID=Brasy4G412200.1.v1.1 annot-version=v1.1 MVPGDHAEEAIMPMAGGGKEGEMRGATVAAWDDDGGSERGHGKAGMKDLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLILQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFRNHVIQWFEVLDGLLGPYWKVAGLAFNCTFLLFGSVIQLIACASNIYYINNNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYITIAAAVHGQVAGVKHSGPNSLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLAATLYVFTLTLPSAATMYWAFGDALLTKSNAFSLLPRTGWRDAAVILMLVHQFITFGFACTPLYFVWEKLIGMHGDNAGIAGIFKRALARLPLVIPIWFFAIIFPFFGPINSAVGSLLVSFTVYIIPALAHILTYRSASARLNAAEKPPAFLPSWSGMFVVNVFVVGWVLVVGFGLGGWASVTNFVKQIDTFGLFAKCYQCPPKPANH* >Brasy4G080800.1.p pacid=40087680 transcript=Brasy4G080800.1 locus=Brasy4G080800 ID=Brasy4G080800.1.v1.1 annot-version=v1.1 MPHENHGNATPSLSLFSPDYPETDPLSGFNPSTFAADPLVRFNPNAFASPPLRRGPLSYGGFSPSASFQQFPAGCNQPVPNPFGGMSQGDSIMADMINDGSQHAHYTYTQEQEAYAGEDAEDRGPKWTSKQDECLAEAWKVVSMDPFTGANQKGRHLLKAVRDVDPRSQRWGMIQQACNKWHGIQEEVRRRPQSGNNAHDQMVAMFTEFREDNDGVEFKFIHVFARIETCDKWTETRNALSMSGTYDPKAAPPAAAEGRPIGHKKAKAMRDVVPATERLNTCIEKCMSDAAAQAAKREELAAKREEVAASRWATVIKKQDDKLEILKANVAAKKRREDLLILTCDTTGMDAEVKAWYDGQRRLILAEARAAPATSTPSALSPPETATPATSTPPAGSEVPSTPTDDEGAE* >Brasy4G074100.1.p pacid=40087681 transcript=Brasy4G074100.1 locus=Brasy4G074100 ID=Brasy4G074100.1.v1.1 annot-version=v1.1 MGSLQGDVATSPSLSSSTGSNGGGDINNGVDGGGSVRIYACFTHGGSNSLECYEPGPNTWRRVGPIPGVPAGHVLKGFAVVALGDSVFVIGGRLCRRDLTGESHRDTDVGVRADVLRYDARGGEWRGCAPLGVPRFDFACAVCHGRICVAGGLTSLSGARGTAAAEVYDAERGRWTPLPDMSTRRYKCVGVTWQGGFHVVGGFAESTSAAAATSALAGGGDVSSSSALERSSAEVFNCGRGAWEILPGMWQLDVPPYQIVAVAGRLFSSGDCLNSWKGHVEAYDGQLNIWSVMDHSAVPDLSLLASLPPSAQQLYLTMAVVGTRLFFLAGYEVPCDDDEESFRTVSLVHSFDTGAVPGLVSAWSSFQPKMVDQENSVEDGSKELFSQCCSVQLSS* >Brasy4G409900.1.p pacid=40087682 transcript=Brasy4G409900.1 locus=Brasy4G409900 ID=Brasy4G409900.1.v1.1 annot-version=v1.1 MTMGMGAVLEHLRSSAWYYVTPVLAACAPIGVLRTYFNHHLRRPVRRLLPFLDPFVTIDIVAADSSAYSYIYHRDKAKSSDAYAEVLAYLSEVCSREARELCAEGAEEGNGFVLSLRQGQEVADEFQGVTMWWSAVAGNNRSSYEPDKCCRLTFHERHRRLVVEDYLPHVRRTGQEVTFRNRPRRLYSNKADITYISSREDVWSYIEFNHPTTFDTLAMDPARKKTIMDDLDDFHNSRDYYNRIGKAWKRGYLLYGPPGAQAPASPP* >Brasy4G186600.1.p pacid=40087683 transcript=Brasy4G186600.1 locus=Brasy4G186600 ID=Brasy4G186600.1.v1.1 annot-version=v1.1 MLPDAAAAEDPATGSVPPPPPAKVTVKVLFFARARDLTGVAESSVEVPPGSTAGACLGQVLASFPKLEEIRRSMVLALNEEYAPESTAVADGDELAIIPPISGG* >Brasy4G035800.1.p pacid=40087684 transcript=Brasy4G035800.1 locus=Brasy4G035800 ID=Brasy4G035800.1.v1.1 annot-version=v1.1 MMEGTMPPASPTCTRSNSSIWVLEMEKKLGDMNGDPAVEMVQWKRHSIYRVPERIKNLHNSKAYRPELVSLGPFHHGDPELLPMEEHKRRAVVHLVKRSGKPLRDFVVAVAEVAPQLQEAYKDLGGEWRGAGETRERFVELMVTDGCFLLEAMRMDSLRGKVEEDYAPNDPVFSKYGYLYLWNYIQSDMVVVENQLPLLLLQRLLVLLDPDRYQNASWVTALVLNSLCPWRRHLVAINHLGLHPLDILLKSLTHGDHPERTGSTAYVMAPAVEIHEAGIHFKVSGTDSLLGISFERGVLSMPAIRVDDTTEKKFLNLMAFERLHPGAGNDVTAYVIFMDNIISSAKDVALLRSKNIIECGLGSDEEVAKLLSNTLNKGGVMSPSSRLHDVQRQVKARCRMRRNRWRANFIQRYLRNPWVFISLVAAIVLLVATLLQTTYTIWPFYKRAA* >Brasy4G405400.1.p pacid=40087685 transcript=Brasy4G405400.1 locus=Brasy4G405400 ID=Brasy4G405400.1.v1.1 annot-version=v1.1 MDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRTSTAAGPSGPSNFRYNPSDPDDFFAEFMASSKPYSFDQDRGRFHQTHWTSARNGRTEASSGSQKEPSTSTSQLEKPPPVEKTLLCTLEELYNGTKKKMKITRNVPKPDGRLEVETEVLLIEVLPGWKRGTKMTFPNKGDRLHGYLPQDITFVIDVKPHDIYTLEGNNLLVSQEIPLVDALAGTTINLKTLDGRSLPVRVEEVVRPGQEIVIENEGWPIRKEPGKKGSLRIRFDVTFPTRLSSSQRTAIRRIMGS* >Brasy4G405400.2.p pacid=40087686 transcript=Brasy4G405400.2 locus=Brasy4G405400 ID=Brasy4G405400.2.v1.1 annot-version=v1.1 MQDWVLFKCNCKRNCSCFTFMVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRTSTAAGPSGPSNFRYNPSDPDDFFAEFMASSKPYSFDQDRGRFHQTHWTSARNGRTEASSGSQKEPSTSTSQLEKPPPVEKTLLCTLEELYNGTKKKMKITRNVPKPDGRLEVETEVLLIEVLPGWKRGTKMTFPNKGDRLHGYLPQDITFVIDVKPHDIYTLEGNNLLVSQEIPLVDALAGTTINLKTLDGRSLPVRVEEVVRPGQEIVIENEGWPIRKEPGKKGSLRIRFDVTFPTRLSSSQRTAIRRIMGS* >Brasy4G292000.1.p pacid=40087687 transcript=Brasy4G292000.1 locus=Brasy4G292000 ID=Brasy4G292000.1.v1.1 annot-version=v1.1 MAGGGAVAGGGGGGGGGVGRGDSLPQRAWRQYLLQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQKIEKRRLLLKMIFGFAYGGPFGHFLHKVLDYIFKGKKDTKTVAKKVLLEQITSSPWNNILFLFYYGYVVERRPFKEVKTRVRKQYPSVQLSAWMFWPIVGWINHQYVPLQFRVIVHSFVACCWGIFLNLRARAMSLKQS* >Brasy4G309100.1.p pacid=40087688 transcript=Brasy4G309100.1 locus=Brasy4G309100 ID=Brasy4G309100.1.v1.1 annot-version=v1.1 MALVPSSSGSAPVIAEVEMNGGADQGANTVRATVVQASTVFYDTPATLDKAERLIAEAAGYGSQLVVFPEAFVGGYPRGSTFGFGISISLFNPKDKGKDEFRKYHAAAIDVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGQYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFTGLGDEPSPDTVVCPGGSVIISPSGEVLAGPNYDGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKISAAQSGSITKP* >Brasy4G309100.2.p pacid=40087689 transcript=Brasy4G309100.2 locus=Brasy4G309100 ID=Brasy4G309100.2.v1.1 annot-version=v1.1 MALVPSSSGSAPVIAEVEMNGGADQGANTVRATVVQASTVFYDTPATLDKAERLIAEAAGYGSQLVVFPEAFVGGYPRGSTFGFGISISLFNPKDKGKDEFRKYHAAAIDVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGQYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFTGLGDEPSPDTVVCPGGSVIISPSGEVLAGPNYDGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKISAAQSGSITKP* >Brasy4G403200.1.p pacid=40087690 transcript=Brasy4G403200.1 locus=Brasy4G403200 ID=Brasy4G403200.1.v1.1 annot-version=v1.1 MATEDEAREAADGEEVQVEAVDLATAHLPHLDSKLSSHKVEIKDEAKLMDSTEGILKVTEDQVLEKAPSVVEIPPEHTPNGTASSLNGHMDEEKISNEKLQANEQKEEQVEASPDRISTNQSNNSNGGEEDDTLGHGESTTEDTNLLKHENEEHQEHGQQDLEGDVMDDKMGNKDTLKTENDVEATIDAPQGQNLESTNATEDRQPANMPCIPGDEVVPEAPVGVQTSVDPNVEDSDALPDTSDGNTETVEPAKVDKESCPEDEDIAEHANEIVKLEDQPSKQTDDVDADLVQEDVPASKNIDVPEDMSKTEQADELMNGDQEVLNQESSEETSDPVCEKIEEDSREGNMATSEETTPEHDATTTEPALNIQEMQNQESVEEMSDAKEVDTEKTRQQSSVAFEEAVPKDDMATTKPSSGIQHVNVESEEIKGLEDAKAEQTSDQSNVPFAEVAVQDKEVLPTVPTEDTQEVQGLEEEENKCPGTVEIEASNQTHAEEDGIAVSEPHVTELEEEVKDTEATETQEIPHLSHAAPSEELVTQDNSAAIEPHNDDIQQTLEQGSVEVKDTGAPETQGICQEGTISASEEDTVEDDVTADGPTCDAQEVKNVESAEEIKDNAAENIIETSNVATVEEADEEINELRREDISEQKMQGLETEEIKNAGVVETEEASDQGHAALFSDPDQEDVVPSELLKTESTVEVKEAEASKIEAIPQESHVSVSEPASKENIKASDSTGDTQQVNIVQLAEHTEGNNDTRTEEISDQTNGVFVGEAAQGTNDLPSEPTADMQSVQEVESEEKKNAELTDNKEVHNEEPNQEDNLTTSELLVMEPAEVSSNEATEGQSVPQSNVTQLEDQETEENRIVGETLQEPEPDEELKEPASDNKEVHNEEQELIKEHDDEKTEEISNQNNGTIVGETAQENKLLASDSTNDVQARELKEIGDTEDVRSEEACHQTHAEQRLTSNEPEIIESSAQMTGIEATRPEPTLHESNVGSQEEIIQQLQEQESVEFRNNEDTEPQGLSPSAVSTSDQSTPEDNLATEPNPDTHAENLEPETVTEDTEDVKINVGVAEEKTPEEHIQTFEATVDMAAVEEPKLEDTKNTEPVMKYDNITENDLPAEEVKDTEAMEIQEIHKEGSGANIGDLPEDAKSNVAPAEEATSEEHADEHVLATEATVDIPAVQKPEEIKNIEPVEAEDNITATDQPAEEVKENEAMETEAIPHEIIGANISELTEDVNSNVALADETAPEDSVLATDRNIDIPQEQEPLEEIKNTEPAEVESNIIATDLPAEEVRDTEAMKTEATPHESTDANIRELTEDVKSNAALADEAAPEEHVQTTEATAYIPRAQEPQLEEMKNTEPVEMEDNITASDLPAEEMKDTEATEIEAIRHDGTEENINELTENVKSDVALPDEAASDEHVLATEATVDIPAVQKPEEIKNVEPIEVEDNITASDQPAEEVKDTDAKETKAIPHEITDAKITEDVKSNDALADETASEESVLASEGNIDTPRAQEPELEEIKNTEPVEVKGNITASDLPEEEVTNTEAMETETTPHESIDANISEHTEDVKGNSALADEAAPEEQTEATADIPRAQEPELEEIKNAEPVEMEDNKTASDLPVEEMKDTEAMDTEAIPRESTDENINELIEDVKSSAVLADEATVDIPPAQEPELEKIKNTEPVEAEDNITANDLPAEEIQDTEAVGAEKVPQESAAASIQEHNENSILTENAPLADIQTNNTTTETNLEAQEDESAEEVEDIKVMETEQISGLEPGSESNIATIQPTSDIQPENVAEEIMSTEAINNEEISCQETEVAILEDPSPIDKGTAVKEQPVESNEEKGQSSDLGDKTAFTTQKGESATEEDDTQISAEDTIGSSNNIEQMKEESESVTEHDPSQISSDHSDENIAHVEDSDINAELTKQVTAEASQDSVENDAPDVAEKDGAIKSGDHTDDQDNEQHHDVVLQMQVCERSMDAFAIEQQDEAIQNVNLDQHQKEDEEIEKKTEEIQTVEQKDNRADFTTEPLLEPQSTDISTTDRKEDTDVFQAEQTETVATEMLKNEQTLHIPQEYIPSITGAKVENSTEIKGTEEEDAPNNAGSLYANAENYNEDEQEYTEKDAQVQQTCTDEQDETSDETINEEVGHGLASPIEKDLQKTSDPAPSNEEMLQNNPAVVPKNVESGVHREEKECKNMVNDDEQAIRPSEKEVTDEVEEKQEMQNEDIDVHHDEFQTKPEDEEAPEMHPNESPYSADIKMDDIMSPYAETVHGRTDAEPPEIEEKEENKGFTSISEYSVETSKQNDVEQDLSIHQQVADEKLATEHNGAEAETDSKEEMKTGYAELTEVIKFNEAICDEASGADEPLSDENLETFEDNRSLEASSVVTASGETASEDEEHHKLALPAHSTLDGNTAEQASGLEKTERGQLFPEKPFPTEPEELEENQMDKEQDEEDIHEQEIGGTEKEEKESDLPVSHFLMNLIMGKENNDPDGNSFEAEKKQEETTKDDSGLITSQKEESLVPIPTENKVDDKSIGEQEKQNLEKVSEETHDLKLETGEELSRNTHDLESPVCQYNAHDESIELVPGEAPGLVKEIKSRDLKLGPKAIDSVCQEHVEATAEIEEGSLKSTPDDSTSPKASQEHTLEEGRTDLQHESLPENRSSEVADHILLSTELDMGDEKKFSNDTDDLQSPLSTREGSAVIGEKLENEVDKEEENQHATTGGATEEQIEILHDNSRTGSEETSDKQTPRITEPASGTEISLVHEKKISAGSECMDEKQNYKFSNHVLENSEKALEIQSDSPSMHMNQDKQDESADNQIVEKNNLLEKSEEPNLQEQETGIAQKNPKESDEGDQNFLTIREPMVQEDNVNRTVESHVKTLDTISNEEQGTFNSQVQARDLDLVSPKEAHEAEENFVEMAKPEFSTDEEQSLNKSESNMAEEKTCDRKTQDEEEATNFTDDATLKTEEQVAVQKASHNQKHDLDVFSPKEAPETEENFVDIKKAEFSTDEEQSPKKDGSNIAEEKSYDERTKNDEEAKNFTDEAAMRVEEREAGQKASPKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS* >Brasy4G403200.2.p pacid=40087691 transcript=Brasy4G403200.2 locus=Brasy4G403200 ID=Brasy4G403200.2.v1.1 annot-version=v1.1 MATEDEAREAADGEEVQVEAVDLATAHLPHLDSKLSSHKVEIKDEAKLMDSTEGILKVTEDQVLEKAPSVVEIPPEHTPNGTASSLNGHMDEEKISNEKLQANEQKEEQVEASPDRISTNQSNNSNGGEEDDTLGHGESTTEDTNLLKHENEEHQEHGQQDLEGDVMDDKMGNKDTLKTENDVEATIDAPQGQNLESTNATEDRQPANMPCIPGDEVVPEAPVGVQTSVDPNVEDSDALPDTSDGNTETVEPAKVDKESCPEDEDIAEHANEIVKLEDQPSKQTDDVDADLVQEDVPASKNIDVPEDMSKTEQADELMNGDQEVLNQESSEETSDPVCEKIEEDSREGNMATSEETTPEHDATTTEPALNIQEMQNQESVEEMSDAKEVDTEKTRQQSSVAFEEAVPKDDMATTKPSSGIQHVNVESEEIKGLEDAKAEQTSDQSNVPFAEVAVQDKEVLPTVPTEDTQEVQGLEEEENKCPGTVEIEASNQTHAEEDGIAVSEPHVTELEEEVKDTEATETQEIPHLSHAAPSEELVTQDNSAAIEPHNDDIQQTLEQGSVEVKDTGAPETQGICQEGTISASEEDTVEDDVTADGPTCDAQEVKNVESAEEIKDNAAENIIETSNVATVEEADEEINELRREDISEQKMQGLETEEIKNAGVVETEEASDQGHAALFSDPDQEDVVPSELLKTESTVEVKEAEASKIEAIPQESHVSVSEPASKENIKASDSTGDTQQVNIVQLAEHTEGNNDTRTEEISDQTNGVFVGEAAQGTNDLPSEPTADMQSVQEVESEEKKNAELTDNKEVHNEEPNQEDNLTTSELLVMEPAEVSSNEATEGQSVPQSNVTQLEDQETEENRIVGETLQEPEPDEELKEPASDNKEVHNEEQELIKEHDDEKTEEISNQNNGTIVGETAQENKLLASDSTNDVQARELKEIGDTEDVRSEEACHQTHAEQRLTSNEPEIIESSAQMTGIEATRPEPTLHESNVGSQEEIIQQLQEQESVEFRNNEDTEPQGLSPSAVSTSDQSTPEDNLATEPNPDTHAENLEPETVTEDTEDVKINVGVAEEKTPEEHIQTFEATVDMAAVEEPKLEDTKNTEPVMKYDNITENDLPAEEVKDTEAMEIQEIHKEGSGANIGDLPEDAKSNVAPAEEATSEEHADEHVLATEATVDIPAVQKPEEIKNIEPVEAEDNITATDQPAEEVKENEAMETEAIPHEIIGANISELTEDVNSNVALADETAPEDSVLATDRNIDIPQEQEPLEEIKNTEPAEVESNIIATDLPAEEVRDTEAMKTEATPHESTDANIRELTEDVKSNAALADEAAPEEHVQTTEATAYIPRAQEPQLEEMKNTEPVEMEDNITASDLPAEEMKDTEATEIEAIRHDGTEENINELTENVKSDVALPDEAASDEHVLATEATVDIPAVQKPEEIKNVEPIEVEDNITASDQPAEEVKDTDAKETKAIPHEITDAKITEDVKSNDALADETASEESVLASEGNIDTPRAQEPELEEIKNTEPVEVKGNITASDLPEEEVTNTEAMETETTPHESIDANISEHTEDVKGNSALADEAAPEEQTEATADIPRAQEPELEEIKNAEPVEMEDNKTASDLPVEEMKDTEAMDTEAIPRESTDENINELIEDVKSSAVLADEATVDIPPAQEPELEKIKNTEPVEAEDNITANDLPAEEIQDTEAVGAEKVPQESAAASIQEHNENSILTENAPLADIQTNNTTTETNLEAQEDESAEEVEDIKVMETEQISGLEPGSESNIATIQPTSDIQPENVAEEIMSTEAINNEEISCQETEVAILEDPSPIDKGTAVKEQPVESNEEKGQSSDLGDKTAFTTQKGESATEEDDTQISAEDTIGSSNNIEQMKEESESVTEHDPSQISSDHSDENIAHVEDSDINAELTKQVTAEASQDSVENDAPDVAEKDGAIKSGDHTDDQDNEQHHDVVLQMQVCERSMDAFAIEQQDEAIQNVNLDQHQKEDEEIEKKTEEIQTVEQKDNRADFTTEPLLEPQSTDISTTDRKEDTDVFQAEQTETVATEMLKNEQTLHIPQEYIPSITGAKVENSTEIKGTEEEDAPNNAGSLYANAENYNEDEQEYTEKDAQVQQTCTDEQDETSDETINEENPAVVPKNVESGVHREEKECKNMVNDDEQAIRPSEKEVTDEVEEKQEMQNEDIDVHHDEFQTKPEDEEAPEMHPNESPYSADIKMDDIMSPYAETVHGRTDAEPPEIEEKEENKGFTSISEYSVETSKQNDVEQDLSIHQQVADEKLATEHNGAEAETDSKEEMKTGYAELTEVIKFNEAICDEASGADEPLSDENLETFEDNRSLEASSVVTASGETASEDEEHHKLALPAHSTLDGNTAEQASGLEKTERGQLFPEKPFPTEPEELEENQMDKEQDEEDIHEQEIGGTEKEEKESDLPVSHFLMNLIMGKENNDPDGNSFEAEKKQEETTKDDSGLITSQKEESLVPIPTENKVDDKSIGEQEKQNLEKVSEETHDLKLETGEELSRNTHDLESPVCQYNAHDESIELVPGEAPGLVKEIKSRDLKLGPKAIDSVCQEHVEATAEIEEGSLKSTPDDSTSPKASQEHTLEEGRTDLQHESLPENRSSEVADHILLSTELDMGDEKKFSNDTDDLQSPLSTREGSAVIGEKLENEVDKEEENQHATTGGATEEQIEILHDNSRTGSEETSDKQTPRITEPASGTEISLVHEKKISAGSECMDEKQNYKFSNHVLENSEKALEIQSDSPSMHMNQDKQDESADNQIVEKNNLLEKSEEPNLQEQETGIAQKNPKESDEGDQNFLTIREPMVQEDNVNRTVESHVKTLDTISNEEQGTFNSQVQARDLDLVSPKEAHEAEENFVEMAKPEFSTDEEQSLNKSESNMAEEKTCDRKTQDEEEATNFTDDATLKTEEQVAVQKASHNQKHDLDVFSPKEAPETEENFVDIKKAEFSTDEEQSPKKDGSNIAEEKSYDERTKNDEEAKNFTDEAAMRVEEREAGQKASPKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS* >Brasy4G059600.1.p pacid=40087692 transcript=Brasy4G059600.1 locus=Brasy4G059600 ID=Brasy4G059600.1.v1.1 annot-version=v1.1 MPLGGGDHGCTEHYHPPATGHDDNTPPQPPPLFPATTEEAFEISKKQQRLEEEYLPENALFEILSRVPYKSLCRFRCVSKPWLALCSDPAVRKLSPQTLSGFFFNDGRRLSFRNLSGGGAPLIDPALPFLRRTYKRFELQDDGCCRSSTILLLKWEEARIPVRRVQPCH* >Brasy4G136400.1.p pacid=40087693 transcript=Brasy4G136400.1 locus=Brasy4G136400 ID=Brasy4G136400.1.v1.1 annot-version=v1.1 MASSSSTASSRDDGDESQVEITSSRHMTESVTAAVTFEVTNAAASSMGNGESLRSRVFGAGGYDWQVRLYPQGPSGYDDDNPLTLYYLSQASHVRAEIALAVHRRTKGLKDQESQKVAGYGPKEKLFYPGEHCRLPLYLEMSYGSHGRPRLRALSEFGGGDGCFTVRCALTVVSSESPPLELPVDLECMLWDGTGADVTFLMAGSGHELRAHRALLAARSPVFQAQFFGPMAEKDMGRVEVVDVDPAVFQMMLHYVYTDSLPQRDEEEEEGRGGRGGGYGAAAMQHLLVAADRYGMERLKRMCEEELCKRIDVDAVMSTWALADRYGCRRLKRACVAFMASSPDVLGDVLESDEFKENFVASNWRPLALEGGATNKRSRQDEQEEIDPSGKSKRIST* >Brasy4G429500.1.p pacid=40087694 transcript=Brasy4G429500.1 locus=Brasy4G429500 ID=Brasy4G429500.1.v1.1 annot-version=v1.1 MIDDETDLASLTDALEEDVQAAAKFRPKARAKSRKPSMTSRSSQLPSPRVEEPDEKVETSNRDNLSQEMTSSPTLLGSEITGAAAAASEGGFGAPSDDVSTVSAVGRVSENEDRGHDPSEVDTHQGDLVVSDTQASSSCLGGKTIDDLADFEGLCDTDAEQGRVAKFKPKNQLKLSKAASKSRKVDRKAVAPTIEVASQSKDTNQAPTDQEPVHTSDSRTSLGTSNSVVDNLADQESMLEETVKEETVAKVSKSRDVVSQDARIDSPDEVLTSDIHPKPRDQEAIAVPDSWPPQDDANIDLDSQEELINHLIDDTQQIVEEPSAEATAKFQPNVKRKKGKGKSVTFILPDASDGVAPADTSSERSNINHYCNDIGADESLNNLLEQTAQKHSLAEKQHLNDQECTNRESQYHEGGPSDHAVEKQPDLSMKLRSRKKVLKVGISEHTTDNNFDEDYVEPLAAEQDNDSGEEYIAGGKLKVRRKSRAKDTNKEPLRGSKRTSKDSTVEESQQQKLQKEKGEVTPRGRKRASKDTLAEQPAKKLAHRIRQKRTKEFRTLLEKPDHEIDRMKLSVTHLRLLQEARERIKSKTIPSGPSYSNQSSQFGDTDDFDPFGEYDNGRTENHMFENTKLNYHSYMNKQTRAKWSKTDTDLFYQGLQQFGSDFAMIQQLFPDKTRDQVRQKFKTEEKKHPMQVHDAILHRSRDNSYLKQVIKNLNIEDLPIDVNKSMRKQEDTSNEGNPGNENVLDDIIDGEEENDLNWSDKGQGTQVGSEVKEAGEPVSSAKADPDLDVFDWY* >Brasy4G429500.4.p pacid=40087695 transcript=Brasy4G429500.4 locus=Brasy4G429500 ID=Brasy4G429500.4.v1.1 annot-version=v1.1 MIDDETDLASLTDALEEDVQAAAKFRPKARAKSRKPSMTSRSSQLPSPRVEEPDEKVETSNRDNLSQEMTSSPTLLGSEITGAAAAASEGGFGAPSDDVSTVSAVGRVSENEDRGHDPSEVDTHQGDLVVSDTQASSSCLGGKTIDDLADFEGLCDTDAEQGRVAKFKPKNQLKLSKAASKSRKVDRKAVAPTIEVASQSKDTNQAPTDQEPVHTSDSRTSLGTSNSVVDNLADQESMLEETVKEETVAKVSKSRDVVSQDARIDSPDEVLTSDIHPKPRDQEAIAVPDSWPPQDDANIDLDSQEELINHLIDDTQQIVEEPSAEATAKFQPNVKRKKGKGKSVTFILPDASDGVAPADTSSERSNINHYCNDIGADESLNNLLEQTAQKECTNRESQYHEGGPSDHAVEKQPDLSMKLRSRKKVLKVGISEHTTDNNFDEDYVEPLAAEQDNDSGEEYIAGGKLKVRRKSRAKDTNKEPLRGSKRTSKDSTVEESQQQKLQKEKGEVTPRGRKRASKDTLAEQPAKKLAHRIRQKRTKEFRTLLEKPDHEIDRMKLSVTHLRLLQEARERIKSKTIPSGPSYSNQSSQFGDTDDFDPFGEYDNGRTENHMFENTKLNYHSYMNKQTRAKWSKTDTDLFYQGLQQFGSDFAMIQQLFPDKTRDQVRQKFKTEEKKHPMQVHDAILHRSRDNSYLKQVIKNLNIEDLPIDVNKSMRKQEDTSNEGNPGNENVLDDIIDGEEENDLNWSDKGQGTQVGSEVKEAGEPVSSAKADPDLDVFDWY* >Brasy4G429500.2.p pacid=40087696 transcript=Brasy4G429500.2 locus=Brasy4G429500 ID=Brasy4G429500.2.v1.1 annot-version=v1.1 MIDDETDLASLTDALEEDVQAAAKFRPKARAKSRKPSMTSRSSQLPSPRVEEPDEKVETSNRDNLSQEMTSSPTLLGSEITGAAAAASEGGFGAPSDDVSTVSAVDAEQGRVAKFKPKNQLKLSKAASKSRKVDRKAVAPTIEVASQSKDTNQAPTDQEPVHTSDSRTSLGTSNSVVDNLADQESMLEETVKEETVAKVSKSRDVVSQDARIDSPDEVLTSDIHPKPRDQEAIAVPDSWPPQDDANIDLDSQEELINHLIDDTQQIVEEPSAEATAKFQPNVKRKKGKGKSVTFILPDASDGVAPADTSSERSNINHYCNDIGADESLNNLLEQTAQKHSLAEKQHLNDQECTNRESQYHEGGPSDHAVEKQPDLSMKLRSRKKVLKVGISEHTTDNNFDEDYVEPLAAEQDNDSGEEYIAGGKLKVRRKSRAKDTNKEPLRGSKRTSKDSTVEESQQQKLQKEKGEVTPRGRKRASKDTLAEQPAKKLAHRIRQKRTKEFRTLLEKPDHEIDRMKLSVTHLRLLQEARERIKSKTIPSGPSYSNQSSQFGDTDDFDPFGEYDNGRTENHMFENTKLNYHSYMNKQTRAKWSKTDTDLFYQGLQQFGSDFAMIQQLFPDKTRDQVRQKFKTEEKKHPMQVHDAILHRSRDNSYLKQVIKNLNIEDLPIDVNKSMRKQEDTSNEGNPGNENVLDDIIDGEEENDLNWSDKGQGTQVGSEVKEAGEPVSSAKADPDLDVFDWY* >Brasy4G429500.3.p pacid=40087697 transcript=Brasy4G429500.3 locus=Brasy4G429500 ID=Brasy4G429500.3.v1.1 annot-version=v1.1 MIDDETDLASLTDALEEDVQAAAKFRPKARAKSRKPSMTSRSSQLPSPRVEEPDEKVETSNRDNLSQEMTSSPTLLGSEITGAAAAASEGGFGAPSDDVSTVSAVDAEQGRVAKFKPKNQLKLSKAASKSRKVDRKAVAPTIEVASQSKDTNQAPTDQEPVHTSDSRTSLGTSNSVVDNLADQESMLEETVKEETVAKVSKSRDVVSQDARIDSPDEVLTSDIHPKPRDQEAIAVPDSWPPQDDANIDLDSQEELINHLIDDTQQIVEEPSAEATAKFQPNVKRKKGKGKSVTFILPDASDGVAPADTSSERSNINHYCNDIGADESLNNLLEQTAQKECTNRESQYHEGGPSDHAVEKQPDLSMKLRSRKKVLKVGISEHTTDNNFDEDYVEPLAAEQDNDSGEEYIAGGKLKVRRKSRAKDTNKEPLRGSKRTSKDSTVEESQQQKLQKEKGEVTPRGRKRASKDTLAEQPAKKLAHRIRQKRTKEFRTLLEKPDHEIDRMKLSVTHLRLLQEARERIKSKTIPSGPSYSNQSSQFGDTDDFDPFGEYDNGRTENHMFENTKLNYHSYMNKQTRAKWSKTDTDLFYQGLQQFGSDFAMIQQLFPDKTRDQVRQKFKTEEKKHPMQVHDAILHRSRDNSYLKQVIKNLNIEDLPIDVNKSMRKQEDTSNEGNPGNENVLDDIIDGEEENDLNWSDKGQGTQVGSEVKEAGEPVSSAKADPDLDVFDWY* >Brasy4G119900.1.p pacid=40087698 transcript=Brasy4G119900.1 locus=Brasy4G119900 ID=Brasy4G119900.1.v1.1 annot-version=v1.1 MKVPVGFLAKLWSLVSFLPFFLLLLLLGSTKAVLIGPVVVIIVFLGDSAVIVGLWPAHFIWTYCCVLKTKRIGPVLKILAVLFLPLPLVLLPVLGILGSLLVGIGYGVFAPLMATFEAVGEGVADKLTHCFLDGTASTIRGACMVVHDVTDFCFHSYFSFMDELSEKMGDDEKPLDIKLTYLPRSFLVALVAVPVDVLMITGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFLSSFFFGFHAGLISYQEASFQMGLSYMISAVALFDEYTNDLLYLREGSCLPRPKYRKAESSKVETSRDKDEFNAAAESAEKQHHHHRKPRRVLQRSKTIMETIQRLRPIQIWDWLFRSCEINGRILLSEGLISCEDIEQFITKGKGKKLSIKLPAWCILHCLIRSAKHDSHGLLISDNVEVTNFNWPKDRVIDWMLGPLLVLKEQMKKLELTEDEESCLQKLIMTNENEKPSDWDDCGFPSSDSVKRAQLQAIIRRLQGIVGNMSRIPGFRRRFLNLTRALYLEAIEAGAIDGTRDIKRRVKEDITSEKLQDNKDVVERKGPSNGTSGGIDIV* >Brasy4G004200.1.p pacid=40087699 transcript=Brasy4G004200.1 locus=Brasy4G004200 ID=Brasy4G004200.1.v1.1 annot-version=v1.1 MALLVVVLLLAVVVALASAAAPPPPPCSRSCATVNCDSVGIRYGKFCGVGWSGCEGEEPCDDLDACCRDHDHCVDNKGLMSIKCHEKFKNCMRKVKKAGKVGFSSKCPYELAMATMTQGMDMAIMLSQLGSQKMEL* >Brasy4G414000.1.p pacid=40087700 transcript=Brasy4G414000.1 locus=Brasy4G414000 ID=Brasy4G414000.1.v1.1 annot-version=v1.1 MDVDSEEAGRKRETHHGSEMAGGSRRTSKKISETTKWPSVIELMDASAKVSREKYMKRLVAKRNAENPDAAPLVLEELTEQQRTSLKEYDLARDFVLAEAYVASRMEEYSRVEELDPNQDNDDRVYQEDRERWGRKWAKEFGSFEDKTRIPAMCFTDDPMFHITRDLPTMQIFSVKVKEVHEKLQWALDVYGIIAVRDDIDHNRNVIFERTRDNCQTLDEQDPYLVLTGPARAPVMLFEPVRLDVMLKVTCTDKSEDKDLSLLVRRYECCESINYQASHEELVANSCVGSKKFKSKRSTLELTCGIVVSSVEATISVRIVEGS* >Brasy4G309500.1.p pacid=40087701 transcript=Brasy4G309500.1 locus=Brasy4G309500 ID=Brasy4G309500.1.v1.1 annot-version=v1.1 MSSRDIGAAGSIFHVYQHLPMTASTALAPAAAAAGADAGGGLLLSSPAPSKKSVKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSIVAATGSGTTPAAFVSSAPSTSSSSHHGTLLGKRPRQDFDGASAFWASSVLPRQADAWGFSPLETQAASSYMPMAHHLNLVAALSGAARRAEEETR* >Brasy4G286200.1.p pacid=40087702 transcript=Brasy4G286200.1 locus=Brasy4G286200 ID=Brasy4G286200.1.v1.1 annot-version=v1.1 MAGIMGAGAGGSGMASSRVPTWRERENNRRRERRRRAIAAKIFSGLRSYGNYTLPKHCDNNEVLKALCDEAGWTVEPDGTTYRKGSKPPTAAERAGDLLAHSGSPSPCSSYQVSPRASSSSSHITLGGGGGYFGGGVEGSSLIPWLKNLSASTSNNIASSSKYPGGAHHHHAYFNGGSISAPVTPPSESPPRTPRFKRGWGEYPGAQVQPPWAGAGSSRGYGGGYGSYNYNYASLPNSTPPSPGGRKKFIAPDPAWLAGFQISSAGPSSPTYNLMASQNPFVAVREAAAAATAGSSRMYTPGQSGACSPVVGGAGLVIRGDTQMADRAAADDFAFGNGGGNGRVRGLLKAWEGERIHEESASDELELTLGNSSTRAGADQA* >Brasy4G054300.1.p pacid=40087703 transcript=Brasy4G054300.1 locus=Brasy4G054300 ID=Brasy4G054300.1.v1.1 annot-version=v1.1 MASPSCASTLPWTSAFSGSSSSPRLQTRRATSLVIVAQGKVKKYRQVILMDDIEEVGGKKGDTMKVRAGFYRNFLLPKGKATLLTPDVLKEMQLEQERIEAEKQRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTSQDLVEIIKSQLNRDVDKRLVEVPEIREVGEYVAEIKLHPDVTARVRLTVYAK* >Brasy4G277500.1.p pacid=40087704 transcript=Brasy4G277500.1 locus=Brasy4G277500 ID=Brasy4G277500.1.v1.1 annot-version=v1.1 MANGGGEGKAGAGYQYGPYGVVREERQWWPWLVPTVLGACVTVFAVEMYNNDCPRHGSALGGGDAPCVAGFLRRFAFQPLRENPLLGPSSATLEKLGALDWAKVVHQHQGWRLISCIWLHAGLIHLIVNMLSLLFIGIRLEQQFGFVRIGIIYLLSGFGGSVLSALFLRNHYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIVINLAIGILPHADNFAHIGGFVSGFLIGFVLLARPQFGWMERHELPQTDQPPKYKTYQYILWGVALLLLLVGYVVSLALLFKGKNGNDGCHWCRYLNCVPTSRWKCGT* >Brasy4G158700.1.p pacid=40087705 transcript=Brasy4G158700.1 locus=Brasy4G158700 ID=Brasy4G158700.1.v1.1 annot-version=v1.1 MASTLSSAAAAAFPGAGGGGARLEALAMDKVAEAADAVAAASSVGEVVRAIHAVAVLLFPVDSATVAGTMDEPFRSQIISVANLRHDERDFWRHAFYHGPAFPTMSKILLSNATLKWLQTIHTSVRKELYDSFFIRGPTTEVIQALVPALSQNEDSKEDNNIFCLNVERLLILCLLDNKGVAQIVEELTFSNTLDDGILNPDKTTFISRVAQLLASVPDKARMRASTALTSSLFFKSTVSQLLVRAEEAATELSADKDANKHDSLSSLFLFVGEVLSRVSRRGSTGILIAELIPMIRNHLQRCVASDKKTIIPNMVKQVPQSRFWFNMVEALRDQHSIERLTEEMLRQLASQNISDEEAYWILWTLFNQSSVHMTVMRAMFIDKFLLWKTFPLCCLRWILHYAVFEFPPNSVTETQMQRTSNFFVTLQSLVSVWSKKEFVQSYSVEQQAYITAAIGLCLEKMSKSELETAKDVSNYILQGVSCRLESPIDLVRKMASAIALTFSIVVDPKNPLHLDDDCSENVDWAFGFLSPKAITAPSYGVELKSKPKPSLRESMRYGNEKKVKAIKHDNASNRTKIVEIESLDSDEMSDPAVNFEDNCDEESMNIDASSDSSLEPYDLLDDDTDLQKNFTHLSDLAAALRKSDDLDGVESALRCAEKLVRASPEELRHDSADLVKALVHVRCSDVAIEGQEDSVEENRHKALVALLVTSPFESLNILTELVYSPTVDVGQRILIIDVMTEAAQELAESKIVKREQRHGNLIWDTSPSWLIPKDRGPPGASRWREVSEPGTLLNWSRRYEREVPTRSGQVKSGKSRKWGLAKAKDLQEEWSKNRFPLYAAAFMLPVMQGYDKRRHGVDLLNRDFVVLGKLIYMLGVCMKCITMHPEASALAPALLDMLRSREVSQHAEAYVRRSVLFAASCIMIALHPSYVASALIEGNQDISTGLEWIRTWAFQIAEADPDTECTSMAMTCLRLHSEMALQTSRALESAEHSKAGTRALPSKLDNIIIPFANMM* >Brasy4G158700.2.p pacid=40087706 transcript=Brasy4G158700.2 locus=Brasy4G158700 ID=Brasy4G158700.2.v1.1 annot-version=v1.1 MDEPFRSQIISVANLRHDERDFWRHAFYHGPAFPTMSKILLSNATLKWLQTIHTSVRKELYDSFFIRGPTTEVIQALVPALSQNEDSKEDNNIFCLNVERLLILCLLDNKGVAQIVEELTFSNTLDDGILNPDKTTFISRVAQLLASVPDKARMRASTALTSSLFFKSTVSQLLVRAEEAATELSADKDANKHDSLSSLFLFVGEVLSRVSRRGSTGILIAELIPMIRNHLQRCVASDKKTIIPNMVKQVPQSRFWFNMVEALRDQHSIERLTEEMLRQLASQNISDEEAYWILWTLFNQSSVHMTVMRAMFIDKFLLWKTFPLCCLRWILHYAVFEFPPNSVTETQMQRTSNFFVTLQSLVSVWSKKEFVQSYSVEQQAYITAAIGLCLEKMSKSELETAKDVSNYILQGVSCRLESPIDLVRKMASAIALTFSIVVDPKNPLHLDDDCSENVDWAFGFLSPKAITAPSYGVELKSKPKPSLRESMRYGNEKKVKAIKHDNASNRTKIVEIESLDSDEMSDPAVNFEDNCDEESMNIDASSDSSLEPYDLLDDDTDLQKNFTHLSDLAAALRKSDDLDGVESALRCAEKLVRASPEELRHDSADLVKALVHVRCSDVAIEGQEDSVEENRHKALVALLVTSPFESLNILTELVYSPTVDVGQRILIIDVMTEAAQELAESKIVKREQRHGNLIWDTSPSWLIPKDRGPPGASRWREVSEPGTLLNWSRRYEREVPTRSGQVKSGKSRKWGLAKAKDLQEEWSKNRFPLYAAAFMLPVMQGYDKRRHGVDLLNRDFVVLGRFRNMLRHTSEGLCCLQLLV* >Brasy4G426400.1.p pacid=40087707 transcript=Brasy4G426400.1 locus=Brasy4G426400 ID=Brasy4G426400.1.v1.1 annot-version=v1.1 MSGSTASSPSSPWPRYGPVPLTRCPDCPRVESLVRLTCKRLDNGNFGREFVKCESRPQQGKLLRQCTFFMWIDSYVEKLKIEGKLEGHEIFHEIGEQSRAMARQGGGGAELLFLAELKSMNEKLSQMLELKKEEIQVAKLFYACVVMFGLILVVRNPFM* >Brasy4G062600.1.p pacid=40087708 transcript=Brasy4G062600.1 locus=Brasy4G062600 ID=Brasy4G062600.1.v1.1 annot-version=v1.1 MMQQHQQPPPQHPPEQAGGEFYRGPLQPPMRQLSAASSTNLPPECAAHPGPPQQHQHNQHQHQPPPPPPLQHQQPFDAYGDNFGAKRMRKPLQRRTVATQARSSVMLKLACGSGMQGTDSRCSQLWLLYWTLPSSILWKSLKLPMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINCVVWTRTGRRLITGSQSGEFTLWN* >Brasy4G362500.1.p pacid=40087709 transcript=Brasy4G362500.1 locus=Brasy4G362500 ID=Brasy4G362500.1.v1.1 annot-version=v1.1 MERGGEETKCEDHHVLLSNINICASGKREAVVWGTCIPDGALGRGSSGAGADGGLLGTGLGMVGFEASWGLGGDGGFRSALSSLQSNSATPGEAPSGDGDVSCRPGGDGPRRGDGDGDGEGSVPRTTNLLGQMT* >Brasy4G299800.1.p pacid=40087710 transcript=Brasy4G299800.1 locus=Brasy4G299800 ID=Brasy4G299800.1.v1.1 annot-version=v1.1 MASPPACVWQRGILMGEKCQLPDFSGVINYDPAGNMLAPGRPRAAVPLPPLGW* >Brasy4G054000.1.p pacid=40087711 transcript=Brasy4G054000.1 locus=Brasy4G054000 ID=Brasy4G054000.1.v1.1 annot-version=v1.1 MMNSLLSLGSPEAVSSPRKVEYIHSCACIPYIADAYYGGVLTIYFWPEQMMAWCMVGSCSLWQSAWCQIRTLNLKYHKNKSKSFEFWILLCSEWRHKAMKVLQARGKVKTIRKERMGGGRGKAWKETSKLMSPHYS* >Brasy4G143600.1.p pacid=40087712 transcript=Brasy4G143600.1 locus=Brasy4G143600 ID=Brasy4G143600.1.v1.1 annot-version=v1.1 MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVELLLRYIVEEAPEDAEKKRIFRFPFIACEIFICEVDVIMKTLVEDEDLMNLLFSFLKPDHPHGTLLAGYFGKVVICLMLRKTLPLMNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSSYADSMQWLDDIQVLEMIVDKFSSSDSAEVHANAAEILCAVTRYAPPALATKISSPSFVGRLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDCLGDLLKLLDVSTAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEVAEMRLIHQGAIKRVIDLFFEYPFNNFLHHHVENIIVSCLESKQEQLIAHVLDECQLVTRILDAEKNSALSIDLTKRTISSEGRTPPRIGIVGHITRIANKLIQLANSNIIIQSHLQQNSAWAEWHASILTKRNAVENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSDEDVDEAQASQERDDEDVYFDDESAEVVISSLRLGDEHDSGSLFTNSNWFAFDEADKTLNDDGQETSLSPNLELSSSNVDDDMDEVVLGEPIDGTEGPDSLLAVSDRDSKEESGHVVLTNGPDEKMEDSIRPASPDVKESPPEFVQWTEEDAEPGELSGNTVVLNSEVGNEKAIDASDAVMSDTAQLGEEKGIEKVMDATDGTAQLAEEKESENSVESSVLETTLEKTFPDSPDVNLTGHSEPIDASANLESPMGEQNQESEGKES* >Brasy4G228700.1.p pacid=40087713 transcript=Brasy4G228700.1 locus=Brasy4G228700 ID=Brasy4G228700.1.v1.1 annot-version=v1.1 MFSGAIPDVFGRLKKLESLNLASNGFNGTIPGSLSSCQMLKVVSLRNNSLSGKIDIEFGSLPRLNTLDVGTNKLSGTIPPGLALCAELRVLNLARNKLEGEVPETFKDLKSLSYLSLTGNGFTNLSSALRVLQKLPKLTSLVLTKNFHGGETMPVDGINGFKSIQVLVLANCALSGKIPPWLQTLESLNVLDISWNKLNGRIPLWLGNLNNLFYIDLSNNSFSGELPESFSQMRSLISSNGSSERASTEDLPLFIKKNSTGKGLQYNQVRSFPPSLILSNNLLAGPVLPGFGHLVKLHVLDLSCNNFSGHIPDELSNMSSLEVLNLAHNDLNGSIPSSLTKLNFLSEFDVSYNNLVGDVPTGGQFLTFATEDFVGNSALCLLRNASCSQKAPVVETAQRKKNRASIVALGVGTAVGVILVLWSAYVILSRIVRSRMHECNPKAVANAEDSSGSANSSLVLLFQNNKDLSIEDILKSTNHFDQSYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHENLVLLQGYCKIGNDRLLIYSYMENGSLDYWLHERADDGALLDWPKRLRIARGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLVCAYETHVTTDVVGTLGYIPPEYAQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFHPNVHDKANEGELIRVLEMACLCVTAAPKSRPTSQQLVSWLDDIAENQSLAQ* >Brasy4G252100.1.p pacid=40087714 transcript=Brasy4G252100.1 locus=Brasy4G252100 ID=Brasy4G252100.1.v1.1 annot-version=v1.1 MACAVLLLVVSLAVLGLATGGDAQLQNGFYRGKCSSNDVEAIVQGVVRARFARDPKIVAFLLRLLFHECGVNGCDGGLLIDGFGTEKTALPNLSVNGYDLIAEIKTELERRCPRVVSCSDIEILATRDAVALAGGAKYSVRTGRRDGRQSRASDVNLPAPNSTAAQATSFFGRLGLGPFDTVLLLGAHTVGVTHCGVIKGRLYSHGGKAGATDPSLDPGLASVFKKSVCPNTPSSDTNIVFLDDQPSALRVDNSYYKMLQRRRGVLPVDQNLYGDGSTHWIVDMLANTDNFRALFPQALVKLGEVKVLTGAQGEIRKVCNRFN* >Brasy4G100700.1.p pacid=40087715 transcript=Brasy4G100700.1 locus=Brasy4G100700 ID=Brasy4G100700.1.v1.1 annot-version=v1.1 MARPFRPASPLPLPSSSSTTGGSGGGSFPWLLKKRSSKPAPHRSAQEAQAEADQQEAANAYRFPAAPSTNDEQHPSSSSTAAAAASRRKRADALARLRSAFRAALEHHRRRRRGSQQLGSSVTGTIFGRRRGRVHVALQSTDPRSAASGSSPVLLVELAAYSTGALVREMSSGLVRLALECEKPPLNPGEKRRALLEEPTWRAYCNGRKCGYAVRRECGADEWRVLAAVEPVSVGAGVLPDDDGANNEGEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV* >Brasy4G091000.1.p pacid=40087716 transcript=Brasy4G091000.1 locus=Brasy4G091000 ID=Brasy4G091000.1.v1.1 annot-version=v1.1 MLLRVAAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKERDDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >Brasy4G345100.1.p pacid=40087717 transcript=Brasy4G345100.1 locus=Brasy4G345100 ID=Brasy4G345100.1.v1.1 annot-version=v1.1 MDPWIGSQPSLSLDLHVGLGHHHRHQAQPVVALVKPKMLVEENFLPLKKDPDVAGLEAELRRVSEENRRLGEMLREVAAKYESLQGQYSDMANAANNAANYSHPSSASTEGGSVSPSRKRKSSESLDGHTPSPPTQLSFVPEQQAECTSGEPCDQRVRPAAAEECKPVVSKRYVRADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDRAVLVATYEGEHNHGQPPPAQPQQQQQHDGKNGAAKPPQAPVAAAAALHHQHQQQQQQQKQEQEQAAVVNGETAAAASEMIRRNLAEQMAMTLTMDPSFKAALVTALSGRIFELSPTKDLN* >Brasy4G143700.1.p pacid=40087718 transcript=Brasy4G143700.1 locus=Brasy4G143700 ID=Brasy4G143700.1.v1.1 annot-version=v1.1 MPVARGDLAEHARVHAGGSQLGQPNNVVCGSKTLADSPQLLIPQGAESDLSISLCLPNLQGQMQIFTRRPMLRLRKKCKTRQNSNRSFEMSASSSSSRCSSRALQNPHRSPGIPASSARMSPKSSHIGRKIKL* >Brasy4G143700.2.p pacid=40087719 transcript=Brasy4G143700.2 locus=Brasy4G143700 ID=Brasy4G143700.2.v1.1 annot-version=v1.1 MPVARGDLAEHARVHAGGSQLGQPNNVVCGSKTLADSPQLLIPQGAESDLSISLCLPNLQGQMQIFTRRPMLRLRKKCKTRQNSNRSFEMSASSSSSRCSSRALQNPHRSPGIPASSARMSPKSSHIGRKIKL* >Brasy4G103600.1.p pacid=40087720 transcript=Brasy4G103600.1 locus=Brasy4G103600 ID=Brasy4G103600.1.v1.1 annot-version=v1.1 MVPIMEEPLVGSNTEKTQGPGDNLVVVEIKKQLYLAGPLIAGGLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVIWAYTGQILLFFGLDPEIAMGAGTYIRWLTPALFVYGPLQCQVRFLRAQNIVLPVMLSAGVMALSHVLVCWLLVYKLGLGNSGAALANTISYLVNFLVLALYVKFSQSCKNTWTGLSTEAFHDILSFLRLAVPSALMVCLEWWSFDLMVLLTGFLPNPKLEASVLSISLNTVVLVFRIPSGLGAAVSTRVSNELGAGRPDAACLATRVIMALGVVSGVSLGLLMILVRNLWGYAYSNEEEVVKYIARIMPILAVTFLFDDLQCVLSGILRGCGFQKIGAIINLSAYYLVGIPAALCFAFLYHFGGMGMWLGIVCGLVVQVLLLLFITLSTNWEKEALKAKTRVFGSSLPTDSTT* >Brasy4G185400.1.p pacid=40087721 transcript=Brasy4G185400.1 locus=Brasy4G185400 ID=Brasy4G185400.1.v1.1 annot-version=v1.1 MAMQGSDQGAAATDSSSSWAVAPAAAAAVSAAGPSMSLNGILTMAGIFLVFAMFALALVFLQYRFSNGFPTAPGWPPRIGGAVAAVGNENKGVDPELLRSLPVTVYRAASTKGSAAKEECAVCLAELEDGEEARFLPRCGHGFHAACVDTWLASRSTCPLCRATVAKAEPDVITSTRPRLPPVPPEPANYAAANVVLPASALLLGAYDPVTRTAVPSDGGASSSAPAAAALVIDIPESCVVPRDAAAKSPGSGRLRSLKRLWSFGRPAGSSIPSCSCGSGGGTADVERGTRAELPPEAATPRPAV* >Brasy4G325900.1.p pacid=40087722 transcript=Brasy4G325900.1 locus=Brasy4G325900 ID=Brasy4G325900.1.v1.1 annot-version=v1.1 MSATTAAQQEGLQKTQMQSKRDEGFEPRPELLMAARSGDWQQLERLLLCNEGVHAAAAAPQPVRDVVVVDIEIEEDALTSGEAVTMAGDSVLHVVASRGDGEEFLKSATAIHGKSSRLLFATNKKGDTPLHCAARAGRGRMVTHLVALASAGNRHDGGGKKSWLACPRPMAPRCCIWPYVSLGQEDIARQLHEKDNALSYSGPDGRTALHAAVLVSEETTKMLLEWNKDLMKQAERSTGSTALHLAARDFVDKKRRLNEEEEAQQISEATQPIGLGSALIATVAFAAAFTLPGGYRADDHVNGGTPTLAGHYAFDVFIIADALAFILSILSIAFLISAGVVPMKLLPRLIALDIAKALMASSARSLCAAFAFGLYVELAPVARTTAIASCVITALALLDAAWSVMMILPSELSLATRRGGVVSRRLAGAILWELLLQFWPCIVIASLCFRIKRVH* >Brasy4G346000.1.p pacid=40087723 transcript=Brasy4G346000.1 locus=Brasy4G346000 ID=Brasy4G346000.1.v1.1 annot-version=v1.1 MSWAAIENDPGVFTELLQQMQLKGLQVDELYSLDLDALKDIQPVYGLIILYKWRPPEKDERPVIKDAVPNLFFANQIINSACATQALVSVLLNSSGITLSDDLRKLKEFAKDLPPELKGLAIVNCESIRVSSNSFARSEDSEEQKASSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKTPGGTGDIGWLRMVQPVIQERIDQFSQNEIRFSVMAIAKNRKEMYIVELKELQRKRENLLSQMGDPSVNRQRQSVERSLAEVAAQIEAVTEKIIMEEEKSKKWKTENIRRKHNYVPFLFNFLKILEEKKQLKPLIEKAKQKSPNPK* >Brasy4G027600.1.p pacid=40087724 transcript=Brasy4G027600.1 locus=Brasy4G027600 ID=Brasy4G027600.1.v1.1 annot-version=v1.1 MKSTGGGYHGGPPRSHRVWRERVPRAPPAPRFPGAVPRVPRALQPRPAPMPADGEELGGHRPVARVWRRRVFTENACDDRTPPPARLDRGSSAPPSPPPPAEPARPPQIARHGRRGDALRVWRELVARPPAASPRSSAPHHHHQDTPAASPRSSEPHHQAAPSRAPAQRRAGARRRDPRPALTRRVWVPKGVQVSSRHDDADQPAPPLRHLPVREASHSAPTPRRDFLRMLVTLIFLFKMKTATPTPRRTPTSPRLHHRFVPSLCLRRGTLLLLLLPMGFYLRRSGLLLLMEMTPPLKKPDASMWCTTG* >Brasy4G413600.1.p pacid=40087725 transcript=Brasy4G413600.1 locus=Brasy4G413600 ID=Brasy4G413600.1.v1.1 annot-version=v1.1 MAATCSTTTARTRSFLGCPRSSTARRKEVARLGIDHYFQYEIDDAVGACLDLLHSNDLLDTTLSFRLLREAGCDISADGGWAWDWLKKYQLLGTRF* >Brasy4G036000.1.p pacid=40087726 transcript=Brasy4G036000.1 locus=Brasy4G036000 ID=Brasy4G036000.1.v1.1 annot-version=v1.1 MPTTNQLLLLLALLISSSALVAPAIALPRQYDCVQVIYPGNSVKCYTDCLKKYTQAEGQCVRWGCQCSFYCPLLPRAQPN* >Brasy4G100400.1.p pacid=40087727 transcript=Brasy4G100400.1 locus=Brasy4G100400 ID=Brasy4G100400.1.v1.1 annot-version=v1.1 MALVREPMVLYDGGFDASEASAFDALGCFGHGHDALLGGVDAASLFTGYAHDEPAVGASAYGQDSSYWAGVGASVLAFDRAARGHGAQAMTAAVAQEEEEEECDAWIDAMDEDGQGEAAPAASIGFDPATGCFSLTQRPGAGARRPFGLLFPSASSGAPPPDSVAPAPRGSQKRPSTAIARMQDAEPRASKKQCGASRKTTAKAKSPAPATTSPKDPQSLAAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGMAPEISQVKEALDAILSSQRGQFNYSS* >Brasy4G121500.1.p pacid=40087728 transcript=Brasy4G121500.1 locus=Brasy4G121500 ID=Brasy4G121500.1.v1.1 annot-version=v1.1 MDYRRERLAVLLLLTASLLATATGVVAHNDNAPHRNYLIIVRKPYEYDQHVYKNVSSWHASLLSSVCDMAKEELAADPGALPRLIYSYRNVVNGFAARLSADEVQRMSKMDWFVRAIPEKTYTLMTTHTPRVLGLTGPTIFNPGVWNRSNMGEGIIIGVLDGGISPGHPSFDGTGMPPPPAKWKGRCDFNGSACNNKLIGARSFYESAKWKWKGIDDPVLPIDESVHGTHVSSTAAGAFVPGANAMGSGIGTAAGMAPRAHLALYQVCFEDKGCDRDDILAAIDDAVDEGIDVLSMSLGDDSAGDFAADPIALGGFSSIMRGVFVCTAAGNNGPDPATVANEAPWLLTVAAATTDRRFVANVLLGDGAEISGESHYQPRDYVSVQRPLVKDPGADGTCSNQSLLTADNVRGKIVLCHTGGDATNLEKGVMLRDAGADAFIIISPDFAGSVIQPKAHALPATQVDFLTAKKIEAYINSTQNPTAQLAFKGTAYGNRMSPVVAPFSSRGPSKQNQGIIKPDITGPGVNIIAGVPKPAGLAQPPNELARKFDIMSGTSMAAPHISGIAALMRKAHPTWSPAAIKSAMMTTTDTRDHRRMPILDQDGKPANMFSLGAGFINPAKAMDPGLVYDLSAEDYIPYLCGLGYSNHEVNSIIHPAPPVSCARLPLVQEKDLNYPSIAVILDQEPYVVKVNRAVTNVGRGKAVYVANVEAPASLSVTVMPDRLMFRKVNEVKAFMVTIGSSTGGPMEDGVVEGHLKWVSLKHVVRSPILVSSKKFFQVCRAPPACRSLSPPPPSTSPRRFRPVPGPRLSARRRRPTPSPRRRPRPARARCRRPAPGPRLSVRLRRPRPSPRRRPRPCMLPKPAIQHLASGEPAELAALSRGDQMRPWELRGAACGGRRCRSGGVRRRQGRTAGQGQRSQGRPSELAPLSRGGWRRRSPAAAAAGGGDEDGCEGGGARGERGSQGRLSGQGRRSQGSRRSLLPSPAAGSGVGLRRQLLRTGETKEQAGVSGGFLDTHILRDAPHHLDHQTAMNRRPAARHSANPPIAAAPPPAPFTSSHPPPPRVGERLAPPLRATPC* >Brasy4G430500.1.p pacid=40087729 transcript=Brasy4G430500.1 locus=Brasy4G430500 ID=Brasy4G430500.1.v1.1 annot-version=v1.1 MADEGLEEQAAAAAEAARELREAAAGLAARRAAEEDALRRRAAALDGDVRRLQGSLASLDPATLDKVEEDLERARGAILDGDVAALLPSKGSGKFLKKFLGPVNVRVARKEDKLKVKDEYNNYRDRAAYIFLLFPSTLLLLRWWVWDGCLPALAVQVYQAWLLFLYTSFALRENVLLVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQGFEAYVGVLLLQTAWHGLTSEWQVVVCGILLVVMAVGNFVNTVETLALKLRFKAKMKRTRNRPDQSQGQGGPNRPHQN* >Brasy4G430500.2.p pacid=40087730 transcript=Brasy4G430500.2 locus=Brasy4G430500 ID=Brasy4G430500.2.v1.1 annot-version=v1.1 MNPHLPFTNILHFLSLLYPHFMVYMLLVCVFYDFNFPTCISLPYVQVEEDLERARGAILDGDVAALLPSKGSGKFLKKFLGPVNVRVARKEDKLKVKDEYNNYRDRAAYIFLLFPSTLLLLRWWVWDGCLPALAVQVYQAWLLFLYTSFALRENVLLVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQGFEAYVGVLLLQTAWHGLTSEWQVVVCGILLVVMAVGNFVNTVETLALKLRFKAKMKRTRNRPDQSQGQGGPNRPHQN* >Brasy4G125500.1.p pacid=40087731 transcript=Brasy4G125500.1 locus=Brasy4G125500 ID=Brasy4G125500.1.v1.1 annot-version=v1.1 MSRARLVRGLAALDASGGLHRLSRLLLSDALPELPPPLGQFSAGSHRHQPSAPPPPLHPTASSSPSSTAGRRRAPVALRRPPWMRPRAPPLPRTSGPQPSVTASTTRASGQFGPGGSRRQRHAHGLPMRPRAPPRHRRLGGSDTRAADVAPFAGRVKIPAGYPYPWRVAGMVKFHTRSRVRVAVAGRVVEYGYG* >Brasy4G341700.1.p pacid=40087732 transcript=Brasy4G341700.1 locus=Brasy4G341700 ID=Brasy4G341700.1.v1.1 annot-version=v1.1 MAAVNNNSSSAHPSCSKPAATAPARGGASRYCLCAPTTHPGSFRCRLHRPRAVAAAEAAATAPSGSDQASMEAAAAEEEEKEAAAAARALLARVAGKPRQQDVGRVKGFQPRPSRLRIMDG* >Brasy4G010400.1.p pacid=40087733 transcript=Brasy4G010400.1 locus=Brasy4G010400 ID=Brasy4G010400.1.v1.1 annot-version=v1.1 MEAALVSAATGALKPVLGKLATLVGDEYRRFKEARGEIKSLTHELAAIDAFLEKMSEEEDPDVQDRVWMNEVRELSYDMEDSIDDFMQQVDNKDTKPDGFMEKIKNSLGKMKAWRRIGKEIEGLKKQIVEVAERNARYKTRETFSKTTNATVDPRALAMFEHASKLVGIDEPKKEIIKLLTKEDGSAVTQDQLKLVSIVGSGGMGKTTLANQVYQELKGQFQCWAFLSVSRNPDEMNILRTILSEVNGQGYAETEAGSMQPLIGKISDFLSVKRYFIVIDDIWDVKTWDVIKCALPVNSCGSRIITTTRINNVAESCRSSFNGNIYSIRPLNVVHSRQLFNRRLFNFKEDCPSYLEEVSVRILEKCGGLPLAIIAISGLLANTERTKCLWNQVKDSIGRALERNPDVEGMMKILSLSYFDLPPCLKTCLLYLSIFPEDSIIVKKDLIRRWVAEGFIQKEGRYTVHEIGERCFNELLNRSLIQPAKRNVYGEAPKEACRVHDIILDFIISKSIEENFVTLVGVPNLIARTQGKVRRLSIYAGKKGNSCITTGLALSHARSFSLSGDSVEIPSLDEFRHLRALNFEGCGQLKDRYLVNIGRLFQLRYLNLRTTTIRNLPEEIGHVRCLEMLDLRDTEVRQLPAAIVNLRKLSHLLVDYGVEFPDGIVKMQALEVLKHVGLSTHPFDLMQDLGQLKNLRKLDLDFRSFVENGVRAIVEECHKDVVSCLYNLGTHSLRSLTIWEGSSFLQQEGPLCPVPLTLQKLKIYGFSNLPKWMGSLVNLRWLYLTVDGVGQEELCILGALPALLSLELEATFVSNCLKVGAELGFRYLRCFCYRVLVSGNLVPGLVFAAGSMPRLEGLELSFRVAEELSPAFDIGIENLPCLITVKCQVRGSNRSVEATKAAIERAVSTHPNHPSTEIRQH* >Brasy4G387900.1.p pacid=40087734 transcript=Brasy4G387900.1 locus=Brasy4G387900 ID=Brasy4G387900.1.v1.1 annot-version=v1.1 MPGASSGILLGATTLPSVMLSRLIQLSRVLSTDPNRQEEFAYLEMQYWAVSISCLSMLAFFLWHLRQPANNEISKTLKYGSLMVVLYLMTFFSLFLLKADGGLFMMTKNVYLLCHGMAAVILIQHILEKFPSCSSFGEAILVSSGLVLYFGDMLAHTLSKMEFSSSSEAFIHTPGTRSEIATVIQGILLGLFLLPLLYKSSLQVWIYCRTLVKQRTHKVHKRTEKRIGSLVFYVSLLVVLLLLVPSWTRLVQGLEVHPFVWIVNYMLTNSCERLALCAYWICVICVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLILEMIRVWEVYPLGHTVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAASSILVSLLASSGYILSQHWLSLSVAVTLSLLLEAYTAQLDNAFIPLVFYSLLCL* >Brasy4G051100.1.p pacid=40087735 transcript=Brasy4G051100.1 locus=Brasy4G051100 ID=Brasy4G051100.1.v1.1 annot-version=v1.1 MKRRSIPEDLIINEILILLPVKSLLRCRCVCKAWHSAISSRHLIELHRQQSQSKVHLLHGSYDIPDGVNSINIERLTEEDKLEDYYRLPLLENFVMINSCRDLICLAYDDGYLLSNPATRELVYLPHASWDLEDTHFTGFGFVSSLGKYKVISVTLGTPDTCEVFTVGLDCSWRKAESPPCPAFTVSGRTSYVNGNLHMLSPDSFDENRELLLFNLEKEAWSVKPLPDWPSQFDWPIELREMQGLLCFICCIPDNRIDIWILRDYANNVWSKDFVIDVTQLVGMPDEMDFGLGPHYFGWFPLEVMTDGRIFLQKDAIDDDRWFYYDPWDGSIQLADQTGMFHRTFRYGENLVPILGF* >Brasy4G137300.1.p pacid=40087736 transcript=Brasy4G137300.1 locus=Brasy4G137300 ID=Brasy4G137300.1.v1.1 annot-version=v1.1 MARPKKAKAAPTPAPAPPPVCEALLLATVCMVGLPVEVRVRDGSAYAGVFHTACVDGGYGVVLKKSRKIANGKDEANISLGAFMDTLVVLPDDLVQVVAKDVSLPTKNNFKTAACDVVPASGSVEPQTSHLKDPKASRQVEKCSRLYQTSDISIGKIAQLNSNAISFVSPMEHVAPDGISSSAAVGSKEGNATISVLSTPVVAPNVKTSQATNSSATKIFMSSNTTCKESKLNPHAKVFSPSFASSRPVLAVAPPVNPNYISNSVAGVPTGVPVFETHSLPGSSSLSSKVVHYNNLAPGNFGASPQYVQSIVGHNVTRLDPARLGTPYHPLQVGSAFINPSPQPVVDAKFSPVVYVHPVMQDSMHGTPVISQGWPRPVLLNSYQAGLQKFQGTTPIYGAPPVMVTGNLPMVVPNPSPLVQPFQAIHPILVPSATNMFPGKYM* >Brasy4G137300.2.p pacid=40087737 transcript=Brasy4G137300.2 locus=Brasy4G137300 ID=Brasy4G137300.2.v1.1 annot-version=v1.1 MARPKKAKAAPTPAPAPPPVCEALLLATVCMVGLPVEVRVRDGSAYAGVFHTACVDGGYGVVLKKSRKIANGKDEANISLGAFMDTLVVLPDDLVQVVAKDVSLPTKNNFKTAACDVVPASGSVEPQTSHLKDPKASRQVEKCSRLYQTSDISIGKIAQLNSNAISFVSPMEHVAPDGISSSAAVGSKEGNATISVLSTPVVAPNVKTSQATNSSATKIFMSSNTTCKESKLNPHAKVFSPSFASSRPVLAVAPPVNPNYISNSVAGVPTGVPVFETHSLPGSSSLSSKVVHYNNLAPGNFGASPQYVQSIVGHNVTRLDPARLGTPYHPLQVGSAFINPSPQPVVDAKFSPVVYVHPVMQALPQSMGLRQSW* >Brasy4G039400.1.p pacid=40087738 transcript=Brasy4G039400.1 locus=Brasy4G039400 ID=Brasy4G039400.1.v1.1 annot-version=v1.1 MLPHTTANPSLERHQNGQTARSSVRPARRRRCRRGKCQHCRDRARSGRRVFLHRARRRGLLTTRAYASFLRRHVPEDMLRQAPPRVLYSYKHAATGFAARLTSRQAAHLASQGSVLAVVPDTMLELHTTLTPSFLGLSESSGLLPEASNGAADVVIGVVDTGIYPIDRASFAADPSLPPPPTTFRGGCVSAAASFNASMYCSNKLVGAKAFYEGYEAERGGPMNETEESRSPLDTAGHGTHTASTAAGSAVPDASFYGYAKVRAVGMAPGARVASYKACWKHGCASSGVLAAFDEAIADGVDVISLSLGASGWALPFDMDAMAVGAFSAVRKGIVVSASAGNAGPGEATVVNVAPWFLTVGASTINRRFPADVVLGSGETFTGTSLYAGEPLGAAKISLVYGHTVGSKTCEPAKLNASLAAGKIVLCDSSVVNTRAEQEQAIKLAGGAGAIFASTKEFGQQALTSSHVLPATAITFADAKKIHKYISKQASPVATIVFRGTVVGNKTPPSPRMASFSSRGPNFRAPEILKPDVTGPGVDILAAWTGASSPTGLDSDTRRVPFNIISGTSMSCPHVSGVVALLRQARPEWSPAAIKSALMTTAYNLDSAGKIIGDMATGKESTPFARGAGHVNPNRALDPGLVYDAGTEDYIAFLCALGYTAEQIAIFTRDGTAVADCSNGGSVGDHNYPAFSAVFTSRMPGAVVTQRRVVRNVGSDVDATYSATVTSPAGVRVTVSPETLRFSATEEKQGFEVSFASQGKGRGTKEAYGFGSIVWSDGEHTVTSPVALTWPPSRISEI* >Brasy4G150500.1.p pacid=40087739 transcript=Brasy4G150500.1 locus=Brasy4G150500 ID=Brasy4G150500.1.v1.1 annot-version=v1.1 MHSSLALRSGPAAMPSPGSPCCGSLHATANHRSWSFSAGVWPMLSMDAELLWCFEFEEGASEQDGGYRSRR* >Brasy4G045700.1.p pacid=40087740 transcript=Brasy4G045700.1 locus=Brasy4G045700 ID=Brasy4G045700.1.v1.1 annot-version=v1.1 MALPLLLAFSLLFSVATPVRDVTDACSSEVMDFQHLNHSSSAVHLPLHHPRGPCSPLSADIPFSAVLTHDAARIASFAARLAKSSPSSSASASASLSRSASSGSSSSLASVPLTPGTSVGVGNYVTRMGLGTPAKPYIMVVDTGSSLTWLQCSPCRVSCHRQSGPVFDPKTSSSYAAVSCSSPQCDGLSTATLNPAACSASNVCIYQASYGDSSFSVGYLSKDTVSFGANSVPNFYYGCGQDNEGLFGRSAGLMGLARNKLSLLYQLAPTLGYSFSYCLPSTSSSGYLSIGSYNPGGYSYTPMVSNSLDDSLYFISLSGMTVAGKPLAVSSSEYSSLPTIIDSGTVITRLPAGVYAALSKAVAAAMKGSAKRAAAYSILDTCFEGQASKLRGVPAVSMAFSGGATLKLSAGNLLVDVDGATTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNRIGFAAAGCS* >Brasy4G045700.2.p pacid=40087741 transcript=Brasy4G045700.2 locus=Brasy4G045700 ID=Brasy4G045700.2.v1.1 annot-version=v1.1 MGLGTPAKPYIMVVDTGSSLTWLQCSPCRVSCHRQSGPVFDPKTSSSYAAVSCSSPQCDGLSTATLNPAACSASNVCIYQASYGDSSFSVGYLSKDTVSFGANSVPNFYYGCGQDNEGLFGRSAGLMGLARNKLSLLYQLAPTLGYSFSYCLPSTSSSGYLSIGSYNPGGYSYTPMVSNSLDDSLYFISLSGMTVAGKPLAVSSSEYSSLPTIIDSGTVITRLPAGVYAALSKAVAAAMKGSAKRAAAYSILDTCFEGQASKLRGVPAVSMAFSGGATLKLSAGNLLVDVDGATTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNRIGFAAAGCS* >Brasy4G433000.1.p pacid=40087742 transcript=Brasy4G433000.1 locus=Brasy4G433000 ID=Brasy4G433000.1.v1.1 annot-version=v1.1 MLRLRSCILTRLLSSSRSASPGCSLHRLLSAAAPAVSPNPSFAVEDYLVDTCGLTRAQALKASKKLFRVKSPTKPNAVRAFLSGGLGLSSADIAAAVAKDPLLLCAKVDKTLRSNVAELTSLGLSRSEIARLLGFAGSHFRCRSIVSKLQYYLPFFGSFEKLQKVIHHGIHLIGADPEKVGKPNVAFLRECGLSTSDIVNICIRVPRMLSTNPERVRAIAVRAEGLGVPRGSRMFRHALTAAAFLSKEKIACKVEYLKKTFRWSDAEAGIALSKSPYVLRGSKDMLRRRSEFLISELGLEPAYIARRPVMLTYSLEGRLRPRYYVVKFLKENGLLAPNRDYYSTVKISEKVFLEKYICPHKEAAPHLAEDYAAACRGEVLTRFRFT* >Brasy4G249300.1.p pacid=40087743 transcript=Brasy4G249300.1 locus=Brasy4G249300 ID=Brasy4G249300.1.v1.1 annot-version=v1.1 MSTESSSSSRAGGAKAAGLAEMTDAGLVVTLASGLAKDRAAGLAKEPPAAVSGAAQVEEAGGSRSAGSQKSGGDRKIPLDELLTSLHLREDEEEEIVLEEDPEELAADARWMALARVCTVKTFSHGSLFGDMRSAWNLAKDVKFRPIQDNLFSLRFYCLADWERVMTGGPWLFRNCPVLLAAYDGWSDVEDVELFTFPAWVHVLDLKEKMRTGNIAKQLSKRAGEFVALDEFSVMGAGGRV* >Brasy4G236400.1.p pacid=40087744 transcript=Brasy4G236400.1 locus=Brasy4G236400 ID=Brasy4G236400.1.v1.1 annot-version=v1.1 MDDWVAENTWTVAADDDGDIAMATPAAARTMESAVAADLGEVGVELTSGIRSPPAPALTCGMRVQVDSVVRTGSSPPFASRSRRSISSEPTYPPCLRQQLDLGLVGAGFVDEGGGMCPLVCIVLSLRIHGVPPPRDLDHIPFSMDSGVASPRRGSDLISHRRSGWNSPRRPPPFSGWNSPRRPGLHRWRLRWARTRDGVRGSRASWNRGFRGSPEFGKMTWSLGPAMVATEWRKRRARHGRGERERDMGGRRNPHVRGPLLFVFTIFLEHLYLPCILMYSEKKKAQSQEPI* >Brasy4G401600.1.p pacid=40087745 transcript=Brasy4G401600.1 locus=Brasy4G401600 ID=Brasy4G401600.1.v1.1 annot-version=v1.1 MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSATHAVLAAANKSANELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFEKYNDYTPDQLIRDAISAIKETLQGEKLTSSNCTISIVGRKDDGTVEPFSVIDAKRIQEIIDSMEAADEAPPADAPAESSSMQEGDDTGAAGSDPAAGPADAPAPMDI* >Brasy4G132600.1.p pacid=40087746 transcript=Brasy4G132600.1 locus=Brasy4G132600 ID=Brasy4G132600.1.v1.1 annot-version=v1.1 MEAALEAARSKDTKERLAGVERLHEALEAAARRGLTAVEVTSLVDTCMDLTKDANFRVAQGGLNALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDASRQLLVTLMEVSSPTIIVERAGSYAWSHKSWRVREEFVRTLATAVGLFASTELLLQRVFLSPVLQLLNDSNQSVRDAAISCIQEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVPSSDGARMQYKAMERSVSARPKRGSPRKNSASRESTLFGGDTDITERPVEPIRVHSEKELVREVEKIAAALNPEKDWSIRIAAMQRIEALVYGGAIDYPSFFMLLKQLVHPLSSQLCDRRSSIVKQACHLLNVLSKELLSDFEACAEIFIPALFKLVVITVLVIAESSDNCVKTILRNCKVSRLVPLIADTAKNDRSAILRARCCEYALLILEYWADAPEIQRSADIYEDLIKCCVADAMSEVRATARSCYRLFIKTWPERSRRLFMSFDPAIQRIINDEDGGMHKRYPSSSLHEKGVQLSRASSHASATHLAGYSTSSIVAMDKGAAISSESSLSSSILLSQSKAAGRHTERSIESVLSSSKQKVSAIESLLKGVGISGRQNFSAARSTSLDLGVDPPSSRAPSTPLAVPASDHLSLQSSALLDSSLPSIAIRRNGGSRLVDAVPQVDTKERSRSPYLSNLSSERMSGLSMPYTKRSTGRSQDDSITGESNDTWSRPTRQSPHMHMDKHFTDMPYRDASYRNSQNHNVPHFQRPLRKQVGSRPSASVRHSFDDGHIPSNDMSGYTDGPASLNDALSEGLSPSSDWVARVAAFNFVQTLLQQGQKGIQEITQSFEKVMKLFFRYLDDPHHKVAQAAFSTLADVIPACKKPFESYVERILPYVFSRLIDPKELVSKPCFSTLEVVGRTYAIDTLLPAIVRSLDEQRSPKAKLAVLEFANKSFSKYKVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKETSIKGIISVYSHFDSAAVLNFILNLSVEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYEQPDFGASSEDGYTLTSRKSYPFGRFSSSSLDNEAEKRNAVQESTFLNLSIGRTTSDVGTDHADQCFEPTYEAEILTASRESKNNARSVVEAARSWADYPEKSDATIDDENSTGTPRQDFCHVLVSDGHNDVASIAGVNNQDIHQFVDLSSMKAASHTTNNPSIPQLLHLISNDREVSCLDKQDALHQLVKASTNNDNSIWTKYFNQILTTVLEVLDDSDSSLRELSLSLVAEMLHNQKDPMEESIEIVLEKILRVTKDVVAKISNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKTLIMCINCLTKLVGRLSHEELVTQLPSFLPALFDAFSNQSPDIRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGAPIEADQ* >Brasy4G143200.1.p pacid=40087747 transcript=Brasy4G143200.1 locus=Brasy4G143200 ID=Brasy4G143200.1.v1.1 annot-version=v1.1 MELPTIIVRMLALISGACRDAEKLPGALISCGIVEAAVALCLIIFRTPGGIFLHHGKAPFYLYYGILIATVIFGLMEASAGFWVSGDVVGRRAAGKTILWVSILPLVIVAALGGFVIRNVK* >Brasy4G226400.1.p pacid=40087748 transcript=Brasy4G226400.1 locus=Brasy4G226400 ID=Brasy4G226400.1.v1.1 annot-version=v1.1 MPAPPPPPAGGRRRWKHRLSPTLARDRCYARSFRAAGLRQAAVPLPDGTVLHFWLPRPDPALHPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGDSASPSSDRSPAYQAASVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEEADLAAGLFAVEDITEAASLLLPQRPEDLRRLVALTFCRPPKFMPSCFIRDYIRVMCTENVKEKTELLYALISGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIIVKNAGHAINRERPAELCRLIKNYICDPSVKYRDDRKGCWKFAIKKFAGSSLRKVDSTRPLI* >Brasy4G226400.3.p pacid=40087749 transcript=Brasy4G226400.3 locus=Brasy4G226400 ID=Brasy4G226400.3.v1.1 annot-version=v1.1 MPAPPPPPAGGRRRWKHRLSPTLARDRCYARSFRAAGLRQAAVPLPDGTVLHFWLPRPDPALHPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGDSASPSSDRSPAYQAASVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEEADLAAGLFAVEDITEAASLLLPQRPEDLRRLVALTFCRPPKFMPSCFIRDYIRVMCTENVKEKTELLYALISGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIIVKNAGHAINRERPAELCRLIKNYICDPSVKYRDDRKGCWKFAIKKFAGSSLRKVDSTRPLI* >Brasy4G226400.4.p pacid=40087750 transcript=Brasy4G226400.4 locus=Brasy4G226400 ID=Brasy4G226400.4.v1.1 annot-version=v1.1 MPAPPPPPAGGRRRWKHRLSPTLARDRCYARSFRAAGLRQAAVPLPDGTVLHFWLPRPDPALHPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGDSASPSSDRSPAYQAASVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEEADLAAGLFAVEDITEAASLLLPQRPEDLRRLVALTFCRPPKFMPSCFIRDYIRVMCTENVKEKTELLYALISGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIIVKNAGHAINRERPAELCRLIKNYICDPSVKYRDDRKGCWKFAIKKFAGSSLRKVDSTRPLI* >Brasy4G226400.2.p pacid=40087751 transcript=Brasy4G226400.2 locus=Brasy4G226400 ID=Brasy4G226400.2.v1.1 annot-version=v1.1 MPAPPPPPAGGRRRWKHRLSPTLARDRCYARSFRAAGLRQAAVPLPDGTVLHFWLPRPDPALHPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGDSASPSSDRSPAYQAASVAAAMAALPGAPQRYAVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEEADLAAGLFAVEDITEAASLLLPQRPEDLRRLVALTFCRPPKFMPSCFIRDYIRVMCTENVKEKTELLYALISGRKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIIVKNAGHAINRERPAELCRLIKNYICDPSVKYRDDRKGCWKFAIKKFAGSSLRKVDSTRPLI* >Brasy4G109100.1.p pacid=40087752 transcript=Brasy4G109100.1 locus=Brasy4G109100 ID=Brasy4G109100.1.v1.1 annot-version=v1.1 MAALLHQAALQPPHPTANPYHPWTTHGSAAATSILSPPTSRRRQAPARRLHAAGDRASTDAPASSMEKTSRKEKQRQQQRLREREEQQQKMLKAAFAGTAGEGNGKDGGGEEDDDELPQAVFDRILRRILFTVGVPMATGVALLSVYDALKRGRGVEVPQWVPLLTTLVAFGTSALGIAYGTLSASWDPEKEGSLLGIDEARTNWPELWKEEIDKEEKK* >Brasy4G276500.1.p pacid=40087753 transcript=Brasy4G276500.1 locus=Brasy4G276500 ID=Brasy4G276500.1.v1.1 annot-version=v1.1 MTTTTTRLQARLFHWIPCQCPLLLLRDIHRTTPLFYFIFFLSLAICIFSSSFAWGKKTFRPCLDWIAVFFARSKRELEF* >Brasy4G387000.1.p pacid=40087754 transcript=Brasy4G387000.1 locus=Brasy4G387000 ID=Brasy4G387000.1.v1.1 annot-version=v1.1 MDHRGRGGGGGGGGGRGGGDKNRTDLLAAGRKKLQQFRKKKEKKGPGKKAEADTDAEGTVKAGANGEEAVPEPKSPVGLKFLAGDSGSSHSTPFEEAAKSHEEQCNGQGPDTAEPSTVENPDVTPVLQNVDGCDVQNIGISEQGDSEHNSPGPADGEESAVQASSSNVGFNLVGAQPWEVDGEEPPDCSSKESMEPQISSHSDIADDGCNQVGEHEVQMDPVERPDSSDLKENMEVPIPSQDLAAGNINILEGAQEMEVGVSERPSDGNMQQDESVHEEVLDLAASHEIPESTGRGDTDEEADGVGKAVQEVAGTSISDATQEAVATDELNLAVEKVDPAFFVGTVPQGVMPHHLEYIQRYLYVTTLSKDFLQLQLNEGVDLNSEITPSDEIRKLQLLLKESEDCKIAVSQEIQKCRHELSDLNTVKSELEQIVASQKQEINSSNSKCEQLEIELQYSKENMQQTLRDLADCQILLEAVQKENMELTTNLGSEKEARKEAEEQWEYLSSENKNLLSKLSALELDLASLKEAMNAGSSRCESLEVELCSSKENMEQTLTELTNCRAFLEESQKDNLELSKNCAVEKETNVKLKEDNVLILNEKERLSSDLSELNNKLHLSCAKHKQLESHARDMDTHIEQLTEQLIEESMYATNSFDIYQSVMKELDAKCNVVLGQVQTVVHQENEHHLDSSEITAENSERTITSPAFVGDGNNQYSHPLFSENNSCDSTALQSLKGCLEIAKGELYELQKLVHRISSRSDGRVLVSKLIQSFETKENQEDPGMSEGEHDDLKKLTQEMIFSLVEKLKSMTSDLAKTEKYVVELCDKIELSSKSEVQNEAERQHTAILEAKMDGLAEKLNNYKNAIGQLHIQLANIQQDADNHAGKLTNQAALLDHDVTERIFILEKERASLSNMLIEITNKLSSLRSNEFSNDLGENEGLGSCILNSLDLAAKSIESLQDKLEAAQSDNANLNTSLLEIKKAHSDVQERSEKASGMVKHMCDTLQELLHDSLGNSDEAVVGYNAEEPIEVLFSHVGGIVEHLKNLLHDCHSLQANNANLESRLLSKCEEVEELSLRCSSLTKNMDAICLLNEELNLVSSNKSAAQDELHGRCLAIAEKMMRNTSIVLPLMSNSGEAETFSKDQHILTTLLPCIEEGVASYNEKLENAVEEIHLSKLCLQNAHMFDQISFDKWVLPLPALLKEEIVPKVCELQGQIDQLSALNIQLATEVPVLKDGLKKLDEAIETSHAELQKRSSELEQSEQKLSSVKEKLGIAVAKGKGLIVQRDSLKQSLLEKSGELEKLSQELQSKDASVKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQKIEEVLEDLDLPEYFHSRDIVEKIELLTKMAVGASFTMPDGDKRSSVDGHSESGVAMDSINDEQISNSNPGSDDIKIKYDELNRRFYELAEHNNMLEQSLVERNSIVQKWEEVLGQVSVPPQFRMLEPEDRITWLGNRLSEVENERDTLHLKIEHLEDSSEMLITDLEESHKRISELSTEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFVRENLRKDLAELQEKLAEKAKESKHYHDMEIEVYKLLDLVRNVLQDGTGSNAEIPAGDVVLQLGELLRKVLDHYASLLSESSLGNAAEKEIHLEETRPFNDATSETSRDDKENALNIFSNELEHARSSLALAEQQRNEASEKAESLILEVETLHAEINQLQLVSVEQTQKYQLLVLELESVGKQRDDLIEKLNQSTELEHSLSSLALAEQLRDDAVQKAESLVLEVETLQAQINQLQEGGAEQTQKYQSLVLELESIGKLRDDLQEKLNQEEQKSASLREKLNIAVRKGKGLVQHRDSLKQTIEEMNAVVEKLKNERNQLTESLESEKSLLMGRLTENEKSLHDTTQYLSRLLNALGTVDIAREYDADPIAKIEKIAQFYIDLQAIAVSSQNEVKKSKRATELLLAELNEAHERVDNLQEELVKAEAALSESSKQNHVIESARADAVRQLEHIMHMQALAERKQIDHLKELNSTSGELKEVCLELSHRLVSAFSKDLDLIYYVESFMKSSGKWMDGTNLVDIPITSNHLLSNSKSSKKAHIPNSPLEFTVDDTHESQLLHHLAITCHAVSDCVKDCNDLKRNIDEHGFSVDQKATELFDVMSNLQNRFTSQNNELESSRENVVELQSKINEKEEECLSMRRNMSLLYDACTSSVSEIEGMTGMESGNRSYFVGQNHLSSYDHIKSVVDQLGAAVKTTRYSNEGNTKELKATVLELQQELQEKDVQISTISSELASQIREAESSANQLSVELEDARIEVCNLEKHVELLLNQKKALETQVSELKELETVASEQHGRLKELTDELTRKDQEIESLMQALDEEEKELEVLENKSNNFEQMLQDKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLVEVESLQSQLQERDSEISFLRHEVTRSTNELLSTEDINKKYLSQINDFIKWSETALLQFGVHCDIADDYDCTQLPVYMDMLDKKIGSLISESGDLRVAMQSKDSSLLVERTKMEELSRKSEALAASLSQKDSQIGLLRRDRTLGQASRSINLPGTSEIEQMNDKVSPAAVTQIRGARKVNNDQVAIDVEMHKDKPLDDEDDDKAHGFKSLTMSHFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRVGILIYWIALHALLASFI* >Brasy4G253400.1.p pacid=40087755 transcript=Brasy4G253400.1 locus=Brasy4G253400 ID=Brasy4G253400.1.v1.1 annot-version=v1.1 MLPVTCPAAGSPATPGDDPPPAAPAEPPAAPVAPPSPIGRGEHEHGVISPTPDKPRPGGRKNAVMENTSQATAEPSPPVSDPPAAPADPVAPPATPPMDPDDENRHGPESPPVDEPGGPGRHGPVSPPVDKPKDRGGRGR* >Brasy4G253400.2.p pacid=40087756 transcript=Brasy4G253400.2 locus=Brasy4G253400 ID=Brasy4G253400.2.v1.1 annot-version=v1.1 MLPVTCPAAGSPATPGDDPPPAAPAEPPAAPVAPPSPIGRGEHEHGVISPTPDKPRPGGRKNAVMENTSQATAEPSPPVSDPPAAPADPVPPRCCPQLRRRLLLLWTLTTRTGMDRRAHLLMNQAAPAGTDR* >Brasy4G103200.1.p pacid=40087757 transcript=Brasy4G103200.1 locus=Brasy4G103200 ID=Brasy4G103200.1.v1.1 annot-version=v1.1 MDGGRDTDGRDWSEEGIDEVEEPGLRRRSRTASSSPPPPDPAPAGILESRRQPPPPGPRPPRVPLPPRLQPLTFSEHAASGSSREYRQGDFAWVAETVSRLKEQGVIASSSSDGSKGSADMDLDDSEEETIPGLTDQGGGGSSGSGGSGGSADLDLDRVEETISRLRDEGARPRLSPPDTSTSSGSELAFSFPPVQAPPDVVDAAVVLDHFAAPETPPRTANTRSEFLEATMEATAGARTVEIKKELLLNRKVLDLAGLERWLRRLEAVAELAWFTELCSDEKEEVPPVELSECAFRALQAARSNELHRGADARRSWIGSVAVPEFFLCPVSKKVMENPVVITSGKTVDHSALEEWWNTHKHICPVTGEVLKHSISIPDVLIALCISWWRTENGIRDLTAATDPPAISPEEEALFREVAMLAHTRSSFGEDYEAILRLHKLVDKEQCSFLHLIGHSPGTITILASVLPETCLDPNPKLDNIILEIIAKVASYSTNKEVFGDDRYAIPVLIARALLGPVPTRAKCAQILGLLADNHYNKIKIGELGGFAALMELLLVGDIDVKKTVAMALANLCEARENWSRFVREGVGDAAISLMRNDMLVEEAYSILLRAKGFQLAMEDILDKLESFHDDKMCQEMVKRLWDTFVRSAPGKRGCTVFPPQAPETSLSSSSEVNMEDVQAIVSWLQKKSYFSRTYKYRN* >Brasy4G033900.1.p pacid=40087758 transcript=Brasy4G033900.1 locus=Brasy4G033900 ID=Brasy4G033900.1.v1.1 annot-version=v1.1 MRARPPPRRQTLPPRNCSHPRCRSTRCSSAPGWKQSSPVSPPRTFLSVPEIACFLLAGSVALHSGITLPFGLRRTEQAAETRRVSNWFFT* >Brasy4G033900.3.p pacid=40087759 transcript=Brasy4G033900.3 locus=Brasy4G033900 ID=Brasy4G033900.3.v1.1 annot-version=v1.1 MRARPPPRRQTLPPRNCSHPRCRSTRCSSAPGWKQSSPVSPPRTFLSVPEIACFLLAGSVALHSGITLPFGLRRTEQAAETRRVSNWFFT* >Brasy4G033900.2.p pacid=40087760 transcript=Brasy4G033900.2 locus=Brasy4G033900 ID=Brasy4G033900.2.v1.1 annot-version=v1.1 MRARPPPRRQTLPPRNCSHPRCRSTRCSSAPGWKQSSPVSPPRTFLSVPEIACFLLAGSVALHSGITLPFGLRRTEQAAETRRVSNWFFT* >Brasy4G237400.1.p pacid=40087761 transcript=Brasy4G237400.1 locus=Brasy4G237400 ID=Brasy4G237400.1.v1.1 annot-version=v1.1 MVGRRRKSQELHANVKEEADNESHLKLADDSAHAAGHALCAVEVKEETDDDHSKPILDGCKMVHIQQRRQ* >Brasy4G254500.1.p pacid=40087762 transcript=Brasy4G254500.1 locus=Brasy4G254500 ID=Brasy4G254500.1.v1.1 annot-version=v1.1 MSAAVRSRAAATAAISAVRRIHAGRAALEQQNQSPGYRRPWAYFRRRQQQPPPLPLAAPAFQDAQAERGAGASDGGGEPPEIWRQPGEAPGARTGAVGRIDVVRVAAGDGFDGKDGDDSGAGWGGSNLGRQFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRISCESFSSRSAGDSSESDTCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVITDATTLTQAGYVGEDVESILYKLLAAADFDIAAAQQGIVYIDEVDKITKKAESMNLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPVRSNMRTGSVINAEITSTLLETVESGDLIAYGLIPEFVGRFPVLVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAISKNTGARGLRSILESVLTEAMYEIPEIRTGEDKIDAVVVDEESIGSSNQHGFGAKILSGEGALDLYLDKHSKESTLSQSNGEPEVDAETPSRVANM* >Brasy4G109000.1.p pacid=40087763 transcript=Brasy4G109000.1 locus=Brasy4G109000 ID=Brasy4G109000.1.v1.1 annot-version=v1.1 MLRGPAHPMAVTAVAAGGGGGGQPLVVTLNCLEDPSLERDALAGGAAVEHAPLSTLSSGHVEAAAAVLLTSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAVAAELGLRLVHVDANRADEVADTVMALFLGLLRRTHLLSGHASSSTPSAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLASRSLAFSMSVLYFDPLYQASGKTKRPSIVLPSDARRMDTLNDLLAASDLVSLHCALTSDTTNILSAERLQHIKPGAFIVNTSSCQLIDDCALKQLLLDGTIAGCALDGAEGPQWMEAWVHEMPNVLILPRSADYSEEVWMEIREKAIEILQALFFEGIPPSKAIYDEDEEISKVGCEDANEQSSQVFDVQQQTDESQLTPECDKRRAISQPEEPEPSGQSQNIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELSTVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKNKHKSSTESPMEIISENKLPAGLGSKLPERLKDGFVVALRTKDNSGFHVSRERVAGGGWHLDVVSNATKRDPGAQFLVTFRNKDTMGLRSFVAGGRLLQVNKKMELIFASHAFDVWESWTLEGSLLECCKLVNRRNPLAVLEVYIEILAAVSEEDGVTRWLD* >Brasy4G223400.1.p pacid=40087764 transcript=Brasy4G223400.1 locus=Brasy4G223400 ID=Brasy4G223400.1.v1.1 annot-version=v1.1 MAFVVLVMRLALWLVEAATLVLLRGLALLVVAVVDLAADAALEATKGALAAAGRFVSGLAWDVAAAVVSAFLQLLWSMVAGAASAVSELVEAARDGGEEAAKAAAEAMEAAADAVAGMVLKLGASYMDALVHAFENLV* >Brasy4G022300.1.p pacid=40087765 transcript=Brasy4G022300.1 locus=Brasy4G022300 ID=Brasy4G022300.1.v1.1 annot-version=v1.1 MVMGKKKSSSRKASAGCRLHRQPADGVGVCPSCLRERLSRLSPADSLPSVVAVPDSASASSSCSAYYSESSSSSSSSTEASSGSASPGFHRGFMMRRSARPSLLMRRERVVAVDGDDVVAVVRIGKRRTGFWTKLLRAATGGGGGSGKKKQADDGCSMAAHSKTMGAAETTRWIVF* >Brasy4G140400.1.p pacid=40087766 transcript=Brasy4G140400.1 locus=Brasy4G140400 ID=Brasy4G140400.1.v1.1 annot-version=v1.1 MRGLLACATLARRAASNGAAAGRRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTLNCRANGSLFDVSHMCGLSLHGSQAIPFLETLVVADVAALKDGTGSLTVFTNEKGGAIDDSVVTKVTDKHVYLVVNAGCRDKDLAHIGAHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGSACFLTRTGYTGEDGFEISVPSENALDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLSWAIGKRRRAEGGFLGAEVILKQLKEGPKIRRVGIFSQGPPPRSHSAIVSGDGSGESVGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKAP* >Brasy4G196700.1.p pacid=40087767 transcript=Brasy4G196700.1 locus=Brasy4G196700 ID=Brasy4G196700.1.v1.1 annot-version=v1.1 MAKTCTSLALLGALVVVSLLVGPASCSRKLSKPLSHKPAIKAPHNNHSSTITGPSDAYGSGGWLYGGATYYGAPNGDGSDGGACGYQSAVGNRPFSSMIAAGNPNLFKGGKGCGACYEVKCTGNQACSGQPATVVITDECPGGAACLGEAAHFDMSGTSMGAMAKPGMADKLRASGILKIQYKRVPCKYSGVNIAFRVDQGSNPFYLEVLIEFEDDDGDLNAVDLMEASSGTWTPMVQNWGALWRLNSNTGKPLRGPFSLRLTSDSNRKLVVNNVIPATWKAGQTYRSLVNYP* >Brasy4G081000.1.p pacid=40087768 transcript=Brasy4G081000.1 locus=Brasy4G081000 ID=Brasy4G081000.1.v1.1 annot-version=v1.1 MATSTTLKRSGWEGFSRWPRRRNCSARVDVLQSSAASRRSSAGGLVRVLPAAVCFLPAARGGRLGAASPTSMLTKLGAALPTSVLTVPARPFLQFVEGRGIGRRRVADSPVAEVGELCWRRRRAGSTRKLVERHLGHWIRPQALRQASSTGVALAVLLLLVFAVAAVLSHGSDHRYKASEPVPHYANKVGPFHNPRPLSSRVVRRLSDLSGSWQREHSVDWFQSRGSPSAPEQARRCNSQGNNFLFIAKTLQFK* >Brasy4G353000.1.p pacid=40087769 transcript=Brasy4G353000.1 locus=Brasy4G353000 ID=Brasy4G353000.1.v1.1 annot-version=v1.1 MAEAVMGPLVGRLQELAMSEARAMVAVNNDVRSLRDKLMWMQAFLREAEPRRRVANDELIRVCLQQTRDAVFDAEDAVDQFFLEVDLSRYPNCSRTILKFFSGFTTQVRVRHDLSRRIKLINTRLESIIENKGKYKIDETSDTNSVTPWRPSTGISATAEKLDDFVLPLVGREDQVNQLENALIRKQTERPLVISVTGKSGVGKTKLVKERYEKHLTLRYFKEKAWVTCGPNLSASNIMMLILLRLKEGHVTCSKEEAGDTVHKELKGKGYLLVIDGEVNNTEWNIILSFLPMDKANSRLVRVTQATEEGPQVAGFDQVYIQLNHFEEKDITAELFLATLFMDEKVEQHYVDVVEKDVKEVRAKLIFNATGGLPLAVVLLSGLLRTKEYPGEWNKVFEHLGGKCNERRRLENILAMCFDDLPHDLKSCFLYFAGFPASTLVKARNLVCKWMAEGFLRPKEGKTMEKVGEKYLHELVHRRLMNLPPVENAAPGDERVTVQTRVHDFLVLEAQEANFLEVHSGDDVPTLTTVRRLSLQNHSDKYAALADPLPKLRSILSNFEKEHPKPQGSAAEMKEQTRTMATAACSPFHYKVDSKSKDHVMRKLLQGSQFLRVICLFGLDIGKKLPTEIGDVVHLQYLGITSCSLDEIPPSVGKLTRLQTLDVRGTDIHTLPPEFWRIRTLRHVFGSIPLPRRVGHLEQLQTLQAVRPDDDVGSCWDATTFANMKRLQSLYIWGLHNENVKGALAAIQALKYLVLLRIGGEVISLDFTGCNFPRLQVLVLKGKIVPPLNSPESSNRFYFPTLTKLSLKKTKVSQHFMDKLSVELPLLASLTLLPESYDGEYLELTKGFQSLKELKLDVPLMKIVIEEPACPHLVKLDILIYYLQDFRLELINRPNIEDIIEREYKFLHNKMKRLGAFTRKKKIAIE* >Brasy4G349600.1.p pacid=40087770 transcript=Brasy4G349600.1 locus=Brasy4G349600 ID=Brasy4G349600.1.v1.1 annot-version=v1.1 MARGAAALGPGTGVVVHGDGRDCRRPGLRPWRRRRGLHGGGRGELGEERERESGRIGLREIESGLGRGNEPARAAGTEF* >Brasy4G372900.1.p pacid=40087771 transcript=Brasy4G372900.1 locus=Brasy4G372900 ID=Brasy4G372900.1.v1.1 annot-version=v1.1 MDRETSTKHGVLERMLLDASAEPTDLPLSLLEDITNDFSDDQRIGSGGFAVVYKGKLGVGMVAMKKLSKTFDIHESKFNEEVSCLMKAKHKNVVRFLGYCSDTRGLMVDYEGMFVMADVRQRLLCFEYLPRGSLDNYITGGSCVLEWGTRYQIIKGICEGLHYLHMKRRIVHLDLKPQNILLDTDMVPKIADFGLSRCFSENQSRIFTSKLMGTLGYLAPERFSGEITFKLDIYSLGVIITEILTGEKGYRDVENVLDIWRNRLQNSQGDIRLEQVRVCAEIGIECTENNPAKRPVTQDIIDRLDETEKDSSELYQFKPSKEPGETSSG >Brasy4G261400.1.p pacid=40087772 transcript=Brasy4G261400.1 locus=Brasy4G261400 ID=Brasy4G261400.1.v1.1 annot-version=v1.1 MKKPTVQDQAKNKKMKKTWNWHTQDCHSAPVSNSYFRAAGCHGECYLLD* >Brasy4G054700.1.p pacid=40087773 transcript=Brasy4G054700.1 locus=Brasy4G054700 ID=Brasy4G054700.1.v1.1 annot-version=v1.1 MEVSGHGGAGRGEEAEKEEESAAVVYCAVGKDGGKEWKANLRWVLANFPHRSRRRFSLVLAHVHRPPHRVNMMGAWVPVSQLAEHEVAAYSKLEEDRASRALDDLLHICTSQRVQARKVIVSADDAARGLVRLVDDHAVAELVMGAASDRAYTRKMCAPRSKKAVTVQRKANPSCKIWFVCKGNLICTREVDEGGLNRAEPSTGSSSSSPSPRSSSGVSDCSRPKPSTPFGMHESSDPTTLRRRNSTRDADSDNAADHNGAEDDHLGHNASSSAAAAADVVGAFFSTAPASTGLRDAEDQDSPAPSHHGSSDGATGEMDDDALYERLKDALVEARDLRHEAYEETRRRQKADRDLVHASRMAKEAEGSWQGEARRRKETEERLARERAAMEQDRRDLDVILEKIMEVDGRSAELELQIADSERAMSQLEAKMSESYGILDALRRERQEEPASSSEPSMPAVEEGGDQGMSFLRLDLSELEEATGNFDESARIGGGVYRGGLRGMTVAVRMVSPEVAVGEARFTRAVEAMSRARHPGLVAFVGACPEARAVVHELVPGGSLEDRLDGKAPTLSWQARCGVAYRTCSALAYLHSTGAAHGDVRPANILLEDEGCSSSKLAGFGMRRLVGARSTPRGPALAYVDPRSLATGEPTPRSDVHALGVVLLRLVTGKPAFAARKAAWEAAAGSAPWHEAVGHASAGGWPVERATEVALLGLKCCGGIDDDEDVAGGRAAAGQLLEEARGVLEAATMAAPGRTWSSLSSASATGSEGGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHGTSPMTNLKLATDDLLPNHALRAAIQEWRHTTTPRTSSREDVS* >Brasy4G054700.3.p pacid=40087774 transcript=Brasy4G054700.3 locus=Brasy4G054700 ID=Brasy4G054700.3.v1.1 annot-version=v1.1 MYGRWPCFAVGAWVPVSQLAEHEVAAYSKLEEDRASRALDDLLHICTSQRVQARKVIVSADDAARGLVRLVDDHAVAELVMGAASDRAYTRKMCAPRSKKAVTVQRKANPSCKIWFVCKGNLICTREVDEGGLNRAEPSTGSSSSSPSPRSSSGVSDCSRPKPSTPFGMHESSDPTTLRRRNSTRDADSDNAADHNGAEDDHLGHNASSSAAAAADVVGAFFSTAPASTGLRDAEDQDSPAPSHHGSSDGATGEMDDDALYERLKDALVEARDLRHEAYEETRRRQKADRDLVHASRMAKEAEGSWQGEARRRKETEERLARERAAMEQDRRDLDVILEKIMEVDGRSAELELQIADSERAMSQLEAKMSESYGILDALRRERQEEPASSSEPSMPAVEEGGDQGMSFLRLDLSELEEATGNFDESARIGGGVYRGGLRGMTVAVRMVSPEVAVGEARFTRAVEAMSRARHPGLVAFVGACPEARAVVHELVPGGSLEDRLDGKAPTLSWQARCGVAYRTCSALAYLHSTGAAHGDVRPANILLEDEGCSSSKLAGFGMRRLVGARSTPRGPALAYVDPRSLATGEPTPRSDVHALGVVLLRLVTGKPAFAARKAAWEAAAGSAPWHEAVGHASAGGWPVERATEVALLGLKCCGGIDDDEDVAGGRAAAGQLLEEARGVLEAATMAAPGRTWSSLSSASATGSEGGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHGTSPMTNLKLATDDLLPNHALRAAIQEWRHTTTPRTSSREDVS* >Brasy4G054700.4.p pacid=40087775 transcript=Brasy4G054700.4 locus=Brasy4G054700 ID=Brasy4G054700.4.v1.1 annot-version=v1.1 MGAASDRAYTRKMCAPRSKKAVTVQRKANPSCKIWFVCKGNLICTREVDEGGLNRAEPSTGSSSSSPSPRSSSGVSDCSRPKPSTPFGMHESSDPTTLRRRNSTRDADSDNAADHNGAEDDHLGHNASSSAAAAADVVGAFFSTAPASTGLRDAEDQDSPAPSHHGSSDGATGEMDDDALYERLKDALVEARDLRHEAYEETRRRQKADRDLVHASRMAKEAEGSWQGEARRRKETEERLARERAAMEQDRRDLDVILEKIMEVDGRSAELELQIADSERAMSQLEAKMSESYGILDALRRERQEEPASSSEPSMPAVEEGGDQGMSFLRLDLSELEEATGNFDESARIGGGVYRGGLRGMTVAVRMVSPEVAVGEARFTRAVEAMSRARHPGLVAFVGACPEARAVVHELVPGGSLEDRLDGKAPTLSWQARCGVAYRTCSALAYLHSTGAAHGDVRPANILLEDEGCSSSKLAGFGMRRLVGARSTPRGPALAYVDPRSLATGEPTPRSDVHALGVVLLRLVTGKPAFAARKAAWEAAAGSAPWHEAVGHASAGGWPVERATEVALLGLKCCGGIDDDEDVAGGRAAAGQLLEEARGVLEAATMAAPGRTWSSLSSASATGSEGGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHGTSPMTNLKLATDDLLPNHALRAAIQEWRHTTTPRTSSREDVS* >Brasy4G054700.2.p pacid=40087776 transcript=Brasy4G054700.2 locus=Brasy4G054700 ID=Brasy4G054700.2.v1.1 annot-version=v1.1 MGAASDRAYTRKMCAPRSKKAVTVQRKANPSCKIWFVCKGNLICTREVDEGGLNRAEPSTGSSSSSPSPRSSSGVSDCSRPKPSTPFGMHESSDPTTLRRRNSTRDADSDNAADHNGAEDDHLGHNASSSAAAAADVVGAFFSTAPASTGLRDAEDQDSPAPSHHGSSDGATGEMDDDALYERLKDALVEARDLRHEAYEETRRRQKADRDLVHASRMAKEAEGSWQGEARRRKETEERLARERAAMEQDRRDLDVILEKIMEVDGRSAELELQIADSERAMSQLEAKMSESYGILDALRRERQEEPASSSEPSMPAVEEGGDQGMSFLRLDLSELEEATGNFDESARIGGGVYRGGLRGMTVAVRMVSPEVAVGEARFTRAVEAMSRARHPGLVAFVGACPEARAVVHELVPGGSLEDRLDGKAPTLSWQARCGVAYRTCSALAYLHSTGAAHGDVRPANILLEDEGCSSSKLAGFGMRRLVGARSTPRGPALAYVDPRSLATGEPTPRSDVHALGVVLLRLVTGKPAFAARKAAWEAAAGSAPWHEAVGHASAGGWPVERATEVALLGLKCCGGIDDDEDVAGGRAAAGQLLEEARGVLEAATMAAPGRTWSSLSSASATGSEGGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHGTSPMTNLKLATDDLLPNHALRAAIQEWRHTTTPRTSSREDVS* >Brasy4G054700.5.p pacid=40087777 transcript=Brasy4G054700.5 locus=Brasy4G054700 ID=Brasy4G054700.5.v1.1 annot-version=v1.1 MCAPRSKKAVTVQRKANPSCKIWFVCKGNLICTREVDEGGLNRAEPSTGSSSSSPSPRSSSGVSDCSRPKPSTPFGMHESSDPTTLRRRNSTRDADSDNAADHNGAEDDHLGHNASSSAAAAADVVGAFFSTAPASTGLRDAEDQDSPAPSHHGSSDGATGEMDDDALYERLKDALVEARDLRHEAYEETRRRQKADRDLVHASRMAKEAEGSWQGEARRRKETEERLARERAAMEQDRRDLDVILEKIMEVDGRSAELELQIADSERAMSQLEAKMSESYGILDALRRERQEEPASSSEPSMPAVEEGGDQGMSFLRLDLSELEEATGNFDESARIGGGVYRGGLRGMTVAVRMVSPEVAVGEARFTRAVEAMSRARHPGLVAFVGACPEARAVVHELVPGGSLEDRLDGKAPTLSWQARCGVAYRTCSALAYLHSTGAAHGDVRPANILLEDEGCSSSKLAGFGMRRLVGARSTPRGPALAYVDPRSLATGEPTPRSDVHALGVVLLRLVTGKPAFAARKAAWEAAAGSAPWHEAVGHASAGGWPVERATEVALLGLKCCGGIDDDEDVAGGRAAAGQLLEEARGVLEAATMAAPGRTWSSLSSASATGSEGGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHGTSPMTNLKLATDDLLPNHALRAAIQEWRHTTTPRTSSREDVS* >Brasy4G275700.1.p pacid=40087778 transcript=Brasy4G275700.1 locus=Brasy4G275700 ID=Brasy4G275700.1.v1.1 annot-version=v1.1 MDELVAVFPAATGSSSCSPPSAASFFSAASPRPMHRPDPPVIELVSCEVPEQWLTNDDVLDLDNNNYQLPWAGSAAGSLPAMATSTEYRSGRGIRRKPRPRTLSESPAVGHVEAERQRRERLNRLFCDLRAAVPTVSRMDKASLLADAVSYISQLRARVARLEREAQAQAAASARQKALRAVVGQDEDQQRLEVRMMVGAEREEAALRLVTTSSMAAAAPARLMAALRALDLPVQHACVSRVHGRVTPTVVQDVVVDVPAAGMRDEGRLRAALLRELLQQAAADDPCTIHNILVDDD* >Brasy4G055500.1.p pacid=40087779 transcript=Brasy4G055500.1 locus=Brasy4G055500 ID=Brasy4G055500.1.v1.1 annot-version=v1.1 MDQEVVLIASAHGAMAAGALLLPLAAALLLLLLLHDCWLSPALKRRRLRSAGFLGPAPSFPLGNLPEIAATMAMPPPPPPIGSDIHGGVFPYFARWRASFGKVFVYWLGTEPFVYVSDPAFLRSAAGGQLGKLWGKPDVFRRDRMPMFGRGLVMAEGDLWARHRHVIAPAFSSTNLNDMVGLMEEATEKMLSEWTAAACSASSAVVDVEKGVVRNAAEIIAKASFGITTDDDDGVGARVFEKLQAMQTMLFKSNRLVGVPFARLLHLRKTFDAWKLGREIDALLLDIIRRRRHSHDTTSKKRKDLLSLLLLAGNNGNGKQQGQRTMTGRELVDECKTFFFGGHETTALAVSWTLLMLAAHPHWQDALRQEILEFIPSAPGAGQLEAAKLAKLTKMGWVLNEVLRLYPPSPNVQRQALHDITLSSPDTGKKTVIIPRGTNMWVDVVAMHRDEELWGADASEFRPERWAEAAAAAGGGCRDRMGYLPFGFGGRVCVGRNLTGMEYRVVLAMVLRRFRLDVAREYRHRPRVMLSLRPAHGIQLVLTPLPDAAASNNTTTD* >Brasy4G020100.1.p pacid=40087780 transcript=Brasy4G020100.1 locus=Brasy4G020100 ID=Brasy4G020100.1.v1.1 annot-version=v1.1 METQRQRRHQIRTPMDPLASLAATFFSAFSPDHGSAASTTLLLLPLPVAAARALGVLRRLALLAAQAFISLFFVFLSLLSPPPPPPPPSLAVPAMPPLLSRADEPKPKGQLQGPSTSVGPALGHVLWVVSRLPVASRKYELVRGLAERLLDDNVGARAAAVNRAALSGAFEHTLRQLEASASSAAGGGPGGELMDLAARAVRLGVQWWRPAPMTTPTTTAAEDAFGGPAAEKLAAELLWLAQKMAECGAAREAAVQFGAAERLGSRALVAEPSLQVALLRLAVFLLRHANSAEFDHTGAGGEDEGGNKGAAVAAEERMAMLRSWLPLLCRGSNGTDAPALSGKERAETVSVLEELVEKLRREQQEEAMALWLHHFAACPDTDWPNLERCYTHWYAQSRSQLLLSH* >Brasy4G242000.1.p pacid=40087781 transcript=Brasy4G242000.1 locus=Brasy4G242000 ID=Brasy4G242000.1.v1.1 annot-version=v1.1 MATTSVSATGEEEVARAALPAPVAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVACYATLVRPNDLGVVSAASVRCNGITRDAVAGAPGFRDVADAVYDVLHGRVWAGHNIVRFDLPRIREAFTEIGRSPPEPKGTIDTLPLLTQRFGRRAGDMKMASLANYFGLGRQKHRSLDDVRMNLDVLKYCATVLFLEASLPEVLTVENLLERAITRSKANGATSPEAPKPDAMSSLDSSKRQRTVSRVNNSIPDGDNQETVDLATNNESSQLISHIEEMKLDGTMKMDASSSGYSGFLEPQDVSTECIKICVAPSYQFAQRTSIRHNDSPLHLCCAALKVQFGVSTKFLDNAGRPKLNIVVDIPENLYKVLEFCDGLAQRSSQESGSTSDWRPLIKKYGYVNHPTVRLNIPTIVSGDASVYSTDIYQKEPSGNIQKLAFSKVDTAELDSLFAQGNKLDAFFSLEIYDCQRNAGIRLVAKKLVVHSK* >Brasy4G033300.1.p pacid=40087782 transcript=Brasy4G033300.1 locus=Brasy4G033300 ID=Brasy4G033300.1.v1.1 annot-version=v1.1 MWISREEVRCRCLRELCSRPVVDLELTLALPSPTPPQACDCELYLLGGAGAGRQGVAAADGGHASGDNNPESWPLMMVNAENRLNEVVPAAIPTEAENDGRVGEKRRRRAPTPVEGSQCSRSNGKGWRCKEPTQGGSCLCEHHRNKDLDRNAAKRRRAAALAMATTAPEAEV* >Brasy4G028800.1.p pacid=40087783 transcript=Brasy4G028800.1 locus=Brasy4G028800 ID=Brasy4G028800.1.v1.1 annot-version=v1.1 MGKGAQHDARGHGNASSAAARQQQQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLRGSAAAADLNFPVRLPFHLPAAAMSPKSIQRLAAAAASSNANAASFIPFLHDAGHDAMAASSASMCSSPETANNNGSGNADHDDEMTMMMRGGDMDYGALADIDGFFPSPKCAGYGMMEMDPCSTFFAPAAPMAAAECWEEEGEISLWSFSSFN* >Brasy4G238300.1.p pacid=40087784 transcript=Brasy4G238300.1 locus=Brasy4G238300 ID=Brasy4G238300.1.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNILGISSRIQLLFPPADAASMLFIGDTVISGICVALALFIAVPRSSKNRFFNYAFLGYTVSLIVIIIIMNWFQSTQGGGRPALQYVACGVIGFVAVHCLWNVEVKQDDNNQPMSEVWDWNMYGTKLKFHGWFLNTANLKFHGWFLNAANLKFHGWFLNTANEDGDGGEDGDGGEDDATFASEDCGDEIKSCARAVQLLAIRANFHISAINALDWNQCAIIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSSTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETHVEVRLNLKDLGSRSRAVYGSVKAIAIDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDDRHFKLHVEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVNVIWRLERTF* >Brasy4G238300.2.p pacid=40087785 transcript=Brasy4G238300.2 locus=Brasy4G238300 ID=Brasy4G238300.2.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNILGISSRIQLLFPPADAASMLFIGDTVISGICVALALFIAVPRSSKNRFFNYAFLGYTVSLIVIIIIMNWFQSTQGGGRPALQYVACGVIGFVAVHCLWNVEVKQPMSEVWDWNMYGTKLKFHGWFLNTANLKFHGWFLNAANLKFHGWFLNTANEDGDGGEDGDGGEDDATFASEDCGDEIKSCARAVQLLAIRANFHISAINALDWNQCAIIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSSTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETHVEVRLNLKDLGSRSRAVYGSVKAIAIDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDDRHFKLHVEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVNVIWRLERTF* >Brasy4G238300.5.p pacid=40087786 transcript=Brasy4G238300.5 locus=Brasy4G238300 ID=Brasy4G238300.5.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNILGISSRIQLLFPPADAASMLFIGDTVISGICVALALFIAVPRSSKNRFFNYAFLGYTVSLIVIIIIMNWFQSTQGGGRPALQYVACGVIGFVAVHCLWNVEVKQPMSEVWDWNMYGTKLKFHGWFLNTANLKFHGWFLNAANLKFHGWFLNTANEDGDGGEDGDGGEDDATFASEDCGDEIKSCARAVQLLAIRANFHISAINALDWNQCAIIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSSTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETHVEVRLNLKDLGSRSRAVYGSVKAIAIDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDDRHFKLHVEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVNVIWRLERTF* >Brasy4G238300.4.p pacid=40087787 transcript=Brasy4G238300.4 locus=Brasy4G238300 ID=Brasy4G238300.4.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNILGISSRIQLLFPPADAASMLFIGDTVISGICVALALFIAVPRSSKNRFFNYAFLGYTVSLIVIIIIMNWFQSTQGGGRPALQYVACGVIGFVAVHCLWNVEVKQPMSEVWDWNMYGTKLKFHGWFLNTANLKFHGWFLNAANLKFHGWFLNTANEDGDGGEDGDGGEDDATFASEDCGDEIKSCARAVQLLAIRANFHISAINALDWNQCAIIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSSTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETHVEVRLNLKDLGSRSRAVYGSVKAIAIDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDDRHFKLHVEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVNVIWRLERTF* >Brasy4G238300.3.p pacid=40087788 transcript=Brasy4G238300.3 locus=Brasy4G238300 ID=Brasy4G238300.3.v1.1 annot-version=v1.1 MGCGFNPRIFVCMCCAAAMKHCLANNILGISSRIQLLFPPADAASMLFIGDTVISGICVALALFIAVPRSSKNRFFNYAFLGYTVSLIVIIIIMNWFQSTQGGGRPALQYVACGVIGFVAVHCLWNVEVKQPMSEVWDWNMYGTKLKFHGWFLNTANLKFHGWFLNAANLKFHGWFLNTANEDGDGGEDGDGGEDDATFASEDCGDEIKSCARAVQLLAIRANFHISAINALDWNQCAIIYTALEGEVQEEGMDLVLTGPYRMLEAYSSLGLEVFTADDEGSSTGGIFQRWDVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETHVEVRLNLKDLGSRSRAVYGSVKAIAIDYGSKSVHLFSCERGRSLSLPCGSTCILPLGPHMIALPDDRHFKLHVEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEFPRRIRSQKREVDGDQVEVNVIWRLERTF* >Brasy4G199900.1.p pacid=40087789 transcript=Brasy4G199900.1 locus=Brasy4G199900 ID=Brasy4G199900.1.v1.1 annot-version=v1.1 MMDQRWRPTVNEREFIEQALQSDLRVDGRRPFDFRKLKIVFGREDGSAEVELGETRVMGYVTAQLVQPYKDRPSEGTLAIFTEFSPMADPAFEPGRPGEAAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSLRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGDGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKSKVEEYTTTKALQKVKRTPALVPKKVNVPDVAMKESTGALENQASKTSNDVQQISKGDDDQQNMKRNLPLTRDRTVKHKQTSTCIGGPSNWDPYSKGVSLSSLRISQLPDPPATVKGNKQEDVEPMLTESNPEVKSTSNSGTAGESDEAQESRTPKSLKDAVKPKHKRKKKQHGKS* >Brasy4G183600.1.p pacid=40087790 transcript=Brasy4G183600.1 locus=Brasy4G183600 ID=Brasy4G183600.1.v1.1 annot-version=v1.1 MENALAPAAPSDPLEAGSAAPTTLFLSRRRAHLDSASYRTLSRLFSHFLHLNPSQKAAPAHPGVEPAAANPIGNASPQEASALPKDAEFEQGKDIEEEVAAASTSGVETPAHVVVEAAAGDPIADLDLASQESAGHEVAGVELVEGLEDMVAEGITCRKTPALVEELGGEAELMGTDEVLRSMEASLEGEIEESAELAVANDDEHLLLDTMMTKFSGLIGDASGGTTSMQDYGVSRGEPQNHGTIAEGVKELGAGIEESTPVGNSDHQSVDGGGFEEGEIEGDMQTLDADESGDSELEAADDEKLEEDFATRGSGENESSDHGIRCLNSLSMPGIKGSGDLVPNKEGDIKADAQMHVPRAQAVSYDEVLEWNETPLHDAEAPRPGKRKRIFTDERKAKKTKNKRIKRALQREADGVKRLKLAPIIKPKVVRYCHFYLHGKCQQGNVCKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFLGNGMCLRGEKCKFSHAAPTADGSSTKDAKKSDASLVSEKPTSKEQNSSQKISTVHDGEPVASAPTKCYSILKTLGSISKNAQKASAHMPKGVQFLPFSKGRSDSNILLPTRNFGGLQAAEGDENAQPNRHRSAARSNENSSKEASSHPISERKKTSLSADSTAALGSVSTQHEVSEASRILQEFLFGACN* >Brasy4G183600.2.p pacid=40087791 transcript=Brasy4G183600.2 locus=Brasy4G183600 ID=Brasy4G183600.2.v1.1 annot-version=v1.1 MENALAPAAPSDPLEAGSAAPTTLFLSRRRAHLDSASYRTLSRLFSHFLHLNPSQKAAPAHPGVEPAAANPIGNASPQEASALPKDAEFEQGKDIEEEVAAASTSGVETPAHVVVEAAAGDPIADLDLASQESAGHEVAGVELVEGLEDMVAEGITCRKTPALVEELGGEAELMGTDEVLRSMEASLEGEIEESAELAVANDDEHLLLDTMMTKFSGLIGDASGGTTSMQDYGVSRGEPQNHGTIAEGVKELGAGIEESTPVGNSDHQSVDGGGFEEGEIEGDMQTLDADESGDSELEAADDEKLEEDFATRGSGENESSDHGIRCLNSLSMPGIKGSGDLVPNKEGDIKADAQMHVPRAQAVSYDEVLEWNETPLHDAEAPRPGKRKRIFTDERKAKKTKNKRIKRALQREADGVKRLKLAPIIKPKVVRYCHFYLHGKCQQGNVCKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFLGNGMCLRGEKCKFSHAAPTADGSSTKDAKKSDASLVSEKPTSKEQNSSQKISTVHDGEPVASAPTKCYSILKTLGSISKNAQKASAHMPKGVQFLPFSKGRSDSNILLPTRNFGGLQAAEGDENAQPNRHRSAARSNENSSKEASSHPISERKKTSLSADSTAALGSVSTQHEVSEASRILQEFLFGACN* >Brasy4G183600.3.p pacid=40087792 transcript=Brasy4G183600.3 locus=Brasy4G183600 ID=Brasy4G183600.3.v1.1 annot-version=v1.1 MENALAPAAPSDPLEAGSAAPTTLFLSRRRAHLDSASYRTLSRLFSHFLHLNPSQKAAPAHPGVEPAAANPIGNASPQEASALPKDAEFEQGKDIEEEVAAASTSGVETPAHVVVEAAAGDPIADLDLASQESAGHEVAGVELVEGLEDMVAEGITCRKTPALVEELGGEAELMGTDEVLRSMEASLEGEIEESAELAVANDDEHLLLDTMMTKFSGLIGDASGGTTSMQDYGVSRGEPQNHGTIAEGVKELGAGIEESTPVGNSDHQSVDGGGFEEGEIEGDMQTLDADESGDSELEAADDEKLEEDFATRGSGENESSDHGIRCLNSLSMPGIKGSGDLVPNKEGDIKADAQMHVPRAQAVSYDEVLEWNETPLHDAEAPRPGKRKRIFTDERKAKKTKNKRIKRALQREADGVKRLKLAPIIKPKVVRYCHFYLHGKCQQGNVCKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFLGNGMCLRGEKCKFSHAAPTADGSSTKDAKKSDASLVSEKPTSKEQNSSQKISTVHDGEPVASAPTKCYSILKTLGSISKNAQKASAHMPKGVQFLPFSKGRSDSNILLPTRNFGGLQAAEGDENAQPNRHRSAARSNENSSKEASSHPISERKKTSLSADSTAALGSVSTQHEVSEASRILQEFLFGACN* >Brasy4G229600.1.p pacid=40087793 transcript=Brasy4G229600.1 locus=Brasy4G229600 ID=Brasy4G229600.1.v1.1 annot-version=v1.1 MAAKRVLLLCGDYMEDYEAMVPFQALQAYGVAVDAACPGKKAGDACRTAVHQPTGHQTYAESRGHNFALNASFDEINVEYDGLVIPGGRAPEYLAMDEKVLGLVRKFSDAKKPIASVCHGQLILAAAGVVQGRTCTAYPAVKPVLVAAGANWVEADTMAKCAVDGNLITAAAYDGHPEFISLFVKALGGSVAGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFPLNASFDSVDASSYDALVIPGGRAPEYLALNDKVLALAKGFMESGKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLAGATWLEPDPIHRCYTDGNLVTGAAWPGHPEFVSQLMSLLGIKVSFA* >Brasy4G008100.1.p pacid=40087794 transcript=Brasy4G008100.1 locus=Brasy4G008100 ID=Brasy4G008100.1.v1.1 annot-version=v1.1 MEEKMYSVKVGEPTPADGGRPSAGPVYRSIYAKDGLMPLPKEIQSPWDFFSEAVKKYPKNRMLGQRQVSDGKAGDYVWQTYEQVYQKVIKIGAAIRSFGVKPGGHCGIYGSNCPEWVMAMQACSSQGICYVPLYDTLGPNAVEFILDHAEISIAFVQESKIKPLLAILPKCTAHIKAIVSFGDVTSELKREVEQLGVSCFSWEEFSTMGTETQDISRKQKDDICTIMYTSGTTGDPKGVIITNRAIIAGVMTTENLLELTDKVVSEDDSYFSYLPLAHIFDQVIENYCIFKGASIGFWQGDIRYLMEDVQVMKPTIFCGVPRVYDRIYTGINLKIQSGGLIGKQIFQYAYNYKLGNLRKGFKQHEASPFFDKIVFSKIKEGLGGCIRLMLSGAAPLPRHIEEFMRVTGCCALAQGYGLTESCAGCFTSIANIFSMVGTVGPPVTAIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSPLVTSVWVYGNSFESFLVAVVVPEKQAIEDWATLNNKTGDFAELCNDPKARRYIQDELTKTGKKLGLRGFEMLRAVHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRVDQLYKDAKMATAQ* >Brasy4G018500.1.p pacid=40087795 transcript=Brasy4G018500.1 locus=Brasy4G018500 ID=Brasy4G018500.1.v1.1 annot-version=v1.1 MYSLDRDHTAARTGTKYSYQACETEKTTRTAASSQAQVTSSPPRRSRPRRRHSASARRSSTTVVATDVGNFRAMVQELTGFPPAAIFRPLPRRAHAAGAAGAQYLPQPGQHNTSSGAACGGGSSSSTSPDVPAAAAQCATPGVFDGLADLGSPGFDHAWPDLSFEQ* >Brasy4G418500.1.p pacid=40087796 transcript=Brasy4G418500.1 locus=Brasy4G418500 ID=Brasy4G418500.1.v1.1 annot-version=v1.1 MVSKAGARTAMAVLCVLVLLSLQQAAPVTAAWDPATFCPCYEQCFTDCHGMRFFCATGCAKHCTTDNLDAAGRRPGHGGGGGGGSGRAALCKIACAAISICGGSGPAPPSAVAAAADDEAACVRDCMK* >Brasy4G004900.1.p pacid=40087797 transcript=Brasy4G004900.1 locus=Brasy4G004900 ID=Brasy4G004900.1.v1.1 annot-version=v1.1 MDNLEMITVGLEMTADTRISTGRLSGSAKKKKAARKKKPPPPSSDGDKNKQRPRYVYAAEPLNDEEPNEEDEIADYRDLWDCLFSDHFGSFEDETSLGPMRHTFGPIPSYAVPDCTLQIFYIRVGDIDRGGLEWPLHVHGFVAGRDSMDNNRNFLFRRTRDDCQTLTQENPCLLLTGPSRAILLIDPVSFEVQLKVKSKTEPEKDELLAFRIWQFHQAYQSQEVLSPCYPGNRCSLELGYAPLKPSVEATVTVRLVDQEEEEEEEEEKGSTGHLLLGGLHVTCCTASMKQASMLLLSCQDGKVPVDARNGLIELSRRVVSVESGGQLLVSVVATRTRTMGIEEEASALVAKDTAVFAQRNAGISRCVCHLGFCKMEVTVAWSLLSAITYMWLAAGH* >Brasy4G004900.2.p pacid=40087798 transcript=Brasy4G004900.2 locus=Brasy4G004900 ID=Brasy4G004900.2.v1.1 annot-version=v1.1 MATRTSSAHASLGPMRHTFGPIPSYAVPDCTLQIFYIRVGDIDRGGLEWPLHVHGFVAGRDSMDNNRNFLFRRTRDDCQTLTQENPCLLLTGPSRAILLIDPVSFEVQLKVKSKTEPEKDELLAFRIWQFHQAYQSQEVLSPCYPGNRCSLELGYAPLKPSVEATVTVRLVDQEEEEEEEEEKGSTGHLLLGGLHVTCCTASMKQASMLLLSCQDGKVPVDARNGLIELSRRVVSVESGGQLLVSVVATRTRTMGIEEEASALVAKDTAVFAQRNAGISRCVCHLGFCKMEVTVAWSLLSAITYMWLAAGH* >Brasy4G152700.1.p pacid=40087799 transcript=Brasy4G152700.1 locus=Brasy4G152700 ID=Brasy4G152700.1.v1.1 annot-version=v1.1 MVEELPTEVPIEDVSASATVKSSELSGNGALPKNVEKSQELGGNPVRELPLHEGKEVILLDDNDSGEEDEGRAKVDENAPRFGLRFKTYDDALRYYKQYAVDSGFSAIILKSSYLKSGVCRRLVLGCSRAGRGRVNACYLSRETAKINCPARISLKLRQDRWLHIDDAKLDHNHPLNQSSDSLMNCYKKLTDAKNGETTSRLKGRRNVPIVDREQGNFTEIGRLKFGEGDDEYIQKFFGGMQNKNPNFFYLVDLDKQGRLRNLFWSDARSQAAYEYFGQDVVYFDTSYLTQKFDLPLVFFTGMNNHGQSVLFGTGLLSDLSADSYTWLFRAFLTCMKDSYPYTIITEHYNAILDAVREVFSQVKHRLCLYRIMKDVAENLKAHAEFKTIKKSLKKVTYGSLKIPEFEADWKKIIEEHRLAENECLSSLFMHRQLWAPAYLKDKFWAGMSVSQRGESVTSYYDGFVYPKTSLKQFFSKYEMILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMETTVDGSFVTFNVKECSYMEDGKETESRTYEVLCWKEEELIVQCDCGFFEFTGILCRHALSVLKLQEVFEIPQRYVLDRWKRDYKKLHALSHYPNEMLLGGAVERHDYMFTQCHQLLNLGFISESRYLVALKLLREAEKALLEDDLSARERQSALLSFEAEAPENGQGLFSPQFPEGVKNSQSINAKRRGRPAKKVAESNPDTVLRSNKEQDFLRSSFVTAETSMIQGTPPASHLESSHMGMQGSIDLMDGISPNLSFGAHFGMDVNHQHQVPSQARMLPNNFMQVQADPHGFGNQWVYNPTLQDNPLLRTPTRRAG* >Brasy4G152700.2.p pacid=40087800 transcript=Brasy4G152700.2 locus=Brasy4G152700 ID=Brasy4G152700.2.v1.1 annot-version=v1.1 MVEELPTEVPIEDVSASATVKSSELSGNGALPKNVEKSQELGGNPVRELPLHEGKEVILLDDNDSGEEDEGRAKVDENAPRFGLRFKTYDDALRYYKQYAVDSGFSAIILKSSYLKSGVCRRLVLGCSRAGRGRVNACYLSRETAKINCPARISLKLRQDRWLHIDDAKLDHNHPLNQSSDSLMNCYKKLTDAKNGETTSRLKGRRNVPIVDREQGNFTEIGRLKFGEGDDEYIQKFFGGMQNKNPNFFYLVDLDKQGRLRNLFWSDARSQAAYEYFGQDVVYFDTSYLTQKFDLPLVFFTGMNNHGQSVLFGTGLLSDLSADSYTWLFRAFLTCMKDSYPYTIITEHYNAILDAVREVFSQVKHRLCLYRIMKDVAENLKAHAEFKTIKKSLKKVTYGSLKIPEFEADWKKIIEEHRLAENECLSSLFMHRQLWAPAYLKDKFWAGMSVSQRGESVTSYYDGFVYPKTSLKQFFSKYEMILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMETTVDGSFVTFNVKECSYMEDGKETESRTYEVLCWKEEELIVQCDCGFFEFTGILCRHALSVLKLQEVFEIPQRYVLDRWKRDYKKLHALSHYPNEMLLGGAVERHDYMFTQCHQLLNLGFISESRYLVALKLLREAEKALLEDDLSARERQSALLSFEAEAPENGQGLFSPQFPEGVKNSQSINAKRRGRPAKKVAESNPDTVLRSNKEQDFLRSSFVTAETSMIQGTPPASHLESSHMGMQGSIDLMDGISPNLSFGAHFGMDVNHQHQVPSQARMLPNNFMQVQADPHGFGNQWVYNPTLQVNPTF* >Brasy4G225700.1.p pacid=40087801 transcript=Brasy4G225700.1 locus=Brasy4G225700 ID=Brasy4G225700.1.v1.1 annot-version=v1.1 MPLPALFSPTTAASSPAGSLPLILRRRPPLAGALLFLSLGAVAGCALSNGRIPFLRARTLSSARMESTTTTVPSVVVYVTVPNREAGKKLSESIISEKLAACVNIVPGIESVYWWDGKVQTDAEELLIIKTRESLVNALTEHVKANHEYDVPEVISLPINGGNLKYLEWLKNSTREN* >Brasy4G225700.2.p pacid=40087802 transcript=Brasy4G225700.2 locus=Brasy4G225700 ID=Brasy4G225700.2.v1.1 annot-version=v1.1 MPLPALFSPTTAASSPAGSLPLILRRRPPLAGALLFLSLGAVAGCALSNGRIPFLRARTLSSARMESTTTTVPSVVVYVTVPNREAGKKLSESIISEKLAACVNIVPGIESVYWWDGKVQTDAEELLIIKTRESLVNALTEHVKANHEYDVPEVISLPINGGNLKYLEWLKNSTREN* >Brasy4G107800.1.p pacid=40087803 transcript=Brasy4G107800.1 locus=Brasy4G107800 ID=Brasy4G107800.1.v1.1 annot-version=v1.1 MSSRSDATVACQDGSPAGDGVTASLLSKAEFIVPVPVEELPPPVLTCKPTPSADRLARAVKEAWSVSLSVTFPMLPSMSAAAAGEEARSILGLAMPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYELLGVTMQRAVLLLVAAAVPIGGLWMHMRPLLLLCGQDVGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVLVSVLGHGIRGVALASVLANLNFLLLLLGYILCKGVHRRTGSFFALSADSFRGWGELVSLALPSCVGVCLEWWWYEIMILLCGLLADPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRAERAGRAATVGLMLGFAFGGAASAFAYAVRGSWAAMFTADPAIVALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWFRYDFRGLWLGLLAAQAACVVRMLLVIRRTDWEAEAKRAQQLTAAGRVVTAEVHTKQSSGKGIHVARVPAAGGDEESGLLVDVVIE* >Brasy4G158300.1.p pacid=40087804 transcript=Brasy4G158300.1 locus=Brasy4G158300 ID=Brasy4G158300.1.v1.1 annot-version=v1.1 MLGMSREEVTAGGGEGSSLQLEASWRGAGAVAAYREITLTRRTGLRDAKAGSYLLGFLRSTASASGDLQLLLFRHSHHVAITSGCFDVGSSCLAPVRWNACETVFFLVYCRLVLYCP* >Brasy4G344200.1.p pacid=40087805 transcript=Brasy4G344200.1 locus=Brasy4G344200 ID=Brasy4G344200.1.v1.1 annot-version=v1.1 MGKKIVSLPPDGKDDNDAILFGEEGVDYVSVLLKKDGEDDDLGIVFTCLASKQFNNFVAEARAKLVEQKKLTEHQDIQVMVGSNRAIEKPADNNNKDGYPFLLASYVILGHAKPSINIVVIGHVNSGKSTTVGHLICKLGSVDKKEMDMITQEAVAAKKWSMRYAWVLDKLKAERERDHSIDLSLWKFETCNFCCTVTDAPGLRDFIKNMITGTSLADSAILVIDSTALGLNAGLSKYGQTREHALLAFTLGVNQMICCCNKIDATTPAYSMVRYDEIVMEVSLFLKKGDNMIERSANLDWYKGPTLLEAIDSIQEPKRPFDKPLRVPIQQTYRIGGIGCVTVGRVQSGILKPGMDMTFGPTSLTAVVRSVNDHPQLFYEAGPGEEVAFNLKKTDSSNLKRGDVGSNAEDDPVKAATSFVSQVIVTGHPGLVHPGYTPVMSCHTSSTSVKFAELLTRVDRRSGVELEKEPKFLKKGDAGMVKMIPTKPVVVETFSAYPPLGRFALRDMRQTVAIGVIKSVEK* >Brasy4G174600.1.p pacid=40087806 transcript=Brasy4G174600.1 locus=Brasy4G174600 ID=Brasy4G174600.1.v1.1 annot-version=v1.1 MEPEKLNQPKANWDALAARAFCEICVEQTLAGNRPTAFLSTTGYKNLEREFSERTRRNYTKKQFKNRWDAMKSLYLAWKYYMGKCTGLGLDPITKTITADEDWWQETIKGNKLVETFRKGPPDFLDDLEIMFAKAHVDWKSSYMPGASNEQVIIEDNEVEEVLVTPVSGKRGLGKRMASSLDYDSGNKKTPMHKEFKRMVDHYTSDRHLLIMPL* >Brasy4G092200.1.p pacid=40087807 transcript=Brasy4G092200.1 locus=Brasy4G092200 ID=Brasy4G092200.1.v1.1 annot-version=v1.1 MGSAGTESNGTLLGNGVIGILAETVNMWERRAPLTPSHCARLVLGGGKRKTGVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIVGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVDDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGSGNVSQGAQEIFKLLPHTFVDAEKLPELSAGKHLSPHIQSTGRAFQLYGCIVTSRDMVSPKDPSRAFNKADYYAHPEHYRPIFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMNNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPSTNLYHDDMEGDGVICLAVDILPTEFSREASQHFGDILSRFVISLASSEGLLELPSHLRRACIATAGKLTPLYEYIPRMRKTMIELPPAPLNSLPDKKYTTLVSLSGHLFDKFLINEALDIIETAGGAFHLVKCDVGQSIDDMSYSELEVGADDTSTLDKIIDSLTSIASAHRGDPNATRETEISLKIGRVSECGSDDSMDEVGPKILILGAGRVCRPAAEFLASYQNINSSGANDYNTDKVHVVVASLYQKDAEETVDGIKNATAAQLDVSDIGSLSNLVSQVEVVVSLLPASFHAAIARVCIELKKHLVTASYVDDSMSKLEQAAEGAGVTILCEMGLDPGIDHMLSMKMIDEAHAKKGKIKAFTSFCGGLPSPAAANNPLAYKFSWSPAGAIRAGKNPAVYKFLGEVVHVDGSKLFDSAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRSTLRYEGFSEIMAILGKIGFFDDADHPLLQQTNRPTYRVFLNDLLNVSNISTTTSKVNPEVSGGQNDELISRLMALGYCKEKELAVKIFKTIKFLGLDEETEIPKDCSSAFSVICQRMEQRMAYGHSEQDMVLLHHEVEVEYSDGRPTEKHQATLLEFGTVQNGRSTTAMALTVGLPAAIGALLLLQNKVQKRGVIRPLEPEIYIPALEILEASGIKLMERVET* >Brasy4G092700.1.p pacid=40087808 transcript=Brasy4G092700.1 locus=Brasy4G092700 ID=Brasy4G092700.1.v1.1 annot-version=v1.1 MELSDPSSEDDDVEKDPDYKGGDDYMEEASDNGGSDDADEMDGDDEQDDVPEDDAEEEEEDEEDEEEEEDEEDEEEEEEEEEEEEEEEEDEEDYSEDEDEDDDPDYIPVEEEPSVYPAPVIEIIQGNAIDAGTTFGGLNPETHVLDFGETTNVLQKIRLWRQGRRRGFEFVPSETLRRTCRLDVFLLGENPANPEHAVHEPPVPNPGDYDEEGWSDMEE* >Brasy4G308100.1.p pacid=40087809 transcript=Brasy4G308100.1 locus=Brasy4G308100 ID=Brasy4G308100.1.v1.1 annot-version=v1.1 MCRFFPARLARRSSALKQAGQASGSGFFLFDRRSGLVFKTRVGVLGVADGVGGYSERGVDAGAFSRGLMTSAFAAVLSAPPGAPVCPYTLLERAYEETAASAAPGASTAVILSLAPAANAEESPRLRWAYIGDSGFAVLRRGKILRRSRPQQSHFNCPYQLNSTGNGNRVTAAETGEVPVEEGDVVVAGTDGLFDNMFDEELERTVLMGAALGFSAKNTADVIAGVAYEMSRNRARDSPFSVESRRHQRADRWSGGKEDDITVVVAFVASSY* >Brasy4G049000.1.p pacid=40087810 transcript=Brasy4G049000.1 locus=Brasy4G049000 ID=Brasy4G049000.1.v1.1 annot-version=v1.1 MSTAPLTRPLGHTTPPPTRGPENNFTPPPHESPLFLLLLTPRPPRRPLLSLPAFRGLRAAARPRSTGRHTPSRPPCPFLAALAAAPRQERRRVLVGGALARGPGLISPSV* >Brasy4G131000.1.p pacid=40087811 transcript=Brasy4G131000.1 locus=Brasy4G131000 ID=Brasy4G131000.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G041800.1.p pacid=40087812 transcript=Brasy4G041800.1 locus=Brasy4G041800 ID=Brasy4G041800.1.v1.1 annot-version=v1.1 MFHKKMRLIKRFWKHTTKWRKRKHCIFLTISSCPTLTLLIRLLCNIPRSKLLFMLFVIQGVCSCDLLLSTSGCVLRFTHYFSIPNAGWPLHRGGGPADRAVGDEVLLLFLCVRSLQGNSLYGVFPPELGNCTKLQQLYLQGNYIGGYIPSEFGDLVEIQALGSTYGPGAVWNTGTASFGRFMVGMEHMGTSFFEFF* >Brasy4G014700.1.p pacid=40087813 transcript=Brasy4G014700.1 locus=Brasy4G014700 ID=Brasy4G014700.1.v1.1 annot-version=v1.1 MGERNRGGRGRVTRGGSSTFGHQEERRTAAANTPPNRCAGGRQGRGKGRQDEGDVRGWWAATGEEEEEEEEEEGRDGGESVPPRAARPAGNSGGGGVGGGDGRDLQGESGEPEGRTRMG* >Brasy4G197200.1.p pacid=40087814 transcript=Brasy4G197200.1 locus=Brasy4G197200 ID=Brasy4G197200.1.v1.1 annot-version=v1.1 MAMVMENQQPVAPQMRMIPAPPPRPPPPPPPVPPQVFKHHCKVCKKGFMCGRALGGHMRAHGIADVDGLSVEEDMLDDDDSAPYGESPDHAGSPSTTTAKRMYGLRVNPGRLRNCRVCENCGKEFTSWKSLLDHGRCSFGEDDEDPDGEGSLRSSSPRNGMEDEEEEEEEGDVALASGWSKGKRSRRAKVMLLGSGTTTELQQLPPASSEEEDLANCLVMLSSSRVTQPADAIADVDQAESCASASKDEEMIRNKLLRPQPTSIMAPIAASATQTMKFPVPQQVVVAQHVPAVPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETNAAPHHHHQSVATATHFDNNTKASISEVVDTSTTDPKISASVDANADGGKASTSGGETVIVATTAAPETGIVPVADVAPAAPSSAQLAPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSSATDPAAACTVAKLQSTVVPDHLMAAMCHHLTLGRPMFDVAADQRILDLNVPTNPAAAAADQAAAAIAARQAAELNDIPLCLNAPASMYVQSWTGHSNASHVNKTGTSSRNDAAGGGGAATEDEADSTSAKRAKIGDLKDMKVAGESLPWLQVGIGISSSESKDKTAQDQE* >Brasy4G144200.1.p pacid=40087815 transcript=Brasy4G144200.1 locus=Brasy4G144200 ID=Brasy4G144200.1.v1.1 annot-version=v1.1 MAGNNVVWQPQVVEEMLRYYKEKIQAEGRQLVFKETHHEECAKQINAKFSTNFTHRQVYHKFHKLKGQWKVILEAKSLSGANFDDVHKIILYDETEVVRMKNDKDKRAKYINVPISCFDEMEFIFQDKHATGEFTVLQTPFENTCAEDNDFIGDKSATNGEADPDTHYDSDCLPEDSNNEGSSSKRATGGKRDKGKRVRRDDVVEDTLSTWYV* >Brasy4G339000.1.p pacid=40087816 transcript=Brasy4G339000.1 locus=Brasy4G339000 ID=Brasy4G339000.1.v1.1 annot-version=v1.1 MQALLLPSRLPPTPPPLLRRRALSAAASLASPPLHGATLPTGRLCCGGGEGAQRRLTVAAASSSSSSGPLYPTPPPTEQTIERAKLEQVIKRLEKTARYFKNLGTLGFWSQLVCTFVSAGILSFSTVVTGQVTSPFTFYATAAGVAAAFISVFWSFGYIRLSERLRKTASAPAKAPPRADVIKSLKNGILLNVLGMGAAVLGMQATVGALVAKALTTSAVPYYQATSSGQSPVLALDVFLVQASANTILSHFLGLASSLELLRSVSIPPTEAAPA* >Brasy4G195300.1.p pacid=40087817 transcript=Brasy4G195300.1 locus=Brasy4G195300 ID=Brasy4G195300.1.v1.1 annot-version=v1.1 MGTMEDCSSGSDTTTTSSSTEVLASSQPTSPTATTASSSAKKKKRPGPRSDDDGGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGPRAAHLNFPDRAHELPRPATAAPKDVQAAAALAASADFFPTASSAAIDAGDDAKQNPDHDGPDAASADSGSPPADAADDALFDLPDLLLDLRHGPPSCQLSCASSWDDDVVAAQFAGPGATGLFLRGIEEPLMWEY* >Brasy4G322400.1.p pacid=40087818 transcript=Brasy4G322400.1 locus=Brasy4G322400 ID=Brasy4G322400.1.v1.1 annot-version=v1.1 MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGALGGDYANFGARGGGGSSFGAGFGAGSDVRPGDWYCTCGAHNFASRSNCFKCTAFKEEAAVNGGAGGFDGEMSRSRGFGFGGGSGMGGGMGGVMGAGAAGGRANRPGWKSGDWICTRSGCNEHNFASRLECFRCNAPRDSGSATPYENFLH* >Brasy4G322400.2.p pacid=40087819 transcript=Brasy4G322400.2 locus=Brasy4G322400 ID=Brasy4G322400.2.v1.1 annot-version=v1.1 MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGALGGDYANFGARGGGGSSFGAGFGAGSDVRPGDWYCTCGAHNFASRSNCFKCTAFKEEAAVNGGAGGFDGEMSRSRGFGFGGGSGMGGGMGGVMGAGAAGGRANRPGWKSGDWICTRSGCNEHNFASRLECFRCNAPRDSGSATPYENFLH* >Brasy4G322400.3.p pacid=40087820 transcript=Brasy4G322400.3 locus=Brasy4G322400 ID=Brasy4G322400.3.v1.1 annot-version=v1.1 MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGALGGDYANFGARGGGGSSFGAGFGAGSDVRPGDWYCTCGAHNFASRSNCFKCTAFKEEAAVNGGAGGFDGEMSRSRGFGFGGGSGMGGGMGGVMGAGAAGGRANRPGWKSGDWICTRSGCNEHNFASRLECFRCNAPRDSGTEV* >Brasy4G322400.4.p pacid=40087821 transcript=Brasy4G322400.4 locus=Brasy4G322400 ID=Brasy4G322400.4.v1.1 annot-version=v1.1 MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGALGGDYANFGARGGGGSSFGAGFGAGSDVRPGDWYCTCGAHNFASRSNCFKCTAFKEEAAVNGGAGGFDGEMSRSRGFGFGGGSGMGGGMGGVMGAGAAGGRANRPGWKSGDWICTRSGCNEHNFASRLECFRCNAPRDSGTEV* >Brasy4G384200.1.p pacid=40087822 transcript=Brasy4G384200.1 locus=Brasy4G384200 ID=Brasy4G384200.1.v1.1 annot-version=v1.1 MGGLNSKTAPIEGNLIKIRRTARRDARVLAMAMAKAKSHVTGLKPASLDPLSSDYDAELTDEEEDVKSQEQVLRREPRGRRCQEHSESGESDGKKKQPTVTPANKRKAVSVKASVPAAEAPAKNSLNRKAAEVVIAPRRTSPRSKN* >Brasy4G182800.1.p pacid=40087823 transcript=Brasy4G182800.1 locus=Brasy4G182800 ID=Brasy4G182800.1.v1.1 annot-version=v1.1 MGSISTYCSYQTNNVGAFKQSPHVQFQQCCHKGVRFLNNESLSVRNKTHMTKTRATKNEIRPSPGRSWASIVCSTGMPIIFVATEVHPWCKTGGLGDVLGGLPPALAAMGHRVMTIAPRYDQYKDTWDTNVLVEVNVGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDFRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTAVLPCYLKSMYKQNGIYENAKVAFCIHNIAYQGRFPSADFDLLNLPECFMPSFDFIDGHVKPVVGRKINWMKAGITECDLVLTVSPHYVKELTSGPEKGVELDSILRTKPLETGIVNGMDVHDWNPAADKYISVKYNAATVTEARALNKEMLQAEVGLPVESSIPVIIFIGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELMLLEAKYPQNARGIAKFNVPLARMMFAGADFIIIPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVEEGATGFHMGSFNVEFETVDPADVTAVASNVTRALKQYKTPAFHAMVQNCMAQDLSWKGPAKKWEAALLGLGVEGSQAGIDGEEIAPLAKQNVATP* >Brasy4G414700.1.p pacid=40087824 transcript=Brasy4G414700.1 locus=Brasy4G414700 ID=Brasy4G414700.1.v1.1 annot-version=v1.1 MAIQHLLLVVFMASILHAAASATANSTLAVATAYDVLVQNNLPRGLLPQGEYKFRYGRSVGGAIQSGSITQVYGVRVQADLAWVGFNQVQRAGKHADLRLETAP* >Brasy4G262000.1.p pacid=40087825 transcript=Brasy4G262000.1 locus=Brasy4G262000 ID=Brasy4G262000.1.v1.1 annot-version=v1.1 MPPPSPALRRAPLRPPPTPSPPRRPPPLHGLHSLLRPVLHALGADCLPPLVGVHRTCPTAGRRQQQIPPMPSPIAGAHLPARAKTPPFPNHISEHPVAARDHEVQLPLCSAMLPSLPCCYV* >Brasy4G083000.1.p pacid=40087826 transcript=Brasy4G083000.1 locus=Brasy4G083000 ID=Brasy4G083000.1.v1.1 annot-version=v1.1 MDSQGSPMGLYTNLLSEGYLQEAWGQNLSSQFGGQPMQAEVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASMDPIVGTEQKSATYWNRIHEEYELHKPEGSDRSVNSLSHRWSVLKEQVGRFCGCYDQIMHRHESGKTEQDKIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDESNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKMEMEIMNKDLSSLDDDQKEYYRMLRRDIIDRRSKRSI* >Brasy4G162700.1.p pacid=40087827 transcript=Brasy4G162700.1 locus=Brasy4G162700 ID=Brasy4G162700.1.v1.1 annot-version=v1.1 MSSLVGLLLLTISLGLTLAASITTANDQFIYSGFSGSNLTVDDTATVKPDGLLELTNGTAYLKGHAFHPTPFRLTNNVNGNRTVQSFSVAFVFGIVSVYPDFSAHGMAFVMAPQKNFSNALPAKYLGLTNVQNDGNISNHIFAVEIDTIQSVEFKDINANHVGIDINGLQSVRSYNAGYYDDKSGDFRSLKLISRKAMQAWVDYHGEKKEINVTLAPFDMAKPARPLLSITYDLSTVLTDLVYLGFSSATGRVNSRHCGLGWSFGVNRPAPAIDVAKLPKLPRVGPKKPQSKVLIIVLPIVTATFVLCLGGVLVLVVWKRSRYAELQEDWEVEFGPHRFSYKDLFHATDGFRDKNLLGAGGFGRVYKGVLEASSNLEVAVKKVSHESRQGIKEFIAEITSIGRIRHRNLVQLLGYCRRKGELLLVYAYMPNGSLDKHLYSNREGQPTLNWTQRFQIIKGITSGLLYLHERWEKVVIHRDIKASNVLLDKDMNGQLGDFGLARLYDHGVDSQTTHVVGTMGYLAPELIRTGKASPMTDMFAFGIFLLEGRGDQIALIDLVIDRWRNGSLLETMDARLWGEYDIFEAERVLTLGLTCSHPLASARPSIGQVMRYLDGVTPLPKLTQADVLALMQNKGFDAPAMSYPDLVSSFGTISSLSGGR* >Brasy4G116700.1.p pacid=40087828 transcript=Brasy4G116700.1 locus=Brasy4G116700 ID=Brasy4G116700.1.v1.1 annot-version=v1.1 MVGTSAKAVTAEPELLLLERSRAITVQGSDKSGRAVVRIVGKHFPARALGGRAEEALKGYLRERVLPEVGEREFVVVYVHSLVDRGDNFPGLGAIRAAYESLPAAARDRLRAVYFLHPGLQTRLFFATVGRFLFSSGLYEKLRYMSRLEYLWAHVHKAELEVPECARRHDEELERRPLMDYGIEANERRCMYDAASMDTSSSLHSLRCIS* >Brasy4G197100.1.p pacid=40087829 transcript=Brasy4G197100.1 locus=Brasy4G197100 ID=Brasy4G197100.1.v1.1 annot-version=v1.1 MRNPKGKCYPRRSYGLLLSLQAPRQLFEATQAHTPEVLRSDSKKDEKQVLPALTRSSVKTTALHQHTESSRGNCC* >Brasy4G312600.1.p pacid=40087830 transcript=Brasy4G312600.1 locus=Brasy4G312600 ID=Brasy4G312600.1.v1.1 annot-version=v1.1 MGCKACEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKIGLQRNGKSCRLRWINYLRPGLKHGMFSPAEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVESGKQGPDAPAPTAADSAADSDGSQSPNPTGETAQEPASRPSNSGSSEPHHESYSSADSSCLTVTEPAPACRPHAPVAPKVMFADWLDMDYINGGQMSAAAPAGLVDAAGVVGAAASASPGDRHHQAVMSQGSAQVDGPPCSVDDSLHGFGDNGTCWEFQEQFDSMDQMQVGSFCDLLSVNEFFGLD* >Brasy4G278000.1.p pacid=40087831 transcript=Brasy4G278000.1 locus=Brasy4G278000 ID=Brasy4G278000.1.v1.1 annot-version=v1.1 MQMDQVRSQDIGEGINNRVAPPQEYYYHAGLDRPAATTTGLSEANGVLVLMELLEEEDDEQEYSTTTSPPHVDDDADRLSRVMRSLEAEIMRGGDNGECLVRQPGENINGGVLGLDDMLLDFDDAQASGYYWPEAPVYVYSEGGVVGYEMQVAQGQQYYCADQCSGEQVYSSSLWE* >Brasy4G049800.1.p pacid=40087832 transcript=Brasy4G049800.1 locus=Brasy4G049800 ID=Brasy4G049800.1.v1.1 annot-version=v1.1 MGWFRSEPVGPRPRPRPRVAAAGEAAAEARPRVGTGEAGRRGRGSAAGAAGGGGVSGCSMASRDRAWLQPEKQQRRRGRDAAPEKQGGEGAGRRPEQQGAAARRAILPQPTARPRRCAGEAGRGGRGVAAGAAADGEASSRRRRSREGRARGGGRSSNRRGGRVTTPEKQGGEGHVVVRLDAGEEAAQQQRKKKRSILLVRS* >Brasy4G172600.1.p pacid=40087833 transcript=Brasy4G172600.1 locus=Brasy4G172600 ID=Brasy4G172600.1.v1.1 annot-version=v1.1 MTAPADKGKKAKTDVDGGEENEQIDGELVLSIERLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRCDIIKQIPDFWLTAFLSHPLLSELLTEEDQKMFKYLDSVDVDDSKDVKSGYSITLNFSENPYFEDRKLTKTYAFADDGTTAINATSIKWKEGMEIANGNAIKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEEFEGDDEDEEGSDGEDEEDEEN* >Brasy4G079200.1.p pacid=40087834 transcript=Brasy4G079200.1 locus=Brasy4G079200 ID=Brasy4G079200.1.v1.1 annot-version=v1.1 MASGGMTKKEIGESHDVLRFGVNDSVRGDLAPPHPLQATIQSETKFWDDKKKFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEAMTGSLDDFGFEDYLNMPQESDSLRIPDMHHGMEVRLGLSKGPICPSFN* >Brasy4G138900.1.p pacid=40087835 transcript=Brasy4G138900.1 locus=Brasy4G138900 ID=Brasy4G138900.1.v1.1 annot-version=v1.1 MANSSSSAVNHGQSLPKTSVPETFTGTHDFELMNYPLLDDSIGANKFVSSSTFSVGGYDWAIRFYPNGWNEGLCAGNVSAYLYSVSQEEGVRTKFIMNMLEKEGKAAVTNFGRTGERTFSSSIYMWGYSKFAEKSKLESLSLTNNGNFFIRCVLTVIKEPRTERSDLIVLVPQTNLADHLRRMWKDEQGADVTFSVGGQLFSAHRFLLAARSPVFKAELFGPMKEKSAQLIKIDDVEPPIFEALLHFVYTDSMLDDEHCKEGRIAKLQHLLVAADRYGLDRLRVLCESELSMSIDAKTVATTLVLAGQHHCKVLKEACLEFMVPRNVLRDVMATDGFKHLLASCPLVTMEILDKMCRSD* >Brasy4G287900.1.p pacid=40087836 transcript=Brasy4G287900.1 locus=Brasy4G287900 ID=Brasy4G287900.1.v1.1 annot-version=v1.1 MPTESMCSEGSGGRWSRGRPTRRRQGRRATESRRTKELFSPAKKWWEMGRKQTGDRPPSPVGEELRKPLVVPGRAMRTGRLLTWAVFARSPTVDNGHFFRA* >Brasy4G338100.1.p pacid=40087837 transcript=Brasy4G338100.1 locus=Brasy4G338100 ID=Brasy4G338100.1.v1.1 annot-version=v1.1 MLCRNMSLLRHYIHILLLQLCVLSGEPAAAKVPALFVFGDSTVDTGNNNFISTVVRSDFAPYGRDLHLGNGKSDPTGRFSNGRLAVDFISEAFGLPPLVPPYLDPNAHIADLAAGACFASAGAGYDNATSDLFSVLTIWEELDYFKEYVVRLGGFEGEEKARETLAEALYVVSMGTNDFLENYYAVPQGRAAQYPAAAAYGRGYLLGVAESFVRALHALGARKVDLNGLPPMGCLPAERALVGRACTREYNDVAVGFNAGLKALVARLNGELGDARVVYGDVYGPVVDVLGDPGRYGVENVEAGCCGATGRFEMGFMCNARSPMTCADAGKFAFWDAIHPTERLHRALADAKMNATLHVFL* >Brasy4G331800.1.p pacid=40087838 transcript=Brasy4G331800.1 locus=Brasy4G331800 ID=Brasy4G331800.1.v1.1 annot-version=v1.1 MLKELVLDNNLLSGQLSPAIGQLQDLSMLSMSINSLSGGLPSELGNLENLEFLFLNSNSFNGSIPATFSNLTRLSRLDASKNRLTGSLFPGIGALVNLTTLDLSSNGLMGPIPVEIGQLENLEWLYLMDNHFSGSIPEEIGNLKRLKGLKLYKCKFTGTIPWSIGGLKSLTILDISENTFNAELPTSVGELSNLTALIAYSAGLIGTIPKELGKCKKLTKIKLSANYFTGSIPEELADLEAIIQFDTESNKLSGHIPDWIQNWVNIESIKLTNNMFHGPLPLLPLQHLVSFFAGNNLLSGLIPSGICQATSLQSINLNYNNLTGSIKETFKGCRNLTKLNLQDNNLHGEIPEYLAELPLVKLDLSVNNFTGLLPNKLCESSTILHLYLSSNQLTNLIPESIGKLSGLKILQIDNNYLEGPIPRSVGTLRNLATLSLRGNRLSGNIPLELFNCTNLVTLDLSYNNFSGHIPRAISRLTLLNILVLSHNQLSGVIPAEICVGFSRSSQSDLEFFQYHGLLDLSYNRLTGQIPPTIKGCAIVMDLYLQGNLLSGTIPEGLAELTRLVTMDLSFNALVGHMLPWSVPSVQLQGLILSNNHLNGSIPAEIDRILPKVTMLKLSHNALTGILPRSLLCNQNLSHLDVSNNNLFGQIPFSCPGGDKGWSSTLISFNASNNHFSGSLDESISNFTKLTYLDIHNNSLNGSLPSAVSSVTSLNYLDLSSNDFSGTIPCSICDIFSLFFVNLSGNQIVGTYSLSDCVAGGNCAANNIDRKAVHPSHKVLIAATICGIAIAVILSVLLVVYLRRRLLKRRSPLAVGPASKTNTTDELTLRNKLLGKKSQEPPSINLAIFEHSLMKVATDDILIATENFSMLHIIGDGGFGTVYRAALPGGLQVAVKRLHNGHRFQANREFHAEMETIGKVKHPNLVPLLGYCASGDERFLIYEYMEHGNLETWLRNNRTGAAEALGWPDRLKICLGSAQGLAFLHHGFVPHVIHRDMKSSNILLGRNMEPRVSDFGLARIISACETHVSTNLAGTLGYIPQSMGW* >Brasy4G074800.1.p pacid=40087839 transcript=Brasy4G074800.1 locus=Brasy4G074800 ID=Brasy4G074800.1.v1.1 annot-version=v1.1 MARMMPPDPAPAPLQPLPSPSTLPRTTGRLSWLEDSAIRKLLLIYRLAAVRSKGKVFRCEMIVVWVALEGLRRGLLRLPTWNWNSVSSGRGDVSGGGKFADSTEPTFRIVSNVDEAQIVISEWQEMGKLKPMVDIHPDTPVGIAFSIMECFQIHDAAFLLKGDSIIKLKEKIGELEIHQD* >Brasy4G067500.1.p pacid=40087840 transcript=Brasy4G067500.1 locus=Brasy4G067500 ID=Brasy4G067500.1.v1.1 annot-version=v1.1 MTGSTPAATPARTLPPSSSPGSKPAAPPARTSPAVPSARTLPAASPDHLLYAASSGLITSNSPTRAGSTIMPPTSEYNRRRFAMVVRNRTEAPPPLANISQLTRQLVYGPNSDDQQKRKGEDYSSSWEYLSMDEEEANDDEVSGGAKEQELNLHASNTKGNQASTMPKGRKQTQSKTSVPTTTRTTRSSLRSTPNQTEHVPPNGSHTSPHQTSKDSNSPQANTETQHSQDMRDTTGQIDNTIIPHEQGALVGSTGPTNSKSRRGKRKETLGHGLQEYVKRNGGRKMKIDFSEGRARPTDFIQASKLTSECNIHIRNKMHVATHWKDYREKGLDHIVPNAISSVANKFEMDKKDEVAKDVCTSIIKDGVRQQRYRLKRDYFTGYTVEEALSQRPHNVTQQNWEDLVKKWSDERNQEIAAKNKQNRAAVKRQPNTGSRSYIAHFHKQKKDKYNNEDPSPIDFFKDTHINKKTGCMSEEAQIAYVRFFFPLFSLTLITLVLYTCYFLIHKPRFNILEPCFIIAGF* >Brasy4G067500.2.p pacid=40087841 transcript=Brasy4G067500.2 locus=Brasy4G067500 ID=Brasy4G067500.2.v1.1 annot-version=v1.1 MTGSTPAATPARTLPPSSSPGSKPAAPPARTSPAVPSARTLPAASPDHLLYAASSGLITSNSPTRAGSTIMPPTSEYNRRRFAMVVRNRTEAPPPLANISQLTRQLVYGPNSDDQQKRKGEDYSSSWEYLSMDEEEANDDEVSGGAKEQELNLHASNTKGNQASTMPKGRKQTQSKTSVPTTTRTTRSSLRSTPNQTEHVPPNGSHTSPHQTSKDSNSPQANTETQHSQDMRDTTGQIDNTIIPHEQGALVGSTGPTNSKSRRGKRKETLGHGLQEYVKRNGGRKMKIDFSEGRARPTDFIQASKLTSECNIHIRNKMHVATHWKDYREKGLDHIVPNAISSVANKFEMDKKDEVAKDVCTSIIKDGVRQQRYRLKRDYFTGYTVEEALSQRPHNVTQQNWEDLVKKWSDERNQEIAAKNKQNRAAVKRQPNTGSRSYIAHFHKQKKDKYNNEDPSPIDFFKDTHINKKTGCMSEEAQIAYARSEQL* >Brasy4G067500.4.p pacid=40087842 transcript=Brasy4G067500.4 locus=Brasy4G067500 ID=Brasy4G067500.4.v1.1 annot-version=v1.1 MPPTSEYNRRRFAMVVRNRTEAPPPLANISQLTRQLVYGPNSDDQQKRKGEDYSSSWEYLSMDEEEANDDEVSGGAKEQELNLHASNTKGNQASTMPKGRKQTQSKTSVPTTTRTTRSSLRSTPNQTEHVPPNGSHTSPHQTSKDSNSPQANTETQHSQDMRDTTGQIDNTIIPHEQGALVGSTGPTNSKSRRGKRKETLGHGLQEYVKRNGGRKMKIDFSEGRARPTDFIQASKLTSECNIHIRNKMHVATHWKDYREKGLDHIVPNAISSVANKFEMDKKDEVAKDVCTSIIKDGVRQQRYRLKRDYFTGYTVEEALSQRPHNVTQQNWEDLVKKWSDERNQEIAAKNKQNRAAVKRQPNTGSRSYIAHFHKQKKDKYNNEDPSPIDFFKDTHINKKTGCMSEEAQIAYVRFFFPLFSLTLITLVLYTCYFLIHKPRFNILEPCFIIAGF* >Brasy4G067500.5.p pacid=40087843 transcript=Brasy4G067500.5 locus=Brasy4G067500 ID=Brasy4G067500.5.v1.1 annot-version=v1.1 MPPTSEYNRRRFAMVVRNRTEAPPPLANISQLTRQLVYGPNSDDQQKRKGEDYSSSWEYLSMDEEEANDDEVSGGAKEQELNLHASNTKGNQASTMPKGRKQTQSKTSVPTTTRTTRSSLRSTPNQTEHVPPNGSHTSPHQTSKDSNSPQANTETQHSQDMRDTTGQIDNTIIPHEQGALVGSTGPTNSKSRRGKRKETLGHGLQEYVKRNGGRKMKIDFSEGRARPTDFIQASKLTSECNIHIRNKMHVATHWKDYREKGLDHIVPNAISSVANKFEMDKKDEVAKDVCTSIIKDGVRQQRYRLKRDYFTGYTVEEALSQRPHNVTQQNWEDLVKKWSDERNQEIAAKNKQNRAAVKRQPNTGSRSYIAHFHKQKKDKYNNEDPSPIDFFKDTHINKKTGCMSEEAQIAYVRFFFPLFSLTLITLVLYTCYFLIHKPRFNILEPCFIIAGF* >Brasy4G067500.3.p pacid=40087844 transcript=Brasy4G067500.3 locus=Brasy4G067500 ID=Brasy4G067500.3.v1.1 annot-version=v1.1 MPPTSEYNRRRFAMVVRNRTEAPPPLANISQLTRQLVYGPNSDDQQKRKGEDYSSSWEYLSMDEEEANDDEVSGGAKEQELNLHASNTKGNQASTMPKGRKQTQSKTSVPTTTRTTRSSLRSTPNQTEHVPPNGSHTSPHQTSKDSNSPQANTETQHSQDMRDTTGQIDNTIIPHEQGALVGSTGPTNSKSRRGKRKETLGHGLQEYVKRNGGRKMKIDFSEGRARPTDFIQASKLTSECNIHIRNKMHVATHWKDYREKGLDHIVPNAISSVANKFEMDKKDEVAKDVCTSIIKDGVRQQRYRLKRDYFTGYTVEEALSQRPHNVTQQNWEDLVKKWSDERNQEIAAKNKQNRAAVKRQPNTGSRSYIAHFHKQKKDKYNNEDPSPIDFFKDTHINKKTGCMSEEAQIAYARSEQL* >Brasy4G279000.1.p pacid=40087845 transcript=Brasy4G279000.1 locus=Brasy4G279000 ID=Brasy4G279000.1.v1.1 annot-version=v1.1 MAAMRISTLLAVAAMAAVLGAASAATYNVGEPGGAWDLNTDYGSWVSSKKFHPGDAIVFKYSPARHDVLEVTKADYDSCNTNSPIVTHTTGSDVVALTSAGTRYFICGFPGHCTTTGTGLMKLKIEVTPGSSSPAPAAGPGTSNSPPPPPSSAASSVGATAGFGLAAVLLAGLMA* >Brasy4G069500.1.p pacid=40087846 transcript=Brasy4G069500.1 locus=Brasy4G069500 ID=Brasy4G069500.1.v1.1 annot-version=v1.1 PASTARRTRRWGPDTDAPAGEGLSLAQFAAGCFWSVELAYQRLPGVARTEVGYSQGHVDAPTYRGVCGGGTGHAEAVRVHYDPKECPYAVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKEARESLEEKQREWKEQIVTELLPAKKFYPAEDYHQQYLEKGGQSAKKRCSDPIRCYG* >Brasy4G039700.1.p pacid=40087847 transcript=Brasy4G039700.1 locus=Brasy4G039700 ID=Brasy4G039700.1.v1.1 annot-version=v1.1 MGGELLQGAEAGARRGRGVQFRMPRRPALLAAGPPLVMAGKGKKPQQQDRRKKMAVARLGGGGGGRRRLLGAIRRLRMRWVAAAYRRAVRRLRAFYARALEDLLEGAAAISTLRAEAAGADYCSFGAAFAPVVSVPGRR* >Brasy4G021000.1.p pacid=40087848 transcript=Brasy4G021000.1 locus=Brasy4G021000 ID=Brasy4G021000.1.v1.1 annot-version=v1.1 MPMNNVMSLVNQYVTPNVRNFYSMQGSVSSFYSSASDSPPVGTRSGMPMDVEIGHATTSYLAGYSQPSYATPHVTNFLAPYATSDIQYSAPHLHNGYSRINETSIGAHVPSPSTVAYATFPAHLQSFSSMSLPKETKSIVRQSYAGLDELKDGLLSNFNEFEAFRRQLIERPHDPATIQAYEAYKKRREERNMFKIRVFPPQLQSFGNTSLPKEVKSIGGQSCSEEANILDEEYGECSETTMLDFSGCKGAYVLPYEFRAKEIDDHQKEENIAEQCSVNIKEARNPIKEEDKVLENHPKTERAIVHAMLPSCSPNVFKEV* >Brasy4G389600.1.p pacid=40087849 transcript=Brasy4G389600.1 locus=Brasy4G389600 ID=Brasy4G389600.1.v1.1 annot-version=v1.1 MATAAPASRRIAGTEVPITGSDKIRWIDLTVPSASSAPASDTDPFVSVPPRAASGCHVVYSGRDSQRYLAWRIHEEHQNVLEVIELCASKEFPSSGLRLIFQEELCPFAFICESEGARRDKSVYLLYVLTVSGVAFLCNLHSPFSYVSGSILAQNDIVEFSLQTLTQSAKVTAVKAKPGCLVIGRQDGSICCYSLGKVAPCSPGFSNELRDDAGIGRLWTLMSRAKAVGPVQDIETAIINERELLFVLHLDGSLRVWDIFSHTKVLNYNVQSNDFEGQPSRLWVGEADDDQEMITLVVLHQGTVVPACDRVAVYGFSFGAGEKFLLSPEPSVFDVPLLEGKLVDLKISTEKLWILKEAGPMLYEIVQYDTDTGEMCSYVLQEDAISEQMFQSSESALDDLVWTADSIFSSTKEHSFSFISSMFLRRLLQPGVNHWCALRETLLEYKRFLSDSEFQSLTTSGLRKEILSIIEQEESSQTASSTAYHWKKFSARYLHNWCRNNRPYGLLLDTNSEVFGLIRKGSFSLFRCLERVEQLIYGFSDELGNLNGLGLNILSDQSETEILIEVLTCMGHIHHLLGRSSAAIYHESLISSVISLDEIASQILKILETGFSSQSSSALIALLGTDAYVERRQIAHKSQRKFSVEMLLSFQKLQSRSISWPAVFDVIERFMKYLNTELTRQECESKRVCNINSMLLVQATSQVARTMFESAFDLFLFLRYLVGVGGQVSLLQSDVARIKLKLLPMIQDILGQWIVLHFIGISPTMPPTIEDFSYQLSSLQLGIADQLSLHRKLGSSDFTLACLLDFPKSAEEDAPSPCFPTPAEVINLVRRFSSSIMCRNNVEHVDGFLDISCVQSFLGSTINLAALFVRHGQYGAAENLLGILETYLNNGRASQTDENTDIASLARLHLNGFCLLMLAHDEANIVLKESKVHEAIRCFFRAASGQEAPKALKKFSSETGFQFSGECRSISLWRLHYYEWAMQIFEQHSMSEGACQFALAALEQVDSIFYLNNGSDAEDLPETAAMIKGRLWANVFKYSLDLKKFHDAYCAIISNTDEDSKYVCLRRFIIVLCELGETKVICSGEIPFTGLVEKVEQELFWKAERSDLSSKPNLYKVLYSFEAYRNNWRKAAAYMYRYFVRLSREGNAGGTLQLSHVLQERLHALGAAINSLQLVDPSSAWLHSVCEADDQISPSKKPRNLLMENSAFGTDSERSRLQFCVDIEILEKEYTLTEALYMLSTVNSRCNFSESYSIETLTGILINENLYDLAFTIVLKFWKDSGMKRELERVFAAIAQQCCPNKVGNSGRDLTGSQQLLLLPSSQDDAWDDNNKSIAVAHQVRGSCQWETLELYLEKYTDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTSKGGNRMISWGMSGKEVDPAALFRLYINYGRHTEATNLLVHYLDSFASSRPVDVLHRKKMSAAWFPYTTIERFWSQLEEMQSAGHSVDQCDKLKKLLHGALMNHLQQVVVDSEDVLSSVGGGQGMESQSS* >Brasy4G043500.1.p pacid=40087850 transcript=Brasy4G043500.1 locus=Brasy4G043500 ID=Brasy4G043500.1.v1.1 annot-version=v1.1 MAAAAAGATPATARKALLSTTTTLLSSSSLARSRRGLSCSAASAAAPRIAPQPPDLLRWVQREGGFVHPALRVSDHPEYGLGVSATGADGDIPPGTVLIDLPGRIPLRLRRPAGAADAVLMQLSDQVPEELWAMRLGLRLLQERTKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQINKRCRFLLEFEKEVQHKLGTVPLADHPFCGQDVNSSSLGWAMSAASSRAFRLHGQIPMLLPLVDMCNHSFSPNARIVQDGDVDSPDMSLKVVAETQIDQNAAVTLNYGCYPNDFYLLDYGFVVTSNPYDQVELSYDGALLDAASMAAGVSSPNFSTPAKWQQDFLSKLNLHGEGAVLKVSLGGPDMVDGRLLAALRVLLAADPETVQEHDLKTLMSLDKKAPLGPAVESSALRTVLALCAIALQHFHTKIMEDEAVLKGEPPLTTELAVQFRLQKKLMLVNVMQNLSRRIKMLSPEKSTA* >Brasy4G331700.1.p pacid=40087851 transcript=Brasy4G331700.1 locus=Brasy4G331700 ID=Brasy4G331700.1.v1.1 annot-version=v1.1 MTAASHLLAVVVLSAAFSSATSFTDPSDAIGIWALYRALESPWQLSGWTSMGGDPCGGYGERGLWHGIICKDSCVVAINISGLGVGGWLGPELLKLHSLKELDVSFNNIGGEIPPTLPPNVEYLNLAANKFEGSVPPSLPYLHSLKYMNLSYNNLSGIIGDVFVNMESLVTMDLSFNSFVGDLPRSFCSLNDLHYLYLQHNEFTGSVILLADLPLVALNIENNHFSGYVPGTFEFIPELRIDGNHFQPGFKHSSSSFTRTTHSPPPHRPQPPPPPLPPPSPAAKQNLKHRPKPPQSSVGYVSLQIPSHQRKSHSRVTAAAIASVGCTVFVLFVVGLVLKSWKGCTSGPKSTADNIKSLPANLEVPKANDVMYSWSSLMIGRGTSSSNNNGMKPGRVPKRKSWVRTSKNLLPAKQFLAVDILAATKNFNEECLIGEGFTGRVYRGDFPDSQLLAIKKINMIDLSLSEQDELMDILWNMSRLKHPNISSLVGYCVEFGHCALLYEYAENGSLEDLLFSAATSSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKATNILLDAQLMPYLSHCGLAKFSHFVSATRMDSEALSGAKGYAAPELNGPGTDNIKADIYSFGVILLVLLTGQKAFDSSRKQNEQFLVDWASPHLHDLDSLERITDPRIRVSMPPKAISALGNVILLCIKQSPDFRPPMTVITDKLVKLVQSTGIQKTNAAQILEVDAQDPSFITTRPYFEPSSTVSQGATESCISR* >Brasy4G073400.1.p pacid=40087852 transcript=Brasy4G073400.1 locus=Brasy4G073400 ID=Brasy4G073400.1.v1.1 annot-version=v1.1 MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTSLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVSGAIILDDTYERCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKMDDHIEVSIGQQRVRLYIITGVKGDTVFAPQTKKEISEISWHRIDDLLPASDDAISRGVNGMKLYMVAPFLTGLKAWIATHRPQLHQKSDTSARGTVWKAKNPSGVFVPVENPVITRGGSDQQHIDNRPGRSFRSFRFDTASILQSMDASFQRA* >Brasy4G110500.1.p pacid=40087853 transcript=Brasy4G110500.1 locus=Brasy4G110500 ID=Brasy4G110500.1.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVGPTSQAPRRTARPISCYISTRTHQSDTPPSSSPIARPQHPSPDAEKTVATAAPRCTARRTRDPFACAPSQWSGRRRRRGESGTMVVPRR* >Brasy4G110500.4.p pacid=40087854 transcript=Brasy4G110500.4 locus=Brasy4G110500 ID=Brasy4G110500.4.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVGPTSQAPRRTARPISCYISTRTHQSDTPPSSSPIARPQHPSPDAEKTVATAAPRCTARRTRDPFACAPSQWSGRRRRRGESGTMVVPRR* >Brasy4G110500.5.p pacid=40087855 transcript=Brasy4G110500.5 locus=Brasy4G110500 ID=Brasy4G110500.5.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVGPTSQAPRRTARPISCYISTRTHQSDTPPSSSPIARPQHPSPDAEKTVATAAPRCTARRTRDPFACAPSQWSGRRRRRGESGTMVVPRR* >Brasy4G110500.6.p pacid=40087856 transcript=Brasy4G110500.6 locus=Brasy4G110500 ID=Brasy4G110500.6.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVGPTSQAPRRTARPISCYISTRTHQSDTPPSSSPIARPQHPSPDAEKTVATAAPRCTARRTRDPFACAPSQWSGRRRRRGESGTMVVPRR* >Brasy4G110500.2.p pacid=40087857 transcript=Brasy4G110500.2 locus=Brasy4G110500 ID=Brasy4G110500.2.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVRLKGGHQTPKGLKQCSV* >Brasy4G110500.3.p pacid=40087858 transcript=Brasy4G110500.3 locus=Brasy4G110500 ID=Brasy4G110500.3.v1.1 annot-version=v1.1 MTLLPRQGQGDGSNSPQVTQRQRHHATSPSTVRRSPRGEANQTKNHLIHPHRTHRSSLPLLLDRTPSLPLPPRRPGLKKNPAAEPHWTPDTTANGLLESTIRCPCVPAGRQDYMEKKLPLALAHKQQHGGEPLWARPWRWAKTAFVLAAMLASLLLVCAPSPLLVVLLDLALPPALLSAHLRAAAGDDTPYRAFFPAALAQARAFDFRSSLVDLPALSAARSLLILCAYTACGGGGAAYVWVVAASAAGSVSYLLAKAAAVLPGRAAAAGKGPEPMLVLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAAVTKHPKG* >Brasy4G299900.1.p pacid=40087859 transcript=Brasy4G299900.1 locus=Brasy4G299900 ID=Brasy4G299900.1.v1.1 annot-version=v1.1 MDGCGGDGRRPRAVFMAFGTHGDVFPIAALAAAFAHDQQQYSVVFITHSAHQSLSAHLAASNVRYMPVSSPPVLAAEQLENIAYDSVESSSEHKSFSRRKETIQMEHREQCLSYVEEVFGNDPSIRSDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFERQFKQSFPLLYKYFQEAPANTVCWTDIAHWMWVLFMETWGSWRNDCLNLSPIPYTDPVTNLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHVCGFWFLPMAWQFSCDKCRGLLCGDVNPSSEGILCGNHSGLEHYLMGSSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAFIESTDYRFILLSSGYQPLDSAIKFVASSVPESSELEATALSCDSTLLFNNRLFCFSGSIPYSWLFPKCAAAIHHAGSGSTAAALFAGIPQVACPFLLDQFYWAERLHWLGVAPEPLKRQHLVPDLDDTLSINNAADVLLGAIRSALSPEMKAQATRIAHRLASEDGVGEALRALKEKVLSE* >Brasy4G299900.2.p pacid=40087860 transcript=Brasy4G299900.2 locus=Brasy4G299900 ID=Brasy4G299900.2.v1.1 annot-version=v1.1 MQLPFLDDSVESSSEHKSFSRRKETIQMEHREQCLSYVEEVFGNDPSIRSDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFERQFKQSFPLLYKYFQEAPANTVCWTDIAHWMWVLFMETWGSWRNDCLNLSPIPYTDPVTNLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHVCGFWFLPMAWQFSCDKCRGLLCGDVNPSSEGILCGNHSGLEHYLMGSSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAFIESTDYRFILLSSGYQPLDSAIKFVASSVPESSELEATALSCDSTLLFNNRLFCFSGSIPYSWLFPKCAAAIHHAGSGSTAAALFAGIPQVACPFLLDQFYWAERLHWLGVAPEPLKRQHLVPDLDDTLSINNAADVLLGAIRSALSPEMKAQATRIAHRLASEDGVGEALRALKEKVLSE* >Brasy4G299900.3.p pacid=40087861 transcript=Brasy4G299900.3 locus=Brasy4G299900 ID=Brasy4G299900.3.v1.1 annot-version=v1.1 MDGCGGDGRRPRAVFMAFGTHGDVFPIAALAAAFAHDQQQYSVVFITHSAHQSLSAHLAASNVRYMPVSSPPVLAAEQLENIAYDSVESSSEHKSFSRRKETIQMEHREQCLSYVEEVFGNDPSIRSDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFERQFKQSFPLLYKYFQEAPANTVCWTDIAHWMWVLFMETWGSWRNDCLNLSPIPYTDPVTNLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHVCGFWFLPMAWQFSCDKCRGLLCGDVNPSSEGILCGNHSGLEHYLMGSSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAFIESTDYRFILLSSGYQPLDSAIKFVASSVPESSELEATALSCDSTLLFNNRLFCFSGSIPYSWLFPKCAAAIHHAGR* >Brasy4G299900.4.p pacid=40087862 transcript=Brasy4G299900.4 locus=Brasy4G299900 ID=Brasy4G299900.4.v1.1 annot-version=v1.1 MQLPFLDDSVESSSEHKSFSRRKETIQMEHREQCLSYVEEVFGNDPSIRSDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFERQFKQSFPLLYKYFQEAPANTVCWTDIAHWMWVLFMETWGSWRNDCLNLSPIPYTDPVTNLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHVCGFWFLPMAWQFSCDKCRGLLCGDVNPSSEGILCGNHSGLEHYLMGSSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAFIESTDYRFILLSSGYQPLDSAIKFVASSVPESSELEATALSCDSTLLFNNRLFCFSGSIPYSWLFPKCAAAIHHAGR* >Brasy4G314400.1.p pacid=40087863 transcript=Brasy4G314400.1 locus=Brasy4G314400 ID=Brasy4G314400.1.v1.1 annot-version=v1.1 MAAPKLSSHVHAATPKPKQQEINPAVDVEASASSPGDGGVNYVARAQWLRAAVLGANDGLVTVASLMIGVGAVNEASRAMMLVSGLAGLVSGACSMAIGEFVSVYAQYDIEAAQIERTAHSDEDEDGGMARDGLPSPARAAGASALAFAAGAAIPLLAGGFVPAWTGRVAAVCAASSVGLAGFGVAGAYLGGASCVVRSGARVLVGGWLAMATTYGVLKLFGMHGV* >Brasy4G006200.1.p pacid=40087864 transcript=Brasy4G006200.1 locus=Brasy4G006200 ID=Brasy4G006200.1.v1.1 annot-version=v1.1 MMNVLSSGHPQNLQLLRVNHDRISPRGRPPKKSLALPPVVDHQLRHRGLAVAVRSAIDGGGGASSSGKDDGDDDEEEKRRRKEQEGMTSNREELE >Brasy4G113300.1.p pacid=40087865 transcript=Brasy4G113300.1 locus=Brasy4G113300 ID=Brasy4G113300.1.v1.1 annot-version=v1.1 MAKLYVQAVPPADLNKNTEWVTYPGVWTTYILILFFSWLLVLSVFGCAAGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGVYNTLTWWEQMDSGQQLTRNRKFLAVVPVVLYLIALHTTDYQHPMLSLNTLAVTVLVVAKLPNMHKVRIFGINGGI* >Brasy4G241400.1.p pacid=40087866 transcript=Brasy4G241400.1 locus=Brasy4G241400 ID=Brasy4G241400.1.v1.1 annot-version=v1.1 MAECRGGDGLIKLFGKTIPVPEPVGALSKDVGHSGSSSTESGVQEITTDPSPQPEVVDAEDPAVDKGSQVQSGDDDDAASEKEKLKKPDKILPCPRCNSMDTKFCYFNNYNINQPRHFCKKCQRYWTAGGAMRNVPVGAGRRKNKNVLAASNFLQRVRAALPVDTFCSSPCPPVKTNGTVLSFGHDPSMGSCSEVVSSNRDDKDQRNDITIEKSANGVQVRQQHPAGMNGGTMWPYGYTPSPAAYYTSGIAIPIYPGAPGPGYWGCMVPGAWSLPWPVQCQPQALSSPTSAPSVSSAPSPLTLGKHPRDQANEGNRGHGNGNGKVWVPKTIRIDNADEVARSSIRSLFGIKGDDRDEQITGTSGQKLAASVFEPKQHEAKMGKHAAAITSLPLLHHANPVALTRSVIFHEGS* >Brasy4G050800.1.p pacid=40087867 transcript=Brasy4G050800.1 locus=Brasy4G050800 ID=Brasy4G050800.1.v1.1 annot-version=v1.1 MKSHKSSPKRSAAIAAPVAFLLALGLLSLYHSAFSPRRYPRVVDVASSSSSSSSGNAGTCDLTRGEWVPEAAGAAPYYTNLTCPFIDDLQNCMKFGKPSLEFVRWRWRPDGCDLPRFNAARFLDAMRGKSIAFVGDSLARNHFKSLLCLLSSVARPVEVVGAAEPEVDATGRVVRRDFYYGSHDFTASLFWSPFLVKANLSNATLGMWDVHLDEADPRWAAHVASFDHIVLSGTNWFLRPSVYYEAGRAVARNNNAIVRGGNLRELAVPRALRAAFRVALGAIADADGFGGKAVLRTVTPAHFENGEWNTGGDCVRTRPYRRGDHRALGAFEAEYRGAQVEALREAKAKARAKGAKGKELLLMDVTGAMDLRPDAHPSRYGHLPGGTVEGNFIVDCLHWCLPGPIDMWSELLFQMLVHQ* >Brasy4G383100.1.p pacid=40087868 transcript=Brasy4G383100.1 locus=Brasy4G383100 ID=Brasy4G383100.1.v1.1 annot-version=v1.1 MQRKQHTSSFPPSEFSTSSSISRGSSFFFFSFCGSSLGLPDSHWAAKQIWPWEELGSSEASTRRCSLFLLPSLSPPPPPRRSPPATARRTSISTATSIRRTRWVSTLNLRKQTCRHYGTPPPSPPALWVSPPPYQSARRISSPYLLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQHVKLYLHCPQAGRGAWRRWRRWLNCYLDGWTPQFTRRPNWYDRNTGGRSDRRRFEDWELHGGWTAERSTAVWKWEGGGEIGGGVEVGRASATCQTPCPRFRTRPLDFDSRLHSPPPIADPDAVAASLPRRTTPYRHLHLRAGPHRRAASLQLGDRPHACHHFQHPNI* >Brasy4G108200.1.p pacid=40087869 transcript=Brasy4G108200.1 locus=Brasy4G108200 ID=Brasy4G108200.1.v1.1 annot-version=v1.1 MLDCLLFVWFSSSKRSGVCCFTKSWVRSTMSYQPRRRPTTSLPQNDNENSENSTRVDVGYANRRRAAFEDITNRFTQSSYSSQGVGYTDRSHAALEDITNRYTQSSNSTQVGHSTQSSLTFQSATQRGDTTNVEGSSNIQDFGAYESPIDNYADMRGKKIRLEGSETRPAGISKHPRRGRPSMPVDGSETEPDEIV* >Brasy4G211100.1.p pacid=40087870 transcript=Brasy4G211100.1 locus=Brasy4G211100 ID=Brasy4G211100.1.v1.1 annot-version=v1.1 MPYPLLPGKWPTIPRPPLAPAPHRSVFPVNPVSELDEPDTFTPPPPPPPCLESMATSPAACGGWKCRHLRSLRPGGARPIRRPRAQAARASKGSPLEGSGRSFRGRRASPLCVLAWNEYPGICFAPL* >Brasy4G290700.1.p pacid=40087871 transcript=Brasy4G290700.1 locus=Brasy4G290700 ID=Brasy4G290700.1.v1.1 annot-version=v1.1 MDKMLPRNANIYYFHYFCDRVVSLTACCYLALLLVFLTSGTHAKPHHHHGELQTLLTIRRDWGGPAALSSWKPNSSVHLAHCNWDGVTCNSDGQVTTLSFTQLQIANPVPASVCRLKHLSSLDLSYNELTGEFPTALYGCSTLQYLDLSNNQLAGSLPGHIGKLSSEMLHLNLSANGFIGHVPSAIGGFLKLKSLVLDTNSFNGTYPAAAIGRLVELETLTLANNPFVPGPLPDVFGKLTKLSFLLLWEMNLTGKIPESLSALTELSLLDMSTNKLQGAIPGWIWKFEKLQYLFMYGNNFTGGIGPFASAVSMVQLDLSSNRLTGPIHETIGNMKNLRLLFLHLNNITGPIPASLGLLPNLIDIRLYDNNLSGPLPPELGKHSPLVTLVVSSNLLSGELPETPCSNKQLFDLVVFGNSFSGSFPVSLGECDTLDTIMAYNNHFVGDFPEKIWSLPELIAVHIQHNNFTGTLPAKISSKIMMIQMENNRFSGAIPTTAPGLGLFWAQNNHFSGELPDMTGLANLGDLNLTGNHISGSIPRSIELLRELHVLNLRNNEISGVIP* >Brasy4G092300.1.p pacid=40087872 transcript=Brasy4G092300.1 locus=Brasy4G092300 ID=Brasy4G092300.1.v1.1 annot-version=v1.1 MSGRSGDQHQLPVAAMRKQHAGAMSFPSKLSTSSKALVLLPLLLLAFIYLFVYPKEFQLQSLMASCAQPPATVTSSRLGDDTTTTTSTAAETTATVKPDFRLLIGVLTRADVYERRHLLRMVYSLQRLSSQALAAQVDVRFVFCRLYKDDQRVLVPLEILLHGDIIVLDACEENLNEGKTYTYFSTVAALYADDPYDYVMKVDDDIFVRLPGLLASLAKMPREDAYYGATIPCEEMDPHNGYMSGMGYALSWDLVQWVATSDVARNHTVGPEDMMTGQWLREGGKGKNRFNAKPAMHDYLLPVPVDKCEHELMPDSIAVHRLKDNPRWAHVLGYFNFTAALKPSKFYSMKS* >Brasy4G014100.1.p pacid=40087873 transcript=Brasy4G014100.1 locus=Brasy4G014100 ID=Brasy4G014100.1.v1.1 annot-version=v1.1 MEAGVGMALQSRAAGFGAGSRRSALYGGESRARIGSLRVGDQVASSPAAVRARGSKPIVAPLRAKKSSGGHENLHNSVDEALLLKRKSEDVLFHLNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQSVGMPSVAQIFKVHSEAFFRDNETSVLRDLSSMRRLVVATGGGAVIRPVNWKNMKKGLSVWLDVPLEALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSTLAEQRGDAYANADVRVSLEEIASKLGHDDVSKLTPIDIALESLYKIESFVTEDTVGESHTESQAQRIHTL* >Brasy4G250800.1.p pacid=40087874 transcript=Brasy4G250800.1 locus=Brasy4G250800 ID=Brasy4G250800.1.v1.1 annot-version=v1.1 MSLSKAIVVISGINECVNFFQWVGSAISSLHSRWSGSEEQNRHARVLHLESGLIRLGDTLPAMYDLINGAEWRTHEHCVAELLPKLKDAVYDADDLLDEFRWYDKKVQVEGNASESSFNEFFDIVIQGSYNKLNDVQERLDRLSGQLEKMGLRQVTQRFDKSVRPETSSLPNETKIFGRDVVMKQVMGLLNVPTISKRKRASSPVNASTSTSLSNQVGNESRISSLAVLPIVGIGGVGKTTLAQHICNHQRVKAHFELIIWICISDDFDVKRLTKEVIQSCPGKEATADNLDSLQRALSKHVSKKRFLIVLDDMWDDAMKENGQRWKRFCAPFERVKEGSMMLVTTRCPKVMEGVRTMEPIILEGLKDDVFWNFFKLCVFGSESSNNDPEFFLN* >Brasy4G307900.1.p pacid=40087875 transcript=Brasy4G307900.1 locus=Brasy4G307900 ID=Brasy4G307900.1.v1.1 annot-version=v1.1 MYGGGGQYGGGQYGGGGGGGGGQYGGGGGGGGGQYEGGGADNSSTLFSGGGFMPSQATNTPEGSSSFPRTRNAQTLIPVTVKQLMDASQINDDRSSFAVNGTELSTVRLVGRMLNKTERVTDVSFILDDCTGRIDVNRWENETSDTNEMNEVKNGDYVIVNGCLKGFQGKRHVNAYSVRLVTNYNDITHHFLYCIYVHLDLSKSKRQLNAKTGTLNQAPVPNNQAPTPSASGNSTGTELSKLVMSVFHDPVLINVEHGVSVQQVADRLKLSVELARSTVQELVDLGNLYSTIDDNHYKSTLNG* >Brasy4G307900.2.p pacid=40087876 transcript=Brasy4G307900.2 locus=Brasy4G307900 ID=Brasy4G307900.2.v1.1 annot-version=v1.1 MYGGGGGGGGQYEGGGADNSSTLFSGGGFMPSQATNTPEGSSSFPRTRNAQTLIPVTVKQLMDASQINDDRSSFAVNGTELSTVRLVGRMLNKTERVTDVSFILDDCTGRIDVNRWENETSDTNEMNEVKNGDYVIVNGCLKGFQGKRHVNAYSVRLVTNYNDITHHFLYCIYVHLDLSKSKRQLNAKTGTLNQAPVPNNQAPTPSASGNSTGTELSKLVMSVFHDPVLINVEHGVSVQQVADRLKLSVELARSTVQELVDLGNLYSTIDDNHYKSTLNG* >Brasy4G270700.1.p pacid=40087877 transcript=Brasy4G270700.1 locus=Brasy4G270700 ID=Brasy4G270700.1.v1.1 annot-version=v1.1 MARQSTGSVVAMLLLALCCATTSIRGDGTEWIVGGDKGWTFGVAGWENDKHIQPGDKLVFKYEPGKHNVAQVDVRGYMECKAPEGTKTYSSGNDTFEMPGGKAYWICTFPGHCEKGMRIGIPPR* >Brasy4G176500.1.p pacid=40087878 transcript=Brasy4G176500.1 locus=Brasy4G176500 ID=Brasy4G176500.1.v1.1 annot-version=v1.1 MASNTAPAADDDELVQSFGPLLHVYKSGRLERPIMAPPVAPGLDPATGVDSKDVDLGDYSARLYLPPAAAGATTGTKLPVIVYIHGGGFVAESAKSPNYHRFLNDLASACPAIGVSVDYRLAPEHPLPAAYEDCLAALRWALSPTAEADPWISAHADLGRVFVAGDSAGGNICHHIAVQPDVARLRGAVLIHPWFWGSEAVGEETRDPAERAKGWGLWKFACPGSAGPDDPRMNPMAPGAPGLETLACERVMVCTAEGDFLRWRGRAYAEAVAAARGGGEQQGIELLETDGEEHVFYLFKPDCEKAKEMIDRIVAFVNAA* >Brasy4G297800.1.p pacid=40087879 transcript=Brasy4G297800.1 locus=Brasy4G297800 ID=Brasy4G297800.1.v1.1 annot-version=v1.1 MKNKKGSRSRGRNVSNKEVSACNGSGYRLSKLPNDVLLNILERMDTFDAIRTCILSKQMLKLPTMLSRFFISIGSLAPHHDGSCDFTLRDVVRINGAVADVTENIMVGRSRDITIHKLSVRFMLRPYDCLSIGRSIAHTMATQKVKATEFEILTEKVREDCTPADLLNFAKQFNIFLAACPDAFAGLTRLWLRNMRFGELDIPNILSSCKLLESLRFSHCDSGDPSVLQVKYAQLVEFVIDYGHFETVELSYLPKLERMSYNNWCSYEEPLSFGFVPQLTKLNLTKTGTSLHKTFELSRLLANVSISDLHLDFEKCRKLLAPVLCKLQFVNLDNLPEGWAMHHIWDHWCNMLTDKKLPEKRGYCEKANVKWNPSVSNFIHKNLAQLTIYGFQADANFVRYISRIMEAASCEHCGDLDPRIKVWPSRYPRTDKERKHITEELWMASCGMVHFRS* >Brasy4G102400.1.p pacid=40087880 transcript=Brasy4G102400.1 locus=Brasy4G102400 ID=Brasy4G102400.1.v1.1 annot-version=v1.1 MMAGAAHPMHFCMDSDWLKGMVVPEDQGGAMGSSSPSSPSDTMIIACPQPMQQHQAQQQDRRLRPQHDSPLKCPRCDSAHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRATRKPSSSSAVVVPVVPSPAMPMSMMLHGGRHVETSGGLHLSFSGSTMQLPSHASAPDPLCSSLGLLDWKYDNVFSGSGGGGTAFESTNSEAAHFAGQGMMGIGGSRGGAECHALDALRYAASLGMGEHLALPFGAGARAERDHAVEMKPLSLEWCGEASRAPESSTISSLSGLGLWSGMISGAHHHHGSSAAI* >Brasy4G319800.1.p pacid=40087881 transcript=Brasy4G319800.1 locus=Brasy4G319800 ID=Brasy4G319800.1.v1.1 annot-version=v1.1 EPSPPAPFAPSSSAPAPSSPPPAGRRHPPPSPRRRRPPPVRHRLPPRQRRPRSRPACHRRPHPAISLFTSQYDQIGYILASIWNSETSIPFPLWRDPMADIISGGSSLSTMATRNNILQLEVTLGLQTHAVPYCFRFS* >Brasy4G202500.1.p pacid=40087882 transcript=Brasy4G202500.1 locus=Brasy4G202500 ID=Brasy4G202500.1.v1.1 annot-version=v1.1 MPLVEPWRLANHGEGMEGCPKTYRGIAVVGSFHKVVELGVSGDRLPGNDPETHRLPNFRIHDWTLTTYSKSKTAITNSWHDWIVVDCAVKASDIEINDAARSALLRSGLLCEPQNGEVVEQKLQNLNTGQPVLSLDDEGIVYLFTRLKFLHPQGMGS* >Brasy4G292200.1.p pacid=40087883 transcript=Brasy4G292200.1 locus=Brasy4G292200 ID=Brasy4G292200.1.v1.1 annot-version=v1.1 MASLVVRSLAGGSPVLPAASRSRRRSGLLCARASMRGVVNGTTTTTSDRRQRAVSIDELRLGRDDPVVIHTAPAAPEEDGGKAAEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPAAPPSMAALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRELERGVCAQLARTTAAITEADVQDAMDRVLALDAAYPLPLLPAMLEKFPKAVEPARWWPKKKPAVQKSRSFGPARRGSSAGNNGWTQELEEEMRGIVRVIKAKDEQEFLSVGKLVLGLNKGLALAGPALAGTAALATLFIGSGADSGPSSWAVVGGALAAAVNTMEHGGQLGMVFELLRNCAGFYRKIQEDIEAALAEPDVERREGGEVFATKIALKLGRSVSDLKQFGKMASPSVREEDIKDFAGKLF* >Brasy4G154600.1.p pacid=40087884 transcript=Brasy4G154600.1 locus=Brasy4G154600 ID=Brasy4G154600.1.v1.1 annot-version=v1.1 MASQQPALAVLVRGPDGFTVWSGPPYPSGSSPPQRLPKTPCSATSFSSDGSRLLATVASASATVYDCSSLSVIRTFELPGLTAAALSPTGSFLQTFQKSTSPQVKNVTVWHVDTATALYQHYQKNMSKATWPMVQFSVDESVACRMMTNEMQFFDPKDFTKGIVYRVRMPGIAAMQLASAPGSHVAGFVPEAKGIPASVQIFSCDKDAQNQVIARRSFFRCSTVQFHWNKGSTGLLVVSQADVDKTNQSYYGETKLHYLTTDRAFEGAVPLKKEGPVHDVQWSSSGSEFAVVYGFMPARATIFNKKCNPLLELGDGPYNTIRWNPKGRFIVIAGFGNLPGDMAFWDYSEKKLVGKTKAEWSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQVDWKPEAPERFGDIADLTTSLSNITIDETKKSGSAQGSKSAQASSKAPVNMAAKPTGAYRPPQSKNTLAVQDQLFGGLAPTGGEMSKTALRNKKRREKQKEKKAGEGSSANDS* >Brasy4G207200.1.p pacid=40087885 transcript=Brasy4G207200.1 locus=Brasy4G207200 ID=Brasy4G207200.1.v1.1 annot-version=v1.1 MDSLLISAGESDSNPKMQDARGTAFSGYHDAGGSSGDPYAPAESFLLRIGEDVEWSDVVGAVLERDESTRGASNPKSAAATRKISAAARAPKAVASVVIGGLPNKAAHEHGRRRACTLIGGRERVSARADVVEEEEPASPKVSCLGGVRPRRGLEPADDAEAGRRGWRACFLAAMVRCC* >Brasy4G270100.1.p pacid=40087886 transcript=Brasy4G270100.1 locus=Brasy4G270100 ID=Brasy4G270100.1.v1.1 annot-version=v1.1 MTTVAAGNQRPARGRKALSAVLDNDANISAGKADVTAALLSPPQKAKRVSSKRGKAAAAPSADAAGQALDADADVSSGKADVATAAPASAQKAKRASSKNGKGKAVATPSMADELTELQGMLERLRLEKEKAEEMVRERDVVIRKKEEEIETKGKQQERLQAELKKMQRVKEFKPTMNLPLVKSLLDKDQEGDDKGKKKKVKGKAGNERKKPCPAYVLWCKDQWAEIKKENSDADFKEVSNALGAKWKTISAEEKQPYEERYRQEKEAYLQVVVQEKREAEAMKLFEEEQMRWTAKELLEQYLKFRQEAEGSDKKAKKKNKKENDPSKPKQPMSAYFVYSQGRRAALVAEKKNVPEIGKITGEEWKSMTDAQKAPYEEVASKQKEEYQKQMEVYKQKKLEETQNMEKEEEEQKKVMKQEALQLLKKKEKTDKIIKKTKEKRQKKKQENVDPNRPKKPASSFLLFSKEARKQLVEERPGVNNSTINALISVKWKELSGTEKKMWSEKAAEGMAAYKREMEEYTNAHTSSPSSSSGGADSLTC* >Brasy4G256400.1.p pacid=40087887 transcript=Brasy4G256400.1 locus=Brasy4G256400 ID=Brasy4G256400.1.v1.1 annot-version=v1.1 MGHRVPCLRLEESGPRVRGVLVSDLPGGCSGMGNGRSPLFNLPCTQTAKGQHVPTGGLLTWGMEEERPIPWPRRRAAMPLWRGSGRDARRLDLDRDEEERARQVVTRARRTVYRAGALAPATGRDVHTGGEVHTSTSGDGGRGGERRHEGAEQRRIPRFRPRSSGSGDAAEQGMGLRRRIWRAGGWGARDRKGRENPRQKRKEGRRERRKGQRSRCFFRASVCDFCEVGDVRAYHATSKLVK* >Brasy4G125600.1.p pacid=40087888 transcript=Brasy4G125600.1 locus=Brasy4G125600 ID=Brasy4G125600.1.v1.1 annot-version=v1.1 MPFLESMPSLETAYVNLGDTAYGTSHDICANYHTSGEFCGANHDGCVTCRANDDASINCVVLGAISNTKHLELRSLSGMIIFARNLKWCPTFNKLNYLLLDEYWCLGPTFDALTCILKHSPVLGKLTLELCKGQKPKVVMRGSYCSMDMERSSVVPEHLKIVDVKCHVLDETTVRVLKFLSTLNIRFSFVLQGENQSKVDLPALTRPFPHARLQYDATMLSYSLSCVCLWT* >Brasy4G125600.2.p pacid=40087889 transcript=Brasy4G125600.2 locus=Brasy4G125600 ID=Brasy4G125600.2.v1.1 annot-version=v1.1 MPFLESMPSLETAYVNLGDTAYGTSHDICANYHTSGEFCGANHDGCVTCRANDDASINCVVLGAISNTKHLELRSLSGMIIFARNLKWCPTFNKLNYLLLDEYWCLGPTFDALTCILKHSPVLGKLTLELCKGQKPKVVMRGSYCSMDMERSSVVPEHLKIVDVKCHVLDETTVRVLKFLSTLNIRTLF* >Brasy4G125600.3.p pacid=40087890 transcript=Brasy4G125600.3 locus=Brasy4G125600 ID=Brasy4G125600.3.v1.1 annot-version=v1.1 MPFLESMPSLETAYVNLGDTAYGTSHDICANYHTSGEFCGANHDGCVTCRANDDASINCVVLGAISNTKHLELRSLSGMIIFARNLKWCPTFNKLNYLLLDEYWCLGPTFDALTCILKHSPVLGKLTLELCKGQKPKVVMRGSYCSMDMERSSVVPEHLKIVDVKCHVLDETTVRVLKFLSTLNILC* >Brasy4G242400.1.p pacid=40087891 transcript=Brasy4G242400.1 locus=Brasy4G242400 ID=Brasy4G242400.1.v1.1 annot-version=v1.1 MAMAMTALRREGRRALLSAPSQAAAMAARSPAISQPEIAPLGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALQKMTSGPEKESKYVILGEKGKVQLIRDSRKHIEMTVSELQKNPINYTQVAVLADDILKNVEYDAIRVIFNKFQSVISFRPTLVTILSPEVMEKESESGGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAVLENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELTEIISGASALEG* >Brasy4G224700.1.p pacid=40087892 transcript=Brasy4G224700.1 locus=Brasy4G224700 ID=Brasy4G224700.1.v1.1 annot-version=v1.1 MGAAASLPVTSKFSTAGENDSIEYATSSMQGWREQMEDAHAAILDLDGSQSTSFFGVYDGHGGAEVALYCAKQFHVELVNDPDYVNNPAAAMEHVFFRIDEQLHQSDDWRVLANPRGYSYLMRCLRTSLCAAWPLKARYIGPQDEGSTACVAIIRGNQIIVGNAGDSRCVLSRNGQAINLSIDHKPNHRNERVRIRAAGGQVRRDGFAKIQGGRLVATEWGVYRVDGKLAMSRAIGDFQYKQNKTLPPAEQMVTCNPSIRTVNITDDTDFLLIASDGIWDVMTSQRAVDIVHTCLRSGVTDVRAICATLQDLCLRSEDNSTVILVGFKDGARIGPPPPPPVLEPEDDPSDNADTSGEARDDSNNEIVEAKAQPSLFFIAESSKQGFSENE* >Brasy4G224700.2.p pacid=40087893 transcript=Brasy4G224700.2 locus=Brasy4G224700 ID=Brasy4G224700.2.v1.1 annot-version=v1.1 MGAAASLPVTSKFSTAGENDSIEYATSSMQGWREQMEDAHAAILDLDGSQSTSFFGVYDGHGGAEVALYCAKQFHVELVNDPDYVNNPAAAMEHVFFRIDEQLHQSDDWRVLANPRGYSYLMRCLRTSLCAAWPLKARYIGPQDEGSTACVAIIRGNQIIVGNAGDSRCVLSRNGQAINLSIDHKPNHRNERVRIRAAGGQVRRDGFAKIQGGRLVATEWGVYRVDGKLAMSRAIGDFQYKQNKTLPPAEQMVTCNPSIRTVNITDDTDFLLIASDGIWDVMTSQRAVDIVHTCLRSGVTDVRAICATLQDLCLRSEDNSTVILVGFKDGARIGPPPPPPVLEPEDDPSDNADTSGEARDDSNNEIVEAKAQPSLFFIAESSKQGFSENE* >Brasy4G431600.1.p pacid=40087894 transcript=Brasy4G431600.1 locus=Brasy4G431600 ID=Brasy4G431600.1.v1.1 annot-version=v1.1 MLVRLRRFRRRRRHGSNNEFSNFLAEMISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.2.p pacid=40087895 transcript=Brasy4G431600.2 locus=Brasy4G431600 ID=Brasy4G431600.2.v1.1 annot-version=v1.1 MLVRLRRFRRRRRHGSNNEFSNFLAEMISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.6.p pacid=40087896 transcript=Brasy4G431600.6 locus=Brasy4G431600 ID=Brasy4G431600.6.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.10.p pacid=40087897 transcript=Brasy4G431600.10 locus=Brasy4G431600 ID=Brasy4G431600.10.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.13.p pacid=40087898 transcript=Brasy4G431600.13 locus=Brasy4G431600 ID=Brasy4G431600.13.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.12.p pacid=40087899 transcript=Brasy4G431600.12 locus=Brasy4G431600 ID=Brasy4G431600.12.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.16.p pacid=40087900 transcript=Brasy4G431600.16 locus=Brasy4G431600 ID=Brasy4G431600.16.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.15.p pacid=40087901 transcript=Brasy4G431600.15 locus=Brasy4G431600 ID=Brasy4G431600.15.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.7.p pacid=40087902 transcript=Brasy4G431600.7 locus=Brasy4G431600 ID=Brasy4G431600.7.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.11.p pacid=40087903 transcript=Brasy4G431600.11 locus=Brasy4G431600 ID=Brasy4G431600.11.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.3.p pacid=40087904 transcript=Brasy4G431600.3 locus=Brasy4G431600 ID=Brasy4G431600.3.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.8.p pacid=40087905 transcript=Brasy4G431600.8 locus=Brasy4G431600 ID=Brasy4G431600.8.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.9.p pacid=40087906 transcript=Brasy4G431600.9 locus=Brasy4G431600 ID=Brasy4G431600.9.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.4.p pacid=40087907 transcript=Brasy4G431600.4 locus=Brasy4G431600 ID=Brasy4G431600.4.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.14.p pacid=40087908 transcript=Brasy4G431600.14 locus=Brasy4G431600 ID=Brasy4G431600.14.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G431600.5.p pacid=40087909 transcript=Brasy4G431600.5 locus=Brasy4G431600 ID=Brasy4G431600.5.v1.1 annot-version=v1.1 MISSCPICDKQVLTAELEWHANSHFEDDEAQRDMELAQLAAVAELSTSVTDVPQHSLRSFSNDSFSQGASSSTIRGSSLYGNVLDEQISCLIGAQIRSTVQKIEGGVMNLLSSCLESEGGSSTSIISGYVEHHQSLSSEDKGWGCGWRNIQMLGSHLLKQRPEAREVLFGGRGFVPDIPSLQRWLEIAWDKGFDTVGSSHFHNKVYGAKTWIGTTECVTLLRSFGLRARIVDFDSTESSGQQSKSGKRVCGPMDKYLVKTNSSCELSQEDAENMRGQQVLVDWVWNYFASKRSDILHNSKNVTISDTTPLYFQHQGHSRTIVGIQRQKGYRGSQDRYNLLVLDPGHRTADLERSLTIKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASSVVDVPRPGSNLPQKNIS* >Brasy4G105000.1.p pacid=40087910 transcript=Brasy4G105000.1 locus=Brasy4G105000 ID=Brasy4G105000.1.v1.1 annot-version=v1.1 MSLASFLQPSPGSSHFQSTAAAAQAVKMKPRRLLPWRLLALATLLCLCTLPAPARSQSAPVPAPAPAPASVEGFNCSANSTYPCQAYALYRAGFAGVPLDLSAVSDLFGISRFMIAHANNLSTTAAPAAGQPLLVPLQCGCPSRSSNAYAPTQYQINSGDTYWIVSVTKLQNLTQYQAVERVNPALTPTKLEVGDMVTFPIFCQCPTASAGNNNNATALVTYVMQQGDTYASIADAFAVDARSLVSLNGPEQGTKLFSEILVPLRRQVPQWLPPIVARNSVPVTPSPPPSASPNPSVATDNQNGVVTGLAVGLGVVGGLWLLLACLWRRLKAKAGRGREAVVSGEAGRFAKSGSAGVGGERFLVSDISEWLDKYRVFKVEELERGTGGFDDAHLIQGSVYKANIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCISSATGDCYLVYEYVENGSLDVCLLDRAGRARRLDWRTRLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLARSGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREASAGANGELLLMADAEERLFRGREEKLEARAAAWMDPVLAEQSCPPGSVAAVVSVARACLQRDPAKRPSMVDVAYTLSRAEEYFADYSGESVSVSGSGELAAR* >Brasy4G159600.1.p pacid=40087911 transcript=Brasy4G159600.1 locus=Brasy4G159600 ID=Brasy4G159600.1.v1.1 annot-version=v1.1 MRFLGLVSLVALIFLLSFRSLLHQQAFVAFFPSVQGEGAAAIHDDGKKRQHAEEWAEERKRMRWFMAKDYGMPRRHTPRHNRLL* >Brasy4G159600.2.p pacid=40087912 transcript=Brasy4G159600.2 locus=Brasy4G159600 ID=Brasy4G159600.2.v1.1 annot-version=v1.1 MRFLGLVSLVALIFLLSFRSLLHQQAFVGAAAIHDDGKKRQHAEEWAEERKRMRWFMAKDYGMPRRHTPRHNRLL* >Brasy4G242100.1.p pacid=40087913 transcript=Brasy4G242100.1 locus=Brasy4G242100 ID=Brasy4G242100.1.v1.1 annot-version=v1.1 MPPSRSSRNPNSSRSNHPHRSSPLRRRGLRRPLRRRHPRQPRSAPASSFSAASRGFRLRANLLMSKSGCCQGAAIDALAEAKFWKPIWLKSREGGSAHQNFELTRCHANFGSHFSAPRLPPLLPCPMAAQPRELRSNCRTGHREEV* >Brasy4G076400.1.p pacid=40087914 transcript=Brasy4G076400.1 locus=Brasy4G076400 ID=Brasy4G076400.1.v1.1 annot-version=v1.1 MALAFSKLPFAPSNPAPSSRAAALRPRGVHFAAAGRSGGLGLPLACAASRNRRRRRGVRLVVWASADYYATLGVQRSANIKDIKAAYRKLARQYHPDVNKEPGATDKFKEISSAYEVLSDEKKRALYDQYGEAGVKSAVGGSAGAYTSNPFDLFETFFGANMGGFSGMDQNAFRTRRRSTTVQGEDIRYDVILGFSEAIFGTEKDIILSHLETCDTCSGSGSKAGSKTRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCRKCSGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPSDIKRDGINLYSTVSISYIEAILGTVEKVRTVDGTSELRIPPGTQPGDVVVLAKQGVPSLNRPSIRGDHLFTIKVNIPKRVSGRERELLEELASLTNGGFARAPVKPKPIHQENGSSVAQEQSDQPAEGEGDWFKKLTDFAGSIANGAAKWLKDNL* >Brasy4G171300.1.p pacid=40087915 transcript=Brasy4G171300.1 locus=Brasy4G171300 ID=Brasy4G171300.1.v1.1 annot-version=v1.1 MGGGGLLDLEKHFAFYGAYHSNPVNVFIHMLFVWPIFLTTVLLLHITAPSVHAAAVAAAIYGTFYISLDRRSGALAALLCFLCWAASAALAARLGFSTGWKVVLVTQVFCWTMQFVGHGVFEKRAPALFDNLAQALLMAPFFVLLEVLHEFVGYEPYPGFHANVKKLIDAKRKEWEDKKAKKLS* >Brasy4G257400.1.p pacid=40087916 transcript=Brasy4G257400.1 locus=Brasy4G257400 ID=Brasy4G257400.1.v1.1 annot-version=v1.1 MRSPRATAAAALLLLFWLAALTFAFHGSFVGGQRRNYYHSLSLPRKMLLAVESFHADSSSSSSSAADHKDQQRHHHHHHHHHQHHQQQHGKWNRKGIPPSVAAAAAVNGQQEVDPRYGVEKRLVPTGPNPLHH* >Brasy4G109200.1.p pacid=40087917 transcript=Brasy4G109200.1 locus=Brasy4G109200 ID=Brasy4G109200.1.v1.1 annot-version=v1.1 MHAPIDRPNPQASPHTSRRPRAAAAAMAAPPPPRKLSPPASRPRPVAKSPPRRLSHPPPHPRPRPPPQRQHLHGQQHKQQGRATTSSAWSVGSMSARLSQRTPVLGLRAWVLVAAGGAAVALAVLLLVVCLCRCCRRRRRCPRVAPSLHHTSARSLKHRARHQAMAEEHAADDAEEPPVRWHPASPPPPFQPPLQPPIEVIKAEQEAPLIAVESARTSGETASSSGGGSAREWSTDSGGGGGDDAEPEAARRGWGRRYTRRELEEATDGLAAYNVLGEGGYGVVYKGVLRDSTAVAIKNLHNNRGQAEKDFRVEVATIGRVRHKNLVSLLGYCSEGACRMLVYEYMENSNLDKWLHHDDSEASQLNWDTRMHILLGTAKGLAYLHEGLEPKIVHRDVKSSNILLDGQWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLVMEMITGRTPVDYTRPPAEVNLVEWLKRMVAERRVEEVVDPKLPEPWPPSKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLRFRDELQLARDLSPHASSSGSYEREE* >Brasy4G259700.1.p pacid=40087918 transcript=Brasy4G259700.1 locus=Brasy4G259700 ID=Brasy4G259700.1.v1.1 annot-version=v1.1 MGSAVLLLFCSVLAYSISSSGNWGVQHGDADRSVQQSTGSRWPLTHLGSVLVITSRAGSGYRGRLRLSAPRRHRRNERVPRALPSQIRVGKGLVLSCTGRLCAWIPLHPGPLPWLCTRHGFTGHTWCLEP* >Brasy4G065700.1.p pacid=40087919 transcript=Brasy4G065700.1 locus=Brasy4G065700 ID=Brasy4G065700.1.v1.1 annot-version=v1.1 MDAGDPSTSLAVTAAESSQTTKKKAPKRFIHTPIPPSILSDPTLATAATSLLPAAYNFELPKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLGPFLAEDPSNDVLILADPTYGACCLADRPAKALDADLLVHYGHSCLVPVTSSLLPVLYVFVEIRVDALRLAAAVRTAFPDPAAAPRLALAGTVQFISAVHAAREMLTRDGYRDILVPQAKPLSAGEILGCTAPTLKKSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVVLGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPTRMELFGDSVDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGTRECGTGSGSGCCSGSGTCGDCDCSSGDCRGSDFGGDYPMDYYSQDGGDWNGCYMKKKPSTGERKPRVRIGSSVQVEEKQ* >Brasy4G146700.1.p pacid=40087920 transcript=Brasy4G146700.1 locus=Brasy4G146700 ID=Brasy4G146700.1.v1.1 annot-version=v1.1 MNPDATPRRSRNRVVFPGSGIPLPSSSTPKPESSRRGLLPRVRNTADELVFPESGIPAPPPEFSTTTLHHEFSTASACCSPPTVPCGRRPDGMRCGGVVLEELDLSLDSPAPSARSPSSSPGKMYISPQSVCVRERRGGGDAGERERLSEEGN* >Brasy4G297500.1.p pacid=40087921 transcript=Brasy4G297500.1 locus=Brasy4G297500 ID=Brasy4G297500.1.v1.1 annot-version=v1.1 MPSNTSPLLELPCLATRRPQILLPFSPAYESDPRTADLHRSDEMTRTRLSSRPSPAAAAHENDTYPLFPVHRRCRKEDDIGRCIDMLHEKRRAVREAGLQGLVGILKRGLDPIESIESRCVTLLDRCRASLNNKAASTKEARLAYRAIGLLALTVGGASDACSKEILRVALRGLTGAVAAATSTSSSEAVAAIDCLAAVTFACARWPWEADPAMGAIHGVINKLAGTTATGSSDVLISAVSAWALLAATVAYTPSSAMTPWRGLTELLDSDDTAVLMTAAEALAVCAERNLTEIASSSSAEDVHALETKVSILAAQERGRGVDKKTHAKQTRMFAQIDDMMKHGARGRPRKAMLRKSTTSTSGGCLLEAPKWARRAQLKFLTRFLGDGFGTHSRLNPLIHEDSDSDEDESGSDDCESGSDDGGGESESDDDGSDSGSDDCESGSDDHGDPDSCSAAAAAYEEFLLTGFFLDGTAGADEEFVMVDHDENEEEFVMV* >Brasy4G161500.1.p pacid=40087922 transcript=Brasy4G161500.1 locus=Brasy4G161500 ID=Brasy4G161500.1.v1.1 annot-version=v1.1 MEAAGYHNCKKTDGVCDGEHGSKAVLSMSRLKCALRGFDLRALLFLLIGVPVIIFVIYVHGQKVTYFLRPIWEKPPKPFKVLPHYYHENVSMANLCKLHGWKVREVPRRVFDAVLFSNELDILDIRWNELSPYVSEFVLLESNSTFTGLPKDLHFKENRKKFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLLKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYIKDFIFKMNAYSHVDRIRFKYFLNPERIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAWLLEKAEQYRYLLPGNCMRESV* >Brasy4G094900.1.p pacid=40087923 transcript=Brasy4G094900.1 locus=Brasy4G094900 ID=Brasy4G094900.1.v1.1 annot-version=v1.1 MASSKPPPLCLLFAVVMALIFIVAAALINAHPQLALDDDDDDPHQLVLGGSQRSLLQAPKIDCGSACEARCGRNWKNKMCNKMCNICCGKCSCVPSGTGQDTRNQCPCYANMVNSKNGKPKCP* >Brasy4G438700.1.p pacid=40087924 transcript=Brasy4G438700.1 locus=Brasy4G438700 ID=Brasy4G438700.1.v1.1 annot-version=v1.1 MVQFRSSLSMTRVRTHADADDRGWNQLHVAARKGDLKEVRRLLTEGMDVNAPAWGPKSPGATPLHLAAQGGHVKVMDELLERGANIDARTKGACGWTPLHIAAKERNKRVVRFLIENGAFLPPDLNDHRFNPPLHYCSGLEWAYEMKRMQDESDSSGEASCSSEN* >Brasy4G347700.1.p pacid=40087925 transcript=Brasy4G347700.1 locus=Brasy4G347700 ID=Brasy4G347700.1.v1.1 annot-version=v1.1 MSPVMPGPDAVAAEGDPDALPVLTYRSLAAPVSRPVDKFELLPAFLKVRGLVKEHIDSFNYFITKGIKNIVQANNRIEARSDPSIYLRYNDIRVGEPSVQVDFRVETITPHFCRLTDRTYSAPVIVDIEYTVGKTHVVHRKPNFIIGYMPIMLRSYACVLNGKDEAELARYGECPLDPGGYFVVKGNEKVILIQEQLSKNRIIIDTDNKKRVIASVTSSTHEVKSKTVIVMDKEKIYLQLNQFTKPIPIIVVMKAMGIQSDQEIVQMVGRDPRYGDLLYLSIQECASERIYTQQQALQYMDDKVMFPGPGNVKEGRSKTLLRDVFVAHVPVKNGNFREKCIYTAVMLRRMLDAILNSDTFDDKDYVGNKRLELSGQLVSLLFEDLFKTMNSFAVDRMNKNSDMARSSPLDFSQLIMQQDLITTGLERAISTGNWDIKRFKMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCYSLGVEKLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQRFANSMRTFRQSGKIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGRSRVKEHHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHLDQDDVQRSSITHIEIEPMTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTTEKYANGTISDRIAKPQRDKDGALIKQNMRALDEDGFVAPGQIIRNHDIYVNKQTPKVIPKTPGAALTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTNDKLTIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGRFHYGSAFGESSGNADHVDDISHTLVKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKTSFCSMCKNGENMAKMRMPYACKLLFQELQSMNVVPRLKLTEG* >Brasy4G202100.1.p pacid=40087926 transcript=Brasy4G202100.1 locus=Brasy4G202100 ID=Brasy4G202100.1.v1.1 annot-version=v1.1 MPKPMRPISPPRPAARRRSLAPGGLGLAAAAYVGTDYLRHLSPSLHGRLQPALWAALALATAARAPFYRRWEAELRTAPRFLAAMTFMLATLLCEAISVRFVSSVLGRQWHRSTAPLPDTGQWLLLALNERLPQTVVDLLRAQIITLHHYLMLFIMLGFSALFDCIKGPGLGIATRYMFTMAVGRFLRTITFLATILPSPRPWCADARYQIPYHYHPWAQKYYAPYASDPGAIRRVMQEDMPYAIVQDYTVEYRPDWGHMNFLIDILRPTAGEGPSWYHLLKKASGGCSDLIYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRGRHHYTVDCIVAIYVGILLWRMTGFIWSARDSNRDRRLTKLDEVHNRLFRAAKDSDMNEIRRLLSEVELAGQARKGFSQGVILSFAAFMIIFTLLFVLLAFTLTRDG* >Brasy4G271100.1.p pacid=40087927 transcript=Brasy4G271100.1 locus=Brasy4G271100 ID=Brasy4G271100.1.v1.1 annot-version=v1.1 MANSTSRRLAISILLVCASLLQLAQARLLLPEGKNAALNESKSFSIRGGSGEGGGRGFGVSIGHGGHGDTSVGIGGGLGGGAGTTRGGGASAGGGAGAGVGVDVGRGGLDVGIGGGGGGAAGAGGVHAGAGGGGGVGFHVGRGGVSVSTGGGGGGGSSSGGGGGSGVGRAGNAVGSGGGFGGSNGGAGIGGGRGVGSAGGAVGGGSGGGGGQG* >Brasy4G376500.1.p pacid=40087928 transcript=Brasy4G376500.1 locus=Brasy4G376500 ID=Brasy4G376500.1.v1.1 annot-version=v1.1 MASAAGKEVQDGGVPGVDAGEARAVLSSGSGAYLDVRMQEDFDKEHAAGARNVPYYLSVTPQGKEKNPRFVDEVAALYGKEQQLIVGCRTGVRAKLATSDLINAGFSNARSLQGGYVAFLQSAAADQQPAGQQQ* >Brasy4G274100.1.p pacid=40087929 transcript=Brasy4G274100.1 locus=Brasy4G274100 ID=Brasy4G274100.1.v1.1 annot-version=v1.1 MSTPGGGGAPGASSSHGVCCSSGGTLELVAAFTAVCLALYGVILYLNYLYVRWNGRDGVHRATGSGSPSSSSSAAARKGAGGGGLDKAALAAIPVFTFKAEDAHGGGAAVECAVCLGAMQDGDAVRALPGCGHAFHVACVDAWLRAHATCPVCRARPALPPKAKAKAAEPAAAVQMPDLESQA* >Brasy4G384500.1.p pacid=40087930 transcript=Brasy4G384500.1 locus=Brasy4G384500 ID=Brasy4G384500.1.v1.1 annot-version=v1.1 MATTGDGSSIQRLPDDLLALIYGAITSPLCRVRFAAVCRPWRAVASWTPPPPPLPLLLLSTCDRARTKDLYSPEDGSALRLRLRLQPTTTARHPVQPRARRRWIVGSHDGGWVAAASAGPVLQILNLFSGAEVVLPAAQRRIDTRDYSTDPSRISKIIFSEEPTSPGCILAAITHGCTIALCRVANGCAADKAAAAAAGAGAGWSMTGMPQLADIAFCNGELYGVSHNLDEVTLLKFDLGVNEDGGVVVTGIDTVAILSLREEDEIVGAVYIFQMRGDKPAMAVDTGGFVFRVFKLVRNESPAIMPAAAAYDYGYRWAEMTSLGDYSLFLSPRCSRAVEVSPVGRDGVQRNRIYYANHRFGHLRFHCRHLMKMADGRDVYYDEDELVCRGGKSLASC* >Brasy4G024000.1.p pacid=40087931 transcript=Brasy4G024000.1 locus=Brasy4G024000 ID=Brasy4G024000.1.v1.1 annot-version=v1.1 MAASSVGCGRCVPVAAAGQGDRRRPGSLQPAAALRRRRGCAWQWQHSSTTKDQNSSQHQRCAAAELWRGEPDDGKMGFEKEILKAGTGPKPVKGQKVTVHCTGYGKDGDLSKKFWSTKDPGQQPFSFNIGLGSVIKGWDEGVMTMQLGEIARIRCTPDYAYGSGGFPAWGIQPNSVLVFEIEVLSAK* >Brasy4G399200.1.p pacid=40087932 transcript=Brasy4G399200.1 locus=Brasy4G399200 ID=Brasy4G399200.1.v1.1 annot-version=v1.1 MNPVACLRLRASAATPPFPSRCRAAPQPPRSVSRLPPRASASTAAQAPCRPSDKDRRSNRNLLQQLGAALPCGATAWLSFAESAQSSEGATLNMVYEVGELFELGIQLSYLLILLGLLGTGTFFVIRQVLVRRELDLSAKDLQEQVRSGSGSATELFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRENKLDKAIKQFEKAVELQPGYVTAWNNLGDAYEQQKDLKSALRAFEEVLLFDPNNKVARPRRDDLKSRVGMYKGVPVKTTDKR* >Brasy4G237700.1.p pacid=40087933 transcript=Brasy4G237700.1 locus=Brasy4G237700 ID=Brasy4G237700.1.v1.1 annot-version=v1.1 MACLAPHFKWAPTPSCATHSHHPSSPSSSSNSGRCSGRRPFRVECAVTSAAAAVVDTDRATGGPLRLVYASPESPPVLQRNFESALASEAFLNEEAVVTAAAAEAVALARAAAEAAQEVVHMVQKISPQPVLREKKAVENYLAKEILRTEMLCSSLDEYSDDVLLEDLESSHGVLNDEVELDDTQDYQSIAVKSERQSERRARRTRAAIKAATTVRSSQKLASSSKKKRSKGPATSMNPLGSLWKLTGRKLLTAKEEVELSNGIQDLLKLEAIQAELAEYSGGQPTFPQWAAAAGTDEKTLRKRLAHGIYSKNRMVTSNVRLVISIAREFEGPGLELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQTQIFRLPAHMVEASYRVKECTRRLRRTLKRRPSNEEIAVDIGMPVKRVEAAVNLPKYSVSLDSKIGTTDMSYQEVTADPSAETAEEMLNRMSMKKDVHQALDALGPRERQVVKLRFGLDDGRIRTLQEIGNIMGVSRERIRQIEAGAFRKLRSKKKVKFLKDYLVPVGNW* >Brasy4G214900.1.p pacid=40087934 transcript=Brasy4G214900.1 locus=Brasy4G214900 ID=Brasy4G214900.1.v1.1 annot-version=v1.1 MAKRKRSKKGKSKERSCPAASLTSDLFIEVISRLPVKAVGRAKCVSKTWRNLIQHPDHGSKLPHTLAGFFYNRYNDSVDPNFTVPNFTNVSGDVCPFNCPSFDFLPTHQRIELLDCCNGLLLLRLFDNQDAFSYVVCNPATKNWTALPNSIQSRVKLCIVRLGFDPAVSSHFHVFEFLEDEYEYDITGLGVYSSEKSGWVYTEEIGSGDDIIRLLHDNSPSIFVYGCLHFVTMEPAVVAVDTEGKTWRIIRAPDGGSDDEEAGMGHGFIQHSQGCLHYSNLERHGEGIRLVVYVLKEYGSQDWILKHSIDLSYLFEWRRVDLLVDFNWVTIHQECNLIFFTAGPDNTLMSYDMDLQQVRVMQSLGHDSGTHYLPYVPLYTHS* >Brasy4G090000.1.p pacid=40087935 transcript=Brasy4G090000.1 locus=Brasy4G090000 ID=Brasy4G090000.1.v1.1 annot-version=v1.1 MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLLTSWVLREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAGIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFLVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIATFSFSGLLFHWFTPSGQDCGLNLFFIVFTLMLVFLFAIVALHPKINGSLLPASVIALYCTYLCYSALSSEPRDYKCNGLHNHSKAMSTGSLTLGLITTILSVVYSAVRAGSSATMLSAPDSPRAGADKPLLPFSKADEEEAKKDVPKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRMATQWATAGLFVWSLIAPILFPDREF* >Brasy4G152300.1.p pacid=40087936 transcript=Brasy4G152300.1 locus=Brasy4G152300 ID=Brasy4G152300.1.v1.1 annot-version=v1.1 MAAAAKMTRNLSRQLSSGAARIWRQLSLEPHTPRRGVGAAAAGAVAGPTRFGIARQSSLDPTPAAADVAMLSVPDNLDATMRLLFAACQGDVGGVEELLREGVDVDSIDLDGRTALHIASCEGQGEVVRLLLGWKANINARDRWGSTPALDAKHYGHFEVYNLLRARGAILPKSKKTPMVVSNPKEVPEYELNPLELEFRRGEEVTKGYYVAKWYGSKVFVKILDKESFSDCDSIDSFKHELTLLEKARHPNLVQFVGAVTQNVPLMIVSEYHQNGDLASYLETKGRLQSYKAIRFALDIARGLNYLHECKPEPIIHGDLSPKNIVRDDEGTLKVAGFGSFGLIKVSEDKLQMARPVSKFDSVYIAPEIYRNETFDRSVDTFAFGLILYEMIEGTPAFHPKPPEEAAKMICLEGLRPLFKNKPKSYPEDVKELIQECWDTTPSVRPTFSDIIVRLNKIYASCSKQTRWRDNFKLPWKQAAHR* >Brasy4G152300.2.p pacid=40087937 transcript=Brasy4G152300.2 locus=Brasy4G152300 ID=Brasy4G152300.2.v1.1 annot-version=v1.1 MAAAAKMTRNLSRQLSSGAARIWRQLSLEPHTPRRGVGAAAAGAVAGPTRFGIARQSSLDPTPAAADVAMLSVPDNLDATMRLLFAACQGDVGGVEELLREGVDVDSIDLDGRTALHIASCEGQGEVVRLLLGWKANINARDRWGSTPALDAKHYGHFEVYNLLRARGAILPKSKKTPMVVSNPKEVPEYELNPLELEFRRGEEVTKGYYVAKWYGSKVFVKILDKESFSDCDSIDSFKHELTLLEKARHPNLVQFVGAVTQNVPLMIVSEYHQNGDLASYLETKGRLQSYKAIRFALDIARGLNYLHECKPEPIIHGDLSPKNIVRDDEGTLKVAGFGSFGLIKVSEDKLQMARPVYTLLLRYTETKRLTEAWIHLHLVSFFTR* >Brasy4G126200.1.p pacid=40087938 transcript=Brasy4G126200.1 locus=Brasy4G126200 ID=Brasy4G126200.1.v1.1 annot-version=v1.1 MSGAGGNGWGRSSRRRRVRAGGAARQEQTRGVAAAGYAACRLDPGRLGGAGAGGWRLGRRPPPSPSPPQRKASSASPLPRGRDPPRQTCPSLTFFSLSLDGARA* >Brasy4G273000.1.p pacid=40087939 transcript=Brasy4G273000.1 locus=Brasy4G273000 ID=Brasy4G273000.1.v1.1 annot-version=v1.1 MKHGCRCEDRKDLTAKQAKDNITVMIPIGPSCSCRSFICGEGTKPPGHFAERVGGDLGYPPRCSISTVEMQLIYPKAPNLMYSYGDGAL* >Brasy4G193400.1.p pacid=40087940 transcript=Brasy4G193400.1 locus=Brasy4G193400 ID=Brasy4G193400.1.v1.1 annot-version=v1.1 MASPPPEAGENAGVPSSSGTLPQDISDVSKSMVGQPQAAEQEGSSQTSEQAGQQHMNAADHLSQPNLVTGESKSENFVQLEQRHYQPEQEQPLSENQLQQADTNSFQLSEKGTDYAGQQSFTGSMEDQQQANPVVGQRAPPSAPDTRKRGYQPSIPFNMLIPILQAHLDKDKDMQLQSVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRNNQGNANQHSLSSQASTQQSPASGSAKLHNQQVRMPTPPNQGQKSQASSSPQTFVPLPGTQMQSSMHYFVHDNSVQNPDAKGMHAMPNRPPSINPPIPLQTSNKQQHPTQVQQALPHLYGTTNPPPQAYPRPISGSMPLRPQSSASDIRPALHSHGMVAAKLGTVPTHPTMQHNASARQMPQNKDTKTSSLNPKANTKQNSESAGKARMVGTGGPSAKLHGKQAPPNTTTPPATRTNKKSGGQKKSLEMAGSAPSPSSKRQKTSGAFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESLASEAARKIVQEEEENLFLQKGPLLKKLAEIILKCNLKNASADVERCLSMCVEERLRRFISTLIRVSKQRIDTEKTSHRLVITSDVGRQILQMNQKAKDEWDKKQAETDKNKKQTEEDGNVGAEMDKEKEESRPKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKRAPVPRPSHITGKGSAEHNEASKRSHFAAFGTGGMKSQGRGTFAERHSHGPQRTVSVKDVISVLEREPQMTKSRLIYRLYERLPGESTTD* >Brasy4G193400.2.p pacid=40087941 transcript=Brasy4G193400.2 locus=Brasy4G193400 ID=Brasy4G193400.2.v1.1 annot-version=v1.1 MASPPPEAGENAGVPSSSGTLPQDISDVSKSMVGQPQAAEQEGSSQTSEQAGQQHMNAADHLSQPNLVTGESKSENFVQLEQRHYQPEQEQPLSENQLQQADTNSFQLSEKGTDYAGQQSFTGSMEDQQQANPVVGQRAPPSAPDTRKRGYQPSIPFNMLIPILQAHLDKDKDMQLQSVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRNNQGNANQHSLSSQASTQQSPASGSAKLHNQQVRMPTPPNQGQKSQASSSPQTFVPLPGTQMQSSMHYFVHDNSVQNPDAKGMHAMPNRPPSINPPIPLQTSNKQQHPTQVQQALPHLYGTTNPPPQAYPRPISGSMPLRPQSSASDIRPALHSHGMVAAKLGTVPTHPTMQHNASARQMPQNKDTKTSSLNPKANTKQNSESAGKARMVGTGGPSAKLHGKQAPPNTTTPPATRTNKKSGGQKKSLEMAGSAPSPSSKRQKTSGAFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESLASEAARKIVQEEEENLFLQKGPLLKKLAEIILKCNLKNASADVERCLSMCVEERLRRFISTLIRVSKQRIDTEKTSHRLVITSDVGRQILQMNQKAKDEWDKKQAETDKNKKQTEVFHNFHFTYSTCMTCLIH* >Brasy4G020500.1.p pacid=40087942 transcript=Brasy4G020500.1 locus=Brasy4G020500 ID=Brasy4G020500.1.v1.1 annot-version=v1.1 MSFPSCAPFPCPANRVAPPDLPRNLAIVPVSFWPTIKRPMLSQPPIAPETAPHLSRHLLHPLKPCPYHLLESPSLAPAFALAGFTSVLLAAG* >Brasy4G159000.1.p pacid=40087943 transcript=Brasy4G159000.1 locus=Brasy4G159000 ID=Brasy4G159000.1.v1.1 annot-version=v1.1 MAGTCAHVEFLRAQPWWALALAAVGLLVAARAALRLALWLYAAFLRPGKPLRRRYGPWAVVTGATDGIGRAIAFRLAASGLSLVLVGRNPDKLAAVSEEIRAKHARAEVRTFVLDFAGEGLAAGVDALRESIRGLDVGVLVNNAGVSYPYARYFHEVDEDLMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKGKGIDVQCQVPLYVATKMASIRRSSFLVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISLLPESLVDSTRLSMCIKIRKKGQAKDAMKKAQ* >Brasy4G185800.1.p pacid=40087944 transcript=Brasy4G185800.1 locus=Brasy4G185800 ID=Brasy4G185800.1.v1.1 annot-version=v1.1 MHPPLTLHRHPMCAEIIEEFQKCHLDNPVKKFFGECTELKVKLDRCFRQEKALKRKANFDESKKFREQLQAYKREIAEKPQE* >Brasy4G288400.1.p pacid=40087945 transcript=Brasy4G288400.1 locus=Brasy4G288400 ID=Brasy4G288400.1.v1.1 annot-version=v1.1 MAAAVESPHPRANSTWYAIDSALTIVAADNPVEIAVRRDRVAKRARDEQPDEVEARGWSSAIPDYSTSLRRRRGCKSPSPEMVSTLIEVFLGGRLTKYFRRGRSNEALGALTEVLKPWIISFVFMPVIFQKLELACGNLVLCMNLVIFGGIIYLNFFYSVRSDLIVRGLGKTLPEPSFA* >Brasy4G043100.1.p pacid=40087946 transcript=Brasy4G043100.1 locus=Brasy4G043100 ID=Brasy4G043100.1.v1.1 annot-version=v1.1 MEVSLVVLTLLLLLSSSAATTGHDYADALNKSILFFEGQRSIKLPLDQRLRWRLCRPDALLDEGMTHRVDLTGGYYDAGDNVKFGFPMAFTATMLSWSVMDMRRSFGPHEGEARKAVRWATDYLMKATARPNTVYVQVGDPSSDHDCWERPEDMDTPRTVYKVDTAHPGSDVTGETAAALAAGSIVFRHADPAYARCLLDHAVAVFDFAGKYRGAYSSSLHEAVCPLYCDYIGYQDELLWAAAWLHKASRRGEYSEYIKRNEVVLGANDAGNEFGWDNKHAGINILISEDVLTGKDDYFRSFCVNANDFVCTVLPNVSSQHPRIQYTLGGHVTSMSFLLLVYSNYLSHAGACATCGAEAVTPVMLRLVAKRQVDYILGDNHVGYGPRFLRRIRHRASSIPAGPNPNLPVGAVGGGRSDTSDTYTDARAVFQQSEPITYINAPLMGLLAYFSTHPQPGRVGRLVQD* >Brasy4G370800.1.p pacid=40087947 transcript=Brasy4G370800.1 locus=Brasy4G370800 ID=Brasy4G370800.1.v1.1 annot-version=v1.1 MVAATVPLPAMVLLLVAVSAMALVSGAGAGRASVRGRHGQGQQQGQLRMGFYSSSCPAAEQIISDYIRLHVRRAPTAAPALLRLHYHDCFVSGCDGSILLNSTGTGGGGGQAEKDAAPNLTLRGFDLVDRVKAAVEAACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSSVQDALRELPNPAMSFTELAALFAGKGLGVRDLVWLSGAHTIGVAHCSSFADRLYGYPGAGNGTDPSLDATYAANLRQRKCRTPSSNSLVEMNPGSFLTFDLGYYRAVLKHRGLLGSDAALVTDAAARADIAGVVASPPEVFFQVFGRSMAKLGAVQVKTGSQGEIRKSCAVVNS* >Brasy4G107700.1.p pacid=40087948 transcript=Brasy4G107700.1 locus=Brasy4G107700 ID=Brasy4G107700.1.v1.1 annot-version=v1.1 MAAPHLLSLSHPKPHLHPLSLTHLSSFHGVRRLNLGVLPHRLDPTPPDPPPARPRAAGLDPRHSGAAAGLNPPETPRPSPRIAGSAASEPPRRRIRSALARAAGSASYEPRKPQEMAPPYPARRGLRCRRPLRRPDPASPLRAGDKQLRRRHRRPPMWVWGGLVGGPYFF* >Brasy4G341000.1.p pacid=40087949 transcript=Brasy4G341000.1 locus=Brasy4G341000 ID=Brasy4G341000.1.v1.1 annot-version=v1.1 MPSTASTSHFRLFGRTTHQENPHVPVGARFCGSFPGGWFVVALRQAPPGGRYALLNLHSGEQIALPDNMGAPVKSSKGIQHYSTYAVVAIHAAALSAAPTPDGAYIVAAITSSQTNIAFWRPGMAHWSPPLHTEVDRRASWADKMIPTATEDGYMEDVVHYRRQDLERFCVLTSTERLFFYEPVIAEDGTLTMRRTVRIRMFHAVGLTPAGSGELVARYLVVSGEDLLIVRRYASLPVAPDRIGLHIFRLREEGQPQDSCFWRNCSSLELRGQVLFLGRGCSRAYTYTTAVHRRPGGYIYLLDAERFRDLTAVDFRCSDTGRCDYRHFLLQIRRVLPRGPASDCSPWIWFFH* >Brasy4G332100.1.p pacid=40087950 transcript=Brasy4G332100.1 locus=Brasy4G332100 ID=Brasy4G332100.1.v1.1 annot-version=v1.1 MDDAPDLARKELQLRKHCPRVWRPRHRLAPCPQPSAHVAGSSTAPTSPAQAPPPRRPRHRRLHRADLALTDSSTAPTPTSPSPALLELHRLDLAITGTPGALPP* >Brasy4G052100.1.p pacid=40087951 transcript=Brasy4G052100.1 locus=Brasy4G052100 ID=Brasy4G052100.1.v1.1 annot-version=v1.1 MGRKELASTRCFTPATENPRQPSGPRRHPSILPPRRPPPPHSPTPHSPPPMAQSTLQAPAPEQLTPDELLEEIFLRLPTAADLARAAMACDSFRRIIAGHRFLRRFRVLHPPPLLGMLEGIINLPFRPALPPHPSAAAARAFADADPDLLCDFLPSRDCFLMTDIRDGRVLLAGGTERGCFLHGGFLRHFAVCDPLHRRYLMLPVIPDDLAALLDQREKEKGHFDYFLAPPAKDEDDLSFRVMCLARCTTKLVLFVFSRGAGQ* >Brasy4G417600.1.p pacid=40087952 transcript=Brasy4G417600.1 locus=Brasy4G417600 ID=Brasy4G417600.1.v1.1 annot-version=v1.1 MRRHQHYCFVVVTSRSTVFVAIVIPGKEKEKTHTSRRRPLILGSPTEMEGAVLCAANHAPLTPISFLERAALVYPDRPAVVSADGDGAAPTRTWRDTRARCLRLAAAIAGLGVQRHHVVAVLAQNIPAMYELHFAIPMAGAVICALNSRLDAAMASVLLRHSDTKLLFVDAALLPVAAEALRLVSSAGANPPAAVLITELLDADHVPVPPPSSSSALIEHEYEAFMARGSPEFAIRWPADENWPVALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMAAMPVYLWTVPMFHCNGWCLAWGVAAQGGANVCLRKVTGAAVLRAVARHGVTHMGGAPTVLSLVANAATPPEPTKNQNQNNTPPPEPRKKKVAVMTGGAPPAPAVLHRMESLGFLVIHSYGLTETYGPATVCTWNPEWDALPAAERAAIKSRQGLHHHGLEVDVKDPTTMLTVPCDGETTGEVMFRGNTVMSGYYKDPLATAESFSGGWFRSGDLAVRTPGDGYVKIKDRKKDIVISGGENVSTVEVEAALFAHPAVAEAAVVGRPDEHWGETPCAFVVAKGKVGDEEVMEFCRKRLPRFMAPRTVVFLEELPKTATGKVKKFELREMARALGSLPRPTASKL* >Brasy4G417600.2.p pacid=40087953 transcript=Brasy4G417600.2 locus=Brasy4G417600 ID=Brasy4G417600.2.v1.1 annot-version=v1.1 MRRHQHYCFVVVTSRSTVFVAIVIPGKEKEKTHTSRRRPLILGSPTEMEGAVLCAANHAPLTPISFLERAALVYPDRPAVVSADGDGAAPTRTWRDTRARCLRLAAAIAGLGVQRHHVVAVLAQNIPAMYELHFAIPMAGAVICALNSRLDAAMASVLLRHSDTKLLFVDAALLPVAAEALRLVSSAGANPPAAVLITELLDADHVPVPPPSSSSALIEHEYEAFMARGSPEFAIRWPADENWPVALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMAAMPVYLWTVPMFHCNGWCLAWGVAAQGGANVCLRKVTGAAVLRAVARHGVTHMGGAPTVLSLVANAATPPEPTKNQNQNNTPPPEPRKKKVAVMTGGAPPAPAVLHRMESLGFLVIHSYGLTETYGPATVCTWNPEWDALPAAERAAIKSRQGLHHHGLEVDVKDPTTMLTVPCDGETTGEVMFRGNTVMSGYYKDPLATAESFSGGWFRSGDLAVRTPGDGYVKIKDRKKDIVISGGENVSTVEVEAALFAHPAVAEAAVVGRPDEHWGETPCAFVVAKGKVGDEEVMEFCRKRLPRFMAPRTVVFLEELPKTATGKVKKFELREMARALGSLPRPTASKL* >Brasy4G124000.1.p pacid=40087954 transcript=Brasy4G124000.1 locus=Brasy4G124000 ID=Brasy4G124000.1.v1.1 annot-version=v1.1 MEAQWLAEYPHQGADKRPRKRPRLAWDVAPQLFPPPKAIPMLYCGQELVNGNFATAFLPPPPIYYGGPPRNFSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYHSFPIDLVREFARQILDAVTFMHDLRLIHTDLKPENILLVSAETIRVHEYKVPIRPPKDGSLFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIARADRRAEKYFRRGLRLDWPEGAASRESTKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRCQRRCGY* >Brasy4G124000.2.p pacid=40087955 transcript=Brasy4G124000.2 locus=Brasy4G124000 ID=Brasy4G124000.2.v1.1 annot-version=v1.1 MEAQWLAEYPHQGADKRPRKRPRLAWDVAPQLFPPPKAIPMLYCGQELVNGNFATAFLPPPPIYYGGPPRNFSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYHSFPIDLVREFARQILDAVTFMHDLRLIHTDLKPENILLVSAETIRVHEYKVPIRPPKDGSLFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIARADRRAEKYFRRGLRLDWPEGAASRESTKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRCQRRCGY* >Brasy4G124000.3.p pacid=40087956 transcript=Brasy4G124000.3 locus=Brasy4G124000 ID=Brasy4G124000.3.v1.1 annot-version=v1.1 MEAQWLAEYPHQGADKRPRKRPRLAWDVAPQLFPPPKAIPMLYCGQELVNGNFATAFLPPPPIYYGGPPRNFSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYHSFPIDLVREFARQILDAVTFMHDLRLIHTDLKPENILLVSAETIRVHEYKVPIRPPKDGSLFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIARADRRAEKYFRRGLRLDWPEGAASRESTKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRCQRRCGY* >Brasy4G124000.4.p pacid=40087957 transcript=Brasy4G124000.4 locus=Brasy4G124000 ID=Brasy4G124000.4.v1.1 annot-version=v1.1 MLYCGQELVNGNFATAFLPPPPIYYGGPPRNFSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYHSFPIDLVREFARQILDAVTFMHDLRLIHTDLKPENILLVSAETIRVHEYKVPIRPPKDGSLFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIARADRRAEKYFRRGLRLDWPEGAASRESTKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRCQRRCGY* >Brasy4G213100.1.p pacid=40087958 transcript=Brasy4G213100.1 locus=Brasy4G213100 ID=Brasy4G213100.1.v1.1 annot-version=v1.1 MSSLVLACPAMSSSSPDKVLARKGRHKQRYDNEYRLVAGCVPYRTKKDEGNPCSLGNDPGRTEVLMISTPNRTDMVFPKGGWEDDEDVYEAANREAMEEAGVKGIIDRATLGHWVFKSKSSQKSNSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYDWMREALTALLERLSMIEAVGSTQERTDQTGMYIMLQTTSDGAVALC* >Brasy4G213100.2.p pacid=40087959 transcript=Brasy4G213100.2 locus=Brasy4G213100 ID=Brasy4G213100.2.v1.1 annot-version=v1.1 MSSSSPDKVLARKGRHKQRYDNEYRLVAGCVPYRTKKDEGNPCSLGNDPGRTEVLMISTPNRTDMVFPKGGWEDDEDVYEAANREAMEEAGVKGIIDRATLGHWVFKSKSSQKSNSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYDWMREALTALLERLSMIEAVGSTQERTDQTGMYIMLQTTSDGAVALC* >Brasy4G214700.1.p pacid=40087960 transcript=Brasy4G214700.1 locus=Brasy4G214700 ID=Brasy4G214700.1.v1.1 annot-version=v1.1 MSLQVASVRPWVGTPTPCDATDLELFAAATRVTFGDGNTAGFWNSNWLNGSPLRLAFPLLYGHARRKCRSVAQALDQHRWTLDLRHNLTTPLLIDFLALWGLIHRLAPTPRPDARDSISWILTADGAYSARSAYGIQFEGRCRSELDLETSTHLFVVCPAARAIWHDVAQWTNCSGAATASFEEPSSVAEFRDQMILASLSTHRKGMCSLFILVCWAIWRERNVRIFRDKETSLRQLVYSIKDEAREWAFAGAKALRKLLWEPP* >Brasy4G311200.1.p pacid=40087961 transcript=Brasy4G311200.1 locus=Brasy4G311200 ID=Brasy4G311200.1.v1.1 annot-version=v1.1 MPTRTRRRGVCPRARRGVAGGRTRRRSSGGAGGTRDAGGAAGRGIAGGRPRRRWRQVTGETAEQQAAAVLGAGVAAEQEQRSRAAMAGRLLVALESGCLVRGGGGCRVAFRFRAHKRALTGNYAYGWITDGRKGETDPSIPREQLRPLAASLKTYVWIIRSPPHEHDLC* >Brasy4G112000.1.p pacid=40087962 transcript=Brasy4G112000.1 locus=Brasy4G112000 ID=Brasy4G112000.1.v1.1 annot-version=v1.1 MIPSARTMMVLLLLCSAVVRGAAAAAAGRPSCPTSCGGVSVPYPFGIADGCYWPGFNLTCDRTRGQPARLLVGDGTLQVTEISLANSTVRVLDTAGTVNLTFDGAADGNGTWGGGLGAGGPFVVSERHSQLVVTGCNVQATLVGGSRSGDGNVISGCSSFCSINDMWTGAVTSSSPDSGSGACSGIGCCETPIPIGRPSYRVRFKWLDLDHEYDDQLPIAVRVAERGWFEGASAALLNGTPGYSATRRAPAVPVVLEWAVDSKPVVLPGVATSGCPVDAARAGSACRSGHSSCRNVTGNYRSGYVCRCQDGYQGNPYLAGGCQDVDECALPGMCFGDCTNTAGGYLCRCPRGARGNPRIKDGCVKSSLGLSVGIGVGSGAGILLLALSAMFLTRKLKHRRVKLLRQKFFKQNRGHLLQQLVYQNADIAERMIIPLEELETATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIRREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEEPAMSLPWEDRLRIATETARALAYLHSAVLFPIVHRDIKSQNILLDGTMIAKVSDFGASRGIPVDQTGVVATAIQGTLGYLDPMYCRTGRLTEKSDVYSFGVLLMELLTRKKPYLYRTSEEDNLVTHFTTLLAKGELVDVLDPQVMEEGGKEVEEVAVLAAACVCLQGEHRPTMRQVEMTLESLRAPLEKVVMPDMDTPRDVVVDCTNKEETRQYSLEEEYLLSSRYPR* >Brasy4G230900.1.p pacid=40087963 transcript=Brasy4G230900.1 locus=Brasy4G230900 ID=Brasy4G230900.1.v1.1 annot-version=v1.1 MAVAAAQPGSALAFPSSPASLLISRSRSASDLLSASSPAPRRPRRWIVAGVPALAALAASAPTSALLRDGGATLLVTAGAYSLVRAFDALTERRLVEQSLSRKIVHVLSGVMFMSSWPLFSNSTEARFFAAIVPFLNGIRLLTYGLGFYSDEALVKSVTREGKREELLRGPLYYVMVLLLIVLAFWRDSPIGIVSLSMMSGGDGKMEITATEHGFADIVGRRYGSLKLPFNKKKSWAGSVAMFISGFLLSALMLFYFSWLGYIHVSWEETLGKLVLVALAATVVECIPVTDVIDDNISVPLATMFVAFMLFCNTAN* >Brasy4G230900.2.p pacid=40087964 transcript=Brasy4G230900.2 locus=Brasy4G230900 ID=Brasy4G230900.2.v1.1 annot-version=v1.1 MAVAAAQPGSALAFPSSPASLLISRSRSASDLLSASSPAPRRPRRWIVAGVPALAALAASAPTSALLRDGGATLLVTAGAYSLVRAFDALTERRLVEQSLSRKIVHVLSGVMFMSSWPLFSNSTEARFFAAIVPFLNGIRLLTYGLGFYSDEALVKSVTREGKREELLRGPLYYVMVLLLIVLAFWRDSPIGIVSLSMMSGGDGFADIVGRRYGSLKLPFNKKKSWAGSVAMFISGFLLSALMLFYFSWLGYIHVSWEETLGKLVLVALAATVVECIPVTDVIDDNISVPLATMFVAFMLFCNTAN* >Brasy4G230900.3.p pacid=40087965 transcript=Brasy4G230900.3 locus=Brasy4G230900 ID=Brasy4G230900.3.v1.1 annot-version=v1.1 MPCWLNLCFGSCLLTSGVFCQRQSLSRKIVHVLSGVMFMSSWPLFSNSTEARFFAAIVPFLNGIRLLTYGLGFYSDEALVKSVTREGKREELLRGPLYYVMVLLLIVLAFWRDSPIGIVSLSMMSGGDGFADIVGRRYGSLKLPFNKKKSWAGSVAMFISGFLLSALMLFYFSWLGYIHVSWEETLGKLVLVALAATVVECIPVTDVIDDNISVPLATMFVAFMLFCNTAN* >Brasy4G428400.1.p pacid=40087966 transcript=Brasy4G428400.1 locus=Brasy4G428400 ID=Brasy4G428400.1.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGSTFAPPHPQGEIFASSRHPP* >Brasy4G428400.2.p pacid=40087967 transcript=Brasy4G428400.2 locus=Brasy4G428400 ID=Brasy4G428400.2.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGSTFAPPHPQGEIFASSRHPP* >Brasy4G428400.3.p pacid=40087968 transcript=Brasy4G428400.3 locus=Brasy4G428400 ID=Brasy4G428400.3.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGSTFAPPHPQGEIFASSRHPP* >Brasy4G428400.4.p pacid=40087969 transcript=Brasy4G428400.4 locus=Brasy4G428400 ID=Brasy4G428400.4.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGRDFRQ* >Brasy4G428400.5.p pacid=40087970 transcript=Brasy4G428400.5 locus=Brasy4G428400 ID=Brasy4G428400.5.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGRDFRQ* >Brasy4G428400.6.p pacid=40087971 transcript=Brasy4G428400.6 locus=Brasy4G428400 ID=Brasy4G428400.6.v1.1 annot-version=v1.1 KPEPFFFLDFPPLPIPCPQPSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGRDFRQ* >Brasy4G428400.7.p pacid=40087972 transcript=Brasy4G428400.7 locus=Brasy4G428400 ID=Brasy4G428400.7.v1.1 annot-version=v1.1 PSCHRSPLPPAPTHQPPRAAAALFPCDRAAAAALLGRHLRPPSPDLPPPRTRRPRSASSSPRSLLLAHGTPDPLPPAIPAHSPSSSSNRWRPGRAAPPAGSTFAPPHPQGEIFASSRHPP* >Brasy4G126700.1.p pacid=40087973 transcript=Brasy4G126700.1 locus=Brasy4G126700 ID=Brasy4G126700.1.v1.1 annot-version=v1.1 MRAIWTARNGRRHNKDTMNLKQACKWERETVSDMISLANDVKLKSGNNQGPWEHGTGLLVDDCRELYGLRSVEEVFLRGGAVGTTEAPRGAAAGAASVAGCSRSRRVRSTDEGHAQGRGASSEGAGEAGEDEDGGGGGAPCAAAPCRSWRRRARGGCEVEKKKRLAAAAQPIFARPFARRRPPAFVHRGRSAHLGAPPPARLRAPLPTQRSVFMLSSLLRPRHTAPIFNPAQRSI* >Brasy4G071900.1.p pacid=40087974 transcript=Brasy4G071900.1 locus=Brasy4G071900 ID=Brasy4G071900.1.v1.1 annot-version=v1.1 MDHHHHHHHHHHMIPGQEPSAPDTNPTPDAFFLGPGGAAIFSGSGGAGSSSSSAAGATSSASPSGSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSASGSGSGSAAGGGGGPSSEGSPPPPHPGPPDAPHFIMP* >Brasy4G004700.1.p pacid=40087975 transcript=Brasy4G004700.1 locus=Brasy4G004700 ID=Brasy4G004700.1.v1.1 annot-version=v1.1 MDVLYYPVALHCRCPLLLPHRRTPTALLRRRPLQLQPQCQLQSPTSSARPPWPRGRRLHAVDPDDDGDGGFLTLDLADFQEEEDPNDEEGSPWEGALVYRRDAAALHLEYATTLERLGLADLSSPHSRARAAAMGILLSAGDQDGTTPVLVSVDVARRRGRLRLDGIVRTVITLGCYRCAEPAPEAVFANFSLLLTEDPVEEPDVVDLGTMYEEDRTKSPSFTGSQDEDDEDIDWDDRLHFLAGDKEIDISKHIRDIIHLEITLDALCGTACKGFCLACGANLNTGSCSCGGTEKRQQAMDAKRQGSLKDMLKPMQRR* >Brasy4G110800.1.p pacid=40087976 transcript=Brasy4G110800.1 locus=Brasy4G110800 ID=Brasy4G110800.1.v1.1 annot-version=v1.1 MSGGGIARGRLAEERKAWRKNHPHGFVAKPETVADGSVNLMVWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQNFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKKRVRLQAKQYPALI* >Brasy4G143800.1.p pacid=40087977 transcript=Brasy4G143800.1 locus=Brasy4G143800 ID=Brasy4G143800.1.v1.1 annot-version=v1.1 MEYERIHKAQAGALSPTKLRMKLLGAHNRVRVISNSPSRTSPSKNIEPSQAQNRLLVCDVLEEVSHNSDASKCPSTINKAEALDKDSPVDSSKVQSISKSSVHQPAPSNSSMIHPVRTAEEDSNDCDSGLDNASTSSFEFHGDEKTASQNPTTGYFSRQASSKWNDAEKWIVNKQTVQQNITKGASQKQNAYQVNSAAARGVIVPKHSNDSAFARPLQNMKRFNPASSASRSILERLSFASHQPKLVRHADVCPVQSGRANSEYQKEAIDTSSSIAIKPCNDLQDITTVQSVSVRDVGTEMTPIPSQEPSRTGTPLGSVTPTRSPNCSIPSTPVGGRSTVSPGEDNTDDGPYFNRKGGANEMSENEIRLKARKEIAALGVQLGKMNIATWASKEELELVSATPSIADLERMKKEYEARAAAFEEAENSKHTARFKKQELKIEAWESRQRTKVEFEMRRLEEHAERMRSEAMAKMAEKLEMARRLAEEKRASANAKMNKQAARAVQKADQIRQTGRMPGSHILCCSCFCEP* >Brasy4G143800.2.p pacid=40087978 transcript=Brasy4G143800.2 locus=Brasy4G143800 ID=Brasy4G143800.2.v1.1 annot-version=v1.1 MEYERIHKAQAGALSPTKLRMKLLGAHNRVRVISNSPSRTSPSKNIEPSQAQNRLLVCDVLEEVSHNSDASKCPSTINKAEALDKDSPVDSSKVQSISKSSVHQPAPSNSSMIHPVRTAEEDSNDCDSGLDNASTSSFEFHGDEKTASQNPTTGYFSRQASSKWNDAEKWIVNKQTVQQNITKGASQKQNAYQVNSAAARGVIVPKHSNDSAFARPLQNMKRFNPASSASRSILERLSFASHQPKLVRHADVCPVQSGRANSEYQKEAIDTSSSIAIKPCNDLQDITTVQSVSVRDVGTEMTPIPSQEPSRTGTPLGSVTPTRSPNCSIPSTPVGGRSTVSPGEDNTDDGPYFNRKGGANEMSENEIRLKARKEIAALGVQLGKMNIATWASKEELELVSATPSIADLERMKKEYEARAAAFEEAENSKHTARFKKQELKIEAWESRQRTKVEFEMRRLEEHAERMRSEAMAKMAEKLEMARRLAEEKRASANAKMNKQAARAVQKADQIRQTGRMPGSHILCCSCFCEP* >Brasy4G283000.1.p pacid=40087979 transcript=Brasy4G283000.1 locus=Brasy4G283000 ID=Brasy4G283000.1.v1.1 annot-version=v1.1 MASKEDLKLLGTVVSPFAVRIRMALHMKGLPYEYLEQDLVNKSDLLVTSNPVHKKVPVLIHGGKPVLESLAIVQYIDEVWSGKALSILPAEPYQRATARFWAAYADDKLFAAYLGIFGAVTEGDRAEKVGETLAAVEQLEGAFAESSNGKAFFAGDSVGFLDLVVGCHLFWLEAMGKMSGVVFLDTGKTPLLAAWAERFGETEVAKEAVLEADVVVEYAKKRRVAAAAAAAAN* >Brasy4G083400.1.p pacid=40087980 transcript=Brasy4G083400.1 locus=Brasy4G083400 ID=Brasy4G083400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVEMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G121400.1.p pacid=40087981 transcript=Brasy4G121400.1 locus=Brasy4G121400 ID=Brasy4G121400.1.v1.1 annot-version=v1.1 MDFHMDNGHYSHQALTMVKNKQYAAISSVESSETQEATRTPGQSPSQRVERGQQHGGSSRVHANTQYSQQGGRGGGHYQDPASQRPSGGPVKYQAQGYYGHGGPNQRGMPQPYHDGRRSGGGGRGVPVTPSITLPELHQAPQVQHQVPVVTPSPRETGSSSLGVDMNTGQLQLQFQQLVNLGQSSSRQGIQLAPPSSKSVRFPMRPGKGTFGNRCIVKANHFSAELPDKDLHQYDVSINPDVPSRGVNRAVMGQLVTLFRQSHLGGRLPAYDGRKSLYTAGPLPFTSRTFEIILQDEEDRLGGAQAAQRREKHFTVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRNISVRPLSDADRVKIKKALRGVKVEVTHRDNMRRKYRISGLTSQATRELTFPIDNHGTVKTVVRYFQETYGFNIQHTTLPCLQVGNPQRPNYLPMEVCKIVEGQRYLKRLNEKQITALLKVTCQRPQQRELDILQTVNHNAYHEDPYAQEFGIRIDKKLASVEARILPPPRLKYHDSGREKDVLPRIGQWNMKNKKMVNGGRVKDWTCINFSRHVQDSAAKSFCHELAVMCQISGMDFSIDPLLPPLTARPEHVEKALKARYQDSMNVLKPQGRELDLLIVILPDNNGPLYGDLKRICETDLGLVSQCCLTKHVFKMNQQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQTRRQELIQDLFKVQQDPQRGSIAGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQHSVDRKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYLEPDTSDGGSAVSGATTSRGPASARSTRAAGNVAVKPLPDLKDNVKRVMFYC* >Brasy4G308700.1.p pacid=40087982 transcript=Brasy4G308700.1 locus=Brasy4G308700 ID=Brasy4G308700.1.v1.1 annot-version=v1.1 MPSATAPAPNHMRSAAALPQIPCRAPPFSPATGPVPSSSRPSAAPTRPALVPSAAPRTISSLLSLPRRLSSAACALVPSLPSPQQRRLRPSPFPPEPPAAQHPDRRSRARDPGSSIPPCVAAGGEGKEEDGDGRERGRTWRRKRRDGDFQVGGCSMGIPRAMLKFGGSRARMLDLRKKKCSIWGAAEAGE* >Brasy4G130800.1.p pacid=40087983 transcript=Brasy4G130800.1 locus=Brasy4G130800 ID=Brasy4G130800.1.v1.1 annot-version=v1.1 MHLREKMILAALIVVLLFPARPAAGAMAMQPAESCLRRCGNIDIPYPFGVGSGCHLETGDWTFSLSCNRTADGRHRLYNYQIEVLDMSVRRGQLRIYSLINPWCYNASTGGMNSQNNWWYNMSITNFRINDALNRFTVVGCNSLAYIRSLNETADRYMTGCMAMCPGVGRLENGSCAGVGCCQTAIPSGLNAYQISFEEKFNNTGDTARFSPCSYAVLVEAAAFDFRTTYVTTDEFVVANERQVPLVLDWAIGNKTCDEARGNASAYACVSGNSECVDSKYGRGKGYLCNCSAGYDGNPYLLNGCHDINECEDKSVRYPCSVPGTCVNTIGGYNCVCPRKTSGNAYSGTCEEDKSQLGWQIAIGVSVGVIILIVAASCAYMVFAKRRLAKIKREYFKQHGGLSLFDEMRSRQGLSFTLFTQEELEEATGRFDERNVIGKGANGTVYKGTTKDGEVVAIKKCRLASERQQKEFGKEMLIVSQINHRYIVKLYGCCLEVEVPMLVYKYIPNGTLYGLIHGRRDVPRIPFTARLKIAHQTAEALSYLHSWASPPIIHGDVKTSNILLDQDYTAKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVVGENRLEEMLDPQIKNETSIEVLEQAAELAKQCLEMLGENRPSMREVAEELDRLSKLAQHPWGRQESAELEALLLRESASPTTYSGHSGIELDSTRNISFSDTAYIGIKSPR* >Brasy4G173200.1.p pacid=40087984 transcript=Brasy4G173200.1 locus=Brasy4G173200 ID=Brasy4G173200.1.v1.1 annot-version=v1.1 MVAAQDPESFFATAPPLRDADAFAAKLQAFIARNSLAPARGGNGRPIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLHRRGSCQPYCRFLPDDSFLKFLDVNEESKVQVAESHETVVKKAIGDYCKAMEGGSLLKLPFTTIFEYLQLLRMVATSVSSVGLRGMFYLAAAVSDFYVPWESMAKHKIQSAGGPLEMRLSQVPKMLSILRNQWAPLAFCISFKLETDSDILVQKADMAMKKYKMNIVVANLLATYKEEVIIVTNGEKNTIRRCNSDEDLEEQIIKLLAHKHSKYIYGSQLGATRVETEL* >Brasy4G059200.1.p pacid=40087985 transcript=Brasy4G059200.1 locus=Brasy4G059200 ID=Brasy4G059200.1.v1.1 annot-version=v1.1 MRTRPVQTTRTSVVRSNGSLQAQAHSPTSKSSPLPLLTAGHHPPPRIPSPFAMALRRAVSLLLLRQRPRTTIVPTSVPKALNPPLLPQPRRHFSPSPPPPLPASAAAVADAAEEAFEAASTTNDLFAAFSRLEAALPPSDKRLALACLKLGQHLDSSASADPSRVLKLALRSVEILESGSRSSESDAVSLAMALHLAGSASLDLTRFHDALSFLSRSLRLLSPLLPSKVEEDSAFSSGDDSQGFDVRPVAHAVRLQLANVKTALGRREEALVDLRASLDLKEAILPPGSRELGAAYRDLAEAHAGVLDFKQALPLCQRAMELHESTLGKNSVELAHDRRLLGVIYTGLEQHEQALEQNEMSRKVMKNWGVADAELLHAEIDAANIKIALGKFDEAVSVLKDVAKKVEKDSEVRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELSAPDMVAEGYVEVSSLYEMVNEFDKAISLMKRSLGMLERSPQAQHLEGNVAARIGWLLLLTGKVTEAVPYLEDAVERMKENFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIETCQSLANAYNAMESYTLAMEFQKRVVDSWRSHGPSARDELKEAVRLYDQIKMKALAFLSPEDSAIALPEPREREVGSDSAKVAQQ* >Brasy4G316100.1.p pacid=40087986 transcript=Brasy4G316100.1 locus=Brasy4G316100 ID=Brasy4G316100.1.v1.1 annot-version=v1.1 MASAAAETLVSLPIASPSRSLLRTLRRRAASRGGAASIRLSAVPPRGLGLALIHRRIHYPPAARANVERDGDGASGPGEASSTGDGDRDAAAETGGDSTSASTTSAAATPPSPPSSSKRGENKWRRRVLKGGGGVGRWFWEPIVQGREMGFLLLQLGFAIFALRMLRPEITLPGSEPRPQTTYISVPYSDFLASIDKDQVKKVEVDGVHVMFRLRPEVEASVVEQPETQRVTDVVADNAVVSRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDRRSGGMLNSALVALIYVVLIAVVLQRLPISFSQQSTGQLRNRKNSNSGGAKVSESADIITFADVAGVDEAKEELEEIVEFLRNPERYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRELFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDKFGRESILKVHVNRKELPLGKDVDLSGIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFISAVERSIAGIEKKHVKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISLATLSNGGLDDSGGSPFGRDQGHLVDLVQGEVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFITGKQELVLQLEVGP* >Brasy4G051300.1.p pacid=40087987 transcript=Brasy4G051300.1 locus=Brasy4G051300 ID=Brasy4G051300.1.v1.1 annot-version=v1.1 MDRSSGSSTGWYGASRGSSGGTSSSFPPLSPPIPYREKPMEYSPAVLCDCEMKSPRWISWSLMNPGRRYDTCPLRRVSGGCNFFLWQDPATTSFLRDLIGDLRDCCDKWKKEKEMLKSEFEDVEMQFLQIKTEDGS* >Brasy4G307800.1.p pacid=40087988 transcript=Brasy4G307800.1 locus=Brasy4G307800 ID=Brasy4G307800.1.v1.1 annot-version=v1.1 MATRTATQGAAAAAAAAGGDDEVAEAAAGSTLRQRHAGKGTDDAAGANGAEAAESVERVFADKAVPSWREQLTLRALVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAFERMGLFHQPFTRQENTVIQTCVVSAYGIAFSGGFGSYIFGMSEMIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFVGIFALVPMRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFMSSFFWGFFQWFFTAGDDCGFKNFPTLGLEAYRNRFFFDFSPTYVGVGMICPHIVNLSVLLGGIISWGIMWPLIAMKRGSWYDASLEESSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFISMVQKNSKAMLPVSDNGRPTSEAVSFDDDRRTELFLKDQIPTSVAYGGYVVVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLANGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVISPCVFWLFYKAFSDIGKSGTEYPAPYAIVYRNMAILGVDGFSSLPENCLTLCYIFFAAAIAINLIRDLTPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSFILFVWERVNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLTRADNVRVDNFLAS* >Brasy4G022800.1.p pacid=40087989 transcript=Brasy4G022800.1 locus=Brasy4G022800 ID=Brasy4G022800.1.v1.1 annot-version=v1.1 MISPHLPLRLRHLRRLLAAAPSLSLAEPFSRPLNRTQTPPPRRHLPDLPVRRFSSGHVLLPTNLQEERVASLSDRIYDAVTGTEDGSNDGTEAALDALGAELTTPLVSDVMHRLRYEEKLAFRFFAWASQQDNYEHDQAAYNEVIDTLSGTRYKSRQFGVLCDVLDHMKRHRTRSVPVEDLLAILRAYTEKHLTNLRKLAKKRRVRMRTPPETDALNILLDAFCKCGMVREAETVFGRVKRKLQGNAETYNILFFGWCRARDPKKAMKVLEEMILMKHAPESFTYIAAIDSFCSAGLVSEARELFEFMRTEGSSISSPTAKAYSMMIVALAKVDRMDDCFELISDMIKLGCMPDVSTFKDLIEGMCLVGKIDTAYCVLEEMGKAGFPPDIVTYNCFLEVLCNLQKADDALKLCERMIEAHCEPSVHTYNMLMVMFFQMREPHRALDIWLEMDNRGCRRAVDTYEIMIDGLFDCGRTEDATNLLDEVINHDMKLSYKKFDAIMLQLSAVGNLGAIHRLSEHMRKFYNVAMSRRFSITQKKKSIGMRRR* >Brasy4G108500.1.p pacid=40087990 transcript=Brasy4G108500.1 locus=Brasy4G108500 ID=Brasy4G108500.1.v1.1 annot-version=v1.1 MMLAAIGRSFGRAARDGARAAALGLGRRIAHRAAEGRGPVAWLSTRSDSESAPPGSIGPIGPDRQPLYVDWVKYGAVTPVQDKGDCGCCWAFGCTGLVEPQNFFKTKELVKLSEQQLIDANHLRNFGCNGGSVEEALDYIIRNGGIVPEKDYPFVGVQCPAKHVKALGVTIDDWEVFQDFSERALKMLVASGPIAATVGCDDIFLKYKVGKVIDGPNYTVRQMREYGIIHSVVIVGYGETLFGEKFWLVKSSHGGELMMIARENGKKGGAFGIARHVTIVRKKSANAQVVVKTDEDMGMVARVRWESESDEDEDGCF* >Brasy4G321300.1.p pacid=40087991 transcript=Brasy4G321300.1 locus=Brasy4G321300 ID=Brasy4G321300.1.v1.1 annot-version=v1.1 MEPCFDTTSNAGESAVTRKFDGGDALNLKGTYCAFTKLLALDLNPAWSTRLVAGPVALLLLGNTAYRRPTSPSPLLLLHRTRRDQIQRIWKRRRRGREGRRGWRHRGGSVRIRRRTRLPCGFLVLCTAACLARLD* >Brasy4G348700.1.p pacid=40087992 transcript=Brasy4G348700.1 locus=Brasy4G348700 ID=Brasy4G348700.1.v1.1 annot-version=v1.1 MSYDIHQEGSIGRSRKKMVLAESIGKYRVGRTVGEGAFAKVKLAVDADTGSTVAVKVIDRSTVLTNNLMCQVKREISTMRLLNHPNIVKIHEVIATKTKICLVMEYVPGGKLSDKLSYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKCQQPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMVKLQDIRLLSRSMLDLTLSAEVIQVTPVHCVVEVSKSTGDLRAYKEFCTSLWRLLNEGEEQRGSSSDL* >Brasy4G348700.4.p pacid=40087993 transcript=Brasy4G348700.4 locus=Brasy4G348700 ID=Brasy4G348700.4.v1.1 annot-version=v1.1 MSYDIHQEGSIGRSRKKMVLAESIGKYRVGRTVGEGAFAKVKLAVDADTGSTVAVKVIDRSTVLTNNLMCQVKREISTMRLLNHPNIVKIHEVIATKTKICLVMEYVPGGKLSDKLSYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMVKLQDIRLLSRSMLDLTLSAEVIQVTPVHCVVEVSKSTGDLRAYKEFCTSLWRLLNEGEEQRGSSSDL* >Brasy4G348700.2.p pacid=40087994 transcript=Brasy4G348700.2 locus=Brasy4G348700 ID=Brasy4G348700.2.v1.1 annot-version=v1.1 MSYDIHQEGSIGRSRKKMVLAESIGKYRVGRTVGEGAFAKVKLAVDADTGSTVAVKVIDRSTVLTNNLMCQVKREISTMRLLNHPNIVKIHEVIATKTKICLVMEYVPGGKLSDKLSYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKCQQPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMFLSLDSQFWHR* >Brasy4G348700.5.p pacid=40087995 transcript=Brasy4G348700.5 locus=Brasy4G348700 ID=Brasy4G348700.5.v1.1 annot-version=v1.1 MSYDIHQEGSIGRSRKKMVLAESIGKYRVGRTVGEGAFAKVKLAVDADTGSTVAVKVIDRSTVLTNNLMCQVKREISTMRLLNHPNIVKIHEVIATKTKICLVMEYVPGGKLSDKLSYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMFLSLDSQFWHR* >Brasy4G348700.6.p pacid=40087996 transcript=Brasy4G348700.6 locus=Brasy4G348700 ID=Brasy4G348700.6.v1.1 annot-version=v1.1 MFQEGSSLTSYYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMVKLQDIRLLSRSMLDLTLSAEVIQVTPVHCVVEVSKSTGDLRAYKEFCTSLWRLLNEGEEQRGSSSDL* >Brasy4G348700.3.p pacid=40087997 transcript=Brasy4G348700.3 locus=Brasy4G348700 ID=Brasy4G348700.3.v1.1 annot-version=v1.1 MFQEGSSLTSYYLKRMDGREAKKYFYQLIDAVDYCHRRGVCHRDLKPENLLLDSQGNLKVSDFGLSVLRRPGQLLSTSCGSPCYVAPEVVQHKSYDGAAADIWSCGVILFELLAGYLPFQDRSLANLYRRISRAQFAFPQWIALSQKKIILRILDPSPLTRAKISDIFDDEWFQEGYNPSEMRIQSEENYDCVYLEEAGRDSDSSHSTEVREAEEANLEPDRFVNAFRLIATCSDLDLSGLFQEQKTKFGSPYPVQETLAMITVAARDVRLSAKRMSNSMFLSLDSQFWHR* >Brasy4G197600.1.p pacid=40087998 transcript=Brasy4G197600.1 locus=Brasy4G197600 ID=Brasy4G197600.1.v1.1 annot-version=v1.1 MPRPPPPGRGAPGARRPMRDFFATWLANLRSPLLPLLRRALSSSSGSWNDPLSSAAAAVEAHFQAHWSALDAAARQDPAQVICAGDWRSPLELPFLWLGDFHPSLLTTLLRSLSPSPRLLVAADRVDRRIRAAVPAVSDRLRHAQEAFTSGEVVGAADLEVFLEELKGVALEANRLRRGVLSELVAAAGGHQAALFLEALSRFVLSMHDPEVLRRFDHCRASPGS* >Brasy4G140800.1.p pacid=40087999 transcript=Brasy4G140800.1 locus=Brasy4G140800 ID=Brasy4G140800.1.v1.1 annot-version=v1.1 MGMELITNVSEYEKLAKEKLPKMVYDYYASGAEDQWTLNENREAFSRILFRPRVLIDVSHINMATNVLGFNISMPIMIAPTAMQRMAHPEGELATARAAASAGTIMTLSSWATSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVRRAEMAGFKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEGLDIDTMDKTNDSGLASYVASQVDRSLCWEDVKWLQTITSLPILVKGIMTAEDTRLAVENGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLYSLAVDGEAGVRKVLQMLRDELEIAMALSGCTSLREITRAHVLVADADTGVPRRARL* >Brasy4G334600.1.p pacid=40088000 transcript=Brasy4G334600.1 locus=Brasy4G334600 ID=Brasy4G334600.1.v1.1 annot-version=v1.1 MSALIAIVTVVFVVLFAVWLFFTYRRAEDCVQGVATSLAATQHYAVVPDEAMRRATVEAFLAEMASEKPIRFTARQLAGGALPNGLPVAVKVLIRWGALDGKTSEEQFMAEMGTIGRTHHINLVRLFGFCFDACLFDPAHAVGLPALRDIALGIIHYDIKAGNVLLDGSLTPKVADFGLARLVNRADTHVSVSCVRGTPGYTSPEMWMQSGITEKCDVYSFGMLLLEIVGRRRNFDEAAPESQQWFPMLAWTKYEKGELMELVVPLALGHGNDDDPGSGVAPADDQPPLQQQQLWELAERMCKVAFWCVQPVPQARPPMGAVVKMIEGEMDIAPVSNPFQHLMASTTVANLWTTMGSDISTTAASRDGGMSRGSNEIVSL* >Brasy4G131200.1.p pacid=40088001 transcript=Brasy4G131200.1 locus=Brasy4G131200 ID=Brasy4G131200.1.v1.1 annot-version=v1.1 MGARDLDDDDAGGIDLEAMFWNSLPALLGALAALAIMVPLLYWPLMWSVDEGKFPEYTVAVAAFSGLDIPADPAHGGAVISPTFDLTVRIREPRRYSAACVERGTAASVSYAGVALAGGPVPEFCARTENTTEAAHVMAWGQAVAVPDFAMARLAQELPRGDATVDVTIKAPARFCRHPYDCEQEVVDCRVALGARGGEGSFSAPCRVSILRVPTLPDDRGQPGRKVLMPRGDLAGDGGERVTS* >Brasy4G244500.1.p pacid=40088002 transcript=Brasy4G244500.1 locus=Brasy4G244500 ID=Brasy4G244500.1.v1.1 annot-version=v1.1 MAASVSSGLRALPTWSSSVSGDDRCSPLAMSVSVRRSRSARPLRTPARMGNVNEGKGIFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADPKQRQGLIRLAKKNGEKLGFLA* >Brasy4G284300.1.p pacid=40088003 transcript=Brasy4G284300.1 locus=Brasy4G284300 ID=Brasy4G284300.1.v1.1 annot-version=v1.1 MADFISSARISTLAVALLIATMISCRGAGVATAALSTKYYDKTCPGLQPIVRSAMAQAVAADPRTGASVLRLFFHDCFVNGCDASILLDDAPPGFTGEKGAGPNLNSARGFEAVDAAKARAEAACNATVSCADVLALAARDAVGLLGGPAWAVKLGRKDSRTASPAAANANLPGPGSGLASLLASFAAKGLSARDMTALSGAHTVGRARCLTFRARVNGGDNDVNATFAAQLRNGCPATNGVGDSSLAPLDGETPDAFDNGYFRGLLRQRGLLHSDQELFSGGGGPQDALVSKYAGNAGMFASDFARAMVKMGGLEPAAGTPLEVRINCRKPN* >Brasy4G387200.1.p pacid=40088004 transcript=Brasy4G387200.1 locus=Brasy4G387200 ID=Brasy4G387200.1.v1.1 annot-version=v1.1 MAMLVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVISLKDIMMPVQRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKTLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDLDENISADMIREMMWQEMLHYHPEAAAAISM* >Brasy4G236900.1.p pacid=40088005 transcript=Brasy4G236900.1 locus=Brasy4G236900 ID=Brasy4G236900.1.v1.1 annot-version=v1.1 MAALRPRAATGGLRPVALLRSSCRPSYLSAPSWQQGHMLNWKSKCTTKRLLTVVTGAKLDESEFESVDAPLEPQTWEGSFLCGLLKSQPHIFLVAATKLLQQLSIKRNDSLIRWEHSIGSSEDCLHRRIAEMKEQECRTAIEDVMYMLIVHNYFKIEVPMVPNLSKLISSRRLHIWPPRVTDLEFIHGPEVLGLIREHLTSIIRWVHRNGPKINQSTLRVKRLQFGRIYSASIMYGYFLKSVTVRHRLELTLARSQEFLQSIQFLNAQLAITLKLEQKEALGGSVETSSSKSSSVVDPHDLKSYMMGFDPKTLELCAKLRSREASNLIEKHSCALFGENKIGSTEKDEAVILDPASLKRLLLEAIAFGSFLWDVEDYVDEIYKLQD* >Brasy4G377400.1.p pacid=40088006 transcript=Brasy4G377400.1 locus=Brasy4G377400 ID=Brasy4G377400.1.v1.1 annot-version=v1.1 KARLALRPFIHCPFQSDGWKSCTSATKETVTEKKATRASREKLCGSAAAAAAGGSSAHGVTYPSPRTSARSHTVLSGKIVRALCRSTAGAGSAHLLLLLLRPLLDTSSMHLPYIFQQWPPKLFRNLTAIFQKSFNRSIQYSSSNIIR* >Brasy4G112300.1.p pacid=40088007 transcript=Brasy4G112300.1 locus=Brasy4G112300 ID=Brasy4G112300.1.v1.1 annot-version=v1.1 MAAATSLTLALAALLAAMLLGAEAVWLDMPLTGTKCVSEEIQPNVVVLADYALMYDARGTVHPTVAVKVTSPYGNTVHQNENATMSRFAFTTSEAGNYLACFWLDSAEKGEGVSLNLDWKIGIAAKDWDSVAKKEKIEGVELELRKLEAAVESIHHNLLFLKSRELEMRVVSEKTNARVAWFSILSLGVCIVVSFLQLWHLQGFFQKKKLI* >Brasy4G369400.1.p pacid=40088008 transcript=Brasy4G369400.1 locus=Brasy4G369400 ID=Brasy4G369400.1.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAEIGSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G369400.2.p pacid=40088009 transcript=Brasy4G369400.2 locus=Brasy4G369400 ID=Brasy4G369400.2.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAEIGSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G369400.3.p pacid=40088010 transcript=Brasy4G369400.3 locus=Brasy4G369400 ID=Brasy4G369400.3.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAEIGSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G369400.4.p pacid=40088011 transcript=Brasy4G369400.4 locus=Brasy4G369400 ID=Brasy4G369400.4.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAESSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G369400.5.p pacid=40088012 transcript=Brasy4G369400.5 locus=Brasy4G369400 ID=Brasy4G369400.5.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAESSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G369400.6.p pacid=40088013 transcript=Brasy4G369400.6 locus=Brasy4G369400 ID=Brasy4G369400.6.v1.1 annot-version=v1.1 MNIVKGVADLLRKSAPSSPAGGAGGSGGGGAGGGGGGSPSADKLAAAPSPRVCFSDNGEEGVLNALWQKYENAIDKAEKKKSLQIFVQHFIQAFKHWEPIPIEQSVDRESVSDDTVLGCSGGHPSEVILILVQEISQLTSFVAEIGSSCPESPGNLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVPKVTALLKAAVVKLKTLTSLLAADEQLSNRTVENMRMMQKILVYIVTIISNFMDSEPAVTRISRVVNSTDDAPSSSHFATITPNTTKRSVSDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHYITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSVVTSDERSDILELQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVISSKCKSGQFITPVHMYIQH* >Brasy4G369400.7.p pacid=40088014 transcript=Brasy4G369400.7 locus=Brasy4G369400 ID=Brasy4G369400.7.v1.1 annot-version=v1.1 MLQEFHQQKFLQAPNWKLDQQSTRTSPTLESFSSPVDILDSIEWNEYSVKLSMALCSFLLPPNEIRYCPGTTVVTQISLSISLAYWEQCARWIIKILSTVFPCIKACASETELPSHIKTLANTLQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSVEYIHQVVGETQNDNFINATESTGSKSNLADVNILQSEAISFLEFAATLKENINNLPECSALLNALEQCTYGPGLVATILKCFHVILQNATEQTLASFKSLDVLTRVLKVACLQAQELGKVSHSRDDLSENGSQPKNIQMASSDERIKNTLTCVELAFNLFKGYVTISDLERTLVLHNANCIGFLFDLFKEKLLRKHVLEQIVALYRLPPSSAQDHAAKLQLCSKYLETFTRAKENEKEFAELSIDLLVNMREIIMIDRVYYQTLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAGNDESKAAFRMLVGVGYQTLQSLLLDFCKWPPSQGLLDALLGMLVDETFEMNEKTTIKNEDVIILFLNVLPKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEESEDTVVKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVENFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLAVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTSAFTGQMGPVYAFADALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDTLYKGILDAKDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAITHSGESASGDALAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSISPQLLNFKTLSALKYMFNVLTNCGMSEVLLKDALSQIFLNPHIWAYSSYGVQRELYLFLIQYFETDGKLLPILCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEHVIGERPHIEEIRKIRLLLLSMAEMSLKMKVSSNDVTALVSFFEKSQDVACIEDILSMIIRALSQNSLLSSFLEQVNTVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGLPSEKKGPKLFGLPVLRPRSISENLRKGITAAPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMQSCQDNSARTKILSDLLDLLDSNPSNVEATMEYGWSSWLETSVKLDVFRDYNTISMAKDNSLQTNELILVRNMYSLVLSYYLCYVKGGWHQLEDTTNFLLLKIEEGQLPNSCLLRDIFEDIIASLLETSPDENIFISQPCRDNVLYLLKLSDELLVDQMGLKLLFHSPDMYAQSSSDDALKDDIGSAVVEIINLENNGQTTSLPSSNSIFTDGDKLSDDWWSFYDKMWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTSLSAKSNKITDKAMMLRGERFPRIIFHLVIMYLCKAGVESASKCVQQFITMLPNLISEEDQCKNRLHFLIWSLLRVRTLYGELDDGARFHVMSHLILETVIYGKSMLATSMLGRDDSAEANSNNESGFILNLVQKDRVLAAAADEVKYMKAAKDDRLRQLQELNSKLDERLIEDIEQLQSFEDDIQFAKTTAISADDNRKAAFKLAFDEDQQIVADKWIHVFRALSDERGPWSASPFPNNMVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSVNENTAPSADPFVSAKIPDKMKHFLLKGVRGITGDISSESSEDNNGTSEASQSNASENQVSSDAADSADYPTNIQTRKEPSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITQSALHFSFEFLVEGTGGSSVFNRFQDKKESDSKNEPGSVEKLKSNSDVGRCNETESGDALMKNQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKMVGSLLVSLRNDALFPKGSTKDKNSMISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIENTYRNCLSNTSDVKELIPEFFCMPEFLENLNSYHFGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWVDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPNTVNSSSSILFIGMLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGVGSDVISPRKVATSLAENVEFGRPCLAAVQILGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSHDTTIMIWHAFRGRSNDKRSKIANNDLSTKDHVIIENPSHILCGHADIITCLFLSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYCESDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIILRSMHSLGVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLVVFSIENPLLRKGGMQRNKIKSSVG* >Brasy4G049400.1.p pacid=40088015 transcript=Brasy4G049400.1 locus=Brasy4G049400 ID=Brasy4G049400.1.v1.1 annot-version=v1.1 MPMAGGAGGGDLDAEGMRRPLLSRKRSKDGAEDPQDGGGDASLAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLALVVLAAALTDASIELLMRFSNAVGAASYGEAMGDAFGALGRGLLQACVVVNNVGVMVVYMIIIGDVLSGTSSGRVHHQGVLEGWFGPCPWNGRFAVLLVTTLGVFTPLACFKRVDSLKYTSAVSVGLAVVFVVITAGIAVIKLQRREQIPVPMLFPDVHDWPSIFRLFTAAPVLVTAYICHYNVHPIHNELKDHGQIRPIVRASLVLCSTVYVTTSFFGFLLFGDDTLDDVLANFDSDLGIPYSSVFNDAVRVSYALHLMLVFPIVFHALRLNMDGLLFPSACPLSCDGRRFAALTAALLAVIFLAANYIPNIWDAFQFTGATAAVSIGYIFPAAMALRDRHGIAKKRDKFLAVFMIAVAAVSNGVAVYSDACSSS* >Brasy4G000400.1.p pacid=40088016 transcript=Brasy4G000400.1 locus=Brasy4G000400 ID=Brasy4G000400.1.v1.1 annot-version=v1.1 MCSGLRAPAASPGSGGPSRSAGRSPVRSSGSSHSAAFCVGPSTLPRSYAAAVASGGLSAAPACLGKALSAPSSPRAMLRSVERPRLSSKVVGSLPSLSGQQADDSGNPWSVVRSRRCSSPVQAGRRPMPRQALSPARAAFFRRFKGRCFRCLSKDHRRKDCREPPRCIECWAWGHSASESSRCKAARAKVAAAAAAGPRQPISQRLRFPAPPPSDDMLGRAITLPAPRRSASSHSVVMTSRSIERQVDTLRSHGVLVKAVDRHHSASPILVGRALENALRIPSHCLRVTSHMHEDFFVHFNATAHRDCAVGLGRLIIDGATFVMQAWREAEHGTLQTYTLHVRICIEKMPLHLWSIEGAESVLGKDVIVDRLDSRTYARDNTKLFSCWVWCWSLDSIPSVHEFTVFRAGAGRVEEMNGYSPPRREVAPPPEGLRFRSLIHIDRAEDWTLYSWFFDVQDGEQLPLGAGRRHVASCRGLPAPSRRDDAGDDADRSRRARRVTVLNHGSATNVPAAPASGLGGERHRSGTPAGRRRAASVPASPLAASTTDARRVGETLPPPPPLPLHGPLPQRLCPPVVSEPIVSDTVDTVNAAVPAAVVMSPSSPTSRFSESSVDPLAELMASEQLEDLCWPLQNIDPMAFELDAICAATVVSPLTFSDGSDLSVAGVVPPPTAVLARSSHRWARACFIQSLPAWSCLACSTSSVRDPSPGHRH* >Brasy4G203200.1.p pacid=40088017 transcript=Brasy4G203200.1 locus=Brasy4G203200 ID=Brasy4G203200.1.v1.1 annot-version=v1.1 MAGYFQARSSRRPCVLPWWFLLVLLLLVSSCHASRGGTRPFKGKPLARGISNNFFGFLPRGTVPPSGPSRQHNSIGAEDQDNP* >Brasy4G261900.1.p pacid=40088018 transcript=Brasy4G261900.1 locus=Brasy4G261900 ID=Brasy4G261900.1.v1.1 annot-version=v1.1 MQVQPVEKKRRRGFSQHGSGSPADERWDANKKKLDDDGKTKKLKVENDGDVKTNKLNVDEWAFAGPTFLGSPKPNEVPLPTPTFLRSPEPHELPLPTWLLPAHSCVY* >Brasy4G281100.1.p pacid=40088019 transcript=Brasy4G281100.1 locus=Brasy4G281100 ID=Brasy4G281100.1.v1.1 annot-version=v1.1 MKATMDSIFTIAFGLDLNTLGGSMDSEGSRFAAAFDDASEFSLLRYVNAFWKVARLLNVGAEAMLRERIKVVDGFVYKHIRARADEISSNATNPHDTDSKQDLLSRFMKVTRSETGEVDYKYLRDIIMNIVIAGKDTTAGALAWFLYMVCKHPEVQEKICQETKEAVNAGQAASIDEFSQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKECFSDDVLPDCFSVGKGDIVFYVPYAMGRMERLWGEDAGSFRPERWLDEHGMFQPESPFKFTAFQAGPRICLGKEFRYRQMKIFAAVLLRFFVLALREEGASVNYRTMITLYIDQGLHLTATPREMVN* >Brasy4G419700.1.p pacid=40088020 transcript=Brasy4G419700.1 locus=Brasy4G419700 ID=Brasy4G419700.1.v1.1 annot-version=v1.1 MSTLPNPVSCLHSHRLRNRPNLVMRVLSPIWSDWILRPTRSTFPPSRCARCEDEEVHGSQGGGGAAGAALAGGGVPVDHVVLGEEGGGGDPRDVHGVQGPLQQDLQLLHRRGGVPVRGVQGKLPPRRQRPHLHLRRHDRRGASRMATRRIINPGRVCPAAAGSPSAGGTREGWPRWSGFQAAGQITNPCSFQCMLQWRNRLCKNPCRLRYGVVAGQGNC* >Brasy4G419700.2.p pacid=40088021 transcript=Brasy4G419700.2 locus=Brasy4G419700 ID=Brasy4G419700.2.v1.1 annot-version=v1.1 MSTLPNPVSCLHSHRLRNRPNLVMRVLSPIWSDWILRPTRSTFPPSRCARCEDEEVHGSQGGGGAAGAALAGGGVPVDHVVLGEEGGGGDPRDVHGVQGPLQQDLQLLHRRGGVPVRGVQGKLPPRRQRPHLHLRRHDRRGASRMATRRIINPGRVCPAAAGSPSAGGTREGWPRWSGFQAAGQITNPCSFQCMLQWRNRLCKNPCRLRYGVVAGQGNC* >Brasy4G015000.1.p pacid=40088022 transcript=Brasy4G015000.1 locus=Brasy4G015000 ID=Brasy4G015000.1.v1.1 annot-version=v1.1 MAISRKMLALWLLGAVFAAASSSAQGHRARRRHYDFFIKKAKYTRLCREKTILTVNGEFPGPTIFARKGDVVTVDVYNQADQNITLHWHGVDNPRNPWSDGPEYITQCPIQPGNKFTYRVFFSGEEGTLWWHAHNDIARATVHGAIVIRPRRGATYPFAKPHREIPIIIGEWWSGDVEQVLADAVRTGGDFRASDANTINGQPGDRFPCSGDGAFRLPVQRGETYMLHVINAALSTDFYFAVAGHRLTVVGTDAAYTKPFAADHVFIAPGQSQTVLLHAHRARRRHGARYYYMAARPSETNPAASFDNSTATAILEYTDAPHPASAIRPDMPALPAINDTSAPEAYSARLRSLAGKEHPVDVPRHIDERMLITMAVNEMPCGGARAGKLCKGPNGNRFSASLNNVSFETPRTAILAAYYHSGRLGLVVRTNFPDNPASAFNYTSGSNDLPTATAGGTRVKVLRHGDAVEVVFQNTAILGGESHPMHLHGYSFYVVGRGLGNFDERADPYSYNLIDPPYQNTVFVPKGGWAAIRFRATNPGVWFMHCHLDRHAVWGMDTVLIVKNGKAREAKIMPPPPNMPRC* >Brasy4G383900.1.p pacid=40088023 transcript=Brasy4G383900.1 locus=Brasy4G383900 ID=Brasy4G383900.1.v1.1 annot-version=v1.1 AKPKSGLARRASRWPALQRSSPPVGSPPLASTAANPASAGLPRSASSPSRNPVPPAAPRLQYPAYARAPSRSGASSRTPSRARTSPARSASGESPLIPRQRRRWRSACRRGGTGRCWTRASAPTTCGSSAGPASSSPTAASSPTSAKCKNIVLEGPREVTPTILKEMTCLEAHVHTVSVVCGDYLPSRLAGTHTNTTNDGKQ* >Brasy4G136300.1.p pacid=40088024 transcript=Brasy4G136300.1 locus=Brasy4G136300 ID=Brasy4G136300.1.v1.1 annot-version=v1.1 MANSSITPETTSSRCTMAVESATLSFDVNYPQIDGMGAGQFVSSGTFRVGGYDWSLRFYPDGVYAYCAGHASCYACSPNRSIAARTSYLIQILEKDPGGRGEQEEEGEGEEPVVLSSACDDKSPEQLGCSPTLQDACWGMGYPKFVPKAQLKSLSDKPGGGRFTVKCLLTVANESPPMELPGHLGRMLLDRAGADVTFRVGRRRFRAHGFVLAARSRVFEAQLFGPMAEKDLGRVKVVGVEPAVFEAMLHYIYTDSLPPWPCEGSLAAMQHLLAAADRFGLDALKLRCEEELCGKIDLESVTGMLRLANQHGCERLKAACLVFMSSPDVLSVVLETDGFKEHFMGICRPAALEGGTLGTRSKRRRPEEEVGPNRKAKKKKEGRQSLLQWGTRLIEQIAREVFEAARGWNPLQRRIAQ* >Brasy4G298800.1.p pacid=40088025 transcript=Brasy4G298800.1 locus=Brasy4G298800 ID=Brasy4G298800.1.v1.1 annot-version=v1.1 MDLSSAGSGGNSLPSVGPDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLAQYGLLDQMQVLRPHPARDRDLCRFHADDYISFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGASVGSAVKLNRGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMAKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPINEYYEYFGPDYTLHVAPSNMENKNTHHQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEADEDQEDQDERHDPDSDMELDYHTSLEDPARRSNIQGIRVKREFAGTETKDQDGNRVTAEHRGPEPMAEDIGPSKQVPSADANAMAVDEPGNVKTEPGSSTKFPDPSAVYQKP* >Brasy4G205400.1.p pacid=40088026 transcript=Brasy4G205400.1 locus=Brasy4G205400 ID=Brasy4G205400.1.v1.1 annot-version=v1.1 MDHRDHGSLISQGRAACQQFGDIMGTEKPPQVRLAIRTAQPKGNILAAFSLLHLANHHTARLLCTRYLTDSDSDLGGRKERMSRGNGGGGGRGLDLKLHLSLPARGDSSSTMRRMLAAPADDESSPSSCLSSESEQHGGGGALQWSDSPEATSMVLAACPRCFIYVMLAEADPRCPRCRSPVILDFLHAGNGNANEDHGRDNNNNQNRNASRRGRRNSRKE* >Brasy4G310600.1.p pacid=40088027 transcript=Brasy4G310600.1 locus=Brasy4G310600 ID=Brasy4G310600.1.v1.1 annot-version=v1.1 MAVDPILQLRLYGPGRCSAGKIGGSWLTGPQSAAHVPIRTLCLLSRSLRSPPAHEPSRPRMAPAPPAAALPARPTVACSPLALAIGFRFHPVPMADSASSLPPLPMSSPPHESPASSSPHPSPPPPIRLPGCRHFLLASSPRSGLLPAAAV* >Brasy4G097000.1.p pacid=40088028 transcript=Brasy4G097000.1 locus=Brasy4G097000 ID=Brasy4G097000.1.v1.1 annot-version=v1.1 MATILENIQKARFLPTRPLKDDLPTFQGGGKEESHLMGLRKRLSTFSGKIQPISSASAEWAFRRSRSAPSLAAFAGAAGGPLKRWWDRGVGWLLSKKLGFAGDLEMNEEEVAALGRQSKGTWAHVLYKVRSVVRRLVVSSHSLPTTQHKHHSLPTTAAHKAQCKPAAPFAYTQRSFQYGQAMAH* >Brasy4G259000.1.p pacid=40088029 transcript=Brasy4G259000.1 locus=Brasy4G259000 ID=Brasy4G259000.1.v1.1 annot-version=v1.1 MMLSFLAMPLQHGHRLDLSECARRSISVANFGEVYSTDVADHARGTSRRAPPCVGGGTFWLGSWPMQEDYLLGSKHFSPRQFCRCQVIPPPCKVLDYLPRLPHLLH* >Brasy4G027500.1.p pacid=40088030 transcript=Brasy4G027500.1 locus=Brasy4G027500 ID=Brasy4G027500.1.v1.1 annot-version=v1.1 MEVYWQVPYASLCGLAVIVAGWLGHCVYRWMNPPCSGRLPPGSMGFPLVGETFQFFKPSPSLDIPTFHKERLKRYGSFFKTSLVGQPVVVSMDAELNHFIFQNEGKLFRSWYPDATNDIFGKDMITTFDGSLHKYTRNFASRLFGLESLSGVLLAEMERNVTQSFAAWAAEPSMEVKDAVASMIFDLMAKKLIGFGPDKSRKLRKNFDAFFRGLVCFPLYCPATTFYRCIQGRNNVQKVLKDLLKERLSTPEKRHGDFLDEVVDELRGTGTIKEKFAIDLVAALLFASFATVSSSLTVAMKFLSNHPYVVETLKEEHEAIPKKREGASSGITWEEYKSMTFTAQVTNEIARISNVAPGVFRKTLTDVQVKGYTIPAGWLVMISPAAVHLNPELFEDPLAFNPWRWQDESKRSAMLKNFMPFGGGIRLCVGAEFSRIQIALFLHTLVTKYRWKEIKGGEMHRVSEIVFPKGYHIRIIPRDGTGPTPRTQFD* >Brasy4G379500.1.p pacid=40088031 transcript=Brasy4G379500.1 locus=Brasy4G379500 ID=Brasy4G379500.1.v1.1 annot-version=v1.1 MGGLGLGQCVDKPKWRGKSESAIFQSSMDVKVQSSKLNGRKAPLAHYCGPWTMTLLSLSSESGSCSGKLGGV* >Brasy4G191400.1.p pacid=40088032 transcript=Brasy4G191400.1 locus=Brasy4G191400 ID=Brasy4G191400.1.v1.1 annot-version=v1.1 MKPSSSTAAAFYATLARGLDDLDRSLASGSFLSLPSLRAALALLRAAHAGLTRLVASLHLPGGAAWLDEYMDETSRLCDACAALRLGAAAIEAFSASAAHAASLLQTSAAGASPNLSRQVARAISVCRREATALKEENRALVETRGEALSLRLSEGVPPDAAKALSGFNGFRGVLCATRMLASFLLTLLSWGLLHYSPSSRAGAGSGTADCGAAYFGPAFASALSRAQQRAAAEADRASAAGAMMYEFRRATAAVEQLKDAMDRGGGNGAGAAAEEVAQWAGTLRSGCDDMVALVDDLFDEIVEGRKKLLDLCSGSN* >Brasy4G194200.1.p pacid=40088033 transcript=Brasy4G194200.1 locus=Brasy4G194200 ID=Brasy4G194200.1.v1.1 annot-version=v1.1 MGDLGGGDWREAAAEEAPAAAPAGGGPDHLVVMVHGIVGSAADWKFGAEQFDKLLSDRVIVHCSNRNMHKLTLDGIDVMGERLAQEVIEEINRRPQIKRISFVAHSVGGLVARYAIGRLYTPPRQELEIAPESLCDNNRGNIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHFIFGKTGKHLFLTDNDDGKPPLLQRMVDDCGELQFMSALQAFKRRVAYSNVGYDHIVGWRTSSIRGDSELPNWIDPISKIYPHIVYEELSKAETPGDVTDVDKENCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVTHSEGADVIKHMIDHFIL* >Brasy4G194200.2.p pacid=40088034 transcript=Brasy4G194200.2 locus=Brasy4G194200 ID=Brasy4G194200.2.v1.1 annot-version=v1.1 MGDLGGGDWREAAAEEAPAAAPAGGGPDHLVVMVHGIVGSAADWKFGAEQFDKLLSDRVIVHSSQVIEEINRRPQIKRISFVAHSVGGLVARYAIGRLYTPPRQELEIAPESLCDNNRGNIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHFIFGKTGKHLFLTDNDDGKPPLLQRMVDDCGELQFMSALQAFKRRVAYSNVGYDHIVGWRTSSIRGDSELPNWIDPISKIYPHIVYEELSKAETPGDVTDVDKENCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVTHSEGADVIKHMIDHFIL* >Brasy4G172500.1.p pacid=40088035 transcript=Brasy4G172500.1 locus=Brasy4G172500 ID=Brasy4G172500.1.v1.1 annot-version=v1.1 MTIKWMETPRYKRRQGDDLVEGRVRPVFLDGRRNPTAGSGGGARRLRGSRKRRRSSPHRPPTPDAPELAAHTTPGPRPPPPLAGLGFPSSGGRTHASAPPSSSSGGPPWTVLARGEPPRSPANPGPAAPARRPRVRLSRTQ* >Brasy4G252400.1.p pacid=40088036 transcript=Brasy4G252400.1 locus=Brasy4G252400 ID=Brasy4G252400.1.v1.1 annot-version=v1.1 MQRAASRVASLALRRLAASACPRGELPAAAMAPLRPAAAVVNAASMARLSLQPTTAAAAVALLARRGYAGAAAKAKAKAKAVSEDEDEDDDSEWEVDEYGSIEDEFPDSDSEGDEDEYADT* >Brasy4G326900.1.p pacid=40088037 transcript=Brasy4G326900.1 locus=Brasy4G326900 ID=Brasy4G326900.1.v1.1 annot-version=v1.1 MLTMLTVGFEPTPFRTRTLIWRLRPTRPYQRCCLSCYNILILMETCCFSFIRFWISPPRRPLPLPDTFFQARTMELGSHTGFTSPFPCASSSPRCSYPPESKAVRPTSRRFRAVCGVSRRRAVSDMALVGAAASCLNLLAMSMPAQAALQEPDVIRYRKLDSGVKLEDVVEGEGPEAREGDLVQFNYVCRRANGYFVHSTVNQFNGESKPVTLPLDGEMIRGLKDVMIGMKAGGKRRALIPPEVGYVDESLQPVPEEFGPRRSLLSHAKEPLVFEVQLLKVL* >Brasy4G009000.1.p pacid=40088038 transcript=Brasy4G009000.1 locus=Brasy4G009000 ID=Brasy4G009000.1.v1.1 annot-version=v1.1 MACLRPSASGRRLSELLEEQQEPFFLDLHLLEKGCSGRLLDDTALCWPAAAAAASVLRRLTSKKKKSTAVASKKQQQQQPGGLLRLLLSKILHGKAAAASRKPAALQSSESFKKVVVASSPKHLDAVKLVRKTGNEEGKQYSDFDSESEDENEKQLSPVSVLEHPFEYSNNVQGSPKDAMAIVRELLLEAAYTPALLTQLLAKSEDLISIKDAAAADLDGYYYPSAASPKNFHGDEDDRETAAAEKANSAGYWETHRAELARVSELVSSEVPRSRLDAADVEPERRDVGADVEAAVLEALLLELVADLGSC* >Brasy4G398100.1.p pacid=40088039 transcript=Brasy4G398100.1 locus=Brasy4G398100 ID=Brasy4G398100.1.v1.1 annot-version=v1.1 MESFLLPVPPHIWMDSVSTNRSPLRSAATAARRSSPSRCSALPNRVAASRFGVAPGCGGGLFGKAQRFGSVRITTARAQSGNAGKSMTEQRECTMSENLKYSSGKASPLGVSQVEGGLNFAIFSQHASSVTLCIKVPERGTQEEGNAEVVEFALDSQNNKTGDIWHVSVEGLPTSGVLYGYRVNGPQGWQHGHRFDSNIILLDPYAKLVSGRNYFGVDKEKPSQLFGTYDFDSPPFDWGVDYRLPNLPETDLVIYEMNVRAFTADESSGVDPAVRGSYLGFIDKIPHLLELGVNAVELLPVFEFDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPLAASRELKQMVKALHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYILDPKNNAQLLNFSGCGNTLNCNHPVVMELVLDSLRHWVKEYHIDGFRFDLASVLCRGTDGNPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGQFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVLATRVSGSADLYQVNKRKPYHGVNFIIAHDGFTLCDLVSYNLKHNDANGESGRDGCNDNFSWNCGVEGETNDSNVLALRSKQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWGQLEERRYGHFRFFSEMIKFRQNHPILKRDRFLNKNDVTWHEDCWENMESKFLAFTIHDHSSGGDIYLAFNAHDYFVDAAIPPAPQHKRWNRVVDTNLGSPNDIVPEGVPFAGSGYRIAPYSSILLKANP* >Brasy4G398100.2.p pacid=40088040 transcript=Brasy4G398100.2 locus=Brasy4G398100 ID=Brasy4G398100.2.v1.1 annot-version=v1.1 MESFLLPVPPHIWMDSVSTNRSPLRSAATAARRSSPSRCSALPNRVAASRFGVAPGCGGGLFGKAQRFGSVRITTARAQSGNAGKSMTEQRECTMSENLKYSSGKASPLGVSQVEGGLNFAIFSQHASSVTLCIKVPERGTQEEGNAEVVEFALDSQNNKTGDIWHVSVEGLPTSGVLYGYRVNGPQGWQHGHRFDSNIILLDPYAKLVSGRNYFGVDKEKPSQLFGTYDFDSPPFDWGVDYRLPNLPETDLVIYEMNVRAFTADESSGVDPAVRGSYLGFIDKIPHLLELGVNAVELLPVFEFDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPLAASRELKQMVKALHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYILDPKNNAQLLNFSGCGNTLNCNHPVVMELVLDSLRHWVKEYHIDGFRFDLASVLCRGTDGNPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGQFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVLATRVSGSADLYQVNKRKPYHGVNFIIAHDGFTLCDLVSYNLKHNDANGESGRDGCNDNFSWNCGVEGETNDSNVLALRSKQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWGQLEERRYGHFRFFSEMIKFRQNHPILKRDRFLNKDT* >Brasy4G235800.1.p pacid=40088041 transcript=Brasy4G235800.1 locus=Brasy4G235800 ID=Brasy4G235800.1.v1.1 annot-version=v1.1 MPPPEILLGAAELWLPTARAGGWATAAALLLLLVFHLSVLLVRRARGRRGRLIQPETATAGPASSAAASLSSGSSTGIEELVTEDDLRKLVSSLGVGAREPELEGWEPVIAKANDAVSYKAWCDKPTDGPPKYLSMATYEGCTTELLRDFYMDNDYRMVWDNTVTKHEQLQCDENSGIEIGRTVKKFPLLTPREYISAWRVWESNENSFYCLVKECEHSLAPRQRKFVRVRLLRSGWCIRKVPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWNYICKMNNALRRYPQNHGPSVSILTMQRLMKKFPQALQTSMDEGHLSPVNTAAAAHLTGTSPCKLGKKSSRETIASGLLLIGSIVCLSKGRSNLGAQLAMAFFLKKAFKQDKGSGLPRGRTDATVSRH* >Brasy4G026300.1.p pacid=40088042 transcript=Brasy4G026300.1 locus=Brasy4G026300 ID=Brasy4G026300.1.v1.1 annot-version=v1.1 MANNKKMKLALAAVLVLAVALATAHAVCDMDNDDFMACQPAAAATTSPTPPDPSAKCCATLGKADLKCLCAYKKSPWLSLYNIDPKRAMELPAKCGLSPPDDC* >Brasy4G291500.1.p pacid=40088043 transcript=Brasy4G291500.1 locus=Brasy4G291500 ID=Brasy4G291500.1.v1.1 annot-version=v1.1 MHSLNSSKGILLNRCNSWRFPRPRAMGSTSNPSFSPFGAEAMNGYFMASTGAGLPLPPPDHGGYGGGGLCFGDAAAMADIGAQFSANNMMLASLASQLFAPPQPHGGGHCQVGTRSPPPEVEEMNGDYEVSGHSDAGAMAVCSPSSFKKPRCDDWSSTEGSRAVSVYGPPPQTAGFYYYPQATPRELSLSLRSVSSPDTMSMASGDRFSSGSGLTHARSHLQQAYSPQPQPQAQAARFRPVHFAVVVARSPYAPVAQQLLNDAVGRLLHGVAAASSCSASSSVVSSNDNNSSQLMMMASPEEHSHSRGAGARWGQAQSTKGELLRMLQLMDEKYNQCLDEIQSTTAKFNSLAQPGIGIGMGGISAPFAHRAVSATYRALRRRITAEIMAAGGSRPRSQRAESSGSWESAFIQKHLAAQQARRREQHSWRPQRGLPEKSVAVLKSWLFENFIRPYPQDSEKDMLAERSGLTRTQVANWFINARVRLWRPLIEELHEELRRSSAAAPAMPMEHAVSQYVVG* >Brasy4G291500.2.p pacid=40088044 transcript=Brasy4G291500.2 locus=Brasy4G291500 ID=Brasy4G291500.2.v1.1 annot-version=v1.1 MGSTSNPSFSPFGAEAMNGYFMASTGAGLPLPPPDHGGYGGGGLCFGDAAAMADIGAQFSANNMMLASLASQLFAPPQPHGGGHCQVGTRSPPPEVEEMNGDYEVSGHSDAGAMAVCSPSSFKKPRCDDWSSTEGSRAVSVYGPPPQTAGFYYYPQATPRELSLSLRSVSSPDTMSMASGDRFSSGSGLTHARSHLQQAYSPQPQPQAQAARFRPVHFAVVVARSPYAPVAQQLLNDAVGRLLHGVAAASSCSASSSVVSSNDNNSSQLMMMASPEEHSHSRGAGARWGQAQSTKGELLRMLQLMDEKYNQCLDEIQSTTAKFNSLAQPGIGIGMGGISAPFAHRAVSATYRALRRRITAEIMAAGGSRPRSQRAESSGSWESAFIQKHLAAQQARRREQHSWRPQRGLPEKSVAVLKSWLFENFIRPYPQDSEKDMLAERSGLTRTQVANWFINARVRLWRPLIEELHEELRRSSAAAPAMPMEHAVSQYVVG* >Brasy4G291500.3.p pacid=40088045 transcript=Brasy4G291500.3 locus=Brasy4G291500 ID=Brasy4G291500.3.v1.1 annot-version=v1.1 MGSTSNPSFSPFGAEAMNGYFMASTGAGLPLPPPDHGGYGGGGLCFGDAAAMADIGAQFSANNMMLASLASQLFAPPQPHGGGHCQVGTRSPPPEVEEMNGDYEVSGHSDAGAMAVCSPSSFKKPRCDDWSSTEGSRAVSVYGPPPQTAGFYYYPQATPRELSLSLRSVSSPDTMSMASGDRFSSGSGLTHARSHLQQAYSPQPQPQAQAARFRPVHFAVVVARSPYAPVAQQLLNDAVGRLLHGVAAASSCSASSSVVSSNDNNSSQLMMMASPEEHSHSRGAGARWGQAQSTKGELLRMLQLMDEKYNQCLDEIQSTTAKFNSLAQPGIGIGMGGISAPFAHRAVSATYRALRRRITAEIMAAGGSRPRSQRAESSGSWESAFIQKHLAAQQARRREQHSWRPQRGLPEKSVAVLKSWLFENFIRPYPQDSEKDMLAERSGLTRTQVANWFINARVRLWRPLIEELHEELRRSSAAAPAMPMEHAVSQYVVG* >Brasy4G095200.1.p pacid=40088046 transcript=Brasy4G095200.1 locus=Brasy4G095200 ID=Brasy4G095200.1.v1.1 annot-version=v1.1 MASRAAVVVVAVTSALLFAAASAQEMDATMPPAPAPATGAAAGAAASALAVACSAVLSLLVAGGLAH* >Brasy4G064100.1.p pacid=40088047 transcript=Brasy4G064100.1 locus=Brasy4G064100 ID=Brasy4G064100.1.v1.1 annot-version=v1.1 MATQASIAVGSQVWVEDPDVAWIDGEVVKVHGDTVTIKCSNEKTVTAKASDVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGSILIAVNPFRRLPHLYDIQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAVRTYLLERSRVCQISDSERNYHCFYMICAAPPEELERYKLGDASTFHYLNQSNCYKIEGLDESKEYLETKKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDVDSSKPKNEKSMFHLRTAAELFMCDEKALEDSLCKRVIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINNSIGQDPNSKCLIGVLDIYGFESFKINSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIDKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFTKPKLSRSDFTICHYAGDVTYQTELFLEKNKDYVVAEHQALLGASGCSFVSSLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNSLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGLLAPGVLSGSSDEIIAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASLIQRKIRSFLAKKSFIALRRSAVQLQAVCRGEIARGVYQSLRREAASLKIQTSYRMHNARKAYTEIYVSAVTIQSCLRGLAARKEIHFRRQTRAAIIIQSRCRQFMARLDYSRTKKAALTTQCIWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETKDTLVKEREAAKKVAEIAPVIKEVPVVDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYDETNKISEERLKKAMDAESKIDDLNMAMLRLQEKISNMECDEKVQRQALLTTPVRSMSEHLSIPIAPKNLENGYHEVEEPKEPQSAPPAIKDYGNGDPKLRKSSVEKQLENVDALIDCVAKNLGYCEGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRSSGQPQSNHWQKIIENLDVLLRILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATAEYAASSWDEIRHIRQAVGFLVIFQKFRISYDEIVHDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVEDITNTVLEKDFSDVKPAEELLENPAFQFLQD* >Brasy4G064100.2.p pacid=40088048 transcript=Brasy4G064100.2 locus=Brasy4G064100 ID=Brasy4G064100.2.v1.1 annot-version=v1.1 MATQASIAVGSQVWVEDPDVAWIDGEVVKVHGDTVTIKCSNEKTVTAKASDVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGSILIAVNPFRRLPHLYDIQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAVRTYLLERSRVCQISDSERNYHCFYMICAAPPEELERYKLGDASTFHYLNQSNCYKIEGLDESKEYLETKKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDVDSSKPKNEKSMFHLRTAAELFMCDEKALEDSLCKRVIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINNSIGQDPNSKCLIGVLDIYGFESFKINSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIDKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFTKPKLSRSDFTICHYAGDVTYQTELFLEKNKDYVVAEHQALLGASGCSFVSSLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNSLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGLLAPGVLSGSSDEIIAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASLIQRKIRSFLAKKSFIALRRSAVQLQAVCRGEIARGVYQSLRREAASLKIQTSYRMHNARKAYTEIYVSAVTIQSCLRGLAARKEIHFRRQTRAAIIIQSRCRQFMARLDYSRTKKAALTTQCIWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETKDTLVKEREAAKKVAEIAPVIKEVPVVDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYDETNKISEERLKKAMDAESKIDDLNMAMLRLQEKISNMECDEKVQRQALLTTPNLENGYHEVEEPKEPQSAPPAIKDYGNGDPKLRKSSVEKQLENVDALIDCVAKNLGYCEGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRSSGQPQSNHWQKIIENLDVLLRILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATAEYAASSWDEIRHIRQAVGFLVIFQKFRISYDEIVHDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVEDITNTVLEKDFSDVKPAEELLENPAFQFLQD* >Brasy4G360500.1.p pacid=40088049 transcript=Brasy4G360500.1 locus=Brasy4G360500 ID=Brasy4G360500.1.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVSDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQNWLDGQLALQKKILSRMTELGMVPVLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEVSANLPHPHLWYSTNEAVKALELFLNAGNDLSKSLTFRYDLVDLTRQSLSKLANKVYLDVMDSYQNKNSNGLNFHTKKFLELIVDIDTLLASDDNFLLGPWLESAKRLAMSEEERKQYEWNARTQVTMWYDNTKTEQSHLHDYANKFWSGLLKSYYLPRASKYFAGLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAKGDALAISKSLFRKYLS* >Brasy4G360500.2.p pacid=40088050 transcript=Brasy4G360500.2 locus=Brasy4G360500 ID=Brasy4G360500.2.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSFLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEVSANLPHPHLWYSTNEAVKALELFLNAGNDLSKSLTFRYDLVDLTRQSLSKLANKVYLDVMDSYQNKNSNGLNFHTKKFLELIVDIDTLLASDDNFLLGPWLESAKRLAMSEEERKQYEWNARTQVTMWYDNTKTEQSHLHDYANKFWSGLLKSYYLPRASKYFAGLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAKGDALAISKSLFRKYLS* >Brasy4G360500.3.p pacid=40088051 transcript=Brasy4G360500.3 locus=Brasy4G360500 ID=Brasy4G360500.3.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVSDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQNWLDGQLALQKKILSRMTELGMVPVLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEEMIFQKVSHSANKFWSGLLKSYYLPRASKYFAGLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAKGDALAISKSLFRKYLS* >Brasy4G360500.4.p pacid=40088052 transcript=Brasy4G360500.4 locus=Brasy4G360500 ID=Brasy4G360500.4.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVSDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQNWLDGQLALQKKILSRMTELGMVPVLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEVSANLPHPHLWYSTNEAVKALELFLNAGNDLSKSLTFSQQILERAAEELLPSKGVEVLRWLIEKPAGEPEFSAGGMEARLDIVF* >Brasy4G360500.9.p pacid=40088053 transcript=Brasy4G360500.9 locus=Brasy4G360500 ID=Brasy4G360500.9.v1.1 annot-version=v1.1 MELLSECRHLQLISIDSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVSDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQNWLDGQLALQKKILSRMTELGMVPVLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEVSANLPHPHLWYSTNEAVKALELFLNAGNDLSKSLTFRYDLVDLTRQSLSKLANKVYLDVMDSYQNKNSNGLNFHTKKFLELIVDIDTLLASDDNFLLGPWLESAKRLAMSEEERKQYEWNARTQVTMWYDNTKTEQSHLHDYANKFWSGLLKSYYLPRASKYFAGLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAKGDALAISKSLFRKYLS* >Brasy4G360500.5.p pacid=40088054 transcript=Brasy4G360500.5 locus=Brasy4G360500 ID=Brasy4G360500.5.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVSDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQNWLDGQLALQKKILSRMTELGMVPVLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEEMIFQKVSHSGTTLSI* >Brasy4G360500.6.p pacid=40088055 transcript=Brasy4G360500.6 locus=Brasy4G360500 ID=Brasy4G360500.6.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSFLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEEMIFQKVSHSANKFWSGLLKSYYLPRASKYFAGLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAKGDALAISKSLFRKYLS* >Brasy4G360500.7.p pacid=40088056 transcript=Brasy4G360500.7 locus=Brasy4G360500 ID=Brasy4G360500.7.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSFLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEVSANLPHPHLWYSTNEAVKALELFLNAGNDLSKSLTFSQQILERAAEELLPSKGVEVLRWLIEKPAGEPEFSAGGMEARLDIVF* >Brasy4G360500.8.p pacid=40088057 transcript=Brasy4G360500.8 locus=Brasy4G360500 ID=Brasy4G360500.8.v1.1 annot-version=v1.1 MRPPPPPPLALLPFVLLLVLIPLPPAAATAAGHTWREALRTAAGRRAASPAVQEGAAAGVLHRLLPSHAHSFRFQIDTKGGVCGESSCFRISNVDGSGRGGAEIMIQGTTAVELASGLHWYLKYWCGVHISWDKTGGAQLASVPSLGSLPRVNGTGVKIKRPVPWNYYQNVVTSSFLPSFSGNVPVVFKKLFPSANITRLGEWNTVDGDPRWCCTYILDPSDALFIDVGHAFIRQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYAAMSSGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPVWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSISSGPIDARTSYGSTMVGVGMTMEGIEHNPVVFELMSEMSFRSQKVEVEDWLKSYSYRRYGQSNVKIEKAWGVLYHTIYNCTDGIADHNRDYIVEFPDVSPSSFSSHFSKQRGMPIVRKHPRFFLSEEMIFQKVSHSGTTLSI* >Brasy4G227000.1.p pacid=40088058 transcript=Brasy4G227000.1 locus=Brasy4G227000 ID=Brasy4G227000.1.v1.1 annot-version=v1.1 MASLRASLLLAAALCALAASSASASRDLRPRRAQFVVRGSVWCDTCRAGFETPASTYIAGAKVRVECKSKSTGVKTCSFEGHTDHTGTYNIAVDDEHEHELCESVLVSSPDRGCAKLVAGRERAPVFLNNNNGVASNVRLANALGFQKDVALAACAQILKMYQEVDDRV* >Brasy4G178700.1.p pacid=40088059 transcript=Brasy4G178700.1 locus=Brasy4G178700 ID=Brasy4G178700.1.v1.1 annot-version=v1.1 MSPRFLLLLLAAAVRPCAALVRLRGSSFLDAPARFGPRVSGDGICGSLRSADPADACTPIKNSAGSGGRAFVLVVRGNCSFEDKVREAQRAGFNAVVVYDDEEKASLYSMVGDSEGIHIPAVFLSKMAGETLKKFSRGEDGECCIESSMDETAGTVLVMSFVSLVVIISVLASFLFARNCRLLRHGVDNRPPYIKKHVVDKLPCLVYKAPFASGSTSEDACAICLEDYDNGDMLRLLPCRHEFHTVCVDPWLTKWGTFCPVCKLEVIIGE* >Brasy4G178700.3.p pacid=40088060 transcript=Brasy4G178700.3 locus=Brasy4G178700 ID=Brasy4G178700.3.v1.1 annot-version=v1.1 MSPRFLLLLLAAAVRPCAALVRLRGSSFLDAPARFGPRVSGDGICGSLRSADPADACTPIKNSAGSGGRAFVLVVRGNCSFEDKVREAQRAGFNAVVVYDDEEKASLYSMVGDSEGIHIPAVFLSKMAGETLKKFSRGEDVLASFLFARNCRLLRHGVDNRPPYIKKHVVDKLPCLVYKAPFASGSTSEDACAICLEDYDNGDMLRLLPCRHEFHTVCVDPWLTKWGTFCPVCKLEVIIGE* >Brasy4G178700.2.p pacid=40088061 transcript=Brasy4G178700.2 locus=Brasy4G178700 ID=Brasy4G178700.2.v1.1 annot-version=v1.1 MSPRFLLLLLAAAVRPCAALVRLRGSSFLDAPARFGPRVSGDGICGSLRSADPADACTPIKNSAGSGGRAFVLVVRGNCSFEDKVREAQRAGFNAVVVYDDEEKASLYSMVGDSEGIHIPAVFLSKMAGETLKKFSRGEDGECCIESSMDETAGTVLKHVVDKLPCLVYKAPFASGSTSEDACAICLEDYDNGDMLRLLPCRHEFHTVCVDPWLTKWGTFCPVCKLEVIIGE* >Brasy4G223600.1.p pacid=40088062 transcript=Brasy4G223600.1 locus=Brasy4G223600 ID=Brasy4G223600.1.v1.1 annot-version=v1.1 MQIQAPARRIPLTEAAATAGRAGAGGGSPRGRWRRASVAGSSQSAACRGRRRRHQGPRPAGRRWEVGGGHGLEAADPSGRAPDPGWTGGGGGRGGGGESEAATGKEGHAPARSRGREPGWRRQERGEREGK* >Brasy4G301000.1.p pacid=40088063 transcript=Brasy4G301000.1 locus=Brasy4G301000 ID=Brasy4G301000.1.v1.1 annot-version=v1.1 MVAVRLLARVSRQCAAAVSASAARRRLFGVAAAAAQPFASSCSPVRVPYMLNQPLRYSTTIFQRFGFSSSTPQQNDKDVNQPKDQESTVHESNGEASKEDSGLPGRGMEDLDLSKEDLVKLVHEKDELLNSKDEEIKDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKVDTSEDSTGAVPLLNTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEMFNPDRHYAIFQIPDPSKPSGTVASVVKVGYMLHDRILRPAEVGVTEGGPTEEAEQPEQKSSGD* >Brasy4G057800.1.p pacid=40088064 transcript=Brasy4G057800.1 locus=Brasy4G057800 ID=Brasy4G057800.1.v1.1 annot-version=v1.1 MAYTEPLLFTTPHSHSPRARHLHIPAAAPTPTPSPAATPRNKPAGEYEYEQDDAAAAAAASMVVAVRGRDDETGKLVVVTAGAGDEEDEEEDPRDAAAVRSARDGAAVFAEESRRLWTIGAPIAFNILCLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSWIILTASALLLSPLYVFAGQILRLLGQEDAIADAAGEFTLRIIPQMFALAINFPTQKFLQAQSKVAALAWIGFAALVAHVGLLALFVSVLGWGIAGAAAAYDVSSWLTALAQVAYVVGWCREGWTGLSKAAFKELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLAQSLALGLLAMVLILATRNHFPVIFTGDTHLQKAVANIAYLLAVTMVLNSIQPVISGVAVGGGWQGVVAYINLGCYYAFGLPLGFIFGYLFRWGVRGIWAGMLCGTALQTAILMYMVYNTDWKAEASQALERVRLWGGHHEKLPTIDREEPR* >Brasy4G393600.1.p pacid=40088065 transcript=Brasy4G393600.1 locus=Brasy4G393600 ID=Brasy4G393600.1.v1.1 annot-version=v1.1 MPYPTAHTTTVLHEHSFPLFFPMASSPPPPASAAAAGAANSVFVYGTLMAEEVVRVLLGRVPPSSPALLPNHQRFSIRGRVYPAILPVDGSRVSGKVFRGLADGELDVLDIFEDEEYVRETVGISLTESSDTMLAYAYIWGNVDDPDLYGEWDFDEWRKVHLNDYLTMTQDFKEELEQLEQKTHD* >Brasy4G393600.2.p pacid=40088066 transcript=Brasy4G393600.2 locus=Brasy4G393600 ID=Brasy4G393600.2.v1.1 annot-version=v1.1 MPYPTAHTTTVLHEHSFPLFFPMASSPPPPASAAAAGAANSVFVYGTLMAEEVVRVLLGRVPPSSPALLPNHQRFSIRGRVYPAILPVDGSRVSGKVFRGLADGELDVLDIFEDEEYVRETVGISLTESSDTMLAYAYIWGNVDDPDLYGEWDFDERSLAKYSHILRATCRSGGRCT* >Brasy4G276800.1.p pacid=40088067 transcript=Brasy4G276800.1 locus=Brasy4G276800 ID=Brasy4G276800.1.v1.1 annot-version=v1.1 MEAGLVGGCVGGAMKLLLPKLATLLEKKYGLPKGVKKKIASMRDEMSSMNALLTKLSLMEQLDEQQKDWRDKVRELSLHMEDCIDIYTDEIDRGDVKMGFKRKLKKLMARYKIAHRIEELKARASQVSEIHGRYKLDEPPRPCDLGVVAVDPRLQALYAEADSLVGMDGPKKRLVELLSMEKAGQLKVVAVVGSGGMGKTTLAKQVYTKIKGQFKCTAFVSVSQNPNIVEILSDIVLEVMGWLPKGCNDERRLIDKLREYLQDKRYLIVIDDLWATEAWNTIKNSFVENNRGSRVITTTRIGRVAQACCSCLHDHVYKIQPLTVPDSRRLFHRRIFLSNDACPEHLKDDEILKKCQGVPLAILSVASTLCSHGGVMLKENWETIRDHLGDHLETDSDMNWMRHVLNLGYNDLSLDLKTCLLYLGIFPEDSVIMKDDLIRRWIAGCFVSGKNTYGPEEIADSYFNELINRNMIQIADYDDCGEVASCRVHDLMLDFIISKSKEENFMTVINGQRSAKGTLEPRQVSVQLSNSEPNNRLENMPLTQVRSFYFWGPAQLMPSLSKFQLLRVLYLDVSNAKRKHCYLSSIDSLFQLRYLRTRGIPYKQVLTQLQNLQRLKTLEIAKDDGIDGQYDYLVLNVCLLPSTLLHLIVPGSVELIGGFGRMRALHTLATVQVNLKDVESMKGLGDLSNLRDLKLSSL* >Brasy4G256600.1.p pacid=40088068 transcript=Brasy4G256600.1 locus=Brasy4G256600 ID=Brasy4G256600.1.v1.1 annot-version=v1.1 MGAATACDGNDDYISELPEALLSDILSRLGTAEAARTVVLSTRFRDAWLATPLRLDDLELPAPARGTGFSKEPWAVRADAVTRVLASHPGPVARFRLSRTTFRSRVSAAEAWFRDLAAKRTREVYLCCPPEWCHDALADPLLACPTLETLALGKCLLTDAGASAGCLTELTLSETNLSEAALQSVLSGCPALRSVMLKHVHGLHRIRVRSCRSLVLLGVWHYKKLEEITVEDAPCLERVLGSVRLTAAINVVGAPKLTALGYVVVGIPYLFDGETAPQEVGKGLRAPLHSVKILAITVKFSNENDMEKVISLLELFPCLETLHVKSTDNDQECGAVEDDTIGSIYYPKCDPIRCFVSHLKSVRLECKCNHPNHSMLEFASFLLARAHVLQFMRIRSKMSGLSEWVTKQQNLLSQCHRLSLEAEVVFEGIKRRDGFTIEGVNALSDPFDGDIDISGY* >Brasy4G361300.1.p pacid=40088069 transcript=Brasy4G361300.1 locus=Brasy4G361300 ID=Brasy4G361300.1.v1.1 annot-version=v1.1 MGADGMRALACFLCVSVSRRRRRRRAARLVLWGGEARAAKHGELAGQVMLDFTGSVVCLADGFCIGRPAPVLAIEDRLAAGATYLVLPVDRLPQGCDPVTAASLAALSYDRAGPASSIAGGPKSPFEYVKGDDGRTVIKVTPEFIVGAITARPGVVVGGADNGEIDGACGGALCSTPELRKHYEQLVGAGRGRAWSPRLDTIKERKGRRINMAAVSPGRLSPVAVRLLAGLAKGER* >Brasy4G194800.1.p pacid=40088070 transcript=Brasy4G194800.1 locus=Brasy4G194800 ID=Brasy4G194800.1.v1.1 annot-version=v1.1 MASSKENYRVELRAAARQLGDRCLYSAAKWAAELLVGIEPDAAPSQSAAMDTPSSSSAAAGGRLLHLHRSGGSSFRRRSRLGGAGAEVGTPLGGVSYVSTPIPDDDPFDAGADKYLLAKTYFDCREYRRAAHVLRDQVGRKAVFLRSYALYMAGEKRKEEETIELEGSLGKSNVVNQELVALERELSTHRRTGSIDSFGLYLYGIVLRDKGCEGLARTVLVESVNSYPWNWCAWLEIQSLCTSSDILNNLNLKNHWMKDFFIASAHLELKMHEEALKRYERLLGIFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSHLQPNDSRLWIAMAQCYESDPLQMIEEAIKCYERAANSDDTEGIALHQLAKLHSMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKTIGRFDEAEHYCTRLLDYTGPEKETAKSILQGLKRAQSGFPSMDIDHFAL* >Brasy4G322000.1.p pacid=40088071 transcript=Brasy4G322000.1 locus=Brasy4G322000 ID=Brasy4G322000.1.v1.1 annot-version=v1.1 MSKKKNPIVFLDVSISDGPDERMIFELFADVAPLTAENFRALCTGEMGIGQTTKKPLYYKGSIFHRVIKGFMAQGGDFSNGDVPFSGNGGESIYGEQFEDENFVLCHNDRGLLSMANAGSNTNTNGSQFFITFKPSAHLDRKSTVFGKLILGNDVLKRIEHVDVHEYTNMPLVPIKIVDCGELVDAKDCRSVTTENDKKRLKSKLSKISSDGEGIEEKYKGHRKKSSKRRRKRRKYSSSESDSSSESDSSDSESDSDYSSDSSDISSSSDEKRKRRKRHSKKDKRKHGKRKRDRRREKRRRKRDRKSKKKSKRTVESGSETGDTSNSSSEDDRRKRHRRGRKSKSTAQVSAENHTAVAALKDATSMQEKIATPRSLAQEDKSQQENGEMRTNGVTDSKTERNADNVPVLTGTRSKSRSQSISANHSMSKSMSVSPRSPINKSNISPKRLVSPSPVRQSFSRSPVRAPKRNESRSPPQQRNMSMSPHRGSPSKIPPGSASRSPVARRSRSPVSRRSRSPVEAQTRSISRSSARSLQRRNLSRSLDRTHVQKSVSPSPAPMDKGRSISRTSARSPLQRGVSRSPERPSRKTISRSPRRNTRRNFSRSPVGLPRRSLTPVRGGRSRRNGSRSPSPPRRAVSPPNHGRSPSRSGSPDGSKRVRRGRGFTQRYSYARQYRSPSADRSYRYGGRGDRERYMSYRGNRYRSPPKRYRSPPRGRTSPRYRRRSRSISRSPPVYRDRGRGGGYSRSPVRSRSPPAGRPRSRGDRARSISRSRSLSGSRSRSPPPVEDRSPLASPSPKRPSNEVSRSLSVSPEGNKGLVSYGDGSPDSAGK* >Brasy4G322000.2.p pacid=40088072 transcript=Brasy4G322000.2 locus=Brasy4G322000 ID=Brasy4G322000.2.v1.1 annot-version=v1.1 MSKKKNPIVFLDVSISDGPDERMIFELFADVAPLTAENFRALCTGEMGIGQTTKKPLYYKGSIFHRVIKGFMAQGGDFSNGDGNGGESIYGEQFEDENFVLCHNDRGLLSMANAGSNTNTNGSQFFITFKPSAHLDRKSTVFGKLILGNDVLKRIEHVDVHEYTNMPLVPIKIVDCGELVDAKDCRSVTTENDKKRLKSKLSKISSDGEGIEEKYKGHRKKSSKRRRKRRKYSSSESDSSSESDSSDSESDSDYSSDSSDISSSSDEKRKRRKRHSKKDKRKHGKRKRDRRREKRRRKRDRKSKKKSKRTVESGSETGDTSNSSSEDDRRKRHRRGRKSKSTAQVSAENHTAVAALKDATSMQEKIATPRSLAQEDKSQQENGEMRTNGVTDSKTERNADNVPVLTGTRSKSRSQSISANHSMSKSMSVSPRSPINKSNISPKRLVSPSPVRQSFSRSPVRAPKRNESRSPPQQRNMSMSPHRGSPSKIPPGSASRSPVARRSRSPVSRRSRSPVEAQTRSISRSSARSLQRRNLSRSLDRTHVQKSVSPSPAPMDKGRSISRTSARSPLQRGVSRSPERPSRKTISRSPRRNTRRNFSRSPVGLPRRSLTPVRGGRSRRNGSRSPSPPRRAVSPPNHGRSPSRSGSPDGSKRVRRGRGFTQRYSYARQYRSPSADRSYRYGGRGDRERYMSYRGNRYRSPPKRYRSPPRGRTSPRYRRRSRSISRSPPVYRDRGRGGGYSRSPVRSRSPPAGRPRSRGDRARSISRSRSLSGSRSRSPPPVEDRSPLASPSPKRPSNEVSRSLSVSPEGNKGLVSYGDGSPDSAGK* >Brasy4G322000.3.p pacid=40088073 transcript=Brasy4G322000.3 locus=Brasy4G322000 ID=Brasy4G322000.3.v1.1 annot-version=v1.1 MSKKKNPIVFLDVSISDGPDERMIFELFADVAPLTAENFRALCTGEMGIGQTTKKPLYYKGSIFHRVIKGFMAQGGDFSNGDVPFSGNGGESIYGEQFEDENFVLCHNDRGLLSMANAGSNTNTNGSQFFITFKPSAHLDRKSTVFGKLILGNDVLKRIEHVDVHEYTNMPLVPIKIVDCGELVDAKDCRSVTTENDKKRLKSKLSKISSDGEGIEEKYKGHRKKSSKRRRKRRKYSSSESDSSSESDSSDSESDSDYSSDSSDISSSSDEKRKRRKRHSKKDKRKHGKRKRDRRREKRRRKRDRKSKKKSKRTVESGSETGDTSNSSSEDDRRKRHRRGRKSKSTAQVSAVAALKDATSMQEKIATPRSLAQEDKSQQENGEMRTNGVTDSKTERNADNVPVLTGTRSKSRSQSISANHSMSKSMSVSPRSPINKSNISPKRLVSPSPVRQSFSRSPVRAPKRNESRSPPQQRNMSMSPHRGSPSKIPPGSASRSPVARRSRSPVSRRSRSPVEAQTRSISRSSARSLQRRNLSRSLDRTHVQKSVSPSPAPMDKGRSISRTSARSPLQRGVSRSPERPSRKTISRSPRRNTRRNFSRSPVGLPRRSLTPVRGGRSRRNGSRSPSPPRRAVSPPNHGRSPSRSGSPDGSKRVRRGRGFTQRYSYARQYRSPSADRSYRYGGRGDRERYMSYRGNRYRSPPKRYRSPPRGRTSPRYRRRSRSISRSPPVYRDRGRGGGYSRSPVRSRSPPAGRPRSRGDRARSISRSRSLSGSRSRSPPPVEDRSPLASPSPKRPSNEVSRSLSVSPEGNKGLVSYGDGSPDSAGK* >Brasy4G322000.4.p pacid=40088074 transcript=Brasy4G322000.4 locus=Brasy4G322000 ID=Brasy4G322000.4.v1.1 annot-version=v1.1 MSKKKNPIVFLDVSISDGPDERMIFELFADVAPLTAENFRALCTGEMGIGQTTKKPLYYKGSIFHRVIKGFMAQGGDFSNGDGNGGESIYGEQFEDENFVLCHNDRGLLSMANAGSNTNTNGSQFFITFKPSAHLDRKSTVFGKLILGNDVLKRIEHVDVHEYTNMPLVPIKIVDCGELVDAKDCRSVTTENDKKRLKSKLSKISSDGEGIEEKYKGHRKKSSKRRRKRRKYSSSESDSSSESDSSDSESDSDYSSDSSDISSSSDEKRKRRKRHSKKDKRKHGKRKRDRRREKRRRKRDRKSKKKSKRTVESGSETGDTSNSSSEDDRRKRHRRGRKSKSTAQVSAVAALKDATSMQEKIATPRSLAQEDKSQQENGEMRTNGVTDSKTERNADNVPVLTGTRSKSRSQSISANHSMSKSMSVSPRSPINKSNISPKRLVSPSPVRQSFSRSPVRAPKRNESRSPPQQRNMSMSPHRGSPSKIPPGSASRSPVARRSRSPVSRRSRSPVEAQTRSISRSSARSLQRRNLSRSLDRTHVQKSVSPSPAPMDKGRSISRTSARSPLQRGVSRSPERPSRKTISRSPRRNTRRNFSRSPVGLPRRSLTPVRGGRSRRNGSRSPSPPRRAVSPPNHGRSPSRSGSPDGSKRVRRGRGFTQRYSYARQYRSPSADRSYRYGGRGDRERYMSYRGNRYRSPPKRYRSPPRGRTSPRYRRRSRSISRSPPVYRDRGRGGGYSRSPVRSRSPPAGRPRSRGDRARSISRSRSLSGSRSRSPPPVEDRSPLASPSPKRPSNEVSRSLSVSPEGNKGLVSYGDGSPDSAGK* >Brasy4G343200.1.p pacid=40088075 transcript=Brasy4G343200.1 locus=Brasy4G343200 ID=Brasy4G343200.1.v1.1 annot-version=v1.1 MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKVHEKLYIGLSGLATDAQTLYQRLVFKHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPIIAGLGDNDQPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPDMEPEELFETISQALLSSVDRDCLSGWGGYVLVVTPTEVQERVLKGRMD* >Brasy4G316600.1.p pacid=40088076 transcript=Brasy4G316600.1 locus=Brasy4G316600 ID=Brasy4G316600.1.v1.1 annot-version=v1.1 MAGLEELKKKLQPLLFDDPEKDGVSTRVPFPEDACDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSTGQMSRIL >Brasy4G219800.1.p pacid=40088077 transcript=Brasy4G219800.1 locus=Brasy4G219800 ID=Brasy4G219800.1.v1.1 annot-version=v1.1 MNHHQGQHGYGANQVDEYGNPVARHGATGGMGGTYDAAGAFTGTGGQGQVQQFQPTRGEHKTGGILHRSGSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMAAGTGGAYGQHTAAGTGGAYGQHTAAGTGGAYGQQQGYGGMTGTGAGAHGVDTGEKKGLMDKIKEKLPGQH* >Brasy4G005100.1.p pacid=40088078 transcript=Brasy4G005100.1 locus=Brasy4G005100 ID=Brasy4G005100.1.v1.1 annot-version=v1.1 MVARHTPLPLLEALLRWREGCCSPLSHSESPKGAHDASTYQKKLAVECIFCSACIRFAEFCPQEGITEKLWIGLENFVFDWLINADRVVSQIDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDSPLARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVSRIRVQLMYWMDKQSKHTAVGFPLVTLLLCLGDSHTFNTNFSQHLEILYKYLKDKNHRSMALDCLHRLVKFYVNVYADYQPRNHVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVSLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNRQIGLDVFQVYGLGHYIPKVKSAIESILRSCSKAYSLALLTSSKSTIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHVTSIDPVVREEAVLVLNRIVRYLPNRRFAVLKGMANFILKLPDEFPILILNSLGRLVELMRLWRGCLSEELLVKDMQNPKRSSLGGELQRSPFHRPKDISEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPTSVQDARLEVVRRLEQITPADLGGKAQQSQDNETKLDQWLIYATFACSCPPDNKEFALKAAKDIFHSIFPSLRHGSEGYALAATAALGHSHLEVCEIMFGELASFLEDVSSETEGKPKWKNPRSRREDLRTHVANIYRMIAEKIWPGMLIRKPVLRLHFIRFIEETYRQINMSSSDSFQELQPLRYALASVLRYLAPEFVDAKSERFDHRIRKRLFDVLLNWSDDSGSTWGQEGSSDYRRELERYKATQHNRSRESLDKLSFDREMAEQMEAINWASINAIASLLYGPCFDDNARKMSGRVISWINSLFVEPTLRAPFGHSPVDPRTPSYSKHTDGGRFGGKDKQKASHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDASIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEELVRPGKVDTSANVVLEFSQGPTTSQVASIVDSQPHMSPLLVRGSLDAAIRNVSGNLSWRTSTVTGRSVSGPLSPLAPEVTSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDDILHQGGSGLHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVENSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCVHRCLGNPVPAVLGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDELDVNGYISDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTREASTFGSASPLQEQNQKAYYVASNISGWCRVKSLDVLAEVFRAYSYGEIISLEELFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQADDLGFGENGHGMGEKVLERMLLPQSSFKARSGPLQYAAGSGFGSPMAQGGGSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANVG* >Brasy4G005100.2.p pacid=40088079 transcript=Brasy4G005100.2 locus=Brasy4G005100 ID=Brasy4G005100.2.v1.1 annot-version=v1.1 MVARHTPLPLLEALLRWREGESPKGAHDASTYQKKLAVECIFCSACIRFAEFCPQEGITEKLWIGLENFVFDWLINADRVVSQIDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDSPLARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVSRIRVQLMYWMDKQSKHTAVGFPLVTLLLCLGDSHTFNTNFSQHLEILYKYLKDKNHRSMALDCLHRLVKFYVNVYADYQPRNHVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVSLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNRQIGLDVFQVYGLGHYIPKVKSAIESILRSCSKAYSLALLTSSKSTIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHVTSIDPVVREEAVLVLNRIVRYLPNRRFAVLKGMANFILKLPDEFPILILNSLGRLVELMRLWRGCLSEELLVKDMQNPKRSSLGGELQRSPFHRPKDISEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPTSVQDARLEVVRRLEQITPADLGGKAQQSQDNETKLDQWLIYATFACSCPPDNKEFALKAAKDIFHSIFPSLRHGSEGYALAATAALGHSHLEVCEIMFGELASFLEDVSSETEGKPKWKNPRSRREDLRTHVANIYRMIAEKIWPGMLIRKPVLRLHFIRFIEETYRQINMSSSDSFQELQPLRYALASVLRYLAPEFVDAKSERFDHRIRKRLFDVLLNWSDDSGSTWGQEGSSDYRRELERYKATQHNRSRESLDKLSFDREMAEQMEAINWASINAIASLLYGPCFDDNARKMSGRVISWINSLFVEPTLRAPFGHSPVDPRTPSYSKHTDGGRFGGKDKQKASHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDASIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEELVRPGKVDTSANVVLEFSQGPTTSQVASIVDSQPHMSPLLVRGSLDAAIRNVSGNLSWRTSTVTGRSVSGPLSPLAPEVTSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDDILHQGGSGLHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVENSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCVHRCLGNPVPAVLGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDELDVNGYISDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTREASTFGSASPLQEQNQKAYYVASNISGWCRVKSLDVLAEVFRAYSYGEIISLEELFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQADDLGFGENGHGMGEKVLERMLLPQSSFKARSGPLQYAAGSGFGSPMAQGGGSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANVG* >Brasy4G005100.3.p pacid=40088080 transcript=Brasy4G005100.3 locus=Brasy4G005100 ID=Brasy4G005100.3.v1.1 annot-version=v1.1 MVARHTPLPLLEALLRWREGESPKGAHDASTYQKKLAVECIFCSACIRFAEFCPQEGITEKLWIGLENFVFDWLINADRVVSQIDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDSPLARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVSRIRVQLMYWMDKQSKHTAVGFPLVTLLLCLGDSHTFNTNFSQHLEILYKYLKDKNHRSMALDCLHRLVKFYVNVYADYQPRNHVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVSLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNRQIGLDVFQVYGLGHYIPKVKSAIESILRSCSKAYSLALLTSSKSTIDEFPILILNSLGRLVELMRLWRGCLSEELLVKDMQNPKRSSLGGELQRSPFHRPKDISEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPTSVQDARLEVVRRLEQITPADLGGKAQQSQDNETKLDQWLIYATFACSCPPDNKEFALKAAKDIFHSIFPSLRHGSEGYALAATAALGHSHLEVCEIMFGELASFLEDVSSETEGKPKWKNPRSRREDLRTHVANIYRMIAEKIWPGMLIRKPVLRLHFIRFIEETYRQINMSSSDSFQELQPLRYALASVLRYLAPEFVDAKSERFDHRIRKRLFDVLLNWSDDSGSTWGQEGSSDYRRELERYKATQHNRSRESLDKLSFDREMAEQMEAINWASINAIASLLYGPCFDDNARKMSGRVISWINSLFVEPTLRAPFGHSPVDPRTPSYSKHTDGGRFGGKDKQKASHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDASIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEELVRPGKVDTSANVVLEFSQGPTTSQVASIVDSQPHMSPLLVRGSLDAAIRNVSGNLSWRTSTVTGRSVSGPLSPLAPEVTSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDDILHQGGSGLHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVENSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCVHRCLGNPVPAVLGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDELDVNGYISDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTREASTFGSASPLQEQNQKAYYVASNISGWCRVKSLDVLAEVFRAYSYGEIISLEELFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQADDLGFGENGHGMGEKVLERMLLPQSSFKARSGPLQYAAGSGFGSPMAQGGGSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANVG* >Brasy4G378300.1.p pacid=40088081 transcript=Brasy4G378300.1 locus=Brasy4G378300 ID=Brasy4G378300.1.v1.1 annot-version=v1.1 MTNSRKFHASYARKQDAGATPLATSCAHGVAPPAHPVHMNDQLCNSMLTSGAQST* >Brasy4G370300.1.p pacid=40088082 transcript=Brasy4G370300.1 locus=Brasy4G370300 ID=Brasy4G370300.1.v1.1 annot-version=v1.1 MDDFLLVAFSLALALTVWYFIIRDNKKTKTKKLPLPPGPRGWPVLGNLPQLGAAPHRTMRALAAEHGPLFRLRFGSAEVVVAASAPVAAQFLRGHDANFGDRPPNSGAEHVAYNYRDLVFAPYGARWRALRKLLALHLFSARAIDALRGVRELEVALMVRDLFVSSSAPAPGSGVAVGQEANVCATNALARAAVGRRVFFSGSGDGGGADSREFKEMVVELMQLAGVFNLGDFIPALRWLDPQGVVAKMKRLHRRYDDMMNGFIKERDHAAGDEQGKDLLSVMLGKMRELGDDNNGGEEGRFTEVDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLRQLQQELDAVVGKDRLVSESDLPRLAFLAAVIKETFRLHPSTPLSLPRLAAEECEVDGYRVPKGTTLLVNVWAIARDPASWADPLEFRPARFLPGGSHEGVDVKGGDYELIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWALVTPFDFSRFGIHDMSLVTEREQFWGRRDSVWGREIAQGRSQVAVISQDNDYNGRDRPK* >Brasy4G221200.1.p pacid=40088083 transcript=Brasy4G221200.1 locus=Brasy4G221200 ID=Brasy4G221200.1.v1.1 annot-version=v1.1 MVASLDNVRGLTLAMSSSAFIGSSFVIKKIGLKKAGDSGVRAGSGGHSYLYEPLWWLGMITMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKERKIDSVKEIWHLATQPGFIVYSCLAVVGVLFLIFWAVKRSGHRKMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFISVVLVCCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTILANMIMYKDWVSQSATQIATQLCGFVTIVAGTFLLHKTNTSNTDSRHVRSAPTPSPPLPPPPPQICIEE* >Brasy4G332500.1.p pacid=40088084 transcript=Brasy4G332500.1 locus=Brasy4G332500 ID=Brasy4G332500.1.v1.1 annot-version=v1.1 MEGGGRKRGGTSGASTGGKGARESESFQTGVGIKSKPCTKFFSTAGCPFGEGCHFLHHFPGGHQAVAKMSNLGGPTFAHPPGRMPIGSAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKHTFIDSSIPPHMGPRPTSHFAPPAMPNPGMVTPASFGASATAKISVDGSLAGAIIGRGGTNTKQISRATGAKLAIRDHESDDSLKNIELEGTFDQIKNASAMVTDLIVSISGNNAPPPAKNPAAVSHRGGGPGGNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSGAAASSISMTSARGQEGNDDGARNRSRMRIKYSRDFLLAVGGSEACRRGDGGPAAGEDEYRDRR* >Brasy4G271900.1.p pacid=40088085 transcript=Brasy4G271900.1 locus=Brasy4G271900 ID=Brasy4G271900.1.v1.1 annot-version=v1.1 MGNCIQGSHGDGDGGVPGGEHQGLAQMQARKRSGEVEDHQEEEVSAAASVLKVKMVLTKGELEWLMAQLKAGDRRLEDVLRDMARKRDHHARSGNGGWRPSLDSILECPSAETAPAGAD* >Brasy4G416900.1.p pacid=40088086 transcript=Brasy4G416900.1 locus=Brasy4G416900 ID=Brasy4G416900.1.v1.1 annot-version=v1.1 MAVLQRAVVLWLLLVANVAATSVASEVKVPAIFVFGDSTADVGNNNYLPGSSARADFPHNGVDLPGSEPTGRFSNGLIGADFLAVDMGFSGSPPPYLSLVASSGGEAMISKTKKTIAAALASMRGANFASGGSGVLDSTGATINMTKQIEYFSELKGQMSARLSSDRSSAMLSKSIFLISAGANDAFDFFSQNRSPDSTALQQFCEAVISTYDSHVKTLYNLGARKFAVINVPLIGCCPYWRSQNPTGECIEPLNQLAKRLNDGIRDLFSDLSSQMQGMNYSIASSYELVSSLIENPHAAGFTEVKSACCGGGKFNAEEGCTPNSSYCSDRSKFLFWDLMHPTQATSKLAGSAFYNGPARFVGPITFRQLAEA* >Brasy4G098100.1.p pacid=40088087 transcript=Brasy4G098100.1 locus=Brasy4G098100 ID=Brasy4G098100.1.v1.1 annot-version=v1.1 MDGRGRRGRVGDGIGRHGAGRGFLRSCAGAVSGMFKRDTDQRERMFFLFLQAEEDGEILWCVSVQHSVQNVSPIFVLMSYGVSQSY* >Brasy4G379900.1.p pacid=40088088 transcript=Brasy4G379900.1 locus=Brasy4G379900 ID=Brasy4G379900.1.v1.1 annot-version=v1.1 MLNAERKCRLFNAAGIPPVRLLLDKSSTCRFVRLQIDCGICPDNLLKLKSSTTRTSN* >Brasy4G002000.1.p pacid=40088089 transcript=Brasy4G002000.1 locus=Brasy4G002000 ID=Brasy4G002000.1.v1.1 annot-version=v1.1 MKALVSGQPALLFQRPRASAATFASSSSSPQWPSLSLETTLSRNLAKGSLLCRRRLTVHPPHSIPRYASNQPQDDDGTSSSSLAKDALLELHPVGVGSELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVAIFNILSKIFNIPLLSIATSFVAEDISKNASKHSNSGKLELPSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMHKSAQLFLSLRALGAPPNVIMLAVQGIFRGFKDTKTPVIYIGLGNLSAVVLLPLLIYGFQLGITGAAISTVASQYIIAILLVWSLSKRAVLLPPRMDQLDFSGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAILDYKRVQKIAMFALQIGVVSGLALAAGLYASFGNIARLFTSDPEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYIAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMAAGFLRLLWKSGPWSFLHEERKNEFQVQPFA* >Brasy4G002000.2.p pacid=40088090 transcript=Brasy4G002000.2 locus=Brasy4G002000 ID=Brasy4G002000.2.v1.1 annot-version=v1.1 MKALVSGQPALLFQRPRASAATFASSSSSPQWPSLSLETTLSRNLAKGSLLCRRRLTVHPPHSIPRYASNQPQDDDGTSSSSLAKDALLELHPVGVGSELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVAIFNILSKIFNIPLLSIATSFVAEDISKNASKHSNSGKLELPSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMHKSAQLFLSLRALGAPPNVIMLAVQGIFRGFKDTKTPVIYIGLGNLSAVVLLPLLIYGFQLGITGAAISTVASQYIIAILLVWSLSKRAVLLPPRMDQLDFSGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAILDYKRVQKIAMFALQIGVVSGLALAAGLYASFGNIARLFTSDPEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYIAQATIVVGIMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMAAGFLRLLWKSGPWSFLHEERKNEVQPFA* >Brasy4G421100.1.p pacid=40088091 transcript=Brasy4G421100.1 locus=Brasy4G421100 ID=Brasy4G421100.1.v1.1 annot-version=v1.1 MDRVRGSVLLLGVLLAGSLFAFSAAKEEAKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNREGKPYIQVKIKDGENKVFSPEEISAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQSAEKEDYEEKLKEVEAVCNPIVSAVYQRSGGAPGGEDGAGGVDDEEHDEL* >Brasy4G103700.1.p pacid=40088092 transcript=Brasy4G103700.1 locus=Brasy4G103700 ID=Brasy4G103700.1.v1.1 annot-version=v1.1 MHPHQTASVLPFFRLPQRANYGKMPPRLLPSQNLLPSPDPNHLSAPPAPLFSPSQPPYLPPSPPLQFLALPTQAPPLPCAATAVPHLRRPASPGRRRQARPIPAAMRPRRRQVPISTAGYGWRRSLTSGLDRLMKVEISGVCISRPRMARH* >Brasy4G263900.1.p pacid=40088093 transcript=Brasy4G263900.1 locus=Brasy4G263900 ID=Brasy4G263900.1.v1.1 annot-version=v1.1 MASLFAPRPLPAHLRRLPAVSLPSVALRHLLSPLPCHARNLLDVLPLRDGSPGGVANGVTPRTEEGAAGSPIVSCTAAQGDVTREAEASVSSGHGRNRTRRWRRGDSRLVLGEGTGREVKERGGPARTDESEGRMRDRRWTRGGMRVQESGKDGNSSPRGEDRGGNLKRGKKLKSSEQGVMLRVALDMCSKRGDVIGAINLYDSAVQEGIRMGQHHYNVLLYLCSSAALGIVQPAKSGSASKLDPDSVEISGHLEDSDVPEGHVQNQEGNETILFPSVQIASRIPISDEIREYARTRGFEIFEKMCSEKERVAMSEAALTAVARMATSMGNGDMAFEIVKQMKELDITPKLRSYGPALTAFCDSGNVEKAFEVEAHMLESGVTPEEPELEMLLRASVQAKRGDRVYYLLHKFRNTVRQVSPSTAEQIEAWFRSSTASKVGKRKWDACALAKEIENHGGGWHGLGWLGRGKWTITRSHIDKNGVCLACGDKLAIIDLDPKETEDFATLVATLALKRERTSNFDNFQKWLDDHGPFEAVMDAANVGLFSHRHLSVSKVNAVADAIQKRFPSRKWPLIVVHNRHLTGKHMKNPAYHKLVEKWKRADVIYETPTGSNDDWYWLYAAIRWKCLIITNDEMRDHTFQLLEKDFFPKWKERHQVRFSFGDNCVTFEMPPPCSVVIQESEKGHWHIPLSEESLLEEERTWLCVTRSSSQALYNEAGVNSDL* >Brasy4G263900.2.p pacid=40088094 transcript=Brasy4G263900.2 locus=Brasy4G263900 ID=Brasy4G263900.2.v1.1 annot-version=v1.1 MASLFAPRPLPAHLRRLPAVSLPSVALRHLLSPLPCHARNLLDVLPLRDGSPGGVANGVTPRTEEGAAGSPIVSCTAAQGDVTREAEASVSSGHGRNRTRRWRRGDSRLVLGEGTGREVKERGGPARTDESEGRMRDRRWTRGGMRVQESGKDGNSSPRGEDRGGNLKRGKKLKSSEQGVMLRVALDMCSKRGDVIGAINLYDSAVQEGIRMGQHHYNVLLYLCSSAALGIVQPAKSGSASKLDPDSVEISGHLEDSDVPEGHVQNQEGNETILFPSVQIASRIPISDEIREYARTRGFEIFEKMCSEKERVAMSEAALTAVARMATSMGNGDMAFEIVKQMKELDITPKLRSYGPALTAFCDSGNVEKAFEVEAHMLESGVTPEEPELEMLLRASVQAKRGDRVYYLLHKFRNTVRQVSPSTAEQIEAWFRSSTASKVGKRKWDACALAKEIENHGGGWHGLGWLGRGKWTITRSHIDKNGVCLACGDKLAIIDLDPKETEDFATLVATLALKRERTSNFDNFQKWLDDHGPFEAVMDAANVGLFSHRHLSVSKVNAVADAIQKRFPSRKWPLIVVHNRHLTGKHMKNPAYHKLVEKWKRADVIYETPTGSNDDWYWLYAAIRWKCLIITNDEMRDHTFQLLEKDFFPKWKERHQESEKGHWHIPLSEESLLEEERTWLCVTRSSSQALYNEAGVNSDL* >Brasy4G263900.3.p pacid=40088095 transcript=Brasy4G263900.3 locus=Brasy4G263900 ID=Brasy4G263900.3.v1.1 annot-version=v1.1 MASLFAPRPLPAHLRRLPAVSLPSVALRHLLSPLPCHARNLLDVLPLRDGSPGGVANGVTPRTEEGAAGSPIVSCTAAQGDVTREAEASVSSGHGRNRTRRWRRGDSRLVLGEGTGREVKERGGPARTDESEGRMRDRRWTRGGMRVQESGKDGNSSPRGEDRGGNLKRGKKLKSSEQGVMLRVALDMCSKRGDVIGAINLYDSAVQEGIRMGQHHYNVLLYLCSSAALGIVQPAKSGSASKLDPDSVEISGHLEDSDVPEGHVQNQEGNETILFPSVQIASRIPISDEIREYARTRGFEIFEKMCSEKERVAMSEAALTAVARMATSMGNGDMAFEIVKQMKELDITPKLRSYGPALTAFCDSGNVEKAFEVEAHMLESGVTPEEPELEMLLRASVQAKRGDRVYYLLHKFRNTVRQVSPSTAEQIEAWFRSSTASKVGKRKWDACALAKEIENHGGGWHGLGWLGRGKWTITRSHIDKNGVCLACGDKLAIIDLDPKETEDFATLVATLALKRERTSNFDNFQKWLDDHGPFEAVMDAANVGLFSHRHLSVSKVNAVADAIQKRFPSRKWPLIVVHNRHLTGKHMKNPAYHKLVEKWKRADVIYETPTGSNDDCSGTGYMLLSDGNA* >Brasy4G160700.1.p pacid=40088096 transcript=Brasy4G160700.1 locus=Brasy4G160700 ID=Brasy4G160700.1.v1.1 annot-version=v1.1 MARRSGPLLRRLLSPSPSSPLAGAIFRRTVTYMPRPGDGAPRTVTLIPGDGIGPLVTDAVRQVMEAMHAPVCFETYEVHGDMPSVPAEVIESIHRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIAVIRENTEGEYSGLEHEVVPGVVESLKVMTKFCSERIAKYAFEYAYLNNRKKVSAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVSNVAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNDNIVQQKKANPVALFLSSAMMLRHLQFPSFADRLESAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIAKLN* >Brasy4G209000.1.p pacid=40088097 transcript=Brasy4G209000.1 locus=Brasy4G209000 ID=Brasy4G209000.1.v1.1 annot-version=v1.1 MEMEGHSLRAASCVDGGKRRVSYYYDPGIANVDYGEGHVMVPRRVAMTHALVSSYGLLPGMQRLRTTPATAADLRAFHGASYVELLRSVTPEAYRLNADQAKTRAEEFGVGRVKTQENGDSYDNPVIDGLWDYCLRYAGGSLAAARALACGASDVAINWSGGMHHACRAKASGFCYVNDIVLAILALLARFRRVLYVDIDVHHGDGVEHRFRGESRVMTVSFHRYDGDFFPRTGKVADVGEGEGLYHALNVPMRAGMDDESYLNGLFRPIMAKVMEVFAPDAVVMQCGADSLSGDALGGFHLSVKGHAACVGFLRSFNVPLLLLGGGGYTINHVASCWCYETAVAVGKEKEIPDDIPYHGYEHYYKDQGYKLHYAVEKKGGGRNKDAASAGVEKIKQDALRNLSMLRPPPTLLLEKPAGGEDINVNALYDDDDDYYGNSKRRREEDDPMERLHRLCGVEDLKDFFTGIGRRKKIGQL* >Brasy4G292300.1.p pacid=40088098 transcript=Brasy4G292300.1 locus=Brasy4G292300 ID=Brasy4G292300.1.v1.1 annot-version=v1.1 MPMLRATGGSGEVPPTIRSRGGEGEPACERQRWPHAGEGGEQGHRREAARRRERQKRRRREGDGFRRRRRGRWPEERRRGEEEGEGGGRRRSEEAWEIEEVYFFTCVGGGRRGRERTHDRPTYVPN* >Brasy4G366200.1.p pacid=40088099 transcript=Brasy4G366200.1 locus=Brasy4G366200 ID=Brasy4G366200.1.v1.1 annot-version=v1.1 MVLCKSESAACRFSHEGEDSNQSSQTLSRIIDSVPFVGKLQVATAEVDAGPVEKEEEKHLELSRILFVLIKRY* >Brasy4G321500.1.p pacid=40088100 transcript=Brasy4G321500.1 locus=Brasy4G321500 ID=Brasy4G321500.1.v1.1 annot-version=v1.1 MVQIFLQIPSVLFRKTGARRYKPAPEHSIDMGLFVSTRDVTMRSFVGLLWNPESEKDLTIISRSIRKGFDHHV* >Brasy4G218400.1.p pacid=40088101 transcript=Brasy4G218400.1 locus=Brasy4G218400 ID=Brasy4G218400.1.v1.1 annot-version=v1.1 MAAMWSGKGGCTWSRDEGAKRRRTTVDRGVGGGAFDELPDELVVSILADVAATANSPADLAAATLTCKRFRELGQHKLVLARASPRCVAVRAKGWSDDAHRFLLRCSDAGNTDASYLLGMILYYCAGDRPAGTELLAQAALQGHAEALYSMAIIQFNGSGGSKDSRNLLVAAHLCAHAAQRGHTDALRELGHCVSDGYGVPRSVSTGRRLLVQANFNEIMRAALRAGAGGQGEQRPSHECLLSDFGCHHVAAGRARAANGANEFLAEWFATRPAAVGEAAAGAGLRLCSQPACGRPETRKNEFRRCSACGAVVYCSRACQALHWRAGHRTECANVQAGAAGNANANAQDGAAAAGSNANAA >Brasy4G310800.1.p pacid=40088102 transcript=Brasy4G310800.1 locus=Brasy4G310800 ID=Brasy4G310800.1.v1.1 annot-version=v1.1 MGLCFSKKNQEKPPPPAKQPSAEASTTKSKKKPGKVADEKAKKITPQPRRAAKAEEEPTAAAAGKKASVVVKSKAGAGVEEEKKKEDARPAVVVAKGPVPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASSETGTGNRRRSGSKRSCDFDQERKGGGAEEDCDWEGQGVPVSRPSPHRSSPQRKRSGSRDRTGGGSRRSSRSPGRRGEGGAPSSGAASSGAARQQPGKMVSVPAREKGRAPSPAVVAGKGCASPRSSSPARVAMTAGNENAGAGQKTAGPTPSLSRSSSRKAEKSPHRRNPMAEIDENSLRNNNSTNANPQKVAVAKPTERVKEKKAEIAEETMVAASETRAPSSKTTVTRTATENLNPRSSSRSRRPSRDFDQSPNLYATQVLDDIQSYHHASGTPPPSFSLPACVSKARSIVEAVANLNFSSSESRACERSHDKGSVNAPAGRDDDVDLVETSVHRYVSVRDIRGRGETELQESAGSNSLSGNPWTPSCESTDRTWSTSRSSNNGDEVVDQDSGRHGARSPLNRPRQSKQRPAAQPEPSGRSRAAGSSGVNAHRGRSSAHRGSGSGSVASGRSVARGVSAGS* >Brasy4G010000.1.p pacid=40088103 transcript=Brasy4G010000.1 locus=Brasy4G010000 ID=Brasy4G010000.1.v1.1 annot-version=v1.1 MDNGGTNGHPAPDAWNFAPSEALLGLTAMSVRAVLGRVKAGMEDGPSAADSRPVIPLGHGDPSAFPCFRTAPEAVSAVASALHSGCYNSYAAGVGLEPARRSVARYLSADLPYELSPDDVFLTGGCSQAIEIVFSALARPGTNVLLPRPGYLFHEARAKFNGMETRYFDLFPDRGWEVDLEALEALADGNTVAMVIVNPGNPCGNVYTYEHLAKVAETARKLGIFVIADEVYAHLTFGKNRFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFHKTKLLDSIKSYLDISSDPATFVQGAIPELLENTKQEFFEKTIDILGQTADICWEKLKGVSGITCPSKPEGSMFVMVKLDLSILHDIKDDMDFCCQLAKEELVVVLPGCAVGYKNWVRVTFAIGPSSLEDGLDRLKSFCLRHSKPKK* >Brasy4G322600.1.p pacid=40088104 transcript=Brasy4G322600.1 locus=Brasy4G322600 ID=Brasy4G322600.1.v1.1 annot-version=v1.1 MDSTLCATVFFFLLLLVPLLALTQTARRSGRNPARRKNLPLPGPAALPIVGHLHLLEKPLHRTLARLAARHGAVFRLRFGSRLVAVVSSAAAAEECLGGAQDVAFANRPRLPSGRILSYDWSTMGTASYGPYWRHVRRVAVTELMTAHRVEQFAGVHLREARSMARALFRAAETGMARVELKSRLFELLMNAMMGMICDRAYYGAGGDGGVSEEARWFREMVEETMALSGASTVWDFLPAPLRWLDVGGVGRRLWRLRESRTKFLQGLIDEQRKKKKNKGEEGQRTMIGVLLSVQSKDPEACPDELIRSLCISSLEAGTSTSAETIEWAMSLLLNNPEAMLKARDEIDACCCRMAGGEPPQLLEAADLPKLNYLRCVIMETLRLYPPAPLLVPHESSADCAVAGFHVPRGTMLLVNTFAIHRDPGLWDDPASFVPERFEDGGDGGNRMVIPFGMGRRRCPAEHLGMQMVGLGLGTMIQCFDWERVGEELVDMAEGSGLTMPKLVPLEAFYKPRSSMLHLLSEI* >Brasy4G256100.1.p pacid=40088105 transcript=Brasy4G256100.1 locus=Brasy4G256100 ID=Brasy4G256100.1.v1.1 annot-version=v1.1 MGKMRSLFSRSGSSRRSSSSSKSPPSSTRGAESPARAATAPPPRPEDEMERVFRKFDANGDGRISRSELAALFESVGHAATDDEVARMMEEADADGDGYISLAEFAAINATPAGDAAAVEEDLRHAFRVFDADGNGAISPAELARVLRGLGEAATVAQCRRMIEGVDRNGDGLVSFDEFKLMMAGGGGGFGFGKIGA* >Brasy4G327300.1.p pacid=40088106 transcript=Brasy4G327300.1 locus=Brasy4G327300 ID=Brasy4G327300.1.v1.1 annot-version=v1.1 MVGRAMSLLPRQLGQDQQRGRGAEAKLRRLRRLLVRLESAVDAAGARRITCRALLAWLADLAGAAHRGRYLIDAASRGDDDGGGGGHADDAGKQVMSRSFSLPSSFSAAKRLRVAAGRLLSGDGHELDAVLADLEGFAGDITEFVMLLRCCPPALHRPLLATGIYADSHMFGRHAERRRPELGVLPVVGRAGLGKTTLVQHACEEPAVRARFSLVVVLDFHCMILMPAPGDTALFLRSLFAGTPSDAEQQLRLQLLEHKLRGERFLAVLDNVDARRRRLLDSVLPALHRGGRRGSKVVVTSSDAVHVSGLGTAEQIVLRPLPPEEYWFFLRRTRSKTATLTWTRGWRRQGRLSPRSCGCAAPSSAGRSSARSSGPGRTRGIDDLPCGLGNGGYIAAAAGNLLPPHVTVQGVSVSGSPLRGLVGLQDASLSSSPPTPADDGGRRRPELPVLLCKSVFPSYCLYYTAHCTIDTEINQ* >Brasy4G009800.1.p pacid=40088107 transcript=Brasy4G009800.1 locus=Brasy4G009800 ID=Brasy4G009800.1.v1.1 annot-version=v1.1 MELEGRGPHTPQLSRNLEMNVNEGTNQELLSIEPPPGFKSICTEQSFLDGEHVDEQPIHIGSSECTMNNTPLRSCSVVVFRKAPQRPHFRPLEKHAPELREGIAIGLKIGYANLVDSVKNSSIEDSIASFEGKISALTILEENGFEVKSLRHILNKLLEAKLDHRKHVGHLDKLKELVPRKESTVSQKHALLNEKERTEFQLQQKLDSIRREAEQIARERENQDAELLRLKAEVNATQEACSDGELRFHRILAEVRSRLQLSDKCSFRLTCAQAGP* >Brasy4G259300.1.p pacid=40088108 transcript=Brasy4G259300.1 locus=Brasy4G259300 ID=Brasy4G259300.1.v1.1 annot-version=v1.1 MSTKSQKQQHVLVQMAVSAMATPCTIKLLLFAAPLALGFALGIVATLSLVSSTASSGGALPGAALQLFFPPTDAINLSAAAGLPPQHPPESPVVQAGLTTRAQSPPPPPVDTRGIIISSIASGNKTAAAAPVIEKERLATVDVVSAGVRDDDDEELMARAAAAPREAVGARPKVAFLFLTKWDLPMAPLWEKFFRGHGGRYSVYVHTDPAFNGGPDDDESSAFYRRRIPSKEVKWGHISMVEAERRLLAHALLDPSNARFVLLSESHVPLFDFPTVHSYLVNSTASFVESYDEPGAAGRGRYKRGMGSAGIALRHWRKGSQWFELGRALAAEVVSDGVYFPAFKRFCKRNCYADEHYLPTLLNVRNRTAACAGRSVTWVDWSHGGPHPSRFTRMEVTVDFLRWLRNGGGRTGCEYNGRNTTVCFLFARKFLPNSLTRFMRFAPKVMGFG* >Brasy4G043200.1.p pacid=40088109 transcript=Brasy4G043200.1 locus=Brasy4G043200 ID=Brasy4G043200.1.v1.1 annot-version=v1.1 MAVVLALLLIMSSSPAASAGHHDYGDALHKSILFFEGQRSGRLAPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKDEARKAVRWATDYFLKATARPNTVYVQVGDASRDHACWERPEDMDTPRTVYRVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSRRLLDRAVSVFKFADKYRGAYSSSLRAAVCPCYCDYDGYQDELLWAAAWLHKASRKREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNANNFMCTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTSLSFLLLAYSNYLSHAGTQVTCSGGSSAPPAKLRRVAKRQVDYILGDNPLRMSYMVGYGPRFPHRIHHRASSIPSVAAHPGKIGCKAGAAYYASAGPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD* >Brasy4G139700.1.p pacid=40088110 transcript=Brasy4G139700.1 locus=Brasy4G139700 ID=Brasy4G139700.1.v1.1 annot-version=v1.1 MVSADGARNIVGIIGNVISFGLFLSPVPTFWRIIKAKDVEEFKVDPYVATLLNCMLWVFYGIPIVHPNSILVVTINGIGLLIEGTYLVIYFMYSSNKKRLRLMAMLGVEAVFMAAVICGVLLGAHTHEKRSMIVGILCVIFGAIMYASPLTVMGKVIKTKSVEYMPLPLSVVNFLNGCCWTAYALIKFDLYVTIPNGLGAIFGLCQLILYGCYYKSTPKKEKNVELPTVVNNNTVAGGNASVTVER* >Brasy4G316800.1.p pacid=40088111 transcript=Brasy4G316800.1 locus=Brasy4G316800 ID=Brasy4G316800.1.v1.1 annot-version=v1.1 MSSPNNTGATRIPFHDISNTNVTGRDTLSMSSPNNTGTTRRPFQDISNANPEGNTPSLDPKELKSQRNKEYYARNRDAILRRRREALKKKQALAAMLKDTEITPHTPIAKSQVETHGEHTPVQDIGTNHSSDPNELKRQRERERYSENRDDILKRKRLAYSEINGQRRRCLPPINKLDERRRQLRSFRGTLWILDQEATVTI* >Brasy4G031700.1.p pacid=40088112 transcript=Brasy4G031700.1 locus=Brasy4G031700 ID=Brasy4G031700.1.v1.1 annot-version=v1.1 MAASDADAPLSFPVISMEKLETEERGAAMEVIRDACENWGFFELLNHGISHELMDEVERVTKAHYANCRKHKFEEFAARTLEAGEKGADVKDVDWESTFFVRHLPASNLADLPDLDDHYRRVMKEFASEIEKLAERLLDLLCENLGLEQGYLKKAFAGSGGLPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGVILLFQDDQVSGLQLLKDGRWVDVPPMRHAIVVNVGDQLEVITNGRYKSVMHRVRTRADGNRMSIASFYNPGADAVIFPAKELVGRAGVEGVGEKGEKEDEVYPRFVFEDYMDLYVRHKFEAKEPRFQAMKSSAAVVVDAPIATA* >Brasy4G080200.1.p pacid=40088113 transcript=Brasy4G080200.1 locus=Brasy4G080200 ID=Brasy4G080200.1.v1.1 annot-version=v1.1 MCRGRPVGPQRARPGRAWCRAWRLRSRAGPIASLFPIVIAHVLLSFRRPRWEPRLGASAEQVREAERLMRAISGRYDDCFLSLRDATADLADLRLERLRLRAENVHLSLLEDLEADQRRRLACPVVVTLPLKPAEEVAAGGGAPKSISIRSKS* >Brasy4G314900.1.p pacid=40088114 transcript=Brasy4G314900.1 locus=Brasy4G314900 ID=Brasy4G314900.1.v1.1 annot-version=v1.1 MKVQCDVCAADAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPSPPASSASLPPPPLCDICQEKRGFLFCKEDRAILCRECDVQVHAVSDLTMRHTRFLLTGVRISSEPAASPAPPSDQEENNNVGCCSGDNAATSHGCGSSTSSSISEYLTKTLPGWHVEDFLVDDATAASSSSTGISAHGSYSYQGEIRDSGMHEAGYPSWMAQQRPFCDSVDVGTLESWVPQMYAEPAGSKRSRQFFFK* >Brasy4G292700.1.p pacid=40088115 transcript=Brasy4G292700.1 locus=Brasy4G292700 ID=Brasy4G292700.1.v1.1 annot-version=v1.1 MARDEALPPKATDKMKGKQSSVVAQNKNELPPPLAPAAGGGSSPDLHERLCDRLQKLKMKQEHISQLEHLVSSGEGLNKKQDKCLKSKDIVDAIVKELEGLLNPIDKQGNKSLAVDPGVGDAAESSSRRRKKRYQIRRQLVSSEELSNALTFATSITKADDEHTEKMFKEFLTGCKFNVDAKSICTGLVKSMIDCGSGTMEIKYSYLKSYNKDLAMKMCRASSTLVTRLKSCAKSFAHSVWETDCKASSMKEMERIENLLKQFRLVIDGMPKSHKTLPEFMKLPMMKELDYNGRPLYSPTADANMMVNGFSWEGEFDAHNIEVYDDTKFTITAPTRHSFDPRAKVSEEVAGWFLKDFTAAWNCFGDQFYNAGAAHFRSLKFVLTATQSAVFCNPEALEVFREVVIHHGAAKPPIARANFLSGVHSCCRAYDLGDEDAPFKNTLHNIHYPGDWKLDVQRSNHPVMKQVLSHSDGNINDVPEAGSQYIARDGKKFTSRSSFMRFLATGQDGTADETYGAYVDYMRHLFVHGTDHTKEFINFQQLVCSDGTTLYTATNNGSPREQYIHNLEVLEILGAQSCEGGMTEFIWAFLEDNAMTGMLRPFWEIYKNCGYLPINILCIIYFALVLGSH* >Brasy4G256300.1.p pacid=40088116 transcript=Brasy4G256300.1 locus=Brasy4G256300 ID=Brasy4G256300.1.v1.1 annot-version=v1.1 MRRGEQRLWVDLTCRSGGVARRSLPEQRRGVDEHVGVGAEAPAGDISRGAGGGSGRRRLSRGGGSGGKHQSSSERPSVISAKQSCFPPCPHVCTCGGAVNSCVRSIRTDGGSIGARHAVPCQRQGSGSHPGPMNRDST* >Brasy4G412900.1.p pacid=40088117 transcript=Brasy4G412900.1 locus=Brasy4G412900 ID=Brasy4G412900.1.v1.1 annot-version=v1.1 MEDWDAEDFQPVAPIVEPKLKSNWDDEDVEEDDVKESWEEEEEEKPKPQPVEKPAPKPSAKSAAKKGKQPSTSTEVVEDEVLDDPAKEKLRQQRLVEEADFKSTAELFAKKDGSEKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTSLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKGEEDFVARPGASYDDPDEFDFM* >Brasy4G434900.1.p pacid=40088118 transcript=Brasy4G434900.1 locus=Brasy4G434900 ID=Brasy4G434900.1.v1.1 annot-version=v1.1 MNKACRKREMRKKSPRVAQLWATRAATTQPGNSPSQSSPPPRRRRPSPPGKARAVTAPAGLFFHPAGSPGSHGGGGSRACSSQWRRLRPDPAGSPSSPARSGLLPRGSGVSSSFPGGWWWWTELSGDVHHGGGRAGKGWPAMARAAPGHGLPARVGRIRSSPRRTADLPRPGIFGKRRHGRAHLLLTFGRRSPLKKKRETRREKRKRRTRDQGGHARMDGPACRPSVPDTSNGQGGPPFVLSMTSPFSLDSS* >Brasy4G052400.1.p pacid=40088119 transcript=Brasy4G052400.1 locus=Brasy4G052400 ID=Brasy4G052400.1.v1.1 annot-version=v1.1 MEIIPILRSLTCVVVVMTVTKINMAKAERISREAKEELERMRRDQLAKTVVDSPSKGTN* >Brasy4G078900.1.p pacid=40088120 transcript=Brasy4G078900.1 locus=Brasy4G078900 ID=Brasy4G078900.1.v1.1 annot-version=v1.1 MREGDMIVESGHGRAQRIRRAHHRRARRRIEDSERIEHGGEHLLLHQSYHGVFIRDFVQIIRVTRNKMDYTTRNNVRNNTSVCAFLGVLFAKEQSSGGAQHVGSKSPLCSNVCSL* >Brasy4G261600.1.p pacid=40088121 transcript=Brasy4G261600.1 locus=Brasy4G261600 ID=Brasy4G261600.1.v1.1 annot-version=v1.1 MVLVAESLHAALFGLSASMCFGDGVDAARVRGMADGMEDLIRSLVGLRVFAAFPALAELVYRERWNKLVALRRRQDEMYLPLIHARRGRRGRSGEPLAYVDTLIDLMVPNDDYANADEDFGGDSAPPKRRLTDGELVGLCAELLGAGTEPATAAPQWTMANLVKHLDVQDAVRAEISSVVGADADEVAEEDLGRLKYLNAVLMESLRLHPSVPSVPRQVIPEDHVVLDGARVPSGTTVQFPLDLLARDRTAWSDPEEFRPGRFVGGGEGEGVSLVAAAGSAGEIRMMPFGAGRRMCPGMGVAVLHLGYFVANLVREFQWTEAEGELAVDLEPHVGFLSVMKRPLRARLLALRRREGQIN* >Brasy4G261600.2.p pacid=40088122 transcript=Brasy4G261600.2 locus=Brasy4G261600 ID=Brasy4G261600.2.v1.1 annot-version=v1.1 MVLVAESLHAALFGLSASMCFGDGVDAARVRGMADGMEDLIRSLVGLRVFAAFPALAELVYRERWNKLVALRRRQDEMYLPLIHARRGRRGRSGEPLAYVDTLIDLMVPNDDYANADEDFGGDSAPPKRRLTDGELVGLCAELLGAGTEPATAAPQWTMANLVKHLDVQDAVRAEISSVVGADADEVAEEDLGRLKYLNAVLMESLRLHPSVPSVPRQVIPEDHVVLDGARVPSGTTVQFPLDLLARDRTAWSDPEEFRPGRFVGGGEGEGVSLVAAAGSAGEIRMMPFGAGRRMCPGMGVAVLHLGYFVANLVREFQWTEAEGELAVDLEPHVGFLSVMKRPLRARLLALRRREGQIN* >Brasy4G397900.1.p pacid=40088123 transcript=Brasy4G397900.1 locus=Brasy4G397900 ID=Brasy4G397900.1.v1.1 annot-version=v1.1 MSPARRRRLLPSACRAAAAHLAQPTLLFSPRAADASSPPPAAPPRLVLPSRRRRPPPRTDPAGPALLLPHVPRREPSPVGSAPPRPPPRAPAHELHCASPWPPLAPEQKEEPEASSSRRLPPRAPPSCHTVLLCKIISVHTVLL* >Brasy4G198500.1.p pacid=40088124 transcript=Brasy4G198500.1 locus=Brasy4G198500 ID=Brasy4G198500.1.v1.1 annot-version=v1.1 MGVTSFFYILLSLWVQDAAAIIDFTRCHFAQDFIFGAGISAYQYEGAVAEDGRSPSGWDTFTHSGKMPDKSTGDIAADGYHKYKEDIKLIYETGLEAYRFSISWSRLIPNGRGACNFLGLDYYNNIIDELVKHGIQIHITLHHIDLPQILEDEYGGWLSPRIIEDFTAYADVCFREFGDRVKYWTTVNEPNIGAIASYGIGHLPPGRCSDPFGITKCTVGNSSTEPYIAAEQKGVTGINIYSYWSYPFTNSTVDLEATQRSKDFMFGWILEPLVSGDYPEVMKNIVGSRLPSFTMVQSGFIKDSFDFFGINHYYSFYVSDRPMETGVRDFYGDMSISYRGMGSPNDSLNDTYRVDCLSSYMGSTLDAIRNGVNVRGYFAWAFMDLFELWAGYQSKYGLYRVDFDDMRRPRQARLSARWYSGFLKKNGSSLLISRTQEDLTLNTVS* >Brasy4G318700.1.p pacid=40088125 transcript=Brasy4G318700.1 locus=Brasy4G318700 ID=Brasy4G318700.1.v1.1 annot-version=v1.1 MANKPHVVVVPHPSFGHVSPALQLSQMLHHHGVFVTFVSTEHNHRSAQAAADSLSSRRDGFRFEVIPDGLLDADRHGAGSTVGYDAALSKSTSKRCAAPLRELVARLQDGSKPGVTVPPVTCVLPTALMSFALDVARELGVPSMVLWVCASASLLCQMNLRQLRERGYLPLKDESCLTNGYLEKTIIDWIPGMPPICLGDVSSFVRTTDPDDFSLWFNDTEANGCTKAGALILNTFDALDPDSLAALRAEFPRIYSIGPLGLLLRHVVHGDSTDPPVDLSQWKRDTRLTEFAWGLAETGRPFLWVVREDLVSGGQGLGLAALPPEFLSKTAEQGYVTTWCPQERVLHHRAVGCFLTHNGGNSTLEALAAGVPMLCWPAFADGYTNCKYACEVWGVGLRIDAEVRREQVAGRIRSVMDSKGIRACAAACKAEAERHAGRRTRTCWTC* >Brasy4G268300.1.p pacid=40088126 transcript=Brasy4G268300.1 locus=Brasy4G268300 ID=Brasy4G268300.1.v1.1 annot-version=v1.1 MEEEHYGNNWDLHAVVRFGCRRALSPPPPRAEPIVPPREKKKAEVLPLEKQGPDAGWCFPDLGASDRAGFGEDANELLKAFFAPPPPPPTLKPLPTQQQQQKQEMLQPLMIPAEAQAPLRSPTAAAPARAQPSGRQASGAVPRSKRRKNQQKKVVRHVAADGVSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPNTFILTFTGEHNHAAPTHRNSLAGTSRNKFPSPPSSSSAPQPPPPSLLAAAGAHPSPPSTTSTAGLSPTTPLRTTSMEEDDDEEEDELLVEDMEMAGEDDLLFLSGADSEDGATPMSSLFDVDDDPFLGSPWNGNGAAAGAGS* >Brasy4G281500.1.p pacid=40088127 transcript=Brasy4G281500.1 locus=Brasy4G281500 ID=Brasy4G281500.1.v1.1 annot-version=v1.1 MAGAGDEAVKLLGMWASPFVLRVQLALRLKGVSYEYVEEDLKNKSELLLKSNPVHNRVPVLIHGGKPVCESSVILQYIDEAFAGVGPSLLPADPHDRAVARFWAAYIEDTLLKASSQASRGKTEEEKAEGKKQAAAAAVTLEGALRECSKGKAFFGGDSPGYVDIVLGGLLPWALAGDRLTGATTFDPATTPLLAAWAGSFGALEAVEAVMPDVGKLVEFAMAMHARAAAEAAGKTD* >Brasy4G394400.1.p pacid=40088128 transcript=Brasy4G394400.1 locus=Brasy4G394400 ID=Brasy4G394400.1.v1.1 annot-version=v1.1 MIPLAPPPRRLLLQPFLLLLLLVATAAQEGDSSSQATARFAAPASWPFPSPQLRAAYAALQQWKQTGIFSDPSNFTANWLGPNVCAYNGVYCAPSPTIPGYPMVVAGIDLNHADIAGYIPASLPLGVPELALLHLNSNRFCGIIPSTFRALRLLHELDLSNNRFVGAFPDPVLALPSLKYLDLRFNDFEGSIPEKLFSRPLDAIFLNSNRLRHPIPANLGDSPASVINLAHNNLGGCIPPSIGKMAGTLNEIVLIDDALEGCVPVEVGLLKKVTVFDVSGNKLQGGIPAAVGGMAAVEQLDFAGNLFQGGVPVGVCGLAGLKNFTYADNFITSRPGCAQAMADGAWNCIPGAPAQRPAAQCAAAVAKGPFDCSKAQCQSSSSPLPPSGGTTPAYPSPPKGSGGGSTTPSYPSPPKGSGGGGSGSGTPSYPAPPKGGSTTPSYPTPPSSASTPSYHAPPQGPTTNPSSPPKGSTTTPSYPTPPSSSSTPSSPPKGSTTPPSSSSTPSSPPKGSTTPPSGSSTPSYPTPPSSSSTPSYHLPPTPPSGSSTPSYPTPPSSSSTPSYHSPPTPPSGSTTTPSAGHAPPPPTSSNKPGSHSAAPPGSSYGPTPSTPPSSSSSPPPGSYGHTPSTSTPPSSSSSSPPPSSGGYQHQPSPPTEYPGYALPPHAPGTPAAGGSPPSHTHPGTPSSPSGPATPTSPEHCAPPSKGGATGGHPPSSGGNLPFPPVYGVAYGSPPPPAKPYN* >Brasy4G257200.1.p pacid=40088129 transcript=Brasy4G257200.1 locus=Brasy4G257200 ID=Brasy4G257200.1.v1.1 annot-version=v1.1 MVPMHRLFAFADRLDAALMAVGSVAALAEGLAMPFLAFLVGGLVDAFGDPDRANVAHAVSKVAVRFVYLAIASGVAGFLQVSSWMVTGERQAARIRGLYLETILRQDISFFDMETSTGEVIERMSSDTALIQDAIGEKVGKFLQLVSTFLGGFIIAFARGWLLSLVMLTSIPPVVACAAVMALVLSKLSNRSQMAYAEAGKVVEQTIGSIRTVVSFTGERRAIDKYKEFLKISYRSAVHQGVAVGLGVGSLLFIVFSSYGLAVWYGAKLIIEKGYTGGYIINVLMALMTGAMALGQSSPCLAAFASGRIAAHKMFATIYRKPEIDASDSSGLILENFVGDVELKDVKFSYPARPEQMIFNGFSISIPTGKTVALVGESGSGKSTVISLLQRFYDPQSGEVLLDGVNLKQLNLSWIRQKMGLVSQEPILFTTTIRENIEYGKKGASEEEIRRATVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKNPSILLLDEATSALDAESERVVQDALNNIMVNRTTIVVAHRLSTVKNADMISVLHRGQLVEQGPHAELIKDSSGAYSQLLRLQEVNMKCKGDDLKRLQSASDTANSLSLHSSTKASFERPMNRTSPQGRSRMNSQTISLDEHETKEIDDPKSGKNVLTRLLYLHKPETPILLLGCTAAAANGSILPVFGMLLSSAINTFYEPPERLRKDSVFWAEMYVTLGVISILVIPLQYSLFNMAGGKLIERIRAISFGRIVYQEIGWFDDPLNSSGAIGSRLSGDAASIKTIAGDVLSLIVQSISTAVVGIIIAMIANWKLAFIVLCFLPCVIAQSYAQTRLMRGFGADSKEVYEQASTIASDAISNIRTVASFCAEENIIKSYRKKCEGPVSKGVRQGAISGVGYGFSFALLFCFYALSFYVGARFVHNGTAEVGQVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAVSIFRIIDRKSKIDASSEVGTSLGMVQGNIELQHVSFKYPSRTDVQIFTDLCLRIPSGKTVALVGESGSGKSTVIALLERFYDPDSGAIFLDGVNLQTLKLSWLRQQIGLVGQEPVLFNDTIRANIAYGNKEQVTEEEIIAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKNPKLLLLDEATSALDAESERVVQEALDRVTVGRTTVVVAHRLSTITAAHKISVIKNGVVAEEGRHEQLLCLPGGAYASLVALQSSSS* >Brasy4G108300.1.p pacid=40088130 transcript=Brasy4G108300.1 locus=Brasy4G108300 ID=Brasy4G108300.1.v1.1 annot-version=v1.1 MRILQHSRRHLDFDDIEMDDVFTHTRWSVCVRVVVKFHPNSSGDNMRFILMDKTGSKIEAIVAGNEEVNRFKQILESGKNYAIHNVSFQPNAEDILFRNIRHTFECAFDRKTKVVRCTMAIPFPLYPKEFTPYPEVRNRPNKTFVDLVGIVVYFGDLEIVGRYPYAEQYREVIFMDLRGKLMTVGIKGGYLIQHSYRWSTAGANKPIVIATMLCKNKKFGCLDTSEHTTIAWNPDHPAATALQEIRQKVLREEIDLKFVRSYLEQRWAYLATVVKATKPARRPFLL* >Brasy4G226900.1.p pacid=40088131 transcript=Brasy4G226900.1 locus=Brasy4G226900 ID=Brasy4G226900.1.v1.1 annot-version=v1.1 MATAMDRHWRRLAASNTPPTSSSRLPVPPGPIETEYSGLTHPPAMAMAHLIGANYYHLITVAPCAMKRPGLLPALASKQLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAQEAALAYDGAAFRLRGDAARLNFPGLRPPPPLHPSVHTKLDAAMASAANEPTADVSTTGSSSSSSSGQAAPLSEEMQMLDFSEAPWDEADGFALRKYPSWEIDWDAILS* >Brasy4G005200.1.p pacid=40088132 transcript=Brasy4G005200.1 locus=Brasy4G005200 ID=Brasy4G005200.1.v1.1 annot-version=v1.1 MLPARAIISPLLLLLFLHHLAPPATGAVDEAEALLALKSALDISNRLPWRPDTASTLCATWPGIRQCGHGGRVTKLVLENLNLTGTLTAALLSPFPELRVLSLKDNALTGPVPAGLPAALPNLKLLHLSGNRLTGEIPPDLASLRRATVLVLSGNRLTGEIPAELADAPPRLTALLLDGNLLAGPVPAFSQRNLRVLDVSGNRLSGRVPPVLAARFNASSFAGNGGGLCGPPLPTLCDGAAAPPMSPARAAFSPLPPPGGGSSKSSRRRKKAAIVAGSTVAGAVLLGVLAAAVIMASRRGRGSKQRVAGDEGHNNKGEAIPSSSEQPAASAPLPPPPAAAAPPAREFSWEREGGMGKLVFCGGGGVATAMYSLEELLRASAETLGRGEAGSTYKAVMETGFIVTVKRMRCGGGDGHGDAAGFGRRAEELGRVRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLIHGSRPSSKGKPLHWTSCMKIAEDIAAGLLHLHTHSPSSAGIGIVHGNLKPSNVLLGPDFESCLTDYGLVPALHSPSSADAAAASLLYRAPETTNAGGGLFTAASDVYSFGVLLLELLTGRAPFQDLLQADDIPAWVRASRDEETSATTESNGGDSSAASNGVGGAEEKLGALVGVAAACVAADPGSRPAMAEVLRMVREARAEAMSSSNSSDRSPARWSDAVVSLPPPQPRDHGADSFADRD* >Brasy4G242600.1.p pacid=40088133 transcript=Brasy4G242600.1 locus=Brasy4G242600 ID=Brasy4G242600.1.v1.1 annot-version=v1.1 MARSKRDSPVVVGSGDAVEDEEELVAAAAAATEEDEEEKGEEGEEEEEWKEEEDDEEEEEEEEEWVQEEEEEEEEEADEAPAEDSVPVAAAEQGSPPVLAEGYYEIETIRRRRLRKGQLQYLVKWRGWPESANTWEPFENLKACSDFVDAFEKRQQNGKRKRKAMTTPVIGPNPSHGKRGRPRRSDPRSLPRTPAPVPKRLPLRTSSRRATNNSNKNSVGGHDASVSVVGQQMLGQNVTQEGNSNILSVGSASQRAPLSVVVDQQDEPLVVNHDLSKVENSVQAPPSQAGQVTGAKKRKSGSVRRFKQDEATQEQGELHNGKREKPGNEDVDSTEGETGDRNKGEDRANRIHITKIIKPVRYFATMTNDVQQVSITFKALRSDGQEVLVDDKELKANNPLVLINYYEQHLRYNPTS* >Brasy4G328200.1.p pacid=40088134 transcript=Brasy4G328200.1 locus=Brasy4G328200 ID=Brasy4G328200.1.v1.1 annot-version=v1.1 MSGVVLDLHDGGADVVFCVVILCLSALSMVFFAASSSGDGKRKRRSEGNGPVFVGGRGCGCGGCRAGAGVCGTYLS* >Brasy4G410800.1.p pacid=40088135 transcript=Brasy4G410800.1 locus=Brasy4G410800 ID=Brasy4G410800.1.v1.1 annot-version=v1.1 MFTAKVRSDVMVYYGQSGKSRPGLVLGQIPRLQYSTIICYHILFGYSNIIHFPGLLFCIDPIEGMVVLLIFYIFPFQIGTSNSLTGKFFILTYWCIKANL* >Brasy4G410800.2.p pacid=40088136 transcript=Brasy4G410800.2 locus=Brasy4G410800 ID=Brasy4G410800.2.v1.1 annot-version=v1.1 MFTAKVRSDVMVYYGQSGKSRPGLVLGQIPRLQYSTIICYHILFGYSNIIHFPGLLFCIDPIEGMVVLLIFYIFPFQIGTSNSLTGKFFILTYWCIKANL* >Brasy4G055600.1.p pacid=40088137 transcript=Brasy4G055600.1 locus=Brasy4G055600 ID=Brasy4G055600.1.v1.1 annot-version=v1.1 MEEQLVAASAHGAMAAGGALLLPLVLLLLFLLHDCWLSPALKRRRLRLAGFRGPAPSFPLGNLPEIAATMATAAPPPSADMFSSDIHGGVFPYFARCRGSFGKVFVYWLGTEPFVYVSDPGFLRSAAGGALGKLWGKPDVFRRDRMPMFGKGLVMAEGDLWARHRHVISPAFSSTNLNDMVGLMEETTEKMLSEWSALAASSSAVVDVERGVARNAAEIIAKASFGITTDSNDGVGARKLQAMQTMLFKSNRLVGVPFARLLHLRKTFEAWKLGREIDALLLHIIHRRRHSHDTTSKKRKDLLSLLLLAGNNGNGKQQERRMMTGREMVDECKTFFFGGHETTALAVSWTLLMLAAHPHWQDGLRQELLDVIPTNAGQLGKQTKMGWVLNEVLRLYPPSPNVQRQALHDIPIAATNSPKTTVIIPRGTNMWVDVVAMHRGEELWGADAREFRPERWAAAAAGGGCRDRMGYLPFGFGGRVCVGRNLTGMEYRVVLAMVLRRFRLAVAPEYRHQPRVMLSLRPANGIQLILTPLPAAASNNNTSTD* >Brasy4G180800.1.p pacid=40088138 transcript=Brasy4G180800.1 locus=Brasy4G180800 ID=Brasy4G180800.1.v1.1 annot-version=v1.1 MSGLLRWRRLAAAATRAASTLTAVECSPVTAAAAPPHRLLQGRRKWEGSSSSSGGGSSSSSSSSTDDTEPRRIRAEAHCPRCSKHMDILFSHRAPPPSAPAGAGGYQALNLCPNCRTAYFFRPNILAPLQGTFVEIGRVRADFPPDGVRVRDPSFWEAIRASSSSRDDGDGSGVAVHVPPGPPFHPNLNVVRVAGGGGGGGAGGGGGEEGGAKDGWGGSNLGKDFPTPKEISKGLDKYVIGQERAKKVLSVAVYNHYKRIYHQSVQKGSGADLGSSDGEADGDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRASMRTGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFRKLFSMNNVKLHFTDSALRIIAKKAMCKNTGARGLRTILENILMDSMYEIPDTKSGEKRIDAVVVDEDAVGSVDRPGCGAKILYGDGSFDHYLSQIKAMGDGAGSEADGEADLSSSRAMSM* >Brasy4G180800.2.p pacid=40088139 transcript=Brasy4G180800.2 locus=Brasy4G180800 ID=Brasy4G180800.2.v1.1 annot-version=v1.1 MSGLLRWRRLAAAATRAASTLTAVECSPVTAAAAPPHRLLQGRRKWEGSSSSSGGGSSSSSSSSTDDTEPRRIRAEAHCPRCSKHMDILFSHRAPPPSAPAGAGGYQALNLCPNCRTAYFFRPNILAPLQGTFVEIGRVRADFPPDGVRVRDPSFWEAIRASSSSRDDGDGSGVAVHVPPGPPFHPNLNVVRVAGGGGGGGAGGGGGEEGGAKDGWGGSNLGKDFPTPKEISKGLDKYVIGQERAKKVLSVAVYNHYKRIYHQSVQKGSGADLGSSDGEADGDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRASMRTGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVKLHFTDSALRIIAKKAMCKNTGARGLRTILENILMDSMYEIPDTKSGEKRIDAVVVDEDAVGSVDRPGCGAKILYGDGSFDHYLSQIKAMGDGAGSEADGEADLSSSRAMSM* >Brasy4G019100.1.p pacid=40088140 transcript=Brasy4G019100.1 locus=Brasy4G019100 ID=Brasy4G019100.1.v1.1 annot-version=v1.1 MATLSVPAAVPPVAEDCEQLRKAFQGWGTNERLIISILAHRDAAQRRAIRAAYAEQYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACARTPAQLFAARQAYHDRFKRSLEEDVAAHATGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKINDGAYGDDEIIRILTTRSKAQLLATFNSYNDQFSHPITKDLKADPKDEFQATLRAIIRCFTCPDRYFEKIIRLALGGVGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLEKAVSKDTTRDYEDMLLALLGAEY* >Brasy4G229400.1.p pacid=40088141 transcript=Brasy4G229400.1 locus=Brasy4G229400 ID=Brasy4G229400.1.v1.1 annot-version=v1.1 MSSSSGASVGQQQPPPPPPPAAPPEEGACWLEKKNLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLWLGIRRASRTQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRACPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWASSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAGLHDDSNALMWLRGVAGDGGYQSLNFQSPGIGPWGQQRLHPSLMSTDHDQYQAVVAAAAASQSGGYMKQQFLNLQQPMQSPQEHCNLNPLLQQQILQQASQQQTVSAENQNIQTMLNSSAIQHQLQQIQQMQQAHIDQKQKTQPDQTYQVPTSSALPSPTSLPSHLREKFGFSDPHVNSSTFTTSSSSDNMLESSFLQGSSKAVDLSRFNQPALNEQQQQQQQQQQAWKQKFMGSPSLSFGGSVLLNSPTSKDGSLENKIGPDGQNQSLFSPQVDSSSLLYNMVPNMTSNVADNNMSTIPSGSTYLQNPMYGCLDDSSGIFQNTGENDPTSRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIRGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKLGKQGNDPRYLS* >Brasy4G317100.1.p pacid=40088142 transcript=Brasy4G317100.1 locus=Brasy4G317100 ID=Brasy4G317100.1.v1.1 annot-version=v1.1 MEEEIRTEFESSGFSIGGAGPGDSAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKVESSYLDGFLTHLQNEVKDKLIKEETDLHVYSSNDIDMLLSTTLTEEEGFLDTPSTKLEKSHREPSNSELTPLTTDRPSSSRVAKTNGDRITPFAQRVNKFTQHYVLNADDMANVPSEPEVETSEDELIRRVQPRQRCTLQVQRSQPEPGCRFMYDRMEDRFNYLEDRIRSSASLLSATGLCGEPADATLASEENMFAVGMVICDGEGRLNEKSILLQGSVEHSRGQRVRLDLKDINEFSLFPGQVVGIEGHNPSGHCFVVSKLIDSIPVPVDDQLPCSKKQAVDDEGHQNSNTQSRVLSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFHDIFHFEILRKLQDFTQYLGHSVRVILIPSVRDAHHDNVFPQPAFDLNLPEDITHQITCLANPSIFSSNEIQFGCCTTDILKQLSGDEISRKPPGGKPADRIGRLAKHILKQQSYYPLYPPAAGVPMDFSLAKKALDISSPPDVLLLPSDLAPFVKVLSLKEGTEEQKRFVCVNPGRLAKGIGGGTFVELYYNEDTHKTNAFIMRI* >Brasy4G093500.1.p pacid=40088143 transcript=Brasy4G093500.1 locus=Brasy4G093500 ID=Brasy4G093500.1.v1.1 annot-version=v1.1 MADFAIGLTRKAVEVTLGRVTTALEEEAEVKERVAKDLAFITGEFQMMQSFLGVVTNGDDDDQVLRTWVRQLRDLAFDVEDWVELVVHLDDDPWAWCRRVVAPLPPCLTQLVLPPRPLDEAADEIELLKARVQEVSQRHTRYKLIITSSSSSDKPAAGASVVPAPPFTILREAWETAAECGLRLGLHDLITGTDADPGVISAWSSSAAGGPGAALVQGILIRAYGDPKVCQQGFKRRAWVKLQTDHPNFVDRHDFCKSLLAQFYPDDADHDDQIDLQRLNYKEKYLVILEQVSSVAQWDAIRKCLPPDTSNGSRIVVSTAQLGVALYCTGEPHTVADLSWSSDGQSLCAIFTNKNKKNGEQTARSASFRQEKVRDEKEHILGRASERESLERRLQGKKVAFVSVWGIAGVGKSTLVRSIYHSQEEKKSFAMFSWVDVPAKFHFTDLSWHLLLDFHSNNSDNKEAAAIGIMEGRDPVQECCKILRQHRCLVVIDGLRSTHDWDLIKHAFSSVMHTNKSCIVVISNEKNVAEHVIRDIAGSQVLVIKCLPADVSRTIFTEVPPKCIKGLAPGDGMDRLTDRAIAISGGLPKVIATLGRELESATSDWHAHKILDNYRRIDSLRGVFSWMQSYFDACSDSVKPCIFYLSVFPMNQDIWRRRLVTRWIAEGYSRDRFGGTAEDWDISGGRSDGATADENGEKYFSDLIALSIIQQFTTSNVCQINGFFHGYITSRPMEDNLVCALEGRCKLNSQRTGQHLTIRSNWDRDMKVFQSMDFTRLRSLTVFGKWESFFISDQMERLRVLDLEDADGVEDRHLEKMVKQLTRLKFLSLRGCKRINRLPGSLGGMRQLQTLDVRHTPIAILPPEICKLAMLQYIRAGNTKPWYEGDGMVPIQPRACEDWKTTPLQEGDGSRGVEVPPGIGNLAALHTLGVINVSRLLELEGLKKLTQLHRLKVSGIKRGNIKQFFCAISGHRHLESLSVRLEENMQPGILETVAPPPKTLRNLKLYGEHAHNLKLWIKLFQSVKFWDIEMVVTTGEDLQPLDELLVNKKKVLRRLCVKLVQDNLHLDLCLPDFFAGGQNAGGGRMSSDSSSSAQNQGQMSGGGGGQAAVGVSPASSGNSRLGGEGVEATAPKICYKCELQGHTVKECKTVLFCDVCAKDSHLTSKCVLPSQVKPTAQLVGCAADGLQMFYAPVPKKVTVDKKTAIALISVHSGELTEEQLVGAFNTMFQWGWTWAAKAYAPGSFLMKFPSVQKIVEMNQYTNFGLIGTGAEVIVSRWSPENMAHLKLTTVWVKVSGVPDSLLTFHGFCIVGSLVGTVLEIDMGAYRRKDEIRILVSVMDHTKIPVWTPLTVENFMYRIYFQVLNVVELGGPLVGGIPMKRLPAGGGASSNVREARENKRQKGDGGPSDATFGDIGLNDRLDVNSEPEATIGMQDMVLSSQPDANTKSAADTVGSVGALNLLSCEQARMAALDQLNKKVAADAIMSENVECTESDSSGGFVSPLRDANGEVLSTQAVNDMIDKDFEEDDTEHIIPICTQEQPVLPLHDPKPAYVDVVKSGQKAPIVDTPPAMHKKTKGRKLGIVDDGNRRHSNRNAKDEVPALDKAMERAKHKNLDIGKGMTSSTLPPTVLSTDNTTLLDIANKLGVCLGDSALIVDNNLNVIKELEAARTVLFVSSTKRSNDLNMEANIKSSSFDPETLEDLVSSSEDEQEEDFLELFESRFPSTSGKKAKAGFTGVFSVKPKVVGRRKKKS* >Brasy4G261300.1.p pacid=40088144 transcript=Brasy4G261300.1 locus=Brasy4G261300 ID=Brasy4G261300.1.v1.1 annot-version=v1.1 MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEENVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFLHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYREHKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLSDREGYQFDHVFDWTLLKCKQTQKVKAQQQDPGVTSRQIPMNMDKHQVGSSRAAEASGQLEAEQRPAIRIQIRSTAENSRSNNRHSDKLRVGTSTDNALLQSTSFGHADAPRKNMSVSKTQGFVDPHNHGTNSPGPSNNL* >Brasy4G197500.1.p pacid=40088145 transcript=Brasy4G197500.1 locus=Brasy4G197500 ID=Brasy4G197500.1.v1.1 annot-version=v1.1 MDLSNGSPVITDPMAMGQPLMGVLPSNMMPFSVMPGSYSSPAGAGVNVSRRKIEEVLVNGLLEAMKSSSPRKKHNLVFGQENLPEEDPAYSAWMATCPSALASFKQIVAGAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKHFPAAIVSGRSRKKVLEFVKLKELCYAGSHGMDIMTSSANYEHNTEKGKEANLFQPAREFLPMIDEVSKSLLEVISGIEGASVENNKFCLSVHYRNVDEKDWELVARLVNEVLEAFPGLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLSDSESVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKETQAFYSLRDPSEVMEFLNSLVRWKKHSV* >Brasy4G369600.1.p pacid=40088146 transcript=Brasy4G369600.1 locus=Brasy4G369600 ID=Brasy4G369600.1.v1.1 annot-version=v1.1 MGSLKYCSSAVIFVVSVVLLLNAGVALCGCYQRIFAFGDSIIDTGNFAYITGKNPFPIKQLPYGITFFKRPTGRISDGRIILDFYALGLPLLPPSLPQESTGQFPTGANFAVFGSTALPPTYFMSRYNVTFNPPADLDEQLASFTKVLARIAPGDSARKALLSKSLVVLGEIGGNDYNFWFLGDPKNSRDTPDKYLPDVISRIGSAVQEVINLGAKTILVPGNFPIGCVPAYLAAKQSSDPADYDEHGCLAWYNGFSQRHNAALRKEVAGLRPQNPGVKIVYADYYGAALRFVASPRRYGIGDPLVACCGGGGKYHTGKPCNGSATVWGDPAGFASWDGIHMTEKAYGIIASGVLDGSFADAPLRSCDLQAVRY* >Brasy4G256700.1.p pacid=40088147 transcript=Brasy4G256700.1 locus=Brasy4G256700 ID=Brasy4G256700.1.v1.1 annot-version=v1.1 MAEQFADSANNVIIEEANKGLNPGMIVLLVVATFLLLFFVGNYALYLYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE* >Brasy4G256700.2.p pacid=40088148 transcript=Brasy4G256700.2 locus=Brasy4G256700 ID=Brasy4G256700.2.v1.1 annot-version=v1.1 MAEQFADSANNVIIEEANKGLNPGMIVLLVVATFLLLFFVGNYALYLYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE* >Brasy4G300700.1.p pacid=40088149 transcript=Brasy4G300700.1 locus=Brasy4G300700 ID=Brasy4G300700.1.v1.1 annot-version=v1.1 MLGMGEAEAAPLLLAAREDGATTTGRACGGGATWAQTLGNVVVSIVGTGVLGLPYAFRSAGWFAGSLGVAAAGCATLYCMLLLVFNLKVDCRDKLEEEETEEPCEVLYTYGDLGDRCFGTLGRCLTEILIFVSHAGGSVAYLIFIAQNLHSMFTQLMSPAGFIFAILLPVQIALSFVRSMSSLSPFSIVADACNVLAMAIVIKDDVQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVVCIIFVYACFGVCGYLAYGEATKDIITLNLPNTWSSSAVKVGLCLALAFTFPVMMHPIHEIVEMRIRSIGCFHKLSHNVHGAE* >Brasy4G300700.2.p pacid=40088150 transcript=Brasy4G300700.2 locus=Brasy4G300700 ID=Brasy4G300700.2.v1.1 annot-version=v1.1 MLGMGEAEAAPLLLAAREDGATTTGRACGGGATWAQTLGNVVVSIVGTGVLGLPYAFRSAGWFAGSLGVAAAGCATLYCMLLLVDCRDKLEEEETEEPCEVLYTYGDLGDRCFGTLGRCLTEILIFVSHAGGSVAYLIFIAQNLHSMFTQLMSPAGFIFAILLPVQIALSFVRSMSSLSPFSIVADACNVLAMAIVIKDDVQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALEASMAERRKFRWVLSQAVVCIIFVYACFGVCGYLAYGEATKDIITLNLPNTWSSSAVKVGLCLALAFTFPVMMHPIHEIVEMRIRSIGCFHKLSHNVHGAE* >Brasy4G034000.1.p pacid=40088151 transcript=Brasy4G034000.1 locus=Brasy4G034000 ID=Brasy4G034000.1.v1.1 annot-version=v1.1 MAKGGAPVRFYGSGTSAGSGEATTSNGTAASLINQTAVIHGKGAASHRRGPPGSNQTVQKSPTANNEDHSGSRAASGGSNSTMDMHKEGNATSQGSASLAKDHTSQAATAKSSNRSSVPVVRNGQKAKESANAGGQKQDHWFEAMGRCDMFHGNWVRDDSYPLYPEGSCPHVDEPFNCYLNGRPDKAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSIKDKRKVFEVSGNQKFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWETPARHGKSKETLRLDVIDHSSPRYKSADIIVFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVDDAYRRALNTWAKWVDANVNPKRTAVFFRGYSASHFSGGQWNSGGSCDKETEPIRNEHYLVPYPQKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRRQKLSEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQHQMIHQ* >Brasy4G034000.2.p pacid=40088152 transcript=Brasy4G034000.2 locus=Brasy4G034000 ID=Brasy4G034000.2.v1.1 annot-version=v1.1 MAKGGAPVRFYGSGTSAGSGEATTSNGTAASLINQTAVIHGKGAASHRRGPPGSNQTVQKSPTANNEDHSGSRAASGGSNSTMDMHKEGNATSQGSASLAKDHTSQAATAKSSNRSSVPVVRNGQKAKESANAGGQKQDHWFEAMGRCDMFHGNWVRDDSYPLYPEGSCPHVDEPFNCYLNGRPDKAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSIKDKRKVFEVSGNQKFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWETPARHGKSKETLRLDVIDHSSPRYKSADIIVFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVDDAYRRALNTWAKWVDANVNPKRTAVFFRGYSASHFSGGQWNSGGSCDKETEPIRNEHYLVPYPQKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRRQKLSEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQHQMIHQ* >Brasy4G028500.1.p pacid=40088153 transcript=Brasy4G028500.1 locus=Brasy4G028500 ID=Brasy4G028500.1.v1.1 annot-version=v1.1 MALSDLSRESLLPSFLYTSTAARSFAGASRFPSSSPQAPSVGGGGRKPFSIQAPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKTYSDMAGPENALKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFIKAEGAGGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKPFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATMGDAVKKIGVLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPAPPVEAAPVA* >Brasy4G104000.1.p pacid=40088154 transcript=Brasy4G104000.1 locus=Brasy4G104000 ID=Brasy4G104000.1.v1.1 annot-version=v1.1 MRLVQSQSVIDTSIIDASSDGRRPSGTVAEVYDGCFFLCQDLVKVGFENCSRELAEREPTRTWLPQWW* >Brasy4G023000.1.p pacid=40088155 transcript=Brasy4G023000.1 locus=Brasy4G023000 ID=Brasy4G023000.1.v1.1 annot-version=v1.1 MSTPRSSSSPDPIPHKYVTLATSLGMRDAEEDSDSPPSRMSEDDDCSGGGGGGWTPDLRGGGGRWAPPDQVLEIVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPHGWGAYVSPWFAALGPAYPRLERICLKRMTVSDDELALIPRSFPQFKELSLVCCDGFSTRGLAIIAEGCRHLRVLDLTEDYFHEEENEVVDWISKFPECNTSLESLVFDCVGVPFNFEALEALVARSPALRRLRVNDHVSIEQLRRLMARAPRLMHLGTGSFRSEPGSGGTSSVSELATSFAASRSLVCLSGFLDVNANYLPAIYPVCANLTSLNFSFASLTADEIIPVIYRCVSLRTFWVLDTVGDEGLQAVADTCSDLRELRVFPLDATEDSDGSVSDLGLQAISEGCRKLESILYFCQRMTNAAVISMSENCPNLVVFRLCIMGRHRPDWITGEPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFSYIGKYGKLIKTLSIAFAGNSDMSLQHVFEGCTRLQKLEVRDSPFGDNGLLSGMNYFYNMRFFWMNSCRLTAKGCRDVAQQMPNLVVEVMKEHPEDEGETDTVDKLYLYRSLAGPRNDAPSFVNIL* >Brasy4G023000.2.p pacid=40088156 transcript=Brasy4G023000.2 locus=Brasy4G023000 ID=Brasy4G023000.2.v1.1 annot-version=v1.1 MSTPRSSSSPDPIPHKYVTLATSLGMRDAEEDSDSPPSRMSEDDDCSGGGGGGWTPDLRGGGGRWAPPDQVLEIVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPHGWGAYVSPWFAALGPAYPRLERICLKRMTVSDDELALIPRSFPQFKELSLVCCDGFSTRGLAIIAEGCRHLRVLDLTEDYFHEEENEVVDWISKFPECNTSLESLVFDCVGVPFNFEALEALVARSPALRRLRVNDHVSIEQLRRLMARAPRLMHLGTGSFRSEPGSGGTSSVSELATSFAASRSLVCLSGFLDVNANYLPAIYPVCANLTSLNFSFASLTADEIIPVIYRCVSLRTFWVLDTVGDEGLQAVADTCSDLRELRVFPLDATEDSDGSVSDLGLQAISEGCRKLESILYFCQRMTNAAVISMSENCPNLVVFRLCIMGRHRPDWITGEPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFSYIGKYGKLIKTLSIAFAGNSDMSLQHVFEGCTRLQKLEANRKGLSRCSSANAKFGGGSNEGAS* >Brasy4G178100.1.p pacid=40088157 transcript=Brasy4G178100.1 locus=Brasy4G178100 ID=Brasy4G178100.1.v1.1 annot-version=v1.1 MSYLLSYISKMMCIKIPLSEAKQDVDGAGSGGECCVCLSRIRAGEATRRLPCRHAFHRDCVDRWLALCKRTCPLCRVYVVDANSRQAAAKHSGEPLADDLVIWFSTMLVPGF* >Brasy4G240700.1.p pacid=40088158 transcript=Brasy4G240700.1 locus=Brasy4G240700 ID=Brasy4G240700.1.v1.1 annot-version=v1.1 MDGLAAPSPSHSGATSGGVASHRKRKLPPPSLSDATGDEDDDTTAPSSPATSPSSPSRPSSPSSSHSDEDDDDSLTTFTAARLDAVPTSSSGRPPKPDSSSASAVAAAAAAAPKPDATSAAAGDGKEDSKGLFTDNIQTSGAYSAREEGLKREEDTGKLKFLCYCNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNNVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITFDKERWHGYIKDYDGGILMECKIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLKEAGWTPDQWGHSKSRSSFSLDYNTYRQQLTSLMRLLLKNLLDHADAWPFKEPVDSRDVPDYYEIIKDPIDLKTMSRRVESEQYYVTLEMFVADLKRMFVNARTYNSPDTIYFKCSTRLEAYFTSRIQAHLAQTSTKN* >Brasy4G240700.2.p pacid=40088159 transcript=Brasy4G240700.2 locus=Brasy4G240700 ID=Brasy4G240700.2.v1.1 annot-version=v1.1 MDGLAAPSPSHSGATSGGVASHRKRKLPPPSLSDATGDEDDDTTAPSSPATSPSSPSRPSSPSSSHSDEDDDDSLTTFTAARLDAVPTSSSGRPPKPDSSSASAVAAAAAAAPKPDATSAAAGDGKEDSKGLFTDNIQTSGAYSAREEGLKREEDTGKLKFLCYCNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNNVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITFDKERWHGYIKDYDGGILMECKIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLKEAGWTPDQWGHSKSRSSFSLDYNTYRQQLTSLMRICLIMLMLGRSKNQWIHVMFQIIMKLSRILSI* >Brasy4G109300.1.p pacid=40088160 transcript=Brasy4G109300.1 locus=Brasy4G109300 ID=Brasy4G109300.1.v1.1 annot-version=v1.1 MLSILAADYPEAYIGQKRDFLEALAKTASFTRTWVPFCRKHAVEPRFPEAYFGQKWDFLKNKVRLDFVRERWKVSRGS* >Brasy4G130400.1.p pacid=40088161 transcript=Brasy4G130400.1 locus=Brasy4G130400 ID=Brasy4G130400.1.v1.1 annot-version=v1.1 MRWHWAALLAAAALLAAGGGRVVAAEGAEEAYVTLLYGDEFVLGVRVLGKSIRDAGTRRDLVVLVSDGVSEYSRKLLEADGFIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFNCGKFCANLKHSERMNSGVMVVEPSETLFKDMMNKVDSLPSYTGGDQGFLNSYYADFANSRVYDPNKPSMPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQNVRQNLEESLPGTGGGKKPRDQLVVKILFILPFCMLLCGYYGSCFQTNKELLSIGTLCAFARQARHKYKSEEELPSYSTVGGASSSFGISNQKLSNGAHLKLPSYFGPITVLVCFIFALISLGSAFLVIPRQVMPWTGLLLMFEWTFVEFFVLFGSYLRFVYKWGSCSANHVGYSSLDSRENHAVTGHQWNTSDCDTDAAFYWTGMAVISTIALLSPAVLGITAIFAKLGLMVAGGAVLASFMTYASEHLAMSAFVKGQRDGRSKRAER* >Brasy4G130400.2.p pacid=40088162 transcript=Brasy4G130400.2 locus=Brasy4G130400 ID=Brasy4G130400.2.v1.1 annot-version=v1.1 MRWHWAALLAAAALLAAGGGRVVAAEGAEEAYVTLLYGDEFVLGVRVLGKSIRDAGTRRDLVVLVSDGVSEYSRKLLEADGFIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFNCGKFCANLKHSERMNSGVMVVEPSETLFKDMMNKVDSLPSYTGGDQGFLNSYYADFANSRVYDPNKPSMPEPETQRLSTLYNADVGLYMLANKNVRQNLEESLPGTGGGKKPRDQLVVKILFILPFCMLLCGYYGSCFQTNKELLSIGTLCAFARQARHKYKSEEELPSYSTVGGASSSFGISNQKLSNGAHLKLPSYFGPITVLVCFIFALISLGSAFLVIPRQVMPWTGLLLMFEWTFVEFFVLFGSYLRFVYKWGSCSANHVGYSSLDSRENHAVTGHQWNTSDCDTDAAFYWTGMAVISTIALLSPAVLGITAIFAKLGLMVAGGAVLASFMTYASEHLAMSAFVKGQRDGRSKRAER* >Brasy4G285800.1.p pacid=40088163 transcript=Brasy4G285800.1 locus=Brasy4G285800 ID=Brasy4G285800.1.v1.1 annot-version=v1.1 MDAPPASSASSPVEFLLRRPPPCRRRLPLAGAFFAPTGLAGAPLLRALASLAAGLLAAPRPPSQPRNLAALARRLALLSALLESVLLDAPGCFSDAANLCFRELYVILFRAELLVSYVASAGRAWALLRGAHLAASFRDLDAELAVVLDVLPASELCLSHDATGHLELLRAQCRRRAPAQYHDPDEAALRERLLAALRQFEHGQPPDPSPLKSLLSDIGISDAASCQAEIEYLEEQILSQEEDTDLLLVGGVLALLRYSLFSLFDPAKARAARCWPSAGNGQRLLSWGGGSDDSSFSFSVPKEYSCPISLDLMRDPVVASTGQTYDRPSIIQWIGEGHSTCPNSGQALADNRLVPNRALRSLISQWCGMYCFQYDSPESNEGMADSVATACSSKAAIEANKATARILVRMLVESSDSSKAVAAKEIRMLAKAGKQNRSFIAELGAIPSLCRLLLSSDLMAQENAVTALLNLSIYEPNKTRIMEQEGCLRLIVSVLQNGWTTEAKENAAATLFSLSVVHDYKKMIMNEPGALEELARMLKKGTPRGKKDAVMALFNLSTHPESSVRMLESCAVVALIESLRNDTVSEEAAGALALLMKQPSVVHLVGSSEAVITSLVGLMRRGTPKGKENAVSALYEICRRGGSTLMRRVVKIPEFNTVMQNITLTGTKRAKKKVGLIVKMCQRGQIPSAMSIGANLRTADRTLVANSSLRRAASFGSGELSNPISISVPVP* >Brasy4G388900.1.p pacid=40088164 transcript=Brasy4G388900.1 locus=Brasy4G388900 ID=Brasy4G388900.1.v1.1 annot-version=v1.1 MLQGRGYCLPIVVCATTVNLDDGSDDNEDVKGLEGYSIPVDSDEADSDTIARNSPKVELDGNASNKRKRVTSSPLKKPTKGKANSKGKVSSDDMAASIKKLADSLAAPIVPVQPMPPTDPYANLWKRINALPITAKDKLQIAAHLSKPDQDIFRSYLNYADDAMLSEWVISYFETRFDGGGNGRSAAAR* >Brasy4G000300.1.p pacid=40088165 transcript=Brasy4G000300.1 locus=Brasy4G000300 ID=Brasy4G000300.1.v1.1 annot-version=v1.1 MPQKNKCTVAYNTLITACLKARDIRAARHLFDEMQLHRRSRRSVVSWNLIITGCACSGRDDMAVQCFERMVREGEVAPDDGTLVAVLPACGRTGNVGAGRWVHEYARKTGLLDGTVHVANAVVDMYCKCGDVDSAREVFMTTRQRSVVSWNAMIAGFSLNDHGVEGIDLFQETRRHGVEPNAVTFLAVLGCCAHAGAVDIGREIFQSMLSEHGIEPAIEHYGCMVDLFGRSGLLEEAHVLIQGMPMKPNAAIWGALLSACRDHAGLGIAEVALKELINLEPWNSGNYV* >Brasy4G141500.1.p pacid=40088166 transcript=Brasy4G141500.1 locus=Brasy4G141500 ID=Brasy4G141500.1.v1.1 annot-version=v1.1 MERAEVNSVEAAIKWLVGTILSVLLMDKMDVWIRGVKLEDEVKKLRTEIRRVGMVVATVKGRATGNELLAESLATLKELLYEAEDVVDEVDYYRLQPQDTVTGDEPEGMRRAERVDEISRGDAGTPNRGVGNVRSPVWQHFTKTEQVEGVPAKAVCIYCQLSMKLSTSSMKKHLMRAHQVICELGEHPPNPSSSTGEDATENATPTVLGDSSRKRKRPNDVSAQITAANTHTQWDKAKISDRIKEITSQLKVIREEVSEVLKLHGTDFASSSNNHQSTASGQHLRTTTSLVPRKVFGRVAEKNAIIKMITEDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVRSQFETKIWVWVSHNFDEVRLTRDILDFVPRDRHDPHEGSLHRNERHEGISSFAKLQEILKERMEYQPKRFLLILDDVWDNLDDERWNKLLNPLISNQVKGNVILITTRNLCVAQKKGTLEPIQLCALADEDFLLLFKSRAFGDENYKGDPSLSLIGRQIAEKLKGNPLAAETAGELLREHLTIDHWTNILKHEHWKSLQLSTGIMCALRLSYDQLPYHLQQCFSYTSIFPRSYRFPGEMLVRIWISQGFVKSNQSSKKLDEIGRHNLTVLVNLGFIQQLEKKLSRQPCYAICGLMHDFGRIVSRTECAIIDGLQCNEMLPTVRHLSIVTDYAYNQDQHGEFSRNELFEKTLSNTVTSVSKLRSLVLLGRYDACFLQLFQRKFQEAQNLRLLQMSVASVDFNSLQCNFVKPAHLRYLKLGSVEVRGDFPRVFSKLYQLQVLDVGLYAHPTAPNGIHNLVSLRLLDFKVQTSSGSEITQLQSMNELVQLGVSQLDNVKSSEEAYGAELRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLKPHKHLKHLQISGYNGTSPTWLNSNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMRKVTKILVPSLEELVLVDMPELERCSCTSLEGFNSSLRSLRIENCEKLEVFDLFENAGKFKVEHRSWLSGVRELILLDCPLLKVFNALPPSATFSELLIRGVSTLPIMEGSYENLDVGPPSFEPSSELIKAAEVLAFHNLRSLKFLSINGDEDNPMPISFKDLSYLVSLKSLKIKACEIVLSSHVMPEPTREDVAAANCKVFPFLQSLTIKSCEITGKGLSLMLQHSPDLEKLELFDCSDITFLSIEEEENSLSNLTSDREPQDEQFLHIPFNLISSLKDLTIEDCPRLRFNGSKKGFSGFTSLEKLNILGCPELLSSLVRKDGSDDQANGRWLLPESLGELDIGDYPEKTLQPCFPSNLTSLKKLALRTADLESLQLHSCTALEELEIEECDLLTVEGLQSLGSLKKLDIGFCELAADVESLLNLGSLRHLTVVGSSPGLVSYLERFSRQCYELLPRLETLVIDDPDVLTTSCCKHLTSLHSLQLRFWRMGVTRLTDEQERALVLLKSLQELTFNACYHLIHLPAGLHTLPSLKRLKIDDCSSILSLPETGLPVSLEELELAWCSKELCDRCMPLATSKLMVKISYSL* >Brasy4G141500.2.p pacid=40088167 transcript=Brasy4G141500.2 locus=Brasy4G141500 ID=Brasy4G141500.2.v1.1 annot-version=v1.1 MERAEVNSVEAAIKWLVGTILSVLLMDKMDVWIRGVKLEDEVKKLRTEIRRVGMVVATVKGRATGNELLAESLATLKELLYEAEDVVDEVDYYRLQPQDTVTGDEPEGMRRAERVDEISRGDAGTPNRGVGNVRSPVWQHFTKTEQVEGVPAKAVCIYCQLSMKLSTSSMKKHLMRAHQVICELGEHPPNPSSTGEDATENATPTVLGDSSRKRKRPNDVSAQITAANTHTQWDKAKISDRIKEITSQLKVIREEVSEVLKLHGTDFASSSNNHQSTASGQHLRTTTSLVPRKVFGRVAEKNAIIKMITEDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVRSQFETKIWVWVSHNFDEVRLTRDILDFVPRDRHDPHEGSLHRNERHEGISSFAKLQEILKERMEYQPKRFLLILDDVWDNLDDERWNKLLNPLISNQVKGNVILITTRNLCVAQKKGTLEPIQLCALADEDFLLLFKSRAFGDENYKGDPSLSLIGRQIAEKLKGNPLAAETAGELLREHLTIDHWTNILKHEHWKSLQLSTGIMCALRLSYDQLPYHLQQCFSYTSIFPRSYRFPGEMLVRIWISQGFVKSNQSSKKLDEIGRHNLTVLVNLGFIQQLEKKLSRQPCYAICGLMHDFGRIVSRTECAIIDGLQCNEMLPTVRHLSIVTDYAYNQDQHGEFSRNELFEKTLSNTVTSVSKLRSLVLLGRYDACFLQLFQRKFQEAQNLRLLQMSVASVDFNSLQCNFVKPAHLRYLKLGSVEVRGDFPRVFSKLYQLQVLDVGLYAHPTAPNGIHNLVSLRLLDFKVQTSSGSEITQLQSMNELVQLGVSQLDNVKSSEEAYGAELRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLKPHKHLKHLQISGYNGTSPTWLNSNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMRKVTKILVPSLEELVLVDMPELERCSCTSLEGFNSSLRSLRIENCEKLEVFDLFENAGKFKVEHRSWLSGVRELILLDCPLLKVFNALPPSATFSELLIRGVSTLPIMEGSYENLDVGPPSFEPSSELIKAAEVLAFHNLRSLKFLSINGDEDNPMPISFKDLSYLVSLKSLKIKACEIVLSSHVMPEPTREDVAAANCKVFPFLQSLTIKSCEITGKGLSLMLQHSPDLEKLELFDCSDITFLSIEEEENSLSNLTSDREPQDEQFLHIPFNLISSLKDLTIEDCPRLRFNGSKKGFSGFTSLEKLNILGCPELLSSLVRKDGSDDQANGRWLLPESLGELDIGDYPEKTLQPCFPSNLTSLKKLALRTADLESLQLHSCTALEELEIEECDLLTVEGLQSLGSLKKLDIGFCELAADVESLLNLGSLRHLTVVGSSPGLVSYLERFSRQCYELLPRLETLVIDDPDVLTTSCCKHLTSLHSLQLRFWRMGVTRLTDEQERALVLLKSLQELTFNACYHLIHLPAGLHTLPSLKRLKIDDCSSILSLPETGLPVSLEELELAWCSKELCDRCMPLATSKLMVKISYSL* >Brasy4G184200.1.p pacid=40088168 transcript=Brasy4G184200.1 locus=Brasy4G184200 ID=Brasy4G184200.1.v1.1 annot-version=v1.1 MAMAASSLPRLPKLPTLPPPRSTSLPVQKPKPRCPQAAADYHNREHVTAKWTRMPPKPTVRSGGGANRRLRGLVQRGELDGALRLVQSISGGGKDPSVIPCNILIKKLCADGRVDDAERVVQALGPSATIITYNTMVNGYCRAGNIDAARRMIDTVPFAPDTFTYNPLIRALCVRGRVLDALVVFDDMLHRGCSPSVVTYSILLDATCKESGYKQAMVLLDEMRSKGCEPDIVTYNVLINAMCSEGDVGEALKVLNSLPSYGCKPDAVTYTPVLKSLCSSERWEEADKLLTKMFINDCAPDEVTFNAVITSLCQKGFVDRATKVLAQMSEHGCTPDIITYSSIMDGLCKERCVDEAIKLLSDLQSYGCRPDIITYTTLLKGLCCVERWEDAEELLAEMVSKNCTPDQVTLNTIITSLCQKGLVSRAIKVVDEMSEHGCIPDIITYNCVIDGLCNKMCVEDAMKLLDCLQSNGGKPDIITYNTVLKGLCSVERWEDAGQLMVKMIHNNCPPDEVTFNTIMTSLCQKGLHSQAIEILKLMPEKSCMPNSTTYKITVEELLKAGKTRGALDLLKGVGNSCHPDVITYNKVIASLCKSCKTEEALDLLHLMVSNGLRPDTTTYKSLAFGLSREDEMERAIGMFRRVQAMGLSPDKMLYNAILLGLCKNWRTDLAIDFFAYMVSNGCMPDESTYIILVEGIAYEGFLEEAKELLGHLCCRRVFNRNLIEE* >Brasy4G405300.1.p pacid=40088169 transcript=Brasy4G405300.1 locus=Brasy4G405300 ID=Brasy4G405300.1.v1.1 annot-version=v1.1 MGQALRRLFDSFFSTKEMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVAFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVLANKQDLRGAMSPEEVSEGMGLHDLKNRLWHIQGTCALRGEGLYDGLDWLASTLKQLQDTGHATSVAGPSI* >Brasy4G405300.2.p pacid=40088170 transcript=Brasy4G405300.2 locus=Brasy4G405300 ID=Brasy4G405300.2.v1.1 annot-version=v1.1 MGQALRRLFDSFFSTKEMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVAFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVLANKQDLRGAMSPEEVSEGMGLHDLKNRLWHIQGTCALRGEGLYDGLDWLASTLKQLQDTGHATSVAGPSI* >Brasy4G405300.3.p pacid=40088171 transcript=Brasy4G405300.3 locus=Brasy4G405300 ID=Brasy4G405300.3.v1.1 annot-version=v1.1 MGEVLSTVPTVGFNVEKVQYKNVAFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVLANKQDLRGAMSPEEVSEGMGLHDLKNRLWHIQGTCALRGEGLYDGLDWLASTLKQLQDTGHATSVAGPSI* >Brasy4G106700.1.p pacid=40088172 transcript=Brasy4G106700.1 locus=Brasy4G106700 ID=Brasy4G106700.1.v1.1 annot-version=v1.1 MSEEKDADSPAQPPPSKLPRLSRGDSNAGAVTMAASSPLVLGLGLGLGGGERQQDVDAQAADSKSVLTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPNRFPSLIGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVQGHKYCERHVHRGRGRSRKPVEGGASAAAAAAQHNGTTTSGLGGFSSGHGALIAAHGASARAT* >Brasy4G358000.1.p pacid=40088173 transcript=Brasy4G358000.1 locus=Brasy4G358000 ID=Brasy4G358000.1.v1.1 annot-version=v1.1 MERGRRARTKATEVAGGRREKGGHRAEVAGGRRAEEKMDGGGEMRTARVRRIPPDLDAHERDGSGVGGGRRRRRRLRRRGGGSPSPRRHCLAREGGGRWCVFVRRR* >Brasy4G164800.1.p pacid=40088174 transcript=Brasy4G164800.1 locus=Brasy4G164800 ID=Brasy4G164800.1.v1.1 annot-version=v1.1 MMVEVRTVSRTAVAERSGGGFFIRRVESPGAVVEKGAAKRLARRPLTPSSNKENVPPAWAVATTQKRRSSLPEWYPRSPLRDITSIIKAVERKNLLRDAAARQQLQWTEESQPENPAQADQDVHRRTSPTNGTLAAAAAVASGPAGSAQAVASTSATCVAEGTLKAATGDCSLQTPSRQGNHPALADLLETELASSIEQIEKMVCRNLTRGDPKAQAVQRRTLMSMR* >Brasy4G247600.1.p pacid=40088175 transcript=Brasy4G247600.1 locus=Brasy4G247600 ID=Brasy4G247600.1.v1.1 annot-version=v1.1 MMQMLGLRGSASKERDRSHRGDALPASPSAATPGSPWTPSSASSPRSPFAGGGGGGRPLRLVYCDERGKFRMDPEALSVLQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQIASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTASELGQFSPIFTWLLRDFYLDLVENDRKITPRDYLEIALKPLEGRGKDLSSKNEIRESIRALFPDRECFTLVRPLNNENELQRLDQIPLEKLRPEFQSGLDDLTKFIFARTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECHRAYDLAVEVYMSSFNRSKLAEEDAFRDAHDTALRKALDAYNTAAVGTGTSRIQYEKVLNNFCRKAFQDYKRNAFLEADKQCSDAVHNMEKKLRAACSTPGAKVSCMIQVLETLLREYESSCSGPSKWRILAAFLRQCLEGPILDLCLKLINEAESERTSFALRCHSNEDQLELLKKQLEATEAHKSEYLKRYEAAISEKQRVSDDLSGHLANLRTKCSTLEERCSSISKELGLVRHECSDWRAKYDQSVSQNKSEQGRFVAQLASLESRYSSSEGKLEAVREQAASAQDEAAEWRRKYESAAAQAKTALERLASVQEQINKIAQERESAIRAEFAAHLEEKDEEMKRIMAKIRHAESEESVLVEHLQAAESRTKSHSKETLVLKNEIKELTSKLEFLRDRALSYEKQARMLEQEKNHLQEKFLSECKKYDEVEERYKAAEKDAIRATELAKVAQTESLAAQKEKDEAQCLSMEKLAVIERIQRQVDRLEQEKVKLVDEVQRMRKSETDALSKVALLESRVDEREKEIDDLLSRSNEQRSSTVHVLESLLATERAAKAEANKRAEALSLQLQSTQSKLDVLHQELTSIRIVETGLDSKLRSTTHGKRMRQNEVGTESVQDMDIDQPERSRKRSKSNTSLLKHLQTEGGGSVHMGEDSVSVSTDTRDCTPEGYKKFTIPKLKEELTKHGFGAQLLELRSPNKKDILALYKKHVLGQ* >Brasy4G072600.1.p pacid=40088176 transcript=Brasy4G072600.1 locus=Brasy4G072600 ID=Brasy4G072600.1.v1.1 annot-version=v1.1 MSTGGEEALRQQYVVGDEIGRGRFGTVRRCHSAATGEAFAVKSTAKAPLLAGADPLDLALAEQEPKVHLLVSSPPCSPHVVALHAAFEDAHAVHLVLDLCAGGDLLSLLSARGGRLPEHEAAGVAAQLAAALASCHRRGVAHRDVKPDNLLFDATGALKLADFGSAEWFADGRAMAGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYVMLSGTVPFHGATAPEIFESVLRGNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSAEQVLRHPWIASRGGNAVAD* >Brasy4G037000.1.p pacid=40088177 transcript=Brasy4G037000.1 locus=Brasy4G037000 ID=Brasy4G037000.1.v1.1 annot-version=v1.1 MLLPAPSSSLYASKGDFFGKRVDDDMGSTLNFNNKPPIFASQNIDYGHPIARISYPYSDSNSALWAAYGSRAMFHTQIAGGGTSTNTRVPLPLELAEDEPIFVNPKQYHGILRRRQLRAKLEAQNKLIKNKKPYLHESRHRHAMKRARGSGGRFLNSKELQQQQQQQPCTVSTKATADGVNSLGSTHLRLGSGTAGDRTTSSSKTIASEDNSKRVAAPAPAFTMTPMLRKDDAFFQHHGHHLSFSGHFGQASGRYT* >Brasy4G037000.2.p pacid=40088178 transcript=Brasy4G037000.2 locus=Brasy4G037000 ID=Brasy4G037000.2.v1.1 annot-version=v1.1 MGSTLNFNNKPPIFASQNIDYGHPIARISYPYSDSNSALWAAYGSRAMFHTQIAGGGTSTNTRVPLPLELAEDEPIFVNPKQYHGILRRRQLRAKLEAQNKLIKNKKPYLHESRHRHAMKRARGSGGRFLNSKELQQQQQQQPCTVSTKATADGVNSLGSTHLRLGSGTAGDRTTSSSKTIASEDNSKRVAAPAPAFTMTPMLRKDDAFFQHHGHHLSFSGHFGQASGRYT* >Brasy4G037000.3.p pacid=40088179 transcript=Brasy4G037000.3 locus=Brasy4G037000 ID=Brasy4G037000.3.v1.1 annot-version=v1.1 MLLPAPSSSLYASKGDFFGKRVDDDMGSTLNFNNKPPIFASQNIDYGHPIARISYPYSDSNSALWAAYGSRAMPYLHESRHRHAMKRARGSGGRFLNSKELQQQQQQQPCTVSTKATADGVNSLGSTHLRLGSGTAGDRTTSSSKTIASEDNSKRVAAPAPAFTMTPMLRKDDAFFQHHGHHLSFSGHFGQASGRYT* >Brasy4G037000.4.p pacid=40088180 transcript=Brasy4G037000.4 locus=Brasy4G037000 ID=Brasy4G037000.4.v1.1 annot-version=v1.1 MGSTLNFNNKPPIFASQNIDYGHPIARISYPYSDSNSALWAAYGSRAMPYLHESRHRHAMKRARGSGGRFLNSKELQQQQQQQPCTVSTKATADGVNSLGSTHLRLGSGTAGDRTTSSSKTIASEDNSKRVAAPAPAFTMTPMLRKDDAFFQHHGHHLSFSGHFGQASGRYT* >Brasy4G009700.1.p pacid=40088181 transcript=Brasy4G009700.1 locus=Brasy4G009700 ID=Brasy4G009700.1.v1.1 annot-version=v1.1 MGTVADTTPILHLLQFWKSDEKFTYSIPFLTGLRILHRIAEPQEQGRDLALLCCGLKTTIHVRRLQDFKHDTYLKILEISEGQEVYRISSYRCNIWGIWINLLEG* >Brasy4G434600.1.p pacid=40088182 transcript=Brasy4G434600.1 locus=Brasy4G434600 ID=Brasy4G434600.1.v1.1 annot-version=v1.1 MVEAAEPEEERQIVPAAADVEMSASAAEKKQGRRGRREMRRIECATSRQVTFSKRRSGLLKKAFELGVLCDAEVGLLVFSPRGRLYEYASTADLQKTIDRYLNHTKGAPANEKALESAGVQMCRSEATALQQKIDAIEGYQRKLMGEGLESCSTHELQELEQQLEKSLSCIRQKKQKKMLDQILELREKEEKLLMENSSLREKYQALPLLEMATRTVEAAHARSPGATAGGEEEVRDDNVDERRRRQKDVEDVETELAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGASIAEAVALHVLIGEDSDESRHLMLNKHRRCNDWEPSAGFDFTVDMQGTDIYSPGGLMCANHAYAPKGRWIDWGNAQPLPTWGEVRDLKASCYQGTFHQSVPCPGFMAAQAVSSLQIGEDSSEMTSPSQGVVSRMLGGGNRLNLYREI* >Brasy4G362000.1.p pacid=40088183 transcript=Brasy4G362000.1 locus=Brasy4G362000 ID=Brasy4G362000.1.v1.1 annot-version=v1.1 MDDVSGGSSWPYAKKPHQLSRSMTYHHPYQGQGRRLQPARRHQLQDDPRARIPPQQAVVLYTTSLRGVRRTFADCSAVRAVLRGFRVAVDERDVSMDAALRRELQGLLAARGRAFSLPQLFVGGRLVGGADEVRQLHEAGELRRLLDGAAGQDPAFVCDACGGVRFAPCPACDGSRKVFVEEEGRARLCGGCNENGLVRCPNCCS* >Brasy4G381700.1.p pacid=40088184 transcript=Brasy4G381700.1 locus=Brasy4G381700 ID=Brasy4G381700.1.v1.1 annot-version=v1.1 MRSSMAVARRSDSRQSGGPCLGRARPRRSRGAWSLKRGQNRAPMRHRGTMAWARRPARRKPAATASSSGAGSLRHGSAGPGLGRGGQRDGSTWPRHRADGRRYRGARTWRWCSGQRLRRVGPALRGSDTAACFSFMEATREIMESISGRRGIRHRASHLLGSGTWMLWAFCDWIWG* >Brasy4G381700.2.p pacid=40088185 transcript=Brasy4G381700.2 locus=Brasy4G381700 ID=Brasy4G381700.2.v1.1 annot-version=v1.1 MRSSMAVARRSDSRQSGGPCLGRARPRRSRGAWSLKRGQNRAPMRHRGTMAWARRPARRKPAATASSSGAGSLRHGSAGPGLGRGGQRDGSTWPRHRADGRRYRGARTWRWCSGQRLRRVGPALRGSDTAACFSFMEATREIMESISGRRGIRHRASHLLGSGTWMLWAFCDWIWG* >Brasy4G383200.1.p pacid=40088186 transcript=Brasy4G383200.1 locus=Brasy4G383200 ID=Brasy4G383200.1.v1.1 annot-version=v1.1 MAEIVGTLVDKLTSLLDGEVEMLNGIEDDVSFFNDELSAMKAALDALDLRDTLDPLTKDWKDHVREMAYDMDDLIADFMHSLGGGADADAKAGFVQKMAQFVRTFWARRQIAGQFKELRARVVEMNNRRTRYKLDGCKPSSSHVDVDPRMPAIYKEAAGLVGIDGPREELVSRLTATENELKVLSIWGFGGLGKTTLAKQVYDQIGVPFGCKAFISVSQRPDLTSLLNRLQLQLGMNEEPSHALEDIIDQLRRHLRDHRYLIVVDDLWDCSAWRTISLAFPENGNGSVVIVTTRLDDVARFVCHGHHEYIYRMEPLGYEHSRRLFFNRVFGSEANCPSQFKEISVQILKKCGGLPLAIITIASLLASRQAKSMNEWKCIRNSLGAKFATNPTLKEMMSILNLSYMNLPLHLRPCFLYLGMYPEDREINRDDLVRQWIAEDLVDHLHGSDLEDAAKSYFNELINRSMIQPEYTNFGEVLSCRVHDMMLELILNKCAEDNFISVAYDNIDMARLCGRK* >Brasy4G382600.1.p pacid=40088187 transcript=Brasy4G382600.1 locus=Brasy4G382600 ID=Brasy4G382600.1.v1.1 annot-version=v1.1 MEEYFSRSSKTAIGFLRRGSGISSRNQTPQERTSQGTDGPGYTTRVNPVKTSMSNNQERPRYLRDSFNSSSSKVVPGSSSKVPLGKFGEEKRRQTLLEGVDIAESSRRKAEVKRLESSKKIVIENQSSDAPWSETEGLTAKDDQLVVPDPDVSHSAVSSDIPAHTVESLRRSASLSSETHRQKDKQLNLGRPGYSRSSFTNQPTIPRIPTVDAKPSYGLVSGEQRCGPRGLKNLGCTSVSDVLPSGCSSDSVYSRRFDAMRKRASDEESSSRSRGISGPSSLGHSHAIYPGISGPRIRTTEQPISQLTTSSGRKFLDSAVSVRTRRPSPRDTRIRISEDREHDMLSPHGSTTGNQHSAGGHFSVGGVSSESSIRPFPVELPHAIYSSTRQGLSSQTANRRSSSRVEENAPQTFHSLLGERNGHRRINMEGIAEVLLALDRIEQEAELTYEQLRVLETNLLLSALASHDEHSDMRMDIDNMSYEELLALEERIGSVSTALSEEQFTKCLRRRLYKPVAAEVNRSGVEDIKCSICQEEFMKGKEVGRLGCEHQFHVCCIHQWLLQKSWCPICKVSALSSLNRT* >Brasy4G382600.2.p pacid=40088188 transcript=Brasy4G382600.2 locus=Brasy4G382600 ID=Brasy4G382600.2.v1.1 annot-version=v1.1 MNAYPARAAYPSATTQIGGTHPPHTWGYIRRGKESERPQTSLRCPSLSPLPSFLPRDASPLRRGAGGTAVRHGGRRRVPRGERALPLRLPFPRVRRGFEDRNAPPLRFDAMRKRASDEESSSRSRGISGPSSLGHSHAIYPGISGPRIRTTEQPISQLTTSSGRKFLDSAVSVRTRRPSPRDTRIRISEDREHDMLSPHGSTTGNQHSAGGHFSVGGVSSESSIRPFPVELPHAIYSSTRQGLSSQTANRRSSSRVEENAPQTFHSLLGERNGHRRINMEGIAEVLLALDRIEQEAELTYEQQLRVLETNLLLSALASHDEHSDMRMDIDNMSYEELLALEERIGSVSTALSEEQFTKCLRRRLYKPVAAEVNRSGVEDIKCSICQEEFMKGKEVGRLGCEHQFHVCCIHQWLLQKSWCPICKVSALSSLNRT* >Brasy4G382600.3.p pacid=40088189 transcript=Brasy4G382600.3 locus=Brasy4G382600 ID=Brasy4G382600.3.v1.1 annot-version=v1.1 MNAYPARAAYPSATTQIGGTHPPHTWGYIRRGKESERPQTSLRCPSLSPLPSFLPRDASPLRRGAGGTAVRHGGRRRVPRGERALPLRLPFPRVRRGFEDRNAPPLRFDAMRKRASDEESSSRSRGISGPSSLGHSHAIYPGISGPRIRTTEQPISQLTTSSGRKFLDSAVSVRTRRPSPRDTRIRISEDREHDMLSPHGSTTGNQHSAGGHFSVGGVSSESSIRPFPVELPHAIYSSTRQGLSSQTANRRSSSRVEENAPQTFHSLLGERNGHRRINMEGIAEVLLALDRIEQEAELTYEQLRVLETNLLLSALASHDEHSDMRMDIDNMSYEELLALEERIGSVSTALSEEQFTKCLRRRLYKPVAAEVNRSGVEDIKCSICQEEFMKGKEVGRLGCEHQFHVCCIHQWLLQKSWCPICKVSALSSLNRT* >Brasy4G069400.1.p pacid=40088190 transcript=Brasy4G069400.1 locus=Brasy4G069400 ID=Brasy4G069400.1.v1.1 annot-version=v1.1 MSQKKSRGGAAGAGDDAEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLSWLETAGVEEVFVFCCAHAQQVKEHLEDAGWTGQPAAREMAVTAVESHDAISAGDALRVMYGRGVIHGDFILISGDTVSNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIAPETKELLYYEDRADGSHQCVTIDKDILASNPTLHLHNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEICSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSFGNCVEVKLHRQGIYKASDVTLSHSAQIGANSVIGNSTSIGEHCKISNSVIGAGCCIGKNVIIHGSYIWDNVIIEDGCEVSNSLVCDDVHLRAGAIVEPGCILSFKIKVGKNVVVPAYSKVSLLDKPSNEDSDEELEYADTNSGVTDSPPFSSVRSNAGRPTLPSEDDDLGASETGTSGVLGYIWASSDTGTQEDWRQSIAPIPKEKLQELQHAVSVDNDNGSEEDLNNRQYEQDHDGDSEISVVEDDDYTKFEKEVEETFQRALDGIHEDNLILEINALRLSYSLQHADCAGAIFYSVMRSALAAAQSTNDNLLKTTADALAKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSSLFSKILPYLYDKDVVSEDAILRWAEEKENADEADKVFVKQSDAFIQWLKEAEEEEDDEEE* >Brasy4G069400.2.p pacid=40088191 transcript=Brasy4G069400.2 locus=Brasy4G069400 ID=Brasy4G069400.2.v1.1 annot-version=v1.1 MSQKKSRGGAAGAGDDAEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLSWLETAGVEEVFVFCCAHAQQVKEHLEDAGWTGQPAAREMAVTAVESHDAISAGDALRVMYGRGVIHGDFILISGDTVSNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIAPETKELLYYEDRADGSHQCVTIDKDILASNPTLHLHNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEICSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSFGNCVEVKLHRQGIYKASDVTLSHSAQIGANSVIGNSTSIGEHCKISNSVIGAGCCIGKNVIIHGSYIWDNVIIEDGCEVSNSLVCDDVHLRAGAIVEPGCILSFKIKVGKNVVVPAYSKVSLLDKPSNEDSDEELEYADTNSGVTDSPPFSSVRSNAGRPTLPSEDDDLGASETGTSGVLGYIWASSDTGTQEDWRQSIAPIPKEKLQELQHAVSVDNDNGSEEDLNNRQYEQDHDGDSEISVVEDDDYTKFEKEVEETFQRALDGIHEDNLILEINALRLSYSLQHADCAGAIFYSVMRSALAAAQSTNDNLLKTTADALAKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSSLFSKILPYLYDKDVVSEDAILRWAEEKENADEADKVFVKQSDAFIQWLKEAEEEEDDEEE* >Brasy4G069400.3.p pacid=40088192 transcript=Brasy4G069400.3 locus=Brasy4G069400 ID=Brasy4G069400.3.v1.1 annot-version=v1.1 MSQKKSRGGAAGAGDDAEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLSWLETAGVEEVFVFCCAHAQQVKEHLEDAGWTGQPAAREMAVTAVESHDAISAGDALRVMYGRGVIHGDFILISGDTVSNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAIAPETKELLYYEDRADGSHQCVTIDKDILASNPTLHLHNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEICSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSFGNCVEVKLHRQGIYKASDVTLSHSAQIGANSVIGNSTSIGEHCKISNSVIGAGCCIGKNVIIHGSYIWDNVIIEDGCEVSNSLVCDDVHLRAGAIVEPGCILSFKIKVGKNVVVPAYSKVSLLDKPSNEDSDEELEYADTNSGVTDSPPFSSVRSNAGRPTLPSEDDDLGASETGTSGVLGYIWASSDTGTQEDWRQSIAPIPKEKLQELQHAVSVDNDNGSEEDLNNRQYEQDHDGDSEISVVEDDDYTKFEKEVEETFQRALDGIHEDNLILEINALRLSYSLQHADCAGAIFYSVMRSALAAAQSTNDNLLKTTADALAKWKDLLRNYTKTVDEEGVPSVSLNRILWPS* >Brasy4G277400.1.p pacid=40088193 transcript=Brasy4G277400.1 locus=Brasy4G277400 ID=Brasy4G277400.1.v1.1 annot-version=v1.1 MLELTASLLHELLFLALLFPSIFFSSPETGKPDHRLDVSSSLPWALPKSHVLAAATGRSRGGGRRRRIINCCKNTRKTWSIKAEIMALPPNSWATVVLVTVLLAVVSSHGVGTTSIFQVHRKFPRLGGKGGGNITAHLTHDSNRRGRLLAAADVPLGGLGLPTDTGLYYTKIEIGTPPKQYHVQVDTGSDILWVNCISCSKCPRKSDLGIDLMLYDPEGSSSGKTVSCEQKFCAATYGGKLPGCTKSIPCEYSVMYGDGSSTTGYFVSDSLQYNQVSGDGQTCHANASVIFGCGAQQGGDLGSTNQALDGIIGFGQSNTSMLSQLAAAGEVKKIFSHCLDTIKGGGIFAIGDVVQPKVKSTPLVPDMPHYNVNLESIDVGGTTLQLPSHIFETGEKKGTIIDSGTTLTYLPELVYKDVLAAVFAKHPDTTFHSVQDFLCIQYFQSVDDGFPKITFHFEDDLGLNVYPHDYFFQNGDNLYCFGFQNGGLQSKDGKDMVLLGDLVLSNKVVVYDLENQVVGWTDYNCSSSIKIKDDKTGATYTVDAHDISSGWRSKWQKSLIQLLVTIVCSYSIY* >Brasy4G402000.1.p pacid=40088194 transcript=Brasy4G402000.1 locus=Brasy4G402000 ID=Brasy4G402000.1.v1.1 annot-version=v1.1 MWKRLLESTKKAKAAAAAASPELATRKLPSSRGRSDGSPVTAVTPATLRRLKKATFSKKTTLPSSLPQALTHDAEDEDSSGDFTKDILSILNGPDDEEESREKDASAEESGDAEDAICNKILDMEWFAAPAPSSMMVHLRKEVAREKKKRYIFKNTESRRFTRLMRMCADKLGAESALEFFGRLGRETGLKEFNALIRVCLEKARACGEIDSAVEHIFRAYRLFEMMNDRGFQIVEEIYGPFLLYLVDVGLMEEFEMFSAFFKDTNPRSYSRIAYYEMLVSIRTDDEENIQQLCHSLEECNEEAHYGVAESYMLAFADSNRKMDFISLLELLDPTKLSGSKYIYSVFKSMGRLELENHAEKLLQEMASKEHADGKVSSLIFEYAANIPNIVAEDVVAAFNKWQDKFKLPPSVVAYDKIISFCCNSSKISLALDVADCICKYNPNVPIELLNPIIHACEQGYELHMVWPLYDLMSRQKLKLRIETFRSLINICVKMKDFGGAYKILTDAEESGETSTVSLYNVIMYGYFREKDHRGAQMVMEQMQNAGVKRDSETFSYLILNCDSEEKISKYIDELRQDGIQMTKQTYMALISTYSRLGNFDMAKQVVLDKEIPPKYLSEVKSALVGALASNGKVSDGLDMFDEIKQSGGCLEPKAAIALIEHTQTEGKLDRLYQLLEDLSEPSSWFDGCSRVLLYCVQHNHPDAAVDLLKQLKEKNETSTYMVVDQVFCQIWEMEPVNLDVGMTLLHAVKELGLSLPRTSLDFLLSACVKAKDSRRAHQIWTEYESSGLPHNVLTSLRMCQALFSSGQRKAAGKLLRKIPIEDHHVRYIINSCKMTYYSQGYKPSAAIRPSSGKIAATEV* >Brasy4G191900.1.p pacid=40088195 transcript=Brasy4G191900.1 locus=Brasy4G191900 ID=Brasy4G191900.1.v1.1 annot-version=v1.1 MAYCVPNPNLYVPDQYYTSSVPLPPPLQLPCHPRALLQQMPFDQLEEAVMLSNPDNCGLYPLPALPFSHSTATAPAIVCEKTTPGFMPNIGVEEVGTSVTARVGCEGANNGYSSTSTWWRGSAMSVAGEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTQSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCSDDASPGDDHTATTITCFTSF* >Brasy4G393100.1.p pacid=40088196 transcript=Brasy4G393100.1 locus=Brasy4G393100 ID=Brasy4G393100.1.v1.1 annot-version=v1.1 MGQGTEAKTRPEPAVEIQEKGEVFFFYRLKVNRDEARRPDDVQRMYVVLRPESAPGRGRPDVEVKQSPYSGKEGTKRRKTDDDGQKAPEGGHGQEAEVNVEEAPLLRLIVMGKKSLPDPGKKHGRPSWGYVELVTTSVQDVKDALRGEDYETKTRGKRHRPEARALGEGVYRILKHHSGHGGRAAHTHLVYKLELPCSKDGGEEGGAGEPQEAMNVEPEASFVLQVKNPEHKSGGGGGFGGLQGKRRAEFPEHLQERFGGNRYAPADPPDLLNYEGCELLLISASDDVEEELGLELHTETETGTGTEEGGGARCSDLVKMFGDVAHVKPLLSGTWD* >Brasy4G393100.2.p pacid=40088197 transcript=Brasy4G393100.2 locus=Brasy4G393100 ID=Brasy4G393100.2.v1.1 annot-version=v1.1 MGQGTEAKTRPEPAVEIQEKGEVFFFYRLKVNRDEARRPDDVQRMYVVLRPESAPGRGRPDVEVKQSPYSGKEGTKRRKTDDDGQKAPEGGHGQEEVNVEEAPLLRLIVMGKKSLPDPGKKHGRPSWGYVELVTTSVQDVKDALRGEDYETKTRGKRHRPEARALGEGVYRILKHHSGHGGRAAHTHLVYKLELPCSKDGGEEGGAGEPQEAMNVEPEASFVLQVKNPEHKSGGGGGFGGLQGKRRAEFPEHLQERFGGNRYAPADPPDLLNYEGCELLLISASDDVEEELGLELHTETETGTGTEEGGGARCSDLVKMFGDVAHVKPLLSGTWD* >Brasy4G226200.1.p pacid=40088198 transcript=Brasy4G226200.1 locus=Brasy4G226200 ID=Brasy4G226200.1.v1.1 annot-version=v1.1 MERAVHIFLYCFFARQVSRLVAAWTGVRFFSPSTWSTRDTIKLWWMDRIEKRKDRRISSWLAERPLNLSCLF* >Brasy4G026600.1.p pacid=40088199 transcript=Brasy4G026600.1 locus=Brasy4G026600 ID=Brasy4G026600.1.v1.1 annot-version=v1.1 MDLKERIRRSPQEDGALGPASPRGRKAAAGAETTTLRPLSAAAAAVACVLLLLLLAGCSAARRGRGFLDDADSSSGLISGADDLRLVRRPRDDDGSSGSAEVVKDKLLGGLLVPGFDEQSCLSRYQSVFYRKISSHFPSAYLQERLREQEALQKKCGPHTELYKEAVQQLNSGQVVKVMGCNYLVWISYSGLGNRILTIASAFLYAILTNRVLLVDGDNGTADLLCEPFLGTSWLLPSDFPMKQFKNFSIDSPESYGKMLKNKAIHSNPAFLYLHLAHDYGHHDKLFFCEDNQQYLQTIPWLFLKSDNYFVPSLFLIPAYQEELTRLFPQKDVVFHHLGRYLFHPSNVVWGMITRYYDSYLARADERLGIQIRVFDTETGPFQHVLDQVLACTLKEHLLPEVHTQQPIVSQRNARLKVVLITSLNSGYYEKFRNMYWEHPTTNGEVISFHQPSHEEHQKSGRKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKPWLLFKPENHIAPDPPCRQVVSMEPCFHAPPFYDCKAKRGADTGKIVPHVRHCEDMSWGLKLVDQSEL* >Brasy4G330800.1.p pacid=40088200 transcript=Brasy4G330800.1 locus=Brasy4G330800 ID=Brasy4G330800.1.v1.1 annot-version=v1.1 MGRKRKARVSDDCDVADMNGQEAAAGPADAQSKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEANEKFQQLQKVISILGDEEKRALYDETGITDDDALVGEAADNLQEYFRTVYKKVTEADIEDFEAKYRGSDSEKKDLKDLYTKFKGKMNRLFCSMICSDAKLDSHRFKDIIDQAIAGGELKSTKSYEKWAKKISEIEPPTNPLKRRVKKKKSEESDLILAISQRRAERKDRFDSVLSSIMSKCDSKASSSSEPTEEEFERARQRLEKKRAKGRK* >Brasy4G090700.1.p pacid=40088201 transcript=Brasy4G090700.1 locus=Brasy4G090700 ID=Brasy4G090700.1.v1.1 annot-version=v1.1 MPPPKLAHCPVRVCLPQLKKQKTTSARSNPLLLRSRHQRRPLPVASYPVCAADLPPSARAPAILLNEPPPPPPPPPYSSPIRRRRRPPPVASSPVCAAAPLPSARAPALLLIEPPQPPASSPFPCRSPQMEEFVDIVGSSYLRTTWTATAPLPSLQKASSHL* >Brasy4G254700.1.p pacid=40088202 transcript=Brasy4G254700.1 locus=Brasy4G254700 ID=Brasy4G254700.1.v1.1 annot-version=v1.1 MADDGAAAAGANLSPPPPPLRPAEPSDPPHDAASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEDGNTNNLNEYISMSMDDVGDLESMVNDVYSNKHGVVTNETSLPEFFSRLP* >Brasy4G254700.5.p pacid=40088203 transcript=Brasy4G254700.5 locus=Brasy4G254700 ID=Brasy4G254700.5.v1.1 annot-version=v1.1 MADDGAAAAGANLSPPPPPLRPAEPSDPPHDAASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEGMKKKAVRRKFTHLDIQ* >Brasy4G254700.3.p pacid=40088204 transcript=Brasy4G254700.3 locus=Brasy4G254700 ID=Brasy4G254700.3.v1.1 annot-version=v1.1 MADDGAAAAGANLSPPPPPLRPAEPSDPPHDAASFTHTPFYCEENVYLLCKELIRAGLADPAGTDLYAVFISNEEKKVPLWYQKASNTNDGFVLWDYHVICIQSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEVHTCCRWKHQ* >Brasy4G254700.2.p pacid=40088205 transcript=Brasy4G254700.2 locus=Brasy4G254700 ID=Brasy4G254700.2.v1.1 annot-version=v1.1 MLFSYQMRKRRFLFGIRKQVIPMMDLSCGIIMLSVSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEDGNTNNLNEYISMSMDDVGDLESMVNDVYSNKHGVVTNETSLPEFFSRLP* >Brasy4G254700.6.p pacid=40088206 transcript=Brasy4G254700.6 locus=Brasy4G254700 ID=Brasy4G254700.6.v1.1 annot-version=v1.1 MLFSYQMRKRRFLFGIRKQVIPMMDLSCGIIMLSVSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEGMKKKAVRRKFTHLDIQ* >Brasy4G254700.4.p pacid=40088207 transcript=Brasy4G254700.4 locus=Brasy4G254700 ID=Brasy4G254700.4.v1.1 annot-version=v1.1 MLFSYQMRKRRFLFGIRKQVIPMMDLSCGIIMLSVSRRNKGEVPDLVWDLDSSLPFPCPFIQYVSDAVKPLSFGDSIYRRLFRVVHCPVFLRSFASDRSHMKDPMGNWIELPPKYEPIVAEVHTCCRWKHQ* >Brasy4G091500.1.p pacid=40088208 transcript=Brasy4G091500.1 locus=Brasy4G091500 ID=Brasy4G091500.1.v1.1 annot-version=v1.1 MATGGDKCGGKPVAAGESGGDLYSVLGLNKECSDADLKVAYRKLAMRWHPDRCSSSSSTKYMEEAKEKFQEIQGAYSVLSDANKRFLYDVGVYEEHEEEDDDTLQGMGDFLGEMAHMMSQTQPARQESFEELQQLFVDMFQSDLESGFCNGPAKDHDPVQRQTRTFSTPSSPSPSPPPPLATVAEVASCNGINKRGSSAMGSGKPPRAGELSGGHGQSEFCFGMSDAKQAPKARGGNASRRRNGQKQKLSSKHDVSSGDEMPRPHAAV* >Brasy4G091500.3.p pacid=40088209 transcript=Brasy4G091500.3 locus=Brasy4G091500 ID=Brasy4G091500.3.v1.1 annot-version=v1.1 MATGGDKCGGKPVAAGESGGDLYSVLGLNKECSDADLKVAYRKLAMRWHPDRCSSSSSTKYMEEAKEKFQEIQGAYSVLSDANKRFLYDVGVYEEHEEEDDDTLQGMGDFLGEMAHMMSQTQPARQESFEELQQLFVDMFQSDLESGFCNGPAKDHDPVQRQTRTFSTPSSPSPSPPPPLATVAEVASCNGINKRGSSAMGSGKPPRAGELSGGHGQSEFCFGNLQSAGAGCTAWRSTRHGAQQRVTKLVLDVLMV* >Brasy4G091500.2.p pacid=40088210 transcript=Brasy4G091500.2 locus=Brasy4G091500 ID=Brasy4G091500.2.v1.1 annot-version=v1.1 MATGGDKCGGKPVAAGESGGDLYSVLGLNKECSDADLKVAYRKLAMLQGMGDFLGEMAHMMSQTQPARQESFEELQQLFVDMFQSDLESGFCNGPAKDHDPVQRQTRTFSTPSSPSPSPPPPLATVAEVASCNGINKRGSSAMGSGKPPRAGELSGGHGQSEFCFGMSDAKQAPKARGGNASRRRNGQKQKLSSKHDVSSGDEMPRPHAAV* >Brasy4G025200.1.p pacid=40088211 transcript=Brasy4G025200.1 locus=Brasy4G025200 ID=Brasy4G025200.1.v1.1 annot-version=v1.1 MGSTLGPTRTRVKKNPRRVLYPCYYHLFNAPLPVLSLRNSPPTSIRRKQKGPGPSERGEARPGFQKLLALCLSGARRAGPEIQARSPRIGAQCRAGGGRDGAGGGGAMARGIARAASFGGRATAGWFSYRRITVAVCLGNLVAALLVLRSLTSSAPAPKRVEVVQYTEEQIRRVEESIRIRREAEPIELVQAVKKLRKVFAREEKRRKKLPLELKQKVSYEIVWQLHELGDNSSVIQQREVLESWRVETLKDIKSASTQNSSNLGFSNEEARILKRALEFNWHMLLEDIGLWIPLEISHTEHDDKPENEPEEEEIIAGPPLHPQCNAELHADYGGAAVKWGLTHHKESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQADQPKLNFKDKYPESYRDSHPRAPVVVPWMSGVTSA* >Brasy4G065200.1.p pacid=40088212 transcript=Brasy4G065200.1 locus=Brasy4G065200 ID=Brasy4G065200.1.v1.1 annot-version=v1.1 MKPCKGSKKFASPRVAPGVTRAATPPPSAATKLPDLPPPRRCWRRPAGKAPNGGRRGFSLRAVAFSSRSWRRCSSGRRWAGGSGTRRRLLRAELAVSRPDLLRVAGRGGRHARRGQARRGGRQGEARAGPARWPARCAAGEARAGWEGRRRAGGGGCSGPVAGGARSAGGGAGSGAGGSGSGRRGDEGKKDKGSN* >Brasy4G229000.1.p pacid=40088213 transcript=Brasy4G229000.1 locus=Brasy4G229000 ID=Brasy4G229000.1.v1.1 annot-version=v1.1 MEGEAKGGSIESGSRKRDKLDSDGAKRGSSRTTNTGSIMYKFLRLKQALETKIGRTIEKEMGLKQTERDSIIVLVGQGRRRLKDHVEEFALALKTSLLLPEVDEGEKKQFVEAKIQEFVSDLSGLRMVKEDQGEEEQEMMCGGEEQVAAAAGRPKTEELEEFLERRRKVGVELQTADDFLERSRNVEVQTGEKELDNRRIMDKGEEKQFVEAKIKDFVSDLSRQRMEKIGKEEDEGGEMQEAEVPEFIRGFKVGVPRAEDCLERSRKVKLQTAEKEFLERHGNCKAVVEGAVVLEEEGPTDHLATEIDEESFAGYREGWEITWGNGHGHSFENLTLLSSMLFTHCTPGSIPMDAVVGKTFQVYSVRVMETKGFKWPLNVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSCLHLTGPCRAIVSHEPVDIEIQLRVKGAKKSEDRPLMSHVFTFRGEYCHHLRSSLVDNRICTIELSYQQLKESVQATIFGVHVAEPSSFEFGVRVVCSSLSQDPQEVVGSEYQEVVLFDSKYGEMPMGNGYLNLSRQVVSVELQGLLRVHIQAYTPSGGIAACGVVFVTPKTCNTSQHRCVVGDSIVEFTVAWSLLVEDEMLVLMNGCVDPYEVLPPMHPDVERILGLSESSWG* >Brasy4G309700.1.p pacid=40088214 transcript=Brasy4G309700.1 locus=Brasy4G309700 ID=Brasy4G309700.1.v1.1 annot-version=v1.1 MRLSIGQVHRNVLALASSRSCFVMGDHLPFRMMSLPRAARFHQTAWRGSQIIEDKGSPLTLASLEVQSKADYVKKERVPRTGGPKPSSRDSALNLKPKKVSSLNLKPAKSALPKVPVVRKKLKIDEALFSAKSFEEHDLPPLLIDRLNKEGLTSPTEVQSAAIPTISQKHDVVIQSYTGSGKTLAYILPILSEIGPLKRAREQGNSDKRSGIEAVIVAPSRELGMQIVREVEKILGPDDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRIAEISAAGKLQTHNCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSSSGILGPLARRSDRQTILVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSIAAPRPVLSQGEPNSDSPSMSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIYALEAQTVIAFMNNSKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGTVVSICEESEAFIMRKMRKQLAVPIKPCEFTEGQINIHKEEDVE* >Brasy4G309700.2.p pacid=40088215 transcript=Brasy4G309700.2 locus=Brasy4G309700 ID=Brasy4G309700.2.v1.1 annot-version=v1.1 MRLSIGQVHRNVLALASSRSCFVMGDHLPFRMMSLPRAARFHQTAWRGSQIIEDKGSPLTLASLEVQSKADYVKKERVPRTGGPKPSSRDSALNLKPKKVSSLNLKPAKSALPKVPVVRKKLKIDEALFSAKSFEEHDLPPLLIDRLNKEGLTSPTEVQSAAIPTISQKHDVVIQSYTGSGKTLAYILPILSEIGPLKRAREQGNSDKRSGIEAVIVAPSRELGMQIVREVEKILGPDDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRIAEISAAGKLQTHNCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSSSGILGPLARRSDRQTILVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSIAAPRPVLSQGEPNSDSPSMSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIYALEAQTVIAFMNNSKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGTVVSICEESEAFIMRKMRKQLAVPIKPCEFTEGQINIHKEEDVE* >Brasy4G305400.1.p pacid=40088216 transcript=Brasy4G305400.1 locus=Brasy4G305400 ID=Brasy4G305400.1.v1.1 annot-version=v1.1 MVLNFGMCISSLICVLCLCPFRTKIIHSHSVHCDINTIEDLNLHGVQYLAIGKLLCGPATDMTIMCCIHEKCNHTEEEVHSKHCVWSLALATCCHHLCQLKHYASISA* >Brasy4G016200.1.p pacid=40088217 transcript=Brasy4G016200.1 locus=Brasy4G016200 ID=Brasy4G016200.1.v1.1 annot-version=v1.1 MRFPLLLLPLLAAAAVAAEAALSTRMVHRLSDEARLAAGARGAQWPRRGSGDYYRLLVRSDLQRQKRRLGGGKHQLLSFSRDGGTIPTDNDFGWLYYTWVDVGTPNTSFMVALDTGSDLFWIPCDCIECAPLSGYHGSLDRDLGIYKPAESTTSRHLPCSHELCLLGSDCENQKQPCPYNTKYLQENTTSSGLLVEDILHLDSRESHAPVKASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFTKDSGRIFFGDQGVSTQQSTPFVPLYGKLQTYTVNVDKSCVGHKCFESTSFQAIVDSGTSFTALPLDIYEAVAIEFDKQVNASRLPQEATSFEYCYSTSPLVMPDVPTVTLTFPGSKSFQAVNPTFLLHDEEGAVAGFCLAVVQSPEPIGIIAQNFLLGYHVVFDRENMKLGWYRSECHLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPASSSGPTILHKLLANSHLLLLLTMSTVFFIS* >Brasy4G016200.2.p pacid=40088218 transcript=Brasy4G016200.2 locus=Brasy4G016200 ID=Brasy4G016200.2.v1.1 annot-version=v1.1 MGVWCSVIYGASIMQDRDLGIYKPAESTTSRHLPCSHELCLLGSDCENQKQPCPYNTKYLQENTTSSGLLVEDILHLDSRESHAPVKASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFTKDSGRIFFGDQGVSTQQSTPFVPLYGKLQTYTVNVDKSCVGHKCFESTSFQAIVDSGTSFTALPLDIYEAVAIEFDKQVNASRLPQEATSFEYCYSTSPLVMPDVPTVTLTFPGSKSFQAVNPTFLLHDEEGAVAGFCLAVVQSPEPIGIIAQNFLLGYHVVFDRENMKLGWYRSECHLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPASSSGPTILHKLLANSHLLLLLTMSTVFFIS* >Brasy4G016200.3.p pacid=40088219 transcript=Brasy4G016200.3 locus=Brasy4G016200 ID=Brasy4G016200.3.v1.1 annot-version=v1.1 MQDRDLGIYKPAESTTSRHLPCSHELCLLGSDCENQKQPCPYNTKYLQENTTSSGLLVEDILHLDSRESHAPVKASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFTKDSGRIFFGDQGVSTQQSTPFVPLYGKLQTYTVNVDKSCVGHKCFESTSFQAIVDSGTSFTALPLDIYEAVAIEFDKQVNASRLPQEATSFEYCYSTSPLVMPDVPTVTLTFPGSKSFQAVNPTFLLHDEEGAVAGFCLAVVQSPEPIGIIAQNFLLGYHVVFDRENMKLGWYRSECHLDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPASSSGPTILHKLLANSHLLLLLTMSTVFFIS* >Brasy4G236700.1.p pacid=40088220 transcript=Brasy4G236700.1 locus=Brasy4G236700 ID=Brasy4G236700.1.v1.1 annot-version=v1.1 MPPWAAPPVLLLPLLLLLLLLLQGRGGHGISSSWDMEMDSEAHGRLLWEASGGGGRRYISYDALRGDAVPCSRPGVPYYNCRVSTTANPYTRGCDTITRCRDAEDPR* >Brasy4G235500.1.p pacid=40088221 transcript=Brasy4G235500.1 locus=Brasy4G235500 ID=Brasy4G235500.1.v1.1 annot-version=v1.1 MGKKLKTKAKKAQQREEPLAAAGSGDEGLQEASNSTEEAAVSASDWQQCGHYSRDSPHLDKVLREIMSSKHLASCEHCREDAPRKKGGKQQKKKGGGGAAKTQAKVEKSDMWICLDCGRHFCGGAVSETKPYGHARRHAKQDRHWWAARYDEPTVAYCLSCENKVSIEMPIVETVVAAPANDKVFGALDSNALVLANCHGNVIRGLPNLGNTCFFNAVLQSLLALDRLRSKMSGPDVPTGALAMSLKKLFVETSAPNDAGGALSVKSLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLLEDASNSGVPTIVDSVFGGQLSSTVSSTECTHGSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRTKQSIRDRNKNRRYGKITTTRISPTIMENSKEKVETVAECNDSQILGSELGQVVSEKEPEPSEGSEACASVPNQEVKAASYVEDDISWLDFVADADETKSEILDSACSTEAGQIWDSNGAMHGSYDTRDDALPEEMVMSSEHSCENIVDDAACLQPVILLPHKEFCTTADNICETVGSSQNPKDVGPAPDISPVTENNAHPVSCGNGEQDDYIGLGDMFNEPEVTSDAKKETTAGDIDVMAWSSNSADDEVDDSNAPISVEGCLALYTEPELLSESWHCEHCSSAVARPETNEGKEGDEMVASANGRKDSEKMMASGDARQGGDKVATNCSKKEYIGQIMKTDGCSDNVDPDLCCKKGVCANPDAENTSNGNSPDIGNATLLNTVFTVDAIEQPDSKHDGNTVDIAAEEASAPVSCGDNDSASCSTTIDRMVESGANAEEVVTSNLPSPIDRMVESGANAEEVVTSNLPSQTQSILPSAKDNEDLLTRNMGRGKRMKMAGKAHKGQDNQNKQKEDETKVFRAAMRRILISKAPPVLTITLNRFSHDSHGRFKKLKGHVCFKETLDVRPFTDPRSKENDNTTYRLVAVVEHMGTMTGGHYVAYVRSGKIGGRQQQSRASKSWFYASDAQVREASLEEVLNCEAYILFYERVVE* >Brasy4G428100.1.p pacid=40088222 transcript=Brasy4G428100.1 locus=Brasy4G428100 ID=Brasy4G428100.1.v1.1 annot-version=v1.1 MFEAMVASLRDDVQVMISACLGELQKWLSRASEFFVGHEDGIKSLQEVATSVRKCMASPPLSLRVDSGLGSLYGPCSPRVRRSLEVQTDTSVTPCMSDFRDEAAPAEKFFRSLEFQPMIAAREKVVDLVPGHREHLMVRAVHAPVTIPEVEDADDTQVQPGINNEAPLEQMQSLEVVPGDLVMPAVSAATGDGAIVASTVAGVCTLKESLAKIKGKVPLDTPESCRSRVAVPQDTPRCSERLAHHQKKVARSVEIVAQESLVRALRALGLLGPKAKFDNEARDKLEKLFQGPLAMQSIQEIQALVKNVIKVKKKGGKGIGKERPEAG* >Brasy4G124400.1.p pacid=40088223 transcript=Brasy4G124400.1 locus=Brasy4G124400 ID=Brasy4G124400.1.v1.1 annot-version=v1.1 MGCFGRSRSKTNKGEGKGKGNVPHDQRSTLAASLASTACSTVSLTVATSAANRPAGSSKASRCTSSARRIPELYEESQRGASSLREFGLRELHAATSDFSRLLKIGEGGFGSVYKGGVRLPGGPAGGTLVAIKRLNPNGNQGHKQWVAEVHFLGVVEHPNLVKLIGYCAARGERGPQRMLVYEFLSNKTLDDHLFNRAYPVLPWDTRLEIAFGAAEGLLYLHEGLEVQEFRPKLSDFGLAREGPSADQTHVSTAVMGTYGYAAPDYIATGHLTTKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVKQYPVGSKQFSKIIDMRLEGHYPKKGTREIAKLANSCLAKYSRDRPTMREVVESLKQVMQYKELDGKIGASGDISPPHDVQGKPTAEDIAVASARRRMLHRAALGENGNNIARRRFMFMKSAAAPTAT* >Brasy4G278500.1.p pacid=40088224 transcript=Brasy4G278500.1 locus=Brasy4G278500 ID=Brasy4G278500.1.v1.1 annot-version=v1.1 MDGFRPRAPLFHTTNAGRDKEAAMAARVRLVVATGRLEAVAWTARGSGRQAAMAAQGRASAAATAARGGGRGSTRRRLGAARTRSTGSARRRGSGRRAGAASAVSGRSSGGRDGARAWRRGSRWKPGRRAGSRGRSGDAPCCGGRGKVDEHASRREDNERTFTRYN* >Brasy4G248800.1.p pacid=40088225 transcript=Brasy4G248800.1 locus=Brasy4G248800 ID=Brasy4G248800.1.v1.1 annot-version=v1.1 MEPMTIRASDYVLDDLNSEGRGQHHTAFFTRSRPEPEPEADAPPRRPYEDAHWHEEAGYLFGVPASGKAMKALHVPAPGQTRELGCAVCLDDFEGADKLRTMPCSHSFHQRCIFRWLRVSRVCPYCRFPLPSVDEQRVLDEQASGAQAGLGNNCPTGN* >Brasy4G253600.1.p pacid=40088226 transcript=Brasy4G253600.1 locus=Brasy4G253600 ID=Brasy4G253600.1.v1.1 annot-version=v1.1 MELFINPHRLVLCIQKSMAKNTTPGGALPPAEPSAAPVALSPIGRGVISETPDEPRPDGRKNAVTKNTSQATGEPSPPASDPPASPADPVAPPPPRPATPPMDPDDEERHGPESRTIDKPRARGGGRGRGR* >Brasy4G238000.1.p pacid=40088227 transcript=Brasy4G238000.1 locus=Brasy4G238000 ID=Brasy4G238000.1.v1.1 annot-version=v1.1 MHHSYMFYSILFIILYIHYSTMTEPKAKRGGRTYLTWSDEMDSALLEVLVEHHNNGDHAQNGWKPHVYNAAILNVHAKCSIDITKDNISARIKTFDKHYEIISKILEQSGFGWDWENNKLSIDSDDVWSKYVEGNKGAGSYKTKVVKNWDAITTIYSKDHAW* >Brasy4G055000.1.p pacid=40088228 transcript=Brasy4G055000.1 locus=Brasy4G055000 ID=Brasy4G055000.1.v1.1 annot-version=v1.1 MEEYHHRMGAAAADFRRDLEDLVCDHLGGCYSPPPPSSSSSCSVAVGGGVGGGGAGGAAAHEADEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLAITRLHPVSTLDPSAFLLSSPTTPPPPRPQVPSPAAPSSLLQMWRELEHRRTDADQPFDREPSPNTPDRHRERVRQIARRLTTSTDSPTAAAATATGEWLGETERQRVRLVREWVQMASQPRDPRAGSRREEMGGAVAERERRAEPPRLRGRQARMDVITWMARERQRELQGLSGYHIVSQFPQRSLSRIQGLLRVRFLRNVVLPVEEEERQPSVAARELGQLRQSHRVSTLRLESAVSSQDVSQSDAPVVESVGLLDNDEIQGEADVRDFADSEDTAQTMLENVGLPEDNADDAEVESPSIALGDMVQMQVSQGDNGLQDETEGDTRFWQPSLDVRLDRWPDETAEAADRNWEDNAEEVHSEVLEDDDRENGHLQEEHDGWHDDESHGTEENWQDDYQDSALDTVPIVRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLEQLIRSYVQRRGPLNWNLDTAMPTANAPNDNQEQARNVQTRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHRVHQELDAINDLKADMGRLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGHALDPADDGSKWDQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYAVL* >Brasy4G250400.1.p pacid=40088229 transcript=Brasy4G250400.1 locus=Brasy4G250400 ID=Brasy4G250400.1.v1.1 annot-version=v1.1 MGHADQDLRPDNNDGLSSDDEPWSEQDDDEGSLSFDDSGSDEAAAGESDSSEDEVGPRNTVGQVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEQYLRNADDAKNWRKIYDVYNDEEVQITKDEAKIIIRLLKGKTPHANVDPYPDYVDWFEYDGKSHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPNYYLLWGDETDTADNKREGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRREGFDRCLDLYLCPRTRKKRLPSKKDLRPYPRTYYLEFKGHNGPVKSLSVEATGQWIASGPFCTFKIINIDVCMILKIGKMIVETGRCLKVLNVGADVHDIAWNPSPERPILAAIDKELLCVDEPTPEDDAEAFGIIPFRKLPGLPVAGNYFHPIHKMFLLLPLRSLFQVYDLQKAEVVKKLESAKMVNCVGFDTDLSTKPYKTLKTHKKDITSDCTAYVFHGMVYSDLNQNLLIVPLEILRGHLSIDRRGVLDCKFHPRQPWLFTAGADSVIRLYCE* >Brasy4G250400.2.p pacid=40088230 transcript=Brasy4G250400.2 locus=Brasy4G250400 ID=Brasy4G250400.2.v1.1 annot-version=v1.1 MGRTGYRGESTSPRKTLNPATSAASLLRPQARPQLSPRPPPPPRWVWGTPTKTSGQTTTTASLPTMSPGANKTTTRDRSRSMTAAPTKRRRERATPPRMR* >Brasy4G250400.3.p pacid=40088231 transcript=Brasy4G250400.3 locus=Brasy4G250400 ID=Brasy4G250400.3.v1.1 annot-version=v1.1 MGRTGYRGESTSPRKTLNPATSAASLLRPQARPQLSPRPPPPPRWVWGTPTKTSGQTTTTASLPTMSPGANKTTTRDRSRSMTAAPTKRRRERATPPRMR* >Brasy4G261800.1.p pacid=40088232 transcript=Brasy4G261800.1 locus=Brasy4G261800 ID=Brasy4G261800.1.v1.1 annot-version=v1.1 MAKKPSRNLQRPWIIAGDFNTVRSADDRNSGRVTITETQRFNNWLHDMQVQELPLLDKSFTWSNMQSTPILTRIDRVIFNTDWNLALPNSTLHSLPCSTSDHFPLKVEASKIPKSCLFRYESNWKFRPLFKNLVHDSWYDWHNGSDMAATLNGKLKFLRCKIKNWKKTCKPVKVYLDCCKFTLNFLDWLEENRPLSALEFFLRGMVKEKLQFYIHPLRWLQDNGEKLIGVCLGMKTPDSITLELRPE* >Brasy4G067400.1.p pacid=40088233 transcript=Brasy4G067400.1 locus=Brasy4G067400 ID=Brasy4G067400.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G237200.1.p pacid=40088234 transcript=Brasy4G237200.1 locus=Brasy4G237200 ID=Brasy4G237200.1.v1.1 annot-version=v1.1 MAAEGEKKMITLKSSDGEEFEVEETVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVNAAAAAKAANPSDGDANNSSTTAAPSEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE* >Brasy4G160000.1.p pacid=40088235 transcript=Brasy4G160000.1 locus=Brasy4G160000 ID=Brasy4G160000.1.v1.1 annot-version=v1.1 MAAGRIRFLLDAAARGMQEHARLSDLAVAAAVLFACSAALARRRARGGPMVWPVLGIIPTLFAHLDDIYDWGAAALARSGGTFPYRGMWGGGSSGVITSVPANVEHVLKANFANYPKGPYYRERFVELLGDGIFNADGDAWRAQRRAASAEMHSAQFLDFSARTIQELVHGRLMPLLGRLSCCQGGGGQAIDLQEVLLRFTFDNICAAAFGMDAGCLAEGLPDVPFARAFERATELSLSRFVTPPFVWKAKRALRVGGERALVEAARSVREFADKTVSERRTELRKLGSLHGRSDLLSRLLMCEDPSYSDEFLRDFCISFILAGRDTSSVALVWFFHLLTLHPDVEARVLADIRAAKGDTNNDMHYLHAALTESMRLYPPVPVDFKEALQDDVLPDGTYVRARQRVIYNAYAIGRDPGAWGRDCLEFRPERWLNKRGAFAGGAESPFKYVVFNAGPRLCVGKRFAYAQMKTLAAAVLGAFSLEVVPGQVVKPKLNTTLYMKNGLMVRFRRRQPEQQNVHYSTTVAAAAEE* >Brasy4G152000.1.p pacid=40088236 transcript=Brasy4G152000.1 locus=Brasy4G152000 ID=Brasy4G152000.1.v1.1 annot-version=v1.1 MDVMDPFTLDLLSCPALLPSAPQSTEVTELDSYLRATGDLPPLPRVEVSAPPMHQARKRAAPVLSDITTAGRNSVKRPRLSAYDADIDINLRAMEESPDEQPAPDYLDTVQRGTRMTPSTRQDLVIWMDDLARCYGPAPGTLLRGPRPLAPHPAAAVADAEYELQLLGATAVFTAAKYEDQGTRHKLDTAEIARYGGFAGAHEVRDMERHMCAALRYRLGGPTAYTFVDHFTSWYYGGDGEQDSEVQRLAHPLADRSLADYGCLRLLPSAVAASAVFLARLTLADPTTTTTASEVREWNTDFEELTGYRAVDLVAGMYSMCRMSPDPRFAVLPAFLQDP* >Brasy4G243500.1.p pacid=40088237 transcript=Brasy4G243500.1 locus=Brasy4G243500 ID=Brasy4G243500.1.v1.1 annot-version=v1.1 MVGAPPATPSPPSGSASEKVDGNTAEGQTENREDVGGKQTQEEVLASFGNLNLSPEESQIVVMDDPDVTGEITKCVLAINDFHVQTIGGALRPQWGNPRGALFQGIGNNMFVCTLESEKDRDRIWEGSPWMIGKHVVILADFEWSMRPSESLRAKVWIDVTKPLRRVIKINSPRRQSVDEYVVQYEHLSYFCFACGKLGHPDLHCPNPLLRKADGSWEYDASIRVPELKKKLPMMNP* >Brasy4G019900.1.p pacid=40088238 transcript=Brasy4G019900.1 locus=Brasy4G019900 ID=Brasy4G019900.1.v1.1 annot-version=v1.1 MGRSPCCDENGLKKGPWTAEEDQKLTDYIDKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTPEEEQTILQLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDHTPNQLQTEAVQAAKLEYLQCLLQSAAAIAASPSSSSINTIPGELEQIGLLSPPQISSLSSLSSPRILEGINCQDLVTGQLPDIQMPSSSFFEQPIINGTNQNSDYTANSGEGENGTPKSLLMSENSLPPLADFPISNLGDACSTSICDADSNSTQLPIWSDSFYDQFMSEFA* >Brasy4G365100.1.p pacid=40088239 transcript=Brasy4G365100.1 locus=Brasy4G365100 ID=Brasy4G365100.1.v1.1 annot-version=v1.1 MASPQAQPAGPVAFKDVFVDGHDAPPLPLPEGHAGAVDVEALPSSNGLRLYRGTWMAENWIRGIAHIRSGGLAARPGDVVLASPPKCGTTWLKALAFATMARAVHPPAGAGHDEHPLLRHSPHDCVPFVETFFGAGWGSKLDALPLPRLLATHMPYSLLPDCITTNPGCKIVYICRDPKDMVVSMWHFIRKIQPHVSFSDVFEQTCEGKSLCGPIWDHILGYWNASSHTIDVDDECRPELTKTAPVLFLRYEELLRDTAGNVRKLAQFFGQPFSVSEEESGMAEAIVELCSFDKLSSLEVNKIGEMGLHVKFSRQSYFRKGGAGDWANHMTPQMAHRFDDVMRGKLHGSGLAFT* >Brasy4G352300.1.p pacid=40088240 transcript=Brasy4G352300.1 locus=Brasy4G352300 ID=Brasy4G352300.1.v1.1 annot-version=v1.1 MEWTAPKPATSPPTHLLWDWGDAAAPGSSGDAAGRRGKEKRAKGEEGGAGGGGGGGAVVRCQVEGCGVELRAAKDYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDEKKRSCRRRLSDHNARRRKQQPDAFSFTPAKLPSTLIFDDRRQISFVWNKAPPNHVRPFACSPWDSPSEFKLSQVKNIREVSTNGQVHLDKSHLSTAVPTLSHDMDELFPVKGSDASLAASKLDGAPDLQHALSLLSASSCGLPDPAQQASCLVQFSGASQNSRGLHSSHGVSSASASCAEGQPMAPSPHLVRFTVDGTSSGYDATFFGLNKIN* >Brasy4G015700.1.p pacid=40088241 transcript=Brasy4G015700.1 locus=Brasy4G015700 ID=Brasy4G015700.1.v1.1 annot-version=v1.1 MLAYPSTSSPWPQLASPRHVAVATAAAPARGKRRGAADAGEGETAELVRFFLRKTAGGKERLVAVLDRHVKVVRTEHCFLLFEELGRHDGWVQCLEIFRWMQKQRWYVADNGIYSKLISVMGKKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFNKMKTMERCQPNIVTYNILLRACARASDAKQVEILFKDLDESLVSPDIYTYNGVIDGYGKNGMIKEMESVLVLMKSKQCRPDVITFNILIDSYGRKQIFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLKEKAESVLEKMDELGLKPSYVTQECLIMMYAYCDCVSKAQQIFDELVNSQSTVPLSSLNAMLDAYCMNRLPMEADRLLDAAIEKGVVPGASTYKLLYKAYTRANDKMLVQKLLGRMNKQGIVPNKKFFLDALEAFGTSANKPRRVQTSNSASKPNRDSAVNSEIATSSKSKLSPFEAKLRTLPDSNSVIKPSIYSESDSEAAASSEPELTVWQVAS* >Brasy4G015200.1.p pacid=40088242 transcript=Brasy4G015200.1 locus=Brasy4G015200 ID=Brasy4G015200.1.v1.1 annot-version=v1.1 MLHLQKQLLSLHRAASHSFCYLHRTASPDLFSLHRSLSSTAASLGDIAAEDYLVTTCGLTRELARKSTKYISHWKCTSNADSVLAFLAGPALRLSKADIANVVAQDPRILSSSVEKSLKVRIASFRSHGFSDAQVQTFARTVPYVFRSFNVQEKLGFWIPFLGSPEMFLRVLRRNYYLLTSDLEKVVKPNIELLRECGLSACVIAKMCIPSSRLLTSNPETVKSILVRADKLGVPRHSLMFRQAVATTMGLGAETLVAKLKFFSETLGCSEAEVLSMVRRNPVVLRCSREKLLSVSEFLIKVVGFDTGCILRRPTLLMYSMRRLVPRYYVMKVLQEKGLTRKDQNFYTLVTFGDELFRCRYIQPHKDVLPGLDDEYTNACKGKLPAGVVL* >Brasy4G130900.1.p pacid=40088243 transcript=Brasy4G130900.1 locus=Brasy4G130900 ID=Brasy4G130900.1.v1.1 annot-version=v1.1 MEAMRLLVQVAVTSALLMAAAVSAQLRVGFYDSSCPAAEIIVQQEVSSAVAANRGLAAGLLRLHFHDCFVGGCEASVLVDSTASNTAEKDAGPNKSLRGFEVIDRIKARVEQACFGVVSCADILAFAARDGIALTGGNGYQVPAGRRDGSVSKASDTSGNLPPPTPSVPQLTAIFASKGLTQKDMVTLSGAHTIGGSHCTSFSSRLQTPGPQTPDPTMDPGYVAQLASQCSSSSSGMVPMDAVTPNTFDEGFFKGVMANRGLLASDQALLGDGATAGQVVAYANDPATFQSDFAAAMVKMGYVGVLTGSSGKIRANCRVV* >Brasy4G294600.1.p pacid=40088244 transcript=Brasy4G294600.1 locus=Brasy4G294600 ID=Brasy4G294600.1.v1.1 annot-version=v1.1 MANKCYPSFFLFFTVLISILPKSYPQSTDQYSDEHQILLGLKRYWGSSPVLGRWNSSSSDYCSWGGVTCMNGLVTAISLTNQTFSKPIPTSLCLLKNLTYLDLSSNNFSTPFPAILYNCSNLIYLDLSYNAFAGHLPADINSLSAKLEHLNLSTNHVTGRIPPSVGWFPRLKSLLLDTNQFDGTPVPVEFGKLTCLTYLWLSGMNMTGEIPESLSSLTELTLLGMSNNKLNGTIPTWIWQHKKLQYFVTALNLAELDVSSNKLIGAIPDDFGKLVNLTLLFLYMNRLHGSIPPSIGLLLNLIDIRLFDNLLSGSLPPELGKHSALRNIEVPSNNLSGELPDYLCSNRELYDIVVFNNRFSGKLPKSLDGCYLLENLMLYNNIFTGEFPKSLWSVVTNRLSVVMIQNNNFSGTFPTHLPWNFTRLDIRNNRFSGPISTLAGKMKVFRAANNLLSGEIPWDLTGISQVVELDLSRNQITGSIPMTIGVLKLNTLNLSGNKISGNIPAAFGFMSVLTILDLSSNALSGEIPKEINKLRLNFLNLSMNHLSGEIPISLQNGAYEESSFFNPGLCVSPNNSIRNLPICRARSNIGNDTSRRLITLFSAVASTMVVGLALEKFHALHFTEYDVLSGLSEQNWIGSGRSGKVYRICVVDGADGNMILADFLAEVRILGEIRYTNIVKLLCCISSSEAKLLVYEYMENGSLDQWLHQGDRIVAPAPLDWLKRLQIAIDSARGLCYMHHDSSPAIVHRDVKSANILLDAEFRAKIADFGLARILVKAGDLKSVSAIGGTFGYMPPDYGYQQKVNEKVDVYSFGVVLLELTTGRVANGGGAEYCLAEWAWRQYQEYGLSIDLLDEDIRDPAYIEDAYAVFMLGVICTGGQPSLRPSMNDVLYALLRFEQKYRERGPQDTVSEETSLS* >Brasy4G113400.1.p pacid=40088245 transcript=Brasy4G113400.1 locus=Brasy4G113400 ID=Brasy4G113400.1.v1.1 annot-version=v1.1 MAEALGALCRGGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVENMLNQVHVVGEGIIGSALVSGECRWISDDISFSLVQTCSTDNLSLFQGYTWWQHQFLSGIKTIAVIPIPTLGVAQFGSTQKVSESLEFLDHVKGTFCGRESILWDPSTKHIRNEAFPHNTQCHLSSLSTKGLVPIKADPENKKLLENTVAVESLGSLVSSSTNYSPISSNGFTSYESCNGRNPHIVAMPVNSKSINAVRVFHNVSNLMQHNIGSENPLQIQYSKQPDSCLASATTSYSGLNNLPRVEHELSCAPNKQGYYLQSEKSSNYHNSFSACFPEGDELKPMLFDNNSSVAQNNVMQEASPNGFTSQTDCAVHESPNEILGETAVETVNCGRKGDNGNSNLLESMIFDPVTHDWWDDSVLLAGNIPHFSATTTASVTEQANSAPLSIEGRGLFSESILEELLGASPAVASSDPLTECFPGYELPGYAHQDSYSLCKEQVPTSNFPSSSYTSETMSNGVSKAIPVSLANLSMDDCCSLNTANSMVGQVKNPEGAKAIKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMIFLQGVTKYAEKIKQADEPKMISKDSGAVLRDNSSGVVLKDNSSAASNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLAKVISNGVPSFAEHQQSPISIPVGLAER* >Brasy4G113400.2.p pacid=40088246 transcript=Brasy4G113400.2 locus=Brasy4G113400 ID=Brasy4G113400.2.v1.1 annot-version=v1.1 MAEALGALCRGGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVENMLNQVHVVGEGIIGSALVSGECRWISDDISFSLVQTCSTDNLSLFQTIAVIPIPTLGVAQFGSTQKVSESLEFLDHVKGTFCGRESILWDPSTKHIRNEAFPHNTQCHLSSLSTKGLVPIKADPENKKLLENTVAVESLGSLVSSSTNYSPISSNGFTSYESCNGRNPHIVAMPVNSKSINAVRVFHNVSNLMQHNIGSENPLQIQYSKQPDSCLASATTSYSGLNNLPRVEHELSCAPNKQGYYLQSEKSSNYHNSFSACFPEGDELKPMLFDNNSSVAQNNVMQEASPNGFTSQTDCAVHESPNEILGETAVETVNCGRKGDNGNSNLLESMIFDPVTHDWWDDSVLLAGNIPHFSATTTASVTEQANSAPLSIEGRGLFSESILEELLGASPAVASSDPLTECFPGYELPGYAHQDSYSLCKEQVPTSNFPSSSYTSETMSNGVSKAIPVSLANLSMDDCCSLNTANSMVGQVKNPEGAKAIKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMIFLQGVTKYAEKIKQADEPKMISKDSGAVLRDNSSGVVLKDNSSAASNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLAKVISNGVPSFAEHQQSPISIPVGLAER* >Brasy4G113400.3.p pacid=40088247 transcript=Brasy4G113400.3 locus=Brasy4G113400 ID=Brasy4G113400.3.v1.1 annot-version=v1.1 MAEALGALCRGGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVENMLNQVHVVGEGIIGSALVSGECRWISDDISFSLVQTCSTDNLSLFQVSESLEFLDHVKGTFCGRESILWDPSTKHIRNEAFPHNTQCHLSSLSTKGLVPIKADPENKKLLENTVAVESLGSLVSSSTNYSPISSNGFTSYESCNGRNPHIVAMPVNSKSINAVRVFHNVSNLMQHNIGSENPLQIQYSKQPDSCLASATTSYSGLNNLPRVEHELSCAPNKQGYYLQSEKSSNYHNSFSACFPEGDELKPMLFDNNSSVAQNNVMQEASPNGFTSQTDCAVHESPNEILGETAVETVNCGRKGDNGNSNLLESMIFDPVTHDWWDDSVLLAGNIPHFSATTTASVTEQANSAPLSIEGRGLFSESILEELLGASPAVASSDPLTECFPGYELPGYAHQDSYSLCKEQVPTSNFPSSSYTSETMSNGVSKAIPVSLANLSMDDCCSLNTANSMVGQVKNPEGAKAIKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMIFLQGVTKYAEKIKQADEPKMISKDSGAVLRDNSSGVVLKDNSSAASNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLAKVISNGVPSFAEHQQSPISIPVGLAER* >Brasy4G089300.1.p pacid=40088248 transcript=Brasy4G089300.1 locus=Brasy4G089300 ID=Brasy4G089300.1.v1.1 annot-version=v1.1 MHITSTLMDMVSYFLFLNTTGTPIDKGGGLRPCFVPQRVPIPAVGVAVSVPLFGWPVYLSPSLPVVPLSPRKGLLAAAPPSSTGCLPSSSAADVSPTLLLSASVRCKLDLTGRAWPHAVPLSSRGGGSRAPGGTRLKGGRSVGRGVLVLNWSGCARAGAMAIGGSFGEWSRSSAVAAQVLVLCLRKPLI* >Brasy4G347800.1.p pacid=40088249 transcript=Brasy4G347800.1 locus=Brasy4G347800 ID=Brasy4G347800.1.v1.1 annot-version=v1.1 MKSASRLKKPANGLAHLSSRTWLWMRRRSRPTGVSSMHTYECSTLLFGIAQVRVLVFRYHAYPNNILESKKFPLGLLVPGEI* >Brasy4G038700.1.p pacid=40088250 transcript=Brasy4G038700.1 locus=Brasy4G038700 ID=Brasy4G038700.1.v1.1 annot-version=v1.1 MKKRTPASGVRAVALVILAALVASGAVQAGPVSFNYKDALSKSIMFLEAQRSGKLPPNNRIKWRGDSGMEDGKLAHVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFRPELQAAGELNNVHAAIRWGTDYFLKCAAKKNHMYVQVGDPNLDHQCWVRPENMNTPRTLYEIDDKTPGTEIAAETAAALAASSLVFRDTEKGYSRRLLNKAKLLYQFGRSHMKTYDGECPFYCSYSGYNDELLWAATWLYMATKRQVYADFISHEAISSSVAEFSWDLKYPGAQVLLADLNMTANGGLQSFKTQADNFVCAVLPDTPFHQVFITPGGMIHLRDGANTQYVTGTAFLFVVYGDILLRSGQQVMCGSQPIPPARLKEFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLKPGTVVSCGMSFGDWFAPDRPNPNELTGAIMGGPDGNDNFVDKRNASSCTEPCTYINSLSIGPLAALAVRGNNLVASQ* >Brasy4G062900.1.p pacid=40088251 transcript=Brasy4G062900.1 locus=Brasy4G062900 ID=Brasy4G062900.1.v1.1 annot-version=v1.1 MEKAIDRQRVLLAHLAPSSAQGQQLSASACAAGDSAAYQRSSCFGDDVVIVAAYRTPICKAKRGGFKDTYPEDLLTPVLKAVLDNTGINPGEIGDIVVGTVLGPGSQRAIECRTASLLAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPRVIELQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHMRAAAATASGKFKDEIIPVPTKIIDPKTGEEKKVVISVDDGIRPGTTASGLAKLKTVFKKDGTTTAGNSSQVSDGAGAVLLMRRALAMSKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIDDIDLFELNEAFASQFVYCCKTLGLDRSKVNVNGGAIAMGHPLGATGARCIATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDELSNVRHAPSQNFLSRDAK* >Brasy4G082400.1.p pacid=40088252 transcript=Brasy4G082400.1 locus=Brasy4G082400 ID=Brasy4G082400.1.v1.1 annot-version=v1.1 MEMEPRVSLPQRLLRLVFLLCFVPLRCRFVSVAEAAPTLVTRLPGFDGDLPFHLETGYVTVDEENGAELFYYFIESEGDPRRDPVILWLTGGDRCSVLSALFFEIGPLKFVVEPYNGGSVPRLRYHPYSWTKVASILFVDSPVGAGFSFSRNTKGYDVGDVSASLQLRKFLNKWFTEHQDYLANPFYVGGDSIAAKIVPFLALKISEDIEAGRRPNINLKGYLVGNPRTGEGIDFGSRVPFLHGMGIISDQLYEMIMEHCQGEDHMNPKNALCAKLMDRFNRLFEENSKPHILYKRCIYVSPRPNDDTTERKVLMEEIGPLKHVPPRPEMECQSYGNYLLYFWANNNMTWETLGFKKGSMDEWVRCHNGDLPYSEDIKSSIQYHRNIMTKAYRALVYSGDHDSVIPFLGTQSWVRSLNFPIVNEWRAWHLDGQSAGFTITYTNNMTFATIKGGGHTAPEYQPERCLAMFRRWISDEPL* >Brasy4G286000.1.p pacid=40088253 transcript=Brasy4G286000.1 locus=Brasy4G286000 ID=Brasy4G286000.1.v1.1 annot-version=v1.1 MNSVRSKLLALVLAMALAGAGGLAILASAEERAPRGTGMATAPFWTSVGVVHGSPWRRRALRGGENSGYGLAWRWSRLPPSGPSHRGHAVVTDVAPETEEKTPQSPAKDIDSSEP* >Brasy4G103800.1.p pacid=40088254 transcript=Brasy4G103800.1 locus=Brasy4G103800 ID=Brasy4G103800.1.v1.1 annot-version=v1.1 MINILSLHLQTPTFVQEPKCEVSSMVPSIEEPLVGGTAEKTGGVLKQSLVATEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYNLLGIYKQRAILVLTAVSLVVAMVWVYTGQILLLFGQDPEIAVGAGSYIRWMIPALFLYGLLQCHVRFLQTQNIVLPVMASSGVTAMSHVPVCWLLVYKLGMGSKGAALANGVSYLVNVSILAAYIRLSPSCRSTWTGVSKEAFRDVLGFVRLAVPSALMVCLEWWSFEMLVLLSGLLPNPKLEASVLSICLNTGSLVFMIPFGLGAAISTRVSNELGAGRPEAARLATRVIMVLSLLTGVSIGLVLILVRKLWGYAYSNEEEVVEYIARMMPVLAVSIIFDDLQGVLSGVVRGCGLQKIGAYVNLSAYYLVGVPAALCFAFVYHLGGMGLWFGIMCGIVVQMLLLLSITLRTNWEKEALKAKDRIFSSSLPLDMTT* >Brasy4G043700.1.p pacid=40088255 transcript=Brasy4G043700.1 locus=Brasy4G043700 ID=Brasy4G043700.1.v1.1 annot-version=v1.1 MECSGGKCSGGKSPGADEAGLASSFRETGMDSDAAPRWNRKNTRTCKLTTKELADKLSNIQTDEQEDDTSQSDNTTGKRKNATSRSSAKRVAPVVGKFCDTKIRLLRQIGFEDSVHIPGITKMDRAYTVDLMMSVDCDKRELQIDANTRIKLCDADVKRIFSIPCGRNKVRGIDSDEPEDTLDFIRLCIGTRGKDSYNSLEAAEYNVTREYSHPMSKEEEENFQVSFVVWIMNRFYSPTCQPNTGCRTFWGALEKPHEIVGFNWCAHVLDGTIEAARKAQYDMAAKKFVANVGGCPLLLQVKSFVGQLFFVVGLHVLV* >Brasy4G195700.1.p pacid=40088256 transcript=Brasy4G195700.1 locus=Brasy4G195700 ID=Brasy4G195700.1.v1.1 annot-version=v1.1 MAAPPTPARPAGRVDLIPTMADIVAASRAQGLNVRLRTVGPFFRVTMTRVDDDEKEAVELGRAEGAVRPWAGGSVLHLDSMRMSRATLSVPDRPLFGLGLFLGAVTVRHGFDAGCVRAELLAINDTPLYHDKLVKFYTRMGFKAVHEVDGSSMMDLAHMLVWGGRGTRMDADIEQLLVKWSKRFRSQD* >Brasy4G402400.1.p pacid=40088257 transcript=Brasy4G402400.1 locus=Brasy4G402400 ID=Brasy4G402400.1.v1.1 annot-version=v1.1 MQNLCECEQLFGFIFSICRKGVPRITIKPAGQAYFPVDDGYLQHGNAREADKCKNRMVQDFEFLISALYALRGNVHENSSQFSIWQEDRG* >Brasy4G145200.1.p pacid=40088258 transcript=Brasy4G145200.1 locus=Brasy4G145200 ID=Brasy4G145200.1.v1.1 annot-version=v1.1 MRSMGMVEARLPPGFRFHPRDDELVLDYLCCKLSGTGGGGVDMVDVDLNKCEPWELPDAACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDREIIAAAGSGGEAVVVGMRKTLVFYRGRAPKGSKTQWVMHEFRSADQTPRNAAAVGDHQLLDGQYSFSPTLLEEDWVLCRVFYKSGAGAPPRLPSYDEAAEGSPNSDHGFGVAAAPAMLPMASAAPLPSMSFGDLLSDIVQGSSSSDDPRPRELAEVDWSVVDGGGYTRQSGMSHTWNPF* >Brasy4G145200.2.p pacid=40088259 transcript=Brasy4G145200.2 locus=Brasy4G145200 ID=Brasy4G145200.2.v1.1 annot-version=v1.1 MRSMGMVEARLPPGFRFHPRDDELVLDYLCCKLSGTGGGGVDMVDVDLNKCEPWELPDAACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDREIIAAAGSGGEAVVVGMRKTLVFYRGRAPKGSKTQWVMHEFRSADQTPRNAAAVGDHQLLDGQYSFSPTLLEEDWVLCRVFYKSGAGAPPRLPSYDEAAEGSPNSDHGFGVAAAPAMLPMASAAPLPSMSFGDLLSDIVQGSSSSDDPRPRELAEVDWSVVDGGGYTRQSGMSHTWNPF* >Brasy4G120300.1.p pacid=40088260 transcript=Brasy4G120300.1 locus=Brasy4G120300 ID=Brasy4G120300.1.v1.1 annot-version=v1.1 MEEQTNKVMAALETLTKELKEMGLRMTGMETSVGSLQTSIDSLQTDVQKSQKNVEMVMTTVGSVQQDQIAMGRNVQQLQRASPPMAASLPINRTGLPTGPPLLAGSGVLGPNATTVQPPPTAPVGTVGASAAATTTQIPGHDTSHAAK* >Brasy4G087600.1.p pacid=40088261 transcript=Brasy4G087600.1 locus=Brasy4G087600 ID=Brasy4G087600.1.v1.1 annot-version=v1.1 MNVSTQKHHLPSIVLPDGTYLTPFGGGTHRLAFPDESSTADWVALDPELDSIIGEVPEVFQIRKVLMRSTPDDLVAVMGNIWKHPLILCRPGKGAWVPRVLTIPYFCIIDMAFFGDKLYAITKAEDLFALCISEDSEGKPIVTNVKQIIKHAPGHQDDMMKSWMASSGEDNHDTDEELEDDEASSEDDNHDTDEELDDDEAESEEDNHDTDDDNTDDNNEQLVFSDEGALSECEEGTCEGYLATITIRHLVESHGRLLMIRRQLQVHAFTPAQTSKVEIFEVELDTGAWIPLANGPSGGQAIFISNRYTHVVSTSREVEGDVIYFLDRNGIFDMRAGIVRPSKLINPFCHFESTWVFPPDLLVV* >Brasy4G044900.1.p pacid=40088262 transcript=Brasy4G044900.1 locus=Brasy4G044900 ID=Brasy4G044900.1.v1.1 annot-version=v1.1 MAPSRPLMRGIRPPRVFSTRPGGGGRVSPYAIALGALLLASAFLLALIAFGVFSLPVSAPNLATTAGGGETESGSAEESGGGGGESHSARSRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPRMEKSTVVDSTTGKSKDSRVRTSSGMFLRRGRDKVIRAIERRIADYTFIPAEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATILMYLSDVEEGGETIFPDANVNSSSLPWHNELSECARKGLAVKPKMGDALLFWSMNPDATLDPLSLHGGCPVIRGNKWSSTKWMHVGEYKA* >Brasy4G044900.2.p pacid=40088263 transcript=Brasy4G044900.2 locus=Brasy4G044900 ID=Brasy4G044900.2.v1.1 annot-version=v1.1 MAPSRPLMRGIRPPRVFSTRPGGGGRVSPYAIALGALLLASAFLLALIAFGVFSLPVSAPNLATTAGGGETESGSAEESGGGGGESHSARSRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPRMEKSTVVDSTTGKSKDSRVRTSSGMFLRRGRDKVIRAIERRIADYTFIPAEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATILMYLSDVEEGGETIFPDANVNSSSLPWHNELSECARKGLAVKPKMGDALLFWSMNPDATLDPLSLHGGCPVIRGNKWSSTKWMHVGEYKA* >Brasy4G195800.1.p pacid=40088264 transcript=Brasy4G195800.1 locus=Brasy4G195800 ID=Brasy4G195800.1.v1.1 annot-version=v1.1 MEGCLLGKIKRKDHLLLVEGAGAPAAAVQSPQTPVEPMEFLSRSWSVSASDISKVLAVGGGGRRSSNNFVVDRLSGILMPETLALAAASGTNPPPHNHKRTCRSRSAIAAHHHTIGRWFHHRDGSSRVDKARAERARVHAAVSVASVAAAVAAVAAKSEEDMELEMEDAKMDAALASATQLLASHCIEFAELAGADHDQVASAVEAAVGVRSPGDLMTLTAAAATALRGATALRLREQREAKSKAAVAPFDRASSCGRAADIWCKEGTLLKRSRKGALHWKRVAVYINKRSQVIVKLKSKHIGGAFSKKKRSVVYGVYDDVDAGGMSDSGTAAAEEKRHFGLRTAQGLVEFECESRMQKQDWVESVKNLLRQVAGGAAQLERSFESLRLSAS* >Brasy4G354300.1.p pacid=40088265 transcript=Brasy4G354300.1 locus=Brasy4G354300 ID=Brasy4G354300.1.v1.1 annot-version=v1.1 MANKWPGVGGGCWRRFVLLGRHRGRLLEVGWPSASRRVAVRLRSASRDSLKEKLHSAILLAGTPYYLASLELQASKQGHRRGQSRRRPPGDGAAPTARRLLEAGAVAAAAARGGIGEGGQEKGRRRRQEEEDEAGTGAPAAARGGGRGGSRDVGGGGQMKRMRWSPVWRREVGTGTRRNGSKHRQQTRAGRTDQLNRAADDTYEARTDKGYAH* >Brasy4G199600.1.p pacid=40088266 transcript=Brasy4G199600.1 locus=Brasy4G199600 ID=Brasy4G199600.1.v1.1 annot-version=v1.1 MYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAYDHASEEVLNYDQVFVRDFVPSAMAFLMNGEPEIVKNFLLKTVLLQGWEKKVDRFKLGEGAMPASFKVLHDDKKGTDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAEKPECQKAMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQSLFFMALRCALLMLKHDAEGKDFVERIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFVGNVSPARMDFRWFALGNMIAIVSSLATPEQSMAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGKYVGKQARKFQTWSIAGYLVAKMLLEDPSHLGMIALEEDKAMKPVLRRSASWTN* >Brasy4G066000.1.p pacid=40088267 transcript=Brasy4G066000.1 locus=Brasy4G066000 ID=Brasy4G066000.1.v1.1 annot-version=v1.1 MAICAASSLSLHAAPSAARHRRRSSTITAAAARFDRRSAALLLLSSATGAGLSALSSSPANAAGIGLFGIRKKLERAEEAAAEAVREVEEAAVAGGEAVAEAGKKVAGEGLELATEVGLAGDALVQAGIVAGAEALGVLVGLSVVNGILKPEA* >Brasy4G222800.1.p pacid=40088268 transcript=Brasy4G222800.1 locus=Brasy4G222800 ID=Brasy4G222800.1.v1.1 annot-version=v1.1 MELFGNLLHVYEQGVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKVEKVGASNRVRRCISELKDRVARGWDPTQLHEPPGEGVMPEGEPEGATQEDSILGTEPPSTDNHVIESVQEYIDPPPVESNVDAMQEDLLNEIYENTVHESGVKPGEGGAAEEPLAPREAEKCQDGGESCGSKPSQKVELTEEQRTRMEANRLKALERAAAATTY* >Brasy4G400900.1.p pacid=40088269 transcript=Brasy4G400900.1 locus=Brasy4G400900 ID=Brasy4G400900.1.v1.1 annot-version=v1.1 MVPEVSPSRSPPRAAGVGLEDTPPPPPPMQQQRAGVEVADEEAPPPQPPQSASIVVSSTSSAAAAKYVPPRGAERAANPAGSDGRLWHSWNGSRTKDRRRAPAAAPPRQYEQYQQPPPPRQQPQPVPQTWAAPPEPKPKPRPPLPSPSPEMPPPPRAAPPPVPVPGPAARLAERDRRGVPEILSRKRRTASLQRAALVARGVAAGLCLAALAVLAADSRKGWALDSYSNYTQLRYSEAVNVIGFLYSVFQFFALAAFLSRKRPLIPRPKGDYFDFTMDQVLAYLLISSSSSATARVSDWIDNWGSDPFPNMANSSIVISFLAFVVFAINSLISAYNLFRRDL* >Brasy4G400900.2.p pacid=40088270 transcript=Brasy4G400900.2 locus=Brasy4G400900 ID=Brasy4G400900.2.v1.1 annot-version=v1.1 MVPEVSPSRSPPRAAGVGLEDTPPPPPPMQQQRAGVEVADEEAPPPQPPQSASIVVSSTSSAAAAKYVPPRGAERAANPAGSDGRLWHSWNGSRTKDRRRAPAAAPPRQYEQYQQPPPPRQQPQPVPQTWAAPPEPKPKPRPPLPSPSPEMPPPPRAAPPPVPVPGPAARLAERDRRGVPEILSRKRRTASLQRAALVARGVAAGLCLAALAVLAADSRKGWALDSYSNYTQLRYSEAVNVIGFLYSVFQFFALAAFLSRKRPLIPRPKGDYFDFTMDQVCISEPLSSFS* >Brasy4G127200.1.p pacid=40088271 transcript=Brasy4G127200.1 locus=Brasy4G127200 ID=Brasy4G127200.1.v1.1 annot-version=v1.1 MSPADHLQPLQRNPGRRPPASMPTVRKRVLISSALLAVLCLVAQRYNGHGHRRPPSPPTPRTTPDEIVAIRKTTTASGDAAAAGCLPLAREAGSRAAAGRGRNFVVSPLSVHAALALVAAGARGDTQRQLLEFPGSPSLDALHGAPAMELLRELNGLNQTSFASGIWVDRRRALRPEFMAIGASRYAATAESVDFVKDAEQARQRVNAFVMNATNNLIRDVLPPGSVNSDTVLVLANALYFKGTWSEQPFDPSATFDAPFHTPDGTAARTGMTSSSPSTRASRPSSSPTRATMAMKSSDLKLLLLPDNNTTTMKLSDLYDQAVSTPGFIKDHTPAAKVPVGRFMVPKFKFTFEFEASSDMRKLGLTKPFDRGDFSGMVSGRDVRRITGVYHKATIKVDELGTVAAAATAIVVDATATGARKPPRPRVDFVADHPFLFAIVEERSSTVLFLGHVVNPLNH* >Brasy4G324400.1.p pacid=40088272 transcript=Brasy4G324400.1 locus=Brasy4G324400 ID=Brasy4G324400.1.v1.1 annot-version=v1.1 MGDAHVQTGTPHVSGSSSLRYTYTRPSSSVHPNSVARFAVRSPHTVSRSSCTPPPAIYRQAPINPIASFAVRTVFLSLVILEALSRIKAGSSVQKPLDWVQVRWTFR* >Brasy4G200500.1.p pacid=40088273 transcript=Brasy4G200500.1 locus=Brasy4G200500 ID=Brasy4G200500.1.v1.1 annot-version=v1.1 MVLLGNLMAGPAATAIRQFRQSQGADGPASILAIGTANPANCVQQDEYADYYFRVTKREHLTELKAKLSRICDKSAIKKRYFHHTEELLRRHPEFLDRTLPSLDARLDITATAVPELAAAAAFKAIAEWGRPASDITHLVVSTYSGAHMPGADRHLASLLGLDASVRTTMLYVNGCSSASAALRVAKDVAENDRGARVLVACAELTLVMFRAPDDVGTVVTQALLGDGAGALIVGAEAEAGAERPIFDMVAASQSVIPGTVHVAAGGFGEDGFRFYPSIEMPALVRENVERCLVDALGPLGLRPMSGGSSSSWAWNELFWAVHPGGRAILDNVEEALRLDPGKLAASRHVLSEYGNMSGPTLIFVLDEIRRRRDEHHGLGVMLGIGPGVTVETMLLHATGNQKDI* >Brasy4G200500.2.p pacid=40088274 transcript=Brasy4G200500.2 locus=Brasy4G200500 ID=Brasy4G200500.2.v1.1 annot-version=v1.1 MRFSSSVNCCCDKSAIKKRYFHHTEELLRRHPEFLDRTLPSLDARLDITATAVPELAAAAAFKAIAEWGRPASDITHLVVSTYSGAHMPGADRHLASLLGLDASVRTTMLYVNGCSSASAALRVAKDVAENDRGARVLVACAELTLVMFRAPDDVGTVVTQALLGDGAGALIVGAEAEAGAERPIFDMVAASQSVIPGTVHVAAGGFGEDGFRFYPSIEMPALVRENVERCLVDALGPLGLRPMSGGSSSSWAWNELFWAVHPGGRAILDNVEEALRLDPGKLAASRHVLSEYGNMSGPTLIFVLDEIRRRRDEHHGLGVMLGIGPGVTVETMLLHATGNQKDI* >Brasy4G420200.1.p pacid=40088275 transcript=Brasy4G420200.1 locus=Brasy4G420200 ID=Brasy4G420200.1.v1.1 annot-version=v1.1 MSGEEEENAAELKIGEEFLKAKSLMNCEVAIILEHRYEQLQQMSDGGGGGDPSSQMSQVFEKSLGYVKRFSRYKNPDAVRQVRETLSRYGLHEFELCTLGNLCPDTADEAKALVPSLVPGGRFDVDERIDKMLNDLSLIKKFE* >Brasy4G077100.1.p pacid=40088276 transcript=Brasy4G077100.1 locus=Brasy4G077100 ID=Brasy4G077100.1.v1.1 annot-version=v1.1 MFQEIRYCLPQFFQSSLALQMMIKSRLVSQNILSCHLRAFALPGLRANAARSFLGCLMQY* >Brasy4G071200.1.p pacid=40088277 transcript=Brasy4G071200.1 locus=Brasy4G071200 ID=Brasy4G071200.1.v1.1 annot-version=v1.1 MEVVALVSGGKDSCFAMMRCLDYGHKIVALANLIPLDDAVDELDSYMYQTVGHQIVVCYAKCMGLPLFRRRISGSTRDHGLKYSVTPGDEVEDMFALLSEVKQQIPSVAAVSSGAIASDYQRLRVESVCSRLGLVSLAYMWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSAHLGKELVELKSHLLHMNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSADSIASVGILHPLAFHLEHKPGSSSSIGDGAISQENSSCLYEVNGGIVHADAEDKQTLSPAPTSDAYPNIDVCISKTGKTVFSIGCWKKETSGSCASEGLKADLVAVLSRIENQLKEEGLTWVNVLYVHLYISSMKEFGLANEVYVSFITEKKCHLGVPSRSTIELPLVQVGLGNAYVEVLVANDLVKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAELELALVNSEAVANAFNSSIFSSAIHFLVYCSARLTSSEKEEVEHKLQNSYIGNLDCSRTGSYPTILYVLAPDLPKGACVEIKPTLYVPTNDDDDGDAMREPASSKVPSEWSARYSVLHDSCCLIHTVAGRICSAVVSITNDIASKICSRTEHLSDEHLKALAKFCAFQLAKILIDNGFCWDNVTMLRFYYSIEHPVAADVMSRAFSEAFTELGEADSSCRTDGVPVFNIVPVSGSGCSASMNNIITCELLASKA* >Brasy4G170900.1.p pacid=40088278 transcript=Brasy4G170900.1 locus=Brasy4G170900 ID=Brasy4G170900.1.v1.1 annot-version=v1.1 MGRSPCCEKEAGLKKGPWTPEEDQKLLSHIEQHGHGCWRSLPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQSIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADASSGAGSGTGARSRVAAHLSHTAQWESARLEAEARLAREAKLRALASPPPPVPASASAASVLDSPTSTLSFSESPLFGAARTPVQPVQSYGDACEEPQQHFGAGGAETGFAGSGITLASVLLDCSVAAGTEQRLLAMAEDEVGELEDKCYWNSILNMVNSSMSSSLTSEVAADDPEMYMPAAAAAGEF* >Brasy4G399100.1.p pacid=40088279 transcript=Brasy4G399100.1 locus=Brasy4G399100 ID=Brasy4G399100.1.v1.1 annot-version=v1.1 MVPPAPHLFDEILEEIFLRLPTPEALARVSTACATFRRVITERAFLRRFRKRHPPPLLGLINDDAGGFRPAEAPHPSARLARALLDAADFTYSFVPKPKQGWLSPWHPRDVRDGRVLLNCRTPGRTVRIAFAVCDPLSRRYVLLPPLPDDDLAVQEGAPAELAPVLAPVGDDGDETSFKVICMASFKSKVVAFVFSSDTRQWRIAASPSWSSLGADRPHGLRNCSYHGCRGLSCFDCVRGCMYSAAPWLDKLLVLDTERIQFSTINYTTRFHIGEVPYGYAMYSGFRPGMSRSLPGIVVGAEGALELFYLVDGDTPNGSSRLYHTTLQNNSEHWQLTNVISLPDQHHYFTVGSAEGFVFLGGTASELDCDDETERMETWPVDYFSLEVRTSELKKICRMTKAYFNHERVHSYFGFPPSLSKPSI* >Brasy4G399100.4.p pacid=40088280 transcript=Brasy4G399100.4 locus=Brasy4G399100 ID=Brasy4G399100.4.v1.1 annot-version=v1.1 MVPPAPHLFDEILEEIFLRLPTPEALARVSTACATFRRVITERAFLRRFRKRHPPPLLGLINDDAGGFRPAEAPHPSARLARALLDAADFTYSFVPKPKQGWLSPWHPRDVRDGRVLLNCRTPGRTVRIAFAVCDPLSRRYVLLPPLPDDDLAVQEGAPAELAPVLAPVGDDGDETSFKVICMASFKSKVVAFVFSSDTRQWRIAASPSWSSLGADRPHGLRNCSYHGCRGLSCFDCVRGCMYSAAPWLDKLLVLDTERIQFSTINYTTRFHIGEVPYGYAMYSGFRPGMSRSLPGIVVGAEGALELFYLVDASLFYRGLS* >Brasy4G399100.5.p pacid=40088281 transcript=Brasy4G399100.5 locus=Brasy4G399100 ID=Brasy4G399100.5.v1.1 annot-version=v1.1 MVPPAPHLFDEILEEIFLRLPTPEALARVSTACATFRRVITERAFLRRFRKRHPPPLLGLINDDAGGFRPAEAPHPSARLARALLDAADFTYSFVPKPKQGWLSPWHPRDVRDGRVLLNCRTPGRTVRIAFAVCDPLSRRYVLLPPLPDDDLAVQEGAPAELAPVLAPVGDDGDETSFKVICMASFKSKVVAFVFSSDTRQWRIAASPSWSSLGADRPHGLRNCSYHGCRGLSCFDCVRGCMYSAAPWLDKLLVLDTERIQFSTINYTTRFHIGEVPYGYAMYSGFRPGMSRSLPGIVVGAEGALELFYLVDASLFYRGLS* >Brasy4G399100.2.p pacid=40088282 transcript=Brasy4G399100.2 locus=Brasy4G399100 ID=Brasy4G399100.2.v1.1 annot-version=v1.1 MVPPAPHLFDEILEEIFLRLPTPEALARVSTACATFRRVITERAFLRRFRKRHPPPLLGLINDDAGGFRPAEAPHPSARLARALLDAADFTYSFVPKPKQGWLSPWHPRDVRDGRVLLNCRTPGRTVRIAFAVCDPLSRRYVLLPPLPDDDLAVQEGAPAELAPVLAPVGDDGDETSFKVICMASFKSKHHYFTVGSAEGFVFLGGTASELDCDDETERMETWPVDYFSLEVRTSELKKICRMTKAYFNHERVHSYFGFPPSLSKPSI* >Brasy4G399100.3.p pacid=40088283 transcript=Brasy4G399100.3 locus=Brasy4G399100 ID=Brasy4G399100.3.v1.1 annot-version=v1.1 MVPPAPHLFDEILEEIFLRLPTPEALARVSTACATFRRVITERAFLRRFRKRHPPPLLGLINDDAGGFRPAEAPHPSARLARALLDAADFTYSFVPKPKQGWLSPWHPRDVRDGRVLLNCRTPGRTVRIAFAVCDPLSRRYVLLPPLPDDDLAVQEGAPAELAPVLAPVGDDGDETSFKVICMASFKSKHHYFTVGSAEGFVFLGGTASELDCDDETERMETWPVDYFSLEVRTSELKKICRMTKAYFNHERVHSYFGFPPSLSKPSI* >Brasy4G043800.1.p pacid=40088284 transcript=Brasy4G043800.1 locus=Brasy4G043800 ID=Brasy4G043800.1.v1.1 annot-version=v1.1 MAVVTSSHTAVQSNEAPEQLETYPNTKPFSPNTAFSQEIVPEIPDVTSAKEDKEPNEAVVLGFATPAPVKKGPIISSPGTLNKLYSETGVCFRLMEPEDKININCRSLAEEFTDSPIQKRWCPPSKMEKSPWSKGIAHPTRDPTLTVKFYEWLATTDGPDIERPWIMHTTARYLEVTGTDLKNVFCRGEEMSYDLFDLAIRRLNELDEKMYMTKQVNRWRHIMESDFAMLAIALEDTTDTKSIRNQWIGSCIKHAIHACRMVTVPALVRKTWCAYFWDMKLKRVHVLDPLFKTNEAAYFQELHKQNLKAITHALTSCAKLFFNGWCPEWESWEPCYVAPRLPNVTR* >Brasy4G352000.1.p pacid=40088285 transcript=Brasy4G352000.1 locus=Brasy4G352000 ID=Brasy4G352000.1.v1.1 annot-version=v1.1 MSSVGTWKEERNESALGWISRLFLAALPAEPFLLTTIFPFPSPHAHANAKTTPEAIALPISISPAWLRARVIRKYVRPSSTAPPRAALLRPAVDRSIQLTDGRKDRSLRCRPPMARPRPPGDIAIVFFSLVFSVAGAVVSAADDGKTEGEVLVSFRDTLRSSDGSPPGPLRSWGTPGPCNGNISSWYAVSCHGNGSVQGLQLEHLGLAGLAPDVGSLAVLPGLRVLSLSDNQLTGPFPNVSALGVLKMLYLSRNKFSGVIPDATFRPMRGLRKLHLAENDFSGPVPGSITSPRLLELILARNRFDGPLPDFSQPELRFVDVSHNNLSGPIPAGLSRFNATMFQGNEFLCGKPLPVACDPEDLPAAAGGGVSWLATVAAALMVLGVMLAVVGVATGVLGRRRRRRRRAAARSAGSEGDQTPSNPKLQTAPCVNISHAASTSGAAAAAPAAASAGAAKRGARRDEHGRLVFIQETRVRFEIEDLLRASAEVLGSGNFGSSYKATLLDGRSEVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLVPLVAYLYKKEEKLLITDYMTNGSLAQLLHGSKGSILDWGKRLRIIKGAARGVAHLYDELPMLTVPHGHLKSSNVLLDGDFTAVLSDYALVPVLTASHAAQVMVAYKSPECVAKGKPSKTSDVWSLGILALEVLTGRFPANYLRQGKGQQGNADIAGWVSSVVDEERTGEVFDKDMAGTQGHEEEMLKLLRVALACCEADVDKRLDLKAALSGIEEIKDPPPPEPGDSSTTTDGAAETRS* >Brasy4G187600.1.p pacid=40088286 transcript=Brasy4G187600.1 locus=Brasy4G187600 ID=Brasy4G187600.1.v1.1 annot-version=v1.1 MFKKNVDAKALQRLSGADKKKLRRTAKQRFPQASDDDLDAILPPKVEITVAKYPSRTLVYGIEGELPMLFDIDGRGHELFPTVYALWKVPHLLPAFTLKGGEVSHYILGGADLMFPGISVPPEGLPSFQAGQPWSVKVPGNPAPIAVGTTTMSDTEALKAGLRGKALRIAHYYKDLLWASADGRYVPNEGFYDDMVVEDPNFASASQHVDSSEDPADCKQDKAAVDASDSHAGDPSIHSETIEDVTAGVSELSFPEEKTTEEPNEDKEHQHLSTEEIDSLLDKCLLQALHKSVKDKDLPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLILAKEDKHKKEVVLTGINRKHPDYMDFKPEKRVQESVEQHDNVVPEGSETKQLEVDEIYKPSSHVNPIFLAVEADIGKYYSASEASDVVFRYVEKENLVKPTDRAKVILDATLCDALYKGAVKKGSAYPSEVHKKDLGSTFINRMQIHHRVARGNEVVVRKGAIRTVQIMTERRQGNKKMTRVSGLECFLMDADSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIENLAKHLVDHYGVPKRFIEVYDKTKK* >Brasy4G187600.2.p pacid=40088287 transcript=Brasy4G187600.2 locus=Brasy4G187600 ID=Brasy4G187600.2.v1.1 annot-version=v1.1 MFKKNVDAKALQRLSGADKKKLRRTAKQRFPQASDDDLDAILPPKVEITVAKYPSRTLVYGIEGELPMLFDIDGRGHELFPTVYALWKVPHLLPAFTLKGGEVSHYILGGADLMFPGISVPPEGLPSFQAGQPWSVKVPGNPAPIAVGTTTMSDTEALKAGLRGKALRIAHYYKDLLWASADGRYVPNEGFYDDMVVEDPNFASASQHVDSSEDPADCKQDKAAVDASDSHAGDPSIHSETIEDVTAGVSELSFPEEKTTEEPNEDKEHQHLSTEEIDSLLDKCLLQALHKSVKDKDLPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLILAKEDKHKKEVVLTGINRKHPDYMDFKPEKRVQESVEQHDNVVPEGSETKQLEVDEIYKPSSHVNPIFLAVEADIGKYYSASEASDVVFRYVEKENLVKPTDRAKVILDATLCDALYKGAVKKGSAYPSEVHKKDLGSTFINRMQIHHRVARGNEVVVRKGAIRTVQIMTERRQGNKKMTRVSGLECFLMDADSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIENLAKHLVDHYGVPKRFIEVYDKTKK* >Brasy4G187600.3.p pacid=40088288 transcript=Brasy4G187600.3 locus=Brasy4G187600 ID=Brasy4G187600.3.v1.1 annot-version=v1.1 MFPGISVPPEGLPSFQAGQPWSVKVPGNPAPIAVGTTTMSDTEALKAGLRGKALRIAHYYKDLLWASADGRYVPNEGFYDDMVVEDPNFASASQHVDSSEDPADCKQDKAAVDASDSHAGDPSIHSETIEDVTAGVSELSFPEEKTTEEPNEDKEHQHLSTEEIDSLLDKCLLQALHKSVKDKDLPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLILAKEDKHKKEVVLTGINRKHPDYMDFKPEKRVQESVEQHDNVVPEGSETKQLEVDEIYKPSSHVNPIFLAVEADIGKYYSASEASDVVFRYVEKENLVKPTDRAKVILDATLCDALYKGAVKKGSAYPSEVHKKDLGSTFINRMQIHHRVARGNEVVVRKGAIRTVQIMTERRQGNKKMTRVSGLECFLMDADSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIENLAKHLVDHYGVPKRFIEVYDKTKK* >Brasy4G237000.1.p pacid=40088289 transcript=Brasy4G237000.1 locus=Brasy4G237000 ID=Brasy4G237000.1.v1.1 annot-version=v1.1 MRAGGGCSARLQGTETGRPAVAARSNGDPTQTTCAVARGRCIHTTTIWRRRWPARGRPPRRRHGAAPIRRRAGGRETGWSDGHPAAWVQRQPTRSALAPFSLLFCLYLKNSVVCLCPKLQAPCSTVFLPILICCTFWRLGILLGIILERW* >Brasy4G232300.1.p pacid=40088290 transcript=Brasy4G232300.1 locus=Brasy4G232300 ID=Brasy4G232300.1.v1.1 annot-version=v1.1 MRNYTSNTAMCDAAAAGLEPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTFASAEAAARAYDAAARALRGPLAMTNFPASLSSSSPFHGGRVRAAAGPACSGSSTVESFSGPRAAPRTVVTAPRAPAPAGCQSDCASSASVVDDGGEDEAASASGGGVRPRALLDLNLPPLPDHDFCTELRL* >Brasy4G096700.1.p pacid=40088291 transcript=Brasy4G096700.1 locus=Brasy4G096700 ID=Brasy4G096700.1.v1.1 annot-version=v1.1 MAAPNGRTPNPWYSTSARAFSEQRGYECWLCGEVWPTHQALGGHMSKRNRVNKSLLYQLPPLPPIILPAPPIPISLPSWLAPNPNFWEEYRRGGCRPVEIDFLGIPRSLDVVPTNGNASGTQVGVTMKDKEEADDGSGSI* >Brasy4G384000.1.p pacid=40088292 transcript=Brasy4G384000.1 locus=Brasy4G384000 ID=Brasy4G384000.1.v1.1 annot-version=v1.1 MAAPPTSHPLPPPPPPESPFLQVPDELLQEIFLRLPTAADLARASTACAAFRRVIADHKFLRCYRALHPPPLLGVIADSFIPAQPPHPSADAARAFADFDFSCSSFLPSTAGRSWRPLDFFEGRALLSGGPVEDESSTEILVGPEVRDWQYRDFLVRDLAVCDPVHRRYLVLPAVPADLAALICKPDFILLDTFLAPGEDEDDPLSFRVMCLAQCRMKLVLLVFSSGGHWLALTFDRSNAQALASLLHVEPGLSDRQYVHGRFSWQLRFLNKLVLLDVRMMEFSLVDLPPEQRNSRFFVVEAAEGLLGMLSIQYDDGSDDESEHDTYWLTDSILRNNQWKVEKVIPLLIKRWYLMGVAGGYLLIEVVYTTSSQGKVNLGYFSVDIKTLEVELFAGISESTFPGQLYAGFPPSLCAPTI* >Brasy4G384000.2.p pacid=40088293 transcript=Brasy4G384000.2 locus=Brasy4G384000 ID=Brasy4G384000.2.v1.1 annot-version=v1.1 MAAPPTSHPLPPPPPPESPFLQVPDELLQEIFLRLPTAADLARASTACAAFRRVIADHKFLRCYRALHPPPLLGVIADSFIPAQPPHPSADAARAFADFDFSCSSFLPSTAGRSWRPLDFFEGRALLSGGPVEDESSTEILVGPEVRDWQYRDFLVRDLAVCDPVHRRYLVLPAVPADLAALICKPDFILLDTFLAPGEDEDDPLSFRVMCLAQCRMKLVLLVFSSGGHWLALTFDRSNAQALASLLHVEPGLSDRQYVHGRFSWQLRFLNKLVLLDVRMMEFSLVDLPPEQRNSRFFVVEAAEGLLGMLSIQYDDGSDDESEHDTYWLTDSILRNNQWKVEKVIPLLIKRWYLMGVAGGYLLIEVVYTTSSQGKVNLGYFSVDIKTLEVELFAGISESTFPGQLYAGFPPSLCAPTI* >Brasy4G045000.1.p pacid=40088294 transcript=Brasy4G045000.1 locus=Brasy4G045000 ID=Brasy4G045000.1.v1.1 annot-version=v1.1 MDSGGGGGGGVTAIRVPYRHLRDAEMELVSLNSNGGSSSSPRARASPRKDQRRPREGEHEDHGNAAEGRKGSAPKYKVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCMMICAAVTLIGFSADLGYMLGDTTEHCSTYKGLRYRAAIIFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHKWFPFLMTRGCCEACGNLKAAFLVAVVFLVFCMSVTLYFAEEIPLEPKDAQRLSDSAPLLNGSRDDDRTSSEQSSGRIPNGHVDGNNLSANSSSEDCTDAGSNSNKDSVEDFNDGPGAVLVNILTSMRHLPSGMPSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRMIGTRLVWAISNFIVFVCMMATTILSWISFDLYSSKLHHIVGANKTVRNSALILFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVAPQIVVSLGAGPWDALFGGGNIPAFALASVFSLAAGVLAVLKLPKLSNNYTSAGFHGFG* >Brasy4G045000.2.p pacid=40088295 transcript=Brasy4G045000.2 locus=Brasy4G045000 ID=Brasy4G045000.2.v1.1 annot-version=v1.1 MHDDMCSYLGYMLGDTTEHCSTYKGLRYRAAIIFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHKWFPFLMTRGCCEACGNLKAAFLVAVVFLVFCMSVTLYFAEEIPLEPKDAQRLSDSAPLLNGSRDDDRTSSEQSSGRIPNGHVDGNNLSANSSSEDCTDAGSNSNKDSVEDFNDGPGAVLVNILTSMRHLPSGMPSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRMIGTRLVWAISNFIVFVCMMATTILSWISFDLYSSKLHHIVGANKTVRNSALILFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVAPQIVVSLGAGPWDALFGGGNIPAFALASVFSLAAGVLAVLKLPKLSNNYTSAGFHGFG* >Brasy4G381100.1.p pacid=40088296 transcript=Brasy4G381100.1 locus=Brasy4G381100 ID=Brasy4G381100.1.v1.1 annot-version=v1.1 MAAAARSSVLRLDVKPWGDETDMAKLEEAVRGVSMEGLTWGASELVPVVYGIKKLRITVTVVHTTCSPSTTSSTTTSAPTRLTSSSRAVTLPLSS* >Brasy4G396500.1.p pacid=40088297 transcript=Brasy4G396500.1 locus=Brasy4G396500 ID=Brasy4G396500.1.v1.1 annot-version=v1.1 MPPPRTLPLPHFTLPPLAGEDLVFVTALRSHLSSFSSKPPPSPSSLSPFLPQLTPLRLSHLLLHPPRVPHHLLASLLPSPPPPLPFALLLHSLPPRRSSELLSSLLPRHAAAFHDLLHHLLLTARLAAAGGGGAAAPAIDVLFSACARANKLSQTTLAFRAMRAHGLLPRVESCNTFISAALRLKRPEIAVAFFREMRRCCISPDTYTANMMLAAFCALGRVADAAKMLDEMPEWGVYRTVVSFNTLIAAYCRGHGGLENALELKRRMEKEGCAPDAVTYNTIIHGLCKERQMRQANQLLIEMRVMRVAPNTVTYNMLIHGHVMLGDNKTASRIREEMVKNGLQLDIVTYNTLILGLCREGKVKESEHLLQELDKRGLEPNASTFAALITGHWKTQNSERALQLLNVMKKSGFHPNYDTFKMVVSTFCKNKDFEGAVDVMKDILGRCMAPDKALLHEFFDGLSKAKKLHLAEDLRSADRAGRLIPAVYYTGDYRIKGEEETAY* >Brasy4G045600.1.p pacid=40088298 transcript=Brasy4G045600.1 locus=Brasy4G045600 ID=Brasy4G045600.1.v1.1 annot-version=v1.1 MSACDDDHASLLRSAAGAGASSSSSFSCPSPRSAAGHRHADVEAADDAAVAASPRRVGGVRGLLRHLDRRISSRGPSRRHQQQQQPGRSAALPEQQRQRAGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRISDTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLARPQQNQGINLLAAIFPTIKAVQAAVTLGTGCSLGPEGPSVDIGKSCAHGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENAPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAYIKEKFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVAATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINAAIPGSAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGEPSGLRAPMRGYSSISPQDKSSSILRRSDVLDDLELTILQTDLGNNGTCKDEMLLDDLKVSQAMSKIYVKVSPSATVGEALKLLNDKQQICALVVDDEDFLEGLVTLGDIRRMGFELHGESFISGDQLNSDEACSTISSCLTRGFQYKGSECGLLTCFTDTDLTTAKNLMEARGIKQLPVVKRGVGHRTEGKRKLIALLCYDSIGHCLWEEIENWKTIYQRKEDFHILANGHTLQ* >Brasy4G045600.2.p pacid=40088299 transcript=Brasy4G045600.2 locus=Brasy4G045600 ID=Brasy4G045600.2.v1.1 annot-version=v1.1 MSACDDDHASLLRSAAGAGASSSSSFSCPSPRSAAGHRHADVEAADDAAVAASPRRVGGVRGLLRHLDRRISSRGPSRRHQQQQQPGRSAALPEQQRQRAGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRISDTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLARPQQNQGINLLAAIFPTIKAVQAAVTLGTGCSLGPEGPSVDIGKSCAHGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENAPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAYIKEKFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVAATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINAAIPGSAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGEPSGLRAPMRGYSSISPQDKSSSILRRSDVLDDLELTILQTDLGNNGTCKDEMLLDDLKEACSTISSCLTRGFQYKGSECGLLTCFTDTDLTTAKNLMEARGIKQLPVVKRGVGHRTEGKRKLIALLCYDSIGHCLWEEIENWKTIYQRKEDFHILANGHTLQ* >Brasy4G144000.1.p pacid=40088300 transcript=Brasy4G144000.1 locus=Brasy4G144000 ID=Brasy4G144000.1.v1.1 annot-version=v1.1 MSNYETTQGFPEVLREIMRHIGFQYQPEYTVFEDYRDFNQEYYRAVVRLHQDMPSDRFPVHKAVGIGHTIELAIQQVAYMCVTLLRRKYERLDKGPFKYIPTGFITCENKFFTLPGLPDEKVADDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGIPPAPELTKLFQVNGFTPARGPPRVFESTYLPRLFLYGEQKADAYVFPYSPQLLSRF* >Brasy4G336000.1.p pacid=40088301 transcript=Brasy4G336000.1 locus=Brasy4G336000 ID=Brasy4G336000.1.v1.1 annot-version=v1.1 MEAAPDLSAVAAVAPSALALQVDLLQLPPEIPAPGAPALRGVLDRLFTHWLTLPDTVALVNCMAQKAKANGGGGAGFAGCAMLPSMMMQGGNVPPLSPRSPRLARKPSGLGGGQSNRSASPLRPATARAVKEIIPQFYFRDGRPPPYEQKKQCIFIVDQLFAGHSNGLRIQEFRMVTRELCKLPSFLTTVLFGKIDKENTEFVTRDAFMDFWVNSNMMTMDIATQVFMILKQNNHEYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGLLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKFGNHALTYRIVDRIFSEVPRKFTSKVPGKMGYEDFVHFILSEEDKSSEPSQEYWFKCIDLDGNGILTHNELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTLKDFRRCKLSGHFFNVLFNLNKFMAFEARDPFLIRQMREEPTLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF* >Brasy4G336000.2.p pacid=40088302 transcript=Brasy4G336000.2 locus=Brasy4G336000 ID=Brasy4G336000.2.v1.1 annot-version=v1.1 MEAAPDLSAVAAVAPSALALQVDLLQLPPEIPAPGAPALRGVLDRLFTHWLTLPDTVALVNCMAQKAKANGGGGAGFAGCAMLPSMMMQGGNVPPLSPRSPRLARKPSGLGGGQSNRSASPLRPATARAVKEIIPQFYFRDGRPPPYEQKKQCIFIVDQLFAGHSNGLRIQEFRMVTRELCKLPSFLTTVLFGKIDKENTEFVTRDAFMDFWVNSNMMTMDIATQVFMILKQNNHEYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGLLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKFGNHALTYRIVDRIFSEVPRKFTSKVPGKMGYEDFVHFILSEEDKSSEPSQEYWFKCIDLDGNGILTHNELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTLKDFRRCKLSGHFFNVLFNLNKFMAFEARDPFLIRQMREEPTLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF* >Brasy4G336000.3.p pacid=40088303 transcript=Brasy4G336000.3 locus=Brasy4G336000 ID=Brasy4G336000.3.v1.1 annot-version=v1.1 MEAAPDLSAVAAVAPSALALQVDLLQLPPEIPAPGAPALRGVLDRLFTHWLTLPDTVALVNCMAQKAKANGGGGAGFAGCAMLPSMMMQGGNVPPLSPRSPRLARKPSGLGGGQSNRSASPLRPATARAVKEIIPQFYFRDGRPPPYEQKKQCIFIVDQLFAGHSNGLRIQEFRMVTRELCKLPSFLTTVLFGKIDKENTEFVTRDAFMDFWVNSNMMTMDIATQVFMILKQNNHEYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGLLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKFGNHALTYRIVDRIFSEVPRKFTSKVPGKMGYEDFVHFILSEEDKSSEPSQEYWFKCIDLDGNGILTHNELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTLKDFRRCKLSGHFFNVLFNLNKFMAFEARDPFLIRQMREEPTLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF* >Brasy4G336000.4.p pacid=40088304 transcript=Brasy4G336000.4 locus=Brasy4G336000 ID=Brasy4G336000.4.v1.1 annot-version=v1.1 MEAAPDLSAVAAVAPSALALQVDLLQLPPEIPAPGAPALRGVLDRLFTHWLTLPDTVALVNCMAQKAKANGGGGAGFAGCAMLPSMMMQGGNVPPLSPRSPRLARKPSGLGGGQSNRSASPLRPATARAVKEIIPQFYFRDGRPPPYEQKKQCIFIVDQLFAGHSNGLRIQEFRMVTRELCKLPSFLTTVLFGKIDKENTEFVTRDAFMDFWVNSNMMTMDIATQVFMILKQNNHEYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYSLNRIGSGLLTLRELKRGNLIDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKFGNHALTYRIVDRIFSEVPRKFTSKVPGKMGYEDFVHFILSEEDKSSEPSQEYWFKCIDLDGNGILTHNELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTLKDFRRCKLSGHFFNVLFNLNKFMAFEARDPFLIRQMREEPTLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF* >Brasy4G215800.1.p pacid=40088305 transcript=Brasy4G215800.1 locus=Brasy4G215800 ID=Brasy4G215800.1.v1.1 annot-version=v1.1 MKEGGGDQRKSSSNILAKTLQKCRSLGGGGHHRRHHPSSSSSGSSAPPSPSPHHHRAAGAGWSSVPAGCFAVLVGPEKERFAVRARCANHPLFRALLDEAETEYGFAGCDGPLELPCAVDDFMEVMWEMEQQGAHGGSAASPSCARFGASRHHHHQQGYHMMSPGSSFLVAGRS* >Brasy4G155600.1.p pacid=40088306 transcript=Brasy4G155600.1 locus=Brasy4G155600 ID=Brasy4G155600.1.v1.1 annot-version=v1.1 MDSEDDARDSADEDFYSGGEAGLALSDDGDADYDFADHDSDDSDDLISHRQQQNYSILSEADIKQHQADDMNRVSTVLSISKSEACALLRSYNWSVSKVHDEWFVDEERVRSAVGLPEKQIEMPNERELTCGICFESCPRESMSAASCGHPFCGVCWRGYISTAINDGPGCLMLRCPDPSCSAAVGQDMIDLLASDEDKGKYDRYLCRSYIEDNRKTKWCPAPGCEYAVEFVVGGGSYDVSCGCSYGFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYEAARQEGAFDDSERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDLQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPDNEHAKRQFFEYLQGEAESGLERLHQCAEKELQIYLDADCPSKDFNDFRTKLAGLTSVTRNYFENLVRALESGLNDVGPNSGHGACSKAVSSKSLGGKSKSGKSKAPSASKSGSSTRGMDDGNIWACDQCTFANPRSARSCQVCDHQQHR* >Brasy4G155600.2.p pacid=40088307 transcript=Brasy4G155600.2 locus=Brasy4G155600 ID=Brasy4G155600.2.v1.1 annot-version=v1.1 MDSEDDARDSADEDFYSGGEAGLALSDDGDADYDFADHDSDDSDDLISHRQQQNYSILSEADIKQHQADDMNRVSTVLSISKSEACALLRSYNWSVSKVHDEWFVDEERVRSAVGLPEKQIEMPNERELTCGICFESCPRESMSAASCGHPFCGVCWRGYISTAINDGPGCLMLRCPDPSCSAAVGQDMIDLLASDEDKGKYDRYLCRSYIEDNRKTKWCPAPGCEYAVEFVVGGGSYDVSCGCSYGFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYEAARQEGAFDDSERRREMAKNSLERYTHYYERWAANQSLEKLSDLQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPDNEHAKRQFFEYLQGEAESGLERLHQCAEKELQIYLDADCPSKDFNDFRTKLAGLTSVTRNYFENLVRALESGLNDVGPNSGHGACSKAVSSKSLGGKSKSGKSKAPSASKSGSSTRGMDDGNIWACDQCTFANPRSARSCQVCDHQQHR* >Brasy4G191500.1.p pacid=40088308 transcript=Brasy4G191500.1 locus=Brasy4G191500 ID=Brasy4G191500.1.v1.1 annot-version=v1.1 MEADELLKKIRLLEEGHAELKRELGKLAPERRGTQLAARRRNSSALPPPSSRRAVAAAAPPQSSRVLRESPGRLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELICHPADFDAAYVVIQSIFMGKCFRGKFPVKNKSGERFFIVVHNTPLYDDDGSLVGLICLSLDARALEEIFNPPASAESYQSSAKPHFHANNQPKSGSLNKGSSSSQQPLQTAVTSKITTFASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRINGRLNGWCGWRWLCNQPPGLNSFSSKGGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQVFGAVGFMDHRLEIPSDTDPQWASMIESCWVSDPQRRPSFRELLERLHVLQKQYALQAQAQRRAAGKGAEKMMSVEDC* >Brasy4G191500.4.p pacid=40088309 transcript=Brasy4G191500.4 locus=Brasy4G191500 ID=Brasy4G191500.4.v1.1 annot-version=v1.1 MEADELLKKIRLLEEGHAELKRELGKLAPERRGTQLAARRRNSSALPPPSSRRAVAAAAPPQSSRVLRESPGRLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELICHPADFDAAYVVIQSIFMGKCFRGKFPVKNKSGERFFIVVHNTPLYDDDGSLVGLICLSLDARALEEIFNPPASAESYQSSAKPHFHANNQPKSGSLNKGSSSSQQPLQTAVTSKITTFASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQVFGAVGFMDHRLEIPSDTDPQWASMIESCWVSDPQRRPSFRELLERLHVLQKQYALQAQAQRRAAGKGAEKMMSVEDC* >Brasy4G191500.5.p pacid=40088310 transcript=Brasy4G191500.5 locus=Brasy4G191500 ID=Brasy4G191500.5.v1.1 annot-version=v1.1 MEADELLKKIRLLEEGHAELKRELGKLAPERRGTQLAARRRNSSALPPPSSRRAVAAAAPPQSSRVLRESPGRLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELICHPADFDAAYVVIQSIFMGKCFRGKFPVKNKSGERFFIVVHNTPLYDDDGSLVGLICLSLDARALEEIFNPPASAESYQSSAKPHFHANNQPKSGSLNKGSSSSQQPLQTAVTSKITTFASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQVFGAVGFMDHRLEIPSDTDPQWASMIESCWVSDPQRRPSFRELLERLHVLQKQYALQAQAQRRAAGKGAEKMMSVEDC* >Brasy4G191500.6.p pacid=40088311 transcript=Brasy4G191500.6 locus=Brasy4G191500 ID=Brasy4G191500.6.v1.1 annot-version=v1.1 MEADELLKKIRLLEEGHAELKRELGKLAPERRGTQLAARRRNSSALPPPSSRRAVAAAAPPQSSRVLRESPGRLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELICHPADFDAAYVVIQSIFMGKCFRGKFPVKNKSGERFFIVVHNTPLYDDDGSLVGLICLSLDARALEEIFNPPASAESYQSSAKPHFHANNQPKSGSLNKGSSSSQQPLQTAVTSKITTFASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRINGRLNGWCGWRWLCNQPPGLNSFSSKGGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQQVNKGSQLHLYSTKVLLTYHQS* >Brasy4G191500.7.p pacid=40088312 transcript=Brasy4G191500.7 locus=Brasy4G191500 ID=Brasy4G191500.7.v1.1 annot-version=v1.1 MEADELLKKIRLLEEGHAELKRELGKLAPERRGTQLAARRRNSSALPPPSSRRAVAAAAPPQSSRVLRESPGRLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELICHPADFDAAYVVIQSIFMGKCFRGKFPVKNKSGERFFIVVHNTPLYDDDGSLVGLICLSLDARALEEIFNPPASAESYQSSAKPHFHANNQPKSGSLNKGSSSSQQPLQTAVTSKITTFASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQQVNKGSQLHLYSTKVLLTYHQS* >Brasy4G191500.2.p pacid=40088313 transcript=Brasy4G191500.2 locus=Brasy4G191500 ID=Brasy4G191500.2.v1.1 annot-version=v1.1 MRESKPENAQRQNKDHMQRKRKAREIVVRAIPICLDPGSKQDKGADPASRSPARDVFAGGCLGLLGHLSPFDPAVTSSPANGSGRAAEEDTAAGGGPRGAQAGVREARTGAPRYAACCPASQFICAAAAFVAARRRRRRAPAILAGAPREPRQVVRQALPLDTAVSGTGRAHHCTRWETPLLASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQVFGAVGFMDHRLEIPSDTDPQWASMIESCWVSDPQRRPSFRELLERLHVLQKQYALQAQAQRRAAGKGAEKMMSVEDC* >Brasy4G191500.3.p pacid=40088314 transcript=Brasy4G191500.3 locus=Brasy4G191500 ID=Brasy4G191500.3.v1.1 annot-version=v1.1 MRESKPENAQRQNKDHMQRKRKAREIVVRAIPICLDPGSKQDKGADPASRSPARDVFAGGCLGLLGHLSPFDPAVTSSPANGSGRAAEEDTAAGGGPRGAQAGVREARTGAPRYAACCPASQFICAAAAFVAARRRRRRAPAILAGAPREPRQVVRQALPLDTAVSGTGRAHHCTRWETPLLASKVTRKVRSRIKTGQTCDEQYGSGCEGQYSEHGVRVELTSSEESTPSGDVLHASFVAEEKSPGKSSKTNSDDSGEGKVGFHKMFTSKAEALLSKKGISWPWKGHETDEGSGKNNMAPTQLHHKQENDQSDRRVPVLEPIIIPDCQDTEYAQASTYEVSGSWWTFNNNSMSSMSSPESTNSSAIDRVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQQRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKPQWMAPEVLRSEPSNEKSDVYSYGVVLWELITQKVPWDTLNTMQVFGAVGFMDHRLEIPSDTDPQWASMIESCWVSDPQRRPSFRELLERLHVLQKQYALQAQAQRRAAGKGAEKMMSVEDC* >Brasy4G438500.1.p pacid=40088315 transcript=Brasy4G438500.1 locus=Brasy4G438500 ID=Brasy4G438500.1.v1.1 annot-version=v1.1 MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMIECEDNWNCQIENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAGGRGGGPPVGGRGGAPPVRR* >Brasy4G340800.1.p pacid=40088316 transcript=Brasy4G340800.1 locus=Brasy4G340800 ID=Brasy4G340800.1.v1.1 annot-version=v1.1 MFRGHAPLHRLPSPPPPPAAAAGALPSASPSCRTATHVPFRQKLSFMVAFQAQHVKYAPNLIKSVVKSIRSNITDGDNGTTEPARELLERLFAKTQSLDTSASHDSELSMSIEVLKSEFERALSILRKKERYLRNAEKRVSDDRLRLNQTKQDLDQREQEISKAHARQQQMEKALKKTSRDLALRVKQINNLKLLVERQDRKIASSEALLSQKVIEVENLKQDMFNKNKEADLIRSEIKLKEQLLLEANQDVVQQEATVRELRSETEKKAIDIAISNELRKANEEKLKIAEQELEKQNLGWLAAQQELKELAQLASKDTDDIKGTVTDFKRVRSLLDAVRSELISSKDDFASSRRQIEEQAAQLQKQVQELKDQRVLLMSYTQDLEAAQLEIQGKTKDLNAAQSRCHELELQLLKEMEKVESLEAELTNERENLEQKTEQVDFLQKELVQKENECGNSQKLVKIKESELLEARHEVQDMKSKVDSIQLAVQEKDSELSDTQSRLTEVSGEVVELQQLLNSKDDQLVQVRTELHDKEQHIESMQSELESIRFRCSQAESVLRRMAELTGDLASSVKAGEMDIYALLDDEISSTGTVLESNLHKHNQLEADIEMLRESLRHKDMDLRAAHEALDAKDQELKAVLGKGDFKEKELDEVEELQKDPIDMKEFPVLSNETTGGSITGEMELKKLQIEAAEVEALAATTALKKLADMSKKYLRRRKADSGIGLVASESANIGKADSRMELNNKMDVIFEAEQEIVRLFSLTKELITDDTINDGEER* >Brasy4G189500.1.p pacid=40088317 transcript=Brasy4G189500.1 locus=Brasy4G189500 ID=Brasy4G189500.1.v1.1 annot-version=v1.1 MACPAQSMLSASSCVFLRSSKPQQATTMLRGVGIGSGSGRLFLCNASSSSPQDDSECNEVECAPEKEVGSLSVEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGTSSEGSENTAALAAGLALIFIAGVSSVLLQVGKNQPPAQVTAYSGPPLSYYVAKFQPAAAAFSAQPSPAVVAAAAPEETPSASDSPTVEASAADQSSPDAEQLSS* >Brasy4G396900.1.p pacid=40088318 transcript=Brasy4G396900.1 locus=Brasy4G396900 ID=Brasy4G396900.1.v1.1 annot-version=v1.1 MSKTNPSQEVETSAGLAREAVLTVLVTKIRTVDSTTTKYLGSGTGFVIKSHGGGSIVLTANHVVHDVQPGDGLYVRRRLPNGVQQLTAHVLHQHKFSDVAVLRVPKLRNVLSLTFEPNFLNGDSVIGVGYANPVNLFPGIDVAYKCIPDLSPGNIM* >Brasy4G265900.1.p pacid=40088319 transcript=Brasy4G265900.1 locus=Brasy4G265900 ID=Brasy4G265900.1.v1.1 annot-version=v1.1 MSQGTPLSQPVGIEESSESSPEASEKKGGRRKWSEQENIRLISAWLQNSNDPIDGNSKKAESYWKQVAADYNKNSTEEERRTAAQLKTHWATNSQLVSKFNGCWNVQKNTYASGQSDDQLMDRTRAAYMKLMKTKRPFALEHWWKAVKDQNKWKRVFGHEEMNKRNKLNASGAYSSPNEDHGDDPVEIPRPQGRNSAKAQRKGKDKSTSQSSGGTISNDSVQLFNDLQLRKSIAAEKMAEATFIQAEAERDRAGAEKERAGAEMERTRNEKLSKYMELIDKDTSHYTEAQKARHERLLDHLAKDLAIGDN* >Brasy4G325700.1.p pacid=40088320 transcript=Brasy4G325700.1 locus=Brasy4G325700 ID=Brasy4G325700.1.v1.1 annot-version=v1.1 MPAFHAYGDGGCLVSAPAELAGMFCRGGGGVVVQQQRKRSFVAASAVAAAAAECVRASKKQQRQPPQPSLDALPDECLFEVLRRLPGGRERADSACVSRRWLALLASIRASELGQATAAAPPSLPDLNEEFVMEEDTDDSPVDPCAERVLEGKEATDVRLAAMAVVAGSRSGLEKLAVRGSHPTRGVTDQGLLAVARGSPKLCSLALWDVPLVTDSGLAEIAAGCPLLERLDITSCPLITDKGLAAVAQGCPNLVSLTIESCSGVANEGLRAIGRCCSKLQAVSIKNCARVGDQGISSLVCSASASLAKIRLQGLNITDASLAVIGYYGKSVTDLTLARLAAVGERGFWVMANASGLQKLRCISVTSCPGITDLALASIAKFCSSLKQLCLKKSGHVSDTGLKAFAESAKLLENLQLEECNRVTLVGVLACLINCSQKFRTLSLVKCVAVKDICSAPAQLPVCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEITDNGLLPLIGSSEGALVKVDLSGCKNITDLAVSSLVKVHGKSVKQVSLEGCSKITDASLFSISENCTELAELDLSNCMVSDSGVASLASASHFKLRVLSLFGCSNVTQRSVPFLGNMGKLEGLNIQFCNMMGNHNIASLEKQLWWCDILA* >Brasy4G113700.1.p pacid=40088321 transcript=Brasy4G113700.1 locus=Brasy4G113700 ID=Brasy4G113700.1.v1.1 annot-version=v1.1 MDGTKEAQQNAREWQVTVPEGAGEQDAGAGDPVKRAAWAWLVVSCVAMFKCKVSGFRTMVWKIGTDDPQKTTYGIKVGIALALVSLFYYARPLYDGVGGRNVVWAIMTVVLVFEQTVGGSMYKGVNRTAGTISGTALALGLHWVASKSSKTFEPIVTAGYMFLLGAVAFSRFIPLVKSMFDYGVTVFIMTYSFVAVSGYRVEDLAMLALQRVSTISVGFFICFAVCVLVRPVWSGQELHLLTTRNMEKLADALEGCLEDYFFADADVAKRALVGTTKSEGYKCVLDSKAPEDSQANLARWEPAHGRFGFRHPYEEYTKVGAAMRHCAYCVEALHGCVAAAPEQQQQAPDLLVGVYTKIGARCARVLREASSSLATMTTSRTLALAVADMDNAVRELKSDMRALPAKLLLLLAEEPTEASSIDAMALLPVTLLLIEIATRIKGVADAVSTLASIGGFKPADDGGDHDKTKVEMEVPPLKDLDYSDASTVNLTTATIERPLSRARNPSTDQRV* >Brasy4G075000.1.p pacid=40088322 transcript=Brasy4G075000.1 locus=Brasy4G075000 ID=Brasy4G075000.1.v1.1 annot-version=v1.1 MGYSTHLLNAAHFVDQKGHLRSLSLFLRSWSDSRSISSCGCLVEFDTTRKHVGLGQRSGENGIVVVDVQLEGCEIGHRQLHARQP* >Brasy4G302500.1.p pacid=40088323 transcript=Brasy4G302500.1 locus=Brasy4G302500 ID=Brasy4G302500.1.v1.1 annot-version=v1.1 MAAIGAFQARGGGQNGVETCKNSHFLKGPSKMCGIYREYTFGPHLKLLRTPDRAQGGWVKP* >Brasy4G196500.1.p pacid=40088324 transcript=Brasy4G196500.1 locus=Brasy4G196500 ID=Brasy4G196500.1.v1.1 annot-version=v1.1 MVARSMVSVTPIWVSFVVFTALAFLLQPCASAVEFHRKLSGLSNGGATWYGASSGAGSDGGACGYQDAVSQQPFSSMIAAGSPSIYQEGKGCGSCYQVKCTGHQSCSANPVTVVLTDECPGGPCLEEPVHFDLSGTAFGAMAKPGQADQLRAAGRLQIQYTRVPCNWRGTHIAFKVDAGSNSYYLAMLVEDESGDGDLSAVDLKQSGAAGWAPMARSWGAVWRFNSGPMLQAPFSVRLTSGSGKILLADNVIPAGWKPGGTYRSVVNY* >Brasy4G366900.1.p pacid=40088325 transcript=Brasy4G366900.1 locus=Brasy4G366900 ID=Brasy4G366900.1.v1.1 annot-version=v1.1 MEKEKQAPGSSALLLALRSSKASALLSSLRQPPRSTTTRRSSSSSLELELRNSRLLHMELIAGRREAAGNARLSAAELFLVVALAPLLFLFVGLLAAATAEAAM* >Brasy4G366900.2.p pacid=40088326 transcript=Brasy4G366900.2 locus=Brasy4G366900 ID=Brasy4G366900.2.v1.1 annot-version=v1.1 MEKEKQAPGSSALLLALRSSKASALLSSLRQPPRSTTTRRSSSSSLELELRNSRLLHMELIAGRREAAGNARLSAAELFLVVALAPLLFLFVGLLAAATAEAAM* >Brasy4G273500.1.p pacid=40088327 transcript=Brasy4G273500.1 locus=Brasy4G273500 ID=Brasy4G273500.1.v1.1 annot-version=v1.1 MGGRAVLAVMALVLAAAAAGSADAGKGVAKGKYRALFNFGDSLADAGNLIANGVPDILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKLNRSDLTHGANFAITGATALDTPYFEARGLGAVVWNSGALMTQIQWFRDLKPFFCNSTEEECKEFYANSLFVVGEFGGNDYNAPLFAGKGLTEAYKFMPDVIQGISDGVEALIAEGAVDLIVPGVMPTGCFPVYLNMLDMPAHEYGSRSGCIRQYNTFSWVHNAHLKRALEKLRPKYPNVRIIYGDYYTPVVQFMLHPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYGHIAKGWLYGPFADQPIVQSS* >Brasy4G283500.1.p pacid=40088328 transcript=Brasy4G283500.1 locus=Brasy4G283500 ID=Brasy4G283500.1.v1.1 annot-version=v1.1 MAGGGELKLLGVWTSPYVIRVRVVLNLKSLPYEYVEEDLGSSKSPLLLASNPVHKSVPVLLHGGRPVNESQIIVQYIDEVWPGSGSGTGVPSILPADPYERATARFWAAYVDDKVGPAWLGMVFTCKTEEERAEAWDRAVAALETLEGALGERSEKGKAEAAFFGGEGIGFVDVVLGGYLGWFAAIDKLMGRRLIDPARTPLLAGWEARFRAADAARGVVPDDADKVLEFIRTLLAWSASKAE* >Brasy4G309000.1.p pacid=40088329 transcript=Brasy4G309000.1 locus=Brasy4G309000 ID=Brasy4G309000.1.v1.1 annot-version=v1.1 MALAPTSEPVIAEVEMNAGADQGATTVRATVVQACSVFYDTPATLDKAEKLIAEAAGYGSQLVLFPEVFVGGYPHGSTFGLTIGSRSAKGKEDFRKYHAAAIDVPGTEVTRLAALAGKYKVFLVIGVVERAGYTLYNTVLSFDSLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLIRTAMYAKGVEIYCAPTADALPSWQASMTHIALEGGCFVLTANQFCRRKDYPPTPEYTFGVLDEEPSPETAVCTGGSSIISPSGTVLAGPNYEGEALLTADLDLGDIVRAKFDFDVVGHYARPEVLSLTVKTEPKLAVSFASTGNKT* >Brasy4G021100.1.p pacid=40088330 transcript=Brasy4G021100.1 locus=Brasy4G021100 ID=Brasy4G021100.1.v1.1 annot-version=v1.1 MGSMGASAAETGEKPQPHAVCVPYPAQGHITPMLNVAKLLHARGFEVTFVNSEYNHARLLRSRGAAAVAGVHGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTETCLAPFRRLLADLNDDDTGRPPVTCVISDVVMGFSMAAAKELGLTYVQLWTASAISYLGYRHYRLLINRGLTPLKDAEQLTNGYLDTAVEDVPGLRNMRLRDFPTFIRTTDPDEYLVHYVLRETERAAGAAAVILNSFGDLEGEAVEAMEALGLPKVYTLGPLPLLAHEDQIQQPTPSSGISLSLWKEQEECLPWLDDKAPGSVVYVNFGSITVMTAAQMVEFAWGLARSGKQFLWIVRRDLVKGDAAVLPPEFLAETAGRGLMASWCPQQQVLDHPAVGAFLTHSGWNSTLESMCGSVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVQRDAVAGLITEIMDGEKGEEMRKKAGEWKEKAVKAALPGGSSHRNFDGLVRDVLLAKN* >Brasy4G162400.1.p pacid=40088331 transcript=Brasy4G162400.1 locus=Brasy4G162400 ID=Brasy4G162400.1.v1.1 annot-version=v1.1 MDPTPNSHPILTYVLSRLPSIKTAGSPRLSSPRDIEQPAPPSPSPRAPSGPAEFELVERMPGLRHPSVLASMTRAVADISHARDAIRLLDPRPDHELVDSARAFLRSRSQSAGDQEEDGDIEEKVAASREVVRLDEEHEAYGALLRDAEEKLERVYRMAMHGREVVEGSGKGGEEGSGAVDEEVVRLLKEAEEGKVVERVHLADRQLRHLPEPFGRIRGLLVLNVSRNQLQAVPDAIGGLEHLEELRLASNVLVSLPDTIGLLSNLKILDVSGNKLRSLPDSISKCRSLVELDASYNVLAYLPTGIGHELVNLQKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNELRGLPAAIGKLAALESLNLSSNFSDMRDLPESFCDLVGLRELDLSNNQIHELPTRFGQLDRLERLSLDQNPLAVPPMEVVAEGVGAVKEYMTKRLLAEEERRRNAVEAAESPRASTPMAWLSRSVSSLSTWVSGQDKPADEDAFLEQEL* >Brasy4G074600.1.p pacid=40088332 transcript=Brasy4G074600.1 locus=Brasy4G074600 ID=Brasy4G074600.1.v1.1 annot-version=v1.1 MAAHTEALHLQQTGTRSSSPIRPGAPQCRVRTRRLTAPWAEAQVAAMEPSGSGLRRWMGQKPEAEMETHLARVSGRGWQGERRQMRPPCGKAPPPGGGEESGRIWPRDLVADKGETPPLTSSAHAQAEARERDGGRGHPAARWGADPGGGGGRMRTAARGVGSSTWAPRTGDGAARAPPSPSSSAARFAEAFSGDGREEEGGWRRSGKP* >Brasy4G187500.1.p pacid=40088333 transcript=Brasy4G187500.1 locus=Brasy4G187500 ID=Brasy4G187500.1.v1.1 annot-version=v1.1 MTAGHKSGGGGAAAARAAAAGPRTVLITGVSRGLGRALAMELARRGHFIVGCSRSADPIRSLEAEIAFPSRHFLTVADVRSDSGIAELAKAVVEKKQIPDIIVNGAGTINKNNKTWNVPAEDFDAVVDTNIKGTANVLRHFVPLMIQKKHGIIINFSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSAALYQSTEQWAPKAATMVLSLSLEDNGASLTV* >Brasy4G346500.1.p pacid=40088334 transcript=Brasy4G346500.1 locus=Brasy4G346500 ID=Brasy4G346500.1.v1.1 annot-version=v1.1 MTPVVHCSVGSISLFHIGSFRPSREIQITRFRASQRYSRAHHRLLQPHTAFNLISIHKRSWSSANRLRTLSAAAVETDVAVEGSSPSPSGETSDGSSEAAAPAASPASSAPKSGRGGARKSEMPPLKDDDLVPGASFTGKVRSIKPFGVFVDIGAYTEGLVHISRVSDGFVEDISTLFTVGQEVSVKLVEANKQTRRISLTMRTGGDYVKEAPKAASGGGNPAAAAPRSSPRQKKDFKKIDEAKYARGQSLIGTVKNTTRTGSFVTLPDGDEGFLPREEEAAALFTLIGHSALEVGQQVEVKVLNVSRGQVNLTMKGGEDDEDELVSLNTDLKQGWSRGTNAFELAFRRSKEISAFLDQRETITVPEAQTAVDTGVESEQSSDKETEAIKAESVETESSVVTSEDDSTVDDKLIEPLSSTEVKSKEEDSSSTEAVTGTIEDITPVDEETGAELSSNAAAEVTSMSSVPEAEVKLDEPQESVEDVPVTASSESVDKEAAVETEEVTASSEKTTEVSPAGAVEASTTTATISPALVKQLRDATGAGMMDCKKALAESGADIDKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGILIELNCETDFVSRGDIFKELVDDLAMQAAACPQVKYISIDDVPEEVVKKETELEMQREDLLLKPEQIRSKIVEGRVQKRLGEFALLEQPFIKNDKVTTGEWVKQTIATIGENMKVRRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPPPAAPLKDDKPEETTETAEKKPTVAISAALVKQLRDETGAGMMDCKKALAETGGDLQGAQEFLRKKGLSSADKKSSRLTAEGLIGSYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPLVEYVSVEDIPESVVSKEKELEMQREDLQSKPENIREKIVEGRISKRLGVMALLEQPFIKDDSKTVKDLVKETIAGLGENIKVRRFVRYTLGEN* >Brasy4G319600.1.p pacid=40088335 transcript=Brasy4G319600.1 locus=Brasy4G319600 ID=Brasy4G319600.1.v1.1 annot-version=v1.1 MSFPKVYWEQALELAPLFNELVHRVSLDGDFLQQTLARTKEVDSFARRLLEIHSKMMEINKKEDIQLGLTRSDYMVDGATDRLLQVELNTISTSSNGLACGVCELHRNLIRHHETELGLDPSGVVGNTAITQHAEALATAWAEYNNQSAVVVVVVQAEERYMYDQYWITVALREMYAVTTIRKTMAEIEAEGELRPDGTLTINGCPVAVVYFRAGYSPNDYPSEAEWRARLLIERSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKSDIEKIRKCFAGLWSLENDSIVSFAIESPELFVLKPQREGGGNNIYGDNLRETLIRLRRDRSNEIAAYILMQRIFPPASLSYLVREGICVRENAVSEFGIFGAYLRNKDKVIINDQCGYLLRTKAASLNEGGVVAGFAFLNSIILS* >Brasy4G319600.2.p pacid=40088336 transcript=Brasy4G319600.2 locus=Brasy4G319600 ID=Brasy4G319600.2.v1.1 annot-version=v1.1 MSFPKVYWEQALELAPLFNELVHRVSLDGDFLQQTLARTKEVDSFARRLLEIHSKMMEINKKEDIQLGLTRSDYMVDGATDRLLQVELNTISTSSNGLACGVCELHRNLIRHHETELGLDPSGVVGNTAITQHAEALATAWAEYNNQSAVVVVVVQAEERYMYDQYWITVALREMYAVTTIRKTMAEIEAEGELRPDGTLTINGCPVAVVYFRAGYSPNDYPSEAEWRARLLIERSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKSDIEKIRKCFAGLWSLENDSIVSFAIESPELFVLKPQREGGGNNIYGDNLRETLIRLRRDRSNEIAAYILMQRIFPPASLSYLVREGICVRENAVSEFGIFGAYLRNKDKVIINDQCGYLLRTKAASLNEGGVVAGFAFLNSIILS* >Brasy4G319600.3.p pacid=40088337 transcript=Brasy4G319600.3 locus=Brasy4G319600 ID=Brasy4G319600.3.v1.1 annot-version=v1.1 MSFPKVYWEQALELAPLFNELVHRVSLDGDFLQQTLARTKEVDSFARRLLEIHSKMMEINKKEDIQLGLTRSDYMVDGATDRLLQVELNTISTSSNGLACGVCELHRNLIRHHETELGLDPSGVVGNTAITQHAEALATAWAEYNNQSAVVVVVVQAEERYMYDQYWITVALREMYAVTTIRKTMAEIEAEGELRPDGTLTINGCPVAVVYFRAGYSPNDYPSEAEWRARLLIERSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKSDIEKIRKCFAGLWSLENDSIVSFAIESPELFVLKPQREGGGNNIYGDNLRETLIRLRRDRSNEIAAYILMQRIFPPASLSYLVREGICVRENAVSEFGIFGAYLRNKDKVIINDQCGYLLRTKAASLNEGGVVAGFAFLNSIILS* >Brasy4G330400.1.p pacid=40088338 transcript=Brasy4G330400.1 locus=Brasy4G330400 ID=Brasy4G330400.1.v1.1 annot-version=v1.1 MAYTIREVLYLYSVARAAYERFVSICGNEEQARNAVALLVWLDQGTVSAIHHVPTISPSAVNEVAAEANSILECLRHQEPVLPAIPLISALCQDGDIDPRFFAFHQDLVVRGVTEFLDGVGKLIFDDRLHLLLRRHETGLIGNPSELMTPYDSQPIAMPEDRRSMFLTFSKGMPIEREEIFEYFRQKWGDCVVRVLVEKTAGGTAPMYGRIIFKSEAFLGLVLNGERLVKITIGYRQIWLRKYVPRPGNT* >Brasy4G225200.1.p pacid=40088339 transcript=Brasy4G225200.1 locus=Brasy4G225200 ID=Brasy4G225200.1.v1.1 annot-version=v1.1 MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTAGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKLCPLYPHNPEVSLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLISEYYSADDLVVQRKSTS* >Brasy4G225200.2.p pacid=40088340 transcript=Brasy4G225200.2 locus=Brasy4G225200 ID=Brasy4G225200.2.v1.1 annot-version=v1.1 MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKLCPLYPHNPEVSLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLISEYYSADDLVVQRKSTS* >Brasy4G225200.3.p pacid=40088341 transcript=Brasy4G225200.3 locus=Brasy4G225200 ID=Brasy4G225200.3.v1.1 annot-version=v1.1 MGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTAGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKLCPLYPHNPEVSLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLISEYYSADDLVVQRKSTS* >Brasy4G225200.4.p pacid=40088342 transcript=Brasy4G225200.4 locus=Brasy4G225200 ID=Brasy4G225200.4.v1.1 annot-version=v1.1 MGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKLCPLYPHNPEVSLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLISEYYSADDLVVQRKSTS* >Brasy4G225200.5.p pacid=40088343 transcript=Brasy4G225200.5 locus=Brasy4G225200 ID=Brasy4G225200.5.v1.1 annot-version=v1.1 MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTAGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKVHSIYCACNCDIYCNVVSFISTQSGGFTERTEITI* >Brasy4G225200.6.p pacid=40088344 transcript=Brasy4G225200.6 locus=Brasy4G225200 ID=Brasy4G225200.6.v1.1 annot-version=v1.1 MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKVHSIYCACNCDIYCNVVSFISTQSGGFTERTEITI* >Brasy4G225200.7.p pacid=40088345 transcript=Brasy4G225200.7 locus=Brasy4G225200 ID=Brasy4G225200.7.v1.1 annot-version=v1.1 MGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTAGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKVHSIYCACNCDIYCNVVSFISTQSGGFTERTEITI* >Brasy4G225200.8.p pacid=40088346 transcript=Brasy4G225200.8 locus=Brasy4G225200 ID=Brasy4G225200.8.v1.1 annot-version=v1.1 MGSTNQAAALADAIRSVGEQLISANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSRSASSTGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRYFRVFVAEGSPRYQGHVLAKELVEKGVQTTVITDSAIFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQKHAVPFVVVAGSHKVHSIYCACNCDIYCNVVSFISTQSGGFTERTEITI* >Brasy4G207900.1.p pacid=40088347 transcript=Brasy4G207900.1 locus=Brasy4G207900 ID=Brasy4G207900.1.v1.1 annot-version=v1.1 MAKSGERPSDSANGEKAPPPASRSGITKRLLRVALVFLVAVIYRQFQAPPPKICGSPGGPPVTGPRMKLRDGRHLAYHESGVPKQEAKHKIIFVHGFDSCRYDALQVSPELARELGIYIASFDRPGYGESDPHPARTEDSIALDIEELADALQLGPRFYLIGFSMGGEIMWSCLKNIPRRLSGVSILGPVGNYWWSGYPSDVSREAWHVQLPQDQWAVRVAHHAPWLASWWNTQNFFPASSVISFNPAVLSREDMAVLPKFAHRTYAGQVRQQGAHESLHRDMIVGFGKWKWSPLEMEDPFPEGEAAVHLWHGAEDLVVPVGLSRYIAETLPWVRYHELPTAGHLFPIADGMGDVIVRTMLFGDKMK* >Brasy4G207900.2.p pacid=40088348 transcript=Brasy4G207900.2 locus=Brasy4G207900 ID=Brasy4G207900.2.v1.1 annot-version=v1.1 MKLRDGRHLAYHESGVPKQEAKHKIIFVHGFDSCRYDALQVSPELARELGIYIASFDRPGYGESDPHPARTEDSIALDIEELADALQLGPRFYLIGFSMGGEIMWSCLKNIPRRLSGVSILGPVGNYWWSGYPSDVSREAWHVQLPQDQWAVRVAHHAPWLASWWNTQNFFPASSVISFNPAVLSREDMAVLPKFAHRTYAGQVRQQGAHESLHRDMIVGFGKWKWSPLEMEDPFPEGEAAVHLWHGAEDLVVPVGLSRYIAETLPWVRYHELPTAGHLFPIADGMGDVIVRTMLFGDKMK* >Brasy4G057900.1.p pacid=40088349 transcript=Brasy4G057900.1 locus=Brasy4G057900 ID=Brasy4G057900.1.v1.1 annot-version=v1.1 MAMDQAPLLVKKPAAANTTTVAEDKDAAPPRSIAEALTEAWEAFLRESERLWLIAAPITFNILCLYGVNSATQLFAGRLGNLQLSAAALALSVVSNFSFGFLLGMASALETLCGQAYGAGQTETLGIYMQRSWLILSVSAILLTPFYVFAGQILRLLGQDSHIAAAAGEFTLLILPQMFSLALAFPAQSKVAALAAHVAMLALFLAYVVSCCGDDGGGWGGLSWKAFQGLWEFARLSLAPAVMLCLDDVLTGRLDDAEIAVGSVSICMNLNGWEAMLFIGLNAAISVRVSNELGSGRPRAAKHAVVAVIAQSLAIGLVAMALILAYRNSFAVLFTGDGEMQAAVGENYSSKSTRKNRLLAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINPGCYYAFGLPLGFCLGYRAGLGPQGIWAGMLCGTALQTAVLLAVIWNTDWDAEVAQAGERISAWAGGESKPVKIQGGGDGGDLKEEACRV* >Brasy4G117200.1.p pacid=40088350 transcript=Brasy4G117200.1 locus=Brasy4G117200 ID=Brasy4G117200.1.v1.1 annot-version=v1.1 MEDERNTQSHQGGESDQVEVTDRGLFDKFIGKKKEEEDKKQEEVLVTGMEKVSVEEPEVKKEEHPDGEKKESLFSKLQRSSSSSSSSSDEEEEVIDDNGEVIKRKKKKGLKEKIKEKLPGHKDTEGEQKTTLPTPAPPASVVTHGGHHDDGAVAVEKIDEVKTEAPPAPEEEKKGFLEKIKEKLPGGHKKPEDAAAVPVTHAAPAPVHTPAPAPAATEEVSSPEKKGILGKIMDKLPGYHKTPAEEDKAAAGEHKTTA* >Brasy4G142900.1.p pacid=40088351 transcript=Brasy4G142900.1 locus=Brasy4G142900 ID=Brasy4G142900.1.v1.1 annot-version=v1.1 MLALISGACRDPEKLPGALISLGIVEAAAALCLIVSKAPGGLFLHHGKGLFYLYYGILIATVIFGLMEASAGFWVSGDVVGRRAAGKTMLWVSILPLVIVAALGGFVILKT* >Brasy4G073700.1.p pacid=40088352 transcript=Brasy4G073700.1 locus=Brasy4G073700 ID=Brasy4G073700.1.v1.1 annot-version=v1.1 MRVVAIARPGGPEVLEEREVEDLPAPGEGEVLVEVAAAGVNGADLTQRQGQYPPPPGASPYPGVECSGTILALGNNVPARWAVGDQVCALLAGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLIEVTCTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVTVFVTAGSDEKLAACKGLGADVCINYKTEDFEARIKEETNGKGVDFILDNIGGSYLQRNLNSLAVDGRLFVIGFMGGTVAQVNLPAMLARRLTIQAAGLRSRSLANKAQIVAEVEKNVWPAVLSGKVKPVIYKTFPLSEAAEAHKLMESSSHIGKILLIP* >Brasy4G073700.2.p pacid=40088353 transcript=Brasy4G073700.2 locus=Brasy4G073700 ID=Brasy4G073700.2.v1.1 annot-version=v1.1 MRVVAIARPGGPEVLEEREVEDLPAPGEGEVLVEVAAAGVNGADLTQRQGQYPPPPGASPYPGVECSGTILALGNNVPARWAVGDQVCALLAGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLIEVTCTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVTVFVTAGSDEKLAACKGLGADVCINYKTEDFEARIKEETNGKGVDFILDNIGGSYLQRNLNSLAVDGRLFVIGFMGGTVAQVNLPAMLARRLTIQAAGLRSRSLANKAQIVAEVEKNVWPAVLSGKVKPVIYKTFPLSEAAEAHKLMESSSHIGKILLIP* >Brasy4G117700.1.p pacid=40088354 transcript=Brasy4G117700.1 locus=Brasy4G117700 ID=Brasy4G117700.1.v1.1 annot-version=v1.1 MGSSAKRQLPPRGKKRGRPSLVDIQKRSLRLQVAGSSSINNSAKATDSTQEQVSDTGPMTPLPDQKLLVFILDGLQKKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWVSVDESLAKTPHCSASPDPLPELNSLSSTTAKSDNDKLVQTTDVSSNENEIQGYSSGTPQSVLSPAVDISTEARNSSR* >Brasy4G117700.2.p pacid=40088355 transcript=Brasy4G117700.2 locus=Brasy4G117700 ID=Brasy4G117700.2.v1.1 annot-version=v1.1 MGSSAKRQLPPRGKKRGRPSLVDIQKRSLRLQAGSSSINNSAKATDSTQEQVSDTGPMTPLPDQKLLVFILDGLQKKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWVSVDESLAKTPHCSASPDPLPELNSLSSTTAKSDNDKLVQTTDVSSNENEIQGYSSGTPQSVLSPAVDISTEARNSSR* >Brasy4G117700.5.p pacid=40088356 transcript=Brasy4G117700.5 locus=Brasy4G117700 ID=Brasy4G117700.5.v1.1 annot-version=v1.1 MGSSAKRQLPPRGKKRGRPSLVDIQKRSLRLQVAGSSSINNSAKATDSTQEQVSDTGPMTPLPDQKLLVFILDGLQKKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWQSLIMTSSSKQRMCPLTKMRFKVTPAELLNLCCPQQ* >Brasy4G117700.6.p pacid=40088357 transcript=Brasy4G117700.6 locus=Brasy4G117700 ID=Brasy4G117700.6.v1.1 annot-version=v1.1 MGSSAKRQLPPRGKKRGRPSLVDIQKRSLRLQAGSSSINNSAKATDSTQEQVSDTGPMTPLPDQKLLVFILDGLQKKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWQSLIMTSSSKQRMCPLTKMRFKVTPAELLNLCCPQQ* >Brasy4G117700.3.p pacid=40088358 transcript=Brasy4G117700.3 locus=Brasy4G117700 ID=Brasy4G117700.3.v1.1 annot-version=v1.1 MGSRSEFWKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWVSVDESLAKTPHCSASPDPLPELNSLSSTTAKSDNDKLVQTTDVSSNENEIQGYSSGTPQSVLSPAVDISTEARNSSR* >Brasy4G117700.4.p pacid=40088359 transcript=Brasy4G117700.4 locus=Brasy4G117700 ID=Brasy4G117700.4.v1.1 annot-version=v1.1 MGSRSEFWKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWVSVDESLAKTPHCSASPDPLPELNSLSSTTAKSDNDKLVQTTDVSSNENEIQGYSSGTPQSVLSPAVDISTEARNSSR* >Brasy4G117700.7.p pacid=40088360 transcript=Brasy4G117700.7 locus=Brasy4G117700 ID=Brasy4G117700.7.v1.1 annot-version=v1.1 MGSRSEFWKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWQSLIMTSSSKQRMCPLTKMRFKVTPAELLNLCCPQQ* >Brasy4G117700.8.p pacid=40088361 transcript=Brasy4G117700.8 locus=Brasy4G117700 ID=Brasy4G117700.8.v1.1 annot-version=v1.1 MGSRSEFWKDTHGVFSEPVDPEELPDYHDIVDHPMDFQTAREKLISGKYSSLEQFQEDVFLISSNAMCYNEPDTVYFRQAKAIHDLAKRNFESLRQESDGNEAEQKPVVRRGRPPNKFKKAVKALGDANEQNVRTVSWFSKQKLDMNEDYSASSLLKGFRKYGKTSLVIDESRRDTYKQSGWSTNAHEHPAHAIFGDSRKFLVPVGINMEHACARSIARFAANFGSIGWAVAAKRLEWMLPLGTKFGPAWQSLIMTSSSKQRMCPLTKMRFKVTPAELLNLCCPQQ* >Brasy4G394100.1.p pacid=40088362 transcript=Brasy4G394100.1 locus=Brasy4G394100 ID=Brasy4G394100.1.v1.1 annot-version=v1.1 MASPAPPIAERRTQPWLPALADEILAEILVRVPTPEALARASAACASFRSIITARSFLRRYRKLHRPPLLGLVVERGGGFRPAEAPHPSAPLARALVAAADFDSGSFVPEPPNQSWLTPWHPRDVRDGRVLLDCSCPIDFRLGAVFTSLAVYDPLSRRSVLLPPIPEDMSMTVQQDPVVEVQPILAPAGEDEDTTLFKVICTAHYKTKLVAFAFSSATGQWSVAASPSWSSLGTVEPASKKCLSRFNCLHGCFYWASVWRDKLLVLDTRSMEFSTVDTLTGYHTQLMHQPGQSTCVSTVIDGTKGALEMCTLVGDYSPTSYYLHHTTQQNNGESSNEWQLKNVIALPRRCLYFVMGASEGFLFLRGLREAQWDDNVHGVILEDNDVDFFSLEIKTSELKKILRGKCVNRPNRVHPYFGYPPPLLEPSL* >Brasy4G329900.1.p pacid=40088363 transcript=Brasy4G329900.1 locus=Brasy4G329900 ID=Brasy4G329900.1.v1.1 annot-version=v1.1 MTRLRRALLLFRRGLSSAAAELPPPRPSAGRRVVVTGLGAVTPLGRGVGTTWDRLVVGDCAVRALAAEDLRLTGDAAGSTLEQLPSRVAAAVRRGKGEHEFDEEAWTKDSRSISGFIAYALCAADEALRDANWLPSEDVKKERTGVSIGGGIGSISDILDASQTITENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHATERGAKIYAEVRGYGMSGDAHHITQPQNDGRGAILAMERALEQSGLQADQIDYLNAHATSTPLGDAVEASAIKSVFGHHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGLAPPTLNLEQPDPLFEGAFTPLTAAKKMPIRAAISNSFGFGGTNASLLFSCPP* >Brasy4G076300.1.p pacid=40088364 transcript=Brasy4G076300.1 locus=Brasy4G076300 ID=Brasy4G076300.1.v1.1 annot-version=v1.1 MILTKYEQVRRVGRLKTNLVGAADEPAEVMLGYGALFARFQRGCPRAFRELTRLSVENLWFEDPAAVSGLVRRCAALEFLSMRFCGFHPAAAMAIDLPPAPESSRLRTLLCIECQVPGVELVRAPSLVELHCGWLLNGGAPPASFGHAPELKKLALRYQQYGDEEEHAEWRLSDFLVNIPRQLEVLTLSFEFTKISVHLSVNLVKPQNISIFHPSYNLSWSVFLLEAAPLLETLHVKVLNHACNAHWHKKPAPSDTDHSEAAAAPPSPSPGFAHRRLKEVAIRRAFHVAMDAPFVRTVVEMAVNLERVTLGVGELGCKACAAAEARRPELARSRCRPGAGAGRDDHDALVERIMGGLASSARITVL* >Brasy4G314100.1.p pacid=40088365 transcript=Brasy4G314100.1 locus=Brasy4G314100 ID=Brasy4G314100.1.v1.1 annot-version=v1.1 MRLPGSSSSSSFFVIMLVLLTLRASPTAAEGAMTDTFIYAGCSPSKYEPHTAFESNLNSLLASIASSSGRATYNSFTSGAGTSNAQSSQPQAASGPRTAAYGLYQCRGDLSPGDCAACVQHTVARLGAVCANAYAASLQADGCYVRYDADDFVGRARVGPDNTTAVAYRRCGSGSSDDGAFLRSREGVLRQLQLQAAARGYKLITSGAVQGVAQCLGDIAAPAGCGACLAQAVAQLKDACGSALAADVYLEQCYVKYWADGHDFRSSQDYSGDEFGRTVAIIIGILAGLALLVIFISFLTKACKLPKLRPGRRENFSSCLGFSH* >Brasy4G314100.2.p pacid=40088366 transcript=Brasy4G314100.2 locus=Brasy4G314100 ID=Brasy4G314100.2.v1.1 annot-version=v1.1 MRLPGSSSSSSFFVIMLVLLTLRASPTAAEGAMTDTFIYAGCSPSKYEPHTAFESNLNSLLASIASSSGRATYNSFTSGAGTSNAQSSQPQAASGPRTAAYGLYQCRGDLSPGDCAACVQHTVARLGAVCANAYAASLQADGCYVRYDADDFVGRARVGPDNTTAVAYRRCGSGSSDDGAFLRSREGVLRQLQLQAAARGYKLITSGAVQGVAQCLGDIAAPAGCGACLAQAVAQLKDACGSALAADVYLEQCYVKYWADGHDFRSSQDYSGDEFGRTVAIIIGILAGLALLVIFISFLTKAC* >Brasy4G426300.1.p pacid=40088367 transcript=Brasy4G426300.1 locus=Brasy4G426300 ID=Brasy4G426300.1.v1.1 annot-version=v1.1 MAEEDVAAATEAFRLLTLGGGEPAVRVGAATGAQGLAESSPAAGGASTRAAGVNGAASTRSAAPGAPCLAAIIAAAGAAAGLAGVANAPPAGALLSALIPAGARGEIGGQIAPIAAPAGQNGAPEGRSAHIVVLGNVVGQDTLRLGADVDFTPRMALVSSAAAAASIVTVIGSAVHGHVPRFSWFVSGEAPTGAGAVLAADEGVLIFGTCFGYEARLAMPGGEMDLYTTLTMLAACFCLAVAIFWSRGLL* >Brasy4G115700.1.p pacid=40088368 transcript=Brasy4G115700.1 locus=Brasy4G115700 ID=Brasy4G115700.1.v1.1 annot-version=v1.1 TATKIERGAPRRQSSIPGALHGGEPRSWGAPRRATTPRRPPTPRQPAHPRATPTSPQRRSELSRTSIPAGAPSCRRLPGGLRLRSGRSWLLRRIQLPPVGPATISPDLATRQVLRLDFSPENF* >Brasy4G395900.1.p pacid=40088369 transcript=Brasy4G395900.1 locus=Brasy4G395900 ID=Brasy4G395900.1.v1.1 annot-version=v1.1 MNMGQAPHLSGQMTGQAPQMNQGVGGADGLPQHQQMQDAVALSVGNDPQFVMLRNTMREKIFEYIGRKKSSADWRRRLPELARRLEEILFRKFPNKTEYYSMMKAPVEPQLQFAIKTLSAQNEQNQQMSRQIASSSGYGMVPQNANMGTSMPGSMSNGYQHLTTNVPLSSTTIRLDLCSNSSISILHREYQRQLTWVVLEVFTNRVLCHTRSWHLHKLLNLPHFRISRASTSKELLMIMSKLDDSSNDVSYVLKGSQPEQMQQPQWRPQTMEKAPITSNSSLEKQIQDDFCQRTMAQDEAQQPFSSDWRLSGCTVTAVGPTSAKLPTGAFEQPTGNINHLRQMRWLLLLFHAKSCPSKELLMIMSKSPSLLPTLRQPRARKTLDLSPPPPPSLAAAAAFAVAGGGGGAMYLYSLTLQRATGAVCAVIGSFSGRDTKKSGAAGSSTQEIAVARGGTLDLLRPDPETGRLRTLLSVDVFGAVRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLTVDPKGRALCIAALEKQKLVYVLNRDASARLTISSPLEAHKSHTLTFALTALDCGFDNPVFGAIELEYGDSDRDPTGQAASHAQKLLTFYELDLGLNHVSRKVSEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRVDLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHNGDSVSELRIKYFDTIPVASAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETEEGFQPVFFQPRALKNLVRIDEIESLMPIMDMRIANLFDEETPQVYTACGRGSRSTMRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAIIESDKGAFSAEQREAAKRECLEASGAVENGNGNGDQMENGDGQEDGAEESNTLPDEQYGYPKAESEKWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTTKGLQFWPKRSLASGFIHIYKFVDEGKSLELLHKTQVEEVPLSLCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII* >Brasy4G032000.1.p pacid=40088370 transcript=Brasy4G032000.1 locus=Brasy4G032000 ID=Brasy4G032000.1.v1.1 annot-version=v1.1 MARRREEEGEEEEEGAYYSEEEEERRGGKGSRGGRGKRSRVESFIDDAASEDDDDEEEEEDDDEDYDGGGRGRASRRSNRSILIDDMAQVDEEEEEEDEGEFEDGFIDDARSDNPGENLGRISRLHSNPMEEEDDTEKMAAYILRRYKEPSNFDYVDEELTEVEQQALLPSVNDPKLWMVKCAIGHERETAICLMQKFIDRPDLRIISVVALEHLKNYIYVEAEKEAHVKEACKGLRNIFTSAKITMVPTREMTDVLSVTSKSVDLSRDTWVRMKLGIYKGDLAKVVDVDDMRHKVIVKLIPRIDLQALSNKLNGLEIAKKKKPFGQNDLDFAKKKKPLVPPPRFFSVDEAREMDIRVERKRDRNSGEYFEVVGGLMFKDGFLHKIVSRKSISIQNVQPSLDELERFRGVGDDMNEDMASLFINRKKGHFMKGDAVVVVKGDLKNLLGHVEKVEDGTVHMRPKRPDLLSTLVFSEKDLCKHFNPGDHVKVVSGVQEGATGMVVKLEGHVLIILSDTTKEHIRVLADHVVESSEVTTGITRIGDYELHDLVLLGDLSFGIIVRVESEAFQVLKGVPEKRELVLVTLREIKCKIDRRTSAEDTKGNIVSTKDVVRVIEGKFKGKQGSVEHIHKGILFIHDRHYLEQSGFICAKARSCFLVGGSIGNSRGMGMDTADPRHGAFRSPARIFESPGRLPPRGPYTNSGGRFGRGGRGGRGHDALVSKCIKIKSGPYKGYRGRVKEVNGALVRVELDSLMKIVAVKSEDIADTDTVATPFRESRHSWGGETPMHPSRTPLRPIQTPMWEPGATPDGTQTPIHNQAWAPMSPPRDNQEDGNPGTWGTWGSIPAYQPGTPIVKPYEAPTPGSGWATIPGSGFGDAPGNAPTPTAQPVTPIPPSYLPGTPGGQPMTPGNIGMDMMSPAIGDEGDSNWLLPEVLVNVSRADGSTSGVVKEVLPDGSCRIALGSSGSGDEVTAFPDELQIVRPKKNDALKIMNGSMRGVTGKLIGVDGSDGIVRVRVEGTLETKIVDMVILGKLATQDSCAREFS* >Brasy4G032000.2.p pacid=40088371 transcript=Brasy4G032000.2 locus=Brasy4G032000 ID=Brasy4G032000.2.v1.1 annot-version=v1.1 MARRREEEGEEEEEGAYYSEEEEERRGGKGSRGGRGKRSRVESFIDDAASEDDDDEEEEEDDDEDYDGGGRGRASRRSNRSILIDDMAQVDEEEEEEDEGEFEDGFIDDARSDNPGENLGRISRLHSNPMEEEDDTEKMAAYILRRYKEPSNFDYVDEELTEVEQQALLPSVNDPKLWMVKCAIGHERETAICLMQKFIDRPDLRIISVVALEHLKNYIYVEAEKEAHVKEACKGLRNIFTSAKITMVPTREMTDVLSVTSKSVDLSRDTWVRMKLGIYKGDLAKVVDVDDMRHKVIVKLIPRIDLQALSNKLNGLEIAKKKKPFGQNDLDFAKKKKPLVPPPRFFSVDEAREMDIRVERKRDRNSGEYFEVVGGLMFKDGFLHKIVSRKSISIQNVQPSLDELERFRGVGDDMNEDMASLFINRKKGHFMKGDAVVVVKGDLKNLLGHVEKVEDGTVHMRPKRPDLLSTLVFSEKDLCKHFNPGDHVKVVSGVQEGATGMVVKLEGHVLIILSDTTKEHIRVLADHVVESSEVTTGITRIGDYELHDLVLLGDLSFGIIVRVESEAFQVLKGVPEKRELVLVTLREIKCKIDRRTSAEDTKGNIVSTKDVVRVIEGKFKGKQGSVEHIHKGILFIHDRHYLEQSGFICAKARSCFLVGGSIGNSRGMGMDTADPRHGAFRSPARIFESPGRLPPRGPYTNSGGRFGRGGRGGRGHDALVSKCIKIKSGPYKGYRGRVKEVNGALVRVELDSLMKIVAVKSEDIADTDTVATPFRESRHSWGGETPMHPSRTPLRPIQTPMWEPGATPDGTQTPIHNQAWAPMSPPSQELQ* >Brasy4G250100.1.p pacid=40088372 transcript=Brasy4G250100.1 locus=Brasy4G250100 ID=Brasy4G250100.1.v1.1 annot-version=v1.1 MAQVGTAAIPMAGSRGEIANLGEIEREICKTMGGPSLLRPSLLPRRNGATADPHSGASSRVRIKPACTAKPTDAKKPKRPGSFRDGEPPAKRIRGPNLAAAAAKIENKKRPCSTPTSCLKDSKSSATKNQVTTLQIQHPEVVKPQSKPSVSSSSSSSISISELIEKARLAKARPSTAEAEPLDPREIERRRTLARRELEQMVATVEFNDPFIDPLDVTRSRQELILAREQAWRAQLLAVARPPPAVARPPAAAAMAQADIERCRAEPKQGVVYTLILT* >Brasy4G198100.1.p pacid=40088373 transcript=Brasy4G198100.1 locus=Brasy4G198100 ID=Brasy4G198100.1.v1.1 annot-version=v1.1 MGLTAFFFILLSLWVQDAAATIGFTRGDFAQDFVFGAGTSAYQYEGAVAEDGRSPSFWDTFTHAGKMPDKSTGDIAADGYHKYKEDLKLISETGLEAYRFSMSWSRLIPNGRGAVNPKGLEYYNNIIDELVKHGKYTF* >Brasy4G417700.1.p pacid=40088374 transcript=Brasy4G417700.1 locus=Brasy4G417700 ID=Brasy4G417700.1.v1.1 annot-version=v1.1 MAMVEEYQHATAAGSAGAGKKLNCSPQVYESLEHHLVVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRTSFDSTVTSATPSSTSISTSYNNYSSTGTVLTAAAGSAGSELVEPGAGGVPDRFLGITSDYLYQVQQQQPAMTVDMVDYQRTVAREIEARLEAKCDALADLFAMDERDSSSINQISSARLPERVKLIIEEIEKEESLLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDVKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYNEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPASS* >Brasy4G434000.1.p pacid=40088375 transcript=Brasy4G434000.1 locus=Brasy4G434000 ID=Brasy4G434000.1.v1.1 annot-version=v1.1 MAGIMVSASTGAMNSLLGKLTTLMGKEFAKLKNLRKEVKFITDELIGMKDALEGISYLDELDPQTKRWRDTVRDMSYDIEDIFDDFMQNIGESNETDGFVSNTVRRLKTLRARHRIASQIDDVKKLVLETSSRRQRYKLDIPPSSNVAIDPRIATLYENTANLVGVEGPTNELVNLLIDEDKILKVVSIVGFGGLGKTTLANAVYGRLKGEFNSCAFVPVSQKPNIPKLLRSLLSQLGIEASIHACESHLLDKLREHLQNKRYLIIIDDIWDVHAWCVIKCAFPENNLGGRVIVTTRIKEVAATCCSRHRDYILQMKPLSNKDSRRLFLGRIFGSEEACPDQLRDVSAEILKKCGGLPLAIISTSCMLASECSNQKERWKHVRDSLGSGTNLTLEGVRQILNLSYKDLPPHLKTCFLYLGMYPEDYKICRSDLEHQWMAEGFIGKENGQDAEKTAGNYFNELVNRSLIQPVEFDLRGSVTHCRVHDMILDLILLKSAEENFLTVVDDPQAFAGLEYKVRRLSIGCVSQVRTVMFFGRSQKTPPLSEFKFLRVLFVDLPQADGLSGLCKLCQLSATTLVVPSCYTLPSDIIHLPRLMHLTAGNIMLPDEIGSIKSLRYLEGFVTALNPLDNIKGLGELTNLRYLYLYCRTRSTLWGDKENCMDVLCSSVGRLCNLETLVVNLSGCMDGFMPLFPPSITCRLETLFFHYTNSWYSRVPRWMGELHNLGCLCCQVGELLADGVGILAELPALTHLIIVTRLAIREMIVIYGAGAFPALKCFDLTLSCPWYLMFQAGAMPKLQRLELKYNASGLEQNGAGPAGMEHLSALEELSAAIASKGATESEKKSAESALRSAVSMHPNHPR >Brasy4G345500.1.p pacid=40088376 transcript=Brasy4G345500.1 locus=Brasy4G345500 ID=Brasy4G345500.1.v1.1 annot-version=v1.1 MPNGENKLFKMPNADGEISVLHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVARISHIGLNRESPSLLIDIPDHEDLGHQHVIHSSAAIAGQQEETNFNQDTDLTLEAHEGEGSGLVESGEAANLNQLMCPLCRGTVEGWEIIKDARQYLDEKARACSREACTFSGNYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDHEGSGPSQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEIQRPRSRRRFVRSRSEERP* >Brasy4G345500.2.p pacid=40088377 transcript=Brasy4G345500.2 locus=Brasy4G345500 ID=Brasy4G345500.2.v1.1 annot-version=v1.1 MPNGENKLFKMPNADGEISVLHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVARISHIGLNRESPSLLIDIPDHEDLGHQHVIHSSAAIAGQQEETNFNQDTDLTLEAHEGEGSGLVESGEAANLNQLMCPLCRGTVEGWEIIKDARQYLDEKARACSREACTFSGNYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDHEGSGPSQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEIQRPRSRRRFVRSRSEERP* >Brasy4G345500.3.p pacid=40088378 transcript=Brasy4G345500.3 locus=Brasy4G345500 ID=Brasy4G345500.3.v1.1 annot-version=v1.1 MPNGENKLFKMPNADGEISVLHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVARISHIGLNRESPSLLIDIPDHEDLGHQHVIHSSAAIAGQQEETNFNQDTDLTLEAHEGEGSGLVESGEAANLNQLMCPLCRGTVEGWEIIKDARQYLDEKARACSREACTFSGNYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDHEGSGPSQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEIQRPRSRRRFVRSRSEERP* >Brasy4G345500.4.p pacid=40088379 transcript=Brasy4G345500.4 locus=Brasy4G345500 ID=Brasy4G345500.4.v1.1 annot-version=v1.1 MPNGENKLFKMPNADGEISVLHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKLDHMDSSSQPSSSFPRDPSNQNVARISHIGLNRESPSLLIDIPDHEDLGHQHVIHSSAAIAGQQEETNFNQDTDLTLEAHEGEGSGLVESGEAANLNQLMCPLCRGTVEGWEIIKDARQYLDEKARACSREACTFSGNYSALRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVLGDYVIEGGDMSSHDHEGSGPSQPSGSLLTTFFLFHMMSTSPMRSGDEPRGSSRGLRRQRRRYLWGENLLGLQYDDDDDEEGDDNLAEEIQRPRSRRRFVRSRSEERP* >Brasy4G257300.1.p pacid=40088380 transcript=Brasy4G257300.1 locus=Brasy4G257300 ID=Brasy4G257300.1.v1.1 annot-version=v1.1 MSTLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILVIRFEMPFNVWCGGCNSMIGKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCQHEIVIHTDPKNTEYVIISGAQRKTEDFDVEDAETLLLPADEERDKLADPMYKLEHQEEDIRKKKEEEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKKSARKMGLGVRLLPPSAEDAAAAASVKFASKFEKSRKDKRAAIKASSIFPESPSSASKDKLDLALKKRNIKAGAVSMLMAGRVKPSSLQSVGSRSASTHVRVLARRK* >Brasy4G200000.1.p pacid=40088381 transcript=Brasy4G200000.1 locus=Brasy4G200000 ID=Brasy4G200000.1.v1.1 annot-version=v1.1 MAGTASIAYQNTSTSPDWLNKGDNAWQMTSATFVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWAYNMSFGDRLLPFWGKARPALVQSFLVARSELPATASVHYHDGSLEVHMLRPFYPAATMVYFQCMFASITIVILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFMWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSASDKERSPPNNILLVLAGAGLLWLGWSGFNGGAPYSANIDSSMAVLNTHICASTSLLIWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLLLKQDYDNFGHYHVCKLVITGLVQCWAAVVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPTLCSLFLSIPDSGGAVYGGDGGSQFGKQIAGAVFVIAWNVVITSIICILISIVLPLRMADEQLLIGDDAVHGEEAYAIWADGELSDITHYDESTHNGVAVGVTQNI* >Brasy4G200000.3.p pacid=40088382 transcript=Brasy4G200000.3 locus=Brasy4G200000 ID=Brasy4G200000.3.v1.1 annot-version=v1.1 MAGTASIAYQNTSTSPDWLNKGDNAWQMTSATFVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWAYNMSFGDRLLPFWGKARPALVQSFLVARSELPATASVHYHDGSLEVHMLRPFYPAATMVYFQCMFASITIVILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFMWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSASDKERSPPNNILLVLAGAGLLWLGWSGFNGGAPYSANIDSSMAVLNTHICASTSLLIWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLLLKQDYDNFGHYHVCKLVITGLVQCWAAVVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPTLCSLFLSIPDSGGAVYGGDGGSQFGKQIAGAVFVIAWNVVITSIICILISIVLPLRMADEQLLIGDDAVHGEEAYAIWADGELSDITHYDESTHNGVAVGVTQNI* >Brasy4G200000.4.p pacid=40088383 transcript=Brasy4G200000.4 locus=Brasy4G200000 ID=Brasy4G200000.4.v1.1 annot-version=v1.1 MAGTASIAYQNTSTSPDWLNKGDNAWQMTSATFVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWAYNMSFGDRLLPFWGKARPALVQSFLVARSELPATASVHYHDGSLEVHMLRPFYPAATMVYFQCMFASITIVILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFMWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSASDKERSPPNNILLVLAGAGLLWLGWSGFNGGAPYSANIDSSMAVLNTHICASTSLLIWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQCWAAVVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPTLCSLFLSIPDSGGAVYGGDGGSQFGKQIAGAVFVIAWNVVITSIICILISIVLPLRMADEQLLIGDDAVHGEEAYAIWADGELSDITHYDESTHNGVAVGVTQNI* >Brasy4G200000.2.p pacid=40088384 transcript=Brasy4G200000.2 locus=Brasy4G200000 ID=Brasy4G200000.2.v1.1 annot-version=v1.1 MAGTASIAYQNTSTSPDWLNKGDNAWQMTSATFVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWAYNMSFGDRLLPFWGKARPALVQSFLVARSELPATASVHYHDGSLEVHMLRPFYPAATMVYFQCMFASITIVILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFMWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSASDKERSPPNNILLVLAGAGLLWLGWSGFNGGAPYSANIDSSMAVLNTHICASTSLLIWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQCWAAVVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPTLCSLFLSIPDSGGAVYGGDGGSQFGKQIAGAVFVIAWNVVITSIICILISIVLPLRMADEQLLIGDDAVHGEEAYAIWADGELSDITHYDESTHNGVAVGVTQNI* >Brasy4G338000.1.p pacid=40088385 transcript=Brasy4G338000.1 locus=Brasy4G338000 ID=Brasy4G338000.1.v1.1 annot-version=v1.1 MASGHSHVHTAAPLLQLVLVTTMTVMFVAKGSSSSSSAAKEPPRVPAVIVFGDSTVDTGNNNQIGTTLRSDFPPYGRDMPGGPRATGRFGNGRLPPDFISEALGLPPLVPAYLDPAHGIADFARGVCFASAGTGVDNATAGVLSVIPLWKEVEYYKEYQARLRAYAGAARARAIVRGALHVVSIGTNDFLENYYMLATGRFAEYTVAEFSDFLVAGARRFLAGIHALGARRVTFAGLAAIGCLPLERTTNAVHGGGCIEEYNRVAREYNVKVEAMLRGLRDELPGFRLVYVPVYDAMVDLVTNPAKFGLENVEEGCCATGRFEMGFMCNDEAPMTCEDADKFLFWDAFHPTQKVNRIMANHTLDLCYQQGVL* >Brasy4G373400.1.p pacid=40088386 transcript=Brasy4G373400.1 locus=Brasy4G373400 ID=Brasy4G373400.1.v1.1 annot-version=v1.1 MVDAWWFWYAGSHFNRTGDFAVPVVLDWAIRDAPSCAAAGAAHACLSAHSVCLDSANGPGYVCNCSSGFQGNPYVLGGCQDVDECARSDLYPCYGVCANTPGSFVCTCPKGRSGNATVRDGCNPIDEFTLALKVVTGVSIGVFLALLACFSAYLGLQKRRMLKAKQRFFEQNGGLLLQQQLGPLASSGVSFKIFSEDEIKRATGSFDDARVLGRGGNGVVYRGVLADGSTVAIKKSRVVDEKQLKEFSKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVPNGSLHRYIHGGSEGMGEPMPAGERLRIAAESAHALAYMHSSASPPILHGDVKSANILLDGELAAKVSDFGASRIAPVDEAQVATLVQGTCGYLDPEYLLTCQLTCKSDVYSFAVVLLELLTGRKAFWPDGPDEDDTSLAFSFVTAVQGGRHREIMDAHVRDKLGVEVLDDAAELVIRCLSLAGEDRPTMKEVADKIEGLRIRACRQ* >Brasy4G394800.1.p pacid=40088387 transcript=Brasy4G394800.1 locus=Brasy4G394800 ID=Brasy4G394800.1.v1.1 annot-version=v1.1 MSAAPETTVSPGPPSDPPTVADPANGVRLADSAAADATTEERVREPWSPDEDLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKHKAFTAFGRERRTDNAIKNHWNSTLRHPYCNDGRCKHGGSVERSIPEDLGSFTAMDVRDAPVKTVPETSVGSWHVADQYYSTQAVDPPYLSRPAAKIGAFKPYNPGNAEPTQQETPSSVFKFDSTLKALTPESSKQMWAWTHGCCTAHGRPQKNSLLGPEFNEFEDHPPILNSSFASLVSEISSIAWMRSGMQSDDASSLLQ* >Brasy4G215900.1.p pacid=40088388 transcript=Brasy4G215900.1 locus=Brasy4G215900 ID=Brasy4G215900.1.v1.1 annot-version=v1.1 MSGGDSRRRRPSAGGGGGGGGGCWGGRRSGSSAAKEQRLRLGAEELLESRLGFAPYTKGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKMELEVEAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRNYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIVDLREYDVPYHVRFATDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDDNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIENLDRDLQYSISVEGKLDINSVTNYDEVKDAIKQKLISLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGATSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLFDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTTAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLHDMFTPLDKDRGMQNLNGTGDMEDFLTPNNGLRKAAASHGFNIGKENRRNGPPSEEAGSHRSKNQQKSVTRSNEPLRDDCADERVDRSTDYQGWLDARKRKWKNVREQKKRRRLGSAASSEGPTNGLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRQCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPLELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFRNKELSPAFLEKQFRDAYQASGPLHENLTFHVDYHTSIDAGSKYVQRTLLEYRQQHPGPVICIIECPKLRVIREAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERIALARYAHVPLGNFELDWLLFTADVLFSRALHDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPSLTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGRSIGSFENDIPPGLHATETDFNDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALLLAEFRKLGANVIFANFSKIIIDTGKVDLPSARAYCDSLLKTLQTRDLFEWIELEPLHYWHSLLFMDQYNYGGIQAKTQNVTSEDESDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYIPWKYMKEEVAKRAATRDDTSCTPSITIMTAENLEGQVIAYLRGQVSGYFASKLLTIVDDILHHFKGKGKSESVVTASREPDPHTQKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSALQGHEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHVSEQCSCGGSFRCKEESSYFLSKMRVFLKVAVSQKFELLQDCVQWILEVR* >Brasy4G215900.3.p pacid=40088389 transcript=Brasy4G215900.3 locus=Brasy4G215900 ID=Brasy4G215900.3.v1.1 annot-version=v1.1 MSGGDSRRRRPSAGGGGGGGGGCWGGRRSGSSAAKEQRLRLGAEELLESRLGFAPYTKGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKMELEVEAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRNYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIVDLREYDVPYHVRFATDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDDNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIENLDRDLQYSISVEGKLDINSVTNYDEVKDAIKQKLISLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGATSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLFDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTTAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLHDMFTPLDKDRGMQNLNGTGDMEDFLTPNNGLRKAAASHGFNIGKENRRNGPPSEEAGSHRSKNQQKSVTRSNEPLRDDCADERVDRSTDYQGWLDARKRKWKNVREQKKRRRLGSAASSEGPTNGLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRQCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPLELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFRNKELSPAFLEKQFRDAYQASGPLHENLTFHVDYHTSIDAGSKYVQRTLLEYRQQHPGPVICIIECPKLRVIREAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERIALARYAHVPLGNFELDWLLFTADVLFSRALHDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPSLTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGRSIGSFENDIPPGLHATETDFNDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVS* >Brasy4G215900.2.p pacid=40088390 transcript=Brasy4G215900.2 locus=Brasy4G215900 ID=Brasy4G215900.2.v1.1 annot-version=v1.1 MSGGDSRRRRPSAGGGGGGGGGCWGGRRSGSSAAKEQRLRLGAEELLESRLGFAPYTKGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKMELEVEAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRNYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIVDLREYDVPYHVRFATDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDDNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIENLDRDLQYSISVEGKLDINSVTNYDEVKDAIKQKLISLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGATSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLFDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTTAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLHDMFTPLDKDRGMQNLNGTGDMEDFLTPNNGLRKAAASHGFNIGKENRRNGPPSEEAGSHRSKNQQKSVTRSNEPLRDDCADERVDRSTDYQGWLDARKRKWKNVREQKKRRRLGSAASSEGPTNGLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRQCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPLELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFRNKELSPAFLEKQFRDAYQASGPLHENLTFHVDYHTSIDAGSKYVQRTLLEYRQQHPGPVICIIECPKLRVIREAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERIALARYAHVPLGNFELDWLLFTADVLFSRALHDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPSLTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGRSIGSFENDIPPGLHATETDFNDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCRTDIWDFYC* >Brasy4G215900.4.p pacid=40088391 transcript=Brasy4G215900.4 locus=Brasy4G215900 ID=Brasy4G215900.4.v1.1 annot-version=v1.1 MSGGDSRRRRPSAGGGGGGGGGCWGGRRSGSSAAKEQRLRLGAEELLESRLGFAPYTKGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKMELEVEAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRNYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIVDLREYDVPYHVRFATDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDDNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIENLDRDLQYSISVEGKLDINSVTNYDEVKDAIKQKLISLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGATSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLFDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTTAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLHDMFTPLDKDRGMQNLNGTGDMEDFLTPNNGLRKAAASHGFNIGKENRRNGPPSEEAGSHRSKNQQKSVTRSNEPLRDDCADERVDRSTDYQGWLDARKRKWKNVREQKKRRRLGSAASSEGPTNGLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRQCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPLELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFRNKELSPAFLEKQFRDAYQASGPLHENLTFHVDYHTSIDAGSKYVQRTLLEYRQQHPGPVICIIECPKLRVIREAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERIALARYAHVPLGNFELDWLLFTADVLFSRALHDQQQL* >Brasy4G215900.5.p pacid=40088392 transcript=Brasy4G215900.5 locus=Brasy4G215900 ID=Brasy4G215900.5.v1.1 annot-version=v1.1 MSGGDSRRRRPSAGGGGGGGGGCWGGRRSGSSAAKEQRLRLGAEELLESRLGFAPYTKGERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKMELEVEAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRNYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIVDLREYDVPYHVRFATDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDDNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIENLDRDLQYSISVEGKLDINSVTNYDEVKDAIKQKLISLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGATSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLFDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTTAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLHDMFTPLDKDRGMQNLNGTGDMEDFLTPNNGLRKAAASHGFNIGKENRRNGPPSEEAGSHRSKNQQKSVTRSNEPLRDDCADERVDRSTDYQGWLDARKRKWKNVREQKKRRRLGSAASSEGPTNGLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRQCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPLELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSFRIHAVVVNPFRNKELSPAFLEKQFRDAYQASGPLHENLTFHVDYHTSIDAGSKYVQRTLLEYRQQHPGPVILVICIIECPKLRVIRQAVRTLDA* >Brasy4G391400.1.p pacid=40088393 transcript=Brasy4G391400.1 locus=Brasy4G391400 ID=Brasy4G391400.1.v1.1 annot-version=v1.1 MYSTTLLSCHAAGRNTAAVPLDLVDWTKECTVAKGCAPTPHPQPNHDDFCKAYCFTQGFDPHQSYCNSDLGGSCCCVKRSSATWK* >Brasy4G021600.1.p pacid=40088394 transcript=Brasy4G021600.1 locus=Brasy4G021600 ID=Brasy4G021600.1.v1.1 annot-version=v1.1 MFGCMNWGLELGIWNLKFRNRAFGCFWHWRVESETNSALAPAPRHLTSRDTEGFPSVFSGIGLPQFPGAVVSREADWRQRTGDAIMCMMGDMKSEFQEVLKTTDPVTLPKVTPSAEILAALQTIPDLAEGDMLRAYGRLSISERLVESLMELPMTLRKAWLMTLP* >Brasy4G418600.1.p pacid=40088395 transcript=Brasy4G418600.1 locus=Brasy4G418600 ID=Brasy4G418600.1.v1.1 annot-version=v1.1 MRVLPLALAAAIFSGVAAIIIYLSGLSSYGAGGGVSEADLAALATLQGEFGKCVDANGLGLQAVAGEDYCRVVMQYPSDTVSKWEDPKTGDPEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGVLLNKCRNRTLCMEKLSLVLPETSPYVPQQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDNVVKLDETKKEALIVKTTIHDIMNQMIRELPITNPVYLMLGTSFGSSAKGTGVKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPTKDTIEAARVASDKLLKRPGAGSDEPLSTCTMIKKREKGKAPNRSGLRDAAMDHLSYMKGATRYPLERNAGGGYLCMINDG* >Brasy4G172300.1.p pacid=40088396 transcript=Brasy4G172300.1 locus=Brasy4G172300 ID=Brasy4G172300.1.v1.1 annot-version=v1.1 MVVGRPDLASLAAPPSLAVASVARRRQPLPVFSSRPGASPPASQASKRPAGLTLLLCNKPHHEQCPPCPSFGSRTAVHLLRQTDPFLITSLLLCNLIDASMLLATHPSRERYTERDFWMPLCTVIEIENFRGTCTQLDNSICLMRFNVHF* >Brasy4G001700.1.p pacid=40088397 transcript=Brasy4G001700.1 locus=Brasy4G001700 ID=Brasy4G001700.1.v1.1 annot-version=v1.1 MSPSLLLTSSPSASASSRLLRSPPPPPLQPRWSLVSFPTRRNRPLRCATTNGSAGAGDGASNLPKNRRDILLEYIRSVQPEFMELFIKRAPTQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKGSEDYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNEILEYLKTLEPQNLKELASSAGEDVVFAMNEFIKRLLAVSDPAQMKTAVSETSGSQLANLLFWLMIVGYSMRNIEVRFDMERVLGAPPSPKIGELPPGPGDNTQTQ* >Brasy4G001700.2.p pacid=40088398 transcript=Brasy4G001700.2 locus=Brasy4G001700 ID=Brasy4G001700.2.v1.1 annot-version=v1.1 MSPSLLLTSSPSASASSRLLRSPPPPPLQPRWSLVSFPTRRNRPLRCATTNGSAGAGDGASNLPKNRRDILLEYIRSVQPEFMELFIKRAPTQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKGSEDYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNEILEYLKTLEPQNLKELASSAGEDVVFAMNEFIKRLLAVSDPAQMKTAVSETSGSQLANLLFWLMIVGYSMRNIEVRFDMERVLGAPPSPKIGELPPGPGDNTQTQ* >Brasy4G367300.1.p pacid=40088399 transcript=Brasy4G367300.1 locus=Brasy4G367300 ID=Brasy4G367300.1.v1.1 annot-version=v1.1 MAQDDPVVSAQWLQRHLGQPDIKVLDASWYMPQESRDPWQEYKVAHIPGALFFDIDGIADRTTDLPHMLPSAEAFAAAVSALGIRNHDKVIVYDSKGFYSAPRVWWMFRVLGHNEVWVLDGGLPQWRASGYNLGSNCPDDTILKSKAANSAVETTYNGELENAATFHTEFQPQLLWTLEKVKHNVAAQAHQVVDARSRGRFDGIEPEPRKGVRSGHIPGTKNVPFPEMFDGAPKLLPADELSKKFEQAGISLDHPIVVTCASGVTACIVALGLYRVGKHDIPVYDGSWTEWEAQPDIDYPKFTSTGS* >Brasy4G371000.1.p pacid=40088400 transcript=Brasy4G371000.1 locus=Brasy4G371000 ID=Brasy4G371000.1.v1.1 annot-version=v1.1 MASGHVLSPEGEALLRWKSTLLDSSSLSSWSRAKSTCKWSGAIVCDGAGHVTHLLLQSSDLNGTLDAFYSTAFQHPVVLYLSDNNLFGTIPANLSLLLTLTQLDLSNNNFVGAIPCKLFGLPRIGRLDLRNNQLTNPDPTKCTHMSGLYGLDLENNYLTGGIPKELGNFTNLSGMDLSRNMFSGGIPKELGNLTYFSDMDLSRNMFSGGIPKELGNLTNLNYLNLSWNQFSGVIPKELGKLGWLETMDLSWNMLSGGLPQSFAAMDAMQEFSVGNNLHLSGNIEWFSNWTSVVVFNIANNTFTGSINEAVCQLNPLALDLSNNLLSGVLPDCLWNCFDLQYMDLSSNAFVGEVPASTNTTCPLVSLYLSNNKFTGCFPPVIKNLRNLVCLDLGDNKFFGKIPSWIGVLPLLSILRLRSNMFHGSLPWEISQLSHLQYLDLAENCLTGSIPVHFGNFTCMEPISETYLSTHSSISIFANASNIADSWVHSQDGQMDIIWKGQDYTFSGSIMLMTGIDLSSNSFSGEIPAELLNLQALRFLNLSRNNLSGGIPNNIGNLKDVESLDLSWNKLSGPIPPSTSHLMFLSSLNVSNNFLSGEIPRGNQLQTLNDPSIYSNNMGLCGPPLSVPCKNDPSPTTALDGANEQHHELGTLWVWKPRTLLSLLNKNPRNPLLAQILGNDGVAVPDLDRSGKKSRASAASLTFASQSPVISPPTARPSTVRRLLASSETRGPGFPTPGR* >Brasy4G356400.1.p pacid=40088401 transcript=Brasy4G356400.1 locus=Brasy4G356400 ID=Brasy4G356400.1.v1.1 annot-version=v1.1 MRCHPGCNRFVHAIGRAATIAVAAEEYAADCMVRVLRRRYRVQFNDINWSRLNRCHRRHIGEGSSHGKGLEESLVDLKAAREVCTKISSKGGDSLEPAEEPTGHAETLFGVHSLGAWVQERLDEGNAKLSAAKAEGSP* >Brasy4G279300.1.p pacid=40088402 transcript=Brasy4G279300.1 locus=Brasy4G279300 ID=Brasy4G279300.1.v1.1 annot-version=v1.1 MPAFKAPAPGFSVRFSPFHENRLLAATSQHFGLVGNGHLLVLDLSAAGPGGPGLASLFSFPTSDALFDCAWSESHDSLCAAASGDGSVRLFDVTLPPAQNPVRLLREHAREVHGIDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRSPRAPLAQLAGHGYAVKRVKFSPHHQGMIMSCSYDMTVCMWDYRKEDALLSRYGHHTEFVAGIDMSVLTDGLLASTGWDEMIYVWPFGSDPRVV* >Brasy4G137800.1.p pacid=40088403 transcript=Brasy4G137800.1 locus=Brasy4G137800 ID=Brasy4G137800.1.v1.1 annot-version=v1.1 MADLSASSSGWRRLPKTSSVSLTEAATATHEFRVTSYSLLDGVGVGQHVSSSIFSAGGCHWIIRFYPDGCTEDGSAASSSVSLHLLWGATDVRVRYSLGILQRDGTEVWPVTQTATEIFEAPSDSRRYRDFVAKSWLKHSSCLDGDCLTIRCVLTVIKGSHHLEDMLKNGEGTDVAFSVDGQLFRAHRCVLAARSAVFKAELFGPTITNESAIRRIQIDDMEPSVFEALLHFIYTDSLPDNRKDGEDVATTQRLLVAAHRYGIDMLKFICETKLTETVDVSSVATLLVLAEQHGCSQLRRVCIAFLASPDMLGAVMKTVGFKHLVATCPSVMELILEKVSCVWGNEDRTSISSWSETAAKEN* >Brasy4G221900.1.p pacid=40088404 transcript=Brasy4G221900.1 locus=Brasy4G221900 ID=Brasy4G221900.1.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRGAAAGYPAGPVEARRRPRRDRPRREAPNPPAEEAPASLSPSGEELPGGSLSSSREAALPPVLIRCLTASSTSCTTVRPHLLSRNSRMRKSSKHYQMSIIFVQAKERSLGFGFSASREQGAVEFLFTSLGNQEAGVILPRWKWIHCCCRCYWEKKILLLTACRLKLSQLNLLSSWQMPNRNRIVINLGLEKGGHI* >Brasy4G221900.2.p pacid=40088405 transcript=Brasy4G221900.2 locus=Brasy4G221900 ID=Brasy4G221900.2.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRGAAAGYPAGPVEARRRPRRDRPRREAPNPPAEEAPASLSPSGEELPGGSLSSSREAALPPVLIRCLTASSTSCTTVRPHLLSRIRFQREQGAGSSGVSFHVVRKSGGWGDITKVEMDPLLLPLLLGKKNLAIDGLQTEAIAAELVI* >Brasy4G221900.3.p pacid=40088406 transcript=Brasy4G221900.3 locus=Brasy4G221900 ID=Brasy4G221900.3.v1.1 annot-version=v1.1 MVVSLSNPPPLHPKSNLQQIVRACGSGGSSHSELRRASAAPAGEGRAGRRRKLIGAARESIRGAAAGYPAGPVEARRRPRRDRPRREAPNPPAEEAPASLSPSGEELPGGSLSSSREAALPPVLIRCLTASSTSCTTVRPHLLSRIRFQREQGAGSSGVSFHVVRKSGGWGDITKVEMDPLLLPLLLGKKNLAIDGLQTEAIAAELVI* >Brasy4G155200.1.p pacid=40088407 transcript=Brasy4G155200.1 locus=Brasy4G155200 ID=Brasy4G155200.1.v1.1 annot-version=v1.1 MPCCSRRTFGRRGTRAALLVPRIGRRGGASGEPNLEQHDRRRSDDAGALRAATGSGGGVGGFAKERRTSWRRTRERLRLGRWGAATHCRRRGRRPHAGALGMRGVQALLVRVRCDAAGSGRPRGRRGAAGVGL* >Brasy4G048700.1.p pacid=40088408 transcript=Brasy4G048700.1 locus=Brasy4G048700 ID=Brasy4G048700.1.v1.1 annot-version=v1.1 MPPPGVLTVLLLLVLLSASPPTCHATHNITSILAARRDLAEFSRQLTATGLADDINVRNTITVLAVDDAHMSPLKARGLHREALRRVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSSGMVKIADRRGGRVAFMPQQQDEEAADNDGAQVFYVKAVHETPYNISVLLVSAVISSPAAEAPSLPESARPNATDVMARNGCGRFASLAASAGAASRYEKMMANDGGLTLFCPADKAMKAFMPAYRALSRDAQLALLLYHGVARHYSLPALKALGGAMRTLTMDTGNGNDNDKYVLTARDAGSTVTLLSAAKKPATVTGTLMDADPLAVYIVDAVLMPTDGSSGSFDGTEQGGAGDGGGDAAKKKKNAASTTCGLSFVLVLLLGAIQVAALV* >Brasy4G425200.1.p pacid=40088409 transcript=Brasy4G425200.1 locus=Brasy4G425200 ID=Brasy4G425200.1.v1.1 annot-version=v1.1 MVANSEAPARGSAAAAAGLRRRRTTGGAAAGGGGASTMLQFYTDEAAGRKMSPNAVLIMSVGFIAVVAVLHVFGKLYRTPN* >Brasy4G425200.2.p pacid=40088410 transcript=Brasy4G425200.2 locus=Brasy4G425200 ID=Brasy4G425200.2.v1.1 annot-version=v1.1 MVANSEAPARGSAAAAAGLRRRRTTGGAAAGGGGASTMLQFYTDEAAGRKMSPNAVLIMSVGFIAVVAVLHVFGKLYRTPN* >Brasy4G022200.1.p pacid=40088411 transcript=Brasy4G022200.1 locus=Brasy4G022200 ID=Brasy4G022200.1.v1.1 annot-version=v1.1 MDPILRADYSPLPEISIFRRKRFLLGTQSPPAPSSFCLPAVRVSSSPGDKEHRPISRAPTSATRGRATQRSFRAGRPRLRHNHRHRLPPTPPRFPSSGRRRGQAEGGEMWVFYLISLPLTLGMVVVTLRYFAGPGVPRYVMATVGYAWFCSLSFIILVPADIWTTLIGSEKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMVAQMLRDDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALDLEDTIKNYEQRDANGWKYVSSFRDSRSGTLGSLLDTMEFIWRCILRKQLQKALAVILGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNVKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDYFGSWKIFKFQREEENMDGFDPSGMIILQKERSWIEQGYKVGEQVIPLARFNGANTDVESGKVPLVENTVEMKVGATRVDGRAGNSKYAHNREIISNKYSSVRDQSRQAVKPMKKETVSTSVSLLEEENSEQRSSAGISQTWASMKIGFQNFKANMGSKKFTPLRQDPGFTHSNLSSPESLDDIFQRIKRRPADMPVDYLDDEEDDDNTGDMDPTFPGSRR* >Brasy4G022200.2.p pacid=40088412 transcript=Brasy4G022200.2 locus=Brasy4G022200 ID=Brasy4G022200.2.v1.1 annot-version=v1.1 MDPILRADYSPLPEISIFRRKRFLLGTQSPPAPSSFCLPAVRVSSSPGDKEHRPISRAPTSATRGRATQRSFRAGRPRLRHNHRHRLPPTPPRFPSSGRRRGQAEGGEMWVFYLISLPLTLGMVVVTLRYFAGPGVPRYVMATVGYAWFCSLSFIILVPADIWTTLIGSEKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMVAQMLRDDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALDLEDTIKNYEQRDANGWKYVSSFRDSRSGTLGSLLDTMEFIWRCILRKQLQKALAVILGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNVKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDYFGSWKIFKFQREEENMDGFDPSGMIILQKERSWIEQGYKVGEQVIPLARFNGANTDVESGKVENTVEMKVGATRVDGRAGNSKYAHNREIISNKYSSVRDQSRQAVKPMKKETVSTSVSLLEEENSEQRSSAGISQTWASMKIGFQNFKANMGSKKFTPLRQDPGFTHSNLSSPESLDDIFQRIKRRPADMPVDYLDDEEDDDNTGDMDPTFPGSRR* >Brasy4G230100.1.p pacid=40088413 transcript=Brasy4G230100.1 locus=Brasy4G230100 ID=Brasy4G230100.1.v1.1 annot-version=v1.1 MAGAAPTAAEKDALVSSFLEIAAGQTPHTATQFLQMTSWHLEEAVQLFYIDGEAALAAHSAAAPASSAAALEAAALAAAEAEEGYRLAPPPAAALEDGMLQRLGDVDEVRAPLPVRRETLYGDVPMVVARPNSTVAFRNFEEEARQSAVWDSEQNATSSSRDNLASLYRPPFDLMFNGPFDKAKLEASLLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYQDTSEGRKVCTYYNLVSVPAILLIDPITGQKMCAWTGMVYPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKIDQETSMGRQGRTTVEDEDEELARAVAASLEENKGVEQSDATDDKTNPEEVNEPSLSVKLEYPPLPEEPKVSRDLLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCSPQLEDGDKRAFHFVKPIPGASNNLGYESDQTFKEAGLANSMINLLWD* >Brasy4G230100.3.p pacid=40088414 transcript=Brasy4G230100.3 locus=Brasy4G230100 ID=Brasy4G230100.3.v1.1 annot-version=v1.1 MNCHFARPNSTVAFRNFEEEARQSAVWDSEQNATSSSRDNLASLYRPPFDLMFNGPFDKAKLEASLLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYQDTSEGRKVCTYYNLVSVPAILLIDPITGQKMCAWTGMVYPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKIDQETSMGRQGRTTVEDEDEELARAVAASLEENKGVEQSDATDDKTNPEEVNEPSLSVKLEYPPLPEEPKVSRDLLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCSPQLEDGDKRAFHFVKPIPGASNNLGYESDQTFKEAGLANSMINLLWD* >Brasy4G230100.2.p pacid=40088415 transcript=Brasy4G230100.2 locus=Brasy4G230100 ID=Brasy4G230100.2.v1.1 annot-version=v1.1 MAGAAPTAAEKDALVSSFLEIAAGQTPHTATQFLQMTSWHLEEAVQLFYIDGEAALAAHSAAAPASSAAALEAAALAAAEAEEGYRLAPPPAAALEDGMLQRLGDVDEVRAPLPVRRETLYGDVPMVVARPNSTVAFRNFEEEARQSAVWDSEQNATSSSRDNLASLYRPPFDLMFNGPFDKAKLEASLLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYQDTSEGRKVCTYYNLVSVPAILLIDPITGQKMCAWTGMVYPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKIDQETSMGRQGRCGLFMRK* >Brasy4G104800.1.p pacid=40088416 transcript=Brasy4G104800.1 locus=Brasy4G104800 ID=Brasy4G104800.1.v1.1 annot-version=v1.1 MGFPLVCYCFIIPKPVIAFFRFLSAVRDALLTVLSVVGLCSFPHVDRRSVDDAPLPEQVKEQLPAVEFGRLFLARPASACCQDSEATATCIVCLERLEAADEVRRLGNCAHAFHRGCIDRWIDLGRMTCPLCRAQLLGPRERVGPLASLLTRVW* >Brasy4G048900.1.p pacid=40088417 transcript=Brasy4G048900.1 locus=Brasy4G048900 ID=Brasy4G048900.1.v1.1 annot-version=v1.1 MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIMHLASDIPVPSIPPIPAISPIPSAAESEPDAAELERYGITEDLREFVKGMTISTFRDFPLQEEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYIALYETKYFEELKVKAEEEKRISQQEVSQTSQATTSEQTETKVQTMTSTSSNREHDLDVFLLGDLGSDDEGPDGGDEGLDDDFDKIDGASGLESDDDEKKSATGNSETAKE* >Brasy4G048900.3.p pacid=40088418 transcript=Brasy4G048900.3 locus=Brasy4G048900 ID=Brasy4G048900.3.v1.1 annot-version=v1.1 MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIMHLASDIPVPSIPPIPAISPIPSAAESEPDAAELERYGITEDLREFVKGMTISTFRDFPLQEEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYIALYETKYFEELKVKAEEEKRISQQEVSQTSQATTSEQTETKVQTMTSTSSNREHDLDVFLLGDLGSDDEGPDGGDEGLDDDFDKIDGASVSTPASLK* >Brasy4G048900.2.p pacid=40088419 transcript=Brasy4G048900.2 locus=Brasy4G048900 ID=Brasy4G048900.2.v1.1 annot-version=v1.1 MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIMHLASDIPVPSIPPIPAISPIPSAAESEPDAAELERYGITEDLREFVKGMTISTFRDFPLQEEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYIALYETKYFEELKVKAEEEKRISQQEVSQTSQATTSEQTETKVQTMTSTSSNREHDLDVFLLGDLGSDDEGPDGGDEGLDDDFDKIDGASLLVSC* >Brasy4G426000.1.p pacid=40088420 transcript=Brasy4G426000.1 locus=Brasy4G426000 ID=Brasy4G426000.1.v1.1 annot-version=v1.1 MAAALPWLIFAATLLLATIKSSTASRMAKPGCRETCGNLTIPYPFGIGQGCFRDGHNSTQSNMKIYNIDLLGGQARVNTFIAYNCFYNKNNFTDGWASLNTGRFFTVSTKANKLTAVGCNTLAFLGGFNEHSIGAGCFSMCADKQSVDPSGQCSGMGCCQTSIASNLFRSNITFDLRFNNSEVSSFNPCSYAFVAELDWFKFKPYYLEDNKFTMEFKDGVPTVLDWVAGNENCNEAVKNKSSYACVSKNSQCINSPNATGYLCTCNNGFAGNPYLEGGCQDINECSFPNQYPCHGICSNLIGSYSCLCKSGTRSTDPKKETCNPIGVSERAKLIKVSIGISACAILLLICISALLMECQKRKLIKEKKTFFQQNGGLLLYERIMSKHVDTVRIFTREELENATNNFDSGRELGRGGHGTVYKGIHKDNREVAIKRSKIMNIAEKDEFLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLDARLRIAQESAEALAYLHSSASPPIIHGDGKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASSFLVAMKENSLQSILDQNILEFDTELLQEVAQLAKCCLSMRGEERPLMTEVTERLKTIRSRWREQLMQNPINETECLLENSSSNYDISSTGQHRSLMALDLESGR* >Brasy4G084400.1.p pacid=40088421 transcript=Brasy4G084400.1 locus=Brasy4G084400 ID=Brasy4G084400.1.v1.1 annot-version=v1.1 MAAAAGAAALGGSNQNPNPSTKGPGVRPAGKPVALADITNTGRPNPPRSVSVGDIIKENSKLAHLLAEKTKIIELSGVEIQKLRLALHATHQKNVQLAQANSQILAELNQGNDRLKVLQHELACATAVLKVKASGLEKNRNTVNRLQKEVTSQVIKAAPSELPKGDARRTDNKATTVNMHCSVETQTAVPSNIVHHEAPPDKTKKRTSVSRRTNKEKLESCEGTKGTSTVQQSCKPRLQSTESSHHEDQRNTLRRRSARLNAGTCELAEVSGETLDEDTAVASSSSCSVTELDEPNCGKDTQKATQDELLCNAAGHKVQTSVLKKNKMNKQTQMEVNLKEVIQEACSSVAGVEDLEARQTDNNVMNTKPNHLVETQSSVPFNIQHPEPHQERANKRGVNKRKLESYEGINARLHSTSSEPLHHEKTRKSLRRRSSRLNPGSCEVTNDTLENAQDIAPLAAPSSLNVLIEQSKKEKQNDRCSSEPSEEQVTERRSSVQVTGRRSSMRASGKAVSYKEIPLNGGLRSLQLPISV* >Brasy4G084400.4.p pacid=40088422 transcript=Brasy4G084400.4 locus=Brasy4G084400 ID=Brasy4G084400.4.v1.1 annot-version=v1.1 MAAAAGAAALGGSNQNPNPSTKGPGVRPAGKPVALADITNTGRPNPPRSVSVGDIIKENSKLAHLLAEKTKIIELSGVEIQKLRLALHATHQKNVQLAQANSQILAELNQGNDRLKVLQHELACATAVLKVKASGLEKNRNTVNRLQKEVTSQVIKAAPSELPKGDARRTDNKATTVNMHCSVETQTAVPSNIVHHEAPPDKTKKRTSVSRRTNKEKLESCEGTKGTSTVQQSCKPRLQSTESSHHEDQRNTLRRRSARLNAGTCELAEVSGETLDEDTAVASSSSCSVTELDEPNCGKDTKATQDELLCNAAGHKVQTSVLKKNKMNKQTQMEVNLKEVIQEACSSVAGVEDLEARQTDNNVMNTKPNHLVETQSSVPFNIQHPEPHQERANKRGVNKRKLESYEGINARLHSTSSEPLHHEKTRKSLRRRSSRLNPGSCEVTNDTLENAQDIAPLAAPSSLNVLIEQSKKEKQNDRCSSEPSEEQVTERRSSVQVTGRRSSMRASGKAVSYKEIPLNGGLRSLQLPISV* >Brasy4G084400.2.p pacid=40088423 transcript=Brasy4G084400.2 locus=Brasy4G084400 ID=Brasy4G084400.2.v1.1 annot-version=v1.1 MAAAAGAAALGGSNQNPNPSTKGPGVRPAGKPVALADITNTGRPNPPRSVSVGDIIKENSKLAHLLAEKTKIIELSGVEIQKLRLALHATHQKNVQLAQANSQILAELNQGNDRLKVLQHELACATAVLKVKASGLEKNRNTVNRLQKEVTSQVIKAAPSELPKGDARRTDNKATTVNMHCSVETQTAVPSNIVHHEAPPDKTKKRTSVSRRTNKEKLESCEGTKGTSTVQQSCKPRLQSTESSHHEDQRNTLRRRSARLNAGTCELAEVSGETLDEDTAVASSSSCSVTELDEPNCGKDTQKATQDELLCNAAGHKVQTSVLKKNKMNKQTQMEVNLKEVIQEACSSVAGVEDLEARQTDNNVMNTKPNHLVETQSSVPFNIQHPEPHQERANKRGVNKRKLESYEGINARLHSTSSEPLHHEKTRKSLRRRSSRLNPGSCEVTNDTLENAQDIAPLAAPSSLNVLIEQSKKEKQNDRCSSEPSEEQVTERRSSVQVTGRRSSMRASGKAVSYKEIPLNVKMRRP* >Brasy4G084400.3.p pacid=40088424 transcript=Brasy4G084400.3 locus=Brasy4G084400 ID=Brasy4G084400.3.v1.1 annot-version=v1.1 MAAAAGAAALGGSNQNPNPSTKGPGVRPAGKPVALADITNTGRPNPPRSVSVGDIIKENSKLAHLLAEKTKIIELSGVEIQKLRLALHATHQKNVQLAQANSQILAELNQGNDRLKVLQHELACATAVLKVKASGLEKNRNTVNRLQKEVTSQVIKAAPSELPKGDARRTDNKATTVNMHCSVETQTAVPSNIVHHEAPPDKTKKRTSVSRRTNKEKLESCEGTKGTSTVQQSCKPRLQSTESSHHEDQRNTLRRRSARLNAGTCELAEVSGETLDEDTAVASSSSCSVTELDEPNCGKDTKATQDELLCNAAGHKVQTSVLKKNKMNKQTQMEVNLKEVIQEACSSVAGVEDLEARQTDNNVMNTKPNHLVETQSSVPFNIQHPEPHQERANKRGVNKRKLESYEGINARLHSTSSEPLHHEKTRKSLRRRSSRLNPGSCEVTNDTLENAQDIAPLAAPSSLNVLIEQSKKEKQNDRCSSEPSEEQVTERRSSVQVTGRRSSMRASGKAVSYKEIPLNVKMRRP* >Brasy4G329400.1.p pacid=40088425 transcript=Brasy4G329400.1 locus=Brasy4G329400 ID=Brasy4G329400.1.v1.1 annot-version=v1.1 MSTSLFFPARCAVLLSTSLDRRQKRKSQPRECWEARWQPPLSHQTCHVAGFYWVHRCPHPLSCHLTPELDFPGPTPAQPQALYLSPFLAVIGFRAHTFTSPHTALSSSPREQEQEEEELAGTMAAQGLLSGRQLLGRPLQSSFSRSSSSRKSPFIVRAESSPPAKQNDNRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVFNGRTAMMGVVGMIAPEALGKAGLVPPETAIPWFQAGAIPPAGTYQYWADPYTLFVFEMALIGFAEHRRLQDWYNPGSMGKQYFLGLEKFLGGSGDPAYPGGPIFNPLGFGTKSDKEMAELKLKEIKNGRLAMLAFLGMSIQAIFTGVGPFQNLIDHLSDPVNNNILTSLKFH* >Brasy4G329400.2.p pacid=40088426 transcript=Brasy4G329400.2 locus=Brasy4G329400 ID=Brasy4G329400.2.v1.1 annot-version=v1.1 MAAQGLLSGRQLLGRPLQSSFSRSSSSRKSPFIVRAESSPPAKQNDNRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVFNGRTAMMGVVGMIAPEALGKAGLVPPETAIPWFQAGAIPPAGTYQYWADPYTLFVFEMALIGFAEHRRLQDWYNPGSMGKQYFLGLEKFLGGSGDPAYPGGPIFNPLGFGTKSDKEMAELKLKEIKNGRLAMLAFLGMSIQAIFTGVGPFQNLIDHLSDPVNNNILTSLKFH* >Brasy4G396100.1.p pacid=40088427 transcript=Brasy4G396100.1 locus=Brasy4G396100 ID=Brasy4G396100.1.v1.1 annot-version=v1.1 MNRRFLYLAVSDCLRSTKLHRINPSRLFYPDNSLPDPDPDPSSPAPAVQTARKLPPPAMSIFSHHGPPCPSSPAAGASFHDLPRSPPSARRNEPLSVALSDGIYVLEGCPREDIADGFRGERSVQALVREDPHEPWRYSWRALPPPPPHAERKLGPGGIPDHDDDEARRIRAYAAVGESSVWVSAAVGGRGGTYALDTASGAWRKVGDWLLPFHGRAEYAPEHGL* >Brasy4G286600.1.p pacid=40088428 transcript=Brasy4G286600.1 locus=Brasy4G286600 ID=Brasy4G286600.1.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFFISANYITGELPSTFSRLTNMTDFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.11.p pacid=40088429 transcript=Brasy4G286600.11 locus=Brasy4G286600 ID=Brasy4G286600.11.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFFISANYITGELPSTFSRLTNMTDFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.13.p pacid=40088430 transcript=Brasy4G286600.13 locus=Brasy4G286600 ID=Brasy4G286600.13.v1.1 annot-version=v1.1 MPKLSFRRSGRCLGSAQYFSSVVHLVVDAKCLTKLLTVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFFISANYITGELPSTFSRLTNMTDFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.2.p pacid=40088431 transcript=Brasy4G286600.2 locus=Brasy4G286600 ID=Brasy4G286600.2.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFFISANYITGELPSTFSRLTNMTDFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.3.p pacid=40088432 transcript=Brasy4G286600.3 locus=Brasy4G286600 ID=Brasy4G286600.3.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFFISANYITGELPSTFSRLTNMTDFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.12.p pacid=40088433 transcript=Brasy4G286600.12 locus=Brasy4G286600 ID=Brasy4G286600.12.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.4.p pacid=40088434 transcript=Brasy4G286600.4 locus=Brasy4G286600 ID=Brasy4G286600.4.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.14.p pacid=40088435 transcript=Brasy4G286600.14 locus=Brasy4G286600 ID=Brasy4G286600.14.v1.1 annot-version=v1.1 MPKLSFRRSGRCLGSAQYFSSVVHLVVDAKCLTKLLTVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.5.p pacid=40088436 transcript=Brasy4G286600.5 locus=Brasy4G286600 ID=Brasy4G286600.5.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.6.p pacid=40088437 transcript=Brasy4G286600.6 locus=Brasy4G286600 ID=Brasy4G286600.6.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERFRIDGNNISGRVPSFIKNWQRVNRIDMQGTLMSGPIPSEIVFLRNLTELRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.7.p pacid=40088438 transcript=Brasy4G286600.7 locus=Brasy4G286600 ID=Brasy4G286600.7.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERLRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.8.p pacid=40088439 transcript=Brasy4G286600.8 locus=Brasy4G286600 ID=Brasy4G286600.8.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWATLRVFNLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERLRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.9.p pacid=40088440 transcript=Brasy4G286600.9 locus=Brasy4G286600 ID=Brasy4G286600.9.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERLRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G286600.10.p pacid=40088441 transcript=Brasy4G286600.10 locus=Brasy4G286600 ID=Brasy4G286600.10.v1.1 annot-version=v1.1 MVGGGGFSLRLLLIPLLLLLLLLDLGAGNGGRCAAKKLPEQEVQALKGIARKLNKLDWDFSVDPCIGSGAWAKSDGLIASNVTCDCSLQNHTECHIISLQLMRLNLSGVLPEEVVNLTYLRYLSLQGNRISGTLPKELGRMPMLKSIQLEANQLEGPIPPELGNIISLERLRVTDLSGPSMKFPPLQNAQYLTELVLRNCSIYGELPVYLGQMQYLKVLDLSFNKFSGQIPVNFGGMAALQFLYLTDNMLTGDLPAWMLKNKASNKVNMDVSYNDFTGNPPTECQQANVNMVSSFSFSNDNLLRPCLRRNLPCMGKPRYSSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVSSDDRKWAYSSTGDFVGNENADYIARNTTKLALAHYELYTEARLSPLSLKYYGLCMENGEYLVQLHFAEIVFTEDHTYSSNGKRIFEVLIQGAKVLKDFNIQDEAGGVHRAITMNFTTNITENTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQLRQNHHGISTGVMITIIAASCLAIILLLTAFYIKVFRKGNRKVNGRHFFDHGRKANTSELQTRAQYFFSLKEIESATEYFAPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLYGCCIDGDQLLLIYEFLENNSLGRALFGRVERQLKLDWPTRYNICLGMAKGLAYLHEESTLKIIHRDIKPSNILLNERLQPKISDFGLAKLNDDSRRVSTQIAGTVGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGASNTNSMSNEDYLHILDLAERLKQQGRLLEIVDQRLGSDYSQEEALMMLNVALLCTNTSPTQRPRMSSVVKMLCCQAPIEVTPDDDLREDLRFNITRSRQSMNSRTDWSCAPHSDPSILLHGSNDSGYLPSSSSSSLKL* >Brasy4G435400.1.p pacid=40088442 transcript=Brasy4G435400.1 locus=Brasy4G435400 ID=Brasy4G435400.1.v1.1 annot-version=v1.1 MGRSKPTVDDEDLSADFLQLKQEVTTQQQELVDLRKDVQRVSTTQDALVGAVDKMHQVLDGVGGQMTALADAFQNLRAQLPATPGASARPTPSPNLLVPLARYTPTLFANHLSTPIC* >Brasy4G011500.1.p pacid=40088443 transcript=Brasy4G011500.1 locus=Brasy4G011500 ID=Brasy4G011500.1.v1.1 annot-version=v1.1 MPLPPISRFVLGLFALFFFVGAAFDKLLTLRRRRRAEREVRVNGTWPQVPTPSYSLFLEEKDLQRKESVEWVNMVLGKIWKVYRPGIENWIVGLLQPVIDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKSSAVPIVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRFFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGRAMGPLAGDVASDIIQNVASGIMQDVATDCALDEKIWWPIINFIDNFYLEMVEGSIIQELTNSGATRVIGAVRGSTNQDPVQRSECNARLSTPYAGSHLKAETQTKPSSMSLLVCWPYLPPTLSRQCQQQQWPGNPASWP* >Brasy4G107500.1.p pacid=40088444 transcript=Brasy4G107500.1 locus=Brasy4G107500 ID=Brasy4G107500.1.v1.1 annot-version=v1.1 MAEPEQPSAPSSSAPAPPQLQVAADNNLTTVDGRPDPGGNSAVSPHYSPALGSDDTVGIITTAMGAAAAATSSSGEPSPRSTGRHPFYRGIRCRNGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGPDAVLNFPGATATRPAPASASPEDIRAAAAAAAAALQPIIDKPRASAPEDAAAADLPAAAAEQLQIRHHDHQTGTAAGGDAPAGPRQQQEIGNEEEEFMDEEAIFEMPQMLRNMAAGMMMSPPRLSPTASDEWPADPSGAGESLWSYHDP* >Brasy4G211300.1.p pacid=40088445 transcript=Brasy4G211300.1 locus=Brasy4G211300 ID=Brasy4G211300.1.v1.1 annot-version=v1.1 MASNYVDTTGEEGTFRSTTPTGAAASSPRMMRRSFSSASSGSHGGGGASAKCVCAPATHAGSFKCRLHRTNSQGHGHPNPSPPASPDGAASAAPPPAVSSSSSTRTVEAQ* >Brasy4G081200.1.p pacid=40088446 transcript=Brasy4G081200.1 locus=Brasy4G081200 ID=Brasy4G081200.1.v1.1 annot-version=v1.1 MLLKVPREVEVQDLKPRIGKVPDGRRSSAGRITALEESLQRYAKTRTGLVVEPTIGTRFDSLVEAYEFYNLFS* >Brasy4G384900.1.p pacid=40088447 transcript=Brasy4G384900.1 locus=Brasy4G384900 ID=Brasy4G384900.1.v1.1 annot-version=v1.1 MAPPPTSQPGQPPEPPFLQVPDELLQEIFLRLPTAADLARASTACAAFRRVIADHKFLRCYRALHPPPLVGVIGKSFIPAQPPHPSAAASRAFAGFDFSCSSFLPSTAGRRWRRVDFFQGRALLASLPVEGESGSKILVGPEVLDSQYREFWARDLAVCDPVYRRYVLLPAVPADLAALIRKPDLLDLEIFLAPGEDDVDPFSFRVMCMAQYGKKLVLLVFSSG* >Brasy4G425900.1.p pacid=40088448 transcript=Brasy4G425900.1 locus=Brasy4G425900 ID=Brasy4G425900.1.v1.1 annot-version=v1.1 MDSVPVANLSAVVMGAVRAAMEMLPTDMTRDVVVESASAWAAWFLRHLWAWLIAARAGAVESLPEVAKRAADHLHLLALIPVVFLGGAAWALTCRTMKAPGLGGTRVPRAMFEASPKRYYATVRKARKAARHGASSGTTAGWKLLVAAPVVAYAAYLAAKKLY* >Brasy4G168800.1.p pacid=40088449 transcript=Brasy4G168800.1 locus=Brasy4G168800 ID=Brasy4G168800.1.v1.1 annot-version=v1.1 MGAFGGPGGGGIPVFFTSHPRGKIPRPSTSGKYPRLAPGEALDRHAQLSSSSPLWRPGAPPPPPLPPATASDRRLRATLTPPSGDREQPRRLRLPPATASPDTSLRRSRGSSPLRRSRIWRTRTSE* >Brasy4G208100.1.p pacid=40088450 transcript=Brasy4G208100.1 locus=Brasy4G208100 ID=Brasy4G208100.1.v1.1 annot-version=v1.1 MSRVLEPLVVGKVIGEVLDNFSPTVKMTATYSSNKQVFNGHEFFPSAIAVKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELVSYESPRPNIGIHRFILVLFRQKIRNAVSTPSSRDRFNTRQFAEENDLGLPVAAVYFNAQRETAARRR* >Brasy4G177100.1.p pacid=40088451 transcript=Brasy4G177100.1 locus=Brasy4G177100 ID=Brasy4G177100.1.v1.1 annot-version=v1.1 MTRSPRARNVPAHRSRSSLSDARSCFWKTKGTDEPNDLPLACEKREWKGATCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNYHHSNCLEHFKEAYAKEKLALGVSTESAPSLSDTAPPSKQLCAMELACPLCRGEVKGWTVVEPARHYLNRKKRACMHDGCQFVGSYKELCKHVSSKHPSAKPREVDPALASEWKKFECEREREDAISTIRATNPGAVIMGDYVLELNGGSNNNLFTDGDEFDFEERLNFFTSLDRTLNERIEFYESSDGSLDESIDFLASLFSRGRRIASGDSHSRAYRRHRERPRRNYSTNSVGASDIQQDSANTQRGQQVAAVRTVGRAHRRLHTTVTNVTSTSGS* >Brasy4G100300.1.p pacid=40088452 transcript=Brasy4G100300.1 locus=Brasy4G100300 ID=Brasy4G100300.1.v1.1 annot-version=v1.1 MVGSAYRLRHRRLMDTAPATASSDSGHSSPNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIQNMRPMSSSDLPLMDLSSIHAATNSFSKENKLGEGGFGPVYRGVLDGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKLLVYEYLPNKSLDAFLFGTRKTAHLDWKMRQSIILGIARGLLYLHEDSSLKIVHRDLKASNVLLDNKMNPKISDFGMAKIFEDEEIEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLVLEILSGQRNGAMYLQEHNRTLIQDAWKLWDEDKAAEFMDASLAASYAKDEAWRCYHAGLLCVQESPELRPTMSGVVLMLISDQAQLPAPAQPPMFASQRTKRATQASEFSLGTGTDTTKTQSVNDVSITMIEPR* >Brasy4G100300.2.p pacid=40088453 transcript=Brasy4G100300.2 locus=Brasy4G100300 ID=Brasy4G100300.2.v1.1 annot-version=v1.1 MGVLDGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKLLVYEYLPNKSLDAFLFGTRKTAHLDWKMRQSIILGIARGLLYLHEDSSLKIVHRDLKASNVLLDNKMNPKISDFGMAKIFEDEEIEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLVLEILSGQRNGAMYLQEHNRTLIQDAWKLWDEDKAAEFMDASLAASYAKDEAWRCYHAGLLCVQESPELRPTMSGVVLMLISDQAQLPAPAQPPMFASQRTKRATQASEFSLGTGTDTTKTQSVNDVSITMIEPR* >Brasy4G182200.1.p pacid=40088454 transcript=Brasy4G182200.1 locus=Brasy4G182200 ID=Brasy4G182200.1.v1.1 annot-version=v1.1 MLLRRSRSSEIRGRFPFRQIRRREGGRSRPPWRPWRSSPARSAGPAPVDAAPRRPSTPPPPPRADLRVRSNLFGAGRRQVGRAEQPHRASCVAHGGERTDQGSGSGGSFGS* >Brasy4G221400.1.p pacid=40088455 transcript=Brasy4G221400.1 locus=Brasy4G221400 ID=Brasy4G221400.1.v1.1 annot-version=v1.1 MDWPVGCLRLGGNGPLAVCRGRRVCVETPSVAIAPSRPLELEKSMRSVKTRDESAGRLSMLFFPFAIQASCPRLFLSFHIQVFRV* >Brasy4G431900.1.p pacid=40088456 transcript=Brasy4G431900.1 locus=Brasy4G431900 ID=Brasy4G431900.1.v1.1 annot-version=v1.1 MRTEVIKADTIDAAVDRILNELATDTRRSSNRENVIYFDGWDGLGASAVLQAVAKRLAEPLKRSAGLEFEKIIHIDCSKWQSRRAMQREIAEQLKLPKWVTEIFDKQDEEDDFSGLDQGSRGEITQVVGEIYQTLKNRRFLVILHNGSSEEIDIFNFGLSIDRYANSKMLWTFQGRFRLDPKMIDTVKKSITTDVILSASSGGRDIQELWSYLVRHEAAQVCCNEHGHGIIDPAIAAECVLYVLKQSWIGSHIIDYDWAIHTSNYWICDGIIALTDVDQAWKVGDVLQREMRLLNADNQLNNDKSRIVSSSSHLARSAGRMPYWISTATCGFVLSPSGLIPDSMFRHSHRLGVLNLSRCTFSFSSPPFLCCHSLRFLLLDHCQDLLTKSSTTDHHQPDANKEEKELGKGNTTSWECFQSLWVLDVRYTDWDQILSAQVMDFMTQVRELNVVGAKNWDMSQLQGRLRNIRKIRVTKSTCCFNSNVFSELESLELLDFSGNTIRQGMTSLSGAASNSSLETVTIDGCDGLKVISLRGCKILRSLFFKGLFQSLEELDLSGTKVKTLDLREVEASSLPKRIILLGCEELHGILWPLNIEQAPHVLCIETTSTSASADGGKAPPHAHPHGEGSLQQQKEEKFKAGWQISLTDTRLLRSLSPVESYLLQASVHIDISSAADVGGSNVQGTSNDRLVQVQPLLMDSKYRDVLKHGPVAASMMWDCPEIYLWPTEWTCIIKVVMPLGQGKNLLLVDSPGASTSALLLPDLTCVPATSLHVYKNSSITSIPVAPNRLQWHIERWCRVERCPKLHTIFTLPQGSSVDNFYFLETFWASQLLTTCYIWDWTIFLTSHAFSRLKFLHLDYCPRLMHVLPIRASSLSGLETLEIVYCGDLREVFPLSPQLQDQDAIIEFPELRRIHLHELPTLQRICGRRMWAPKLETIKIRGCWSLRRLPAIESYTKPPKVDCEKEWWDNLEWDGVVENHHPSHYEPPSHSKYYKAKLPRGSLLR* >Brasy4G258300.1.p pacid=40088457 transcript=Brasy4G258300.1 locus=Brasy4G258300 ID=Brasy4G258300.1.v1.1 annot-version=v1.1 MASQLSGTIASSGMCYDQHGMPCKLKGLHHVALNCTPQKVEVRKWLSRYHLVFRFCPADRHGQIEGESNVSLLRQGQNVRCFSYRSRSSSETKECKSSEDGNDPYSFCRDFEERTRGNSRLSDNQAAQKKSLYSSRGLSEACQFVYNDAKFVNQRAQSDILLLSRGITRLNKRACQDVAVLGLGFLKLDARARKDTQKIDNSVKERAAHLTNFARILKERAQSDLKKAADQHWSDGALEADLRRADLVVRRRAMEDAFMALKFVRDIHDLMANKLQEQLPKDGSFSPTNSSRFITLEKNGKILELFPHEVSTDQITAIEDAYQSMASALSEADGIDYTDPEELELLVAALIDLDAMDGKKSVSLIAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAQDSNYAVARSAARAIDELRKQWELEEGDSLRFVVNQNMASEETDDDSSAADDAT* >Brasy4G032600.1.p pacid=40088458 transcript=Brasy4G032600.1 locus=Brasy4G032600 ID=Brasy4G032600.1.v1.1 annot-version=v1.1 MLLLCSVHLSNGEALSHPTAGIEADKLPLLLPFQALLLLLLRLSLYSNSVCLYSWPAACCVTSKLFALAKIYTLYYRYSMGEHSDPLFVFDLFFVKLVISHFSRQ* >Brasy4G217800.1.p pacid=40088459 transcript=Brasy4G217800.1 locus=Brasy4G217800 ID=Brasy4G217800.1.v1.1 annot-version=v1.1 MTRMKPGDHYSIRRHLHRQDAVARTQNLRRRYSNYSQMLIYGSPRRPIPNRRSETRGTDRTVAPVSPAARVPAPRRTASQRRICISTGKERAEGGREGERGGGVVPVAAVNPWRPDPWPWRHGRVRPDPASAGRGTPGSGCGGAVAGTGGGGDALRGQRRLGSEGPGGGGGAERWGCRRRPEARRGDLAPAARWREPAEEETPSVASGGSGPRGLAEAAEGPGGGGGAERWGCRRRPDARRGEGAPVPGGGRERDAREREREREREREMGQIGSDRDGR* >Brasy4G376700.1.p pacid=40088460 transcript=Brasy4G376700.1 locus=Brasy4G376700 ID=Brasy4G376700.1.v1.1 annot-version=v1.1 MIHSKSKAKVFVSQLVRSHLHFFHLLSLTYPLFLSSSVHFWILVFLFSVTKLPVTVFIPVCTHRRLERSTWEERNMGRWSPRAAAVLALPLICFLSGATRLADSARVFTIVNQCKTTVWPAATPGDSFGGGGFALKPGQSAVFTAPPKWSGRIWGRTDCAFDATSGTGKCGTGACGDTLKCGASGDPPASLAEFTLASPDFYDVSLVDGFNLPITVRPVNGRAPGNCSAAGCDGDLRETCPEELAVKGAGGKTIACRSACDVFNTDEYCCRGQFGNPSTCPPTKYSKKFKEACPTAYSYAYDDPSSLFSCSGSDYIVTFCSNRKQSACSYHNNQLVCSGSSRYLPLPVMSAVMAVLLFSFVALQFRA* >Brasy4G376700.2.p pacid=40088461 transcript=Brasy4G376700.2 locus=Brasy4G376700 ID=Brasy4G376700.2.v1.1 annot-version=v1.1 MGRWSPRAAAVLALPLICFLSGATRLADSARVFTIVNQCKTTVWPAATPGDSFGGGGFALKPGQSAVFTAPPKWSGRIWGRTDCAFDATSGTGKCGTGACGDTLKCGASGDPPASLAEFTLASPDFYDVSLVDGFNLPITVRPVNGRAPGNCSAAGCDGDLRETCPEELAVKGAGGKTIACRSACDVFNTDEYCCRGQFGNPSTCPPTKYSKKFKEACPTAYSYAYDDPSSLFSCSGSDYIVTFCSNRKQSACSYHNNQLVCSGSSRYLPLPVMSAVMAVLLFSFVALQFRA* >Brasy4G366600.1.p pacid=40088462 transcript=Brasy4G366600.1 locus=Brasy4G366600 ID=Brasy4G366600.1.v1.1 annot-version=v1.1 MGCVSSTFLEDDERRIIGVSASSASHVVSLTSSTYGILATLATADPKSPSLPVHATSPPPPPPPPPPHPLSLSCRPPPPPEAQADSQSQVVDEAEVINSWELMAGLLDPSTPAKPKSNSPRVTPNSGCCSRDDREKGRPPRCIRFPLRPIDGNSNNTSSAAARLPRPPPVAAAAAERCPPGGAHCAVLYTTTLRGVRATFEACNAVRAALQSHGVAFRERDVSMDRGFRDELRALLLSLPNARGQCQAAAVPRLFVRGRHVGGAEEVARLDDEGALAPLLDGLPRARPGGWCCDGCGGMRFLPCFECSGSRKVVVVSGGADGKRNRRGGSRGVVLRCGECNENGLVLCPICS* >Brasy4G258100.1.p pacid=40088463 transcript=Brasy4G258100.1 locus=Brasy4G258100 ID=Brasy4G258100.1.v1.1 annot-version=v1.1 MLRIRLHPCPHFSPPPPHPVLTRQLAAHPLPKLSFPAAVTELRARNHPRCVAVSRAASGEQLPGVGEEDLDEALNKTRQLVECAMFASVAGLAYFLSNSLAIESYFSCFFPLPIVISSLRWGLEAGRKTMVATVLLLFTLSGPVKASTYLLMHGVVGLAMGTMWRLETNWIVSIILCSITRAVGACGYVLVSSFLIRENILELITVNIHASLTYVLAAAGVNAIPSMDAIYVIFGTLLLLNCGFFVFILHIIYTIFLTKLGIKPSLRLPRWLDRAILS* >Brasy4G214100.1.p pacid=40088464 transcript=Brasy4G214100.1 locus=Brasy4G214100 ID=Brasy4G214100.1.v1.1 annot-version=v1.1 MEGALVQSAIVPTIYNSGAQVRARARATSVRAASVCSMRTQTMTLGGFQGLRRANFLDTRSVVRRDFGSIVASQLARPRGKGSRMVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSVGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRITNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALTAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDKEMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHQRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSGSGVPEPLTPALST* >Brasy4G295600.1.p pacid=40088465 transcript=Brasy4G295600.1 locus=Brasy4G295600 ID=Brasy4G295600.1.v1.1 annot-version=v1.1 MAFTSTRTQCPSQSGRGRGRRGRGKGKGYWIALAQERANRRGNAWLLGFGTWAAAMGIEY* >Brasy4G376000.1.p pacid=40088466 transcript=Brasy4G376000.1 locus=Brasy4G376000 ID=Brasy4G376000.1.v1.1 annot-version=v1.1 MAVEREDDDKSQSVAAVTRKKKKTTKRKKKKRLAEVRTAYRGVRRRGGKYRAQIWDGNCRTTAWLGSFDTAEAAARAYDAAAIALHGAAAAAAMTNFKSPAAAAAPLADADIDAHAHEIGPKKEGEEAPVAVMDWKSVQGRREAAAAAALADDDIDAHVHEIGPKKKKKKAPVAVMDSKSVLGRREEPSAPRPTKSTPRSGFRGVYQSSSGSKYNARIWDPVLRAERWLGTFDAAEEAAGAYDEAAVGLYGARAITNFEQPPTAAAEDGVETAPPMDLLNEFPELTAPVFSESLIPGPHPDDLLTADLPPAEWQQQVHELLHGMD* >Brasy4G031100.1.p pacid=40088467 transcript=Brasy4G031100.1 locus=Brasy4G031100 ID=Brasy4G031100.1.v1.1 annot-version=v1.1 MAPGRFGSGSGHTGPGPGHPPRDPALVPEEVAAPLPRPRPAPPSGLGERAMALTLATARAAAGRREDEEPEPDPPAAIFLEVGGTPRRYPSRPDPGGGIGTGSREMVEGLASGDGERLGVGVGVESSRRCSTGSGDRLTVRVDISSSSCSPVSLKVVSKSTSSMVGRRRAGRGRGERRWRRSRGDWGVGDWEKASSVRGDWGVRIFGLHLGVLGRICVGLGRKIMLQICTDMWGP* >Brasy4G411300.1.p pacid=40088468 transcript=Brasy4G411300.1 locus=Brasy4G411300 ID=Brasy4G411300.1.v1.1 annot-version=v1.1 MCTTHNRRLTLEHTRKFNTDPNIVYGAYWRLTHRGRRREKPHPAPPTGRAFSEPTPPPVRLPQRPELELLSSPNVGRVVLVPFLPPLDLLCPPRPAKIQLGRGVGSQILDLQSEHGAGHEGGGSS* >Brasy4G320800.1.p pacid=40088469 transcript=Brasy4G320800.1 locus=Brasy4G320800 ID=Brasy4G320800.1.v1.1 annot-version=v1.1 MAAESPKSAPQRAAAAKNGAGLGLLSPRFLSAAEMAGWDEESLLLAALVVEDTPVRESRRKRRPSPSGGSAGSNTRKRRSRRPPPGEIPPVMLTLDDDDEKPNNPADGKKEIEDEQKKAVVMETKEPSRSGKEAVPTGELPCMDRLREELSCAICLEICFEPSTTPCGHSFCVKCLKHAASKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEERRSSTASCSASKDEVKKQSPPRINDNLVQSGLRRSRNTSGSGSSITTQDNSNNIRSSSSASRRSFTTPGIRRSTTNNTTGSFMPQETSGITGRTSSRSFVRASQLVATSSPSSSDDDAALAYRLQQEEFATAFESEEGGGETQRRNAVSAARESLRAMASRAVRLRARGWPL* >Brasy4G320800.2.p pacid=40088470 transcript=Brasy4G320800.2 locus=Brasy4G320800 ID=Brasy4G320800.2.v1.1 annot-version=v1.1 MAAESPKSAPQRAAAAKNGAGLGLLSPRFLSAAEMAGWDEESLLLAALVVEDTPVRESRRKRRPSPSGGSAGSNTRKRRSRRPPPGEIPPVMLTLDDDDEKPNNPADGKKEIEDEQKKAVVMETKEPSRSGKEAVPTGELPCMDRLREELSCAICLEICFEPSTTPCGHSFCVKCLKHAASKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEERRSSTASCSASKDEVKKQSPPRINDNLVQSGLRRSRNTSGSGSSITTQDNSNNIRSSSSASRRSFTTPGIRRSTTNNTTGSFMPQETSGITGRTSSRSFVRASQLVATSSPSSSDDDAALAYRLQQEEFATAFESEEGGGETQRRNAVSAARESLRAMASRAVRLRARGWPL* >Brasy4G250600.1.p pacid=40088471 transcript=Brasy4G250600.1 locus=Brasy4G250600 ID=Brasy4G250600.1.v1.1 annot-version=v1.1 MGCSPSKYCSCSHFKGCLHSHGCFRQTPDSPRESREKSSRGRGKTDSSASDGSSDDLEGEDDGLNQMNITRESNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAITILVRGKTLYVANSGDSRAVIAEKRGEDIVAVDLSIDQTPFRADEVERVKECGARVLTLDQIEGLKNPDLQCWGTEENDDGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSAIHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDAGSTQTIMKVSLQPSQQVLELVGSESPSVVSMNPNKQRSTYDLSRTRLRALESSLGNGQLWAPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCNVLLDCMQRVEAKPGDTVVQQGGEGDCFYVVGSGEFEVLAIQEEDGKEMTKVLHRYTADKQSSFGELALMYNKPLQSSVRAVTSGTLWALKREDFRGILMSEFSNISSLKLLRSVELFTKLTVLQLSQLAESLVEVSFTDGQVIVKKDDEVSSLYIIQRGRVRLIVAAEQLNSDAWDLLSAHTKQVQQSQENGNYVVEIDEGGHFAEWTLIGETIAFTAISVGDVICSTIAKEKFDLTVGSLPKLSQPDSKLKDSLIPKEHQHSADEDFSIRRVHLSDLEWKTCIYAADCSEIGLVQVRGSDKIKSFKRFYIKRVKDLRKEAQVFQEKDIMKSLSRSACVPEVLCTCADQSYLGILLNCCLCCSLASILSTPLSESSARFYAASVVVALEELHQRCILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTLCGIADSLAPEIVLGKGHGFAADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLIMPSTFSVEVVDLITKLLVVDENARLGTNGADAVKKHPWFDGIDWKQIADGTLIVPQEISDRIASYVETLQEDLTGSPSMMTEDPADLAVPEWVKDW* >Brasy4G250600.2.p pacid=40088472 transcript=Brasy4G250600.2 locus=Brasy4G250600 ID=Brasy4G250600.2.v1.1 annot-version=v1.1 MGCSPSKYCSCSHFKGCLHSHGCFRQTPDSPRESREKSSRGRGKTDSSASDGSSDDLEGEDDGLNQMNITRESNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAITILVRGKTLYVANSGDSRAVIAEKRGEDIVAVDLSIDQTPFRADEVERVKECGARVLTLDQIEGLKNPDLQCWGTEENDDGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSAIHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDAGSTQTIMKVSLQPSQQVLELVGSESPSVVSMNPNKQRSTYDLSRTRLRALESSLGNGQLWAPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCNVLLDCMQRVEAKPGDTVVQQGGEGDCFYVVGSGEFEVLAIQEEDGKEMTKVLHRYTADKQSSFGELALMYNKPLQSSVRAVTSGTLWALKREDFRGILMSEFSNISSLKLLRSVELFTKLTVLQLSQLAESLVEVSFTDGQVIVKKDDEVSSLYIIQRGRVRLIVAAEQLNSDAWDLLSAHTKQVQQSQENGNYVVEIDEGGHFAEWTLIGETIAFTAISVGDVICSTIAKEKFDLTVGSLPKLSQPDSKLKDSLIPKEHQHSADEDFSIRRVHLSDLEWKTCIYAADCSEIGLVQVRGSDKIKSFKRFYIKRVKDLRKEAQVFQEKDIMKSLSRSACVPEVLCTCADQSYLGILLNCCLCCSLASILSTPLSESSARFYAASVVVALEELHQRCILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTLCGIADSLAPEIVLGKGHGFAADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLIMPSTFSVEVVDLITKLLVVDENARLGTNGADAVKKHPWFDGIDWKQIADGTLIVPQEISDRIASYVETLQEDLTGSPSMMTEDPADLAVPEWVKDW* >Brasy4G250600.4.p pacid=40088473 transcript=Brasy4G250600.4 locus=Brasy4G250600 ID=Brasy4G250600.4.v1.1 annot-version=v1.1 MGCSPSKYCSCSHFKGCLHSHGCFRQTPDSPRESREKSSRGRGKTDSSASDGSSDDLEGEDDGLNQMNITRESNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAITILVRGKTLYVANSGDSRAVIAEKRGEDIVAVDLSIDQTPFRADEVERVKECGARVLTLDQIEGLKNPDLQCWGTEENDDGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSAIHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDAGSTQTIMKVSLQPSQQVLELVGSESPSVVSMNPNKQRSTYDLSRTRLRALESSLGNGQLWAPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCNVLLDCMQRVEAKPGDTVVQQGGEGDCFYVVGSGEFEVLAIQEEDGKEMTKVLHRYTADKQSSFGELALMYNKPLQSSVRAVTSGTLWALKREDFRGILMSEFSNISSLKLLRSVELFTKLTVLQLSQLAESLVEVSFTDGQVIVKKDDEVSSLYIIQRGRVRLIVAAEQLNSDAWDLLSAHTKQVQQSQENGNYVVEIDEGGHFAEWTLIGETIAFTAISVGDVICSTIAKEKFDLTVGSLPKLSQPDSKLKDSLIPKEHQHSADEDFSIRRVHLSDLEWKTCIYAADCSEIGLVQVRGSDKIKSFKRFYIKRVKDLRKEAQVFQEKDIMKSLSRSACVPEVLCTCADQSYLGILLNCCLCCSLASILSTPLSESSARFYAASVVVALEELHQRCILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTLCGIADSLAPEIVLGKGHGFAADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLIMPSTFSVEVVDLITKLLVVDENARLGTNGADAVKKHPWFDGIDWKQIADGTLIVPQEISDRIASYVETLQEDLTGSPSMMTEDPADLAVPEWVKDW* >Brasy4G250600.3.p pacid=40088474 transcript=Brasy4G250600.3 locus=Brasy4G250600 ID=Brasy4G250600.3.v1.1 annot-version=v1.1 MGCSPSKYCSCSHFKGCLHSHGCFRQTPDSPRESREKSSRGRGKTDSSASDGSSDDLEGEDDGLNQMNITRESNVGINRLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAITILVRGKTLYVANSGDSRAVIAEKRGEDIVAVDLSIDQTPFRADEVERVKECGARVLTLDQIEGLKNPDLQCWGTEENDDGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSAIHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDAGSTQTIMKVSLQPSQQVLELVGSESPSVVSMNPNKQRSTYDLSRTRLRALESSLGNGQLWAPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCNVLLDCMQRVEAKPGDTVVQQGGEGDCFYVVGSGEFEVLAIQEEDGKEMTKVLHRYTADKQSSFGELALMYNKPLQSSVRAVTSGTLWALKREDFRGILMSEFSNISSLKLLRSVELFTKLTVLQLSQLAESLVEVSFTDGQVIVKKDDEVSSLYIIQRGRVRLIVAAEQLNSDAWDLLSAHTKQVQQSQENGNYVVEIDEGGHFAEWTLIGETIAFTAISVGDVICSTIAKEKFDLTVGSLPKLSQPDSKLKDSLIPKEHQHSADEDFSIRRVHLSDLEWKTCIYAADCSEIGLVQVRGSDKIKSFKRFYIKRVKDLRKEAQVFQEKDIMKSLSRSACVPEVLCTCADQSYLGILLNCCLCCSLASILSTPLSESSARFYAASVVVALEELHQRCILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTLCGIADSLAPEIVLGKGHGFAADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLIMPSTFSVEVVDLITKLLVVDENARLGTNGADAVKKHPWFDGIDWKQIADGTLIVPQEISDRIASYVETLQEDLTGSPSMMTEDPADLAVPEWVKDW* >Brasy4G149100.1.p pacid=40088475 transcript=Brasy4G149100.1 locus=Brasy4G149100 ID=Brasy4G149100.1.v1.1 annot-version=v1.1 MFRSKCASRQHVLRFQRRAVSTVSSFYTEEDPSPDKVTINYCRLGRLFSRDMNIHSWQIVTRSTIPSWLGSWNISKAVRRNALVRVKRSTHPLESTRT* >Brasy4G057200.1.p pacid=40088476 transcript=Brasy4G057200.1 locus=Brasy4G057200 ID=Brasy4G057200.1.v1.1 annot-version=v1.1 MAMEPTLKVVLGTASFAVFWILAVFPAVPFLPIGRTAGSLLGAMLMVLFNVITADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGALLSWRCHGGKDLLVRVCAVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGEFVFGILPATLVGVVVNAGILLCLYWRELDSDEKKCGGAIDVVQDVATEVVEEEDVTCHRFSPATMSHPRRRQLGGIDLDGEVVAQFYEPVKPGANGDGKVPDGMHQRRGSGAMKGVAVKEEYCGFHSVEEKEEAMEEWKSKLWKTCVYAITFGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSTFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSQQFGYTLSFFSHLQFGFPATLVVTGIGLLLIKSN* >Brasy4G057200.2.p pacid=40088477 transcript=Brasy4G057200.2 locus=Brasy4G057200 ID=Brasy4G057200.2.v1.1 annot-version=v1.1 MAMEPTLKVVLGTASFAVFWILAVFPAVPFLPIGRTAGSLLGAMLMVLFNVITADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGALLSWRCHGGKDLLVRVCAVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGEFVFGILPATLVGVVVNAGILLCLYWRELDSDEKKCGGAIDVVQDVATEVVEEEDVTCHRFSPATMSHPRRRQLGGIDLDGEVVAQFYEPVKPGANGDGKVPDGMHQRRGSGAMKGVAVKEEYCGFHSVEEKEEAMEEWKSKLWKTCVYAITFGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSTFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSQQFGYTLSFFSHLQFGFPATLVVTGIGLLLIKSN* >Brasy4G098800.1.p pacid=40088478 transcript=Brasy4G098800.1 locus=Brasy4G098800 ID=Brasy4G098800.1.v1.1 annot-version=v1.1 MEPSFRRQRASSSLALLLLLLTFISACSFLPPARAQSTAFTSTVGGKEFRTFSFPSFDKSLLQLPNNLNFSPNSTIIQNALQITPDSNNDPEKFLVNQTGRVLFAKPYVLWAANASNASADGRRVASFSTAFKVNLYRVNETVKGEGLAFVVASTGDVLPPRGSDGGYLGLTNASTDGNAANGFAAVELDAVKQPYDPDDNHVGIDVNGVRSSRHAASLTPFGIHLAPNDTKVDDGSYMVWVEYNGTARHVWVYMAKNGSRPGTAVLDAPLDLSAVLLGNKAFFGFSASTGVQYQLNCVLMWNMTVEVLPDDGGGRTGKPVLTGWKLGLVVGVPSAVALAFVLLAGLYVVKRRRKIGDDPSSVFRKNTFDFRSIPGVPKEFEYKELRKGTNNFDEKMKLGQGGYGVVYHATVLGENGQSVQVAVKQFSGANTKGQEDFLAELSIINLLRHRNLVKLLGWCHQNGVLLLVYDFMPNGSLDRHLFGGPESPVLTWEQRYNVMSGVASALNYLHHEYDARVIHRDIKPSNIMLDGAFNARLGDFGLARALESDKTSYTDKIGVPGTLGYIAPECFHTGRATRESDLFGLGAVILEVVSGRRVTCSNQAGCSQLLEAVWKLHGAGPGRILEAVDPRLGGAFDAGDAERLLLLGLACSHPNPGERPRARAVVQILARSAPPPDVPTEKPAFMWPALPVGVAEDDDDVGLPTSGNSTVVTTNSSSSYYASSSGWTQHYQVTTREHDVADRDVPTV* >Brasy4G173700.1.p pacid=40088479 transcript=Brasy4G173700.1 locus=Brasy4G173700 ID=Brasy4G173700.1.v1.1 annot-version=v1.1 MDRAVPVRKPHASTAGLLSWSENGPDTAAAATPPPSSRPSLKPAGGITPAMFGAPVTEQEAEDLSKRKMCSGSKMKEITGSGIFAAHSENGDSETGPGASNPPNKTSVRMYQQTLTGISQISFSAEGAVSPKKPSSLPEVAKQRELSGTLESEADAKIKKLNSEAKSKELSGSDIFGPPPEIPARPLAARNLELKGNLDFALPPPRSIHTSVKVSNPAGGPSNIMFGEETEVKSTKKIHNQKFQELTGNNIFKEDAPPGSAEKSLSNAKLKEMSGSNIFADGKATSRDYFGGVRKPPGGGSSIALV* >Brasy4G173700.2.p pacid=40088480 transcript=Brasy4G173700.2 locus=Brasy4G173700 ID=Brasy4G173700.2.v1.1 annot-version=v1.1 MDRAVPVRKPHASTAGLLSWSENGPDTAAAATPPPSSRPSLKPAGGITPAMFGAPVTEQEAEDLSKRKMCSGSKMKEITGSGIFAAHSENGDSETGPGASNPPNKTSQTLTGISQISFSAEGAVSPKKPSSLPEVAKQRELSGTLESEADAKIKKLNSEAKSKELSGSDIFGPPPEIPARPLAARNLELKGNLDFALPPPRSIHTSVKVSNPAGGPSNIMFGEETEVKSTKKIHNQKFQELTGNNIFKEDAPPGSAEKSLSNAKLKEMSGSNIFADGKATSRDYFGGVRKPPGGGSSIALV* >Brasy4G189700.1.p pacid=40088481 transcript=Brasy4G189700.1 locus=Brasy4G189700 ID=Brasy4G189700.1.v1.1 annot-version=v1.1 MEFNSSINSPTATYRALLSSLIRHRRLPFASAEFNNKDLPNYPYETGNYTPLPLEVTLSRLETRTLSTSPHQASLPRSPRNRDLPVHSLPRSRLANRGWTRLWELDWRMVANQEAAATESAPAATVDAMRLASRWRSPAEWEAAAATLEAEPLPSQLNTDNSSGLFAVVSIDKMSVKYHGVNHHGHDVGVVQADCPAPTHRAVYYFEMTVVNAGLKGQTSIGFTTESFKMRRQPGWESQSCGYHGDDGYLYRGPGKSESFGPKFTSGDIIGAGINYISQEFFLTKNGSLVGGFPKDIKGPLYPTVAVHSPGEELTVNFGKEQFCFDIEGYILEEKMKQQSVSDKLYLQPDISHWIVRSYLLHYGYQDTLNSLDMASETDPPANHQNGYGEPPEMYGLSHRKMLRQLIMNGDIDSAFKRLEEWYPQVIKDETSVICFLLHSQRFIEYIRAEHLEDAVKYARANLANFLTHKAFEGLLKESVALLAYEKPSESCIGYLLDSPQREFVADAVNAAVLSTNPSMKDPESCLYSCLEKLLRQLTVCSSELRVFNNDQGDAFLLHKEVQTCERSRRS* >Brasy4G278900.1.p pacid=40088482 transcript=Brasy4G278900.1 locus=Brasy4G278900 ID=Brasy4G278900.1.v1.1 annot-version=v1.1 MVAVEAAKAPAVAVAEGPIRAEEEEAKVEAEVEAKGDAVAAAEEEREYKSDMRKLEELMSKLNPCAQEFVPSSRRAASAPAPGGGLSADAPVFVSAAEFFGAGAGQLQGTGAGGGRDSSSDGSSNGGGQPQNRRIRRTGSFNQGRRRMGGRTRRSDREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALNLAGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKIFFQRLCGKVSRLRLLGDYVHSTCIAFVEFTQAESAILALNYSGLVLGSLPIRVSPSKTPVRPRSPRVMSN* >Brasy4G278900.2.p pacid=40088483 transcript=Brasy4G278900.2 locus=Brasy4G278900 ID=Brasy4G278900.2.v1.1 annot-version=v1.1 MVAVEAAKGDAVAAAEEEREYKSDMRKLEELMSKLNPCAQEFVPSSRRAASAPAPGGGLSADAPVFVSAAEFFGAGAGQLQGTGAGGGRDSSSDGSSNGGGQPQNRRIRRTGSFNQGRRRMGGRTRRSDREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALNLAGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKIFFQRLCGKVSRLRLLGDYVHSTCIAFVEFTQAESAILALNYSGLVLGSLPIRVSPSKTPVRPRSPRVMSN* >Brasy4G000800.1.p pacid=40088484 transcript=Brasy4G000800.1 locus=Brasy4G000800 ID=Brasy4G000800.1.v1.1 annot-version=v1.1 MSRLDETIFANFERSMVRLHSPGGTTGNGFIVYSMSGTHLVMSCEHIMQGVALGSSMPAYFSDKSNETAMLLHIADSQHVCTPVFFAGPTVPEMDVVMLSFFKMVCSHVVRPGTFQGKIVGPPDTRKGPPIAQTPEHECVRSNYVARTGASAMHTDSRMVFQSPQS* >Brasy4G243700.1.p pacid=40088485 transcript=Brasy4G243700.1 locus=Brasy4G243700 ID=Brasy4G243700.1.v1.1 annot-version=v1.1 MDSSRDPPSLCAQEHADNVYLHDNSAILDVSARDLDMEPAACSEGMFGGFFSENVCGNISLSLMGSPPATT* >Brasy4G294200.1.p pacid=40088486 transcript=Brasy4G294200.1 locus=Brasy4G294200 ID=Brasy4G294200.1.v1.1 annot-version=v1.1 MEFVLPPHGQVNYQDFLRAEGLRAFDGVVPLNNVVDSPMTAWNDMVSNSSAGSEGFVPARGNSVVMDVPMICSFSFTPVPTLMAPLPNLKSDGLGHLSMLGSRGFSETDCSFPSRRVVRKLCFESMAVDQSAEHATVNLEEALIQPVTPVRKGRKPRPATPVLYSGLRRSPRSNIFKGFKVDQPSDSKRKCSKVKGKVILDMAKSTSTIPALISVEDLQQTGREYCAIPEEELTKDKLEAEDEAA* >Brasy4G335900.1.p pacid=40088487 transcript=Brasy4G335900.1 locus=Brasy4G335900 ID=Brasy4G335900.1.v1.1 annot-version=v1.1 MRNAAAVVAMQTSGGGGASSSSMIPGGYVAGAVQHAVQQPPPFWSTPTPYLFIGFAVVMSLIAVALAVLLCSRRRDDEDEGSRRREPAVPAGMMSVRVLAPLDREPRLVVVMAPGRDDAPSFLASAAPLASYAAAASKAVPAPPQRTCQCYAKEDGKDGAVDAV* >Brasy4G353900.1.p pacid=40088488 transcript=Brasy4G353900.1 locus=Brasy4G353900 ID=Brasy4G353900.1.v1.1 annot-version=v1.1 MSSPPPPAVLVSNGAVSPHAPPSAAAFLESTAGAYTTARASPTGGLFWWPRHLLRLADSSRRLAESHPHLLGLPGHPPHALSTSPIAPLVNWSVQVGVHEMRRLGDRGSEDMAITALVRSDGSPEGMEVCVHLGLYVPPVFGVAGARLAAAGGGREAAAAKYAPWARMRKAMEKMRPPGTTELLLTNDGDHLLEGSVTNFFVVCRKEEHLSSEPLSVQAMSNEIEVQTAPLSDGVLPGIMRQIVIEVCHDLGIPVGEVSSSWSKRELWEEAFVTSSLRLIQHVETIQVPSVWEDIDSKNWNDVSWVVKQFQGAGCITTQIQVSFYFLTTDTEENIRESNNGGI* >Brasy4G353900.2.p pacid=40088489 transcript=Brasy4G353900.2 locus=Brasy4G353900 ID=Brasy4G353900.2.v1.1 annot-version=v1.1 MSSPPPPAVLVSNGAVSPHAPPSAAAFLESTAGAYTTARASPTGGLFWWPRHLLRLADSSRRLAESHPHLLGLPGHPPHALSTSPIAPLVNWSVQVGVHEMRRLGDRGSEDMAITALVRSDGSPEGMEVCVHLGLYVPPVFGVAGARLAAAGGGREAAAAKYAPWARMRKAMEKMRPPGTTELLLTNDGDHLLEGSVTNFFVVCRKEEHLSSEPLSVQAMSNEIEVQTAPLSDGVLPGIMRQIVIEVCHDLGIPVGEVSSSWSKRELWEEAFVTSSLRLIQHVETIQVPSVWEDIDSKNWNDVSWVVKQFQGAGCITTQIQVSFYFLTTDTEENIRESNNGGI* >Brasy4G353900.3.p pacid=40088490 transcript=Brasy4G353900.3 locus=Brasy4G353900 ID=Brasy4G353900.3.v1.1 annot-version=v1.1 MSSPPPPAVLVSNGAVSPHAPPSAAAFLESTAGAYTTARASPTGGLFWWPRHLLRLADSSRRLAESHPHLLGLPGHPPHALSTSPIAPLVNWSVQVGVHEMRRLGDRGSEDMAITALVRSDGSPEGMEVCVHLGLYVPPVFGVAGARLAAAGGGREAAAAKYAPWARMRKAMEKMRPPGTTELLLTNDGDHLLEGSVTNFFVVCRKEEHLSSEPLSVQAMSNEIEVQTAPLSDGVLPGIMRQIVIEVCHDLGIPVGEVSSSWSKRELWEEAFVTSSLRLIQHVETIQVPSVWEDIDSKNWNDVSWVVKQFQGAGCITTQIQRKISERAITEEYNIANLCEL* >Brasy4G353900.4.p pacid=40088491 transcript=Brasy4G353900.4 locus=Brasy4G353900 ID=Brasy4G353900.4.v1.1 annot-version=v1.1 MSSPPPPAVLVSNGAVSPHAPPSAAAFLESTAGAYTTARASPTGGLFWWPRHLLRLADSSRRLAESHPHLLGLPGHPPHALSTSPIAPLVNWSVQVGVHEMRRLGDRGSEDMAITALVRSDGSPEGMEVCVHLGLYVPPVFGVAGARLAAAGGGREAAAAKYAPWARMRKAMEKMRPPGTTELLLTNDGDHLLEGSVTNFFVVCRKEEHLSSEPLSVQAMSNEIEVQTAPLSDGVLPGIMRQIVIEVCHDLGIPVGEVSSSWSKRELWEEAFVTSSLRLIQHVETIQVPSVWEDIDSKNWNDVSWVVKQFQGAGCITTQIQRKISERAITEEYNIANLCEL* >Brasy4G394600.1.p pacid=40088492 transcript=Brasy4G394600.1 locus=Brasy4G394600 ID=Brasy4G394600.1.v1.1 annot-version=v1.1 MSKTNTSHEVETSAGLAREAVLTVLVTKIRTVNSASTKRLMSGTGFMIKSHGGGSIVLTANHVVHDLQPGDELYVRRRLPNSVQQLTAHVLHRHQCSDVAVLRVPKLRNVLALTFEPTFLNGESVIGVGYANPVDLFPGIDLAYKRIPDLSPGNIIATDNAGVYMDVDVSYLQCEMVTMSGMSGLPVLKATGVVGMIVAGDGAYNLVTSYNTILQVLKLYLMIRLRQATPSITDVDLDARVSLMTMEQVIEGLP* >Brasy4G394600.3.p pacid=40088493 transcript=Brasy4G394600.3 locus=Brasy4G394600 ID=Brasy4G394600.3.v1.1 annot-version=v1.1 MSKTNTSHEVETSAGLAREAVLTVLVTKIRTVNSASTKRLMSGTGFMIKSHGGGSIVLTANHVVHDLQPGDELYVRRRLPNSVQQLTAHVLHRHQCSDVAVLRVPKLRNVLALTFEPTFLNGESVIGVGYANPVDLFPGIDLAYKRIPDLSPGNIIATDNAGVYMDVDVSYLQCEMVTMSGMSGLPVLKATGVVGMIVAGDGAYNLVTSYNTILQVLKLYLMIRLRQATPSITDVDLDARVSLMTMEQVIEGLP* >Brasy4G394600.2.p pacid=40088494 transcript=Brasy4G394600.2 locus=Brasy4G394600 ID=Brasy4G394600.2.v1.1 annot-version=v1.1 MSKTNTSHEVETSAGLAREAVLTVLVTKIRTVNSASTKRLMSGTGFMIKSHGGGSIVLTANHVVHDLQPGDELYVRRRLPNSVQQLTAHVLHRHQCSDVAVLRVPKLRNVLALTFEPTFLNGESVIGVGYANPVDLFPGIDLAYKRIPDLSPGNIIATDNAGVYMDVDVSYLQCEMVTMSGMSGLPVLKATGVVGMIVAGDGAYNLVTSYNTILQVLKLYLMIRLRQATPSITDVDLDARVSLMTMEQVIEGLP* >Brasy4G046300.1.p pacid=40088495 transcript=Brasy4G046300.1 locus=Brasy4G046300 ID=Brasy4G046300.1.v1.1 annot-version=v1.1 MLPKMLSDRWISASLLVLLLSLHPNVDAFYLPGTFMHTYDPGEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYHFRVNINESVYLCTTDPLTKEQADLLKNRARNLYQVNMILDNLPVMRFTKQNGMMIQWTGYPVGYNPMGSNEDYVINHLKFRVLVHKYQAQGDVVITSDDGVAMVESDRKSGFQIVGFEVVPCSVRRDPAAMTKLKMYEKVDPVNCPLELEKSQVIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAIVTIVFAALGFLSPASRGMLLTGMIILYLFLGIISGYVGVRIWRTIKQSSEGWKSVAWLTSCFFPGVVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAACIEFPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID* >Brasy4G087000.1.p pacid=40088496 transcript=Brasy4G087000.1 locus=Brasy4G087000 ID=Brasy4G087000.1.v1.1 annot-version=v1.1 MAMSDLAAAVISKPGGGEEEEEQVEIREVWADNLEAEFALIRDVVDEFPFVAMDTEFPGIVCRPVGVFRSPADYNYATLKANVDMLHLIQLGLTFSGPTGELPALGAGRRRCVWQFNFREFDDARDIFATDSIELLRHSGINFRLNAERGVDARRFAELLMSSGVVLNDAVYWVTFHAGYDFGYLLKILTCNSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH* >Brasy4G087000.2.p pacid=40088497 transcript=Brasy4G087000.2 locus=Brasy4G087000 ID=Brasy4G087000.2.v1.1 annot-version=v1.1 MAMSDLAAAVISKPGGGEEEEEQVEIREVWADNLEAEFALIRDVVDEFPFVAMDTEFPGIVCRPVGVFRSPADYNYATLKANVDMLHLIQLGLTFSGPTGELPALGAGRRRCVWQFNFREFDDARDIFATDSIELLRHSGINFRLNAERGVDARRFAELLMSSGVVLNDAVYWVTFHAGYDFGYLLKILTCNSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH* >Brasy4G317500.1.p pacid=40088498 transcript=Brasy4G317500.1 locus=Brasy4G317500 ID=Brasy4G317500.1.v1.1 annot-version=v1.1 MAQPPAAAAGGHHWLAAAPPDLRARVLAKIIKNLPRPNGPPDQNHLAQLHAVASRFEARVFEAATSMKDYITTISKKMMQIRNNDQTSAQAASNLQQQAHMGSQMQQANAAQANYSVRPPPMAPAVRPSATHQTQHPASHISSQSSGMCKQQPQPQPRLMGIINQQHLRRVNQMQRVQQANVAVMQTGHPGGQNKNDQQYESPPTSEIITRNNAEEVDWREALFQKITSLKDAHFAELMEYDRALDVRDITNEQFESMPEQKAESCRKLQKMKRMIRSVLSFLQLQKGNIPEQAMGQLGQCQAMITGLLHFFRMERKKATIAARNCREPPQVASPAGTMAPPTGGMDTQHEHRVVPAVETVSSQPTQSSPARSTPLALEPNESDTDNLAGEAEDDGQAEMQGEAGAPVADAATFTGGTCSQEEEQQEDPADETVIPEMSQNVPPGGTCSQEEDQENTEDEPVISELTQNAPPEGTCIQEEEQQEHPADEAVIIPELTQNVPPPAQQQYHSADQAESPNEACVTAEAPVSIDQPPIESGDKAEAFRRLAHELGVNMGRASRLRRNIDSVSYEEDYTSEPESKRQRTQSFGCCYRYINDRVLDEVRDAHRALLETEVSVISDTTGAAAAADGSSTVVGFFYTAVTLPPDFRGAFEVSEVYAKLLVPADYPRSSPAVLPRGELREGFPCSVDAAFQDAVSKLPEPRSIVDMARAFDSCVRSVVVEFVKMIRMWELERYMESRRQYMQNYDPRMGSCICK* >Brasy4G088700.1.p pacid=40088499 transcript=Brasy4G088700.1 locus=Brasy4G088700 ID=Brasy4G088700.1.v1.1 annot-version=v1.1 MDACGIRAPGAVLLRKSELPAENGYANNGHDDAAVRRKTAPATPRRHPSPIAGRGSAPAAPATPRRHPSPNAGRSSTSEPAAGSQAKRSDSTERRSASPSRLASGGSRASTPSRISAPSSPSSAPSSPSSSSSSSSTPVRDAISETQSAPRRLSGGGGRVPDGLWPSMRNLSSSLQLESRAGRTSSSSADQTRTRDASVADRKRSPMRGRSATEQPENPHAKVIDHHRWPAMMGGRVSGNAMSRSVDLTDKMNRSALSSIRSRGSASPKGGSMSSASSALSRSIDLADKIDRLVSSSVSSRGDSPRTSSASNGTPDASKIITDSKDVKPASLSIPLQGISPVRTAVSGGTRALSKSMDSSPGISPSASVINVSNATSQTTKSSEKLIGRASSPAASRGHSPRAAAPGCIGTMSKNTDIPEKDKRPASSRGNSPRRRLVSDGVNAIVKNMDFADKDSRTVTSSVPSRGVSPRRRFASDGVDTIPRSTDFSEKDNRPSTSSSMRGVSPRKRLASDGINGTSKGMDFADKTNTPSASSAASRGISPRNQLVSDCVGTTSKVMDFADKDNRPSTSYAASRGMSPRRRLASDGANTASNNINFAEKDARTVLSSAAYRGISTVRRLASDGADTISKNMDVPEKDVRPATSSTSRGLSPRRRLPSDGVNAISKNINLPEKDVRPVTSAASRGLSPRRRLASDGVSKSMDLSEKDTRPATLSAASRGVSPRRRLVSDSVESISKSSDFIEKETRSSTSSVASRGISPRRQLASDGVNTLLKSTDFAGKDYRPSTSSAASRGTSPRSRVSSNSIDALSRSINFADKDSRPSTSSGASRGTPRRGRLASEGVVDTVDKGSAQFQSSAGSGETSNSRLHGTNAQVEVIQFAEEVNLVTPDGRSGDTSENLDSDNVGTCASSLSIAVQDRPPSSSISDDSKGMLHSVDATHQKHNRAMSVKIPSRGTSPRRRLASDGIDTIPKIMDFTEKDKRPITMSVPSRGMSPRRTVRSDTANIMSKSMDFSEKCNEPISSVIPSRVVSTRRILGPDGANAMSRSVDQTDKMRQPISSTVQSCRVSPRKMPSAYNRVKGTELLSGDVGSPGSADDESQEENTGSSPNAASNNSEKNAPPKQLARTLSSPSRGLLRPSSPTKASSTSSFASRRLPSPLRIRPSTPVSPCSSGRSDSPSSILSYIGDATRGKKSPSHMEDAHQLRLLYNRNLQWRFTNAYADEMLSIQKMGAETMLYSVWDTNSRMSDSMVMKRSYVQRLRQEVKLGIVLKEQMDYLDHWAALQTDHSTSLSGAIEALKASTLRLPVTGGAKADVLTVKNAVSSAVDIMQAMGSSVCNLLSKLQATHSLVTELSAVAAKESTTLNEYRELLATAAALQVHESSLRTQLIQQTE* >Brasy4G248900.1.p pacid=40088500 transcript=Brasy4G248900.1 locus=Brasy4G248900 ID=Brasy4G248900.1.v1.1 annot-version=v1.1 MENYLQAGTAGRGRGFLFPPAPGAAGAPSLGLALMAFGSTEGRVKPVSPVPDDEFLGDYANLKISDGLGSPPRKSTAALPLASILSPVSPLEMGKTSPQPSPMKKSKLSAHTLLERPAPCVAR* >Brasy4G104400.1.p pacid=40088501 transcript=Brasy4G104400.1 locus=Brasy4G104400 ID=Brasy4G104400.1.v1.1 annot-version=v1.1 MELKNAATGLGWLDNRQTVDCSKEWWDEHIERCNNAEKGIKCNHMKFRKHGPKHLDDLHIMFAKIHVTGSSASCPGDVSSADDSDEDVIVVQQTDNSPEIKLASLKKPKTSKKRKESSNANEEKDEKSPFYRLYKSTCGRIGAAADNISSSVQASSAPPPTSHVPSIADVMQMVKDCGVQEGTALMHTATMLIVKPDFREIFSLLQTKEGRFDLLERELKKSM* >Brasy4G119700.1.p pacid=40088502 transcript=Brasy4G119700.1 locus=Brasy4G119700 ID=Brasy4G119700.1.v1.1 annot-version=v1.1 MQNELATPPMRANNKRTRNFTNEEDQVLVAAWLHASLDPIVGNEQKNATYWKRIHEEYELHKPVESDRNISSLTHRWSVVKEQVGRFCGCFEQIANRNASGKTEQDKIVEACSLYKATDKTKRSFVLLHCWSLLRFNQKWLAQIDRSSQSNKKQKSSSNASPSMSTPGTETIHIDESDATSPAKADHMRRPIGKKAEKERQRRGKNVTSTDDSTVVMALDLLFSKRTAVEEAREMERQAREEARERARQAKEEAREAGKKKRYVGVLAIEREKVELEKRSQEMEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSKWTIFVRNI* >Brasy4G426100.1.p pacid=40088503 transcript=Brasy4G426100.1 locus=Brasy4G426100 ID=Brasy4G426100.1.v1.1 annot-version=v1.1 MAGALPWLIFAATLLLASIKSSTASRMAKPGCPETCGNLIIPYPFGIGRGCFRERFDVSCENNTAYWSKSSSNFKIYDISLPEGQITITTLIASSCFSNKKPRWVSLWTGRLFTVSTKANKLTAVGCNTFAILGGYNKHNVVGAGCFSMCRDNQSVDESGRCSGMGCCQTSIAPKVVFSNITFDDRFSNSEVSSFNPCSYAFVAKQDWFEFKPYYLDGDKFTKELMDGVPTVLDWVAGNESCNEAAKNMSAYACIGKNSKCIESSNATGYLCTCKDGFAGNPYLEEGCQDIDECNFPDQYLCHGICSNLIGSYSCLCKSGTTSTDAKKNTCNPIGVSDSGKLTKVSIGISACAMLLLIFIFALLMECQKRKLIKEKEIFFQQNGGLLLYEQIRSKHVDTVRIFTREELENATNNFDSRRELGRGGHGTVYKGILKENREVAIKRSKIMNVAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRSSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNDTAKVTDFGASRILLTDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASAFLLAMKEKRLQSILDQNILEFGTELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRNTWREQLIQNPSNETENLLENSSSHYDPSSTGQHGSLMALDLESGR* >Brasy4G150800.1.p pacid=40088504 transcript=Brasy4G150800.1 locus=Brasy4G150800 ID=Brasy4G150800.1.v1.1 annot-version=v1.1 MARVYVGNLDPRATAREIEDEFRVFGILRSVWVARKPPGFAFIDFDESRDAKDAIRELDGKNGWRVELSTKSGSGRGRERERPGGSDMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSPRRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSYSRSPPPPRARSISRSPPPPRARSISRSPPPPRARSISRSPPPPRARTISKSPPPPRERSYSRSPAQPPQREESPYANNA* >Brasy4G150800.2.p pacid=40088505 transcript=Brasy4G150800.2 locus=Brasy4G150800 ID=Brasy4G150800.2.v1.1 annot-version=v1.1 MARVYVGNLDPRATAREIEDEFRVFGILRSVWVARKPPGFAFIDFDESRDAKDAIRELDGKNGWRVELSTKSGSGRGRERERPGGSDMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSPRRSRSRSRSPRYRRSPSYGRSPRDRSPRRRSYSRSPPPPRARSISRSPPPPRARSISRSPPPPRARSISRSPPPPRARTISKSPPPPRERSYSRSPAQPPQREESPYANNA* >Brasy4G357900.1.p pacid=40088506 transcript=Brasy4G357900.1 locus=Brasy4G357900 ID=Brasy4G357900.1.v1.1 annot-version=v1.1 MRGMLTSIASYCIGGRPGGSLRASAVDRGRSRRLSSVTGVLLRGFTPGRMQPGARRSRRWPGMPVELCPIVRRAWRFALTRTSGCSMRRAVFDPRGRGTRPPRRSRVAAAESRGARPPAYVGGAVRDGRSRGAGGQTAAGGSGGADAESRGVAAAAWQGARRRSSALQPDQGARRGSTGAEAESRDAAARRPDRDEVRKLEQPAVRHEWMRIK* >Brasy4G007200.1.p pacid=40088507 transcript=Brasy4G007200.1 locus=Brasy4G007200 ID=Brasy4G007200.1.v1.1 annot-version=v1.1 MHGYTKLIVWLLLFISSSSSYFGHGDDDADIRCLKDLKQSLIDPSGLPESSWKFIDNHTDPGYYSGYICEFNGVDCWHPNETKVLGLHLGNLGLEGPFPVGLEHCSSLTALDLSGNKFSGPLPENISQQIRYVSSLDLSNNSFSGGIPASIANMTYLNVLALERNQFAGQIPAQLGALSRLVSFSIADNSLSGRIPDTLQRYPAANFMGNPGLCGAPLDRKCKKRFRLRIRIRPIRIRLRLHRINDASSIGAAVGFVAGFVVAFYFPHWFVFSERLRAHLFRVCG* >Brasy4G339400.1.p pacid=40088508 transcript=Brasy4G339400.1 locus=Brasy4G339400 ID=Brasy4G339400.1.v1.1 annot-version=v1.1 MAGRLAGAGSSSPLPRALLLLATAALFSLSFLTLRSLRPAAAPSISISESRLIAPPFSPAAPRPSVYHSPEAFSAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFLQNIRESRFRTEDPDSADLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLTFMVKNSIRVVCSPSYNVDFIPHKDVALPQVLQPFALPKGGNDVENRTNLGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDVLDWKKFAIVLKERDVYELKSILKSISQEEFVALHQSLVQIQKHFVWHSPPIPYDAFHMVMYELWLRHHVIKY* >Brasy4G225400.1.p pacid=40088509 transcript=Brasy4G225400.1 locus=Brasy4G225400 ID=Brasy4G225400.1.v1.1 annot-version=v1.1 MRSPAAADFSDALSSPSSPATTPFQPSSGRHFYLAVDRLQFKMRTLLELLGVVSDRRGALPIAICVSSRDELDATCAAVANLPFVSLSPLYSDQVEPERASILEKFRQAVMQWNQTKDTDISASPKPESMVAKLSITVTTDACLPLAAMGEAPLMSRLLINYELPTKKEAYLRRMSACLAADGIVINMVVGGEVALLKSLEETSGFVIAEMPIHVSEIL* >Brasy4G225400.2.p pacid=40088510 transcript=Brasy4G225400.2 locus=Brasy4G225400 ID=Brasy4G225400.2.v1.1 annot-version=v1.1 MRSPAAADFSDALSSPSSPATTPFQPSSGRHFYLAVDRLQFKMRTLLELLGVVSDRRGALPIAICVSSRDELDATCAAVANLPFVSLSPLVEPERASILEKFRQAVMQWNQTKDTDISASPKPESMVAKLSITVTTDACLPLAAMGEAPLMSRLLINYELPTKKEAYLRRMSACLAADGIVINMVVGGEVALLKSLEETSGFVIAEMPIHVSEIL* >Brasy4G051500.1.p pacid=40088511 transcript=Brasy4G051500.1 locus=Brasy4G051500 ID=Brasy4G051500.1.v1.1 annot-version=v1.1 MAAILWRKKLVLHRSLVEIFIGESESPIMHAPVPEDPRDLLSPAGRRPLVPTHVSRRRRSS* >Brasy4G016100.1.p pacid=40088512 transcript=Brasy4G016100.1 locus=Brasy4G016100 ID=Brasy4G016100.1.v1.1 annot-version=v1.1 MTTNGDPAAQAAAQAQQQQAAAQAQQQQTAQLQAQQQIEAQAKAAATMQAQALAAAQEVARSAAAAGVNIDVVGLVTDFNKFINKEQPTQSTLPYAGKRIIDIYPVTSSKKKGRFLGVSSSDGNYGQDQTETRGCLVCDKYNPTKCWQDLA* >Brasy4G264100.1.p pacid=40088513 transcript=Brasy4G264100.1 locus=Brasy4G264100 ID=Brasy4G264100.1.v1.1 annot-version=v1.1 MGSKSVDGVLDAATAGVHYSAYRLKELNLQASMSGLEQPTTSGLENGHREPFIIGVAGGASSGKSTVCKMIIDQLRDQRVVVIPQDSFYYGLSDEELLNVHECNFDHPDAFDTNLLLSCMANLKHGKAVDIPDYSFKTYKSAPQARKVNPSDVIILEGILVFHDLRVRDLMNMKIFVDTDADVRLTRRIRCDTIEKGRDIKTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIPTTYQVRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKERQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLMYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKKFPRIKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVRLL* >Brasy4G264100.3.p pacid=40088514 transcript=Brasy4G264100.3 locus=Brasy4G264100 ID=Brasy4G264100.3.v1.1 annot-version=v1.1 MGSKSVDGVLDAATAGVHYSAYRLKELNLQASMSGLEQPTTSGLENGHREPFIIGVAGGASSGKSTVCKMIIDQLRDQRVVVIPQDSFYYGLSDEELLNVHECNFDHPDAFDTNLLLSCMANLKHGKAVDIPDYSFKTYKSAPQARKVNPSDVIILEGILVFHDLRVRDLMNMKIFVDTDADVRLTRRIRCDTIEKGRDIKTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIPTTYQVRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKERQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLMYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKKFPRIKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVRLL* >Brasy4G264100.2.p pacid=40088515 transcript=Brasy4G264100.2 locus=Brasy4G264100 ID=Brasy4G264100.2.v1.1 annot-version=v1.1 MGSKSVDGVLDAATAGVHYSAYRLKELNLQASMSGLEQPTTSGLENGHREPFIIGVAGGASSGKSTVCKMIIDQLRDQRVVVIPQDSFYYGLSDEELLNVHECNFDHPDAFDTNLLLSCMANLKHGKAVDIPDYSFKTYKSAPQARKVNPSDVIILEGILVFHDLRVRDLMNMKIFVDTDADVRLTRRIRCDTIEKGRDIKTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIPTTYQVRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKERQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLMYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKKFPRIKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVRLL* >Brasy4G153800.1.p pacid=40088516 transcript=Brasy4G153800.1 locus=Brasy4G153800 ID=Brasy4G153800.1.v1.1 annot-version=v1.1 MGQSRSRSLPVTSKFSVRQENDRIKYAVSSMQGWRPYMEDAHAAILDLHDSKSTSFFAVYDGHAGANVALYCASQFHIELMRHEDYHNNLAHAVERTFFRMDEQLQQLDGWREAFKPPLVKAFNLLNCLKPPACDKGTPDTEGSTACVALIRGNQIIVGNVGNSRCVLSRDGQAIDLSTDHKPTLAAERERIVKAGGKISRSKLPKVLLGVIVGTRLGVHRVNGILAVSRSIGSFQLKRNKDLTPEEQMVTCSPDIMTVDITDDTEFLIIASDGLWDFTSSQGAVDFVHKQLNSGIRDLRFICELLIDICMRTQDNMTMILVQFKHAPRVPPPAGNVPVVDPDFIPSSSTNPIPAISAGGAGAKARADTRMPEITEVKEEEDKGPSGGATEEESLLPLS* >Brasy4G153800.2.p pacid=40088517 transcript=Brasy4G153800.2 locus=Brasy4G153800 ID=Brasy4G153800.2.v1.1 annot-version=v1.1 MGQSRSRSLPVTSKFSVRQENDRIKYAVSSMQGWRPYMEDAHAAILDLHDSKSTSFFAVYDGHAGANVALYCASQFHIELMRHEDYHNNLAHAVERTFFRMDEQLQQLDGWREAFKPPLVKAFNLLNCLKPPACDKGTPDTEGSTACVALIRGNQIIVGNVGNSRCVLSRDGQAIDLSTDHKPTLAAERERIVKAGGKISRSKLPKVLLGVIVGTRLGVHRVNGILAVSRSIGSFQLKRNKDLTPEEQMVTCSPDIMTVDITDDTEFLIIASDGLWELEICVSFVSYLLIFA* >Brasy4G031900.1.p pacid=40088518 transcript=Brasy4G031900.1 locus=Brasy4G031900 ID=Brasy4G031900.1.v1.1 annot-version=v1.1 MGGDSKRHFFPLTSLQIGDLQSYLAELTIFLCPETKKFLIFLDNRPWLLDQDTKPAHLWQLMVTKSRLSPFANTRTRRKRDEVETKLVFSKGQISTPHLWNKSSKWYTLIDDAMRNKKLQVNKLKDSRMLNRELHRTLYGFIIFEVDWADVRGINYLNELQTDTSMAVEAKTMKRWEFDSVNQASSLISSWFSGNYSECQLLQDYFNNISPEGDVFYDAPNDFVTPEWDSESVQSNGDDSGDVQCARVSSNFTSSSYIPPPRSGPYKRRKIIRSDAGSSMSEESYSEIVTSPRYSSYTSSSCCSDNDMGKPLFEPSSYKDVLILFRFSDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFFQSYPVFCKIYRPWMCPLARTLYVVMSLITVLIGFYDLYKNVPMLKATASRLFGPLFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVRATKSVLSILTKPFMGPILEVLEFTLPLWNLCAETVDYLSSAIMIAMETSCSAVINTMQLVMWPFWLVLSTVLNIANSVLYPVFWFIGEILAAPIRLVVALASFVADFFDDIVDVLRQTWSTLSSLYQVGSASRAPGLTSETSIWGSLWKDLLYQIFRAVRSILYGFVAFFSACNRHRLSIYSHIEVFLRHLSCALTGRRYTTSYEGTRKYASQNHPQKKAKEN* >Brasy4G031900.2.p pacid=40088519 transcript=Brasy4G031900.2 locus=Brasy4G031900 ID=Brasy4G031900.2.v1.1 annot-version=v1.1 MVTKSRLSPFANTRTRRKRDEVETKLVFSKGQISTPHLWNKSSKWYTLIDDAMRNKKLQVNKLKDSRMLNRELHRTLYGFIIFEVDWADVRGINYLNELQTDTSMAVEAKTMKRWEFDSVNQASSLISSWFSGNYSECQLLQDYFNNISPEGDVFYDAPNDFVTPEWDSESVQSNGDDSGDVQCARVSSNFTSSSYIPPPRSGPYKRRKIIRSDAGSSMSEESYSEIVTSPRYSSYTSSSCCSDNDMGKPLFEPSSYKDVLILFRFSDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFFQSYPVFCKIYRPWMCPLARTLYVVMSLITVLIGFYDLYKNVPMLKATASRLFGPLFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVRATKSVLSILTKPFMGPILEVLEFTLPLWNLCAETVDYLSSAIMIAMETSCSAVINTMQLVMWPFWLVLSTVLNIANSVLYPVFWFIGEILAAPIRLVVALASFVADFFDDIVDVLRQTWSTLSSLYQVGSASRAPGLTSETSIWGSLWKDLLYQIFRAVRSILYGFVAFFSACNRHRLSIYSHIEVFLRHLSCALTGRRYTTSYEGTRKYASQNHPQKKAKEN* >Brasy4G408300.1.p pacid=40088520 transcript=Brasy4G408300.1 locus=Brasy4G408300 ID=Brasy4G408300.1.v1.1 annot-version=v1.1 MDSSSSSSAAAAPAEPVPLAGENLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFLSWHSGSPAHARELLSFHSSEYIEELVQANANGPKKFCEGTFLNPGSWGAALLAAGTTLSAARHILDGHGKLAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRTKVAVVDIDVHYGNGTAEGFYRTDSVLTISLHMKHGSWGPSHPQNGSTDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVASAIEKFQPQLLVFVIGQDSSAFDPNGRQCLTMDGYRKIGQIMRTMANRHSDGQILIVQEGGYHISYSAYCLHATLEGVLSMQAPLLDDPIAYYPEDEEYTMEVVDIIKESWKESIPFLKDI* >Brasy4G408300.2.p pacid=40088521 transcript=Brasy4G408300.2 locus=Brasy4G408300 ID=Brasy4G408300.2.v1.1 annot-version=v1.1 MRACLPTTPVAACSTRAATRGSLTCSTSTRRTPTASATWSPSSAAAQSRPSSPGTPEAPPTPASSSPSTPQFSEYIEELVQANANGPKKFCEGTFLNPGSWGAALLAAGTTLSAARHILDGHGKLAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRTKVAVVDIDVHYGNGTAEGFYRTDSVLTISLHMKHGSWGPSHPQNGSTDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVASAIEKFQPQLLVFVIGQDSSAFDPNGRQCLTMDGYRKIGQIMRTMANRHSDGQILIVQEGGYHISYSAYCLHATLEGVLSMQAPLLDDPIAYYPEDEEYTMEVVDIIKESWKESIPFLKDI* >Brasy4G436800.1.p pacid=40088522 transcript=Brasy4G436800.1 locus=Brasy4G436800 ID=Brasy4G436800.1.v1.1 annot-version=v1.1 MELLKPVAAMLAFDTLFAVMAVLVKKALDDGLNPVVLIALRQFVAAAVLAPIAYFRERNARPKFTKEIFAYLFMSALLGALLSQYLFFLGLSYTTATLAATFSNMTPVFTFLVAVPLRLETVSVKSLAGLAKIVGTLTSVGGAILLSLYKGAALTHTASSVQEHTASGTTTTSHSSKGRWMLGSALLLVNCITFSFWMLLQGKLTKKYPAVISSTAFMALFSSLQAGALALATQRHLSVWLLRGSIQIATVLFAGVGVSGIGYVLMTWCIEKRGPVFTAGFIPPIQIIAAVLDLFILHEQLYLGSVVGAALVIGGLYLLLWGKSKEASATVLSAKGVEEDREEQVNL* >Brasy4G351900.1.p pacid=40088523 transcript=Brasy4G351900.1 locus=Brasy4G351900 ID=Brasy4G351900.1.v1.1 annot-version=v1.1 MSSEQMVHMNRGQGETSYAQNSSLQNAAQNRMRSLIEEAIADLCSTSTLLPSRSMVVADLGCSSGPNALALVSIAVDAIQSHCLRYQQQPLAEICVLLNDLPDNDFNVVVKSLVVFQQSHKSIVAGIVPGSFYGRLFCSDSLHLVCSSNSLHWLSMAPDELKRNRIPAYDIDEHVRRERRTMVLGAYARQFSKDFTLFLELRAKELVPGGRMVVSLAGRRSEEPASKYTHAWESVAQILSEMASKGVINREKFESFYIPIYGPSDEGLREIIQAEGSFSIRELQVHEPTSDNMLITPSRMANMLRAGFEPIIMQHFGPARNIMDEFVSTAERRWSLQGSLQEELAANPRVILVVSLTKKV* >Brasy4G120600.1.p pacid=40088524 transcript=Brasy4G120600.1 locus=Brasy4G120600 ID=Brasy4G120600.1.v1.1 annot-version=v1.1 MSNCETIQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVANDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPCQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G378400.1.p pacid=40088525 transcript=Brasy4G378400.1 locus=Brasy4G378400 ID=Brasy4G378400.1.v1.1 annot-version=v1.1 MAFHMVDGNDPVNKLLDMFSTCRGWAGFEDGRACNYPLSWLKLMSRMAMLLDDTNSIGRPPDSELWERLRCRSSVRFPRDPEIGPSTLFEANRISVIVPSKLQTMPSHLQQSMPSFHEVVRPPSCDSPSRNLRREPFSCSLQDLVGEGKEISSTSARLREGMCNLLLYALSEKWSRCMFSDETSMEFGLLRLCPG* >Brasy4G349000.1.p pacid=40088526 transcript=Brasy4G349000.1 locus=Brasy4G349000 ID=Brasy4G349000.1.v1.1 annot-version=v1.1 MKEKRGLDAGDGHPEAKRSRPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGKLGPAAITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVILLDTGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPIITGDIQVTLKEGVGTIGEFTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFRKRLNKSGILTVEDFLRLVVRDPSKLRTILGSGMSNKMWDSLVAHAKTCVLSGKYYIYYSDENRSAGAIFNDLYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYVIEYDGKALLNPEPKKKAASTSQAEARALPERYPTAYAQRSSSTTLPGPSPAGITDSIGHGNRSATPSALQSTPANLPVPYDDTFSFLPPTMFMGSIDQGTASDAMDLELGQLQQVVSQGQSIQPANVGYDDWARSRDSQYADDFTEDIRMKSHQMLEGEDMQQLLRVFSMGGASTSLPDETFAFQSYMPSPLPNLGFEGEPSRPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQIVELED* >Brasy4G349000.2.p pacid=40088527 transcript=Brasy4G349000.2 locus=Brasy4G349000 ID=Brasy4G349000.2.v1.1 annot-version=v1.1 MKEKRGLDAGDGHPEAKRSRPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGKLGPAAITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVILLDTGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPIITGDIQVTLKEGVGTIGEFTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFRKRLNKSGILTVEDFLRLVVRDPSKLRTILGSGMSNKMWDSLVAHAKTCVLSGKYYIYYSDENRSAGAIFNDLYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYVIEYDGKALLNPEPKKKAASTSQAEARALPERYPTAYAQRSSSTTLPGPSPAGITDSIGHGNRSATPSALQSTPANLPVPYDDTFSFLPPTMFMGSIDQGTASDAMDLELGQLQQVVSQGQSIQPANVGYDDWARSRDSQYADDFTEDIRMKSHQMLEGEDMQQLLRVFSMGGASTSLPDETFAFQSYMPSPLPNLGFEGEPSRPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQIVELED* >Brasy4G141200.1.p pacid=40088528 transcript=Brasy4G141200.1 locus=Brasy4G141200 ID=Brasy4G141200.1.v1.1 annot-version=v1.1 MAKAPLVARLAMEVAPSQLSWIIRRRRLQRTVMETIAEEEKEVAAAAAMAPPPPHGRNTMTRSSSSSAAHGEKRLVLAPAPAMEGLSKIAA* >Brasy4G190500.1.p pacid=40088529 transcript=Brasy4G190500.1 locus=Brasy4G190500 ID=Brasy4G190500.1.v1.1 annot-version=v1.1 MEDEFSFPTVATPAQLDLGEAPSPAELLLVGTVTSSPLWPFSSSPNTAPPVTTPTAQEEAPSLASTTSGARRAHRRHDEDRMDLLWEDFNDDAESGEPPMTMTMLRASSRAGRFCASRLDRTTGWTLLLRLFRRLFSPDDKAPSWSRGRQHGMQLCTLHNHA* >Brasy4G088100.1.p pacid=40088530 transcript=Brasy4G088100.1 locus=Brasy4G088100 ID=Brasy4G088100.1.v1.1 annot-version=v1.1 MASAASTSAAVAAVASRLLTRRPTHLLRRLPRAPPAVLSARPSSSFGAAPLRRPLGHRARMGHTSAAASAVPALGLTKPNAVEPPQVNFTAKDIEFSDWTGDVLAVAVTEKDLSKGSDSKFENALLNKLDSQLGGILSEASAEEDFTGKAGQSVVLRLSGQGFKRVGLIGLGQNAPSTTSACRGIGESIASVAKSTQASSAALVLASPGGIQQEFKLNAAAAIASGTVLGLHEDSRFKSDSKKVHLKQVDLIGLGSGPEVDQKLQYANDLSSGVIFGKELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDVEKCQELKMGSYLGVAAASANPPHFIHLCYKPVGGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALAQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGVFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS* >Brasy4G327000.1.p pacid=40088531 transcript=Brasy4G327000.1 locus=Brasy4G327000 ID=Brasy4G327000.1.v1.1 annot-version=v1.1 MVLDVIADVDVDPRMVAAGQAISKRLRLRGSFFGGKIVGAILTRRLLSSGSGSIDDLPCLDRGNGAAAGNLLPPHVTVQGVSVSGSPLTGLIGLEDGSLSSPPPPTDHGGRRPVFPSYCLYYAAHAWHECTVHTKSSQ* >Brasy4G056000.1.p pacid=40088532 transcript=Brasy4G056000.1 locus=Brasy4G056000 ID=Brasy4G056000.1.v1.1 annot-version=v1.1 MPTRCLSSSSPSSSPSQTKSSQNQDDEPIQGEAEQQGDKPHPNEAMVCVACLIPIFLIPFVNALPFLFDLLLSKIYRMFGWEYRRPERAPPACPFKPAANKTEGATSESKPLVNPHVASESKPLVEPRGASAEGKKDD* >Brasy4G029200.1.p pacid=40088533 transcript=Brasy4G029200.1 locus=Brasy4G029200 ID=Brasy4G029200.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTPLRTKYERLNNGPFKYFPRGFITCENKFFTLPGLPEEKVANDSYDVGNFEYMVANMRAEVEHYRKKLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESMYLPRQFLYGEQKADAYVFPYSPQLLPRI* >Brasy4G185600.1.p pacid=40088534 transcript=Brasy4G185600.1 locus=Brasy4G185600 ID=Brasy4G185600.1.v1.1 annot-version=v1.1 MAMQGADQGAATDSSPGWAVAPAAAAAVSAAAPSMSLNGVLTMARIFLVFAVFALALVFLLYRFYNCFPTAPGWPPRIGVAAEAGNKDKGVDPELLRSLPVTVYRAASAAKEECAVCLAELEDGEEARFLPRCGHGFHAACVDAWLASHSTCPLCRATVGAKAEPDAPFTSASPRPRLAPVPPEPANYAANVVLPASALVGVYDQATRAAVPSDGGASSAGALVIDIPPEACVSVVPRDAATKSPGLGRLKSLKRLWSFGRPAGTSIPSCSCGSGGGTADVERGVNIYAVGSRAELSPEAATCATPRPAV* >Brasy4G074400.1.p pacid=40088535 transcript=Brasy4G074400.1 locus=Brasy4G074400 ID=Brasy4G074400.1.v1.1 annot-version=v1.1 MAAAASRKRGATDQAASFVPKPGNKPKRPRLLPPVGSIEDYESLSPIGYGAYSAVYSARHRETGKAVALKRVLGQGPGDHGPPPDPRALAAEVACLAACQGHPSVVRLEGVATDAETGDAYIVTELAARGSLFDLIGEEAPFSEERARGMMRQLLSAAEKMHGEGIAHRDIKPDNVLVGRVGELKLCDFGAAMELKKKKEKDPIPAENRPGTLLYTAPEQLTRGGRCYGTAVDVWALGCVMVELVNGASLFDFGVMEEEELLEDAKDLRDKMAERGLEGAVPAAAGLSPAGREVLAGLLAFDPDQRLTAAAALEHRWFTEHLAS* >Brasy4G166600.1.p pacid=40088536 transcript=Brasy4G166600.1 locus=Brasy4G166600 ID=Brasy4G166600.1.v1.1 annot-version=v1.1 MASTKALFLLAVLLAASAVLLATAAPAEQTHDKEEKVTTNSAGIQDGGWRGGEGGYPGHGGGGGGYPGHGGGGYGPVHCGHWGCCRRGYHGDCMRCCGANEKAPVEVHN* >Brasy4G299100.1.p pacid=40088537 transcript=Brasy4G299100.1 locus=Brasy4G299100 ID=Brasy4G299100.1.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.2.p pacid=40088538 transcript=Brasy4G299100.2 locus=Brasy4G299100 ID=Brasy4G299100.2.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.3.p pacid=40088539 transcript=Brasy4G299100.3 locus=Brasy4G299100 ID=Brasy4G299100.3.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.4.p pacid=40088540 transcript=Brasy4G299100.4 locus=Brasy4G299100 ID=Brasy4G299100.4.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.5.p pacid=40088541 transcript=Brasy4G299100.5 locus=Brasy4G299100 ID=Brasy4G299100.5.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.6.p pacid=40088542 transcript=Brasy4G299100.6 locus=Brasy4G299100 ID=Brasy4G299100.6.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.7.p pacid=40088543 transcript=Brasy4G299100.7 locus=Brasy4G299100 ID=Brasy4G299100.7.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.8.p pacid=40088544 transcript=Brasy4G299100.8 locus=Brasy4G299100 ID=Brasy4G299100.8.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.9.p pacid=40088545 transcript=Brasy4G299100.9 locus=Brasy4G299100 ID=Brasy4G299100.9.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.10.p pacid=40088546 transcript=Brasy4G299100.10 locus=Brasy4G299100 ID=Brasy4G299100.10.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.11.p pacid=40088547 transcript=Brasy4G299100.11 locus=Brasy4G299100 ID=Brasy4G299100.11.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRLEKGGFSIGAAKRRHTSSLIIGPGSGYNLVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.12.p pacid=40088548 transcript=Brasy4G299100.12 locus=Brasy4G299100 ID=Brasy4G299100.12.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G299100.13.p pacid=40088549 transcript=Brasy4G299100.13 locus=Brasy4G299100 ID=Brasy4G299100.13.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G299100.14.p pacid=40088550 transcript=Brasy4G299100.14 locus=Brasy4G299100 ID=Brasy4G299100.14.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTSLFHDDNLREHSIQEVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.15.p pacid=40088551 transcript=Brasy4G299100.15 locus=Brasy4G299100 ID=Brasy4G299100.15.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G299100.16.p pacid=40088552 transcript=Brasy4G299100.16 locus=Brasy4G299100 ID=Brasy4G299100.16.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTIDDIRKMAADWEEAPPLYLRLDTHVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G299100.17.p pacid=40088553 transcript=Brasy4G299100.17 locus=Brasy4G299100 ID=Brasy4G299100.17.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.18.p pacid=40088554 transcript=Brasy4G299100.18 locus=Brasy4G299100 ID=Brasy4G299100.18.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRVSNPLDEDSSTEMKDKVNNETKRRFCEQLRDEGQSFRAVFDKRKQRVGVFENGNDE* >Brasy4G299100.19.p pacid=40088555 transcript=Brasy4G299100.19 locus=Brasy4G299100 ID=Brasy4G299100.19.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G299100.20.p pacid=40088556 transcript=Brasy4G299100.20 locus=Brasy4G299100 ID=Brasy4G299100.20.v1.1 annot-version=v1.1 MDYPWRFPPGADLCPICSAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPPPQPPMWAPPGPHPYDLPDREGPHKRMRMGDAPPFDPYAAPPPPHPPMLGRDSVEGERLIGLIREHGHGHGRPQLPPIQRHGEQYPHDGFGCRGASRGYPPQNYINPYAQGGNSTDYDHERRLQGGNFTDYDHARRLHPPPRHYDLGSDFVPVEEKYFDLDHHYHPFHPEASPGASSLPPVPQYAEAGNHYDSRDWRPHASVVPPPPDPPVPSPPDYHAMPSLQAVNSSLFPVLSGSPATTAVPPIDHTLHQSHLTLNANCYNGADQNEGLDLIYRPHPEQHLRDRNPAQVKHSFNNTKLNTINACDLFKQPLRTSRPDHIVIIMRGLPGSGKSYLAKALRDLEVECGGNAPRIHSMDDYFMIEVEKVEGSEGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLSAFMKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTVDMDMDDTDDANDTAVSTETENSNKVIPESTGVADQGEKWNSSDEEDLDDIKELGQSKWSKDFDDDTENSKHTEGNTHALSGLAQTYGTRRKTLTWGDRGHCSRESKRCTRCNIKSLKIFHI* >Brasy4G403400.1.p pacid=40088557 transcript=Brasy4G403400.1 locus=Brasy4G403400 ID=Brasy4G403400.1.v1.1 annot-version=v1.1 MEEAAVLEEGARRNPAVSDSYRPAGMARPNGTVLEAQGRVCTGPEQTRPLGEEQAMRVLDTILRSAMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVHVLPPEVKFIADPEGTIMGANCLTGPRYIGEGTAEMRLVGALREVLAGGHLGYEEVQCVLKDVLPVGSVSANSVSEALLAAFLIGQRMNRENDRELKGYCLAFDDELGPPPIADVDSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQMLKFMGANTHLSPTHAKTLLEDESAGFAYLNLQEACPPLHSIIGLREHIKKRPPLATSEKVQQFVRAQGRESMVAGFYHVGYEDPMLMLMRRRTVHAGLVVKGEEGALSLTTKERSAHASKGIPVNHCSGFRTPSSVHFSETDGISRESFRVAVNAQELGFKSTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCNGAEDINSALGRAREAIDSGNALRRLMNYIKISHKVSNSS* >Brasy4G188900.1.p pacid=40088558 transcript=Brasy4G188900.1 locus=Brasy4G188900 ID=Brasy4G188900.1.v1.1 annot-version=v1.1 MGSEKYSRVEPIGRDLGGQVRMAPVRFGYGYSSTIPVPGDPTLNPGARSARSHGSGGGRRPPWRCASGPDPVAAWARSAGSHGLGPDPAAAGQPISSVEAAGTGGGGGHAGRRAGGGGGALGGGLVEETGARGGGPMEEPGAGDDGRVEEMGAADRWRRLGTEGAVEEAGRQTRGRGQGQRTGGRMGLGLELARSLPVRSLGLSSPPPTYPISLVAAAAATHPH* >Brasy4G388200.1.p pacid=40088559 transcript=Brasy4G388200.1 locus=Brasy4G388200 ID=Brasy4G388200.1.v1.1 annot-version=v1.1 MGEQGRASSNKIRDIVRLQQLLKKWKRLALAPKAGNGKHGSGGAADVPRGFFAVCVGEEMRRFVIPTEYLGHWAFEELLREAEEEFGFRHEGALRIPCDVEVFEGILRLVGRKKEPTCYFSSEYEVLCR* >Brasy4G177900.1.p pacid=40088560 transcript=Brasy4G177900.1 locus=Brasy4G177900 ID=Brasy4G177900.1.v1.1 annot-version=v1.1 MEIEAPIIVASASEGRWSQAGTTLPVRNVQALASSAGELTADKIERYIRPDIDSYEVLSEHSGEVPVINLGKLLKPESAETEAAKLRFACEDWGFFQLVNHGIPDGVIANIKSDIQKFFQLPLDVKNAYAQRVGDLQGYGQAFILSDEQKLDWADMFGLFSQPPQARDMSYWPSQPPTFRNSIEEYSSELTKLAHSVVTFIAKTLDVDPELMADKHVGQFLRMNYYPPCTSTPEKVIGFSPHSDGSFITILLEINSVQGLQIRRSGAWIPVKPRADALLVNVGDFLEIMTNGKYKSIEHRVTINAHKERLSISAFQLPKYDGIVSPILGRTEEKVLYKTMRVEEYAKLYMSNKRDGKRTLDHAKVSPI* >Brasy4G318100.1.p pacid=40088561 transcript=Brasy4G318100.1 locus=Brasy4G318100 ID=Brasy4G318100.1.v1.1 annot-version=v1.1 MSRRRPTTSLGRRKIKIRRIDSDQARQVCFSKRRTGLFKKASELSILCGVQVAVIVFSPAGKAFSFGTPSVDAVLNRFLGATSARPAGTGNGGRAAARESPVVAELIRQYAELRAQVEVEKARAAALRKEQKAAAAAPGAPKWLDCDCELSELSEAELVDFAAALVEIQAAVQGRADQMLRESLLAGTRANVIYANAARATATAPHAVPAADAITAAAARMMMVPQQLGGFGFGAAGTAAGAVDGGLMDQMQAQQQQQAMEMQAQQQQQAMEMMIQGFGGPQHGAGFLGPPPPY* >Brasy4G208300.1.p pacid=40088562 transcript=Brasy4G208300.1 locus=Brasy4G208300 ID=Brasy4G208300.1.v1.1 annot-version=v1.1 MVHETRSRTHAAEEGKASPKRQKAENKEQEGGQQAPAKNKKSVEPEASTKTKKLKADQSELNGKDSATKEFMDFCKAIREHLSIDDMRKILEANEQDASGSEDAVVPSCEDMLFYRPLEKCPICGGQLECMGWKYKCTGKYSEWASCVFRTNNPPRKGGPIKVPDDVSNDFVNKWLKQQEGKGYPKRDMDEEAHIFSGMMIALSGRMSRSHAYFREQIMKHGGKVSNSVLGVTCVVASPAERDRGGLGGFAEALERGTPIVSENWIVDSIQKKEAQPLAAYDIVSDVVPEGKGLPLDKLDPSEEAIETLAAELKLAGKRSVHKDSKLDKDGGRIFEDDGVIYNCAFSVCDLGSEMNQFCIMQLIMVPENRLHLYYKKGPIGHDQMAEERVEDFGSRVNDAFKEFVRLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGYDVRHGGVALRHLGSAAAHCKLDPSIFFLLKQLCSQEIYRYALTEMAQDLPDLPVGMLTDLHLKRGEEMLLQWIRDAEPAPESRPAADAFWIEISNKWFTLFPTTRPYTMRGFEQIADNVASGFETIRDINVASHLIGDTFGSTLDDPLSECYKKLGCSINCVIEDSEDYKMIAKYLEKTYEPVKVDDVVYGVSIDRIYAVESSAFPSYNDIKNLPNKVLLWCGTRSSNLLRHIHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEIKEITGTPGAEDVKSMEEKKVGVKGVGRKTTDDSEHITWRDDVKVPCGKLVPSGNKDGPLEYNEFAVYDPKQVSIQFLVGVRYEEQNMELVPDE* >Brasy4G208300.2.p pacid=40088563 transcript=Brasy4G208300.2 locus=Brasy4G208300 ID=Brasy4G208300.2.v1.1 annot-version=v1.1 MVHETRSRTHAAEEGKASPKRQKAENKEQEGGQQAPAKNKKSVEPEASTKTKKLKADQSELNGKDSATKEFMDFCKAIREHLSIDDMRKILEANEQDASGSEDAVVPSWPLEKCPICGGQLECMGWKYKCTGKYSEWASCVFRTNNPPRKGGPIKVPDDVSNDFVNKWLKQQEGKGYPKRDMDEEAHIFSGMMIALSGRMSRSHAYFREQIMKHGGKVSNSVLGVTCVVASPAERDRGGLGGFAEALERGTPIVSENWIVDSIQKKEAQPLAAYDIVSDVVPEGKGLPLDKLDPSEEAIETLAAELKLAGKRSVHKDSKLDKDGGRIFEDDGVIYNCAFSVCDLGSEMNQFCIMQLIMVPENRLHLYYKKGPIGHDQMAEERVEDFGSRVNDAFKEFVRLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGYDVRHGGVALRHLGSAAAHCKLDPSIFFLLKQLCSQEIYRYALTEMAQDLPDLPVGMLTDLHLKRGEEMLLQWIRDAEPAPESRPAADAFWIEISNKWFTLFPTTRPYTMRGFEQIADNVASGFETIRDINVASHLIGDTFGSTLDDPLSECYKKLGCSINCVIEDSEDYKMIAKYLEKTYEPVKVDDVVYGVSIDRIYAVESSAFPSYNDIKNLPNKVLLWCGTRSSNLLRHIHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEIKEITGTPGAEDVKSMEEKKVGVKGVGRKTTDDSEHITWRDDVKVPCGKLVPSGNKDGPLEYNEFAVYDPKQVSIQFLVGVRYEEQNMELVPDE* >Brasy4G326700.1.p pacid=40088564 transcript=Brasy4G326700.1 locus=Brasy4G326700 ID=Brasy4G326700.1.v1.1 annot-version=v1.1 MEDNNDKLPDEKNEAVQAHPQDFALPQDIQHLILASLPGRLVLKRRRVCKFWRDCIEEPGFIDRHLSNALRFHKSIACFTSVDGGLVHMYTFDPTTMNFKSMDLVFSCRFQMSGPCNGLVCSYDLKGAPEVFNPTTRKHLELPVSEIQSQSLFSEYFLGFVQSTKQYKVVGVCHRVRSLTFEVCTIGTLSWRAVRESADLLKSTKAVIVNDVMHWLLLDEASSHFTRKILLLNLTDEKFSETSVPDAVKDRDLELFEGEGKLHLWSNASKGSASTVSEIWVANSTCQVWMHMHTIYFPIPAGMRPLFLHKKKLFYSDQIRFSYFDLQDGRDYYVPMPFGESIISSGVFVESFLVPSVTGLVNSTTSPQSSHHGAGSSSVGPGHSSALTGWSLPKVLQSFKQAKRKVNMEWRMTPVS* >Brasy4G159300.1.p pacid=40088565 transcript=Brasy4G159300.1 locus=Brasy4G159300 ID=Brasy4G159300.1.v1.1 annot-version=v1.1 MPLGRVWTNPQKQSPKQADGSPESNRGKIRKSSKIHLRKNPITIPGFVDVMHRKHKNLQLYIQSIVSMASD* >Brasy4G253700.1.p pacid=40088566 transcript=Brasy4G253700.1 locus=Brasy4G253700 ID=Brasy4G253700.1.v1.1 annot-version=v1.1 MASSGVLSPGIVSILRLFAFVSCLLLPGTTCDETENDRGALLCFMSHLSAPPGLAASWSNASASMEFCEWQGVTCSARSPRRVVVVDLASQGITGSISPCIANLTSLTTLQLFNNSLQGGIPSELGSLSRLISLNLSSNSLEGNIPPQLSSCSSLEMLGLSENSIQGVIPPSLSQCTRLKEINLGDNKLHGSIPSAFGELPELQTLVLANNQLTGDIPPSLGSSPSLRYVDLGFNSLVGSIPESLANSSSLEVLRLMENTLGGELPKGLFNTSSLTAICLQENNFVGSIPSVTAVFAPVEFLHLGGNSLSGTIPSSLGNLSSLIDLYLTRNKLSGMIPESLGHFPKVQVLNLNYNNFSGPVPPSVFNMSTLTFLAMANNSLVGRLPTNIGYTLPNIEDLILSGNKFDGPIPTSLLHTYHLSRLYLHSNSLAGSIPFFGSLSNLEELDLTNNKLEAGDWGFISSLSRCSRLTKLILGGNNLQGELPSSIGNLSGSLDFLWLRNNNISGPIPPEIGNLKNLTVLYMDYNLFTGNIPQTFGHLRSLVVLNFARNRLSGQIPDVIGNLIQLTDIKLDGNNFSGSIPASIGRCTQLQILNLAHNSLDGSIPSKILVPSLSEELDLSHNYLFAGIPEEVGNLIHLQKFSISNNRLSGNIPPPLGRCMSLKFLEIQSNFFVGRIPQTFVNLIGIEKMDVSQNNLSGKIPEFLTSLSSLHDLNLSFNNFDGEVPRGGVFDNVGMVSVEGNHDLCTNVAIGGIPFCSALVDRKRKHKSLVLVLQIVIPLAAVVIITLCLVTMLRRRRIQAKPRSHHFSGHIKISYEDIVRATDGFSPENLIGSGSFGTVYKGSLKFQQDQVAIKIFKPDIYGAQRSFAAECETLRNVRHRNVVKIITSCSSVDSTGADFKALAFHYMPNGNLDMWLHPKTGPNNKRNSLTLSQRINIALDVAFALDYLHNQCEPPLIHCDLNPRNILLDLDMVAYVNDFGLARFLLTASDIYQDSSTSLAGLKGSIGYIPPEYGMSENVSTMGDVYSFGMLLLELMTGCSPTNEKFNDGIVLREFVDRAFPKNIPEVVDPKMIEDDNNATGMMENCVFPLLRIGLCCSKTSPKERPEMGQISNEILRIKHAASKPKQKLAGQLKVAAAQGEKNTVTAV* >Brasy4G063900.1.p pacid=40088567 transcript=Brasy4G063900.1 locus=Brasy4G063900 ID=Brasy4G063900.1.v1.1 annot-version=v1.1 MRSTPTTVSNTPRSSAARWRNSYPRLSSGGLSSCRSPSSSRPTESSLPSPCEPPVLVPWLTQRTPPSLTPCNSSSSSLPTEISSSISCTPPWPSPRAPSPTPRGSSLWLPPVSQTSLRGTRLPPPACFSSPCLLPQLAADIELVDAIVFDFTGHLIWCCSGLRGEGEVY* >Brasy4G433900.1.p pacid=40088568 transcript=Brasy4G433900.1 locus=Brasy4G433900 ID=Brasy4G433900.1.v1.1 annot-version=v1.1 MADAPSASSSSSSSSSSGGSPPPQPHPESGGGSISSMVASSATSAAAAAADFTRRGEAFSADMVATARATVDTATAHAHSSAIAASDAANDAMAAALAAFPTFANAAKEEFEWMKKEYLAREQMALGKIKEGVIMAIEHPGIAAGSATVAGIVLLKRPRSYLIQRVRRIFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMDRAATAEKRFQKGWNTLREEGRAIQSELKQISDIENQAVGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNSALTKIVNYGVPI* >Brasy4G145400.1.p pacid=40088569 transcript=Brasy4G145400.1 locus=Brasy4G145400 ID=Brasy4G145400.1.v1.1 annot-version=v1.1 MGACLRPCPARHGNGVASTGAPSSSSASSWRCYRCCWATVARRHLHCSVSSRSRNLKVPGIGYTQAGNNGLGFTFVDTR* >Brasy4G151600.1.p pacid=40088570 transcript=Brasy4G151600.1 locus=Brasy4G151600 ID=Brasy4G151600.1.v1.1 annot-version=v1.1 MSGVKNRMEEEGRELSPPAAPCAAAAHQSPAAEPLSPAAEPRAPPLLPPPPETKREREKLQSAGVPLWRTKTERERPEKGRPGGRGEAGEGEAGRERRGRRRGGREGEETGEVERRRRRYRDARPTRRRADERSRELEKS* >Brasy4G099100.1.p pacid=40088571 transcript=Brasy4G099100.1 locus=Brasy4G099100 ID=Brasy4G099100.1.v1.1 annot-version=v1.1 MKQNAMKDPGSGGAFVIACVDIKLFVVSLAFLTLLLGLWQLQPYGSFMAAARSSANAPPCWLLSTTVAAANNEVASSNSIPIKGTPTSTPAATSDVPANIATVPARLVRPARVENQNKRVLRPAPAEDPNKRALRPYGSAAALFVQFGAYRGGPRTFAIVGLASKPTHVFGTPYFKCEWLPNPSAADPAPRPVRTKAYKMLPDWGYGRVYTTVVVNCTFPSNPNAGNAGGKLLVHAYYSIHSRRYERFVALEEAPGSYDESRFSPPFQYDYLYCGSSLYGNLSASRMREWMAYHAHFFGPRSHFVFHDASGFSPEVKAVLDPWVRAGRLTVQDVRAEAEYDSYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGNLSGYTQLTIKQNPMSSKLCLKNPSKDSSREWGFEQFVYFNAVVKPRRDRKYAVQARNTYSAGVHLSQNLYGRSAHDTENVIRYYHFHNSINVLGEPCKKFVPKPANGSKIMFEGAPYVYDDNLKRLAGEIKRFEKETIGSSHT* >Brasy4G392600.1.p pacid=40088572 transcript=Brasy4G392600.1 locus=Brasy4G392600 ID=Brasy4G392600.1.v1.1 annot-version=v1.1 MENRGAELGAGGYRFTEVPIQEVGGDGRRSWTRGRRPESPALTQRAAGRSQRRRRAAARSQVPSNPGREVAGGPASGGGAAALSLWLSRPLPRFSARLIFDFPIRTYLFVDLFFLPVSLSSSSSRPHVARPSAAASRARRRRPPAAAAQPPSPSAQPPRAVRPARAPAAAALPRPLPSPTSSSDFSSAG* >Brasy4G266300.1.p pacid=40088573 transcript=Brasy4G266300.1 locus=Brasy4G266300 ID=Brasy4G266300.1.v1.1 annot-version=v1.1 MRQRPLLARLPLHNFPHLFPPFVILLLRAGDFGGKFWVDGEGMPLRRTRCCTREARVAGVP* >Brasy4G279500.1.p pacid=40088574 transcript=Brasy4G279500.1 locus=Brasy4G279500 ID=Brasy4G279500.1.v1.1 annot-version=v1.1 MFSYYVLIPHFKSSHYTIYGLNRITGTFDIFDTRRYKGFHITRGQHHEERVEVARRLVALLKEVYGEEEYNKKNHFDWVALAEKCNYVQTPEQGANECAFYVLKLATIFDGEKFVEKIKAKDRRVEDWKAEYMYQVMYHPKNQLSPADWPSTLADLVLLLGIGSQSTAGGTSDSSQACGVQN* >Brasy4G144100.1.p pacid=40088575 transcript=Brasy4G144100.1 locus=Brasy4G144100 ID=Brasy4G144100.1.v1.1 annot-version=v1.1 MGFKPLEWYCQPVSHGAWSRAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLGFLVVYCVAEPLYRIATGTSIMNLDGQSGLAPFEITSLVIETAAWCCMLIVIFLETKVYITEFRWYIRFVVIYVLVGKAAMFNLVLPVRQYYSSSSIFYLYCSEIICQCLFGILMVVYLPSLDPYPGYTPIRSEVLVDNTDYEPLAGEEQVCPERHANILSRIFFSWITPLMQQGYKRPINDNDIWKLDNWDETETLYSRFQKCWNDELKKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPIVLNLLLESMQKGDPSWNGYIYAFSIFAGVLLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKQFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGALMLALLFPIQTVIISKMEKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFLLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLVMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNLFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEELLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDVLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G144100.2.p pacid=40088576 transcript=Brasy4G144100.2 locus=Brasy4G144100 ID=Brasy4G144100.2.v1.1 annot-version=v1.1 MGFKPLEWYCQPVSHGAWSRAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLGFLVVYCVAEPLYRIATGTSIMNLDGQSGLAPFEITSLVIETAAWCCMLIVIFLETKVYITEFRWYIRFVVIYVLVGKAAMFNLVLPVRQYYSSSSIFYLYCSEIICQCLFGILMVVYLPSLDPYPGYTPIRSEVLVDNTDYEPLAGEEQVCPERHANILSRIFFSWITPLMQQGYKRPINDNDIWKLDNWDETETLYSRFQKCWNDELKKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPIVLNLLLESMQKGDPSWNGYIYAFSIFAGVLLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKQFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGALMLALLFPIQTVIISKMEKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFLLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLVMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNLFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEELLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDVLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G144100.3.p pacid=40088577 transcript=Brasy4G144100.3 locus=Brasy4G144100 ID=Brasy4G144100.3.v1.1 annot-version=v1.1 MMTVIISKMEKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFLLPNLITQVVNCKVSLKRLEDLLLADERTLLPNPPIDPELPAISIKNGTFSWELQAEKPTLSDVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVILRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILLIHDGEIKEEGTFDELSNTGELFKKLMENAGKMEEQTEEKQDKSKSQDDIKHIENGGTVIADGGPQKNQDSSSKTKPGKSVLIKQEERETGVVSTKVLSRYKNAMGGMWAVSFLFLCYALTEILRISSSTWLSVWTDQGSLKIHGSGYYNLIYGILSFGQVLVTLTNSYWLVMSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNLFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAEHQAAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINASEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKVLEFDTPEELLSNEESAFSKMVQSTGPSNAEYLKSLVFGDGEERLRKEESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDVLSLEAAEGNSILRKTKDAVITLQNVLEGKHNTEIEESLTQYQVPPDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFETHGSIDWDQI* >Brasy4G133900.1.p pacid=40088578 transcript=Brasy4G133900.1 locus=Brasy4G133900 ID=Brasy4G133900.1.v1.1 annot-version=v1.1 MAKHFSVQAYLSFEPSPAQLPRRPAKREPLQFVSHFTEGEKDAAAPPTPANQRRPAAGFSAASPAKSYHLSLSVSSTRSSPSLFPIFVLHLPPAAVLVPSTSSHESRDLPAPTCKSASRLVKLLADSQ* >Brasy4G359100.1.p pacid=40088579 transcript=Brasy4G359100.1 locus=Brasy4G359100 ID=Brasy4G359100.1.v1.1 annot-version=v1.1 MGSQAAASDETKAAHFLFVPLMAQGHIIPAVDTALLLATQGALCTIVATPSTAARVRPTVDAARRSGLAVRLVDFPLDYAAVGLPDGMPGGADNMDNIPLEHMFHYYRAIALLREPIENYLRAAHAPRPPTCVVSDFCHPWTVELAASLGVPRLSFFSMCAFCILCQHNVERFNAYDGVLDPNEPVVVPGLEKRFEVTRAQAPGFFRGWPGWEQFGDDVETARAEADGVVINTFLEMEPEYVAGYASARGMKVWTVGPVSLYHQHAATLAARGNTAAIDADECVRWLDGKDPGSVVYVSFGSIVHADPKQVSELGLGLEASGHPFIWVVKDAARHDETALAFLRGLEARVAGRGLLVWGWAPQALILSHRAAGAFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKLAVEVLEIGVSVGVKEPVMYRVDQKEIVVGRETVEAAVRSVMDGGEEGEERRRRARALAGNAKAAMREGGSSHTNIRDLVKRFEAGAAKCDNFT* >Brasy4G052500.1.p pacid=40088580 transcript=Brasy4G052500.1 locus=Brasy4G052500 ID=Brasy4G052500.1.v1.1 annot-version=v1.1 MRRFFQHLLPCILLLLLVMSCLPSSSHGLRTLREEAGRELIGHELPPAISPSRPSPEAGGDANSTAAKKYDVSKRAVPRGPNPLHN* >Brasy4G251000.1.p pacid=40088581 transcript=Brasy4G251000.1 locus=Brasy4G251000 ID=Brasy4G251000.1.v1.1 annot-version=v1.1 MSGRSTRIAVVVEDRCRPSKCGQQCRKRCPVNATGRQCIEVTPSSKVSLISEELCIGCGICVKVCPFNAIQIINLPNGLDKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALNILAGKLTPNLGKFTDTPNSDEILSYFRGSELQKYFTRLWKDNMKATIKPQYLNVPKSFRGKVGDFLNTKDERQVKDKLCDILELNQVMDRDISDLSGGELQRFAIAARAMEEADVYIFDEPSCYLDVKQRLKAAEVIRSLLQPKSYVIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVREGINIFLNGFIPTENLRFREEKLTFRVTESTKEIIEGQTYQCYKYPTMKKTRRGFKLSVTEGSFNDSQIIVMLGENGTGKTTFIRMLAGEVKPDKVGDEQVDMPAYSVSYKRQELVSKYPSTVRGLLHEKIRGSCTQAQFRSDVMKPLKIEELMDMQVANLSGGQLQRVKLCLCLGKPADVYLIDEPSAHLDSEQRLLASKVIKRFILHQKKTAFIVEHDFVMAAYLADKVLVFEGKPSVHCAANAPEPLASGMNRFLSHLNVTFRTDPTTYRPRINKLGSMKDTEQKAAGCYYYLEY* >Brasy4G120400.1.p pacid=40088582 transcript=Brasy4G120400.1 locus=Brasy4G120400 ID=Brasy4G120400.1.v1.1 annot-version=v1.1 MPSGVTAVESSAVTPTLPYSRSTSQRLAIEPPPMASPSGNPTPNPNAPFELNRLFKPSPNPNNLTTVPTTTGIFPGAPPGAPMASGPPGPFSYPAATPPFHRNPYLPYPNDPHAIQLPVTAYANPNPTPNPIPGSNPNPGARLMQLLGNTAPSHLESVVSMPPASSEFSGPPVAPLPGMPSAPPARMTSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRALLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEENKSQISGKIEIGIQIVGDAETYHPRICWHSHKQEILFVGIGNCVLRIDTTKVGRGRDFSREEPLECSLDKLIDGVHLVGKHDGDVTDLSLSQWMTTRLASASKDGTVKIWDDRRVVPLSVINPHDGKAVYSVSFLTAPEQPNHINLVTAGPLNREVKIWASSDKEGWLLPSDSETWRCTQTLELTSSLGHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCLPPTADNIGLGRDLAISHVYERPLEVAPVESSTGTSLIGSAVVTSHKPSDIHQGREADSTTHAPSLTPTSNMDNAGSYEEAVLRRAPSRGPSIGDRDVEQSSFDYSSKKRMDSNATSGRGAFGRKESFGKEEPRGGQGDSTISDPHPTFMVGVNATHLITPSEIISGVLPSSETAASGSPRNVEVESKHVVEKNPDQNVEFDAVKETQIVHEKMERLNKPLEQTVDAASERSVTTDKYSMEDSQRSTPMLLKQHSGAGDENVPRRTTEAPKKNDGSCASRNLQLPSDTKEEKVLHTQVSGQLSPPTNTFNSTDSSHEPPSNTNPAIDSVPQVAAIQGTLKQLMAMHNDLQKQLSTIVSAPMAKEGKRIEASLGRNIEKSIKANVDAMWARVQEENARHEKAERERMQQIATLITTSVNKDIPAMLEKSLKKEISSLGPTVARTTAPIIEKSLSSAVSDSLQKVLGEKVVNQLDRSVSTKLEATVSKQIQTQFHTSAKQALQDALRSSFESSIIPAFEQSCRTMFEQVDGAFQKGMSEHGAAVQQQVAAAQTPLAQTLRETITSASSITQGLTSELLDGQRKLLALVASGNPTSHNTTVLQPTNGPMPNLPEVDVPLDPMKELSRLISEHKFDEAFTMALQRSDVSIVSWLCSQVDLQGLCRLNPVPLNQGVLLALFQQLACDISNDTALKLQWMQAVAMAIQPADPVIAHHVRPVFEQVYGVLAHQQSLPRISPLEMNSIRLIMHIINSVLLSYK* >Brasy4G274700.1.p pacid=40088583 transcript=Brasy4G274700.1 locus=Brasy4G274700 ID=Brasy4G274700.1.v1.1 annot-version=v1.1 MLVLPREPLARGGAPVATAADILAYERPEGEPTECSVCLGEVEKGETVKRLPACLHMFHHQCIDVWLRDHSTCPICRCDAFALFPTGVV* >Brasy4G434800.1.p pacid=40088584 transcript=Brasy4G434800.1 locus=Brasy4G434800 ID=Brasy4G434800.1.v1.1 annot-version=v1.1 MGCSEPPDTAAALLPRSDEGEGSATGLRRRGRIAYPRSFLLSVAGSVASRDLPAGVDASALSEMLNQAAPPARWDASSSSGSSNCQGDLPAREPDQLDAHPGNQFKHSLPKPEHDGLLGSGAFPRPPRYVGPLSANDLGSGYQLNRNSGRYQPPRPYKVIPVPHKNVDSVNDETFGSSSECSNEDRVEEERKRRESFELMRKEQHKALQEKKNAPDGDRENLGDGIISLVENSAGKGGPRTRTDEQVELDASSCSKDDAVKTPPLLPTPKTRPLKPPGFSKALPEKRLQLQSSNSSSLNSESRQRNTDDIMVSPVPESSKCGKHEGSGHAEDLSSKKLLSMIVKDENIFQDNMVRGPSASGGTSENLSTTPRSNCESGSKLCHFISPAPVVRTLEKSSTDGIPESVPVVTCGDLEVTMLAHVSGPSNSNQQTTSQKCQTVLDEPRAGEQIAVDKHASHPILSLLQKGTTSKDSSPLEFHMGPADKLPSSDPNGMVNGGTTASDLVSNTEIILTSGKNMTLEPLFGASFTNGHHSRDSPVSVLEHTAGGLNSSSKRLVFSAEGNAIPSLIPDDHSKDGALHMKKGAGVEHGNTKFSASSWEGASVAEEGLGMQLPEEDDLFSVNDSLHADNTHNLPYAGPARADSLLTAKEVADLSNRLRSSVRAESEQVQVLGHDMPRSSSHDQVDPNNLYQLFGSSPSATFPIESAITTFQHMDHIFNQNQQVPFSMPESIHHSTNQNQQVPFSMPEAIRHGTNQNQQVPFIMPEAIHHGNHQNQLVPFNIPEAIHRGSRQNQQVPFNIPEAINRGTHQNQQVPFNIPEAIHRGTRSFPQDINSMQHVLPARGGHRVDPVAHHRMLQRMNMPGSFPPQGLPRGHRPDYRAEMNGPLSQPVQHRPDYRAEVNSPLSQPVQHRPDYRAEVNSPLSQPVQHRPDYRAEVNSLQIRPRPRQPGYGESMLMRMTGSAVGANHVEALESLIQMEIRARQRHLRPAMVGPVQGFYRPELNRNFRY* >Brasy4G320600.1.p pacid=40088585 transcript=Brasy4G320600.1 locus=Brasy4G320600 ID=Brasy4G320600.1.v1.1 annot-version=v1.1 MEGKDGSDGVDWDSLAEASLGAVGVLVSTTVVYPHGTYPTSTRPRVRPSTDGRNQCRVRRLRRYLEVLWIWRSFACDDSDFLWIDAIDGRNQCYTRRFMQYLSCNQKLMGYVEVL* >Brasy4G170700.1.p pacid=40088586 transcript=Brasy4G170700.1 locus=Brasy4G170700 ID=Brasy4G170700.1.v1.1 annot-version=v1.1 MVVGREREMGSGCSYRAGREAEGDGDGRKEMGVARSEREKVMGWRPDLREKKREREREREMGRGRGRGSGCSYRAGREAEGDGDGRGREMGRPGEGDGAAGGGRGRWGRPEGDGGGQI* >Brasy4G286400.1.p pacid=40088587 transcript=Brasy4G286400.1 locus=Brasy4G286400 ID=Brasy4G286400.1.v1.1 annot-version=v1.1 MAGPERPSSPIDPPRPAAGNAEATTCGSPVRWDDDGGDGMTALAGLCLFEQDAAPGKSGMISDPDTPNDCNTDMTEDFVKREPGQYFYYGAPVHEHTGIWVPVSVPPMTEHDHKEWHRGFGCNGGYFPEEVFKWELDEETKDMTMWDVFSEMVVAAKDKVISVASCDFQRCGMSVVSNFFLEDAWKDMAQTLSDANADIANELLETELTKWLPDSASSTCMLCGLRFHPIMCSRHHCRFCGGIFCGGCSKGRSLMPPKFKSSEPQRVCDVCGVRLESIQPYLMNQISRASQLPTHDVTDLSTLRSWLNFPWAHTMEHEIYKAANSLHSYCKVGRLKSEKAIPDAILKQAKGLAIITVVKVGMMVTYKVGTGLVVARRADGSWSPPSAISTCGIGYGAQAGGELADFIIVLRNTDAIRTFSGKAHLSVGAGVSASACHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSIVSTRDTENARFYGGAIKAPDILLGSMDKPPAASALYKALSELFDKIGK* >Brasy4G156800.1.p pacid=40088588 transcript=Brasy4G156800.1 locus=Brasy4G156800 ID=Brasy4G156800.1.v1.1 annot-version=v1.1 MERKRKRRASKAELSEERADPEMAGEEVGEEEGDDDSATNPPPSVLDDRKEEEEEEAIEGLLEPFSRGELLDLLVEACLRDSALLSRLAASAASDATHRRLFVHGLGPGVNSAALAAAFAPFGVLDESHVVADRATAAMASSSARAALADASKRVGDRPVACQLASLGPACPSPEMRKLFVDKVPAGASHDELRRFFCQFGEIEAGPLGADHATGRFHRYAIFLYKSPEGLRKALEEPGRYSTGASSIAGLHTARSVQSRNLRTTMAR* >Brasy4G003500.1.p pacid=40088589 transcript=Brasy4G003500.1 locus=Brasy4G003500 ID=Brasy4G003500.1.v1.1 annot-version=v1.1 MTPPIRTLPIVLLLFLSAVSSSSSSPEDGIRVISAEKLIHLTGPNARVILTLEVENSADAADASQVLLAFTPWEVEHLAIVKATRVEGKHRKKAYESLRVEASDLPPTPNGARLYSALLSTHLKPGEATTLEVLYVLTHVLDPYPAGIVSQSEPYQLVYYHDSAVLLSPYHVLEQVTYIKMPSNRIESFTKVDPTSRAGAEMKYGTYYDRMPITYLPISVHYENRPVPVIEKLERKVDVPCRGHIKVTDKYKMKQDGAWYKRIFLRLAARILPQSLFSLSGPECPEISLFAKTLPSSSKDRLYFTLIQGPRYGWHCTFSAGYGLPLEDFLFESVDGRRYINLTFGIPPLDTVVDDFATTVLLPEGSKNPQPIVPFPIETSYSYWLYLYAGRANVVLKKKNVVAEHNVQFQVYYDSNPIFMLADHSTRWAVVGFIVVCIAYFKFT* >Brasy4G389700.1.p pacid=40088590 transcript=Brasy4G389700.1 locus=Brasy4G389700 ID=Brasy4G389700.1.v1.1 annot-version=v1.1 MMAGFTGKRKELELVVDGLSDFSLAGPAAKYRRLDPGLPPILEEEPPTSIPFQHELLGGKISSGVTVPIVDDMIEGAMPTHLSSEDRALVLYKPVNSPALIGPSISNPSIIVSSDLIRGLKSQAFNPRNCHGLEDNSPERSNCLALVPWAPPVIATTSNRSASQPENTEIFEEPMDADETEVTSMDFEEAPQAIATGISGENLQQWQQHCLTPPSLTNPSAPVMWSW* >Brasy4G125100.1.p pacid=40088591 transcript=Brasy4G125100.1 locus=Brasy4G125100 ID=Brasy4G125100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKFPVHKAVGIGHTIKLAIQQVAYMCVNLLRTKYERLDKGPFKYLPRGFITCENKFFTLPGLPDEKVANDSYDFCNFVTSQEYMVANMRAKVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELPKVFQVEGFTPARGPPRVFENTYLPRQFLYGEQKADAYCRGSDSFVMLGPLYP* >Brasy4G071600.1.p pacid=40088592 transcript=Brasy4G071600.1 locus=Brasy4G071600 ID=Brasy4G071600.1.v1.1 annot-version=v1.1 MALPGCRDRCGKITIPYPFGIGTGTGCFRDKGLRGYELTCDDSGGLTIFSGDHPVAALSLDAGEVRAYLNVTRQCYSSTGGFLSQKNSSFDSYMSLEGSEYRFSAAKNRLVALGCPNLGYFVDAAGFYVSGCMSVCRPSQYAMHGHRVLPDRAPYRPGSDSSSRTSATSRGDRGRRWRTPRFGRMPRRATTDAGSCREARRNVTDFACRSTHSDCVGSTNGLGYRCNCSKGYHGNPYLDDGCTDIDECQLKDKYPCHGVCTNTPGSHTCTCPPGTSGDVTDKNGCRPKDKFTLALEVVTGVSVGMFMSVFMCFWLYLGLQKRKLIKTKQNFFEHNGGVILQQQMRAYSGATGGGRGFKIFSAEELEKATNSFAAERVLGRGGHGIVYKGVLEDNTVVAIKRSKMMESSETKEFAQEMLILSQINHRSKRREAVGLYIHGSIGHKLSVLESSDTALDTCLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDSLMAKVSDFGTSKLVPSDEAEVATLVQGTIGYLDPEYCVTCQLTDKSDVYSFGVVLLELLTGKKVLCYDGPEENRCLVSRFAAAVKAGRHGELMDGQVRKEMGVEALEEVTRLVTRCVNMSREERPGMKEVAERLETLRRYQRHLTGQADYNDSSMEEEQSFLGGGR* >Brasy4G144600.1.p pacid=40088593 transcript=Brasy4G144600.1 locus=Brasy4G144600 ID=Brasy4G144600.1.v1.1 annot-version=v1.1 MFQMRLLVLAAVSIVFANLQFLKAHGRELLLSCGSNATVDADGRRWVGDMAPDLNFTLSSPGIAALLAGGSNASEIFGPVYRSARLFTTTSWYDFSVLPGNYCIRLHFFPSTFGNFSANSSVFDVVANDFKLVSKFNVSEEILWRSSVSNSAVTAVVKEYFLAVSTQRLQIEFDPSRGSFAFVNAIEVMLAPDNSFNDTVHKVGGGNGYLPPGLSSRGVETMYRLNIGGPAFASSSDQYLHRPWYTDEAFMFSANAALTVSNTSAIRYVSSNDSSIAPIGVYETARIMSNNMVVDKRFNVTWRFFVHPNFDYLVRLHFCELVYDKPSQRIFKIYINNKTAAENYDVYVRAGGINKAYHEDYFDSLPQQVDSLWIQLGPDSMTSASGTDALLNGLEIFKLSRNGELDYVLGHIDMGNQRGPSKGKRKINIWEEVGIGSASFVTLASVALFSWCYVRRKRKAAEKEAPPGWHPLVLHEAMKSTTDARASSKSPLARNSSSIGHRMGRRFSISDIRAATKNFDETLVIGSGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILIYEYMAKGTLRSHLYGSDLPPLTWKQRLDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDKNFVAKIADFGLSKTGPTLDQTHVSTAIRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEAIMDPRLDGDYSPESLKKFGDIAEKCLADDGRTRPSMGEILWHLEYVLQLHEAYKRNLDCESFGSSELGFADMSFSMPHIREGEEERQSKRSGIREDSGT* >Brasy4G436500.1.p pacid=40088594 transcript=Brasy4G436500.1 locus=Brasy4G436500 ID=Brasy4G436500.1.v1.1 annot-version=v1.1 MMSRRRHSTPPPATATAAPLDDEDLLREILLRLPPNPSSFLRASLVCKRWRSILSDPRFRRRFRKHHRRPLLLGFFVKECIRAPVFAPLLDPPDRISISLPENFGGGIPWKSFHGCRHGVAVFLDRKRSEVVLWDPLTAVQRRVHMPPSLAFDHHVTNGNTRRAAVLCADSDDDHDDCHLTPFKLVLTSQNSDLTTTSVCLYESNTGVWGDIISTPTAGGFVSAISYRRPSVLVGNALCWLLSGVGILKFDFQRQTLVVIDKPADLHFIGPEEVFRSYQIVRGEDGGPGLAVLSVSELSIQLWARKSDGDGVVSWVLQKTVQLDEHFLRDGYPAVQMLGYDEDTNAIIVSSGCNDFMLQLESMQFKCTRRGYYMRDRIYHPYTSFYTAGRGIAGGDGGAENVNT* >Brasy4G141900.1.p pacid=40088595 transcript=Brasy4G141900.1 locus=Brasy4G141900 ID=Brasy4G141900.1.v1.1 annot-version=v1.1 MDLAIAAGSLVSDALLDAEKQPGTLISCGFLKAVGSLYMIISNAPGGVLLHQGMVLFVLSWAILAVTLVFGIFEIVAGLWVSADPFERRADGKKIMYVSIVLLIVMLSALEAFASLK* >Brasy4G176000.1.p pacid=40088596 transcript=Brasy4G176000.1 locus=Brasy4G176000 ID=Brasy4G176000.1.v1.1 annot-version=v1.1 MVSPGSDDAAVCCMCGDHGLPQELFRCKLCRLRLQHRYCSELYPRAVTYRRCNWCIREDGGGAGSPAAKRRVSTLTPEMNKRIRRSCGAACSRSVFSTDQPGKPVKKPKDIGDDGVVLPVPDAETTTAKGRKPQAGAPGKKARFRVKVRRYKLLTEVIS* >Brasy4G315300.1.p pacid=40088597 transcript=Brasy4G315300.1 locus=Brasy4G315300 ID=Brasy4G315300.1.v1.1 annot-version=v1.1 MGSLQEEKPRLRLGSLVSGLQEVYESGRTRELAWRRSQLRGLLRLLSEKEEEIFEVLRDDLGKNRAESYRDELGTLVKSVNHTLRNLGKWAAPEKAQAPLVSFPATALVVPEPLGVVLVFSCWNFPLGLALEPVSGALAAGNAVVLKPSELAPSTAAFLAANVPRYLDSEAVKVVEGGPEVGEQLMEHRWDKVLFTGSERVGRLILTKAAKHLTPVALELGSKCPCIVDYLDGKRDRQVAVNRIVGAKWSVCAGQACIAIDYILVEERFAPILIGLLKSTLKNFIATPECMARILNAKHFQRLSNYLQDVKVAASVVHGGSLNPKTLTIEPTILLNPPVDSDIMSEEIFGPLLPIITVKKIEDSIRFLKSKPKPLSIYAFTTNEKLKRRITEETSSGSVTFNDAIVQYVVEGLPFGGVGHSGFGQYHGKYTFDMFSNKKAVFKRSFLIEFMFRYPPWDDSKIRMLRHVFNLNYVGLLLGLLGLRR* >Brasy4G017600.1.p pacid=40088598 transcript=Brasy4G017600.1 locus=Brasy4G017600 ID=Brasy4G017600.1.v1.1 annot-version=v1.1 MTSQDVVVPEMGIGAGAAMPGSGGTAGLFACRSAAAGAMSMRQTYHLAAARSAPASCTWLEAMRACSPPRSRGAGIGIGADMDELTAWMRKHPSALGKFEQIAGACKGKKVVMFLDYDGTLSPIVANPDAAYITDAMRAAVRDVAKHFPTAIVSGRCRDKVHNFVGLSELYYAGSHGMDIKGPTSNPESVLCQPASEFLPMIEEVYKVLVEKTKSTPGAMVENNKFCLSVHFRCVDEKRWNFLAEQVKAVIKDYPMLKLTQGRKVFELRPSIMWDKGKALEFLLESLGFADCSDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKCPKETSASYSLQDPTEVMEFLLRLVEWNRKSSSPAMLRPRVL* >Brasy4G426700.1.p pacid=40088599 transcript=Brasy4G426700.1 locus=Brasy4G426700 ID=Brasy4G426700.1.v1.1 annot-version=v1.1 MMALIVDWQDRSIPSAPGPERRVTVGDLPPPPSLSPGKVEALAWHVTAHLCAPTPTCTGMAASASRSRSRSRVLRIVFNLSATSVINGRSSPLILKQHFASSATSWSSSISNSNIFWSKMDSRMFSFIARRKDDDTFLIA* >Brasy4G246600.1.p pacid=40088600 transcript=Brasy4G246600.1 locus=Brasy4G246600 ID=Brasy4G246600.1.v1.1 annot-version=v1.1 MWRWAVGRGPSDLILTDKIRLRTNDFYPLAAVAATARSKPLSRRRRRRRRRPPPLHRSTPPLVPYWSLAAALDFLAPGRTKPLPSPDAPASLAVRGHPFLSPEIKIAVKPVAYLGPYYRNQLQLGVGILDW* >Brasy4G171800.1.p pacid=40088601 transcript=Brasy4G171800.1 locus=Brasy4G171800 ID=Brasy4G171800.1.v1.1 annot-version=v1.1 MAGATPAGEKPHVVFLPFPAQGHITPMLKLAKVLHCKGFHVTFVNSEDLLADLNSTAEVPPVTCVVADNIMSFSLDAASELGVPCVLFWTASACGYMGYRNFRFLMDEGLVPLKDEEQLTNGYMDTPVTQAAGMGTHMRLRDFPSFIRTTDRCDILFNFMIHEVEHIDGAAAVIINTFDELEQAALDAMRAVLPPVYTIGPLNFLAEQLVPDDGSRAAVRSSLWREDHSCLDWLHGKKPQSVVYVNYGSITTISSKELVEFAWGLANCGYDFLWIMRNDLVKGDATVLPPEFLEATKGRCLLASWCEQEAVLRHGALGLFLTHCGWNSTMEGLSAGVPMLCWPFFAEQRTNSRYSCMEWGVGLEVGDNVRREMVEGRIREAMGGKGGREMKRRAAKWKETALRTTTQPGGRSLANLDNLLKDVLNY* >Brasy4G171800.3.p pacid=40088602 transcript=Brasy4G171800.3 locus=Brasy4G171800 ID=Brasy4G171800.3.v1.1 annot-version=v1.1 MRALFLSKVYMDVTLSCYESYIHVSARFRADEEQLTNGYMDTPVTQAAGMGTHMRLRDFPSFIRTTDRCDILFNFMIHEVEHIDGAAAVIINTFDELEQAALDAMRAVLPPVYTIGPLNFLAEQLVPDDGSRAAVRSSLWREDHSCLDWLHGKKPQSVVYVNYGSITTISSKELVEFAWGLANCGYDFLWIMRNDLVKGDATVLPPEFLEATKGRCLLASWCEQEAVLRHGALGLFLTHCGWNSTMEGLSAGVPMLCWPFFAEQRTNSRYSCMEWGVGLEVGDNVRREMVEGRIREAMGGKGGREMKRRAAKWKETALRTTTQPGGRSLANLDNLLKDVLNY* >Brasy4G171800.2.p pacid=40088603 transcript=Brasy4G171800.2 locus=Brasy4G171800 ID=Brasy4G171800.2.v1.1 annot-version=v1.1 MRALFLSKVYMDVTLSCYESYIHVSARFRADEEQLTNGYMDTPVTQAAGMGTHMRLRDFPSFIRTTDRCDILFNFMIHEVEHIDGAAAVIINTFDELEQAALDAMRAVLPPVYTIGPLNFLAEQLVPDDGSRAAVRSSLWREDHSCLDWLHGKKPQSVVYVNYGSITTISSKELVEFAWGLANCGYDFLWIMRNDLVKGDATVLPPEFLEATKGRCLLASWCEQEAVLRHGALGLFLTHCGWNSTMEGLSAGVPMLCWPFFAEQRTNSRYSCMEWGVGLEVGDNVRREMVEGRIREAMGGKGGREMKRRAAKWKETALRTTTQPGGRSLANLDNLLKDVLNY* >Brasy4G289700.1.p pacid=40088604 transcript=Brasy4G289700.1 locus=Brasy4G289700 ID=Brasy4G289700.1.v1.1 annot-version=v1.1 MADTKTSPAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKTDLKERLAKIYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGDAKKK* >Brasy4G290600.1.p pacid=40088605 transcript=Brasy4G290600.1 locus=Brasy4G290600 ID=Brasy4G290600.1.v1.1 annot-version=v1.1 MPHDHQGNVTPSLSRFSPDYPDTDPLGGFNANTFAADPLGGFNPNAFASPPLRRGLSPTAQFPAGYSQPAPNPFGGMSQGDSIMADMINDGSQHAHYTYTQEEEPYAAKDTEEREEWADRTEEPVVAVPKGKKKGAAEKKKAGGGGRGPKWTAKEDECLAETWKIVTMDPFTGANQSDDTYWRRVKTAYDERRVIDREFASVTHDRNESGLSHRWQIVQQACNKWHGIQEEVRRRPASGSSAHDQMVAMFTAFRDDNDGADFKFIHVFARIETCDKWTETRAGLAKTGTYDPKAAPPAAVEGRPISHKKAKAMRDAAPATERLYTCIEKYDKLEILKANVAAQKRREDLLILTCDTTGMDDEVKAWYDGQRRLILAEARASAPAPQTAATATSTPSAPSPPDTATPATSTPPADTEEPSAPAEDEGAE* >Brasy4G391200.1.p pacid=40088606 transcript=Brasy4G391200.1 locus=Brasy4G391200 ID=Brasy4G391200.1.v1.1 annot-version=v1.1 MTKNTVRVTLCLVALLAIVMATTLLPCHAAGRNIGTAAGDEDPWAKKCVLAKGCAPEPNPPSYHDDACKAMCFQEGYSTDKSYCSPDGGGTCCCVKN* >Brasy4G300200.1.p pacid=40088607 transcript=Brasy4G300200.1 locus=Brasy4G300200 ID=Brasy4G300200.1.v1.1 annot-version=v1.1 MNDLFTSSSFKKYEDLKYQVALDDMESGLEVAAANLDKFFEDVEAVKEEMRGLEALHRRLQSANEEGKAEHDAGAVKSLRTRMDADVAQVLRRAKAVKGALESLDRDNAASRATLPGCGPGSSTDRTRSSVVSGLGNKLKDLMDDFQGLRTRMAAEYRETVARRYFTVTGEQAEESRIEALIASGESETFLQKAIQQQGDQQAAGRGQVMGTVSEIQERHDAVREMERSLRDLHALFLDMAALVEAQGHQLNDIQSHVAKASSFVHRGAVELESARGYQKSSRKWGCVGLVAAVVLLLVVLLPILVNLKLLTVG* >Brasy4G367400.1.p pacid=40088608 transcript=Brasy4G367400.1 locus=Brasy4G367400 ID=Brasy4G367400.1.v1.1 annot-version=v1.1 MDPETNAKHAVLERLLLDANAEPMDLPLSLLEDITNGFSDDRKIGSGGFAVVYKGILGKGMVAVKKLSETFDIRDDKFKDEVSCLMMAKHENIVRFLGHCSDTQVVEADYEGKTVLADLRQRMLCFEYLPKGSLDNCITGLHYLHVKWRIVHLDLKPANILLDDHTEPKIADFGLSRRFDEEQSRVLTSNLNGTCLGIIITEILTGQKGYFEVENALDIWRRRSQKSKENIQFEQIRVCAEIGIRCTKRNPEKRPLIQNIIDKLDGRAGKDWFINASVSSSSVGQVNSPAAQTSSWPLVLNLNTYRVAAAAANAAIAMCRLFSSPAATFARTAPAAGTSRPTTLLMLYGQYDKDQKLLASATTSLSLEDDRFQLSNTPPPGVGGEDGSFHMTAYMDALRARFLGRWMIWSPLITSMHDLMSRNFHELPVGAVCVAHKQSKGRGRSKNGWKSPPGCLMFSFTLQFHDGHKVALIQYLICVVMIEAIKELSCAKGLPELDVKIKWPNDLYLNGLKVGGILSTASYEAKVFNMCIGIGLNVDNEKPTTCLNGVLRELKADSPGLKREDILSSFFNKFEVLFEIFSNQGFHALEKRYYKLWLHSGQRVVVQDASEVQDASGGQSVDYLVTIQGLTPSGYLSAIGDDGKSYELRPDGNSFDFFAGLVRGKMEGLACD* >Brasy4G232200.1.p pacid=40088609 transcript=Brasy4G232200.1 locus=Brasy4G232200 ID=Brasy4G232200.1.v1.1 annot-version=v1.1 MASASASANSSPIRWGLKEQRSVYLRWFYIADDDGDGRVTGKDALKFFAMSNLARDELKQVWAIADSKRQGYLGFAEFMAAMQLVSLAQAGQEISKDTIAHADLDNLQPPTMEGLDKKLKKKSANKSSSDLTAYHPTESPLSASWFNSKSGKKITLKSVTSIIDGLKKSYIEKLRPLEKTYQYNDFVSPLLTSSDFDAKPMIMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSAFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGNDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETAAGPLGMELFEREQEDLMSDLNDIPKKACDRRINEFVKRARSAKVHAYIIGHLKKEMPALMGKGKAQQRLLETLEEQFDKVQKEMRLPAGDFPSVEEYRDTLGACNFDRFERLRPKMLQAVDDMLAYDIPDLLKQFRNPYD* >Brasy4G063500.1.p pacid=40088610 transcript=Brasy4G063500.1 locus=Brasy4G063500 ID=Brasy4G063500.1.v1.1 annot-version=v1.1 MASSQEGLAASLGELRVQASPLRDSKVASHGNGADIVDDDDDIWDDAGPNSPGRGSILDREWAYRQNQFRKMGYRDGITEGQKDAAQEGFNIGFRESVHVGYKWGLLRGITSALASLPDSLKEKLLPNDQCRGRLQDLHNSVQEISSDNTLQMLETLPKNLLLLLHECPNIKVHEEMP* >Brasy4G424200.1.p pacid=40088611 transcript=Brasy4G424200.1 locus=Brasy4G424200 ID=Brasy4G424200.1.v1.1 annot-version=v1.1 MMRGGGVSDGYEGSKRPRMFESNPYFAVNAGSPLDPSKRARMMEPGPPYFGAMGSNTGGSGSGFYAPYSGNLAGAGVNSGIQSFPGVRLRGLPFDCEDLDIIKFFVGLDIVDCLLVHKNGRFSGEAFVVFPSTMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAVASEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMDYTEVLKLRGLPYSATTEDIIKFFVEYELTEDNVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRGRQ* >Brasy4G126400.1.p pacid=40088612 transcript=Brasy4G126400.1 locus=Brasy4G126400 ID=Brasy4G126400.1.v1.1 annot-version=v1.1 MHLMILACLFLVAVIAIRKLRNRPRAVYLIDYACLLPTPKWRFPISTFIEHTKTMPFFDDRSVDFMARTFYRGGIGDETSLPPAYHCIPPSNSFGTSHAEAELVIFSAVDDLFSKTGLAPEAVAVLVVNCSAFTPVPSLSDMIVNRYKLRRDVRSVNLSGMGCSAGVISVGLAAGLLQSLPDDDAHALVVSTETITPHFYPGKEAAMQLSNVLFRVGGAAALLSTCKHKARFRLTHLVRTITCGTRDGSYSCVFQEEDGDGTLGVNLSKDLLAIAGDALKANITAIGPRVLPLSEQILFVLSLIIKGSRPYVPDFRKAFDHFCIHSGGRAVIDKVQSSLALSDEHVEPSRMALHRFGNTSSSSVWYELAYIEAKDRMRKGDRVWMIGFGSGFKCNSATWECISPAGRPDKAWAGCIHRYPVSHNASVRI* >Brasy4G373900.1.p pacid=40088613 transcript=Brasy4G373900.1 locus=Brasy4G373900 ID=Brasy4G373900.1.v1.1 annot-version=v1.1 MADKTTRLRSRKIDFEKVLARQRPTVSQGELEMYTRFARVWGGGMSHHTSRIIVLVDALVPVLYFMGL* >Brasy4G012600.1.p pacid=40088614 transcript=Brasy4G012600.1 locus=Brasy4G012600 ID=Brasy4G012600.1.v1.1 annot-version=v1.1 MAPSALLRAAAAALRRRARTAPLPLISPLTHTQTSSPDPTPNSDARRHLITLSRRRGCPHPPSSASAAALSYHYPARVLLLPTTFPHPLSTSSQSKDKDNKEDNLPPPPPTVSWVERWLPAAARPYAMLARIDKPIGTWLLAWPCMWSITIAAMPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVCFLGAQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVIVPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGESTKQWISAFGAASIGSLALSGYNAELAWPYFPFLTAAAAQLAWQISTVDLSDRADCNRKFVSNKWFGALVFSGILCGRLAS* >Brasy4G012600.2.p pacid=40088615 transcript=Brasy4G012600.2 locus=Brasy4G012600 ID=Brasy4G012600.2.v1.1 annot-version=v1.1 MAPSALLRAAAAALRRRARTAPLPLISPLTHTQTSSPDPTPNSDARRHLITLSRRRGCPHPPSSASAAALSYHYPARVLLLPTTFPHPLSTSSQSKDKDNKEDNLPPPPPTVSWVERWLPAAARPYAMLARIDKPIGTWLLAWPCMWSITIAAMPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVCFLGAQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVIVPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGESTKQWISAFGAASIGSLALSGYNAELAWPYFPFLTAAAAQLAWQISTVDLSDRADCNRKFVSNKWFGALVFSGILCGRLAS* >Brasy4G012600.3.p pacid=40088616 transcript=Brasy4G012600.3 locus=Brasy4G012600 ID=Brasy4G012600.3.v1.1 annot-version=v1.1 MAPSALLRAAAAALRRRARTAPLPLISPLTHTQTSSPDPTPNSDARRHLITLSRRRGCPHPPSSASAAALSYHYPARVLLLPTTFPHPLSTSSQSKDKDNKEDNLPPPPPTVSWVERWLPAAARPYAMLARIDKPIGTWLLAWPCMWSITIAAMPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVCFLGAQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVIVPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGESTKQWISAFGAASIGSLALSGYNAELAWPYFPFLTAAAAQLAWQISTVDLSDRADCNRKFVSNKWFGALVFSGILCGRLAS* >Brasy4G407800.1.p pacid=40088617 transcript=Brasy4G407800.1 locus=Brasy4G407800 ID=Brasy4G407800.1.v1.1 annot-version=v1.1 MGLPFSAFTKFGLPGIGSITTGQVYDRYFKDKKTDNFADFHIAYVDFCKYFNTIMPGQDFDTPTLQEIKNFYETKWVPAKEEGKKQQFIDFMQEKTREASVDDSLFIMAGLAAPAAAIVAKRSGESIPQIKKFKLHIIPNVVFVPFCTLLAIMGATAMQMNKKSKANQEQNQEKEATRSPLS* >Brasy4G110700.1.p pacid=40088618 transcript=Brasy4G110700.1 locus=Brasy4G110700 ID=Brasy4G110700.1.v1.1 annot-version=v1.1 MASAPSTATATAILALLVSLLAGAATTAHGRFTAMQWTPAHATFYGDETAAETMGGACGYGNLHTTGYGTDTAALSTTLFLDGHGCGTCYQMRCVGAPACYQGSPVITVTATNLCPPNWEQDSNAGGWCNPPRAHFDLAKPAFMKMAAWRAGIVPVMYRRVPCVRRGGLRFAFQGNPYWLLVYVMNVAGAGDVRDMWVKGCDGGGGHVQGWVRMSHNWGAAFQAFGQLGGHALSFKLTSYTTGQTIVATDAAPRSWSVGLTYQARANFS* >Brasy4G027100.1.p pacid=40088619 transcript=Brasy4G027100.1 locus=Brasy4G027100 ID=Brasy4G027100.1.v1.1 annot-version=v1.1 MASSASLQSFLPPSAHSSQRGRCPANRARPVLQCSAVSAPSSSSSSPAPAAGVVAAERLEPRVEQREGGYWVLKEKYRTGLNPQEKVKLGKEPMALFTEGGIRDLAKIPMAEIDADKLAKDDVDVRLKWLGLFHRRKQQYGRFMMRLKLPNGVTTSEQTRYLASVIDKYGEEGCADVTTRQNWQIRGVTLPDVPEILDGLRSVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNLALTNLPRKWNVCVIGSHDLYEHPHINDLAYMPAEKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAYRDLGTRGNRQKTRMMWLIDELGMEVFRSEIEKRMPGGSLERAAAEDMIDKAWERRDYLGVHPQKQAGLSFVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVLPNVPNSKIPSLLAEPLLQKFSAEPSLLMKGLVACTGNQFCGQAIIETKARALQVTRDVERRVSVPRAVRMHWTGCPNSCAQVQVADIGFMGCLTKNGSGKIVEAADIFVGGRVGSDSHLTGVWKKAVPCEELVPVVADLLVERFGAVPREREEDEE* >Brasy4G006800.1.p pacid=40088620 transcript=Brasy4G006800.1 locus=Brasy4G006800 ID=Brasy4G006800.1.v1.1 annot-version=v1.1 MTHHHVLPRHPPPPPPARVRLDDVAPQDGAAAPAYARAVATLTDSLARHNATVLELPAADAAVVRCALESARAFFRGRAAVYVYRAGRTLEDGELSPACMSDAFRCLGKAARVALCAIARNLRLRTDVFNHLLDDTPLPINEVSSSELLVAYSHEQLQSGQAPMGFLRSSMPEVDRGFVTLVASDRPGIEVCDPNGHWYLADGGSGPSDLLLLTGRALSHVTAGLRPISQYRVTNENRASLTFRLMPHANAVLGCSPILAAGHCIRQIHQPIPASQFMDDSCAEEHAVSSRLEEPSESQGNFVSDPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVVEMARCTICHGEVDPASLFPNLALRTVATVVKMEDDRRLFHNAALRKRRKDVTEHTDVPKRAGSSKDNGELVLDAESLAAFKGVQYPFVVGERVLIMGNKRTPEKFIGKEAVITSQCLNGWYLVKALDSGESIRLQYRSLKKVMELQLQTQALAFLR* >Brasy4G029600.1.p pacid=40088621 transcript=Brasy4G029600.1 locus=Brasy4G029600 ID=Brasy4G029600.1.v1.1 annot-version=v1.1 MGPLFDSIRGSLSPHLDQAKVYYKLKRLKSKFLHAAPAAAAGPHDLLVRDLCYDVWAAHLGLSAEEDAVEAEEEASERRTVPDAAAMLPVVTEVLDAYWKTSGPALSGVSLEKGLSLLGTEAARSIEAKWRKQLDEEVHSQMRRHDLAKEVYGLLLDAIKRLGP* >Brasy4G336500.1.p pacid=40088622 transcript=Brasy4G336500.1 locus=Brasy4G336500 ID=Brasy4G336500.1.v1.1 annot-version=v1.1 MSDASDSDPSKGNSGEDYDPSSDPEEEDEDDQWMGGGSSNGDDSNGNKDYCPIPGEEEEDKDLESDEMDKSSHDEDEDYDPSADYEEDEDEWMGGGSSDDDDEDNNDEDYCPIPGEEEEEEEEEEEEEEEDKDLERDEMDNSDEEDDDGSSEEEEEDWDSEEESGDDSN* >Brasy4G146100.1.p pacid=40088623 transcript=Brasy4G146100.1 locus=Brasy4G146100 ID=Brasy4G146100.1.v1.1 annot-version=v1.1 MPMKSYNGEEILSGCSPCRWYLNEDLPEIDSFFERFEDSGHLFFKCKQVKHIWRGLELEDERFGFAQKSNALDVFELLLGMIENIQALVANLLWNWWLERNKIRDGGAPRSVDDLVFIVRSHTNSFLDIVATGHTSRDVSVNRWQKPDRGWFKINTDGSFLADVSVGGWGAVLRDYNGCVLASGAGKLSNIMCASNAEALAANRGVKLAIEKGCQRVILETDSVSLKSALASPVRDCSIDAMVIEETKLLLVSYFSDVRILCL* >Brasy4G113500.1.p pacid=40088624 transcript=Brasy4G113500.1 locus=Brasy4G113500 ID=Brasy4G113500.1.v1.1 annot-version=v1.1 MRWRMMRSAWDVVIMRACSFLRFAISCRLSICLDPQCIITAPISSCLATPEQSTWSAQSIRQALC* >Brasy4G200300.1.p pacid=40088625 transcript=Brasy4G200300.1 locus=Brasy4G200300 ID=Brasy4G200300.1.v1.1 annot-version=v1.1 MASLILPTWHAVLIHAPSAPRAAFTTVIFCGYFILFANELTQREASVPFFSHLAGFAVSRNAPRRFGDLATGLSKDEYSRL* >Brasy4G352600.1.p pacid=40088626 transcript=Brasy4G352600.1 locus=Brasy4G352600 ID=Brasy4G352600.1.v1.1 annot-version=v1.1 MAMAMRRAAAAGARHIFVAASVSSRVISRRHMGSVDAGAAMEKIRAAGLLRTRGLIGGKWVDAYDGKTLEVQNPATGEILANVACMGNRETSDAITSAHTTFYSWSKLTASERSKALRKWHDLLIAHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRVYGDIIPPTLADRRLLVLKQPIGVVGAITPWNFPLAMITRKVGPALASGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALMQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIEVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFVKAVQSLEVGNGLEESTSQGPLINEAAVQKVEKFVDDATSKGANVILGGKRHSLGMTFYEPTVVGNVSKDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYMFTKSIPRSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLEIKYVCMGNLS* >Brasy4G102500.1.p pacid=40088627 transcript=Brasy4G102500.1 locus=Brasy4G102500 ID=Brasy4G102500.1.v1.1 annot-version=v1.1 MRGRQPLQKLLEAAANSSTPVAAARLHAHLLRSGHLHSSHHLTSHVLVSYPTGLARHLFDEIAVPTPRLANALLRAHVRGRQWRDALLLLPCLRVRPDAFTLSLLLKACAMLPALAHGRAVHALAIRSCTAYSDAFVAAALVQMYAKCGEMVGSINAYNAFGEPDMVLRSSVVTGYEQNRMAEEALEFFSRNVVGQGFMPSPVTLVSVISAAAQLRDVLNGQACHAFVIRNNFEYDLVLVNAILGFYMRIGAVQAARRLFEGMIEKDVVTWSCMVTGYVQSGDICEALTAYKKMVEAGIKPNAVTVVSVVQACSLAPDIEEGKRVHDIAVKIGCELEMTVATALVDMYMKCSCHEEAMQLFYRMPKKDAVAWAVVISGFTQNGLPDESMRVFKCMLLGGPFPDAVTMVKVLAACSESGVMPQAFCLHGYLVITGFCDKIFVAAALVDLYSKCGNLGSAVRVFESATEKDVVLWSSMISGYGVHGLGQQAVELFQMMVASSVKPNSLTFVSVLSACSHSGLVQEGKRIFESMTQVYGVVPNAVHHSAMVDLLGRAGELQEAVKLLHGNGRADAHTWCALLAACRAHHDTEMSEVVAAKLLKLDPDHAGYYNLLNNIYTFDENWSSAKENRDIIRDRGLNKVPGCSAVELNNVMHTFTAGERPHKDWEKISRLLRELSRTLKGGDCFFQLDNHLVFEDF* >Brasy4G102500.2.p pacid=40088628 transcript=Brasy4G102500.2 locus=Brasy4G102500 ID=Brasy4G102500.2.v1.1 annot-version=v1.1 MRGRQPLQKLLEAAANSSTPVAAARLHAHLLRSGHLHSSHHLTSHVLVSYPTGLARHLFDEIAVPTPRLANALLRAHVRGRQWRDALLLLPCLRVRPDAFTLSLLLKACAMLPALAHGRAVHALAIRSCTAYSDAFVAAALVQMYAKCGEMVGSINAYNAFGEPDMVLRSSVVTGYEQNRMAEEALEFFSRNVVGQGFMPSPVTLVSVISAAAQLRDVLNGQACHAFVIRNNFEYDLVLVNAILGFYMRIGAVQAARRLFEGMIEKDVVTWSCMVTGYVQSGDICEALTAYKKMVEAGIKPNAVTVVSVVQACSLAPDIEEGKRVHDIAVKIGCELEMTVATALVDMYMKCSCHEEAMQLFYRMPKKDAVAWAVVISGFTQNGLPDESMRVFKCMLLGGPFPDAVTMVKVLAACSESGVMPQAFCLHGYLVITGFCDKIFVAAALVDLYSKCGNLGSAVRVFESATEKDVVLWSSMISGYGVHGLGQQAVELFQMMVASSVKPNSLTFVSVLSACSHSGLVQEGKRIFESMTQVYGVVPNAVHHSAMVDLLGRAGELQEAVKLLHGNGRADAHTWCALLAACRAHHDTEMSEVVAAKLLKLDPDHAGYYNLLNNIYTFDENWSSAKENRDIIRDRGLNKVPGCSAVELNNVMHTFTAGERPHKDWEKISRLLRELSRTLKGGDCFFQLDNHLVFEDF* >Brasy4G136700.1.p pacid=40088629 transcript=Brasy4G136700.1 locus=Brasy4G136700 ID=Brasy4G136700.1.v1.1 annot-version=v1.1 MAKLICLFLVVLAIAVTAWAEGCDKDREDMIRECAKYQKFPKEPKEDPSEACCAVWQRADIPCLCKDVTKEMEKVYCMEKVAYVAKFCKRPFRSGYKCRSYTFPLGQ* >Brasy4G029100.1.p pacid=40088630 transcript=Brasy4G029100.1 locus=Brasy4G029100 ID=Brasy4G029100.1.v1.1 annot-version=v1.1 MAPAGLALAAALVLAAASLCAEAVWLDLPPTGTKCVSEEIQANVVVLADYSLMYESHPSSHPTLAVKVTSPYGNTLHENGNATVGQFAFTTTEAGNFLACFWIDSAEKGSGISVNLDWKTGIATKDWDAIAKKDKIEGVELELKKLEVAVESIHQNMIYLKAREAEMRAVSEKTNGRVAWFSILSLGVCVVVSVLQLWHLQGYFRKKKLI* >Brasy4G270800.1.p pacid=40088631 transcript=Brasy4G270800.1 locus=Brasy4G270800 ID=Brasy4G270800.1.v1.1 annot-version=v1.1 MARISIGTMVLGLLLAICCTATIAHSKEWTVGDAKGWSFHVAGWENGLAIHTGDTLVLKYNRKEHNVVQVDEKSYNACSVSGRLSGDYNSGNDHIRVGRGKSSFVCSFAGHCEQGMKIAITPE* >Brasy4G312400.1.p pacid=40088632 transcript=Brasy4G312400.1 locus=Brasy4G312400 ID=Brasy4G312400.1.v1.1 annot-version=v1.1 MTLRRLPLLLFLTLHGLKNHVAAPAVDQFTFDGFAGANLSLDGTAAVTPDGLLMLTNGTTLLKGHAFYPSPLRFHGSFSTAFVFGIVSEYADLSSPGLAFVVSKSDDFSTALQSQYMGLASAANNGNASNHLLAIELDTIVNAEFGDMSDNHVGIDVDGLSSVAAENAGYYEDGTGAFRNMSLLSRTAAQVWVDFDARVSLVSVAMAPLPLPKPKKPLLSAAVNLSSVIGDEAYVGFSSSTGVVSSRHYVLAWSFKMDGPAPALNISKLPALPFTIPKRPAMTLKILLPIASAALVLALAVTIVVIDRRRRKYAELKEDWETAFGPHRFSYKHLFHATDGFSDTRLLGVGGFGRVYKGVLPASGAEVAVKKVSHESRQGMKEFIAEVVSIGQIRHRNLVQLLGYCRRKRELLLVYDHMPNGSLDKFLYDPNMPVLSWSQRMGIIKDVASSMLYLHEDWEQVVLHRDIKASNVLLDAGMNARLGDFGLARLYDHGTDPHTTRVVGTMGYLAPELGHTGKASKASDVFAFGVFMLEVACGRRPIAQDAHGEHVLLADRVLEHWRDGAIADAVDPRLRGDFVAEEASFVLKLCLLCSHPLPDARPGVRRIMQFLEGSTPLPELSEAQLSVNVLALMQNQVACSHSLPSTIAGNISDIPRAR* >Brasy4G022100.1.p pacid=40088633 transcript=Brasy4G022100.1 locus=Brasy4G022100 ID=Brasy4G022100.1.v1.1 annot-version=v1.1 MAQLLERYPISAVNASLTFLKDVKARYESEPSVRDELLALLVDFKDLQAVAAQAAARPAFLARVQALLHGQPDLFASFESLFRRRERGPVNPPAAREAPRRSSRPERRRTTAVDPEQARALAFLERVRRADARLYDGVIVLLKEVGEAPDLDAHEIYEKAVEVLGAGDVDAEFLRGFAEFLPTEHGLPGCRVKREPEDLRPRRAPKRKAAVFVEAHATNSGKPSAAKKPRADEPRNNNNNNKRASASPVNDDGQKTKKALTPFRAACVFEARYTRLARTMARIKALLEKEALEPPSPEHPCHDIVALFPDRECREALLEMYHGAYWAPMQDALEDKARTGPALWRILRRLREKERVAVRVAMERRDPERVRGRMAKLAPERVRCIREKREQAAELQRAGGTVD* >Brasy4G319200.1.p pacid=40088634 transcript=Brasy4G319200.1 locus=Brasy4G319200 ID=Brasy4G319200.1.v1.1 annot-version=v1.1 MDSLPPPPSLPPSPPALPWPDLIAGAAAATRRLVSAHSRHFLALSSLLLLPLSLLLLSLPSPFLLPAPSPSVSLRSPQDSRQQTPLRAPLPLLALAAALLYLAAFAAVATSAHAGFFGRPVKLLASLLSVPASLLRLLLTALPASPLLLIPFLPLPAALGAALAALGFLLLSSFWSLAAAAAVVESAAGPSPLRQSCRLLSGVRLAAVSAFTFFATGIGVTLWGFGGVATETYDAGAGWAGMAPVVVKAVAGTAVLALLMLYGMVTNVVLYMHCRALHGELTGEIYDEFANSYVFLPFDEGKDRYVVSVVTVWP* >Brasy4G361500.1.p pacid=40088635 transcript=Brasy4G361500.1 locus=Brasy4G361500 ID=Brasy4G361500.1.v1.1 annot-version=v1.1 MDGHVGKFFESVSSIFGGGDTLPVCDRDIIAGCETEVAEAANEEQKNESLMRLSWALVHSKQPEDVNRGIGMLEVSLGKSNGSLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEVQPDWRQALTLQRLLEDKTKRDGMIGMAIVTGVFGVVGLVAGGIIAAASSSRKK* >Brasy4G134500.1.p pacid=40088636 transcript=Brasy4G134500.1 locus=Brasy4G134500 ID=Brasy4G134500.1.v1.1 annot-version=v1.1 MQDQSRSTGMETVGIRAAGWVVGKALSPLSGGILEAWAASTKLGSNIEALKMQLLCAQAMLNNVQGREIHNPALGQMLDKLRQLAYRADDVLDELDYFRIQDELDGTYHAADVHAGGCVQDLALNVRHTARACVNKLKLPACSGAATRGDPDKQPDGGKQGCFSGLRLCGRRESSSSPLSPANQLRVQDIHRGCMPKLSFSAAHNVGKHISCCSLLPSVDVGHDDAQTGAGPSMIKQRNHDIEIPKLKFDRVKMSTEILDIIQQLKDVCANVSTILNLELLNSSRAPTHDIAMNRPETTPQIIEPKLYGRHSHTKIVVDGIVNGECELTVLPIVGPGGIGKTTFIQHIYEQMKSHFQVPIWICVSLDFNANKLAKYIVKKIPKVDNENKNCSDGELIEQRLKEKRVLLVLDDVWPHHENEWKKLLAPFKKAGGKGNMVIVTTRIPEVANMVRTTKYSLELERLCPKDIMCFFEECIFDDEKPWVGYQGLYDTGSKIVDKLKGSPLAARTVGRLLRNELTLNHWRNVLESKEWESETNENDIMPALKLSYDYLPFHLQQCFSCCALFPEDYEFGDKELVHMWIGLDFLRSCDRKRKRIEDVGVSYLNDLVNYGFFKMNKKENGRSHYVIHDLLHELAVKVSSYECVSIYSSNVRDVQIPPTVRHLSIIVDNTDVNNKMSFEDYDGNLSALGKKLEIENLRTLMLFGDYHGSFAKTFCALFKEARALRVICLFKASYNVEDMLQKFSKLVHLRYLRIESVHYWRLCLPSALFRLYHLEVIDVQNNDNYVCSTRHMSNLVKLRHFLVPKVQYDICGVGKLKFLQELKEFRVGKESEGFELSQLAPLTEIGESLGIYNLEKVQTKEEANELKLTHKSNVKELILEWDAMRSNKDYVQEENVLGSLVPHGDLQELSIKGHGGTNCPAWLCVDLSVKSLGSLCLDGVSWKSLPPFGELWMVNELGEEYPGCSSITPPSFHNLKNLELNNISNLTKWVGNGTCHFFSRLEVLVIKNCYKLLELPFCEPTGCQVKLTEKVAWFPKLRELVIEDCPNLESLPPIPWRAHAPFSASIERVGSAIEKLAYQVQIIPMLSLLIKGKGGEGDVLWNGLNFSNLTDLKVLCMNKIPPLPLDDLRVLTSLKMITISNSSSVLLPVEGENHGIYRFPVEHLQISKCDTSGKELTLLLSFLPNLSKLSIEKCENITALGVVEHAETVSGEQQQTRVGEEETITAAAAVLLLPPQLQELRISHCPKVILLLNPPPCDHAEAAARGGGGEGGLQRLRSLRFLSVSGCPEFLSSYSSSSSSSPPFPTCLQHLILAGVRYMETLHALSNLTSLTELFLNIPGDSRSDGLWPLLAHGRLTKLILYTTSDFFAGSDPSRPHDAEVFSSSSKLVDLTTRCNAGFLAAPTCSLFSSTLTRLGLRFDDEVERLTKEQEEALQLLTSLQVLEFLWGQKLQRLPAGLHRLINLKELRIMWCSAIRSLPSLPSSLQELQIDTCGAIKSLPNTLPSSLERLEISNCDAIKSLPKDGLPSSMLELDVCHGNSKELRWECRKLIGTIPIIRA* >Brasy4G262300.1.p pacid=40088637 transcript=Brasy4G262300.1 locus=Brasy4G262300 ID=Brasy4G262300.1.v1.1 annot-version=v1.1 MNGGKKSASAGGKSSTTSLDAGFAQIRGEDWSYFMQDYRIIVGRSTKYKGNVDLDLTKVGGAKKNICPHHARMFYDFQKHQFSLEVLGRNGCTVQGVLHLPGKDPIQLKSQDLIQIGDIQFYFLLPSCSITDSFSAWHTETLSQPLSSSSVPHVYPSSIKFREYTFIIRSPKDDTQHIHSDLHGKSCGHGWINSDHGITVGAQTQGPSMGQSKRSSNELDMCCSPINVEPLGEHAKKVVREEDKDTDNEQLCVTDETDLISYVITLVADNCKPGEWMPMKKLH* >Brasy4G334000.1.p pacid=40088638 transcript=Brasy4G334000.1 locus=Brasy4G334000 ID=Brasy4G334000.1.v1.1 annot-version=v1.1 MAAAAAAVGELAMLDAETLALMAGGPEAAQVAMCGEMETFKENVRPLKRGRNVGLLNRALKAQADPAQRAALLAERRRMIEAIEEYQGEDPLQPWVNCIKWVQESFPAGGESSGLVVIYEQCVRAFWHDERYKGDLRYLKVWLEYAGNCADAEVIFRFLEANQIGDSHAVFYIRYASLMESKNKLKKANEIYNLGIARKAKPVEKLETAYRAFLRRSTKKKEHSEDEAATDDQPVRRFGSDLNHGETRGHHAENSHLLAKPRVKLQRIDVNKPISVYKENSVPSQGLARSKDRTWNTLGTQADRNKENSMMPAKWTSHKIPQKVGARPAAPSAHVSSIEIFVDEECAKEPAPPQAPKSTKPSVLKLREAITRNLKKETELLKENPLRNFPLSSLR* >Brasy4G289000.1.p pacid=40088639 transcript=Brasy4G289000.1 locus=Brasy4G289000 ID=Brasy4G289000.1.v1.1 annot-version=v1.1 MASRLRSFSRPVAAAFLRSAATQSPAASLPRSLAPIPRASSLGRRLALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV* >Brasy4G373500.1.p pacid=40088640 transcript=Brasy4G373500.1 locus=Brasy4G373500 ID=Brasy4G373500.1.v1.1 annot-version=v1.1 MKRERRCVGDGEDRISELPDALRLQILSLLPLKSAIRTGALSSKWRDLWEQRWPVPSSLRLRFPPSAAADQLAAFDRRGRRRADVFSLVFQTGQLAQPDLRRCLDYAAACGVEDLQLRLDGTGGRGSRGGQRRAGALAVHFPVGSPLLARLSVRGLHLTASANAMVATLEVIHLHSVSLTDAALRRVVAACPCLRELELRCCRHLRRIDFTTVGVPNLRSLTIVDCSRATELRVPAAPRLRSFRFSGPFLGSNLFSGTTDCFQHLYLCSGGPETGLQHTNLPSAIPHLANLTALTLCSMALQNLSASVASVAKESSLPKLRELQLLMFGMANSNLADIFSFLKTCRCPQLERLFVQLPTNTHDAFTTNFLEVAEEEPPEGGLENLRLVKMTNFKAHKNERRLVDFLLRKASCLNKLFLVAPKEDHPQGLQKVQSDVLPHFLETETPLLERASANTQIVFNEHDSPRVQPLHSEVFIGF* >Brasy4G392500.1.p pacid=40088641 transcript=Brasy4G392500.1 locus=Brasy4G392500 ID=Brasy4G392500.1.v1.1 annot-version=v1.1 MDLALGAMGSLLPKLGELLSQEYKMQTSVKKDVESFSSELASMRAALRRVAEVPRDQLDEEVKLWAGDVRELSYDMEDVVDDLLVRVNGSQTDTDMNVFKELVGKMTGLFKEGKARRQIATAIKEIKKQVQDVAARHERYKADGVFANLGGAATRITVDPLLVALYEDKQRLVGVNKARDQLIERLHMDDDVSNQPIMILSIVGFGGLGKTTLAKAVYDKLKSKFDCVAFVSVSRFPDLKKVLKDLVFEVDKEKYYKNVSGATLDERQLIDELRESLGSKSLRYEVHIPLFCILEIINLRTCKPIQPTGSEDCQTCVYQLILLQNQH* >Brasy4G067100.1.p pacid=40088642 transcript=Brasy4G067100.1 locus=Brasy4G067100 ID=Brasy4G067100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >Brasy4G109900.1.p pacid=40088643 transcript=Brasy4G109900.1 locus=Brasy4G109900 ID=Brasy4G109900.1.v1.1 annot-version=v1.1 MESSSSGSCRHGAVYCCSLLFVVWLCGSQHVLSQKTMLKPEDKFLLSDPPIGLFDPIEISPSVLPHNANPAEPVSPMYPNYTSYDPILTGKCHVNFSELSHIMGKTASDCTVPLAPLVADVICCPQVNSLMNIFQAAYGGGNNTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPTNLTDASCPVKDVSSFERIVNVSKLLDACSSVDPLKECCRPACQPAIMEAAVHLSTGGASMFGSSSIPGSATGINVVSDCKGVVHSYLSMKLSSEVVNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGNASSPRPLCCAALHSYIATRQKQLFVTNLQAINCATMFGSMLQKAGVGNDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNITGISFTCDLSDNIAAPWPSSSSLQSLSLCAPEMSLPALPVSPLSGSSGISRTGIGIFLPLVLFTTTISI* >Brasy4G109900.2.p pacid=40088644 transcript=Brasy4G109900.2 locus=Brasy4G109900 ID=Brasy4G109900.2.v1.1 annot-version=v1.1 MESSSSGSCRHVYCCSLLFVVWLCGSQHVLSQKTMLKPEDKFLLSDPPIGLFDPIEISPSVLPHNANPAEPVSPMYPNYTSYDPILTGKCHVNFSELSHIMGKTASDCTVPLAPLVADVICCPQVNSLMNIFQAAYGGGNNTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPTNLTDASCPVKDVSSFERIVNVSKLLDACSSVDPLKECCRPACQPAIMEAAVHLSTGGASMFGSSSIPGSATGINVVSDCKGVVHSYLSMKLSSEVVNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGNASSPRPLCCAALHSYIATRQKQLFVTNLQAINCATMFGSMLQKAGVGNDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNITGISFTCDLSDNIAAPWPSSSSLQSLSLCAPEMSLPALPVSPLSGSSGISRTGIGIFLPLVLFTTTISI* >Brasy4G109900.3.p pacid=40088645 transcript=Brasy4G109900.3 locus=Brasy4G109900 ID=Brasy4G109900.3.v1.1 annot-version=v1.1 MESSSSGSCRHGAVYCCSLLFVVWLCGSQHVLSQKTMLKPEDKFLLSDPPIGLFDPIEISPSVLPHNANPAEPVSPMYPNYTSYDPILTGKCHVNFSELSHIMGKTASDCTVPLAPLVADVICCPQVNSLMNIFQAAYGGGNNTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPTNLTDASCPVKDVSSFERIVNVSKLLDACSSVDPLKECCRPACQPAIMEAAVHLSTGGASMFGSSSIPGSATGINVVSDCKGVVHSYLSMKLSSEVVNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGNASSPRPLCCAALHSYIATRQKQLFVTNLQAINCATMFGSMLQKAGVGNDIYGLCDIDLKDFSLQGCLLRSLPTDIVFDNITGISFTCDLSDNIAAPWPSSSSLQSLSLCAPEMSLPALPVSPLSGSSGISRTGIGIFLPLVLFTTTISI* >Brasy4G179300.1.p pacid=40088646 transcript=Brasy4G179300.1 locus=Brasy4G179300 ID=Brasy4G179300.1.v1.1 annot-version=v1.1 MAHAATAAVPLRRPLLLFLKPNRLHSSLAPPSARRPHPRALRPVAPLPSDGEDSGDADAGDGGAASFRRSRNEMKREARRAVQWGMELAKFSPPQIKRVVRAASLEREVLDALMLVKKFGPDVREGRRRQYNYIGSLLRSAEPELMDTIIQSLKNGDDSRIQALLSEMEDKSMPMEDEEVEELPHQEEGDEEYVEIADRWFEGLVCKDIPVTNEVYALHNVEFDRQELRKLVRRVQEVQESTRKKDGEEGSDMKLSRAKKPLLMFLRSLAKKTCVE* >Brasy4G179300.2.p pacid=40088647 transcript=Brasy4G179300.2 locus=Brasy4G179300 ID=Brasy4G179300.2.v1.1 annot-version=v1.1 MAHAATAAVPLRRPLLLFLKPNRLHSSLAPPSARRPHPRALRPVAPLPSDGEDSGDADAGDGGAASFRRSRNEMKREARRAVQWGMELAKFSPPQIKRVVRAASLEREVLDALMLVKKFGPDVREGRRRQYNYIGSLLRSAEPELMDTIIQSLKNGDDSRIQALLSEMEDKSMPMEDEEVEELPHQEEGDEEYVEIADRWFEGLVCKDIPVTNEVYALHNVEFDRQELRKLVRRVQEVQESTRKKDGEEGSDMKLSRAKKPLLMFLRSLAKKTCVE* >Brasy4G179300.3.p pacid=40088648 transcript=Brasy4G179300.3 locus=Brasy4G179300 ID=Brasy4G179300.3.v1.1 annot-version=v1.1 MAHAATAAVPLRRPLLLFLKPNRLHSSLAPPSARRPHPRALRPVAPLPSDGEDSGDADAGDGGAASFRRSRNEMKREARRAVQWGMELAKFSPPQIKRVVRAASLEREVLDALMLVKKFGPDVREGRRRQYNYIGSLLRSAEPELMDTIIQSLKNGDDSRIQALLSEMEDKSMPMEDEEVEELPHQEEGDEEYVEIADRWFEGLVCKDIPVTNEVYALHNVEFDRQAACRR* >Brasy4G213500.1.p pacid=40088649 transcript=Brasy4G213500.1 locus=Brasy4G213500 ID=Brasy4G213500.1.v1.1 annot-version=v1.1 MGNCCSDEIGHGAGRHSVGPAASAVEAASAAADRFLRSRGAGASTQIELSLAASNLGDQEYFSKSNPMVVVYSKKNDGALEEIGRTEVILNSLNPSWNAKINLQYQFEVLQPLVFQIYDIDPQFHDVSEKMLKLEEQQFLGEAICLLSDVVTKQNRLLNIKLGVSEHNLPNPSKFGELTVQAEESAGSKALMEMVFRCSDLEIKDLLSKSDPFLLISRISENGMPVPICKTEVRKNDLNPKWKPVILNLQQIGSKENPLVIECFNFSSNGKHDLVGKIVKSVAELENMYHSQNGENFFVPANTAHDCHSKEVLKSQVYVEKYFENNRHTFLDYIAAGCQLNLMVAIDYTASNGNPRLPDSLHYIDPNGRPNAYQRVILEFGDILQYYDPAKRFPSWGYGARPIDGPVSHCFNLNGSAYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPLISTATAIASQSLISNQQKYFILLIVTDGVVTDFQETIDAIIKASDFPLSIVVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDVIQFAPMKDVHGAGISIVQSLLAEIPGQFMTYMRTRETQAIS* >Brasy4G213500.2.p pacid=40088650 transcript=Brasy4G213500.2 locus=Brasy4G213500 ID=Brasy4G213500.2.v1.1 annot-version=v1.1 MGNCCSDEIGHGAGRHSVGPAASAVEAASAAADRFLRSRGAGASTQIELSLAASNLGDQEYFSKSNPMVVVYSKKNDGALEEIGRTEVILNSLNPSWNAKINLQYQFEVLQPLVFQIYDIDPQFHDVSEKMLKLEEQQFLGEAICLLSDVVTKQNRLLNIKLGVSEHNLPNPSKFGELTVQAEESAGSKALMEMVFRCSDLEIKDLLSKSDPFLLISRISENGMPVPICKTEVRKNDLNPKWKPVILNLQQIGSKENPLVIECFNFSSNGKHDLVGKIVKSVAELENMYHSQNGENFFVPANTAHDCHSKEVLKSQVYVEKYFENNRHTFLDYIAAGCQLNLMVAIDYTASNGNPRLPDSLHYIDPNGRPNAYQRVILEFGDILQYYDPAKRFPSWGYGARPIDGPVSHCFNLNGSAYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPLISTATAIASQSLISNQQKYFILLIVTDGVVTDFQETIDAIIKASDFPLSIVVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDVIQFAPMKDVHGAGISIVQSLLAEIPGQFMTYMRTRETQAIS* >Brasy4G010300.1.p pacid=40088651 transcript=Brasy4G010300.1 locus=Brasy4G010300 ID=Brasy4G010300.1.v1.1 annot-version=v1.1 MEGALVSAATGALKPVLGKLATLLGDEYKRFKGVRGEIRSLSRELAAMDAFLLKMSEEEDPDPQDKVWMNEVRELSYDMEDSIDDFMKNVDDKDIKPDGFMEKIKSSLGKMKARRRVGKEIDDLKKQIVEVAERNARYRTREAFSKTINATVDPRALAIFEHASKLVGIDEPKKEIIKLFTDEGGSAAAQEQLKLVSIVGCGGMGKTTLANQVYEELKGQFDCWAFVSVSRNPDMMNILRTILSKVSNTPYADTEEGSLQQLIVEINGSLADKRYFIVIDDIWDTVAWDVIQCSFPVNSRGSRIITTTRINHVAESCRTSLNGDIYSIRPLNMVHSRQLFNRRLFDSKEDCPPYLEEVSVKILEKCGGLPLAIIAISGLLANTERTEHLWNQVKDSIGRALERNSTVEAMMKILSLSYFDLPPYLKTCLLYLSIFPEDSIIEKKDLIRRWISEGFIQKEGKYTAHEIGERCFNELLNRSLIQPVKTRRVRHHNPLITGWLQDGLIYGEHEYNRRVTQLNISKYAKVMSCRVHDIILDFIISKSIEENFVTFVGIPNLIVGTQGKIRRLSIQEGKQGNSFIPTGMALSHVRSLSVFGYYMEIPSLDDFRHLRVLNFKGCSRQLKNHHFVNIGRLFQLRYLNLRWTRISELPVQIGHARSLEMLDLRDTLVRQLPAAIVNLGKLSDLFVDNGVKFPDGIAKMQALEILKWVNLPKYPFDLMQDLAQLKNLRKLDLYLRSYDDSGDRVIVEKEWHKDFVSCLRNPGTKSLRSLTIWNGNSFLQQEGPLCPVPVTLQKLKIDGLPILLQVPKWMGSLVNLQHLWLKVQEVRQEDLCILGALPALLILELTTTCKSNSLKVSGELGFRCLRHFCYYLCVELAPGLVFPIPGS* >Brasy4G188100.1.p pacid=40088652 transcript=Brasy4G188100.1 locus=Brasy4G188100 ID=Brasy4G188100.1.v1.1 annot-version=v1.1 MQSQVTPPVKANTERTRNFTDKEDEVLVAAWLHASIDPIVGTEQKHATYWNRIHEEYELHKPQGSERNVNSISHRWSVVKEQVGRFCGCYGQIMHRHESGKTEQGKIVDALKLFKSQDKTNKGFVLLHCWNMLRFEQKWLSQKSSSNASPSMSTPRVDTIHIDDFEATSPVKADHMKRPIGKKAEKERQRRGKNVTSLEDSNVVMALDVVFSKRTELEEAREMARETARQAREMARKTARQAREDAREASKEKRYVGALAMEQRKFEFEERKMEMDIINKDLSSLDDDQKEYYKMLRRDIIDRRSKRLI* >Brasy4G206000.1.p pacid=40088653 transcript=Brasy4G206000.1 locus=Brasy4G206000 ID=Brasy4G206000.1.v1.1 annot-version=v1.1 MAPPRLPLVRGVPGRLLLLLAVLLAQLGPLSCENLRGSVTCLDCAAGHHLSVAQMHVLLPGVVVAVKCVGADGVGLHAAQTDGSGNFDVAVPAASGSRCAARVLGGAEQLCAPRGLAVARVVTAAGGSYALGSRLAVFTRCGGGGGAVATMATGGGDQRAAPPGPTVRSPPRGVGRGSSSPPYGLGIPLIYFFPFIPIIGIP* >Brasy4G206000.2.p pacid=40088654 transcript=Brasy4G206000.2 locus=Brasy4G206000 ID=Brasy4G206000.2.v1.1 annot-version=v1.1 MAPPRLPLVRGVPGRLLLLLAVLLAQLGPLSCENLRGSVTCLDCAAGHHLSGVVVAVKCVGADGVGLHAAQTDGSGNFDVAVPAASGSRCAARVLGGAEQLCAPRGLAVARVVTAAGGSYALGSRLAVFTRCGGGGGAVATMATGGGDQRAAPPGPTVRSPPRGVGRGSSSPPYGLGIPLIYFFPFIPIIGIP* >Brasy4G180700.1.p pacid=40088655 transcript=Brasy4G180700.1 locus=Brasy4G180700 ID=Brasy4G180700.1.v1.1 annot-version=v1.1 MDRMRDALERAKMLVGMEVDEESALPPPEEQSFYDDINRHCTLNTTQRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNMMALGSTAFLIGPKRQFDMMLDSVRIYATAIYIASIIVALFCALLIHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF* >Brasy4G113900.1.p pacid=40088656 transcript=Brasy4G113900.1 locus=Brasy4G113900 ID=Brasy4G113900.1.v1.1 annot-version=v1.1 MDFCPACAMLLQIQPATGGHRLRLFCPTCPYVCPIKHKIVKKAKLVKKELESTESASKTNGEAKESANKTDEKPKESAPQN* >Brasy4G330200.1.p pacid=40088657 transcript=Brasy4G330200.1 locus=Brasy4G330200 ID=Brasy4G330200.1.v1.1 annot-version=v1.1 MARNGESHLKEPLLQAADGPASASPHGKSPRKERKTRKAMFNVRGISCASCAVSIETVVAGLKGVESVQVSVLQGQAVVQYSPEETDAKTIKEAIEDINFEVDELQEQEIAVCRLRIKGMACTSCSESIERALLMVPGVKKAVVGLALEEAKVHFDPNITSRDLIIEAVEDAGFGADLISSGDDVNKMHLQLEGVSSPEDTKLIQSVLETVEGVNNVEWDTVGQTIKVAYDPDITGPRLLIQRIQEAAQPPKCYNASLYSPPKQREVERRHEILNYRNQFLWSCLFSIPVFLFSMVLPMLPPFGDWLFYRICNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIILKALTSDSFEGQDLFETSSMLVSFILLGKYLEVVAKGKTSDALSKLTELAPETAVLVTLDKDGNAISEMEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCVIVKATHVGSETALSQIVQLVEAAQLARAPVQRLADKISRFFVPTVVVAAFLTWLGWFIPGQLHLYPQEWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKTIIFDKTGTLTLGKPSVVQTKIFSKIPLLELCDLTASAEANSEHPLSKAIVEYTKKLREQYGSHSDNMIESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEAPMSSEVEEYMSEMEDLARTCVLVAIDRIICGALAVSDPLKPEAGRVISYLSSMSITSIMVTGDNWATAKSIAKEVGINTVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGVNDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRINYVWALGYNVLGMPIAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHIEATPRPTGPADGGSDLV* >Brasy4G085100.1.p pacid=40088658 transcript=Brasy4G085100.1 locus=Brasy4G085100 ID=Brasy4G085100.1.v1.1 annot-version=v1.1 MLLKALAHHRGDYKIYEEARKSIYQTGIVGSCIWKIFRTGNGMLAELMF* >Brasy4G002400.1.p pacid=40088659 transcript=Brasy4G002400.1 locus=Brasy4G002400 ID=Brasy4G002400.1.v1.1 annot-version=v1.1 MAQNSDGELEEQSNEGYQEAAFEAFMCPLTRQVMQDPVTIETGQTFEREAILKWFKECRDNGRRATCPLTQTELRSTAITPSIALRNVIDEWRARNDEKDLEKACNALGMHPESGEEDETLRALVYISQICQRSGAKKNLVRQQGIIPMIADMLKSSSRRVRLKSLQVLRAVVQDNDQNKEELGKGDTVRTIIKFLSNEHIQERELAVSLLYELSEYEPVCERIGAVYGAILLLVGMGSSKSENTIAVEKAEMTLRNLERYDTNIKQMAENGRLQPLLTKLLQGEPEVQVTMAEYLGELALAHDVKVVVAEQVGELLVSIIKTGGLPAREATLKALREMSSNETSAKILLQAGILPPLVKDLFSVGASSHFPMRLKEVSATILANLVASGASFRSIPLDDAGQTLLSEDVVHSLLHLISNTGPAVECKLLNVLVGLTSSEATAPDVVSAIRSSGATISLIQFLEAAHREIRVESLKLLRNVSPYMGAELADAVGGHLSSLLRVVSDGGGGGVTEEQAAAVGLLGDLPESDTKLTRQLLELGAFRMVSSKLAEVRRGAIRGNRYVAPLTEGMVKVMYRLACAVATAEEYVELAREVGLAPLLVELLQVNGQQDTVQLYAALALEKLSLETKNLAVMPDPPPKAAAGGGFLSCACFGGGGSGAAATKAGSVEAAAAGVCRVHGGFCSLRESFCIVDGKGKTVERLVACLDHLNPEVVEAALAAVSTLVGDGEEEGVVVLGEAEGLRPVVDILVENRTEALRRRAVWLVERILRVEDIAAEVAADQKVASALVEAYRNGDPRTRHTAERALRHLDRIPNFSSAFHNQGQPRRPS* >Brasy4G381800.1.p pacid=40088660 transcript=Brasy4G381800.1 locus=Brasy4G381800 ID=Brasy4G381800.1.v1.1 annot-version=v1.1 MHGHSVKGLRMVDGVFQADCDQNGHQPPLLELLAELDG* >Brasy4G257500.1.p pacid=40088661 transcript=Brasy4G257500.1 locus=Brasy4G257500 ID=Brasy4G257500.1.v1.1 annot-version=v1.1 MVGARATSSSSLSSPRQPERAASASFRAFGSCMQGWYHRCIGLDP* >Brasy4G188600.1.p pacid=40088662 transcript=Brasy4G188600.1 locus=Brasy4G188600 ID=Brasy4G188600.1.v1.1 annot-version=v1.1 MQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGFDGLYFEIWKRVTQQEESFRSALEEVYLLNKFPLRQDNMKFALEDDCSFMKSEFHTCTAGITKEVSEDKAQELTTEAVKRLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G188600.2.p pacid=40088663 transcript=Brasy4G188600.2 locus=Brasy4G188600 ID=Brasy4G188600.2.v1.1 annot-version=v1.1 MQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGFDGLYFEIWKRVTQQEESFRSALEEVYLLNKFPLRQDNMKFALEDDCSFMKSEVSEDKAQELTTEAVKRLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G188600.3.p pacid=40088664 transcript=Brasy4G188600.3 locus=Brasy4G188600 ID=Brasy4G188600.3.v1.1 annot-version=v1.1 MQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGFDGLYFEIWKRVTQQEESFRSALEEVYLLNKFPLRQDNMKFALEDDCSFMKSEALPKKFQRIKLRS* >Brasy4G163700.1.p pacid=40088665 transcript=Brasy4G163700.1 locus=Brasy4G163700 ID=Brasy4G163700.1.v1.1 annot-version=v1.1 MNFLLEQHCFTPRTPDKTRKQQRQRRIRHMWATVWTARGRALRLAGVARGGGGATPAAGACGGCWAAPDGGLRAAVGAAPGGGAQGGGRGSAGPRWSSGRRRACSGDLGAPGRRGAASQHAVGSRRRPKRRAIVWWQRLTAGLGAAAGARPAMVEQRPTTAAQAACDRVVAAPDGGARGGGRGAAGHGGAAPDNGARGSRGAAPDGGDRGGGWGSVRRRLEATTPSGSGEGDAPARCRERRGWADEQATLPRWQPGLTARRAERTGSEHAEPTDSSYFEESSGSSWNWWTPHVGRARGRQPGRARAWGRRAAWPRLGSGSGAGAAGGAAVTGAGAAGAGVGLGRGRAPGQAAQRGDGVGEEAQVEPLLVGAWWPMAGG* >Brasy4G110200.1.p pacid=40088666 transcript=Brasy4G110200.1 locus=Brasy4G110200 ID=Brasy4G110200.1.v1.1 annot-version=v1.1 MGTNLQDLKGFDSDKSASRLYVGNLDFRISESDVIKMFSPYGKIMAEDFLWHTRGPKRGEPRGYAFVQFTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGNSHRALKDKKLAGGSGSKTAQTDRTAKIAAIKNKLKSLEDEGCSTKRPRLKPDDLIGTGDQSDKKL* >Brasy4G110200.2.p pacid=40088667 transcript=Brasy4G110200.2 locus=Brasy4G110200 ID=Brasy4G110200.2.v1.1 annot-version=v1.1 MDLKGFDSDKSASRLYVGNLDFRISESDVIKMFSPYGKIMAEDFLWHTRGPKRGEPRGYAFVQFTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGNSHRALKDKKLAGGSGSKTAQTDRTAKIAAIKNKLKSLEDEGCSTKRPRLKPDDLIGTGDQSDKKL* >Brasy4G110200.3.p pacid=40088668 transcript=Brasy4G110200.3 locus=Brasy4G110200 ID=Brasy4G110200.3.v1.1 annot-version=v1.1 MDLKGFDSDKSASRLYVGNLDFRISESDVIKMFSPYGKIMAEDFLWHTRGPKRGEPRGYAFVQFTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGNSHRALKDKKLAGGSGSKTAQTDRTAKIAAIKNKLKSLEDEGCSTKRPRLKPDDLIGTGDQSDKKL* >Brasy4G110200.4.p pacid=40088669 transcript=Brasy4G110200.4 locus=Brasy4G110200 ID=Brasy4G110200.4.v1.1 annot-version=v1.1 MDLKGFDSDKSASRLYVGNLDFRISESDVIKMFSPYGKIMAEDFLWHTRGPKRGEPRGYAFVQFTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGNSHRALKDKKLAGGSGSKTAQTDRTAKIAAIKNKLKSLEDEGCSTKRPRLKPDDLIGTGDQSDKKL* >Brasy4G127000.1.p pacid=40088670 transcript=Brasy4G127000.1 locus=Brasy4G127000 ID=Brasy4G127000.1.v1.1 annot-version=v1.1 MSSTGGSVNSTPLQTASVIVTGAVPGSHDLKIKGYSHTKGYLNGKYIKAETFTLGGHRWYMRYFPNGCCSANDGWVTFFLYHDRNDGDDEVKVQYKISLLDQDGNPVPSHVNHNTNPDPLANPICSFGLIIRKDLEGSVYLRDDTFSVRSEFTVLKISTDSIMPNEVPPSDMHLHLGGILSSGELTDVTLDVGEETFHAHKCVLAARSSVFMAEFSGSMKEKTAASVQIDDIEPKVFKTMLRFIYTDSLPHVDEGDMIAMIQHLLVAADRYNIKRLKLICEDKLRSSMDTSMVASTLALAEQHDCRQLKEACFKFLTSPGGNLKDFVASGGLEQLMSSCPLLVKELVTKVAP* >Brasy4G369300.1.p pacid=40088671 transcript=Brasy4G369300.1 locus=Brasy4G369300 ID=Brasy4G369300.1.v1.1 annot-version=v1.1 MDQGEIEAGGGVSPGSGGEEVHAPQGGGLKKGPWTAAEDEVLARHVRRHGEGSWNAVRRETGLLRCGKSCRLRWANHLRPDLKRGPFSPDEERLLLRLHALLGNKWARISAHLPGRTDNEIKNYWNTRLKRRKRAGYSAGGGAGADADADGNNIAAVDIQLQAPLFLPLDSWQPPPFHLPLFNSAPPPPPPPLPLFQFTANNPPYEQHVSGGLVDHGSTSATGIMAAAQAELPSIQQPADAGALLEMLAGPAAAAAPRRVHAGARLLPRERRLLLGMAAVWYQQPIRRRPRMRADDAKPAKRSAAVVEKQDKEISDDQLNAFQGEWLGGDGVIDKSVAWPEKSPVAAHVDDFSLPMQQLMCPATPLLFDEHGWNRLIE* >Brasy4G424900.1.p pacid=40088672 transcript=Brasy4G424900.1 locus=Brasy4G424900 ID=Brasy4G424900.1.v1.1 annot-version=v1.1 MAARKEETRAIRVRALDGRSTTVRLAASCSVDDLKAALRSSSFFLPSAASSFHLFLKGSKLLPSAMLAHLPPLGPSDFVSLVPFSPRTTNNPSPRPPPPPLIPFNRRRRPAVPNSSPWAAFAAAAAGKRRKQFHHHPFHAPDEDDIYAPRNIPGTTTSSCRHCRSTEKLDPAEMVSHLRAGLGSAGQMVHVEEIPGRSATFTAGLPAHLSDATKAALRRIGVSSLYAHQAQAVAATAAGKHVVVSTSTSSGKSLCYNIPVLDSMAAAAAATSSSETCALYIFPTKALAQDQLKTLLHMMSGELGLGPDAVAIYDGDTPVGERARIREKARVLVTNPDMLHVSILPCHAQFRRFLSGLRHVVVDEAHAYRGAFGCHVALVLRRLRRLCAHVYGHGGSAGPAFVFCTATLANPREHAMALAGLEEDEELELVDADASPRVAKRFVLWNSARSSSSSPVTDVARLLAETVQHGLRCIAFCKTRKLCEQVLAQARGLLEEASPASPELASSIAVYRGGYVAADRRRIEEGLFSGKLLGVAATNALELGIDVGHIDATLHLGFPGSMASLWQQAGRAGRRAGRESMAVYVAFDCALDQYFMNFPQKLFGKPIEHCHVDARNPKVLRQHLACAAAESPLRPDLDKRYFGAAALDAAMTVFQDKGHLVMTKNNNSSPSMWRYAGPAGRRPASAVSIRAVEHEKFTVMETVGRRVMEEIEESKAFFQVYDGAVYMHQGDTYLVERLDLTSKTAYCRTAYGLSYHTRPEDYTDIVVAATGDDDDDDHTCSRRSGVRADTCTVTTRWVGYRRIYKSTNQASEVIPLDLPSFSFDTQAAWAPIPRTVRAAVEQGTDNPRFRGGVHGASHAMLGILPLHMTCSSSDLGTECGWEEARGGDAVSSDRILLYDKHPGGIGLAAQARALFGDLLAAALELVSACGCGNYDGCPNCVQSFACREHNKDLDKEAAVLVLKGLIQWYSES* >Brasy4G353200.1.p pacid=40088673 transcript=Brasy4G353200.1 locus=Brasy4G353200 ID=Brasy4G353200.1.v1.1 annot-version=v1.1 MLRACGGAAPASLPALVRARLAKPAASAVAFDSSSALEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTSALNMERLLEERISSAVDLRRSLGLPSTNTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYSQEIQFLVNKVSDVNHIKWRSSTDILKEEGLDISEQKEPAPSSHPGTVKVMENGIVYLVSMEGQKTGFYADQRESRNFVSTLSKDQRILDLCCYSGGFALSAAKGGANNVIGIDSSGSALDLANENILLNKLNAESISFLKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRNLNALAMQVVKRGGLLMTCSCSGAMTQSGLFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVT* >Brasy4G353200.2.p pacid=40088674 transcript=Brasy4G353200.2 locus=Brasy4G353200 ID=Brasy4G353200.2.v1.1 annot-version=v1.1 MLRACGGAAPASLPALVRARLAKPAASAVAFDSSSALEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTSALNMERLLEERISSAVDLRRSLGLPSTNTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYSQEIQFLVNKVSDVNHIKWRSSTDILKEEGLDISEQKEPAPSSHPGTVKVMENGIVYLVSMEGQKTGFYADQRESRNFVSTLSKDQRILDLCCYSGGFALSAAKGGANNVIGIDSSGSALDLANENILLNKLNAESISFLKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRNLNALAMQVVKRGGLLMTCSCSGAMTQSGLFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVT* >Brasy4G353200.4.p pacid=40088675 transcript=Brasy4G353200.4 locus=Brasy4G353200 ID=Brasy4G353200.4.v1.1 annot-version=v1.1 MLRACGGAAPASLPALVRARLAKPAASAVAFDSSSALEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTSALNMERLLEERISSAVDLRRSLGLPSTNTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYSQEIQFLVNKVSDVNHIKWRSSTDILKEEGLDISEQKEPAPSSHPGTVKVMENGIVYLVSMEGQKTGFYADQRESRNFVSTLSKDQRILDLCCYSGGFALSAAKGGANNVIGIDSSGSALDLANENILLNKLNAESISFLKEDATAFMKGAISRNEVLQSASGMYRNLNALAMQVVKRGGLLMTCSCSGAMTQSGLFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVT* >Brasy4G353200.3.p pacid=40088676 transcript=Brasy4G353200.3 locus=Brasy4G353200 ID=Brasy4G353200.3.v1.1 annot-version=v1.1 MLRACGGAAPASLPALVRARLAKPAASAVAFDSSSALEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTSALNMERLLEERISSAVDLRRSLGLPSTNTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYSQEIQFLVNKVSDVNHIKWRSSTDILKEEGLDISEQKEPAPSSHPGTVKVMENGIVYLVSMEGQKTGFYADQRESRNFVSTLSKDQRILDLCCYSGGFALSAAKGGANNVIGIILH* >Brasy4G436300.1.p pacid=40088677 transcript=Brasy4G436300.1 locus=Brasy4G436300 ID=Brasy4G436300.1.v1.1 annot-version=v1.1 MTPSCHLFILLSSSIVLLLLPPALPSIIRLRSGGGDGIVDETCQRCRDANPNVNYTLCVASLSADPSSHAASLHGLASISAKLVRAGVARMGSGISELRGKEAAGSPRRSCLDACTAVFSDAMVDLDDSIAAIEDGRYADAKTKMSATADAPVTCNDEFKEQGLLPPMEGESRRLFQQAVISLAIISLL* >Brasy4G182700.1.p pacid=40088678 transcript=Brasy4G182700.1 locus=Brasy4G182700 ID=Brasy4G182700.1.v1.1 annot-version=v1.1 MLEARNCRNVFLVDMAMFEQRNYGHIWRVAGVPCTAAVRNQSAYAIYNELAAPSPLRSLRSNISAGGILRNLHERYYSSYFGSLSRSARDLGSPSEASLLKEIYRSDPERVIQIFEGQPSLHSNPSALSEYVKALVKVDRLDESILLKTLQRGVAASERAEGSLSSIPALKSAGQVTKDGIIGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKSVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAMHTDGAHPVHKATIVPRGMSLGMVAQLPEKDETSVSRKQMLARLDVCMGGRVAEEIIFGDSEVTSGASSDFQQATSMARAMVTKYGMSKQVGLVSYNYEDDGKTMSSETRLLIEEEVKSFLEKAYNNAKTILTKHNKELHVLANALLEHETLSGAQIKKILAQVNNKQPQEHAIEAPQKTQASPSSPAAAAAAAAAAAAQQAAAKAQGVAGIGS* >Brasy4G182700.3.p pacid=40088679 transcript=Brasy4G182700.3 locus=Brasy4G182700 ID=Brasy4G182700.3.v1.1 annot-version=v1.1 MLEARNCRNVFLVDMAMFEQRNYGHIWRVAGVPCTAAVRNQSAYAIYNELAAPSPLRSLRSNISAGGILRNLHERYYSSYFGSLSRSARDLGSPSEASLLKEIYRSDPERVIQIFEGQPSLHSNPSALSEYVKALVKVDRLDESILLKTLQRGVAASERAEGSLSSIPALKSAGQVTKDGIIGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKSVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAMHTDGAHPVHKATIVPRGMSLGMVAQLPEKDETSVSRKQMLARLDVCMGGRVAEEIIFGDSEVTSGASSDFQQATSMARAMVTKYGMSKQVGLVSYNYEDDGKTMSSETRLLIEEEVKSFLEKAYNNAKTILTKHNKELHVLANALLEHETLSGAQIKKILAQVNNKQPQEHAIEAPQKTQASPSSPAAAAAAAAAAAAQQAAAKAQGVAGIGS* >Brasy4G182700.2.p pacid=40088680 transcript=Brasy4G182700.2 locus=Brasy4G182700 ID=Brasy4G182700.2.v1.1 annot-version=v1.1 MAWRRVLSQAVRNQSAYAIYNELAAPSPLRSLRSNISAGGILRNLHERYYSSYFGSLSRSARDLGSPSEASLLKEIYRSDPERVIQIFEGQPSLHSNPSALSEYVKALVKVDRLDESILLKTLQRGVAASERAEGSLSSIPALKSAGQVTKDGIIGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKSVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAMHTDGAHPVHKATIVPRGMSLGMVAQLPEKDETSVSRKQMLARLDVCMGGRVAEEIIFGDSEVTSGASSDFQQATSMARAMVTKYGMSKQVGLVSYNYEDDGKTMSSETRLLIEEEVKSFLEKAYNNAKTILTKHNKELHVLANALLEHETLSGAQIKKILAQVNNKQPQEHAIEAPQKTQASPSSPAAAAAAAAAAAAQQAAAKAQGVAGIGS* >Brasy4G182600.1.p pacid=40088681 transcript=Brasy4G182600.1 locus=Brasy4G182600 ID=Brasy4G182600.1.v1.1 annot-version=v1.1 MKVTRSEPVLVHAAVAATAADDDDDEEYYYLSNLDQNVAVVMKTVHVFSPSGDRRAAAGDDPAAVLMEALRGVLVHYYPFQGSLAVDGGRLAVRNDRRGVPFVAADADCGLEELGDVVLAAAPDAAFQGQLVFEIEDAAALLTVQVTRFRCGGFALGVAMNHCLADGVAAAEFLRSWAETARGAPLSAPPFLDRTVLRPRGPNTGLYAGQEFAEMEDVSGLAALHGSEPRVRRAFAIDAASLARLKQQAGPGCSTFAALTAFVWRATAHATRMAPEQRTKLMFAVDCRRRLDPPLPRGFFGNAVVFACCVSAAGDLLAGPLSAAARSVRDAVERTDDAFVRSAIGYVEATRARAPPSLTATTLVTAWNRLGFCAADFGWGEATRSGPAELPREEVVMFVRDARDSGGMVVLLGLPQSCMQAFQDTVHQL* >Brasy4G227700.1.p pacid=40088682 transcript=Brasy4G227700.1 locus=Brasy4G227700 ID=Brasy4G227700.1.v1.1 annot-version=v1.1 MSGLLNSNLNSSTSNLPDSTGRPFTGSFSGHSGSVQGFHHSGLHNIHGNFSLPNMPGSLPQRNAAMSGLPSSGVQQPGGSISGRFASNNLPVAMSQIPHAHSGVSGRGMNVGGGQAFSSGMNIGGTIQGLSSNLGTSGNRNSVPGMSVSPALGNLGPRITSSVGNIVGGSNMGRNISSGGLSVPSIASRINFSGNAGSGSLNVQGSNRMMNGLLPQGSSQLINMIGSSYPTSGGTLSQNQMQPGNHSLGSMGMLHDTGDNAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGGSSDYAMELHHKEQLHENVPVMPAQQYPMSRSVGFNLGSTYPPNRQQHQQGANSVQNAGPQNIGLRPLNSVGPTSSLGSYEQLLQQYQQPQAQSPFRLQQMSSAPQSFRDPSLKIIQGGQIPPDPYGLLGLLGVIRMNDADLASLALGMDLTSLGLDLNSQDNLYKTFGSPWSNEPAKGDPDFHNPACYLAEQPPPLQPINFKRFHIATLFYIFYSMPKDEAQIYAANELYNRGWFYYKEPRLWLIRIANMEPLVKTASYERGSYMCFDLNSWDAVRKDNFVLHYELVEKRPTLPSAYQTVR* >Brasy4G017800.1.p pacid=40088683 transcript=Brasy4G017800.1 locus=Brasy4G017800 ID=Brasy4G017800.1.v1.1 annot-version=v1.1 MTAGGEVIQAVTFANSVLNKVADVIMRDKSMKGAVKRGLSTIKLEMKMVITQIKSNEENNQGTTHESKIVLLKELAYDIEDFIDRTWVPRKSGILLSALGLDPRPEIVQKIEHFKDSVQKVRTWQPDAGSSNGEDSAATWSSCPSATPPNPYSQDLDHEDTSRSICRHRCELGMLLSAAEGQELKVISIVGCRGVGKTSLARAVYDDCRDKYDCVAWVIASEYPNLEDLLTKLLKEAQRTAKPTSTAAQGSISDTEQTSLRNFLSDKRYFVVIDDVDHLEVCQAIKREFPKDGHSSRIIVTTSMHSVAAECSSGSHVYTMQCLDKDESEKVFWESVGQENQTPALRRASEGIIKKCGGLPLALISVANYLRRRGQTENRVAGGLTTEHCKSTACTLGDKILKGQDEFLEINRALLQCYNNLPDHDHQSCLLYASVFPRGRPINSKVLLRRWMSEEFAAHGTVSNEESVKSCLEAFIERCLIEPVEIKNARVARCKVHSIMLEFIIHKAVSKKFVALVDKDELLSNNGAITNVRVRRLSVQDSTKEGVDDAVCTARGIDLSVMRSLTIFGSPLLDLQACELLRVLDLEGCKGVNNDTVLKAICKQRFLKYLSLRGTDIDHLHQKIKHLVHLETLDIRDTSVEVVPIEVIRLPLLAHLFGRFELPHGITEEISKQSKLQTLAGVVVTEADKSFENIILHAGKLRKVKICQATSYSSNSRNRRMNPRSNSPLKERFTGSKALQILSIDSSDLSKEFISFLKAPCAITSIKLRGQLDRLPATPTLRELSDLNRLLLISTGLSIEDLSALQSLPCLEYLKLAEDGHGFRGRSFVVKSGGFPSLRRLCFEAPRLPQVQIEQGSMKSLTILDLLCPDPVIPEPHLGRHCCFLQLETRLGVEGVSYLENLKEVILHHSMRELEVHAWKEEAIRHNKKPSVKRQPQPTIHAA* >Brasy4G070900.1.p pacid=40088684 transcript=Brasy4G070900.1 locus=Brasy4G070900 ID=Brasy4G070900.1.v1.1 annot-version=v1.1 WISNFLECSKFHLSIQIWINTSKNFLEQGSSAMPNVSEKEEQSKGSMTKSEPTPWTAAKNTIRFQSSPI* >Brasy4G091100.1.p pacid=40088685 transcript=Brasy4G091100.1 locus=Brasy4G091100 ID=Brasy4G091100.1.v1.1 annot-version=v1.1 MLLRVAAAAAHRLLLACPVRRISSLKVPWRRDAALDASIDNDRRFRLASRLVREVLLSPGHRLLLRYLSKRRHRIRLPVQVPTFLRRYPTLLSVSPPPNPVASPSPQLLSFLQFASSQQATRSPLLASKLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGKFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLGELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQV* >Brasy4G115300.1.p pacid=40088686 transcript=Brasy4G115300.1 locus=Brasy4G115300 ID=Brasy4G115300.1.v1.1 annot-version=v1.1 MANQGAKKIVEKNKKRMDLLWNLILASNVIYMVVRMAIMHSSFTWKHWFGLVVTSAAYFLSYKQLASMTKPEYSESDRKEDKPELLNAGYDMSTGGISEYLEDMIYITVFVQLASIISGKFWWTYVVIPAFGGYKVFGLLRGTFFGGGSEGEVDDEKSRKKREKMEKKASRGKMIKTRTR* >Brasy4G438000.1.p pacid=40088687 transcript=Brasy4G438000.1 locus=Brasy4G438000 ID=Brasy4G438000.1.v1.1 annot-version=v1.1 MPDREKLLHDSHWLEANYIHDYAVLAGYVSMLIRGSGVLVLTWITAVLLGGFVSNLDTTDFWCLACITLCQTAGIFDASINEKHKYLKKAAAGFVDVFGPPVAAQLPGSIVGRFRLAAFTITCGMVVLPLALLYVYGIFISGAISACRVSSWKPLYGHYEANLDLAVSTLYIFAIIQCLIFTVREAVLVAAGINAFANRAFATGCDDSQVRSVLHNYLHETSRGCEKDVSFVEGRNLITHAVDMIGSNSPVDCIFGVKTLYTAICIAEGQLMIKEEEEEDGREQRDIVRGQRMLMKHLIVSPGGQVVLQRLLETLNPRGAHDRETRNQAAKIVERLACSISLEQFPLGIQHVSSLIGTLQEYSIAQPYVRDYLYDEFEQHWILEVVHTPPQLGLSSDDTQLEDDYKELLVHGLRILRRLAADQNNCRVMSDTPGLLTKIMAPVASDLLHNNTLQHGEWSGIVDESMQVMAQLLLMTNDLKETSAKLRHEISTCKQAIISALWRILNCDECKQELKVHAIHILTRLYMDTKQETDDFVPKKDSSSSSSSSRDAYVRMLVDIFTRDDNSIREYAGEALSLLCSRGVLVHIQEVPSLLKVLLVQHDAKNETWKHQAAEILGHLCTHYTKGDDRYNAQLKKTMTDAMPKVIEQILILLGDETDTVTLEFAKSGTGIESQGNNVQHQLGIGSSSSSHLRINEQDKKEKGKNQETIPCGDEIPAGIISSIPSSDDPQKDNKKVNQETMPRVDGIPAGIISSTPSSDDPQNNNKKVNQKTIPRSRPVKPLLSSLLSLYGTVYDMFISELRPDLDPPFNASSFLNNLRKMVVKNYYRPTVENLVLVKAISKMLISMMKHRSSWLVKEKGLHSLIKALSNASIEMQDLDCSMVFRSATSSSEFTSNLEGCTLASLVRELDRAPTPKYIRGEPFLTEDELEDISSPCQELHSHYLQASQSQEGINLSIEHHHFHHSEGALQITVDWPDLWNLYNLKELDASLMRCWTLHLIEKCREEQIEIGFLDPHLMSDQSIDPNPDKIKDYVADALVAQKDKLFTLFAYNSADYYHWITIAVIPSSGKVYYLDSMKKKKHDWKKIAEFIDKAWSLARSKGLKSGNPKLHHYFDFKCYQQKNRVQCGYHACHNMLLIVKWILANKKGFPKALLDKCDVEMVRRQLIDFLEVKRKQEPQPDSAQQEPQSHSAPPKESSAMEKDQASQADSATPKESVEMEKK* >Brasy4G350100.1.p pacid=40088688 transcript=Brasy4G350100.1 locus=Brasy4G350100 ID=Brasy4G350100.1.v1.1 annot-version=v1.1 MRMGGGRFGRPGVRVVLQLMMLLLIAAAALLPRALALTDPADVAAINGLYVALGSPALTGWTANGGDPCGEGWQGVICIGSNIDAINYVAATMEGQLGSLGNFTSITSINLSNNKITGTIPDDLPVTLRSLFLSDNQLTGSIPASISKLRSLTAMSLNDNHLDGQLPDAFNLLVGLVNLDISSNNFSGPLPTSLGSLSSLTTLRMQNNQLSGTLNALQDLPLKDLNVENNLFSGPVPPKLLNIPNLKKDGNPFNTSIAPSASPSLTPTGPTPTQTPSSPSSSSGTPSSNTPSNSSGGSTARDSRSASSGKHKSSTLRTVGYVLLSIVLFIVVVLLVIFCLSKYQERQSRRERDYTTSQVGRVRQRVEEPKVKQASVQSRNETKKDSAEVPNRKQAREINLAVPAAPDKPPEKRKEHVINLERTDSDIFAAAPLPPPPPPPPPPPPPPPPPLRSPPPPPPEKLIVNPVVRQEKRVATPPRTGPSTSATSFSVATLQQYTNSFEEGNLIRESRMGKVYLAELPEGRLLEIMKIDNANGRIPVDDFLELVACISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGDDLGSALSWNARLQVALGTAKALEYLHDTCEPPVVHQNFEPANVLLDNGFSVRVAECGLSELMLSGSVTQLSGRLRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIDSLSKMVDPSIRGECSEKVLSRFADIISRCVPPEPEFRSPMSDIVQDLARMLSDTGEESE* >Brasy4G350100.2.p pacid=40088689 transcript=Brasy4G350100.2 locus=Brasy4G350100 ID=Brasy4G350100.2.v1.1 annot-version=v1.1 MRMGGGRFGRPGVRVVLQLMMLLLIAAAALLPRALALTDPADVAAINGLYVALGSPALTGWTANGGDPCGEGWQGVICIGSNIDAINYVAATMEGQLGSLGNFTSITSINLSNNKITGTIPDDLPVTLRSLFLSDNQLTGSIPASISKLRSLTAMSLNDNHLDGQLPDAFNLLVGLVNLDISSNNFSGPLPTSLGSLSSLTTLRMQNNQLSGTLNALQDLPLKDLNVENNLFSGPVPPKLLNIPNLKKDGNPFNTSIAPSASPSLTPTGPTPTQTPSSPSSSSGTPSSNTPSNSSGGSTARDSRSASSGKHKSSTLRTYQERQSRRERDYTTSQVGRVRQRVEEPKVKQASVQSRNETKKDSAEVPNRKQAREINLAVPAAPDKPPEKRKEHVINLERTDSDIFAAAPLPPPPPPPPPPPPPPPPPLRSPPPPPPEKLIVNPVVRQEKRVATPPRTGPSTSATSFSVATLQQYTNSFEEGNLIRESRMGKVYLAELPEGRLLEIMKIDNANGRIPVDDFLELVACISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGDDLGSALSWNARLQVALGTAKALEYLHDTCEPPVVHQNFEPANVLLDNGFSVRVAECGLSELMLSGSVTQLSGRLRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIDSLSKMVDPSIRGECSEKVLSRFADIISRCVPPEPEFRSPMSDIVQDLARMLSDTGEESE* >Brasy4G350100.3.p pacid=40088690 transcript=Brasy4G350100.3 locus=Brasy4G350100 ID=Brasy4G350100.3.v1.1 annot-version=v1.1 MEGQLGSLGNFTSITSINLSNNKITGTIPDDLPVTLRSLFLSDNQLTGSIPASISKLRSLTAMSLNDNHLDGQLPDAFNLLVGLVNLDISSNNFSGPLPTSLGSLSSLTTLRMQNNQLSGTLNALQDLPLKDLNVENNLFSGPVPPKLLNIPNLKKDGNPFNTSIAPSASPSLTPTGPTPTQTPSSPSSSSGTPSSNTPSNSSGGSTARDSRSASSGKHKSSTLRTVGYVLLSIVLFIVVVLLVIFCLSKYQERQSRRERDYTTSQVGRVRQRVEEPKVKQASVQSRNETKKDSAEVPNRKQAREINLAVPAAPDKPPEKRKEHVINLERTDSDIFAAAPLPPPPPPPPPPPPPPPPPLRSPPPPPPEKLIVNPVVRQEKRVATPPRTGPSTSATSFSVATLQQYTNSFEEGNLIRESRMGKVYLAELPEGRLLEIMKIDNANGRIPVDDFLELVACISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGDDLGSALSWNARLQVALGTAKALEYLHDTCEPPVVHQNFEPANVLLDNGFSVRVAECGLSELMLSGSVTQLSGRLRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIDSLSKMVDPSIRGECSEKVLSRFADIISRCVPPEPEFRSPMSDIVQDLARMLSDTGEESE* >Brasy4G350100.4.p pacid=40088691 transcript=Brasy4G350100.4 locus=Brasy4G350100 ID=Brasy4G350100.4.v1.1 annot-version=v1.1 MEGQLGSLGNFTSITSINLSNNKITGTIPDDLPVTLRSLFLSDNQLTGSIPASISKLRSLTAMSLNDNHLDGQLPDAFNLLVGLVNLDISSNNFSGPLPTSLGSLSSLTTLRMQNNQLSGTLNALQDLPLKDLNVENNLFSGPVPPKLLNIPNLKKDGNPFNTSIAPSASPSLTPTGPTPTQTPSSPSSSSGTPSSNTPSNSSGGSTARDSRSASSGKHKSSTLRTYQERQSRRERDYTTSQVGRVRQRVEEPKVKQASVQSRNETKKDSAEVPNRKQAREINLAVPAAPDKPPEKRKEHVINLERTDSDIFAAAPLPPPPPPPPPPPPPPPPPLRSPPPPPPEKLIVNPVVRQEKRVATPPRTGPSTSATSFSVATLQQYTNSFEEGNLIRESRMGKVYLAELPEGRLLEIMKIDNANGRIPVDDFLELVACISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEGDDLGSALSWNARLQVALGTAKALEYLHDTCEPPVVHQNFEPANVLLDNGFSVRVAECGLSELMLSGSVTQLSGRLRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQLHDIDSLSKMVDPSIRGECSEKVLSRFADIISRCVPPEPEFRSPMSDIVQDLARMLSDTGEESE* >Brasy4G059800.1.p pacid=40088692 transcript=Brasy4G059800.1 locus=Brasy4G059800 ID=Brasy4G059800.1.v1.1 annot-version=v1.1 MVGVRRTRRAAMCKSRSSTAVSLSDPSSSMARSTATTRRRTSPNPTATTNPTSYSTTSGHSTTSSSAASSLQALKDSLLPDLPLLLTFHELAAATASFSAAHRLVPTSSNSFRCTLRGRPSAVFRRALRRDPREVAARLAALGHCHHAAIARLYGCSADDSSAGGGGGSLFLAYELVPSAAPLSALLRPGNPAAGYTPLGTWRARLRVAADVCDAISYVHGQAGTVHNRLAASSVLVVADGATAVRAKIAHFGAADLAGELPPEDDDGVTTRHRSKRIEGKRGYMAPELTAGTSPPTRRSDVFAVGVVLLELVSGQEPVRYELNEATGEYERTSLIDAAEEAMGDPGMMRRWVDRRLGDSFPVEAAEALAGVALRCVARDPAARPEMPWVAAKVSKLFLEAQDWADRFLVPTGISVSIAPR* >Brasy4G162800.1.p pacid=40088693 transcript=Brasy4G162800.1 locus=Brasy4G162800 ID=Brasy4G162800.1.v1.1 annot-version=v1.1 MAGLSVLLETDNSDSMNPQIISKATLHSPKQSSKISSFSRATTAAASPGSFLQRCLLCQKHLAEGHDIYMYRGDKAFCSVECRCRQIFMDEDAGSSAVCAKGATAARSGRRATGGGVSFAY* >Brasy4G136500.1.p pacid=40088694 transcript=Brasy4G136500.1 locus=Brasy4G136500 ID=Brasy4G136500.1.v1.1 annot-version=v1.1 MAKLMCVFLVVLAIAVTVRAEGCNNDRQDMIRECAKYQKFPKDPKQDPSEACCAVWQKADIPCLCKDVTKEMEKVYCMEKVAYVAKFCKRPFPSGYKCGSYTFPLVQ* >Brasy4G097600.1.p pacid=40088695 transcript=Brasy4G097600.1 locus=Brasy4G097600 ID=Brasy4G097600.1.v1.1 annot-version=v1.1 MAVRCLWRNGDEEEDAEATVKQARPPYVQTPASPSRSKGSVEAFFFLFSRSSPSRAGTSRTLLRPRHRAPPPRKLFLPPDPTLLRPPRPPDPIACPAGPLLVRRRRSSSNPLSPALCVGREGARAFAHGVWVGINGIGDGTDPFGDAAGVGGAAALGADLAGHGVKAVRLSPVSPSSRRHRAGPVPSKLEVEAVRLSPVSPSSRRHRDAGRRRRAAARSLAPSHGATRSSAAPPLPRLSATSAAQPIRHHQRPASPLFVHVLRRQGASFVRGSSKFLRQ* >Brasy4G080600.1.p pacid=40088696 transcript=Brasy4G080600.1 locus=Brasy4G080600 ID=Brasy4G080600.1.v1.1 annot-version=v1.1 MRCRPGLEQVQQFPYTLPDPIFRGENGKIVVQQFPDRLPEISASPIRPPFSPTDRGGGSLPYPHPGFPLAPDFFVPPRARARAVDGERHCGGAMGQGRSGWRRARSSSRRGRGGRGGHGPAGPVVAAAEEVGSSIWDCSRFEGGGGGGRRRPARGRRRRGAAASMRALAASSGVESGGGQIRAAEERGGGQHASGGGQLWSGEGRRPARGQRRPDPGGGGGGRRPARERRRPAVEWRAAASKG* >Brasy4G375400.1.p pacid=40088697 transcript=Brasy4G375400.1 locus=Brasy4G375400 ID=Brasy4G375400.1.v1.1 annot-version=v1.1 MAATTTKLRAEARPYRSPPTPWPLNHLQQLSPPPHMAAVASRLPFPVVLPTYRYCAPPFRVAARAPRPAVYGFIQPGLLLFKQPPPKGSILAAEFTAGAASEPRGSPAAGRIFPSARAHLPRGRRSVEDEEKQPQRQTASASASEKPPMVRRGRQVVGPGISTLRERSPGPVFTTPPRLPVPAPQWRDRHLTTVMIRNIPNKLTPADLILLLDDHCARVNKKNKERGAPLAAYDFLYLRMDFSLNNSNMGYAFVNLTTKEAVLGLHHALQGARWKVSASKKAVHISPARIQGKKALVKHFSRSVFP* >Brasy4G258000.1.p pacid=40088698 transcript=Brasy4G258000.1 locus=Brasy4G258000 ID=Brasy4G258000.1.v1.1 annot-version=v1.1 MDARHIQTFTLYLFLYCSVWSLHLRRRANSLSFNFNFSQPGGYNAQDLSFQGDAYLDPQAHTVLLTRNGASSDIHNSVGRVLHAQPVPLWDAVTGKMASFTTVFSFQINANSNNTGDGMAFFLGHYPPTSIPGPLDNGGQNLGLFNKNASTAAAGDDRAVAVELDTYFNAGVDTTSSGHMGIDVNSIVSRAYTDVDVPGKNLTSGVPMTCQISSGGGKILAALLQICGVTYRVNASVDLSQVLPSVVAIGFSGATGVAVEINRIMAWSFNSTLDLDFDPPPVPNSSKIRWKLVEVTAPIIVGVTLLVVCLFVGARRWQLRTRNKRYRALAKGLAHFDYRKSKFAEGNRLGEGGSASVYRGQLTDTGRAVAIKKFKPAVSGPAQGPRDKAFEDELRIASRLRHRNLVELIGWCYDGQRNLVELVCWWWDDRHTRLFLVYELLPQGSLDQHLHGGRSWLPWSKRYGIGSALQYLHVDWEQDQQCIVHGDIKSSNVLLDDDPSHSHNNAKLSDFGLARFVHHETGSRTTDVVQGTYGYIDPAFLGTSQRNRESDVYSFGVVLLEMVSGWDPTARLQNRPPLSSWVKGLHHRDAVLEAADERLVRGESSVGRRQMKRVLLVGLLCVHQDPGSRPSISQAMDALRSEDAKLDVTPLLPVPASTLP* >Brasy4G260800.1.p pacid=40088699 transcript=Brasy4G260800.1 locus=Brasy4G260800 ID=Brasy4G260800.1.v1.1 annot-version=v1.1 MEKKIGPRQPKLPQIFLAAYLKCSANSPVGRNWSGPAFRASPLAFYGMAPTAHRNNGQNATVPQKMPPDPAPNPPLTPSPSLVSPARALRRGAPSPHLLVSRAALLSPPDPRPSSPPPYSPPHTLPDPPPPLGDPPPPPQSCWIRRRLPTRAPTPPRPRRPAVELQDHVAAQWPPPPQQLIASQVEFQLAVEFQDHVDAQWFRMRRRRRIKERRHRRVPEPYTAGVAQPAPFCSQIFVVIGCHPDLQRRRLSHES* >Brasy4G156500.1.p pacid=40088700 transcript=Brasy4G156500.1 locus=Brasy4G156500 ID=Brasy4G156500.1.v1.1 annot-version=v1.1 MRVFGQAGSLHIHIDGADRKLTSKKKCISTMMIPAALMVLLLLQLCSAAAQPTQGAAGPPPSSCPTSCGNVSVPYPFGIGDGCYLPGFNLTCDRTRGLLVSDTLQVVEISLANSTVRVMDSTGGITINFRGSPDGNGTWGTGAGTPYAVSERRNQFVVTGCNVLATLLGDSGNIIIGCSSFCSINDRWTSAAVTTGVDVCSGIGCCQTPIPIGRPSYGMQFRLLDPNNEHDGKLPIAVRIAEHGWFEGSSAVLLNDTPGFGYSPQPGGFSSSRRTPVPIVLEWAVESAPLVLQEVAASTGCPMDAARSACRSSHSSCRNVSGHYRSGYVCQCQDGYEGNPYITGGCQDIDECALPGNTCFGECINTAGGYLCRCPRGALGNPRIINGCVKSSLGLTIGIGVSSGAGLLLLVLGSIFLTRKLKHRREQMQRQKFFKQNRGHLLQQLVYQKADIAERMIISLGELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYNHLHVEESATSLPWEDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRGIPVDQTGVAATAIQGTLGYLDPMYCRTGRLTEKSDVYSFGVLLMELLTRKKPYLYCSSEEDNLVTHFITLLMEGNLARLLDPQITKEGGKEVEEVVALAAACVRLEGEHRPTMRQVEMTLESLRAPHENVVMPIVDAPRDAFFNGISRQEASRQYSLEEEYLLSSRYPR* >Brasy4G156500.2.p pacid=40088701 transcript=Brasy4G156500.2 locus=Brasy4G156500 ID=Brasy4G156500.2.v1.1 annot-version=v1.1 MRVFGQAGSLHIHIDGADRKLTSKKKCISTMMIPAALMVLLLLQLCSAAAQPTQGAAGPPPSSCPTSCGNVSVPYPFGIGDGCYLPGFNLTCDRTRGLLVSDTLQVVEISLANSTVRVMDSTGGITINFRGSPDGNGTWGTGAGTPYAVSERRNQFVVTGCNVLATLLGDSGNIIIGCSSFCSINDRWTSAAVTTGVDVCSGIGCCQTPIPIGRPSYGMQFRLLDPNNEHDGKLPIAVRIAEHGWFEGSSAVLLNDTPGFGYSPQPGGFSSSRRTPVPIVLEWAVESAPLVLQEVAASTGCPMDAARSACRSSHSSCRNVSGHYRSGYVCQCQDGYEGNPYITGGCQDIDECALPGNTCFGECINTAGGYLCRCPRGALGNPRIINGCVKSSLGLTIGIGVSSGAGLLLLVLGSIFLTRKLKHRREQMQRQKFFKQNRGHLLQQLVYQKADIAERMIISLGELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYNHLHVEESATSLPWEDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRGIPVDQTGVAATAIQGTLGYLDPMYCRTGRLTEKSDVYSFGVLLMELLTRKKPYLYCSSEEDNLVTHFITLLMEGNLARLLDPQITKEGGKEVEEVVALAAACVRLEGEHRPTMRQVEMTLESLRAPHENVVMPIVDAPRDAFFNGISRQEASRQYSLEEEYLLSSRYPR* >Brasy4G156500.3.p pacid=40088702 transcript=Brasy4G156500.3 locus=Brasy4G156500 ID=Brasy4G156500.3.v1.1 annot-version=v1.1 MLCSAAAQPTQGAAGPPPSSCPTSCGNVSVPYPFGIGDGCYLPGFNLTCDRTRGLLVSDTLQVVEISLANSTVRVMDSTGGITINFRGSPDGNGTWGTGAGTPYAVSERRNQFVVTGCNVLATLLGDSGNIIIGCSSFCSINDRWTSAAVTTGVDVCSGIGCCQTPIPIGRPSYGMQFRLLDPNNEHDGKLPIAVRIAEHGWFEGSSAVLLNDTPGFGYSPQPGGFSSSRRTPVPIVLEWAVESAPLVLQEVAASTGCPMDAARSACRSSHSSCRNVSGHYRSGYVCQCQDGYEGNPYITGGCQDIDECALPGNTCFGECINTAGGYLCRCPRGALGNPRIINGCVKSSLGLTIGIGVSSGAGLLLLVLGSIFLTRKLKHRREQMQRQKFFKQNRGHLLQQLVYQKADIAERMIISLGELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYNHLHVEESATSLPWEDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRGIPVDQTGVAATAIQGTLGYLDPMYCRTGRLTEKSDVYSFGVLLMELLTRKKPYLYCSSEEDNLVTHFITLLMEGNLARLLDPQITKEGGKEVEEVVALAAACVRLEGEHRPTMRQVEMTLESLRAPHENVVMPIVDAPRDAFFNGISRQEASRQYSLEEEYLLSSRYPR* >Brasy4G156500.4.p pacid=40088703 transcript=Brasy4G156500.4 locus=Brasy4G156500 ID=Brasy4G156500.4.v1.1 annot-version=v1.1 MLCSAAAQPTQGAAGPPPSSCPTSCGNVSVPYPFGIGDGCYLPGFNLTCDRTRGLLVSDTLQVVEISLANSTVRVMDSTGGITINFRGSPDGNGTWGTGAGTPYAVSERRNQFVVTGCNVLATLLGDSGNIIIGCSSFCSINDRWTSAAVTTGVDVCSGIGCCQTPIPIGRPSYGMQFRLLDPNNEHDGKLPIAVRIAEHGWFEGSSAVLLNDTPGFGYSPQPGGFSSSRRTPVPIVLEWAVESAPLVLQEVAASTGCPMDAARSACRSSHSSCRNVSGHYRSGYVCQCQDGYEGNPYITGGCQDIDECALPGNTCFGECINTAGGYLCRCPRGALGNPRIINGCVKSSLGLTIGIGVSSGAGLLLLVLGSIFLTRKLKHRREQMQRQKFFKQNRGHLLQQLVYQKADIAERMIISLGELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYNHLHVEESATSLPWEDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRGIPVDQTGVAATAIQGTLGYLDPMYCRTGRLTEKSDVYSFGVLLMELLTRKKPYLYCSSEEDNLVTHFITLLMEGNLARLLDPQITKEGGKEVEEVVALAAACVRLEGEHRPTMRQVEMTLESLRAPHENVVMPIVDAPRDAFFNGISRQEASRQYSLEEEYLLSSRYPR* >Brasy4G153200.1.p pacid=40088704 transcript=Brasy4G153200.1 locus=Brasy4G153200 ID=Brasy4G153200.1.v1.1 annot-version=v1.1 MDAEEAAATALIEVLKKEYMFQIDDTNFSEKTMNDHHKEKLKIESSYLQNKLEEQTTLTKHYKEGWKVLLNDMESTEESISNICGSALGTLPTNYVDGTHTDMIHATNCLHEWIEQKIFESTANFHEKTSPPTE* >Brasy4G321200.1.p pacid=40088705 transcript=Brasy4G321200.1 locus=Brasy4G321200 ID=Brasy4G321200.1.v1.1 annot-version=v1.1 MATVAMDISKPLPVASGDDAAKGARGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRGDILKIHSRRMNLMRGIDLKKIAGKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDNEKNMSLRKLWK* >Brasy4G390900.1.p pacid=40088706 transcript=Brasy4G390900.1 locus=Brasy4G390900 ID=Brasy4G390900.1.v1.1 annot-version=v1.1 MEEDTAAAAAAAVTATAEKSSYRYWVREATGDAAPLPVPRKLDTAAAAAAANGNGGAAPALGSVWNQAGTWEEKNLNSWASSRIKDLLGSVGALEFPTGKASIDEVSKCSGDAFLVTVRNKKRVGYNYELSLRFKGEWLIKEENKKVTGHIDIPEFSFGELDDLEVEVKFTDSLAWDDKTRISKDLKSFLSPIQEKLREFEQELKDR* >Brasy4G116800.1.p pacid=40088707 transcript=Brasy4G116800.1 locus=Brasy4G116800 ID=Brasy4G116800.1.v1.1 annot-version=v1.1 MRYYMLASSTTQSSWCVRTPAVKARRTMTERGMQCKQTGRWSAHAWIPNAMIDSRRIRSIGACPCQQPASGWRRPAGVLGSACMQQAEARAQGVSRAHRHASPHDYTEDATARLASSRWDRCDVMGFSLPRK* >Brasy4G226600.1.p pacid=40088708 transcript=Brasy4G226600.1 locus=Brasy4G226600 ID=Brasy4G226600.1.v1.1 annot-version=v1.1 MDLRYKEEQDMELEAGSCKAESQELLGGCYGKRPASDEAQDLDEAEGGGCKRSKPPSPQPHTPDIREAHAPGRHAVGGGEQNGGGGNLFADIGRDLSISCILQLSRSEYGSVASLSQDFRSLVSGGEIYRLRRQKKISEHWVYFSCNVLEWDAYDPYRQRWISVPKMPHDECFICSDKESLAVGTELLVFGMTHIVFRYSLLTNSWTRGEVMNEPRCLFGSASVGEKAYVAGGTDSFGRVLNSAELYNSEMHTWTALPGMNKARKNCSGVFMDDKFYVVGGVTNNNQVLTCGEEYDIQNQSWRVIENMSKGLNGVSGAPPLIAVVKNELYAADYSEMDVKKYDKQNNNWVTLGKLPERSASMNGWGLAFRACGKRLIVTGGPRTSSGGMIELNSWIPDDKPPVWNLIARRPSGNFVYNCAVMGC* >Brasy4G239400.1.p pacid=40088709 transcript=Brasy4G239400.1 locus=Brasy4G239400 ID=Brasy4G239400.1.v1.1 annot-version=v1.1 MVLVDGLQGDAVTDDLFASDVDLQAFFDLEGLEVTAAGIGDGEEEEELEWLVDKDAFPSVETMASKPCLVEEPPATEGARRRRLPARWSLPPPLLRTGPRNPTLPPPTRPGAVGERQCRHCGATKTPQWREGLEGRRTLCNACGIMRYRRGRLVPEYCPISSPTFSPGLHSNRHNRVAAIRLCLGSSAATASVSGK* >Brasy4G083900.1.p pacid=40088710 transcript=Brasy4G083900.1 locus=Brasy4G083900 ID=Brasy4G083900.1.v1.1 annot-version=v1.1 MANPSARAGAEASSSSSRSSSSSSASAAAPKAKLTCLCSPTNHPGSFRCSRHRTRLAPRSSSLQPAAAAPGDAVRTSGGRAKGRSVLRAHLLRLLSSSPPSSSSSGRGHRCRDFKPRPSRLGLVNA* >Brasy4G269700.1.p pacid=40088711 transcript=Brasy4G269700.1 locus=Brasy4G269700 ID=Brasy4G269700.1.v1.1 annot-version=v1.1 MATATLPLRLLPSRTLNLHAASFLPGRNSLSFSACAPRQWRILASAEEPPAPAEVEAEVVEETEQEVVEDVAVPEPVEVQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKDANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGLDDKVIIFKFKKKKKYQRKAGHRQPNTRLRITGISGYEEYPADPILPVPA* >Brasy4G141800.1.p pacid=40088712 transcript=Brasy4G141800.1 locus=Brasy4G141800 ID=Brasy4G141800.1.v1.1 annot-version=v1.1 MEPAEVDSLEAAIGWLVQTIFAVLLMDKMDVWIRGVKLDDDVKKLRTEIRRVGMVVATVKGRATGNEQLGESLAILKELLYDADDVVDELDYYRLQQQVEGDTVTRDDEISRGDADTPNSSVGKLRSAVWQHFIITEKIEGRPVRAKCSLCSEEFACDSVANGTSSMRKHLKNAHSVICERGKRSRNHSSSTDDDATENATPIVIGSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRMKEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLRTSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSHETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSTIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFLGKDLVYIWISQGFVNTHLESHLSKRLEETGWEYLIDLANLGFFQQIFEEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDRLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCNLGNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIPVGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYSGTSPTWLASNMSATSLQTLHLDCCGEWKILPSLERFPCLRMLKLSNMWKVTEILVPSLEELVLVDMPQLKRCSCTSVEGLNFSLRTLHIQKCPALKTFDLFENSDKFKVEHRSWLPGIRKLILRDCPQLEVLNPLPPSTTFSEILIRGVSRLPSMEGSYEKLDIGPPDFKPSSEWITVGEVLAFHNLRSLKFLSIIGNPMSILFKDLCHLVSIKSLEIIGCEIVFSSHVMPEPTREDVAAANCKVVPSLQSLYIESCGMTGKGLSLMLQHSPDLEKLFLNDCFADITLLSIDDEENSPSNPISDGEPQDELFLHIPFNLISSLKMITIKNCPRLIFNGSKKGFSGFTSLEMLHIRECRGLLLSLVRKGGSDDQANGRWLLPESLGELHIWDYHEETLQPCFPSNLTSLKTLVLSCAYLKSLQLHSCTALEKLGIETCGLLSVVEGLQSLGSLRDLTVLGCPSLPSYFESISRQCHELLPRLETLVVDDPDILTTSFCKHLTSLHSLQLGCLGFTFSVTRLTEEQERALVLLKSLRELSFSVCRRLIHLPAGLHTLPSLKRLKMYSCPGILRLPETGLPVSLEELEIMWCSNELRDRCMPLATSKLKVKTFP* >Brasy4G141800.4.p pacid=40088713 transcript=Brasy4G141800.4 locus=Brasy4G141800 ID=Brasy4G141800.4.v1.1 annot-version=v1.1 MEPAEVDSLEAAIGWLVQTIFAVLLMDKMDVWIRGVKLDDDVKKLRTEIRRVGMVVATVKGRATGNEQLGESLAILKELLYDADDVVDELDYYRLQQQVEGDTVTRDDEISRGDADTPNSSVGKLRSAVWQHFIITEKIEGRPVRAKCSLCSEEFACDSVANGTSSMRKHLKNAHSVICERGKRSRNHSSTDDDATENATPIVIGSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRMKEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLRTSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSHETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSTIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFLGKDLVYIWISQGFVNTHLESHLSKRLEETGWEYLIDLANLGFFQQIFEEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDRLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCNLGNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIPVGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYSGTSPTWLASNMSATSLQTLHLDCCGEWKILPSLERFPCLRMLKLSNMWKVTEILVPSLEELVLVDMPQLKRCSCTSVEGLNFSLRTLHIQKCPALKTFDLFENSDKFKVEHRSWLPGIRKLILRDCPQLEVLNPLPPSTTFSEILIRGVSRLPSMEGSYEKLDIGPPDFKPSSEWITVGEVLAFHNLRSLKFLSIIGNPMSILFKDLCHLVSIKSLEIIGCEIVFSSHVMPEPTREDVAAANCKVVPSLQSLYIESCGMTGKGLSLMLQHSPDLEKLFLNDCFADITLLSIDDEENSPSNPISDGEPQDELFLHIPFNLISSLKMITIKNCPRLIFNGSKKGFSGFTSLEMLHIRECRGLLLSLVRKGGSDDQANGRWLLPESLGELHIWDYHEETLQPCFPSNLTSLKTLVLSCAYLKSLQLHSCTALEKLGIETCGLLSVVEGLQSLGSLRDLTVLGCPSLPSYFESISRQCHELLPRLETLVVDDPDILTTSFCKHLTSLHSLQLGCLGFTFSVTRLTEEQERALVLLKSLRELSFSVCRRLIHLPAGLHTLPSLKRLKMYSCPGILRLPETGLPVSLEELEIMWCSNELRDRCMPLATSKLKVKTFP* >Brasy4G141800.2.p pacid=40088714 transcript=Brasy4G141800.2 locus=Brasy4G141800 ID=Brasy4G141800.2.v1.1 annot-version=v1.1 MEPAEVDSLEAAIGWLVQTIFAVLLMDKMDVWIRGVKLDDDVKKLRTEIRRVGMVVATVKGRATGNEQLGESLAILKELLYDADDVVDELDYYRLQQQVEGDTVTRDDEISRGDADTPNSSVGKLRSAVWQHFIITEKIEGRPVRAKCSLCSEEFACDSVANGTSSMRKHLKNAHSVICERGKRSRNHSSSTDDDATENATPIVIGSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRMKEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLRTSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSHETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSTIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFLGKDLVYIWISQGFVNTHLESHLSKRLEETGWEYLIDLANLGFFQQIFEEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDRLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCNLGNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIPVGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYSGTSPTWLASNMSATSLQTLHLDCCGEWKILPSLERFPCLRMLKLSNMWKVTEILVPSLEELVLVDMPQLKRCSCTSVEGLNFSLRTLHIQKCPALKTFDLFENSDKFKVEHRSWLPGIRKLILRDCPQLEVLNPLPPSTTFSEILIRGVSRLPSMEGSYEKLDIGPPDFKPSSEWITVGEVLAFHNLRSLKFLSIIGNPMSILFKDLCHLVSIKSLEIIGCEIVFSSHVMPEPTREDVAAANCKI* >Brasy4G141800.3.p pacid=40088715 transcript=Brasy4G141800.3 locus=Brasy4G141800 ID=Brasy4G141800.3.v1.1 annot-version=v1.1 MEPAEVDSLEAAIGWLVQTIFAVLLMDKMDVWIRGVKLDDDVKKLRTEIRRVGMVVATVKGRATGNEQLGESLAILKELLYDADDVVDELDYYRLQQQVEGDTVTRDDEISRGDADTPNSSVGKLRSAVWQHFIITEKIEGRPVRAKCSLCSEEFACDSVANGTSSMRKHLKNAHSVICERGKRSRNHSSSTDDDATENATPIVIGSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRMKEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLRTSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSHETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSTIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFLGKDLVYIWISQGFVNTHLESHLSKRLEETGWEYLIDLANLGFFQQIFEEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDRLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCNLGNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIPVGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKHSEVLEGLQPHKHLKHLQISGYSGTSPTWLASNMSATSLQTLHLDCCGEWKILPSLERFPCLRMLKLSNMWKVTEILVPSLEELVLVDMPQLKRCSCTSVEGLNFSLRTLHIQKCPALKTFDLFENSDKFKVEHRSWLPGIRKLILRDCPQLEVLNPLPPSTTFSEILIRGVSRLPSMEGSYEKLDIGPPDFKPSSEWITVGEVLAFHNLRSLKFLSIIEPTREDVAAANCKI* >Brasy4G115100.1.p pacid=40088716 transcript=Brasy4G115100.1 locus=Brasy4G115100 ID=Brasy4G115100.1.v1.1 annot-version=v1.1 MAPPGPMSATRRTRPTAAQCIAASLFALLVAAAIIVVLWLVLRPGKLQLSVDHAAVAGFNFTARGALAAGTAFDLTFRAYNQNKRAAVYHSLDVGVWYDGTYLGGAEVAGFRQPPHNETRIDVAAPAASSPVPRDVEREMKKDRSGGTLPLDVHVRGKVHFRYGVVRTRSYKLRASCPLVPVQFASPSSFDRVYCYVHI* >Brasy4G186900.1.p pacid=40088717 transcript=Brasy4G186900.1 locus=Brasy4G186900 ID=Brasy4G186900.1.v1.1 annot-version=v1.1 MAAWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLATPPLLFPAVSTTITPNVSAPAQPETMYNRPIWKPPPRGSRMPPPRAFRLTRDMVRARARDGVIVVTFGNHAFLDFILTWVHHLTGLGVDNLLVGAMDTKLVRELYLRGVPFFDMGSRMATEDAGWGSPAFHKMGREKVLLINTLLPFGYELLMCDTDMVWLKNPLPYLARYPDADILTSSDQVIPTVTDDSLEKWREVSGPFNIGIFHWRPTEPAKRLAKDWKDLVMSDDEIWDQNAFNDLVRKVFGQPVEGQDELVYSYDGKLKLGVLPATIFCNGHTYFVQGMHQQLRLEPYAVHTTFQYAGTEGKRHRLREAMLFFDRPPYYDAPGGFISFRPNIPKKLLLDGPHTVKSHFALVNYQMKQVRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEVNVMLKDLPEEEFGPHIDFREYSFLENPSLPKQVKESFLEVQLCDEHSTRCSTANETNKHRPLILARNNTEETLLNVFSPYKNIKILQFSSMVDTFRGFADAAVETKFRDRVKRYVGIWCCVEFREIGHIYYDMYWDEKPGWKPHPPQNREEDHPPWS* >Brasy4G283600.1.p pacid=40088718 transcript=Brasy4G283600.1 locus=Brasy4G283600 ID=Brasy4G283600.1.v1.1 annot-version=v1.1 MQQDGQLPPRKAHRRSRSDVPFGYFPPPSPKTESGSWAGTGGDDLFSAYMSMEGMDSAGGLSNNNSDGEYSRGSSVPAAGNGADSSENESEDYGGGGGEGQVFLWGGGDAGKKRNAAGETAALAGRHARSLSMDSLMGRLSFSGANGNGEPGKLFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEHKVQILQTEATTLSAQLTHLQRDTSGLATQNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKLSATTSELGDGVSSSDLAQKMQLRCQNQMLELHKQQQQIPFYQLEQPEQNGSASRNHESK* >Brasy4G114300.1.p pacid=40088719 transcript=Brasy4G114300.1 locus=Brasy4G114300 ID=Brasy4G114300.1.v1.1 annot-version=v1.1 MAALEPESKQETGIPAPSRENPPPPEPVADAGGGRVLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAILILGEYINHASSHSSENLEPCVIEVASKKRKTCSEASGHENSEDAVTNGKDDASESTGCIEVETSSLHSKTSEIIDRTSNLSLVKLARSGLLFFSFTSGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFLRNKEDQDEPIKFAVGYNRRGIDETVTKTQKNDNDGSIQQAVMDREKCFKVVAAAIKSVAEDAIVDLKSPEVAVLVELLPISGVPIGSSVAGVSVLPAELVATKPRLCIKTLVSDTKAKKK* >Brasy4G114300.2.p pacid=40088720 transcript=Brasy4G114300.2 locus=Brasy4G114300 ID=Brasy4G114300.2.v1.1 annot-version=v1.1 MAALEPESKQETGIPAPSRENPPPPEPVADAGGGRVLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAILILGEYINHASSHSSENLEPCVIEVASKKRKTCSEASGHENSEDAVTNGKDDASESTGCIEVETSSLHSKTSEIIDRTSNLSLVKLARSGLLFFSFTSGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFLRNKEDQDEPIKFAVGYNRRGIDETVTKTQKNDNDGSIQQAVMDREKCFKVVAAAIKSVAEDAIVDLKSPEVAVLVELLPISGVPIGSSVAGVSVLPAELVATKPRLCIKTLVSDTKAKKK* >Brasy4G114300.5.p pacid=40088721 transcript=Brasy4G114300.5 locus=Brasy4G114300 ID=Brasy4G114300.5.v1.1 annot-version=v1.1 MAALEPESKQETGIPAPSRENPPPPEPVADAGGGRVLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKVASKKRKTCSEASGHENSEDAVTNGKDDASESTGCIEVETSSLHSKTSEIIDRTSNLSLVKLARSGLLFFSFTSGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFLRNKEDQDEPIKFAVGYNRRGIDETVTKTQKNDNDGSIQQAVMDREKCFKVVAAAIKSVAEDAIVDLKSPEVAVLVELLPISGVPIGSSVAGVSVLPAELVATKPRLCIKTLVSDTKAKKK* >Brasy4G114300.3.p pacid=40088722 transcript=Brasy4G114300.3 locus=Brasy4G114300 ID=Brasy4G114300.3.v1.1 annot-version=v1.1 MAALEPESKQETGIPAPSRENPPPPEPVADAGGGRVLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAILILGEYINHASSHSSENLEPCVIEVASKKRKTCSEASGHENSEDAVTNGKDDASESTGCIEVETSSLHSKTSEIIDRTSNLSLVKLARSGLLFFSFTSGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFLRNKEDQDEPIKLGIIGEALMRR* >Brasy4G114300.4.p pacid=40088723 transcript=Brasy4G114300.4 locus=Brasy4G114300 ID=Brasy4G114300.4.v1.1 annot-version=v1.1 MAALEPESKQETGIPAPSRENPPPPEPVADAGGGRVLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAILILGEYINHASSHSSENLEPCVIEVASKKRKTCSEASGHENSEDAVTNGKDDASESTGCIEVETSSLHSKTSEIIDRTSNLSLVKLARSGLLFFSFTSGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFLRNKEDQDEPIKLGIIGEALMRR* >Brasy4G110600.1.p pacid=40088724 transcript=Brasy4G110600.1 locus=Brasy4G110600 ID=Brasy4G110600.1.v1.1 annot-version=v1.1 MAASQAYMDKAQLRQSYRNVWHTDLTSAIQADFPYCCLSLWCGPCISYMLRRRALYNDMSRYVCCAGYMPCSGRCGESKCPELCLAQNPSTSCHVFLCFGSSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACICSLVACIVGNQELSEASQAISCLSDMVYWTVCSCMQTQHKVEMDKRDGKLGGAVMAVPPMQQMSRIDQPVPPHVGQAPQPAYYR* >Brasy4G404500.1.p pacid=40088725 transcript=Brasy4G404500.1 locus=Brasy4G404500 ID=Brasy4G404500.1.v1.1 annot-version=v1.1 MAPAIGIAIAAPAGHLGWKKSNNQQRAAAEVEALRRRNAELEKEVAALRAEAEAARRRAEAAEEAEELLCAQLGDAEGEAAEIASAYHAQLQDLARELAAARAVAAMGAARSR* >Brasy4G160600.1.p pacid=40088726 transcript=Brasy4G160600.1 locus=Brasy4G160600 ID=Brasy4G160600.1.v1.1 annot-version=v1.1 MASLAAASASTALLFPPSTSSSKPRLTLSTSQGFSTPARSRRAAAAAVAGSSGRRPGLLVVRAARAKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPSIVVFLNKKDQVDDEELLELVDLEVRELLTAYEYNGDDVPIISGSALKALEALMATPGIKRGENEWVDGIFTLVDAVDAHIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDPVDLVGIRETRNATVTGVEMFQKTMDDAIAGDNVGLLLRGMQKEDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGNVTNIMNDKDEEAKMCMPGDRIKMVVELIQPVACEQGMRFAIREGGKTVGAGVINNIIQ* >Brasy4G370500.1.p pacid=40088727 transcript=Brasy4G370500.1 locus=Brasy4G370500 ID=Brasy4G370500.1.v1.1 annot-version=v1.1 MDRLLHAKSTCRRGLFWEIFVLDLAAWALWKVWNAKLFENILPLKSTWRVLRTE* >Brasy4G110300.1.p pacid=40088728 transcript=Brasy4G110300.1 locus=Brasy4G110300 ID=Brasy4G110300.1.v1.1 annot-version=v1.1 MRGGGGVAGAGDKLQQAPNGMHGPPGKARPSSSFLYGVLLYVVLPVLSLYMVLVAVSPLYNPRCSPEMAHFVVAANPRNGSSSAASNGSTTLSSHGAHSPPSRGMVPADEAPTGLRHIVFGIGASSALWESRKEYIKLWWRPGRMRGFVWMDKPVSEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSESFRLGLPGVRWFVMGDDDTVFLPENLVHVLSRYDHTQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISHALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPPSRAGALKRLFDGPVRLDSAAVAQQSVCYDAEHQWTVSVSWGFAVMVVRGVLSPREMETPVRSFLNWYRRADYTAYSFNTRPVARQPCQKPHVYYMREARLERRRNGTTTVTEYERHHAVKPPPCRWRIADPAALLDHIVVHKKPDPDLWKRSPRRNCCKVVSSPTKGKDRSMTVSVGVCREGEFAKV* >Brasy4G306500.1.p pacid=40088729 transcript=Brasy4G306500.1 locus=Brasy4G306500 ID=Brasy4G306500.1.v1.1 annot-version=v1.1 MEPWMPNSPRLRQSGSLTSATSVVPSLKKKLKGFTKSSVLPKLDDVFVRLKQEHPISPGMQMSTDPNHYGVFPQSFYSQHVVSFQTSAISNGSGAMPVCLDTSNGMNGNLAMLNTTPSTIVSTGSPNMIADSSQTLKYGGPMAVEWSYPELQMLNDGLHKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWIAKKENTRRRKTEEHYHGKRIKDRKEKMAESSLWTTNHPVQTDIRGSSIPSASDIDRAMLNILEENAQLLNQIEANILTSQAQNNIDLFHRTRRNINDLLQSMSQIPGIMSKMPQLPVSVDEKLASYLLPGVNLAQVLGSSHLKQEPRGW* >Brasy4G245300.1.p pacid=40088730 transcript=Brasy4G245300.1 locus=Brasy4G245300 ID=Brasy4G245300.1.v1.1 annot-version=v1.1 MAAIAILLVLVAASGAMASAASIGTGPRPFFVFGDSLVDSGNNNYLVTTARADSPPYGLDYPTHRATGRFSNGLNVPDIISEHLGSPPVLPYLSPHLDGPSQLLTGANFASAGVGILNDTGIQFANIIRMPKQLRYFQQYQARLARSLAGDAAAARRLVRSALVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVRYLIAEYRKILRQIYDLGARRVLVTGSGPIGCAPAELATRSADGECDLELQRAAALYNPQLVAMTRELNAGYGADVFVAVNAYRMHMDFISAPAAYGFLTSKVACCGQGPYNGVGLCTALSSVCPDRSLYAFWDNFHPTERANRIIVSQFMVGSPEYMHPLNLSTILAVDAAAAMP* >Brasy4G035200.1.p pacid=40088731 transcript=Brasy4G035200.1 locus=Brasy4G035200 ID=Brasy4G035200.1.v1.1 annot-version=v1.1 MALQAAASFLLPSALSARKEGAVKDSAFLGVRLADGLRLDSSSLSLRTKRLNTSSVAIRAQATAVSEAPAAPAGKKAVRTGTAIITGASSGLGLATAKALSESGKWHVIMACRDYLKAARAARAAGMAKGSYTIVHLDLASLDSVRQFVSNVRGLGMPVDVVVCNAAVYQPTAKQPSFTADGFELSVGVNHLGHFLLARELLEDLKASDFPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGSASSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEETGVTFASLYPGCIATTGLFREHVPLFRFLFPPFQKYITKGYVSEEEAGKRLAQVVSEPSLTKSGVYWSWNQNSASFENQLSEEASDTEKARKVWELSEKLVGLA* >Brasy4G119100.1.p pacid=40088732 transcript=Brasy4G119100.1 locus=Brasy4G119100 ID=Brasy4G119100.1.v1.1 annot-version=v1.1 MGRRKERRLAAKAASGRRVKLDLFLDASPGDASLKEGVGGENREQQSGVPTSPSSSDKKENPLALLGQYSDDEEEDDGAEAQPNGEAKGSPTDVSVEVTHERDNTAGGNDVVHSELPASDSGQQVAPQADDNNFTENVAEQITATPEPTPENGCVTETEAIPDSSGMQIVGDIGGNWKTVMHEQSNQCYYWNTVTGETSWEIPNGLAPGVVADGVTSASIPTHVEYSIEGQAHVPHSNVEAYPSDVSIGNGTSAYTAMGMVCASEQLTQNAYAYTGAVASHESMDIDPLRLAKYGEDLLQRLKLLERPHGAIDSLALIKREIEIRVSDCNVLSSYGSSLLPLWLHAEVHLKQLEFSVSKFEASCTHPETEHAEYKAPNEADILAPSDAEGLKFEVSTVVPVDENLVEEPCSTASVQNSEEKDAAAVTSRVEPDSDEDMDVEMEVDEENVEEQGCSSSMPNEEHHSSEQVRSPTLPSLEQSAPPPEDNDIPPPPPPPEEEWIPPPPPENEPAPPPPPEPEETTVVSYVHTDTLTQSYVDQANFGYALPGMEYYPAAGTDGTNANYYTQASDSHILQSQQHSYYAPVSASGISIPVDAASIPPVPGSYYSYPSVTMDASGVAAESSGYYASSTSTISSSALDNKTTSASIDATNSDVNPIESDKVISKEAKIASLSQAVGATSASGTVHGSSTQASTSTTNQTKVHRTKKRPVAVASSLRSNKKVSSLVDKWKAAKEELRDEEEEEPEDALEALEKKRRKEIDGWRKQQIASGEAKENANFVPLGGDWRDRVKRRRAEAKKESKSESIQAVPEQHKGQPDLVELSKGLPSGWQAYIDESTKQVYYGNNLTSETTWDRPTK* >Brasy4G119100.2.p pacid=40088733 transcript=Brasy4G119100.2 locus=Brasy4G119100 ID=Brasy4G119100.2.v1.1 annot-version=v1.1 MGRRKERRLAAKAASGRRVKLDLFLDASPGDASLKEGVGGENREQQSGVPTSPSSSDKKENPLALLGQYSDDEEEDDGAEAQPNGEAKGSPTDVSVEVTHERDNTAGGNDVVHSELPASDSGQQVAPQADDNNFTENVAEQITATPEPTPENGCVTETEAIPDSSGMQIVGDIGGNWKTVMHEQSNQCYYWNTVTGETSWEIPNGLAPGVVADGVTSASIPTHVEYSIEGQAHVPHSNVEAYPSDVSIGNGTSAYTAMGMVCASEQLTQNAYAYTGAVASHESMDIDPLRLAKYGEDLLQRLKLLERPHGAIDSLALIKREIEIRVSDCNVLSSYGSSLLPLWLHAEVHLKQLEFSVSKFEASCTHPETEHAEYKAPNEADILAPSDAEGLKFEVSTVVPVDENLVEEPCSTASVQNSEEKDAAAVTSRVEPDSDEDMDVEMEVDEENVEEQGCSSSMPNEEHHSSEQVRSPTLPSLEQSAPPPEDNDIPPPPPPPEEEWIPPPPPENEPAPPPPPEPEETTVVSYVHTDTLTQSYVDQANFGYALPGMEYYPAAVTMDASGVAAESSGYYASSTSTISSSALDNKTTSASIDATNSDVNPIESDKVISKEAKIASLSQAVGATSASGTVHGSSTQASTSTTNQTKVHRTKKRPVAVASSLRSNKKVSSLVDKWKAAKEELRDEEEEEPEDALEALEKKRRKEIDGWRKQQIASGEAKENANFVPLGGDWRDRVKRRRAEAKKESKSESIQAVPEQHKGQPDLVELSKGLPSGWQAYIDESTKQVYYGNNLTSETTWDRPTK* >Brasy4G163200.1.p pacid=40088734 transcript=Brasy4G163200.1 locus=Brasy4G163200 ID=Brasy4G163200.1.v1.1 annot-version=v1.1 MSSPPQSRRAPTYQLLLLLLLFSPIASAGQPKGVCVSPGGRFPAFSSEGKPPGRAAKGRRDLALCRLFRQNTCCDVTQTFPALLSVRKLSSTGEGSGECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFEACSEAYFSVDTKTQALSPCGLGDILCGKAHKWVSNGTELCRLAGFSVQVSEASPTEVDETFCYGGKASLDSISDTWTSSKDRPTLRGVTSWDLQDFQRWATEMPVGERISWAIGGMVLTAGLFISKREGYSRRRKQASVAETVRRRWNQELTRSQLKRS* >Brasy4G320700.1.p pacid=40088735 transcript=Brasy4G320700.1 locus=Brasy4G320700 ID=Brasy4G320700.1.v1.1 annot-version=v1.1 MAMPWDMAVCIACTVWVLLDGWVSTCFLAADEVARLLRSATH* >Brasy4G320700.2.p pacid=40088736 transcript=Brasy4G320700.2 locus=Brasy4G320700 ID=Brasy4G320700.2.v1.1 annot-version=v1.1 MAMPWDMAVCIACTVWVLLDGWVSTCFLAADEVARLLRSATH* >Brasy4G298900.1.p pacid=40088737 transcript=Brasy4G298900.1 locus=Brasy4G298900 ID=Brasy4G298900.1.v1.1 annot-version=v1.1 MAGKLSAAAVAPWKRLCKLVSDGRYQEALLAYSRAHGSNLRPDAFTFPCLLKSCAALQDASAALQLHGNLVKSGFFSCPYTATSLTSAYARLLRLTDARKVFDEMPERTVPCFNALIAGLSQCGKIDEARDVLSLLRKEGRLPDSVTVASVLPACGVVEQGKQLHGLVVKTGVSLDRYVVTALITMYLDYGDFKAARRIFELVVDKGVESYNAMASGLLRNSEHFIALDTIREMGLGSSEKPNDTTLLVVLSACTSALAPSLGKEAHCYVLKKHAIDCNVKIKTALIDMYSKCGHLECAYQVFSIAEERNLVTWNTMISGFLIHDKLANALGLFEQLRLKGFNPDRITWNLMINGLAHHQKFFEVFSFFRKMQLEQVSGVSLETITSMLSACSSMSDIQHGKEIYCHVIRTMQDFEDDVFQTTVIDMFMSCGCDRYAGRVFGKEMRKSNDPALWNAMISGYGRCGKNCLALQTFNGMLEQQVQPNSATFLCALSACSHAGLVQKALQLYQLMGSTYSINPTIEHLSVILDLFCRAGKLPEAYDLLLKYANPPTSMWYSLLGACRNYCNAELGEIAATKLYDLDPASTTPWVILSNIYAEQYRWSEVETLRKMMSDRCLVKAPACSELV* >Brasy4G335800.1.p pacid=40088738 transcript=Brasy4G335800.1 locus=Brasy4G335800 ID=Brasy4G335800.1.v1.1 annot-version=v1.1 MGGCFSSSSSGGGGAGGVYYYEESAATPGAGTYGRRVRPSDEDGLYYVGERDVDNKAGIYIAKFHRYQSEIVPQTPAPSIPSSS* >Brasy4G425600.1.p pacid=40088739 transcript=Brasy4G425600.1 locus=Brasy4G425600 ID=Brasy4G425600.1.v1.1 annot-version=v1.1 MAGALPWLIFAATLLLASIKSSTASRMAKPGCRETCGNLTIPYPFGIGQGCFRDGLDVSCENNTLYRHNSTTSKMKIYNIDLLGGQTQVNTFIASNCFYSKNNFNTDGWASLNTGKFFTVSTKANKLTAVGCNTLAFLVGQNEHSVGAGCFSMCADKQSVDPSGQCSGMGCCQTSIASNVFSSNITFDLRFNNSEVSSFNPCSYAFVAELDWFKFKPYYLDGDKFTKELMDGVPTVLDWVAGYENCNEAVKNRSSYACIGKNSQCIESPNATGYLCTCNNGFAGNPYLEKGCQDIDECSFPDQYPCHGICSNMIGNYSCLCKSGTRSTDPKTETCNPIGVSERAKLIKVSIGISASAMLLLICIFVLLMECQKRKLIKEKKTFFQQNGGLLLYERIMSKHVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDSREVAIKRSKIMNIAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGRNRRPHISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDGAGEKKNLASSFLVAMKENSLQSILDQNIKEFEAELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRSRWREQLMQNPSNETECLLENSSSNYDPSSSTGQHGSLMALDLESGR* >Brasy4G425600.2.p pacid=40088740 transcript=Brasy4G425600.2 locus=Brasy4G425600 ID=Brasy4G425600.2.v1.1 annot-version=v1.1 MGLVEYCYAFVAELDWFKFKPYYLDGDKFTKELMDGVPTVLDWVAGYENCNEAVKNRSSYACIGKNSQCIESPNATGYLCTCNNGFAGNPYLEKGCQDIDECSFPDQYPCHGICSNMIGNYSCLCKSGTRSTDPKTETCNPIGVSERAKLIKVSIGISASAMLLLICIFVLLMECQKRKLIKEKKTFFQQNGGLLLYERIMSKHVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGILKDSREVAIKRSKIMNIAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGRNRRPHISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLATDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDGAGEKKNLASSFLVAMKENSLQSILDQNIKEFEAELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRSRWREQLMQNPSNETECLLENSSSNYDPSSSTGQHGSLMALDLESGR* >Brasy4G207300.1.p pacid=40088741 transcript=Brasy4G207300.1 locus=Brasy4G207300 ID=Brasy4G207300.1.v1.1 annot-version=v1.1 MATSEESAIFVALLYMCLLVTHACDSDTGVRFGYSGRTGPKHWGSLSPNFTLCSKGFYQSPIIVRDDAFYNPKLEPLKGDYTATNATIVDNVFNIALRYNNTAGTVNVDGKKYKLKQLHWHSPSEHTINGQRFPVELHMVHNTDDGNITVVSILYRYGKPNPFLFQIKDELAELYAEGCKAEKGGPLPAGVVNMRELRQGAHGYFRYIGSLTAPPCTENVIWNILGEIREMSKERLLL* >Brasy4G361900.1.p pacid=40088742 transcript=Brasy4G361900.1 locus=Brasy4G361900 ID=Brasy4G361900.1.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSEVLDVTELIGDHIQLTPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLRFMGDDTEAKNYSYSLEVGGGGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ* >Brasy4G361900.3.p pacid=40088743 transcript=Brasy4G361900.3 locus=Brasy4G361900 ID=Brasy4G361900.3.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSEVLDVTELIGDHIQLTPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLRFMGDDTEAKNYSYSLEVGGGGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ* >Brasy4G361900.2.p pacid=40088744 transcript=Brasy4G361900.2 locus=Brasy4G361900 ID=Brasy4G361900.2.v1.1 annot-version=v1.1 MASAAYIDDSGSEVIDPPKSECSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGEYFCLHFEAFQLGMAPVYIAFLRFMGDDTEAKNYSYSLEVGGGGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ* >Brasy4G255800.1.p pacid=40088745 transcript=Brasy4G255800.1 locus=Brasy4G255800 ID=Brasy4G255800.1.v1.1 annot-version=v1.1 MEENSLLHFLDDTPSSHYRKTCDGYVPQNDGDGDGDDNSDSSDLDPANARERLEHLLNQPANKFCADCGTPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLANSGGNATVNTRYEAFLPENYKKPRQDFATEERANFIRKKYELKQFVTDPQFSCPLRKPGADKHHNQQHAGSRHGTFRNSWRKKEPDHKIVKKMMDVAMVEFVGLIKVDIIKGTNLAVRDVMSSDPYVMIILGHQSMKTKVIKSTLNPIWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGEAEVDIQPLISAAREYQNSIITESAQICTFLASENSILAKDSVISIADGKVEQEIALRLQNVEHGELEIKLECMPLSQ* >Brasy4G030500.1.p pacid=40088746 transcript=Brasy4G030500.1 locus=Brasy4G030500 ID=Brasy4G030500.1.v1.1 annot-version=v1.1 MDGVVEPCCARRRVLVFPSPSILLPGHTSPSCPPHLREHRLLLPPGGVQKPHLLPNDRPDAQICRSRSQPPTLVTRPISFSIVQSGRTGEEAHGSELIALWLSTVAYTLP* >Brasy4G369100.1.p pacid=40088747 transcript=Brasy4G369100.1 locus=Brasy4G369100 ID=Brasy4G369100.1.v1.1 annot-version=v1.1 MEEPRMARMRTAGICTSITATLVDDDMRRADIRNASASACCGGRNTTHLAAAILLRSGYRAAPLAGVPDRKCGTTPPCRCSAAAAGAAGEGGADGSGRRAAAAADRSERATILESRSRASAPGRRNRPWHSAARLRRSIARDWGAEIDLVAGSLLRRRRCGWETCGAFGGGLAGAGARFNTEGIDDASSGVEGNHGGNEKLEGNGKRRRNTDEWCVSLTGGPEGCLGDAYCQKFQY* >Brasy4G179800.1.p pacid=40088748 transcript=Brasy4G179800.1 locus=Brasy4G179800 ID=Brasy4G179800.1.v1.1 annot-version=v1.1 MSCCGSAEEDTYDPPANQAAPPPNVNNPGNRGGPRGPGAQRPGGPPKVVNIDVPAIPLDELNKMTNNFSDRSLIGEGSYGRVYNGTLSDGRAAVIKKLDSSASQESDAEFSAQLAMVSKLKNEYFLELVGYCMEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFNSKIADFNLTNQGTDGAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNQKPAGPAAEAPRP* >Brasy4G272100.1.p pacid=40088749 transcript=Brasy4G272100.1 locus=Brasy4G272100 ID=Brasy4G272100.1.v1.1 annot-version=v1.1 MHPNVAPLTVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREVDLNKLEPWDLIDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAILLHGDSNARRIGLRKTLVFYTGRAPHGTKTDWIMHEYRLDDDTADAPPPEDGWVVCRVFKKKSIQRGYEQPDHMLAGADDELNQSSQFHATAGMALPVEEVDQKHGFHQHLMHMNGGGGGGFVPTFDPSMHLPQLTSAETAPAFMSRSSTTQAPHVGVNNQLLDDIGFPSQSQNMMKLATSCSGGDAGTGEMLLNGGEGVRFGAGADWSILDKLLESHQNLDQLFHGNGKLGGSAPAVLAPTLQQQQQLRMEMGTSSLQMQRLPFFHYLGCDAAELLKFSK* >Brasy4G324600.1.p pacid=40088750 transcript=Brasy4G324600.1 locus=Brasy4G324600 ID=Brasy4G324600.1.v1.1 annot-version=v1.1 MGYPGYAILSGVRRTRERGEIAALMVLANSTTNGSHRPPRLIIQKHNLFFLPIARGRSQAPPSTRPPSSSSTHLAPPPSLLPCTRALPPSTHARRRLLYPRAPPAPSTHHAPSPPARPPPPPACRLPQPAAASLHPSPPPSARRRRLPPPSTCRLPSPAAASLAPPPPPSARRCSDSQASPPLLRRPGAIQGLGAKVEAAKKGYQCYCFIIHRRSVHCDH* >Brasy4G164100.1.p pacid=40088751 transcript=Brasy4G164100.1 locus=Brasy4G164100 ID=Brasy4G164100.1.v1.1 annot-version=v1.1 MASSSLAPLFVLFLFLFIPSLRAAPNATAVVSRIAFGSCANQSAPQPVWDAIVEFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWKNVPRFYPATEEELRRKYELAKANPGYTKLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSSRRQQAGVYTSYMFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.2.p pacid=40088752 transcript=Brasy4G164100.2 locus=Brasy4G164100 ID=Brasy4G164100.2.v1.1 annot-version=v1.1 MASSSLAPLFVLFLFLFIPSLRAAPNATAVVSRIAFGSCANQSAPQPVWDAIVEFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWKNVPRFYPATEEELRRKYELAKANPGYTKLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSSRRQQAGVYTSYMFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.3.p pacid=40088753 transcript=Brasy4G164100.3 locus=Brasy4G164100 ID=Brasy4G164100.3.v1.1 annot-version=v1.1 MASSSLAPLFVLFLFLFIPSLRAAPNATAVVSRIAFGSCANQSAPQPVWDAIVEFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWKNVPRFYPATEEELRRKYELAKANPGYTKLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSSRRQQAGVYTSYMFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.6.p pacid=40088754 transcript=Brasy4G164100.6 locus=Brasy4G164100 ID=Brasy4G164100.6.v1.1 annot-version=v1.1 MFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.8.p pacid=40088755 transcript=Brasy4G164100.8 locus=Brasy4G164100 ID=Brasy4G164100.8.v1.1 annot-version=v1.1 MFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.9.p pacid=40088756 transcript=Brasy4G164100.9 locus=Brasy4G164100 ID=Brasy4G164100.9.v1.1 annot-version=v1.1 MFGPEGKRVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G164100.4.p pacid=40088757 transcript=Brasy4G164100.4 locus=Brasy4G164100 ID=Brasy4G164100.4.v1.1 annot-version=v1.1 MASSSLAPLFVLFLFLFIPSLRAAPNATAVVSRIAFGSCANQSAPQPVWDAIVEFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWKNVPRFYPATEEELRRKYELAKANPGYTKLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSSRRQQAGVYTSYMFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSKKWGVIY* >Brasy4G164100.5.p pacid=40088758 transcript=Brasy4G164100.5 locus=Brasy4G164100 ID=Brasy4G164100.5.v1.1 annot-version=v1.1 MASSSLAPLFVLFLFLFIPSLRAAPNATAVVSRIAFGSCANQSAPQPVWDAIVEFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWKNVPRFYPATEEELRRKYELAKANPGYTKLRERAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLLLDFLDEAEDSSRRQQAGVYTSYMFGPEGKRVKVIMLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSKKWGVIY* >Brasy4G164100.7.p pacid=40088759 transcript=Brasy4G164100.7 locus=Brasy4G164100 ID=Brasy4G164100.7.v1.1 annot-version=v1.1 MLDARYHRDPLLSDGSILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFRSVMRLLAVLTPTPMRVFTPNCRHKSCSYGQPNFGAIEIDWNSVPHHIKVELRDVQGNSVGGVQFPISELQPLNTLLNKKQGHSFELHCTLETELPWLVRYRLALLFFSTIAGFVIAVVLLSIACLSATNICPKKCKTS* >Brasy4G209800.1.p pacid=40088760 transcript=Brasy4G209800.1 locus=Brasy4G209800 ID=Brasy4G209800.1.v1.1 annot-version=v1.1 MRDLLSILERSSEDATIFFPYVSFIVNCGIGVSFWDDKWLGSFTLKEQFPTLYRVALNCHASVAEVCGAGIPIQCSEEQLLGRM* >Brasy4G209700.1.p pacid=40088761 transcript=Brasy4G209700.1 locus=Brasy4G209700 ID=Brasy4G209700.1.v1.1 annot-version=v1.1 MQSLQSLHMVKMMSNRLLEPCWPPFAQPRTGTKRGSPHPAPAILRDALKRELCQHSMPDHPHKGTAARGERSIRRLGRRTGKSPGLVPDGKRDSRVWNWKWLDWAHQGLPLPSDVAMMR* >Brasy4G117400.1.p pacid=40088762 transcript=Brasy4G117400.1 locus=Brasy4G117400 ID=Brasy4G117400.1.v1.1 annot-version=v1.1 MVVGFRRTISFPAPKSPSAAPPAGSDGKSEAYRVRSASLPCRFHPLVLQLDEDVAALRDLVAGSASTARSIAEAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRAVLVALAALQAEARAALRREDSARLASAARGLRRSGRDLPRIATSARAVAAKAPPPAPAGLASDTAALAAAIVNAAAAVAAASAAVFSGVSSLSIAAATARVDVFSTPCWMPSPARFASTPRTSHHVVVTTKPSSMRIWWVADLMRWMSRAKRRSANKQHAEGGSSDQQPQEDASVDPEEEERKTAFERIDNLGRCIADVEHSGEKVFRALVNTRVSLLNILTPSF* >Brasy4G307300.1.p pacid=40088763 transcript=Brasy4G307300.1 locus=Brasy4G307300 ID=Brasy4G307300.1.v1.1 annot-version=v1.1 MQLFLKSSQDKRFVCEAAEAALISMTNWISPSVLLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGVTGIKEYGMDKLIQVAATQLSDQLPESREAARDLSLELQALYNKFQASSSGEDDSVPAASPEAESWEAFCQSKLSTLSAQAILRVTSATKDTPTMKDSTTVGVPVAPEEGGAPVAPREGSVTVGC* >Brasy4G143400.1.p pacid=40088764 transcript=Brasy4G143400.1 locus=Brasy4G143400 ID=Brasy4G143400.1.v1.1 annot-version=v1.1 MGKSSKKSSVQVVPATVSTQDGKSGKKGKRNAEDDIEKAVSAKKQKTAAEKVVPVKNDASKVKKQFPPKKVETSSSDDDSSESEEEVMVQPHKAAKIVKQESSSDSSDESSSDEEPAKRPAVLASNGSKKDESDSSSSDNSSDEDDEKQATQMKNSSAAIVQKKKQGSDSSDSDPDDESGDSGDELGDSIDKSGDSDDESDEDMPTAAPVTQKMEESSGSSDSESDSEDEKPDTQVKNSSVVAVQKKKHQSDSSGSDSDDESDEDMPAKAPVVAIKREESSESSDSESDSGSEDIPVQPLKASAAKKSKESSDSSDSDSEEVSSDSESEEDTTAIRVQSSKVAVSKKKDESSDSSDADSDSESDEPAKSTIPAKRPLTAEKNKGDSKDDSDDTSDESDEEPPPKKLKDSSTSGTAKPVSKVAKKESSSDDDSSKESSDSDEESDELASKTKSAQNDQKTPASSSEATTGSKTLFVGNLSYSVGIEQVKEFFQEVAEVVDVRFATFKDGSSKGFAHVEFATTEAVNKARGLNGHDLMGRPVRLDLARERGMYTPGSGRDNSSFKKPGQSSSNTAFIRGFDASLGEDQIRSSLQKHFGSCGEITRVSIPKDYETGASKGIAYMEFSDQSSLSKAFELSGSDLGGFSLYVDEARPKQDNRDGVPSGGRRSGDSERGRGRGRRGRGRDGGDSGRSGRGRFGRGDRGRGDRGRGGQGGTPFRQSAGTPSAGKKITFSDD* >Brasy4G122300.1.p pacid=40088765 transcript=Brasy4G122300.1 locus=Brasy4G122300 ID=Brasy4G122300.1.v1.1 annot-version=v1.1 MASGVLPSWFGRFGPQPPYDEFGIKETRPRLPGGRTGGYDLVERMEYLYVRVVKARELRWGGGEFDPLAELRLGSYSCTTRHIEKTVAPEWNDVFAFSRERVQASFLHVAVRGRGFADGDYVGTARLDLADLPVRVPPDSALAPQWHHVFDRHGERAGEVMLALWIGTQADECFPLAVHADSAFAVDADLAAHIRCKQYAVPRLWYVRVNVVEARDVVFADKTRAGGQLFVRSRISTQVLRTKTCASRGLPSYGWNEDHLFVAAEPFEDHLTISVEDRVEVDKEEVIGHVHIPFQDFERRWDTRPIRPRWYNLLQPEGATKIEKFSTKICVRLCLEGGYRVLSEPIHYLSDVRPAARELCHRRPPIGLVELGIHNAFGLSALRARNGRGSCDAYCVAKYGAKWFRTQTVIDSLAPRFHQQCFWEVHDHCTVLTVAVFHNCQIGEKGGLATGDPVRDVLLGKVRIRLSTLETGRVYTHAYPLVSLHGGGIKKMGELHLAVRFSATSTLGLLQTYAQPHLPPMHYHCPLSVVQQETLRREAVAVIAHRLGRMDLPLRRECVEHLCEAHALRWSMRRSKAHFFRIMSALAPLFAALKWFVDVCHWRNPVTTVAVHIIYAMLVCCPNLIMPTFFLYKFCIGLWNYRRRPRHPWHVDTKVSHAHAAHPDELDEEFDEFPTARHPDVVRMRYDRLRSLGARIQEMVGDVAAHVERARCVMTWRDPRATTVYLLVCLCLAVITFAAPFQVVALLTGFYLMRHPSLRQRLPDVPANFFRRLPCKVDCLL* >Brasy4G142700.1.p pacid=40088766 transcript=Brasy4G142700.1 locus=Brasy4G142700 ID=Brasy4G142700.1.v1.1 annot-version=v1.1 MANTHRRPRGLAGQGDGRASAGVVLGTGGGFQSTFEEWWTEARKRVHKSLRRDFDTFTILVHWRLWKERNARIFQQEAATVGRVVDLIIEDLRSWKAAGCIVAV* >Brasy4G360300.1.p pacid=40088767 transcript=Brasy4G360300.1 locus=Brasy4G360300 ID=Brasy4G360300.1.v1.1 annot-version=v1.1 MSTAKQVLDPAFQGAGQKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIILQTTCNRGGAYLFDIHFWIGKDSSQDEAGTSAIKTVELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEDKFETRLYICKGKRAIRVKEVPFARSALNHDDVFILDSEKKIYQFNGANSNIQERAKALEVIQHLKEKYHEGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVSDDDVILETAPTKLYSINSGKLKLEDIALTKSILENTKCFLLDCGSELFVWVGRVTQVDDRKAASVAVEEFIVNQNRPKTTRVTQVIQGYETHTFKSKFESWPISSTAGNASMEDGRGKVAALLKKKGDVKGASKTSATVNEEVPPLLEGGGKLEVWYIDGSAKTALPKEDLGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSVLDDQQMACQMANTIWNAMKGRPVLGRIYQGKEPPQFIALFQPMVIFKGGISCGYKKFIEEKGLKDATYSATGIALVQIIGTSTHNNKTLQVDAVSTSLSSTDCFVLQSGNSMFTWIGNTSSHEQQQWAAKVAEFLKPGGTIKHCKEGTESSAFWSALGGKQNYTNRNASQDVLREPHLYTFSFRNGKIEVTEVFNFSQDDLLTEDVMVLDTHAEVFVWMGQCVDTKEKQNAFEIGQKYVEHAVTFEGLSPDVPLYKFSEGNEPCFFRTYFSWDNTRAVIHGNSFQKKLSLLFGMRSESGSKGSGDGGPTQRASALAALSSAFNPSSQDKQSNDGPRSSGDGGPTQRASALAALSSALNPSSKPKSPQSQSRPGQGSQRAAAVAALSNVLTAESPRNDAEKTELAPSDASPLGEAAGSSEFDEVPGERTEPDVSQEETANENGGQTTFSYERLISKSTDPVRGIDYKRRETYLSDDEFQTVFSMTKDEFYQQPRWKQELQKRKADLF* >Brasy4G331500.1.p pacid=40088768 transcript=Brasy4G331500.1 locus=Brasy4G331500 ID=Brasy4G331500.1.v1.1 annot-version=v1.1 PKTIDHLLLGCVVARQVWFHLVRSWDSADWLPDETSTIEAWWQGLPISGAARKDLATVSTLAFWCIWKHRNKVVFEGENPSLHLILQAIAREGACWSQAKLFENSRFAQIPGVSPEWRDTC* >Brasy4G157900.1.p pacid=40088769 transcript=Brasy4G157900.1 locus=Brasy4G157900 ID=Brasy4G157900.1.v1.1 annot-version=v1.1 MENGGSKGDVPEDANAHCPGTQSEEAGKADSCAGCPNQQICATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPIYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGVDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAFSDLKFLKPGATGETDATEWAMNYIREKAPELLSVVACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQRCSASAPALKSIISKLIKTE* >Brasy4G157900.2.p pacid=40088770 transcript=Brasy4G157900.2 locus=Brasy4G157900 ID=Brasy4G157900.2.v1.1 annot-version=v1.1 MENGGSKGDVPEDANAHCPGTQSEEAGKADSCAGCPNQQICATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPIYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGVDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAFSDLKFLKPGATGETDATEWAMNYIREKAPELLSVVACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQRCSASAPALKSIISKLIKTE* >Brasy4G224400.1.p pacid=40088771 transcript=Brasy4G224400.1 locus=Brasy4G224400 ID=Brasy4G224400.1.v1.1 annot-version=v1.1 MERMRSLRCCSGRSAALAVERTRPCASGSGRRCRSNGCCVRGTDKAAALPLGGRRCRSKPRGAGGDDAVEPTERMNADPTPAVAPSSARRRGDLVGGPAGERTATSAWRREDSTGNPMTSTQRRRGRSNIGLGKRCCSMERAGVGGAAAQWR* >Brasy4G129800.1.p pacid=40088772 transcript=Brasy4G129800.1 locus=Brasy4G129800 ID=Brasy4G129800.1.v1.1 annot-version=v1.1 MDHNKACNGECDYESELEEGEIKEEDEPPRTERPIHRGMAASVIQLISYGQEVFEKELVHITQSASPQKASHKGETAGTVVVQQSKFSEIHKDVVQSVVELSSFVQDAIEKEQFDIRQSTSLERGSRQGRTTGIMFVQPKCSAIHEDMAASAELLITSSQGDVEGQSTSPERGSHLGGTASTKYIASESRDVNVRQSTLHSTSGNHQRSNSRSMYISSEERHEKRKQQCFKYSDSRWVLKMIEEVCSGRFSTLLLRQTADRKKLKIAFKKQELEFFRKQVHWYKFHYAHVMPTIRYGRVKLPKLHINILHDRFHKHMKSQLIKFVKQQISERNKENRIKERWIFEAKAGYLKKLFSSISLSYSGFKLEKLGWQMTDNPDGDEDLKCFEMQSLTTQIEAIASNCEPEGTSSDVSEFILENSPSLLETNGATNLGFSVCVAEVMSSLDTRSSKSTNAPMMEFGEKNGAQIILAAAENQGENIERSYASQLDTSAALEPAMAVKAGKASDVSELGSSVGVSEEMATLEHSSSQLTCAPGMNFGEKDGTQIAFSAAAQNGSGNMERPCASRSVTSAALELAMTVTTDTENDPPISKEKRRRISSGNGISEDPCCRSQRKFGEKDGTQIAFSQAPQNELGNQERPSASRSVQNAALELAMTVNTDLDNAPPISKEKGKCTSSGNDISEVPCSRSRIEFPHMPTSNLCRTTLRQEESPAARADLPLVNINQMMQAEDTDCEEVLSGQISHLAQVTEQPNMHSNTENVMKQNHCGSTFQADASHPYQQSGGNTHSARTGVVNLGASHVHPASPNQVPTDSTTGQYLSEDGLPSDPFTIELSRLQSLQNLIAKRHQEKREQLILARQIEIAQAKKKYDELVYNSEVEILERKRDLKIMCEKIYKQQILAEVFQVIFKASARVIPDSPRAQKMITEPNCPSEQHNFQFPASVSARASTAMCQSRQLSVQPSTEASLRQHCVTMQHTTMDTFGRSTTTSMPNPSGGMGNGLVHHRQAPLLHSFVNTPPASVLRRGSANLEE* >Brasy4G039900.1.p pacid=40088773 transcript=Brasy4G039900.1 locus=Brasy4G039900 ID=Brasy4G039900.1.v1.1 annot-version=v1.1 MATATMATAAGAAALLYYTLNRRLQTERLDSEGDSDCGNGRDGAASGALVESSSSSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVSVASIYAGDGSVELRGAPVVTDLKYLLNLLTLCWHFSKKPFPLFLEATGYSMEDVLMQEPKAGILKPAFTILLDRDRQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAGPCLAETLHMYPDYKIKVVGHSLGGGTAALLTYVLREQHEFASATCVAFAPAACMTWELAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALPSWACIGPRRRNTTSSTSTVTSEEITASTTSGGSESTSLLTETTVETTQIITSETTQYAASEEVQSSIMASDDVNNLDDKVDSDDEDIIDHHVDEDRITDVELWQQLENELYRKRGGDDDIVEEVAESNIAEEVGGTAQDVLSDAREKEVHRFYPPGKIMHILTSVREAEIDEEEITGHQDEESSSHHDDTTGESETSIGIFLTPRSLYGKLRLSKMMINDHYMPMYRRSIEQLVAELEKDCSASVGDSSDFTACEVQTRYM* >Brasy4G039900.2.p pacid=40088774 transcript=Brasy4G039900.2 locus=Brasy4G039900 ID=Brasy4G039900.2.v1.1 annot-version=v1.1 MATATMATAAGAAALLYYTLNRRLQTERLDSEGDSDCGNGRDGAASGALVESSSSSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVSVASIYAGDGSVELRGAPVVTDLKYLLNLLTLCWHFSKKPFPLFLEATGYSMEDVLMQEPKAGILKPAFTILLDRDRQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAGPCLAETLHMYPDYKIKVVGHSLGGGTAALLTYVLREQHEFASATCVAFAPAACMTWELAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALPSWACIGPRRRNTTSSTSTVTSEEITASTTSGGSESTSLLTETTVETTQIITSETTQYAASEEVQSSIMASDDVNNLDDKVDSDDEDIIDHHVDEDRITDVELWQQLENELYRKRGGDDDIVEEVAESNIAEEVGGTAQDVLSDAREKEVHRFYPPGKIMHILTSVREAEIDEEEITGHQDEESSSHHDDTTGESETSIGIFLTPRSLYGKLRLSKMMINDHYMPMYRRSIEQLVAELEKDCSASVGDSSDFTACEVQTRYM* >Brasy4G175800.1.p pacid=40088775 transcript=Brasy4G175800.1 locus=Brasy4G175800 ID=Brasy4G175800.1.v1.1 annot-version=v1.1 MAASRRRSFASAIFTVTAIASSAAAQSEGGPGPNYNSMSLSDVMTISFFMAIFFPIFVVLLAFACLRLFRPPDEPTAADAASSQWSHGPKEGLDASEIAALPLVSYRDVKEHRISAGPTVDPLECAVCLLEFEDDDSLRLLPTCPHAFHPECIGSWLEKHVTCPLCRANVLDAPPEPRELLQVVQPPSPPHETAVASPPDSPPAHDTVVLIGADVGRSEEEEDDEIRSRGVLARTRREAGRQVLPRSNSTGHERASGGMERFALRLPEHVRLEILMSHRLRHATSAVASVRVREGSGYDQAGAGGNSVRSAVAMLISLFAPGAGWKGDDDDDRFGAGGSSRRRRDESARGAAEEKRSD* >Brasy4G063400.1.p pacid=40088776 transcript=Brasy4G063400.1 locus=Brasy4G063400 ID=Brasy4G063400.1.v1.1 annot-version=v1.1 MAAAFRQTMIRALLRGGKEASAAPPPRPSSAFSSKRAEATRAWLEEWKRAARRFGYLKSAVVVTMLSGIAFACRPSHVE* >Brasy4G248000.1.p pacid=40088777 transcript=Brasy4G248000.1 locus=Brasy4G248000 ID=Brasy4G248000.1.v1.1 annot-version=v1.1 MAGAGGFLADMNDGWFKPRLLRAVVSERLPKPGSTVPPAELFSILEAVRTHGLLTESHLDALDHKLAEAWRAAVDSWVDRVGELMASDSPYSCWLGTCFLGVTFQECSNMRFLQSYSDWFEKILRNLQEPSSLELATAVSCTSMSDLFVRLANFLNLKKEASSFAGRVVEPLLLLLNENGPVVDEAVDLLTTVIKLYPSSVNRHYNRVESAIAAKIMSTEVNVKSSKKFARALALLPSVRVSEGTWSLMIQKILIVINNLLNDAFLGLEEETKGREIMMLLVPPGNDPPPMFRDGTRSGGNLHVTKKFRIYTVPTISALVHCCCVMLTSYYPVQKVNVPIRALVALLRRVLLVDGSLHKKLFPSTTSLQQELICFELPSLHSSFLDLLTATIKATRSQLLPHAANIVRLVMDYFKIAKLPTMRTKVYSIVQLLLTSMGVGMSLHLLDVMVSNAVGDLDDSCVNDMTLFSTNPSKVANESASKSYSKKRKQESQIQNSHASGLEKAAISPKKRKNSSMPNTSKGMMTLETASNARMSTPLSVKIAALEALEILLNVGGSLRTDHWRAKVDLLLINIARSACDVGVGYGQRTSTVQDKNISDFRLVSLKALLASFLSSPHARPPYLAQGIELFRKGKLEIGTRLAEFCSRALLALDVLAHPRALSLERAGPVLDCRAPEDAVFGADTFKFLPSQGQSRVMKDEDDWLVSTKDVEPTAVRMFHNEKQGTLVKEDPQIDSFGDAVQHVPAYNKSDASMVDLATEETYKLNTVDNPSIFHDVSTPIYSKLPETHKTGVAPVSEENRTHQVDHLQNRSFTVNEPSGKLGSSGGAPSGQGVASSIHKAPESSLSCFTQPSKPILPDAESEDSMPDIVDTDPDSD* >Brasy4G061200.1.p pacid=40088778 transcript=Brasy4G061200.1 locus=Brasy4G061200 ID=Brasy4G061200.1.v1.1 annot-version=v1.1 MGKKSGNGGGAAANAKASFVLKVAMHCECKGCIDKVRGAVRDLALLQAVEAAVDAGGGEVRVLADAAADPERLRRRLHKATGKKVDLLFPTTSKAAAGGDKKKEQQQEAAHFAALLAGLQQQQAQAQAQARYYGQGQGGAWAGLGLSGGGGGWDSGAGSYGTASSCPWASSAAYYPTAAPPAASWGGPYAAYPPPSAPAYYGAPAPWHGNGY* >Brasy4G354000.1.p pacid=40088779 transcript=Brasy4G354000.1 locus=Brasy4G354000 ID=Brasy4G354000.1.v1.1 annot-version=v1.1 MGACVRELIWDHSLDFICLQETKKTKITSAVLRRFDPASAFFSSWLPSIGKSGGIMVGVRSDSFEVQASKIGSYTVQVNLWDKQLKCRWNLLSVYGAAHDESKEDFLSELVDFLTAQDAPFIVGGDFNLIRHPGEKNQNYVHSIFTDAFNSIINVYNLREIVMTGGRYTWSNCQDNPTLVKLDRILMSPEWAPYLWIVVQGRWKLWLLY* >Brasy4G303200.1.p pacid=40088780 transcript=Brasy4G303200.1 locus=Brasy4G303200 ID=Brasy4G303200.1.v1.1 annot-version=v1.1 MAAATTFTAAFSFFFLLVLLQVPAPAIGSTEEAAALLAFKRASVTADPRGALATWAPASTSANSTAPCSWNGVICALPTNGRVVAVNLSDMDLAGELQLGALLALPALQRLDLRGNAFYGNLSHSSSPSCALVEVDISSNAFNATVPPAFLASCGSLQALNLSRNSLTGGGFPFAPSLASLDLSRNRLADAGLLNYSFAGCHGLQYLNLSANLFTGRLPEQLASCSAVTTLDVSWNLMSGALPAVLMATAPANLTYLSIAGNNFTGDVSGYDFGRCANLTVLDWSYNGLSSTRLPPGLADCRRLEALDMSGNKLLSGSIPTFFTGFTSLRRLALAGNEFAGPIPGELSQLCGRIVELDLSNNRLVGALPASFAKCNSLEVLDLGGNQLSGDFVATVISTISSLRMLRLSFNNITGANPLPVLAAGCPLLEVIDLGSNEFNGEIMPDLCSSLPSLRKLFLPNNYLNGTVPTSLGNCANLESIDLSFNFLVGQIPPEIITLPKLVDLVVWANGLSGKIPDILCSNGTTLETLVISYNNFTGSIPPSITRCVNLIWVSLSGNRLTGSVPPGFAKLQKLAILQLNKNLLSGRVPAELGSCNNLIWLDLNSNSFTGTIPSELAGQAELVPGGMASGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTMDYTFSKNGSMIFLDLSYNGLTGAIPGSLGNLMYLQVLNLGHNELSGTIPDAFSSLKSIGALDLSNNQLSGGIPSGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPASRYDNNTALCGIPLPPCGHNPGRGNEGRASPDGRRKVIGASILVGVALSVLIFLLLLVTLCKLRKNQKTEEMRTEYIESLPTSGTTSWKLSGVPEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLVGSGGFGEVYKAKLKDGSVVAIKKLIHYTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHENDKAIVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPNEFGDNNLVGWVKQMVKENRSSEIFDPTLTDTKSGEAELYQYLKIASECLDDRPIRRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKSS* >Brasy4G303200.2.p pacid=40088781 transcript=Brasy4G303200.2 locus=Brasy4G303200 ID=Brasy4G303200.2.v1.1 annot-version=v1.1 MAAATTFTAAFSFFFLLVLLQVPAPAIGSTEEAAALLAFKRASVTADPRGALATWAPASTSANSTAPCSWNGVICALPTNGRVVAVNLSDMDLAGELQLGALLALPALQRLDLRGNAFYGNLSHSSSPSCALVEVDISSNAFNATVPPAFLASCGSLQALNLSRNSLTGGGFPFAPSLASLDLSRNRLADAGLLNYSFAGCHGLQYLNLSANLFTGRLPEQLASCSAVTTLDVSWNLMSGALPAVLMATAPANLTYLSIAGNNFTGDVSGYDFGRCANLTVLDWSYNGLSSTRLPPGLADCRRLEALDMSGNKLLSGSIPTFFTGFTSLRRLALAGNEFAGPIPGELSQLCGRIVELDLSNNRLVGALPASFAKCNSLEVLDLGGNQLSGDFVATVISTISSLRMLRLSFNNITGANPLPVLAAGCPLLEVIDLGSNEFNGEIMPDLCSSLPSLRKLFLPNNYLNGTVPTSLGNCANLESIDLSFNFLVGQIPPEIITLPKLVDLVVWANGLSGKIPDILCSNGTTLETLVISYNNFTGSIPPSITRCVNLIWVSLSGNRLTGSVPPGFAKLQKLAILQLNKNLLSGRVPAELGSCNNLIWLDLNSNSFTGTIPSELAGQAELVPGGMASGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTMDYTFSKNGSMIFLDLSYNGLTGAIPGSLGNLMYLQVLNLGHNELSGTIPDAFSSLKSIGALDLSNNQLSGGIPSGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPASRYDNNTALCGIPLPPCGHNPGRGNEGRASPDGRRKVIGASILVGVALSVLIFLLLLVTLCKLRKNQKTEEMRTEYIESLPTSGTTSWKLSGVPEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLVGSGGFGEVYKAKLKDGSVVAIKKLIHYTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHENDKAIVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPNEFGDNNLVGWVKQMVKENRSSEIFDPTLTDTKSGEAELYQYLKIASECLDDRPIRRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKSS* >Brasy4G051200.1.p pacid=40088782 transcript=Brasy4G051200.1 locus=Brasy4G051200 ID=Brasy4G051200.1.v1.1 annot-version=v1.1 MTPMSVSAVLPPPAPSPPATTKSSPRRAPAQLAAASAASALLAVTTPAARAATFSTEDVAGSLTKVVDTVDQVIGVGGKVAELSVTVLRALGEAAKPALPVLRSAGEQALKLASPAVSDASRQATAALQGAGVDPAPVLSAAKTFADAAQQGSKVVDAAKPVASAAVETIVSFGAADYVVATGAALLAYLLLPPALSLVSYSLRGYKGDLSPAQVLDMVTSQDYLLVDVRSEKDKGKAGVPQLPSNAKNKLISLPLEELPKKIKDMVRNARRTEAEIAALKISYLKRVGKGSNIVVMDSYCDNAKIVARTLNSVGFKNCWVMSGGFSGGKGWAQSRLGTDSYNLSVVEVVKPSRIIPASAGRFVTTSSTSTTSGTNRKLLPGSVDG* >Brasy4G434200.1.p pacid=40088783 transcript=Brasy4G434200.1 locus=Brasy4G434200 ID=Brasy4G434200.1.v1.1 annot-version=v1.1 MAGIMVSASTGAMNSLLGKLTTLMGEEFAKLKNLRREVKFIADELTGMKDALEGLSHIEELDPQTKRWGDTVRDMSYDIEDIFDDFMQNIGENNKTDGFVSNTVRRLKTLRARHRIASQIDGVKKLVLETSSRRQRYKLDIPPSSNVAIDPRIATLYENTAKLVGVEGPTNELVNLLRDDDKKLKVASIVGFGGLGKTTLSNAVYGRLKGEFNGCAFVPVSQKPDIPKLLRSLLSQLGIEPSIHACESHLLDKLREHLQNKRYFIIIDDLWSVSAWVIIKCAFPENDLGSRVIVTTRIQEVATACSSYHHDYILQMKPLSNEHSRRLFFDRIFGSEQGCPQQLTDVSVQILKKCGGLPLAIISISSMLASEGSNQKERWKHVRDSMGSGTNLSLEGMRQILNLSYKDLPPRLKTCLLYLGMYPEDYIIDRSGLERQWIAEGFVGKENGQDVEKAAGNYFNELVNRSLIQPVGFDNRGLVTHCRVHDMMLDLILLKSAEENFLTVVDDPQDFARLEYKVRRLSIRLDGASDVQTILPRNISMSQVRSVMFFGSSQNTPPPSEFKFLRVLLTDLSDATVDLTGLCKLYQLRYLCIRSDRSCQLPTKIRALQQLQTLEVPRCDSLPSDIVHLPRLMHLNSDPRLPDGIGNLKSLSYLYRFDIALNTLDNIKGLL* >Brasy4G032400.1.p pacid=40088784 transcript=Brasy4G032400.1 locus=Brasy4G032400 ID=Brasy4G032400.1.v1.1 annot-version=v1.1 MALLDSCCYDEGDCSWSATTLDSGWDWALLRCEDDDNARGGGGNHGGAVSFFPAMPMLGPESSSSSGGGGGYLEDAVAHWSDRCKRQRMSTAAEAPLSPPRCPVLTAGEELQCLLQSFWDRPSSSSGGEAGSLLHDSNIMVPDPETSSFASGEEEDASGKEKEQVQGVPFSQASAAGRGDAAGGPPPPPPAFSGTEERVRTLRLQKATTGGSHYCYNSTSSSGSEPSSSSCFKLAAGKKDGGGGDVLYPFAVVKPLGLEGGATTLKDVNRRILKRPARPVRHPVGAFSCGPAVSPHGLGMSGKAVVSLTRIRTGGKGTITIIRTRG* >Brasy4G032400.2.p pacid=40088785 transcript=Brasy4G032400.2 locus=Brasy4G032400 ID=Brasy4G032400.2.v1.1 annot-version=v1.1 MALLDSCCYDEGDCSWSATTLDSGWDWALLRCEDDDNARGGGGNHGGAVSFFPAMPMLGPESSSSSGGGGGYLEDAVAHWSDRCKRQRMSTAAEAPLSPPRCPVLTAGEELQCLLQSFWDRPSSSSGGEAGSLLHDSNIMVPDPETSSFASGEEEDASGKEKEQVQGVPFSQASAAGRGDAAGGPPPPPPAFSGTEERVRTLRLQKATTGGSHYCYNSTSSSGSEPSSSSCFKLAAGGLGAPVSN* >Brasy4G178000.1.p pacid=40088786 transcript=Brasy4G178000.1 locus=Brasy4G178000 ID=Brasy4G178000.1.v1.1 annot-version=v1.1 MAAGSGGGVTEEGRIRPGFKARGAPSMALGGHRRLFGCSEAEEDRLPDPAAAGPTVAGSGPVLGAGRPGGRGAGRRVAAERARREEDGGPVRGGGRRARGSRAREDGERHAWSRRREGENEKREDGIQGKEIKRGERGATRWNCGRDPTGAGGGGGARGEVETVALAGRLADGGGRGRRAGGGGRARGGGLVEWRRAHEGRPLREGRRAGGAVEEAGGGARAGR* >Brasy4G204100.1.p pacid=40088787 transcript=Brasy4G204100.1 locus=Brasy4G204100 ID=Brasy4G204100.1.v1.1 annot-version=v1.1 MVDDTQNIELPDSSLPDIVADVGGVAASRSSPLRASFSPLNPHSSPSPCYAPPSPRDTPLPLLLTAFPSPFSSPRSGRLHHRDPLPPLPAALPSPFPLSLFCRPSLTAPRATKRRLDPVAARPDPAARRPDPVAARPDPAARRARARQLVKAAARREKGRRSSSSRRRRDERRGGGAAREEKNYCNSEDVFVKLLL* >Brasy4G097200.1.p pacid=40088788 transcript=Brasy4G097200.1 locus=Brasy4G097200 ID=Brasy4G097200.1.v1.1 annot-version=v1.1 MAMGSKLSLFFLSLGFVAYSSSASHYDPSVVGYSQEDLALPNKLVDLFSSWSVKHSKIYVSPKEKVKRYEVFKQNLKHIVETNRRNGSYWLGLNQFADVAHEEFKSTYLGLRTGMDGQTRTPTTFRYENAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIATGKLESLSEQELMDCDTTFDHGCGGGFMDFAFAYIMGNLGIHTDHDYPYLMEEGYCKEKQPQSKVVTISGYEDVPENSEVSLLKALAHQPISVGIAAGSKDFQFYKGGVFEGSCGTELDHALTAVGYGSSDGQDYIIMKNSWGKSWGEQGYFRIKRGTGKPEGVCAIYRMASYPIKNVTGWGA* >Brasy4G247000.1.p pacid=40088789 transcript=Brasy4G247000.1 locus=Brasy4G247000 ID=Brasy4G247000.1.v1.1 annot-version=v1.1 MAPPITAAASASDGGIEENAMAILDTFGIKDSRDLHDDRAAFLEAVRSACLSGDKPSSPSWRMYNAVFQILQSSSSLELTIASFHLLMELGKQYPRVYLTNSGPHQALVIVKESWSPFLLGNNAPYGEIGGNTSRLDHLFDSSRFLLLVEDMVEAANGTDTNNGLKHVENMVMFKYLVSTLEADFLPRQIAYEESLDWSILRESLLNVLLASRKLVFKTLVMKCISFLNQCHREVEDNLSSKEDYPKSASDLDSSLAFSSLVLEREVLLSVQKLFKMVINLDLIRKEADKLGLTSRADGFRNPILEVVLDELSYNTTNLSSVLSQAFTEWKSKLDIILLYFSRYYVKPVVRTRRSDNSQQDLTVESVLSLFSAATSAKAIVKNMHPDNAQLFLAHTYQVCLSIQGDSSKNTDATQMIGATLLQISCKFVSAFHNLRKMNPNMEISPFEKEALFTAATLTRKLQNE* >Brasy4G247000.2.p pacid=40088790 transcript=Brasy4G247000.2 locus=Brasy4G247000 ID=Brasy4G247000.2.v1.1 annot-version=v1.1 MAPPITAAASASDGGIEENAMAILDTFGIKDSRDLHDDRAAFLEAVRSACLSGDKPSSPSWRMYNAVFQILQSSSSLELTIASFHLLMELGKQYPRVYLTNSGPHQALVIVKESWSPFLLGNNAPYGEIGGNTSRLDHLFDSSRFLLLVEDMVEAANGTDTNNGLKHVENMVMFKYLVSTLEADFLPRQIAYEESLDWSILRESLLNVLLASRKLVFKTLVMKCISFLNQCHREVEDNLSSKEDYPKSASDLDSSLAFSSLVLEREVLLSVQKLFKMVINLDLIRKEADKLGLTSRADGFRNPILEVVLDELSYNTTNLSSVLSAFTEWKSKLDIILLYFSRYYVKPVVRTRRSDNSQQDLTVESVLSLFSAATSAKAIVKNMHPDNAQLFLAHTYQVCLSIQGDSSKNTDATQMIGATLLQISCKFVSAFHNLRKMNPNMEISPFEKEALFTAATLTRKLQNE* >Brasy4G247000.3.p pacid=40088791 transcript=Brasy4G247000.3 locus=Brasy4G247000 ID=Brasy4G247000.3.v1.1 annot-version=v1.1 MAPPITAAASASDGGIEENAMAILDTFGIKDSRDLHDDRAAFLEAVRSACLSGDKPSSPSWRMYNAVFQILQSSSSLELTIASFHLLMELGKQYPRVYLTNSGPHQALVIVKESWSPFLLGNNAPYGEIGGNTSRLDHLFDSSRFLLLVEDMVEAANGTDTNNGLKHVENMVMFKYLVSTLEADFLPRQIAYEESLDWSILRESLLNVLLASRKLVFKTLVMKCISFLNQCHREVEDNLSSKEDYPKSASDLDSSLAFSSLVLEREVLLSVQKLFKMVINLDLIRKEADKLGLTSRADGFRNPILEVVLDELSYNTTNLSSVLSAFTEWKSKLDIILLYFSRYYVKPVVRTRRSDNSQQDLTVESVLSLFSAATSAKAIVKNMHPDNAQLFLAHTYQVCLSIQGDSSKNTDATQMIGATLLQISCKFVSAFHNLRKMNPNMEISPFEKEALFTAATLTRKLQNE* >Brasy4G247000.4.p pacid=40088792 transcript=Brasy4G247000.4 locus=Brasy4G247000 ID=Brasy4G247000.4.v1.1 annot-version=v1.1 MAPPITAAASASDGGIEENAMAILDTFGIKDSRDLHDDRAAFLEAVRSACLSGDKPSSPSWRMYNAVFQILQSSSSLELTIASFHLLMELGKQYPRVYLTNSGPHQALVIVKESWSPFLLGNNAPYGEIGGNTSRLDHLFDSSRFLLLVEDMVEAANGTDTNNGLKHVENMVMFKYLVSTLEADFLPRQIAYEESLDWSILRESLLNVLLASRKLVFKTLVMKCISFLNQCHREVEDNLSSKEDYPKSASDLDSSLAFSSLVLEREVLLSVQKLFKMVINLDLIRKEADKLGLTSRADGFRNPILEVVLDELSYNTTNLSSVLSAFTEWKSKLDIILLYFSRYYVKPVVRTRRSDNSQQDLTVESVLSLFSAATSAKAIVKNMHPDNAQLFLAHTYQEYGDFSI* >Brasy4G133000.1.p pacid=40088793 transcript=Brasy4G133000.1 locus=Brasy4G133000 ID=Brasy4G133000.1.v1.1 annot-version=v1.1 MANHLKSPIIAQAAADFVGTESSPKANQHSHNAQPYDFPNDQLYRAYLVIQRFKNTITSDPKNVTTTWTGHDICGKTTYLGFYCTKLPGKGSKLTVTAALFNGFGLHAPKLEGFIDELPDLALFHAASNNFGGDIPHLTGLAYLYNLNVGNDIQLQHPADINGYGTTGANVGCIMASLNFTFHIGTTDPKKKGGSIIPGATDSKALLLNYNNLSGPLPANIGFSKLSYLALANNKLTGPIPPKDSLLELLLNNQLSGCLPHELGMLTKTAVIDAGMNQLTGPIPSSFSCLSSVEQLNLADNRLYGQVPDALCKLAGPAGRLANLTLSSNYFTSVGPACSALIKDGVLDVKKNCIPGLANQRAPAECASFMRQLPKASCPAASAPVSCPAAAAADAKTKMAPEGTRAAAKDYASYVTYATLHE* >Brasy4G215600.1.p pacid=40088794 transcript=Brasy4G215600.1 locus=Brasy4G215600 ID=Brasy4G215600.1.v1.1 annot-version=v1.1 MAAAPPGAGIVWQTPANPPEAQDYIFRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTEEFKGRPHEYYVHAVKCGRLQVDEQMVPADYIVKSSQKISHFLHRHEPPVLGGNILILQNEVDVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKSADRAECFRQQIEANLLQKEYVAKVVGVFPDGEQTVDANVNFNAREGRSTVEVCDGPGKALPSGKQACTKFQRICTDGNHSIVLCKPVTGRTHQIRVHLKHIGYPIANDELYLSGNFCPRSSKGTGINRATSLACSLASPDPDNSAEAEEFGIDPMCTNCPNLAPVGYDGDEEALWLHCVRYTGPDWSYECPYPDWAFLDNMPTKKIKS* >Brasy4G164500.1.p pacid=40088795 transcript=Brasy4G164500.1 locus=Brasy4G164500 ID=Brasy4G164500.1.v1.1 annot-version=v1.1 MGSSSSGLRSDWPSSGASCFAARPTMAAAEAFSSAHKSQELPPPRARAAPAASAGCSPRRRRLPQPPLRGAPPPQWAAPAASADCSPRRRLPQLLPQARAPLAAAASRPCCRCRGRRRPFLLREPEPPDERRRWSRTSMGRPSRPGPDSGRFLPRVPLQFSCSLTPPSGAPLGQSQTPGALSARPNSATVQTPGVPPPITAGAAAARVLIRWSFSRSRKTDWRKTMAFVRLSSCLWLKPMALIKLDPKLASCFFFGDVCAHNNPKLLLSCTFGLYDYFLKELYRFNEVDNPLKSAIPLVINTSGWVKGIGLHVLSEILRYVSPTDVVQLRTRTERNNLPAGAFWLNVHEGDSPVNLVEIHAAHNPPPHLLAKKEARMIRDLRLIAYFRQCLPRDFPIFSRDDLVKGFASIQPFQLPLSKIQVIDLHYQVSGDAAYKFLVGTIVGIGLSTSVPSSTECSTPWCIGLGYIKAIDIPGDCIHLMTPVSLHHLENVDIMFRSCMAVPSCLLQVSDIVDGITDRLREL* >Brasy4G164500.2.p pacid=40088796 transcript=Brasy4G164500.2 locus=Brasy4G164500 ID=Brasy4G164500.2.v1.1 annot-version=v1.1 MRRGEMGDGGEAFEEARGEERGWVEAAEAVAYDSCTWPPPVVVVCGPGNSGKSAFSRLLLNTLLGRYKTVAYLDTDVGQPEFTPPGFVSLHVIEEPAKDFTMLYLRSPKRCFFFGDVCAHNNPKLLLSCTFGLYDYFLKELYRFNEVDNPLKSAIPLVINTSGWVKGIGLHVLSEILRYVSPTDVVQLRTRTERNNLPAGAFWLNVHEGDSPVNLVEIHAAHNPPPHLLAKKEARMIRDLRLIAYFRQCLPRDFPIFSRDDLVKGFASIQPFQLPLSKIQVIDLHYQVSGDAAYKFLVGTIVGIGLSTSVPSSTECSTPWCIGLGYIKAIDIPGDCIHLMTPVSLHHLENVDIMFRSCMAVPSCLLQVSDIVDGITDRLREL* >Brasy4G164500.3.p pacid=40088797 transcript=Brasy4G164500.3 locus=Brasy4G164500 ID=Brasy4G164500.3.v1.1 annot-version=v1.1 MGRPSRPGPDSGRFLPRVPLQFSCSLTPPSGAPLGQSQTPGALSARPNSATVQTPGVPPPITAGAAAARVLIRWSFSRSRKTDWRKTMAFVRLSSCLWLKPMALIKLDPKLASCFFFGDVCAHNNPKLLLSCIGLHVLSEILRYVSPTDVVQLRTRTERNNLPAGAFWLNVHEGDSPVNLVEIHAAHNPPPHLLAKKEARMIRDLRLIAYFRQCLPRDFPIFSRDDLVKGFASIQPFQLPLSKIQVIDLHYQVSGDAAYKFLVGTIVGIGLSTSVPSSTECSTPWCIGLGYIKAIDIPGDCIHLMTPVSLHHLENVDIMFRSCMAVPSCLLQVSDIVDGITDRLREL* >Brasy4G241000.1.p pacid=40088798 transcript=Brasy4G241000.1 locus=Brasy4G241000 ID=Brasy4G241000.1.v1.1 annot-version=v1.1 MHPLTLSSTALLRLIKSLSPATPRAHLSASGIHCLLFKAGLLQAGAHLPTALLSAYGALGRPGHARDLFDEMPNQSLVARTAMARAHAVSGQAAQALDLFQGMIADGFMPDNVALAVVLAACHGAGSCTARRSPGRMIHAFIVACAIEPDVFVSTELIRVYGERGELTVARRIFDNILTKSAIAWNTMVHQYVRNKDIEAAYQLFLAMPRRDVVSWNTMIAGYCLVGRCKEALGLFRQMVSPSSCPVHPNGPTMITVLSACAGAGCLETGIWVHLYIDKNRMNDNGTLDRCLIDMYAKCGSIDKALQVFEKAPGKRDLYSWTTVICGLAMHGRANDALRMFSMMQDSGMCPDDVTFVGVLNACAHGGLVDEGLDHFHSMQEKYRIMPKIEHYGCMIDLLGRVGRLPEAYRMIQTMPMKPNMVIWGAFLSACKVHSSVELGEIAAAEVTRLDPDDPWARVMMSSMYAKAQDWSGLARERREMNSLQMKKTPGCSSVTLDGGVHEFVAGGNQHPLHTEVCSVLEIVEAPSHTG* >Brasy4G350700.1.p pacid=40088799 transcript=Brasy4G350700.1 locus=Brasy4G350700 ID=Brasy4G350700.1.v1.1 annot-version=v1.1 MALRALYNEIRAMKVREVPAYLKPRLTWGNVKKSTDQAVDRYIEKYIETGSADPIYHVCFGGMAFSYLVNLPWERAHLEHLEKHGGGH* >Brasy4G304100.1.p pacid=40088800 transcript=Brasy4G304100.1 locus=Brasy4G304100 ID=Brasy4G304100.1.v1.1 annot-version=v1.1 MPFRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMHIRVYNYNTVDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTHIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLEGHSKGVNCLDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGNCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFTWSSDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERVFGGVLLAMCTNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTVASDTSFYILKYNRDAVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANDILPSIPKKQYDSVAHFLESRGMLEEALAIATDSNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLLHAMDLSGLLLLYSSIGDAEGITKLASMAKEQGKNNVAFLCLFMLGKLEKCLELLVESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEATVAPKRGTYPPAAEYMIHAERSNESLVEAFKNMHVHEEEYAHHDEVLTNENGIVHEDIEDDVVEESQEDAVEVEADSSTDGTVHVNGNDSEEQWGMNNEESPV* >Brasy4G304100.2.p pacid=40088801 transcript=Brasy4G304100.2 locus=Brasy4G304100 ID=Brasy4G304100.2.v1.1 annot-version=v1.1 MPFRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMHIRVYNYNTVDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTHIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLEGHSKGVNCLDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGNCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFTWSSDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERVFGGVLLAMCTNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTVASDTSFYILKYNRDAVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANDILPSIPKKQYDSVAHFLESRGMLEEALAIATDSNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLLHAMDLSGLLLLYSSIGDAEGITKLASMAKEQGKNNVAFLCLFMLGKLEKCLELLVESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEATVAPKRGTYPPAAEYMIHAERSNESLVEAFKNMHVHEEEYAHHDEVLTNENGIVHEDIEDDVVEESQEDAVEVEADSSTDGTVHVNGNDSEEQWVLTPEQ* >Brasy4G304100.3.p pacid=40088802 transcript=Brasy4G304100.3 locus=Brasy4G304100 ID=Brasy4G304100.3.v1.1 annot-version=v1.1 MDFIESLLGECLHLELPDTVRSAKFIARKQWVVAGADDMHIRVYNYNTVDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTHIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLEGHSKGVNCLDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGNCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFTWSSDGEYAVRESTSRIKIYSKNFQERKSIRPTFSAERVFGGVLLAMCTNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTVASDTSFYILKYNRDAVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANDILPSIPKKQYDSVAHFLESRGMLEEALAIATDSNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLLHAMDLSGLLLLYSSIGDAEGITKLASMAKEQGKNNVAFLCLFMLGKLEKCLELLVESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEATVAPKRGTYPPAAEYMIHAERSNESLVEAFKNMHVHEEEYAHHDEVLTNENGIVHEDIEDDVVEESQEDAVEVEADSSTDGTVHVNGNDSEEQWVLTPEQ* >Brasy4G302300.1.p pacid=40088803 transcript=Brasy4G302300.1 locus=Brasy4G302300 ID=Brasy4G302300.1.v1.1 annot-version=v1.1 MASTAYTRPSKPPGLAGERRPAARLSRELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPASTAVPGTDSGTVQQKIELEDAKDVVEDPKEPSFPEEERKIEEKEEETPETKPSGGGDAAAESKIICDENGVDEGFPYARPSVCELTGDIRISPREKTMFFVYPSAAAAGGPFDANGEKKIRPYARKDTFLLPGVVEVTIKSVPSAESAPACTRQHDVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTAHLRGEVQLLITNFKPWWVKKFAPLLKKLSNYEVINFDKDEEVHCFRSGNLGLYRDRDLILSPHPTRNPRNYTMVDYNRFLRGAFGLPRDAPAVLGEKTSARPKMLMIERKGTRKLLNLAAVVAMCEELGFAVTVAEAGADVRGFAETVNAADVLLAVHGAGLTNQIFLPTGAVMVQIVPWGKMDWMATNFYGQPARDMQLRYVEYYVSEEETTLKDRFPRDHYVFKDPMAIHAQGWPALADIVMKQDVMVNVTRFKPFLLSALDKLQE* >Brasy4G011800.1.p pacid=40088804 transcript=Brasy4G011800.1 locus=Brasy4G011800 ID=Brasy4G011800.1.v1.1 annot-version=v1.1 MEQPPGVDADGYRIRPLELADLSKGFCDLLAQLSPSAALTEDAFRSRFAELAALGADHLVLVAEDAATGCLAAAGAVLVERKFIRHCGSVGHVEDVVVDADARGRGLGERVVRRLLEHARGRGCYKVILNCTPELRGFYAKCGFVEKNVQMGLYF* >Brasy4G091700.1.p pacid=40088805 transcript=Brasy4G091700.1 locus=Brasy4G091700 ID=Brasy4G091700.1.v1.1 annot-version=v1.1 MAAAAAAAQEPEVACLQSFELHESESRLYILGTNADKTLWRLLKIDRSKTSELGIEECSTVYTQSGYLELLNGLDEDHRPTGGLKFVTKFYGILGFIKFLGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSSFLNSKDENRYKKILNTLDLRKDFFFSYSYPIMRSLQKNLSDPQEGWTLYESTFVWNEFLTRQIRNCLRSTLWTVALVYGFFKQEKLAISGKDIMFTLIARRSRHYAGTRYLKRGVNAKGRVANDVETEQIVYEAVPRPTEVSSVVQNRGSIPLFWSQDRSKLNIKPDIILHEKDKNYEATKLHFENLRGRYGNPIIILNLIKTRERRESILRREFDKAIRIINENFPEENHLRFLHWDLHKNSQGKPTNVLDVLLKVAFRALSLTEFFYCQVAPPSGSEAPPHWPAILNGQDPYFCDENSNSDISQDDISGSSDSSGNGTAEDKAETSESPKLKPPIFQKGVLRTNCIDCLDRTNVAQYAYGLASLGHQLHALGYVESPELNLGAPVAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRTQEFFRTLQRHYSNACIDANKQAAINLFLGYFQPKEGSHALWELESSSEEHNNEPLYEDTSDDAIKRVNSDGSILSVSKSSISGSGGCHNELLNAAQPDVNNEFPKSESDLLFENEISSTFESEVSNTRYTSTVSHIHHAPSSQPDYCNDSGDSNFLDVELLSTSGNSSDERSIAISTPDVHLSSENVISDINPETMENEVAEVQAQNLPKQFVEWVNDGNTFWF* >Brasy4G305300.1.p pacid=40088806 transcript=Brasy4G305300.1 locus=Brasy4G305300 ID=Brasy4G305300.1.v1.1 annot-version=v1.1 MATPPPALRHRDSPPAIPDELSEEILLRLPPGDPSCLVRASAVCKRWRRVVSQPSFLRRLHELHGTPPPLLGFFHSDYSKRFAAKADYGPSTKFVPTTPSAFSLPIPNSRKWYALNYRHGRLLFCSKLTQEGQDFLVWEPITGHQRILPVPGAPKNYVMDAAVVCAADGCDHRDCHGGPFRLVLVYLVQNVDSLFVRAYSSETGSWGDVSTVTYEPIKEKSSILVGKSLYFLSILDRMVKYDLTGQSALSVINLPEPEVLADCCGDTGLVLTRERELGVAGVMETRLYLWSSTAGDKGELQSKSCIELHNMLPAAALSALESVDVVGFAEEANVVFLKTIAGVFAINLESEPARKVYQTSDTYETLTAFSSFYIPGKRVASAGGGEGTP* >Brasy4G307100.1.p pacid=40088807 transcript=Brasy4G307100.1 locus=Brasy4G307100 ID=Brasy4G307100.1.v1.1 annot-version=v1.1 MESPAALSLALALQLVLVSVLGFVFVPGASCTNVSYDERALVIDGQRRIILSGSIHYPRSTPEMWPDLIHKAKDGGLNTIETYVFWNGHEPRPRQYNFEGNYDIMRFFKEVQKAGMYAILRIGPYICGEWNYGGLPAWLRDIPDMQFRLHNEPFEREMETFTTLIVNKMKDANMFAGQGGPIILTQIENEYGNVQSNLPDQESATKYIHWCADMANKQNVGVPWIMCQQSNDVPPNVIETCNGFYCHDFKPKGSNMPKIWTENWTGWFKAWDKPDYHRPAEDVAYAVAMFFQNRGSVQNYYMYHGGTNFGRTSGGPYITTTYDYDAPLDEYGNIRQPKYGHLKALHTVLTSMEKHLVYGQQNETNLDDKVKATKYTLEDGSSACFISNSHDNKDVNVTFEGTVHQVPAWSVSVLPDCKTVAYNTAKVKTQTSVMVKKESAVEGGLKWSWLPEFLRPSFTDSYGSFKSNELLEQIVTGADESDYLWYKTSLTRGAKEQFTLYVNTTGHELYAFVNGEMAGYKHAVNGPYLFQFEAPVTLKPGKNYISLLSATVGLKNYGASFELMPAGIVGGPVKLVSAHGNTIDLSNNTWTYKTGLFGEQKQIHMDKPGLRWSYRAVPTNRPFTWYKATFQAPGGTEAVVVDLAGLNKGVAYVNGHNLGRYWPSYVAGDMDGCHRCDYRGEYVTWNNQEKCLTGCGEVGQRFYHVPRSFLNAAARGAPNTIVLFEEAGGDPAKVNFRTVAVGPVCVDAEKGDAVALACAHGRTISSVDTASFGVSGGQCGAYEGGSGCASKPALEAITAACVGKKSCTVSYNDAFDSADCKGSSVLTVQATCS* >Brasy4G219300.1.p pacid=40088808 transcript=Brasy4G219300.1 locus=Brasy4G219300 ID=Brasy4G219300.1.v1.1 annot-version=v1.1 MVMYLMAPLRSCSALHAPLLSSCPRRGSGALPTVVAMASKARIGTPENSFTPPRQAPHQAQVIHSLPPEKKEIFDSLERWAEDNILVFLKPVEKSWQPQDYLPDPSSEGFYDQVKELRERAEDIPDDYFVCLVGDMVTEEALPTYQTMLNILDGGVGDETGTSLTSWAIWTRAWTAEENRHGDLMNKYLYLTGRVDMRQIEKTIQYLIGAGMDPKSESNPYLGFVYTSFQERATFVSHGNTAWHAKKYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVQAFATMMRKKITMPARLMYDGQEDNLFDRFSAVAQRVGVYTAMDYADILEFLVQRWNVADLTGLSGEGRRAQDFLCSLGPRFRKLEERAQGKVKQSSPVVPFSWIYGREVQL* >Brasy4G276000.1.p pacid=40088809 transcript=Brasy4G276000.1 locus=Brasy4G276000 ID=Brasy4G276000.1.v1.1 annot-version=v1.1 MSPPRRDRERKAAKLMEARVARAVSGTSFSRDKRPPLDLPETARFFVQHLPRRSRGGAALAANVEWERKTALRNSIRDAYLRALARLLFNGGAPTATVPSLLPALLAGGHCFGPLPDAASNVIVNTDFVYLDDIEQGSFAGLMRVLPIDDADRDYDYYYAAAVAAKHPDCEAFAAFAQSGLATSPAVAELLAGSGVRSLISAEDIERLSSLLVTPSTPPLQACLKVPEPNLEKHMRVLQQWSRKLAGLAIRHWNRTIGGPELQLHVVFCLSICDYAYQHINYGLSER* >Brasy4G017100.1.p pacid=40088810 transcript=Brasy4G017100.1 locus=Brasy4G017100 ID=Brasy4G017100.1.v1.1 annot-version=v1.1 MASKSIHLCSLSILLLLVTSLPWQCTATAAPRRRAQQRSSCPIDVDALQFRACLSVRRETKPGGTGGDACCSLLAPLADDLDAAAACLCAVVREQVLLSILNFVVPIDMSFVFNRCGANYPLGSFLCR* >Brasy4G418100.1.p pacid=40088811 transcript=Brasy4G418100.1 locus=Brasy4G418100 ID=Brasy4G418100.1.v1.1 annot-version=v1.1 MTALIVEDAWCLYVFDRFDKIVVVFDPVYSDRDEDMHKAKHDGNVNSVLEALHQVGRQISGGWEFNPNEWSTLFKTGMRVPCCPSDSGAIVLQYAREYTGPGLMQLGVQVGSNDEKMQLVYGVVSMRGNVGSKPPFA* >Brasy4G267500.1.p pacid=40088812 transcript=Brasy4G267500.1 locus=Brasy4G267500 ID=Brasy4G267500.1.v1.1 annot-version=v1.1 MPGSQNGRPRPAKAETIHGLVRAGDLAGVQRKLQENPALLNDKNPVMSQTPLHVAAGYNNTDIVKFLLDWQGADAVELEAKNMYGETPLHMAVKNSSCESAKLLLERGVYTEAKANNGMSPLHLAVWHALQTGDCSTVTVLLSYNADCYAKDDEGKIPLNHIPGGAGNEKLLQLLTRYMEEQRKRKAVMSCHEQQVMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLVPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMRRVIASNDGFCRRVTKFFDFDDFTTAELAEILHWKMNSPSDSSLLYGFKLHPSCSIEAIGELIARETTVERRKQLNGGLVDTLLINARENLDLRLDFYCDDADTMITITLEDLEAGLRQISRQRQLR* >Brasy4G267500.2.p pacid=40088813 transcript=Brasy4G267500.2 locus=Brasy4G267500 ID=Brasy4G267500.2.v1.1 annot-version=v1.1 MSQTPLHVAAGYNNTDIVKFLLDWQGADAVELEAKNMYGETPLHMAVKNSSCESAKLLLERGVYTEAKANNGMSPLHLAVWHALQTGDCSTVTVLLSYNADCYAKDDEGKIPLNHIPGGAGNEKLLQLLTRYMEEQRKRKAVMSCHEQQVMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLVPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMRRVIASNDGFCRRVTKFFDFDDFTTAELAEILHWKMNSPSDSSLLYGFKLHPSCSIEAIGELIARETTVERRKQLNGGLVDTLLINARENLDLRLDFYCDDADTMITITLEDLEAGLRQISRQRQLR* >Brasy4G267500.4.p pacid=40088814 transcript=Brasy4G267500.4 locus=Brasy4G267500 ID=Brasy4G267500.4.v1.1 annot-version=v1.1 MSQTPLHVAAGYNNTDIVKFLLDWQGADAVELEAKNMYGETPLHMAVKNSSCESAKLLLERGVYTEAKANNGMSPLHLAVWHALQTGDCSTVTVLLSYNADCYAKDDEGKIPLNHIPGGAGNEKLLQLLTRYMEEQRKRKAVMSCHEQQVMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLVPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMRRVIASNDGFCRRVTKFFDFDDFTTAELAEILHWKMNSPSDSSLLYGFKLHPSCSIEAIGELIARETTVERRKQLNGGLVDTLLINARENLDLRLDFYCDDADTMITITLEDLEAGLRQISRQRQLR* >Brasy4G267500.3.p pacid=40088815 transcript=Brasy4G267500.3 locus=Brasy4G267500 ID=Brasy4G267500.3.v1.1 annot-version=v1.1 MSQTPLHVAAGYNNTDIVKFLLDWQGADAVELEAKNMYGETPLHMAVKNSSCESAKLLLERGVYTEAKANNGMSPLHLAVWHALQTGDCSTVTVLLSYNADCYAKDDEGKIPLNHIPGGAGNEKLLQLLTRYMEEQRKRKAVMSCHEQQVMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLVPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMRRVIASNDGFCRRVTKFFDFDDFTTAELAEILHWKMNSPSDSSLLYGFKLHPSCSIEAIGELIARETTVERRKQLNGGLVDTLLINARENLDLRLDFYCDDADTMITITLEDLEAGLRQISRQRQLR* >Brasy4G055200.1.p pacid=40088816 transcript=Brasy4G055200.1 locus=Brasy4G055200 ID=Brasy4G055200.1.v1.1 annot-version=v1.1 METQMEPKRADAVAPPPAGGGGVVESTPPLEPAQEAPPPAAAAAPGSATDRDKVLAKVELDRKLSMIKAWEEKEKCKVDNRAEHKMSSILSRENTKKAAVEAKLRTYEEKLEKKKAEYAEKMRNRVAKIHKEAEEQRASVEARRQEEMIKCQETAAMHRSKGTTPAKKFLACFG* >Brasy4G134100.1.p pacid=40088817 transcript=Brasy4G134100.1 locus=Brasy4G134100 ID=Brasy4G134100.1.v1.1 annot-version=v1.1 MPPLSPPPPRLMLNAVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAVELMGLGRLINDKARMLSMGQRKRLQIARLLSIDRPIWLLDEPSVALDTEGVKLLEYVIAEHRKKGGIVIVATHLPIQIEDAMSLRLPQRFPRRKTLVDLVR* >Brasy4G009100.1.p pacid=40088818 transcript=Brasy4G009100.1 locus=Brasy4G009100 ID=Brasy4G009100.1.v1.1 annot-version=v1.1 MPSPSPEQTGRRRSRSRGWSSTPKGSSRRPPQSPEPSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFEATVETFVPAQRRRSRPRCTVAYTYLPPDHPDTTTNLVELSRIRPRPPLSSPEAEPFRLHDIVEAFHKDGWRSGIVLATDPLTVAFPITREVVAFQEPHHARPRRDYVDGQWVASQAAVAVQPKLAVRVYAVGEEVEVARDRELYGVSWYPARVAKAIDTLSYLVEYLDLKDEKGEGMLTEYLHYRFIRPAVRWERGFWLKPGAAVEAYREGAWSAGVVRRHVGENKYEISIDGKEAELLLTTMQELLKPLYNWNGKYWNIVRPKRQGNKRQSISGEHPSSPVEVASNDDEHKRHTESSSTKRSRVELMDKTPEELTDGSKNAPECEMTTTLSALRKSSASSSLPSGKNNSRVLPQRIVSSCTVPIKGLGLHHASSENPKPHNRYRADVIPMKGLGLHHASSENPKPHNRSRADVIGEVNHEILSEMLRSDGQLNTRGTSADEAHDMLPTAGLREQKMDSTCINNAAQQMEIHEMHPIQALRGNSDDSDNRQLERRGRGTVHVNEATIQRIQEPIESICGGQDFQDGERVDERPTEPHVQNAASSHCTIGNTPLRRCSVSGSSLNLTLGSHQIDHVSITKTSHLWDPFRDIQQQPHFLPLQEFAPEVREGIAFGLMVGYVNLVNSIKKSCIEDNIASFEGKISALAHLERNGFDVKFLQHSLDKLLQIKRDHAKHLERVDKLKELLPEKESMVSGKYVLLREKEEAICQFQQKLECLHGEIEQIAKETKDGNAEISRLKEDVNITLQERDDVVLRFQSVQTEFCSRLHISD* >Brasy4G009100.2.p pacid=40088819 transcript=Brasy4G009100.2 locus=Brasy4G009100 ID=Brasy4G009100.2.v1.1 annot-version=v1.1 MPSPSPEQTGRRRSRSRGWSSTPKGSSRRPPQSPEPSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFEATVETFVPAQRRRSRPRCTVAYTYLPPDHPDTTTNLVELSRIRPRPPLSSPEAEPFRLHDIVEAFHKDGWRSGIVLATDPLTVAFPITREVVAFQEPHHARPRRDYVDGQWVASQAAVAVQPKLAVRVYAVGEEVEVARDRELYGVSWYPARVAKAIDTLSYLVEYLDLKDEKGEGMLTEYLHYRFIRPAVRWERGFWLKPGAAVEAYREGAWSAGVVRRHVGENKYEISIDGKEAELLLTTMQELLKPLYNWNGKYWNIVRPKRQGNKRQSISGEHPSSPVEVASNDDEHKRHTESSSTKRSRVELMDKTPEELTDGSKNAPECEMTTTLSALRKSSASSSLPSGKNNSRVLPQRIVSSCTVPIKGLGLHHASSENPKPHNRYRADVIPMKGLGLHHASSENPKPHNRSRADVIGEVNHEILSEMLRSDGQLNTRGTSADEAHDMLPTAGLREQKMDSTCINNAAQQMEIHEMHPIQALRGNSDDSDNLERRGRGTVHVNEATIQRIQEPIESICGGQDFQDGERVDERPTEPHVQNAASSHCTIGNTPLRRCSVSGSSLNLTLGSHQIDHVSITKTSHLWDPFRDIQQQPHFLPLQEFAPEVREGIAFGLMVGYVNLVNSIKKSCIEDNIASFEGKISALAHLERNGFDVKFLQHSLDKLLQIKRDHAKHLERVDKLKELLPEKESMVSGKYVLLREKEEAICQFQQKLECLHGEIEQIAKETKDGNAEISRLKEDVNITLQERDDVVLRFQSVQTEFCSRLHISD* >Brasy4G009100.3.p pacid=40088820 transcript=Brasy4G009100.3 locus=Brasy4G009100 ID=Brasy4G009100.3.v1.1 annot-version=v1.1 MPSPSPEQTGRRRSRSRGWSSTPKGSSRRPPQSPEPSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFEATVETFVPAQRRRSRPRCTVAYTYLPPDHPDTTTNLVELSRIRPRPPLSSPEAEPFRLHDIVEAFHKDGWRSGIVLATDPLTVAFPITREVVAFQEPHHARPRRDYVDGQWVASQAAVAVQPKLAVRVYAVGEEVEVARDRELYGVSWYPARVAKAIDTLSYLVEYLDLKDEKGEGMLTEYLHYRFIRPAVRWERGFWLKPGAAVEAYREGAWSAGVVRRHVGENKYEISIDGKEAELLLTTMQELLKPLYNWNGKYWNIVRPKRQGNKRQSISGEHPSSPVEVASNDDEHKRHTESSSTKRSRVELMDKTPEELTDGSKNAPECEMTTTLSALRKSSASSSLPSGKNNSRVLPQRIVSSCTVPIKGLGLHHASSENPKPHNRYRADVIPMKGLGLHHASSENPKPHNRSRADVIGEVNHEILSEMLRSDGQLNTRGTSADEAHDMLPTAGLREQKMDSTCINNAAQQMEIHEMHPIQALRGNSDDSDNRQLERRGRGTVHVNEATIQRIQEPIESICGGQDFQDGERVDERPTEPHVQNAASSHCTIGNTPLRRCSVSGSSLNLTLGSHQIDHVSITKTSHLWDPFRDIQQQPHFLPLQEFAPEVREGIAFGLMVGIAWTNCFR* >Brasy4G009100.4.p pacid=40088821 transcript=Brasy4G009100.4 locus=Brasy4G009100 ID=Brasy4G009100.4.v1.1 annot-version=v1.1 MPSPSPEQTGRRRSRSRGWSSTPKGSSRRPPQSPEPSSPQPLAQLPPLPPGTEVEVRVDDDGFHGSWFEATVETFVPAQRRRSRPRCTVAYTYLPPDHPDTTTNLVELSRIRPRPPLSSPEAEPFRLHDIVEAFHKDGWRSGIVLATDPLTVAFPITREVVAFQEPHHARPRRDYVDGQWVASQAAVAVQPKLAVRVYAVGEEVEVARDRELYGVSWYPARVAKAIDTLSYLVEYLDLKDEKGEGMLTEYLHYRFIRPAVRWERGFWLKPGAAVEAYREGAWSAGVVRRHVGENKYEISIDGKEAELLLTTMQELLKPLYNWNGKYWNIVRPKRQGNKRQSISGEHPSSPVEVASNDDEHKRHTESSSTKRSRVELMDKTPEELTDGSKNAPECEMTTTLSALRKSSASSSLPSGKNNSRVLPQRIVSSCTVPIKGLGLHHASSENPKPHNRYRADVIPMKGLGLHHASSENPKPHNRSRADVIGEVNHEILSEMLRSDGQLNTRGTSADEAHDMLPTAGLREQKMDSTCINNAAQQMEIHEMHPIQALRGNSDDSDNLERRGRGTVHVNEATIQRIQEPIESICGGQDFQDGERVDERPTEPHVQNAASSHCTIGNTPLRRCSVSGSSLNLTLGSHQIDHVSITKTSHLWDPFRDIQQQPHFLPLQEFAPEVREGIAFGLMVGIAWTNCFR* >Brasy4G126900.1.p pacid=40088822 transcript=Brasy4G126900.1 locus=Brasy4G126900 ID=Brasy4G126900.1.v1.1 annot-version=v1.1 MAIGRERYSATAESVDFIKDAEQARQRVNAFVKDATNNLIAEVLPPVSVDSSTAIVLANALYFKGTWSEEPFDPSATFVAPFHTPDGTVVRVPFMTDQDDKHIAVYPGFKALKLPYKSDDGDGRRFYMLLLLPDNTTNLSDLYDQAVTTPGFIKNHSPTAKVPVGRFMVPKFKFTFEFEASSDMRKLGLTKPFEGGDLSGMVSGGDGLGITGVHHKATIEVDEVGTVAAAATAIDMVSSAGVAGPPRPQVDFVADRPFLFSIVEERSSAVMFLGHVVNPLDH* >Brasy4G009600.1.p pacid=40088823 transcript=Brasy4G009600.1 locus=Brasy4G009600 ID=Brasy4G009600.1.v1.1 annot-version=v1.1 MFLGWPIDYCEERLFRQAVLRFGFPLNWVDRHMKEADILIRCLVKEHLKVPRRTILEHICHYGGRGRSWTMPTMLLDGDLDGILPFEEEIPEGTRNRHAPLPPSEHVAGWEMWGNNADAEEDNLAAVAWPQQQEVDALMPNSPSEPRDLRAPQRPLGLQIDRAPVFSGSMNSCSDESVVLRLGPGSSSAPRRRVLPGISIRSPPRDALPVVVPAQSQDLDPELQLFAQDLGIASAFDRDLQIALLDQHETALTFQSEFVDPTDPKGKKVAVGPSRLMVPPGTARCTVPAPVIDLGALCGSASDELPDSPPKTQFAVPASTPVIWPSPLYHIPGLTPHADAPVFPPPVAAVPTAINPAEPVADNILQLISQNQMNILQDIAPTSAKKKRIIRYLADLVVKEAAKASTKEDTLHSAFSPIRKLDPLLSADLAPALSQTGSVASVGKHGRLR* >Brasy4G175200.1.p pacid=40088824 transcript=Brasy4G175200.1 locus=Brasy4G175200 ID=Brasy4G175200.1.v1.1 annot-version=v1.1 MLGDSRRRPGIRREPAAGDKEFEEKQQREVRDSRRSVRGRGRGGAWRWLLGGGRGLRWLEEADWRREDEEEKEGSGQENWGK* >Brasy4G189900.1.p pacid=40088825 transcript=Brasy4G189900.1 locus=Brasy4G189900 ID=Brasy4G189900.1.v1.1 annot-version=v1.1 MRGFACAHAEKRHRLDRTFNSLGKKGYVGSCCTKYKPLSLLLPEGFSGKMLYAKLVLILLMCASFMGLLHSPSIHRGHGGDDQHSTMSPEVSRLGSLAPADADEPADSGGYASSLRIDWSRISMAVQGAAARGEGGGHGLRVGLLNFDGDEVEQWRTVLPESAAASAVHLERVGSNVTWEHLYPEWIDEEELYDAPTCPDLPEPAPAPAADGYDIVAVKLPCSGASGWSKHVPRLHLQLAAARLAAGRGSSEAAAAHVVVVSPGRCFPAPNLFRCKDEVMRDGDVWLYRPDMAELRQKLALPVGSCKLAMPLKALQDESHTAPAPGPRREAFATILHSEQLYACGAIVAAQSIRMSSASGVQRDMVALVDETISSRHRAALELAGWKVRTIRRIRNPRASANAYNEWNYSKFWLWTLTDYARVVFLDADLLVQRPMDPLFAMPELSATGNHGTLFNSGVMVVEPCNCTFGLLMAHIGDIGSYNGGDQGYLNEVFSWWHRLPSRANYMKHFWEGDSAERREAKRRVLAARPPVALAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWEAHDAMRPTSLRRFCLLDERQKALLRWDAKEARKANFSDGHWRERIADPRRRICAGEAGCREREIEGRRVEGNRVTTSYAKLINNF* >Brasy4G189900.4.p pacid=40088826 transcript=Brasy4G189900.4 locus=Brasy4G189900 ID=Brasy4G189900.4.v1.1 annot-version=v1.1 MCDFQVINWNFLQMVDCHCNSLGKKGYVGSCCTKYKPLSLLLPEGFSGKMLYAKLVLILLMCASFMGLLHSPSIHRGHGGDDQHSTMSPEVSRLGSLAPADADEPADSGGYASSLRIDWSRISMAVQGAAARGEGGGHGLRVGLLNFDGDEVEQWRTVLPESAAASAVHLERVGSNVTWEHLYPEWIDEEELYDAPTCPDLPEPAPAPAADGYDIVAVKLPCSGASGWSKHVPRLHLQLAAARLAAGRGSSEAAAAHVVVVSPGRCFPAPNLFRCKDEVMRDGDVWLYRPDMAELRQKLALPVGSCKLAMPLKALQDESHTAPAPGPRREAFATILHSEQLYACGAIVAAQSIRMSSASGVQRDMVALVDETISSRHRAALELAGWKVRTIRRIRNPRASANAYNEWNYSKFWLWTLTDYARVVFLDADLLVQRPMDPLFAMPELSATGNHGTLFNSGVMVVEPCNCTFGLLMAHIGDIGSYNGGDQGYLNEVFSWWHRLPSRANYMKHFWEGDSAERREAKRRVLAARPPVALAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWEAHDAMRPTSLRRFCLLDERQKALLRWDAKEARKANFSDGHWRERIADPRRRICAGEAGCREREIEGRRVEGNRVTTSYAKLINNF* >Brasy4G189900.2.p pacid=40088827 transcript=Brasy4G189900.2 locus=Brasy4G189900 ID=Brasy4G189900.2.v1.1 annot-version=v1.1 MLYAKLVLILLMCASFMGLLHSPSIHRGHGGDDQHSTMSPEVSRLGSLAPADADEPADSGGYASSLRIDWSRISMAVQGAAARGEGGGHGLRVGLLNFDGDEVEQWRTVLPESAAASAVHLERVGSNVTWEHLYPEWIDEEELYDAPTCPDLPEPAPAPAADGYDIVAVKLPCSGASGWSKHVPRLHLQLAAARLAAGRGSSEAAAAHVVVVSPGRCFPAPNLFRCKDEVMRDGDVWLYRPDMAELRQKLALPVGSCKLAMPLKALQDESHTAPAPGPRREAFATILHSEQLYACGAIVAAQSIRMSSASGVQRDMVALVDETISSRHRAALELAGWKVRTIRRIRNPRASANAYNEWNYSKFWLWTLTDYARVVFLDADLLVQRPMDPLFAMPELSATGNHGTLFNSGVMVVEPCNCTFGLLMAHIGDIGSYNGGDQGYLNEVFSWWHRLPSRANYMKHFWEGDSAERREAKRRVLAARPPVALAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWEAHDAMRPTSLRRFCLLDERQKALLRWDAKEARKANFSDGHWRERIADPRRRICAGEAGCREREIEGRRVEGNRVTTSYAKLINNF* >Brasy4G189900.3.p pacid=40088828 transcript=Brasy4G189900.3 locus=Brasy4G189900 ID=Brasy4G189900.3.v1.1 annot-version=v1.1 MLYAKLVLILLMCASFMGLLHSPSIHRGHGGDDQHSTMSPEVSRLGSLAPADADEPADSGGYASSLRIDWSRISMAVQGAAARGEGGGHGLRVGLLNFDGDEVEQWRTVLPESAAASAVHLERVGSNVTWEHLYPEWIDEEELYDAPTCPDLPEPAPAPAADGYDIVAVKLPCSGASGWSKHVPRLHLQLAAARLAAGRGSSEAAAAHVVVVSPGRCFPAPNLFRCKDEVMRDGDVWLYRPDMAELRQKLALPVGSCKLAMPLKALQDESHTAPAPGPRREAFATILHSEQLYACGAIVAAQSIRMSSASGVQRDMVALVDETISSRHRAALELAGWKVRTIRRIRNPRASANAYNEWNYSKFWLWTLTDYARVVFLDADLLVQRPMDPLFAMPELSATGNHGTLFNSGVMVVEPCNCTFGLLMAHIGDIGSYNGGDQGYLNEVFSWWHRLPSRANYMKHFWEGDSAERREAKRRVLAARPPVALAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWEAHDAMRPTSLRRFCLLDERQKALLRWDAKEARKANFSDGHWRERIADPRRRICAGEAGCREREIEGRRVEGNRVTTSYAKLINNF* >Brasy4G390500.1.p pacid=40088829 transcript=Brasy4G390500.1 locus=Brasy4G390500 ID=Brasy4G390500.1.v1.1 annot-version=v1.1 MQDSRSNKHGAQVDGFRGTPAHSIEQEGQQVGVDRLLRLHRGYQQQAQHKHGGGFGGGGSHSYPHLISREAFLPSSAAHGDEDLLSHPIPEPTPSLFFSSSLHLTTTTQAGLSTPTLFHCRAGEQRRRAVEQQRPRAGEQQQARPDPPATTKLATGSGLPRPSSGRSTGGGAWSTRPPGSGYRRSISSLSPCHRRRRGLRGGAGPCLARRRLLPSRGSSLPSLPDPSSLHRRISPLARLVLPSSLLLSIFPSLLFPNSISLSQVPSLPSPARWRRSPIPTPTLPASGSGLPRCARSGSADPAAGSRRDPSSIYPAGKSFSLPLSHALSLSLTLSPFPSTAAMDDGNIEGERWRRHLQDGGMSGGCGFDAGGAVWIWVWPGAGLVFYFIFF* >Brasy4G204600.1.p pacid=40088830 transcript=Brasy4G204600.1 locus=Brasy4G204600 ID=Brasy4G204600.1.v1.1 annot-version=v1.1 MRAGVCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLHASAGLLRAACLRSHSHPLQCKALELCFNVALNRLPAGSPLHGHVYYPPSLSNALVAAFKRAQAHQRRGGSVDTNNQQQQQPVLAVKIELEQLVISILDDPSVSRVMREAGFSSPQVKANVEHAVVSSIELGTGLTNKPNPSSSSSPPTDQENKPAGIGKLPVVEQVREEDVAAILDCLASGRSKRRVMVVAESSSAAEAAAMAAVDRIKEKAAPPRVISISVSMFRDAPREEAERRLGELRRAVARDSNKAAAGGRGVVLVVEDLRWAAEFWAGRAGRRPASSCGYYYCAVEHAVGEVRALACRGDGDGVWLVAYGSYQDYMRCRAGNPSLESLWSIQTLAIPAGSLALSLGCADVDSAIALSHRQSTSPACLSLLDASGSGQPVAAVSTCCGDCSATNPDAMRASHRSIVPSSSNIPPWLRHCRDQELPHCKKWSSTTCGGGSAAQRTTLNFSTAVSPSSSVSSYEQYYNMHQPYQPWIVADLHEPSKQYSWRAKYSSFDNVLTDEAVEGVKLLSAAVKSKSRDSSGSNGGGSVEVVGCRSGSFKEVSAENLKVLCGALEKEVPWHKEIVPEIASTVLRCRSGMAKRRHAMKAKEETWMLFLGGDTDGKARVARELASLVFGSRKSFVSIDGADACSPGRSDSFTEQHGANNKRHRPEASHLERMFEAVRDNPHRVILMEGVERLDRRGQMGIKEAIESGLVRRSHSVGADDHDVASLGDAIVVLCCESFDSRSRACSPPTSKKAKAKCEDGDDDGDTSDGHHDNEAASCFDLNMCMDEDQEVVVDECSFDDAGLIKAVDRTLFFRPPEEV* >Brasy4G350800.1.p pacid=40088831 transcript=Brasy4G350800.1 locus=Brasy4G350800 ID=Brasy4G350800.1.v1.1 annot-version=v1.1 MGSWRKAYGALKDSTRVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKIFFATSVNRPLADVSYCIYALSRRLSKTKNWTVALKTLIVLHRLLREGDPTFKEEFLAYSYRGNVLHMSNFKDDSSILAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKAHSRTRTLPCLDLLEHLPALQQLLFRLMGCQPEGAACSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMPRYDAVKALAIYRRAGMQAENLADFYDFCKQLELARTFQFPTLRQPPPSFLTTMEEYIREAPRPSINSVQESKERKLLTYSQEAPKEPENSAEEEKEEPAEPKQEQEPEPEQQSQPLQTTGDLLNLDEEVNPLVTELEEHNALVLAIIGPGDHSKPSTCQDFFSCNTSGWELALVTAPSSHTSRAVETKMAGGFDKLLLDSLYEDGARRQQIASMTYNGSLGQANPFETNDPFAMSYNFAPPSNVQLAMVTQQQHQYYQAQQHQHQYNQPQLQQPYFQPQHQYFQQQPQYSSQPAGSYNPFGDLVAMAPPPRQGSSSLL* >Brasy4G350800.2.p pacid=40088832 transcript=Brasy4G350800.2 locus=Brasy4G350800 ID=Brasy4G350800.2.v1.1 annot-version=v1.1 MGSWRKAYGALKDSTRVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKIFFATSVNRPLADVSYCIYALSRRLSKTKNWTVALKTLIVLHRLLREGDPTFKEEFLAYSYRGNVLHMSNFKDDSSILAWDCSAWVRTYALFLEERLECFRALKYDIETERLMKSPQCSTKAHSRTRTLPCLDLLEHLPALQQLLFRLMGCQPEGAACSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMPRYDAVKALAIYRRAGMQAENLADFYDFCKQLELARTFQFPTLRQPPPSFLTTMEEYIREAPRPSINSVESKERKLLTYSQEAPKEPENSAEEEKEEPAEPKQEQEPEPEQQSQPLQTTGDLLNLDEEVNPLVTELEEHNALVLAIIGPGDHSKPSTCQDFFSCNTSGWELALVTAPSSHTSRAVETKMAGGFDKLLLDSLYEDGARRQQIASMTYNGSLGQANPFETNDPFAMSYNFAPPSNVQLAMVTQQQHQYYQAQQHQHQYNQPQLQQPYFQPQHQYFQQQPQYSSQPAGSYNPFGDLVAMAPPPRQGSSSLL* >Brasy4G347200.1.p pacid=40088833 transcript=Brasy4G347200.1 locus=Brasy4G347200 ID=Brasy4G347200.1.v1.1 annot-version=v1.1 MGGSRALSLSSLCAASLSLAAATRSPLHRTRHLRPRLAAAAAAMSSSSSPSPAPAPGGADSAAAPSASSAIDFLTLCYRLKTTKRAGWVRRGLQGPESVADHMYRMGVMALVAADLPAGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKEALDHMCALLGGGSRADEIRELWTEYENNATLEAKVVKDFDKVEMILQALEYEKEQGRDLEEFFQSTAGKFQTDVGKAWAAEIVSRRK* >Brasy4G430400.1.p pacid=40088834 transcript=Brasy4G430400.1 locus=Brasy4G430400 ID=Brasy4G430400.1.v1.1 annot-version=v1.1 MADVAMALATVFFCLLILSSAAISLLLLRLCRAALRPASSAALPPLPPPPPQELAFLVSPPPPPPPKEEEELKKSMAEGEPRRLAWREVEALTGGFDEAAVVGRGGSSTVYLSTAASRVSPAAVKVQRWCSGGERRAAAFRRELGLLRRLRHPNLVALRAYSDDHEEGGALVMEYIAGGTLAGRLHGTLSWRHRMRAVHDVAAALEHLHDHAAVVHGDVSASNVLLDGLGGAQLCDLGSACECTFSAAVARGAAAVGSPGYADPFFLRTGVVSKKSDVYSFGVLLLEAVTGSPAAGDGEYLTARILPRVRAVGVEGLVDGRLGSEYDAEEAIDIGKIAVECLAAQPGLRPTIARVKAAVEEKAARSIAATAGAADHKLHDLFRMTS* >Brasy4G203900.1.p pacid=40088835 transcript=Brasy4G203900.1 locus=Brasy4G203900 ID=Brasy4G203900.1.v1.1 annot-version=v1.1 MTPPDTSHLCALATDPYAFFPSTPARPASPSSTAAAEGMLPASSRSGVVVPDSSLNRHARSLGTCCSPRTATCPTLPPPRMPTCCSDTPASCSHRYPSSTSSSYWALAAAPLN* >Brasy4G440000.1.p pacid=40088836 transcript=Brasy4G440000.1 locus=Brasy4G440000 ID=Brasy4G440000.1.v1.1 annot-version=v1.1 METRSRKRAAASSSSASSSSSKRARPTPTLAPRARRSSSSAAQALPMDPSPSSRRRARAAADKGKDPDPSSTSNPSPTAPLPNDDEDDDSAAPFPPSFTSASTALQGLLRRLGAGLDDLLPGSAAAAAAAASSSTTSAQLKRILAGLQAEGDESRQLSSLMQLCEMLSIGTEDSLAAFPVDAFVPLLVGLLGREEAAGGASPDVMLLAARALANLVDVLPSACSSVVHYGAIPCFCARLLTIEYMDLAEQSLQALRKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLHHHDSKVLEHASVCLTRIAEAFAHYPEKLDELCNHGLVAQAASLVSISNSAGQTSLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTIKDILSGSGLVAGTSVAPAMSRPADQMFEIVSLADDLLPHLPVGIISLPTHCCVFVKGSSAKKSAPAKQDGAGSTENERSGHESLLREHPELLQQFGMDLLPVMTQVYGSSVNAPIRHKCLSIIGKLMCYSSAEMIQTLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVEALICSESSNTVPPPVSQQDKDSDPVMSARPRRQRRRGGAAPTDNNSLDVSNVSNSGISSSSPSSTEVPVTSLRFEVSDRAKSFKDKYFPSDHGSSDIGVTDDLLKLRTLCAKLNTVTDNVVTKAKGKSKALSANNYDFSNDVEEQLDLIVTEILAELSKVDGVSTFEFIRSGAVATFLDYLSCGTFGKERVSEANLPKLRQQVLRRYKFFISVALSVDHGRNETPMTLLVQKLQSAFCSLERFPVVLSQSSRIGTGGSRLTSGLGALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPIVPSANCSESAVPGTTAGALSTPASAQSARCPTTRSKSSAASSGTSNKESLEESSSAAKGKGKAVLKPSSSSAEPKGPNTRNSTRRKAALEKDLEMKQTHGDSTSEDEDLDTSHIEIDDALMIDDDDISEDEDDDHEVLQEGSLPICVQDGVHDVKLGDTDDSNVGSASDNQAHPSSGSSARNIMSRGANAAEFRSASTFGSQGAMSFVAATMAGLTSGGSRGVRGSRDRRGLSLGGSMNQQNKLIFMAGGKQLSKNLTVYQAIQRQLMLDEDDEERFNGSDLPNDGNRFWGDVFTITYQKADSQVEKGSQGGSTSLHPKSDSCRSISEARRVSLLDSILQAELPCDLERTNSTYSILALLRVLEGLNQLSPRLRAQAASDDFAEGKITTLDELYETETKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQHQGDNHNSGSEREVRFGRLQRQKVRVSRNRILDSATKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLGHELQSARLGLWRSSSPYGSEMEIDRSGVVHLNSEEDLPGKELDSDFPDDRRHLIQAPLGLFPRPWPSDVDASEGSRFFKVIEYFRLIGRVMAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIISFDVELGKTLQEMQVLVERKRFLESTSGRNELQVTDLCFRGTPVEDLCLDFTLPGFPDYVLKEGKQNTIVNIHNLEEYVNLVVDATIKSGIMKQVEAFRSGFSQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAIINLLEIMVEFTPDQQHAFCQFVTGASRLPPGGLAALSPKLTIVRKHPSSGVNTSNATGATEAADDDLPSVMTCANYLKLPPYSTKEIMRKKLLYAILEGRGSFDLS* >Brasy4G362200.1.p pacid=40088837 transcript=Brasy4G362200.1 locus=Brasy4G362200 ID=Brasy4G362200.1.v1.1 annot-version=v1.1 MVMVMMYDVNVAAHICLFISCAFFCRLTNNVLSSAQQVASKTWRCQKSCQRICYDPSLATVGCQACKHISNIVVLSTICKSSEEIQ* >Brasy4G232900.1.p pacid=40088838 transcript=Brasy4G232900.1 locus=Brasy4G232900 ID=Brasy4G232900.1.v1.1 annot-version=v1.1 MAIILLLLLSGLTPFLQAGADVFCDNVKAVAATLPKNTSSSPAHFATAAFGNAPDVVYALSLCRGDILNDTACAKCVQCYKDANYYGDCLLVYSGDAQILAPFDANGNGNGTPPFEKWNVRNVTGDVSLITGLIRELLVETVETAAAAAGSSNSSTAMPRFATGVMDSGTNFPMVYSMAQCTPDMSAGDCLACLRRLLDMVNSTMALRIGGQIHVIRCYFRYEASQFYGGQPMVRIGPPAPSAALSPTTKHKRKLWAIPVVVVPLAAAAFLCFIFYSRRLTKQRKGKLMRSQGSRRAQDLGEEQLVWQGKNSEFSVFDFEQVMEATNNFSEENKLGQGGFGAVYKFPDGLDVAVKRLASHSGQGFIEFKNEVQLISKLQHKNLVRLLGCCSKEEEKILVYEYLPNKSLDFFIFDENKRDLLDWSKLVAIIEGVAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSTNNTEGNTTRRVVGTYGYMAPEYASEGVFSVKSDVFSFGVVMFEILSGKRNSGKQQCGDFINLLGYAWQLWEEGRWIDLVDASLDLKSQSTEIMRYINIALLCVQENAVDRPTMADVVAMLSSETTIMVEPKKPAYFNVRVGNEEVSTASESCSINEMTMSVTIPR* >Brasy4G333500.1.p pacid=40088839 transcript=Brasy4G333500.1 locus=Brasy4G333500 ID=Brasy4G333500.1.v1.1 annot-version=v1.1 MAAMNSDLGGLAGTPANPQPNHFESALYGAGPGLIRTGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKIIFFPFFHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVIAGYALGVLGRFTPEALSLQFTRGLLGWFLQVILIKGLLYSLGNGEAPLLDIVAYAGYGFAGTSLAMLARIFWGFSYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAAVQFPMLFWLGNISGWSSVSRVS* >Brasy4G333500.2.p pacid=40088840 transcript=Brasy4G333500.2 locus=Brasy4G333500 ID=Brasy4G333500.2.v1.1 annot-version=v1.1 MAAMNSDLGGLAGTPANPQPNHFESALYGAGPGLIRTGLGAYGEKFLGSSSEFMQSNGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVIAGYALGVLGRFTPEALSLQFTRGLLGWFLQVILIKGLLYSLGNGEAPLLDIVAYAGYGFAGTSLAMLARIFWGFSYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAAVQFPMLFWLGNISGWSSVSRVS* >Brasy4G047400.1.p pacid=40088841 transcript=Brasy4G047400.1 locus=Brasy4G047400 ID=Brasy4G047400.1.v1.1 annot-version=v1.1 MAALQRGPRASGAATRRPAGGLPRRRQRPGPPRPLTSSPRSDPSSPAWPPVTSSTVSRGGGATAQGRAKAAELSIRIATAAVADLVSAGTRLF* >Brasy4G419600.1.p pacid=40088842 transcript=Brasy4G419600.1 locus=Brasy4G419600 ID=Brasy4G419600.1.v1.1 annot-version=v1.1 MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKARAGRGFTLEELKAAGIPKKLASTIGISVDHRRKNRSLEGMQSNVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGEYMPITRGEKRSIEVVKVTDEMKAFKAYGKLHVERMNQRQVGARMKKAAEAEKDEKK* >Brasy4G105900.1.p pacid=40088843 transcript=Brasy4G105900.1 locus=Brasy4G105900 ID=Brasy4G105900.1.v1.1 annot-version=v1.1 GRGGPIPSRSRYRFRRTAPTRTSPGPETRRRSAPSRRLGLVEKLPRIRLLAESALVHYDRRTSRQAEGALSQGRLDELALLADISGQGNTPRGCSPETKRRKGGKRELRWRGEEWTGVRRISDMRITSPNCRGSA* >Brasy4G255100.1.p pacid=40088844 transcript=Brasy4G255100.1 locus=Brasy4G255100 ID=Brasy4G255100.1.v1.1 annot-version=v1.1 MATAAASLLVPPSSAACCAGPATPRALFPTSVPSLRAYPRLLLAFRRPAAAAVADPQGAGAAVLEEEEDDQTPVQFDDADDDYEDGYGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRYLEIQKLRESKKEYGVRTALSLMKQVANARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAVLTQGEKIDEARAAGADIVGADDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIDEFKKGKVEFRVDKTGIAHIPFGKVNFPEEDLIANFMAVVRSIERNKPSGAKGIYWKTAYVCSSMGPSIKLNIKEMLDYGTESSD* >Brasy4G231900.1.p pacid=40088845 transcript=Brasy4G231900.1 locus=Brasy4G231900 ID=Brasy4G231900.1.v1.1 annot-version=v1.1 MAPPSASSSSAAPPAGGFVLWLHGSGQTGEQSRAQVEPYFFAAPELAPALRLSFPTAPTAPIACYGGEVINAWFGISEVPITASTVRDEKEVLQAVEHVHEMLNKEVAAGTSPTNIFVCGLSQGGALAIASVLLYPKTLGGCAVFSGSVPLRKSFAERVSPEARKTPVLWFHGMADDLVLFEAGHAGCTFLRELGMTCEFKTYPTLGHSLVDEELQYFRRWVFDRLGISQGAEVACPLLSSHQEDLH* >Brasy4G071500.1.p pacid=40088846 transcript=Brasy4G071500.1 locus=Brasy4G071500 ID=Brasy4G071500.1.v1.1 annot-version=v1.1 MGLRDIELTLPPGFRFYPSDEELVCHYLRNKVANERFAAGGPAGTMVDVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIRNYPRLAATTSGARAASIVGMRKTLVFYRGRAPNGTKTCWVMHEFRIENPHTPPKEDWVLCRVFHKKRADTEYAMDSEQEMAGKGWNYVSSSSSCHGLEHQSPPAAFPSLGAGGGTHHHYQLPSSHDHHHQTGVDAFASMPPLLSYDSILDFSQHLDGSGGTGTVVGAAEGSRDDGGDQCGGLLMDLGLQEEQYNYNSLMQM* >Brasy4G027300.1.p pacid=40088847 transcript=Brasy4G027300.1 locus=Brasy4G027300 ID=Brasy4G027300.1.v1.1 annot-version=v1.1 MEKALGGGGVGGGGGGKLRGAAGLMGLQKQYSWSPDIERDEAWERRRRGLRRRGSAGALQRAQSVTDDDLDELRGCIDLGFGFEAPVGCAACGGAGRSSRLVQTLPALDLYYAVAAGAGGGSEGCATPCSCGGSSEATSEASPIGSPMSILSPGDPPETVKMRLKQWAQVVALSLRNRA* >Brasy4G423300.1.p pacid=40088848 transcript=Brasy4G423300.1 locus=Brasy4G423300 ID=Brasy4G423300.1.v1.1 annot-version=v1.1 MRTATLELRPRVLPRPHCVEEMETLPIPDELLAEIFLRLPSPADLARASATCAAFRRVAARSSGGTASSTPRRSSASSIAKYSIPPPRRTTPRRRPGRPPSPPTSPSPSSQPPPSTGPSRTSATAVSSSTDPASATSMRSADTKSSSRRWWCATPCIGGTFCFPRSLTT* >Brasy4G306900.1.p pacid=40088849 transcript=Brasy4G306900.1 locus=Brasy4G306900 ID=Brasy4G306900.1.v1.1 annot-version=v1.1 MRAFGFILGRLNGSIVELPGEALPNVKVFLSEGYNFYTSDIYSSRVDEGHVAGASFEMAITDQPSCEIAQKNKASYACNDQSDCKDLSSGGGYSCWCPGHAQDDNPYVMGGCSQSQGYSPNPKEGCKRSCGNTSIPFPFGIEKGCYALEKFQLTCTSDNVTILDRGVEYLVANISVNEGYLSVRETQNNSIYDNEEVSIRATSADGHSGEEDYLLDDLLYLSEEYDMKMWWSVNNLTCSTSITMSKQRGAYACRSVNSMCVNVTHGHRNGTMQLGYRCKCSQGFEGNPYTLDGCIDIDECLMTNMCNGTCQNYPGGHSCKNCTSGKEFDPTKRKCGTSAKRRSLLIGVAIGIGCGVGAIILALGATVVISKWKKGIQKRIRKAYFKKNQGLLLEQLILDESATDKTKIFSLEELDKATNNFDASRVLGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHTDVSVNCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDGNLTTKVSDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLIRKKPIFVNDLGTKQSLAHYFVEGLQQGVLTEIMDSQVVEDANQDEIDYIASIADACLKSKGGERPTMKEVEMKLQFLRTTRTRSQLPPQK* >Brasy4G299300.1.p pacid=40088850 transcript=Brasy4G299300.1 locus=Brasy4G299300 ID=Brasy4G299300.1.v1.1 annot-version=v1.1 MAKSGKSAEAATSTASPPSPPSSAAKPKAKKRLEIEGYPVEGISIGGHETCVIFPTLSLAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYSLRPPTIFVPKYLKELVERLFDVHRAMDQSELKHTLVPLEVGEEYELRRDLKVKAFKTYHVIPSQGYVIYSVKQKLKQEFLGLPGSEIKRLKLSGVEITNTVTVPEIAFTGDTMSDFILDPDNVDVLKAKILVVESTFLDDSVSVEHAREYGHTHLFEIASQSDKLQNRAILLIHFSARYTTEEIDAAISRLPPSFRSRVYALKEGI* >Brasy4G011300.1.p pacid=40088851 transcript=Brasy4G011300.1 locus=Brasy4G011300 ID=Brasy4G011300.1.v1.1 annot-version=v1.1 MQAQVPTPPVKANNKRKGNFSDKEDEVLVAAWLHASLDPIVGTEQKNATYWNRIHVEYELHKPEGSDRSVNSLSHRWSVLKEQIVDALKLFKSLDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASPSMSTHETNTVHIDDFEATSPAKADHMKRPIGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMARETARQARENAREASKKERYEGALAMEQKKIELEERKLEMEIMNKDLSSLDDDQKEYYRMLRRLWIMLLYELFEIYGLCCCMNYLRFRLLYELFEV* >Brasy4G308300.1.p pacid=40088852 transcript=Brasy4G308300.1 locus=Brasy4G308300 ID=Brasy4G308300.1.v1.1 annot-version=v1.1 MTPSALANLAGASPLPTFAPRPRSRPNSVSPGPGPAPGGPAPRRLAVAAPPRAFFSSAPYQPEPAGYSSAREYGLVPMVVETTSRGERAYDIFSRLLKERIVCIHGPIADETASLVVAQLLFLESENPLKPISLYINSPGGVVTAGLAIYDTMQYIRCPVNTICIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYAKHTGQNIDKIEQCMERDLFMDPEEAREWGLIDEVIENRPASLMPEGLTIDPPHHGGGASNNGRGREEEPSAV* >Brasy4G277200.1.p pacid=40088853 transcript=Brasy4G277200.1 locus=Brasy4G277200 ID=Brasy4G277200.1.v1.1 annot-version=v1.1 MARPTQEAIDTFVGITGADEAVAVRKLEEHSGDLNEAVNAYFNEGDRSTTIINRNPIADNYDDMMDLDEPFDPTFSRPVGNPFDILDPGFAERAAAGFFGRGPQVTHPREVRQIPIEVKDDNPHTGSSGQGPVIEDVTGRESSYGPEVHGTVVVDEDDDDDLLPAHAPNIQRNTSGTYYSAPSAPPLTDFNNYNNDIEEEMIRAAIEASKRDAEGPTDAAERERVLRQEGMPVVDNSSDLSDKEDIEGASEAVERQGLTAGKVGTSRQSVDAENSQDDIEDVEEEPLVRQRSRRALSRNSEPAEAVQRTDSPPSSPQPHGTESVYAHHGGFPPEEWGLISNEEHDEAVMLEAAMFGGIPEHTAYQFPRPSHGISTSYPRVAHPPSPTLTAQRLLREQQDDEYLAALQADREKELKAMEEAEVRRLEEAAAREEAIEKQKQEKEEKLRKQLEEEELESMLAAKRASLPKEPLLNAEGAVTVVVRMPDGSRQGRRFLKSDQLQVLFDFIDISRTFKPGSYRLVRSYPRRVFTDEECQMSLSDLGLTSKQEALFLEKISG* >Brasy4G312700.1.p pacid=40088854 transcript=Brasy4G312700.1 locus=Brasy4G312700 ID=Brasy4G312700.1.v1.1 annot-version=v1.1 MDPRARYSPGTGNGRGGNPNYYGRGPTLPQHNNQQQQQTSAAHHQQYVQRQPQQHHPNHQQQQQQQQQQQQWLRRNQIARGAAGTAGTSQPKAVAPSPAADGNDSSSQDWKAQLKLPPADTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVIVVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ* >Brasy4G151700.1.p pacid=40088855 transcript=Brasy4G151700.1 locus=Brasy4G151700 ID=Brasy4G151700.1.v1.1 annot-version=v1.1 MAPLPPHHLPLSAPSAAAHSTASSPAAAAGGSGGGPGAAAEASGSAGHHGVRQRGGRLRAQGVVQARGGLAKLRLSFQTDPQGQGEDPRQLFAAPVLGFSTKHFSVMYDVERRNALLRGDASLPGGAVQLRASHDVKDQEGEVAVITRLGDPSYKLELSSLVPYSGLPRTTLHFPIGQVSMEERRNEDGEKMLSVYGIGKSDFLDGILTAQYSENDLNLRYCYKDTELTLIPTVSLPSNAVSLDFKRRFGSSDKLSYHYNFDTDDWHAVYKHTIGKNYKLKAGYDSEVRVGWASIWAGQEEGKAKAAPMKMKAQLMLQVPQDNFRNPSLLFRVKKRWDL* >Brasy4G062300.1.p pacid=40088856 transcript=Brasy4G062300.1 locus=Brasy4G062300 ID=Brasy4G062300.1.v1.1 annot-version=v1.1 MDYDRLNSPSTSAITLELLGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGAKAVPCTGSAEAGAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G062300.2.p pacid=40088857 transcript=Brasy4G062300.2 locus=Brasy4G062300 ID=Brasy4G062300.2.v1.1 annot-version=v1.1 MDYDRLNSPSTSAITLELLGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGAKAVPCTGSAEAGAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G062300.3.p pacid=40088858 transcript=Brasy4G062300.3 locus=Brasy4G062300 ID=Brasy4G062300.3.v1.1 annot-version=v1.1 MHPWCLPNSCNSTNWIICYNSHSQEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGAKAVPCTGSAEAGAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G062300.4.p pacid=40088859 transcript=Brasy4G062300.4 locus=Brasy4G062300 ID=Brasy4G062300.4.v1.1 annot-version=v1.1 MVFAKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSNSDSDSFGTVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKVMLGYEIRSTTVHEQMMEMWKSNFNVKTISKSKMDAKYQHPSIHLYMMDLKASLVPEAGSNDNGNTEEEDDDVSNPGEDEDPGAKAVPCTGSAEAGAENLDDWEIRRCGAMAARLLKDVKLT* >Brasy4G243900.1.p pacid=40088860 transcript=Brasy4G243900.1 locus=Brasy4G243900 ID=Brasy4G243900.1.v1.1 annot-version=v1.1 MAAAAPSDGHSRRRAGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYNLPSTGAELHFSRTITGAGGSEYRIDGRVVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQIAGSDELRREYDETEDLKTRAEEKSALVYQEKRTIVMERKQKKAQKEEAEKHLRLQQDLKVLKTEHLLWQLYTIEKDMEKMEAELEEGRQSLQQVREENQSSDHELAAKKKEQSAFLKKMTLCEKSMAKKKLDIDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDNKKHLEEMKRLHSALVDVTRAIEELNEQGQDKSVKLQLADDQVQEYHRIKEDAGMRTAKLRDEKEVLDKELNADVEAKKNLEENMQQLRSRVDEISSQESELHTRLDKILNSIPKHEDELTRLREEHNKIAKERQSSGAKYQTLKKRVDEIDTQLRELKADKHEGERDARFSETVRSLKRLFPGVHGRMTELCRPAQKKYNLAVTVAMGKFMDAVVVEDESTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLIFDVIQYPFFSSLEKAVLYAVGNTLVCDKLDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGVSGGMEARSNKWDDSRIESLKKKKNQLESEMSELGSPRELQRKELAVSEKITGLEKKLHYSNVEQNNLKEKLHKLASEKRNIENEIDHLEPGKDELENRLAKNDREVRKREKKINEIVDRIYKDFSMSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMHAPIAKLKESHESLEKELKGLQERESGAKAEAEHILNQMEELKAEAEDWKLKSDECEKAIDELKEQNASVAAALAKLDRQVKLMEGQLAQLRARRREIHEKCELEQLKLPTVNDPMDTGSSSQELVLDYSQLREIYVQDMRLSERDKLEADFKQKIGTLMAEIERTAPNLKALDQYEALQRKEKEVTEKFEAARKEEREIAEKYNSVKQKRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCEGAGEEQDGDGGCGFQSIVISLKDSFYDKAEALVGVYRDSEGSCSRTLTFDLTKYKEA* >Brasy4G297600.1.p pacid=40088861 transcript=Brasy4G297600.1 locus=Brasy4G297600 ID=Brasy4G297600.1.v1.1 annot-version=v1.1 MASSKVANISGAGGDDDLQKKLVSPSGLPVREIPGGYGVPFFSPLRDRLDYYYFQGAEEYFRSRIARHGGATVLRVNMPPGPFITGSGGSRVVALLDARSYSVLLDDARVDKTDTLDGTFVPPLALFGGHRPMAFLDAADPRHAALKRVAIRLSAARMHHVAPAFHASFAAIFDAVDADVGTGRGVQFNKLNEHHMFDFTCAALFGGKPPSQAMGDGAVTKAIKWLALQLHPLASKIIRPWPLEDLLLHTFRLPPFLVRRDYAALTAYFADAASAVLDDAENKSLQQSAGVTRDELLHNLVFLAIFNAYGGFKIFLPHIIKWLARAGPTLHAKLAAEVRAAVAPHDKDQTITVQCVERSMPLVKSVVWESLRMNPPVEFQYARAREDMVVESHDAAYEVRKGEMLFGYQSLATRDPRVFGARAGEFVADRFVGDEKLLGSVVWSNGPENGVAAEGNKQCPGKDMVVAVGRLLVAELFRRYDTFEAEVEEMPLEPFVTFTSMTKAKAAAAAEERGE* >Brasy4G313700.1.p pacid=40088862 transcript=Brasy4G313700.1 locus=Brasy4G313700 ID=Brasy4G313700.1.v1.1 annot-version=v1.1 MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQDKCKIGMEEQSDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYTNNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGATPEEGWVVCRVFKKRVATVRRMADSSPCWFDDHAGGAFMPDLDSLGYQHQHHHHRHQNVAAYHGQQLYQCKPELEYHHLLPQEAFLQQLPHLESPKPPAYIGQGSSNILSTTGNLLAHDGGASMPSVQQPPMDHSLYMAAAGDTSATDWRLLDKFVASQLFSHGDPNPKDPGYSGETEQLFQAENKHEEALDYASTSAGGSGGGESRLWK* >Brasy4G313700.2.p pacid=40088863 transcript=Brasy4G313700.2 locus=Brasy4G313700 ID=Brasy4G313700.2.v1.1 annot-version=v1.1 MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQDKCKIGMEEQSDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYTNNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGATPEEGWVVCRVFKKRVATVRRMADSSPCWFDDHAGGAFMPDLDSLGYQHQHHHHRHQNVAAYHGQQLYQCKPELEYHHLLPQEAFLQQLPHLESPKPPAYIGQGSSNILSTTGNLLAHDGGASMPSVQQPPMDHSLYMAAAGDTSATDWRLLDKFVASQLFSHGDPNPKDPGYSGETEQLFQAENKHEEALDYASTSAGGSGGGESRLWK* >Brasy4G003100.1.p pacid=40088864 transcript=Brasy4G003100.1 locus=Brasy4G003100 ID=Brasy4G003100.1.v1.1 annot-version=v1.1 MRGGAAAVALLASLGNMLQGWDNATIAGSLLYIKREFRLDGRPGLEGLVVATSLIGATIVTTFSGPLSDSVGRRPMLVASSLLYALAGLLTLWSPTVQLLLLSRLVDGLAVGLAVTLVPVYISETAPAETRGRLNTLPQLTGSAGMFLAYCFVFAVTLSSSTPDWRLMLGVVFFPALLYVGVTVLWLPESPRWLVSKGRMKEAREVLRMLRGRDDVDGELALLAEGLGSGGGDTVVEEYVVGPAAASHEDGDGVTLYGPERGMSWAAQPVPLGGQGSLLGSAMSRQGSLLGSVMGLSRMGSMLDSMTDPVVALLGGLHDNNNNNKDSSDGGTLFTNFGSVLGAGDQDWDEENNNNNSALSDEDDLANGALRAPLLDMRRRQSSLTDGEMKATSTMGIGGGWQLAWKWTEGDGTSTAQVQRMYLHEEPSSAGAGEEAVHAAALVSRSALYAADDLSTGPALLHPAAADQKPRWREVLGEPGVRRALACGVALQVLQQFSGISGVLYYTPQILSQSGASALLAALLGLTADSTSVLVSGLTTLLMLPAIAVAMRLMDAAGRRTLLLYTIPFLVASLAALAAADALLAPAVPAIAAAVSAGGVAVYLCGFVIGFGPVPNILCAEIFPTRARGLCIAVCSLAFWLSDIAVTYSLPAMLGSLGLAGLFAVYAAVCCVTFVFVLLRVPETKGLPLEVIADFFAVGAQGLPKID* >Brasy4G053400.1.p pacid=40088865 transcript=Brasy4G053400.1 locus=Brasy4G053400 ID=Brasy4G053400.1.v1.1 annot-version=v1.1 MEFTSFLHINTTKLHNKSIDFLASCYDPAARCLLIPGRGRIAMTEESVYNALGCPHGTEPVPYKVDKDIEARLAPEMFPDMDLSKAPLHSQVNTMLSNMTESGDRFKRLSLMYIMSTILAPTTSTRISNRCYPVLDDIANVHKYNFCKFVLDQLHENLSKKKLNKGCRLYLMLLYVDSLDISELRISVPSAPFGVTAWTNSLIDEVMKADAKEDGSFGKLQMRNKVETLVGQFASGMTCLLGNLVQGWTGLTPPESEEMTRRFATVNGGVPTRSRTARGRFECFTYPSDTDSDDDEQELDGGDSSDSVDDPPENPGGGGGGGGEGKKDGDNQDGGMDGNDAHQGQGSWNDVVNNNDGAAHMDNDEATRNDGPGGESCGVGVVPASGSGAVHVALSAVGSALRPVMFPFLQLWGESEKDKDGHWYAISVNTNQRKFEILDSLRGPKDDELRFHSGEMVLHIKRAWREHYAGAKMQIQDFTTEHIAVPRQQNIDDCGFYMIELLKKWDGRVVPAFSPDEIVEARKILTHQLITNRDFNEKKNAKEFIEQYSK* >Brasy4G244600.1.p pacid=40088866 transcript=Brasy4G244600.1 locus=Brasy4G244600 ID=Brasy4G244600.1.v1.1 annot-version=v1.1 MASNNERYKKAFEAMKPLGISKKQLKPILKRLYKLFDENWEPIEEENYRVLADAVFEAQNDEPKPAAPSDATNEEPMPHRSTQLRPDDQHPSASASCYDMDVNDNETPLIKRSRRSRMSSADFVHEQPPQPIAIEGMSSQPVSPQPNQPIMALTVVRQADDHGDPSSIIACKRDKGLLLENPEDVPFREGPNPEIDLPAAPVANHLSIGPSGGKNMLIKHSKTREMLASGADAKVSIVNHNKKRDRSLHAVKNGVGYPVQNTHQTPSVELDLASSPMGEVKMSLKCEFDPSKVRISLDEVLKIVEDKCLRPYKIPPPDFSIGKLMNEVCQYVAELGAVHSEAQINGGSLQKEAVESNAPFVKPIACKHAVDGNGNAAVGFSVSDSSEPIFHPELPLSKQRPLHDVADISKGEERVSIPIVNEFGSESCPPLFYYIRKNLVFQSAYVHTSLARIGNEDCCTYCSGDCLLASLPCSCSRLTGGEFAYTPEGLVRAEFLDECIAVNHFPEKHDKFYCKACPLERSKNNASPDPCKGHLARKFIKECWSKCGCGMQCGNRVVQRGITCNLQVFFTKEGKGWGLRTLDELPKGAFVCEYVGEILTNTELHKRTVQNAKDCKHVHQVLLDANWGSERVLRDEEALCLDPTFYGNVGRFVNHRCYDSNLVVIPVEVETPDRHYYHVAFFAAKKIKAFEELTCDYGIDFDGTDTAFECMCGSKHCRYPKNSRKRTRAATSGN* >Brasy4G315700.1.p pacid=40088867 transcript=Brasy4G315700.1 locus=Brasy4G315700 ID=Brasy4G315700.1.v1.1 annot-version=v1.1 MHVRRPAPPRRPPNAELPDAEHHPRRFPIVRGHRAQRASAVSATSIASPPNSAAARHPTPPLPLAFNGVMWSQWGAEGKAQQSIH* >Brasy4G301700.1.p pacid=40088868 transcript=Brasy4G301700.1 locus=Brasy4G301700 ID=Brasy4G301700.1.v1.1 annot-version=v1.1 MHLITFFTNIIGVVTIGLVSIVSILGLICLSRSVYFQLWIKRRGYQRLNYFNGPWLTRITLILVAFWWGIGEVLRLTFVNGEGRFTSDRTWQETICKFYIISNLGFAEPGLFLLLAFLLNAALQKQELGTLNQKWNQKTIRAMFLICLPSLGWESCVVFIGPHVTSNNDQTSKLAQYWFSASTVHNGNVSCTYPLLSSIFLGAFYITLTIYMVFIGNQMLSLVINKGLRQRIYMLIFATVILLPRVILLGLSIVTWPGEIAHESLMFISFMILMIAAMVGIVILVYFPIADTFAIAAQEHVEMQASQTMII* >Brasy4G194000.1.p pacid=40088869 transcript=Brasy4G194000.1 locus=Brasy4G194000 ID=Brasy4G194000.1.v1.1 annot-version=v1.1 MAAAPVLSLTDAAAATAVLSSGLAPTRARTQVSPRLRGTVSLRCHRTRPLTAAAATGDSPSSAAFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPTAAYPTPFIAPGEESSRYAVILGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGASKECKTGDVVTVDLDNSVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA* >Brasy4G059900.1.p pacid=40088870 transcript=Brasy4G059900.1 locus=Brasy4G059900 ID=Brasy4G059900.1.v1.1 annot-version=v1.1 MSQEMAILQGDSSQSRHEEMMIAMDHERPHMSMYELIKVIKGANGMPVLFVPSSDGRLAAVVVDGLQNLVPAENKQQDYRGWLLLVSSLVATVTFTAGLAPPGGFWADDDEAKGRVAGTSVMSDRFPRRYEFFHWGNTTAFFTSMMIIGMLAININNKRVAVVGHAGIVTLVGMSFACLAASYIAGTWDGPRLVFYVFILLALNMLYMVLPWLWRRLSGWLST* >Brasy4G059900.3.p pacid=40088871 transcript=Brasy4G059900.3 locus=Brasy4G059900 ID=Brasy4G059900.3.v1.1 annot-version=v1.1 MSQEMAILQGDSSQSRHEEMMIAMDHERPHMSMYELIKVIKGANGMPVLFVPSSDGRLAAVVVDGLQNLVPAENKQQDYRGWLLLVSSLVATVTFTAGLAPPGGFWADDDEAKGRVAGTSVMSDRFPRRYEFFHWGNTTAFFTSMMIIGMLAININNKRVAVVGHAGIVTLVGMSFACLAASYIAGTWDGPRLVFYVFILLALNMLYMVLPWLWRRLSGWLST* >Brasy4G059900.4.p pacid=40088872 transcript=Brasy4G059900.4 locus=Brasy4G059900 ID=Brasy4G059900.4.v1.1 annot-version=v1.1 MSQEMAILQGDSSQSRHEEMMIAMDHERPHMSMYELIKVIKGANGMPVLFVPSSDGRLAAVVVDGLQNLVPAENKQQDYRGWLLLVSSLVATVTFTAGLAPPGGFWADDDEAKGRVAGTSVMSDRFPRRYEFFHWGNTTAFFTSMMIIGMLAININNKRVAVVGHAGIVTLVGMSFACLAASYIAGTWDGPRLVFYVFILLALNMLYMVLPWLWRRLSGWLST* >Brasy4G059900.2.p pacid=40088873 transcript=Brasy4G059900.2 locus=Brasy4G059900 ID=Brasy4G059900.2.v1.1 annot-version=v1.1 MSQEMAILQGDSSQSRHEEMMIAMDHERPHMSMYELIKVIKGANGMPVLFVPSSDGRLAAVVVDGLQNLVPAENKQQDYRGWLLLVSSLVATVTFTAGLAPPGGFWADDDEAKGRVAGTSVMSDRFPRRYEFFHWGNTTAFFTSMMIIGMLAININNKRVAVVGHAGIVTLVGMSFACLAASYIAGTWDGPRLVFYVFILLALNMLYMVLPWLWRRLSGWLST* >Brasy4G132300.1.p pacid=40088874 transcript=Brasy4G132300.1 locus=Brasy4G132300 ID=Brasy4G132300.1.v1.1 annot-version=v1.1 MMWQPTKVLRTSDHPKLDLREDLSPASGEMSREVALKTQSSRSLHKCHKVGMIRERMHLTLEEPVLRCLRKPGKEKHLQILLVHFVINVAGFESKKSIYFQ* >Brasy4G269600.1.p pacid=40088875 transcript=Brasy4G269600.1 locus=Brasy4G269600 ID=Brasy4G269600.1.v1.1 annot-version=v1.1 MAAAESLSRANATWHVVDSALTIVAADDPVEIAARRDGVAKKACDEKQQQDESEARGSSSAISDHGESLRRRRGSRGALLCANAYIHLKLIGLWSRSHRRLIMALEE* >Brasy4G219600.1.p pacid=40088876 transcript=Brasy4G219600.1 locus=Brasy4G219600 ID=Brasy4G219600.1.v1.1 annot-version=v1.1 MELTIWPTLLLLITLSFSLAASLLFLLNGKNKQEQQLPPGPPALLFLAKFLALGRSIFDLGPLLLELHARYGPVISVRLFRTLVFVSDRRLAHRVLVQSGSTFADRPRLFDPGLLFTSGSRNINAAPYGAYWRLVRRNLASEALHPARVSLFAPARRRMRDALVRDLLARGGGGDGSRPVTVRTPFRNAMFELLVYMSLGASGLAPEVLDEIQELQLWVVRTITGFPVFSFFPALTKRLFRKRWAAHLAVRRRQDEIFVPFIQARRARAGADEPPCYADSLVTLRVAEEGDRALTDSELVSLCSEFLSGGTDSTMTLLEWIMAELVIHPEMQAKVYEEVRSKPELNESDLQGMPYLKAVVLEGLRLHPPAHFLLPHGVQSDRGAEIGGYRIPNGAEVNFLVAEFGRDEAVWTAAGEFWPERFLDGGEGCGVDITGSREIRMMPFGAGRRMCPGYTLALLHLEFFVGSLVRELQWLPAAEGEAVDMTEMLDFTTLMKHPLRARTVPRG* >Brasy4G315500.1.p pacid=40088877 transcript=Brasy4G315500.1 locus=Brasy4G315500 ID=Brasy4G315500.1.v1.1 annot-version=v1.1 MTSYRAFPMISQLEMSEWMSGQAQQPTGVRLKQLLLRCKPAKIPTVVQPHATGTPLARSLPSIKLQI* >Brasy4G161400.1.p pacid=40088878 transcript=Brasy4G161400.1 locus=Brasy4G161400 ID=Brasy4G161400.1.v1.1 annot-version=v1.1 MEFLEDLLSLPGRIWLPWQSWLQDTGKLKKTVGHFSGAKQGGDKSEASSVLPSRNRDRNYLGMHAAKRQKALKWGTSTRL* >Brasy4G398400.1.p pacid=40088879 transcript=Brasy4G398400.1 locus=Brasy4G398400 ID=Brasy4G398400.1.v1.1 annot-version=v1.1 MLMQLVPHLDEFSVASTFRCPLFVKTKPRENGGQLGSNDRWNKPGPRVGGATIGAGRRLAARAARRVDAPTLAQSLAAAPIEDGRRSERYMTEFGPGLQQLASLLSCPTRPHVPKRLRQIPARPAAAVTQRRPIPRAPPPSVLSLTATRSPLAPSRRWSGPRPRPARRSRVAPPPRSPHATRSRDGADFRPRAVAVDLLWRATAADPRRLILTKAAPRTNSCATRGLGNGAAFEWRRRW* >Brasy4G147200.1.p pacid=40088880 transcript=Brasy4G147200.1 locus=Brasy4G147200 ID=Brasy4G147200.1.v1.1 annot-version=v1.1 MAALDERTVEERRSHVRWAVERYPVASALDQQQLQVVIDRILEQSHPENLHRRDGRRPRHDLATALIIADDLGTGSDGRAERLLCATDQADLLTKGYLEVDDDYYANQADTIAWTAEEWKKVFLRAADFGMANDDDGTAAHQRYTEQEVEVGEEEEEVDDAADQEEYEMYYRMWVKVVLSTFPEAATLEPPELEAAVDRILDPAPAADETNRMNFADIRVVLAHKSNELEDEDGMEMEEFQAKVRRDLIATGYVEVDPEYWSKLEPCFASSNPEFYHSDSQPDEDQGENDGDPLEQKEGDDGPLQQEEVDDYTLGSS* >Brasy4G223000.1.p pacid=40088881 transcript=Brasy4G223000.1 locus=Brasy4G223000 ID=Brasy4G223000.1.v1.1 annot-version=v1.1 MGKMKTAEKSKTTASCLVAPRLPCRRRRPGVLSLPDGAHALFGSSFPGADAVLFSPLLGSSSSRARPELHQGPSRASYPGLKQFRAKPGSARN* >Brasy4G393000.1.p pacid=40088882 transcript=Brasy4G393000.1 locus=Brasy4G393000 ID=Brasy4G393000.1.v1.1 annot-version=v1.1 MASALSSSIGPVPFKDVAGGGGEGHRGVPPPEEFSGTVAAMPSTDMLGFKLHQHQGTWVVDAWLTGVLSAQRRFAPRPGDVVLASPPKCGTTWLKALAFAVMARAAYPPSGAGHPLLRLNPHACVPFLEDLFTVGQEAKLELLPSPRLINTHMHHSLLPPSLTDNPDCKIVYVCREPKDMVVSLWHFARSIMPEGGSEHTFSDLFEHACEGETPSGPIWAHALGYWRASKAHPDRVQFLRYEEMLLDRAGAVRKLARFLGVPFSAAEEAEGTPADVVGLCSIETMKGLGANRTGAAGLLGFKHESFFRKGVAGDWANHMTPEMARRFDDIVEDKLRGSGLTFKP* >Brasy4G059400.1.p pacid=40088883 transcript=Brasy4G059400.1 locus=Brasy4G059400 ID=Brasy4G059400.1.v1.1 annot-version=v1.1 MNTLLPAHPSCGAVRMNSGVVDVTEKILSTRSPQITIRELKLRFILRRHDCLSIGKSVARAMSTQKLDGAEFKILTEKESKDCTTADLLLYAKQFNAFLSDCPDAFAGLTRLYLQNMRFCESDIPNILSTCDRLESLCLYECDAGIHSTLQVEHAGLVELEITLGEFKIVELNCLPKLQRMTYDYWPCDENHLVLGFVPQLSKLSLINTSGSDKTLKLSQLLANAPSISDLHLDFESEKIWVQLECPKVLAPVLDKLRHVNLDNLPEECDITWTMFFLEAAPSLEELCITVWDHKCQMETQDSFCKKTDVKWEPSAADLKHKKLATLTIYGFQPDNYFTGYLRRIMAAAVNIKEVSLHDRKECKFCA* >Brasy4G059400.3.p pacid=40088884 transcript=Brasy4G059400.3 locus=Brasy4G059400 ID=Brasy4G059400.3.v1.1 annot-version=v1.1 MNTLLPAHPSCGAVRMNSGVVDVTEKILSTRSPQITIRELKLRFILRRHDCLSIGKSVARAMSTQKLDGAEFKILTEKESKDCTTADLLLYAKQFNAFLSDCPDAFAGLTRLYLQNMRFCESDIPNILSTCDRLESLCLYECDAGIHSTLQVEHAGLVELEITLGEFKIVELNCLPKLQRMTYDYWPCDENHLVLGFVPQLSKLSLINTSGSDKTLKLSQLLANAPSISDLHLDFESEKIWVQLECPKVLAPVLDKLRHVNLDNLPEECDITWTMFFLEAAPSLEELCITVWDHKCQMETQDSFCKKTDVKWEPSAADLKHKKLATLTIYGFQPDNYFTGYLRRIMAAAVNIKEVSLHDRKECKFCA* >Brasy4G059400.2.p pacid=40088885 transcript=Brasy4G059400.2 locus=Brasy4G059400 ID=Brasy4G059400.2.v1.1 annot-version=v1.1 MNTLLPAHPSCGAVRMNSGVVDVTEKILSTRSPQITIRELKLRFILRRHDCLSIGKSVARAMSTQKLDGAEFKILTEKESKDCTTADLLLYAKQFNAFLSDCPDAFAGLTRLYLQNMRFCESDIPNILSTCDRLESLCLYECDAGIHSTLQVEHAGLVELEITLGEFKIVELNCLPKLQRMTYDYWPCDENHLVLGFVPQLSKLSLINTSGSDKTLKLSQLLANAPSISDLHLDFESEKIWVQLECPKVLAPVLDKLRHVNLDNLPEECDITWTMFFLEAAPSLEELCITVWDHKCQMETQDSFCKKTDVKWEPSAADLKHKKLATLTIYGFQPDNYFTGYLRRIMAAAVNIKEVSLHDRKECKFCA* >Brasy4G059400.4.p pacid=40088886 transcript=Brasy4G059400.4 locus=Brasy4G059400 ID=Brasy4G059400.4.v1.1 annot-version=v1.1 MNSGVVDVTEKILSTRSPQITIRELKLRFILRRHDCLSIGKSVARAMSTQKLDGAEFKILTEKESKDCTTADLLLYAKQFNAFLSDCPDAFAGLTRLYLQNMRFCESDIPNILSTCDRLESLCLYECDAGIHSTLQVEHAGLVELEITLGEFKIVELNCLPKLQRMTYDYWPCDENHLVLGFVPQLSKLSLINTSGSDKTLKLSQLLANAPSISDLHLDFESEKIWVQLECPKVLAPVLDKLRHVNLDNLPEECDITWTMFFLEAAPSLEELCITVWDHKCQMETQDSFCKKTDVKWEPSAADLKHKKLATLTIYGFQPDNYFTGYLRRIMAAAVNIKEVSLHDRKECKFCA* >Brasy4G314700.1.p pacid=40088887 transcript=Brasy4G314700.1 locus=Brasy4G314700 ID=Brasy4G314700.1.v1.1 annot-version=v1.1 MGAIGDEELVQWDKVNGSEAVNGGGSGAGKLDRIQVLVRLRPLSDKEIARGEPAEWECINDTTIMFRGTFPDRPTAPTAYTFDRVFHSECSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTENTVADIYDYISKHEERAFVLKFSATEIYNEVVRDLLSAESTPLRLWDDAEKGTYVENLTEVILRDWSHLKGLISVCEAQRRTGETYLNEKSSRSHQILRLIVESSSREFLGKDKSTTLVASVNFVDLAGSERASQAMSAGTRLKEGCHINKSLLSLGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLFFGNCAKDVATNAQVNVVMSDKTLVKHLQKEVARLESELRHPVSNSSLETIVKEKDNQIRKMEKEIKELKSQRDSAESRLQDLLRVVGDRDPKHQVPGKRSVRSPPSVGMLPSTSRDDSSQISHDDSDHYKEVRCIETNENGLNERLCAGESISLHDSNRNSSMHGNDSNASVNSRRLGETPITLEQHLENIRRPFITKDLGSSTRNSSGCRLIGRSRSCRSLTGSTLLDEMEMDDCTPVNRSLVNFPGRPEEYQRRGSALNYDAGSETLSRAGSEISTSKVACDAEFTGIGEFVAELKEMAQVHYQKQLGDQSANGKSIGLDPIMDGLQSPARWPLEFEKKQQEIIELWHACSISLVHRTYFFLLFKGESADSIYMEVELRRLLFLRDTYSRGSTPSNVVVGSLSSSPVASAKKLLREREMLARQMQKRFSLEERNHIYTKWGVSLDSKKRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQPPSRRSSNGWRYGIPSFG* >Brasy4G127600.1.p pacid=40088888 transcript=Brasy4G127600.1 locus=Brasy4G127600 ID=Brasy4G127600.1.v1.1 annot-version=v1.1 MDEMPKRAKASTEGAGADRLSGLPDDILQHVLSFLQAQEAVQSCVLARRWRFLWKSLPVLRLTGCRPVKESLEFMNHLLILRDRSPLDAFVLDVHAVSGSDERYVNLWLRYALACNVRVLTFASRKRLSLPAVSNLTRLELSHTILHEPLNFLGYPSLEELKITNCSIYAHEIISHTIKRLSIVECWFTYFIERTRISAPSLTSLQLTHFGGRTPLLEDMPALATAAIVFGSDCVDSHGNYGPNRCGDLSCQCCYSQLHSVSEYCYDASCECCYGTDDGREGCVLLNGLSAATDLKLVAEPELIIIERDLRRCPTFSKLKTLFVNEWTVDGDHRALIHILQHTPVLENLTLQLYKDEFPGPVKATYNSLEQSFASANLKIVEVECEKVDERVHNIMKSLSTYGIPLEKINIRQTNKSSGCFNFVCTGFSSNEE* >Brasy4G127600.2.p pacid=40088889 transcript=Brasy4G127600.2 locus=Brasy4G127600 ID=Brasy4G127600.2.v1.1 annot-version=v1.1 MDEMPKRAKASTEGAGADRLSGLPDDILQHVLSFLQAQEAVQSCVLARRWRFLWKSLPVLRLTGCRPVKESLEFMNHLLILRDRSPLDAFVLDVHAVSGSDERYVNLWLRYALACNVRVLTFASRKRLSLPAVSNLTRLELSHTILHEPLNFLGYPSLEELKITNCSIYAHEIISHTIKRLSIVECWFTYFIERTRISAPSLTSLQLTHFGGRTPLLEDMPALATAAIVFGSDCVDSHGNYGPNRCGDLSCQCCYSQLHSVSEYCYDASCECCYGTDDGREGCVLLNGLSAATDLKLVAEPELIIIERDLRRCPTFSKLKTLFVNEWTVDGDHRALIHILQHTPVLENLTLQLYKDEFPGPVKATYNSLEQSFASANLKIVEVECEKVDERVHNIMKSLSTYGIPLEKINIRQTNKSSGCFNFVCTGFSSNEE* >Brasy4G127600.3.p pacid=40088890 transcript=Brasy4G127600.3 locus=Brasy4G127600 ID=Brasy4G127600.3.v1.1 annot-version=v1.1 MDEMPKRAKASTEGAGADRLSGLPDDILQHVLSFLQAQEAVQSCVLARRWRFLWKSLPVLRLTGCRPVKESLEFMNHLLILRDRSPLDAFVLDVHAVSGSDERYVNLWLRYALACNVRVLTFASRKRLSLPAVSNLTRLELSHTILHEPLNFLGYPSLEELKITNCSIYAHEIISHTIKRLSIVECWFTYFIERTRISAPSLTSLQLTHFGGRTPLLEDMPALATAAIVFGSDCVDSHGNYGPNRCGDLSCQCCYSQLHSVSEYCYDASCECCYGTDDGREGCVLLNGLSAATDLKLVAEPELIIIERDLRRCPTFSKLKTLFVNEWTVDGDHRALIHILQHTPVLENLTLQLYKDEFPGPVKATYNSLEQSFASANLKIVEVECEKVDERVHNIMKSLSTYGIPLEKINIRQTNKSSGCFNFVCTGFSSNEE* >Brasy4G373800.1.p pacid=40088891 transcript=Brasy4G373800.1 locus=Brasy4G373800 ID=Brasy4G373800.1.v1.1 annot-version=v1.1 MDGELTTSPASEVPFRISFSGHGGHLRLDPTSHRPNPIPDFVLQPAYPPESPSSVKEYLETNYLNPELYLPTAADDARVWDVDWFDMARPPLEPSAPRTMLVPAWEPPFRRRPSLSPSQEPQVWDPESVQLEMAQVFDSGTGGMVARMPGPPKDFVRGRVNSRPFRPGGMHDDTAEAAVLEKAFPEGARNGDWVRELMSGGPAQIAPPGFGKGLELGQLKEYNSHWKCFRDGEQVEEQPASSSNDMMDKYSVQFDDLFKIAWEEDAVNNVFTEDDVQQLATDEGSYEIDERKVDTLQAASATLTRPDTDKQEFGVIGDVSEAQTDLDKMLSSEVQDTRRELSGSVDDKPAQEGMVWALAGGDEDIATNFHRFVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNAVEFAEWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGETYKVCEKDMFLTQGFREAKDTFKMKNSNKLGVKPGSKSGTPATRPGTQGRNPDTSSRGKDQKHPKHHHTNSGAAAILQSSSGSKRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSADSMFGADLTSNSDKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDTIGTVMIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKEELLLQMLRQPTKTIECIKGEPSIEEYYDMFLEAEKLREYITEAIMQLPASQQFLGPGRLVVVESKSDDDHLLGVIVKNPSGSLKQYVVFVLTGECTSSALAPNLSNQNDNAQGEVQQGYMVIPPKGKRGMDDEFFSAGSTRKSSGVIKIKLPHKGDASGMGFEVRAVENREIVSICTSKIKIDQFRLLEEPSKTVYSTTVQLLIKAQPDGHKYPPALDPIKDLKMKDMDQVQKYHAYNSLLEKMSENKCHGCIKLKEHKSLMKEQKVYKNQMDELKYQMSDEALQQMPQFQGRIDVLKEIQYVDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLADAKKRLYDTAIRLGQLQKHHEVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALFKKMEIASNAIKRDIVFSASLYVTGI* >Brasy4G373800.2.p pacid=40088892 transcript=Brasy4G373800.2 locus=Brasy4G373800 ID=Brasy4G373800.2.v1.1 annot-version=v1.1 MDGELTTSPASEVPFRISFSGHGGHLRLDPTSHRPNPIPDFVLPAYPPESPSSVKEYLETNYLNPELYLPTAADDARVWDVDWFDMARPPLEPSAPRTMLVPAWEPPFRRRPSLSPSQEPQVWDPESVQLEMAQVFDSGTGGMVARMPGPPKDFVRGRVNSRPFRPGGMHDDTAEAAVLEKAFPEGARNGDWVRELMSGGPAQIAPPGFGKGLELGQLKEYNSHWKCFRDGEQVEEQPASSSNDMMDKYSVQFDDLFKIAWEEDAVNNVFTEDDVQQLATDEGSYEIDERKVDTLQAASATLTRPDTDKQEFGVIGDVSEAQTDLDKMLSSEVQDTRRELSGSVDDKPAQEGMVWALAGGDEDIATNFHRFVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNAVEFAEWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGETYKVCEKDMFLTQGFREAKDTFKMKNSNKLGVKPGSKSGTPATRPGTQGRNPDTSSRGKDQKHPKHHHTNSGAAAILQSSSGSKRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSADSMFGADLTSNSDKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDTIGTVMIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKEELLLQMLRQPTKTIECIKGEPSIEEYYDMFLEAEKLREYITEAIMQLPASQQFLGPGRLVVVESKSDDDHLLGVIVKNPSGSLKQYVVFVLTGECTSSALAPNLSNQNDNAQGEVQQGYMVIPPKGKRGMDDEFFSAGSTRKSSGVIKIKLPHKGDASGMGFEVRAVENREIVSICTSKIKIDQFRLLEEPSKTVYSTTVQLLIKAQPDGHKYPPALDPIKDLKMKDMDQVQKYHAYNSLLEKMSENKCHGCIKLKEHKSLMKEQKVYKNQMDELKYQMSDEALQQMPQFQGRIDVLKEIQYVDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLADAKKRLYDTAIRLGQLQKHHEVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALFKKMEIASNAIKRDIVFSASLYVTGI* >Brasy4G311600.1.p pacid=40088893 transcript=Brasy4G311600.1 locus=Brasy4G311600 ID=Brasy4G311600.1.v1.1 annot-version=v1.1 MAASILSHVVSDLCIGKPAVRVLPQSTPIAAALATLRAGADPFVFVDAAQKQKQQRAATVVSVKVSVAEILCYVCGDDGNLGDPAAALGRPVSVLTAGGVGDHAVTRRVDPQTSLLDAIDVLLSNNAHSLVVPLHGHERAGRKKHLLHNVSSSVTDANYSYCVLTQEDIVRHLFGSISLFAPIACLTVSSLGLVRREDAAHAVHVDADALDAIPLLQRSISHGTATVAVVADGDTLVGEICPGVLCSCDDVESVSAAFAALSAGDVMTYVDCYHSPPEFLLRSIRAQLRDRGLHAMCDLMDAAYYDDDDVASLPLTPSSSSSTTSSYDECWPLSLVRARRARRVSSSGSFRWRSTEDVAACHGGSSLVAVMAQALAHRVGHVWVVDETSGALVGVVSCADVLALLREHLRLECDSDDF* >Brasy4G142400.1.p pacid=40088894 transcript=Brasy4G142400.1 locus=Brasy4G142400 ID=Brasy4G142400.1.v1.1 annot-version=v1.1 MEPFEVASLEAAIGWLVRTILGVLLMDKMDVWIRGVKLEDDVKKLRTEIRRVGMVVATVKGRATGNEQLAESLATLKELLYDADDVVDELDYYRLQQQVEGDTVTRDEPEGMRGDERVDEISRGDADAPNSSVGKLRSAVWLHFMITEKIGGKPVKAKCSLCSEEFACDSVANGTSSMIKHLKNAHSVICERGKRSRNPSSTGDDATENATPIVIGSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRINEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLITSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSQETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSSIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFHGKDLVYIWISQGFVNTHLSKRLEETGWEYLIDLVNLGFFQQIFKEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDGLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCSLVNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIHDGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKQSEVLEGLKPHKHLKHLQISGYNGTSPTWLNSNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMQKVTKILVPSLEELVLVTMPELERCSCTSVEGFNSSLRSLGIVNCEKLEVFDLFENAGKFKVENRSWLSGVRELILFDCPLLKVFNPLPPSATFSELLIHGVSTLPSMKGSYKKLHIESDCEDPSSECVGEVLAFHNLRSLKFLSINGDKDNPMPISFKDLSYLVSLKSLKIEACEIVFSSHVMPEPTHEDVAAANCKVFPSLQSLTIDSCGMTGKVLSLMLQHSPDLEKLDLFDCSDITLLSIEEEENSLSNLTSDREPQDELFLHITFNLTFTLKEMTIKGCPCLRLNGSNKGFSGFTSLEKLNIWGCPELLLSLVRKDGSDDQANGRWLLPESLGELDIWDYREETLQPCFPGNLTSLKKLGLRHSSLGSLQLHSCTALEELEIGKCHLLSIAEGLRSLGSLRDLTVRNCPFLLSYLESFSRQCNELLPRLGTLVIDDPDVLTTSFCKHLTSLHSLQLSFWRMAATRLTEEQERALVLLKSLQELTFNDCYCLIHLPARLHTLPSLKRLKIEHCSSILSLPETSLPVSLEELEIKYCGEDLNDQCMLLATSKLNVKIIT* >Brasy4G142400.2.p pacid=40088895 transcript=Brasy4G142400.2 locus=Brasy4G142400 ID=Brasy4G142400.2.v1.1 annot-version=v1.1 MEPFEVASLEAAIGWLVRTILGVLLMDKMDVWIRGVKLEDDVKKLRTEIRRVGMVVATVKGRATGNEQLAESLATLKELLYDADDVVDELDYYRLQQQVEGDTVTRDEPEGMRGDERVDEISRGDADAPNSSVGKLRSAVWLHFMITEKIGGKPVKAKCSLCSEEFACDSVANGTSSMIKHLKNAHSVICERGKRSRNPSSSSRGKRKRTNEDSAQITAANKHTHWDKAEISNRINEITSQLKDIREEVSEVLKLHGSDLASSSNNHRSTASDQHLITSSLVQRKVYGRDGEKNNIIKMITQDKSNGVTVLPIVGIAGVGKTTLAQLVYNDPDVESKFDTKIWIWVSRNFDKVKLTREMLHFVSQETYEEINCYVKLQEILKSNANSKRLLLILDDVWDDMDDCRWNQLLAPIKSDSANDNNVILVTTRNMTVAKMIRTVGPIKLGALENDDSWLLFKSCAFRDWNYEGPEILSSIGRQIADKLKGNPLAVVTAGALLRDHLTVDHWSNILKKENWKSLGLSGGIMPALKLSYDQLPYHLQKCFSYCSIFPDKYKFHGKDLVYIWISQGFVNTHLSKRLEETGWEYLIDLVNLGFFQQIFKEEEEDDDNEDGEGEGEESSLGSQIFYSMCDVMHDFARIISRTECATIDGLDCDKILPTIQHLSIVTDSAYNKDWQGNIPRNTMFEENLRNKVTSVRKLRTLVLLGDYDSYFLQLFHEIFQTAHNLRLLHTSATSADFNSSRCSLVNTAHLRYLQHGSGKVNEAFAHDLSKLYHLQVLDVRSDTYSPTIHDGINNLVSLRHLVLHKGVYPFIASIGKLTSLQELYGFKVQNSSGFEITQLQSMNELVQLEVSQLDTVQTREEAYGAGLRNKGHLENLHLSWKDTLSDNESPADTARKQSEVLEGLKPHKHLKHLQISGYNGTSPTWLNSNMSVTSLQTLHLDCCGEWEILPSLERFPCLRKLKLNNMQKVTKILVPSLEELVLVTMPELERCSCTSVEGFNSSLRSLGIVNCEKLEVFDLFENAGKFKVENRSWLSGVRELILFDCPLLKVFNPLPPSATFSELLIHGVSTLPSMKGSYKKLHIESDCEDPSSECVGEVLAFHNLRSLKFLSINGDKDNPMPISFKDLSYLVSLKSLKIEACEIVFSSHVMPEPTHEDVAAANCKVFPSLQSLTIDSCGMTGKVLSLMLQHSPDLEKLDLFDCSDITLLSIEEEENSLSNLTSDREPQDELFLHITFNLTFTLKEMTIKGCPCLRLNGSNKGFSGFTSLEKLNIWGCPELLLSLVRKDGSDDQANGRWLLPESLGELDIWDYREETLQPCFPGNLTSLKKLGLRHSSLGSLQLHSCTALEELEIGKCHLLSIAEGLRSLGSLRDLTVRNCPFLLSYLESFSRQCNELLPRLGTLVIDDPDVLTTSFCKHLTSLHSLQLSFWRMAATRLTEEQERALVLLKSLQELTFNDCYCLIHLPARLHTLPSLKRLKIEHCSSILSLPETSLPVSLEELEIKYCGEDLNDQCMLLATSKLNVKIIT* >Brasy4G082100.1.p pacid=40088896 transcript=Brasy4G082100.1 locus=Brasy4G082100 ID=Brasy4G082100.1.v1.1 annot-version=v1.1 MGVATRTAVPSAATTASLSLSPPRWKRIGALSKSTEAALAQIARPYDSTQRRWDSHPQHWEDAEQEVTTTTPPLLPSFPASSLAPGTTG* >Brasy4G042900.1.p pacid=40088897 transcript=Brasy4G042900.1 locus=Brasy4G042900 ID=Brasy4G042900.1.v1.1 annot-version=v1.1 MPHSLPPLVAAMGKALAMRPSSSSPYPLPPPSTCSSHLPTLRCFVGLRLSAPRVQVREQLDAAANTRGCSRRFRAPASSVSQPCHRAIVISNDNSQNADFPRNYSKRQKKPFPIPVVELRRRARQRMKEAAGKPKRQLPPPKNGMLVKRLIAEAYRVYNARILLINNLRRLMKVVPVNGCKYCSEIHVGSVGHPFRTCRGMKSDQRRGEHDWGSTFVEAVFLPVEAYHLEDRLGPRITHDQRFEVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPDLEPDRFKEPILEELPDDEIIAPSSPEETAALAEETLESWETVRHGALRLLKSYAVRVCGYCPEVHIGSSGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPDSGELQKELKSFYGQAPAVVEICIQGGAEVPEKYKATMRLDIGIPSSLREAEMVI* >Brasy4G128200.1.p pacid=40088898 transcript=Brasy4G128200.1 locus=Brasy4G128200 ID=Brasy4G128200.1.v1.1 annot-version=v1.1 MRRRSRPSGRRRNRPLVRGCRPRPSSYSDAHTNPSGPQYLRASFSSQSVKSETPTVNYSGKRARSRAVTSKRRGNRRRVPMAQSIAVAAHWW* >Brasy4G077000.1.p pacid=40088899 transcript=Brasy4G077000.1 locus=Brasy4G077000 ID=Brasy4G077000.1.v1.1 annot-version=v1.1 MGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK* >Brasy4G387700.1.p pacid=40088900 transcript=Brasy4G387700.1 locus=Brasy4G387700 ID=Brasy4G387700.1.v1.1 annot-version=v1.1 MSPPENPNGGAAAAPSEPAQPPKPSSSSSKGKGKKDEKKDDDLSEEDLALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSFFESMPESELKKYMADILSVLALTMAVEGDRESLKYRLVGSEGDIGSWGHEYVRNLAGEIAQEFQKRQGDEMPIDALMELVQQIVSFHTKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRACLYLTSSFKYLPGPDDALALDIAYTIYMKFGDLANALRIALLLDNKSAQYVKQVYTATDDLSLKKQFSYIIARHGLAMEIDDEIAADENDKEILQELVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGVVTCGVKNECDPALAILLDYINKDDPNIRIGAILGLGIAYAGSQKDELRVQLSIVLGEPQATLEVLVFTAVALGLVFVGSCNEEIAQSIIFFLMERSEAELAEPIIRLLPVALGLLFLGKQENVEATAEVAKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMSEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGIVTVLHACLDMKSTILGKYHYILYILVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHTTPVLLAAGERAELATEKYLPLTSTLEGFVILRKNPEYHEE* >Brasy4G387700.2.p pacid=40088901 transcript=Brasy4G387700.2 locus=Brasy4G387700 ID=Brasy4G387700.2.v1.1 annot-version=v1.1 MSPPENPNGGAAAAPSEPAQPPKPSSSSSKGKGKKDEKKDDDLSEEDLALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSFFESMPESELKKYMADILSVLALTMAVEGDRESLKYRLVGSEGDIGSWGHEYVRNLAGEIAQEFQKRQGDEMPIDALMELVQQIVSFHTKVEDLDLLVEHVDSTNYKRACLYLTSSFKYLPGPDDALALDIAYTIYMKFGDLANALRIALLLDNKSAQYVKQVYTATDDLSLKKQFSYIIARHGLAMEIDDEIAADENDKEILQELVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGVVTCGVKNECDPALAILLDYINKDDPNIRIGAILGLGIAYAGSQKDELRVQLSIVLGEPQATLEVLVFTAVALGLVFVGSCNEEIAQSIIFFLMERSEAELAEPIIRLLPVALGLLFLGKQENVEATAEVAKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMSEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGIVTVLHACLDMKSTILGKYHYILYILVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHTTPVLLAAGERAELATEKYLPLTSTLEGFVILRKNPEYHEE* >Brasy4G262200.1.p pacid=40088902 transcript=Brasy4G262200.1 locus=Brasy4G262200 ID=Brasy4G262200.1.v1.1 annot-version=v1.1 MVIFICSPLSSSPDLLSPPPDCSCRRRGATATKGSGGGCKRRAGWPRRTAAAARGGPLAATASANGSAETARDARRPRRAAAAAARGGARPRRARGGGDREWQLGDCKGRAATAKSSGSGGARGGLVREGLVAAATANGSAAVARGARRPRRAAAAAATKGSDGYKGCAAGGGREGRAGFAGRSWARVALGTTSPPLAHAPASPSPPASPLLVCARKRKRACNTYGSTRYYCSNEYGTQAAACGPTSYSAAFKSACRMPTMMPQHLHLRRGLWIPHHSVVLL* >Brasy4G391800.1.p pacid=40088903 transcript=Brasy4G391800.1 locus=Brasy4G391800 ID=Brasy4G391800.1.v1.1 annot-version=v1.1 MPASEGTFSVCVLLCLSYFSKFAESNPPKLDIPGIKLEEHEDVTEEAVLTSLQRAIGPYATLQAHEGHWPGDLAGPMFLLPGLVIALHSTGALDTVLSSEHQKEIRRYLYNHQNTDGGWGLHIEGPSTMFGSVLIYVTLRLLGEEPDSGDGAMEIAQHWILGHGGATFTTSWGKFWLSVLSVFDWSGNNPLLPELLLLPYSLPFHPGRMWSHCRMVYFPMSYIYGKRFVGPITPIVLNLRKELFKVPYDEIDWDKARNQCAKEDLYCPHPLGQDILWATLHKFVEPVLSHWPGSKLRERALENVMQHIHYEDENTQYICSGAVGKVLNMLSCWIEDPNSQEFKLHIPRIYDYLWVAEDGMKMQGYNGSQLWDTAFTVEALLSTELTEELGPTLKLAHEYIKNSQASLLLSKISPEVVGVPLEANKLYDAVNCLLSWMNGNGGFAPYELTRSYAWLEFLNPSETFGDIMIDYPYVECTSAVIQALTSFRKHYPGHRREEIDKCIRKADNFIQSIQRSDGSWYGSWGVCFTHGTWFAVRGLVAAGRTFKNSPAIRKACGFLLSKELPSGGWGESYLSCRDKVYTELEGRRPHAVNTSWAMLALIDAGQAERDPAPLHRAAKVLINLQLVDGEFPQQEIMGVFSRNCTISYSQYRNIFPVWALGEYRCRVLLGTTSLEGHGHGHGNAS* >Brasy4G387300.1.p pacid=40088904 transcript=Brasy4G387300.1 locus=Brasy4G387300 ID=Brasy4G387300.1.v1.1 annot-version=v1.1 MPSPQGRPCDVRNCSSPAPVSSAFREFRDPAPSPASPEAGAADEEPGELDFDDDACFDAESILLDADEAAVDGIDGIMGSLTMEGGAGISDDSVLSSSGIHPYLRSLMVVGLAGRFELGLGSGSARHARPNLNRALKRRDDDGGGAAWWMWPAVPVKDITVAPTPPPQQPAAAPSNTATTMPAPAPEKKKSKKKKVVKLEKVMMANAKCEEEAADGGITVDARADGNGDDDGGAPTKAPKTGLGLKLDADDVLKEWAGKGSMFAEGGGPESTESAAEVRAKLADIDLFPENGAAGGIREASVMRYKEKRRNRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQALDEET* >Brasy4G387300.2.p pacid=40088905 transcript=Brasy4G387300.2 locus=Brasy4G387300 ID=Brasy4G387300.2.v1.1 annot-version=v1.1 MPSPQGRPCDVRNCSSPAPVSSAFREFRDPAPSPASPEAGAADEEPGELDFDDDACFDAESILLDADEAAVDGIDGIMGSLTMEGGAGISDDSVLSSSGIHPYLRSLMVVGLAGRFELGLGSGSARHARPNLNRALKRRDDDGGGAAWWMWPAVPVKDITVAPTPPPQQPAAAPSNTATTMPAPAPEKKKSKKKKVVKLEKVMMANAKCEEEAADGGITVDARADGNGDDDGGAPTKAPKTGLGLKLDADDVLKEWAGKGSMFAEGGGPESTESAAEVRAKLADIDLFPENGAAGGIREASVMRYKEKRRNRLFSKKIRYQVRKVNADCRPRMKASTRTDS* >Brasy4G175900.1.p pacid=40088906 transcript=Brasy4G175900.1 locus=Brasy4G175900 ID=Brasy4G175900.1.v1.1 annot-version=v1.1 MGRWQRRRDTTTASGRPIGTVTGYCSRRCRPCTSSSSKGRSCTGELRLATSPSLLGPGVERDASSSCCRQALLCSRL* >Brasy4G065300.1.p pacid=40088907 transcript=Brasy4G065300.1 locus=Brasy4G065300 ID=Brasy4G065300.1.v1.1 annot-version=v1.1 MSQVRTYVALCVFNLFCDFFLGVSTGRDLGTIQWLIKTLSSSTGFVVSSIWHLYD* >Brasy4G273900.1.p pacid=40088908 transcript=Brasy4G273900.1 locus=Brasy4G273900 ID=Brasy4G273900.1.v1.1 annot-version=v1.1 MEKFACFPPRLHCSFRRLPASFHQDSPENKSNTCRIRAFPTPSRSPQNPEISNPASDLEFSICRPFHYKYQRHFSPSIPIPSSLPIPFDLPAPGHRVSSPLAHKDGNSCLPRQLQQGERAAAAARHRRRQEPQDEEGRCVRHEGQKSGSPTRHHQPLPYFGRERVSRRAAEAARGAGAGAVAGGHGGRGAGEEAFAQERVQIDSARLAQFFFF* >Brasy4G350500.1.p pacid=40088909 transcript=Brasy4G350500.1 locus=Brasy4G350500 ID=Brasy4G350500.1.v1.1 annot-version=v1.1 MSAAALDWMMLERFVFRRDDAESFPDEGDAPFRAQGSTSQGDAFTVALRVLKPPEISRLYLQWPLGPDPNNGSSCDLIAAHGNLLLLRLTSGPVASVNKDESPIYPQEHFICSRSASAPPSLKLHKIPMCTMPVLLRGNKDKVISARRSFPSHAVGILCRGAGGEQQDDFAIAQLRVSRPNHWEAVEAELCVLRSSAHSSDHQWELEEHIPIQVKCWKDNSDLAYWRTDQVVPFKNSLLWVDYCIAGILFCDVFQTRPAICYLPLPVGNRNAPGYEVRPFQDFHRSVCVTKDGLELNFIDVVRGDGLRVGPMSPGTGFVVEGYALRTTESCGPGSWDKVFSVKSSELVGIETGSPLTFPLVSMDNENIVHFILSEKVWDGIDKVSVVTINVSAKEVVSVRPYIKGLEDLKGENSDMIKQKSRILQSFISSELPKCLYPTSSSKGVYREDHQD* >Brasy4G301500.1.p pacid=40088910 transcript=Brasy4G301500.1 locus=Brasy4G301500 ID=Brasy4G301500.1.v1.1 annot-version=v1.1 MAASSSVVTLNVGGEIFQTTAATLSRAGSSSPLAALGPSPPSAPHFLDRDPRLFALILSFLRCGRLASPAPPSSALLAEARHFALDGALLASISPPSAFSPLSLRPSALLPLTGRVAPSTVAIPPSPHCASLVAAHGGVITGFDAALASRTSVLTPLPTVDSLVAVSPTLALAGARDFPGVHLCRFQGDSPVSAAPEVLSWLDSPSASVLSMLATPASQVFASFESARRNSSAVVAFDMNSLSPVVEIGRKEVFGADVEAAIPPTKLGWLGGYNLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEIREKEDCFADVAASDSLSSLFKVGAASGEVFMADLRRSGGGGGICLNPWVCIGDGQRAEAAAASRKKDGNGCRIECYRNWVFLARGAHVEVWTQVELTPEACRKKGMRRNWVGNGPSMVSKHDEEGVRVKAKIVSWAFGGSRMALARVDNQSIEVWDSASEQYQ* >Brasy4G301500.3.p pacid=40088911 transcript=Brasy4G301500.3 locus=Brasy4G301500 ID=Brasy4G301500.3.v1.1 annot-version=v1.1 MAASSSVVTLNVGGEIFQTTAATLSRAGSSSPLAALGPSPPSAPHFLDRDPRLFALILSFLRCGRLASPAPPSSALLAEARHFALDGALLASISPPSAFSPLSLRPSALLPLTGRVAPSTVAIPPSPHCASLVAAHGGVITGFDAALASRTSVLTPLPTVDSLVAVSPTLALAGARDFPGVHLCRFQGDSPVSAAPEVLSWLDSPSASVLSMLATPASQVFASFESARRNSSAVVAFDMNSLSPVVEIGRKEVFGADVEAAIPPTKLGWLGGYNLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEIREKEDCFADVAASDSLSSLFKVGAASGEVFMADLRRSGGGGGICLNPWVCIGDGQRAEAAAASRKKDGNGCRIECYRNWVFLARGAHVEVWTQVELTPEACRKKGMRRNWVGNGPSMVSKHDEEGVRVKAKIVSWAFGGSRMALARVDNQSIEVWDSASEQYQ* >Brasy4G301500.2.p pacid=40088912 transcript=Brasy4G301500.2 locus=Brasy4G301500 ID=Brasy4G301500.2.v1.1 annot-version=v1.1 MAASSSVVTLNVGGEIFQTTAATLSRAGSSSPLAALGPSPPSAPHFLDRDPRLFALILSFLRCGRLASPAPPSSALLAEARHFALDGALLASISPPSAFSPLSLRPSALLPLTGRVAPSTVAIPPSPHCASLVAAHGGVITGFDAALASRTSVLTPLPTVDSLVAVSPTLALAGARDFPGVHLCRFQGDSPVSAAPEVLSWLDSPSASVLSMLATPASQVFASFESARRNSSAVVAFDMNSLSPVVEIGRKEVFGADVEAAIPPTKLGWLGGYNLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEIREKEDCFADVAASDSLSSLFKVGAASGEVFMADLRRSGGGGGICLNPWVCIGDGQRAEAAAASRKKDGNGCRIECYRNWVFLARGAHVEVWTQVELTPEACRKKGMRRNWVGNGPSMVSKHDEEGVRVKAKIVSWAFGGSRMALARVDNQSIEVWDSASEQYQ* >Brasy4G212000.1.p pacid=40088913 transcript=Brasy4G212000.1 locus=Brasy4G212000 ID=Brasy4G212000.1.v1.1 annot-version=v1.1 MGPTQLADRIVHPLSNRQVVSGEDFPMQDRPGEEFAFWLRVSAPNLSGGECREGAKELSLVGGSRRVCSGCHPSPGDIVRLVVRRFLVAMSRRLGHLCPDAEPSGSHASCQKRAEAPSPIWTRVEARKRAQSASSQGEGRPTHGLSLSFQANQSQRRRSALPNRPRSGIPSPPREIGRHTVAQFTRETEEAAASFSLCFAQRKVLISLLILLRTQAGMLKAVR* >Brasy4G045400.1.p pacid=40088914 transcript=Brasy4G045400.1 locus=Brasy4G045400 ID=Brasy4G045400.1.v1.1 annot-version=v1.1 MGSVAQLTLLLLCISYRALAAHAGDERSYKVLSASSLNPGAVCSEPKVSPPSSSGSAEPPARPVRPSGKQPTFMESLRRDQLRANYIQHKFSKDDTGGLQQSEATVPTALGSPLDTLEYVITVGLGSPAVAQTMIIDTGSDVSWVRCRRSKAGSPFDPSKSSTYAPFSCSAPACAQLGREGNKGCSSSGSACQYTVRYGDGSSTTGTYGSDTLALLQNSDTVTGFQFGCSAAEQRFDDGTDGLMGLGGDAESLVSQTAATYGKAFSYCLPPTTDSSGFLTLGAPSSTSAAFSKTRMLRSTRAATFYGVLLRGIRVGGKTLGVPASVFSAGSVVDSGTIVTRLPPTAYEALSSAFKEGMRQYPPAEPLSILDTCFDFTGQENNVTIPCLAFAATDDDRSTGIIGNVQQRTFEVLYDVGQSVFGFRPGAC* >Brasy4G183500.1.p pacid=40088915 transcript=Brasy4G183500.1 locus=Brasy4G183500 ID=Brasy4G183500.1.v1.1 annot-version=v1.1 MNDQDIAVLNWNVRGLNCPDRRTTVHATISTSSCHIACLQETKLQNVDADMAAYLGGFKLKGFAQRPAIGTRGGILLLWNEDIIQVSDILLGTFCISVMINHLHDGSSFQLTSVGWSHVACKWGFQSNLSGKR* >Brasy4G267600.1.p pacid=40088916 transcript=Brasy4G267600.1 locus=Brasy4G267600 ID=Brasy4G267600.1.v1.1 annot-version=v1.1 MARLLAVRGISSSPHLAWRVKQTENEIVQMFRPLVPRNEDAVAPIERRYTRSVRVMDERFIRILKIFKWGPDAEKALEVLMLKVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPVCVVTPMELSDIIRMLGNAKMIGKAVAIFYQIKVRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCRLGRQDSAIRLLNEMKDNGMQPTAKIYTMLIALFFKLNNVHGALGLFEEMRHQYCRPDVFTYTELIRGLGKAGRFDEAYNFFHEMRREGCRPDTVVINNMINFLGKAGRLDDAVKLFEEMGTLQCIPTVVTYNTIIKALFESKCPVSEISSWFERMKGSGISPSPFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAHELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVNLFDEMNKLGCTPNVYAYNALMSGLARAGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSSIKPDAVSYNTVLGAMSHAGLFEEAAKLMKEMNVLGFEYDLITYSSILEAIGKVDHE* >Brasy4G267600.2.p pacid=40088917 transcript=Brasy4G267600.2 locus=Brasy4G267600 ID=Brasy4G267600.2.v1.1 annot-version=v1.1 MARLLAVRGISSSPHLAWRVKQTENEIVQMFRPLVPRNEDAVAPIERRYTRSVRVMDERFIRILKIFKWGPDAEKALEVLMLKVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPVCVVTPMELSDIIRMLGNAKMIGKAVAIFYQIKVRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCRLGRQDSAIRLLNEMKDNGMQPTAKIYTMLIALFFKLNNVHGALGLFEEMRHQYCRPDVFTYTELIRGLGKAGRFDEAYNFFHEMRREGCRPDTVVINNMINFLGKAGRLDDAVKLFEEMGTLQCIPTVVTYNTIIKALFESKCPVSEISSWFERMKGSGISPSPFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAHELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVNLFDEMNKLGCTPNVYAYNALMSGLARAGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSSIKPDAVSYNTVLGAMSHAGLFEEAAKLMKEMNVLGFEYDLITYSSILEAIGKVDHE* >Brasy4G267600.3.p pacid=40088918 transcript=Brasy4G267600.3 locus=Brasy4G267600 ID=Brasy4G267600.3.v1.1 annot-version=v1.1 MFRPLVPRNEDAVAPIERRYTRSVRVMDERFIRILKIFKWGPDAEKALEVLMLKVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPVCVVTPMELSDIIRMLGNAKMIGKAVAIFYQIKVRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALISAFCRLGRQDSAIRLLNEMKDNGMQPTAKIYTMLIALFFKLNNVHGALGLFEEMRHQYCRPDVFTYTELIRGLGKAGRFDEAYNFFHEMRREGCRPDTVVINNMINFLGKAGRLDDAVKLFEEMGTLQCIPTVVTYNTIIKALFESKCPVSEISSWFERMKGSGISPSPFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAHELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVNLFDEMNKLGCTPNVYAYNALMSGLARAGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSSIKPDAVSYNTVLGAMSHAGLFEEAAKLMKEMNVLGFEYDLITYSSILEAIGKVDHE* >Brasy4G190700.1.p pacid=40088919 transcript=Brasy4G190700.1 locus=Brasy4G190700 ID=Brasy4G190700.1.v1.1 annot-version=v1.1 MDAKAEAAAGDEEVPEAPEQTVALVSAGANHSVALLSGKAVCSWGRGEDGQLGHGDAEDRPVPTLLSDFDVPGIASVVICGADHTTAYSDEQMQLYSWGWGDFGRLGHGNSSDVFHPQPIEALQGVKIKQIACGDSHCLAVTAAGQVHSWGRNQNGQLGLGNTEDSLLPQKIHAFEGVCVKMIAAGAEHTAAILEDGDLYGWGWGQYGNLGLGDRNDRLVPEKVSSVEGEKMVLVACGWRHTVTVSSSGDLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDRFISQIAGGWRHTMAVAGDGKLYGWGWNKFGQVGVADNVDHCSPVQVIFPDEQKVAQVACGWRHTLALTENKNVFSWGRGTSGQLGHGEIIDRNTPKMIDALSLDGYACKTLESSKVVPMTAEVRVTPSARYALVPDENSDAKRMRV* >Brasy4G386800.1.p pacid=40088920 transcript=Brasy4G386800.1 locus=Brasy4G386800 ID=Brasy4G386800.1.v1.1 annot-version=v1.1 MAVDLRRRAALSSTASASTRSKRDCAVLAKPVSSPTLRERGSEREDREGRERLTERSRAQGRRIRRRGGSGASDPAARALGDGGSGVRRRGSSGAGRPGDREGGAGERGGHESRREGRVAGCPDPWAAGPTAAGSGAFHGAGRRGGVGRWRGGGWPAREEKEEEGGGAGRRGGRGPRRRRV* >Brasy4G290000.1.p pacid=40088921 transcript=Brasy4G290000.1 locus=Brasy4G290000 ID=Brasy4G290000.1.v1.1 annot-version=v1.1 MVKLADPARRSMRGGSGAKKLHGEGRSTLTKSRRSSCGGLRGRGGRQEADRHGAAELADPARRSISSRRSDFAAAGRRRRSDFGVDGAAAERFHGSGAARVKLLLAVGFARRIGHELGCPVE* >Brasy4G267300.1.p pacid=40088922 transcript=Brasy4G267300.1 locus=Brasy4G267300 ID=Brasy4G267300.1.v1.1 annot-version=v1.1 MLLLLSCRPSPSPRLPFRRLLRCCCTSSSASSSSPIAPYHQSFARRMALAGIHPHHRIESSFVAAVGVSGGPDSMALCVLAAAWKKAAEGEPGRKSGEDGSVSPGFVDGLLGVVVDHGLRPESAEEAQLVCDRVHGMGVFCEIAKCEWPNGRPKLGHLQVAAREMRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G267300.4.p pacid=40088923 transcript=Brasy4G267300.4 locus=Brasy4G267300 ID=Brasy4G267300.4.v1.1 annot-version=v1.1 MLLLLSCRPSPSPRLPFRRLLRCCCTSSSASSSSPIAPYHQSFARRMALAGIHPHHRIAVGVSGGPDSMALCVLAAAWKKAAEGEPGRKSGEDGSVSPGFVDGLLGVVVDHGLRPESAEEAQLVCDRVHGMGVFCEIAKCEWPNGRPKLGHLQVAAREMRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G267300.2.p pacid=40088924 transcript=Brasy4G267300.2 locus=Brasy4G267300 ID=Brasy4G267300.2.v1.1 annot-version=v1.1 MLLLLSCRPSPSPRLPFRRLLRCCCTSSSASSSSPIAPYHQSFARRMALAGIHPHHRIAVGVSGGPDSMALCVLAAAWKKAAEGEPGRKSGEDGSVSPGFVDGLLGVVVDHGLRPESAEEAQLVCDRVHGMGVFCEIAKCEWPNGRPKLGHLQVAAREMRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G267300.5.p pacid=40088925 transcript=Brasy4G267300.5 locus=Brasy4G267300 ID=Brasy4G267300.5.v1.1 annot-version=v1.1 MRMAKWATKARTSSSGGPRNEAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G267300.6.p pacid=40088926 transcript=Brasy4G267300.6 locus=Brasy4G267300 ID=Brasy4G267300.6.v1.1 annot-version=v1.1 MRMAKWATKARTSSSGGPRNEAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G267300.3.p pacid=40088927 transcript=Brasy4G267300.3 locus=Brasy4G267300 ID=Brasy4G267300.3.v1.1 annot-version=v1.1 MRMAKWATKARTSSSGGPRNEAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGHNFSRYGILLVRPMLEFSKDDMYKICQGSNNLWVEDPTNTSMQYARNRIRASLKCLSTEGTFLSELQRLIYACRLTRAHVDNTCSMVIKQSVTITEYGYAVIDLEKLDPQNVDDLCLSRYLACVLQFVSQRHRPIRGRSAQLFMDYTRNTPCKGALTVAGCYLCAFPGSKGAKILVCCSVDSMESFSAEMSYKCSYEEQPPPVPEIEQIVLEAHSYSNQFLQYTNTPFVNYRSSSDVLDRAKDLDIIGDSTLGKLIYLQTEEHQKFTTTKDKHEDQYLEKTSFPDSKVLCLWPGETCHFMSRFLITWNALKVLANGIYSHDSKKSLCQHCVVNEDGSLVVRRMHDTDWLFLAEVSKIHSVEQNQNVSKVLSKNLDDDEFLNHYRYLQLSATKAIEILRSIPVSARRTLPVLTNSQGDILSIPSIGFRCCPSLSIKAVFHPRVPLGGGYVSYL* >Brasy4G119000.1.p pacid=40088928 transcript=Brasy4G119000.1 locus=Brasy4G119000 ID=Brasy4G119000.1.v1.1 annot-version=v1.1 MGALCDYCGEHRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLEENTSLCQNCDWNGHSAGSPDAGHKRQNINCYSGCPSSAELSRVWSFILDIPNVAPEPNCEQVISMMSISDSAVSNEDNAPGGNSFLDIASATLSSDHNNDDKLKTVIGSSSEAGVNLLPHATDQTAVSVDSTTAKVPYTPDKHMFSKDTIYEDFSMDDIDLSYENYEELFGNSHIQTEELFDDAGIDSYFEMKEVLAGSSDEQPKPMQPAASNAVSADSGVKDDSSLCIPVRQALSFSGFTAESNAGDYQDCGVSSLLLMGEPPWLPPGPDGSFAGIRDSAITRYKEKKKRRKFDHKIRYESRKARADVRKRVKGRFVKAGEAYDYDPLDTRSY* >Brasy4G119000.2.p pacid=40088929 transcript=Brasy4G119000.2 locus=Brasy4G119000 ID=Brasy4G119000.2.v1.1 annot-version=v1.1 MGALCDYCGEHRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLEENTSLCQNCDWNGHSAGSPDAGHKRQNINCYSGCPSSAELSRVWSFILDIPNVAPEPNCEQVISMMSISDSAVSNEDNAPGGNSFLDIASATLSSDHNNDDKLKTVIGSSSEAGVNLLPHATDQTAVSVDSTTAKVPYTPDKHMFSKDTIYEDFSMDDIDLSYENYEELFGNSHIQTEELFDDAGIDSYFEMKEVLAGSSDEPKPMQPAASNAVSADSGVKDDSSLCIPVRQALSFSGFTAESNAGDYQDCGVSSLLLMGEPPWLPPGPDGSFAGIRDSAITRYKEKKKRRKFDHKIRYESRKARADVRKRVKGRFVKAGEAYDYDPLDTRSY* >Brasy4G312100.1.p pacid=40088930 transcript=Brasy4G312100.1 locus=Brasy4G312100 ID=Brasy4G312100.1.v1.1 annot-version=v1.1 MVHGTLEVLLIGAKGLENTDYLCNMDPYAVLKCRSQEQRSSIASGKGSNPEWNENFVFTVSDQATELSVKLMDSDSGTGDDFVGEATIPLEALYTEGSIPPTVYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSH* >Brasy4G102200.1.p pacid=40088931 transcript=Brasy4G102200.1 locus=Brasy4G102200 ID=Brasy4G102200.1.v1.1 annot-version=v1.1 MADSSAPTRVMMAVNESSLKGYPHPSISCRAAFDWMLSKLVRSNADGFHLLFLHVQVPDEDGFDDMDSIYASPTDFQTMKQRDKIRGIHLLEYFVNQCHQLGIKCEAWTKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKANEAPQDPTDD* >Brasy4G136900.1.p pacid=40088932 transcript=Brasy4G136900.1 locus=Brasy4G136900 ID=Brasy4G136900.1.v1.1 annot-version=v1.1 MTSEGNKATGPSDPPPIEQLASSGAKRKRGRPRKYEHSTYEQPQMAHPVRSMSPVRRVLYNYNMHHGGVHANHTSGGSVGPKMHTVYVLPAPKAQGDRSRPRNSANTVKIHDNQATNYSSAHLEGNSSNDAIIGKHFVGKVANKCPGFSLITVKVKDNQVLQGWIPDVNNLRPIAPRDDLVPELPMLRPSSVKKKKASAVHKQAPRPLPVHLEDVTIARPLQMRRPVENSTATNIIPTTPGVYISSGVVAADPVSVPSSYVESRPLPKQVTEPIRPEPSATVVPVKFAQPVSMSCRQVANEDVLVEGKSVTEATSDSESSNGSDESSAQIQSVDPAAINEIDITSGSKVQSDAADNNQHICKESSDNMEQSEQLQTGTNILKGVDGSNLGASDDVHSVNEEDAMKVGSK* >Brasy4G222200.1.p pacid=40088933 transcript=Brasy4G222200.1 locus=Brasy4G222200 ID=Brasy4G222200.1.v1.1 annot-version=v1.1 MGAPEERAEQHARMEQRRGPRETARGRSKGAAVREGGAETRANFIWASNGTTPVDAQVGGFSPKRLPNPKFLGERGRGREQRRERVMEVQRDDGGRGRRRRGGSTGTTTKRHAGKRTLPPPVLPSSSLPRIAGKVAAGRLFRLLARPGRGGAREAAGARRRAPRRAGGRRGSAARVEARGRPQARGRPPGSGGARGGARVAAGARRREWRRAGGRRGAAARVEARGRPPRRGGAGSVARVARSGDGGAGSVVGGAGSGGIQGVDARRRARCCGPVLGLAGLPNHPRSEFRGKTSGSGFLDRMMAALGVVPHFDGIVFGVDTGWRVRWMEACGCVAICAATTMVTWDAGSRDHAPVLAMLHSKYKKPTYRFKFENWWLLEEDFQETAIQAWRSSQNQSFPSRTKHLAGALKIWRKKKRPLQEELLDLEHKISEIQEQPFQVQDHVREEQLIYRYEGTMTKLTQYYKQRSKKHWVAQGDCNTRYFHTSVL* >Brasy4G259400.1.p pacid=40088934 transcript=Brasy4G259400.1 locus=Brasy4G259400 ID=Brasy4G259400.1.v1.1 annot-version=v1.1 MAMMRTRDLLMEGFEGFVRDGSFKWPVPRRVPDDDDDPDRSPSAGKSASVAGLSPMASAVVTRCSRVLDVSMNDLQYDFDMQASDSIKQTKNYARNFLEYCCFRALGQVSQVAGYLADKSLRRLTFDMMLAWEVPSSSSQLAVKVEVDCTVSLEAFSRIAPAIPTVADVVTSSNLFDALSSSTGGRLSFPVYDKYLTGLDRAIKKMKTQSESSLLSGLRSQRGERVLEVDGTLTTQPVLEHVGISTWPGRLTLTDHAVYFEALKVVTYDKPKAYDLAEDLKQVVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEILYVHRFVRKFNINGIDKEEIILKASLGILRLQAIEELAFPVPNRYESLLMFNLCDKLPGGDVILETLASTISSRSSAQANQPGTSLGMRSMSAFAVLSNLGVVSPGNNSERLLVGEIVVGEMSSLQKAVTESMNNYKKVELAQATVDGVKVDGLDTNLVVMKELLSPVSDLWRVLVSLALWDEPLKSLGFCLLSSYIIIRGWLVYFLVMVLLFSAIFMLLTRLTSQGKPMIEVKVTSPPPMNTMEQLLAVQNAISKVEQLVQDANIVLLKIRALLLAFPSQATDRAILALLAMALSLAFLPTRLLILAMFLEVFTNNSPVRRASTERCTRRLREWWFSIPAAPVVVEKDNKEDKKTK* >Brasy4G021400.1.p pacid=40088935 transcript=Brasy4G021400.1 locus=Brasy4G021400 ID=Brasy4G021400.1.v1.1 annot-version=v1.1 MALVRANAMAAVLLAAVMLASTAQLSMGARRRMELYTPDRADMLSYHNGAVLHGDIPVSIFWYGHFTPAQKSIILDFLLSLTAAPQAAGSPSVAQWWSTIDEQYLSPAANPNGASNQKTRILVANQLSDDTCSSMGKSLTMAQITDLAAKAEPKQGGIALVFTAQDVAVDGFGMGRCSVHGSDAGAAYIWVGNPETQCPGECAWPFHEPVYGPQGAPLVAPNGDVGVDGMVVNLASMLAGAATNPSGDGYYQGSRDAPLEAATACPGMYGSRAYPGYAGDLKVDEVTGASYNAVGSNGRMYLLPALYNPSTSNCDTLV* >Brasy4G258400.1.p pacid=40088936 transcript=Brasy4G258400.1 locus=Brasy4G258400 ID=Brasy4G258400.1.v1.1 annot-version=v1.1 MDCCISSNLSDDVKVGLDSWEEHFDKISMMERSKFDEETLCNLEGIKKKKEYSKNYDDFRNEYIVVTMLVVADGAMKFPTITRPADLEAALGKLNSIPAREIRGIHVLWTPQEDNDVLSEEKLLTDYPCLKPLCDY* >Brasy4G040800.1.p pacid=40088937 transcript=Brasy4G040800.1 locus=Brasy4G040800 ID=Brasy4G040800.1.v1.1 annot-version=v1.1 MTRGRGWAAAVLLILVIGEMTSGGAEAATTYTVGDYGGWKFNVRGWARGKTFRAGDVLEFRYNRAVHDVAAVDAAAYRSCSRGKGRWKAMRSGHDKVRLVKGTHYFICTVRGHCKANMKIAVRVI* >Brasy4G068600.1.p pacid=40088938 transcript=Brasy4G068600.1 locus=Brasy4G068600 ID=Brasy4G068600.1.v1.1 annot-version=v1.1 MAEAAVDACEIARLPEEIVSAMLARAGPREACRAAVVSPAFRAAADSDAVWACFLPRDLPPIADGELPAAPPLSKKELFLRLSNSPVLLPDRLVSLWLDKETGAKCYMLSARQLYIVWSDTPRYWTWIPLTDSRFSEGAQLLDVCWLEIRGKIQSKMLSENSTYAAYLVYKIDTEFYGLDSPVQEASVSIGETKLTRRVCLQDYDDEDREIPENYRLMRPSVRFMTRRRNRRVVPPGENAQLPHKRTNGWMELELGEFFNEGGEDGEVSVDLTETKGGNWKKGLIVQGVEIRVKKSG* >Brasy4G386400.1.p pacid=40088939 transcript=Brasy4G386400.1 locus=Brasy4G386400 ID=Brasy4G386400.1.v1.1 annot-version=v1.1 MAAGDEELESLLRNFNRFSQGYKDALIEAQSLRVECSSESKKREALESYIADLKRDNERLRRLYTETLFKFTNQIKFHAESQNLKEELGKANSRLLSMEEEHKREIEQLKHANEMSCNTLENKLSCALVQQATDEAVIKQLKSDLGAHKAQVDMLSSRLEQVTAEVHMQTMLYKNDLQDLNDVIMLEQEEKNGMQRKLKKAEDELRVLKMKQAEQQRDSVSVQHVETLKQKVMKLRKENESLKRRLASSELDCS* >Brasy4G348300.1.p pacid=40088940 transcript=Brasy4G348300.1 locus=Brasy4G348300 ID=Brasy4G348300.1.v1.1 annot-version=v1.1 MGGSHSREDLDLSDSDDDDSASRASDASSDFSTPPPASAPKAARAAATPASIDAIDNHLRNLHLKYSEPISPNPSPNPNPSANAAALNAVKLYLHIGGSTPSARWITSDRLAAASFVRSGDSTDPDDDDDEPTGPWCLVVGSKIRARIGPELQLKTFPAQRRVDFVADGVWALKFLHVDGYGDFNAKYQSCLFENSYGVAATEEGRAKVFGKDFAAWARPECGDESIWEDATDAFSPGPKGSPMPSRIPMMRPLMEDFREFEEPVEEGGGGIQSLALGALDNSFLVGDSGIQVVKNFEHGIHGKGIGVKISGGGTNFTTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSQWKFGKDGTEINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRHGIVQNLGSSMESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLNPLDAHLAGNNNKFREGRFSWVTENGKQERHLVTTVGKYSVVWNFLQVKNSHHECYQHQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDSPEAPLVVATPMKVTSFSISSRH* >Brasy4G282300.1.p pacid=40088941 transcript=Brasy4G282300.1 locus=Brasy4G282300 ID=Brasy4G282300.1.v1.1 annot-version=v1.1 MAGGDDLKLLGAWASPFVTRVKLALAIKGLSFDDVEEDLGNKSELLLSSNPVHKKVPVLIHNGKSISESVVILHYIDEAFSGTGPSLLPAEPHDRAVARFWAAYIDDKLVAPWVQSMRGKTEEEKAEGIKQTFVAVETLEGALRDCSKGEGLFFGGDSVGLVDVSLGSLLSWLKATEVMSDTKIFDPVKTPLLAAWMERFGELEAAKAALPDVDRVVEFAKKRAAAAAAAAAAGSEK* >Brasy4G414300.1.p pacid=40088942 transcript=Brasy4G414300.1 locus=Brasy4G414300 ID=Brasy4G414300.1.v1.1 annot-version=v1.1 MGRRPRGSAAAGKKTGALTGRSCWGRGRGREEKYHGGDGGAAANRKAREFEMSGAPPDNGGSPDRLSWGWWLVALEAIRRAYSAPMCPKEARIRALAAHRAAAEEARRRGRRRREEKAMAKWEATGDPEAAAYRARRRDEELELHMRREEETMWYPYPGADCALDDEAADAVRYRLYKNYLGRYFESATAIPAMRFTDKRGGHLDQTVQIFWVKVTEIGGGLEWPLDVYGLIAVRDTADRKRNVIFARARDNCQTITEEVTHGSWPDGFRGVFTASTTSIDDMEVSLLSLEDGKLPVTVDGTINLSRRVACVEIEGGLKISVMAEYANGKQVFTTSDETVFAPRKAGRSSAILKVCSCQMKVCVAWSLVKPYE* >Brasy4G366000.1.p pacid=40088943 transcript=Brasy4G366000.1 locus=Brasy4G366000 ID=Brasy4G366000.1.v1.1 annot-version=v1.1 MGCFLSCFRARPDPSGGGLQDPLVRESRLGDAFLNDDDTAKLEAGGGTLKQDLGNGGDVDEDLRREANYLKSCGAISETPPEILEVSKQINSEDSDEFSEMSNIRQEIKEEIVSEDKECIPEEFSCDGHGTLKHDESTDEGAEHVSGVESVPRPPLQDKSSCQNIMNQKLDSSDSPFPTPLVIRGDIQTPGTVYTAYQGNFKSGKRGRTNRQFIYPVLRPIENKQQWLELKDESSPLPSSNPPKRRYLCPDSTEKPEETFPTSLTNTELLDSAPFSFHASNKEQAEKVTSPEEPKDQRGNQQLFDGVSEELLKNNSDNGNHGVASLSCWIKTSSADGEFQNGNRSNMGEQPGVEGIGATMDVPIFVASGLNWDIDNPTPLLPKAAWDGNGIPNTTTKYKEDQRVSWHATPFEERLLKVLSDEKPCLQRQISGKLIHLEEDAESVEAAASSP* >Brasy4G084000.1.p pacid=40088944 transcript=Brasy4G084000.1 locus=Brasy4G084000 ID=Brasy4G084000.1.v1.1 annot-version=v1.1 MEEKKATPAGVKKVKNGSKIAGVRKVKKDPMLAACHGDNDKGEEGATAGYVDPTRPFEDQNLGLTWYPQWCRLRSEMIAKSMPNDIIFPDPTPKRVRDALSKIASHLDVILEKDSVPCFLRLFQSEGEGMHWNFNITAQTLTYMVMNNAMSCAKAALEGKKPEFYGMHANPNCMNSYGYFPLHEAAETFSVDMIKLLFRHGASVNVRTVGNRVIEGLLPLHVAVQNACLHKYLEDHLSSIQDHQDYIYNLIHLLCLPEMKIFLDTIRLLAKKTDNLVDEVWIYIKSKKLVEAAVLLLAAQAQIRGGCSSKRNVKGKQNGFDVIMCRMMEDLENAQLQLNENTTLKYASLLVRIVSRLVPHAEVIGHVSSILKDFGYYSTGEGIDVGNLRPYDCKMSDSESHDIGHINATEACKITASAAGKKVARKKKSTGWDPDYSRRMFFPYWRSVLLHRCRVIVYPVYAPKVRMNSRRDSMGNGSSTNLNHNLVMLGRTQQPASNHQPRSLITTAAFTGYGCSRNPSHNLGSLERIRQPVNSHQTRRIFSSAAFLLKMLKKCVIRKV* >Brasy4G319400.1.p pacid=40088945 transcript=Brasy4G319400.1 locus=Brasy4G319400 ID=Brasy4G319400.1.v1.1 annot-version=v1.1 MARSWSLLLFALALIASVAHAAVVEHTFNVGNLSISQLCRPSWPEMNITAVNGQLPGPTIHAREGDTVIVHLLNDSPYNMTIHWHGIFQRGSQWADGPTMVTQCPVRPAGNYTYSFNVTGQEGTLWWHAHISFLRATVYGALVILPRGGADAYPFSPKPHKEMVVMLGEWWNANVHKLQEDAFLTGDPAPPADAYTINGKPGDLYNCSAPNHTRRFEVRRNETYLVRIINAALNTPLFFKVANHSFTVVGADACYTTPYRTDVVMVFPGQTVDALMATPDAPPVAGQLQYYMAASPYDSAIGGTPPFNPTVATAVLEYVGAGNDDNTTDIQMPDMPPFTDTNTAHRFLSNLTALVLPGKPTVPLGAMDTRMFVTVGMGQADCQPDQTRCVPTPPTFTKFASSMNNASFVLPRGTLSMLEAHRSNATAGVYTRDFPDRPPLIFDYTAEASNTAELHYTTKSTKVRTLWFNQTVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYNETVAVPLFNLVNPQERNTLAVPTGGWAVIRFIADNPGMWYMHCHFDAHLDFGLGMVFEVLDGPTPETSVPPPPKDLPRCL* >Brasy4G114100.1.p pacid=40088946 transcript=Brasy4G114100.1 locus=Brasy4G114100 ID=Brasy4G114100.1.v1.1 annot-version=v1.1 MSHDDAANVPAPGDGGRRQYVIDRPAFGRGAQLLYDLPTSPEFLFEEEALRKNRTWGENLTFYTGVGFCAGSLTGGVRGLGRAAAAAERGESAKLRLSRALNECGSVGRKHGNRLGVIGLLFGATESAVRHYRDGEDDWITTVSAGVGTGAIYGCPSGPRAAVVRGIVGGVLAGASIAVKPLLEKFAPDLAARFK* >Brasy4G137500.1.p pacid=40088947 transcript=Brasy4G137500.1 locus=Brasy4G137500 ID=Brasy4G137500.1.v1.1 annot-version=v1.1 MRWSHAGWSKGGRQQTAARERVRERRRKLRAWRGEPTCSAGGGGWGGALDPAPAGLWARWRALGRGSGVRVVEGERAHRRRSREHRIRRRRALGRPIRPQRCRWRLAPAGSRAPDPDDVPQARAEWGAGGGGWREEGVGSKGCWVGRGRRRERRRKRGRKKEKERKKRKGCWRWQERERGERVRVCSFYRDHGFGVLSVACRRPGIATNTLSAREFSNPCLSVSGDS* >Brasy4G357700.1.p pacid=40088948 transcript=Brasy4G357700.1 locus=Brasy4G357700 ID=Brasy4G357700.1.v1.1 annot-version=v1.1 MSDAHLSPATAGPNSIARPATRTPRADPAPHWPPAADPAATPGPQRSAHPPAPDPATAYRRPRWQGRRPASGAAVPAFASPAAREPRAPAAAVNSGHRTPPGAAPTRRRPRPARASARSEAKRHRKALPPPPVPPASSGDGEGRGEPVGSGGNG* >Brasy4G055700.1.p pacid=40088949 transcript=Brasy4G055700.1 locus=Brasy4G055700 ID=Brasy4G055700.1.v1.1 annot-version=v1.1 MAKPPQTLHPSILCSFALALLRAGRLSAASHVVSTLPSASLPSPLLRRLIPALASSGLAAAAVRFRPVPGDSLSLNSILLSHRALRSLRPALALLRSSESVDTVSYNVVISGFSEQGGLAPEALLAEMCKRGVPFDDVTVNTVLVGLCRDGRVDRAAALAEMMVRGGGIGGLDVVGWNSLVDGYCKVGDMETALAVAERMKTQGVGVDVVGYNSLVAGLCRAGEVDAARDMVDTMKRDVVEPNVVTYTMFIVEYCRRNAVDDAFSLYEEMVRKGVLPDVVTLSALVGGLCKDGRFSEAYALFREMEKIGAAPNHVTYCMLIDSLAKAQRGNESLGLLGEVVSRGVVMDLIMYTALMDWLCKEGKIDEAKDMFRHALSDNHTPNGVTYTVLIDALCKAGHVDGAEQVLSEMEEKSISPNVVTFSSIINGLVKQGWVGKATDYMREMKERGIDPNVVTYGTVIDGFFKCLGQEAALDVYHEMLCEGVEVNKFIVDSLVNGLKKNGKIEEAEALFREMNERGVLLDHVNYTTLIDGLFKTGNLPAAFKVGQELTEKNLLPDAVVYNVFINCLCMLGKSKEAESFLEEMQNTGLKPDQVTYNTMIAAQSREGKTAKALKLLNGMKRSSVKPNLITYSTLIVGLFEAGAVEKAKYLLNEMASSGFSPTSLTHRRVLQACSQGRRSDLILETHEWMMNAGLCADITVYNTLVRVLCYNGMTRKAMVVLEEMSGSGIAPDTITFNALILGHFKSGHLDNAFSTYDQMLYHGISPNVATFNTLLGGLESAGRIGESDMVLNEMKKRGIEPSNLTYDILVTGYGKQSNKVEAVRLYCEMVGKGFLPKVSTYNALISDFSKVGMMSQAKELFNEMQNRGVLPTSCTYDILVSGWSKLRNGTEVRKFLKDMKEKGFSPSKGTLSSISRAFSKPGMSWEARRLLKNLYKV* >Brasy4G055700.2.p pacid=40088950 transcript=Brasy4G055700.2 locus=Brasy4G055700 ID=Brasy4G055700.2.v1.1 annot-version=v1.1 MAKPPQTLHPSILCSFALALLRAGRLSAASHVVSTLPSASLPSPLLRRLIPALASSGLAAAAVRFRPVPGDSLSLNSILLSHRALRSLRPALALLRSSESVDTVSYNVVISGFSEQGGLAPEALLAEMCKRGVPFDDVTVNTVLVGLCRDGRVDRAAALAEMMVRGGGIGGLDVVGWNSLVDGYCKVGDMETALAVAERMKTQGVGVDVVGYNSLVAGLCRAGEVDAARDMVDTMKRDVVEPNVVTYTMFIVEYCRRNAVDDAFSLYEEMVRKGVLPDVVTLSALVGGLCKDGRFSEAYALFREMEKIGAAPNHVTYCMLIDSLAKAQRGNESLGLLGEVVSRGVVMDLIMYTALMDWLCKEGKIDEAKDMFRHALSDNHTPNGVTYTVLIDALCKAGHVDGAEQVLSEMEEKSISPNVVTFSSIINGLVKQGWVGKATDYMREMKERGIDPNVVTYGTVIDGFFKCLGQEAALDVYHEMLCEGVEVNKFIVDSLVNGLKKNGKIEEAEALFREMNERGVLLDHVNYTTLIDGLFKTGNLPAAFKVGQELTEKNLLPDAVVYNVFINCLCMLGKSKEAESFLEEMQNTGLKPDQVTYNTMIAAQSREGKTAKALKLLNGMKRSSVKPNLITYSTLIVGLFEAGAVEKAKYLLNEMASSGFSPTSLTHRRVLQACSQGRRSDLILETHEWMMNAGLCADITVYNTLVRVLCYNGMTRKAMVVLEEMSGSGIAPDTITFNALILGHFKSGHLDNAFSTYDQMLYHGISPNVATFNTLLGGLESAGRIGESDMVLNEMKKRGIEPSNLTYDILVTGYGKQSNKVEAVRLYCEMVGKGFLPKVSTYNALISDFSKVGMMSQAKELFNEMQNRGVLPTSCTYDILVSGWSKLRNGTEVRKFLKDMKEKGFSPSKGTLSSISRAFSKPGMSWEARRLLKNLYKV* >Brasy4G223500.1.p pacid=40088951 transcript=Brasy4G223500.1 locus=Brasy4G223500 ID=Brasy4G223500.1.v1.1 annot-version=v1.1 MAMAQRAMGFLRRSLGLAPPTAQRALSTSAAPAAAAAAAEGEVVAASKEAKRRKKKNLFDVVQFLPDWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAADAPIKISGVNKRGWKYIKASQKTVQDIPKVETPVAV* >Brasy4G297900.1.p pacid=40088952 transcript=Brasy4G297900.1 locus=Brasy4G297900 ID=Brasy4G297900.1.v1.1 annot-version=v1.1 MASIVSKLARAAVASRASLAAVAGRRPIHPCLPRLSLTGDAIRRMCSGAGKRYQMEKGGPKSEENRCPRSLDPYLTVVDGKVLESKEAIYSLYEYWCKYYGISRDPAEMRRRFVTCRSSARFVHKTNKERTGRLLALNALADMTMEETYPRPYYRPR* >Brasy4G006400.1.p pacid=40088953 transcript=Brasy4G006400.1 locus=Brasy4G006400 ID=Brasy4G006400.1.v1.1 annot-version=v1.1 MMNVLSSGHPQNLQLLRVNHDNVSPRGRPPKKCLALPPVVGRPFRQRGRAVAVRSAIDGSGGASSSGKDDDDEEEEEKRRRKEEEEGTTSNREELERLVGGAEDDMFSGLDLANLIRKKYGRSYDVTLIRKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVASSLRCWGAVAHVRNSLDRSKDRPRIGKAVSIFIDMDDTGTGARSNEWIYK* >Brasy4G346100.1.p pacid=40088954 transcript=Brasy4G346100.1 locus=Brasy4G346100 ID=Brasy4G346100.1.v1.1 annot-version=v1.1 MGVYLSTPKTDKLSEDGQNDKLKFGLSSMQGWRASMEDAHSALLDLDNETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSSGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMLEGLIWSPKGNDLKNGLDDWVLEEGPHSDFDGPTCGSTACVALVRNNQLVVANAGDSRCVISRGGQAYNLSRDHKPELVAERERVLKAGGFIHMGRINGSLNLSRAIGDMEFKQNKSLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIHTESSLSAVCERVLDRCLAPSTIGGDGCDNMTMILVQFKKPVSDAAGNGS* >Brasy4G346100.2.p pacid=40088955 transcript=Brasy4G346100.2 locus=Brasy4G346100 ID=Brasy4G346100.2.v1.1 annot-version=v1.1 MGVYLSTPKTDKLSEDGQNDKLKFGLSSMQGWRASMEDAHSALLDLDNETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSSGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMLEGLIWSPKGNDLKNGLDDWVLEEGPHSDFDGPTCGSTACVALVRNNQLVVANAGDSRCVISRGGQAYNLSRDHKPELVAERERVLKAGGFIHMGRINGSLNLSRAIGDMEFKQNKSLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIHTESSLSAVCERVLDRCLAPSTIGGDGCDNMTMILVQFKKPVSDAAGNGS* >Brasy4G407300.1.p pacid=40088956 transcript=Brasy4G407300.1 locus=Brasy4G407300 ID=Brasy4G407300.1.v1.1 annot-version=v1.1 MEYRVALFLAVALVSGPAFAFAYAPATPAATPAAPAAGYPKATTDEQKMIEDINTGFKTAVAAANAAPPADKYNTFEAAFKKASTGYKAGVSAKLDTAYQAAYKAADGATPEAKYDVFVATLTEALRVVAGAVEAHAVKPVAEELAAGAGGKMPADELKIVDKIDAAFKTASTAANAAPANDKFNVFEATFNKALKESTGGAYESYKFIPSLEAAVKQAYAATIAAAPEVKYAVFEAALTKAITAMSEAQKAKGGVTVTATVSAGAAAKPAVPGYKV* >Brasy4G063000.1.p pacid=40088957 transcript=Brasy4G063000.1 locus=Brasy4G063000 ID=Brasy4G063000.1.v1.1 annot-version=v1.1 MRGGPTVAAFGPGEPPPAPAAAEEVVEENSGGEEEELELGLSLGSKKQQPTPTPCRILTARDLHPAAALSPDSSVSSSSPAAASRRAKAEEEGPTAAASPGTIASGHPQSFGVVGWPPIRIFRMNSLFGQAKDNASEAETKKTVADESDLHKDKEEGEKKERAPGWVKVNMDGEVIGRKVDLNAHRSYKTLALALEIMFTKPSAGLCASNSTKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLRIMRASDANGLGARCQAIHRTAAATRGRP* >Brasy4G335600.1.p pacid=40088958 transcript=Brasy4G335600.1 locus=Brasy4G335600 ID=Brasy4G335600.1.v1.1 annot-version=v1.1 MADSKEREEQARKAHASAEKCFLAGNVLAAQMWMQSAARLAPDLPGTPQIVAAYDVHVAAARSTRDWYGVLGLKPGRADALTHDDIRKQHRRLCLLVHPDKNPSAAADGAFKLVQAAWHAFLAKHPPNFGTAVPAPPPPPQRQAAPPPRPRPPPEPQPRPRPPRPAPQWTPRPAAPKPPTYYYYPEPAKPKHQSKCPACGGQAINGRNNAPTLIGRNNYRCMSCLWSPNDGRHEPHEDDCDDDFFDQ* >Brasy4G205300.1.p pacid=40088959 transcript=Brasy4G205300.1 locus=Brasy4G205300 ID=Brasy4G205300.1.v1.1 annot-version=v1.1 MSLHIGRLSSHVRQGYLEHLFQAFGRCTVRLKNGYGFAVFDSSDDAARALQELHGKPVCGERITVNWSKHQPKFSLSSRRSSRFIESSHGRRSKDGVDSLRFSEPADQKNHRTSNATSCNPDGAAEKRSDQNAEGLEDAGENIDEDPVEMKMGDGGTSDANAIEHDRWGDTGKGNHGEDGDDFDRYEPYHGYGKKEEKEKITKADHSHFSEKWQNHPVEHFDLNHDKPKYRPTCYNCGSAGHVTRNCSDEAVGKFKTLRGGLILKEKQKPRLRRFRSPSKRRPEFHVDPMIQAHHRVQDNRKPFSNGTGRAPRLSDVSRLNRRHTPYSQNVPHVPKEAQIGKIGNKSKRSREPSLSSDRSSAYSRSRSSCSHSTAKSPSHSANYSSKSSQPIQPEGVKLRPISNISYPGPLSVSVSPQCDSLPATGNKNLVNSTLGSNLDSKTRSEFNVKGDYKQEAEGSRSNSEAPATSSKLDTLSNGELSVPGKDVKVAGHPEANLHKGVVDDDIVGNRVLGQKTNPGYTSSVKPNKENLAKSGRKISLKLTTNEVVSALKHYGMEAREIGLLNQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRVEQNKEFGIVDQYVRSSSGWWECH* >Brasy4G205300.2.p pacid=40088960 transcript=Brasy4G205300.2 locus=Brasy4G205300 ID=Brasy4G205300.2.v1.1 annot-version=v1.1 MSLHIGRLSSHVRQGYLEHLFQAFGRCTVRLKNGYGFAVFDSSDDAARALQELHGKPVCGERITVNWSKHQPKFSLSSRRSSRFIESSHGRRSKDGVDSLRFSEPADQKNHRTSNATSCNPDGAAEKRSDQNAEGLEDAGENIDEDPVEMKMGDGGTSDANAIEHDRWGDTGKGNHGEDGDDFDRYEPYHGYGKKEEKEKITKADHSHFSEKWQNHPVEHFDLNHDKPKYRPTCYNCGSAGHVTRNCSDEAVGKFKTLRGGLILKEKQKPRLRRFRSPSKRRPEFHVDPMIQAHHRVQDNRKPFSNGTGRAPRLSDVSRLNRRHTPYSQNVPHVPKEAQIGKIGNKSKRSREPSLSSDRSSAYSRSRSSCSHSTAKSPSHSANYSSKSSQPIQPEGVKLRPISNISYPGPLSVSVSPQCDSLPATGNKNLVNSTLGSNLDSKTRSEFNVKGDYKQEAEGSRSNSEAPATSSKLDTLSNGELSVPGKDVKVAGHPEANLHKGVVDDDIVGNRVLGQKTNPGYTSSVKPNKENLAKSGRKISLKLTTNEVVSALKHYGMEAREIGLLNQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRVEQNKEFGIVDQYVRSSSGWWECH* >Brasy4G162600.1.p pacid=40088961 transcript=Brasy4G162600.1 locus=Brasy4G162600 ID=Brasy4G162600.1.v1.1 annot-version=v1.1 MSQSSPFFSIARAHAGAGGRAAAAALLLRHPAAQLPPSIYCPGYFPLAKLSHAKPLNSHLGFPRATLSSFADANDGSSAEPDASEEQNGGSELSEMAKAFHISPRTAMSISVVIAFAALSVPLAMRSLAFHGTIKMKMLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGNDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKIFSIAVLQALGCGAIGAIFVSRAIKKQLGDLLSSEAEKIASASNTDGQQGGFLSDVAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSLLQGVATSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVVSWVVTIPVGALLAVFYTLILTKILKYFM* >Brasy4G259500.1.p pacid=40088962 transcript=Brasy4G259500.1 locus=Brasy4G259500 ID=Brasy4G259500.1.v1.1 annot-version=v1.1 MHDLLRQLACYLSKEECFVGDPESLGGTSMYKLRRLSVVTEKNVLMLPSMEKEQLKVRTFKKLCGISLVIDHSLLKKLTNLRVFDLAGSHVQSIPSSIGSLIYLRLLDLDGTNISCLPESIGSLKNLQILNLQRCTALHSLPLAVFLRRLGLRDTPINLVPKGIYKLKFLNDLEGFPIGGENDNAKTQRGWKLEELGHLSQLRRLSMIKLEKAAPCSMDAILIHKTYLKVLSLRCSQQTDAKYSEVDVRNIEKLFDQLIPSHNLEDLFIGGFFGRRHPTWLDATVLSSVKHLKLVDCKSCLNLPPIGQLPMLKYLRIEGATAVTKIGPEFVGCLVDSPRSMQAVAFPKLEWLIIEDMPNLEEWSFVDVEEESAAAKEGREASSPFLQLLPRLKELYLISCPKLRALPQQFGQGTTSLRKLQLSRTCSLKVVDNLRFLSESLVIEACENLESVSNLPQVRELLAKDCPNLRCVEGLGSLQQLWLTEDMKETSSLWVPGLQQQRWHCHGEDLDIYIALETKRRPT* >Brasy4G315400.1.p pacid=40088963 transcript=Brasy4G315400.1 locus=Brasy4G315400 ID=Brasy4G315400.1.v1.1 annot-version=v1.1 MLPGCGLFYDCVRHGRREKHEAAGRTDSRVSAEPSGAGASAAGKMGCGQQARRLEWAEIESMTGGFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLHRAFRHELDVLLSVRHPHIVRLLGFCDEREEGVLVFEYAPNGDLHERLHGNNNNNNKRTAAAVLPWARRMAVAFQVAMALEYLHERRDPAVIHGDVKASNVLLDAALDAKLCDFGVAHVGFSAAVTARASARPVMGSPGYVDPHFLRSGVATKKSDVYSFGVLLLELVTGREAICADTGCRLTVAVGPALSEGKVADVVDRRLGEAYDSEEAATVAALALKCVTVGPGLRPSMAEVVRVLQEKTAALFSAVGPKPVSKMVS* >Brasy4G211800.1.p pacid=40088964 transcript=Brasy4G211800.1 locus=Brasy4G211800 ID=Brasy4G211800.1.v1.1 annot-version=v1.1 MQAAYPACSMAMQEAAACRACSTATPRAEEGSRACNPATQLGDQKRSKACDPATPHAKKGSTECNPATARAEKGSTDCSPATPRAEKGSRECNPATRRAEKGSTACTPRRTPQSASCRTGTTPWAYPGCRTAARGRSRRRWSRTRTRAPSSQSRIPDRSREGGWWVGSRTGEAEEDR* >Brasy4G087500.1.p pacid=40088965 transcript=Brasy4G087500.1 locus=Brasy4G087500 ID=Brasy4G087500.1.v1.1 annot-version=v1.1 MATESSTAHQLPLSPRLSASPASSPATVGAFLTKAGGAPREVCRSPRSLLSRILRRGGGGGGFGCRLRLPRYCSGRASGKEYAGEEEEVGWTRAPQVVCGQAAEARESPPRSSFGKNTAAAAASLGLGAGLVLLLSKGAAELSRMEELRAQMERLVLDAKATARRGSSRANDADDSASVVIEPTVFAGAVEEDASSHCSRNAGAGGNAAAAAMDEMEAELEAELTRLQLASNDDDEECATPRQDHQLLEAEAESEVASENHSPTCAVENGSAIIDDGAARSDHGREEDEDNEDEDEAGEMEESVPCHTGVPARELERRLHELLQSRHEERIAELESELERARRKLREKEREVSRWRDTAKLVSRREDESRLR* >Brasy4G136100.1.p pacid=40088966 transcript=Brasy4G136100.1 locus=Brasy4G136100 ID=Brasy4G136100.1.v1.1 annot-version=v1.1 MGNHAGVQRLPEITESVTASHEFEVTNYRALDGVLGVGKSVRSATFSVGGYDWEIRFYPDGDRTECAGYASIFLACLSPAAKLDVSTKFTLTVLTQRAGKVQIASLSPFWHIFSPTSKGWGLPKSVEKSKLKSLSSLTIRCDLTVAREPSTECKAVVVEVPPPELPGHLERALKDKKGADVTLLVGGREFSAHRFMLASRSLVFDALFGPMMEENTWRVEVVDVEPAIFEMLLHFIYTDSLPPPKPTSDIQEGGYSTAKMQHLLVAADRYGLDRLKVMCEEKLCTGIKLENVMSTMALADRHSFHRLKEACVAFMSQPDVMGAVVRDDGFKHLIRRCPMLGLEEESRKS* >Brasy4G169900.1.p pacid=40088967 transcript=Brasy4G169900.1 locus=Brasy4G169900 ID=Brasy4G169900.1.v1.1 annot-version=v1.1 MRTVPHGARRASWRIISSIEQKEESRDNEDRVTLIKDYRGKIEAELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLHDNLTLWTSDISEDAAGGIKEAPKGESGDGQ* >Brasy4G048500.1.p pacid=40088968 transcript=Brasy4G048500.1 locus=Brasy4G048500 ID=Brasy4G048500.1.v1.1 annot-version=v1.1 MADDAESEQAAVVVDANPPPLSKSARKKLLKQERQAARKAERKVADKERRRADLDRRRREWEEALAAAPSDEAREEMLAARRETRRERVGKRVDERGARAERLRRAAEGAGQKVVLDLEFADLMRPNELHSLTQQIMYCYAVNGRSAMPAHLWLTGCSREMGTQLQRIPGFDKWIIEKEAKPYLEAFEDCKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITQKKAVDQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMQKFVETKDWKTAFFHVIPQRKRGEAGAADDKVEASMDDSAAAEGAANGDHSEEDIEKGFHEEIDNDDDEELEEEESDVAKKRQCVRNKNGEAGDQSSSAAAEAIPAGGATPQTE* >Brasy4G183300.1.p pacid=40088969 transcript=Brasy4G183300.1 locus=Brasy4G183300 ID=Brasy4G183300.1.v1.1 annot-version=v1.1 MFHHHLEQQQQQQQLRRDQAAESADQDSSMSNLTTSASAGANPPPPPSSGSNKRKRSLPGNPDPESEVVALSPATLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSGKELVRKKVYVCPEASCVHHDPCRALGDLTGIKKHFFRKHGEKKWKCDKCAKKYAVQSDWKAHAKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGGLPVSMAQQGLHQHAMLFSPPQQQHQHHIMQHQDLAAFQEHHHALQQQQHVQVQQQQHCNYAVKPEMQPWPTAAMPPYDDGDVHHHHALLQQPPLCNVVANATPQSSAAPTPQPQQHQQQQQQQQMLPAAGAHLSATALLQKAAQMGATIGGAHYGTQMAGAATSSAAGNGNGNTFELGLSCLNNSNNQQQQQQMMGLAARTAGGRSSEVDGEGMTRDFLGLRAFSHRDILGLAGFDSSCMAAAANNNNTTTMAPACYEPQQHGLGQPQPHQQQQQQQQQSSEEPWHGMSSHS* >Brasy4G183300.2.p pacid=40088970 transcript=Brasy4G183300.2 locus=Brasy4G183300 ID=Brasy4G183300.2.v1.1 annot-version=v1.1 MFHHHLEQQQQQQQLRRDQAAESADQDSSMSNLTTSASAGANPPPPPSSGSNKRKRSLPGNPDPESEVVALSPATLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSGKELVRKKVYVCPEASCVHHDPCRALGDLTGIKKHFFRKHGEKKWKCDKCAKKYAVQSDWKAHAKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGGLPVSMAQQGLHQHAMLFSPPQQQHQHHIMQHQDLAAFQEHHHALQQQQHVQVQQQQHCNYAVKPEMQPWPTAAMPPYDDGDVHHHHALLQQPPLCNVVANATPQSSAAPTPQPQQHQQQQQQQQMLPAAGAHLSATALLQKAAQMGATIGGAHYGTQMAGAATSSAAGNGNGNTFELGLSCLNNSNNQQQQQQMMGLAARTAGGRSSEVDGEGMTRDFLGLRAFSHRDILGLAGFDSSCMAAAANNNNTTTMAPACYEPQQHGLGQPQPHQQQQQQQQQSSEEPWHGMSSHS* >Brasy4G342400.1.p pacid=40088971 transcript=Brasy4G342400.1 locus=Brasy4G342400 ID=Brasy4G342400.1.v1.1 annot-version=v1.1 MLQPPSVKMRRGLLRYMIEIFDSNTKQFIIQKTEYGSISLDHGDVTSILGLVDTGLSVVAVLREEGIDVRDRIPSRFVSRQTHNLVIDQLIEDTVRDGTGDDDFLRRSVLVLIGVLLAPDNGLIVPKEYYALVEDVKRMRLFNWNDFTLSILFDGLGKMKKGESIIQWPRGNLALLHVQTDYYACTIFLTFPYWNCVSNSNCVHTVCILGEA* >Brasy4G154500.1.p pacid=40088972 transcript=Brasy4G154500.1 locus=Brasy4G154500 ID=Brasy4G154500.1.v1.1 annot-version=v1.1 MSYFQATTCKPHSGLILDRPITGLGKTCRLLPHAQYSLQSRSLGFRKLEKQIYPRLVLVAASHKRLTPVCASSRKGNPETDNDPFMDHLKKAMADAKKPRTVQDMLKEQFTKLRQQASGGGGGNGNSRGGNGGSGGPEDESFKESLDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRSMQQWRSFSERFMQKESSEEERYERPATSEPRWWQQPQRFVHLMEELCRGNWRPHAQKS* >Brasy4G069000.1.p pacid=40088973 transcript=Brasy4G069000.1 locus=Brasy4G069000 ID=Brasy4G069000.1.v1.1 annot-version=v1.1 MEPPPPESCEIDRLPEELLEHVLSLTSPAGACRAAAVSRAFRAAAYSDALWSCFLPRDLPQYAKGEFSKRTLLFWTKKSLFRRLSEQPTYLPGKLIRMQLDRATGVQCFAISARAMQIWWPKERPWSFVDWYSLPSSGCIQLQGGHRRFQSVVLHCVHRLDVRAKFPRKLLSQDTTYAAYMLFNHRTSYKLKHLTHLELEASVGVAGSESTRRVALRGYVDDRPGYGIGPSREYILPSHAICPGKGGIVFPRKRDDDDWLEVELGEFHNEKGDDSGEVSMSVMDTVEGRYRGRFVVGSIELRTTHSPSASSPRLLRFARAPPTHASFLPPAAGAPPAPASPAAAGAPLAPDPPLSPAHTHPPTPASRALIPRLPALGPPVRPHPRNPLPPGAPGDYWIVLPSLLLRLRERWRREANGDDLALMETLRAKCMGSGVLPAAAPEDDEVAYVRRCFEYFVGFRDLISC* >Brasy4G282600.1.p pacid=40088974 transcript=Brasy4G282600.1 locus=Brasy4G282600 ID=Brasy4G282600.1.v1.1 annot-version=v1.1 MAGGGDELKLLGTWASPFVLRVKLALSFKGLSFLDVEEDLAHKSELLLASNPVHKKVPVLIHGDKCICESQIIVQYIDEAFCGTGVPSLLPADPHERAVARFWAAYIDDKLLASWLQAARGKTEEEKAEGLKQTLAAVETMEAAFKACAKGKPFFGGDSVGYLDVTLGALVAWVHAGAALYGMRLFDEAKSPLLAAWVERFGALDAAKAVLPDCDKLVEFAKLRQAQAAAAAAAAAAAGDN* >Brasy4G389400.1.p pacid=40088975 transcript=Brasy4G389400.1 locus=Brasy4G389400 ID=Brasy4G389400.1.v1.1 annot-version=v1.1 MPSRGLLQRESSAIFVIDVQVPAKKETPQMVASGPESIHPYRLSSSGAGGALSRRLPARLLQVRPPPPPCRSPPLMSPGLGVFACFFLIRGVPDGQDGSTEQL* >Brasy4G389400.4.p pacid=40088976 transcript=Brasy4G389400.4 locus=Brasy4G389400 ID=Brasy4G389400.4.v1.1 annot-version=v1.1 MPSRGLLQRESSAIFVIDVQVPAKKETPQMVASGPESIHPYRLSSSGAGGALSRRLPARLLQVRPPPPPCRSPPLMSPGLGVFACFFLIRGVPDGQDGSTEQL* >Brasy4G389400.5.p pacid=40088977 transcript=Brasy4G389400.5 locus=Brasy4G389400 ID=Brasy4G389400.5.v1.1 annot-version=v1.1 MPSRGLLQRESSAIFVIDVQVPAKKETPQMVASGPESIHPYRLSSSGAGGALSRRLPARLLQVRPPPPPCRSPPLMSPGLGVFACFFLIRGVPDGQDGSTEQL* >Brasy4G389400.2.p pacid=40088978 transcript=Brasy4G389400.2 locus=Brasy4G389400 ID=Brasy4G389400.2.v1.1 annot-version=v1.1 MPSRGLLQRESSAIFVIDVPAKKETPQMVASGPESIHPYRLSSSGAGGALSRRLPARLLQVRPPPPPCRSPPLMSPGLGVFACFFLIRGVPDGQDGSTEQL* >Brasy4G389400.3.p pacid=40088979 transcript=Brasy4G389400.3 locus=Brasy4G389400 ID=Brasy4G389400.3.v1.1 annot-version=v1.1 MPSRGLLQRESSAIFVIDVPAKKETPQMVASGPESIHPYRLSSSGAGGALSRRLPARLLQVRPPPPPCRSPPLMSPGLGVFACFFLIRGVPDGQDGSTEQL* >Brasy4G025500.1.p pacid=40088980 transcript=Brasy4G025500.1 locus=Brasy4G025500 ID=Brasy4G025500.1.v1.1 annot-version=v1.1 MAAKLPTADVEAGLLAHLNSNAEIPDSRSFASSLGVSHKVLEDVIKSLCAVRIVESADITKETWVLTDEAKGYAARGSPEVQLVAAIPLEGASKGALKEKLGDVFDIGMKAAAKNKWIGFEKGNKDLVLRKVEDIKDELQAQLKSLENGEVIGDKAIDDLKRRKLITKEKSIWYSLKKGPEFVVKRKTLATDVTREHLKSGDWKDVEFKDYNYGAQGQPIAIGYMQPLLEVREAIQNIFLEMGFREMPTNMFVESSFWNFDALFQPQQHPARDSHDTFFLKAPATTTQLPDDYLEKVKQVHQSGGFGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEIGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSSPVCRLGL* >Brasy4G218900.1.p pacid=40088981 transcript=Brasy4G218900.1 locus=Brasy4G218900 ID=Brasy4G218900.1.v1.1 annot-version=v1.1 MYFCLTDERRLLKVCSFMEKQASQIMVEFQAVP* >Brasy4G183700.1.p pacid=40088982 transcript=Brasy4G183700.1 locus=Brasy4G183700 ID=Brasy4G183700.1.v1.1 annot-version=v1.1 MASSSRCALFVGSKRTRTSGGIGGAAREEAMAWGSAVVKREVKPEEEEEEEEEEEREARAAWPRPIEGLGEPGPAPFVGKTYEMVADAATDAVVSWAGRGSSFVVWDPHALAAAVLPRFFKHANFASFVRQLNTYGFRKVNQERWEFVNEDFLAGQKHLLKNIRRRRASKHKTKSQLRNGTSVSYGQPDSLSEVENLKRDHTALRAEAVTLKQQYNICKSQLIAMEQRVLSNERKQQQIITFFVKSLSNPVFLQRIWLNYGNKKDLGSTVKRQRLMDNEEQRVVDALLKKGTEAAFEKEVSISVGSSDCGTVENDEPMPRWTDQNIGDMCDDVWEELDAIPGTEMKQEGKADIGFDVEEFTGRPCGWIDDCPYLVEPLQFVEH* >Brasy4G274600.1.p pacid=40088983 transcript=Brasy4G274600.1 locus=Brasy4G274600 ID=Brasy4G274600.1.v1.1 annot-version=v1.1 MDCFFRVRGLAVGSTVCIGGTALIIWSLVNQSRRPHSKGSIAVVSIILVMFWLSVGGVYAAYCGTLFPWPTALRRCLASARRALLQGCKASAAPAGCSACRAGARAKG* >Brasy4G255900.1.p pacid=40088984 transcript=Brasy4G255900.1 locus=Brasy4G255900 ID=Brasy4G255900.1.v1.1 annot-version=v1.1 MVRSKEVPKKPKDPLLTPPSKPRGFRDDGFSGSLPRNRGGAAMSPAPASVPNYMRATSSSGAKAGQRGAGLPSSATPTRRRLIRVATRGKVLFPAPAAAPGMGRATCSSTMKDAKFPDALDVAPGATDAEGPAAMRVCPYTYCSLNGHTHAPAVPLRSFLASRRRLIKTQQSMKHKGVSAFRKGTGHQRPVEKTGARGVAKAAPSVDEQALGDFFVEVYTGPRLSSDMSCSDMSLDEMDATVKRMEFVVFDRCCGDEDNEKGADLAVPGDGERRLEERLGVCGHTSSECSDEGISGNLVEELPWMRYQGYECDSLDDVSEEQGGIHDEQEGEDEDSTSVGFSDEREDKAGGESESKDETSILDLPCEPGIIMEEEGVDCRVGACKEGEEMYEGNIILDQLEASTGQETQNPEDSEECISEVAHKMEIASEQTCIVCTVEVCREQEERDEHDILGEVCQGDTYDEQGTSQEKLSEGVCELEIPEYEAAERVENVREGTVECSADQEEKDDETNMDSAGKLEVTEKPDMKDDDESEIRISETLSNVECKEDFFEEEVTSKAVLECEVSDSSAIGSPDVEILAQQTECGFEQDVRTVEDAFEQHDIPAENTVDVTKDMQNGLTTCKSEDASEESGIARESSQGGNSICVDAAAQIEPDITKCASDVSSEESSIVEESSQSDKAAYVKDGAQIEPEFATCQLEDASKESIITEETVRDDNSSYLGEGTQMQLGIGANELEGTSEESGIAHEIGEYGSSAYASDDAQSDSGITTCELEDVSEGPVAVQDYNCADVSGGSQNESELTPCDLADASKGCDITQEAIRDNNAADVNDDAQKESEIIACESEPAPEELDITQEGDEGDYTAGVCAGALKESEIITCEPANTCEEVCINEKVDLSSIGQHREHNHNISATDGHVEPQNLPAEDTVAKESSVDDICVAFSGMNLKGDVFLDPTESEISPRNRLIIARRRRTPEKDEYMRGFNPRAPNFLPLELDPDSEKVDLKHQTAEDRKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEDEKKSITPTRSIQACN* >Brasy4G199400.1.p pacid=40088985 transcript=Brasy4G199400.1 locus=Brasy4G199400 ID=Brasy4G199400.1.v1.1 annot-version=v1.1 MAAGKPLSLRRLLPLLALVLVLLPTTCVSSGGGEPAGFQIPQDGSVVELDDSNFEAAVAAVDFLFVDFHAPWCGHCKRLSPQLDEAAPVLAGLSTPVVVAKVDAEKYKKLGSKYGVDGFPTLMLFDHGVPTEYTGSRKADLLIQSLKKLVAPDFSVLESDSAIKSFVQDAGVGFPLFIGFGVDESSIAEYGMRYKKKAWFAAAKDFSEDMMVVYDFDKIPALVSLNPKYHEQNVFYGPFEGTFLEDFIQQSLLPLTVPINAETVKMLKDDDRKVVLTVLEDESDENSMQLIKVLRSAANANHDLVFGYVGVKQWEEFTEPFHDSESSRLPRMVVWDRNEEYEVVQGSENLEDGDHGSQISRFLEGYRAGRTTKKKLGGRSPTILGVNAMYILLFLVAVLVVLMYFSGQGEEDRRPARAHQE* >Brasy4G269100.1.p pacid=40088986 transcript=Brasy4G269100.1 locus=Brasy4G269100 ID=Brasy4G269100.1.v1.1 annot-version=v1.1 MNAVHICMQHLVTGQASEHGWGREERTDGRRQMEEKSSLQRRRGQTSQDMVMAVASSAALLCSLSSHPCLCLWVGRGGPWGLGLVHLAGRTQAASRWVLGQDALICLRVVQEKLLHLRFSLSAISRRLCRKIKQFRE* >Brasy4G399400.1.p pacid=40088987 transcript=Brasy4G399400.1 locus=Brasy4G399400 ID=Brasy4G399400.1.v1.1 annot-version=v1.1 MCIYDGLGCVACYSSSSFAAIVRPSSFPSMETMRGTIASAGILLCLTFCFMLEHSHSQTFPFPIPFFGPSYTNQQDVDAVNELYMSLGSPDLRGWSASGGDPCEERWQGVQCVGPNITAIELRGTGLEGKLSQALGRLNAITILDLSSNNFTGKLPDMMVKLGSLSTLHVQNNRLTGTLDVLGDLPLKDLNVENNLFSGPIPEKLLTIPKFLKNGNHFTLPGSSPSSSSSSTSPPSAAHPHINVIPVVTSQGTAHSGGPRHGKKVSPAKAAGFSVLAAGALTFAVLVTMFAMSRQRQERSTHGGYLRRIVMNTPSWPPMLDAAANLEKEHCTVVTAEEEIVGPPAHPPVKNNSMSTIVSDNNVQGSSEEDGQSDLQFPFRFFTLASLQRCTDSFGDANLMRDTRFGKVYLGVHPESKVF* >Brasy4G007500.1.p pacid=40088988 transcript=Brasy4G007500.1 locus=Brasy4G007500 ID=Brasy4G007500.1.v1.1 annot-version=v1.1 MKMKISLLLVAAAMVLLLTVATVQGIRLDAEIHAALSNQELNLQRSGDEGAVSSLDAVSEEKERARHREPENDIHVDYYGPRGHIPSHN* >Brasy4G310400.1.p pacid=40088989 transcript=Brasy4G310400.1 locus=Brasy4G310400 ID=Brasy4G310400.1.v1.1 annot-version=v1.1 MGIVETWVREKPIRTFLARLSRHPAAAFIASSAAAADGESGVDDGGIPQLSSIANSVVSRCSGILAVETEMLQKSFEADFPDNCKEPNTYAKELLEYCCHKALHEVTTRPDYLADKNLRRLTFDMMLAWENPGAGDESLDNDSSLPVEIEDQDEGSIFYANSTSLAVQVNDMKTVGLSAFTRIAPSCPIIADLVTVHNLFDALTSSSSGRLHYFVYDKYLKSLDRVCNSVKGIMQSPLASSFHLDVGECILAIDGDRPIHPVLQHIGISAWPGTLILTTHALYFQSIRVGYGDKIVKYDLATESNQVIRRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRNYWLAITREVLQVNKFIRKFNLEGIQRAEALSKAILGILRYSAVKEAFHIAPSHLKTTLTFSLAEKLPKGDMILEALYNNYLQLLDTPLSHLATEPAVDKQLQTHSVPFSLYALSRMGFVLLKRKYEAEKEISFCAVCIGVTKSLEAALEESFCYSERVEAARATVDQVKVEGLDANLALMQELLFSFIQAGKLIYSLTKWEDPVKSFLFLAFILYVIQSGIVSYAVPSIFIVFAVAMLWHKYSGEGKLLEVLEVRPPPSKNPVEQILILQDAISKLEDALQAVNIILLKFRALLFAAVPKATELVAVALLAAAAFVVLMPWRHMLLMVVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIRPNENKKTI* >Brasy4G359900.1.p pacid=40088990 transcript=Brasy4G359900.1 locus=Brasy4G359900 ID=Brasy4G359900.1.v1.1 annot-version=v1.1 MASSSCSSLSRRWPRVLEETAPPDAAEAPDWAELPRDALLTVLHRLGHVDILMGPGQACGPWRRAARDEPELWRRVELRFPAGLSSRADLCKMARDAIRRSSGQCEAFLAQGAVDCGVLSFLADSAAHSLKSLRLVSCQGIYDSMRLASVITKFSLLEELELSNCWGAFPETCAAVGKACPLLTRLRLSNKRFIKRDQTDVGGEATAIARTMPALRSLQLFANRLGNRGLAAILDGCPRLESLDIRHCFNVVMDDEMRARCSRIQTLRLPRDSTDDYDLEFSSPDMEPPEERRHLAVFYDDEWEFSSR* >Brasy4G291800.1.p pacid=40088991 transcript=Brasy4G291800.1 locus=Brasy4G291800 ID=Brasy4G291800.1.v1.1 annot-version=v1.1 MHRLSSLARPLPSFMAPAAPPPALARRVFPLLAAPSPRVSRFPHSSRDMAIISETKGYESGKQDMPLLISSAHDRPAITDQGMNDIETIGIPKELVAHCGETPLEVRDIIDGKNYIGEKNGALASCEEDGRIITNDHKGVIMVSDDEEMPSYPIEDVYPLHIFPNSSHRTGAIYESTNMWTQRYSWTIMRARDCCIRDGTCIKHVPTCMLQICSLKLAKIPVDCGSIELYGYIAGSLINMSGPKRGIGLCAYTLIEYDMKIKTGERERDDPQLIDGLSAIEFMEMWKCRTLTERIHGDCGTVDITILFLENADEATVEVLISEVQSSFKLRLGCFISKFNEEIQLFDGAIDGSPVLKRSVVAAVMHSWMHLKFKAGRVNNHGLATHEIKSDFALILVKVSWSTLPWRR* >Brasy4G028700.1.p pacid=40088992 transcript=Brasy4G028700.1 locus=Brasy4G028700 ID=Brasy4G028700.1.v1.1 annot-version=v1.1 MGYSKDELLARLEELKIDFTCYAHPAVLTVEEQAKHVGNFGGALSKNLLLKDKKHRLYIVSALADTKVDMKILSQRLGLGKGGLRMAPEENLQQVLQVPLGCVTPFALFNESASAVSLLLDQGFKSKKSCYFHPLTNDVTIAVNSSNLDKFLISIGKEPAYVDLEASPAVGKDNPPDLADFVPSGALNSSEPVQSMTPTPAPQHNNVPKEKAPEVKAKPKVQNKGAEKPESKVSANGTNVDKFVNDVFDIISPLFLSEVSKKLNIKQEELSPIVDDLRGRAAPDLESLTGILKGASYTAGFHAGFETFRNFGLSGIPSKK* >Brasy4G394300.1.p pacid=40088993 transcript=Brasy4G394300.1 locus=Brasy4G394300 ID=Brasy4G394300.1.v1.1 annot-version=v1.1 MADLQEPLVRGKRKKVLVDYLVQFRWILVIFVVLPISSLIYFNIYLGDMWSAMKSEKKRQKEHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDTERMVAKVEPLVNMGQISRATCPMNVSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDAVVALEIVLADGRVVRATKDNEYSDLFYGVPWSQGTIGFLVSAEIKLIPIKEYMRLTYTPVKGDLKEIGQAYADSFAPRDGDPSKVPDFVEGMVYTATEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTRQYYHRHTRCLYWEGKLILPFGDQFWFRYLFGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGEALEFVHQEMEVYPLWLCPHRLYKLPVKTMVYPEPGFELHQRQGDTSYAQMFTDVGVYYTPAFIFRGEEFNGVEAVRRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYELCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA* >Brasy4G413300.1.p pacid=40088994 transcript=Brasy4G413300.1 locus=Brasy4G413300 ID=Brasy4G413300.1.v1.1 annot-version=v1.1 MKNKTASLLQRFESRTVGDQTSKCASALQYDSSEENVFLKKLRRSMSQIREQLAGTGDRWMAAANSFFFVSVDPRPPPVAGNGGRRSSGGGFFRPSPVIFSGREPAFGSLSNDDLHYQQESLIRGVRALLSPKRSSRGTITTVDNLKRLCIDHYFQEEIKRAVDACMDLIHTDDLLDATLSLRLMREAGYHVSADEVLRKFANGHGDFNLTHEKDIKGLLSLQDMSHLNMGEASLYKAKEFSSKHLRSAIKYLEPNFARYVMQSLDHPYHVSLPQYKARHHLSYLQSLPTRNTAMEKLALAEFQLNKLLHQSEMQEVQRWWKDLGLAQEIPAARDQVLKWYMWTMTVVQDFSLSGYRVEITKIISLIYIVDDIFDLVATHEELSLFNEAFKMWDLTSTNSLPTYMISCYKAFYTVTNDFADIVQKDHGLNPIDHLRKAWATLFDGFMVETKWLSSNLVPTSGDYLRNGIVTSGAPLVLQFIFYMLGQDLTEVHRDQIPRIISCPAKIMRLMDDMGSAKDEAQEGLDGSYKELYMRENPHDNAEEHMLKMVAGEWEELNRECFSKSLSLQVNVFP* >Brasy4G413300.2.p pacid=40088995 transcript=Brasy4G413300.2 locus=Brasy4G413300 ID=Brasy4G413300.2.v1.1 annot-version=v1.1 MKNKTASLLQRFESRTVGDQTSKCASALQYDSSEENVFLKKLRRSMSQIREQLAGTGDRWMAAANSFFFVSVDPRPPPVAGNGGRRSSGGGFFRPSPVIFSGREPAFGSLSNDDLHYQESLIRGVRALLSPKRSSRGTITTVDNLKRLCIDHYFQEEIKRAVDACMDLIHTDDLLDATLSLRLMREAGYHVSADEVLRKFANGHGDFNLTHEKDIKGLLSLQDMSHLNMGEASLYKAKEFSSKHLRSAIKYLEPNFARYVMQSLDHPYHVSLPQYKARHHLSYLQSLPTRNTAMEKLALAEFQLNKLLHQSEMQEVQRWWKDLGLAQEIPAARDQVLKWYMWTMTVVQDFSLSGYRVEITKIISLIYIVDDIFDLVATHEELSLFNEAFKMWDLTSTNSLPTYMISCYKAFYTVTNDFADIVQKDHGLNPIDHLRKAWATLFDGFMVETKWLSSNLVPTSGDYLRNGIVTSGAPLVLQFIFYMLGQDLTEVHRDQIPRIISCPAKIMRLMDDMGSAKDEAQEGLDGSYKELYMRENPHDNAEEHMLKMVAGEWEELNRECFSKSLSLQVNVFP* >Brasy4G249100.1.p pacid=40088996 transcript=Brasy4G249100.1 locus=Brasy4G249100 ID=Brasy4G249100.1.v1.1 annot-version=v1.1 MQGGHGYGGYGGSPPQGYGYGGYGYGGYGYDAGGYNSGAAAGGYYSNNGYPSSYEDPLAGQTMKAHESAAPLNELEFQPSETCPKNYVIFDQTCTKSRVMFHPSLANKFGGGTSEYDNYYGAPGTGGNAYDAAAAGKGMYNKDNRDYDADMSCSVRHKEDTDEIDALLCSDDGDEDDVVSTGRTPGYRDGSSPDSCSSSYGGGSKSRSECTGGGRRKKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVKYLKSLKVEVKKLGVRGGSDS* >Brasy4G276900.1.p pacid=40088997 transcript=Brasy4G276900.1 locus=Brasy4G276900 ID=Brasy4G276900.1.v1.1 annot-version=v1.1 MAAGPTAASIRPPLPPPPPFFDYRSASLADTRAAAAAADLPARLVESGALVRVPRRRFGPVPAWRPPDFAEPEEVWVLGTSHLAADSAADVARVLQALRPDNVVVELCRSRAGIMYAAGPDDGSGEPLLKSNMFSLGGAKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARRVSEDIGAQLVLGDRPIEITLERALKSLSWDEKTKLVVSLFRGISSTTTTADMPEDEKAAAVSPYELYDKLSSSYPSLLQPLIHERDMFLAWSLKRSKAVNGSKRVVGVIGKGHMNGVVHALISDQGDLRFRDLVGRESSETWASSIVKGLIRDTVIGVALWALYELLQTVL* >Brasy4G433300.1.p pacid=40088998 transcript=Brasy4G433300.1 locus=Brasy4G433300 ID=Brasy4G433300.1.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G433300.5.p pacid=40088999 transcript=Brasy4G433300.5 locus=Brasy4G433300 ID=Brasy4G433300.5.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G433300.6.p pacid=40089000 transcript=Brasy4G433300.6 locus=Brasy4G433300 ID=Brasy4G433300.6.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G433300.4.p pacid=40089001 transcript=Brasy4G433300.4 locus=Brasy4G433300 ID=Brasy4G433300.4.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G433300.3.p pacid=40089002 transcript=Brasy4G433300.3 locus=Brasy4G433300 ID=Brasy4G433300.3.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G433300.2.p pacid=40089003 transcript=Brasy4G433300.2 locus=Brasy4G433300 ID=Brasy4G433300.2.v1.1 annot-version=v1.1 MLRLRSCTLTRLLSYSHPASSGSSTLHRLLSADSAAPAAVSPNPSFAVEDYLVETCGLTRGQALKASAKLSHLKSPTNPDAVVAFFSRLGLSSADIAAVVVRDPRFLCAGVEKTLGAIVADLTSLGLSRSEIARIFLLGGCHSRSRSIVSKLQYYLPLFGSFERLQKVFHHASYLLGADPEKTVKPNVAFLRECGLRPSDIVSLSTPVPMMLSTNPARVRAMAAQAEGLGVPRCTGMFKYALYAVAFLSKEKIACKVEYLKKTFRWSDAETRIAISKAPTLLRRSKDVLQSRSEFFISEAGLEPAYIAHRPCLVTYSLEGRSRPRYYAVKFLKANGLLDHNRDYCKTVLISEKVFLEKYICPHKEAAPHLAEDYAAACRGEMPTRFRFT* >Brasy4G062500.1.p pacid=40089004 transcript=Brasy4G062500.1 locus=Brasy4G062500 ID=Brasy4G062500.1.v1.1 annot-version=v1.1 MFKGGKMDGRKGKASGGKGSPTFGCRASTLMDGNGLRLGWPENFSLLSYNDLCAYLESQQPAQINDQRVALLRETMSTPVLVARAEQTLEDVALMTSPAITLASDKTVTDAAAVMLKKKIHRLPIVNKDNQVIGIVTRDDVLRGLEAMLKI* >Brasy4G124800.1.p pacid=40089005 transcript=Brasy4G124800.1 locus=Brasy4G124800 ID=Brasy4G124800.1.v1.1 annot-version=v1.1 MASSFSWILVASSALLAAASYYYSHRINGPNNPTTITTHLHFYMHDVYTGPNPTAALVVAGRDNDNDNENAPRSFGDIAVMNNVLTEGPEPGSARVGKAQGFTVRVAEGGTVNALSLHLVLESGEYAGSSLAVQGRVDTDTAVRESVVVGGTGRFRFARGYAHSRSYDYDVAAGGVVEIDVYLQLLLLGA* >Brasy4G012200.1.p pacid=40089006 transcript=Brasy4G012200.1 locus=Brasy4G012200 ID=Brasy4G012200.1.v1.1 annot-version=v1.1 MEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASAITTRKGINTDSDMDLMRYLSKMFFGSILAFILLLSPRMISAFRNNLL* >Brasy4G430300.1.p pacid=40089007 transcript=Brasy4G430300.1 locus=Brasy4G430300 ID=Brasy4G430300.1.v1.1 annot-version=v1.1 MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDKKNFGDRAVQAKPPELEERLTKSRKKKERDAASAGDPDAADNLPRKRRRRAAAQREQESVLSLADDVVYRPQTKETRAAYEAMLSVIQQQFGGQPLDVLGGAADEVLTVLKNDKIKNPDKKKEIEKLLNPISNQMFDQFVSIGKLITDFHDASDPAAAPSADGLDATMDDDIGVAVEFEEDDDDEESDFDQVQDELDEEDDDVAELNRPGGMQMGGELDDDDLQNSNGGLTINVQDIDAYWLQRKITQAYEDIDPQQSQKLAEEILKIIAEGDDRDVENRLVMELDYEKFDLIKLVLRNRFKIVWCTRLARAEDQEERKKIEEEMMDNPTLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDNNAGADGPRDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPEGSFRTPHKGYEEVHVPALKARPYGAGEKIVKISDMPGWAQPAFAGMQQLNRVQSKVYDTALFKPDNILLCAPTGAGKTNVAVLTILHQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLKDFNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLTRFLKDESASQEILSSQAELVKSSDLKDLLPYGFAIHHAGMARVDREFVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDKNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLGSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWSVQTPLRQFPGIPKEILMKLEKKELVWERYYDLSSAEIGQLIRFDKMGKQLHRCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEAFWVIVEDNDGEYILHHEYFMLKKQYVEEDHTLHFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDTVLVAAPTGSGKTICAEFAILRNHQKALSGETNMRVVYIAPIEALAKERYRDWSKKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLIGSEKGHVLEIIVSRMRRISSHIGSNIRIVALSASLGNAKDLGEWIGATAHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMAKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGAGTPFLLGSKDEMDTFTGGVNEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRESAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLNKNPNYYNLQGVSHRHLSDHLSELIETVLTDLESSKCVAVEEDMYLKPLNLGLIAAYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIEKPKYGDPHVKANALLQSHFARHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLGLALNAMELSQMVTQGMWDRDSVLLQIPHFTRELARRCQENEGKPIESIFELAEMGIDEMRDLLQLSNSELHDVVEFFKRFPNIDMAYEVREGDDIRAGDSVTLQVTLERDMTNLPSEVGPVHAPRFPKPKEEGWWLVVGDFSTKQLLAIKRVALQKRARVKLEFTAAAEPGQKEYMIYLMSDSYLGCDQEYEFTIDVKDAGAD* >Brasy4G166700.1.p pacid=40089008 transcript=Brasy4G166700.1 locus=Brasy4G166700 ID=Brasy4G166700.1.v1.1 annot-version=v1.1 MQAAASYRCAAAHRPAGHPYPRPSHLLPGRFCGWRVRAGVDVEALPLCAGSLPRSRAHGGDFAPLDVRSGEASQQQQLRELGRILPDHYQNTYLQKIARADLLKAAVLSTMSILIAPLEASAQTCQPTNSFANMPIFIAVALIGAAVGGLLARQRKDELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIIDPRKQQLVANLKIGKNYMRNQDLDKAVTEFRTALELAESIGDRFEEKKAARGLGASLQRLGRYREAMSYYYKVLVLSKETGEDSGCTEAYGAIADCYAELGDLEGAAKLYDKYISRLQPRD* >Brasy4G064400.1.p pacid=40089009 transcript=Brasy4G064400.1 locus=Brasy4G064400 ID=Brasy4G064400.1.v1.1 annot-version=v1.1 MEAATSASASAAPHLLHCGGFGRRVAGLASPLPRRRNRRLRRVLAVATEPRPSSSSRPKPRNGPNGLSSTRFGDVSKEIKRVRKQMEEDEQLASLMRGLRGQNLRDDQFADADVTMRLVEVSNTEGLPLVYNPEIISAYWGKRPGAVATRAVQLLSVAGGFISGIISDLISDKIKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQEIYSELSPSPIAAASLGQVYKGRLKETGDLVAVKVQRPFVLETVTIDLFIIRNLGLVLKRFPQVSLDVVGLVDEWAARFFEELDYVNEGENGVRFAEMMREDLPQVVVPKTYPKYTSRKVLTTGWVDGEKLSQSTEDDVGSLVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFISAAKSGGGEDMKGNMAELGDIGFQPSTSLVPAFPMAISQPESPVKARAALGFLLSERGDFFREFILDEIVKAIDAVSREQLLQIAASFGLRNATPVFGMVPVRSRALLPTITEEDRVILNNVEKVVKFLTAGTANPTMNGDVNVVSLVQELLPVLPSISSKILPDVLSRLSSRVFARVIRETFL* >Brasy4G140600.1.p pacid=40089010 transcript=Brasy4G140600.1 locus=Brasy4G140600 ID=Brasy4G140600.1.v1.1 annot-version=v1.1 MANAVLSVLPDEMLMEVLLRLPVKSILRFRAVCRCWAALLSSEEFCGLHMANKAEREPPEPLLLYLSSTRSSVSTGLRSSNDGLLLTLKSVRGDFAGMTPVPCRGLTLLHDALSGRYYLLNAATRAVAQLPPPCQSFENTLLSTTGLGFDATTRRYKVVRLFSGPRLGDKDGIKCEVYSPSGSGADDRWRPAAAGGALPSAFCELAVAAMFRVFRHKLTPVFADGFLHWLIDPAVSFATRPEAAVLSFSLADETFSWFGPPPFDLEGAHLAELDGSLCMVRDLRRDCGLLEIWRVKDYCSGDWSLEHRIDLARHAGKDLVDPQNIRVVGSCRAGKRLIFATSRCKVIAYDPMSATLETILELGGTRQEENRRFVTRVGLFKESLAPVR* >Brasy4G034200.1.p pacid=40089011 transcript=Brasy4G034200.1 locus=Brasy4G034200 ID=Brasy4G034200.1.v1.1 annot-version=v1.1 MEGTMTFITPELRDVLVKVAAFLLVQGLVYLILSKSSNLFSKDEKLRSQSFRKMRTMSVRRLLAPLFDVPLDTDESSPSPSSLRSWSSRRWANRED* >Brasy4G231600.1.p pacid=40089012 transcript=Brasy4G231600.1 locus=Brasy4G231600 ID=Brasy4G231600.1.v1.1 annot-version=v1.1 MAEEGFRPLDEASLVAYIRATPALAASLGGRVDALTVREVGDGNLNFVYIVLSDAGSVVVKQALPYIRCVGDSWPMTRERAYFEASALQEHGRLCPDHVPEVYHFDRAMSLIGMRYIKPPHIILRKGLIAGVRYPLLAEHMAEYMARTLFFTSLLYNSTTDHKAKVAQYCGNVEMCRLTEQVVFSDPYMVAKYNRWTSPFLDKDAEAVRGDDELKLEIAELKSIFIERAQALIHGDLHTGSIMVTTDSTQVIDPEFGFYGPMGYDIGAFLGNLILAYFAQDGHADQTNDRKAYKEWILKTIEESWNLFHKKFVGLWNKHKDGNGEAYLPAIYNNPELLSLAQKKYMTNLFHDSLGFGAAKMIRRIVGIAHVEDLESIEDASKRASCERRALDCAKAILKGRRQFESIEQVIAHVQSITHD* >Brasy4G264400.1.p pacid=40089013 transcript=Brasy4G264400.1 locus=Brasy4G264400 ID=Brasy4G264400.1.v1.1 annot-version=v1.1 MTVAAGVGYALIALGPAFSLFTGVIAKKPFLVLTLLSSTLFWLISLIVLSGMWRGFLPVKSGAWWPYVLLVLTSVTLQEGIRLVFWRLYKKVEEMLDAFADKISKPRLSLTDKMLISLTGGLGHGLAHAVFFCLSLLTPAFGRATFYVERCSRMPFFLVSAIISLGFLLIHTFSMIIAFNGYGERKKCDQIFVPVVHLIAAVMTLVNLAPGGCLIGTPLLCVMAAVTLQYSWRMVGQRLTEQQHRQFNS* >Brasy4G264400.2.p pacid=40089014 transcript=Brasy4G264400.2 locus=Brasy4G264400 ID=Brasy4G264400.2.v1.1 annot-version=v1.1 MTVAAGVGYALIALGPAFSLFTGVIAKKPFLVLTLLSRKVEEMLDAFADKISKPRLSLTDKMLISLTGGLGHGLAHAVFFCLSLLTPAFGRATFYVERCSRMPFFLVSAIISLGFLLIHTFSMIIAFNGYGERKKCDQIFVPVVHLIAAVMTLVNLAPGGCLIGTPLLCVMAAVTLQYSWRMVGQRLTEQQHRQFNS* >Brasy4G264400.3.p pacid=40089015 transcript=Brasy4G264400.3 locus=Brasy4G264400 ID=Brasy4G264400.3.v1.1 annot-version=v1.1 MYFWSLHLSRFKKAYVLSSGGFTSRKVEEMLDAFADKISKPRLSLTDKMLISLTGGLGHGLAHAVFFCLSLLTPAFGRATFYVERCSRMPFFLVSAIISLGFLLIHTFSMIIAFNGYGERKKCDQIFVPVVHLIAAVMTLVNLAPGGCLIGTPLLCVMAAVTLQYSWRMVGQRLTEQQHRQFNS* >Brasy4G143000.1.p pacid=40089016 transcript=Brasy4G143000.1 locus=Brasy4G143000 ID=Brasy4G143000.1.v1.1 annot-version=v1.1 MHDFGRIVSRTECAIIDGLQCNEMLPAVRHLSIVTDHAYNKGQHGEFSRNELFEETLSNTVTSVSKLRTLVLLGQYDSFFLQLLQDIFRKAQNLRLLQMSGTSFDFNSSQCNLVNPAHLRYLKRGSVEVHGDFPQVFSKLYHLQVLDVDSCAHPTAPNGMHNLVSLRHLVPEKGVYYSIANIGSMTSLQELHDFKIEISSGFEITQLQSMNELVQLGVSQLDNVRTSEEAYGAALRNKGHLEKLHLSWKDTLADNESPVDKARRHSEVLERLKPHKHLKHLQISGYNGTSPTWLASNISVTSLQTLHLDSCAQWQTLPSMGSFPLLTKLKLANLPKVIEVLVPSLEELVLVEMPKLARCSCTSVGDLCSSLKALQIEHCRALKAFDLFQNNNEFEIEQWSWLPGVRKLILRDCPQLEVLNHLTPSSSFSELLISGVPTLPSMEGSYEKLDIGPPDDKPSSEWITVGEVLAFHNLRSLKFLCINGSRKNRMSILFKDLIHLVSLKSLEVRGCRIVFSSNVMTEPTREDVPAATVFPSLQSLTVESCGITGKGLSLMLQHAPDLEKLVLWHCAGVTLLSVDEEDNSLSNLISDREPQDEFLHIPFNLSSTLKKITFGGHPRLRFNWSKKGISRFTSLEKLNIWGCPELLSSLVRKDGSDDQGNGRWLLPESLGELDIGDYPEKTLQPCFPSNLTSLKKLVLRRAVLKSLQLHSCTALEELEIKYCELLTVEGLQSLGSLKKLDIGFCELAADVESLLNLGSLRDLAVLGSSPGLVSYFESISSQCFELLPRLETLVIDDPDVLTTSFCKHLTSLRRLQLKFWGMEVTNLTEEQEGALVLLKSLQELTFSVCHHLIHLPAGLHTLPSLKRLKIEHCSQISRLPETGLPVSLEELEIKYCSEDLNDQCMLLATTVLCTNGFANYPEIKKFTSVCAQTNNVDRDQVKAAIRAQQDQQIENALLAEGRLYSDLELTEQEIERMVMEASRAEYLNQQQVNFRDSSRSGAEPSSSAAITGSSGSATAADRSNENCFVLPETVLTRGMQLLLAMGFSYMQVMEAYSIFGEDVDSMICYLVEMGGTGPSAGGSNRRKGKAAE* >Brasy4G303500.1.p pacid=40089017 transcript=Brasy4G303500.1 locus=Brasy4G303500 ID=Brasy4G303500.1.v1.1 annot-version=v1.1 MAAGSSAATGAEEAAQGRRRGLRAVVDREAVDRGVAYALMVIALVATYALH* >Brasy4G100900.1.p pacid=40089018 transcript=Brasy4G100900.1 locus=Brasy4G100900 ID=Brasy4G100900.1.v1.1 annot-version=v1.1 MLEKLSINRQPIGHHAHAEALRTGSAPNSTPPTLAIAASPRARNSSEEHTPTAPHESLQIRRTPLRTPPPPPPPNSVAETLAKELHQTKQHGARERLPRCRAVRRWDPRRRAKP* >Brasy4G177800.1.p pacid=40089019 transcript=Brasy4G177800.1 locus=Brasy4G177800 ID=Brasy4G177800.1.v1.1 annot-version=v1.1 MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGILDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIREIRRLQYARWKEECREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATANQNTSDGASTSSNSGNNVDDSADRITDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGG* >Brasy4G412300.1.p pacid=40089020 transcript=Brasy4G412300.1 locus=Brasy4G412300 ID=Brasy4G412300.1.v1.1 annot-version=v1.1 MGFQVAAVVPSPRAPSSASSSPSTSSRSPSLSGAGCARLARSRAPFSLGGGRRRGSEVRPARCALSASLDGMGGGGDTEFLRRIEELAASAGVRTAAGCGWPPSVERSASSVALPVSLRMLKRKKQLQVAPPPQSLWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMRDSLLCDDLQGVLARAHGEMHASFVWLFQHIFAGTPALMLSLMLLLANFTVHSMGHSLAAAVAVPPAPPTASAATLVDYTEASNPRFDAASVRTFSVGRTASVDGGSGGGGKVRPVAGAHGDDRWDDSRHSLLSRVAPQQPAPLAGTGADKILPEDEQAIWERMVAEASRMQANAELTDPDLLGNLVAPVEAEMETEDLAEYARTEQRYELAVSEEPGNSLVLANFAQFLYLTRKDHKRAEHYFERAVQAEPTDGEALSRYATFLWKARDDVEAAEENYLEAIAADPGNAHYAAAYANFLWNTGGDETCYPLD* >Brasy4G412300.2.p pacid=40089021 transcript=Brasy4G412300.2 locus=Brasy4G412300 ID=Brasy4G412300.2.v1.1 annot-version=v1.1 MGFQVAAVVPSPRAPSSASSSPSTSSRSPSLSGAGCARLARSRAPFSLGGGRRRGSEVRPARCALSASLDGMGGGGDTEFLRRIEELAASAGVRTAAGCGWPPSVERSASSVALPVSLRMLKRKKQLQVAPPPQSLWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMRDSLLCDDLQGVLARAHGEMHASFVWLFQHIFAGTPALMLSLMLLLANFTVHSMGHSLAAAVAVPPAPPTASAATLVDYTEASNPRFDAASVRTFSVGRTASVDGGSGGGGKVRPVAGAHGDDRWDDSRHSLLSRVAPQQPAPLAGTGADKILPEDEQAIWERMVAEASRMQANAELTDPDLLGNLVAPVEAEMETEDLAEYARTEQRYELAVSEEPGNSLVLANFAQFLYLTRRSTTSRGRCRRSRRTGRR* >Brasy4G122900.1.p pacid=40089022 transcript=Brasy4G122900.1 locus=Brasy4G122900 ID=Brasy4G122900.1.v1.1 annot-version=v1.1 MQTWRIRNMTIAFQLAVFALIVTSSVLVVHAATANAPASRNSWPRFLPAVFCSMVWTPRRGASIWIGRRVVVVCLVVFLQVAINSDLL* >Brasy4G139400.1.p pacid=40089023 transcript=Brasy4G139400.1 locus=Brasy4G139400 ID=Brasy4G139400.1.v1.1 annot-version=v1.1 MQRKPPSRQALSPPFARVSLPRLHAATSAPGGRRHLPPPRKPPPPPYYARAAAASLLRGSLLDASQIRASRRLGSAATASLLRSPHESGKEKATAGSSAEPPILAPPILSSGSCLGQQGGRRHAAGRAAPRAPPRQRSSTDDGHWPSQIVHPARAFDGIYCS* >Brasy4G024700.1.p pacid=40089024 transcript=Brasy4G024700.1 locus=Brasy4G024700 ID=Brasy4G024700.1.v1.1 annot-version=v1.1 MAKASSMAPSPLGTCPLTGGTAATSLENNTESVTSPPFAPLPKSNGGRKRWRLSDLLLFRSLSAKGRAASTGAGGTNISRDPVFKYTPVQNLGTTPVKKTTDGGGDVSGAGKQHGKNSKYGEDGGGMQQRQSFMVGCIRLNPGLHRLAKKGLHAGSSSHFGRRTARSG* >Brasy4G209300.1.p pacid=40089025 transcript=Brasy4G209300.1 locus=Brasy4G209300 ID=Brasy4G209300.1.v1.1 annot-version=v1.1 MQQQEEDMETTGSREQQLQIVCVRSSSTGSKDAAAAAAGQWEWESSRSSALSLFKEKEEEIERKKVEVRDKVFSMLGRVEQESKRLAFIRQELEVMADPTRREVESIRKRVDKLNRQLKPLGKSCLKKEKEYKMCLEAYNEKSSEKATLVNRLVELVGESERLRMKKLEELSKTMETLY* >Brasy4G209300.2.p pacid=40089026 transcript=Brasy4G209300.2 locus=Brasy4G209300 ID=Brasy4G209300.2.v1.1 annot-version=v1.1 MQQQEEDMETTGSREQQLQIVCVRSSSTGSKDAAAAAAGQWEWESSRSSALSLFKEKEEEIERKKVEVRDKVFSMLGRVEQESKRLAFIRQELEVMADPTRREVESIRKRVDKLNRQLKPLGKSCLKKLVGESERLRMKKLEELSKTMETLY* >Brasy4G062400.1.p pacid=40089027 transcript=Brasy4G062400.1 locus=Brasy4G062400 ID=Brasy4G062400.1.v1.1 annot-version=v1.1 MSLHHCCATTAGATPAMSSMAAAGGSSSTVQPFGLRGSGQGRLRLASRRLPLRCSASGGGDGGGRPDPVLEEQRRRRAELTARISSGEFTAQGPAWVAPLAARLGKLGPPGELAAALLTKAAGGGAGARKGPELPQAVGSLAAVTGEAFFLPLYDLFLTYGGVFRLNFGPKSFLIVSDPVIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEVWRVRRRAIVPALHQKYVTAMIGLFGKASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQRKVNEALALINTILDELIDTCKRLVDEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPKVMAKLQDEADTVLGDGLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGEYPIGRGEDIFISIWNLHRCPKHWDDADVFNPERWPLDGPNPNETNQKFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTKGLNMTVTRRTKPPVIPNLQMRIISDSEERTCSTASVVISTGEDQQGEVSTTRV* >Brasy4G146800.1.p pacid=40089028 transcript=Brasy4G146800.1 locus=Brasy4G146800 ID=Brasy4G146800.1.v1.1 annot-version=v1.1 MPSTRQPVKLAMLLLFALLLLCNGVSNVNCSTVHENSVDLHALLDFQRGITNDPKGALSNWRNTTHFCRWNGVNCTKTRPFRVSSLILTDQGLEGQISSSLGNLTFIKKLDLSYNNFVGPLPILGHLQQLQTLYLNNNHLNGVISDSLANCSNLTNLDLSANSLVGTIPDELGQLPSLKWLLLGNNKLSGEFPLAILNLSASLQHLSLESNMLGKTLPPNIGNLLSLKGLSLFNNMFEGHIPASLGNALGLEGIDLSNNSFTGQIPTSLGKLSNLTILNLQNNKLEANNNQEWEFLNALTQCRSLQVLSLGYNHLHGSIPQSVGNLSTGLEKLFFTANNLLGEVPQSIGKLSGLDQLALGENNLSGTPEGWIGNLKGLRLLNLRSNRFTGQIPSSLSKLTQLTYLYLAANEFEGLIPAFLGNNPLLGLNLSYNNLGGHIPASFGSLQELITLDLSHNNLQGDIPQFGNLKQLSMLDLSSNKLTMGIPGSLGQCLGLQILRMDQNYLTGNIPVSFGNLTILSMLNLSHNNLSGTIPLPLSELNSLIQLDLSYNNLEGVIPQSGVFKNATAVSLEGNRGLCGGVMDLHMASCTTVSKKAATRYHLIKVLIPIFGFMSLVLLAYFLLLDKKMRRKDDTVPSLGENFLKVSYADLAQATKNFSESNLVGRGGYGSVYRGKLKESKVEVAVKVFDLDMRGAEKSFMKECEALRSIQHRNLLPIITACSTVDNIGNVFKALVYEFMPNGNLDTWLHHREDGKAHNHLSLTQRLSIAVNMADALDYLHFDCGRPTIHCDLKPSNILLDDEMNALLGDFGIASFYQDSWATSTGSMSSLSVGVKGTIGYIAPEYAGGGRRASTSGDAYGFGIILLEMMTGKRPTDPMFKDGVSIVSFVESNFPREIFRVVDANLSKECNDIAQAKKVSENSVHECLVSVLQLALSCTHPVPSERITMKEAASKLHAIKTTYVGRKAQERASLELE* >Brasy4G060900.1.p pacid=40089029 transcript=Brasy4G060900.1 locus=Brasy4G060900 ID=Brasy4G060900.1.v1.1 annot-version=v1.1 MALRSSLPVLLRGKHAAAVFGSPKPVATLASVARLKDDGADTYNGSGARQSLDGMPGRDAAAGSSSKRVSIVDYGRRGVGREALDHFVDVHRCGRIQGAALSRVLKACGLIPDRVSGEQLHCLCVKCGFDRAEVGVGTALVDMYMKCGGVEDGRLVFEGMPERNVVTWTSLLTGYVQGRACSDVMALFFRMRAEGVWPNPFTFTSVLSAVASQGTIDLGRRVHAQSVKFGCRLTVFVCNSLINMYAKCGLIDEAKAVFRRMETRDMVSWNTLMAGLLLNERELEALQLFHDSRASMAKLSQSTYSTVIKLCANLKQLTLARQLHSCVLKHGFHSDGNAMTAIMDAYSKCGELDDAFNIFLLMPGSQNVVSWTAMIGGCIQNADIPLAAALFSRMREDNVKPNEFTYSTVLTASIPILLPQIHAQIIKTNYQHAPSVGTALLASYSKFGNTEEALSIFKMIDHKDVVAWSAMLSCYSQAGDCNGATNVFIKMSMQGMKPNEFTISSAIDACASPTAGIDQGRQFHAISIKYRYQDAICVGSALVTMYARKGSIDSARIVFERQTDRDLVSWNSMISGYAQHGYSKEALDTFRQMETVGIEMDGATFLAVIVGCTHAGLVKEGQQYFDSMVMDHKISPTMEHYSCMVDLYSRAGKLDETMNLIEGMPFPAGAMVWRTLLGACRVHKNVELGKLAAQKLLLLEPDDSATFVLLSNIYAAAGKWKERDEVRKLMDSKKVKKEAGCSWIQIKNKVHSFIACDKSHPLSDQIYAKLKAMTTRLKQEGYCPNTSFVLHDIAEEQKEAMLVMHSERLALAFGLIATPPRTPLQIVKNLRVCGDCHMVMKMVSLIEDREIIMRDCSRFHHFNAGACSCGDFW* >Brasy4G060900.2.p pacid=40089030 transcript=Brasy4G060900.2 locus=Brasy4G060900 ID=Brasy4G060900.2.v1.1 annot-version=v1.1 MALRSSLPVLLRGKHAAAVFGSPKPVATLASVARLKDDGADTYNGSGARQSLDGMPGRDAAAGSSSKRVSIVDYGRRGVGREALDHFVDVHRCGRIQGAALSRVLKACGLIPDRVSGEQLHCLCVKCGFDRAEVGVGTALVDMYMKCGGVEDGRLVFEGMPERNVVTWTSLLTGYVQGRACSDVMALFFRMRAEGVWPNPFTFTSVLSAVASQGTIDLGRRVHAQSVKFGCRLTVFVCNSLINMYAKCGLIDEAKAVFRRMETRDMVSWNTLMAGLLLNERELEALQLFHDSRASMAKLSQSTYSTVIKLCANLKQLTLARQLHSCVLKHGFHSDGNAMTAIMDAYSKCGELDDAFNIFLLMPGSQNVVSWTAMIGGCIQNADIPLAAALFSRMREDNVKPNEFTYSTVLTASIPILLPQIHAQIIKTNYQHAPSVGTALLASYSKFGNTEEALSIFKMIDHKDVVAWSAMLSCYSQAGDCNGATNVFIKMSMQGMKPNEFTISSAIDACASPTAGIDQGRQFHAISIKYRYQDAICVGSALVTMYARKGSIDSARIVFERQTDRDLVSWNSMISGYAQHGYSKEALDTFRQMETVGIEMDGATFLAVIVGCTHAGLVKEGQQYFDSMVMDHKISPTMEHYSCMVDLYSRAGKLDETMNLIEGMPFPAGAMVWRTLLGACRVHKNVELGKLAAQKLLLLEPDDSATFVLLSNIYAAAGKWKERDEVRKLMDSKKVKKEAGCSWIQIKNKVHSFIACDKSHPLSDQIYAKLKAMTTRLKQEGYCPNTSFVLHDIAEEQKEAMLVMHSERLALAFGLIATPPRTPLQIVKNLRVCGDCHMVMKMVSLIEDREIIMRDCSRFHHFNAGACSCGDFW* >Brasy4G060900.3.p pacid=40089031 transcript=Brasy4G060900.3 locus=Brasy4G060900 ID=Brasy4G060900.3.v1.1 annot-version=v1.1 MALRSSLPVLLRGKHAAAVFGSPKPVATLASVARLKDDGADTYNGSGARQSLDGMPGRDAAAGSSSKRVSIVDYGRRGVGREALDHFVDVHRCGRIQGAALSRVLKACGLIPDRVSGEQLHCLCVKCGFDRAEVGVGTALVDMYMKCGGVEDGRLVFEGMPERNVVTWTSLLTGYVQGRACSDVMALFFRMRAEGVWPNPFTFTSVLSAVASQGTIDLGRRVHAQSVKFGCRLTVFVCNSLINMYAKCGLIDEAKAVFRRMETRDMVSWNTLMAGLLLNERELEALQLFHDSRASMAKLSQSTYSTVIKLCANLKQLTLARQLHSCVLKHGFHSDGNAMTAIMDAYSKCGELDDAFNIFLLMPGSQNVVSWTAMIGGCIQNADIPLAAALFSRMREDNVKPNEFTYSTVLTASIPILLPQIHAQIIKTNYQHAPSVGTALLASYSKFGNTEEALSIFKMIDHKDVVAWSAMLSCYSQAGDCNGATNVFIKMSMQGMKPNEFTISSAIDACASPTAGIDQGRQFHAISIKYRYQDAICVGSALVTMYARKGSIDSARIVFERQTDRDLVSWNSMISGYAQHGYSKEALDTFRQMETVGIEMDGATFLAVIVGCTHAGLVKEGQQYFDSMVMDHKISPTMEHYSCMVDLYSRAGKLDETMNLIEGMPFPAGAMVWRTLLGACRVHKNVELGKLAAQKLLLLEPDDSATFVLLSNIYAAAGKWKERDEVRKLMDSKKVKKEAGCSWIQIKNKVHSFIACDKSHPLSDQIYAKLKAMTTRLKQEGYCPNTSFVLHDIAEEQKEAMLVMHSERLALAFGLIATPPRTPLQIVKNLRVCGDCHMVMKMVSLIEDREIIMRDCSRFHHFNAGACSCGDFW* >Brasy4G075300.1.p pacid=40089032 transcript=Brasy4G075300.1 locus=Brasy4G075300 ID=Brasy4G075300.1.v1.1 annot-version=v1.1 MIPLNFGFTVPRNIYDNIKSKLQQINGQTSKGTYLG* >Brasy4G064000.1.p pacid=40089033 transcript=Brasy4G064000.1 locus=Brasy4G064000 ID=Brasy4G064000.1.v1.1 annot-version=v1.1 MSTKITITYKRKHGPSHAQTADSVIPDPPPASSSVVTSSEAPKHRADADDSMLNEDDSATSIKQQDACKSVQQSVKEKTPKAFVHGSEKEQAGFLLCESLPWMEQPEISCATSLQIREACHNKLQCTNEADDPIPASSSLCDLRHDGGTTNQAEDSTNSAPAGVNFHQGLKDTARPSQCKSRFSPLLTFHRRVKRKINLDEPAEEIYSKGIGKQCLTLTCSPPSSSFNAAALLKETCGNSLDTAETVAAAETSTGLTVPAEQKSLHVLKSTLQHTVLPECAEDLNQCLIPERDGTRVSEFTSVRDISEPDVRMEDSSKIPAHVIQAPEVVKVKENGHDDPLESEDSTKHIPILLLDGDTDERGKEQENPEVLDQMVNKSRFTSGKINLNCAELPQERLLNLDDLSVQRLPDQDHFGTERKQVSLPIERLFFTKEKDSVHGKQQHQEGTSTMPTLYSNFFDPTPSLNVGSLKEPSSMPSELKFRIMDKVPEFSLDLSLDSFGDSNVSALRHDKLFRGGNSSGSDLLTERLGTYSYKRRPAPWSEEELDFLWIGVRRYGMNNWKAMLRDTRLRFSNSRIAEDLAKQWDKEQKKLLGVDIFQSIRASALGPAPPPHISDDYVGSSSCSGCSKSPFLAAQTKLSLGDLYLRNSHASERGHHHLSSLGRLNLHGVDKGLRNLSPGGFPTASSSYGRSSSRRRKSSKFHKSYYDSRTHRCQEAPERVSRLFPVNQQLINSLPQWLTKDVETGTSRLNPGMWPSMPAPGHSAADPHNDSLRAVFMFPDDTKPYLKSWAAAMAGPNSITASDNGASSEETVSDS* >Brasy4G344700.1.p pacid=40089034 transcript=Brasy4G344700.1 locus=Brasy4G344700 ID=Brasy4G344700.1.v1.1 annot-version=v1.1 MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERASHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPKPTAPPSATPTAEAEAEEEPMFTPFTGPGRRLDGKPSKDLDASGSSPAKRQANATNSVQPSTASTSQSTAPRKTTGKLVFGSGGNQSSKETDKVPEKDAKEEPKKEEPKFSAFTGKKYSLKG* >Brasy4G344700.4.p pacid=40089035 transcript=Brasy4G344700.4 locus=Brasy4G344700 ID=Brasy4G344700.4.v1.1 annot-version=v1.1 MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERASHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPKPTAPPSATPTAEAEAEEEPMFTPFTGPGRRLDGKPSKDLDASGSSPAKRQANATNSVQPSTASTSQSTAPRKTTGKLVFGSGGNQSSKETDKVPEKDAKEEPKKEEPKFSAFTGKKYSLKG* >Brasy4G344700.2.p pacid=40089036 transcript=Brasy4G344700.2 locus=Brasy4G344700 ID=Brasy4G344700.2.v1.1 annot-version=v1.1 MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERASHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPKPTAPPSATPTRLKPKRNQCSLPSLVLEGAWTGSLLKIWMRQARPLPSGKPMQPTACSPQQPPHHRALHHAKPLVNLSSARGETSHQRKQTRYRKRMQRRNRRKKSPNFQRLRERSTR* >Brasy4G344700.3.p pacid=40089037 transcript=Brasy4G344700.3 locus=Brasy4G344700 ID=Brasy4G344700.3.v1.1 annot-version=v1.1 MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERASHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPKPTAPPSATPTRLKPKRNQCSLPSLVLEGAWTGSLLKIWMRQARPLPSGKPMQPTACSPQQPPHHRALHHAKPLVNLSSARGETSHQRKQTRYRKRMQRRNRRKKSPNFQRLRERSTR* >Brasy4G186400.1.p pacid=40089038 transcript=Brasy4G186400.1 locus=Brasy4G186400 ID=Brasy4G186400.1.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.2.p pacid=40089039 transcript=Brasy4G186400.2 locus=Brasy4G186400 ID=Brasy4G186400.2.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.4.p pacid=40089040 transcript=Brasy4G186400.4 locus=Brasy4G186400 ID=Brasy4G186400.4.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.5.p pacid=40089041 transcript=Brasy4G186400.5 locus=Brasy4G186400 ID=Brasy4G186400.5.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.6.p pacid=40089042 transcript=Brasy4G186400.6 locus=Brasy4G186400 ID=Brasy4G186400.6.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.7.p pacid=40089043 transcript=Brasy4G186400.7 locus=Brasy4G186400 ID=Brasy4G186400.7.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.3.p pacid=40089044 transcript=Brasy4G186400.3 locus=Brasy4G186400 ID=Brasy4G186400.3.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.8.p pacid=40089045 transcript=Brasy4G186400.8 locus=Brasy4G186400 ID=Brasy4G186400.8.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQVDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.9.p pacid=40089046 transcript=Brasy4G186400.9 locus=Brasy4G186400 ID=Brasy4G186400.9.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G186400.10.p pacid=40089047 transcript=Brasy4G186400.10 locus=Brasy4G186400 ID=Brasy4G186400.10.v1.1 annot-version=v1.1 MAAEVGQQFSGWSRSDLTYNDNSTQDDSVQQMVLDHGSVSFGRFAAESLSWESRSVFAHNRRQEEIRKLTLPGLVAQKKAFFEEYYRRKAQKVTHPTEATLEERSDDNTLEHSMQEDKSHAVISEAPVGSAPSSSFEQSTGVSSSDENKCQEPHGLGYLTFNPLFSRITESLSIQQKEACNTGPKQYADGQCATHTSSTHGLNHETLERKVLAPKHVLPTDYGESNVAPVRIVLPVKSLQSKGLKVDLKKQEPSKSIAVINKSTKGIKAAPGSLIQIPRVDLRRNSEIRHSQGLKDPFHERVEMKLRALSDRMSADRAACSSKSVSYQPATGSSCQNADRVATSSRSTLCRNTDRTFTSSKSVVQASHKSFKVVQRTTTLPSTNFYNKGSHASHLASSNRSKNSTTGKLAARSSIMPSSSEINAKPIRTAQVTSKRAAAALTSVGNGSQNKRKQLSTQAASDENNHNRGFVRISAPTSARSSYDNIRPYKAAKAPRISNGKSAVKTETVQKSTTYPSHHVGGRNVFPKHNVNCNEPNRKVISSRAGCLSSSARKNLACKTRQERPRWR* >Brasy4G287200.1.p pacid=40089048 transcript=Brasy4G287200.1 locus=Brasy4G287200 ID=Brasy4G287200.1.v1.1 annot-version=v1.1 MKCNACWREMEGQAISTTCGHLLCPEDAKKILSADGACPICDQVLSKSHMKPIDINPSDEWTNMSMVGISPQILMKSAYRSVMFYIGQKELEMQYKMNRVLGQCRQKCEHIQAKFTEKLEEVHAAYQKMAKRCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRNQYESAKRSAIQPANNYFSRAQPDLFSGMPNILDSGDPLRQGSIGPPETPGRREEGWAPQPRQRRENSGPFELSAGSPGHTAAPPMDMRPRQPARSTFGANMNNSSAALRNMIISPMKRPQLSRNRPQLFTL* >Brasy4G372400.1.p pacid=40089049 transcript=Brasy4G372400.1 locus=Brasy4G372400 ID=Brasy4G372400.1.v1.1 annot-version=v1.1 MEDRRTILMERYEIGRHLGQGNFAKVYYARNLISGQGVAIKIIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEVNALKIKQETRGKVYKGEATTSDSTECSNSEENQASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRREDRFTTRQPAATVFAKLNELAKHLKLKIKKKENGVLKLAAPREGIKGFLELDAEIFELAPSFLLVELKKTNGDTLEYKKLMKDEIRPALKDVIWAWQGDSHPQPEQCIQGGQQRRQQSSLPSQQPQE* >Brasy4G372400.2.p pacid=40089050 transcript=Brasy4G372400.2 locus=Brasy4G372400 ID=Brasy4G372400.2.v1.1 annot-version=v1.1 MEDRRTILMERYEIGRHLGQGNFAKVYYARNLISGQGVAIKIIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEVNALKIKQETRGKVYKGEATTSDSTECSNSEENQASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRREDRFTTRQPAATVFAKLNELAKHLKLKIKKKENGVLKLAAPREGIKGFLELDAEIFELAPSFLLVELKKTNGDTLEYKKLMKDEIRPALKDVIWAWQGDSHPQPEQCIQGGQQRRQQSSLPSQQPQE* >Brasy4G372400.3.p pacid=40089051 transcript=Brasy4G372400.3 locus=Brasy4G372400 ID=Brasy4G372400.3.v1.1 annot-version=v1.1 MEDRRTILMERYEIGRHLGQGNFAKVYYARNLISGQGVAIKIIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEVNALKIKQETRGKVYKGEATTSDSTECSNSEENQASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRREDRFTTRQPAATVFAKLNELAKHLKLKIKKKENGVLKLAAPREGIKGFLELDAEIFELAPSFLLVELKKTNGDTLEYKKLMKDEIRPALKDVIWAWQGDSHPQPEQCIQGGQQRRQQSSLPSQQPQE* >Brasy4G372400.5.p pacid=40089052 transcript=Brasy4G372400.5 locus=Brasy4G372400 ID=Brasy4G372400.5.v1.1 annot-version=v1.1 MEDRRTILMERYEIGRHLGQGNFAKVYYARNLISGQGVAIKIIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEVNALKIKQETRGKVYKGEATTSDSTECSNSEENQASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRREDRFTTRQPAATVFAKLNELAKHLKLKIKKKENGVLKLAAPREGIKGFLELDAEIFELAPSFLLVELKKTNGDTLEYKKLMKDEIRPALKDVIWAWQGDSHPQPEQCIQGGQQRRQQSSLPSQQPQE* >Brasy4G372400.4.p pacid=40089053 transcript=Brasy4G372400.4 locus=Brasy4G372400 ID=Brasy4G372400.4.v1.1 annot-version=v1.1 MEDRRTILMERYEIGRHLGQGNFAKVYYARNLISGQGVAIKIIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEVNALKIKQETRGKVYKGFDLSNLFEEKYGRREDRFTTRQPAATVFAKLNELAKHLKLKIKKKENGVLKLAAPREGIKGFLELDAEIFELAPSFLLVELKKTNGDTLEYKKLMKDEIRPALKDVIWAWQGDSHPQPEQCIQGGQQRRQQSSLPSQQPQE* >Brasy4G085600.1.p pacid=40089054 transcript=Brasy4G085600.1 locus=Brasy4G085600 ID=Brasy4G085600.1.v1.1 annot-version=v1.1 MQAEVGMGGVGSNPPPAAAGDGGSPAAYLDLQVEVGTGGVGPNPPPAAAGDGGSPAAFNPAAADDPAAAIIPAAASNNPPPAAPKAPTPWRPGLGPSSTCQLKWCLAEATPNPTRRLMWHLAAATPIPWLPRRLTWRPSTALPRRTRS* >Brasy4G067600.1.p pacid=40089055 transcript=Brasy4G067600.1 locus=Brasy4G067600 ID=Brasy4G067600.1.v1.1 annot-version=v1.1 MYMFDSAGEPIERAITVGQFDNQLLIQAHRYVLRHCDELEQFRREFVEQEKLKPCHLSNLMPDDIENLINRHFADWLEQKVMFSMFQFYELHIFNLFF* >Brasy4G154200.1.p pacid=40089056 transcript=Brasy4G154200.1 locus=Brasy4G154200 ID=Brasy4G154200.1.v1.1 annot-version=v1.1 MDAIRKQLDQLMGANRNGDAREVTRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIAISVTEVTQSKEITEFSKQIKEKMKEIDTFDLEGNTEGKIRATEEVDKLKEQRAEEQAKLLLEAFNKDRVSLVSSLQTAMQSAAPPAAPDARTQEMINEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQTVPDTAKISTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSVLQEEKNKRRKVDRSEYDRRSRERSTERDRASSRDRHRGDRSSSRGRDRDYERKSSHDRYHDRDSSYGREKDKESGRSRSYDSRSHRRSRSPRDSSRDYDQYRRHDRRDRH* >Brasy4G068700.1.p pacid=40089057 transcript=Brasy4G068700.1 locus=Brasy4G068700 ID=Brasy4G068700.1.v1.1 annot-version=v1.1 METAAASCEIGRLPDDLLATIITLTSPRDACRAAAVSRAFRAAADSDAVWSRFLPRDLPRFVDSTEISLSMTPSSKKERFLRLSDHPALLLGRVTSMWLDKATGGKCYTLSARALNISWGDEPQHWRWTHADVLRDVKTGERISAEVAQLRWVCWLEIRGKIQRKMLSENSTYIAYMVFKLAGRGVQGLHMPFQEASVSVGGCESTRQRACLDANDEGGDVHVVPQLLVQYACRGWPDVLLPCKRADGWMELELGKFYCAEEDGDDDEVRVALTEMEFLNTKRGLIVRSIEIRIKQ* >Brasy4G260100.1.p pacid=40089058 transcript=Brasy4G260100.1 locus=Brasy4G260100 ID=Brasy4G260100.1.v1.1 annot-version=v1.1 MWCVHVQGPKASDRTQVEAKEVQLPDMPPITLDDADVQTVRDTENASHKYKLEASMWIQFNKI* >Brasy4G389300.1.p pacid=40089059 transcript=Brasy4G389300.1 locus=Brasy4G389300 ID=Brasy4G389300.1.v1.1 annot-version=v1.1 MKILSWNCQGLGKSSAVKALLELQGRVKADVVFLSETHLTKARAENMRRNLGFDHAILSESDGRSGVWCIPTFWISVLMNLVRWVGGLLESMENQVLILHAMVDLPWIVAGDFNEVLWGSEKEGGAMRPQRCMQMFRDALTDCNLNDLGYTGDIFMWRRGRIRERLDRALCNIGWADKFPMSSVIHEEFSKSDHRPLVIDSTFLEGVQEVRRGGRNLFDARWLMEEEVDSVVNKAWEEAHLAAGSDLAQRLKDVHSALHAWDRNTLKSPRDRRRALQRELNQVMSGPLSDEATAKQQNIQIQIGNLDEQEEVKWIQRSRADWMKYGDRNTKFFHSFASARKKRNMIKGLKDDMGVWLEGWDILSNHINDYFKNLFSAERDE* >Brasy4G349400.1.p pacid=40089060 transcript=Brasy4G349400.1 locus=Brasy4G349400 ID=Brasy4G349400.1.v1.1 annot-version=v1.1 MMSSRQHQQLSPGNTMPPISGVDADFGSCYSTTSTHPYAAFDGMPSGTVQHHQHQQQLYDTTGLDYAALFPFAPQQDNPAPAHLFPNHLPPFTTNNTTMLLQPPMLTPLPGLPTSSSSPMPSDAYQQNPFGGFQLKRENEGFLPFSDAMAVSAGSGAGPGGRIGLNLGRRTYFSPADVLAVDRLLMRTRGGLGGGMGVLGLGLGGGIIGHQQQQQQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAAAVAANGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPVGVQGKDSPPPPPSKKLEACITTSSYAGDHNTTNKSSTTAAAAVLSPSASAFSCLQQQEEERDDNTEGGGGGRPTTLSLAAPPQRDYGGALDTMLLMHHHHHQAAAAVVQEDDQEQAEHFMMTSLVQSTHHQQQQQQQDVCGNILSCSPTASDQRRQQHHQMQINGEDESGCCNSSGMQQQQHFFEVDFM* >Brasy4G037900.1.p pacid=40089061 transcript=Brasy4G037900.1 locus=Brasy4G037900 ID=Brasy4G037900.1.v1.1 annot-version=v1.1 MAALLIPRALAALLLAAVAVAAANDDGQTLLEIKKSFRNADNVLYDWAGDGSSPGYCSWHGVLCDNVTFTVQALNLSGLNLGGEISPAIGDLKSVVSIDLKSNGLSGQIPDEIGDCSSLEILDLSYNNLEGDIPFSLSKLKQLENLILKNNQLVGVIPSTLSQLPNLKILDLALNKLNGEIPRLIYWSEVLQYLGLRGNNLEGTLSPDMCQLTGLWYFDVKNNSLMGTIPDTIGNCTTFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNKFSGPIPSVIGLMQALAVLDLSLNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSALHYLELNDNKLSGFIPPELGKLTGLFDLNLEDNKLEGPIPDNISSCINLISFNAYGNKLNGTISRSLHKLESLTYLNLSSNYLSGAIPIELARMKNLDTLDLSCNKIAGSIPSAVGSLEHLLRINLSNNNLVGYFPAEFGNLRSIMEIDLSSNHLQGMIPQEVGLLQNLILLKLESNNITGDVSSLTNCFSLSFLNVSYNNLAGVVPTDNNFSRFPADSFLGNPGLCGYWRGSSCYSSGHAQRSSITRAVILGIAVGGLVILLLILAAACWPHSPAVSRDVSVSVSKQDICAVLSSNVPHKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSVKEFETELETIGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDVLHGIAGSSKKTKLGWEARLQIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCISKTHTSTYVMGTIGYIDPDYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLIISKATDNTVMEMVDPDIADTCKDLGEVKRLFQLALLCSKRQPSDRPTMHEVVHVLDCLVCPEPSPKPEQSPALSQSSTAPSYVNEYVSLRGGSALSCANSSSTSDAELFLKFGEVISQNTE* >Brasy4G037900.2.p pacid=40089062 transcript=Brasy4G037900.2 locus=Brasy4G037900 ID=Brasy4G037900.2.v1.1 annot-version=v1.1 MAALLIPRALAALLLAAVAVAAANDDGQTLLEIKKSFRNADNVLYDWAGDGSSPGYCSWHGVLCDNVTFTVQALNLSGLNLGGEISPAIGDLKSVVSIDLKSNGLSGQIPDEIGDCSSLEILDLSYNNLEGDIPFSLSKLKQLENLILKNNQLVGVIPSTLSQLPNLKILDLALNKLNGEIPRLIYWSEVLQYLGLRGNNLEGTLSPDMCQLTGLWYFDVKNNSLMGTIPDTIGNCTTFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNKFSGPIPSVIGLMQALAVLDLSLNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSALHYLELNDNKLSGFIPPELGKLTGLFDLNLEDNKLEGPIPDNISSCINLISFNAYGNKLNGTISRSLHKLESLTYLNLSSNYLSGAIPIELARMKNLDTLDLSCNKIAGSIPSAVGSLEHLLRINLSNNNLVGYFPAEFGNLRSIMEIDLSSNHLQGMIPQEVGLLQNLILLKLESNNITGDVSSLTNCFSLSFLNVSYNNLAGVVPTDNNFSRFPADSFLGNPGLCGYWRGSSCYSSGHAQRSSITRAVILGIAVGGLVILLLILAAACWPHSPAVSRDVSVSVSKQDICAVLSSNVPHKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSVKEFETELETIGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDVLHGIGSSKKTKLGWEARLQIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCISKTHTSTYVMGTIGYIDPDYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLIISKATDNTVMEMVDPDIADTCKDLGEVKRLFQLALLCSKRQPSDRPTMHEVVHVLDCLVCPEPSPKPEQSPALSQSSTAPSYVNEYVSLRGGSALSCANSSSTSDAELFLKFGEVISQNTE* >Brasy4G175600.1.p pacid=40089063 transcript=Brasy4G175600.1 locus=Brasy4G175600 ID=Brasy4G175600.1.v1.1 annot-version=v1.1 MERIIGMASIALPPSSVSALRRHPGQFLRGSDVSKKTEGSVSYPSLANSRNANIKPVGLRIAASLRNSSSLENAGTLLPKSTSVRGQDRPTTDSVRPADSIVAPEIISADLLRVVDTFSNEDDDTDDSPTEGFSSISEAIEDIRQGKLVIVVDDESRGNEGDLVLAASLVTPEAMAFVVKHSTGIVCVSMKEDDLERLNLPLMVSTKENEDKLGGAFTITVDAKEGTSTGVSAEDRAKTVLTLASPHSKPEDFKRPGHIFPLEYREGGVLKRAGHTEASVDLAVLAGLPPVGLLCEIVDEDGSMARLPKLRAFAEQENLKIVSIADLIRYRRKREWLIERASDARLPLKWSNVRGYCYRSVSDGIEHIAMVKGEVGDGEDILVRVHSECLTGDIFGSARCDCGEQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLAHKLRAYNLQDDGRDTVEANEELGLPVDSREYGVGAQILRDLGVRSIKLMTNNPAKFVGLKGYGLSLVGRVPLVTPITSENRRYLETKREKMGHVYGAANGQANRPTVGNSTKEKH* >Brasy4G379100.1.p pacid=40089064 transcript=Brasy4G379100.1 locus=Brasy4G379100 ID=Brasy4G379100.1.v1.1 annot-version=v1.1 MPPPRVTTAMAAGRATTTGRGRGRGRGRGRAQPSAQVDDEAVGAAQQKRGRGRGRVTRRGNRGSRGRGRAQPSTQEDDQPEEAEQQLDMGRGRGRATGREKRGRKGRASGRLYHLLFGDDQGAEQQEEQDVIDNSQQAPGSSTQN* >Brasy4G172800.1.p pacid=40089065 transcript=Brasy4G172800.1 locus=Brasy4G172800 ID=Brasy4G172800.1.v1.1 annot-version=v1.1 MAITLHLLRPVLAWCLVALALSGGGTGAAREKRKMVGVYELKKGDFSIKVTNWGATLMSVILPDSRGNLADVVLGYDTVAEYVNGSAYFGGLIGRVANRIANARFTLDGKAHRLFPNDGNNTLHGGHRGFSKVVWTVKEHVDGGDCPFITLYYRSFDGEQGFPGDLDVYVTYEISGPHALSVRMNATARSKATPVNLAHHAYWNLGGHGSSSGARSSILGEELRLFASRYTPVDAATLIPTGALAPVAGTPYDFRSPAAVGSRMAALLRRYHGSVAGFDTNYAVDGAGTVGGLRGQLRRVADVRDGASGRAMELWADQPGVQFYTGNGLSGVRGKGGEVYARYGALCLETQGFPDAVNHPNFPSQIVRPGQVYTHHMLFKFSF* >Brasy4G233700.1.p pacid=40089066 transcript=Brasy4G233700.1 locus=Brasy4G233700 ID=Brasy4G233700.1.v1.1 annot-version=v1.1 MVEAAAGRRSGTSRRRPSGGGEQQQRLVAVALAAHVVMVTSAPAAGAAGEGGAGGRCLEDLLGCLLGVLRALGVPWAAPRPQRQPRPVPPRGGALPAPADVRRFAAELRRIPGRIASNGACAVASLYTMQGKKGVNQDAMVVWENFCSRDDTIFCGVFDGHGPFGHLVAKRVRDLLPVKLGADLGTDEVRETSTSNMKSNTNQVGLPVNPERTKTTSTTSTGAEQNGDHPEIFSTLKTSFLRAFHIMDRDLKLHKSIDCFFSGTTAVAVLKQGHNLIIGNLGDSRAVLGTRNEDHQLIAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKEYGLISMPDVSCHHVTAKDEFIVLATDGVWDVLSNTEVVSIVKRATSRASAARCVVESANRAWRTRYPTSKVDDCAVVCLFLNTHEANETSSSAANNLASDVEVSGDQHSTIVQLSTGVSADAVTALVKDKDELSVIDTVAKPATVSDLKNDVSGAKESIM* >Brasy4G311400.1.p pacid=40089067 transcript=Brasy4G311400.1 locus=Brasy4G311400 ID=Brasy4G311400.1.v1.1 annot-version=v1.1 RRRPRIGPGDRNDYRNISLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFHNYENEEQFQAQSISIITCSRPNRMCWFSHLHWSFMLYCGYNLT* >Brasy4G347600.1.p pacid=40089068 transcript=Brasy4G347600.1 locus=Brasy4G347600 ID=Brasy4G347600.1.v1.1 annot-version=v1.1 MRGPSTKAFKQGFLRNLLLGLNSCRRNGACDMSLQDRKRAVRSSADAAMAAAHAGGARWPRALLLALTPSSSSSSPPPGKTGRKKMARRLCGKRRSSTCSSSISGCEIARRLVRKRTKVLRRMVPGGELLADGASLLREAMDYLVHLRAQVAVLSRVSEAMHAQGSKLHAGRQDGRADPTKSGLSD* >Brasy4G023100.1.p pacid=40089069 transcript=Brasy4G023100.1 locus=Brasy4G023100 ID=Brasy4G023100.1.v1.1 annot-version=v1.1 MGTLREILPSPKTSASTFYDHSSDPWFKERYGGEPADAAASGKPAGLAKPVPPYGKRTGFVPRRPEDFGDGGAFPEILVAQYPLGMGRRDEKGGSKILALTVDAHGSVAFDAVVKLGENASKIVYSKHSDIVPKIATADSEAVEDEEYEKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKVPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPASMGMPVGGGSQRERERVDDGDADMDLEQPREQRRETREEREARVERDRIREERRRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQPTLSTLYRPKKDGDSEVYGGDADEQLDKVMKTERFKPDKGFSGASERSGKRDRPVEFDKQEEHDPFGLDQFLTEVKRGKKAVEKIGGGGTMKASGGGSSTRDDYEGGGSGRSRINFERGR* >Brasy4G023100.2.p pacid=40089070 transcript=Brasy4G023100.2 locus=Brasy4G023100 ID=Brasy4G023100.2.v1.1 annot-version=v1.1 MGTLREILPSPKTSASTFYDHSSDPWFKERYGGEPADAAASGKPAGLAKPVPPYGKRTGFVPRRPEDFGDGGAFPEILVAQYPLGMGRRDEKGGSKILALTVDAHGSVAFDAVVKLGENASKIVYSKHSDIVPKIATADSEAVEDEEYEKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKVPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPASMGMPVGGGSQRERERVDDGDADMDLEQPREQRRETREEREARVERDRIREERRRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQPTLSTLYRPKKDGDSEVYGGDADEQLDKVMKTERFKPDKGFSGASERSGKRDRPVEFDKQEEHDPFGLDQFLTEVKRGKKAVEKIGGGGTMKASGGGSSTRDDYEGGGSGRSRINFERGR* >Brasy4G023100.3.p pacid=40089071 transcript=Brasy4G023100.3 locus=Brasy4G023100 ID=Brasy4G023100.3.v1.1 annot-version=v1.1 MGTLREILPSPKTSASTFYDHSSDPWFKERYGGEPADAAASGKPAGLAKPVPPYGKRTGFVPRRPEDFGDGGAFPEILVAQYPLGMGRRDEKGGSKILALTVDAHGSVAFDAVVKLGENASKIVYSKHSDIVPKIATADSEAVEDEEYEKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKVPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPASMGMPVGGGSQRERERVDDGDADMDLEQPREQRRETREEREARVERDRIREERRRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQPTLSTLYRPKKDGDSEVYGGDADEQLDKVMKTERFKPDKGFSGASERSGKRDRPVEFDKQEEHDPFGLDQFLTEVKRGKKAVEKIGGGGTMKASGGGSSTRDDYEGGGSGRSRINFERGR* >Brasy4G023100.4.p pacid=40089072 transcript=Brasy4G023100.4 locus=Brasy4G023100 ID=Brasy4G023100.4.v1.1 annot-version=v1.1 MGTLREILPSPKTSASTFYDHSSDPWFKERYGGEPADAAASGKPAGLAKPVPPYGKRTGFVPRRPEDFGDGGAFPEILVAQYPLGMGRRDEKGGSKILALTVDAHGSVAFDAVVKLGENASKIVYSKHSDIVPKIATADSEAVEDEEYEKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKVPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPASMGMPVGGGSQRERERVDDGDADMDLEQPREQRRETREEREARVERDRIREERRRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQPTLSTLYRPKKDGDSEVYGGDADEQLDKVMKTERFKPDKGFSGASERSGKRDRPVEFDKQEEHDPFGLDQFLTEVKRGKKAVEKIGGGGTMKASGGGSSTRDDYEGGGSGRSRINFERGR* >Brasy4G229800.1.p pacid=40089073 transcript=Brasy4G229800.1 locus=Brasy4G229800 ID=Brasy4G229800.1.v1.1 annot-version=v1.1 MDQPPNGFAAGGLFVQHIDEQSASAPSVIVIGGGISGIASARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDLGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEQILKETVKVRDEYTNDMPLVQAISIVLDRNQHLKLEGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPDWKLSAISDLGVGLENKIALRFNTIFWPNVEVIGRVAQTSNSCGYFLNLHKATGHPVLVCMVAGRFAYEMEKLSDEESVDFVMSQLKRMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIGAAEDCRRRLSTQLGISDLFQVGKIVMREEMADAMVPLQISRL* >Brasy4G229800.2.p pacid=40089074 transcript=Brasy4G229800.2 locus=Brasy4G229800 ID=Brasy4G229800.2.v1.1 annot-version=v1.1 MLHLRLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEQILKETVKVRDEYTNDMPLVQAISIVLDRNQHLKLEGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPDWKLSAISDLGVGLENKIALRFNTIFWPNVEVIGRVAQTSNSCGYFLNLHKATGHPVLVCMVAGRFAYEMEKLSDEESVDFVMSQLKRMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIGAAEDCRRRLSTQLGISDLFQVGKIVMREEMADAMVPLQISRL* >Brasy4G300600.1.p pacid=40089075 transcript=Brasy4G300600.1 locus=Brasy4G300600 ID=Brasy4G300600.1.v1.1 annot-version=v1.1 MKYQSPENNPDNNPHPPCPSNSLSDHSFTARNLERQHFESALLRLLPPRYHFAGASPGPRRSLARGEDPVQCRAEWKTTLSSSPSRRRPPTSNAFSLLRPRNSRLRSTPALVLPTDSLRAASQNPGPPDGLSKRDLRQLRGSVRLGFTEFGGARDRGRRMSIVPKETIEVIAQSVGIATLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDVILAPTESKRSEHVKDDGLPVDIKLPVKHILSRELQMYFDKIAELTMSRSNTSVFREALVSLSKDSGLHPLVPYFSYFIADEVTRSLADLPVLFALMRVVQSLLRNPHVHIEPYLHQLMPPMITCIVAKRLGHRLSDNHWELRDFSANLVASVCRRYGHVYHNLQIRLTKTLIHAFLDPHKALTQHYGAVQGISALGPSAIRLLLLPNLETYMQLLEPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYERLKLFPGLLSPSTRPLLRSNKRVATNNSNKRKSSTDHSASQPPLKKMATDVSMNSMASAPIVGNMAGTMDGFSAQLPNPGMMQALSSGQMVESITPVPIRRDQGNNHAQRVSAVLRQAWKEDQDAGHLLESLYEVFGEAIFSFIQPPEISIFV* >Brasy4G017400.1.p pacid=40089076 transcript=Brasy4G017400.1 locus=Brasy4G017400 ID=Brasy4G017400.1.v1.1 annot-version=v1.1 MAAAIDMYKYNSSSSSTHQITSASDQQELMKALEPFIRSASSNPYSYNYYPSPSSSSSSMTQDSSYITTSPSPYASFATSPVPTAASSSSFSQLPPLYSSAAAMNGPIGLAHLGPAQIQQIQAQFIAQQQQQRGMGLAGSFLGPRGTTPMKQSGSPPLGAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDAAEDAALAYDKAAFRLRGDQARLNFPALRRGGAHLAGPLHASVDAKLTAICQSLNNPAAAEPESPKCSAASASTEGDNDSASASAAGSPGAPAPGMEKLDFTEAPWDESETFHLRKYPSVEIDWDSILS* >Brasy4G146500.1.p pacid=40089077 transcript=Brasy4G146500.1 locus=Brasy4G146500 ID=Brasy4G146500.1.v1.1 annot-version=v1.1 MQVCGRDDAVYVFLNPLGHGPAEHPNSPPFATQTAPTGKTKQKLLPCSALQWSRGEECLMPSTRQPVKLAMLLLFALLLLCNGVSNVNCSTVHENSVDLHALLDFQRGITNDPKGALSNWRNTTHFCRWNGVNCTKTRPFRVSSLILTDQGLEGQISSSLGNLTFIKKLDLSYNNFVGPLPILGHLQQLQTLYLNNNHLNGVISDSLANCSNLTNLDLSANSLVGTIPDELGQLPSLKWLLLGNNKLSGEFPLAILNLSASLQHLALESNMLGKTLPPNIGNLLSLKGLSLFNNMFEGHIPASLGNALGLEGIDLSNNSFTGQIPTSLGKLSNLTILNLQNNKLEANNNQEWEFLNALTQCRSLQVLSLGYNQLHGSIPQSVGNLSTGLEKLFFTANNLLGEVPQSIGKLSGLDQLALGENNLSGTPEGWIGNLKGLRLLNLRSNRFTGQIPSSLSKLTQLTYLYLGANEFEGLIPAFLGNNPLLGLNLSYNNLQGHIPASIGNLEELIHLDLSHNSLQVFSESEPCDCDECLNSNCYQGEPGEE* >Brasy4G115500.1.p pacid=40089078 transcript=Brasy4G115500.1 locus=Brasy4G115500 ID=Brasy4G115500.1.v1.1 annot-version=v1.1 MQSITWAEINYFWRRRKLEEEERQLADEKEAARIKTKTLKQEEYMLFEKRIDGVINEKNETDKIMEEGEITKNSKQDAFASIYPPSI* >Brasy4G115600.1.p pacid=40089079 transcript=Brasy4G115600.1 locus=Brasy4G115600 ID=Brasy4G115600.1.v1.1 annot-version=v1.1 MCVTGGSKRVKVRCSSMAQFMDLRAFILRARVLKFYRQALRMTRRAPEHARDELRQTVRVEIEKNRHCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS* >Brasy4G080300.1.p pacid=40089080 transcript=Brasy4G080300.1 locus=Brasy4G080300 ID=Brasy4G080300.1.v1.1 annot-version=v1.1 MALSTLSWPSSAAAADKGRQAASVAWKLTRSTGKAVWIAVTTGLVLVLPLALLMQREADSIMLEDLEAQRMAVLGV* >Brasy4G173500.1.p pacid=40089081 transcript=Brasy4G173500.1 locus=Brasy4G173500 ID=Brasy4G173500.1.v1.1 annot-version=v1.1 MGKGIRVKRLFSEESVMEHKDAPGVAGGCPAPADDLEARQQPEEISDSESGSESLEISDLKKRMWKDQMLLTKLEGRAGARGVAGLPSRTSSTASASSGAAGLQEESPDMRCRRKAMLRAQDGDLRHMLRMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKENVSFDRAGPMGLVGPVGDSPLGLASCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGHEPWWGTQGEMQAYQGAPPYRKPHDLKKAWKISLLSAVIKHMSPWFDQMRKLVWQFKRLQQKMSAKESETWSKVLRQEEALSGRLKASLQISPLDDDEEEEEEEEEEKKDVGEKDDLEDVVRGVQQDKRKREVARSGSGSGSSVENEKYPRPTTAGGSRELTIMLPDQLAATDPESRSPIDELMKLYYSCIQGAGESYGDRWKEEEDMAALVPPLVLGGAIDEVAQDVLFDIFGNCPEVDDVLRLMGE* >Brasy4G099700.1.p pacid=40089082 transcript=Brasy4G099700.1 locus=Brasy4G099700 ID=Brasy4G099700.1.v1.1 annot-version=v1.1 MSDHQAKRASDAAAAAPAAKRARAQAAPVFPTYKDAPDLPPKIRLLCEILASPASDVEAALDDAAVRVTTSDVEQVLRFSYAHPRGAAAFFRWAGHRHLRHQHSPYSWNLVIDLLGKNRLFDPMWDTVSSMRSQGLLSLASFASVFSSLAADPVSSPLKAFVDMPKYGMDRDTPALNSLLSALCRASRLDDARAAIPVARAEASTRPDADSYAILLEGCEAAGDPAVAREVFDEMVRNIGFDPANVPAYDSFLTTLVSSSSTTALTEAMDYLAVLRRYRCSPGEKFLRAALAAHLKAQDLRGAQVLWDDFVVRLGLIPDKEMYSSMIMLQGTLGHAEVIVEYVDDMAFYGVFPDADTYNMVLKLLLKGRKLREASAIFREMVKNECWPNEANCSLALRMFLDTRDWETGIKVWNCMVANGLPPLEESGNMLVSKLKDDMLPEACKYAEDMIDQGIKVSSSTLGKLRQCLVKVKRGAIHDRLLTKWKAR* >Brasy4G432200.1.p pacid=40089083 transcript=Brasy4G432200.1 locus=Brasy4G432200 ID=Brasy4G432200.1.v1.1 annot-version=v1.1 MRTEVISADTIDEAVEKVLVELGADTTSSRENAIYFDGWDGLGASAVLQAIAERLTVSNELSTRPTGLEFEKIIHIDCSKWESRRAMQREIAEQLKLPDWVMEMFDKQDEDDEFNGLDQGSRSEIPQVVREIYQTTRNLRFLVILHNGSSEVIDISEFGLSLDRYANSKMLWTFQGRFRLDPKMIDTVKKSISTDVLLSASSGGRDMQELWSCLVRHEAAEVCRNEHGHGIIDPSIAAECVLYILKQSLIGSRIIDYDWAIHTSNYWICDGIIALTDIDQAWKVGDVLQREMRLLNSDNQLNNDESRIVPSSSHLARSAGRMPYWISTTTCGFVLSPSGVIPENMFWHSHRLGVLNLTRCTFSFSSPPFLCCHSLRFLWLDHCQDLTRSSTTDHHQPDVNKEDNSNMASWECFHSLWVLDLRYTDWDQILSAQIMDLMTQVRELNVMGAKNWDMSHLRGRLRNIRKLRVTKSTCCFYNNVFSEMESLRLIDFSGNKIRQGMRSLSGAASNSSLETVIIDGCDGLKVISLRGCKELKNLFFKGLLGSRGSSLEELDLSGTKVKTLDLREVSYMSLPRRIILLGCQRLHAILWPQFFPWNQSPHVLCIETTSTSADADGVEARLAHPHGDESLQ* >Brasy4G207400.1.p pacid=40089084 transcript=Brasy4G207400.1 locus=Brasy4G207400 ID=Brasy4G207400.1.v1.1 annot-version=v1.1 MAFPCSAPVRPPRALRAPGGRPRGRGPALTVVAAVTRVSGAEVRAKLVLALASQALAASQRRAVDLVTEATKYALPSSRFEPRTLEEALMSVPDLETVPFRVLKREEDYEIREVESYYIAETTMPGRNGFDFGGSSRSFNVLASYLFGENTRSEQMEMTTPVLTRKAETGGEKMDMTTPVITKKSADENKWKMSFVMPSKYGPDLPKAKDPSVTIKEVPRKIVAVVAFPGLVTDDDISQRESRLRQALQKDTQYRVKEDSVVEVAQYNPPFTLPFTRRNEVALEVERLDRASMSKTNE* >Brasy4G186700.1.p pacid=40089085 transcript=Brasy4G186700.1 locus=Brasy4G186700 ID=Brasy4G186700.1.v1.1 annot-version=v1.1 MPPAGLLARRLVSARAEPLPRSLSAGTRWMHGRGEPQDEEFPYADVPRPGRKQDRKPYVTPMKALIRRAKEERRVRQENPCRVLEHPPGNGLLVPHLVEVAHQVHRARERLLHGLARLVKGEAAIPVKRCRFCSELHIGNVGHEIRTCEGPQSGSRNSLHVWWPGTVRDVLGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVGRYPTKRRTRPVYSIDGRIADFELDEEEDSLEAETSPEPSPLSPTGSASTADGSEQTEQEMTVRELGSRTLQSWLDMRSGAARLMRKYGVVTCGYCPDVQVGPKGHKVRMCKASKHQQRDGQHAWQEATVDDLVPPNYVWHVRDPDGDGDVAPLANELKRYYGKAPAVVELCVRAGAPVPAQYKSMMRLDVVPPARDEYDLVA* >Brasy4G413200.1.p pacid=40089086 transcript=Brasy4G413200.1 locus=Brasy4G413200 ID=Brasy4G413200.1.v1.1 annot-version=v1.1 MAGMGEMHMRPRPGPPMQHRGPPPMARARPEPIDREKTCPLLLRVFTKVGAHHLNEDFATRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVKQVGSTFSYGHGRGDDAKSLADLGFQIGDYLSVSIQ* >Brasy4G413200.2.p pacid=40089087 transcript=Brasy4G413200.2 locus=Brasy4G413200 ID=Brasy4G413200.2.v1.1 annot-version=v1.1 MAGMGEMHMRPRPGPPMQHRGPPPMARARPEPIDREKTCPLLLRVFTKVGAHHLNEDFATRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVKQVGSTFSYGHGRGDDAKSLADLGFQIGDYLSVSIQ* >Brasy4G399700.1.p pacid=40089088 transcript=Brasy4G399700.1 locus=Brasy4G399700 ID=Brasy4G399700.1.v1.1 annot-version=v1.1 MAPPLPYLVDEILEEIFLRLRTPAALVRASTACLSFRRIITGRPFLRRYRKRHPPPLLGFVDRHGFHPAQPPHPSAPLASALAAAADFTYSFVPSPNDERWRPVDARDGRVLLQEHRLGLKYFRMNLAVCDPLSRRSVLLPPIHKDLLVLEAEFPIEIVRVLAPVGEEDEDETSFRVFVFACYKTKLVAFVFSSVAPQWCIAASRSWTSLGTGSPHFLVALGCRGLSCLDYVHGCFYSTSPWMDKLLMINTQTMEFSTVNDRTGYHMKLRSLPGQADEVLARNDMPCRRRPGQPRSLPAIVVGREGTLEMFSLVGDHSPEGSFDLYHTTQLKNDQSSMEWQLENIIPLPGQYDYFTLGAAGGFLFLGATTEDQLDIARDSPVLLSRTDWDVDYFSLEVQTSKITKICRRKRQFFHYEDVYCYFGLPPSLSKPSI* >Brasy4G399700.2.p pacid=40089089 transcript=Brasy4G399700.2 locus=Brasy4G399700 ID=Brasy4G399700.2.v1.1 annot-version=v1.1 MAPPLPYLVDEILEEIFLRLRTPAALVRASTACLSFRRIITGRPFLRRYRKRHPPPLLGFVDRHGFHPAQPPHPSAPLASALAAAADFTYSFVPSPNDERWRPVDARDGRVLLQEHRLGLKYFRMNLAVCDPLSRRSVLLPPIHKDLLVLEAEFPIEIVRVLAPVGEEDEDETSFRVFVFACYKTKLVAFVFSSVAPQWCIAASRSWTSLGTGSPHFLVALGCRGLSCLDYVHGCFYSTSPWMDKLLMINTQTMEFSTVNDRTGYHMKLRSLPGQADEVLARNDMPCRRRPGQPRSLPAIVVGREGTLEMFSLVGDHSPEGSFDLYHTTQLKNDQSSMEWQLENIIPLPGQYDYFTLGAAGGFLFLGATTEDQLDIARDSPVLLSRTDWDVDYFSLEVQTSKITKICRRKRQFFHYEDVYCYFGLPPSLSKPSI* >Brasy4G068800.1.p pacid=40089090 transcript=Brasy4G068800.1 locus=Brasy4G068800 ID=Brasy4G068800.1.v1.1 annot-version=v1.1 METPAAPCEIDRLPEELLVHVISLTTPVAACCAAAVSRAFRAAADSDEVWSRFLPRDLPRFAKKELPKITPPSKKWLFLRLSDQPVLLPRKFIRMNLDKATGAKCFALSASTLRFPRVGSWKWSLIHLGSDHRSTRAKRFSQAVAFSCLRDLEIVGKMDRKLLSPNSTYAAYLVFKEAMEKYEFVGWDVQFEEASVAVGGRHSTRRVCLRGYVEVPRRHVMLKCCWEKEPRAVYDLAEDVVVSRRRADGWMEVELGEFCNEGGVDDDDGEVSMSLRATTPRNIISGLIVRTIEIRTKHESSLTRL* >Brasy4G367100.1.p pacid=40089091 transcript=Brasy4G367100.1 locus=Brasy4G367100 ID=Brasy4G367100.1.v1.1 annot-version=v1.1 MYTKCGGVGDAVRLFDWMACPNEVSFTTMMGGLAQTGSVDDALRLFARMSRSGVPVDPVAVSSVLGSCAQACASEFDIVHAFRLGQCIHALIVRKGFGSDQHVGNSLIDMYTKCMQMDEAVKVFDSLPNISTVSWNILITGFGQVGSYAKALEVLKLMEESGSEPNEVTYSNMLASCIKARDVLSARAMFDKISRPNVTTWNTLLSGYCQEELHQDTVELFRRMQHQNVQPDRTTLAVILSSCSRLGILDLGTQVHSASVRFLLHNDMFVASGLVDMYSKCGQIGIARSIFNRMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRGNGMMPTESSYASMINSCARLSSVPQGRQIHAQIVKDGYDQNVYVGSALIDMYAKCGNMDDARVSFDCMVTKNIVAWNEMIHGYAQNGFGEKAVDLFEYMLTTEQRPDSVTFIAVLTGCSHSGLVDEAIAFFNSMESTYGITPLAEHYTCLIDGLGRAGRLAEVEALIDNMPCKDDPIVWEVLLAACAVHHNAELGECAAKHLFRLDPKNPSPYVLLSNIYASLGRHGDASGVRALMSSRGVVKGRGYSWIDHKDGVHAFMVADDLQTDGGGSKMFSNKESTAGITDIHQERTSAG* >Brasy4G367100.2.p pacid=40089092 transcript=Brasy4G367100.2 locus=Brasy4G367100 ID=Brasy4G367100.2.v1.1 annot-version=v1.1 MYTKCGGVGDAVRLFDWMACPNEVSFTTMMGGLAQTGSVDDALRLFARMSRSGVPVDPVAVSSVLGSCAQACASEFDIVHAFRLGQCIHALIVRKGFGSDQHVGNSLIDMYTKCMQMDEAVKVFDSLPNISTVSWNILITGFGQVGSYAKALEVLKLMEESGSEPNEVTYSNMLASCIKARDVLSARAMFDKISRPNVTTWNTLLSGYCQEELHQDTVELFRRMQHQNVQPDRTTLAVILSSCSRLGILDLGTQVHSASVRFLLHNDMFVASGLVDMYSKCGQIGIARSIFNRMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRGNGMMPTESSYASMINSCARLSSVPQGRQIHAQIVKDGYDQNVYVGSALIDMYAKCGNMDDARVSFDCMVTKNIVAWNEMIHGYAQNGFGEKAVDLFEYMLTTEQRPDSVTFIAVLTGCSHSGLVDEAIAFFNSMESTYGITPLAEHYTCLIDGLGRAGRLAEVEALIDNMPCKDDPIVWEVLLAACAVHHNAELGECAAKHLFRLDPKNPSPYVLLSNIYASLGRHGDASGVRALMSSRGVVKGRGYSWIDHKDGVHAFMVADDLQTDGGGSKMFSNKESTAGITDIHQERTSAG* >Brasy4G042600.1.p pacid=40089093 transcript=Brasy4G042600.1 locus=Brasy4G042600 ID=Brasy4G042600.1.v1.1 annot-version=v1.1 MAAALSALVGAIAVLAVQHCSAAASKQGQGPVTYVFGDSMSDVGNNNYFQLSLAKSNYPWYGIDYPTGLATGRFTNGRTIGDYMADKFGVASPPPFLSLTSMAAAAADDGILGGVNFASGGAGILNETGVYFVEYFSFDEQISCFETVKRAMVAKIGQEAAEEAVNAAIFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLVATLDRQLKRLYGLGARNVAFNGLPPLGCIPAQRVLSPTGECLAHVNRYAARFNAAAKKLLDGMNARLPGARMALADCYSVVMDLIEHPQKHGFTTSDTSCCGVDSKVGGLCLPDSTPCSDRDAFVFWDAYHTSDAANRVIADRLWADMHSTAPGPAPRATAPAPGPARA* >Brasy4G280600.1.p pacid=40089094 transcript=Brasy4G280600.1 locus=Brasy4G280600 ID=Brasy4G280600.1.v1.1 annot-version=v1.1 MEGSNHGGQGQPQQHQYHQYPPHPHPHQQPEPYPYPYQYQQYPPPASHYLAPSPTFPAYSPAPPPQQQQFAHHSGPLQPYPPPPTTHQHQAYPPHPHSQQQHEYPPQPQHAYPPPPSPSPYGYDPSPAYPSPYPSPGLSPSSSFHQQQQPHAHASAPESPAASAPHYPIADVLANMRLSDRADYAPPAVSSPSVLPPSASFPSSGSSHGGGMQMVPYGAAAGGSQHGGVRASLKVVLLHGSLDIWVHEARNLPNKDMFSKRVGDLLGPRLTGSVSGKMSSASMTSDPYVTIQVSYATVARTYVVPNSENPVWEQNFLVPVGHEAAEVEFVVKDSDIFGAQLIGAVAIPAEKLLSGERIQGVFPVLEPNGKPCARDAVLRLSIQYIPVARLAMYHHGVIAGPDCLGVPNTYFPLRRGMRVTLYQDAHVPDGCLPDIWLDHGLRYQHGQCWRDMYNAISQARRLIYIVGWSVFHTIHLIRDEAEKVPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGFKMDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPRHPLFRTLQTSHKEDYYNPNFAAVDARGPREPWHDLHSRIDGPAAYDVLQNFEERWLKASKRHGIKKLAKSNDDALLRIERIPDIINIHDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRMNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLVPIEIALKIANKIKANERFSAYIVLPMWPEGNPTGAPTQRILYWQNKTMQMMYETIYRALKEVGLDDIYEPQDYLNFFCLGNREVDDSPSTTSTANNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSLEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGAIEDGFNHPESLECMRRVRHLGEQNWDQFLANDVTELRGHLLKYPVSVDRKGKVKPLPGCTTFPDMGGNICGSFVAIQENLTI* >Brasy4G263700.1.p pacid=40089095 transcript=Brasy4G263700.1 locus=Brasy4G263700 ID=Brasy4G263700.1.v1.1 annot-version=v1.1 MATMSTLATGLLPGTVITNGLTRRIQGSQRQRTQVNCISFKREISTKATLRSVRCNAAQTQSVQRKSSTATVKRSDPKGKTQAPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTIVLVLDYLKEFEKNLLARRQRAGDDTDDAGNGLLDQSS* >Brasy4G198400.1.p pacid=40089096 transcript=Brasy4G198400.1 locus=Brasy4G198400 ID=Brasy4G198400.1.v1.1 annot-version=v1.1 MVSGYGKSGELAAAREVFGRMPGRNLVSWSGMIDALVRAEEFGEALSVFDQMMGEGFKPDVVVLVSMLKACAHLGAVKRGRWAHQYLETEGFAGRQRNVMVETALVDMYCKCGCMEEAWCVFDGVSCRDVVLWNSMIGGLAMNGHGDRALELFQRMLQEGFAPNQSTFAAALCACTHTGHVDVGREIFKSMWHHGIEPQREHYGCLADLLGRAGSVDEAEAVLLDMPMEPHASQWGALMLSCQMHNDITVGERVGKRLIELEPHHGGRYAVLFNLYAVNGRWEDARAIRQMMVEKGAKKDAGLSLME* >Brasy4G360100.1.p pacid=40089097 transcript=Brasy4G360100.1 locus=Brasy4G360100 ID=Brasy4G360100.1.v1.1 annot-version=v1.1 MASSSSSSRCWRRALEEPPQGPPPPGVEAKGWADLPRDVLLAVLHMLGQAEVLTGPGQVCGPWRRAARDEPELWRRVELRFPAGLSSRADLSKVGRDAVRLCSRLTSGQCEAFLAQGAADCSVLSQLVDSAALSLRSLRLISCHGIYDSMRLVSVMTKFSLLEELELSNCWGAFPETLAAVGKACPLLTRLRLSSKRFIKREPIDVVGGEVTAIATTMPALRSLQLFANRLGNRGLVTILDGCPRLESLDIRHCFNVVMDDEICARCSWIEMLRLPGDSTDDYDLEFSSPEMDPPEARRPEVDFDED* >Brasy4G309200.1.p pacid=40089098 transcript=Brasy4G309200.1 locus=Brasy4G309200 ID=Brasy4G309200.1.v1.1 annot-version=v1.1 MVCCTEQGEYRPTSTYLYIISIIRYSGCSPIDLQLSQPNESTPNCIKMISSVTITQEVLTE* >Brasy4G355000.1.p pacid=40089099 transcript=Brasy4G355000.1 locus=Brasy4G355000 ID=Brasy4G355000.1.v1.1 annot-version=v1.1 MAAKVAAAAALLLLLLTFGAEAKLCQERSQKFRGPCKFNTNCGAICVTEGYTGGYCHKTVFQSLYVY* >Brasy4G174000.1.p pacid=40089100 transcript=Brasy4G174000.1 locus=Brasy4G174000 ID=Brasy4G174000.1.v1.1 annot-version=v1.1 MAGGGGVAAMDAAGAGNGAASGYSSQITFTVVMSCLMAASGGLIFGYDISITGGLTQMESFLQEFFPEIVEKMHNAQQDSYCIFDSQVLTIFVSSLYLAGVFACLVAGHVTRKVGRRNSMLIGASFFLAGAILNCAAVNIYMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFMADLVNYRANTIPNWGWRLSLGVGIVPAAVILVGAFFIPDSPNSLVLRGKVDEARASLRRIRGPSADVDVELKDIVHAAEEDSRYKTGAFRRIGRREYRPHLVMAVAIPLFFELTGMIVVTLFTPLLFYTVGFTSQKAILGSIITDVVSLASVTVAALSVDRYGRRSLFMLGGGILLVCLVGMTWVFGAQLGTDGEKAMPRPYAVAVVALVCLFTAGFGVSWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKYGSFAYNAGWVVVMTAFVILFLPETKGVPIEAMGAVWARHWYWKRFVKPVPAPAPDKLADGPA* >Brasy4G174000.2.p pacid=40089101 transcript=Brasy4G174000.2 locus=Brasy4G174000 ID=Brasy4G174000.2.v1.1 annot-version=v1.1 MAGGGGVAAMDAAGAGNGAASGYSSQITFTVVMSCLMAASGGLIFGYDISITGGLTQMESFLQEFFPEIVEKMHNAQQDSYCIFDSQVLTIFVSSLYLAGVFACLVAGHVTRKVGRRNSMLIGASFFLAGAILNCAAVNIYMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFMADLVNYRANTIPNWGWRLSLGVGIVPAAVILVGAFFIPDSPNSLVLRGKVDEARASLRRIRGPSADVDVELKDIVHAAEEDSRYKTGAFRRIGRREYRPHLVMAVAIPLFFELTGMIVVTLFTPLLFYTVGFTSQKAILGSIITDVVSLASVTVAALSVDRYGRRSLFMLGGGILLVCLVGMTWVFGAQLGTDGEKAMPRPYAVAVVALVCLFTAGFGVSWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKYGSFAYNAGWVVVMTAFVILFLPETKGVPIEAMGAVWARHWYWKRFVKPVPAPAPDKLADGPA* >Brasy4G012700.1.p pacid=40089102 transcript=Brasy4G012700.1 locus=Brasy4G012700 ID=Brasy4G012700.1.v1.1 annot-version=v1.1 MGVALAGPADPLPARVQNHGHLTTRSPPNPNPNPPSEKSPHSISPQISSIGNLRIMSEALVRRSKSSSNRISRISSSIRRTFRIGSSSRSGSGSGRAPWIYGPETLGLEDDQLQNDAVEYKTGALVPELLAKIESYYEQVKHRRVFDNGFCFGLLDPVSNIVVGVFIALLAVETPPTRRLRGTEDLIGDMNMRSLMGLVAFLTTLFPSLTEAKALWYLNKAELDALVAARLIIKHRGMEPSFGFTSDTTVAAVETALRCAAAAAQHPDPLQFASGWKSISPVLNKVATLLSGPYATFDENIFSHMQSILLQAGPRPSVFSLEISWDLASSRLAKLSPDPFIENKVRVYPERSTMRRMLLTTIHGYYLQALARLPKDKLLSRYHHSMLQAGHCYGPLDPVSNIILNTIWYSAAFPLTKALEIEAISTRGLLRIAVRSFYGLVSFMCNRWALDADQAIQLLQADGARLALADCNNLLDCGKNAAGIGSATVEEAYTAAAIAAQHPVPHLQASLLGPANQPLLWMASDILMPGGMLSREDTDMLQTCLVYSCKVQEQQPREPTMLNKWDYPRIDRMVNNFWTQHHRVVEMVKTALDQMAFDDDDDVASSICSDEVSKYELHVICGVNERVDGPVYKDKPSTCMYRSSHINFLATRSAGGPPTLFFAEFHGTKVGLCCPVKVPPPGTEQVRCFYCECHGSRIVHPASKSFCGSAFEEILLCGDELYSEWYSNDEIISHNHQVTYWADHVEDDWIYCSYSLEDDKDGEITEFLHNEILYAKFK* >Brasy4G020800.1.p pacid=40089103 transcript=Brasy4G020800.1 locus=Brasy4G020800 ID=Brasy4G020800.1.v1.1 annot-version=v1.1 MSSSSRPHAVLIPYPAQGHVTPLLHLAKVLHARGFHVTFVNSEYNHRRLLRSRGAASLSSLPATAGFRFETIPDGLTPCDNEDVTQDIPTLCTSLATHGAALLRDLLAKLLNDGETPPVTCLIPDGVMSFALDVAEEMRVPALVFWTTSACGFMGYLHFAELIERGIVPLKDESCLSNGYLDTELDWVPGMPGIRLRDMPSFVRTTDRDDVMLNYDNREAQNAYRAQGLILNTFEAVEEDVVDAFRGIFPQGVYAVGPLQAFAASASLAHPELATIGGNLWTEDISCLRWLDDGKKEPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAVLPEDFVSETKQRGMFASWCPQEEVLRHPATGLFLTHSGWNSTLEGICAGVPMVCWPFFAEQMTNCRYACTQWGIGMEIGSDVRREEVARIVGEAMDGDKGKEMRAMAEMWKEKSVAATEDGGTSSVDVGRLVEFLLAGSVPGS* >Brasy4G020800.2.p pacid=40089104 transcript=Brasy4G020800.2 locus=Brasy4G020800 ID=Brasy4G020800.2.v1.1 annot-version=v1.1 MSSSSRPHAVLIPYPAQGHVTPLLHLAKVLHARGFHVTFVNSEYNHRRLLRSRGAASLSSLPATAGFRFETIPDGLTPCDNEDVTQDIPTLCTSLATHGAALLRDLLAKLLNDGETPPVTCLIPDGVMSFALDVAEEMRVPALVFWTTSACGFMGYLHFAELIERGIVPLKDESCLSNGYLDTELDWVPGMPGIRLRDMPSFVRTTDRDDVMLNYDNREAQNAYRAQGLILNTFEAVEEDVVDAFRGIFPQGVYAVGPLQAFAASASLAHPELATIGGNLWTEDISCLRWLDDGKKEPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAVLPEDFVSETKQRGMFASWCPQEEVLRHPATGLFLTHSGWNSTLEGICAGVPMVCWPFFAEQMTNCRYACTQWGIGMEIGSDVRREEVARIVGEAMDGDKGKEMRAMAEMWKEKSVAATEDGGTSSVDVGRLVEFLLAGSVPGS* >Brasy4G134900.1.p pacid=40089105 transcript=Brasy4G134900.1 locus=Brasy4G134900 ID=Brasy4G134900.1.v1.1 annot-version=v1.1 MEGLALAIQWTTKLVLVQLDSAQVLAMASFSTKDRSWYMFLVNEIRLMKISRAQNIASHTLANVARLDGRTTVWLGSGLDELLAVLDNV* >Brasy4G023300.1.p pacid=40089106 transcript=Brasy4G023300.1 locus=Brasy4G023300 ID=Brasy4G023300.1.v1.1 annot-version=v1.1 MFAAKRTTRRSKFSSCFLQRNGQNLVPRQRLQPCTYARANPIARPLCEGRKEAGRVVSFGSRRKLTP* >Brasy4G119400.1.p pacid=40089107 transcript=Brasy4G119400.1 locus=Brasy4G119400 ID=Brasy4G119400.1.v1.1 annot-version=v1.1 MAFLRQEAPAWFLWLAFLGALRFAAFSFRLLAHHALCLRRPKDLRRVYGAWAVVTGPTAGIGRSVALELASRGLNLVLVDLDAANLREISDAVRSRHHAVQTKTVLFDLSLVSTAEGDEALRRLREAVEGLEVGVLVNNAGVAKPGAAYLHEAGVEAWVRMMRVNLWALTEVTAAVLPGMVERGRGAVFNIGSASSEAVPSFPLYTVYAATKRYVSQFSKSLHVEYRSKGIDVQCQAPFYVATRMVSRLAEARRVSPLLVVAPTPDAYARAAVRWIGHGPPLCAPNVGHQLLWCLAAAVPDSVHDWLRLREHLRHRALFHTARGEQ* >Brasy4G193600.1.p pacid=40089108 transcript=Brasy4G193600.1 locus=Brasy4G193600 ID=Brasy4G193600.1.v1.1 annot-version=v1.1 MGTASSRHGDDGTAGREAAREHTKRCRERRGLMREAARQRRQLAASHAGYLRSLSLVASTLTRFAVGEPLPVSDHTPPAVLVHRPVPVPSTPPPLLRSIEEQQPEEEAQEGVVGEAPFGGATTRTEGAGAGGGVQELRMEVRHRSLAEVAAGLEEYFVKASVAGDAVSSVLETSNAEFRGGSNSFLGVLCCLSAPSVAQDRIDSTHGGRRHSSTLQQLVAWEKKLYREVKARERVQIRHDKKLTELRDQEYSRKINVDIQKLKASWDRSRAQLTTASQAVDATASAISDLRDAHLARQALGLCHATRDMWKAMRQHHEAQCLIAQQLRGLSSRTSMDATTEIHHEATRALEAAMSAWHAALSHVAKHQRDYVHALHGWLKLTLTPVSSGGGAEAAAAASPVLAVELADFVDRWRQVLDRVHCAEVLKSIKGFAGAVRALYAHQSDELRVARRVRQYSRELDRKSRMLRQVERSYYDSYVPAGISLWRPPMWGDDPRQVHSARNEVAQRKEEVAACRRMLEDEMRRHAKAIDATRSAAVTGVQGRLPAVFQAMAAFSAALANGLEAVCRHDNRVQ* >Brasy4G212300.1.p pacid=40089109 transcript=Brasy4G212300.1 locus=Brasy4G212300 ID=Brasy4G212300.1.v1.1 annot-version=v1.1 MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSASNSNQGNGKFVPKSVMYFQTWRIGILFFAVGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYVVLNKTITVKVMVATAFIVFGNVFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFIVAFNQYLYRSGETILSDNAKDTGTYWRTLLPFSYAVVSGAVGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLCTAGFWMARLNEGLSLYDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIMMFVLGMTFVFIGISLLAPDDNKAADTKDGSSVTQGPMIDMNSEAHVSGNCRPRKLQMEETEVDDTDTFSTSVKVKAKRILSRAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGTGTDRSKYIPLRSTEWDNL* >Brasy4G016800.1.p pacid=40089110 transcript=Brasy4G016800.1 locus=Brasy4G016800 ID=Brasy4G016800.1.v1.1 annot-version=v1.1 MQQNGTMSSGSGANDGHAEQSALYQQQLSKIGEVRASLGQLSGKSALYCSNGSVARYLVARNWDVKRATKMLKKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.6.p pacid=40089111 transcript=Brasy4G016800.6 locus=Brasy4G016800 ID=Brasy4G016800.6.v1.1 annot-version=v1.1 MQNGTMSSGSGANDGHAEQSALYQQQLSKIGEVRASLGQLSGKSALYCSNGSVARYLVARNWDVKRATKMLKKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.4.p pacid=40089112 transcript=Brasy4G016800.4 locus=Brasy4G016800 ID=Brasy4G016800.4.v1.1 annot-version=v1.1 MSSGSGANDGHAEQSALYQQQLSKIGEVRASLGQLSGKSALYCSNGSVARYLVARNWDVKRATKMLKKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.2.p pacid=40089113 transcript=Brasy4G016800.2 locus=Brasy4G016800 ID=Brasy4G016800.2.v1.1 annot-version=v1.1 MSSGSGANDGHAEQSALYQQQLSKIGEVRASLGQLSGKSALYCSNGSVARYLVARNWDVKRATKMLKKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.5.p pacid=40089114 transcript=Brasy4G016800.5 locus=Brasy4G016800 ID=Brasy4G016800.5.v1.1 annot-version=v1.1 MSSGSGANDGHAEQSALYQQQLSKIGEVRASLGQLSGKSALYCSNGSVARYLVARNWDVKRATKMLKKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.7.p pacid=40089115 transcript=Brasy4G016800.7 locus=Brasy4G016800 ID=Brasy4G016800.7.v1.1 annot-version=v1.1 MSSGSGANDGHAEQSALYQQQLSKDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016800.3.p pacid=40089116 transcript=Brasy4G016800.3 locus=Brasy4G016800 ID=Brasy4G016800.3.v1.1 annot-version=v1.1 MSSGSGANDGHAEQSALYQQQLSKDDISDEAATGKIYRSDYFDKSGRSILVMRPACQNTKKAEGQVKYLVYCMENAILNLPPGQDQMVWLIDFAGFTLHNISLHVTKLTADVLQGHYPERLGVAILYNAPRFFESFWKLASPLLEKKTKNKVKFVYSDSPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDTKMPLFWSSENPALASEPYLMNNHGSQQCSSGLKTEETASDKGEETETASDKGEETETASDKREETVTASEKSGETKTALEESGEAETGSVKREETKTGFEKGETETGAEKKEETKTESSTVKLTSSPGEGIASPDKSGSSSDP* >Brasy4G016700.1.p pacid=40089117 transcript=Brasy4G016700.1 locus=Brasy4G016700 ID=Brasy4G016700.1.v1.1 annot-version=v1.1 MSTHNHGNVPRDIPKPASADELVRNGKKKKSFMSNIFRKKGRSGTGSSEKKLLSRRDIVFDFEDKCGERSADAAADEFLDASPTVRKSFSDRHCTTRIESLTLSCLDSPNRQNVDTREYRVFVGTWNVAGKPPNSSLNIDDFLQIEGLPDIYVLGFQEIVPLNAGNVLVVEDNEPAAKWLALIYQALNKPQQQQQQQQQQQQQDQPSSGDELSPTESSSIATAAAAASASTSTSHHLRQNTRDRDPSIPKSSSGGSLLFFHKPSLKALSKNYRVNSALVKTCTCMADPSVMQRRARDMRDFIYRVEASADDLDAAATTIAPEGDPTAAAAARAGAGNGSGMNYCLIASKQMVGIFLSVWVRKELVQNVGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNADVAEILKSTQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVALSYDETRALMEENDWDTLLENDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNTDSYAGETTKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVVEADVDNGSRIRKGYSTLDSRIHCESPSPIPQRHSFYDF* >Brasy4G016700.2.p pacid=40089118 transcript=Brasy4G016700.2 locus=Brasy4G016700 ID=Brasy4G016700.2.v1.1 annot-version=v1.1 MSNIFRKKGRSGTGSSEKKLLSRRDIVFDFEDKCGERSADAAADEFLDASPTVRKSFSDRHCTTRIESLTLSCLDSPNRQNVDTREYRVFVGTWNVAGKPPNSSLNIDDFLQIEGLPDIYVLGFQEIVPLNAGNVLVVEDNEPAAKWLALIYQALNKPQQQQQQQQQQQQQDQPSSGDELSPTESSSIATAAAAASASTSTSHHLRQNTRDRDPSIPKSSSGGSLLFFHKPSLKALSKNYRVNSALVKTCTCMADPSVMQRRARDMRDFIYRVEASADDLDAAATTIAPEGDPTAAAAARAGAGNGSGMNYCLIASKQMVGIFLSVWVRKELVQNVGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNADVAEILKSTQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVALSYDETRALMEENDWDTLLENDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNTDSYAGETTKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVVEADVDNGSRIRKGYSTLDSRIHCESPSPIPQRHSFYDF* >Brasy4G305600.1.p pacid=40089119 transcript=Brasy4G305600.1 locus=Brasy4G305600 ID=Brasy4G305600.1.v1.1 annot-version=v1.1 MTATQQAFAAAPAAPPHFVLVPLPAHSHLIPMVDLARLLASRGARASVLTTPLNASRLRGVADQAARAEPKLLLEIVELSFNPARFGLAQDCQNADKIADNTQLLPFFLALRLSLAPRPSCIVSDWCNPWTAAVAASLGVPRLFFHGPSCFFSLCDLLADAHGLRDQESPCSHHVVPGMPVPVACAKARARGFFTSPGCQGLRDEAMAAMRASDGVVVNTFLELEAESVARYEAALGKPVWTLGPFCLVNNNPGGGVSESAITAWLDAQGAGARLRRLCQLRQRRAEASEAAVRGRARAGGLRRAVPLGGEGGRAGLAGREAMEMRTTGRGLVVRGWAPQLAILSHGASIAHGVPVVTWPHFADQFLNEQLAVDVLGVGVPVGATAPVMILYDDATTTVPGAAGGRGARGVGAHGRWGGGGAEEEEGQGVRVESSRGHGRRRRLVREAYAADRKRVSFGHGGGGRERWNTVAV* >Brasy4G439700.1.p pacid=40089120 transcript=Brasy4G439700.1 locus=Brasy4G439700 ID=Brasy4G439700.1.v1.1 annot-version=v1.1 MAALVLLLVALSAAEAAAGSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGETPLTVRECNTNWFGGFSVRMEGSPDMNRCTARLLQGTPHCPGGSASTSPPQQRDLTLAFRMLGLALYTVQPLLSHPDHPMDFCPAAAPPPTFPDPTAAAAAPSSYAPVSSPPAPVVPFWRRPRPRRLPPIWRRPPTIPQERPEPDTKPKPADAQLPPPPPPPPPTTPVGSACTYDKWAVAEHRCHWKVVTPNTTVAMAFGPLAAQRYGADLTLRDALDGRGDMYRTLLREATAALLNAYYNPPVTAAASSFLYPTTASVIDHLNGALLSASTQRVLIEGARFRRANAGGGGPAGRTKLPCDFTPCNTNATTTS* >Brasy4G284600.1.p pacid=40089121 transcript=Brasy4G284600.1 locus=Brasy4G284600 ID=Brasy4G284600.1.v1.1 annot-version=v1.1 MPLRASETFSSRATLSSPYPDSLPSPFTSGSRARVRAPPGRRLRSAPAGRISSVPPARHRTTSPISPMAAAAHMKLVAPSFSSSSHPPSPPPTHAVCSVPPAADARPRPPQALRLSSSPTPASMPPVRPAPPRSPHRALPDLARRAGAGACLSTSTSSSGPSLLHPACLSASMSSKAPPHISSSPFA* >Brasy4G289500.1.p pacid=40089122 transcript=Brasy4G289500.1 locus=Brasy4G289500 ID=Brasy4G289500.1.v1.1 annot-version=v1.1 MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLENDPTLEGLIVAMSLIGATIITTFSGPVSDWVGRRPMLILSSILYFLSGLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPSEIRGQLNTLPQFSGSGGMFLSYCMVFGMSLLPLPDWRIMLGVLSVPSLVFFGLTVFYLPESPRWLVSKGRMAEAKKVLQRLRGREDVSGEMALLVEGLEVGGDTSIEEYIIGPASDQADDHVVDGDNDQITLYGPEEGQSWIARPSKGPSMLGSVLSLASRHGSMVNQSVPLMDPLVTLFGSVHENMPQAGGSMRSTLFPNFGSMLSVTDQHPKTEHWDEENVHRDDEEYASDGAGGDYEDNIHSQLLSTTNIDGKDIAHHGHHGSTLGMRRRSLLEEGGEAASSTGIGGGWQLAWKWSERQGEDGKKEGGFKRIYLHQEGVPDSRKGSVVSLPGGGDATEGSGFIHAAALVSHSALYSKDLMEERMAAGPAMMRPSDAAPKGPSWKELFEPGVRRALFVGVGIQMLQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLVVLVVSNVINLSTVPHAVLSTVSVIVYFCCFVMGFGPVPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCCIAFVFVYLKVPETKGMPLEVITEFFAVGAKQAMTNKA* >Brasy4G304700.1.p pacid=40089123 transcript=Brasy4G304700.1 locus=Brasy4G304700 ID=Brasy4G304700.1.v1.1 annot-version=v1.1 MRPSPPAISTPRLDSGPATSAPRHLHAATGTRPSPSRPATGQPSQNRPAAANHAGGAFEANGSRRRGTRG* >Brasy4G150200.1.p pacid=40089124 transcript=Brasy4G150200.1 locus=Brasy4G150200 ID=Brasy4G150200.1.v1.1 annot-version=v1.1 MPDLSTVCPTTFSELSADAAVDLVGGDAAAVAPAAATEPASGGDGAAIIPVECRLSGRVRAFDVEGELAVTACPEVRRGGNKSSAPSPSSAAAAPAPPSGQLLEDHVSGGAVALTACPEVLPVGENKSSAPLSSSMAATTSPAFGRTSEDYVVACPEALRGGGNKSSVLPHSSAIAQASAGQTLEDYVNDGAVALTACPEVRCVGSNESSAPPFSSAMPALAPATDYVDEGAIAVTTYREARCSGAKKSSSALLPLSAPVAPTPALGRVLEDYVKEGTFSVTACPQSQRSSGKKSSLAAPPSSVAAIALTSGRTLEDHAKEWAARKVASGAVLHHCVLPFLTGAPKAVECRLCNKIIYPLEEIKCSVSRCEQMFHLTCAVENAANLTTESFKCPQHGCMVCKQKTFFWRCGRCTVAAHTKCAPWPLIHLKDDQGSAICWRHPSNWLLQNENADLTNNIEEVFCRLPLPYVNEEFNIDSTIRDFTATVCKPPSYTHIRRNVYLVKKKRADSSAETGCTNCRADSVCKDDCECRGLSMSCSKSCHCSDLCTNKPFRKDKKIKIVKSEGCGWGAIALEPLEKSDFIIEYVGEVIDDATCEQRLWEMKRRGDKNFYMCEISKDYTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRFIEVGEPLTYDYRFVHFGEKVKCHCGAKSCQGYLGSQLKNPTQDALAAASQLLTQGSFSPSRLKPETHLLPWTNCIEVPFNLRSKTKIDRLCWGRKRQRTSLVDPSEGSMQTSDIEAAASVTELSRGGAAGS* >Brasy4G202900.1.p pacid=40089125 transcript=Brasy4G202900.1 locus=Brasy4G202900 ID=Brasy4G202900.1.v1.1 annot-version=v1.1 MLQAPGKGMQGGYYVYSRRALLLAPMYDHRESQQVVVAAAGQEAPASGDISSGGAPTAPGSGSSFDANVVMILAVLLCALICALGLNSIVRCALRCSGSSRAAALSSSPAGEERQAGMRRKALRAMPTLVYSASASAGAGAGAGSPSCAICLADFEPGERVRVLPKCNHGFHVCCVDRWLLARSTCPTCRQPFFGAPRKASACAEGAGAAPARSSFLVPLRPEGFVTSYDF* >Brasy4G366400.1.p pacid=40089126 transcript=Brasy4G366400.1 locus=Brasy4G366400 ID=Brasy4G366400.1.v1.1 annot-version=v1.1 MPPPTTAPAAAAAVTPEHARPPRRVARVNPRSDRFSALSFHHVELWCADAASAAGRFSFALGAPPAARSDLSTGNTAHASILLRSGSLAFLFTAPYAPAPDSASIPSFSASAARQFTADHGGLAVRAVALRVSSASDAFHASVAAGARPAFPPADLGQGFALAEVELYGDVVLRFISYPENTSTEIPFLPGFESVSNPGASTYGLTRFDHVVGNVPSLAPVAAYIAGFTGFHEFAEFTAEDVGTADSGLNSVVLANNSERVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLRTLREMRARSAMGGFEFLAPPPPNYYDSVRRRAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTLFLEMIQRIGCMEKDEIGREQQKGGCGGFGKGNFSELFRSIEEYEKSLEAKQSAVVQES* >Brasy4G060700.1.p pacid=40089127 transcript=Brasy4G060700.1 locus=Brasy4G060700 ID=Brasy4G060700.1.v1.1 annot-version=v1.1 MAPSPAGAAGPTFEDLERDLQAVLMDQNHGSSAEELNMYRSGSAPPTVQGARAAVGTLFSAAPPPHVDRFGGGSSSGGVGDMLSEEEILSHPAYLQYYYSNEHLNPRLPAPMVSKEDWRTAQRFQAVSGGIGDRRRRPSDVASGGSLFSVQPGAHEGNGMEFLLNDGMSRGERNGLARQQSSEWLGQGTDGLIGLSDVNGLGSRRKSFADALQENISSPAAKAGHLSRSNSRNAFEGPSPTRSSGSSKAQLQSRSESMNGYRSGATSPSLVRVQSLGSSISQTFASAVGSSISRSTTPDPQLIQRAPSPCLPPVGVRMAKADKKVEGAGVASHHNHDGSDTATVLSAMSNLNLSGNNKMANLETEVQNHIYQNFGDQRDMLFNVPNEHIQFPQQKLVQSADVESLNTPEYAVFPNGSSNFSNSNMNKLAAESKSKFPTQSNHGNAHKKGSLLSPTGSVYLYQNLNGNSSNVDVSGRHPKASARSSGSSMMNNHLNTDDEYANLLSNQGGPGFQGQPMDTVYAHYLQANSDSPLGAAASMSPFRGSNYPGSGHLGGPGFSKAYLGSLLAQQKLQYGMPYLGKSGGLSPTLYGSEPAYGMEMAYLSSPTSSPFISSPQGHVRQGDRLTRIPSMARSTTGGTVGSWSSENGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVKFSSDQYGSRFIQQKLETASTEEKNTIFPEILLQARTLMTDVFGNYVIQKFFEYGTESQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVGVEQQTQMALELDGSIMKCVRDQNGNHVIQKCIECIPQERIQFIISAFYGHVVDLSTHPYGCRVIQRVLEHCDDESTQNAMMEEIMQSVVPLTQDQYGNYVIQHVLQYGKPEERTSIITQLAGQIVKMSQQKFASNVVEKCLSFGSHEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGVSSSSCRD* >Brasy4G089900.1.p pacid=40089128 transcript=Brasy4G089900.1 locus=Brasy4G089900 ID=Brasy4G089900.1.v1.1 annot-version=v1.1 MAGEGEEDEAAVIELQLEQHLEEQRSSLAAVDEALAADASNADLLEVHKELLAAIKDAEEGLLHLKRSRLVKQIDETFPNQGSASQAIDVAVEPIDPEDVEPEPLMPQEFPVGSKCRFRHNDGRWYNGCVIGLEGSSDTRVSFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVISTSSLKRFTPTRWEQSLAGSNILAASGHHSGLWRRAELESWDDDSKLGQVVFQDDGSTARLSGDSLSIPEYADVSDEDDEGGSSEGELEFSEDGDQEDESIHRGVGLVESTNSSGVQSETVIFAKWEQHTRGVASKMMAKMGYREGMGLGVSGQGMVDPIPVKVLPPKQSLDHAVAATTKEDGRSISRDKKRSRGGKRKRDKKFAEMARAAKVEEEERSVFSFMNNQLVNQDVSDGSANKARKESSGQASGHAKKEDRRSLLAYDDEVKDLKSQVGKLEEMVKRNRKDKAVHDAASRKLEQTRKALADAEATHASATNAVTRKEKEKKWLKF* >Brasy4G275900.1.p pacid=40089129 transcript=Brasy4G275900.1 locus=Brasy4G275900 ID=Brasy4G275900.1.v1.1 annot-version=v1.1 MDDSGGADPARQHQYQHPHPHQHQQLSPQQQGGAAPVPRSPTPLDLAAYHRRLSASPRPPAHPQACARLPSPYGGGGGQILSGGGHARSLSQPLFFSLDSLPPPPYADLAAAARSPPSSTSDPPPFGLPPRGHRRSHSDIPFGGFSQLSPPLPPPAPVKRELPSAAEGGRSGAGGDDAALYDLVNAYMDLDGMDALNSDDRDDSRASGTRAGSAAESSENEAESQSTSAQRKDAGGKSRHCRSLSMDSFMGKLNFAAGDESPKLQLPSSSGGGLSRSGSGSLDGGGAAALFGKEFANGEFSEAEKKKIMANQRLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSGGLATQNNELKIRLQAMEQQAQLRDALNEALTGEVQRLKLATGEMSDARMSKMGLQQQMNSQLIQMQQLQIQQQQQQLQIQQQQQPSQTQTQTQQAQQQQQSQSQQSS* >Brasy4G134000.1.p pacid=40089130 transcript=Brasy4G134000.1 locus=Brasy4G134000 ID=Brasy4G134000.1.v1.1 annot-version=v1.1 MENTTTSHSSCISQRAAEETAASMSAGESSWAMHFAGFLASSSAPHSNREQVDRQGGVLSDSSFSSGFTSSFDSLGDEDDDDSFITSDLMCEDEDDDSLQDTACSSAAGPKVITSMNDMYMKSMVTMGTKEINNAQLAKYFLDASSRQQATGAVQGVTGDADCNTKQPYDCNDLRKKGLCLVPLSMLIHYLG* >Brasy4G426200.1.p pacid=40089131 transcript=Brasy4G426200.1 locus=Brasy4G426200 ID=Brasy4G426200.1.v1.1 annot-version=v1.1 MEKESKEKELSMSSLLRMKPAATKPKKPAGKKIPPAVGKTALGKKKQAANCCVAGAGC* >Brasy4G409100.1.p pacid=40089132 transcript=Brasy4G409100.1 locus=Brasy4G409100 ID=Brasy4G409100.1.v1.1 annot-version=v1.1 MPPKRYAAPRSTQAGDAAAKKPKEKERPPGMSNADWAADCTRRSVESASRREQERKAKKRNADLARHMEAQRVAVSAQMAANKAGIAAPRPPSGQHWSSDSQGSSSSSPSPSGISPVSPHMPHDNHGNATPSLSRFSPDYPDTDPLGGFNHNTFAADPLGGFNPNAFASPPLRREPLSYGGYSPSASFQQFPADCSQPAPNPFGGMSQGDTIMADMINDGSQHAHYTYTQEEEPYATEDAEEGAADGTEEPIVAEPRGKKKAAAEKKKAGGGGQGPKWTSKEDECLAEGWMIVSMDPFTGANQSGVTYWRHVKTAYDERRRIDRQFASVTHDRKESGLSHRWQMIQQACNKWHGIQEEVRQRPQSGSSAHDQMVAMFTAFREDNDGVEFKFIHVFARIETCDKWTKTRNALSKSGTYDPNAAPPAAAEGRPIGHKKAKAMRDAAPATEPAKREELAAKREEVAASRWATVIKKQDDKLQILKANGAAKKRREDLLILTCDTTGMDTEVKAWYDDQRRLILAEARTPASAPATAAPATSTPSAPSPPETATLATSTPPAGSEVPSTPADDEGVE* >Brasy4G252600.1.p pacid=40089133 transcript=Brasy4G252600.1 locus=Brasy4G252600 ID=Brasy4G252600.1.v1.1 annot-version=v1.1 MSRTGSMASLLTSPWDPSASATSPRSSTNGRPGRGGARPARALAATRRPSPSGASPASWGRCSVSAAARRRRAPRSGPPLAVSTIPLHSSCSAPGCHLATTDQVSSPPQRQSPPRNAMICRHIYLSSRSVPLPRALRRPVEQSPLKHQQSLELLQQDHGTAVPKPP* >Brasy4G005500.1.p pacid=40089134 transcript=Brasy4G005500.1 locus=Brasy4G005500 ID=Brasy4G005500.1.v1.1 annot-version=v1.1 MAEAAPAAKRLARTGSLSGVWWKLGDSAGPAAVERRLRAIGDEEAGVRAAIHRRQAAARLVRRRIAFASLSLEVMAFIHAYWTARRRRMAAGWHSKKKLLLLPLLAVPAVATVALAALARFQKMFDARDEQKLKTLVAERKAKIGQFRGSHHNMQMLIQKYDPDADGATGSSSADPIAAAAAAKLKRTHSRLSFHIGDE* >Brasy4G191200.1.p pacid=40089135 transcript=Brasy4G191200.1 locus=Brasy4G191200 ID=Brasy4G191200.1.v1.1 annot-version=v1.1 MRLPLSPRLAAVPVMPPRRGGRRTAGPPLPPIPPVLSSTPPPTPFSETPKLETPQQDPPPARRRLPLNGDAQPEEWHPLPLSAGDLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGSHLLSLSHLPDGRLAFLLHNEDPATSSCSVATARAAICDYLNATVPLADLWRQFTAADARFAEVAARLGGGGARVLRQDPVECLFQFLCSSNNNIARIEKMVWTLAGYGERLGEVGGFVFHRFPTIERLAQVSEQELREASFGYRAKYIVGTATELMAKPGGGEKWLALLRDRELPEVIEGLCTLPGVGPKVAACIALFSLDKNQAIPVDTHVWKVATQYLLPELAGKSLTPKLSIVVADAFVAKFGSYAGWAQNVLFIGQLPAQKLLAAEVPSDATKPAKRTRGGKTVEIQT* >Brasy4G233300.1.p pacid=40089136 transcript=Brasy4G233300.1 locus=Brasy4G233300 ID=Brasy4G233300.1.v1.1 annot-version=v1.1 MEPSRGTCRASLLPLLLIPFLFALSALGPGRAAATGVFQVRRNFPRHQGNGPGGEEHLAALRKHDGRRLLTAVDLPLGGNGIPTDTGLYFTQIGIGTPSKGYYVQVDTGSDILWVNCISCDSCPRKSGLGIELTLYDPSASASSKTVTCGQEFCATATNGGVPPSCAANSPCQYSITYGDGSSTTGFFVADFLQYDQVSGDGQTNFANASVTFGCGAKIGGALGSSNVALDGILGFGQANSSMLSQLTSAGKVTKIFSHCLDTVNGGGIFAIGNVVQPKVKTTPLVPGMPHYNVILKTIDVGGSTLQLPTNIFDIGGSRGTIIDSGTTLAYLPEVVYKAVLSAVFSNHPDVPLKNVQDFLCFQYSGSVDNGFPEVTFHFDGDLPLVVYPHDYLFQNTEDVYCVGFQSGGVQSKDGKDMVLLGDLALSNKLVVYDLENQVIGWTDYNCSSSIKIKDDKTGSIYTVDAHDISPAWRFHKSLFSLLVTVLCSYLML* >Brasy4G239900.1.p pacid=40089137 transcript=Brasy4G239900.1 locus=Brasy4G239900 ID=Brasy4G239900.1.v1.1 annot-version=v1.1 MLSMSFFDLAYDAINAIGFQKGNLWFFAGALLFSAIADVFPEPECNPVDENDKQTTGNTARKELMMRHRRRVIFSVIVTAIVAGVSLQNFPVGTAAFLGTAKGFRVGLNLVIAIALHYIPEGIAVALPAYFATCSKWQAFKLATLSGFAEPIGVIIVAYLFPSNLNPEILEGLLGLVGGVRHF* >Brasy4G420400.1.p pacid=40089138 transcript=Brasy4G420400.1 locus=Brasy4G420400 ID=Brasy4G420400.1.v1.1 annot-version=v1.1 MMGGGGDPVEEFLVGGAGGDGEDLAVFCDRGLGIEDVSGDACGLEQSNSGKRGRDEPSSSGPKSKACREKMRREKLNDRFLELCSVMNPGNQGCLEVCSASNPSKQAKLDKANILSDAVRMLAQLRGEAEKLKQSNESLRETIKDLKVEKNELRDEKASLKAEKDRLEQQVKATSVAPTGFVPHLPHPAAFHPAAFTPFAPPYQAPTDKGAPIPAAFPGMAMWHWLPPTAVDTTQDPKLWPPNA* >Brasy4G358500.1.p pacid=40089139 transcript=Brasy4G358500.1 locus=Brasy4G358500 ID=Brasy4G358500.1.v1.1 annot-version=v1.1 MPPPAAGCVVAAIVALLVLLGGDAVTGIRVDAAMMIRQPSESVPATFREAPAFRNGAECAGSGSGCGGERVNVAMTLDANYLRGTMAGVLSILQHTACPESVAFHFLATRLDGDLVGMVRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPPDVRRVIYLDSDVIVVDDIRTLFSVDLAGHVVGAPEYCHANFTNYFTDAFWTDPALSGTFRGRRPCYFNTGVMVMDVDRWRNGGYTRRVEGWMAVQKQKRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWHRLDARRPCAVDYLWAPYDLYRPSSPVLEE* >Brasy4G273300.1.p pacid=40089140 transcript=Brasy4G273300.1 locus=Brasy4G273300 ID=Brasy4G273300.1.v1.1 annot-version=v1.1 MSSRKRRGKKSRPPWPNASPARHPPVRHSGQFAPTLAPPVAARPPRPILSRPQMQLLMLQKLSHILRPSISLWCFRWRQLLPSPLPRAHGRAILLHRYGFGLLLLFSLPPPLSLLIGISQFIPQCRLGTLPPSLPPRLLIMDGTNLLLKISLKYLEFLIQILGIGTLSPSLK* >Brasy4G229200.1.p pacid=40089141 transcript=Brasy4G229200.1 locus=Brasy4G229200 ID=Brasy4G229200.1.v1.1 annot-version=v1.1 MEGEAKGGSIESGSRKRDKLDSDGAKRGSSRTTNTGSIMYKFLRLKQALETKIGRTIEKEMGLKQTERDSIIVLVGQGRRRLKDHVEEFALALKTSLLLPEVDEGEKKQFVEAKIQEFVSDLSGLRMVKEDQGEEEQEMMCGGEEQVAAAAGRPKTEELEEFLERRRKVGVELQTADDFLERSRNVEVQTGEKELDNRRIMDKGEEKQFVEAKIKDFVSDLSRQRMEKIGKEEDEGGEMQEAEVPEFIRGFKVGVPRAEDCLERSRKVKLQTAEKEFLERHGNCKAVVEGAVVLEEEGPTDHLATEIDEESFAGYREGWEITWGNGHGHSFENLTLLSSMLFTHCTPGSIPMDAVVGKTFQVYSVRVMETKGFKWPLNVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSCLHLTGPCRAIVSHEPVDIEIQLRVKGAKKSEDRPLMSHVFTFRGEYCHHLRSSLVDNRICTIELSYQQLKESVQATIFGVHVAEPSSFEFGVRVVCSSLSQDPQEVVGSEYQEVVLFDSKYGEMPMGNGYLNLSRQVVSVELQGLLRVHIQAYTPSGGIAACGVVFVTPKTCNTSQHRCVVGDSIVEFTVAWSLLVEDEMLVLMNGCVDPYEVLPPMHPDVERILGLSESSWG* >Brasy4G374500.1.p pacid=40089142 transcript=Brasy4G374500.1 locus=Brasy4G374500 ID=Brasy4G374500.1.v1.1 annot-version=v1.1 MAELRHSTAAAAARASNSPAKRDSDASASSSPFSAASTARGRDDDDDGKDAHRSSPLLPHHHHHHKQLLPSPFRSLIALEDPRAPTASLSYRILLAVLALLLLAALFSAPSLWSRLNTPYLCQKEGITLHCPQTKEPLSLWENPRAATTSWKPCAERRSDEPSDVRPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMDTTLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIIRDIPDWFAEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKTIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLATMMRNRTGSGNPYMALHLRYEKGMVGLSFCDFAGTREEKVMMAAYRQKEWPRRYKNGSHLWPLALKKRKEGRCPLEPGEIAVILRALGYTRETQIYVASGQVYGGNNRMAPLRNMFPNLVTKEELAGAAEMVQFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIMGARRYSGRHRLKSIKPDKGLMSRSLGDPYMGWASFAEDVVITHQARAGLPEPTFPGYDIWENPLTPCMCRA* >Brasy4G026800.1.p pacid=40089143 transcript=Brasy4G026800.1 locus=Brasy4G026800 ID=Brasy4G026800.1.v1.1 annot-version=v1.1 MVPRLDCGGDGGFFQLPNTEQENSLFLRALISVVSGEDATPAAAAVPAPHLEQQPSPPPPVHGAAACARCGVDGCPGSSCCDQLVAMTGSSSEGETEAEEHCSPAASFGARKTTMKKTRQNGSSKKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAADAARAYDVAALEFRGRRAKLNFPADAAVSMSSSASAQPQSFRENYCGSNASSSPVPVPEQQGLIMRPTVAREQEIWDGLQEIMMLDDGSFWSKP* >Brasy4G114900.1.p pacid=40089144 transcript=Brasy4G114900.1 locus=Brasy4G114900 ID=Brasy4G114900.1.v1.1 annot-version=v1.1 MEVGTWAVVVAAVAAYMAWFWRMSRGLRGPRVWPVLGSLPGLVQHAEDMHEWIAGNLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFLDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILADASAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAQGLPHNEFASAFDRATEATLNRFIFPECLWRCKKWLGLGLETTLTRSMAHVDQYLAAVIKARRLELAGKCGDAAVHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVEHKIVRELCSVLAASRGAGGERVDPALWLAEPFTYEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKDGLRMEVRPRDLSAVLDEPCGAAAAAKRATAPCA* >Brasy4G274400.1.p pacid=40089145 transcript=Brasy4G274400.1 locus=Brasy4G274400 ID=Brasy4G274400.1.v1.1 annot-version=v1.1 MLNLYPRASASYADDEDNSHVVPGYRVFYGIAVLCVTILLFCVLVASASVAVWKAFAFAATAALLLGILGCFAPSPRAWVRGRGRPAGALIALSAPDGRTRAPVPGRCACASLPAAHLPPAFAYVCPPLESVDGKQEREQAMCCSVCLEDVRGGETVRRLPNCGHVFHVKCIDMWLHSHRTCPMCRCAITPPPMVGEAKAVAVAVDVAPESSDESLPPV* >Brasy4G230400.1.p pacid=40089146 transcript=Brasy4G230400.1 locus=Brasy4G230400 ID=Brasy4G230400.1.v1.1 annot-version=v1.1 MDPVNRSHDPLPLESSFVAVSRDSLPAARVTTANLNARKRGPQGRGRGNNNEAEASIDAGQGRGRGRTTSAAQVRGRGRKNGGTNGRGRGRTKAGAAVIDAQATGADAGAAVIDAQATGAAVIDAQATGQSDGGQVRGRGSSNAGNNIASASAGGGQRRGGGSTGGQRRGRSAVGATARAEANARGKKRTYNTGPGSLHFLLFGDDQQGQQAQANPDLNPPGGEEEIAVTQNAPNDEN* >Brasy4G124600.1.p pacid=40089147 transcript=Brasy4G124600.1 locus=Brasy4G124600 ID=Brasy4G124600.1.v1.1 annot-version=v1.1 MQGKKVREWTFPNDSLYKTIQEYTVEHHNHLQFSWGKTWRDDLPGWKAAASLESTDPWRSWSAACAEIKERKRRPRHGRDRPGPPAGDAGGGDREAVAERRGRGGRHGVGPAGEVAGGADGAWPGRRAADRLRLHRHRHEDPAHHGAGADAQRLRGPDRLPLAPGVDAAARPPRRPVPPLHPPGEHRRPDLRRRLLHHRVRRLCWFGCSC* >Brasy4G307500.1.p pacid=40089148 transcript=Brasy4G307500.1 locus=Brasy4G307500 ID=Brasy4G307500.1.v1.1 annot-version=v1.1 MAVLASLLRRRNSSHADALLRTLCTTTAAPTEPTLSASAAKTRLRREYDADRAVSLLDAIDTASLSAASTRHALSLAARRLSRAGRFADAEALLSSHIPASPTEPHLSAILCSYASAALPEKALEAFRSAAPSLPSPISSMPFNALLSAFVRCRRHRRVPVLFAELSKEFSITPDATSYGILVKAHCMVRHDAKAHEVLAQMREEGISPTTTIFTTMIDSMYKQKKIEEAEALWKQMLESGCKPDQATYNVKAMNFGLHGKPEDVLQVMAEMEAAGVKPDTITYNFLMTSYCKNGKVEDAKGLYHSLGDKGCSPNAATYKHMLAYLFAHGDFDAAMEIFRESLSKHKVPDFKTMKGFVEALAKGGKVAEAKDVISKVKKMFPENLLSGWKKLEKELGLIADNGDATSQAECAAEEPLSEAEPATAEALELEDPDSEETVVSEESAEDETPAPETEASSDEEVPRGPA* >Brasy4G276700.1.p pacid=40089149 transcript=Brasy4G276700.1 locus=Brasy4G276700 ID=Brasy4G276700.1.v1.1 annot-version=v1.1 MEAALVGACVSGAMKALLPKLATLLEKKYGLPKDVKKNIASMRDEMTSMNALLVKLSRIEQLDEQQKDWRDKVRELSLHMEDCIDVYTDEIDHGDVKMGFKRKLTKLTMGFKLRMWSQGNVQTQHPIKHYTGTS* >Brasy4G287500.1.p pacid=40089150 transcript=Brasy4G287500.1 locus=Brasy4G287500 ID=Brasy4G287500.1.v1.1 annot-version=v1.1 MSSGPRRGVDSPSKPREEGDDDDVDSATGRRRVTYSREFLLAVGGSEACRGDKLPVGFDASVSLLSLWCGRMLSLSDQLGVGPGEDANGKGARSSAAWKWVPRSAASRGGHGHGAADDSSERAREPGSFQTGARSTGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPRSISLGPAVPDGPLTPTDERELGKHMSKDNSVPPLREQRPTDHCTPQQAPTPGMASAASFGASATAKISVDASLTGVIIGRGGVNIKQVSRASGAEVCIRDHESDTNLKNVELQGTFDQIKNASNMVMELIGIGGSDPSLSKDPAAGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVSELRKLVVSPRDRSSGW* >Brasy4G287500.2.p pacid=40089151 transcript=Brasy4G287500.2 locus=Brasy4G287500 ID=Brasy4G287500.2.v1.1 annot-version=v1.1 MSSGPRRGVDSPSKPREEGDDDDVDSATGRRRVTYSREFLLAVGGSEACRGDKLPVGFDASVSLLSLWCGRMLSLSDQLGVGPGEDANGKGARSSAAWKWVPRSAASRGGHGHGAADDSSERAREPGSFQTGARSTGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPRSISLGPAVPDGPLTPTDERELGKHMSKDNSVPPLREQRPTDHCTPQQAPTPGMASAASFGASATAKISVDASLTGVIIGRGGVNIKQVSRASGAEVCIRDHESDTNLKNVELQGTFDQIKNASNMVMELIGIGGSDPSLSKDPAAGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVSELRKLVVSPRDRSSGW* >Brasy4G287500.5.p pacid=40089152 transcript=Brasy4G287500.5 locus=Brasy4G287500 ID=Brasy4G287500.5.v1.1 annot-version=v1.1 MSPHLPCLLLLLLLLFIVRVSPPPATPDPPASPRGDAPLRASSGEPPDFGPLEPGSFQTGARSTGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPRSISLGPAVPDGPLTPTDERELGKHMSKDNSVPPLREQRPTDHCTPQQAPTPGMASAASFGASATAKISVDASLTGVIIGRGGVNIKQVSRASGAEVCIRDHESDTNLKNVELQGTFDQIKNASNMVMELIGIGGSDPSLSKDPAAGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVSELRKLVVSPRDRSSGW* >Brasy4G287500.4.p pacid=40089153 transcript=Brasy4G287500.4 locus=Brasy4G287500 ID=Brasy4G287500.4.v1.1 annot-version=v1.1 MSPHLPCLLLLLLLLFIVRVSPPPATPDPPASPRGDAPLRASSGEPPDFGPLEPGSFQTGARSTGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPRSISLGPAVPDGPLTPTDERELGKHMSKDNSVPPLREQRPTDHCTPQQAPTPGMASAASFGASATAKISVDASLTGVIIGRGGVNIKQVSRASGAEVCIRDHESDTNLKNVELQGTFDQIKNASNMVMELIGIGGSDPSLSKDPAAGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVSELRKLVVSPRDRSSGW* >Brasy4G287500.3.p pacid=40089154 transcript=Brasy4G287500.3 locus=Brasy4G287500 ID=Brasy4G287500.3.v1.1 annot-version=v1.1 MPMARGRGARRRGSGFLARLHHAAATAMGRQTIPPSGRVVKPGSFQTGARSTGKPCTKFFSTAGCCYGEKCRFIHNFPGGYQAAADPPRSISLGPAVPDGPLTPTDERELGKHMSKDNSVPPLREQRPTDHCTPQQAPTPGMASAASFGASATAKISVDASLTGVIIGRGGVNIKQVSRASGAEVCIRDHESDTNLKNVELQGTFDQIKNASNMVMELIGIGGSDPSLSKDPAAGGGGSHRGGGPGGSFKTKLCGHFARGLCTHGDGCRFAHGVSELRKLVVSPRDRSSGW* >Brasy4G364200.1.p pacid=40089155 transcript=Brasy4G364200.1 locus=Brasy4G364200 ID=Brasy4G364200.1.v1.1 annot-version=v1.1 MGKKKLAPFFLAILLIATLEMVPEVAGDNLECEIPWQPCTGVCFKSGECMRCCKKFGYYHGRCNLAKGDGCYCCHEPKSGQQLPH* >Brasy4G199200.1.p pacid=40089156 transcript=Brasy4G199200.1 locus=Brasy4G199200 ID=Brasy4G199200.1.v1.1 annot-version=v1.1 MSIRSSVHTQRPKPRAHDKTRRRDPSSGLPHRHQQQQTPQTPMPTSSSPPAPATPAAAATPSRRRRRRHRLLPSSSNSSSFSAAPSPVFSSFFPPSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARSSARSSSSKAGGGGKKPLPLPPSLLCRSGADPYDPSSVVPADDCSFFPPEKRGRIRIRRRRTRVADALAEWLSMLGLYRSCKRAASCFADKFALRGLVRPPPPSEKAATDPHAITQINQSISAAINSSARPEKPSYSKPTGYPPTASSHTHSRLSPIP* >Brasy4G275400.1.p pacid=40089157 transcript=Brasy4G275400.1 locus=Brasy4G275400 ID=Brasy4G275400.1.v1.1 annot-version=v1.1 MPAQQRRRDTCYNWSSDFVVAHAIFAVGFVTMPVAVVHLLKAKRPYSGHAIMFAIFATFCTTVSLILCCSFYADLKRPPWPRWLSTGAAPREQQQDGSSEPPPAAPRTVASSSSSSLHELPRRPVREVTMRMMTTRDELRAALAADRIPSYVHRGGVDGAECAVCLGEVEKGDAVRRMPACLHMFHTGCIDVWLRDHATCPVCRCSVLQLLPPPPPERPPDQVVLNVEYL* >Brasy4G408800.1.p pacid=40089158 transcript=Brasy4G408800.1 locus=Brasy4G408800 ID=Brasy4G408800.1.v1.1 annot-version=v1.1 MSRNNGKGPKLDLRLNLSRLRGGTGAGGGPSSSAAAAAVPGGSNSPARRMSSSSSSSASPPSSCVSSEGSPEAGGGATMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDGAGQRHAGSGKGKRG* >Brasy4G296800.1.p pacid=40089159 transcript=Brasy4G296800.1 locus=Brasy4G296800 ID=Brasy4G296800.1.v1.1 annot-version=v1.1 MAARTRLVAFLIGMASFLSIVAGQISPLPSGGQLPGDLFALNIASKIRTDCNATTMASSDFGRIMEAAPEAVLHPATPADIAALIRFSASSPAPFPVAPRGQGHSARGQSLAPGGVVVDMRALGRGHRRINVSVGAGYVDAGGEQLWIDVLRATLQHSLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQITNVQELDVVTGTGQLVTCSRDKSPDLFFAVLGGLGQFGVITRARIALEPAAKRARWVRLAYTNVTTFTRDQELLISKKASEAGFNYVEGQVQLNRTLVEGPESTPFFSGADISRLAGLVSRSGSGAIYFIEAAMYYDEATTSSVDKKLEAVLEQLSFTPGFVFTKDVTYVQFLDRVRMEEEVLRSVGVWDVPHPWLNLFVPRSRIIDFDTGVLKGILGGTNPVGVILMYPMNINKWDGRMTAVAPQTDEEVFYTVGLLRSAVAVGDVERLERENEAVLAFCTKEAIQCKQYLPHYTTQDGWRRHFGAKWDRIVELKAKYDPHKIMAPGQKMFRSPTMSAAQ* >Brasy4G372800.1.p pacid=40089160 transcript=Brasy4G372800.1 locus=Brasy4G372800 ID=Brasy4G372800.1.v1.1 annot-version=v1.1 MASQKSPSPPPNLLSATISSDRSSSASLPSRASSFLALRPPPLLGLFLDPEGPIMPTFAPVRLRSDRDLAAAVRGADFFLTRLTYDHDAAASPGDGSSATALSVYNPLTGALDLIYPPPDKMFDGMQGSNDCCILPSHEGGGPPRLLCACHDESRGRAAVFSSDSREWQIFPWSEPVTPQPGKKCWLRIGTMVNGFVYWHGGVHDVLNTATLEFSQMRLPTMLEGRGHIFMVGKTKDGKLCIFCPLEFSLFVWSWVFDKRFLLELETIVELTKGTLEQHRELKTAQVDKLFQEKFDSHINPYVMAWPPTLVDNEVRLQLEGA* >Brasy4G127500.1.p pacid=40089161 transcript=Brasy4G127500.1 locus=Brasy4G127500 ID=Brasy4G127500.1.v1.1 annot-version=v1.1 MSLADQLQPLQRSPGRRPPPSMPASSSTPPPPTPPVRMKPRRSPPMSTVWKRVLISSALLAVLCFAAQRYSGHAHRRHPIPTPRPTPLEIIIRNRKTPSGHADAAAGCLPLAREAAAGSAGRNFVVSPLSIHAALGLVAAGARGDTRRQLLEFLGSPTLDALHGAPATELVGELNGLKQTSFASGVWIDRRRALRPEFMAIGRERYSATAESVDFVTDAEKARQRVNAFVKDGTNNLIAEILPPGSVDSYTAIVLANALYFKGAWSDQPFDASATFDAPFHTPDGTVVRVPFMTGRGKKHVAVYPGFKALKLPYKNDDGDGRQFYMLLLLPDDSTTTMKLSDLYDQAVSTPGFIKNHSPTVKVLVGRFMVPKFKFTFNFEASSDMRKLGLTKPFEGGDFSGMVSGEDELRITAVHHKATVEVDELGTVAAAATVIHMAGSARVASPPMPRVDFVADQPFLFAIVEERSSAVMFLGHVVNPLNH* >Brasy4G334400.1.p pacid=40089162 transcript=Brasy4G334400.1 locus=Brasy4G334400 ID=Brasy4G334400.1.v1.1 annot-version=v1.1 MEATSPQLLMCVTLALTLAATLLFFLTGGRRRSHGRLPPGPPALLFLAKFLALGRSIFDLGPLLVDLHARHGPVISIRLFRTLVFVADRGLAHKALVQGGATFADRPQPLDPGRMLTAGGRDISSSSYGPYWRLVRRNLAAEALSPARVALFGAARKDACDGLVRSLLREEKVGATTLRPLLRRAMFELLVYMCFGARLSQAELDEVESLQQQALRSVTTFPVFAFFPAVTKRLFRGRWAAYLEVRRRQDELFVPLIHATKLREDDPPCYADSLLAVRVADEGGRPLTDAEMVSLCSEFLNGGTDTTVTLLEWIVAELVNHPDVQSKLHDEVTKSCNPDLNDVQAMPPYLKAVVMEGLRLHPPGHFVLPHGVQSDGAEIGGYAVPRGSEVNFLVAEIGRDASVWTAAREFRPERFLEGGEGHGVDVTGSREIKMMPFGAGRRVCPGYSLGMHHAEYFVARMVRELELRRVGEEMVDMAEVLDFTTVMKHPLRARVVARA* >Brasy4G374400.1.p pacid=40089163 transcript=Brasy4G374400.1 locus=Brasy4G374400 ID=Brasy4G374400.1.v1.1 annot-version=v1.1 MAQMRVSGDEHRQQESLHGEAHGTGSSDKGDSTSNKKARAGLCGVLRERKVLELARGKRRLVEVPYTATLAHAANALLAGRVSAVTVAAPPGHWIGAGGSMIVESDPATGAARKHYIGMVNMLDIVIHIAESGHQDQDQDPEVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESSAPDTIVAVELVESAPAYKMVTHMDVIRFLRDHGAELGAVLSRTVGDLGAASEAVLAVSSRTTVIEAVRTMRAASLTAVPVVDAHVLQDGRGKKVVETFSATDLRDCPVARLRSWLGAGVTEFKEKVAEYRREGGHRPLDSAAGDGAQATDDGYDTDDEDDTAAGRGGKEEKQMVTCTPESTLGEVVEKAVASHVHRLWVVDEEEGLLRGVVSLTDVLRVVREAALGEDQELHSLQDTIVLD* >Brasy4G345600.1.p pacid=40089164 transcript=Brasy4G345600.1 locus=Brasy4G345600 ID=Brasy4G345600.1.v1.1 annot-version=v1.1 MKEAFDPPDSVSTPPENAASSCTRNIMRNDVLAGRDATSPRRPCMCPGDSMKCEEDANFLSHANRALSLLDYDAQSSESKYGAAIGSDVHSTEEISPQSEYDRHHPYFDLSNCSWENSSSSSEAAARNPDASMRVMAKMRNQSNIFGPGHDYLNLYRTTGPRMRRSYPEAAKVDFSFDCHPEHHCKGSNRFSALRNCNGQSIEHHNEIVELPRGTHYVDETTSLSSRWCFDNGGRGDPSLPRGLMYGDEIPSLSTRRCNGNEALSWHYGSEIPSLSSRQGYGDEIPSLSTRKCNGISRSSQWPFGAESPSRSSRQSYGDEIPSLSAQRCNGISYSRQLQYGAESPLFSSRQVYGSKIPALSRRCRYQDTIPSYSGQGCHDAEARRLSSYQQGASHGRGHPRDNYASSLVSNPQVKITTNRHTGTRPRVPDRFVNSTNYCRNSKKENSLRNSEDIRDQVCGRRANKLNNATTATTKKDIMSPLVRRDQFNRSDFSVQYEHAKFYMIKSYSEDDIHKGIKYNVWASTPNGNSKLDAAFHEAQDLMKEKGSKCPVFLFFSVNTSGQFVGLAEMLGPVDLKKTMDFWQQDKWNGFFPVIWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIHLPQGLELLKIFKAYRHVTSILDDFDFYEEKESSRCAQKGINVDSLHEARLSYFQKDDLKSMGFLEAGMESMNFYESWED* >Brasy4G345600.2.p pacid=40089165 transcript=Brasy4G345600.2 locus=Brasy4G345600 ID=Brasy4G345600.2.v1.1 annot-version=v1.1 MKEAFDPPDSVSTPPENAASSCTRNIMRNDVLAGRDATSPRRPWDSMKCEEDANFLSHANRALSLLDYDAQSSESKYGAAIGSDVHSTEEISPQSEYDRHHPYFDLSNCSWENSSSSSEAAARNPDASMRVMAKMRNQSNIFGPGHDYLNLYRTTGPRMRRSYPEAAKVDFSFDCHPEHHCKGSNRFSALRNCNGQSIEHHNEIVELPRGTHYVDETTSLSSRWCFDNGGRGDPSLPRGLMYGDEIPSLSTRRCNGNEALSWHYGSEIPSLSSRQGYGDEIPSLSTRKCNGISRSSQWPFGAESPSRSSRQSYGDEIPSLSAQRCNGISYSRQLQYGAESPLFSSRQVYGSKIPALSRRCRYQDTIPSYSGQGCHDAEARRLSSYQQGASHGRGHPRDNYASSLVSNPQVKITTNRHTGTRPRVPDRFVNSTNYCRNSKKENSLRNSEDIRDQVCGRRANKLNNATTATTKKDIMSPLVRRDQFNRSDFSVQYEHAKFYMIKSYSEDDIHKGIKYNVWASTPNGNSKLDAAFHEAQDLMKEKGSKCPVFLFFSVNTSGQFVGLAEMLGPVDLKKTMDFWQQDKWNGFFPVIWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIHLPQGLELLKIFKAYRHVTSILDDFDFYEEKESSRCAQKGINVDSLHEARLSYFQKDDLKSMGFLEAGMESMNFYESWED* >Brasy4G210700.1.p pacid=40089166 transcript=Brasy4G210700.1 locus=Brasy4G210700 ID=Brasy4G210700.1.v1.1 annot-version=v1.1 MAGYELVRSDDGAAAATTPDLESGGSKASPLTPTPPTPVSHAARQRLVSLDVFRGITVLLMIIVDDAGGFLPALNHSPWDGVTIGDFVMPFFLFIVGVSLTLAYKRVPERLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITEIRLMGILQRIAIAYLIAAICEIWLKGNDEVDRGLDLLRRYRYQLFVGLLLSVMYTVLLYGIYVPDWEYQIRGPGSTEKSLFVKCGVRGDTGPGCNAVGMVDRTILGIDHLYRRPVYARTKECSIDYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLMGLQFGHVIIHFEKHKERIINWLIPSFSMLALAFLMDFIGMRMNKPLYTISYTFATAGAAGFFFAGIYTLVDMYGFRKPTIPMEWLGKHALMIYVLVACNILPMFIHGFYWKEPKNNLLKFIGIRA* >Brasy4G210700.2.p pacid=40089167 transcript=Brasy4G210700.2 locus=Brasy4G210700 ID=Brasy4G210700.2.v1.1 annot-version=v1.1 MIIVDDAGGFLPALNHSPWDGVTIGDFVMPFFLFIVGVSLTLAYKRVPERLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITEIRLMGILQRIAIAYLIAAICEIWLKGNDEVDRGLDLLRRYRYQLFVGLLLSVMYTVLLYGIYVPDWEYQIRGPGSTEKSLFVKCGVRGDTGPGCNAVGMVDRTILGIDHLYRRPVYARTKECSIDYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLMGLQFGHVIIHFEKHKERIINWLIPSFSMLALAFLMDFIGMRMNKPLYTISYTFATAGAAGFFFAGIYTLVDMYGFRKPTIPMEWLGKHALMIYVLVACNILPMFIHGFYWKEPKNNLLKFIGIRA* >Brasy4G210700.3.p pacid=40089168 transcript=Brasy4G210700.3 locus=Brasy4G210700 ID=Brasy4G210700.3.v1.1 annot-version=v1.1 MIIVDDAGGFLPALNHSPWDGVTIGDFVMPFFLFIVGVSLTLAYKRVPERLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITEIRLMGILQRIAIAYLIAAICEIWLKGNDEVDRGLDLLRRYRYQLFVGLLLSVMYTVLLYGIYVPDWEYQIRGPGSTEKSLFVKCGVRGDTGPGCNAVGMVDRTILGIDHLYRRPVYARTKECSIDYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLMGLQFGHVIIHFEKHKERIINWLIPSFSMLALAFLMDFIGMRMNKPLYTISYTFATAGAAGFFFAGIYTLVDMYGFRKPTIPMEWLGKHALMIYVLVACNILPMFIHGFYWKEPKNNLLKFIGIRA* >Brasy4G053600.1.p pacid=40089169 transcript=Brasy4G053600.1 locus=Brasy4G053600 ID=Brasy4G053600.1.v1.1 annot-version=v1.1 MHHGGRYCSGKVASPNQQRRAARPCISRRAVVCDRWGEQRSATGGTSSRTATGGDGRVFGLGAAELRRRGRGIGSALGRGRRQNSTAGVAGDGGFKFSELNNGIQDLVSVQVTKALFNGFCHASFAGEGPCIAWVKRSRP* >Brasy4G417300.1.p pacid=40089170 transcript=Brasy4G417300.1 locus=Brasy4G417300 ID=Brasy4G417300.1.v1.1 annot-version=v1.1 MPAATSLPARAPAPSAARRRRFSRAAVVSASSSLRRAASGGGSSWRSERRLMSELDRTVTPGAAERVIRGYVGTKSERAALAALSRLLIDSDPLAIPFYEAVTQARWFKWSSIHAAGVAALLEATGGAEESRSLVASSAARLQCPSEAALFYCDLMAAFSSRGLKGRAMDFYAQLRAAMPPPSGSGGGRIYMAMIKSLCLMGLAAEAEEALREMVSLGYKPDAFQFGLVAKCYGKAGQLAEMERVIASMSDAGIRLGTGAANIVLSCYSACRDHGKMLMWLKKMRKLRIAPTTKAYNFVLNSCPTVVLMARELGPSLPLSSAWLVKKIRSGSPWPAEAEVVQELLAASSVLDKAMNWSETEVKLNLHGFSVTSAYVLMLQWVDAMKAGRALPLEVSVVCGVGKHSDVRGEPKVRELGQEVLRRMGSPLRLSARNKGRLVAKRDRVKQWLATEWTSPVLKESTDQLSNASDQQPFLPKLLRKLGQIFSSFVSVSR* >Brasy4G295700.1.p pacid=40089171 transcript=Brasy4G295700.1 locus=Brasy4G295700 ID=Brasy4G295700.1.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIGALGHWLGVKAHACFGGTSMREDEQILLSSVQVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.2.p pacid=40089172 transcript=Brasy4G295700.2 locus=Brasy4G295700 ID=Brasy4G295700.2.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIGALGHWLGVKAHACFGGTSMREDEQILLSSVQVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.3.p pacid=40089173 transcript=Brasy4G295700.3 locus=Brasy4G295700 ID=Brasy4G295700.3.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.4.p pacid=40089174 transcript=Brasy4G295700.4 locus=Brasy4G295700 ID=Brasy4G295700.4.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.5.p pacid=40089175 transcript=Brasy4G295700.5 locus=Brasy4G295700 ID=Brasy4G295700.5.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIGALGHWLGVKAHACFGGTSMREDEQILLSSVQVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.6.p pacid=40089176 transcript=Brasy4G295700.6 locus=Brasy4G295700 ID=Brasy4G295700.6.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIGALGHWLGVKAHACFGGTSMREDEQILLSSVQVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.7.p pacid=40089177 transcript=Brasy4G295700.7 locus=Brasy4G295700 ID=Brasy4G295700.7.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.8.p pacid=40089178 transcript=Brasy4G295700.8 locus=Brasy4G295700 ID=Brasy4G295700.8.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVIVGTPGRILDMLRRRTLCPDHIRMFVLDEADEILAGGFKDQIYDIIQLLPTKIQFGVFSATMSQEVLELCCKFMNNPFKVIVPKDDELEGINIKQFYVKFEKEDFKFAKLCDLFDTLAVTKSIIFVNARRKVKSLAEKIRGWKYTVSASHGGMDQQARDVAVEELRSGSSRVLVATNLHAIDALQVPVVINYDLPTQPIRYLRHVRRSGVSGGKGVVISFITPTDERVMSDIQRFCNTQIGELPTNVAALLRM* >Brasy4G295700.9.p pacid=40089179 transcript=Brasy4G295700.9 locus=Brasy4G295700 ID=Brasy4G295700.9.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVLLVAFLTC* >Brasy4G295700.10.p pacid=40089180 transcript=Brasy4G295700.10 locus=Brasy4G295700 ID=Brasy4G295700.10.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVLLVAFLTC* >Brasy4G295700.13.p pacid=40089181 transcript=Brasy4G295700.13 locus=Brasy4G295700 ID=Brasy4G295700.13.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.14.p pacid=40089182 transcript=Brasy4G295700.14 locus=Brasy4G295700 ID=Brasy4G295700.14.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.15.p pacid=40089183 transcript=Brasy4G295700.15 locus=Brasy4G295700 ID=Brasy4G295700.15.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.16.p pacid=40089184 transcript=Brasy4G295700.16 locus=Brasy4G295700 ID=Brasy4G295700.16.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.17.p pacid=40089185 transcript=Brasy4G295700.17 locus=Brasy4G295700 ID=Brasy4G295700.17.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.18.p pacid=40089186 transcript=Brasy4G295700.18 locus=Brasy4G295700 ID=Brasy4G295700.18.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G295700.20.p pacid=40089187 transcript=Brasy4G295700.20 locus=Brasy4G295700 ID=Brasy4G295700.20.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVYVVSYFPSVYLFLFLSHYFSIMVY* >Brasy4G295700.21.p pacid=40089188 transcript=Brasy4G295700.21 locus=Brasy4G295700 ID=Brasy4G295700.21.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGIQKPPIINVYERRKDREQKGCTTEGSLPDGARVAIQKPPIKYVYMRRNRKQNGPTEQEAASKELNKGTKPGSSSHATRVATSTCNMLGSKSFLEKKHEEFGDGENKDKGQKSTEEFGDGENKDSNVRTSTTYTKKKTKPNSLYAEGVQSISRSSACMIKGAQTNGVDMAGVGPEGAQFDGKQPVSKKNAVYVVSYFPSVYLFLFLSHYFSIMVY* >Brasy4G295700.11.p pacid=40089189 transcript=Brasy4G295700.11 locus=Brasy4G295700 ID=Brasy4G295700.11.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVLLVAFLTC* >Brasy4G295700.12.p pacid=40089190 transcript=Brasy4G295700.12 locus=Brasy4G295700 ID=Brasy4G295700.12.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVLTQISKEFSTYCETYDSFIEMGLGENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLSGTTVTLGCGVLQRLDYGSTQCQALVLVPTRDLAQETEKVLLVAFLTC* >Brasy4G295700.19.p pacid=40089191 transcript=Brasy4G295700.19 locus=Brasy4G295700 ID=Brasy4G295700.19.v1.1 annot-version=v1.1 MVSESGKEVQIAKRAMESSRENKAVLEQEQTTVSNKKKCFACKEISHTLDQCMIKEKLGAVAQLFGHSTRSPFYMIQPSEEVVENEKFYHHCLLITSNMSNLEPERVKTELNKFWKLTDDWEISREGTQSFLASFNSEDDLTSCLKPPNIEIFLDEMEVQFTAVRWNEGNGQKLDLIGEWLLVYGVPRTYRNWKELYQVASAVGVLLEVDEESLECGDKEPIRLRIALQSLDGAPFSNHFVFGWSSRLVKFMIEDKVKRIEGQREELEELNGSIIQECEDKREKSVEVPPEALNKEKNPESTSVALAGKCMMDFNGCSHKEHEKELQTTGIALLLEDTELIEELRVDAEIKGNKSNAPVVNTTNSKNISEEGRSTSGSSARGNHMFDLEGLSDKEHRKELKTWETAALLEVLKCIEEFRADGGIKENNDSAIAATALNSEKTTEDTPEAEGGQSTSGSPTSLIGGLCRGVDMAGVGPEGAQFDGKQPVSKKNAVSGEAFSSPSARNCPIVQGSGCYPAILVWNNCDTWLWSSATTRLWIYAMPSFGSCTNT* >Brasy4G029700.1.p pacid=40089192 transcript=Brasy4G029700.1 locus=Brasy4G029700 ID=Brasy4G029700.1.v1.1 annot-version=v1.1 MASQQTVFMNHGQGETSYARNSSIQNADQERMKPMIEAAIAKLCTANNALLPGNIVIADLGCSSGPNALTLVSFAVDAIHKHYLELQQPPPEVCVLLNDLPDNDFNTVVKNLTTLGRSDEPVVVTGVTPGSFYERLFTSGSLHLAFSSNSLHWLSKAPGDLTRNQIPAYDIDEHARRERLPLVVEAYANQFKKDFTLFLKLRAKELVPGGKIVVSLLGRRSEGISSKFPRLVEILLRILSVTASEGVFEKEKLNSFYVPMYETSDEELREIIQEEGSFSINEMRVHGLTSGMDSALITPSRFANQMRAVFEPLVAQHLGDVMDEFVRTAEQRWSLEGSLQDEVARLATVAVSLTKA* >Brasy4G294900.1.p pacid=40089193 transcript=Brasy4G294900.1 locus=Brasy4G294900 ID=Brasy4G294900.1.v1.1 annot-version=v1.1 MITFTVGNCKSLQMGFRNAHTYKPYSCTFPVCTHKRIWKNRYYLAVRVNKINSRTLNVTNKQPAAETGT* >Brasy4G310200.1.p pacid=40089194 transcript=Brasy4G310200.1 locus=Brasy4G310200 ID=Brasy4G310200.1.v1.1 annot-version=v1.1 MTELWFHSTTSSIGKTSFCFALLSSQRPSTGFSDKSILAASMGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.5.p pacid=40089195 transcript=Brasy4G310200.5 locus=Brasy4G310200 ID=Brasy4G310200.5.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSSCRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.6.p pacid=40089196 transcript=Brasy4G310200.6 locus=Brasy4G310200 ID=Brasy4G310200.6.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSSCRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.9.p pacid=40089197 transcript=Brasy4G310200.9 locus=Brasy4G310200 ID=Brasy4G310200.9.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSSCRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.2.p pacid=40089198 transcript=Brasy4G310200.2 locus=Brasy4G310200 ID=Brasy4G310200.2.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.7.p pacid=40089199 transcript=Brasy4G310200.7 locus=Brasy4G310200 ID=Brasy4G310200.7.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.8.p pacid=40089200 transcript=Brasy4G310200.8 locus=Brasy4G310200 ID=Brasy4G310200.8.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.4.p pacid=40089201 transcript=Brasy4G310200.4 locus=Brasy4G310200 ID=Brasy4G310200.4.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G310200.3.p pacid=40089202 transcript=Brasy4G310200.3 locus=Brasy4G310200 ID=Brasy4G310200.3.v1.1 annot-version=v1.1 MGCGASKWKDPGSRRQGRPRSVGEMVIFLPGLRVPRTVDFSQSLGDHLDKSIVERLSALRARVVAMSMQESAVALKKPRRGSTTRHGGSSTAYLLQALEEYLPVLLGLVKESSELRNKVQFVWANQEDDAEETAMTDAWYEVLSVLHLMAMVCFLQANYLLLPRSYGDGYGPRVSEESRRATVDVFLKAAGYLDCAFHQVLPQIPPEKRRELPVDIVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMIKYWQQIKDSIPELPLTDGWGKKHTLFVKWKYAEAKASAYYFHGMILDEGDTEKSDEMAISSLQASEEFLKESKKASEAFHSTLPTSRISIPFGTAKYLLDRIPRDTISKLQDNQDLYTQEGTSNTGISRMITPPPPLPDFPLALNPEEYELPQLDPLWKKEANH* >Brasy4G154700.1.p pacid=40089203 transcript=Brasy4G154700.1 locus=Brasy4G154700 ID=Brasy4G154700.1.v1.1 annot-version=v1.1 MASPATVVVPRIKLGSQGLEVSALGLGCMGMSAFYGPPKPEPDMIALIHHAVAAGVTLLDTSDFYGPHTNEILLGKALQAAGVREKVQLATKFGVLVTADGTPEIHGEPAYVRAACEGSLRRLGVDCIDLYYQHRIDTKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYCPLGGGFFSSGPKMVDTLSEQDFRKGLPRFQAENLEKNAMVFERVSAMAARKGCTTSQLALAWVLHQGSDVCPIPGTTKVENFNQNVAALSVKLAPEEMTELESYASANVAGDRYHNIVYTWQNSETPPPSSWKAE* >Brasy4G154700.2.p pacid=40089204 transcript=Brasy4G154700.2 locus=Brasy4G154700 ID=Brasy4G154700.2.v1.1 annot-version=v1.1 MASPATVVVPRIKLGSQGLEVSALGLGCMGMSAFYGPPKPEPDMIALIHHAVAAGVTLLDTSDFYGPHTNEILLGKALQAAGVREKVQLATKFGVLVTADGTPEIHGEPAYVRAACEGSLRRLGVDCIDLYYQHRIDTKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYCPLGGGFFSSGPKMVDTLSEQDFRKVCHYTKATFYRQILPHSSHV* >Brasy4G070500.1.p pacid=40089205 transcript=Brasy4G070500.1 locus=Brasy4G070500 ID=Brasy4G070500.1.v1.1 annot-version=v1.1 GSLLSRCRRARRGLDGGDDDEQPLEHGVVTTSALLHDDALAAVFSRLHDDGPAVVRCAVTCRRWARVVSREAAALARALPPLGSLCFFHRDDDMTGRRRSRPFFISTTSGSRLRGSTASSLLDGVRGVDSDCSRPIKANNGRLVLELRREGRADGLRLCVCDPITGAADVLPPLSGQDRPGYYVCGLLTGEDADPPVLSPAYFRLLLVYNRRGFTALRRYSSDTGSWGPEAKADTRISTGWLHCNMVQATALRGAVYWPLDRFVLGVRLDGSTSSSMDQFIVPYASPGKIPLSRSGSGNGAIGVSPDRRLCSVGLILCGDILGVETLSFFRDDAGNDGSSIRGTFAFDLETKTLEKVADRDAWTNFCGYEMQQEVYMNSLVVPPKVSNLGINVEAER* >Brasy4G376100.1.p pacid=40089206 transcript=Brasy4G376100.1 locus=Brasy4G376100 ID=Brasy4G376100.1.v1.1 annot-version=v1.1 MAAEKKREEEEAGGRRRKRRKARPEARTEFRGVSRQRSGRYRAQIKHLGITLYLGTFDTAHEAARGFDTAAVQLRGAKAITNFERQQEQPPPAGLIAELHSSGAMDFSGFPDVPAELGFLPYGMLPLPPGPVPRRSIS* >Brasy4G397000.1.p pacid=40089207 transcript=Brasy4G397000.1 locus=Brasy4G397000 ID=Brasy4G397000.1.v1.1 annot-version=v1.1 MASMAGVGDDFRVHGSEGVKARQQVERKRKETAPRPIQSSPDTKLTGGAASLDRRWTRRRPDERAASKVQPSSSRSKASRRRPPPRSSPPHPYPKLAGGRLVPCEARPHPDPSRQKAGSAWGPWTAVPPPRPFPTRPIHRVFPCIPPSLHSFPVPPNSRSKLYTDAPVDSVVKVFQFEELRHKHWYLHILNLTEKKTCVYLPKKKSSSFTALPF* >Brasy4G000100.1.p pacid=40089208 transcript=Brasy4G000100.1 locus=Brasy4G000100 ID=Brasy4G000100.1.v1.1 annot-version=v1.1 MFPAYFMLISACSAISVAAFAYLHPWKTASTIERYQLGFLISALGCNLSNLLVFTPMTVEMMMKRHKMEKDLGIGTEVGYSKNEETAKRSPALAAMNRKFGMIHGLSSLANIMAFVSLAMHSWYLSSKLDL* >Brasy4G205500.1.p pacid=40089209 transcript=Brasy4G205500.1 locus=Brasy4G205500 ID=Brasy4G205500.1.v1.1 annot-version=v1.1 MPAAATTTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAADPGTWRALCVAQWPSLDTTTQTQTQTHSTLLDSPQRLFADAFPFPSIPSSTTDHAAAAALADLPLPCELISAVDVYHKGSSGSASAPLFSRVLETSTSSSWFLASPFCVDAVGSKDPVRAVASFSPGELELSWIVADPRSGRAVNVSSRRAVAVDRHWYTGETLVRYAVVLGGYKFEATVTCSEDAGYLREVSLTVEDADGAAVSGEGSLRLLAAAMAGPRKLGGGNQDKEAGEAKRRYDEFVRSKRGRKESKARREVLVDLCCSAVSAVAVLSFLASVVLR* >Brasy4G422300.1.p pacid=40089210 transcript=Brasy4G422300.1 locus=Brasy4G422300 ID=Brasy4G422300.1.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAGVQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.2.p pacid=40089211 transcript=Brasy4G422300.2 locus=Brasy4G422300 ID=Brasy4G422300.2.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAGVQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.4.p pacid=40089212 transcript=Brasy4G422300.4 locus=Brasy4G422300 ID=Brasy4G422300.4.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.3.p pacid=40089213 transcript=Brasy4G422300.3 locus=Brasy4G422300 ID=Brasy4G422300.3.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.5.p pacid=40089214 transcript=Brasy4G422300.5 locus=Brasy4G422300 ID=Brasy4G422300.5.v1.1 annot-version=v1.1 MRIYPTFLFFKSQRPQQTLLVHKPPLARPQLPLERIPMNLRQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.6.p pacid=40089215 transcript=Brasy4G422300.6 locus=Brasy4G422300 ID=Brasy4G422300.6.v1.1 annot-version=v1.1 MRIYPTFLFFKSQRPQQTLLVHKPPLARPQLPLERIPMNLRQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPIGALEPVIGEELLPLDGALEPVQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS* >Brasy4G422300.7.p pacid=40089216 transcript=Brasy4G422300.7 locus=Brasy4G422300 ID=Brasy4G422300.7.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAGVQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G422300.11.p pacid=40089217 transcript=Brasy4G422300.11 locus=Brasy4G422300 ID=Brasy4G422300.11.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAGVQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G422300.8.p pacid=40089218 transcript=Brasy4G422300.8 locus=Brasy4G422300 ID=Brasy4G422300.8.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G422300.10.p pacid=40089219 transcript=Brasy4G422300.10 locus=Brasy4G422300 ID=Brasy4G422300.10.v1.1 annot-version=v1.1 MGSKPKVQAKLVQDSWPSKESLASGTESSEVGSTSSEDSVDVTLRKPTVHQIEDDDLKSKLKMKPNIELKMRKDMDEDLSNISLLQKPEAATDTAGSQASAGSASIATGEDTNELEAESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G422300.9.p pacid=40089220 transcript=Brasy4G422300.9 locus=Brasy4G422300 ID=Brasy4G422300.9.v1.1 annot-version=v1.1 MRIYPTFLFFKSQRPQQTLLVHKPPLARPQLPLERIPMNLRQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G422300.12.p pacid=40089221 transcript=Brasy4G422300.12 locus=Brasy4G422300 ID=Brasy4G422300.12.v1.1 annot-version=v1.1 MRIYPTFLFFKSQRPQQTLLVHKPPLARPQLPLERIPMNLRQPSEQSLTQQIESSAPDNQSMTDNNFSMQAFLQGRPRKDLSVETLPSKVDAEKKSDSDVNRSYVDDGGNVLPSKLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMGMEEGFEVNDKSIEPESSSFSEVPHQDQAMLQSKLKFEDLLQTYEQEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESEELVQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDFNNSRIFLSLKDVKVLDG* >Brasy4G251200.1.p pacid=40089222 transcript=Brasy4G251200.1 locus=Brasy4G251200 ID=Brasy4G251200.1.v1.1 annot-version=v1.1 MNLVALGWICISATMGVPLALGLLFYGLRHTTATYAANIINLLPIVTFIVGIVFRAEKLAFHSWPAKIKLMGAVVCVGGTMLLSLFKWKLLHLWPTHLLKSHDHANAAATALASPHRHMIIGTLFLCGSCLSYAVGFSVQARLSRVFRSKYLATTMTCLVGSLQSVAIGLVMTPHKSA* >Brasy4G183900.1.p pacid=40089223 transcript=Brasy4G183900.1 locus=Brasy4G183900 ID=Brasy4G183900.1.v1.1 annot-version=v1.1 MAVPVQMLDQMGESEAVAWALAKAAGLRRTVATEVGEVISQIEKARVTLQEAAALLQESADAVEILYDNLLNLAPHSSATLRQAGMLVDALFNGPGPLTGAIAAAEDIVASHFDSPPPSGGPLQDARRHLGFLFDSVHGDHVRAGSSFNYCANRLGIVHNQAGAATARPAGTQGSQAEQRVNDAVLASIAAHDAHRLCRAQSARGRPREYILEAERTLQRTITDIDAALVILRDLTNNLAALELLVHNATATIWEVLVYNALNVHPA* >Brasy4G025900.1.p pacid=40089224 transcript=Brasy4G025900.1 locus=Brasy4G025900 ID=Brasy4G025900.1.v1.1 annot-version=v1.1 MRPSRSRCDRRGSDADEDEEEVVALSSDPDESESEPEGDAEVDDDDEYVGESSDAGGVDDEAEEGGGGSDNGGGGRLVRGARRGVVVPDEERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCENGYSENNEHLVRRLSARKRFVPWGSVQPFAVTNNLPQSPTIASDDSLEKEEPLPPGIEPLILWQPEGCDKENNNFAAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLSDDGIAGCILADDMGLGKTLQSITLLYTLLAQGFDGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVQLLALCESTRADVLSGIGSFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTATAEEKKLGSERSGELSSKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKRSKILAYITALKKLCNHPKLIYDTIKSNKSGGSDFDDCLQFFPPELFQGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGSTSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQANTKMQDQGCSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDSYMPLDGNALDLAAIEHNKVSTSGEKYYTDIGGFGEISGCVQKMNSSNQQIEQPSEEDLGSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQIDGKLVPVESMVRLTKPNGMTASGDKEVAEINSPNKPGWQSSLGKNLKMMGFNLKNSSLKCPTKSRRTSPSCFQGLNKTNPSSDYQPQTKKLHVASDMSDDDFV* >Brasy4G025900.2.p pacid=40089225 transcript=Brasy4G025900.2 locus=Brasy4G025900 ID=Brasy4G025900.2.v1.1 annot-version=v1.1 MRPSRSRCDRRGSDADEDEEEVVALSSDPDESESEPEGDAEVDDDDEYVGESSDAGGVDDEAEEGGGGSDNGGGGRLVRGARRGVVVPDEERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCENGYSENNEHLVRRLSARKRFVPWGSVQPFAVTNNLPQSPTIASDDSLEKEEPLPPGIEPLILWQPEGCDKENNNFAAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLSDDGIAGCILADDMGLGKTLQSITLLYTLLAQGFDGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVQLLALCESTRADVLSGIGSFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTATAEEKKLGSERSGELSSKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKRSKILAYITALKKLCNHPKLIYDTIKSNKSGGSDFDDCLQFFPPELFQGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGSTSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQANTKMQDQGCSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDSYMPLDGNALDLAAIEHNKVSTSGEKYYTDIGGFGEISGCVQKMNSSNQQIEQPSEEDLGSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQIDGKLVPVESMVRLTKPNGMTASGDKEVAEINSPNKPGWQSSLGKNLKMMGFNLKNSSLKCPTKSRRTSPSCFQGLNKTNPSSDYQPQTKKLHVASDMSDDDFV* >Brasy4G025900.3.p pacid=40089226 transcript=Brasy4G025900.3 locus=Brasy4G025900 ID=Brasy4G025900.3.v1.1 annot-version=v1.1 MRPSRSRCDRRGSDADEDEEEVVALSSDPDESESEPEGDAEVDDDDEYVGESSDAGGVDDEAEEGGGGSDNGGGGRLVRGARRGVVVPDEERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCENGYSENNEHLVRRLSARKRFVPWGSVQPFAVTNNLPQSPTIASDDSLEKEEPLPPGIEPLILWQPEGCDKENNNFAAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLSDDGIAGCILADDMGLGKTLQSITLLYTLLAQGFDGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVQLLALCESTRADVLSGIGSFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPTATAEEKKLGSERSGELSSKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKRSKILAYITALKKLCNHPKLIYDTIKSNKSGGSDFDDCLQFFPPELFQGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGSTSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQANTKMQDQGCSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDSYMPLDGNALDLAAIEHNKVSTSGEKYYTDIGGFGEISGCVQKMNSSNQQIEQPSEEDLGSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQIDGKLVPVESMVRLTKPNGMTASGDKEVAEINSPNKPGWQSSLGKNLKMMGFNLKNSSLKCPTKSRRTSPSCFQGLNKTNPSSDYQPQTKKLHVASDMSDDDFV* >Brasy4G285300.1.p pacid=40089227 transcript=Brasy4G285300.1 locus=Brasy4G285300 ID=Brasy4G285300.1.v1.1 annot-version=v1.1 MAVRSLRQHHQHLRCLLLTPVLTLDSVCIEEEGAEQLGPLKFGRRRRGRDGEELGAAGWEEDARADAWDAGAALSWHPASSDQLSTSARTGAAAHRPAALGAGSHDIAERGGQPRWRGSGRRAAPARKPAAPEAAAEGVEAVCGAGGELLVRTPR* >Brasy4G382000.1.p pacid=40089228 transcript=Brasy4G382000.1 locus=Brasy4G382000 ID=Brasy4G382000.1.v1.1 annot-version=v1.1 MMSAAVDQVASGFSSAVIQRTIDKILNFLESNYELSHSTEALLIKLRTSLTMVNAITEVADNQLIIKPGLTNWLRNLHEASYEAEDVLDGIDNDEVLAVAGKRKLSELISSSVRTLKSLIVPDEGIKKLECIVQKLDHLCATSSTFVELMKQSNSIAMKGGMEGETTSRIPVDVKVFGRDEVREFILKIILGSSGSEPESSSGVQKHVARCRIGGIDVLPIVGMSGVGKTTLAQVIYNHPNVKEHFSKRAWVYVSKHLSLKRTLQEMLCSFKGNDSSFDYTDSLEITVNNIQNVIPRDGRFLLVLDSVWDDMCGEWNNLLTAVACELPGSVILVTTQSKKVADRVETMCQVPLSALPWESFWPLFQYHAFGTTDVVGSNNQNLLLIGKDIAKKLGGMPLAAKVMGNLLRSRLSVDQWRCILASDWWDLSEAIHGILPYMGVSYQNLEYRQRQSFAFCSIFPQNYLFDKDRLVNMWISLDFIQHNKFDGTRLEDLGSKQFDELVERSFFQPTFDNKRYTMHDLVRGLAIAVSSHECFLHKQTSQGASPTVRHLSLQVSNQLHVPELKKYKNLRTILLFGHSDSDEICDVVDTMLTNSRCIRVLDFSYFKVLTTMLPNISSLRKLRFFDLSFTRINNLRNLPCNLQVLYLHGYRHDSIPQSINKIANLRHLYVDATALSRIPSIGQLSQLQELDNFSAGKKIGFMISELKSMQELSGRLCISNIHIIKSTHEAKEANMIEKKRLEALVLKGKDVSRDVLEGLQPHPNLQELTVEGYVATTFPNWMLQGHVFTNLHSLHVAMCRLLDKLPPFGNFPSLKRLTLDSLPSVTHADGTTFGCLQNLEEFKVSSMRAWKHWSHVEEDHAPLLPQVRRFELLNCPSLEEVPYLSFAGSLSELDISVCGNYVKALPQCVQLLGCIKRLKIVDCDHILRLSGHQFKSLEHLNIGNCGGLRLVDGICCFPNLRSVEVSGCPDIFTELSDQSTRQDEQSVLHLTYLLTDASLLHGNCFLSSLRHVMICYLEATHFTLEQAGWFEKLISVEYLEFLYCYFLQRLPSTLGRLTSLKILKITNTQQVLPGVGTVPPNLQELILDGYAVDWEDKFKPGGSEWINICHVPYIRLNGKTVQNLSTEAASSSRNHLI* >Brasy4G218300.1.p pacid=40089229 transcript=Brasy4G218300.1 locus=Brasy4G218300 ID=Brasy4G218300.1.v1.1 annot-version=v1.1 MSKGMQVFVFHRPSHLCFLSIYHNPPLSSTSREYSCCGILSVVAFFSCQQRVMFYLQLDVACLKSMYSSVIFFLHIVQCTGIEYMLAGLKMA* >Brasy4G134400.1.p pacid=40089230 transcript=Brasy4G134400.1 locus=Brasy4G134400 ID=Brasy4G134400.1.v1.1 annot-version=v1.1 MNTCLQSLYARFQVFCKQVIKLNQKTCGKIWSITYVI* >Brasy4G075900.1.p pacid=40089231 transcript=Brasy4G075900.1 locus=Brasy4G075900 ID=Brasy4G075900.1.v1.1 annot-version=v1.1 MGRLGLTVSTRFPWIGISVQASGRSRRLLRAAYLHAELLRLQAAVEAWADRLDDAKLDTILAEQGATLAQVAEEEAVVKASVATAMAEEAVRASQEAVNQAAMQASMTLV* >Brasy4G440900.1.p pacid=40089232 transcript=Brasy4G440900.1 locus=Brasy4G440900 ID=Brasy4G440900.1.v1.1 annot-version=v1.1 MMNPVGAAAAGELSRCPKHPSQPPFTGFCSACLLERLSAAALPATPPAPAAPREPEATRTTLLHLFQLHDQQSPPPCTDQQQDPKEPQQQLQRKRSLRQSCESWIVCCEHGNNNDSWLPSRQSWDANDDSAPAAATTTTASALVLHSRLRQQLRRAANPITGLLNRSLSSHSWSCRPPQGGPVARINGSSHSVSSSAGVDSEMSPADSLHANARPSLLKRFYWLGRSRSVHYASPDTGMLRFRLARSKTQGNVFPAQRHEQNT* >Brasy4G347400.1.p pacid=40089233 transcript=Brasy4G347400.1 locus=Brasy4G347400 ID=Brasy4G347400.1.v1.1 annot-version=v1.1 MAPALSSWPWASLGVYKYFLLAPLAWKVAQEWREQGGAVPVDSWWLHLLALFWARGLTYQFWYSYSSMLFLTRRRRVVPDGVDFRQVDLEWDWDNFLVLQTLIGAAVVNGPLLPGPRHLCLWDPRGLAIVLLLHVGFSEPVFYLAHRALHGASLFGQYHAAHHSSRVTQPLTAGFGTPLEALLLILTTGVPLAGAFLMGTGSIGLAYVYLLAFDYLRSMGYSNVEVISHRVFEAFPPLRYLIYTPSYLSLHHREKDSNFCLFMPLYDLLGGTLNSESWELQKETYLGKEERAPDFVFLVHVVDIMSSMHVPFVLRSISSVPFANHLVLLPFWPVAVAYMLLMWCCSKTFLVSFYYLRGRLHQTWSVPRHGFQYFIPAAKDGINRQIELAVLRADRIGVKVLSLAALNKNEALNGGGTLFVDKHPELRVRVVHGNTLTAAVILNEIPSNAKDVFLTGATSKLGRAIALYLCRKKIRVMMLTMSSERFLKIQREAPAEFQQYLVQVTKYQVAQNCKTWIVGKWLSPREQRWAPSGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHFLEGWEHHEVGALDVDRIDVVWKAALKHGLTPA* >Brasy4G047000.1.p pacid=40089234 transcript=Brasy4G047000.1 locus=Brasy4G047000 ID=Brasy4G047000.1.v1.1 annot-version=v1.1 MSYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLSELLAERHKLNPFVPVLPHSIRLLNQEILRVSSLLENASLLNQSGFEHGSPLTSGGLYSNGAATDMNGWTSAFQSESSSAYSWLGGSQGSSSGPVVKKTLRVDIPVDKYPTYNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQARDILQDLLKPMDESQDFFKKQQLRELALLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG* >Brasy4G244200.1.p pacid=40089235 transcript=Brasy4G244200.1 locus=Brasy4G244200 ID=Brasy4G244200.1.v1.1 annot-version=v1.1 MAAMAGEAYMKQLRAHPLRTKAITSGVLAGCSDAVAQKISGVKKLQLRRLLLIMLYGFAYAGPFGHFFHKLMDRIFKGKKGKETTAKKVIVEQLTVSPWNNMMFMMYYGLVVEGRPFTQVKSKVKKDYATIQLTAWKFWPIVSWINYEYMPLQLRVLFASSVASCWAVFLNLKAARSSSIASR* >Brasy4G121100.1.p pacid=40089236 transcript=Brasy4G121100.1 locus=Brasy4G121100 ID=Brasy4G121100.1.v1.1 annot-version=v1.1 MDERREGVRSTTTSSGRVLTAATAGEDAVEQSAPET* >Brasy4G090900.1.p pacid=40089237 transcript=Brasy4G090900.1 locus=Brasy4G090900 ID=Brasy4G090900.1.v1.1 annot-version=v1.1 MEGHFRNLYSSRVLFPRAIKPLPPSRRNSLFHRDRCKPPPPSREDLGDPLTTRKMPKQIHEIKDFLLTARRKDARSVKIMRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPGLTVQEV* >Brasy4G249800.1.p pacid=40089238 transcript=Brasy4G249800.1 locus=Brasy4G249800 ID=Brasy4G249800.1.v1.1 annot-version=v1.1 MLPSERTSLRSSRIHVKDSSTQQPPLQNHEIPRSHLQPFPTFRATPVLFAAGTSHLAAFPTRYRALQHCYNNKLPPLWVGMLGLVPSSSYLFYRRLAAARTFSAAAGSVNMAARSALDEVTDSGAFDRSPSTFRNFVSRDSSARFPAVPRRYHLYVSYACPWASRCLAYLKLKGLDNAISFTSVKPIFERTRETDDHLGWVFPATGDEVPGAEPDPFNGAKTVRELYEIASTNYTGKPTVPVLWDRQLKTVVNNESSEIIRMFNSEFNEIAENPGLDLNPAHLQASIDEINDLVYDAINNGVYKCGFAKKQEPYDEAVRNLYEALDKCEEILSKQRYMCGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYRIVPAGPNIDYNAPHDREKYSL* >Brasy4G343600.1.p pacid=40089239 transcript=Brasy4G343600.1 locus=Brasy4G343600 ID=Brasy4G343600.1.v1.1 annot-version=v1.1 MALSAASRLSRAARAAAAARRLANGSGRDPLLRALAPLAGDASSFSAAAKARRPPWLAPPMARLPAWSGGGLLVPPRRLFHSTPPSRYSAGGTSSTSQISPGEFTEMAWEGVIGAVEAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGLDNTSVLQATEDFISRQPKVGGDTSGPIVGPNFASILDTARKHKKEYGDEFVSVEHILRAFTSDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQNPEGKFQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKYRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVQDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIMRFEMEKLSLKNDTGKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIKSVKEEIDRVNLEIEAAEREYDLSRAAELKYGTLLSLQKQLDEADKKLAEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSDREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLSEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKDAVYEVMKKQVTEIARQTFRPEFLNRIDEYIVFQPLDSTEINRIVEIQLDRVKNRLMQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVVVDVSSVAIAKGLAPKKRLVLHRLENGSSELVAND* >Brasy4G185000.1.p pacid=40089240 transcript=Brasy4G185000.1 locus=Brasy4G185000 ID=Brasy4G185000.1.v1.1 annot-version=v1.1 MAGGGGKAKPLEFTPTWIVASVCSVIVIISLLFERLLHRLGKRLQKSRKKPLFEALLKVKEELMLLGFISLLLNVLQGPMGKVCVDRSVMRHLLPCKPPPRQLNKTKHLAADAVFTGVVGGARRLLAGGSASDDYCLKKGKVPILSAEAVHQLHIFIFFLAVTHFFLSAVTVLLGIAQTRYWRHWEKKIQANDDSAPEMIKHVQEFEFIQDHFKGHRKRWRIFGWMRSFFKQFYGSVTEADYTTMRLGFIMKHCRGNPKFNFYGYMIRALEVDFKKVVGISWYLWAMLMLFLLLNVHGWYVYIWISVVPFIMLLVVGSKMEHIITELAYEVAQKHTAIRGDLVVAPSDDFFWFHRPKLVLLLIHIVLFQNAFEIAFFLWLLVTYGFKSCIMGKPAYAITRVVISVVSQLLCGYSTLPLYAIVSQMGNSFKKSIFEENVTESLANWAEKARRRTRIPNNASVGASSSPIGEPDGGAIQMVNTQANSSVGQGTARLVV* >Brasy4G075700.1.p pacid=40089241 transcript=Brasy4G075700.1 locus=Brasy4G075700 ID=Brasy4G075700.1.v1.1 annot-version=v1.1 MAYSEFARGIGDKGAHSLKAAEIFLMRTLDESSSQTDRDCFKIAYVIYVVGNLLAPSSKFDYVPLDFLGAVNDPEKISIFNWSGYVLKHITQGCRKLKSDAASGYSTLTLNGCHLFLQTSTGHSPYTRKRLWPDITDPQGCRSAGSDSRGVEIRRAKTKHNSVGVVELRAPHTVEVLVDRCITPQAVKPEPPGPVERLVGFSDDIWSVLMMAAMDGGNPGRHVLFGETEVANAPVRRVLPPVRFAREPWAVGSFPSAPSHVATMAIDHWMGSAPSTRMAR* >Brasy4G069300.1.p pacid=40089242 transcript=Brasy4G069300.1 locus=Brasy4G069300 ID=Brasy4G069300.1.v1.1 annot-version=v1.1 MDRVAAAMICRLPEECVAHAIAMTTPGDACHSSAVSPAFRAAADSDAVWDRFLPRDYAALLARADDHEDGGGGRESKKELFTRLCSSPVLLDGGTMSFGLERRSGAKCWMLSARALNIVWGDDPSCWTWTADLPGSRFPEVAELLDVCWLEITGKLQLSLLTPRTTYAAYLVFAISDDSYGLECNIGILPPKATTTIVLPSSGNTNSTPNKPPTSTSTSTEHAICLQHLQGEEEAAAHRRRQEFVRWPRNKYYGTMRKKVAREADHDIKCPRRRGNDDEGGRWLEVELGEFVVVGGEDEEEMGVLEVCVKEVECRRWKRGLIVQGIDIRPKHTSSSS* >Brasy4G045800.1.p pacid=40089243 transcript=Brasy4G045800.1 locus=Brasy4G045800 ID=Brasy4G045800.1.v1.1 annot-version=v1.1 MPTVDHRLKRTLKEMSTLWIDLPDFCRPGASPVTDPFHFAVVIDGPDGSPYAGGTFPVDVVIPRYYPFRPPKLTFKTKVYHPNIDEEGKMYLDIFRSNWSPALTISKVLVSIISVLYDPLLDLPVRPGVAHQYKHERGRFEKKARNWTRRYASTPVASFCPAKAAHSGLDYLVLPGAIPPVKQGRAAFLPGWWPVSYALCSRSRHLAIAPA* >Brasy4G393900.1.p pacid=40089244 transcript=Brasy4G393900.1 locus=Brasy4G393900 ID=Brasy4G393900.1.v1.1 annot-version=v1.1 MASAAKLASSSTSVLLVVALLSSVVVLLLLGVGVGVGVAKAQEEEATATQEACRQECYRACSDDTCSCGPGSSGHECQSQGGCMPACIGRCNCPE* >Brasy4G421500.1.p pacid=40089245 transcript=Brasy4G421500.1 locus=Brasy4G421500 ID=Brasy4G421500.1.v1.1 annot-version=v1.1 MASPRSPVAGDETIWKKLSEAGFDEESVRRRDKAALVAYISRLESEVQINMIVTSIRCLLGCLLFAVLKSFKVPCDIFLFFATSNVS* >Brasy4G151200.1.p pacid=40089246 transcript=Brasy4G151200.1 locus=Brasy4G151200 ID=Brasy4G151200.1.v1.1 annot-version=v1.1 MADSNPDGIKRYTPPVHRNRANNRRKAGDRAEKANYLYNNDGEKSHVPSLKNLPPIIHHDAFVSNSQNDYGQSRLVPLEGCSASEAAQLLSDRWAAAMNSYNDPNDSPGKPVMYGGSTGSSWGQGHMKLPHQMNFLEDLRRAVDAQMGLTSTLNSWN* >Brasy4G164200.1.p pacid=40089247 transcript=Brasy4G164200.1 locus=Brasy4G164200 ID=Brasy4G164200.1.v1.1 annot-version=v1.1 AACLNWLAGNWAGVHISAQSPELVVCGLTRRGKEALLHTQAHCIWQRKGCAPGLRVCRVANSVQHGFAH* >Brasy4G049900.1.p pacid=40089248 transcript=Brasy4G049900.1 locus=Brasy4G049900 ID=Brasy4G049900.1.v1.1 annot-version=v1.1 MGVAGRESLLGARPSFPGVVILSWGETSSGE* >Brasy4G234200.1.p pacid=40089249 transcript=Brasy4G234200.1 locus=Brasy4G234200 ID=Brasy4G234200.1.v1.1 annot-version=v1.1 MQSLLRTVYRIGSHRTCTRFMDFAASGVAQSSIKQMMPHSWIRPIESPVIHHNGVCVKALAMRGFSTVGNTEVSLEDEISSSTAVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRVERAIPDVQPGCILQMRVQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK* >Brasy4G234200.2.p pacid=40089250 transcript=Brasy4G234200.2 locus=Brasy4G234200 ID=Brasy4G234200.2.v1.1 annot-version=v1.1 MQSLLRTVYRIGSHRTCTRFMDFAASGVAQSSIKQMMPHSWIRPIESPVIHHNGVCVKALAMRGFSTVGNTEVSLEDEISSSTAVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRVERAIPDVQPGCILQMRVQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK* >Brasy4G398500.1.p pacid=40089251 transcript=Brasy4G398500.1 locus=Brasy4G398500 ID=Brasy4G398500.1.v1.1 annot-version=v1.1 MNRFNQSPWSKVQPEMILSFMSFAEYFCPRFFLLENVRNFVSFNKGQTFKLTLASLLEMGYQVLDTSSSVLALVL* >Brasy4G084800.1.p pacid=40089252 transcript=Brasy4G084800.1 locus=Brasy4G084800 ID=Brasy4G084800.1.v1.1 annot-version=v1.1 MAMLFSQIINFPDGSRCSELAIHLMQLLAHKLRAENMIVENLQGMTSMVTSLATLFDVLHTAVKFESLHILTTLLSKKESPLHDALRSMPSAIWQSHIRGGVIAVLQNRVVSSEKLQALLLAECMMSILGESWLSKDHKIPDNENGMPIDKFVLLVLESARVEVAVLLNELAYLKYESSKSDQTDDAISQKQRNLAILFSLIERIIKMISDASSGEGAPTQTIRERTIMKAITGLNETVSLVLDFIQDAKDHGQRKGDDLLAAVRIVGSYLAETPYACKEKTERLLEFIFCIEGQDESSPFYSVCFMLPMLSQITATADGCRTLASFGGYRTVIDCLIKMTKQNGMIDHGSMFLACDTIINLMSNKKNAHIPMEPYFVRLLEALTTWAGTMDVSSVIMTAASLCTMVMDLTSEDFLLSCSDFDSKTLETLADLIVRSLRQDIPDDDGKQLNQKQTIVSGYKRWADRFPRVKNVVNRNVSV* >Brasy4G084800.2.p pacid=40089253 transcript=Brasy4G084800.2 locus=Brasy4G084800 ID=Brasy4G084800.2.v1.1 annot-version=v1.1 MAMLFSQIINFPDGSRCSELAIHLMQLLAHKLRAENMIVENLQGMTSMVTSLATLFDVLHTAVKFESLHILTTLLSKKESPLHDALRSMPSAIWQSHIRGGVIAVLQNRVVSSEKLQALLLAECMMSILGESWLSKDHKIPDNENGMPIDNYLAETPYACKEKTERLLEFIFCIEGQDESSPFYSVCFMLPMLSQITATADGCRTLASFGGYRTVIDCLIKMTKQNGMIDHGSMFLACDTIINLMSNKKNAHIPMEPYFVRLLEALTTWAGTMDVSSVIMTAASLCTMVMDLTSEDFLLSCSDFDSKTLETLADLIVRSLRQDIPDDDGKQLNQKQTIVSGYKRWADRFPRVKNVVNRNVSV* >Brasy4G169200.1.p pacid=40089254 transcript=Brasy4G169200.1 locus=Brasy4G169200 ID=Brasy4G169200.1.v1.1 annot-version=v1.1 MAVGPRPLPHSSSASVRRRERWLVVLGIALHAVYMLSIFDIYFKSPIVHGMPPVPPRLSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIQEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSLPHSTWDTYPHEYEDFATDASFLDHWSFDQFEGLLNRSLDDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDEIAEKMYNLMESYFNDDQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFMTYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGNLPSHYLKLSEADEVEAVLANTKQILNQFLRKSEQKESSSLYFKPFKPLVNYTSVLDQIEDLISARDYETAMKQSEELRSTALAGLHYFQTYDWFMLMTTITLGYIGWMANLVLHVLQSYTTFPANLPKRTQLHPNNTSMKVYIGGCLFMGLSSIILLLEKSPPLYHAYVFMTIFLWTRIVQNFEFIKSAWRELSNMPFKYIMNLLSCSVVVLFILEFLVMSFFDRKLYTWCFLALGILSSICVALFIQASPAVAMYTWLACWFLSVFTLMPAEIPENNNLVIFSGVLIVLISMASRWTTTNNTSFWLYLNRANKRGPKTSKLIYVQVILVAISSIMVWLSTSHRSRNKELHPLHQLINWWLAGFAMVLPLFSPRSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIYLESANLYCSEENDIACHNGLVDGSALGYDERCLQLSDLRIPLLFIILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAVTKIVRIPRLGCYFLVILLSDVMTIHFFFLVQNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTKDIEVLSRQLTSRKVM* >Brasy4G169200.2.p pacid=40089255 transcript=Brasy4G169200.2 locus=Brasy4G169200 ID=Brasy4G169200.2.v1.1 annot-version=v1.1 MAVGPRPLPHSSSASVRRRERWLVVLGIALHAVYMLSIFDIYFKSPIVHGMPPVPPRLSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIQEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSLPHSTWDTYPHEYEDFATDASFLDHWSFDQFEGLLNRSLDDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDEIAEKMYNLMESYFNDDQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFMTYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGNLPSHYLKLSEADEVEAVLANTKQILNQFLRKSEQKESSSLYFKPFKPLVNYTSVLDQIEDLISARDYETAMKQSEELRSTALAGLHYFQTYDWFMLMTTITLGYIGWMANLVLHVLQSYTTFPANLPKRTQLHPNNTSMKVYIGGCLFMGLSSIILLLEKSPPLYHAYVFMTIFLWTRIVQNFEFIKSAWRELSNMPFKYIMNLLSCSVVVLFILEFLVMSFFDRKLYTWCFLALGILSSICVALFIQASPAVAMYTWLACWFLSVFTLMPAEIPENNNLVIFSGVLIVLISMASRWTTTNNTSFWLYLNRANKRGPKTSKLIYVQVILVAISSIMVWLSTSHRSRNKELHPLHQLINWWLAGTMVMTEKYTRKIVGMGWFRTSVSSY* >Brasy4G168600.1.p pacid=40089256 transcript=Brasy4G168600.1 locus=Brasy4G168600 ID=Brasy4G168600.1.v1.1 annot-version=v1.1 MPVHSMMVLDLPAKTIKEVEKICRGFLWKGRKDVHGGHCVVAWPSVCMPKQFGGLGIPNLSLLNAALRVRWRWLERVDETKPWRELTFRSSELVDVIFEAATSSRLGDGRSTLFWTDRWLDGGRIRDRFPSLAAAVRPRISRTRSVRDGVLGAWISDVGPDLGVEAIGEFLTLWERLLRFQLPDGGCDTLLWNWTSHGSYSAKSAYSNLFAGRVTDPLAGPIWHSRAPMRCRFFAWLAVRNRCWTADRLRRRGLPHPARCPLCDQEPETISHLLLGCVVARQVWGPILTLWGHLDWMPVDDSNIREWWASISVPHDAQKDFRTAVILVFWSICRHRNDVVFNGVSSSCWCILTHVLQEAVRWEQASLCRGNFSLSALRASGLLVVE* >Brasy4G147900.1.p pacid=40089257 transcript=Brasy4G147900.1 locus=Brasy4G147900 ID=Brasy4G147900.1.v1.1 annot-version=v1.1 MASAWCLAAAPGALGVSASASGGVFVARAAVPARRRRRWDALVVCMAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEAQPQEEPQEEDPDKEDPEEDDPDKPTE* >Brasy4G280300.1.p pacid=40089258 transcript=Brasy4G280300.1 locus=Brasy4G280300 ID=Brasy4G280300.1.v1.1 annot-version=v1.1 MTSPMPRRPRHYARSLLPLFLLAIIIAATPTATTAASAYNRTSNCTLLPDADIVRKAFLNVNNFPLPRSGRSACRPVRRLRFPSSNLTGVPRWDELANLSSLLTLDLSHNSLEGELRGAFWRAPSMRAVDLSGNRLGGALRFEPSTRLRSLSVSGNRFTSVEGVDLLGGLESLDLSGNIIGKVPEGLLRLGAQVRWLDLSRNSMAGRFPDDFPPLEGVELLNISHNNFSGKVDARTVRKFGPSAFFQAGNALLVVEDFEPAPATKGRKKLSRVVLIVVVVVCVVVAVATVAFLAGCVACGLNLKRGKKKRGKKKDKDGKAAAATWGEDEVAVGAVKVAATAPVIVLERPLMELTLADLAAATSGFGRESQLADAGGRSGAAYRAVLPGDLHAVVRVVEGPVAGVGEDDGAAATAAAFRELARLRHPNILPLLGYCIAGKQRLLLYEYMEKGDLHRWLHELPAGSMDVQETGIIGPTWDATEDMDTSNKPIGDWPTRYRLILGIARGLAFLHQGSSGRPIVHGHLVPTNILLGDDLEPRISDFVHPGSSNESPEGDVYGFGVLVFELVTGQARWDEATVSWARGVIRNKKGSNIVDARLREETETETRRTEREAEECLQVGLLCTAHSREKRPTMQQVVGVLKDIRPAPAD* >Brasy4G165100.1.p pacid=40089259 transcript=Brasy4G165100.1 locus=Brasy4G165100 ID=Brasy4G165100.1.v1.1 annot-version=v1.1 MAKKRKRSSDATAPAPVPKPDDSAPERPERTLFGFKDDSAAEPASKDGGPVGPFFRNKEKVLITCSRRIVYRYRHLMQNMVSLLPHAKKDSKVEAKQSKGSALNELVELRSCSSCLFFECRKSKDLYLWMVKSPAGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDQQPHWKLLKEMITQIFATPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPVLYENPFYVSPNQIRALEKRKKAGKYATKVKAKVRRKMHEMENTLEPDEFADLWKGED* >Brasy4G350200.1.p pacid=40089260 transcript=Brasy4G350200.1 locus=Brasy4G350200 ID=Brasy4G350200.1.v1.1 annot-version=v1.1 MASEKGVGCELCGGVAAVHCAADSAFLCAACDAKVHGANFLASRHLRRRLADHADADAGWADSGSESSSSSSSCVSTADSTSAAAAAAPRRRSKLRQRRRRALAEVVLEGWAKRMGLAPGAARRRAARAAAALRTLGRGVSDARVPLRVAMAAALWSEVCGCGGVEAPALLRRLEAGAHVPARLVLAVASWMAARTAGGRAAQDEGWAECS* >Brasy4G366100.1.p pacid=40089261 transcript=Brasy4G366100.1 locus=Brasy4G366100 ID=Brasy4G366100.1.v1.1 annot-version=v1.1 MLTRAGGKVYLETSITSAMLKRDGGNNEDGTEIDVNPSKRKRQLIVKLILEYLDNDMVFLPVNIKDSHWYLANINAPKHVVQVLDSFGAIMNRNDLHKTLKGLSKYIKIVQETIPDLTCNRWPDMDVTKWAVEEMLQHKTQTDRYAQHY* >Brasy4G042400.1.p pacid=40089262 transcript=Brasy4G042400.1 locus=Brasy4G042400 ID=Brasy4G042400.1.v1.1 annot-version=v1.1 MGRQPCCEKVGLKKGPWTAEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEKLVIDLHSQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLKKMGIDPVTHQPLSLKNHQHQQQQEEQDHRHPQIRAGSEDPPKPSSLEPSSGAADEEEDEEEEAPMSAEQKQGTACSASSSAVLSPSSCSSSASAATPGGADVDWPDPGDLFQVDAIMDMDWASILSGTGTGGVDLLFDHCSDIGFDQQVWM* >Brasy4G239500.1.p pacid=40089263 transcript=Brasy4G239500.1 locus=Brasy4G239500 ID=Brasy4G239500.1.v1.1 annot-version=v1.1 MSNHRKFRLSHLMPNSWFYKITEMKRPRPPSQRSTAAATRSSKRPSNHYCHGTTTPKPLPLSQHQSYYTYLQAKEVFPEKLHLSPLHPNPKATDIQFPKDHHHQSPTSRSIAAVIEDDEFHGLQLRPIRTRPASTDARSVHQTTITSSVACPSSPRLRSRRMHDQSSCCRVSTGHQRSGAARSFAVLIASRNPSRDFRESMVEMIVENDLRAPNDLEGLLECYLSLNSREYHRVIKEVFEAIWLQIADDSIEV* >Brasy4G118600.1.p pacid=40089264 transcript=Brasy4G118600.1 locus=Brasy4G118600 ID=Brasy4G118600.1.v1.1 annot-version=v1.1 MACNSTAVSGSRVIIIMLLSNTGVNHIAKSNALRLCVLISLFGLMAAYAAGSCREIRTSIFVLTLVGAVLLYLIIQRVAPIVPKPEFVQKSINWIKEKKIKMISQLDSFMENCSTTNAQVTPLGYDGQQSYHNDRSNTVSDVKDDLGKLRTYLLLLGILAATITYQAGLNPPGGFWPDSRDEHLAGDPILEAINPMRYNAFFHCNATAFVSSLVIITLLQSQQIAVGAMKRYVLQTAMIFDLFSIMGAYAAGSSRTLSTSLYVIILVILVFSYVMLHIVLFVGARVPDESAQKQDNPELKDLEKRRKFLMLLAVLAASSTYQAGISPPGGFWTDSKDGHQAGYPLFNDEFPHRYKAFFYFNSTAFMASLAVIMLLVSKRLCQHGLKGYTLRVCVLLDLMSLMGAFASGSCRKESTSVYAILVVAAVFAYIMIQFLVLTFAKDKVIDLFDWMFHVTAFKHPNQPKNYDRSTKLDRRPGHKWRKDLMLVGTFAVSVTYQAGLLPPGALWPDDRDGHFTGDPVIHDTHPIRYKMFFYCNATAFMASMVIVILLLNSTMSKYRRSLLAMKTAMVMDLLGLLGAYAAGSCRNLKTSAYIFALFIAVFIYISIHILLSFDKIARVVRKRGEQWISCLQKIWAPIEADSSNHQPSTETEP* >Brasy4G048800.1.p pacid=40089265 transcript=Brasy4G048800.1 locus=Brasy4G048800 ID=Brasy4G048800.1.v1.1 annot-version=v1.1 MQDFQSIPGLAGRLFGGAAAAADIRRAQGAASSRCGGGFSQEVVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKSKRSSSSSATPTSPTSATATAANNGNAKSQRRASSSSRDSNSGSTSPTATAPPPATPSSITFANPFGGDVPPPAPIFADQAAALASLFAPPPPPPLPVFNFSPQPKTEGSAIGSVAVVLAAAEPEAPTSVSESTAAADMAPFMSLDTGIFELGDDASAASYWNAGSCCWGTDVQDPSVYLP* >Brasy4G019000.1.p pacid=40089266 transcript=Brasy4G019000.1 locus=Brasy4G019000 ID=Brasy4G019000.1.v1.1 annot-version=v1.1 MGPLQALSLVLLTSLAMSAPSGYRLALTHVDSKGGFTKTELMRRAAHRSRLRALSGYDTTSPRLHSVQVEYLMELAIGKPPVPFVALADTGSDLTWTQCQPCKLCFPQDTPVYDPSASSTFSPLPCSSATCLPIWSRNCTPSSLCRYRYAYGDGAYSAGILGTETLTLGSSSAPVSVGGVAFGCGTENGGDSLNSTGTVGLGRGTLSLLAQLGVGKFSYCLTNFFNSTLDSPFLLGTLAELAPGPGVVQSTPLMQSPQNPSRYFVSLQGISLGDVRLPIPNGTFDLSTNGTGGMIVDSGTTFTILAESGFREVVDHVARVLGQPPVNASSLESPCFPALAGEPFLPDLVLHFAGGADMRLHRDNYMSYNEEDSSFCLNIAGTTPESTSVLGNFQQQNIQMLFDITVGQLSFLPTDCSKL* >Brasy4G205900.1.p pacid=40089267 transcript=Brasy4G205900.1 locus=Brasy4G205900 ID=Brasy4G205900.1.v1.1 annot-version=v1.1 MYEQGLILLPHLATLGWGVRPGREVLDTFPYFVSGVLHLIYSSLGAFLLVLKALYFGGVYDLVSPGVILVIY* >Brasy4G224200.1.p pacid=40089268 transcript=Brasy4G224200.1 locus=Brasy4G224200 ID=Brasy4G224200.1.v1.1 annot-version=v1.1 MLRCVYTQRRWAHRRGGFVTGGTGWSQKRGPAAMVGAKKSEWWAVDGELHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKQYMELYQELRENWERLYWDEGYSKKIAEDRANYDSAEEDDLDFSPYSRRRSSSIEPNKDLGSGESRQGETWERVTQIRDKFEYDRERRMRERAFAPMNIQNNFGQHDSKSRNQNDSSFASTNIENNFGPRDRDSVNQTVRSFQHDPSSRNQRDSNFRDESNFRNERYPNFRNQRDGNNKWFGDHKF* >Brasy4G165200.1.p pacid=40089269 transcript=Brasy4G165200.1 locus=Brasy4G165200 ID=Brasy4G165200.1.v1.1 annot-version=v1.1 MALLLLLLLFLQAPFYAQAQKNITLGSTLAVQGPNTSWVSLSGEFAFGFRPLETNTSVYLLAIWFNNIATKTVVWCAKSEKPVSVPSGSQLQLTPGGVLSLQDPAGTEIWNPRVTNINHASMLDTGNFVLYGKDGSIKWESFASPTDTILPSQVMVKGTVLRSRLMENDYSDGRFVLSVQVDGNLRFYTVAVLASSLYDPPYWDSKTGGNGSSLVFNTSGGIYYTSNSGEQLKITSATLDSPADFYHRATLDTDGVFRQYVYPRKAAQSNGWNMQWRIIDLLPRDFCKVVTGEIGSGACGFNSYCSFNINKSVDCQCPPSYSFIDNERRYKGCKQDFAPHSCDLDEAESIQQFRLVPMNNINWPFSDYERYNPIGEDSCQKLCLTDCFCVAAVHYRSTCWKKRSPLSNGMSGDIVGSVFLKVPRTDNTGSQFSSDSSTWKKEKRYWILGSSLVLGGSVIVTVFLISLLCFGSYCTISRKKTAQPQSMSYEALPLREFTYKEIEKATDGFREELGSGASGIVYKGQLQDEFRTSIAVKRIDKMLPETEKEFAIEVQTIGRTFHRNLVRLLGFCGEGRERLLVYELMTNGSLNGFLFCGTRPTWNLRVQVALGVARGLLYLHEECNTQIIHCDIKPQNILLDENLVAKISDFGLAKLLRTNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDIYSFGVILLETVCCRRNVELETDDEEQAILTYWANDCYRSGRLDLLVEGDDEAIFNMKKVERFVAVALWCLQEEPTMRPTMLKVTQMLDGSVTIPTPPDPSSFISSLQ* >Brasy4G266200.1.p pacid=40089270 transcript=Brasy4G266200.1 locus=Brasy4G266200 ID=Brasy4G266200.1.v1.1 annot-version=v1.1 MSMGDAMPSVLIPMPSRDRDRDPLVPPAAAAATATSSGAGADSEDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFCFVDGFFSPIYAHLGINIIGLGFVTSISFIFVVGVFMSSWLGTSILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQSYSREEKLYCVYVPTNHLYIGDMFLVTSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEDNELNRIRSSRR* >Brasy4G013700.1.p pacid=40089271 transcript=Brasy4G013700.1 locus=Brasy4G013700 ID=Brasy4G013700.1.v1.1 annot-version=v1.1 MLEGQSCLISRSLPASSEQESRLAYMTYHLLEITRTKRPSGVLAIEQDGIAVVAVSAKRPKSEDKNNDEPLDCQGSNGQGYSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRNFRSLVRDGGLYKERRRLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPPNECFMCSDKESLAVGTELLVFGKEILAHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDASGQVLRSAELYNSETKRWTTLASMNKARRMCSGVFMDGKFYVIGGMAGSNTEVLTCGEEYDLDKGTWRVIENMSEGLNGASGAPPLVAVVDNELYAAQYAGKLVRKYNKSDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC* >Brasy4G354600.1.p pacid=40089272 transcript=Brasy4G354600.1 locus=Brasy4G354600 ID=Brasy4G354600.1.v1.1 annot-version=v1.1 MAMYTGGCIRVCSRRHRLLVFDPPSPRCCLLVSPFDSCPRRSPAPQYSIRKALQPTAPAPAWSCSHGRHHGVARPHRGQRPTSHRRRQSRGQVDLAELDGPFVKLRLKVKFWHTRATVVALIGNYLKNRTPL* >Brasy4G125300.1.p pacid=40089273 transcript=Brasy4G125300.1 locus=Brasy4G125300 ID=Brasy4G125300.1.v1.1 annot-version=v1.1 MSATGLRVGDVDEGALPSVQVLREFVDHFMLLRGHARLDTCEFSFGMSDEEHDYEMSDADVSHVSLWIRYALLCQVRVLRLRYISHDVSFLVSRHLTRLELESLELGDNFLSFSSCPVLENLEITSCIIRCASISSQSLRHLSISSNTSFCYHYRTRISAPSLISLSLEDVCDRTPVLEGMPSLVEAFVGFAKDSERCFHSDHGDCGDEDCRVCYGITNDDNGSVILVDLSEATDLALLSVNKTSIFRRDLKCCPIFSKLKTLLLNDYWCVAPDFDALTCILKHSPILEKLTLQLFIEGNKHVEIKGSCSSMERSSALSEHLKIVEVKCSVVDVRVLEVLKFLCTLNMRKI* >Brasy4G125300.2.p pacid=40089274 transcript=Brasy4G125300.2 locus=Brasy4G125300 ID=Brasy4G125300.2.v1.1 annot-version=v1.1 MSATGLRVGDVDEGALPSVQVLREFVDHFMLLRGHARLDTCEFSFGMSDEEHDYEMSDADVSHVSLWIRYALLCQVRVLRLRYISHDVSFLVSRHLTRLELESLELGDNFLSFSSCPVLENLEITSCIIRCASISSQSLRHLSISSNTSFCYHYRTRISAPSLISLSLEDVCDRTPVLEGMPSLVEAFVGFAKDSERCFHSDHGDCGDEDCRVCYGITNDDNGSVILVDLSEATDLALLSVNKTSIFRRDLKCCPIFSKLKTLLLNDYWGTNMWK* >Brasy4G024600.1.p pacid=40089275 transcript=Brasy4G024600.1 locus=Brasy4G024600 ID=Brasy4G024600.1.v1.1 annot-version=v1.1 MAIAISQEAFDDMVRENMEDLGMDPEEALADAVDALTLQGADLAGIIKRVPGEAATAEVSPVMRVLDELKASASHSGRSEEELDRLVSSLDELRELCSGEGSENAAVASRNGGVEALVALCASGGVKQERLLSSGLKALSSMIRDVGSTEKFRQSQGPKIVMDILKGALESSDILDGGFGVVAMGSAGNEVVKESFMDMKVAELILQVMREKSNCKVQSLYDAIRVLLTPDDNRVVASQVYGYSRKFAEIGIAEVLVNALREQVAPSSLPSACAALKAIAVNDEICRSISESGGIDVLLQCIDEAGVQKNKVIAKSCCSLLSKLAGSDANKANIIQQGGFDRFLKLASRFSDDPSVIQEVMSIVTILTLRSPEHAALAITVGYGTLAIQAMQKFPSSALTQKQACLMIRNLVVRNPENRTILLNEGVEKLIRKAKAIHGSCKAAATDALRDLGLDNYNA* >Brasy4G123800.1.p pacid=40089276 transcript=Brasy4G123800.1 locus=Brasy4G123800 ID=Brasy4G123800.1.v1.1 annot-version=v1.1 MAEPPASSAPVVRFSSDSLKLWSAHKKNSEKHSAGLNLRLIKLCFDDRLILWPSGAGASSSLPRPCRPVRFSSCPGTAYRH* >Brasy4G180100.1.p pacid=40089277 transcript=Brasy4G180100.1 locus=Brasy4G180100 ID=Brasy4G180100.1.v1.1 annot-version=v1.1 MGDAPCNVMPRVRRGGLLWRGLGRRRKLPVVRLGAGSSSREGGGRVRGRGLLRRLRLRWFAARARWLRRAVRKLAAIYMAALEGPPAPSSSSCPAWIGVEPCFATPFVPNARPFR* >Brasy4G235000.1.p pacid=40089278 transcript=Brasy4G235000.1 locus=Brasy4G235000 ID=Brasy4G235000.1.v1.1 annot-version=v1.1 MRHQLPPLTPWTNLDLDLDLDLDLDEGTVAVRDATAANGTSSGSGSGSHMMLSHNAYERDRRKQLNELYLSLRSLLPDADHTKKLSIPTTVCRVLKYIPELQKQVEDLEKRKEELTSANCKPGVILSGGIALAPTVSATCLNDKEIMVQVSLLSNTDAAAATSTLPLSMCISVLENEGLRLISSSTFSTFGDKTY* >Brasy4G159800.1.p pacid=40089279 transcript=Brasy4G159800.1 locus=Brasy4G159800 ID=Brasy4G159800.1.v1.1 annot-version=v1.1 MEPDPKPKSSKKQKKPAGGEGEDIDAIKSDVASFASSLGLLPGTGNNSGFDDSDFRKSGPIKAPKPPKQPKTPEAPQDTAKPPNPKPTKKPHPLELHGPPAATTTGATTNYPLVKAGALSGQWYVDADELETKVLGGRKHSPPAVGIQEMQRMVERKRELAEKLMMQYMREYDIVRRGTGDLKLLEMSAKSGTSADKVSAFTCLVQDNPIANRRALDSLLGMVASKAGKRYAFTGFDALRELFEMRLLPDRKLKSLIQRPLDVLPETKDGYSLLLFWHWEDCLKQRYEKFVIALEDALKDMLPSLKDKAMKTVSALLKSKSEQERRLLTALVNKLGDPERRAASSAAYLLTGLLSTHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSHIFLTNKGDGSKIAKRLVDVYIALFKVLMSSPRDTKGDKHSKRGKKNEENGKAKGRKDNINVSNLHGNHEADPPAGSDLEMDSRLLSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSENFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPSAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKTKPPLWTIVLQNESVDDGIEHFEDIVENPEDPAIALTTPNKHDGASASLEKYSSDAEDGSDTTKQVNVAAGSEKGETNASTQGSTSHALYNPRHREPSYCNADRASWWELTLLASHVHPSVFTMARTLLSGNNIVYNGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDMNHHLIGRELLDLEENEVPPEDVVFHRFYMKKTGPIKPKAKKKASVLDEDAGELLADDVDDASDESDDEMQDLGDESADDGDYEDDGEYDYDNLDAKAFEEEGDLLTDGSDVDELDVISDEGDDDEDAGLNMSGMNADSDDNIGDVKAAPRGQKRKHGKKSGSTPFASLEEYEHLMDGDAEKPTLKKKLRKHKGTGYNVGRKEKLKLSGSRKRKSKRSE* >Brasy4G378700.1.p pacid=40089280 transcript=Brasy4G378700.1 locus=Brasy4G378700 ID=Brasy4G378700.1.v1.1 annot-version=v1.1 MPSSLGLAVALLVSFVSAVSSCTQQEKGYILQFRAGLTQDDGLATAWQEDGHDCCNWEGITCNAKMMITGVSLASRGLEGNISVSLGNLAALKLLNLSHNSLSSGLPVELVSSRSIAVLDVSFNRLTRALRELPPSPAPGRPLQVLDISSNLFTGEVPSWKAMEHLIALNASNNSFAGPIPTHLCDSSPSLAVLDLSHNKFSGGIPQALGDCSMLRVLNAGYNNLRGTLPDELFNATSLEHLSFRGYELHGVLDEAHVINLRNLVTLNLGRNNFSGRIADSIGELKRMEEVNFDQNNMSGELPAALSNCTYLVTVDLKINVFSGELSKFNFSNLPNLETLDLMDNNFSGTIPESIYSCSNLTALRLSANNLSGQLSPRISDLKHLTFLSLSVNSFKNITNALHILKNCRQLTILLIGKNFRGELMPEYERFDGFENLQVLGIEGCQLLDISNNSLTGEIPTALMDMPMLKSEKTEAHLDPRIFKLPVYSGLSRQYRKPIALPKVLDLGNNKFTGEIPVEIGRVKSLLSLNLSSNDLTGQIPQSICNLTRLLVLDLSSNNLTGAIPSALNSLHSLSAFNVSSNDLEGPIPSGGQFNTFEASSFNGNTKLCGSMLIHKCKSAEAPSATILSTKQTDYKAAFTIAFSAFFVVGVLYDQIVLSRYFG* >Brasy4G182400.1.p pacid=40089281 transcript=Brasy4G182400.1 locus=Brasy4G182400 ID=Brasy4G182400.1.v1.1 annot-version=v1.1 VHRRPTEQHNHQQVGYAADGDLEDDAPEQRPFARPTGALRIPQPGRDQVGHAARVPLDDGGLGRLKLSIPPFSGTREDPEATMSQFFNGLNIEVQDRVEMVSYYDIQDLVHQAERAEQQFKRRQAIAPANSWRRAQTEAAGSSAKSTPSSRSNHVSYNEAPKSGVSKAASSTQSTSNIECFTCGGRGHMRRDCPNTKRVMLTQDGYVSASDDDKVDVPSSVESEDHDNFDVYPEDAAPNYTNLMVQRKRHVLQPMLDKDIKVATFAVKKKIQQAKSKPRTISSQVGGDDEGRISITPIISPTPYILKFGSFCVEVPAKEEVKPNFRTPPVLKFGSV* >Brasy4G104200.1.p pacid=40089282 transcript=Brasy4G104200.1 locus=Brasy4G104200 ID=Brasy4G104200.1.v1.1 annot-version=v1.1 MLQYALLHLAGYQGVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLCIVDHYTYVVLGDGCQMEGVANEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFSEDVLVRYEALGWHTVWVKNGNSGYDDIRAAIKEAKEVKDKPSLIKVTTTIGYGSPNKASTHSVHGSALGPKEVEATRNNLLWPHEPFHVPDEVKRHWGHHIDEGASLEAEWNAKFAEYEKRYHQEAAELNSIISGELHAGWDKALPTYTPESPADATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKMFGDFQMDTPQERNIRFGVREHAMGAICNAIALHSPGLIPYCSTFFVFTDYMRAPIRLSALCGSGVIYVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILVLRPADGNETSAAYRTAVVNRQRPSILALSRQKLPQLAGTSVEGVAKGGYIISDNSSGNKPDLILIGTGSELEIAAKAADDLRKEGKTVRVVSLVCWELFEEQSEEYKDSVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGKIYKELGLTVEHIIATAKSI* >Brasy4G104200.2.p pacid=40089283 transcript=Brasy4G104200.2 locus=Brasy4G104200 ID=Brasy4G104200.2.v1.1 annot-version=v1.1 MLQYALLHLAGYQGVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLCIVDHYTYVVLGDGCQMEGVANEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFSEDVLVRYEALGWHTVWVKNGNSGYDDIRAAIKEAKEVKDKPSLIKVTTTIGYGSPNKASTHSVHGSALGPKEVEATRNNLLWPHEPFHVPDEVKRHWGHHIDEGASLEAEWNAKFAEYEKRYHQEAAELNSIISGELHAGWDKALPTYTPESPADATRNLSQQCLNALAKMDTPQERNIRFGVREHAMGAICNAIALHSPGLIPYCSTFFVFTDYMRAPIRLSALCGSGVIYVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILVLRPADGNETSAAYRTAVVNRQRPSILALSRQKLPQLAGTSVEGVAKGGYIISDNSSGNKPDLILIGTGSELEIAAKAADDLRKEGKTVRVVSLVCWELFEEQSEEYKDSVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGKIYKELGLTVEHIIATAKSI* >Brasy4G373000.1.p pacid=40089284 transcript=Brasy4G373000.1 locus=Brasy4G373000 ID=Brasy4G373000.1.v1.1 annot-version=v1.1 MAASQAPVSRETDRRWHEPPAPLRPPRLLLAGPRHREGPSHDGRGVHKHPCEGRRFGRAGGGGEGFGIDFGFDQEEGGGRASVAEARRTGSGRRGKLGRGGGAAGEGQSEAANGGGVEAACAAQGRASVRGGALMDGTGSGGRDPGRRWKGSGR* >Brasy4G162300.1.p pacid=40089285 transcript=Brasy4G162300.1 locus=Brasy4G162300 ID=Brasy4G162300.1.v1.1 annot-version=v1.1 MSGRSSPPFDGLAPGPDSEWDVVVKVKYGDTLKRFNGYVNGTHFTLNLSALRSKIASAFKFALDDDFILTYTDEDGDVVMLDDDEDLHDAAINQKLNPLRINVQLKNSHAGASQVIQQESSPTPLRATVQEDPFAQIKSVIDEALKPTPNPLRPTAIEKDPLAHLKSAIDEAMKSINEPDSLAKLSREVLDAAPPQLTGLITPFVNLITSTNNSLSNGHVGSSSGSSSGVPQAQVDPKANDEPKVEARGGSRPLHAQNSESSEKGGPKSVVVETPVAHVAKASQGQQPSLYPSVEELLFATNSGGNSSSWKGKSVMPSAAPVATPPVPDFRPSPPTSLANEWFQPRRWADGWSQPRSIWQTETNAKPDSGSKWRIPVYKAPHPFPPVPHAPQGYGHFPRFPYPGRLLSAERLYGSPGNNSENSPRTSHRWIQCDGCGVQPIVGPRYISNLKEDYDLCDVCFNRMGNEVEYTRIDKPILPHKLSRDPNLCRKIHSRAAMKSKREKLESRFILDVTVLDGTLMSPSTSFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDQEMDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSDSRTAAMNLNLPMESNRTNSSNLIDVNIEPVDQVLGEHIKGTKVELLQPLIYHEAAEPKKSPSASVAAHPPIVDVPSSSTNADSVPSMYVLAPEPVTSPVTTPANVPTSLLPSAPVSVPVPSATPVVEAASEPLDIDSLTEDKLLQELEEMGFKQVDLNKEILRQNKYNLEQSVDDLCGVNEWDPLLAELHEMGFYDTETNKEALAKNGGSIKRAVMDLIAREKDK* >Brasy4G430200.1.p pacid=40089286 transcript=Brasy4G430200.1 locus=Brasy4G430200 ID=Brasy4G430200.1.v1.1 annot-version=v1.1 MGKKKPAPLLAILAILLGEMAASVRPPPPHLPVAGQQPASVRPPPPHLPAGRQQPASVRPPPPQQQSWSQPPRPAPCSSRIEIMDAQDRASLHGDCTAGRLT* >Brasy4G228800.1.p pacid=40089287 transcript=Brasy4G228800.1 locus=Brasy4G228800 ID=Brasy4G228800.1.v1.1 annot-version=v1.1 MEGEAKGGSVESGSRKRDKLDSDGAKRGSSRTTNSGSIMYKSLRLKQALESKIGRSIEKEMGLKQTERESIILVGQGRRRLKDHIEEFALALKTSLLLPEMDEGEERQFVEAKIQEFVSDLSGLRMVKEDQGEEEQEMMCGGEEQEAAAAGRPKTEELEEFLERRRKVGVELQTADDFLERSRNVEVQTGEKEMDNRCIMDKGEEKQFVEAKIKDFVSDLSRQRMEKIGKEEDEGGEMQEAEVPEFIRGFKVGVPRAEDCLERSRKVKLQTAEKEFLERHRNCKAEVEGAVVLEEEGPTDHLVTEIDEESFAGYREGWEVTWGNGHGHSFENLTLLSSMLFTHCTPGSIPMDAVVGKTFQVYSVRVMETKGFKWPLNVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSCLHLTGPCRAIVSHEPVDIEIQLRVKGAKKSEDRPLMSHVFTFRGEYCHHLRSSLVNNRICTIELSYQQLKESVQATIFGVHVAEPSSFEFGVRVVCSSLSQDPQEVVGSDYQEVVLFDSKYGEMPMGNGYLNLSRQVVSVELQGLLRVHIQAYTPSGGIAACGVVFVTPKTCNTSQHRCVVGDSIVEFTVAWSLLVEDEMLILSNGCVDPYEVLPPMHPDVEKLLGLSESSWSYKDC* >Brasy4G228800.2.p pacid=40089288 transcript=Brasy4G228800.2 locus=Brasy4G228800 ID=Brasy4G228800.2.v1.1 annot-version=v1.1 MEGEAKGGSVESGSRKRDKLDSDGAKRGSSRTTNSGSIMYKSLRLKQALESKIGRSIEKEMGLKQTERESIILVGQGRRRLKDHIEEFALALKTSLLLPEMDEGEERQFVEAKIQEFVSDLSGLRMVKEDQGEEEQEMMCGGEEQEAAAAGRPKTEELEEFLERRRKVGVELQTADDFLERSRNVEVQTGEKEMDNRCIMDKGEEKQFVEAKIKDFVSDLSRQRMEKIGKEEDEGGEMQEAEVPEFIRGFKVGVPRAEDCLERSRKVKLQTAEKEFLERHRNCKAEVEGAVVLEEEGPTDHLVTEIDEESFAGYREGWEVTWGNGHGHSFENLTLLSSMLFTHCTPGSIPMDAVVGKTFQVYSVRVMETKGFKWPLNVYGVVAARDEVDKHRNPLFLRSREDCQILYKEDSCLHLTGPCRAIVSHEPVDIEIQLRVKGAKKSEDRPLMSHVFTFRGCFGGATRIAESSYPGLHTIRWHCSMWGCLRHA* >Brasy4G363700.1.p pacid=40089289 transcript=Brasy4G363700.1 locus=Brasy4G363700 ID=Brasy4G363700.1.v1.1 annot-version=v1.1 MGAKLPATAVFLLLLVTFGFGQKETPCEAPSVTLKNLLSCSRIECKTACIGEGFEGGYCRPITEPLCMCTNWCHDGRPNRV* >Brasy4G040100.1.p pacid=40089290 transcript=Brasy4G040100.1 locus=Brasy4G040100 ID=Brasy4G040100.1.v1.1 annot-version=v1.1 MDLEVAHGAGSPLRKQQKEKRGGESWGAVLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIRHSAGNEEIYGVLSFVFWTLTLISLLKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTREDLMAEQDKAAAAVGRRVSRARTLLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKEHHKYVELPVTCAILICLFALQHYGTHRVGFLFAPIVCIWLLCISTIGLYNIIRWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQASIQIAFVSVVYPSLVLAYMGQAAYISQHHSFESSYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALSCFPGVKIVHTSSTVHGQIYIPEVNWILMILCLAVTIGFNNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLLFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMSVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQQDDLEFEKDLIHSIAEFIRSGGSDQNGLVEGSSEKTCERLSSISSGAVPLWEEEQNGEEADGTATSPNKEINQQTVSSAQAQPKKRARFVLPKSAQVDSEVRSELQELMDAREAGMSFILGHSHMKAKSGSSFVKRIVINFFYEFLRRNSRGPSYAANIPHASTLEVGMVYQV* >Brasy4G221300.1.p pacid=40089291 transcript=Brasy4G221300.1 locus=Brasy4G221300 ID=Brasy4G221300.1.v1.1 annot-version=v1.1 MPVVLPSSRPVACQCLLPLPATRTHTLGCARHVLPPRSPPPRLLSAPSCPQLAVAAASHPRWPRSRAPTPRAPRGLEQFYHGNKEKYHS* >Brasy4G296200.1.p pacid=40089292 transcript=Brasy4G296200.1 locus=Brasy4G296200 ID=Brasy4G296200.1.v1.1 annot-version=v1.1 MALVLYAGSGNKNAFKALIAAEYSGVKIELVKDFQMGVSNKSPEFLKMNPIGKVPVLETPEGPVFESNAIARYVARVKADNPLYGSSLMEYAHIEQWIDFSATEVDVNIGKWLYPRLGFYQYVAVSEEASIAALKRALGALNTHLASNTYLVGHSVTLADIVMACNLYIGFARIMTKSFTAEFPHVERYFWTMVNQPNIKKVMGDVKQAESVPPVQRRTAAPKEQKPKEAKKEAKEAPKPKPVEKPDEEEEAPKPKPKNPLDLLPPSKMILDDWKKLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYDEENTVSYVTLNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGTEIPKFVLDEVYDMELYEWTKVDISDEAQKERASAMIEDLEPFDGEALLDAKCFK* >Brasy4G392900.1.p pacid=40089293 transcript=Brasy4G392900.1 locus=Brasy4G392900 ID=Brasy4G392900.1.v1.1 annot-version=v1.1 MHRPRLSPPTEKKLRPIQSPPPHRASAPPPSARAPALLLNEPPPLPLPRPSSAIRPASALLLQQGAASSPSLRPAAPPPSARAPALLLNEPPPLPLPRPSSAIRPASALLLQQGAASSPSLRPAAPPPSARAPALLLNEPPPLPLPRPSSAIRPASALLLQQGTARSHAAVVVRACSETFYPRCCCAGCGFDLRLWVFCRRGHAPMVVGLVLWMDPTQVQSNHGIGTSTL* >Brasy4G326000.1.p pacid=40089294 transcript=Brasy4G326000.1 locus=Brasy4G326000 ID=Brasy4G326000.1.v1.1 annot-version=v1.1 QPMPNEVVTPPVRPNHKRTKNFTNEEDQVLVSAWLHASLDPIVGNEQKNDTYWKKIHEEYELHKPPGSDRNISSLTHRWAVVKEQVGRFCGCFDQISNRNASGKTEQDKIIDACSMYKATDKTRRSFVLLHCWSMVRYNQKWLAQFDRSSQSNKKQKSSSNASPSMSTPGSDTVHIDDSEATSPAKADHMRRPIGKKAEKERQRRGKNVISTDDSSVVMALDHVFSKRTAVEEAREEAREMARQAREEAREAGKKERYVEALAIEREKFELEKRSQEIEIMNKDLSSMDVDQQEYYKLLRRDIIASRRSK* >Brasy4G213900.1.p pacid=40089295 transcript=Brasy4G213900.1 locus=Brasy4G213900 ID=Brasy4G213900.1.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISEKSEASRQQSTEQAGTSSKDKQPRQQGQGTERGASPTQQQQQQQSGQKRQRTGDGDGEAGEEGTAGLQGKLLEILDRNSRMVAAQLEAQNVNWERDREQRRDQADSLAVVLGRLADALGRIADKL* >Brasy4G213900.3.p pacid=40089296 transcript=Brasy4G213900.3 locus=Brasy4G213900 ID=Brasy4G213900.3.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISEKSEASRQQSTEQGTSSKDKQPRQQGQGTERGASPTQQQQQQQSGQKRQRTGDGDGEAGEEGTAGLQGKLLEILDRNSRMVAAQLEAQNVNWERDREQRRDQADSLAVVLGRLADALGRIADKL* >Brasy4G213900.4.p pacid=40089297 transcript=Brasy4G213900.4 locus=Brasy4G213900 ID=Brasy4G213900.4.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISAGTSSKDKQPRQQGQGTERGASPTQQQQQQQSGQKRQRTGDGDGEAGEEGTAGLQGKLLEILDRNSRMVAAQLEAQNVNWERDREQRRDQADSLAVVLGRLADALGRIADKL* >Brasy4G213900.5.p pacid=40089298 transcript=Brasy4G213900.5 locus=Brasy4G213900 ID=Brasy4G213900.5.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISGTSSKDKQPRQQGQGTERGASPTQQQQQQQSGQKRQRTGDGDGEAGEEGTAGLQGKLLEILDRNSRMVAAQLEAQNVNWERDREQRRDQADSLAVVLGRLADALGRIADKL* >Brasy4G213900.6.p pacid=40089299 transcript=Brasy4G213900.6 locus=Brasy4G213900 ID=Brasy4G213900.6.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISVGGIKATEH* >Brasy4G213900.7.p pacid=40089300 transcript=Brasy4G213900.7 locus=Brasy4G213900 ID=Brasy4G213900.7.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISGELPFGS* >Brasy4G213900.2.p pacid=40089301 transcript=Brasy4G213900.2 locus=Brasy4G213900 ID=Brasy4G213900.2.v1.1 annot-version=v1.1 MSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEVRGGGRGGRGRVAAAAAAAAGEASAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSGGNAAGEEEAAVRVDEEEEEEEEEKGKEKEAGVAEEPVFDSGRPAGDDTLFSEDEEGEQEETPATTQATPPAVVALPISGELPFGS* >Brasy4G286700.1.p pacid=40089302 transcript=Brasy4G286700.1 locus=Brasy4G286700 ID=Brasy4G286700.1.v1.1 annot-version=v1.1 MDRADPARARLAVLSSHLLRTGADPAAVLERSPVSAAQATPPGTRAGSLSVLDSRTGKRYEVKVSEDGTVRATDFKKITTGEDDKGLKTYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEEVAESSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKLKQVRDKQIVRVLGKAPAIAAAAYLRLAGRPPVLPSNNLSYSENFLYMLDSLGNKEYKPNPRLARVLDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDNKIMRPQQVYTGVWLRHYTPVRERVPSSQSEQLGQIATSNATRRRRAGSAL* >Brasy4G017500.1.p pacid=40089303 transcript=Brasy4G017500.1 locus=Brasy4G017500 ID=Brasy4G017500.1.v1.1 annot-version=v1.1 MLFSSQSHADGSLAADQTTVNVDDDGDDYEKVEAYPIPVDSDEADSDTIGGQSPRVDLDGNPSNKKRKRVTSSPHKKQIKGKANSKSKVCDDEMASSIKRLADSLVGPPVPIQPVPPADPYATLWKRINALPVTAKDKLEIAAHLSKPEQDVFRSYFNHADDALLSERVIGYFEHRFQNGGGNGGSVVP* >Brasy4G099200.1.p pacid=40089304 transcript=Brasy4G099200.1 locus=Brasy4G099200 ID=Brasy4G099200.1.v1.1 annot-version=v1.1 MESPAKEEVGGELAMEIESSVTAEDWRRALSRVVPAVAVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLDPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLDRVVRALNLIRDCWDGFGTKPESVYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNGEVVTQFLTMETLLDDSVGREIDLQIERGGAPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHSIIKKFAGEDIEKLDDLIAVISKLSRGARVPLEYVKYTDRYRNKSVLVTVDQHGWYAPPQLYTRNDATGLWTAKSAIPLESPFVVSAHRSHLDVNSNSVSPLAEPSPMDLKCQHESENSADGCIKMQTDDEIGVDGSHSGEDSLVDKKRRRVDEEIAVEGTISSCGDLDDIKGGALRHPSSVEGSDLARTISSNASLAEQVIEPALVMFEVHVPPICMLDGVHSQHFFGTGVIIHHSDSLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVIRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSTITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSSFSGILTDEQGRVQALWASFSTQLKYGCSTSEDHQFVRGIPIYAISQVLQKIISGTPGPFRLINGIRRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSIDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGSTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGQPTPDLESFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWQLTFEPETDTWQRRTIKALQPTAA* >Brasy4G284400.1.p pacid=40089305 transcript=Brasy4G284400.1 locus=Brasy4G284400 ID=Brasy4G284400.1.v1.1 annot-version=v1.1 MVLIVTIASCSVSGFPSLPINEWLPSHQPSATTHGRVPLFRGHEAETRPPPGVGGGHRGGSARAGRRIRRAALGGVLLGELPHGAGRRAAGHVPGRHEQHAQRRRHPPPLLPRLLRQRLRRVAASGRHAHDAGREGRRPERRRVDLRLRRGRQHQDAGRGRLPRRRLLRRHPRPRRARQRQPAGRAELGRAAGPAGRDGPEPDRRGDGPARPGLRPGRARGGLRRQGPDVARPGGALGRAHGRHGPLRALPHARLLRRQREPGVRVAAAAGVPGLGRRRQPRAAGRAQPQPVRQRVLPQPHVRRRPAAVRPGALQQRGRRLAGAPVRLQRQRLLRRLRRVHDHARQHQPLDRVHRGDQARLQESEFVIHSHRK* >Brasy4G199000.1.p pacid=40089306 transcript=Brasy4G199000.1 locus=Brasy4G199000 ID=Brasy4G199000.1.v1.1 annot-version=v1.1 MLPELPEDILMDIFATLEIPDLIRAGSVCHSCLHNLGNYYTKPQIPCLLFTSESAGESAACLYSLLEKRVYKLTLPEPPIRSRFLIGSSLGWLITVDEISEMHLLNPITGEQLIALPSIHTYELYSRHTANQVLHAPDLGFLPVLFHRNFIVVLIYRPASQLLFARVGDDKWTRLPPHTHGYEDCTYKDGLLYAVSLCGEIHAFDLSGPAVTTKIIMGTPHDFDCESMYIVQAPWGDLLLVWSAKDSEDHDPRADPATLVVNTEQVKMYKVDTDAEMLVGITCLHDHVLFLGLGQSLCLHVEEYPSLSANHAYFTDDDQYQACRKDNRRDIGVLDLGNNSRENLVSPQLWSNWPKPVWFTPDLRMMKLALNNKVQGSSSH* >Brasy4G291700.1.p pacid=40089307 transcript=Brasy4G291700.1 locus=Brasy4G291700 ID=Brasy4G291700.1.v1.1 annot-version=v1.1 MAKILRPVADPRCLGRRRRAPSPRPSAPPAAARLAAATGAAPAAPAQCRLRGRAPNHRRLVLPRPPEPRVVSAAARAAAARAVLRRAEQLSRPSSAGLAAARCPGRRLASAAARCCGQS* >Brasy4G365900.1.p pacid=40089308 transcript=Brasy4G365900.1 locus=Brasy4G365900 ID=Brasy4G365900.1.v1.1 annot-version=v1.1 MEHNPRLAARHLLQAFVDAPRLARETLLPDLWATVFLPHLLHLQAWLRDSKATELAAAAADWDRNRMMTALQRLYDDHMDAGTVQFAGYYKEWFKCGDTTPPPPFPSVPLPSMIPGPGGFDMWEERNSASARTTSSINRNLYNAVFGTALEQEDVKDVKLFDEAELVLDKGVQLDENSGSLKMEKLAHRNIGLQEKHSSIRKESTIPETASTPRKSYSFRLLSCRGDVGRNVINHPKVPKRRNVSVEKELYRTELAMTLERAVSVVSNSDSLTQCEYAVHEIARACSNLGGDPNLGTWLSCAPFIQGLLEVTFISTDDAVLESAILIMGELVLRNEVNRQIVLNADPQLEVFLRLLTLKSNGLFLKAAAVLYLMKPRAKQMLSMDWMPQLLHILESGDEVQLLSSVKYSPRTSAFYFLDQLLTGFDVDRNVENANQMIALGGLDMLMRRLEVGNTRESKICISLLNSCIQADGSCRSYLADNLKKETVVHLLVGNHKKASAAALNLLTELICLNRATHMVEFLKELKNGGCLNTMQILLVYLQQAPLVQHPLAAIMLLQLDLLGDPLQYSIYREEAIDAITAALEHSSHSRKVQEQCARALLLLAGRFSSSGKPIAEAWLLKRAGLDGSLSESFRRTEIFKTKSARAEEEKVVEERLKKLALMLLNTGNKRFLVALSNCISDGIPALVRACLVTITWMSSSLSPLHGCNTFQPLACSILAPKLLDSLSYDRVLEERVLASLSLLNLVRHPECLEKLLPLKKDTVESLRDLTEMTWTAKELLFACCRRQTDA* >Brasy4G388800.1.p pacid=40089309 transcript=Brasy4G388800.1 locus=Brasy4G388800 ID=Brasy4G388800.1.v1.1 annot-version=v1.1 MESPRILFGFKNEEEWNASSSSSSVAASRRTQLPGRRLAPAAATPCCSGHRDAPPPSSGPPPLLLRPVRRALEVHTPPSLLPPKPSRPLCPMSPSRRRVAFALCRRPAPPPRPAAALPLQPARRVLPVSPPCQTRARER* >Brasy4G274200.1.p pacid=40089310 transcript=Brasy4G274200.1 locus=Brasy4G274200 ID=Brasy4G274200.1.v1.1 annot-version=v1.1 MSSPQSPHEVHSSSGGSSVAGIGSYRVCDTVVLFCLAFACGLIVVTVAVCFKRAFAQRGGAGAAASGRRRGGGRRGGLAPAELAAIPKSAYRRGGGGGGGGWAQCAICLGVVRDGEVVRRLPACGHLFHVECVDMWLYSHATCPLCRCDVVASAAAAADKV* >Brasy4G054900.1.p pacid=40089311 transcript=Brasy4G054900.1 locus=Brasy4G054900 ID=Brasy4G054900.1.v1.1 annot-version=v1.1 MEGKEEDVRLGANRYSERQPIGTAAQGGDSEKDYKEPPPAPIFEAEELTSWSFYRAGIAEFIATFLFLYISVLTVMGVSSSSSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQTGLYMGKGGGANSVAVGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRKQAWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD* >Brasy4G135600.1.p pacid=40089312 transcript=Brasy4G135600.1 locus=Brasy4G135600 ID=Brasy4G135600.1.v1.1 annot-version=v1.1 MADQNAAAGVNHGEGLRLPETSSRCVTECGVHNFEVTNCALLVGIPVGMAMGNQKSVSSSTFCVGGYDWNIRFYPDGAKLAAGGRTAAAGYASVYLFHLSKATNKLSTKLTLTMLDKDGKVVASKEGGLETHIFAPAVHNCRGWLEFVEKLKLKPPPSGQLHDAAGCFTIRCVLTVIREPPADQCKEKHIEVPPPELAVQLERVLKDGEGADVKIRVGGREFSAHRFMQAARSRVFHAQLLGPMAEKKNTPCSLIHFIYTDSLPPCDGEEGHGPAEMQHLLVAADWYGLDKLKAVCEEKLCRSIGVNTVTSTLALANQHFCERLKNGCEEFMSEPGRMSDVMLIDGFKRLIGSCNLLVLEDKEVSAAKTKL* >Brasy4G034600.1.p pacid=40089313 transcript=Brasy4G034600.1 locus=Brasy4G034600 ID=Brasy4G034600.1.v1.1 annot-version=v1.1 MSTSGAATPTRFKRSSPRKKQQSRSPCLAVDFAAGEARAVRLSAAARPPPRPLPSSPAVPEQIPVGVPLPREFFEVDALDLAPRLLGKLLRRDQVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLEIIQQRRGQRTEKPVLLTGPGKVGQALGLSTDWSNHPLYTSGGLEVLEGPEPENILIGPRVGIEYASSEHVAAPWRFAVAGTPWISAPKNTLRPR* >Brasy4G034600.2.p pacid=40089314 transcript=Brasy4G034600.2 locus=Brasy4G034600 ID=Brasy4G034600.2.v1.1 annot-version=v1.1 MSTSGAATPTRFKRSSPRKKQQSRSPCLAVDFAAGEARAVRLSAAARPPPRPLPSSPAVPEQIPVGVPLPREFFEVDALDLAPRLLGKLLRRDQVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLEIIQQRRGQRTEKPVLLTGPGKVGQALGLSTDWSNHPLYTSGGLEVLEGPEPENILIGPRVGIEYASSEHVAAPWRFAVAGTPWISAPKNTLRPR* >Brasy4G429300.1.p pacid=40089315 transcript=Brasy4G429300.1 locus=Brasy4G429300 ID=Brasy4G429300.1.v1.1 annot-version=v1.1 MASQPADQAPTPTDLAMPAKESAPLPPESAKQAPLPPENAKRAPSPRRPAAPPQEKGPHRIRPLPPRIRWPAKQPTPPAAEPASPAQSTLRKKNRGRGRGPRENKAPPPPSPRGLCPAATTGGGEGAEEGGRWLPRSSMGSPPESPDAERRGGLFSIIHLSFHYHLLTLI* >Brasy4G401500.1.p pacid=40089316 transcript=Brasy4G401500.1 locus=Brasy4G401500 ID=Brasy4G401500.1.v1.1 annot-version=v1.1 MAMAACSVRLLAAAAAHRSSRSSAAFGQTRRRPVACSSLSFPPGGRHGPSSSIRASFSSISLPSSAPFNLLPPDSDPFIQWDPPPPQDASIHSAAGGVGRGEEEGPALVVLLGWLGARQKHLRRYADLYRGRGVGSVRFVVPVRELVGLDLGRRVERRVADLAAEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSLTGLASDFPDGSTLNGALNKVNSVSELTRPSWGETFLLSTLQKFFEIVLHLPDVNQRLRKVLTVLSEKQPSCPQFYLYSSADRVIPAECVESFIGMQRSLGLSVSAYDFVSSPHVDHYRSFPHLYSAKIDEFLKICSPARV* >Brasy4G327600.1.p pacid=40089317 transcript=Brasy4G327600.1 locus=Brasy4G327600 ID=Brasy4G327600.1.v1.1 annot-version=v1.1 MHKRSRSCEPSRADDRGGCHGGPTASDDSITAKAAAAASSSSSATAQCSAMSAYLAKISGAERLVSAVWHKSIISQSFTVTIDRPPCGGGDDAGSEDDDGSTLISYKVEMKPWPFWSKKGLKSFYLDGDRVDLVWDLRTAKFTTSSPEPAHGYYVALVNNEEVVLVFGDQKKEAYKRAKTRPSLIDPVPSVVGRRSFVARAKLDKTGKKDYHDIVVESLLAGPREPEMSIAVEGHVVVRVKNLQWKFRGNETVLVDEAPVQVLWDVHDWIFAGPGATQAVFVLKPGAPPEIRADDPGPGGWDYGSSILGDATDYSFFLHAWKTD* >Brasy4G269400.1.p pacid=40089318 transcript=Brasy4G269400.1 locus=Brasy4G269400 ID=Brasy4G269400.1.v1.1 annot-version=v1.1 MAAAAHLLPRANATWCAIDGALTILAADDPVEIAVRRDRVAKKGRDEEPRRDETETRGWSLAIPDHSSCLRRRGGSSPSSFSHGITGGPVPVPNPSPSSHGTTIVPVPNPSPNEQSTVVSEAEDKKVPCCYTEYFYLAFL* >Brasy4G268600.1.p pacid=40089319 transcript=Brasy4G268600.1 locus=Brasy4G268600 ID=Brasy4G268600.1.v1.1 annot-version=v1.1 MSAAALAPARAAAAALHLARSAASLALAPACAAAALHPARAADLAEHRRIPPSSQRSAALIFPHINRATVVLPNETEPPPSPSALSGRTPATPRILLFFFNSDALHRRLLPFPHPLSRGGAAANGGEEDGGRRGGGRGKPPEWGQRRQGRTAEEAVGAVPEEPWEPAEVPFA* >Brasy4G140500.1.p pacid=40089320 transcript=Brasy4G140500.1 locus=Brasy4G140500 ID=Brasy4G140500.1.v1.1 annot-version=v1.1 MVLMKADPFLSELTNMYERSTEKGSVWVTMKRSSLKCPARLKKMEKKGQTVEYMCLVRATDGKRNISTSLAAKDYSKFQASYALVLKAHMHALKKRERKDRKKTVEAEKVPEKEPKKQKISSSKKSSGSK* >Brasy4G093300.1.p pacid=40089321 transcript=Brasy4G093300.1 locus=Brasy4G093300 ID=Brasy4G093300.1.v1.1 annot-version=v1.1 MEPPLAALLVLCSLLLLLLSHPSSSAGHGHGHHHEHYDNANVSAAAAVAQGLGSWADALEFLYYHNAVRMARWEPPLAWSPRLESYARWWASQRRADGCALRHSFPDGQFALGENIFWGSAGASWRPGDAVKEWAAEGVDYSYAANACAPGRECAHYTQLVWRHTALLGCARVVCDDGGGVFMTCNYYPPGNVVGERPY* >Brasy4G064500.1.p pacid=40089322 transcript=Brasy4G064500.1 locus=Brasy4G064500 ID=Brasy4G064500.1.v1.1 annot-version=v1.1 MANVRSLKIKTSTCRRVVKELRSYEEEVGKEAAKTAAMKDRGADPYDLKQQENVLAESRMMVPDCHKRLEAALADLKATLAELKESGEQGAEIGEAESTITEVEAVFKPTEE* >Brasy4G064500.2.p pacid=40089323 transcript=Brasy4G064500.2 locus=Brasy4G064500 ID=Brasy4G064500.2.v1.1 annot-version=v1.1 MANVRSLKIKTSTCRRVVKELRSYEEEVGKEAAKTAAMKDRGADPYDLKQQENVLAESRMMVPDCHKRLEAALADLKATLAELKESGEQGAEIGEAESTITEVEAVFKPTEE* >Brasy4G184400.1.p pacid=40089324 transcript=Brasy4G184400.1 locus=Brasy4G184400 ID=Brasy4G184400.1.v1.1 annot-version=v1.1 MDSSIGSLYGPCSPRVRSSDALDVRTSIDLSSCVSEFRVEATPLEMAIGGLEFQPIPAAREKVADLVPAHHEHLTVHGVHAPISLLADAEVEDTVDTQEQHEINCEAHSEQLRSLEVLPRGLVMPSVPVVLEDGATDATLAVSPAKIKRKISAPIVDMAESCRVVAPQDTPRRSERLVQHQKKVARSVEIVAQESLVHALRALGLLGPKAKFDKEASDKLEKLFQGPLAVQSFQAIQALVKNVEKVKKKKKKNVGKGEGEERLGAG* >Brasy4G165500.1.p pacid=40089325 transcript=Brasy4G165500.1 locus=Brasy4G165500 ID=Brasy4G165500.1.v1.1 annot-version=v1.1 MRRFNPFGGKVQSGLEGRTIDIGNVKITVRNAIAQGGFSCVYLACDTLHSSKQYALKHIICNDLESLDLAMKEIQVMNVLKGHANVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEDRGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSTAVTGLIKDMLEASPNARPDITQVWFRVNELLPLELQKSLPDGGSSAISMSLQDEGAHRRTHVMPRRNPPPPPREQSDSSVSYGSSNAGDAPLGAFWGTQHAQGSQITDDRNALFDEEPIKPPPSAKYNQSRMDISTSIPGDKHGHSGQASRSTTSNSVSNNRLMGSSDTNLFMESQNSVKNKVSQPESKPKSGKDPFNSFVADFDANNLHLGTTATGKTSELEAEVSSLKEQLKKTTLEKAEMTAKYEKLSAICRSQRHEIQELKRTVAETTPPPSIKVSSRIPESGSQRKEKIEGTVWELEQGMLAGKSSLPSSEAKTWQAFPEAKVQARPKVDHATNGRQNLTRNANAGPSPDAWGFGPDSFGTPSGSTAAAAQINRTSAQGSSSQRFSTGAAKKVDQPSGWAGF* >Brasy4G165500.2.p pacid=40089326 transcript=Brasy4G165500.2 locus=Brasy4G165500 ID=Brasy4G165500.2.v1.1 annot-version=v1.1 MRRFNPFGGKVQSGLEGRTIDIGNVKITVRNAIAQGGFSCVYLACDTLHSSKQYALKHIICNDLESLDLAMKEIQVMNVLKGHANVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEDRGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSTAVTGLIKDMLEASPNARPDITQVWFRVNELLPLELQKSLPDGGSSAISMSLQDEGAHRRTHVMPRRNPPPPPREQSDSSVSYGSSNAGDAPLGAFWGTQHAQGSQITDDRNALFDEEPIKPPPSAKYNQSRMDISTSIPGDKHGHSGQASRSTTSNSVSNNRLMGSSDTNLFMESQNSVKNKVSQPESKPKSGKDPFNSFVADFDANNLHLGTTATGKTSELEAEVSSLKEQLKKTTLEKAEMTAKYEKLSAICRSQRHEIQELKRTVAETTPPPSIKVSSRIPESGSQRKEKIEGTVWELEQGMLAGKSSLPSSEAKTWQAFPEAKVQARPKVDHATNGRQNLTRNANAGPSPDAWGFGPDSFGTPSGSTAAAAQINRTSAQGSSSQRFSTGAAKKVDQPSGWAGF* >Brasy4G165500.3.p pacid=40089327 transcript=Brasy4G165500.3 locus=Brasy4G165500 ID=Brasy4G165500.3.v1.1 annot-version=v1.1 MRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEDRGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSTAVTGLIKDMLEASPNARPDITQVWFRVNELLPLELQKSLPDGGSSAISMSLQDEGAHRRTHVMPRRNPPPPPREQSDSSVSYGSSNAGDAPLGAFWGTQHAQGSQITDDRNALFDEEPIKPPPSAKYNQSRMDISTSIPGDKHGHSGQASRSTTSNSVSNNRLMGSSDTNLFMESQNSVKNKVSQPESKPKSGKDPFNSFVADFDANNLHLGTTATGKTSELEAEVSSLKEQLKKTTLEKAEMTAKYEKLSAICRSQRHEIQELKRTVAETTPPPSIKVSSRIPESGSQRKEKIEGTVWELEQGMLAGKSSLPSSEAKTWQAFPEAKVQARPKVDHATNGRQNLTRNANAGPSPDAWGFGPDSFGTPSGSTAAAAQINRTSAQGSSSQRFSTGAAKKVDQPSGWAGF* >Brasy4G046000.1.p pacid=40089328 transcript=Brasy4G046000.1 locus=Brasy4G046000 ID=Brasy4G046000.1.v1.1 annot-version=v1.1 MAAGRGFSLLLFTAAVLAGLASASPFISDGVFQASAGSIGRSLLQAKKSCPVNFEFQDYKIITGQCKGPKYPPKNCCDAFKEFACPFNTYINDESNDCASTMFSYINLYGKYPPGLFAHECREGKEGLSCEGIAQKGVAASGGQRARSSSLALITLSCVLAALLFH* >Brasy4G243300.1.p pacid=40089329 transcript=Brasy4G243300.1 locus=Brasy4G243300 ID=Brasy4G243300.1.v1.1 annot-version=v1.1 MFLRPRAGEIVAAKSFAKSLACQFWQGWLGRDPNSPGLPEATISNGQLRFAPGSSSFPPFSPSIWFFGRWGGRRRCWAGWGGSGGGGRDGEAGVGAGRDVEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAGWGGSGGGGLDGEAGVGAGRDGEEAAVAGEMGRPASVLGGMGRKRRWRARWGGRRRCWAVWGAASRAWWWGSGGGRGLLPAPAEAGSGSGVGGREGKAGGGGGREGRSRALAARAGQNGGEEGRPGACGQNREEGR* >Brasy4G007000.1.p pacid=40089330 transcript=Brasy4G007000.1 locus=Brasy4G007000 ID=Brasy4G007000.1.v1.1 annot-version=v1.1 MEGHTALTYDLQKEKLVHALYIEETKGTIVDAVCHPTRPVLVIASKDDSIFVLDSNTYRLKGYVNLSKQPTHIGFTGSNK* >Brasy4G329300.1.p pacid=40089331 transcript=Brasy4G329300.1 locus=Brasy4G329300 ID=Brasy4G329300.1.v1.1 annot-version=v1.1 MPESPTSLLIAAFELQINMLCQFVACDLVLVGGFLYHNCLACFSNLTSVQPLCSFQTVFQD* >Brasy4G205800.1.p pacid=40089332 transcript=Brasy4G205800.1 locus=Brasy4G205800 ID=Brasy4G205800.1.v1.1 annot-version=v1.1 MSAETEKSAAAPAPLRCQRIGCDAMFTSDDNPDGSCHYHPSGPMFHDGMKEWSCCKQRSHDFSLFLAIPGCATGKHTTEKPVTKAVSLNTPKAAPPKAAPIQSSKQGVETEACSRCRQGFFCSDHGSQPKAQNPVAVNVEPVEKCSVPLPQPKKKVDLNEPRICKNKGCGKTYKEKDNHDAACEYHPGPAVFHDRRRGWKCCDIHVKEFDEFMEIPTCTKGWHNADAV* >Brasy4G008700.1.p pacid=40089333 transcript=Brasy4G008700.1 locus=Brasy4G008700 ID=Brasy4G008700.1.v1.1 annot-version=v1.1 MSWFARSIANSLLSPDEPAADGEDPRRSASPTPGSPPRGVREDLSELTDALAHRFQGLASFLAPPAPCGGGGSPRAPNPAELAGRFRAGLARLPGRQAVADLAKIASSLLPPEEGWDGADAAGVTEDVVAFARDAAMRPELWLDFPLLADDAYSDDFDMTDAQQDHALAVESLAPELADLRIELCPSHMSEACFWMIYFVLLHSKLTKEDAELLSTPQILEAREKLSQDLRYQEKVESNEDTVDVPFSNAEGTVPKPAGVVGVLKDQDALVRATSFSNIDLGIPQPADLKVLAKDTVSITGAVTSDNISGSVPVKLVPIFTEATECSESRMDESIHAFCTEDATEFSKSRIEESTPDFTTEVSVANEQTVTPIDSSPLMKDQRKQPSNDLGQSRVVIQKTDNDDSEGDGDEWLEEETGGPGNMHIPITHDDEDVSFSDLEEDDDAA* >Brasy4G262900.1.p pacid=40089334 transcript=Brasy4G262900.1 locus=Brasy4G262900 ID=Brasy4G262900.1.v1.1 annot-version=v1.1 MPSHLALLSCLLVLVLSLDKFLLHYLRKRWLSGGGGSSIIPRIPTSPFKSQRSMAAAAKWTETAMLVIDMQKDFVDPAMGSPVLVPGSEAVVPTVAEAVSVARERGIFVVWVVREHDPSGRDVELFRRHLYSGGKGPTAKGLKGAELADGLVIKEGDYKLVKTRFSAFFATHLNSVLKTLGIKNLVIVGVQTPNCIRQTAYDAVALDYEKVTVIIDATAAARPDIHLSNIRDMKNIGVEAPTLEEWRH* >Brasy4G283100.1.p pacid=40089335 transcript=Brasy4G283100.1 locus=Brasy4G283100 ID=Brasy4G283100.1.v1.1 annot-version=v1.1 MAGGGDLKLLGLLVSPFVVRVRMALQMKGVSYEYIEQDVFDKSELLLASNPVHKKVPVLIHNGVPLCESLIIVQYIDEVWAAAEEGSPAILPADPHDRAVARFWAAYVDDKLFPAWIGIIKAATEEARAEKTSETLAVLAQLEVALAECSKGKAFFAGDAIGYLDLAVGCNMFWLEALRRLFGVQLLDTAKTPLLAAWAERFAGTETATKVVPDADSAVEFARKLAARFGSAAPAPAAN* >Brasy4G327700.1.p pacid=40089336 transcript=Brasy4G327700.1 locus=Brasy4G327700 ID=Brasy4G327700.1.v1.1 annot-version=v1.1 MKLTVMTADEQILTLDVDPDESVENLKALLEVETQVPLQQQLLHFNGKEMNNAEKLSAIGVHDGDLVMMVPSSNNRTSQDQMRLNPDGSAVNPQALQQHFRGDSQLMAQLLQNDPSLAQAILGDDINALQNILRSHHQQRLQLKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAAIEHNPEAFGRVVMLYVDMEVNGVPLKAFVDSGAQSTIISKDCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHAFYPCSFTVLDAANMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLHEKDIPSHIRDEEKLSKLESLSQGAAGESSKARDKTPDVPLRSSPAGGPAVVPPQGGDFEAKVTKLVELGFDRASVIQALKLCNGNEDQAAGFLFGG* >Brasy4G339600.1.p pacid=40089337 transcript=Brasy4G339600.1 locus=Brasy4G339600 ID=Brasy4G339600.1.v1.1 annot-version=v1.1 MRLVGLTGGIASGKSTISNLFKSNGIPVIDADIVARNVVQKGTRGWKKIINAFGNDILLENGEIDRARLGQMVFSDPVKRQILNRLLAPHISSGILWEILKLWMKGCKVIILDIPLLFETKMDRWTNPVIVVWVDPETQIERLMSRDGCSQEQAQNRINAQLALDWKKSEADIVINNSGLLDDTKEQFQEVLKQVSEPLTWKERIRSRDGLFSIVLCTAVGVLLVQKNLL* >Brasy4G130700.1.p pacid=40089338 transcript=Brasy4G130700.1 locus=Brasy4G130700 ID=Brasy4G130700.1.v1.1 annot-version=v1.1 MQAEMLLTLLAVLASAGPAAAAAGAAWQPSEACQRRCGDVEIPYPFGIGRGCYLYTGENDITFGLTCNLTAGGVYRPFCYEQEILSVSVARGKARVRTDIQPWCYNGTSRSMSPQFAPWIDFSDSSFVLSDEDNRFTVVGCNSLAYVSSMEGSQFTNGYKYMTGCMATCPGGGRVENGSCSGMGCCQAAIPRGVNTYQVKFEDKFNTSRVARSSSPCSYAVLVEAAAFDFRAGYVAADGGGFMESTGGTVPLVLDWVVGKETCKEARRNATAYACVSGDSACVDSRNGPGYLCNCSIGYQGNPYLLGGCRDINECEDKNNKYPCSVPGTCINTPGGFSCACPDKTTGNAYTGTCEAKKSQLGVHVAIGISVSVVVLVISMSCACMIREKRSLDTVKRRYFKQHGGLLLFEEMKSKQGISFTLFTREELEEATGKFHERNVLGKGGNGTVYKGTLKDGRTVAIKKCKLTDERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYEFVPNGTLYQLIHGGGGSSLVPLATRLKTAHEAAEALAYLRSWASLPIIHGDVKSPNILIDESCAVKVADFGASTLAPTDEAQLVTFVQGTCGYLDPEYMQTCKLTDRSDVYSFGVVLLELLTRRKALNLQATEDEEKILSSQFLLAASANRLDEIVDAQIVSQQSIELIEQMAELAKQCLRMDSEKRPSMREVAEELGRLRKVLQHPWGQQSSGELEGLRAGSPNTYSEIELSNAYVSFDDSAYIGVRSPR* >Brasy4G025700.1.p pacid=40089339 transcript=Brasy4G025700.1 locus=Brasy4G025700 ID=Brasy4G025700.1.v1.1 annot-version=v1.1 MGKYMRKCKGAMGEEVAAMEATQAVGVRTRSKAAAAKVVVSKRRKQALLPAPSVTLPRAAGGRAEAAGGGSCYLKLRSRMLFMAPPPAPRAEAAGPGAAAGHGAVLAAGHGLSRCSSTASSVDAAAQDRSLLPCRSDAAKAGSDYIQEGSASNNSESGRDRERRETTPSSHRLPAGELSDLESDLAGQNRGQSPPAATTAAAQEAARPRMPAEAEIEEFFAAAEEAQAQRFASKYNFDIARGVPLDAGRYEWTPVVSSSS* >Brasy4G221100.1.p pacid=40089340 transcript=Brasy4G221100.1 locus=Brasy4G221100 ID=Brasy4G221100.1.v1.1 annot-version=v1.1 MAFSSVFRRVNVKELISNVSVYTSATESSGGMCLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFSLKEGHVRFERHKLTGRKWIHVDPLAGHVLHPVFANGSTTTVDMEQL* >Brasy4G128900.1.p pacid=40089341 transcript=Brasy4G128900.1 locus=Brasy4G128900 ID=Brasy4G128900.1.v1.1 annot-version=v1.1 MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGHTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKFSLLVLLLGVAIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKKLKVTSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSAPVVGFIVMSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSCFSVMESKRKSAGDALPVLSQMPEKETEPLLETKDSGDAKKANGC* >Brasy4G128900.2.p pacid=40089342 transcript=Brasy4G128900.2 locus=Brasy4G128900 ID=Brasy4G128900.2.v1.1 annot-version=v1.1 MVTFCTLHVAQRMRFFEPKAIDGHTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKFSLLVLLLGVAIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKKLKVTSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSAPVVGFIVMSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSCFSVMESKRKSAGDALPVLSQMPEKETEPLLETKDSGDAKKANGC* >Brasy4G126100.1.p pacid=40089343 transcript=Brasy4G126100.1 locus=Brasy4G126100 ID=Brasy4G126100.1.v1.1 annot-version=v1.1 MPLSKKDENEPPRSDFDRIGDLPDEILHHVLSFLPAQEAVRTCVLAPRWRHLWKSATGLRIVGSEDVGAVQELRKFVDHLLILRGRADLNTFEMEFSEFLKKDVPYVNLWIRYAVLCRVRALTLHVDDEDYLNLDCLTLVSQHLTTLDLRDLQGAFLDFSSCPALEDFFFFENTVQTQTLTTHEYTHPYERTHAHLIPMSISERLSRQILRD* >Brasy4G130600.1.p pacid=40089344 transcript=Brasy4G130600.1 locus=Brasy4G130600 ID=Brasy4G130600.1.v1.1 annot-version=v1.1 MGRSPCCEKMGLKRGPWTAEEDRTLVAHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIQLHAMLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLESTQSGGQAQGAPKRRAKKAPVAVAVSTPEVPTSVPVSPEQSLSTSSGASDYSMEAPSLENADSFTSEEFQIDDSFWAETLAMTVDSPGSGVESGDCLAVDGSSPSSSNADMDFWVKLFMQAGEMQNLSQI* >Brasy4G158000.1.p pacid=40089345 transcript=Brasy4G158000.1 locus=Brasy4G158000 ID=Brasy4G158000.1.v1.1 annot-version=v1.1 MVECTMVSTLLDGLPNEVALQCLARVPFVFHPVLQLVCRSWRASVCSGELLNVRNQVGAAEELLCVLAFEPENVWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGRLYVIGGGSDRVDPLTGDHDTIFASNEVWSYDPLHRLWTQRAPMLVARAMFACCALDGKIIVAGGLTNCRKSISEAEIYDPEADTWEFLPVLHHAHPSACSGLVIKDKMHVFHKGISTVQILEDGGGYWAVEDCSWLQGPMAMVGGELYVLSNSCIMKQRGENFSDKMVPCASGFQSRIGFGMIGLRDSICLFGGVIGPGPRNQCIKPLSDLDILNVTSERPTWRPGSPMTRCRGSITGCALLRI* >Brasy4G158000.2.p pacid=40089346 transcript=Brasy4G158000.2 locus=Brasy4G158000 ID=Brasy4G158000.2.v1.1 annot-version=v1.1 MVECTMVSTLLDGLPNEVALQCLARVPFVFHPVLQLVCRSWRASVCSGELLNVRNQIRNIARFGVASVAGRLYVIGGGSDRVDPLTGDHDTIFASNEVWSYDPLHRLWTQRAPMLVARAMFACCALDGKIIVAGGLTNCRKSISEAEIYDPEADTWEFLPVLHHAHPSACSGLVIKDKMHVFHKGISTVQILEDGGGYWAVEDCSWLQGPMAMVGGELYVLSNSCIMKQRGENFSDKMVPCASGFQSRIGFGMIGLRDSICLFGGVIGPGPRNQCIKPLSDLDILNVTSERPTWRPGSPMTRCRGSITGCALLRI* >Brasy4G158000.3.p pacid=40089347 transcript=Brasy4G158000.3 locus=Brasy4G158000 ID=Brasy4G158000.3.v1.1 annot-version=v1.1 MVFLMKLLSSALLVSHLYSILFFSWFAALGGHLFAVIRNIARFGVASVAGRLYVIGGGSDRVDPLTGDHDTIFASNEVWSYDPLHRLWTQRAPMLVARAMFACCALDGKIIVAGGLTNCRKSISEAEIYDPEADTWEFLPVLHHAHPSACSGLVIKDKMHVFHKGISTVQILEDGGGYWAVEDCSWLQGPMAMVGGELYVLSNSCIMKQRGENFSDKMVPCASGFQSRIGFGMIGLRDSICLFGGVIGPGPRNQCIKPLSDLDILNVTSERPTWRPGSPMTRCRGSITGCALLRI* >Brasy4G428000.1.p pacid=40089348 transcript=Brasy4G428000.1 locus=Brasy4G428000 ID=Brasy4G428000.1.v1.1 annot-version=v1.1 MDAAQEHHLQQLIGTTNPAIKYYICTINKTFATSTQRMQFLLPFLNPNVKNLRIKLGGSSIKRRVRLIKGTDGRATITTNWGDFTRAATITQGDIFAFVFTTYHGRLRLTANHL* >Brasy4G395400.1.p pacid=40089349 transcript=Brasy4G395400.1 locus=Brasy4G395400 ID=Brasy4G395400.1.v1.1 annot-version=v1.1 PPTHRPSRLDLRRRHSPLLHLARLSGVRLGGDGSSGEQSSSRVWLMAAAAPPPPPSLLGDEARQRTPHPHVPGVRRRCACAQSLPVRQL* >Brasy4G150700.1.p pacid=40089350 transcript=Brasy4G150700.1 locus=Brasy4G150700 ID=Brasy4G150700.1.v1.1 annot-version=v1.1 MACSPSPRLFNRASVPPPASSSSSVGHVCSAPSTFGWTIQYKQPGHTLYGRSHVPSFLALASAVAPQGKRSSGQNVVMVDPLEAKRLAVKQMQEIRAREKLKNRRRAEAINGALAMIGLTAGVVLEGRTGKGILGQLAGYLAALSSLFGQ* >Brasy4G150700.2.p pacid=40089351 transcript=Brasy4G150700.2 locus=Brasy4G150700 ID=Brasy4G150700.2.v1.1 annot-version=v1.1 MACSPSPRLFNRASVPPPASSSSSVGHVCSAPSTFGWTIQYKQPGHTLYGRSHVPSFLALASAVAPQGKRSSGQNVVMVDPLEAKRLAVKQMQEIRAREKLKNRRRAEAINGALAMIGLTAGVVLEGRTGKASRISGGSI* >Brasy4G156100.1.p pacid=40089352 transcript=Brasy4G156100.1 locus=Brasy4G156100 ID=Brasy4G156100.1.v1.1 annot-version=v1.1 MSPLSLPRPLGPPRQAADGLRLCGTPRRRPGSGHPPSPNGLAALDPDVLCPAVVGWAGLGPAVSSLCSRIRLPEATQRGIRRRQLPLAGSGLPSRGMMAGDE* >Brasy4G410200.1.p pacid=40089353 transcript=Brasy4G410200.1 locus=Brasy4G410200 ID=Brasy4G410200.1.v1.1 annot-version=v1.1 MAALAHAMADGAASRPGGGAAAPCGVGGGHRLAGRDGDPRDLALPAPYGQKIGLDAIFDLLCNFWLKIFGEPFKAVFVDINIKFNATQFSNRKLQVDIAYAVFHSNKSRGLLYIFRNDWFVVHTK* >Brasy4G078000.1.p pacid=40089354 transcript=Brasy4G078000.1 locus=Brasy4G078000 ID=Brasy4G078000.1.v1.1 annot-version=v1.1 MAGGGTSIRKYVGALKDSTTVGIAKVNSDYKRMDIAIVKATNHEETPAKEKYIRDIFQHLSAGRARADVAYCIRALARRLSKTRNWAVALKTLMVIHRALREVDPTFRQELISYGRSTGHMLHMSYFKDDSSPEAWDHSAWVRNYALYLEERLESFRVLKYDVEVDPLGTRDLDITGLLEQLPALEQLLFRLLGCEPHGSSRYNTIIQHAFSMVALESVRIHTAINDGILNLVDKFFEMQRDDAIRALDIYKRAINQARKLSDFYDTCKSIHIGRGEKLLRIEQPPASFLQAMEDYVREAPLAPRTQAAVLAIEYTRKPEEQEASTSPPPPVSTAEQKPEPEPVKEVAPTAEPIDLLGMDEPISDTSELDKKNALALAIVVQPGNAPKDPSISEKMSTSWELALITSPSSNEHTAASSKLAGELDLLSLDSLYNDAQRGTQQHTSYNPWDQQAAPVASSAPMAMTMMQQQQQPIHNSFYASAHHQQQQAFILQQQQQQAFILQQQQQYQQQYYQQMMMMAGQSVHHQASSNPFASPHMPGSSHPYGQLHAGNAHTGTGMM* >Brasy4G216700.1.p pacid=40089355 transcript=Brasy4G216700.1 locus=Brasy4G216700 ID=Brasy4G216700.1.v1.1 annot-version=v1.1 MATATSAAVAFSAGGAAAAASPKPTRRPLARHLPASRRALPTPIRCSAVSPAAPSPAPPATALRPWGPSEPRKGADILVESLERCGISDVFAYPGGASMEIHQALTRSPLITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSIPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVVQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTPMSLPGYIARLPKPPATELLEQVLRLVGEARRPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRSKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLDGSKAQKSLDFGLWHSELEQQKREFPLAYKTFGEAIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMILNNQHLGMVVQWEDRFYKANRAHTYLGNPEDESEIYPDFVTIAKGFNVPAVRVTKKSDVRAAIKRMLETPGPYLLDVIVPHQEHVLPMIPSGGAFKDMIMDGDGRTAY* >Brasy4G192500.1.p pacid=40089356 transcript=Brasy4G192500.1 locus=Brasy4G192500 ID=Brasy4G192500.1.v1.1 annot-version=v1.1 MAEPPPRPPAPPMPKASPRPRPRPRHHHAPPGLRAVPAFSYNAHRSLVLGLTFLAYALYHASRKPPSIVKRALSESWPPFYDPALLGETDVAFLAFYSLGMFGAGHLGDRLDLRLFLAAGMVGSGAAVALFGVGYFLSLHSLAFYVVAQAIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNISGSLIAAAVLKYGWGWSFVVPGGLIALGGVLVFFFLAPYPEDVGFAPLPPKQASETSTDEEDRSISIAGGAGEEGRRDAVGIWKAFSIPGVFTFAACLFFAKLVAYTFLYWLPFYLTQTAIGGEYMSVTDAGYLSVLFDVGGIIGGILAGFMSDQLDARATTAAIFMYLAIPSLYAFHAYGSTSKVTNIALMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFVTGFISKTGWDSVFIMLILCAFIAGACLSGLVKAETLQIIQNWRNRSTNTQNGIADPGAEPLLGGST* >Brasy4G192500.2.p pacid=40089357 transcript=Brasy4G192500.2 locus=Brasy4G192500 ID=Brasy4G192500.2.v1.1 annot-version=v1.1 MAEPPPRPPAPPMPKASPRPRPRPRHHHAPPGLRAVPAFSYNAHRSLVLGLTFLAYALYHASRKPPSIVKRALSESWPPFYDPALLGETDVAFLAFYSLGMFGAGHLGDRLDLRLFLAAGMVGSGAAVALFGVGYFLSLHSLAFYVVAQAIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNISGSLIAAAVLKYGWGWSFVVPGGLIALGGVLVFFFLAPYPEDVGFAPLPPKQASETSTDEEDRSISIAGGAGEEGRRDAVGIWKAFSIPGVFTFAACLFFAKLVAYTFLYWLPFYLTQTGGIIGGILAGFMSDQLDARATTAAIFMYLAIPSLYAFHAYGSTSKVTNIALMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFVTGFISKTGWDSVFIMLILCAFIAGACLSGLVKAETLQIIQNWRNRSTNTQNGIADPGAEPLLGGST* >Brasy4G406900.1.p pacid=40089358 transcript=Brasy4G406900.1 locus=Brasy4G406900 ID=Brasy4G406900.1.v1.1 annot-version=v1.1 MPLPSSSAAAAIAAGPAASPLAARSLRGRPRSSPFFVKCQRVDPLKLRAVNGAPPCIPVPDSSLWTPITMPVFGDTKVRKDGTRLRIFSGTANPSLSQEIASYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPSCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARSDRKSQGRESIAAKLVANMITEAGANRVLVSDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVITTNTIPVKEDKNFPQLTILSVANLLGETIWRVHDDCSVGHEPYSTLDID* >Brasy4G282200.1.p pacid=40089359 transcript=Brasy4G282200.1 locus=Brasy4G282200 ID=Brasy4G282200.1.v1.1 annot-version=v1.1 MPEYLHTTNPILTAYIHAVPCHKVLSYSPNKIRTQAKTISTFVHKSSRRSIMAGGDDLKLLGAWASPFVTRVKLALAFKGLSFEDVEEDLSNKSELLLASNPVHKKIPVLLHNGKPVCESVVIVQYIDEAFPGAGLSLLPSDPHDRAFARFWAAYVDDKLVAPWAQSMRGKTEEDKAEGIKQTFAAVEMLEGALSECSKGEGFFGGDSVGLVDVSLGSLLSWLKATEVMSGAKIFDPVKTPLLAAWMERFGELEAAKATLPDVDRVVEFAKKRAQAAAAAAAENQ* >Brasy4G423500.1.p pacid=40089360 transcript=Brasy4G423500.1 locus=Brasy4G423500 ID=Brasy4G423500.1.v1.1 annot-version=v1.1 MKIIALAYMLEAEIHGTSHLREHPPPLHVVSVRNLQEAPLPGWGSNVPNLAEWEALDQMSEAGGDLNSRILPLKDLENQEIPTSDTNSISETLGDAEPQPLVKDACIPWEDDENNEGWLPAVSRTTHRKYLRRKARRDALKGSEQSFDTSSVAPSIDDDKDLAEDCLEQVDSPSVAPEEIKSNLDGLESKENEPKIAGNFHSDQLSNGENGVANVDLVKEIDATDACTEQLDSLDIKSETEESVEASFVDDESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGQNGITMASRRPRVTLRGTKFSIPMPQGGRDAVTKNPILREDQLPQKVLHPKSKKSSKLGDDFLGAEDIFSHSGEKKASLKPPVRKALAMFSGKRNPNDNHFSRKKN* >Brasy4G270500.1.p pacid=40089361 transcript=Brasy4G270500.1 locus=Brasy4G270500 ID=Brasy4G270500.1.v1.1 annot-version=v1.1 MAAIVSSAAPSALTPPRARGRRPAARTNRRLLRGGLAAGLSAALGLADGGGGAALAEPLSYDEMLRLSTDTAGGDGFALPDLGLDGLADFVAQNPLVVAAGFAVVAVPLVVAQVLGGGSSKPYGTVSGKAAYQRLLEEPDAQLVDIRPLKDAREVGSPDIREAKKKAVAVPYDGEDKNGFLKKLQLRLKDPENTTLIILDKFDGNSGLVAELVTANGYKAAFVVKDGAEGPRGWQSSNLPWTAPRKGFSFDLGDLFGDGSEGLPVTIALAALTGLGIVAYTEIETVLQFLGSAAVIQLVTSKLLYAQDRKKTLQQIDDFFNKKIAPKELVDEIKEIGQALLPSSGDVKSQPAATEAAPAAAIAADAPVAEATPAEAITESPPETPSRPLSPFANYPDLKPPSTPSPSAAEGKTEVKAETAGTESPAEVNSAPVVAEAVPEPPPAKPRPLSPYANYPDLKPPTSPWPSPP* >Brasy4G027900.1.p pacid=40089362 transcript=Brasy4G027900.1 locus=Brasy4G027900 ID=Brasy4G027900.1.v1.1 annot-version=v1.1 MRDRRPHQRSPLRPELQLLLALYRTNTIPPSVSNHFTSFNIRSIRRQGLPLSEWENESPAASPKSPEPAASSSPSPSSCSCPSACESGRCVAAVEETEARIWIRRAAGPHRRLRKAPNDGSVTTTAKPKPRSSSRTEQQGTAQSPTAAKKTKTKKGKSNSERAPARPMKRDQKGNDRKATRQGRAVRHARRGEARALDWIY* >Brasy4G259100.1.p pacid=40089363 transcript=Brasy4G259100.1 locus=Brasy4G259100 ID=Brasy4G259100.1.v1.1 annot-version=v1.1 MLGHLVGLVKVRVLRGVNLAVRDLCSSDPYVVIRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPEVPIRLDVFDKDTFIDDAMGNAELDIRPLVEVVKMKLQGVPENTVVKKLVPNRQNCLAEESAIRVSEGAVKQDMVLRLRNVECGEIELQLEWIDIPGSRGV* >Brasy4G259100.2.p pacid=40089364 transcript=Brasy4G259100.2 locus=Brasy4G259100 ID=Brasy4G259100.2.v1.1 annot-version=v1.1 MLGHLVGLVKVRVLRGVNLAVRDLCSSDPYVVIRMGKQDVFDKDTFIDDAMGNAELDIRPLVEVVKMKLQGVPENTVVKKLVPNRQNCLAEESAIRVSEGAVKQDMVLRLRNVECGEIELQLEWIDIPGSRGV* >Brasy4G264000.1.p pacid=40089365 transcript=Brasy4G264000.1 locus=Brasy4G264000 ID=Brasy4G264000.1.v1.1 annot-version=v1.1 MPSPLVDRATSDVLIGPDWAMNLEICDTLNRDPGQAKDAVKSLKKRIAHKNSKVQLLALTLLETMIKNCGDIVHMYVAERDILHEMVKIVKKKPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAIFPERPNGSVPIFTPPQTRPLNYPLPLRDAEQEAPESSMQDFPSISLTEIQNARDIMDVLSEMLNALDPGKKEELQQEVIVDLVDQCRSYKQRVVQLVNSTSDEELLGQGLSFNDDLQRVLGKHDAIAAGIAVRVEKPRLLQAQIDSSPLAKPDTIKESVQRSSATTSASKQSPFAQLALPAPPSSSSPKAVVAPAPSFDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLALADMFQQNSATANKSNQNLTNSFVSLTPNSTFPTSPAYPAPVQPILPQHPTYSNGDMSNAIVPYDQQSQLNSTGSWNGHPTYGMNSQRQALNYGVEDQNGDLPPPPWELQQSMANQPQDSQLGAMSLQPGQPVGMQAQSTQVSQFGQGFMSSRQMPREQPGGMHLQPELGTQNRSLQQPPTVPNMQYGVYPSMQTNQGMGMNSQSMSGGEFYGMNHQQLYALQMAGYGYGQQSGGYYIPNAAYAYTSANELSERMNGISVQDGTSNGAGASFSLKHPNKTSQPEDSLFGDLVSIAKMKQNKPAAGKVGGL* >Brasy4G264000.2.p pacid=40089366 transcript=Brasy4G264000.2 locus=Brasy4G264000 ID=Brasy4G264000.2.v1.1 annot-version=v1.1 MPSPLVDRATSDVLIGPDWAMNLEICDTLNRDPGQAKDAVKSLKKRIAHKNSKVQLLALTLLETMIKNCGDIVHMYVAERDILHEMVKIVKKKRAGAIFPERPNGSVPIFTPPQTRPLNYPLPLRDAEQEAPESSMQDFPSISLTEIQNARDIMDVLSEMLNALDPGKKEELQQEVIVDLVDQCRSYKQRVVQLVNSTSDEELLGQGLSFNDDLQRVLGKHDAIAAGIAVRVEKPRLLQAQIDSSPLAKPDTIKESVQRSSATTSASKQSPFAQLALPAPPSSSSPKAVVAPAPSFDLLSGDDYIKPEPANSLALVPVTEYSASDQNVLALADMFQQNSATANKSNQNLTNSFVSLTPNSTFPTSPAYPAPVQPILPQHPTYSNGDMSNAIVPYDQQSQLNSTGSWNGHPTYGMNSQRQALNYGVEDQNGDLPPPPWELQQSMANQPQDSQLGAMSLQPGQPVGMQAQSTQVSQFGQGFMSSRQMPREQPGGMHLQPELGTQNRSLQQPPTVPNMQYGVYPSMQTNQGMGMNSQSMSGGEFYGMNHQQLYALQMAGYGYGQQSGGYYIPNAAYAYTSANELSERMNGISVQDGTSNGAGASFSLKHPNKTSQPEDSLFGDLVSIAKMKQNKPAAGKVGGL* >Brasy4G165700.1.p pacid=40089367 transcript=Brasy4G165700.1 locus=Brasy4G165700 ID=Brasy4G165700.1.v1.1 annot-version=v1.1 MDSSSWGSGFSFASGHGKREEFLQKVPQGSSLPSTAKGTVLLSQCCALQHDVAAVADSHCQDGNPWWYHEKDRIIR* >Brasy4G035400.1.p pacid=40089368 transcript=Brasy4G035400.1 locus=Brasy4G035400 ID=Brasy4G035400.1.v1.1 annot-version=v1.1 MMQQRPMPKVVLAKPNPLPDSSFIIAAREALRAAGPGPSTATKEGNLALKVAAMKHAKDKVFQAQRDATLEAKYESHENQVAEILAKRQEKERAKKAEALAKKEEAAKQKAIIAQQKKEESLARKAAKAEERQAAKRAAQDAARKELTAQKKAAAEEKQQEAAAKRAATALSREQAQAAKKANHESMFDIFK* >Brasy4G391600.1.p pacid=40089369 transcript=Brasy4G391600.1 locus=Brasy4G391600 ID=Brasy4G391600.1.v1.1 annot-version=v1.1 MGSWSGHGGGSAAAVVLAAAVMVSWAAAGVGAIGANWGTQASHPLPPDTVVKMLKGNGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDLLTTMATSMKAAEKWVDKNVSNYLNDGCNIRYVAVGNEPFLSTYNGSFLQSTFPALRNIQSALVKAGLSNQIKVTVPQNADVYQTSTSKPSDGDFRTDIHDRMLEIVKFLSDNGGAFTVNIYPFISLYIEPDFPKDYAFFEGAASPIVDGSATYTNMFDANHDTLIWALKKNGYGSLPIIIGEIGWPTDGDVNANAPLARLFNQGFMTHIATGRGTPMRPGPIDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLTLGTANSQLQRANGVKYLEKKWCVLKPSVNLNDPKLPDTVSYACSMADCTSLGYGTSCGGLDTRSNISYAYNSFFQKNDQDDVACGFTGFATITGQDPSTGTCRFGIMIEVDSAFSWKPQRVKSNYLLMILLVIVHLCLSFS* >Brasy4G149300.1.p pacid=40089370 transcript=Brasy4G149300.1 locus=Brasy4G149300 ID=Brasy4G149300.1.v1.1 annot-version=v1.1 MRESKAQVVKGKRGGGGGGARDLSKSYRGAVASCRVEGARATPGPHPPLSHRRRAFPILERAQRPAWPPPDRSPSIRSGRAWGQLASQPGRPRPQRPAGAIHDRSIDRSTGLGSCNQPTASRGEEEETLASRPARRGMFRACASSASRCHRGPAQHGSTCPASGPGLYISPLSIDPNSQSHSARNPHPPLLRRRSGTVHHRYRFRAARRWSRRPCVEGLEGRAARGMLS* >Brasy4G007100.1.p pacid=40089371 transcript=Brasy4G007100.1 locus=Brasy4G007100 ID=Brasy4G007100.1.v1.1 annot-version=v1.1 MDDDQARELEALEGILSDASAEPIKLSYGLLKLITENFSNEIGRGGFGVVYEGHLQNGVVAVKKISNAHSNLCDKQFMDEIKCLKSANHKNIVRFLGYCADTQEEIMSFNGEYVMAGKLNRLLCFEYVPNGDIRKYLQQEKPHGDDWPARYQMIRGICEGLQYLHGKKINHLDLKPENVMLDAHMEAKVTDFGLSRFLDNGQSKMITEHVVGTRRYIGKEGIDKGELSFKSDIYALGVIIIELLTGISMISLENWDESLDMDRPRVSRCTEIARNCMDPDRYSRPTIHKVISELDELESMIPWSYINQVTPTAFPGNEMIDVYPLELKFPFMPNQEMCCHLTLTNKTDGVVLVSIPDRYRGRFDGILLPRFTAVITLRREAEEELPSDTEDKLEILMLPTGCHNSSDFKGQLRTLRLDKDASLEDKIKAAHELLGFELHRAMLTAIITASEDDDQDDDLETVHTLTQEEEEEFGRYEGSNIYSMDVHPTEPWVLILGFRKVYIYNWQTKERTGVYAVQETNKKQLHGSFSCNAVVKFIAREQWAVIGSPDGYIRVFMCPTMDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWGAMTWRIGRSEPIATIKLPGEHYYGAYDFTYTGHRHQIAVTVHPYAATRIFDLQKKKHVHSLYSQGNSTCVVCCHPTRPVLVAALGGVLHVWDSTTFRLKKVYKHLRKKPKHIGFAGLKRLVIGYSWSQIFVMDIDLE* >Brasy4G007100.3.p pacid=40089372 transcript=Brasy4G007100.3 locus=Brasy4G007100 ID=Brasy4G007100.3.v1.1 annot-version=v1.1 MDDDQARELEALEGILSDASAEPIKLSYGLLKLITENFSNEIGRGGFGVVYEGHLQNGVVAVKKISNAHSNLCDKQFMDEIKCLKSANHKNIVRFLGYCADTQEEIMSFNGEYVMAGKLNRLLCFEYVPNGDIRKYLQQEKPHGDDWPARYQMIRGICEGLQYLHGKKINHLDLKPENVMLDAHMEAKVTDFGLSRFLDNGQSKMITEHVVGTRRYIGKEGIDKGELSFKSDIYALGVIIIELLTGISMISLENWDESLDMDRPRVSRCTEIARNCMDPDRYSRPTIHKVISELDELESMIPWSYINQVTPTAFPGNEMIDVYPLELKFPFMPNQEMCCHLTLTNKTDGVVLVSIPDRYRGRFDGILLPRFTAVITLRREAEEELPSDTEDKLEILMLPTGCHNSSDFKGQLRTLRLDKDASLEDKIKAAHELLGFELHRAMLTAIITASEDDDQDDDLETVHTLTQEEEEEFGRYEGSNIYSMDVHPTEPWVLILGFRKVYIYNWQTKERTGVYAVQETNKKQLHGSFSCNAVVKFIAREQWAVIGSPDGYIRVFMCPTMDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWGAMTWRIGRSEPIATIKLPGEHYYGAYDFTYTGHRHQIAVTVHPYAATRIFDLQKKKHVHSLYSQGNSTCVVCCHPTRPVLVAALGGVLHVWDSTTFRLKKVYKHLRKKPKHIGFAGLKRLVIGYSWSQIFVMDIDLE* >Brasy4G007100.2.p pacid=40089373 transcript=Brasy4G007100.2 locus=Brasy4G007100 ID=Brasy4G007100.2.v1.1 annot-version=v1.1 MDDDQARELEALEGILSDASAEPIKLSYGLLKLITENFSNEIGRGGFGVVYEGHLQNGVVAVKKISNAHSNLCDKQFMDEIKCLKSANHKNIVRFLGYCADTQEEIMSFNGEYVMAGKLNRLLCFEYVPNGDIRKYLQQEKPHGDDWPARYQMIRGICEGLQYLHGKKINHLDLKPENVMLDAHMEAKVTDFGLSRFLDNGQSKMITEHVVGTRRYIGKEGIDKGELSFKSDIYALGVIIIELLTGISMISLENWDESLDMDRPRVSRCTEIARNCMDPDRYSRPTIHKVISELDELESMIPWSYINQVTPTAFPGNEMIDVYPLELKFPFMPNQEMCCHLTLTNKTDGVVLVSIPDRYRGRFDGILLPRFTAVITLRREAEEELPSDTEDKLEILMLPTGCHNSSDFKGQLRTLRLDKDASLEDKIKAAHELLGFELHRAMLTAIITASEDDDQDDDLETVHTLTQEEEEEFGRYEGSNIYSMDVHPTEPWVLILGFRKVYIYNWQTKERTGVYAVQETNKKQLHGSFSCNAVVKFIAREQWAVIGSPDGYIRVFMCPTMDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWGAMTWRIGRSEPIATIKLPGEHYYGAYDFTYTGHRHQIAVTVHPYAATRIFDLQKKKHVHSLYSQGNSTCVVCCHPTRPVLVAALGGVLHVWDSTTFRLKKVYKHLRKKPKHIGFAGLKRLVIGYSWSQIFVMDIDLE* >Brasy4G007100.5.p pacid=40089374 transcript=Brasy4G007100.5 locus=Brasy4G007100 ID=Brasy4G007100.5.v1.1 annot-version=v1.1 MDDDQARELEALEGILSDASAEPIKLSYGLLKLITENFSNEIGRGGFGVVYEGHLQNGVVAVKKISNAHSNLCDKQFMDEIKCLKSANHKNIVRFLGYCADTQEEIMSFNGEYVMAGKLNRLLCFEYVPNGDIRKYLQQEKPHGDDWPARYQMIRGICEGLQYLHGKKINHLDLKPENVMLDAHMEAKVTDFGLSRFLDNGQSKMITEHVVGTRRYIGKEGIDKGELSFKSDIYALGVIIIELLTGISMISLENWDESLDMDRPRVSRCTEIARNCMDPDRYSRPTIHKVISELDELESMIPWSYINQVTPTAFPGNEMIDVYPLELKFPFMPNQEMCCHLTLTNKTDGVVLVSIPDRYRGRFDGILLPRFTAVITLRREAEEELPSDTEDKLEILMLPTGCHNSSDFKGQLRTLRLDKDASLEDKIKAAHELLGFELHRAMLTAIITASEDDDQDDDLETVHTLTQEEEEEFGRYEGSNIYSMDVHPTEPWVLILGFRKVYIYNWQTKERTGVYAVQETNKKQLHGSFSCNAVVKFIAREQWAVIGSPDGYIRVFMCPTMDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWGAMTWRIGRSEPIATIKLPGEHYYGAYDFTYTGHRHQIAVTVHPYAATRIFDLQKKKHVHSLYSQGNSTCVVCCHPTRPVLVAALGGVLHVWDSTTFRLKKVYKHLRKKPKHIGFAGLKRLVIGYSWSQIFVMDIDLE* >Brasy4G007100.4.p pacid=40089375 transcript=Brasy4G007100.4 locus=Brasy4G007100 ID=Brasy4G007100.4.v1.1 annot-version=v1.1 MDDDQARELEALEGILSDASAEPIKLSYGLLKLITENFSNEIGRGGFGVVYEGHLQNGVVAVKKISNAHSNLCDKQFMDEIKCLKSANHKNIVRFLGYCADTQEEIMSFNGEYVMAGKLNRLLCFEYVPNGDIRKYLQQEKPHGDDWPARYQMIRGICEGLQYLHGKKINHLDLKPENVMLDAHMEAKVTDFGLSRFLDNGQSKMITEHVVGTRRYIGKEGIDKGELSFKSDIYALGVIIIELLTGISMISLENWDESLDMDRPRVSRCTEIARNCMDPDRYSRPTIHKVISELDELESMIPWSYINQVTPTAFPGNEMIDVYPLELKFPFMPNQEMCCHLTLTNKTDGVVLVSIPDRYRGRFDGILLPRFTAVITLRREAEEELPSDTEDKLEILMLPTGCHNSSDFKGQLRTLRLDKDASLEDKIKAAHELLGFELHRAMLTAIITASEDDDQDDDLETVHTLTQEEEEEFGRYEGSNIYSMDVHPTEPWVLILGFRKVYIYNWQTKERTGVYAVQETNKKQLHGSFSCNAVVKFIAREQWAVIGSPDGYIRVFMCPTMDQVKEFKAHPRCAVTSLAVHPTRPILLSCSSDHLDFSLKLWDWSNDWSDTGIFDAMKPVMHVMFDPKHASDFSTLHEWGAMTWRIGRSEPIATIKLPGEHYYGAYDFTYTGHRHQIAVTVHPYAATRA* >Brasy4G161700.1.p pacid=40089376 transcript=Brasy4G161700.1 locus=Brasy4G161700 ID=Brasy4G161700.1.v1.1 annot-version=v1.1 MARSWLITCRGVAKKIRNVNCSSSQISEAGAEACRECPNCKHLIDNSDVAIHWPGLPTGVKFDPSDLELLEHLEQKIGLGDSKPHMFIDEFIPTLENDEGICYSHPENLPGTKKDGSSAHFFHRVSNAYGCGKRKRRRISNSDHTTSDEHVRWHKTGKSKPIYGNGVMKGWKKILVLYKSSQTGSKPDKTDWVMHQYHLGVEENETVGNYVVSKILYQLKTKHMEKSETEMANEESDAFTARNGPKTPKANTPKPCRTNNSPCETEQNDLILPGEEGEAEIPDVRLFDGAENPAWCDIGSQAVEVALQAQPTVEESLHCHEVLDSILSQDRNDTLGNNLSAFDGLPDNFDLGSLPDFLISGQDVQFCSIGSFGSWLDSTDPLET* >Brasy4G296300.1.p pacid=40089377 transcript=Brasy4G296300.1 locus=Brasy4G296300 ID=Brasy4G296300.1.v1.1 annot-version=v1.1 GGAPGQATNGAGFGQGEGAGAAGQAAPTASLAAPLYVRTYVHHHPQITASVTWYTYKKGEAHVRQRKEEPFVARSLIRSTCDPTMQGEIRRGSAMNRQNFSQDAGAVFGAWDPEPSRVDADADHA* >Brasy4G343900.1.p pacid=40089378 transcript=Brasy4G343900.1 locus=Brasy4G343900 ID=Brasy4G343900.1.v1.1 annot-version=v1.1 MEKGTLDDRLSNLPDDILLAILDRLDVRDATRTSVFSRRWRQLPAMISRLQIDVRDFLNRQDRTCYTKEAARSNATIAEVTKSLLSRRELTGNTIYRLCITFFLREKDHISIGCAVGRAMVTQKVEIVEFDVLTETRYVLCDEEHLVRYGRQFTAFFDACPDAFGGLTRLRLEYLRFGELDIANILVTCKRLKHLRLFRCDSGDCTMLQVEHAQLCELAIVDCFWERVELISLPKLTWLIFERWVYSQDPLSLGHVPLLEAVGLSNVGLSWHEMVKLSKFLGDTSVQDLRLGFESEKIWVQPERPTKGLASVFHKLRSVTLTEIPEECDLMWTLFILEASPNLKELCLTVWTHACKMVTDKEERRELGYSEEKGVEWDEPSDFQHHNLVTLTIFGFQAHVAVHYVRRVMKVAMNLHDVFLYRRMLCPKCKVSPPAYPCSELEGQSWKKWITNGMDTLATIHFPSCKATRAAYPAKLQFP* >Brasy4G037700.1.p pacid=40089379 transcript=Brasy4G037700.1 locus=Brasy4G037700 ID=Brasy4G037700.1.v1.1 annot-version=v1.1 MAPPGALQVSQVGCRVASSSSVGEGGGQRPCLKPAAWQPAVLFCLLLLLCPLTGHSFTETHKQRRCTAHSRGTVHTCKAAHAACSLSSEKQMVKRRRQPEDHVYACAPSH* >Brasy4G159700.1.p pacid=40089380 transcript=Brasy4G159700.1 locus=Brasy4G159700 ID=Brasy4G159700.1.v1.1 annot-version=v1.1 MTSSVDFEALRPSPADVRVLTSDGSAIAAHSSVLASASPVLERMIGRARRGWDAGCSIRILGASPDAVASFLRFLYSQREEKGEWWSEEEEAMAAHGAALLALAHAYRVAWLKRRAEEALAARLTAGRAVDALKLAALCDAPRLHLRCARLAGKDLAAVELSEGWRFAGRHDAALQIDLLQLLHDADQRKKRWERERASQAVYRHLSDAMASLERVFTEAPPCDHDDDKDSHQGLAQLMRHLGGCGNKGGEGGCAHCKRMFQLLRLHSSVCDRAATAHGEPCRVPLCSNLKTKMQAEKVDKTWRLLVKKVTRAGAMAALANREVPEMVNKSWAKYSRSRGCRATRFR* >Brasy4G159700.2.p pacid=40089381 transcript=Brasy4G159700.2 locus=Brasy4G159700 ID=Brasy4G159700.2.v1.1 annot-version=v1.1 MTSSVDFEALRPSPADVRVLTSDGSAIAAHSSVLASASPVLERMIGRARRGWDAGCSIRILGASPDAVASFLRFLYSQREEKGEWWSEEEEAMAAHGAALLALAHAYRVAWLKRRAEEALAARLTAGRAVDALKLAALCDAPRLHLRCARLAGKDLAAVELSEGWRFAGRHDAALQIDLLQLLHDADQRKKRWERERASQAVYRHLSDAMASLERVFTEAPPCDHDDDKDSHQGLAQLMRHLGGCGNKGGEGGCAHCKRMFQLLRLHSSVCDRAATAHGEPCRVPLCR* >Brasy4G224000.1.p pacid=40089382 transcript=Brasy4G224000.1 locus=Brasy4G224000 ID=Brasy4G224000.1.v1.1 annot-version=v1.1 MASRPTSDPESPSPAAPLLGNNNAASDGRTQTTATTTTSVVGKALSSTADLAKHLPTGAVLAFEFLSPTFTADGRCTAANRVLTGCLIAACALSCFLLSFTDSFRDDSGAVRYGFVTPSGRLRLIDGGTVGAAPRDARYRLGARDVLHGLLSFVVFLAVAMVDSNVVACFYPVESDAARQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPAS* >Brasy4G375000.1.p pacid=40089383 transcript=Brasy4G375000.1 locus=Brasy4G375000 ID=Brasy4G375000.1.v1.1 annot-version=v1.1 MSAMMQPQIILLKEGTDTSQGRAQVVSNISACTAVADTVRTTLGPRGMDKLIHDDKGTTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFMKEAKPYVEDGVHPHSLIRSYRTAGNMAIQRVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKDFFASMVVDAVLAIGHDDRLNLIGIKKVPGGTMRDSFLVSGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVSSATGGTVQTSVNNVIDEVLGTCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGDGANYGVDVNTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAMGGRGGGAMRGRGGRGMRRR* >Brasy4G248600.1.p pacid=40089384 transcript=Brasy4G248600.1 locus=Brasy4G248600 ID=Brasy4G248600.1.v1.1 annot-version=v1.1 MRHDTPRRATGEATGDDATMWVKKLASSDFREVCEAYYFCAASTEGFCERCRPVSTCHGHNDHDNIFQVMHPGELLLVRLGDVNKFYDCTDIQPEIPGSVLIHPNPVPLLGGDKLCGYRDCYHEVAEPYTLCSICCKHKENPYSNWMWRVQGLLKRPFIPRHRWNRFCLECHAPFGYQACEHHGNHFTVTVIESEGGLVVRFPAGIGSEWSKTRWLGCLGAIQSTRKDMDGGVLVLVDFVVDVPLTRPKQLNSERWPKSCSECDNGINEDKEYCSIQCMASKLPGD* >Brasy4G023400.1.p pacid=40089385 transcript=Brasy4G023400.1 locus=Brasy4G023400 ID=Brasy4G023400.1.v1.1 annot-version=v1.1 MMQPRGCMLCLPDRSIAGESRMMPATPAVRREKQTGKLHFDRSVPASRRGKGRSIPEPSDHISRQQPLVRSIEFGLDLSCGSRTEAGRLRPASSAYDHSGVIKRARYCLCALCSRRQEQA* >Brasy4G416500.1.p pacid=40089386 transcript=Brasy4G416500.1 locus=Brasy4G416500 ID=Brasy4G416500.1.v1.1 annot-version=v1.1 MQHSQASQHYLVSCPDFFGWLDRSVGGSPMAVEEPPPPPQQQQGQRREVGAGGRRTDKQGRRLEVYNEVLARLRAAGEISPAFQDALWAHFHRLPARYALDVNAERAEDVVTHQRLLEEARDPHRRPALSVRVVQVSRIIDGDMDDDSFDPGMAASNHLASHMVHPPPSFGSSSNLETLALEASKSDVASTTNNDDNSVHLVSRPMHEIALATIDKPKLLSKLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWRLAGTKQLQEKLLQNLRNVEEQAWPVSSPSSPSLEGLQVGQSVPSTSVKIPTDGADVWEIDLKLLKFGNMVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHKNVVQFIGACTRQPNLYIITDFMSGGSVYDCLHKNNSAFKLPEILRVATDISKGMNYLHQNNIIHRDLKTANLLMDENKVVKVADFGVSRVKDQSGVMTAETGTYRWMAPEVIEHRPYDHKADVYSFGIVLWELLTGKIPYGLLTPMQAAIGVVQKGIRPIIPKDTHPKLADLVQKCWHGDSAERPDFSQILEILERLSKEVGTNANGLRKTKSGFLSALKRSH* >Brasy4G416500.2.p pacid=40089387 transcript=Brasy4G416500.2 locus=Brasy4G416500 ID=Brasy4G416500.2.v1.1 annot-version=v1.1 MQHSQASQHYLVSCPDFFGWLDRSVGGSPMAVEEPPPPPQQQQGQRREVGAGGRRTDKQGRRLEVYNEVLARLRAAGEISPAFQDALWAHFHRLPARYALDVNAERAEDVVTHQRLLEEARDPHRRPALSVRVVQVSRIIDGDMDDDSFDPGMAASNHLASHMVHPPPSFGSSSNLETLALEASKSDVASTTNNDDNSVHLVSRPMHEIALATIDKPKLLSKLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWRLAGTKQLQEKLLQNLRNVEEQAWPVSSPSSPSLEGLQVGQSVPSTSVKIPTDGADVWEIDLKLLKFGNMVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHKNVVQFIGACTRQPNLYIITDFMSGGSVYDCLHKNNSAFKLPEILRVATDISKGMNYLHQNNIIHRDLKTANLLMDENKVVKVADFGVSRVKDQSGVMTAETGTYRWMAPEVIEHRPYDHKADVYSFGIVLWELLTGKGIRPIIPKDTHPKLADLVQKCWHGDSAERPDFSQILEILERLSKEVGTNANGLRKTKSGFLSALKRSH* >Brasy4G241500.1.p pacid=40089388 transcript=Brasy4G241500.1 locus=Brasy4G241500 ID=Brasy4G241500.1.v1.1 annot-version=v1.1 MAPLGADAVAAAWAALRARAVAPVLRAAVWACLVMSVMLVVEAACMSLVSLVAVRLLRRRPERRYKWEPMPGAAAGGDVEDPPPDCGEFPRVLVQIPMYNEKEVYKLSIGAACALTWPPDCIIIQVLDDSTDPLIKELVELECQDWASKKININYEVRDNRKGYKAGALKKGMEHIYAQQCDFIAIFDADFQPESDFLLKTIPFLVHNPKIALVQTRWEFVNYGVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINESGGWKDRTTVEDMDLAVRAGLKGWEFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIVTNKGVSIWKKYHLLYSFFFVRRVIAPILTFLFYCIVIPLSAMVPEVSIPVWGLVYIPTAITIMNAIRNPRSLHLMPFWILFENVMSLHRMRAALTGLLETAHANDWVVTEKVGDLVKDDLDIPLLEPLKPTECVERIYVTELLLAFYLLICASYDFVLGSHTYYMYIYLQAFAFVILGFGFVGTKTPCS* >Brasy4G169700.1.p pacid=40089389 transcript=Brasy4G169700.1 locus=Brasy4G169700 ID=Brasy4G169700.1.v1.1 annot-version=v1.1 MLARPAADTGELPPSSAAMDPASEELERRSRYLSSLIRRTKLNAAPAPPPPSPSPDPDVKLKLELELERERSVIRRTKLDVAPAPPPPTPPPEPEAKKMELELVPEPEPRSRSLVVAKAVVEKREVKDGDGKGKDKGKEEKKVSVRVRATDMPLPLQRRAIRLAHDAVAATPRVDGKHLALALKKEFDMAYGPAWHCIVGTSFGSYVTHSVGGFLYFSVDKVYILLFRTAVEPLGHLR* >Brasy4G037200.1.p pacid=40089390 transcript=Brasy4G037200.1 locus=Brasy4G037200 ID=Brasy4G037200.1.v1.1 annot-version=v1.1 MLRHSLPVTRTPAVGSTSGLDPSRTAPPTPTPRIPLPISETTSFLSCRPPPFPIPDRTKADRALPPLPYTETKQTRKQERIALPVSLFRDLIHPPAVPSGRSAQMPCCCC* >Brasy4G073600.1.p pacid=40089391 transcript=Brasy4G073600.1 locus=Brasy4G073600 ID=Brasy4G073600.1.v1.1 annot-version=v1.1 MVGGRGGGRRQERQALVVAFAVALLMGTAVYFRIWARQSDDPSFTADDREELRRQFEHANLEAMDESAEWRMKYDTEFGKNRQLQDELVKVKASLSASTRRFDSLQKDNEMLKKQIESMKLQCNCTVPVKITRK* >Brasy4G128400.1.p pacid=40089392 transcript=Brasy4G128400.1 locus=Brasy4G128400 ID=Brasy4G128400.1.v1.1 annot-version=v1.1 MASQWNAIGDRSNSLAVTNAGLICNISIYNCMMEHLK* >Brasy4G163000.1.p pacid=40089393 transcript=Brasy4G163000.1 locus=Brasy4G163000 ID=Brasy4G163000.1.v1.1 annot-version=v1.1 MRRGAHLRLDSWVYSGRPKRSGDEAEEEAAMKMIDSVKRDLDLEIDYVNWDAKYRYQHARYSCARELRDVKEENEALKDSIARLTQGWRGTLTELGILHDLSYATCCSAFDAYTEATAAVMGGVLGNTIVLEEQAERALTEATDAFRWTAGGLD* >Brasy4G163300.1.p pacid=40089394 transcript=Brasy4G163300.1 locus=Brasy4G163300 ID=Brasy4G163300.1.v1.1 annot-version=v1.1 MRSLETIGPLLTRYSATQSLLQGAHIHAHLLKSGLFAVFRNHLLSLYSKCHLPGSARRVFDEIPDPCHVSWSSLVTAYSNNAMPRDALGTFRSMRSCSVRCNEFVLPVVLKCVPDAGFGAQVHALAMATGLGGDIFVANALVAMYGGFGFVDEARMVFDEAGCERNTVSWNGLMSAYIKNDRCSDAVEVFGEMVWGGVQPNEFGFSCVVNACTGSRDLEAGRKVHAMVIRMGYDKDVFTANALVDMYSKLGDIHMAAVVFGKVPETDVVSWNAFISGCVLHGHDQHALELLLQMKSSGLVPNVFTLSSILKACAGSGAFNLGRQIHGFMVKANADSDNYIAVGLVDMYAKHGLLDDAKKVFDWIPRRDLVLWNALISGCSHGARHAEALSLFCRMRKEGFDVNRTTLAAVLKSAASLEAISDTRQVHALAEKLGFMSDSHVVNGLIDSYWKCDCLNYAYRVFEKHGSYDIIAFTSMITALSQCDHGEDAIKLFMEMLRKGLDPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDVFAGNALVYTYAKCGSIEDADLAFSGLPEKGVVSWSAMIGGLAQHGHGKRALDVFRRMVDEHISPNHITMTSVLCACNHAGLVDEAKRYFNSMKEKFGIERTGEHYACMIDLLGRAGKLDDAMELVNSMPFQANAAVWGALLAASRVHRDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWDDVAKVRKLMKDSKVKKEPAMSWVELKDKVHTFIVGDKSHPRARDIYAKLDELGDLMTKAGYVPNVEVDLHDVDKNEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHAAFKFISDIISREIIIRDINRFHHFRDGTCSCGDYW* >Brasy4G035000.1.p pacid=40089395 transcript=Brasy4G035000.1 locus=Brasy4G035000 ID=Brasy4G035000.1.v1.1 annot-version=v1.1 MSSGGYYYGGDHRQLGAPSSYGYGYPRQPAASSSSSSFYLCLFLATASLLGAASLYSRCESAMEGLLDQLRVALVLSPLLLLLAAQYWPSRRPPSSSLLVAAPPPMVAVEEEQPWSYEQRQRRGQDGGGAPWGVALALALVLMLVSYQPCFQDLWFPIGGRRHRHHR* >Brasy4G133300.1.p pacid=40089396 transcript=Brasy4G133300.1 locus=Brasy4G133300 ID=Brasy4G133300.1.v1.1 annot-version=v1.1 RIGIIYLLSGFGGTVLSALFLRNHYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAVNLAIGILPHADNFAHIGGFVSGFLIVNLAIGIQNFPIREFQDDL* >Brasy4G225900.1.p pacid=40089397 transcript=Brasy4G225900.1 locus=Brasy4G225900 ID=Brasy4G225900.1.v1.1 annot-version=v1.1 MAGGDKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLLIDHKSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENVFFLETSALQATNVENAFQTVLSEIFKIHSKKNIAAEPKANGSGPSLAGKKVLVPGPAQEIPKSKCCSSM* >Brasy4G038300.1.p pacid=40089398 transcript=Brasy4G038300.1 locus=Brasy4G038300 ID=Brasy4G038300.1.v1.1 annot-version=v1.1 MAKSAAHLISVIAKIDKICQGFLWKGKKEANGGHCLVAWTLRVEPWRPWQQFEQHFPEPVQELFDAGSAVSVKDGSNTFFWSDPWIDGQRVKDVAPSVYAAGLSLRDGLLNGAWVRNICPNLSRDAVRDLLALWDRATAFEMSEGEDCFYWKWAASRTFTVQSAYLAFFEGKEGMAGFDLVWESRAPMKCKFFLWLASRERCWTADRLERRGLDHPAACPLCDQHPEDIKHILLGCVMARQVWERILSSWDRIQWMPGVDSRLDGWWTGIPVAGKQRREFATSSALVFWSIWKYRNRVVFDGESPSASQILSCIAREARAWKQANLFREDTFALISVDVDRVQWRDTG* >Brasy4G087700.1.p pacid=40089399 transcript=Brasy4G087700.1 locus=Brasy4G087700 ID=Brasy4G087700.1.v1.1 annot-version=v1.1 MSRINRTIFSLTVLNHFIDTVYNSNAQFIRKCTSVFPFSKRKIMDLQHHSLANNPTMYEEYISACMIAALVIPSLNFSLLQHKLSVFVQIFENARLIGFYVYFSP* >Brasy4G296100.1.p pacid=40089400 transcript=Brasy4G296100.1 locus=Brasy4G296100 ID=Brasy4G296100.1.v1.1 annot-version=v1.1 MSRMKHLLRKLHLSGAGAGAGAGGGPAAAPSSDHHRPRQRRSAHSPLPPPPVVAAAVAEPPQPAPAAAAAAVAVPEATGMGAETSLTRLEEEYHVRLALAISASDPAGLVDPDSVQMRAAERISLGGPAAAPGDRTTMEALSARYWNHNVVNYDEKLWDGFYDVCGAPLDPGFQVKFPSFSSLRAVPVGRDVAYVAILVNRERDPVLKRLEGQVMAIAAQSRAKRGGVASAELVQEIATLVVDAMGGPVEDADRMNREWTTKSRELCAELNSIALPLGSLRIGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAINFVKIDFDSAEYIVDLMGAPGTLIPSEISASQFQDSNNSQLSSDAIEESVAELCIALEQVSGVYESRNETGGNSSDRSSVLGLSSPHLEDRSLTDNPLKQHIISGDGQSDDTSQQMKVHDTSRYSVPEGVDPQFTQNLHDLLLEGGALLPTGLFSGQNSHDTGNTTEMSKTTSLEDKDTAGWLLVAQTSQSLPKGSATEYSSLASYEDVQYPAENTEAIVRNLDAISSEGQMFGEDSLVNISRSSSANLDKLSCSSTKTISSVMDDVAEYEIPWEDLQIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLFRLLHRPNSKVDETQRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPANEMCDVYSFGVILWELATMRVPWNGLNPMQVVGAVGFQNRRLEIPKEVDPMVASIILSCWDNDPSKRPSFSQLLSPLKQLQRLVIAESF* >Brasy4G277100.1.p pacid=40089401 transcript=Brasy4G277100.1 locus=Brasy4G277100 ID=Brasy4G277100.1.v1.1 annot-version=v1.1 MAPPRMSKGIQVMVAVVVAGLMLAEAQAQQRECVPQLNRLLACRAYLAVPGAAAGAPSAECCGALAGISRECACSTMAIINSIPSRCGVHQVNCTASSSSTST* >Brasy4G412000.1.p pacid=40089402 transcript=Brasy4G412000.1 locus=Brasy4G412000 ID=Brasy4G412000.1.v1.1 annot-version=v1.1 MAASLWRAVMGSSSAGADDPATGGVEFWHGAERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDPVVTRASVPRGVIPVSSCLTVKGAEDVLNRQFAFELSTPTETMYFIADAEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPQPSPRAKKSEASEPSE* >Brasy4G167500.1.p pacid=40089403 transcript=Brasy4G167500.1 locus=Brasy4G167500 ID=Brasy4G167500.1.v1.1 annot-version=v1.1 MRTEMVIRMQAGSEKGQNSKAMKIAAAIDGVESVTLAGEGRNLLRVIGDGVDSNYLTSRLRRKVGHADIVELRTLQAGRGYHSHSAQAGGPRGESVATYGQYGSSYPAAASYSEYYSHQQQPSYGYYPPTPYYGGSTVVHHEYPAAGGDPNGCCIM* >Brasy4G285600.1.p pacid=40089404 transcript=Brasy4G285600.1 locus=Brasy4G285600 ID=Brasy4G285600.1.v1.1 annot-version=v1.1 MSCASTSSPCCSGVSPPVLASPRGGFSASCSTRSNQKVCFLGSREFPRVKYGPANGASSRLSRREVIAFAGQQSWDIGRFARTLFFFNGPPNPLKIVESIMSSITASAPTEAPKKMQTSDVVLVTGATGGVGRRVVDVLQKKGLPVRVLVRNEEKARTMLGPDVDLIIGDVTKGDTLNAKYFKGIKQVISAVSVIVGPKEGDTPDRQKYAQGIKFFEPEIKGPSPEMVEYIGMQNLISAVKNSIGSSEGKLLFGFKGNLSGKFVWGALDDVVMGGVSESAFQIQPTGSETGGATGLFKGIVSTSNNGGFTSIRTKNFTVPEDLSAYDGVELRVKGDGRRYKLIVRTSYEWDTIGYTASFDTTKGEWQSVRIPFSSLIPVFRARTAPDAPPFDASNITALQIMFSKFEYDGKLNPTFIEGPFELPFSSIRAYINEPITPRFIHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGVPYTIVRPCALTEEPAGADLIFEQGDNITGKISREEVARICVAALASPNAVGKTFEVKSTVPFSEPFVIDPSNPPPEKDYEVYFEELKEGITGKEVLEGTPAQV* >Brasy4G114400.1.p pacid=40089405 transcript=Brasy4G114400.1 locus=Brasy4G114400 ID=Brasy4G114400.1.v1.1 annot-version=v1.1 MGKRRRNDGFVRQYTRSEVPRMKWTDELHGSFVRAVDFLGGQDSTRTTPKRILQLMGVRGLTISHVKSHLQMYRCTPRGIGEKETQLQLHPKNHSFAVDERGPKGFIFPPIKRAKVGTEVAAAYKNMQGSSDMRRMIPGNQCCIDDYVQLQAMSMDRRNVNGGLEWQSAATAAAVNLQHLGSWVQEPEPSKVSIPEPRDHLNHTARRISSTESYESWCLLFSRATTGEDEPDDSAANKCSPRLSLAAEQKAEDDVVSSWPSESSCVLSPSSRSFSDCSGPPGCGLAGQHVNLDLSLSICGS* >Brasy4G267800.1.p pacid=40089406 transcript=Brasy4G267800.1 locus=Brasy4G267800 ID=Brasy4G267800.1.v1.1 annot-version=v1.1 MGARVLPHSLTSRRPAAAVPHACPSTAIRINPTCVPKPTPAKAKKRPGFQQGESPPKRSHGVCGAVAPHAAAAAAKNKKHPGFLQGKLPAKRARGVCTKIKNRPDGASSDEDPVSSALRGMDALYAKKQAPPAATLIHLPQLKAPPAASPIHLPELTAPPTTGSMRELLEKAHLAKAVPETIFKSKIERRRAEARREIEQMVRTVEFNDPYIDPRDVLK* >Brasy4G330700.1.p pacid=40089407 transcript=Brasy4G330700.1 locus=Brasy4G330700 ID=Brasy4G330700.1.v1.1 annot-version=v1.1 MCTQIIRQADSSPNIRARSQASNRTGFQSSPRHWFQRSLIITGPPPRANGGHPTGASQLLSTLISAKRTNRRPPELLSPPLARPTNRRPAPRHPLPAITPFFPTPAPIRQNKPPSSPPAPISFLPRPVGRPPARAVSCFLSRAGSSQRNSINGHIRGEVEMEMKKIACAALVAVAASASAVAASEGPAGAPGPSTSGAAFGAAPAAAALLLSLVAYYLH* >Brasy4G376800.1.p pacid=40089408 transcript=Brasy4G376800.1 locus=Brasy4G376800 ID=Brasy4G376800.1.v1.1 annot-version=v1.1 MQQAVAFCHDSRRPLFGERPARNWRREVFSCSVQELVGEAKVISNTKARPRVDMGNFLLYFMYGPRSAARFSNETRLVARCLCRILAG* >Brasy4G317300.1.p pacid=40089409 transcript=Brasy4G317300.1 locus=Brasy4G317300 ID=Brasy4G317300.1.v1.1 annot-version=v1.1 MAMSWTRVLKQGIIPRDAAQLIGARGLAVAAKGKKGGKGGTADAKPVLSKEMKSTTVFGANILKEGSDPKVQPDAEYPDWLWHLVDKRPVLSELRRKDAMELPYEDLKRFVKLDNRFRIKENNALTAKN* >Brasy4G237900.1.p pacid=40089410 transcript=Brasy4G237900.1 locus=Brasy4G237900 ID=Brasy4G237900.1.v1.1 annot-version=v1.1 MGFCGPSCVVGFSLCLRLASGVFEKSRGRLVGFDSVKVVLAASPGVGLVLRCLRHVALGGGGGSWRWRHWCVLAGLAPPPVGCSWRRWWSVEVEALVGCCLILICVVSPETRVEAASLPRRAWVSFFCSVLRPT* >Brasy4G352500.1.p pacid=40089411 transcript=Brasy4G352500.1 locus=Brasy4G352500 ID=Brasy4G352500.1.v1.1 annot-version=v1.1 MFSSKKGGGTASSGGGAAVQVQGSNNSSVAAGMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHAVKDAAAAMEMHRNAASSSSMMGRNMNDRNVHMNEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQSLGSGEPPAAAAGYKSLGGVLDVCSIKEIGAASMGFPSLQDLPLYGDLQQNQPIESFFACSDGGIGPTTLGKMKRSSAAGHYAGGGKSPMMWGSDDDGDQDKDDQLLQMAPPMMMGDDMVDSIAAGVYEAAAKPMMSHAMSGGGESKLERPSPRRPHLGGGQRMGSPSVIYG* >Brasy4G352500.2.p pacid=40089412 transcript=Brasy4G352500.2 locus=Brasy4G352500 ID=Brasy4G352500.2.v1.1 annot-version=v1.1 MFSSKKGGGTASSGGGAAVQVQGSNNSSVAAGMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHAVKDAAAAMEMHRNAASSSSMMGRNMNDRNVHMNEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQSLGSGEPPAAAAGYKSLGGVLDVCSIKEIGAASMGFPSLQDLPLYGDLQQNQPIESFFACSDGGIGPTTLGKMKRSSAAGHYAGGGKSPMMWGSDDDGDQDKDDQLLQMAPPMMMGDDMVDSIAAGVYEAAAKPMMSHAMSGGGESKLERPSPRRPHLGGGQRMGSPSVIYG* >Brasy4G232400.1.p pacid=40089413 transcript=Brasy4G232400.1 locus=Brasy4G232400 ID=Brasy4G232400.1.v1.1 annot-version=v1.1 MQFRLFSKTALELVILDAAGKRAVFRGPPELGQTLADHGRSKGFVQFVRRDLEKSECVMDGNGNSFDVRCTLRGCRGGHQVAQAAPPPRRATVLLVVQRLWFLFGGSKREESVDVGTAEFGLNEYERKKDVITLPNARHLEPSPRKI* >Brasy4G069800.1.p pacid=40089414 transcript=Brasy4G069800.1 locus=Brasy4G069800 ID=Brasy4G069800.1.v1.1 annot-version=v1.1 MPSIATKASGNNAEIKPQQKELVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADRKNAHLLALEGAEERLTLCRADVLDHHGLRAAFAGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADAGVRRVVFTSSYGAVHMDPKRSPDAVLDETCWSDYEFCKQTGNLYCCAKMMAEITATEEAARRGLELAVVVPSMTMGPMLQQQLNFSSGHVARYLTGAKAAYPNAVAAYVDVRDVARAHVLAYERAPAANGQRFLCIGAVLHRASFLQLLKELFPQFPVTAKCEDDGKAMAKPYRFSNQRLKDLGLEFTPLRESLCETVMSLQQYGHLPQLPAPVVPKRAYL* >Brasy4G148200.1.p pacid=40089415 transcript=Brasy4G148200.1 locus=Brasy4G148200 ID=Brasy4G148200.1.v1.1 annot-version=v1.1 MASTACFVIVSKNDIPIYDAEVGSAPKKEDQAYQHQFILHAALDVVQDLAWTTNAMFLKSVDRFNELVVSVYVTAGHTRFMLLHDSRTEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL* >Brasy4G301900.1.p pacid=40089416 transcript=Brasy4G301900.1 locus=Brasy4G301900 ID=Brasy4G301900.1.v1.1 annot-version=v1.1 MYRDKYSLLKIAAPPPTPRIFHAPHQSPVFWRARLTDPRLAAALVSPRRFSPAGIPMALELSLALAAPPGGGILAPPPPAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFANFGAIVTFAILGTFIASIVTGMLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSNAAGSESIFMMVLQFLEIFVGSMSSGVGVGFISALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFILFSIIFIIVARAANVFSCAYLVNISRPGHRRIPLKHQKALCFSGLRGAMAFALALQSVHELPEGHGKAILTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENTSIENYEDNSGYIPPTYEEGTSSGGGLRMKLKEFHKSTTSFTALDKNYLTPFFTSQTDDDTDDFGDQPQNLRRGFYDQ* >Brasy4G426600.1.p pacid=40089417 transcript=Brasy4G426600.1 locus=Brasy4G426600 ID=Brasy4G426600.1.v1.1 annot-version=v1.1 MAGALPWLIFAATLLLASIKSSTASRMAKPGCNETCGNLAIPYPFGIGEGCFRKGFAVSCEDNTLYRLNSSSNMKIYNIDLLGGQARVNTFIASKCFYSKNNFNTDGWASLDTGKFFTVSTKANKLTAVGCNTLAFLVGNNEHSSVGAGCFSMCTSKESVDRSGQCSGTGCCQTSIASNVFSSNITFDLRFDNSRVSSFNPCSYAFVAEQDWFNFKAYYLEYDKFTKEFKDGVPTVLDWVAGNENCNEAMKNTSSYACIGKNSHCIESPNATGYLCTCNDGFAGNPYLEEGCQDIDECSLPDQYPCHGICSNLIGSYTCLCKSGTRSTDPKKETCNPIGVSERAKLTKVSIGISACAMLLLIFIFALLMECQKRKLIKEKEIFFQQNGGLLLYERIRSKHVDTVRIFTREELEKATNNFDSSREIGRGGHGTVYKGILKDSREVAIKRSKIMNMAEKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRPFISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNDTAKVTDFGASRILLTDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKFAIYSDSAGEKKNLASAFLLAMKENSLQSILDQNILEFGTELLQEVAQLAKCCLSMRGEERPLMTEVAERLKTIRSTWREQLIQNPSNETECLLESSSSHYDPSSTGQHGSLMALDLESGR* >Brasy4G230300.1.p pacid=40089418 transcript=Brasy4G230300.1 locus=Brasy4G230300 ID=Brasy4G230300.1.v1.1 annot-version=v1.1 MGGVHDRGGEGGGLGGGDDNSRWSTAGGGGWAKVTGEAYWDPRASSKGNCTDEIVEVKKNSTVQKRQYSNMLVALEGENQRLLQTIAELEVVIKKMKKEKKLIERSHLEEIRGGDRKELCILMLVGACAIGYALTALITRGFI* >Brasy4G188000.1.p pacid=40089419 transcript=Brasy4G188000.1 locus=Brasy4G188000 ID=Brasy4G188000.1.v1.1 annot-version=v1.1 MVFAYNTLDSRRRSCVQCRGSDLGVVDAGAASTPGEARCVRSWRRAARARGRRAKRPTGSWTTRTSPPLCARRRWRKTEPLAAAKQGRHAGRAAGAAARRARWRSRGGSAGGSSGDARGGGAGARGEAPEAEQGPHAGRQGGGAGAARGGRSRGARGAASVEMCCRSPAPATRTRSLVSGPSPPRARGRRHPPGPRARPKQSPRARLPRFLFSRLPEPAATYRSTAPTSRSPHRPRLKPLRTGAPPPRSPSPPAAHRHRWTPLATDPTCRSLVRPLRADAHAQVISARRHEHRRTSPPLSLSDPARSAFTGSIQSKSAQSGETQQHDGGVWVAQTPLTAGGNAGSAGQPDRSPIEPPKLSRSPNPHREPRDSSAGSGVPIGAAPTESTLLPPRYAPFPSILLWLARGAGLRRAAAVTVASGGAGMGRAGDGFAGGVGREAGVGEGSRWAGMGRAGGGDGPRG* >Brasy4G244000.1.p pacid=40089420 transcript=Brasy4G244000.1 locus=Brasy4G244000 ID=Brasy4G244000.1.v1.1 annot-version=v1.1 MGFCCKNGDGEVLAAGAGHLQGLGDALHAEAQAAVCPRVCNIEAHHLAARGANSGIGNHEMWFGQVPFDVTELVEGDSARRVR* >Brasy4G401400.1.p pacid=40089421 transcript=Brasy4G401400.1 locus=Brasy4G401400 ID=Brasy4G401400.1.v1.1 annot-version=v1.1 MEDEASKAAAGADDAVAVVEDAAAAPKGGGEAVSGSGDGETDQARTPPPRYAAVVIGGTFDRLHRGHHLFLKAAAELARERIVIGVCDGPMLSKKKYAYLIQPIEMRMDNVKDYIKSIKPDLEVHAEPIVDPYGPSIVDPALDAIIVSKETLPGGLAVNRKRAERGLTQLEIEVVELVPEKSTGDKISSTAFRKIEAEKEQLQRMQDQQQQDQTFQFECEN* >Brasy4G045500.1.p pacid=40089422 transcript=Brasy4G045500.1 locus=Brasy4G045500 ID=Brasy4G045500.1.v1.1 annot-version=v1.1 MASVAQSLLLLLCISYHALVAHAGDEKSYKVLSASSLKPGAVCADPKVSPRSSSSGATVPLNHRHGPCSGKKNQPTFTEILRLLQRAATVPIALGTPLNTLEYVITIGIGSPAAAQTMFIDTGSDVSWLRCKSRSYDPGNSSTYAPFSCSAPECAQLGRLATGCASGSTCVFFVRYGDGSNITCTYGSDTLALAESDEPAVIAGFRFGCRAVEQGFEDNTDGLMALGGGAESFVSQTAATYGGAFSTPSAAFSMTPMLRSKQAATFYGVLLQGISVGGKTLEVPSSVFSAGSLVDSGTIVTRLPPAAYRALSAAFRDAMAQYQYPPVEPRGFLDTCFDFTGQAEGSNFTVPSVALLLDGGAVVDLDPNGIVLGGCLAFAATDDDGSIGNVQQRTFEVLYDVGQGVCGFRPRAC* >Brasy4G104600.1.p pacid=40089423 transcript=Brasy4G104600.1 locus=Brasy4G104600 ID=Brasy4G104600.1.v1.1 annot-version=v1.1 MDSFSSPSSGSPPNTEALMDQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESSCVSRCVERYIEATGIISRALFSSQR* >Brasy4G043900.1.p pacid=40089424 transcript=Brasy4G043900.1 locus=Brasy4G043900 ID=Brasy4G043900.1.v1.1 annot-version=v1.1 MGFKRAKLEVSSPDHDRRLLVSEEEMERRFWAREEEEEPVAEHDGPAVVYLSSDDDEPPAEEDLSAAELTPGDSVAVVSVENTSQQDEARADAPAPEAAVLSACDDPPMQVTFELTDGTADAGVEAGVDATDGGVESAPDGGVESLVGAEDDGLVDAVAHGWEEAEEEIAPAYNDAPADAVRAEDDDRPSDDDVMDAAVEASDATFAAEEAARQALAAVERAQFVAALRASME* >Brasy4G004800.1.p pacid=40089425 transcript=Brasy4G004800.1 locus=Brasy4G004800 ID=Brasy4G004800.1.v1.1 annot-version=v1.1 MADDTSPFPSPKGQALDLLALAEAERRVRRHRQRSIEDVPRFRIGVNAGERAFKRLMVQRYRRMLEIRSVSYAGRPVTPPRIVGEDTFHIIEVVGRDGTVLELLFREWDLYFVGFRTKRRLDNADAPWFKFADVQLPEWFHQVSIGYGSRYTKRVSTVLIGGHCPDDIFFTMTSYKLEDRLVKSVQRERAIIATLVVYAEPLRLKCQQEEVKDAIKFGNTRPLGALLDKRIHGWSGLCRDSFEHETNPQKSPPPLERAWNYFGYNTVTKEGKYIYGDLVGRKGDLLTLKFDKDYVVPLITKRQQRAAEAAALWQPQEEVLGEISSMTHNWRQLQHYSQFPDFGNYLVFLLTRGEGRSLEVRQAAGLLLKNNLIVAFDSFKLSSQQYIKSALLPCLGATHKSIRSTVGTIISVLLQILGVSQWIDLLQALHQCLCSNDVAQMEGAMDIIYKM* >Brasy4G155000.1.p pacid=40089426 transcript=Brasy4G155000.1 locus=Brasy4G155000 ID=Brasy4G155000.1.v1.1 annot-version=v1.1 MKIQCDSCGVAAATVVCCADEAALCGRCDVEVHAANRLASKHQRLPLDALGAGKLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFGGPVSACADADHGASHDADHHAPPMAPAAERPPAQAQAQQVPSPPQFLPQGWAVDELLQFSDYESSDKLHKESPLGFKELEWFTADMELFQDQTPKGGRTAIEVPEFLNSQAADDAAYYRPGRGAATAVVRQSKKARIEIPDDEDFFIVPDLG* >Brasy4G313300.1.p pacid=40089427 transcript=Brasy4G313300.1 locus=Brasy4G313300 ID=Brasy4G313300.1.v1.1 annot-version=v1.1 MSSESRELLGIDPSELRFVFELKKQISCSLHLTNKTDEYMAFKVKTTSPKKYCVRPNNGIVAPRSTFDVLVTMQAQREAPPDMQCKDKFLVQSAIVSKDITPKDITGEMFTKESGNVVDEVRLKVVYAPPSQPTYINEGSDKENLGSLSYQETTRESKEPDRIISESLALILKLNEEKNSAIQQNHKLREELDLLRRDMSRQIGSFSVVFVLAVALLGILLGFFMKR* >Brasy4G313300.3.p pacid=40089428 transcript=Brasy4G313300.3 locus=Brasy4G313300 ID=Brasy4G313300.3.v1.1 annot-version=v1.1 MSSESRELLGIDPSELRFVFELKKQISCSLHLTNKTDEYMAFKVKTTSPKKYCVRPNNGIVAPRSTFDVLVTMQAQREAPPDMQCKDKFLVQSAIVSKDITPKDITGEMTTRESKEPDRIISESLALILKLNEEKNSAIQQNHKLREELDLLRRDMSRQIGSFSVVFVLAVALLGILLGFFMKR* >Brasy4G313300.2.p pacid=40089429 transcript=Brasy4G313300.2 locus=Brasy4G313300 ID=Brasy4G313300.2.v1.1 annot-version=v1.1 MSSESRELLGIDPSELRFVFELKKQISCSLHLTNKTDEYMAFKVKTTSPKKYCVRPNNGIVAPRSTFDVLVTMQAQREAPPDMQCKDKFLVQSAIVSKDITPKDITGEMTTRESKEPDRIISESLALILKLNEEKNSAIQQNHKLREELDLLRRDMSRQIGSFSVVFVLAVALLGILLGFFMKR* >Brasy4G094500.1.p pacid=40089430 transcript=Brasy4G094500.1 locus=Brasy4G094500 ID=Brasy4G094500.1.v1.1 annot-version=v1.1 MLNLIALCKVKNSVSNGSRGKTKLNLLMHRTRSNGTCTWSGVSIDYANASYKMSHQGLSKSARTLLPSYRCTVLCSAPTELPPQTPTSPARRRSGLSRPSEEMETAIGAAGWLVGKVLDKLSDDLVAAYVASSELDLNSEQIKIKLKYMQGLLDAAQERDVSSSPGLHSLLEDLSKKADEAEDLLDKLHYFMIQDQLDGTQEAAPDLGYGLRGNALHGRHAARHTIGNWLQCFCCSPTQDDHCAAATVVTANPHNATKSDSGNVGKMKFDRVDTSNKIKSVIEGIHNLCDPVSNLLDKIQTNSTAVTIKRPPTGSTFTQDKLYGRTDIFERTVNALAGSTYLGETLSVLPFVGPGGIGKTTFIQHLYNDKRTDRHFAVKVWVCVSTDFDVLKLTREILSCIPAIEQEKYNCTVETANLDRLQKSIAERLKHKRFLIVLDDIWKCNSEGDWKYLLAPFTKGETKGNMVLVTTRFPSIAHLVKTTDPVELHGLEPNEFFAFFEACIFGHSKPRHYEGELIDVARGIAKKLKGSPLAANTVGRLLKKNLSREYWMGVLEKKEWQNTKNDDDIMPSLKISYDYLPFQLKKRFSYCALFPEDYKFGDLEITQFWNAIGLDSSCQNNNNYLEQLVDNGFLTKVSNKFRQYYVMHDLLHELSRNVSSEECVNISSLSFRADSIPQSIRHLSITIENIYDESFVEEMSKLKSMIDIKNLRTLMIFRLYHARIANIFKDTFEEIKCLRVLFIAVDTPESLPNSFSKLIHLRYLKIGSRFSLASSITLPTTLCRFYHLKFLDLAGLFGSTKLPKDISRLVNLRHFNSSKGLHSDIPEVGKMKCLQELKEFHVKKESVGFELRELGELRELGGELSICNLETVLSKGEASAAKLENKRNLKELRLIWGTKHHTVDDDVLDGLQPHHNLRVLGIINAGVTTGPNWLCGDISTQGLESLHLESVSWGTFPPFGQLPFLSKLILKNVAGMHLFGPDFGGVTERSFLHLKKIVFEDMPELVEWVRGPNIHLFSRLEKIKFRNCPLLCSFPFLECSVSFTNLIRLDVDNCPKLSQFPPMPHNSSLTYIHVENDESELSYDGNKLSICGYTSALDFHNMDIEVMQVIDVSHILLADLKNQNSLRSLDVMSCSSMFSVEPDSSFVLRSVQNLYLFGLCITGELFSKVLKCFPALSQLSIEECESLDLLPEDGGLSDLRMLRSFKGYNCGKTFSRWPMGEVGGAHTINPFPTSLRKLDISFDSSMDSMALLSNLTSLTDLHLIGCHELMMDGFNPLITINLKKLAVHVMFPEEENISIATDLLSEVARSKLMHEGSFQLEEFELDSVMAVLVAPVCSHLAATLHILRFHYDKRVEKITEEQEKALQLLTSLRELEFDHCGRLQFLPQGLHRLSSLSKLVISCCEKIQSLPPKEGLPTSLQTLEVSLCSPELREQAEKLKGTNPCFTIKVL* >Brasy4G069600.1.p pacid=40089431 transcript=Brasy4G069600.1 locus=Brasy4G069600 ID=Brasy4G069600.1.v1.1 annot-version=v1.1 MATKLIEQVKDLIGARNGSEPCRLIFPIASYGTAASARAAATAASERERATADVSACTATARRERAPPVRRPPQPRARAAAPPPCFGAAATGAADGCGVGRAAVRCRARAAAAVPAGARAAAAASRGGHRPPQDAGPPPRRRAPPPSPGASRRLPQPRRDAGRHWKARAGSHAPRARAPPSPAGAGRRCRRPPLPLLCSAAAQRETLRGWRDLAELGRADGRPLG* >Brasy4G187700.1.p pacid=40089432 transcript=Brasy4G187700.1 locus=Brasy4G187700 ID=Brasy4G187700.1.v1.1 annot-version=v1.1 MSAAAADHRAGSTRRERRRERKKQRRRRARREAAALARAAAEAVAADPEVQRCLRKLEEAEAKSSERARRAFEEAERRWLEAAASRAAEKAAAAAEEEARAPETSSRIKFKGDHPDESEEDDEWEYVEDGPAEIIWQGNEITVKKKKVKVPKKLKDKPLIQEVQLLLEERPTSNPLPPQSLAISSQRREPSLSAQEVLEKVAQETPNFGTEQDKAHCPFYLKTAACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLEFTDEEVEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSFHLRGNVYVHYKSLDSALLAYNSMNGRYFAGKQITCEFVAVAKWKAAICGEYMRSRFKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPKHWTRKMVALFGPSDDASFGKASDTPDFEWSRDSDRKRLRSSGNRHVSSRTEDKDAHRRHSSRDYSHSKHELSSHIMKSGRDRHRREPSAANKQRDQKNEDNTEKPCSAMENERVSYTHMCEERHRNYHDDGGWQDSTKIKSRKHRSEWQESVEPTSDWPKEFTDAGTSKSPSRSKPTDRYDYHKRSRMQTLEDLDLESHYSSAHRSSGKEHSKKMSSRHYIEDDSYHEKDSGRGKSRKHSNHCDDPDDRWLATNSDVDSNAETQHQRSMDRVGKLEKNDNARPDTDDRYERSSSRSTKSRKKDDKSSRKRKYDDVNTKDSDNDGDTSDSKDTRDLSSHDHAWRSRSRSSEKDLSLHRSRRK* >Brasy4G187700.2.p pacid=40089433 transcript=Brasy4G187700.2 locus=Brasy4G187700 ID=Brasy4G187700.2.v1.1 annot-version=v1.1 MSAAAADHRAGSTRRERRRERKKQRRRRARREAAALARAAAEAVAADPEVQRCLRKLEEAEAKSSERARRAFEEAERRWLEAAASRAAEKAAAAAEEEARAPETSSRIKFKGDHPDESEEDDEWEYVEDGPAEIIWQGNEITVKKKKVKVPKKLKDKPLIQEEERPTSNPLPPQSLAISSQRREPSLSAQEVLEKVAQETPNFGTEQDKAHCPFYLKTAACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLEFTDEEVEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSFHLRGNVYVHYKSLDSALLAYNSMNGRYFAGKQITCEFVAVAKWKAAICGEYMRSRFKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPKHWTRKMVALFGPSDDASFGKASDTPDFEWSRDSDRKRLRSSGNRHVSSRTEDKDAHRRHSSRDYSHSKHELSSHIMKSGRDRHRREPSAANKQRDQKNEDNTEKPCSAMENERVSYTHMCEERHRNYHDDGGWQDSTKIKSRKHRSEWQESVEPTSDWPKEFTDAGTSKSPSRSKPTDRYDYHKRSRMQTLEDLDLESHYSSAHRSSGKEHSKKMSSRHYIEDDSYHEKDSGRGKSRKHSNHCDDPDDRWLATNSDVDSNAETQHQRSMDRVGKLEKNDNARPDTDDRYERSSSRSTKSRKKDDKSSRKRKYDDVNTKDSDNDGDTSDSKDTRDLSSHDHAWRSRSRSSEKDLSLHRSRRK* >Brasy4G275200.1.p pacid=40089434 transcript=Brasy4G275200.1 locus=Brasy4G275200 ID=Brasy4G275200.1.v1.1 annot-version=v1.1 MVSSRDAFTLPLLVSFLLPRLIRFSSPAVHAAAGSPCCLPVLLPRAVPAASPCCPRRLTPFPPPRAAPAAATNRAHLRSPAPPPSSALPRAVPASARCPRLLARPRAAAPACRRGVGLIPTSACRPVRRCAAPSHPCLRAPPLPPRTATRCRASALPPHAAPGSAHRREPSRPAPACLRVPPPSPRTAPSRRTAPQSPVEAPEKKGPGQGSRL* >Brasy4G284500.1.p pacid=40089435 transcript=Brasy4G284500.1 locus=Brasy4G284500 ID=Brasy4G284500.1.v1.1 annot-version=v1.1 MAAMMRVLLAAVALSMIASLLVPAAVAQLSPSYYGASCTSLESIVRSGMVSAVQSEPRMGASILRLFFHDCFVNGCDASVLLDDSSTLTGEKNAGPNANSLRGYEVIDAIKSRVEAACPGTVSCADILAIAARDGVNLLGGPTWAVPLGRRDARTTTQQAANANLPSPSSAIGTLVSAFASKGLDSQDLVALSGGHTIGAARCASFRSRVYNDTNILAGFAQRRRQVCPAQGPNGDGNLAPLDAFSSVKFDNGYFRNLQGRFGLLHSDQELFNGGPVDSIVQRYARDGGAFAGDFVNAMIKMGNISPLTGSNGEIRANCRKPN* >Brasy4G055800.1.p pacid=40089436 transcript=Brasy4G055800.1 locus=Brasy4G055800 ID=Brasy4G055800.1.v1.1 annot-version=v1.1 MQLQASSSSMELVLFREEEDDQGKHGASAVVKRKRTKRPRHQQPAAAVACSSASSSESTTTEEEDMAHCLILLAQSAAPDHVRPQAAVAQDQSSPPAPAAAAAAPGTKAERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLASAAGAEEDNILNATNVVAAKPLPPPPSTTMKTASPPPPPMMTTAPDQGPDVVTTVLSLSNNGGGGGAMIGITNNNSIGSSSNRLTTTRVHECSICGAEFASGQALGGHMRRHRPLHHAPAPAPERAVTAITAPAPAPTSKKDGMGGINLVELDLNLPAPASDEDSAAAAMSTLPAPPPPVVLGLGPFDSGKKRLMLTAASSRGLPLLIN* >Brasy4G386600.1.p pacid=40089437 transcript=Brasy4G386600.1 locus=Brasy4G386600 ID=Brasy4G386600.1.v1.1 annot-version=v1.1 MHSRAAPFASRSPRWNPPALRRRDYPFNLAAPYLADKVQGGLCRIVKQIKFYGTTEGIDESETKGINCVHGSKITATDDRLPDGIVHGSKITATDDRVSDGRIWKESTHRDGAIYKKNWGFYGSGCPIDLADRRETDFESYMSSKLSPCYPHPRSCMRHPRDQMIQICSMKLAKTPMNSDSIQLYGFLAARDFLDGRLNYVFNRSRDNPLTVQKGELIEMTGPLRGIVNFVEVLMEFDMRIKTGEKDGCHGGAVDMGFAHVGNGMEAVIEVDTPEVKSAFNLSLVSALEQYGEIELFHGDIAELGTKRFVIAVPDDTMMYLKFKVGKEAKEGEHYCKFDTRRHGCVSRQIVLETVCILVKVSILSPDPDCAGTELYC* >Brasy4G184800.1.p pacid=40089438 transcript=Brasy4G184800.1 locus=Brasy4G184800 ID=Brasy4G184800.1.v1.1 annot-version=v1.1 MEAGVEQAVVGAREGPLTLIPGLPEDAAMECLARVPSRWHRPMRHVCRGWRRAVGSPEFRRRRRIAGSTEDIVYLVQAAPADGSSNTSTPPPQCWLATANLTTGEWRLVTHAVPLFAQCASAGGRHVAVLGGWDPATLRPSRDVRVLDAQAATWRRGQPMPDARSFFGCAGADCGDVHVAGGHDESRRPIRSAWAYSVAADAWRALPDMREARDEPQLVVASWPSSGSGGGGARLFAASGYPTVAQGACKKTAECYYTAGGDEAWSDEGSMVPPEAVLVSVGRGKLWAVGAGKGGVREYYWADGAWREVADGTPGMKACVKAVGIGDGDGVLVFGKVQRRAYDASGGFGKYAAWVMRMDTGGARRWSRVPVPPGFCAFVYSAAAVRV* >Brasy4G237500.1.p pacid=40089439 transcript=Brasy4G237500.1 locus=Brasy4G237500 ID=Brasy4G237500.1.v1.1 annot-version=v1.1 MAHFQGQQHGHPAATRVDEYGNPVAAGAGHGVTQGGLGHLQGQQQHGAHATARFDEYGNPVAAPTGAHGLGSTGAHGVVGHGTTGQGVGYDTTGTGTGGAYGRQAGYGATGTGTHDAGGGYGGVGDSAAGYGATGTGIHDAGGLGAGHAAGHGAATRAGVHDGDGGFGTGHTAGYGATGTHGTGHALGSETGITGGHGAGHTAGYGTTGTGTGTGIMGTHGTGHAAGHGTTGTGTGIMGTHGTGHTAGHGTTGAGTGITGSHGTGHKTGGILHRSGSSSSSSSSSEDDGMGGRRKKGMKQKIKEKMPGGHKEQATAPASGGYGTAGYTGATGTGGAYAPAATDTHEKKGVMEKIKEKLPGGHKDNQPQHTTATTGGYGNAATTGTGGGYGSAATTGTVPTTEGTHEKKGMMEKIKEKLPGGHH* >Brasy4G190100.1.p pacid=40089440 transcript=Brasy4G190100.1 locus=Brasy4G190100 ID=Brasy4G190100.1.v1.1 annot-version=v1.1 MMMMMEAAAMAKETEYYDALGVTPAASDDEIRKAYYVKARQVHPDKNPNDPQAADKFQALGEAYQVLSDPLQRKAYDGYGKNSISRDNILDGAVVFTLLFGSGLFEDYIGHLAMATMASSEMASGDSDNPEKLQDRLKGVQREREEKLARFLKDFLSQYVRGDTEGFTRRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLTEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSAKDGCAATERDVDLQMRMNKDLMMSSIWKLNVVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKTLGKAFQRDKEALPGPSKTTVLDDDSSSDESSDEEVSRTVTFRTPAVTQGIGRLFRCLCNPAYDVDDDFEPRK* >Brasy4G190100.2.p pacid=40089441 transcript=Brasy4G190100.2 locus=Brasy4G190100 ID=Brasy4G190100.2.v1.1 annot-version=v1.1 MAKETEYYDALGVTPAASDDEIRKAYYVKARQVHPDKNPNDPQAADKFQALGEAYQVLSDPLQRKAYDGYGKNSISRDNILDGAVVFTLLFGSGLFEDYIGHLAMATMASSEMASGDSDNPEKLQDRLKGVQREREEKLARFLKDFLSQYVRGDTEGFTRRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLTEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSAKDGCAATERDVDLQMRMNKDLMMSSIWKLNVVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKTLGKAFQRDKEALPGPSKTTVLDDDSSSDESSDEEVSRTVTFRTPAVTQGIGRLFRCLCNPAYDVDDDFEPRK* >Brasy4G383300.1.p pacid=40089442 transcript=Brasy4G383300.1 locus=Brasy4G383300 ID=Brasy4G383300.1.v1.1 annot-version=v1.1 MTSRRPTPQSISGRRSLTPSGRENQIEMAEIVGSLLDKLTDLLHGEADKLNGIEDDVSFFKDELSAMRAALEDLEPLDPLTKDWRDHVWETAYDIEDCVDDAMAQFRRRPCQQRRHHTKGCPLAQSVQILWTRHQIAGQFKALRARVAETNERRKRYKLDDMIRCTILKL* >Brasy4G236300.1.p pacid=40089443 transcript=Brasy4G236300.1 locus=Brasy4G236300 ID=Brasy4G236300.1.v1.1 annot-version=v1.1 MALSVEKTSSGREYKVKDLSQADFGRLELELAEVEMPGLMACRTEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKIPDPESTDNPEFKIVLTIIRDGLKTDARKYRKMKERLVGVSEETTTGVKRLYQMQESGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCASALKQAGARVIVTEIDPICALQALMEGLQILTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMNGLETYPGVKRITIKPQTDRWVFPETNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERSTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQADYISIPIEGPYKPAHYRY* >Brasy4G046900.1.p pacid=40089444 transcript=Brasy4G046900.1 locus=Brasy4G046900 ID=Brasy4G046900.1.v1.1 annot-version=v1.1 MPESLKTSSADIRFKPRNLRGARIWPARHDRSRTSAR* >Brasy4G006500.1.p pacid=40089445 transcript=Brasy4G006500.1 locus=Brasy4G006500 ID=Brasy4G006500.1.v1.1 annot-version=v1.1 MAAAAALRRTLTPAPALLLRRQVLVRLLSTQPQQPQPAATAATSPAELVRIKNSIRSAATGPDDLASLFLRALPNQAFLGDRPIFSLAVTRLASAGRRDLVFSILSSSLTALPAPHPSEGFLIRLISLYAAAGMPQHSLSTFRLVKPATDRAFSALLAAYHDTAQYDRAVTAFRDLPAELSFQPGVVSHNVLLKSMVATGDVAGARQMFDEMADKAGVQPDIVSCNEVLRGYLKTADYAAFDQLFKEIAGGKRRLKPNVTTYNLRMAALCAKGRSFEAKELLDAMGANGVPPNRETFNTVIGGLCKEGEVGAAAALFKRMPEVPRPNGKGVSPNFETYIMLLEALVEKRVFGPALEVCKECLANKWAPPFQAVKGLIQGLVKSRKDKQAKELGMAMRKAVKGDAKAEWENVESAAFQQGLADSMA* >Brasy4G330100.1.p pacid=40089446 transcript=Brasy4G330100.1 locus=Brasy4G330100 ID=Brasy4G330100.1.v1.1 annot-version=v1.1 MAGATAAGRRSWAAACIVALFYYAASPALATYVPKPAAAAGGPPSVPAGPLDIVLLGAKGDGKTDATEAVQKAWKNACAARGVQKIVIPPGNFLIGALALEGPCTSSIIIRLDGNLLGTGDLNAYKKNWIEVMHVDNFAINGHGTIDGQGPLVWQKNNCNKNYNCKILPNSLVLDYVTNATIRGVTLKNAKFFHMNLFNCKNVVVDKVNITAPGDSPNTDGIHMGDSENITITNTNIGVGDDCISIGPGTQRVRVHGSRCGPGHGISVGSLGRYKDEKNVEDVQVTNCTIKGATNGLRIKSYEDSKSVLKASKFVYDQIVMDNVSFPIVIDQKYCPNNICNKNGQSTVTVQDIVFKNIVGTSATPEAVTLNCPNNLPCQGVQLVNVDLKYVGARYNKTMAVCHNAVGKSTNVAKELACL* >Brasy4G364000.1.p pacid=40089447 transcript=Brasy4G364000.1 locus=Brasy4G364000 ID=Brasy4G364000.1.v1.1 annot-version=v1.1 MSSRRAPQLLAVWLPSRPPPTPPPAAQQSEEEGIQPPAGTARKETRRRERGKGDQPGAAPSRVETHQHTREPSGTGIGNDGLYKREERSDAAARAGRRRAAATEEPPWVPAAAHPGPRRAASAEEPAEPPAAEEPPRQRNRRTRKGKHTAHRDSFGAFPFRLVGKGKRRAASSSAKPAHRDSPHTRKGKHRKGETDESFGSTELQVCHRASHASVRTLQDSRGTPMTSGAPNPIRTESLERLQGG* >Brasy4G349800.1.p pacid=40089448 transcript=Brasy4G349800.1 locus=Brasy4G349800 ID=Brasy4G349800.1.v1.1 annot-version=v1.1 MGLESDDQLELLLTHQQLPPVRTSGGAGDNEDGCATPTAAASVLPAPSACPPAPKKPRPAPRVSANKRTKPFCCRCHRGRGHRYWMVAVPRDLDLAAMFATRPPLSSAGGGSLTCWTPAAGKKIRVHVAG* >Brasy4G261500.1.p pacid=40089449 transcript=Brasy4G261500.1 locus=Brasy4G261500 ID=Brasy4G261500.1.v1.1 annot-version=v1.1 MESSRALLLMAVAAAAIGLAGANFRDDCDIPWAPENAYFSPDGHGLTMSLPRENSGCALQTKKQFIYGSVSTLIKLIPGDSAGTVTTYYTSSAMDANKKHDEIDFEFLGNETGQPYTIHTNIFVDGVGNREMQFVPWFNATDGYHNYTISWTPCIVVWYVDSVPIRVFRNFSKEGVPFPTARPMYAYSTLWEADDWATQGGRVKTNWANAPFVASYQDMVVDVCSCASGDYYCADNCKAKYYGYGQQYYCGGLEPDQAKAMLELQQKYRIYDYCVKYRAANKECDLQQY* >Brasy4G411700.1.p pacid=40089450 transcript=Brasy4G411700.1 locus=Brasy4G411700 ID=Brasy4G411700.1.v1.1 annot-version=v1.1 MADGASSSGAGAAGGGSGGESVVIDYGRRRTTCGYCRSTSSTSISHGLWANSLKADDYQALLDRGWRRSGSFLYKPEMERTCCPSYTIRLKASDFICSKEQDRVLKRMQRFLDGELDPPVGSPQCKTNAIKRSHDEPMNSPTSKVSRVLAYEYRTATCPSLVKEDEFICCLSSKINKAIEMCFQSGILGSDVQLPKAVVKTVKPQVKKKVVEAAQKHKGGTVQDLVYTCNISFQLAAAIRRALPEEKHAVLGDRSPNYIAEKLVSTMEHDGEIAGFAVKACNGHLNFYSATIQAVQNHTIIDAGAEAADKSTSPKQSPKNRKNARHNQKARKLEFSITRSHFDPEEFALYERYQTKVHMEKTVTESSYKRFLVDTPIVFVPPVSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVKTTQRSCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVQYDIAKPLLDKSLYSVLSDFSTMQDEIPQSHTCGPYDESSAKNDHCESPTDEDDEDSDYDESDMMVDEEMVRSESNADTSEDCSDVSEIENVIMNLNSSRVKYKELQSVVGPIERRHLSELERQLSRYVKVVGQELSDRMVYSLS* >Brasy4G411700.2.p pacid=40089451 transcript=Brasy4G411700.2 locus=Brasy4G411700 ID=Brasy4G411700.2.v1.1 annot-version=v1.1 MADGASSSGAGAAGGGSGGESVVIDYGRRRTTCGYCRSTSSTSISHGLWANSLKADDYQALLDRGWRRSGSFLYKPEMERTCCPSYTIRLKASDFICSKEQDRVLKRMQRFLDGELDPPVGSPQCKTNAIKRSHDEPMNSPTSKVSRVLAYEYRTATCPSLVKEDEFICCLSSKINKAIEMCFQSGILGSDVQLPKAVVKTVKPQVKKKVVEAAQKHKGGTVQDLVYTCNISFQLAAAIRRALPEEKHAVLGDRSPNYIAEKLVSTMEHDGEIAGFAVKACNGHLNFYSATIQAVQNHTIIDAGAEAADKSTSPKQSPKNRKNARHNQKARKLEFSITRSHFDPEEFALYERYQTKVHMEKTVTESSYKRFLVDTPIVFVPPVSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVKTTQRSCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVQYDIAKPLLDKSLYSVLSDFSTMQDEIPQSHTCGPYDESSAKNDHCESPTDEDDEDSDYDESDMMVDEEMVRSESNADTSEDCSDELQSVVGPIERRHLSELERQLSRYVKVVGQELSDRMVYSLS* >Brasy4G370600.1.p pacid=40089452 transcript=Brasy4G370600.1 locus=Brasy4G370600 ID=Brasy4G370600.1.v1.1 annot-version=v1.1 MVALMDWCTDAGPQYMNITWLTVELPRFITLKGSHVRDQLVHSHMLDFEMCDLLVRRLTQLDTNMMYSCKMRWRHMLESDFSVSVLAAADVTSALSIRQQFIGQSIHYNMSSTRMFAVPSFVNEYWSAYMFDMKEEIIHVLDPFLQQDCTGKMKDLHVHTSGLLHDKLFDCLNSFFENWNPRKKDWPLVFPVLTDDTFDKNQSGLCMLHCVRNYNGDELEQPLKLKGYTRMKHTFLFELLSIEKNKTRLPVPVLKIIGEPKEF* >Brasy4G076600.1.p pacid=40089453 transcript=Brasy4G076600.1 locus=Brasy4G076600 ID=Brasy4G076600.1.v1.1 annot-version=v1.1 MAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE* >Brasy4G076600.2.p pacid=40089454 transcript=Brasy4G076600.2 locus=Brasy4G076600 ID=Brasy4G076600.2.v1.1 annot-version=v1.1 MAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE* >Brasy4G123000.1.p pacid=40089455 transcript=Brasy4G123000.1 locus=Brasy4G123000 ID=Brasy4G123000.1.v1.1 annot-version=v1.1 MLGNAAAAAGQDQTFLNWIMGAAGDLELPVPPPPMHQQPLVDNAGFGFSAVDPLGFSLDHHLGGVSSDLSSSGAMSHTTTGGGGSGGGSKASSAFGLFSPEAASLQPPPPPMLFHEGIDTKPPLLASQPPGLLNQYQHQPPPPAASFFMPLSSFPDHNQRSQLHQPPPKRHHSVPDDLYLTRNHLGSSAAGQGLAFSPLHGSVPFQLQPSPPPPLRGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSTGAREILARLNHQLPPVGKPFLRSASYLKEALLLALADGQHHGASRLTSPLDVALKLAAYKSFSDLSPMLQFANFTATQALLDEIACSAASCIHVIDFDLGVGGQWASFLQELAHRRGAGGVALPLLKLTAFVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAVSIDAFSPAELISPTGDEIVAVSLPVGCSARAPPLPVILRLVKQLGPKIVVAMDYGADRADLPFSQHFLHCFQSCMFLLDSLDAAGIDSDSACKIERFLIQPRVEDAVLGRRKADKSMAWRNVFAAAGFTPVPLSNLAEAQADCLLKRVQVRGFHVEKRGVGLTLFWQRGELVSVSAWRC* >Brasy4G191100.1.p pacid=40089456 transcript=Brasy4G191100.1 locus=Brasy4G191100 ID=Brasy4G191100.1.v1.1 annot-version=v1.1 MAERLASSASLLPASPSPSTRRAAATVASFPSCSGSARTGLRLRPSPSRFSQKAAGRGRGGAGGGLRVVRCMAASDAAQLKSAREDIKEILKTNYCHPILIRLGWHDSGTYDKNIEEWPQRGGADGSLRFDPELSHGANAGLTSALKLIQPIKDKYPGITYADLFQLASATAVEEAGGPKIPMKYGRVDITAPEQCPPEGRLPDAGPRLPAEHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKSETKYTKDGPGEPGGQSWTAEWLKFDNSYFKDIKEQKDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPSEGISLDDDKVDAPVEEKKVADPAPADDNNGAASQPEPFVAAKYSYKKRELSDTMKQKIRAEYEGLGGSPEKALQSNYFLNIMIVIAGLAFLTSLLGS* >Brasy4G194100.1.p pacid=40089457 transcript=Brasy4G194100.1 locus=Brasy4G194100 ID=Brasy4G194100.1.v1.1 annot-version=v1.1 MDAQNGGTLTPVLLNVYDLTPVNDYLYWLGFGVFHSGIEVHGMEYGFGAHDFSSSGVFEVESKSCPGFIYRKTLWLGTTDMSREEFRSFIEKLAGKYHGNTYHLISKNCNHFTDDVCKNLTGKPIPGWVNRLARVGSVFDCLLPESVQVSPVGRVPTLRPIVDDDLDSVSSSDSDEDKHLLPAPSADLHPVDVPVKLAKDLL* >Brasy4G096000.1.p pacid=40089458 transcript=Brasy4G096000.1 locus=Brasy4G096000 ID=Brasy4G096000.1.v1.1 annot-version=v1.1 MAGKSKGGRNKGKAQAASQAVPVEPVVPVTDGVQEDKPENGVVSEAPAAEGGAVDVEKEEGDAASAAQPAEKPAEGELHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSAHQLEDYNEISEIADITAGGCSLEMVAATYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKNAADAGKTANQELDGLNYMEDTTVALTNLLAAAPAEIKCVDSIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNTYCITGSSKSFYVNASSENILDSRPLKQSHEASTLVGLLQKVSAKFKKGFREILDRKASAHPFENVQALLPATSWLGAYPVSEHKRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQISKDHKLDCQNGSSRSTLVASPDLGAKADRKHTDSSVAPNSKTGEPNGVLDNNPDASTEAQIADSEQATYASANNDLKGTKSYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEAFHAKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNFIGLQHRFCVLRPELVASFVETESIKQPPAEKVPDVPAESNGQDATATIMEGHDKSDESSAPRPAENTDSTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLETVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVAGMIKQLPHLWDLFSAEIIVRSAKHVVKDILRQSPDHNIGPAVAHFLNCFIGKVLAASTKGSAAQSKTQKGHETQKSTKGQKSGHSASSRKGQSAYSHLTSDGIWSDIKEFAKHKYQFEVPDDARVGAKRVAVLRNLCQKVGITIAARKYDLDAAAPFQPSDILNLQPVVKHSVPTCTDARKLMEAGKIRMAEGTLNEAYALFNEAFSLLQQINGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELVINERCLGLDHPDTAHSYGNMALFYHGLNQTELALCHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMSTALRYLQEALKKNERLLGPGHIQTAVCYHALAIAFSCMGAYKLSIQHETKTHDILVKQLGNDDSRTKDSENWLNTFKGREQQVNAQKQKGRGTNPSDSAIDFIKAHPGLYQAMKAIQSGDGSANINKSHNAAVAGEGLPRGRGVDERGAKATAEARKKAAARGVTLRNVPPANSVSELNQILSLINSAATASATTNTQTTESEGKQSNGPALNGIKETKDTNGPPAKADGHTPVGLGASLELKKQKSKQKA* >Brasy4G127300.1.p pacid=40089459 transcript=Brasy4G127300.1 locus=Brasy4G127300 ID=Brasy4G127300.1.v1.1 annot-version=v1.1 MYDSARQPPPYNLLQPSAAAVVPAAALDFHSPHHFQMASRSKFLGCFIGTRWAAIVDLSC* >Brasy4G078400.1.p pacid=40089460 transcript=Brasy4G078400.1 locus=Brasy4G078400 ID=Brasy4G078400.1.v1.1 annot-version=v1.1 MALRRINPSKKPLLLVPRPFSSSSSNPPFPPPPPPPPSDDPNAPRSPNHGEAPKQSASSLFQDIRNRLQSSPAPPPTRRIPITPPRPAGHAAPPSASLEDIKRNLESFRAATTRNAAGGAPSSLPSRFSGGGGGGATPSFQDLLRNSTASTGRPQPGRNAGDSKPFSLSFDAIRESLHKTESKPQRSHQSFLNSLPQSIFGKELQERARKPEEGFAKEEEDSGILLSRDYSYEELGKKLGELRPSGAGKDGKEWFSLEELQGRIAKLAELEKRHEDPRFGGEYAMLRSSIRNIKKNEDRKIIKTVQNLTLFSNIGGKPTPEYELRNLPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKKTQPTKAQSKKSKSKRKRKMKV* >Brasy4G225800.1.p pacid=40089461 transcript=Brasy4G225800.1 locus=Brasy4G225800 ID=Brasy4G225800.1.v1.1 annot-version=v1.1 MYTARKKIQKDKGLEPSEFEDSVAQAFFDLESGHQELKSDVKDLYINAAFQMDVAGNRKAVVINVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGSKVIKIFLDPKERNNTDYKLETFTAVYRRLCGKEVVYEYPVAETA* >Brasy4G105200.1.p pacid=40089462 transcript=Brasy4G105200.1 locus=Brasy4G105200 ID=Brasy4G105200.1.v1.1 annot-version=v1.1 MGFPVGYSELLLPRLLLQVLLLLGQLHRFLLWTFHAVGLGDLIDLGNPPLTMTAQQEAQWHAHAHAHASLQHRRPDFRALPRMDIIIEEALPVLRFDELLASSSSPLCCDDDCAVCLSGIAGGDEVRRLPNCRHVFHRGCIDRWMAHDQRTCPLCRAPLIPGDGAHALWAASAGLPDASDYDLYSYPSPLPLAPTPTLLRPHELLLNGLGGFQ* >Brasy4G046700.1.p pacid=40089463 transcript=Brasy4G046700.1 locus=Brasy4G046700 ID=Brasy4G046700.1.v1.1 annot-version=v1.1 MSTANQYQHIRMTKPVTGKARKLKDLMLKSDNRICADCGAPDPKWASSNIGVFLCLKCGDVHRALGADVSNVLSVTLDDWSDSDFDSMLEVGGNSYANSIYEAFLPKDHPKPKPDATMEYRTKFIRAKYETQDFLKPSLRITSKSGFESNSVKSSDSSFSSTSRNHASEDTREFLGQLNVTVVKGTQLAVRDMLTSDPYVVLTLGEQKAQTTIKASDLNPVWNEVLNLSVPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAAVAFGDPSRRSDMQIGRWFMTKDNALLNDSIVNVVSGKVKQEVHLKLQNVESGEMELELEWVRLD* >Brasy4G046700.2.p pacid=40089464 transcript=Brasy4G046700.2 locus=Brasy4G046700 ID=Brasy4G046700.2.v1.1 annot-version=v1.1 MSTANQYQHIRMTKPVTGKARKLKDLMLKSDNRICADCGAPDPKWASSNIGVFLCLKCGDVHRALGADVSNVLSVTLDDWSDSDFDSMLEVGGNSYANSIYEAFLPKDHPKPKPDATMEYRTKFIRAKYETQDFLKPSLRITSKSGFESNSVKSSDSSFSSTSRNHASEDTREFLGQLNVTVVKGTQLAVRDMLTSDPYVVLTLGEQKAQTTIKASDLNPVWNEVLNLSVPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAAVAFGDPSRRSDMQIGRWFMTKDNALLNDSIVNVVSGKVKQEVHLKLQNVESGEMELELEWVRLD* >Brasy4G020000.1.p pacid=40089465 transcript=Brasy4G020000.1 locus=Brasy4G020000 ID=Brasy4G020000.1.v1.1 annot-version=v1.1 MDSSARSGAGGVTGNPRAWIVAGIAVAGVIVVAEAARRRRRWLRGKAGKSIVPADSGAFCERLELAPPPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAASRTAVAVTMLLKHGATCVGRTVMDELGFGVTGENLHCGTPINPASPTVVPGGSCSGSAVAVSAQLVDFALGTDTTGDLRIPASFCGLLCFRPSHGVVSTLGTIANSQSLDTIGWFARDPRVLHRVGDVLLPAASGGLKEKKQLVFADDCFELLKVPKHKTMQIIENAVHTLPGYQPPKHINIGQYISSNVPSLKEFCQHATKLQGGISALKALCAVMLLLQRYEFKANHEDWVNTVKPKLGLDISTRVLQAVNFTDDNIKSLYIVRNEWRAALKNLLKDTGILVLPTMAGYPLKRNSRTRLSPEFEDRMYAFVCVAALSGCCQATVPLGNHSDHPISLSFVAPHGSDKFLLRAILDMYSTIQEQVVLVSKLALPPVINHDIDASEVLKEKGNNSFKIKQWSKAIEFYSEAIKLNDTNAAYYSNRAAAYLELGRFKQAEADCDKALLLDKKNVKAYLRRGTAREVALNYQDALQDFRHALALEPQNRAALAAEKRLQKHLR* >Brasy4G349700.1.p pacid=40089466 transcript=Brasy4G349700.1 locus=Brasy4G349700 ID=Brasy4G349700.1.v1.1 annot-version=v1.1 MPTGVQAPEASPGRYQRHRDDVPDDVGCEDVLGIDLEPVADPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGEIELPEMPSQGFRIRADQLTAMTRDHNYSALQEYGGVNGLTKLLKTNPEKGVHGDEADLSCRLGAFGANRYPRKKGRSFWVFLWEACQDLTLAILIVAAVISLVLGIATEGIKEGWYNGASIAFAVFLVILVTAVGDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVFKDQKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLVVAAMVLVVLFARYFTGHTTNPDGSVQFVKGRTGVKSIIFGVIKILTVAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSIVAGIELQPVAAVEKLSPTVTSVVLEGIAQNTSGSVFEPEVTSQDDSTVEVTGSPTEKAILSWGLELHMKFAEERSKSAIIHVSPFNSEKKRGGVAVITRDSDVHVHWKGAAEIVLALCTNWLDVDGSTHKMTPYKANQFKKYIEDMAEQSLRCVAFAYRNLDLKDVPSEEQRTNWQVPDNDLTLIAIVGMKDPCRPGVRDAVELCTNSGVKVRMVTGDNLQTARAIALECGILTDPHASAPVIIEGRVFREYGDAEREAIADRISVMGRSSPNDKLLLVKALKKNGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATELPTDQLMKRTPVGRREPLVTNIMWRNLFIQAAYQVAVLLTLNFRGQNLLHLTQDTLEYSSKVKNSFIFNTFVLCQVFNEFNSRKPEELNIFEGVSRNHLFLAVVSITVVLQVVIIEFLGKFTSTVKLTWELWLVSLATAFVSWPLAFVGKFIPVPKTPLKNLILKCWPKWKKQGEEGPAPPV* >Brasy4G255200.1.p pacid=40089467 transcript=Brasy4G255200.1 locus=Brasy4G255200 ID=Brasy4G255200.1.v1.1 annot-version=v1.1 MAFSSPNSLSASASSTSASPFHLRLQPQPLLRLPTLPFHRSLPLHLPALRLTRPLLPPLPLASSGGGGSSIGGGDGDLPSGGGGGDKDEGGNGDGDDEDDASVNRREALFVLAQLGRKLESLPADMAAAVDGGRLPGEIVRRFADLEKSPMFRWLLQFGGFRERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPALAVNAGSLAKFFHNCPDNAFQIALAGRSYTFLQRFGAIMRNGAKLFAVGTSASLIGTGVTNAIIKARKTVNKDDAGEVEDIPIVSTSIAYGVYMAVSSNLRYQVLAGVIEQRMLEPLLHRHKLALSALCFAVRTGNTFLGSLLWVDYAKWIGIQ* >Brasy4G167000.1.p pacid=40089468 transcript=Brasy4G167000.1 locus=Brasy4G167000 ID=Brasy4G167000.1.v1.1 annot-version=v1.1 MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLIEETPRVKPKKPTAGKPMGRGRGRGRGRGRGRGR* >Brasy4G205100.1.p pacid=40089469 transcript=Brasy4G205100.1 locus=Brasy4G205100 ID=Brasy4G205100.1.v1.1 annot-version=v1.1 MKPSPAHLLTVAFLCAVLGSADATVVTTCKAAAGSDGRVDYGFCVSELGKHHDSPSADTWGLAKVAALTGVVNADDAIYDIKDLLAKPGTDARAATALGQCQELYESVGFAFAEAQDDINNRDYAAGKAKAEEAASLARRCDDAFAKAGGAGVPSPVAPHGFYSVQIAVVCTAITNLID* >Brasy4G439400.1.p pacid=40089470 transcript=Brasy4G439400.1 locus=Brasy4G439400 ID=Brasy4G439400.1.v1.1 annot-version=v1.1 MVQFRSSLSMTRVRTHADADDRGWNQLHVAARKGDLKEVRRLLTEGMDVNAPAWGPKSPGATPLHLAAQGGHVKVMDELLERGANIDARTKGACGWTPLHIAAKERNKRVVRFLIWNGAFLPPDLNGHRFNPPLHYCSGLEWAYQMKRMQDESDSSGEASCSSEN* >Brasy4G032900.1.p pacid=40089471 transcript=Brasy4G032900.1 locus=Brasy4G032900 ID=Brasy4G032900.1.v1.1 annot-version=v1.1 MAAEKHTIGLAMDYSPSSKAAAKWAVDNLVKAGDRIILIHVLPKGTDASHKGLWKSTGSPLIPLLEFMEMNVQARYGVNPDKEVLEILQAESKSKQVEVLAKIYWGDAREKLCEAVDDLKVDSVVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRGPTGSNA* >Brasy4G222700.1.p pacid=40089472 transcript=Brasy4G222700.1 locus=Brasy4G222700 ID=Brasy4G222700.1.v1.1 annot-version=v1.1 MKLRRVGRPKQAARASLTKLLQHQRRHRGCRHRARRSAPKTPPSCSRYLCCGVARSRTPHRSQACRTAPLYPRPHRGTAPLCSSEHAAGRREESLLPCGPLPQPSPLTGPLPPTAVRAPAPPAAPAGTSPPRTPTTPIPLPHHRPSREFERKND* >Brasy4G403900.1.p pacid=40089473 transcript=Brasy4G403900.1 locus=Brasy4G403900 ID=Brasy4G403900.1.v1.1 annot-version=v1.1 MDRDRSSRRATRDRDDDRHAARDRDRDRDDDRHRRHRRDDDVSHHKRDADADDRRRHRGGGGGAEEDRRRGHRHRRSPSPSASPSPPPAKRDQSSSRGRDSADREPPPSSRKRKDHHESRDGGDEPDRDGGKRVRASADPPPPPASRKEERPRRERRRFEDGDEERGNGDNKIASSHDHQKKVESAANGDSQSGTAPNTGAQQPLNSAPAGAVPSADPVASKVSSITTTNENGGVSIRSDEVTGKSSTDGTASRSSNLSLDALAKAKKALQLKKELSEKLKRLPMLNNKLGTTNADKQIPKKEALPVSSSIASAASELPGSTVSGMPAGSGAAGIPGLTNIPNLDAVKRAQELAAKMGFRQDPQFAPLINMFPGTSTEQTVPQRPAKAPVLRLDAQGREIDEQGNVISMTKPSNLSTLKVNINKQKKDAFQIIKPDLDSLAKSTVHFDERMGINPTKLLRPKRPGFQFIEEGKLTKQAELQRIKNQFGDAQARELKAKQTQLNRAKAEGDMNPNLIEIAPGGRPPKQKQKEEIPDIEPWDTRILLTGTYEDISLERLNMDRITIYVEHPEPLEPPAEAAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPNASDTIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVITDGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVGGEDDGDEEPDKPVNSCTLVWQGSVVKHTFHRFSVHHCRSEAAAKKVFADASVPHYWDLAVNFSEHSS* >Brasy4G058800.1.p pacid=40089474 transcript=Brasy4G058800.1 locus=Brasy4G058800 ID=Brasy4G058800.1.v1.1 annot-version=v1.1 MWRRVNAQFSFPPLHSRRPGLLSSSTSTGVQSDWTESPAAMEAAPGAFQSSPEMERFLCERLLDGAQPISERFRALFSLRNLRGDAPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLKESLASDPAVEVQETCELALRRIKEQTSASGAESTTISPYLSVDPAMPAKEGQSIEQLRELLLNEQENMYERYAALFALRNNGGDYAVSAIVEALGVKSALLRHEVAYVLGQLQKKAASDALSGVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPQVHQES* >Brasy4G335000.1.p pacid=40089475 transcript=Brasy4G335000.1 locus=Brasy4G335000 ID=Brasy4G335000.1.v1.1 annot-version=v1.1 MLACTLSVVLKSCRTRAPPAAALAPQACCCNRRQPRSIGRPPVSPRERSYYYVISQSHWASHPIPR* >Brasy4G387500.1.p pacid=40089476 transcript=Brasy4G387500.1 locus=Brasy4G387500 ID=Brasy4G387500.1.v1.1 annot-version=v1.1 MAGEEEGDPDWLTAFQAPSLAPVMLSSGSDSSRENSPTRADQEEKEANKGNSDTAGRTQDATKGKQPTATRRKALASKKGAPGKHEGSSMEEQEDKPPKRRTPKKNLVALSDSSAGNSPSRASEASEEEDSLKDKNAKAVGTESCPDQRDDTLEQLEEGVAEEQMQDRLPGNSISQRLPLMITDKVQRSKALVECDGDSIDLSGDIGAVGRIVISNGPTGNQDLLLDLKGTVYKSAIVPSRTFCVVSVGQTEAKIEAIMNDFIQLEPHSNLFEAETMMEGTLDGFTFDSDGEGDRLHASQNDQNNDNDDEPKAKTKRKTEKPAGKGQKKAKVTGKTAKKGARKTQSTKRTKKAKK* >Brasy4G387500.2.p pacid=40089477 transcript=Brasy4G387500.2 locus=Brasy4G387500 ID=Brasy4G387500.2.v1.1 annot-version=v1.1 MDIGREAPSLAPVMLSSGSDSSRENSPTRADQEEKEANKGNSDTAGRTQDATKGKQPTATRRKALASKKGAPGKHEGSSMEEQEDKPPKRRTPKKNLVALSDSSAGNSPSRASEASEEEDSLKDKNAKAVGTESCPDQRDDTLEQLEEGVAEEQMQDRLPGNSISQRLPLMITDKVQRSKALVECDGDSIDLSGDIGAVGRIVISNGPTGNQDLLLDLKGTVYKSAIVPSRTFCVVSVGQTEAKIEAIMNDFIQLEPHSNLFEAETMMEGTLDGFTFDSDGEGDRLHASQNDQNNDNDDEPKAKTKRKTEKPAGKGQKKAKVTGKTAKKGARKTQSTKRTKKAKK* >Brasy4G246400.1.p pacid=40089478 transcript=Brasy4G246400.1 locus=Brasy4G246400 ID=Brasy4G246400.1.v1.1 annot-version=v1.1 MQLSFARAGDDKWTWLPPHTDCSDCITEEDLFYLASQDGAIHAFDISGPTVTQRVVLDEIKDYISDKIYIAQAPSGDLLQIWKYREPPENEEDVSEPEVDAAFYACCSTTCKVHKIDLATKQLVEINSLGENVLFLGHNPSLCLRAGEYPQLKANHVYFTDDCQFLPFGQSQHRYIRIHNLENSCGEEIVSPQLWANWPAPVWVIPNPRKMNPASQD* >Brasy4G260600.1.p pacid=40089479 transcript=Brasy4G260600.1 locus=Brasy4G260600 ID=Brasy4G260600.1.v1.1 annot-version=v1.1 MGLCLSVAEALWKEKKAFCADRRTLRRVDEEDQDVVMRCGGSDYRLLRDGALEEAAAVAPRASGVLERAGGTPARPIWQRRVLMGVKCQLPRFSGMILYDERGRPVCSGIRDRARDQEKHAAAINMLRDAL* >Brasy4G341900.1.p pacid=40089480 transcript=Brasy4G341900.1 locus=Brasy4G341900 ID=Brasy4G341900.1.v1.1 annot-version=v1.1 MDIEKQGAGMGYFKKGSCFTKYNYSLEGDAKSLYQVPKAALIPCLEPYVWGRGWPFLGNTCIQYKSAVSTNKLLPWALPHRVCHRLMPFSVCTALDELSTFGACTHLRKASRFQNTGLGLLQVWILRGCNGLSQRLVYYPWRVTDGQLQLEMPDWPFNSGSQTEYIK* >Brasy4G222100.1.p pacid=40089481 transcript=Brasy4G222100.1 locus=Brasy4G222100 ID=Brasy4G222100.1.v1.1 annot-version=v1.1 MSRHGTKKHCSACNSTEHNKRRCPELGRGGEQEAPPAAAKLVLLKTTGASTISFGSSSVAEQNMSVFEILMASAPRNQQSQAPGPLPENLFISSQRDALPAPRATTTTHDVGGKKKKGKAKAK* >Brasy4G262400.1.p pacid=40089482 transcript=Brasy4G262400.1 locus=Brasy4G262400 ID=Brasy4G262400.1.v1.1 annot-version=v1.1 MRLLLIAVLPLVVLILSVPISRAYDQGSSVGHDNGHDDSSAHKPNGVGPVKNPHCPKPGRGPNPHEKGEPGNNGWHPPRKECDDDDPSPTTPSSPPPPTPSYQAGEPPPPPPLSYPPSSSLSPPTIAPSTPPSPPSSPSVTPPTYEANGKSPPPPQSSSPPPIDIPSSPPPPWIPPTIAPSSSQPPPNSNPLATPPMYQSNGNPPPPQYSSPLIGPASPPPLPSSPPTFAPYTFSPPPSPPATPPPYKGNDQPPPPPPSFSPPPIAPPTYQANNQPPPPPPSSSSPPPLWSSPPATPPTYQVNQPPPPSSSPLAQSALPPPPPPFSPPNTAPTDQGNGQPLPSSPSPPPFSHPATPPTDQGNGQPPPSSPPPFISPATPPTDQGNGQPPPSSPSPPPFSAPATPPTDQGNGQPPPSSPSPSPFSPPATPPTDQGNGQPPPSSPLPPPFIPPPHLRLIKVKDNHRHRRRHHHHLAPQPHLRLIKVMGNHHHRRRHHHHLAPLPHLQLIKVMDNHRHRRHHHHPHHHHHHHLAHQPNLRLIKVMVNHRHRRHHHHHLAPQPHLRLIKVMGNHRHHRRHHHHLAPKPHLRLIKVMDNNRHHHHHLAPQPHLRLIKVMDNHRHRRRHHHHLAPQPHLRLIKVMDNYLHHHHHHHLAPQPHLQLIKVMDNHRHRLSHHHHLAPPPHLRLIMLMDNHRHRHRHHRHLALPPHLRLIKVMDNHRHRHRHHHHLAPPPHLRLIKVMDNHRHRHRHHLVH* >Brasy4G015600.1.p pacid=40089483 transcript=Brasy4G015600.1 locus=Brasy4G015600 ID=Brasy4G015600.1.v1.1 annot-version=v1.1 MAALRLTTSVALRPAAAASPSSAAAPRTASFACAVARGLPSLRLAPPRRRRGDLLRPRAGEDAAAESYATALAELAMENGTLDATVSDMEKLEKIFLEETVSEFFDNPTVPRDEKAQLIEEISTSSSLQPHVANFLNVVVDNSRAGLMPLIVREFETAYNALTGTEEATVTSVVNLESEDLAQIAQHVQKITGAANVRIKTRIDPDLIAGFTVQYGRDSSNLIDMSVRKQIAEITSELELPSVSLDV* >Brasy4G221700.1.p pacid=40089484 transcript=Brasy4G221700.1 locus=Brasy4G221700 ID=Brasy4G221700.1.v1.1 annot-version=v1.1 MPTHKFACPGGVLHIRMTDVHHRVTVQMLCQLFCRSGAGDMLCVFERVVDGMYGVEAYVQCSSRREAHRVRAALDGQAIYDGCCFLTVDLVSPIYTNITTPDTHELAPNYFYDDTPYVAPSAALTVAERHDKSAPAPRRELLAAPAADLHRAPPVSSTKVPATGRAATAAAISTPTPPHAESQKDKWAAQFETMFNDTLAALRAERQAAVNSQGTSSSAISSQSPPQVPASTLEDSQSVSIQTVLASTPTAATSFTTEPAAGASASTAPGISLPAPTYAASVSCDIDIIAGTPTTCSMVGLTSDNPADPTANPAKCAEHRDAEEVRIHEILCKVSPYANPTLKPPWPSFGCFYGELYQQGADILPTVDGMAIASILSLFPWNPSGYLPENRPKLFIVPIAARGGSTIHARAIRFQNSTIK >Brasy4G282100.1.p pacid=40089485 transcript=Brasy4G282100.1 locus=Brasy4G282100 ID=Brasy4G282100.1.v1.1 annot-version=v1.1 MERMTKALLAAVAMAAVLELGLVGANFLDKCDITWEPQNAKMTEGGNHLTLSLVSNSSGSMLRTKKQFIFGSVSTMMKLVKGNSAGTVTTYYTSSVDNDHDEIDFEFLGNETGQPYTIHTNVFANGVGAKEMQFRPWFDPTADFHNYTIFWNPSMIVWLVDSIPIRVFRNYASEGVAYPTKRPMYAFSSIWAAEDWATQGGRVKTDWSKAPFVAEYREISLQVCECASGAACAETCASSRHAAPGTRELTGKQLRQLRGVQLGYTIYDYCKDAKWPNGTQVPLECGKDQY* >Brasy4G126000.1.p pacid=40089486 transcript=Brasy4G126000.1 locus=Brasy4G126000 ID=Brasy4G126000.1.v1.1 annot-version=v1.1 MPSNKKHQNASPPSDVDRIGDLPNEILHHLLSFLPAQDAVRTCVLARRWRHLWRSATGLRIVGSNDVGPVEELRKFVDHLLILRGHADLNTFEIEFNEFLEEDEPYLNLWTRYAVLCKVRALTLHLDHEDYLNLDSLPLVSRHLRTLDLFGVALDGSFLDFSSCPALEDLKMNTCIINGDRISSRSLKRLSISGCYFVLRVRISAPCLISFTLEFNGRTPSVECMPSLETASVELHSAWKEICDNYIHPGICSANGASCSKCLDYNGRSNNTVLLGGICNAKHLDFISALGMMNFERDLKWFPTFNKLKTLLRSDCWCVGPDFDALTCILKHTPVLEKLTLQLVPKDSVSSKSAKYASMVDLAFIYYPKM* >Brasy4G310500.1.p pacid=40089487 transcript=Brasy4G310500.1 locus=Brasy4G310500 ID=Brasy4G310500.1.v1.1 annot-version=v1.1 MQAFTRLSSSAASRRVIAGFSCAVTRPCYRTWRGKAHAAPLSAQEPPKGQKRMSKDARRCRIEEYVQCYQASNDGKFPTIKNIRQHAGGSHYTVRDVLQEMMYKQTKSPLDHSKAAQLHETTEYAEQSRPKDSGNDSFNPQRFNGQQDADGTLLSQKDPATGTIIMEKTEQGRSLESQDSADYNGETEVVKQVLYTPGSNDSTISEQAEIDSMKAEASVSFEMQTKADYENRQGEAEVNKSHLNNGETEVAMQVLYTADANVSEQAEIDSMKSEVSVSLEIEAKEDSVNCQGETEVKKLHLRNVEKLQNASERTVSDQTESDKVIKGNVPDREDDPEIKHQGSTKTSLLGSLKSFASGIRNFWKNL* >Brasy4G310500.2.p pacid=40089488 transcript=Brasy4G310500.2 locus=Brasy4G310500 ID=Brasy4G310500.2.v1.1 annot-version=v1.1 MQAFTRLSSSAASRRVIAGFSCAVTRPCYRTWRGKAHAAPLSAQEPPKGQKRMSKDARRCRIEEYVQCYQASNDGKFPTIKNIRQHAGGSHYTVRDVLQEMMYKQTKSPLDHSKAAQLHETTEYAEQSRPKDSGNDSFNPQRFNGQQDADGTLLSQKDPATGTIIMEKTEQGRSLESQDSADYNGETEVVKQVLYTPGSNDSTISEQAEIDSMKTKADYENRQGEAEVNKSHLNNGETEVAMQVLYTADANVSEQAEIDSMKSEVSVSLEIEAKEDSVNCQGETEVKKLHLRNVEKLQNASERTVSDQTESDKVIKGNVPDREDDPEIKHQGSTKTSLLGSLKSFASGIRNFWKNL* >Brasy4G310500.5.p pacid=40089489 transcript=Brasy4G310500.5 locus=Brasy4G310500 ID=Brasy4G310500.5.v1.1 annot-version=v1.1 MQAFTRLSSSAASRRVIAGFSCAVTRPCYRTWRGKAHAAPLSAQEPPKGQKRMSKDARRCRIEEYVQCYQASNDGKFPTIKNIRQHAGGSHYTVRDVLQEMMYKQTKSPLDHSKAAQLHETTEYAEQSRPKDSGNDSFNPQRFNGQQDADGTLLSQKDPATGTIIMEKTEQGRSLESQDSADYNGETEVVKQVLYTPGSNDSTISEQAEIDSMKTKADYENRQGEAEVNKSHLNNGETEVAMQVLYTADANVSEQAEIDSMKHAYAERSFRIFGNRG* >Brasy4G310500.3.p pacid=40089490 transcript=Brasy4G310500.3 locus=Brasy4G310500 ID=Brasy4G310500.3.v1.1 annot-version=v1.1 MMYKQTKSPLDHSKAAQLHETTEYAEQSRPKDSGNDSFNPQRFNGQQDADGTLLSQKDPATGTIIMEKTEQGRSLESQDSADYNGETEVVKQVLYTPGSNDSTISEQAEIDSMKAEASVSFEMQTKADYENRQGEAEVNKSHLNNGETEVAMQVLYTADANVSEQAEIDSMKSEVSVSLEIEAKEDSVNCQGETEVKKLHLRNVEKLQNASERTVSDQTESDKVIKGNVPDREDDPEIKHQGSTKTSLLGSLKSFASGIRNFWKNL* >Brasy4G310500.4.p pacid=40089491 transcript=Brasy4G310500.4 locus=Brasy4G310500 ID=Brasy4G310500.4.v1.1 annot-version=v1.1 MMYKQTKSPLDHSKAAQLHETTEYAEQSRPKDSGNDSFNPQRFNGQQDADGTLLSQKDPATGTIIMEKTEQGRSLESQDSADYNGETEVVKQVLYTPGSNDSTISEQAEIDSMKTKADYENRQGEAEVNKSHLNNGETEVAMQVLYTADANVSEQAEIDSMKSEVSVSLEIEAKEDSVNCQGETEVKKLHLRNVEKLQNASERTVSDQTESDKVIKGNVPDREDDPEIKHQGSTKTSLLGSLKSFASGIRNFWKNL* >Brasy4G411500.1.p pacid=40089492 transcript=Brasy4G411500.1 locus=Brasy4G411500 ID=Brasy4G411500.1.v1.1 annot-version=v1.1 MAVDGTTAMTIDFLRARLLSERSVSRAAKERADQFSKRVAELEEQLRTVTTQRRKAERAAAEVLAILESQGFGRFSDAADSDSDDHTGGPEAAERGSGGKARVEAEDALSSSELGGPAGAPQTAGGLSWKGLAGSPDSDRRQPHQKLRQLRQRHSHNNHRSCYFYLLTADSSPKYQPGQSCRKIKRKELIRLQTEGEDGKDNVAESLEEEQERSDCTVCTDEQNDLDGEVSQDGRGSCGDRRAEDVNKRYTMQYEKDEEMERVLEKQAEFIGQYVAEENAQREWEKKFSGSQDSTVDDVHLDNKLNQNEQACKQRSKAVQILDRQVVFEEIRSSDNDLFGINSSSECLLNGSSGLSTNVPEVSFIGKCKESHQDFLQATVVVASVDSELHVRKDELVNRSYTEVFEGSGNNIGRSTSSPKKNYNSSPNAGRSKGQGDENSDSSSNYHANSHSYEHYITTPSVGSSLSDTPKSKVSEWSLSCFHNHTDNQIDMQLYQPSRDDVGGVLEALQRAKISLKEKLRRPSPPGQDMLTLPAPEDHYTDDDLPVNDTELSLCVSQRFSQDILALPASEDYLNRINLPGEDAKVPVGPAGLFRLPTDLFPQNRACSTDGYGSRFSLTSSQQTIYSSNPANNNIMSTSSLPQYGSRFSLNPYYHPHSSMLLSMPTDGGDNFPVSDFATGDASFIPETLKSSNVLRRGMPSGNASMLFQYGSAYKQ* >Brasy4G411500.2.p pacid=40089493 transcript=Brasy4G411500.2 locus=Brasy4G411500 ID=Brasy4G411500.2.v1.1 annot-version=v1.1 MAVDGTTAMTIDFLRARLLSERSVSRAAKERADQFSKRVAELEEQLRTVTTQRRKAERAAAEVLAILESQGFGRFSDAADSDSDDHTGGPEAAERGSGGKARVEAEDALSSSELGGPAGAPQTAGGLSWKGLAGSPDSDRRQPHQKLRQLRQRHSHNNHRSCYFYLLTADSSPKYQPGQSCRKIKRKELIRLQTEGEDGKDNVAESLEEEQERSDCTVCTDEQNDLDGEVSQDGRGSCGDRRAEDVNKRYTMQYEKDEEMERVLEKQAEFIGQYVAEENAQREWEKKFSGSQDSTVDDVHLDNKLNQNEQACKQRSKAVQILDRQVVFEEIRSSDNDLFGINSSSECLLNGSSGLSTNVPELYQPSRDDVGGVLEALQRAKISLKEKLRRPSPPGQDMLTLPAPEDHYTDDDLPVNDTELSLCVSQRFSQDILALPASEDYLNRINLPGEDAKVPVGPAGLFRLPTDLFPQNRACSTDGYGSRFSLTSSQQTIYSSNPANNNIMSTSSLPQYGSRFSLNPYYHPHSSMLLSMPTDGGDNFPVSDFATGDASFIPETLKSSNVLRRGMPSGNASMLFQYGSAYKQ* >Brasy4G411500.3.p pacid=40089494 transcript=Brasy4G411500.3 locus=Brasy4G411500 ID=Brasy4G411500.3.v1.1 annot-version=v1.1 MAVDGTTAMTIDFLRARLLSERSVSRAAKERADQFSKRVAELEEQLRTVTTQRRKAERAAAEVLAILESQGFGRFSDAADSDSDDHTGGPEAAERGSGGKARVEAEDALSSSELGGPAGAPQTAGGLSWKGLAGSPDSDRRQPHQKLRQLRQRHSHNNHRSCYFYLLTADSSPKYQPGQSCRKIKRKELIRLQTEGEDGKDNVAESLEEEQERSDCTVCTDEQNDLDGEVSQDGRGSCGDRRAEDVNKRYTMQYEKDEEMERVLEKQAEFIGQYVAEENAQREWEKKFSGSQDSTVLYQPSRDDVGGVLEALQRAKISLKEKLRRPSPPGQDMLTLPAPEDHYTDDDLPVNDTELSLCVSQRFSQDILALPASEDYLNRINLPGEDAKVPVGPAGLFRLPTDLFPQNRACSTDGYGSRFSLTSSQQTIYSSNPANNNIMSTSSLPQYGSRFSLNPYYHPHSSMLLSMPTDGGDNFPVSDFATGDASFIPETLKSSNVLRRGMPSGNASMLFQYGSAYKQ* >Brasy4G411500.4.p pacid=40089495 transcript=Brasy4G411500.4 locus=Brasy4G411500 ID=Brasy4G411500.4.v1.1 annot-version=v1.1 MAVDGTTAMTIDFLRARLLSERSVSRAAKERADQFSKRVAELEEQLRTVTTQRRKAERAAAEVLAILESQGFGRFSDAADSDSDDHTGGPEAAERGSGGKARVEAEDALSSSELGGPAGAPQTAGGLSWKGLAGSPDSDRRQPHQKLRQLRQRHSHNNHRSCYFYLLTADSSPKYQPGQSCRKIKRKELIRLQTEGEDGKDNVAESLEEEQERSDCTVCTDEQNDLDGEVSQDGRGSCGDRRAEDVNKRYTMQYEKDEEMERVLEKQAEFIGQYVAEENAQREWEKKFSGSQDSTVDDVHLDNKLNQNEQACKQRSKAVQILDRQVVFEEIRSSDNDLFGINSSSECLLNGSSGLSTNVPELYQPSRDDVGGVLEALQRAKISLKEKLRRPSPPGQDMLTLPAPEDHYTDDDLPVNDTELSLCVSQRFSQDILALPASEDYLNRINLPGHVQLMDMVQGSV* >Brasy4G411500.5.p pacid=40089496 transcript=Brasy4G411500.5 locus=Brasy4G411500 ID=Brasy4G411500.5.v1.1 annot-version=v1.1 MAVDGTTAMTIDFLRARLLSERSVSRAAKERADQFSKRVAELEEQLRTVTTQRRKAERAAAEVLAILESQGFGRFSDAADSDSDDHTGGPEAAERGSGGKARVEAEDALSSSELGGPAGAPQTAGGLSWKGLAGSPDSDRRQPHQKLRQLRQRHSHNNHRSCYFYLLTADSSPKYQPGQSCRKIKRKELIRLQTEGEDGKDNVAESLEEEQERSDCTVCTDEQNDLDGEVSQDGRGSCGDRRAEDVNKRYTMQYEKDEEMERVLEKQAEFIGQYVAEENAQREWEKKFSGSQDSTVLYQPSRDDVGGVLEALQRAKISLKEKLRRPSPPGQDMLTLPAPEDHYTDDDLPVNDTELSLCVSQRFSQDILALPASEDYLNRINLPGHVQLMDMVQGSV* >Brasy4G337300.1.p pacid=40089497 transcript=Brasy4G337300.1 locus=Brasy4G337300 ID=Brasy4G337300.1.v1.1 annot-version=v1.1 MAAALFGLLVALAAAATAGATTESSDAAALGNLYTSWNSPSQLAGWSASGGDPCGAGWQGVSCNGSGVTEIKLAGTGLNGSLGYELSNLYSLKTLDLSNNNIHGSIPYQLPPNLTYMNLATNNFSGNLPYSISNMVSIEYLNISHNSLSQQMGDLFRNLSSLSELDVSFNKLTGDLPSSIGSLPNISSLYMQNNQLTGPVNILSGLGLTTLNIANNNFSGWIPKEFSSIPDVILGGNSFANGPAPPPPPFMPPPPRRPRNRPNNSGGSGNAPKGSEGPTGQGDKNQGLQTGALVGIIVGSILAALCVLLVSVLCIRNSRKRKNDSSSESKDFVGPLSVNIEEASDREIPEHVLENNSVAAMKVLPAEKMTPERVYGINGSMRKAKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEVVSSMSRLRHPNIVPLAGYCVEHAQRLLVYEYIGNGTLHDMLHFSDEMSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNLKSSNILLDEEHNAHLSDCGLAALTPNTERQVSTEVVGSFGYSAPEYSMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDMRDISF* >Brasy4G313100.1.p pacid=40089498 transcript=Brasy4G313100.1 locus=Brasy4G313100 ID=Brasy4G313100.1.v1.1 annot-version=v1.1 MQPGGYHPRANTAPPPSGAGFRNGNSSNNNAPPCRPPQEQMMTPRQREEVLLAAGRLAAEYLVSKGELAPQVLRNRPPAPAPRLFQERPVAPRPQHNQPPLPRPFQEEERPLAKQHFQPRPLPFQRPFAPRPFEQHRQIAKAKRPRPFFFQGRPPAFHPRAPVVGPYRPFQQGRPPFASGARSGPATGHPGQGAAPPAGSVTQRQGDGNDAPGYGSNVQQVPHSGGTAQSPQMMNQPSQINQG* >Brasy4G097500.1.p pacid=40089499 transcript=Brasy4G097500.1 locus=Brasy4G097500 ID=Brasy4G097500.1.v1.1 annot-version=v1.1 MEDYPEELRTPPVPLVSIVGCPELHASISAALSTQQPPMNTLALPDFSKANILSRTAKNRDPLAPPQAPSGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQAQAGDELGEEVTVALRKRAEIDSKNLIVLVQNDETERNKSLLKLMSIFAELCSTYYKEEGRRIKARIEKRNFSSVELSVRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPAQRLVEIKAVADQFHFKISTLLLHAGKVVEAITWFRKHIRSFECVIGSPEVAFLHWEWFSRQFLVFGKLIETTSTTVPDTLSPRFGTADNALTEWEFQPSYYYQLAANYLREKRCSLECSSSGANLTGDNGIPDSIMPSVYVGQYVHLFEQGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGGTRMASSCSAGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWEILGYLRECSRKLNSLMDFISYSLEMAALPLFSDSVQSFSENKSKSGPAGWPTVSRREDIQQEVVNILERKHTPEGVDGEFNLQLTEDNAHLDIDQISPLRIVLVASVAFHDQSVKPGSPLLVSVSLLSHLPSPVAVDQLEVQFNQPDCNFVMVSSEQGSSGLNPHVHGQVVQSTSLTLFSNKWMRLTHEIKSGQSGKLECLSVKAIINKRLVVCCQAESPASMEDFPLWKFEDQVETLPAKDAALAFSGQKLIQVEELDAQVDLVLDSNGPALVGELFVVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPRETEESESHHVELIGVSTVTGDEVSKDEVDSIRKIQYSFGVVSVPTLGMGDSWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDAALHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKDSLAMNESNMLIVSARNCTEVPLRLHSMAIESDGDGMQLCSVEQISGISDGYAVVAPNAEYKGIFSVNPQAINPNFYLGEICVNWSRDLHLGENEDSRVIMKQRLPEVHIEEPPLLVSIECPPYAILGIPFTFYVKIHNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHTFSHKLVPLGSGSQPLPRITVTSVRYSAALCPPAAATAVFVYPSEPKFNLEKSHSISDECVS* >Brasy4G097500.2.p pacid=40089500 transcript=Brasy4G097500.2 locus=Brasy4G097500 ID=Brasy4G097500.2.v1.1 annot-version=v1.1 MEDYPEELRTPPVPLVSIVGCPELHASISAALSTQQPPMNTLALPDFSKANILSRTAKNRDPLAPPQAPSGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQAQAGDELGEEVTVALRKRAEIDSKNLIVLVQNDETERNKSLLKLMSIFAELCSTYYKEEGRRIKARIEKRNFSSVELSVRYCFKVAVYAEFRRDWPEALKFYEEGIRVLRELAANYLREKRCSLECSSSGANLTGDNGIPDSIMPSVYVGQYVHLFEQGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGGTRMASSCSAGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWEILGYLRECSRKLNSLMDFISYSLEMAALPLFSDSVQSFSENKSKSGPAGWPTVSRREDIQQEVVNILERKHTPEGVDGEFNLQLTEDNAHLDIDQISPLRIVLVASVAFHDQSVKPGSPLLVSVSLLSHLPSPVAVDQLEVQFNQPDCNFVMVSSEQGSSGLNPHVHGQVVQSTSLTLFSNKWMRLTHEIKSGQSGKLECLSVKAIINKRLVVCCQAESPASMEDFPLWKFEDQVETLPAKDAALAFSGQKLIQVEELDAQVDLVLDSNGPALVGELFVVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPRETEESESHHVELIGVSTVTGDEVSKDEVDSIRKIQYSFGVVSVPTLGMGDSWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDAALHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKDSLAMNESNMLIVSARNCTEVPLRLHSMAIESDGDGMQLCSVEQISGISDGYAVVAPNAEYKGIFSVNPQAINPNFYLGEICVNWSRDLHLGENEDSRVIMKQRLPEVHIEEPPLLVSIECPPYAILGIPFTFYVKIHNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHTFSHKLVPLGSGSQPLPRITVTSVRYSAALCPPAAATAVFVYPSEPKFNLEKSHSISDECVS* >Brasy4G097500.3.p pacid=40089501 transcript=Brasy4G097500.3 locus=Brasy4G097500 ID=Brasy4G097500.3.v1.1 annot-version=v1.1 MEDYPEELRTPPVPLVSIVGCPELHASISAALSTQQPPMNTLALPDFSKANILSRTAKNRDPLAPPQAPSGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQAQAGDELGEEVTVALRKRAEIDSKNLIVLVQNDETERNKSLLKLMSIFAELCSTYYKEEGRRIKARIEKRNFSSVELSVRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPAQRLVEIKAVADQFHFKISTLLLHAGKVVEAITWFRKHIRSFECVIGSPEVAFLHWEWFSRQFLVFGKLIETTSTTVPDTLSPRFGTADNALTEWEFQPSYYYQLAANYLREKRCSLECSSSGANLTGDNGIPDSIMPSVYVGQYVHLFEQGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGGTRMASSCSAGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWEILGYLRECSRKLNSLMDFISYSLEMAALPLFSDSVQSFSENKSKSGPAGWPTVSRREDIQQEVVNILERKHTPEGVDGEFNLQLTEDNAHLDIDQISPLRIVLVASVAFHDQSVKPGSPLLVSVSLLSHLPSPVAVDQLEVQFNQPDCNFVMVSSEQGSSGLNPHVHGQVVQSTSLTLFSNKWMRLTHEIKSGQSGKLECLSVKAIINKRLVVCCQAESPASMEDFPLWKFEDQVETLPAKDAALAFSGQKLIQVEELDAQVDLVLDSNGPALVGELFVVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPRETEESESHHVELIGVSTVTGDEVSKDEVDSIRKIQYSFGVVSVPTLGMGDSWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDAALHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKDSLAMNESNMLIVSARNCTEVPLRLHSMAIESDGDGMQLCSVEQISGISDG* >Brasy4G097500.4.p pacid=40089502 transcript=Brasy4G097500.4 locus=Brasy4G097500 ID=Brasy4G097500.4.v1.1 annot-version=v1.1 MEDYPEELRTPPVPLVSIVGCPELHASISAALSTQQPPMNTLALPDFSKANILSRTAKNRDPLAPPQAPSGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQAQAGDELGEEVTVALRKRAEIDSKNLIVLVQNDETERNKSLLKLMSIFAELCSTYYKEEGRRIKARIEKRNFSSVELSVRYCFKVAVYAEFRRDWPEALKFYEEGIRVLRELAANYLREKRCSLECSSSGANLTGDNGIPDSIMPSVYVGQYVHLFEQGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGGTRMASSCSAGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWEILGYLRECSRKLNSLMDFISYSLEMAALPLFSDSVQSFSENKSKSGPAGWPTVSRREDIQQEVVNILERKHTPEGVDGEFNLQLTEDNAHLDIDQISPLRIVLVASVAFHDQSVKPGSPLLVSVSLLSHLPSPVAVDQLEVQFNQPDCNFVMVSSEQGSSGLNPHVHGQVVQSTSLTLFSNKWMRLTHEIKSGQSGKLECLSVKAIINKRLVVCCQAESPASMEDFPLWKFEDQVETLPAKDAALAFSGQKLIQVEELDAQVDLVLDSNGPALVGELFVVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPRETEESESHHVELIGVSTVTGDEVSKDEVDSIRKIQYSFGVVSVPTLGMGDSWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDAALHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKDSLAMNESNMLIVSARNCTEVPLRLHSMAIESDGDGMQLCSVEQISGISDG* >Brasy4G192400.1.p pacid=40089503 transcript=Brasy4G192400.1 locus=Brasy4G192400 ID=Brasy4G192400.1.v1.1 annot-version=v1.1 MAAAAAGTGTLLPHALFNHRLPPPPQLLAVSSSFRRLSISATHRRTNHLVAHADAGAAEPEPAADPAAEAEDVAASADTEEAEAEGAVAVAEEVDEPPPRRKSIKFGEIIGILNKQFIEEAEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKNKLPRFSTFK* >Brasy4G091300.1.p pacid=40089504 transcript=Brasy4G091300.1 locus=Brasy4G091300 ID=Brasy4G091300.1.v1.1 annot-version=v1.1 MEGYFRNLHSPRVLFPSTIKPLPHPTAIPSSSGIVCKPTPPSREDLGDQLTTRKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPG* >Brasy4G005300.1.p pacid=40089505 transcript=Brasy4G005300.1 locus=Brasy4G005300 ID=Brasy4G005300.1.v1.1 annot-version=v1.1 MSLDIDNEEDQSVPYAHSPGGTPQEDKVGNVRLVSHSNDTAPLQANTQQVMLELIDASSTGERFGLDLVAVLDVSGSMGGDRLAKVKTAMQFVIKKLSPIDRLSIVTFSTYAKRLCHLRSVTKAFQAHLKELVDGLKAGGSTNIKHGLQTGQQVLTDRRLTGGRVASIFVLSDGEVDDATVGGVSDVAVYTFGFGADCDHEVLEEMARKSKGGTFNCVEDTDNMSEPFSQILGGLLSVVIQDLKLTVSPHPGDSTIENVQAGLYPQTKVNGSVIVSFGDLFAREVRKVIVDVLLAVPYKRKSMIALIAQCSYSIRGKPFFSRKLTVTISRVTGPGAADPNRKPEAVRIEEARVGHAKSLEEACTMAERNDLNGAREKLEEAKNDLKVLEQSNSIIVILMTQLDKLLEMIWNNLPDLRLRLLGSLRSLKTSHDRQRPAETGYVKGDSLFKTELTAASREQGKFFEKNPNMEVPPVEDDFKKVKQQRPVRDEQGMDTPPAVAREAWTWDLESHQGSRWAWAMVMMCVVLAIGVIVIGGVLFAVYLFYARKMPSLAVSDAQLGVLRYAVQGGTIQNLQMSVTILAKNDKSEADASFSPVDLALEFHGAVVAVLRTPGPFVVAPGSSLPLHYNVVSAERTLDQAGMRAMFESLDAGVVPLQLHGKVRTRWKAGIFLKAKFWTRISCRLRFFYPGNGTVMPADRHRCRSRSP* >Brasy4G346300.1.p pacid=40089506 transcript=Brasy4G346300.1 locus=Brasy4G346300 ID=Brasy4G346300.1.v1.1 annot-version=v1.1 MSSGSAVTGGGSAGAEGAAAAAALGQKLMVHVAENGHSMEFECRGATKVEAIQRSIELVCGVPRADQLLLCGNIPLDGAHHLSYYNLPRDDREVFLYNKARFHADSLPPAPESIDIPVPSIPPPPRPQDTALEVSADPALKALMSYEIRFRYDFQVANALYQSSVAKYEVCRRLQREWQVQERALDMARNNLEHAFRKPSQRYSNFVQCLSQQHRGYLELLSTFERDVQRLRAVRLHPSLQCEGRRCLLDLIDENKLRKLADEYLSSYKHLEVFVSPLKAKFVELKKGVDNLLNAMSSSTWRDLEALIKEHQRVIGDQKSIMQALSKDVNTSKKLVDDCSRCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVRKFDCMLTKLLEKCKAMKNEMNALVYSSVRGVKSAQSDIKEMMSTRFTLYEEAIVKQDKDFSYLKLLGGLGHAYRASLAEVVRRRYSFKLYTGLAGQLAEKLAAEREAEIRRRDVFLRTWKKYFPVEIMSSMGLFGSPSQCEVNIAPFDCDLLPIDVDDLEKLAPQSLLGSFLKPERSQQHSDSSTSGNFSNSEQNNLNTDGKMDFQDFLEGCDTVDITGTSKLEVENARLKAELASAIAVLCTFGAEYAHESIDEGHNDNVLKNAREKTAQALSAKDEYANQLQSMFKAKQEQCLSFEKRIQELEEQLANQYIQGQMVSGSKGTSDSLSTFKGNDCNLGVSGGRQTHLREESSVAMDETSSTSEQPSKQTEGGDENMTDISGVLNLQLLNSAGCTNLDAFMADSPHDNEHKIVNVDKEGRMLTQLTMADTSDIPVEDASILNSRTDEHHALEFRNEELFVSQLQKSKQLEEMESKLSAVMGEVNTLKKELENTQSLLDESQINCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRSLALSLASSVKKDEAESTIQFQHCIKILADKVCFLSRQSSELLERYSRVEAAHGILVRELEEKKELISNLYSKVQLEKQASKEKISVGRFEVHELAVFVRNPSGHYEAINRSGSNYYLSEESVALFTPEHHPRHPTYIIGQIVHMERRVAKPPVRDQMEEAPPRFGSGGSRRAMPKSNPYGLPTGCEYFVVTVAMLPDTAR* >Brasy4G146200.1.p pacid=40089507 transcript=Brasy4G146200.1 locus=Brasy4G146200 ID=Brasy4G146200.1.v1.1 annot-version=v1.1 MGRGTVGDRRSPVPYREDSMEYEPAKYCLCSVKAPRWISWCPRNPGRRYYACVDALVSSISN* >Brasy4G379400.1.p pacid=40089508 transcript=Brasy4G379400.1 locus=Brasy4G379400 ID=Brasy4G379400.1.v1.1 annot-version=v1.1 MSIDPQSFVFPLKLEASNVLNWPPDGIGPSRSLLETLNAERECRLFNAVGIAPVKLLLDKSSTSSLVRLHIDCGICPVKLLKLKLSEMRAFNCPISRGSSPVNLFLLRSSTLGKAIGMRY* >Brasy4G170400.1.p pacid=40089509 transcript=Brasy4G170400.1 locus=Brasy4G170400 ID=Brasy4G170400.1.v1.1 annot-version=v1.1 MYPKPEDAAPTTGIPMSAGVGGPMGGGTYYQAPASTAFAMQQGAQGQQAQAAWSTGLCDCFDDCSNCCVTCLCPCITFGQVAEIIDRGSSSCGSSGALFALIMLLTGCHCVYSSFYRAKMRAQYGLQERPCADCCIHWCCEPCALCQEYRELKKRGFDMNLGWHANMERQGRTPATMPPLMHPGMTR* >Brasy4G051900.1.p pacid=40089510 transcript=Brasy4G051900.1 locus=Brasy4G051900 ID=Brasy4G051900.1.v1.1 annot-version=v1.1 MATGIVPTVLLAAGVTLMVLVHILVVFWALRRGFIERRLSRVRVVGEHADQEGGAAGGLTEEEVGELPCHDFKPELAAGEGGGECAVCLEALRDGERCAVLPRCGHGFHAECVGSWLRMSRLCPVCRAEFVAGPRKDQAGADDAEAAVEVV* >Brasy4G393400.1.p pacid=40089511 transcript=Brasy4G393400.1 locus=Brasy4G393400 ID=Brasy4G393400.1.v1.1 annot-version=v1.1 MRPVHIERGKKHELIGNPIVRNQSSVTRRQAGLRHRFNPDAGGWRPSAVPAASCGQSRRRRHRPTGGGTPRRGGPGKSVVALASALRFSRARPQDRGAAGGTSEGELATAVEELDAEDLRPAELQGNDGGGSAASSRSSWRGGGARNGPDQAGARAERGGCSLVALLPELGKKERRGWGQQAVRTDLGGLLERHESSPKSSSVYQ* >Brasy4G289400.1.p pacid=40089512 transcript=Brasy4G289400.1 locus=Brasy4G289400 ID=Brasy4G289400.1.v1.1 annot-version=v1.1 MSLRAPNAAQDPARRRADAVGWLREILPDSPLPPPSDASDADLHAALAGGRLLCALLRKICPGALLDDASTDNVGRFRAAVERMGVPTFSAFDLERGGQMSSVVACILSLKDRYGSRADEDRSFSFLTRCDSEGSRRHMEAKLQRVLTSPVMSEPSSPSLGADLYSPSGVFPMKQGGYTDLPGCKISDLMKSSSLDNAPTQSLLGVVNSIVDESIERKNGQIPYRIACLLRKVIVEIERRMSSQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGASAQAHMENDKLKDKGQQSEEDMARLMKCQEEIVRLMKENEGMVKLLREKEDMVRLLKEKEDMVRLLKEKEDMVDLKKVKVEEKQRIADEEKDRLLKQKDDTVVRLTKEKQEMVRLLREKEDMVSLLMAKEDMVDVKKVIVEETQRITDEGKYRLLKEKDDTIARLTKEKEEVVRLLKEKEDIIRLMKQNAHMDRPIEKEDTIKLENGSSKDKKQKTDDDNDQLIKENNTIVVRLTEEKEEMIRLLKEKEDIIRLMKDKEDMFMSMKEKEDKVDLKKCDVVNMKQSTDEDADKSIKENTDIISLMKEKEDSNNAIMKLKLELEALKSSHEEKIRLMKEKEDSNNTIMKLQLELEALKSSHEENCRLLESKKEDIVKLLADKEHNASIILQLRQDKSTKENNDIIRLMKEKQDDNNIIMKVKLELEALRSLYEDGCKLLQSKEADVVKLLADKEDSASLILQLRQEIEATKRLHETHSQQLEMRASQVKEELEQRIKEVELMLEDSIKRRRKVEELSKSRILFLEQKEIVVNQFVGLQIQHVQDLRLSSVSVRHEILNCQKRWLEELAGLGQNLKVVTNTAEKYHAALAENRKLFNEIQELKGNIRVYCRIRPFQPGEDERSSSVEYIGDNGELVLSNPTKQKEGSKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFNISNDRQDTITYELSVQMIEIYNELIRDLLGGGGVQKKIGIQNTIQPNGIAVPDATMCPVNSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNTSTSRSKFTKQADSQLKHSSSSPGMTSLVKASSVGSGAASDPDNFSDTSDRHSEAGSMLSIDPEVSGLGDLDSDGRLSDISDGGNSAGAEPDSSVSDVVDQGQEKTSGAAKERLTKAVSRVQKLTVPKASSLRPRPRDSPAPRPSAPTGARRSTTTQTTPTARASSTAKRGP* >Brasy4G289400.2.p pacid=40089513 transcript=Brasy4G289400.2 locus=Brasy4G289400 ID=Brasy4G289400.2.v1.1 annot-version=v1.1 MSLRAPNAAQDPARRRADAVGWLREILPDSPLPPPSDASDADLHAALAGGRLLCALLRKICPGALLDDASTDNVGRFRAAVERMGVPTFSAFDLERGGQMSSVVACILSLKDRYGSRADEDRSFSFLTRCDSEGSRRHMEAKLQRVLTSPVMSEPSSPSLGADLYSPSGVFPMKQGGYTDLPGCKISDLMKSSSLDNAPTQSLLGVVNSIVDESIERKNGQIPYRIACLLRKVIVEIERRMSSQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGASAQAHMENDKLKDKGQQSEEDMARLMKCQEEIVRLMKENEGMVKLLREKEDMVRLLKEKEDMVRLLKEKEDMVDLKKVKVEEKQRIADEEKDRLLKQKDDTVVRLTKEKQEMVRLLREKEDMVSLLMAKEDMVDVKKVIVEETQRITDEGKYRLLKEKDDTIARLTKEKEEVVRLLKEKEDIIRLMKQNAHMDRPIEKEDTIKLENGSSKDKKQKTDDDNDQLIKENNTIVVRLTEEKEEMIRLLKEKEDIIRLMKDKEDMFMSMKEKEDKVDLKKCDVVNMKQSTDEDADKSIKENTDIISLMKEKEDSNNAIMKLKLELEALKSSHEEKIRLMKEKEDSNNTIMKLQLELEALKSSHEENCRLLESKKEDIVKLLADKEHNASIILQLRQDKSTKENNDIIRLMKEKQDDNNIIMKVKLELEALRSLYEDGCKLLQSKEADVVKLLADKEDSASLILQLRQEIEATKRLHETHSQQLEMRASQVKEELEQRIKEVELMLEDSIKRRRKVEELSKSRILFLEQKEIVVNQFVGLQIQHVQDLRLSSVSVRHEILNCQKRWLEELAGLGQNLKVVTNTAEKYHAALAENRKLFNEIQELKGNIRVYCRIRPFQPGEDERSSSVEYIGDNGELVLSNPTKQKEGSKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFNISNDRQDTITYELSVQMIEIYNELIRDLLGGGGVQKKIGIQNTIQPNGIAVPDATMCPVNSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNTSTSRSKFTKQADSQLKHSSSSPGMTSLVKASSVGSGAASDPDNFSDTSDRHSEAGSMLSIDPEVSGLGDLDSDGRLSDISDGGNSAGAEPDSSVSDVVDQGQEKTSGAAKERLTKAVSRVQKLTVPKASSLRPRPRDSPAPRPSGDLPIFVLEDGRTN* >Brasy4G289400.3.p pacid=40089514 transcript=Brasy4G289400.3 locus=Brasy4G289400 ID=Brasy4G289400.3.v1.1 annot-version=v1.1 MSSQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGASAQAHMENDKLKDKGQQSEEDMARLMKCQEEIVRLMKENEGMVKLLREKEDMVRLLKEKEDMVRLLKEKEDMVDLKKVKVEEKQRIADEEKDRLLKQKDDTVVRLTKEKQEMVRLLREKEDMVSLLMAKEDMVDVKKVIVEETQRITDEGKYRLLKEKDDTIARLTKEKEEVVRLLKEKEDIIRLMKQNAHMDRPIEKEDTIKLENGSSKDKKQKTDDDNDQLIKENNTIVVRLTEEKEEMIRLLKEKEDIIRLMKDKEDMFMSMKEKEDKVDLKKCDVVNMKQSTDEDADKSIKENTDIISLMKEKEDSNNAIMKLKLELEALKSSHEEKIRLMKEKEDSNNTIMKLQLELEALKSSHEENCRLLESKKEDIVKLLADKEHNASIILQLRQDKSTKENNDIIRLMKEKQDDNNIIMKVKLELEALRSLYEDGCKLLQSKEADVVKLLADKEDSASLILQLRQEIEATKRLHETHSQQLEMRASQVKEELEQRIKEVELMLEDSIKRRRKVEELSKSRILFLEQKEIVVNQFVGLQIQHVQDLRLSSVSVRHEILNCQKRWLEELAGLGQNLKVVTNTAEKYHAALAENRKLFNEIQELKGNIRVYCRIRPFQPGEDERSSSVEYIGDNGELVLSNPTKQKEGSKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFNISNDRQDTITYELSVQMIEIYNELIRDLLGGGGVQKKIGIQNTIQPNGIAVPDATMCPVNSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNTSTSRSKFTKQADSQLKHSSSSPGMTSLVKASSVGSGAASDPDNFSDTSDRHSEAGSMLSIDPEVSGLGDLDSDGRLSDISDGGNSAGAEPDSSVSDVVDQGQEKTSGAAKERLTKAVSRVQKLTVPKASSLRPRPRDSPAPRPSAPTGARRSTTTQTTPTARASSTAKRGP* >Brasy4G289400.4.p pacid=40089515 transcript=Brasy4G289400.4 locus=Brasy4G289400 ID=Brasy4G289400.4.v1.1 annot-version=v1.1 MSSQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGASAQAHMENDKLKDKGQQSEEDMARLMKCQEEIVRLMKENEGMVKLLREKEDMVRLLKEKEDMVRLLKEKEDMVDLKKVKVEEKQRIADEEKDRLLKQKDDTVVRLTKEKQEMVRLLREKEDMVSLLMAKEDMVDVKKVIVEETQRITDEGKYRLLKEKDDTIARLTKEKEEVVRLLKEKEDIIRLMKQNAHMDRPIEKEDTIKLENGSSKDKKQKTDDDNDQLIKENNTIVVRLTEEKEEMIRLLKEKEDIIRLMKDKEDMFMSMKEKEDKVDLKKCDVVNMKQSTDEDADKSIKENTDIISLMKEKEDSNNAIMKLKLELEALKSSHEEKIRLMKEKEDSNNTIMKLQLELEALKSSHEENCRLLESKKEDIVKLLADKEHNASIILQLRQDKSTKENNDIIRLMKEKQDDNNIIMKVKLELEALRSLYEDGCKLLQSKEADVVKLLADKEDSASLILQLRQEIEATKRLHETHSQQLEMRASQVKEELEQRIKEVELMLEDSIKRRRKVEELSKSRILFLEQKEIVVNQFVGLQIQHVQDLRLSSVSVRHEILNCQKRWLEELAGLGQNLKVVTNTAEKYHAALAENRKLFNEIQELKGNIRVYCRIRPFQPGEDERSSSVEYIGDNGELVLSNPTKQKEGSKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFNISNDRQDTITYELSVQMIEIYNELIRDLLGGGGVQKKIGIQNTIQPNGIAVPDATMCPVNSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVKELMDQLSLLKDTISKKDDEIDRLQLLNTSTSRSKFTKQADSQLKHSSSSPGMTSLVKASSVGSGAASDPDNFSDTSDRHSEAGSMLSIDPEVSGLGDLDSDGRLSDISDGGNSAGAEPDSSVSDVVDQGQEKTSGAAKERLTKAVSRVQKLTVPKASSLRPRPRDSPAPRPSGDLPIFVLEDGRTN* >Brasy4G160400.1.p pacid=40089516 transcript=Brasy4G160400.1 locus=Brasy4G160400 ID=Brasy4G160400.1.v1.1 annot-version=v1.1 MARLAMSLVAVVLAAGCCAPASAAAYLSKLPVALDVTASPKPGQALHGGEDVFTVTWSLNATQPAGADAAYKSVKVSLCYAPVSQKEREWRKTNDDLKKDKTCQFKVAQQPYAAGSQGKVEYRVALDIPTATYYVRAYALDASGTQVAYGQTKLADAFDVVSITGVTTSIKVAAGVFSAFSVVSLAFFFFIENRKKNN* >Brasy4G369700.1.p pacid=40089517 transcript=Brasy4G369700.1 locus=Brasy4G369700 ID=Brasy4G369700.1.v1.1 annot-version=v1.1 MAKAQVAARFVTEVAPPQLVSIVRRRQQQKHRGAARSVLDTIAEDDREIQLSGAYSDQYLLQAATAAADAMAPSPKRPADASPAGTRTAGGFMRELSGCFSSSNRAVDGRQTAGGGGWGSRREHKLAGHGR* >Brasy4G180200.1.p pacid=40089518 transcript=Brasy4G180200.1 locus=Brasy4G180200 ID=Brasy4G180200.1.v1.1 annot-version=v1.1 MRFASANPDAALCLGPARRSSSSPPPSPAFWTVRSFPAKFLEKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRRAEKAEREARDLKGSMRKRMEFLDFD* >Brasy4G180200.2.p pacid=40089519 transcript=Brasy4G180200.2 locus=Brasy4G180200 ID=Brasy4G180200.2.v1.1 annot-version=v1.1 MSWAGPEELFLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRRAEKAEREARDLKGSMRKRMEFLDFD* >Brasy4G101000.1.p pacid=40089520 transcript=Brasy4G101000.1 locus=Brasy4G101000 ID=Brasy4G101000.1.v1.1 annot-version=v1.1 MSEGMLEDISYYIRVVSFCCSLNLLSLGVSGAAAAGLLKQN* >Brasy4G388300.1.p pacid=40089521 transcript=Brasy4G388300.1 locus=Brasy4G388300 ID=Brasy4G388300.1.v1.1 annot-version=v1.1 MELAAEEFGFGQAGGLRFPCREEEFLAIVADLDAARADRAESRHRRRSSGAGKLGAMLFAHARAQVVQT* >Brasy4G436100.1.p pacid=40089522 transcript=Brasy4G436100.1 locus=Brasy4G436100 ID=Brasy4G436100.1.v1.1 annot-version=v1.1 MNKANNHGSKSLSSYLTCKLVPSSSSRHAPASHDEPQTATCCTALQRGFPSSGVGPASSHPRFAPLRTPGSSTALMPQDQEEHYNPIQEEEEEEGEDQEKGQQQRMKKIITTPAVAAPTKTKKMSTPRAMVRKMVRKCKSSVADVDVSRIAAGHGHDDGDDDGGGDTPRLRRSGAVRRDWSFEDLRTGAPHKAA* >Brasy4G087800.1.p pacid=40089523 transcript=Brasy4G087800.1 locus=Brasy4G087800 ID=Brasy4G087800.1.v1.1 annot-version=v1.1 MGFFICLRWFRVFSRSFVCVLVGARFSPRLSPQRRPRLSPQRRSLPSISSQRRRLPSLRSTTTRDPAAGRRSTGSAETPLAPTDPVVPRGQRAAAPTAMMGARLRRRAVSPPCEAATVSSSQEKEQQKSPSASPFPLPCRPLEIRARTRGLQYETAEKWEWRCTYIHPGMIFCLGYILFSIKSIMLGVKTSDCPRRSRCRCSLRDE* >Brasy4G087800.2.p pacid=40089524 transcript=Brasy4G087800.2 locus=Brasy4G087800 ID=Brasy4G087800.2.v1.1 annot-version=v1.1 MGFFICLRWFRVFSRSFVCVLVGARFSPRLSPQRRPRLSPQRRSLPSISSQRRRLPSLRSTTTRDPAAGRRSTGSAETPLAPTDPVVPRGQRAAAPTAMMGARLRRRAVSPPCEAATVSSSQEKEQQKSPSASPFPLPCRPLEIRARTRGQCQVERFIDLDHAPTAVLTATGHMPSERKQRCMERCYIVSILLQGNGCIMQMIIP* >Brasy4G087800.3.p pacid=40089525 transcript=Brasy4G087800.3 locus=Brasy4G087800 ID=Brasy4G087800.3.v1.1 annot-version=v1.1 MGFFICLRWFRVFSRSFVCVLVGARFSPRLSPQRRPRLSPQRRSLPSISSQRRRLPSLRSTTTRDPAAGRRSTGSAETPLAPTDPVVPRGQRAAAPTAMMGARLRRRAVSPPCEAATVSSSQEKEQQKSPSASPFPLPCRPLEIRARTRGQCQVERFIDLDHAPTAVLTATGHMPSERKQRCMERCYIVSILLQVI* >Brasy4G087800.4.p pacid=40089526 transcript=Brasy4G087800.4 locus=Brasy4G087800 ID=Brasy4G087800.4.v1.1 annot-version=v1.1 MGFFICLRWFRVFSRSFVCVLVGARFSPRLSPQRRPRLSPQRRSLPSISSQRRRLPSLRSTTTRDPAAGRRSTGSAETPLAPTDPVVPRGQRAAAPTAMMGARLRRRAVSPPCEAATVSSSQEKEQQKSPSASPFPLPCRPLEIRARTRGKVLLFCWLLQGKFFQVCLFYVIKE* >Brasy4G372700.1.p pacid=40089527 transcript=Brasy4G372700.1 locus=Brasy4G372700 ID=Brasy4G372700.1.v1.1 annot-version=v1.1 MASQESPATPPPLRPTVFSLGDDLLREIFIRLPSLPSLLRVAFACRTFRDAVRSDPNFHRDFRARRPPPLLGLFLDHEGATMPYFVPIRSADRDLAAVVRGADFFFTRLPDEDAASPGWAITDCREGLILLVRGDTGESAVYNPLVGNLDITPVLPDELYHELESRGPGYLDCFILPSQEAGGPPRLFHTWQRESELRAMVFSCDSREWQIFPWSESVAPQLTESGRWLKVEGGTMLNGFAYWQHTDEAYMLVLNTATQEFSQMRLPDWLEESGDTYMVGETKNGQLCIVSPVGFGLYVWVWRANADGIYSWVLDNRFKMLNIVEVTKGTPEEHRELRLVAIIDGFVYFSTSETFYDPLSPAWFLSMCMETGQLGKLFQKKLELDIHPYVMAWPPGLLPQLEGA* >Brasy4G070700.1.p pacid=40089528 transcript=Brasy4G070700.1 locus=Brasy4G070700 ID=Brasy4G070700.1.v1.1 annot-version=v1.1 MTILPKRSTESRSSVRLVRPRPEAAAPPPARRTRPDEGPDVTVVPPPARRRKRALVPVSPPNVWAPPRRIAYNLRNRLVPDTSNRKPITQGNETKEELEHDLSCSFLEEPKHDKYWKAPECARVGLEQYNSRNQGDEYELVRAVNSNVFIFNGIWLHANFLAKRKSATSCVDLVPEYFFAELEFDGDDLLCASCTKIDSVVVDPKNSGYCEVCPKNIMHPADGYHGYWKPKRPSNRHAAGGI* >Brasy4G359500.1.p pacid=40089529 transcript=Brasy4G359500.1 locus=Brasy4G359500 ID=Brasy4G359500.1.v1.1 annot-version=v1.1 MGATESVPETSVHEFTVKDCNGKEVCLEMYKGKVLLIVNVASKCGFTETNYTQLTDLYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACQRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVINRYATATTPFAFEKDILKALEEVPNLQKDTPKASEEVPDLLKDTPKAPKAPEEE* >Brasy4G203600.1.p pacid=40089530 transcript=Brasy4G203600.1 locus=Brasy4G203600 ID=Brasy4G203600.1.v1.1 annot-version=v1.1 MEDSSVQVDVPPYFLCPISLEIMRDPVTLATGITYDRGSIEHWLFDKAAGGHGHATCPVTRQKLDTADELVEATPNHTLRRLIQAWCAMHAVERFPTPRPPVDACRVAALVDEGLQQHQELAALRELKAVAAESDRNRRLVESTPGALDFLVSVVKNHVGSTASTSKSAQDLLELDSPRSTSPAEDALGVIYSLKPSKKNLARIIERDSDFLDTTLVSVLRRPSYRSRTYGILLLKSLVSAMEPARLMSVRSEALLVEAVRVVSDRVSTKAVKAALQVLCRVCPWGRNRVKAVEAGAVTALVELLLDEGARHPTELAVAAIDHLCGCAEGRSELVAHPAGLAVVAKKAMRVSLAATESAVRAIHAVARHSPTPAVLQEMLAVGVVAKLLLVLQVDAGERARAKAKELLRAHARVWKDSPCLQAHLKAYYPS* >Brasy4G106300.1.p pacid=40089531 transcript=Brasy4G106300.1 locus=Brasy4G106300 ID=Brasy4G106300.1.v1.1 annot-version=v1.1 MKYPNKDMAKGADSRVAATRIARKMDGTSEDVAACIPEVVARSRPMKGATAIDGLRKSNAVFGRLRAMLKINADNVADPKVSDPKAVDPKVSYHKAVDEPIADAPSSTMPAGDAGVLSDVGVVTAPSSRPEKIVPPAVGSASKPVGIVSPAFGSAAKPVEIVSPAVGSAAKPVEVVSPAVGSVAKPVDIASPVVGSASRPVETASPADPAARPGPLNLGGATVTAHAGQEAAEGLVGLHTGMFPASLLFANPNAGQNTVGSAAKPVDIVSPVVGSASRPVEIASPADPAARPGPLNLGGATVTAHAGAARGDLIDKLLPTGQEAAEGLVGLHTGMFPASLLFANPNAGQNTTPVKTYTRTKAATQLGIDIDINKGAFVDLSTVDVSQEEIELFDIYDREYRKANAAALSGSAGPSILETPMKNISIAEGVECSATPASTIVPKRVVHPSKYVRGPYVTIKASVAENKLYKKVRRFGDGRKTSKSKDSDVCNARIIQCRATWIELGELAACVKPRGQLTSNVTEAAIEYIKLYDCPDDKVLMSYVVGKKISEGNVKHNVIKAAFSQEIGSKLTRKNLIMFPFIQTWVVGKDKVGHWYTISINTKQRMFEILDSLRGPDNDDLQSHSRVMLGHIKRAWKEHYGGAKLQIEDFTTQHIDVPKQNNLDDCGFYMLEFMRKWDGRVVPALEPDDIVELRKVLTYKMIVTQPFNEKKNAKDFIEGNTK* >Brasy4G227200.1.p pacid=40089532 transcript=Brasy4G227200.1 locus=Brasy4G227200 ID=Brasy4G227200.1.v1.1 annot-version=v1.1 MFVEGFKVESPHVRYGAGEIESEYRYDTTEVAPAPEGTGWVVRPKSVTYNFKTSTAVPKLGVMLVGWGGNNGTTLTAGVIANRLGISWATKEKVHKANYFGSLTQASAIRVGSYNGEEIYAPFKSLVPMVNPNDIMFGGWDISSMNLADAMGRAKVLDIDLQKQLRGYMESIVPLPGIYNPDFIAANQGSRANNVIKGTKKEQVEQIIKDIREFKEKNKVDKVVVLWTANTERYSDVVVGLNDTMDNLLASLDKNMAEISPSSMYAIACVTEGIPFINGSPQNTFVPGLIELAIKKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNGILYNPGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAQGQEKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEHK* >Brasy4G405800.1.p pacid=40089533 transcript=Brasy4G405800.1 locus=Brasy4G405800 ID=Brasy4G405800.1.v1.1 annot-version=v1.1 MTGTLLQPRILNSAPLNPHHQPHESPPRNAPPPSSCRRHAPPRVPTTATPRHEFRTPPWNGGIPAAVVFVARGGTKEESRRRRGGGGIPAAGSRPRPPPREAEVGASGSAPSSAPSLLIPKLEEVHELRARHHGTRARRMLGPPHEQRDGVVGEVYAVAEPDRTRLASSEFFQTLTIP* >Brasy4G220700.1.p pacid=40089534 transcript=Brasy4G220700.1 locus=Brasy4G220700 ID=Brasy4G220700.1.v1.1 annot-version=v1.1 MVSLRRRRLLGLCSGTASVDLPKPVEIEKHVEAAHSNVNPLSVHPLPLTEASDVLTESSNGSDSLKEEKNQYYPGKEIKRRKRHRRKQYVDQEPCIKRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKSELEKYTWDDFLAMTRNTINSKKQRKAGSLRRNKGEMFIGDTEMVNGGGSSHSDDGDVETSAS* >Brasy4G305100.1.p pacid=40089535 transcript=Brasy4G305100.1 locus=Brasy4G305100 ID=Brasy4G305100.1.v1.1 annot-version=v1.1 MIREEDCQLLVRRAHTIEDISVPALPYDPQDEQRRSGTKAAHIDTEGAIEATEPGGCAQQVMKLFGGAAAASTGRRGSTPPGVKEDTRPSVPIPRNPEENLNLAGGIAVPIELGGRGATRRRRETSLTKPTAVMPTREHDMMELGLQGVELTSRSSGEAVLQRESEATRTDGAGDPSAEGSRSAGRSRSKGPARSLESCQKEVVVPSPTTTDEMERKAGKTRLKTRQMWESDGETGAAMPMGPYQRRTQRVQGALAPERSLRTKRRRRAIFWRRRSFKPRPPSSFGLHAHSQATWQSPPEHRDAPFQNMARRGRSTTTPGRRMTLMEKVGSAESTASVRTILPPADSK* >Brasy4G218800.1.p pacid=40089536 transcript=Brasy4G218800.1 locus=Brasy4G218800 ID=Brasy4G218800.1.v1.1 annot-version=v1.1 MKEGCWKCSFMEKQASLFMLECPAVRQLSVPGSVPKRILIDLVNIEILYHYQ* >Brasy4G349900.1.p pacid=40089537 transcript=Brasy4G349900.1 locus=Brasy4G349900 ID=Brasy4G349900.1.v1.1 annot-version=v1.1 MAYVDHAFSISDEDDLVGGAIGGPRGAPVKEIAFAAALLAFGALGVVAGLLMAANQVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI* >Brasy4G019400.1.p pacid=40089538 transcript=Brasy4G019400.1 locus=Brasy4G019400 ID=Brasy4G019400.1.v1.1 annot-version=v1.1 MGYLPSLGSKAAHFVSDLTTVILNPVSEREPPHLPEVDEEQENPEDNKDSEQNSDIPDGPDTSSFRAFMVSFLSPSSSFKDSMEIIPEQEGEMGYPTLTPVGKATKGRTGLLSRGKHSIGKIINKAVRIGGFKQNPEPKFEKEVVNHAESVAPVLELDEPKEVNSLINLPAISEPSILLSDMMRSMLYSSLPVLAQGRSWVLLYSTWRHGISLSTLYRRSMLCPGYSLLVVGDKEGAIFGGLVESPLQPTSTKKYQGTNNCFVFTNLHSDPAIYRPTGANKYFTVCSADYLALGGGGHFALYLDSDLLTGSSSNSETFNNRCLSHSPDFAVKHVELWGFVYPSKYEEMLTICRTEKPGICRF* >Brasy4G159400.1.p pacid=40089539 transcript=Brasy4G159400.1 locus=Brasy4G159400 ID=Brasy4G159400.1.v1.1 annot-version=v1.1 MALAPTTAILRFVVSLLLLVGCLGTRPLPCSPATTQAPAMAPAPTMTPAPTMAPAPTMTAVVDGITAIYNFGDSISDTGNFIREGAVGLVEHTGEPPYGSAIGAATGRCSDGYLMIDFLADDLGLPLLSPYLDERGDFTHGANFAVTGATALDTASLASMGVGNMPHTNSSLSVQLQWFKDHLSSTTNSPSEARDRLASSLVMLGEIGGNDYNYAYATNRPRHQAAAAGDDDWPHSLYYKFYNIGQMITGAVEAMALVPHVVAAITGAARELLEMGATRMVIPGNFPLGCAPSYLSAVGAEQKASPASAYDGNGCLIGLNLFAQMHNVALQHGIRELRASYPGATIAYADYFSAYVRMLRGAASGLGFDAAAATKACCGAAGGGEYNFDMDRMCGAPGTTVCERPDEYLSWDGVHLTQRAYQVMNELLYHRGFAYPAPIDFPRT* >Brasy4G267700.1.p pacid=40089540 transcript=Brasy4G267700.1 locus=Brasy4G267700 ID=Brasy4G267700.1.v1.1 annot-version=v1.1 MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHMLQFPGNVPRPRLPILPTPMMPHGFPQQPGGPFARPPILPAPGAPGYPGAPTMPQPGGPPGSMPMQMAPLPRPPTLPPPASGAPGAPMSNNAAPPGPPPMYQQNQPPTAGPTSGAPPPAPAAPPQAAFSYSQPPEGSH* >Brasy4G016500.1.p pacid=40089541 transcript=Brasy4G016500.1 locus=Brasy4G016500 ID=Brasy4G016500.1.v1.1 annot-version=v1.1 MRCSAAARCRAAGLACPAALPDCPYICPYSYSHSPPQKGSREHVRRPDLLLGPFAKTTSRPVENPRPGTGCWAWCRESPLRFGWACNFLAEQPRRQSFSSGRPGGTGERETRGERSFVPFFLPARRLCNCSHSLGIIIMLPPPISSSSLSPMASSAPIPSPAAAARPSLRPLAVAPCNSSSSSGSASTSSCHALPAAAGRRGVLAMGGAGFLASAAALLGGAGSAGATRIEYYATVGERLCDMGFVKSGLGYCDVALGTGVQPQRGELINIHYTARFPDGTVFDSSYKRGRPLTMRIGAGKILRGLQQGIGGGGGVPPMLVGGKRKLMIPPILAYGPEPAGCFSGDCNIPGNSTLLYDILLVGIYK* >Brasy4G073900.1.p pacid=40089542 transcript=Brasy4G073900.1 locus=Brasy4G073900 ID=Brasy4G073900.1.v1.1 annot-version=v1.1 MRAVVIASPGGPEALEERQVEDLPAPGEGEVLVEVAAAGVNRADTVQRQGRYPPPPGASPYPGLECSGTILALGANVPARWAVGDQVCALLTGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSSGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFEARIKEETNGKGVDVILDNIGGSYLQRNLNSLAVDGRLFIIGLMGGAVTEVNLQAIFARRLTVQAAGLRNRSVANKAQIVSEVEKNVWPAVLSGKVKPVVYKTFPLSEAAEAHKLMESSSHIGKILLIP* >Brasy4G002700.1.p pacid=40089543 transcript=Brasy4G002700.1 locus=Brasy4G002700 ID=Brasy4G002700.1.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVSGGFFFPVLRCYMIECESTAATTVSLNTCTGLLQVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.5.p pacid=40089544 transcript=Brasy4G002700.5 locus=Brasy4G002700 ID=Brasy4G002700.5.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVSGGFFFPVLRCYMIECESTAATTVSLNTCTGLLQVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.4.p pacid=40089545 transcript=Brasy4G002700.4 locus=Brasy4G002700 ID=Brasy4G002700.4.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLSQYMYWFVAGGASSQVSNREASAVGFDQGAGTRLRDHHGAPLVHPHRVPGVVSVRVGVPDEDALQPGIQQRPADLEDPRRAQEGPGCAQQGVVVVG* >Brasy4G002700.6.p pacid=40089546 transcript=Brasy4G002700.6 locus=Brasy4G002700 ID=Brasy4G002700.6.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.7.p pacid=40089547 transcript=Brasy4G002700.7 locus=Brasy4G002700 ID=Brasy4G002700.7.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.8.p pacid=40089548 transcript=Brasy4G002700.8 locus=Brasy4G002700 ID=Brasy4G002700.8.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.9.p pacid=40089549 transcript=Brasy4G002700.9 locus=Brasy4G002700 ID=Brasy4G002700.9.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.10.p pacid=40089550 transcript=Brasy4G002700.10 locus=Brasy4G002700 ID=Brasy4G002700.10.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQKPEDEKEKEKIAAKFAQIWNLIITSFRAEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.11.p pacid=40089551 transcript=Brasy4G002700.11 locus=Brasy4G002700 ID=Brasy4G002700.11.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.12.p pacid=40089552 transcript=Brasy4G002700.12 locus=Brasy4G002700 ID=Brasy4G002700.12.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLVYCLSWVVIFLILAVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.2.p pacid=40089553 transcript=Brasy4G002700.2 locus=Brasy4G002700 ID=Brasy4G002700.2.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G002700.3.p pacid=40089554 transcript=Brasy4G002700.3 locus=Brasy4G002700 ID=Brasy4G002700.3.v1.1 annot-version=v1.1 MASSSGRRMGPGGEGGPSSASPASGGPAGRRILRTQTAGNLGESSFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMQYPEIQAAFHALRNTRGLPWPKEHEKKSDADLLDWLQAMFGFQTDSVSNQREHLILLLANMHIRQISKPDQQPKLDDGALDKVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAVEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFSLAPRDQMNEESRPAGSDHWMGKVNFVEIRSFWHIFRSFDRMWSFLIISLQAMVIIAWNGGTPSDIFDAGVLKQVLSIFITAAVLKLGQAILDIVLSWKARKSMPLVVKLRFILKLLSAAAWVVVLPVTYAYTLENPTGLARTIKSWLGDGRKQPSLYILAVVIYLAPNMLAATMFLFPVLRRALERSNLKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMKQPITTFRWHEFFPHAKNNIGIVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDRLIPNDSNKRRGLRSAFSSKSSQIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIINTLVVGRERLFIEKIFKVVDDHIEQDIVIKELHMSNLPTLSKKFIELLDILQKNNKEDQGQVIILFQDMLEVVTRDIMDDQLSGLLETVHGGNSRRHEGITPLDQQDQLFTKAIEFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVECKTEEELRETEQSEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREEDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSTERSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGKRFRHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFILMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYRLNITQHTKSLLTVSVGRRKFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQALKSAIVKLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKE* >Brasy4G322200.1.p pacid=40089555 transcript=Brasy4G322200.1 locus=Brasy4G322200 ID=Brasy4G322200.1.v1.1 annot-version=v1.1 MSVLALGAAATWPEAVVQCLLFIFAAGMLVALHSLPRRVALRLRRGAGGSSAAQSRRHFAQGAQLLARARAAAPKPPGPLARAAVAEADRAIALDPRDAAPLILKALALDLQGHRLPALRTLDLALAPLLARSLEPRERGDALAKRAEIALALNRRRRVDQAAADLAEAVRLSPENPRAHALLGECYERKGLAAEARKSFKTAASIDPTLATVRDGLHRTGGGSEGNESD* >Brasy4G322200.2.p pacid=40089556 transcript=Brasy4G322200.2 locus=Brasy4G322200 ID=Brasy4G322200.2.v1.1 annot-version=v1.1 MSVLALGAAATWPEAVVQCLLFIFAAGMLVALHSLPRRVALRLRRGAGGSSAAQSRRHFAQGAQLLARARAAAPKPPGPLARAAVAEADRAIALDPRDAAPLILKALALDLQGHRLPALRTLDLALAPLLARSLEPRERGDALAKRAEIALALNRRRRVDQAAADLAEAVRLSPENPRAHALLGECYERKGLAAEARKSFKTAASIDPTLATVRDGLHRTGGGSEGNESD* >Brasy4G298500.1.p pacid=40089557 transcript=Brasy4G298500.1 locus=Brasy4G298500 ID=Brasy4G298500.1.v1.1 annot-version=v1.1 MVIYVNTHAILDARRARARAAAAQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPIAYFYGHPNPSINPDVYKALMKELAQTLETQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLVLGQEKLWKMLKDAVQSKPNIDVVKLHKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGSGHQAPRSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEISSSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYESL* >Brasy4G298500.2.p pacid=40089558 transcript=Brasy4G298500.2 locus=Brasy4G298500 ID=Brasy4G298500.2.v1.1 annot-version=v1.1 MVIYVNTHAILDARRARARAAAAQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPIAYFYGHPNPSINPDVYKALMKELAQTLETQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLVLGQEKLWKMLKDAVQSKPNIDVVKLHKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGSGHQAPRSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEISSRKKLTYIAPCPGDLPSRLLIASSLTWYESL* >Brasy4G259800.1.p pacid=40089559 transcript=Brasy4G259800.1 locus=Brasy4G259800 ID=Brasy4G259800.1.v1.1 annot-version=v1.1 MHNIILLESGGVHESLSSLIPTVQALQGLKLRMQRNLV* >Brasy4G020200.1.p pacid=40089560 transcript=Brasy4G020200.1 locus=Brasy4G020200 ID=Brasy4G020200.1.v1.1 annot-version=v1.1 MARRSPCWPALLLLLHISLAAVGLSSAASVPPEACPVPTAVESILGPSDTCSPPDRRLGDPVGVIEGDEATLARAINLLHANKDDYIAILFYASWCPFSQECKPNFEALAHLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKPLAAFYSDVSGINASVKSTAEEATVHSLEDIEPKKDVEQENCPFWWARSPENILQQDTYLALATSFVILRLLYLLFPKIVSLAKQAWRRHTLFGNLMGVHEYFFTYLEQARQKFNRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR* >Brasy4G321100.1.p pacid=40089561 transcript=Brasy4G321100.1 locus=Brasy4G321100 ID=Brasy4G321100.1.v1.1 annot-version=v1.1 MAGEEGPARVFGGSGGGGGGGWASRSFRNRTNAKFDEPPSWIASAAALFAAAERSRSALLPSESPMTHLTFASTSSALTPSSSPYPLISTPSPIPSTTYTLFILCSAYSGQHSSGSPADALQRRVPAAVRHEGPRRPVPQHLQLQRPRLDYEPSAPGPAQEPGWEERAQVRYLRERVAGPVVGRVRRRAPHHPEESVAGLLQPEGAHAAEGEEHHAVVVRVRVQPPEAFVLFLLVVVVSASGGDQRPDAVDRRRGAAGDRFHGIDEDPVRLRHSFEHAQDGVERLALGRDIGGQVGRFERGNARERDRGGVGEVVYLGVHGGELAGQVEEDGEVAGGGREGVVGGQAELVRHVLRVGAEHVDDERVDGGLQRAERRVHGGQEMEHLHEVVRGRGLVVDVEGDGGVGGLCAGDRGGEGRGRGAVGRLDERDGDGEAVVEEHLGELDHGHQVAHAQAWVQDHRLLHREFTMLLLPRPAP* >Brasy4G256900.1.p pacid=40089562 transcript=Brasy4G256900.1 locus=Brasy4G256900 ID=Brasy4G256900.1.v1.1 annot-version=v1.1 MGRRRSESKTSSNKRPPSTASPPPSDGICDGDEEGGADGAADGRGPGERHGREQPPAPGPEPAVPVPLFLPPPRRPPRASICLPRPQPPPASSALALTSRRGNSSNTWRARMRERWVWRWIWNSGARRKALCLRTAPPRPRAAAAGGTRSRSWGRRTGSE* >Brasy4G387100.1.p pacid=40089563 transcript=Brasy4G387100.1 locus=Brasy4G387100 ID=Brasy4G387100.1.v1.1 annot-version=v1.1 MQGQPISSPYRRRSAWSGQGPADLGPTEQEDARTNGRRAEEEEEGSLTWIASDGRSSREGGRWVGRIRRGQVSRGAMGGRIWRRICSRGGGGRRKRVGERTGRGIFSDPVSCGVAAGGRRSGRRGRARGRPMGRRGTCARGQRIPWKEGKGRRVMMIGLIGLLVATGRRGSVAACCMGRLK* >Brasy4G222900.1.p pacid=40089564 transcript=Brasy4G222900.1 locus=Brasy4G222900 ID=Brasy4G222900.1.v1.1 annot-version=v1.1 MAEGDTKKMILLRSSDGEEFEVEEAVAKESQTILHMIEDDCADNGIPLPNVDAKILAKVIEYCKKHVAAADPSAADSNSTAAADLKEFDADFVKVDQAVLFDLILAANYLDIKGLLDLTCQTVADMIKGKTVEEIRTTFHIKNDFTPEEEAEIRKENQWAFE* >Brasy4G400700.1.p pacid=40089565 transcript=Brasy4G400700.1 locus=Brasy4G400700 ID=Brasy4G400700.1.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISRVSEGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEPKFLEQDSCLTFCSHKVDATGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDVSGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.2.p pacid=40089566 transcript=Brasy4G400700.2 locus=Brasy4G400700 ID=Brasy4G400700.2.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISREGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEPKFLEQDSCLTFCSHKVDATGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDVSGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.3.p pacid=40089567 transcript=Brasy4G400700.3 locus=Brasy4G400700 ID=Brasy4G400700.3.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISRVSEGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEPKFLEQDSCLTFCSHKVDATGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.4.p pacid=40089568 transcript=Brasy4G400700.4 locus=Brasy4G400700 ID=Brasy4G400700.4.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISREGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEPKFLEQDSCLTFCSHKVDATGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.5.p pacid=40089569 transcript=Brasy4G400700.5 locus=Brasy4G400700 ID=Brasy4G400700.5.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISRVSEGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDVSGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.6.p pacid=40089570 transcript=Brasy4G400700.6 locus=Brasy4G400700 ID=Brasy4G400700.6.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISREGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDVSGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.7.p pacid=40089571 transcript=Brasy4G400700.7 locus=Brasy4G400700 ID=Brasy4G400700.7.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISRVSEGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G400700.8.p pacid=40089572 transcript=Brasy4G400700.8 locus=Brasy4G400700 ID=Brasy4G400700.8.v1.1 annot-version=v1.1 MPGLPLPPAAQDAGNAGTGFGYPRSTDQTCRQQLRPRLSPDEQLAAEESFALYCKPVELYNIIQRRAVKNPAFLQRCLHYKIHARRKKRIQITVSLSRGTNAEWPEHNIFPLYVLLATPTSNISLEGHSPIYRFNQACLLTSFSEFGNKDHTKATFIIPNLKNLTTSRACDLNIILISREGQVGENIGENNSSGNHVEGSALQKLEGNCNWGKIPIDLLGSSLENCVTLSLGHTVELASTVSMSPSFLEGSYQLQVGISAQEVGARDMSESPYYSYSYSDVPPPMLPHVIRLRAGNVVFNYKYYNNTMQKTEVTEDFACPFCLVPCGSYKGLGCHLNSTHDLFHFEFWISEECQAVNVSLKTDAAWRTELVAEGVDPRHQTFSYCSKFKKRRRLATTAQKTSYVHPHIIDLDSPEDAQAVSEDDFGQKEDGISVAHASADAANSLHGSSLSPPAGNNLSPPAVLQFGKTRKLSMERSDPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTQDEKLIMHMWNSFVRKQRVLADGHIPWACEGFCQSHGQELVKNPPLLMCWRFFMIKLWNHSLLDARGMNTCNLILEAFQKESQSDPKKLD* >Brasy4G144800.1.p pacid=40089573 transcript=Brasy4G144800.1 locus=Brasy4G144800 ID=Brasy4G144800.1.v1.1 annot-version=v1.1 MDFRKHQPSGNATSMTMVPLDFPSQASEKINPVNQVMSADVPCEAPVDIDMRELYFLIMHFLSHGPFKRTIGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGVSLPLGYLNLVERYPHIGKDHLVKLLKQLMVSSCRPDSLIGGVSPNAADVPTLLGSNSFSLLASDRGSQDKETPRLPRYLRWPHIQAGQVHGLSLREIGGFTKNHRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGMPIAVLKGHTGVVTAIAFSPRAGAAFQLLSSSDDGTCRIWDARQSQQSPRIYTPKPPDVGPGKSGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSCSEDHDQPNHEMDVLSGHENDVNYVQFSGCVVSRSFSSESSHSTKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKIGRWTRAYHLKVPPPPMAPQPLRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGQFKLVDGKFSPDGTSLILTDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDANGNVIDQETQLIPYRRNIQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDDNSSEGEQEVLSGDSSGASYSSAEIDGENLSNTANLRRSRRKKKKSDVVTASGRRVKKRNLDEHDVATVSRPHRVRKSKTGRSSKRKRSPKSRGLRPQRRAAKNALTFFSRIGASTDDDEDGSESSLSDSELNTESTEAEQSARYGQLRNGRESNQYDSEDVTQPSHFSETRGNSGNSGKLVLRLPYRDLKTQFPSDSGQAEPSNRDNSVVSVASLKHRAVDPELAFVPESSSACKTALPAHGCQTATSDPHDFSFVHSNNTIKWGEVKVRSSKRCKFGGSSAGDMWPSSNNAVSQDVYESGCQKTPHEYGNDVQQTIGQNVQKSQHAIYLDSIHENNNTDVYGEGNLPVEERMTNSNNTNVEEVNNKECNQQFHSTSQSTFKVKFRSRGFRDGTSSSDKPKTTAAGSEMNSEHDKVSMLQDDDSATNQHRSSGFPVVSRSFQECTDKSTGLHDSKKLHFESAKTFGAVYQRSKHKKKMDSDSYGNGDSTSVSNDDGGYQPPDYSPVTPGTSSLRRSARRSCAYTDDSRARDAISHVKNSSHEASTSGRRIVTDVGEVIRGSTPKTAGLRSSRNKRESCKFPDTHLLEKKNHASSNSWLMLLEHEDIYRYIPQLGDEVMYLRQGHEEYLNSMRLSESGPWNRIIGLKAVEFCKIQELFYTTYRGSGESCCKLTLEFIDDTSSGFGKEFIITLQEPVNFPDFLVERTRFEAAIARNWAVRDKCKVWWEGGVEGGSWWEGRVYLIRPKSSDFPESPWEKYVIQYKNDGSDHPHSPWELHDSNDTLVPWKHPHIDYSIRDKLLSTVTGLQKTSQRNQDRYGVLKLDTVAGKSDFINRFPVQFSIEVIRARLYNDYYRTLEAVKHDATVMLANAESYFSKSAEMTKKIRKLSEWIEDNILSL* >Brasy4G230700.1.p pacid=40089574 transcript=Brasy4G230700.1 locus=Brasy4G230700 ID=Brasy4G230700.1.v1.1 annot-version=v1.1 MRGKLWMRCAPFLSKRYVSTCGTTSSLSPSSSCSSIQPWLFVGLGNPGEKYQSTRHNVGFDMIDAFAQSQSIPLTTHHFKALFGEGMVDGVPVLLAKPQTYINLSGESAAALAAYYKLPLHHVLVAYDDTDLPCGVLRLQPKGGSGRHNGLKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSGIKEGVDILKMVVTKGLTEAARVSNVNQKYKHLVSDDEQLY* >Brasy4G157600.1.p pacid=40089575 transcript=Brasy4G157600.1 locus=Brasy4G157600 ID=Brasy4G157600.1.v1.1 annot-version=v1.1 MMKRSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLETLWDDDARFLDAPRHRASVARSLLLECECKHGAENTLLRAGYGGWLLYTAASAGDMGFVQELLDRDRLLVFGEGEYGVTDMFYAAARGGDSVVFRMLLDHAMSPRCSTNCRDGDGANGGGGGGRASVFRLEMMSRAVHAAARGGSVQMLRELIDGSSDVSAYLDIRGSTVLHAAAGRGQLEVVKYLMASFDIINSTDNQGNTALHVAAYRGHLPVVQALVAASPSTLSAVNNAGETFLHSAIAGFRTPGFRRLDRQLELTKHLIRERTADIRKIINMRNDAGLTALHMAVVGCVHPDLVELLMTTPSIDLNVKDTGGMTPLSLLKEQLQSTTSERLIKQIVSAGGVLSSSVLRSRSAVVSQIKMRGGIAISPGTMFKISDAEIFLYSGIGTAGSRRASSCSSPGRCDPQDADANDEGGVNHGSSGKRLSSASRAKDRLKLMLRWPRHREKMSRTPRKPEDSSQLDSIKKLNEQAAAETPAPLRQAFTKTTALNNKRTLAVKSSAPSSATKKKLNMKLIHGIMEAMPHLASTSATRSPPNTLPRSSISSTPPSTKLKDICFEDEAPIMSGKLKDIVLDGNDGGVDDPSCSNSSFAGDDGVAGAARRNHGCGNGRLINICFGAQGLSVEDSASGQQTSKMFKQQCLRVS* >Brasy4G070200.1.p pacid=40089576 transcript=Brasy4G070200.1 locus=Brasy4G070200 ID=Brasy4G070200.1.v1.1 annot-version=v1.1 MVMSSNGNGKSLVSRRALQAAPPCNKAWALGFLSGVCAVYVLGAALPPVIQIPLTRSHVDSAVPAATATAATDGASAVLQRKTGASVMQLYNAWSASLSLNGTGDEGLGSSGTPRPPHLDDCRRNLERDRRFDGYGDDGAFPPWTLWKGALGLELFDRKFSGNAEQAFFRTSAKSDRPYPPWIVGSDEENYPLTRQVQRDIWVHQHPPNCSDPSLRFLVADWERLPGFGMGAQLAAMSGLLAIAIKERRILVTGYYNRADHDGCKGLSRSSWSCYFFPETSPDCRKRAFELLQSGDSWDNGMLKVKENYTSKQIWAGRIPRTWGEPWKFMQPTTDIHGKLITNHRKMGRRWWLAQVVRYLMRFHTEYTCRLLNVARHSAFGVQSAKLVLGDVQNDVDLPEDSNSGRTESDIERLVWSDHKPYVPRPLLSMHVRMGDKACEMAVAGFEKYMKLAARLRSRFPDLSNIWLSTEMQEVIDKTKLYPGWNFYFTNVTRQDANVTMAVYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW* >Brasy4G070200.3.p pacid=40089577 transcript=Brasy4G070200.3 locus=Brasy4G070200 ID=Brasy4G070200.3.v1.1 annot-version=v1.1 MVMSSNGNGKSLVSRRALQAAPPCNKAWALGFLSGVCAVYVLGAALPPVIQIPLTRSHVDSAVPAATATAATDGASAVLQRKTGASVMQLYNAWSASLSLNGTGDEGLGSSGTPRPPHLDDCRRNLERDRRFDGYGDDGAFPPWTLWKGALGLELFDRKFSGNAEQAFFRTSAKSDRPYPPWIVGSDEENYPLTRQVQRDIWVHQHPPNCSDPSLRFLVADWERLPGFGMGAQLAAMSGLLAIAIKERRILVTGYYNRADHDGCKGLSRSSWSCYFFPETSPDCRKRAFELLQSGDSWDNGMLKVKENYTSKQIWAGRIPRTWGEPWKFMQPTTDIHGKLITNHRKMGRRWWLAQVVRYLMRFHTEYTCRLLNVARHSAFGVQSAKLVLGDVQNDVDLPEDSNSGRTESDIERLVWSDHKPYVPRPLLSMHVRMGDKACEMAVAGFEKYMKLAARLRSRFPDLSNIWLSTEMQEVIDKTKLYPGWNFYFTNVTRQDANVTMAVYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW* >Brasy4G070200.2.p pacid=40089578 transcript=Brasy4G070200.2 locus=Brasy4G070200 ID=Brasy4G070200.2.v1.1 annot-version=v1.1 MVMSSNGNGKSLVSRRALQAAPPCNKAWALGFLSGVCAVYVLGAALPPVIQIPLTRSHVDSAVPAATATAATDGASAVLQRKTGASVMQLYNAWSASLSLNGTGDEGLGSSGTPRPPHLDDCRRNLERDRRFDGYGDDGAFPPWTLWKGALGLELFDRKFSGNAEQAFFRTSAKSDRPYPPWIVGSDEENYPLTRQVQRDIWVHQHPPNCSDPSLRFLVADWERLPGFGMGAQLAAMSGLLAIAIKERRILVTGYYNRADHDGCKGLSRSSWSCYFFPETSPDCRKRAFELLQSGDSWDNGMLKVKENYTSKQIWAGRIPRTWGEPWKFMQPTTDIHGKLITNHRKMGRRWWLAQVVRYLMRFHTEYTCRLLNVARHSAFGVQSAKLVLGDVQNDVDLPEDSNSGRTESDIERLVWSDHKPYVPRPLLSMHVRMGDKACEMAVAGFEKYMKLAARLRSRFPDLSNIWLSTEMQEVIDKTKLYPGWNFYFTNVTRQDANVTMAVYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW* >Brasy4G251600.1.p pacid=40089579 transcript=Brasy4G251600.1 locus=Brasy4G251600 ID=Brasy4G251600.1.v1.1 annot-version=v1.1 MKMLQFVMTDPDQVAELNQSGAEQHEGKEPVGKQAMPEGRLQPIGENYQEQASNVVTITEGLLTDKSDKQTNLVDHSLQHTEEQDKSHDIAEWNEMSSNDTSSGSDSDSSSGSELDTELGKSFYPSFEALENSRPPEVGMKFPTLEDAERFYSTHALLTGFAIRRGSNYRRKKFHILCNRSGKLKPIQHMQRKRKSNAMGSQCRAKVIVKLTNEQWEITAVRSEHNHPLCPRPSLTRFFLNHRYLSTEEKSFLRVLQQSRINPKKAMKIFRRMRSNFRNIPSKEKDMSNLQCSEQWRKENSDVETALKRFKELELRNLGFSYTMQTDEDNIVRSLFWTDARSRVDYEIFGDFVSFDTTYSTNRHNMPFAPIVGMNNHGRTLVFGCALLQDQKTETCKWMFQTFLHVMGGKMPRAIITDQDEGMSKAISEVMPQVKHKLCKFNVMQNAREKMEVFMAARGNMNAELHGLVDNSLTEQEFEDAWAELIEKYDAGENEHLRLMWETRKNWAPVYFRAHFYPFVESTGHHEGANLLFKENVLPKDRIEKFIEQYERIQENIVKTDEDDILQSGTEPAYFSYQPIEKHAAHIYTRQIFLRIQKELFYSTAFNVHEIQGGSVYRLEKVCNYENPEVDRNSFEVLVEAGTFAFKCQCAKFTRDGILCCHIFRVFTQLGINEIPAQYILPRWTLRFKEEQLKEYKDKCLKKTENTARYAMLMSKMADIGKGISVDGAKCSCFMHELDEIQERLATTSDIRRKSRK* >Brasy4G251600.2.p pacid=40089580 transcript=Brasy4G251600.2 locus=Brasy4G251600 ID=Brasy4G251600.2.v1.1 annot-version=v1.1 MTDPDQVAELNQSGAEQHEGKEPVGKQAMPEGRLQPIGENYQEQASNVVTITEGLLTDKSDKQTNLVDHSLQHTEEQDKSHDIAEWNEMSSNDTSSGSDSDSSSGSELDTELGKSFYPSFEALENSRPPEVGMKFPTLEDAERFYSTHALLTGFAIRRGSNYRRKKFHILCNRSGKLKPIQHMQRKRKSNAMGSQCRAKVIVKLTNEQWEITAVRSEHNHPLCPRPSLTRFFLNHRYLSTEEKSFLRVLQQSRINPKKAMKIFRRMRSNFRNIPSKEKDMSNLQCSEQWRKENSDVETALKRFKELELRNLGFSYTMQTDEDNIVRSLFWTDARSRVDYEIFGDFVSFDTTYSTNRHNMPFAPIVGMNNHGRTLVFGCALLQDQKTETCKWMFQTFLHVMGGKMPRAIITDQDEGMSKAISEVMPQVKHKLCKFNVMQNAREKMEVFMAARGNMNAELHGLVDNSLTEQEFEDAWAELIEKYDAGENEHLRLMWETRKNWAPVYFRAHFYPFVESTGHHEGANLLFKENVLPKDRIEKFIEQYERIQENIVKTDEDDILQSGTEPAYFSYQPIEKHAAHIYTRQIFLRIQKELFYSTAFNVHEIQGGSVYRLEKVCNYENPEVDRNSFEVLVEAGTFAFKCQCAKFTRDGILCCHIFRVFTQLGINEIPAQYILPRWTLRFKEEQLKEYKDKCLKKTENTARYAMLMSKMADIGKGISVDGAKCSCFMHELDEIQERLATTSDIRRKSRK* >Brasy4G251600.3.p pacid=40089581 transcript=Brasy4G251600.3 locus=Brasy4G251600 ID=Brasy4G251600.3.v1.1 annot-version=v1.1 MTDPDQVAELNQSGAEQHEGKEPVGKQAMPEGRLQPIGENYQEQASNVVTITEGLLTDKSDKQTNLVDHSLQHTEEQDKSHDIAEWNEMSSNDTSSGSDSDSSSGSELDTELGKSFYPSFEALENSRPPEVGMKFPTLEDAERFYSTHALLTGFAIRRGSNYRRKKFHILCNRSGKLKPIQHMQRKRKSNAMGSQCRAKVIVKLTNEQWEITAVRSEHNHPLCPRPSLTRFFLNHRYLSTEEKSFLRVLQQSRINPKKAMKIFRRMRSNFRNIPSKEKDMSNLQCSEQWRKENSDVETALKRFKELELRNLGFSYTMQTDEDNIVRSLFWTDARSRVDYEIFGDFVSFDTTYSTNRHNMPFAPIVGMNNHGRTLVFGCALLQDQKTETCKWMFQTFLHVMGGKMPRAIITDQDEGMSKAISEVMPQVKHKLCKFNVMQNAREKMEVFMAARGNMNAELHGLVDNSLTEQEFEDAWAELIEKYDAGENEHLRLMWETRKNWAPVYFRAHFYPFVESTGHHEGANLLFKENVLPKDRIEKFIEQYERIQENIVKTDEDDILQSGTEPAYFSYQPIEKHAAHIYTRQIFLRIQKELFYSTAFNVHEIQGGSVYRLEKVCNYENPEVDRNSFEVLVEAGTFAFKCQCAKFTRDGILCCHIFRVFTQLGINEIPAQYILPRWTLRFKEEQLKEYKDKCLKKTENTARYAMLMSKMADIGKGISVDGAKCSCFMHELDEIQERLATTSDIRRKSRK* >Brasy4G025100.1.p pacid=40089582 transcript=Brasy4G025100.1 locus=Brasy4G025100 ID=Brasy4G025100.1.v1.1 annot-version=v1.1 MAACEQQDAHASRKAAAVRTQHPQECRHPRKRQPVRHLGHILRRHHHQTAPRGVNNRTRRAGVKPTDVVLRCPDHIDAASSSSSSTRGPTGMSGGGAGITSGSASTAARSSSVKELTATRTAGAARPVCSVAGARVAAAHSSGRGRAWRQRGRASARRRSIGWGSAAGGRGSTRRPRGQRSRAAAVAPKGARGGSGGGPVRGGGGSATGAGQRSARAAAAGPGQREAMGGRGSRQRARRTASREREEKNSVAQEDGGMNVRYNIMDGSVD* >Brasy4G345300.1.p pacid=40089583 transcript=Brasy4G345300.1 locus=Brasy4G345300 ID=Brasy4G345300.1.v1.1 annot-version=v1.1 MAGGVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALEGASERLAMVQADLLDRASLRAAFAGCLGVIHTASPMHDTPEEIIEPVITGTRNVVEEAADAGVRRVVLSSTIGTMYMDPRRDPDKPLDDSCWSDLDYCKRTKNWYCYAKTIAERGAWEAARARGLDLAVVIPVVVLGEMLQPGMNTSTLHILKYLTGEAKAYVNESHAYVHVRDAAEAHVRVLLAPGAGGRRYVCAERTLHRGELCRILAGLFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGINFTPVHEYLYEAVKSLQEKGFIQKASDIKELGKRGSPPQKSSAPLLVSKL* >Brasy4G019500.1.p pacid=40089584 transcript=Brasy4G019500.1 locus=Brasy4G019500 ID=Brasy4G019500.1.v1.1 annot-version=v1.1 MRATEEHTATAPTHAAPPPQTEEDAEKERRRRRRPARRAKQQAAPPPPPVAAPQADMAGPRPSRSMPPPMRVGNRFDALAEPEPPAGTSRSCPLLPAPFPGAVAQARAPVVGMGVGGAPRRLYFPPYWPDQVVEEALQRGHVFTGKFRVNAHNRNEAYCTIDGIPVDVLMTGPAQNRAIEGDTVAITLDPVVQWTRMKGPNSACNPATGGVSVVREISETNGNHSLKKGQADTSCRFENCSNGLSVSDRMHNHHKNSGFSQAVKCENGHAIVPESYEDLNEGKTEAAIALQRICSVIYSHPSRRPTGKVVSVIKMSSRRGAVVGFLAPLSEFPDGEQHRNQMNVQGSKRMNHIASSFVTGLVHLLPTDPKFPPMIVSVSTLPDSIRQSLKEGNIAIEKELVAACIDEWNEESPFPWARVVKFLGKGGQVESHMDAILFENSISDAEFSPESMACLPDVCWKIPREEIVVRKDLRNVLTFTIDPPTASDLDDAISIEILPEGIVRVGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKVSMLPSRLSAELVSLNPGVDKLAFSVIWDIDPHGSIVNRWIGHTIIFSCCKLSYDLVQDLISSDVSQFGSTAASLQVHGMFEQGDIIKSLRCLYEITKNLKESRFKGGALSLDTAKPMILFDEDGAPCDSYRYKRNDACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNPRKLKEFEAFCARNGFELDSSSSGQLHLSISRMKEKLQNDPVMFDILMFYASKQMQSAEYFCTGDLISKKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEQMYLKQKKISIGRNGVKAKSCEMMDRCFTGLQFSKDAAESKEGREALSAAAKKFKFPSSDDLGEAAEHCNERKWASRRAEEAGQKLYMWALLKRNEILVSNARVLGLGPRFMSVYVPKLSMERRIYYDEVEGLSIEWLEATGTLVVDACRNKPAQRRGSQFKCSRAIEEVAVVVNPSELMLSEDKDESGATGTGDPTADSVLLSDDAVEAQVAPAVLPLVIRYLSDIPVVLHAIGGEDCQVDIGVRLYMSSYFK* >Brasy4G357500.1.p pacid=40089585 transcript=Brasy4G357500.1 locus=Brasy4G357500 ID=Brasy4G357500.1.v1.1 annot-version=v1.1 MKYKKGDGADEQPNDDGAMNGSRDAPPSIQLDIIEQHRGGGGGLGSGGGGSTSFFEPTWREDTPVSGGSGQGGSSRRRGGREPPEKRLTLFALRLAVLEKAASGLGTLAFAWATVVLLGGFASDLTNTDFWCVTVILVGEGARVFSRSHELEWQHHATLTSTAGGALRSSSRVLRRFVHALADPAAAAALGPDDTHARAAQFQRQVVLLMKQRTWHAPDVSLLPYTGWVFLSKRIGRVLNWLQVLSASACVALSVMRLWKHDYFGGENDKKDKDNMRPALLLFYAVALVEASLFLLEKLYWTWKVSVCKLLDQVSADCDLGAYGLVSLKRFFYDAYSRCISGSIFDGIKMDLVTFAEELILSDFLDEQLIGVRILQRFTNSKGSAGDTLRKVGTNPRSIERVVEMLNWKRPEEEEVRWCAAEVVSKLAGKRQNALRVSGIPGAIESVMSLLYTGRGAPASAGDPQALAAGAHGRSYDYLQFNLLGLRILKNLSRDHDNCGKIGNARGLLARIIGFTHASQPLLRNPLAPDSQVRAVKRALQVVKMLVYTTGATGKALRRDVSENVFTVSNLRGILQHGQQHMDLQKLAMDVLTGLAMDDRAKEMIVSTGGVVKLLLSISAANVSADECELGREAAETLAMLALESEAGCSAILKRDDVLDQLVSALKDDDVRSLNAARVLRSLCAYSGDEHRTRLSAVTKAMPAVLRGTMTGSDKTLEVCVGLTTQICRFIDGERFADELRAAGLDERAYVERLASVLRQYRYPEIRVPRMRRFLVQQAVWLVTSSSSARYVGLLRELGMERLLESVADTTSELECYHVFSGSVAIGKHRENFSAIVESALQLISRGDAAAAGGALAE* >Brasy4G365000.1.p pacid=40089586 transcript=Brasy4G365000.1 locus=Brasy4G365000 ID=Brasy4G365000.1.v1.1 annot-version=v1.1 MASSPLGGPVAFKDVFVDGHDAPPLPLPEEDEDVEALPSNPALGLRLYRGTWVVEPWVPGIARMRRFTARPGDVVLASSPKCGATWLKALAFATMARAAHPPDAGDHPLLRHSPHECVPFIEMLLAAGWGSKLDALPSPRLMATHMPYSVLPDCITRNSGCKIVYICRDPKDMVVSMWHFIRKIRPHVSFSDLFEHTCEGRSLCGPIWDHILGYWNASNHPIDISNESRPDPELTTMSPILFLRYEELLQDTVGNVRNLARFLGQPFSAAEEEYGTAEAIVELCSFDKLSSLEVNKTGDMGLHVKFSRQSYFRKGGVGDWSNHMTSEMAHRFDAVMLDNLSGSGLAFE* >Brasy4G017200.1.p pacid=40089587 transcript=Brasy4G017200.1 locus=Brasy4G017200 ID=Brasy4G017200.1.v1.1 annot-version=v1.1 MAGVPEAMEAAKQHSIRVIPGVEISAVCCSEPVHVLAYYGSWGPAKSHELEKVLGRIREGRYTRAKAMLSKLADLGMPLKFEDVCDIAGDGVAPGRVHVARAMVEAGYVESLKQAFSRYLFDGGPAYATGSEPTGESVVQLVRQTGGVAVLAHPWALKNLVPVVKDLKAAGLHAIEVYRSDGKVSVNCRIFPTTGLSDLADTYELLKLGGSDYHGRDDKEEPDVGSVDLPVLAFFRFLEVAQPIWRNAIKECFANTAKKIPGLTGSKGCPLTSAGKDICSMCLSSLDLKEADASEVEILQTELADIILSNSVCKLLTEQ* >Brasy4G017200.2.p pacid=40089588 transcript=Brasy4G017200.2 locus=Brasy4G017200 ID=Brasy4G017200.2.v1.1 annot-version=v1.1 MAGVPEAMEAAKQHSIRVIPGVEISAVCCSEPVHVLAYYGSWGPAKSHELEKVLGRIREGRYTRAKAMLSKLADLGMPLKFEDVCDIAGDGVAPGRVHVARAMVEAGYVESLKQAFSRYLFDGGPAYATGSEPTGESVVQLVRQTGGVAVLAHPWALKNLVPVVKDLKAAGLHAIEVYRSDGKVSGLSDLADTYELLKLGGSDYHGRDDKEEPDVGSVDLPVLAFFRFLEVAQPIWRNAIKECFANTAKKIPGLTGSKGCPLTSAGKDICSMCLSSLDLKEADASEVEILQTELADIILSNSVCKLLTEQ* >Brasy4G017200.3.p pacid=40089589 transcript=Brasy4G017200.3 locus=Brasy4G017200 ID=Brasy4G017200.3.v1.1 annot-version=v1.1 MAGVPEAMEAAKQHSIRVIPGVEISAVCCSEPVHVLAYYGSWGPAKSHELEKVLGRIREGRYTRAKAMLSKLADLGMPLKFEDVCDIAGDGVAPGRVHVARAMVEAGYVESLKQAFSRYLFDGGPAYATGSEPTGESVVQLVRQTGGVAVLAHPWALKNLVPVVKDLKAAGLHAIEVYRSDGKVSGLSDLADTYELLKLGGSDYHGRDDKEEPDVGSVDLPVLAFFRFLEVAQPIWRNAIKECFANTAKKIPGLTGSKGCPLTSAGKDICSMCLSSLDLKEADASEVEILQTELADIILSNSVCKLLTEQ* >Brasy4G276300.1.p pacid=40089590 transcript=Brasy4G276300.1 locus=Brasy4G276300 ID=Brasy4G276300.1.v1.1 annot-version=v1.1 MECKDVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVKSLLEKYHIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKCWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCAKYEKLVGEQFSLSDADYCVFHSPYNKLVQKSFARLYFNDFMRNCCSVDNDAKEKLQPFANLTSEESYQNRDLEKGSQQLAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLSGQRIVMFSYGSGLTSTMFSFRLNEGQHPFSLTNIARVLDVTAKLESRHVTSPEKFIATLKLMEHRYGAKDFETNKDTSLLPPGTFYLTKVDSMYRRFYEKKAAADDKTKCCNNGIANGH* >Brasy4G156000.1.p pacid=40089591 transcript=Brasy4G156000.1 locus=Brasy4G156000 ID=Brasy4G156000.1.v1.1 annot-version=v1.1 MATGVAPAPLPHVRVRDGGIGFTRSVDFARVLSVPGVLRTGSSRGRVLVVRSSSTESDTMELEPASEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVAMGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYEKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRNLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEAVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLLNLDELSSDAKNLLPEGTRFAVTLRGDESYEQLNVLKSVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNSPVIHHIDFPKSIDRDGLVIGAGSNVGALLVDGLGDGVLLEATNQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPAEE* >Brasy4G156000.2.p pacid=40089592 transcript=Brasy4G156000.2 locus=Brasy4G156000 ID=Brasy4G156000.2.v1.1 annot-version=v1.1 MATGVAPAPLPHVRVRDGGIGFTRSVDFARVLSVPGVLRTGSSRGRVLVVRSSSTESDTMELEPASEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVAMGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYEKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRNLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEAVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLLNLDELSSDAKNLLPEGTRFAVTLRGDESYEQLNVLKSVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNSPVIHHIDFPKSIDRDGLVIGAGSNVGALLVDGLGDGVLLEATNQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPAEE* >Brasy4G134800.1.p pacid=40089593 transcript=Brasy4G134800.1 locus=Brasy4G134800 ID=Brasy4G134800.1.v1.1 annot-version=v1.1 MPLRDAARAACASHIFLRSWRCRPNLTFRGETLGLNGRRHVIKREEMARDLISKVDHILKNHLGVGMKKLELYLYTCRKINSCYLDRWLHIAVTAGIEELVMSLSHDSEEAYNFPCSLLFNGSQNSIRYLHLACCAFRPTAELGCCRSLTSLFLSNVWIADQELEWLLSNSTALEKLTLMYCTEIVCLKIPCLLLRLSFLNVACCRKLQVIESNAPNISTFHFNGNLVQISLGSALLVKDARMYCIYESNMIQYARTKLLSWAPNVETLSITSNNEMISTPTLPSKFVHLKYLRFTLHEDEAISPAYDYLSLVSFLKASPCLETFIFQVIQQGMKHDSIIGDSSHLRQLPEHRHDKLKSVAIGGFCSAKSLVELTCHIVENATSLEFLLLDTTQGSFSSDGCSVDKPGKCVPMGRDILVDACRARLAIRTHIEGIIPSRVKLDVVEPCSRCHEVQHR* >Brasy4G272900.1.p pacid=40089594 transcript=Brasy4G272900.1 locus=Brasy4G272900 ID=Brasy4G272900.1.v1.1 annot-version=v1.1 MANSLLRRPAAKPSFSVLSNSTRWPSLDMLEGNQDDWLSKLPDDVLLNIVERLDIADTARTTTLSRRWKQIPAMLSKIVMTVGSFEPERHGRQKLTFEDAVRANTTMLEATRSILEIRSTGSPYTIHLMCMQFYLGDESIFIGQTVANTIATQKVGSVEFTILTKMRKNCTNDDLLTYGRQFMSFFDACPNTFGGLARLKLENLRLGESGFPKIFSICKQLEFLSLYHCDMGILSLMEVEHPQLCELEIELCSFERVELKWLPKLILLTVSAFSSRHDPLYSGYVPLLQSVSITNIALSWHKMLKLSELLGKAAISNLHLNFQSEKIWVKPEGPKQLLPVFYRLRFVSLINISGECDLNWTMFILQGAPILKELCITVRDHLCEMVRGKRRKLFPFIKEKDKGLEWETSESDFKNLNLAVLRIFEFQADDKFISYVRSVMKAAVNLEDVYLLHNNNSACKRCKHMIPKAWTLKQRLSLRKKINKGMNSLVGIHFPILEQDCFGTK* >Brasy4G340400.1.p pacid=40089595 transcript=Brasy4G340400.1 locus=Brasy4G340400 ID=Brasy4G340400.1.v1.1 annot-version=v1.1 MLHKLWCHLRKKKKVYSLWSQSILTTVIVLHFSENCRIQNLQTISWVQDRNKLQLRQTVFFFKFSRINFRICRGFYGFGM* >Brasy4G160300.1.p pacid=40089596 transcript=Brasy4G160300.1 locus=Brasy4G160300 ID=Brasy4G160300.1.v1.1 annot-version=v1.1 MECLAEMPHAPLDRRPRKRQRLGWDVGPDMTQIKFCGQEVANAISAATVGLSAGGIVSSQMAQELLRLATPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIEKYRDAAMIEIGMLEQLCKHEKSKSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNNYRSFPIAIVREVAKQLLECLAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRVPKSSAIKVIDFGSTTFDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPYHMLKRADRQAEKYVRKGRLNWPDGCASRESMKAVMKLPRLQNLVMENVDRSAGDFIDLLHGLLRYDPARRLTAQEALEHPFLTERSEQRK* >Brasy4G189000.1.p pacid=40089597 transcript=Brasy4G189000.1 locus=Brasy4G189000 ID=Brasy4G189000.1.v1.1 annot-version=v1.1 MSSEKENTYADAGNMPQTQIGPARLLHQPPGNQRAANLACLSKEMPQKLRAKEAVGRRSSGETAGRRSSGGVSQKHLGKATLPGMSLSGEMLQKQMGKVNASLMPQKHREEETMGACYSSGVSHKHLGKVVSPGMGLHREMLPKQRKKKVDMGLMPQNQGAKEMMCPPDQLRQHPSSIQGAVNITKAGVAREKHSRSSTSFPRKSATPQLKTRSSSRCSNSGARRPVDGHDHGNTATQESRESAAINIAKEADDAIQKLNELRLGEDISFEEYRGYLMLLPWDPHVDTSAKMDCAERFLMKIRHAKYRIRSYKLSQKVSKNDLHNTEMKVYCPMDLLDKEEFTPNFLMKMQFFTCLEDDGILDWFFHSDLCMLAGLNDYQRLVPQNHGDCEYADWDEYRNYFHSHEMQREYVEYFKILLRELKWLEKCLHFKPSSRIGDIICTRGIYQATKIATGFSKITGSLAYIGFYECLNYISNETTWCEGSDGLYFEIWKRVTQQEKSFRSALEEVYLLNKFPLRQDSMKFALEDDCSFMKSEFHTCTAGITKEDSEDKAQELITEAVKKLRTKPKFYDQYIRKKLDIAQSIGLISTD* >Brasy4G439000.1.p pacid=40089598 transcript=Brasy4G439000.1 locus=Brasy4G439000 ID=Brasy4G439000.1.v1.1 annot-version=v1.1 MAAAQQEQELPDPLLYEILVRLPPEDFHRCRCLSRFWAATLSSHDAIDHHLRLRGKIRLHYDDVMTSLVLDCCDCDYVDDDDTIHGAAGAEEEEEGLADWRQEYRRKGYVEVDEDFYEGRGEMSEEMAKLGWSEITPAADSGIYTVQYGALHPRIREPFLMQAEQQHHDDDDDIVVVKFVEKTEEEVDREIVAFLCKCRRMDPPALLLQQLHTFEYCPPPHHQQRQQEVGFQDDDDDHQDDYSSYSDDEDADGLFESNDACTSS* >Brasy4G165300.1.p pacid=40089599 transcript=Brasy4G165300.1 locus=Brasy4G165300 ID=Brasy4G165300.1.v1.1 annot-version=v1.1 MMDRRCCNRDGILSCPLCSLNCRETSNHLFFACPFSINCWLRVGINWAQLGNCSSIRDRVNKITTCVPISIHREVFLSAAWEIWKGRNDLIFNSISPSVGFWLIKLQLSLKSQSCRFSTGAKEALRAWLVSLASVP* >Brasy4G265700.1.p pacid=40089600 transcript=Brasy4G265700.1 locus=Brasy4G265700 ID=Brasy4G265700.1.v1.1 annot-version=v1.1 MLEGKALVEDTDMPARMQAAATSAASRALDLFDVADCRAIAAHIKTEFDKRYGVGWQCVVGANFGCFFTHSSGTFIYFSLERLTFLLFRAAAAAVAS* >Brasy4G302600.1.p pacid=40089601 transcript=Brasy4G302600.1 locus=Brasy4G302600 ID=Brasy4G302600.1.v1.1 annot-version=v1.1 MLLRRGSPLCAPQPPACGLCAGGGRPVSVPTRWDLLPRTCFLRAAENSGREISYQMKNSRWRPVFALETGGSPNTDGQDFDEDSGFLGRTRLGRLVQAAARQLLEKLNSARSNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPVSRPPGRFQSLIAMVNYWKAGVCLGLFVDAFKLGS* >Brasy4G201900.1.p pacid=40089602 transcript=Brasy4G201900.1 locus=Brasy4G201900 ID=Brasy4G201900.1.v1.1 annot-version=v1.1 MSDDVVWHCIRHGHCSFMAKITTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWQRIKLPKNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRLRMRKLQLKVREKIMTMPRKKTQCDLRRMEKAETAALLEKSITSELLERLKRNNDDEIPNVPFKPFEKLVDFDNNDMVPEYIEEHETEIEFFEGDGIEEMEDMEDFEDLSNGEDGDIDEDDLMGHPVAMKQKGPGSHSRLNIGRKSTKVITEVDEDGYASRMHRTLV* >Brasy4G320900.1.p pacid=40089603 transcript=Brasy4G320900.1 locus=Brasy4G320900 ID=Brasy4G320900.1.v1.1 annot-version=v1.1 MEFGLVSSVSAVLFVLSAAYLYYTTRSSSRSPRRLPPSPPGYPVIGHLHLLTDMPHHALAELAKTMRAPLLALRLGSIPSIVISKPDLARAALTTNDAAMASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRGKELRRLLAHLTKNTAPGTPVDLSECFLNLANDVLCRVAFGRRFPHGKDDKLAAVLAEAQDLFAGFTIGDFFPQLEPVASTVTGLRRRLKSCLADLREVCDEIVEEHINGTHERVPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILRKAQEEVRRVVGGKGRVDESDLGELHYMRAIIKETFRLHPAVPLLVPRETVAACTLGGFDIAPKTRVFINTFAMGRDPEIWESPLEYSPERFESAAGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPEGVKAEDVSLEESFGLATRKKEPLFVAVRKSDVYQFKGEELSEV* >Brasy4G355300.1.p pacid=40089604 transcript=Brasy4G355300.1 locus=Brasy4G355300 ID=Brasy4G355300.1.v1.1 annot-version=v1.1 MKCRRTGNNEGWVGEEVLLRLKAPEFLQGDPRPFVDLVFVLDVSGSMGWDNKFEKMVDTMKRIFDRWITYRYSVGIVTFSDKGNMVCDITPMTGPKKKEDMMNIIRNLHIGGDTNIEDGLIKAVNLINQKKEPSRVGMIALLSDGDENIGKAANVRVENVRVETFGFGTKHNAKVLSDISDASQDGGYHSAGVNVDVLATALSPAFFVSARNTIRDLELTLEQEPAMSIGFGHNPITKRNDSRKHKTLHLATKIIHIGSLFRGQVFRVLASWPQTGTVTAIKVTWIYKSYEPTTLKLVEMRPPPLVIIPGPQVATSAHQPRSLAASAPVAAAPLRLAIPVEKKIRTERTRLNHAVAIKEAKELADAGRLEDAMEKLGDAMVTLDAVRRLPDAEVSKQTINYLYTELEELMTRMETPEMYEQEGLPYALTCISSHDRQCPMARTGVSTVRLFCTPLMGEYLDQKNRASTA* >Brasy4G279100.1.p pacid=40089605 transcript=Brasy4G279100.1 locus=Brasy4G279100 ID=Brasy4G279100.1.v1.1 annot-version=v1.1 MAAMRITLLALAVLGTASAATYNVGEPGGAWDLRTDYGSWVSSKKFHPGDAIVFKYSPAQHDVLEVNKADYDSCNTNSPIVTLTTGNDVVALSSAGTRYFICGFPGHCTTSGTGLMKVKIDVTPGSSSNSPAPAAGPGASNSPPPPPSSAASSVGGATAGFGLAVLLLAGLLA* >Brasy4G408500.1.p pacid=40089606 transcript=Brasy4G408500.1 locus=Brasy4G408500 ID=Brasy4G408500.1.v1.1 annot-version=v1.1 MAHPKRPTVGAQLPLTQATVGFARYVCFGDTGCCWSMGSSEYSRRHARVLSRLISGSIWRTEMEPAHSGI >Brasy4G213200.1.p pacid=40089607 transcript=Brasy4G213200.1 locus=Brasy4G213200 ID=Brasy4G213200.1.v1.1 annot-version=v1.1 MASSSRSFFLLALVALSGVADLAAAAARPPVDTAEIHGPGKNLPAVTSASGSTGVDEQHAVDAAEEELSAAVRRAAASASAAQLDGLFNCMIGCITTVMNCAFGCMSKGPDLPLCVISCNQKNIVCMIRCAMTPSPPGPKPPAPKPSPPKPPAPAPPPPPAPPAYAVAHGTETST* >Brasy4G024900.1.p pacid=40089608 transcript=Brasy4G024900.1 locus=Brasy4G024900 ID=Brasy4G024900.1.v1.1 annot-version=v1.1 MERVALLRTSGRRILQRCGRPRPFVPAASSGPRRRSISSSSSFSSRGSPALPSRSRLLATAAPLHCAGRYWPPYAPGLARRLSVPAVSTSPSPVPHDTDDVHEYAAKLGFEKVSEQVIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNNPEEEISYKGVVFNEMKGVYSQPDNIMGRVSQQALSPDNTYGVDSGGDPNEIPKLTFEEFKDFHSRFYHPSNARIWFYGDDDTKERLRILSEYLDLFEASPAPNESKVMPQRLFKEPVRIAEKYPAGQEGDLKKKYMVCTNWLLSEEPLDVETELALGFLNHLLLGTPASPLKRILLESGLGEAIVGGGVEDELLQPQFSIGLKGVSEDNIEKVEELVMQILKNLAEEGFASEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLQQLKARIAEEGSKAVFSPLIEKYILKNAHRVTVEMQPDPEKASRDEAAEKEILKQVKSSMTQEDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVVYSEVLFDMSSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPLTSSIKGKEDPLTRIVVRGKAMSTRVEDLFHLMNCLLQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIGEQMGGVSYLEYLRDLETKIDQEWDKISAALEEMRKSLFSKEGCLINITSDSKNLEKSGQHIAKFLDSLPSAPSLETEPWLSRLPSTNEAICIPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVLSYLSYRDPNLLKTLEVYDGTSKFLKELEIDNDALTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILATSVKDFKEFADAVGTVNDNGVVVAVASPDDVEAANKEKAIFSDIKNCL* >Brasy4G282000.1.p pacid=40089609 transcript=Brasy4G282000.1 locus=Brasy4G282000 ID=Brasy4G282000.1.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLVRSQLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQIQERAILKDCQVGAGYTVTASSDHKAESLARK* >Brasy4G282000.2.p pacid=40089610 transcript=Brasy4G282000.2 locus=Brasy4G282000 ID=Brasy4G282000.2.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQIQERAILKDCQVGAGYTVTASSDHKAESLARK* >Brasy4G282000.5.p pacid=40089611 transcript=Brasy4G282000.5 locus=Brasy4G282000 ID=Brasy4G282000.5.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLVRSQLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKVVSC* >Brasy4G282000.6.p pacid=40089612 transcript=Brasy4G282000.6 locus=Brasy4G282000 ID=Brasy4G282000.6.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLVRSQLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKVVSC* >Brasy4G282000.3.p pacid=40089613 transcript=Brasy4G282000.3 locus=Brasy4G282000 ID=Brasy4G282000.3.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKVVSC* >Brasy4G282000.4.p pacid=40089614 transcript=Brasy4G282000.4 locus=Brasy4G282000 ID=Brasy4G282000.4.v1.1 annot-version=v1.1 MLAARNPFRKTMNSHSAVGKKKDDPQTAQTWSPVFSVWAGLKHETCQTCSDLTGVVTLIRLSRCCCLLPPEMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLEASDLKDIIMVVEGQEAARLVRAFVSSTYLDRLLVEVVAVPEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTAVLCYVPVSGPSDVPSGGKDKAKKPNRLNIVGLDMTRQFLLHIISGTDVEKDVRIYKKKIQAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLISAPSGGEGTIVDETGNGVVPSNSNLQCLSQHRAIAPSAFKQEFLSRSGGGTRRCCVHIASKSKYCHRLNSIQAYCDINRDVVGEASHLSGYSFSTHNNIVHLSCVLGSKTTIGPQCMLAEGSQLGDKCSIKRSVIGRHCRIGSNVKVVSC* >Brasy4G232100.1.p pacid=40089615 transcript=Brasy4G232100.1 locus=Brasy4G232100 ID=Brasy4G232100.1.v1.1 annot-version=v1.1 MKKLYQPGKGRRVHPAPAPAADVALAALLPAAVMALAAALTAEEQEVLAYLLSGSCGGAAGARRSSRRQHGPHPPEMGCGCFGCYKSFWARWDASPNRHLIHRIIDAVEEGAGNGGGGGAGASGPARRNRRRRRRRGRGAAAGEENNPAEADVSVGHRHGCASDSGDYEGDEDDEADSVYGDEGEGTMSDDSSDCASSPAAGNAEKSTVGKLVRFIGEKVWGAWT* >Brasy4G338900.1.p pacid=40089616 transcript=Brasy4G338900.1 locus=Brasy4G338900 ID=Brasy4G338900.1.v1.1 annot-version=v1.1 MGAEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDASILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATAAWEGEAVSDDSGSGGESEQPRPCKRASPGPGPESPTGSDRSELSHGSGQVFRPVPRAGGFDAIISADVIRPPPPRPEEDPLTSLSLSLPGLDQGFHHDSARSHFQELSPSPRSPSPPPPAQPAYPFSGDLVAAMQEMIRAEVRKYMSGVGLPAGCGAGAVGEACIPQLVEGVMRAAAERVGGVVTRQ* >Brasy4G072800.1.p pacid=40089617 transcript=Brasy4G072800.1 locus=Brasy4G072800 ID=Brasy4G072800.1.v1.1 annot-version=v1.1 MKKVFDQTVRDLKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYQMIMNIVWKRVSDTGKNWRHVYKGLTVLDYLVAHGTERVIDDIREHAYQISALAEFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERVQEVRQKALATRDKYRSAFATSGTHRSPGRYDNDRYEGSNGSRYDNRNGYGREREYGYRDDDRYSGAGDTPNREGDRYSRDSNERNREDEYRGSNSNPEYAEGSGRRSYGRERDSYDNDETYSSRGRGSNADVPTQDESRPVEQKSPSQQIASPPPSYEDVAGVAKGNLHDERNGASVPAAAAPKVPSPSIPRTSLPPEQVNGVHDTPVEDVPAQPPAHAELNGFDEFDPRGSVPDASPPANPSPAVNSLEMDLFGPDPISSLALVSVPQPTAAPNVEPMANSGFETNSFMGMPPASTGFSEAIDASNPFGDPTPFKAVHEENHAGSQANAAPAGSFQANGPGADANPFQPASAASFGFEDTLGDLTFASNVAPGQQDIFASTTSLPFGVSQANPSAFPQQAGPTHVPPQTSQPITHVAPSQAVASNTHAASMFAHPQANPAVTNPSSFPQPVATPFAPSQAPQPAAPNPPGPSNYFMQPASGTGFDTMSGIPSQNGAPSYIPPQSAQLAPPVNQLPSQQSFLSQTATSAPQTASISRGASQPFVAPNSVPSGSNYPLHSSSSAPPETIISALQVSPTEPVKKFEPKSTVWSDTLTRGLVNFNISGPKTNPHADIGVDFDSINRKEKRQEKKTSQVPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGVGYGGGMGMNRPMGMGMGMNQQMGMNQQAMGMGMNQQPMGMGMGMNQQPMGMGMNQQPMGMGMGQQMGMNMGMGMRPPMGMAPGGMPGAGYNQMGAGYGGQQQYGGYR* >Brasy4G072800.3.p pacid=40089618 transcript=Brasy4G072800.3 locus=Brasy4G072800 ID=Brasy4G072800.3.v1.1 annot-version=v1.1 MKKVFDQTVRDLKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYQMIMNIVWKRVSDTGKNWRHVYKGLTVLDYLVAHGTERVIDDIREHAYQISALAEFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERVQEVRQKALATRDKYRSAFATSGTHRSPGRYDNDRYEGSNGSRYDNRNGYGREREYGYRDDDRYSGAGDTPNREGDRYSRDSNERNREDEYRGSNSNPEYAEGSGRRSYGRERDSYDNDETYSSRGRGSNADVPTQDESRPVEQKSPSQQIASPPPSYEDVAGVAKGNLHDERNGASVPAAAAPKVPSPSIPRTSLPPEQVNGVHDTPVEDVPAQPPAHAELNGFDEFDPRGSVPDASPPANPSPAVNSLEMDLFGPDPISSLALVSVPQPTAAPNVEPMANSGFETNSFMGMPPASTGFSEAIDASNPFGDPTPFKAVHEENHAGSQANAAPAGSFQANGPGADANPFQPASAASFGFEDTLGDLTFASNVAPGQQDIFASTTSLPFGVSQANPSAFPQQAGPTHVPPQTSQPITHVAPSQAVASNTHAASMFAHPQANPAVTNPSSFPQPVATPFAPSQAPQPAAPNPPGPSNYFMQPASGTGFDTMSGIPSQNGAPSYIPPQSAQLAPPVNQLPSQQSFLSQTATSAPQTASISRGASQPFVAPNSVPSGSNYPLHSSSSAPPETIISALQVSPTEPVKKFEPKSTVWSDTLTRGLVNFNISGPKTNPHADIGVDFDSINRKEKRQEKKTSQVPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGVGYGGGMGMNRPMGMGMGMNQQMGMNQQAMGMGMNQQPMGMGMGMNQQPMGMGMNQQPMGMGMGQQMGMNMGMGMRPPMGMAPGGMPGAGYNQMGAGYGGQQQYGGYR* >Brasy4G072800.4.p pacid=40089619 transcript=Brasy4G072800.4 locus=Brasy4G072800 ID=Brasy4G072800.4.v1.1 annot-version=v1.1 MKKVFDQTVRDLKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYQMIMNIVWKRVSDTGKNWRHVYKGLTVLDYLVAHGTERVIDDIREHAYQISALAEFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERVQEVRQKALATRDKYRSAFATSGTHRSPGRYDNDRYEGSNGSRYDNRNGYGREREYGYRDDDRYSGAGDTPNREGDRYSRDSNERNREDEYRGSNSNPEYAEGSGRRSYGRERDSYDNDETYSSRGRGSNADVPTQDERPVEQKSPSQQIASPPPSYEDVAGVAKGNLHDERNGASVPAAAAPKVPSPSIPRTSLPPEQVNGVHDTPVEDVPAQPPAHAELNGFDEFDPRGSVPDASPPANPSPAVNSLEMDLFGPDPISSLALVSVPQPTAAPNVEPMANSGFETNSFMGMPPASTGFSEAIDASNPFGDPTPFKAVHEENHAGSQANAAPAGSFQANGPGADANPFQPASAASFGFEDTLGDLTFASNVAPGQQDIFASTTSLPFGVSQANPSAFPQQAGPTHVPPQTSQPITHVAPSQAVASNTHAASMFAHPQANPAVTNPSSFPQPVATPFAPSQAPQPAAPNPPGPSNYFMQPASGTGFDTMSGIPSQNGAPSYIPPQSAQLAPPVNQLPSQQSFLSQTATSAPQTASISRGASQPFVAPNSVPSGSNYPLHSSSSAPPETIISALQVSPTEPVKKFEPKSTVWSDTLTRGLVNFNISGPKTNPHADIGVDFDSINRKEKRQEKKTSQVPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGVGYGGGMGMNRPMGMGMGMNQQMGMNQQAMGMGMNQQPMGMGMGMNQQPMGMGMNQQPMGMGMGQQMGMNMGMGMRPPMGMAPGGMPGAGYNQMGAGYGGQQQYGGYR* >Brasy4G072800.2.p pacid=40089620 transcript=Brasy4G072800.2 locus=Brasy4G072800 ID=Brasy4G072800.2.v1.1 annot-version=v1.1 MKKVFDQTVRDLKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYQMIMNIVWKRVSDTGKNWRHVYKGLTVLDYLVAHGTERVIDDIREHAYQISALAEFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERVQEVRQKALATRDKYRSAFATSGTHRSPGRYDNDRYEGSNGSRYDNRNGYGREREYGYRDDDRYSGAGDTPNREGDRYSRDSNERNREDEYRGSNSNPEYAEGSGRRSYGRERDSYDNDETYSSRGRGSNADVPTQDERPVEQKSPSQQIASPPPSYEDVAGVAKGNLHDERNGASVPAAAAPKVPSPSIPRTSLPPEQVNGVHDTPVEDVPAQPPAHAELNGFDEFDPRGSVPDASPPANPSPAVNSLEMDLFGPDPISSLALVSVPQPTAAPNVEPMANSGFETNSFMGMPPASTGFSEAIDASNPFGDPTPFKAVHEENHAGSQANAAPAGSFQANGPGADANPFQPASAASFGFEDTLGDLTFASNVAPGQQDIFASTTSLPFGVSQANPSAFPQQAGPTHVPPQTSQPITHVAPSQAVASNTHAASMFAHPQANPAVTNPSSFPQPVATPFAPSQAPQPAAPNPPGPSNYFMQPASGTGFDTMSGIPSQNGAPSYIPPQSAQLAPPVNQLPSQQSFLSQTATSAPQTASISRGASQPFVAPNSVPSGSNYPLHSSSSAPPETIISALQVSPTEPVKKFEPKSTVWSDTLTRGLVNFNISGPKTNPHADIGVDFDSINRKEKRQEKKTSQVPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGVGYGGGMGMNRPMGMGMGMNQQMGMNQQAMGMGMNQQPMGMGMGMNQQPMGMGMNQQPMGMGMGQQMGMNMGMGMRPPMGMAPGGMPGAGYNQMGAGYGGQQQYGGYR* >Brasy4G236100.1.p pacid=40089621 transcript=Brasy4G236100.1 locus=Brasy4G236100 ID=Brasy4G236100.1.v1.1 annot-version=v1.1 MVTEQSSAASGPGPDYSYTIGYALPPSKVESVIQPSLVSLAAERGMRLVAVDASRPLAEQGPLDLLIHKRYDKPWRAQLEAFSALHPSVPVVDPPAAILRLVDRLAMLDVVSELHPVAVNSAAGAPAAEYCLSVPNQVAVHDAAALASYGADQDDHPLGALRFPLIAKPLAVDGSAGSHAMSLVYRREGLRGVQAPVVLQEFVNHGGVLFKVYVVGGRATCVRRRSLPDVPADRLLDLGQDASVPFANISNLPPAVDSTTAAPGGADGKGDSICGDNDVEMPPACFVDEVSRGLRRALGLNLFNFDLIRATELDGDGRRRYFIIDINYFPGYAKMPGYETALTDFFSEMLRARPPVDDAHLGRASSIDSIAAHVPGIDLVAEAEPAAQTRA* >Brasy4G420900.1.p pacid=40089622 transcript=Brasy4G420900.1 locus=Brasy4G420900 ID=Brasy4G420900.1.v1.1 annot-version=v1.1 MYSRCGRFEGAVGVFDEMDLKDEVTWGSMLHGYIKCVGVDSALSFFDQMPMKSVVSWTALITGHVQAKNPIRALELFGRMVLEGHRPTHFTIVGVLSACADIGALDLGRVIHGYGSKFNVSKNIIVSNALMDMYAKSGSIEMAFAVFEEVPLKDAFTWTTMISSFTVQGDGCKALELFGHMLRSGIVPNSVTFVSVLSACSHAGLIQHGKELFDKMRGTYNINPQLEHYGCMVDLLGRGGLLEEAEALIYDMDLEPDVVIWRSLLSACLVHGNDRLAEIAGKEIIKREPGDDGVYVLLWNIYASSDKWKEALDMRNQMLTRKVFKKPGCSWIEVDGGVHEFLVEDRTHAARREIYETLNEINRHLKMDLRVFADGELLF* >Brasy4G196200.1.p pacid=40089623 transcript=Brasy4G196200.1 locus=Brasy4G196200 ID=Brasy4G196200.1.v1.1 annot-version=v1.1 MNMMIREKFTQCLKRWVYLLQGDKTLGDLLCHAIRLIDFCRVVNCMNSLIIYFMPPTIMVLLGISKF* >Brasy4G397100.1.p pacid=40089624 transcript=Brasy4G397100.1 locus=Brasy4G397100 ID=Brasy4G397100.1.v1.1 annot-version=v1.1 MIKIACGSSLKKKERLSLVGRLNHRRGSSRCGWAPSRLEKSSFSILGIMLIVSREFY* >Brasy4G116100.1.p pacid=40089625 transcript=Brasy4G116100.1 locus=Brasy4G116100 ID=Brasy4G116100.1.v1.1 annot-version=v1.1 MARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVVAVGASGSAAAAAAAETEKMSLAGSTPAESPLHYHFHHPGAAVHHSRESSTGRLLFSDQLRSGGGGGGAAGAVPWRRLGHGGSGAGSVGDDDDDEVGARGAGWSQSPWRFYALGGVAFVGVFAFFLLVLWGASKSYKPHVDVKVSCPLPFLLPLSSENPPFAAPPSVVFESYHIQSGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTATPFLIFFDDLTVASGNMKEFYQPRKSGRVVTVSVAGKLVPLYGAGSSLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLVRSKFYRRVLCRLDVREAHLGKPVRGVAADCEYHDGR* >Brasy4G116100.2.p pacid=40089626 transcript=Brasy4G116100.2 locus=Brasy4G116100 ID=Brasy4G116100.2.v1.1 annot-version=v1.1 MARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVVAVGASGSAAAAAAAETEKMSLAGSTPAESPLHYHFHHPGAAVHHSRESSTGRLLFSDQLRSGGGGGGAAGAVPWRRLGHGGSGAGSVGDDDDDEVGARGAGWSQSPWRFYALGGVAFVGVFAFFLLVLWGASKSYKPHVDVKSVVFESYHIQSGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTATPFLIFFDDLTVASGNMKEFYQPRKSGRVVTVSVAGKLVPLYGAGSSLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLVRSKFYRRVLCRLDVREAHLGKPVRGVAADCEYHDGR* >Brasy4G116100.3.p pacid=40089627 transcript=Brasy4G116100.3 locus=Brasy4G116100 ID=Brasy4G116100.3.v1.1 annot-version=v1.1 MARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVVAVGASGSAAAAAAAETEKMSLAGSTPAESPLHYHFHHPGAAVHHSRESSTGRLLFSDQLRSGGGGGGAAGAVPWRRLGHGGSGAGSVGDDDDDEVGARGAGWSQSPWRFYALGGVAFVGVFAFFLLVLWGASKSYKPHVDVKSVVFESYHIQSGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTATPFLIFFDDLTVASGNVRCAFHTLASFHLLVIAPSCFCY* >Brasy4G378200.1.p pacid=40089628 transcript=Brasy4G378200.1 locus=Brasy4G378200 ID=Brasy4G378200.1.v1.1 annot-version=v1.1 MGALDHLSDLCSMTETREALKLRKKRPLQTVNIKIKMDCEGCERRVKSAAKSIRGVTSVAVTPKMSKLTVTGYVEPRKVLERVKSRTGKSAEMWPYVPYSLATYPYVGGAYDKKAPAGFVRSAPQAMADPSDPEVQYMNMFNDENVNACAVM* >Brasy4G436700.1.p pacid=40089629 transcript=Brasy4G436700.1 locus=Brasy4G436700 ID=Brasy4G436700.1.v1.1 annot-version=v1.1 MSRRRHSTPAPATAAPLDDEDLLREILLRLPPNPSSFPRASLVCKLWRGILSDPGFRRRFRKHHRIPPLLGCFVKDPGTAPVFAPLLDSPNRIPVPLPENLADSSSWSATHRRLSFSFHGCRHGIALLLDHRRNEAVLWDPLTAVQRRVCFPPGLGFAGGAQTQSGIVWNAAVLRTASADHHDDCCLTPFKLVLACRDANFTKEFVRLYESDTGVWGDIISIATTDRIGLKSSSVLVGNTLCWLVSGGGILEFDFERQTLVVIDEPTDLHVTDPYPGVIHRSFQILRGEDGGLGLAVLSDSEPSIQLWARKSDSDGVGSWVLQKTVQLDERFSRPEGTRKRIWMPGYDEDTNAIFLSLDSDDFMLQLESMQLTCLHRNELEIFRTYHPYAGFYTAGREIAGGDGGAENGNT* >Brasy4G322300.1.p pacid=40089630 transcript=Brasy4G322300.1 locus=Brasy4G322300 ID=Brasy4G322300.1.v1.1 annot-version=v1.1 AKVDMEMYLQRDKDKGGCQNVPLACGPSTADVETGSMMSFFIQGVQNERPVRFTSQQLHAFTRGFAHKIGSGGFGAVYKGRFPNGATVAVKEQFMAEVGTIGRTYHINLVRLYGFCFDVSMKALVYEYMENGSLDRHLFRSPPESGTVAIGFNKLHEIAVGTANAVRCLHEECAQRIIHYDIKPENVLLGPGPTWRAPKVSDFGLAKLCDREDTHLTILWMPLPVTHKCDVYSYGMLSLFEMLGRRRNLELGVNGRESQEWYPRWVRHWVEAGETDAVLARALEAGDVEDMEKATRMCKAALWCVQCRPEGRASMGQRNPFAHLAAYDAGTSSGDTTTESYGYSKTPTKK* >Brasy4G219400.1.p pacid=40089631 transcript=Brasy4G219400.1 locus=Brasy4G219400 ID=Brasy4G219400.1.v1.1 annot-version=v1.1 MAQNGIPAWGNWDSTDNTPYTQKFENVRRTKKTGVTSSPSDPRRSPEPPRKSPLHPSKYTPEALDHSPKYQPHASKPEPDHPRPMASPLREPVPRRHANPLHQQHLDQGGYGSPYRATAGAASPMQAGNAARSKHRSAGMQTPERRASSAVHGPLTPGRIGAKQGGRTYEVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQGSGSVNQSIQGIVDVQSITTRRDATEQKKKIKRDSG* >Brasy4G219400.2.p pacid=40089632 transcript=Brasy4G219400.2 locus=Brasy4G219400 ID=Brasy4G219400.2.v1.1 annot-version=v1.1 MAQNGIPAWGNWDSTDNTPYTQKFENVRRTKKTGVTSSPSDPRRSPEPPRKSPLHPSKYTPEALDHSPKYQPHASKPEPDHPRPMASPLREPVPRRHANPLHQQHLDQGGYGSPYRATAGAASPMQAGNAARSKHRSAGMQTPERRASSAVHGPLTPGRIGAKQGGRTYEVDDEVAVPPFGGWDEGNAASGENYTGIFNRVRNDKLSPNSSAKQPSSYSGKQENKVQQTCPCCIL* >Brasy4G354100.1.p pacid=40089633 transcript=Brasy4G354100.1 locus=Brasy4G354100 ID=Brasy4G354100.1.v1.1 annot-version=v1.1 MTRGDLLLLRRRRRLEVAANRPPPRSPGTNKHEEQPRDEQSHDILAGNCAKCGILGHRVRECPVRFLEDYTAPMCGFQSPNQGQIETVFNAVFAGTWRCSARPIGPDKFTMRFPNAKKVEEYSAFKGFTLRNTSAKIDIDPWSPSVGAKGEIQQAWVKAVLAYVGSLVGVNKEIDKAYMFKPEYVKLKIACPDVYNIPPTAEGYIGDYLYDFYYELDSVVVGPRNGKDKAVIVSNEDGQHTPKRHKPNGPPPKSAPSQLHGSTSYQGGKSNNADNMAEEEEEESEEDCSGLLIDTIAEEAEAHFMQNVMPVPVMSFMQAGGMPHVLLNMSISAWLQRDQILFGGVSGGSPSDAKEMLQCMFPSLDLVNAPPYHCDRIGFDDSMTGLAQVEKFSFSEDEGPFSQESQNTCDNVQMPVAPKSKKVTAPLRFSSRLQQKGQAPIIQRAEALLQKKNLEGTTTSNSFSALDDFAIISRAQALGALIPDDDFDCVNVLRELETARASLKTHIFVPALDSEQPAPLVSSHQPIEVDAECLHSELQDDDFVLVQSRKVKKSKA* >Brasy4G154800.1.p pacid=40089634 transcript=Brasy4G154800.1 locus=Brasy4G154800 ID=Brasy4G154800.1.v1.1 annot-version=v1.1 MAAPATVVVPRMKLGSQGLEVSALGLGCMGMSAYYGPPKPEPDMIALIHHAVAAGVTLLDTSDIYGPHTNEILLGKALQEGVREKVQLATKFGILACADGTREIHGEPAYVRAACEGSLERLGVDCIDLYYQHRIDTKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEKDIIPTCRELGIGIVAYSPLGRGFFSSGPKLVETLSDQDFRKDLPRFQAENLEKNTMVFERVSAMASRKGCTASQLALAWVHHQGSDVCPIPGTTKVENFNQNVAALSVKLAPEEMAELESYASSDIAGDRYHDFLNTWEDSETPPLSSWKAE* >Brasy4G206800.1.p pacid=40089635 transcript=Brasy4G206800.1 locus=Brasy4G206800 ID=Brasy4G206800.1.v1.1 annot-version=v1.1 MGAQKWVLAPCSVLLLLQLASASHVIHRSLEAEAASPSIPPSIVSPLLRTGYHFQPPMNWINDPNGPLYYMGWYHLFYQYNPKGAVWGNIIWAHSVSRDLINWIALEPAIKPSIPTDMYGVWSGSATILPDGTPAMLYTGIDRPNINYQIQNIALAKNKSDPLLREWVKPGYNPIAVPEPGMNATQFRDPTTAWYVDGHWRMLVGGLKDGRRGVAHVYRSRDFRTWTRAKHPLHSALTGMWECPDFFPVHGPGVRDGLETSQFGAKHVLKNSLDLTRYDYYTVGTYNNKTDRYVPDDPNGDYHRLQYDYGNFYASKTFYDPAKRRRVLFGWANESDSVPHDKAKGWAGIQAIPRKIWLDPSGKQLVQWPVEEVEKLRAKPVSVANKVVKPGQHFEVTGLQSYQSDVEVSFEVSSLEKAEPFDPAFSNDAQKLCGMKGADVKGGVGPFGLWVLASANLVEKTAVFFRVFKDGYGKPVVLMCSDPTKSSLTSDLYRPTFAGFVETDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMAIGQNAHLYVFNNGETDIKVSHLTAWEMKKPLMNGA* >Brasy4G362400.1.p pacid=40089636 transcript=Brasy4G362400.1 locus=Brasy4G362400 ID=Brasy4G362400.1.v1.1 annot-version=v1.1 MRSALCNLVFIRFVCGSAAVSFSKFVPLSLKKKKIVPPLSVHSALSLLARGVGALGGKVRGDFAGGARRRLSSPAIFYGCGAFVSVKRAIAEMGGGDASSSEESSSHEDDTHDGGSPMCRSIIATNTPGAGSLMLVSFVSISGITYCNMIC* >Brasy4G035700.1.p pacid=40089637 transcript=Brasy4G035700.1 locus=Brasy4G035700 ID=Brasy4G035700.1.v1.1 annot-version=v1.1 MASLLLRSAGRVLRRSLQQGPLRRSPAQPFVDNPRRFSSDAVNKHSCTLEKGLPQRIENSLNSIEAGIAVNLRTMRATAEQTKVILQKITENELADKKRERVVLGAFAFLGLTLCAGESLFGGVKEKSQAVEPLI* >Brasy4G363500.1.p pacid=40089638 transcript=Brasy4G363500.1 locus=Brasy4G363500 ID=Brasy4G363500.1.v1.1 annot-version=v1.1 MGAKFAATAFCFFLLLTFGFGKEKTPCERPSEYYRDIECLEFKCKNSCLMEHFRDGKCNSNLQCVVVVSRQMP* >Brasy4G354700.1.p pacid=40089639 transcript=Brasy4G354700.1 locus=Brasy4G354700 ID=Brasy4G354700.1.v1.1 annot-version=v1.1 MLLQVRRLPARPAVDYPLRRSLGLGHHGRVSSISRAPTATKPLAVCCSVANPAAATMERPWKLSDARLVLEDGSVWNAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESIKCFLGGLIIRSLSICTSNWRCTETLDAYLKKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRKDEELLEMARKWKIVGVDLISDVSCDAPYEWLDKTDSEWEFKNKKSTETFHIVAYDFGVKQNILRRLASYGCKITVVPASWPASETLKLNPDGVLFSNGPGDPAAVPYAVKTVQEIVGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVRDNRTGRVDISAQNHNYAVDPESLPEGVQVTHINLNDQSCAGLAFPKMKLMSLQYHPESSPGPHDSDLAFGEFVELMKSNRL* >Brasy4G351500.1.p pacid=40089640 transcript=Brasy4G351500.1 locus=Brasy4G351500 ID=Brasy4G351500.1.v1.1 annot-version=v1.1 MDFKVSLTASLAGIPLGFSKCQLRLGPSLREATISFHKPFMKVFIATCLEHLEPEKRQHFDDINPNLVS* >Brasy4G220200.1.p pacid=40089641 transcript=Brasy4G220200.1 locus=Brasy4G220200 ID=Brasy4G220200.1.v1.1 annot-version=v1.1 MAATAAAALASPAAVYRSPASAAPRRGFASFGPALRSGRRAVTLSGVRTHVAAVEQAVAQDAIKLETPVVVITGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVSEEIEASGGQAITFGGDVSKEADVESMIKAAVDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLSGNFGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM* >Brasy4G409700.1.p pacid=40089642 transcript=Brasy4G409700.1 locus=Brasy4G409700 ID=Brasy4G409700.1.v1.1 annot-version=v1.1 MEICQGRLGTKVWYPIGQWPPRPFAQAASRRPSAKKRLQPALPLASPERSVCAAARSDPAHPPPPPISSGRRRRRYVRVDPPPSSLPRPARLRPAARITNMSTATAPGVRIARSGASCQAIPRAIRSPSFVGYTKQTSNLSGLRISNKFRVSATAVHKVKLVGPDGEEHEFDAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMTTGEVDQSEGSFLDENQMGEGYLLTCISYPKADCVIHTHQEEELY* >Brasy4G287100.1.p pacid=40089643 transcript=Brasy4G287100.1 locus=Brasy4G287100 ID=Brasy4G287100.1.v1.1 annot-version=v1.1 MNRENPLICRLEADSIGPGRHRRLQKRRRSAGSGRTRAARHRIRQPRTRNRQQDPRRPAHAPSQGRRQEGPSPTWTG* >Brasy4G310900.1.p pacid=40089644 transcript=Brasy4G310900.1 locus=Brasy4G310900 ID=Brasy4G310900.1.v1.1 annot-version=v1.1 MATTTATMAPPSTSTSSVLFLRRLPCPASTAGRGLGPLPRASRLRTARQVSLTSDVSSSSPDVAEEEAEHAPKIGKRVRVTAPLRVYHVVKAPDLDIQGMEGVIKQYVGVWKGKRITANFPFKVEFQVSVEGQPKPVKLFVHLREDEFEFIGDE* >Brasy4G343400.1.p pacid=40089645 transcript=Brasy4G343400.1 locus=Brasy4G343400 ID=Brasy4G343400.1.v1.1 annot-version=v1.1 MGEPAEGGGARPASPGSPAHDRRGMMCNDGGTKASLPPVELDLIGTVGAEAPEPEPELEPRQLYGCNYCGREFYSSQALGGHQNAHKRERARARRQLVAIHGGAFVEAAPMWERASGFLRMSVEPASGSGSYYYCAGDERRPGGVGRSGDRPRDSSGDQELDLTLKL* >Brasy4G331100.1.p pacid=40089646 transcript=Brasy4G331100.1 locus=Brasy4G331100 ID=Brasy4G331100.1.v1.1 annot-version=v1.1 MVNGPWQPERAGLRLRCPQLRRRALRVRRRPRRRERVGADLRLRATDGGQKNRHDPKRRQRPASRHGCSRPAEKSRSLLSPHSTTTAATAHRGRRGNLQESPPL* >Brasy4G138400.1.p pacid=40089647 transcript=Brasy4G138400.1 locus=Brasy4G138400 ID=Brasy4G138400.1.v1.1 annot-version=v1.1 MANKSNSAANRQPQPKTTSRCLTESFTATHDFELTNYPLLDGIGMGEYVSSDTFIVGGYNWIIRFYPDGKNEDSAGHASVYLRCLNRTEDVRTTFKINLLREDGNVKLTNHPETAEIFRPRNWSLGDLKFVTKSKLKSSTKFNGGNLIIRCVLTVIKKPRTLGKRKRDLFVVPRPNLRQMWKDEQGADVTFSVGGQLFHAHRYLLAARSPVFKAELFGPMMEKAKRRVKIRDVEPSIFEALLHFVYTDSMLYDERSEEGGTARLQHLLVAADRYGLDRLRVLCESKLSESIDVKTVATTLVLAEQHHCKDLQEACVDFMAPWDVLEAVMATDGFKHLLASCPLVMKEILDKMCRSE* >Brasy4G404200.1.p pacid=40089648 transcript=Brasy4G404200.1 locus=Brasy4G404200 ID=Brasy4G404200.1.v1.1 annot-version=v1.1 MAVVGVLALQGSYNEHMAALRRIGAKGVEVRKAEQLGGIDSLIIPGGESTTMAKLANYHNLFPALREFVGTGKPVWGTCAGLIFLANKAVGQKSGGQELVGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSNICRGVFIRAPAILEVGPDVEVLADCPVPAGRPSITISAEGAEDEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDKDSQAKAFSALSLSTSSRDTEGGSKNKPLDLPIFE* >Brasy4G211200.1.p pacid=40089649 transcript=Brasy4G211200.1 locus=Brasy4G211200 ID=Brasy4G211200.1.v1.1 annot-version=v1.1 MAVMAAPTRYRLGFASSSGSGPRKQTGSGRRDFFQGEAGFRAALMHYYISTPYSAGGRAHIYMFIRGARTASLLQFIQGRLPLSNPNQDLTPSLFEQSRTDLCPSPTMSTCT* >Brasy4G050500.1.p pacid=40089650 transcript=Brasy4G050500.1 locus=Brasy4G050500 ID=Brasy4G050500.1.v1.1 annot-version=v1.1 MGKSKDKKVSREAKVDKKLALGLGVKRKQLKKKKERVLDGAVEREGVAEPSIVEDKKLVGSKKIVLLKEKKKTKHVKVTSRHTKTNDLVSVNKDEATPKLKKKNKKQLLNESHSPVEVQTSPLDSNDAGALKTKKKKKKVREGKSLVEPNDAEDILHENQETLTADVNQLAGEREVMDIGEPENAKRGKKNKTKKVKRSGKVNRTDRHASTTENNLERNVEVDVADVDEIPSVNEDFSRGMNKWILEYRQKRPGLKVLQQSIDEFITLHEEQQEKEKKEKEEAAAKDGWTVVVHHKGRKKTTEAETGTAVGSVSLAAMQDKFSKKKPKEIGQNFYRFQKREAQMTEVAMLQSKFEQDKKRIQQLRAQRKFKPY* >Brasy4G050500.3.p pacid=40089651 transcript=Brasy4G050500.3 locus=Brasy4G050500 ID=Brasy4G050500.3.v1.1 annot-version=v1.1 MGKSKDKKVSREAKVDKKLALGLGVKRKQLKKKKERVLDGAVEREGVAEPSIVEDKKLVGSKKIVLLKEKKKTKHVKVTSRHTKTNDLVSVNKDEATPKLKKKNKKQLLNESHSPVEVQTSPLDSNDAGALKTKKKKKKVREGKSLVEPNDAEDILHENQETLTADVNQLAGEREVMDIGEPENAKRGKKNKTKKVKRSGKVNRTDRHASTTENNLERNVEVDVADVDEIPSVNEDFSRGMNKWILEYRQKRPGLKVLQQSIDEFITLHEEQQEKEKKEKEEAAAKDGWTVVVHHKGRKKTTEAETGTAVGSVSLAAMQDKFSKKKPKEIGQNFYRFQKREAQMTEVAMLQSKFEQDKKRIQQLRAQRKFKPY* >Brasy4G050500.2.p pacid=40089652 transcript=Brasy4G050500.2 locus=Brasy4G050500 ID=Brasy4G050500.2.v1.1 annot-version=v1.1 MGKSKDKKVSREAKVDKKLALGLGVKRKQLKKKKERVLDGAVEREGVAEPSIVEDKKLVGSKKIVLLKEKKKTKHVKVTSRHTKTNDLVSVNKDEATPKLKKKNKKQLLNESHSPVEVQTSPLDSNDAGALKTKKKKKKVREGKSLVEPNDAEDILHENQETLTGEREVMDIGEPENAKRGKKNKTKKVKRSGKVNRTDRHASTTENNLERNVEVDVADVDEIPSVNEDFSRGMNKWILEYRQKRPGLKVLQQSIDEFITLHEEQQEKEKKEKEEAAAKDGWTVVVHHKGRKKTTEAETGTAVGSVSLAAMQDKFSKKKPKEIGQNFYRFQKREAQMTEVAMLQSKFEQDKKRIQQLRAQRKFKPY* >Brasy4G050500.4.p pacid=40089653 transcript=Brasy4G050500.4 locus=Brasy4G050500 ID=Brasy4G050500.4.v1.1 annot-version=v1.1 MGKSKDKKVSREAKVDKKLALGLGVKRKQLKKKKERVLDGAVEREGVAEPSIVEDKKLVGSKKIVLLKEKKKTKHVKVTSRHTKTNDLVSVNKDEATPKLKKKNKKQLLNESHSPVEVQTSPLDSNDAGALKTKKKKKKVREGKSLVEPNDAEDILHENQETLTGEREVMDIGEPENAKRGKKNKTKKVKRSGKVNRTDRHASTTENNLERNVEVDVADVDEIPSVNEDFSRGMNKWILEYRQKRPGLKVLQQSIDEFITLHEEQQEKEKKEKEEAAAKDGWTVVVHHKGRKKTTEAETGTAVGSVSLAAMQDKFSKKKPKEIGQNFYRFQKREAQMTEVAMLQSKFEQDKKRIQQLRAQRKFKPY* >Brasy4G415400.1.p pacid=40089654 transcript=Brasy4G415400.1 locus=Brasy4G415400 ID=Brasy4G415400.1.v1.1 annot-version=v1.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKLIAAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL* >Brasy4G414100.1.p pacid=40089655 transcript=Brasy4G414100.1 locus=Brasy4G414100 ID=Brasy4G414100.1.v1.1 annot-version=v1.1 MVGSFITRALILVLGYAYPAYDCYKAVELNRPEIEQLRFWCQYWILLAMLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQGTAYVYESFFKPYIAKHESEIDRNLLELRTRAGDMAVFYFHKVADYSQTRFYEILQYVASQSHTQRSRSQGQQQQQRPPPPRTRLANPMPPPVPTPSAPPQPAQVPPTPPRMQAQDNPPVPVVPPGAVPPAQPQPPSGPDTITSNGPPNTEAMPVDPPSPSTSSVPLLPKMPEEEKTLIEEAIRLTRGRLRRRLGGSGPLPN* >Brasy4G320000.1.p pacid=40089656 transcript=Brasy4G320000.1 locus=Brasy4G320000 ID=Brasy4G320000.1.v1.1 annot-version=v1.1 MERRTMPKVEDDQRQPPFLRDLLDDELIQRKYIGGTGVPRRIHWRSGLSEEERDASVIGHVHHALRHYNADDPVCNVRIRSRHLCSFKIKGSDFEPVKPLMASYVGIRGEIWVHINFLARRTGNKKRSPNNPPVRHFFAELRYELLSSYTPTVETCTMIDVPNRQLKTKCAFCPEKFEIQHPLEEEICMRKEAPV* >Brasy4G077400.1.p pacid=40089657 transcript=Brasy4G077400.1 locus=Brasy4G077400 ID=Brasy4G077400.1.v1.1 annot-version=v1.1 MDRTQDRRRSATSVRDKGTHGRIDFFDPQTFFLVTVARCCDRPVCGLLGVARGHDVYDPPGGGLWAASYTPMITLLTG* >Brasy4G222300.1.p pacid=40089658 transcript=Brasy4G222300.1 locus=Brasy4G222300 ID=Brasy4G222300.1.v1.1 annot-version=v1.1 MKKLDYVDPGKDNELRKKRGMSGVEIATKIRRLWEEKSKKFEDQFGSSEYPERVSGRHFDNEVFGKLTMPEAFTTFSSTVVGIGLFDGHEQIFECTGVVLPSSTNGLTRFLTSGHLVEVFNQNRNKEDELTIQVSVHALYQTDGAIIGFIELYDEDIAIVTSLLSRSEVWPVDLYCEEISLPTGTDVMAVGCGFMRKSLYVQRGVLIDEGPSADNLQNLKISNCQIGKLGLGGPLMHSHNYFIGINIRCAKGKTCCLPFTAIRERLEQFQILTPRNLEGSDDSEQEETIDTNLYGAGSSSGTTEIISQGYSPPPGFLSRQKWLDSMGYPRPPPLMLELNGRLLHTFEEFFGHLHAWRGYLFSLSCCTEGMNPWVRLDSKIVEAISRRVVSLASFNGATRFFACTGLLIKCRVGRHYGSITPSRTVILTSASLVRTCETADTIDDKLRIEVFLPPDQRVSGTLVAYDSCYNFAVVSAEYLRVVCPEDILLVDTPDQIRDRQFRKMSREEPELRKVVAVGREPEEGLLCGSLGVVTKELITSGCKEFRLSTCKIKKAGIGGPLINLDDGNFVGMNFYDGRNDSTLFLPKKYIIKILLKLDLPQSRRDDHAVQRLDSVDDETNYSNRWAVPKARWYHHLLHVEGSDSQSKLL* >Brasy4G422200.1.p pacid=40089659 transcript=Brasy4G422200.1 locus=Brasy4G422200 ID=Brasy4G422200.1.v1.1 annot-version=v1.1 MGGSFSYRAIRSGDDGTGTGIRSGGDETGTGIRSGGDGIGTVIRSSGDGTGIRSGGDGTGIRRDNGGFPWKLVASLLAFLTFISGMGVYRSRNDTSIAAFMRTSYLNLVLLFWWFRRAAPGSPGRNRLKVQDALHVLTGYMIAAATGVVAFYAFVTVQETLLVLTAYMCAAAAGVAAFCALVDDRNDQPLDHGSMLAVVPPV* >Brasy4G162500.1.p pacid=40089660 transcript=Brasy4G162500.1 locus=Brasy4G162500 ID=Brasy4G162500.1.v1.1 annot-version=v1.1 MLQFPAMMRQWPSPPLIPASTLLPVPASTQEDELLLTMAESDLEEKLNEIRKTNSNLVIIGKPTSDIKEEYDAEVEDDDADNVEESDGDDFDQETG* >Brasy4G438200.1.p pacid=40089661 transcript=Brasy4G438200.1 locus=Brasy4G438200 ID=Brasy4G438200.1.v1.1 annot-version=v1.1 MARSRAAAAAPIVPAIPSPPPSRRPRPCSSPSPPPLCPPYPALSARASHRPPWPLQVAAQALLRRG* >Brasy4G399000.1.p pacid=40089662 transcript=Brasy4G399000.1 locus=Brasy4G399000 ID=Brasy4G399000.1.v1.1 annot-version=v1.1 MALEAGVRSQAAGLFGRCAMAGAGAGGGSAAAWNDPFCGGDGMLDLDGGECVWDAAACSSPLLPHGLIHEVSIPDDAAAADPLLQGECSGGRGKGNADGAASGRRKRRRTRAAKNWEEVESQRMTHIAVERNRRRQMNDYLATLRSLMPPYYSQRGDQASVVGGAINFVKELEQLVQSLEAHKRSSSGRQCTADVSDASPPPPFANFFTFPQYSMSAATVAPAAPPTTSDEGNVDASESSKPSAEVTMVESHASLRVLARRRPRQLLRLLVGLQAHRLTVLHLNVTSAGQMALYSLNLKVEDDCQLTSVDDIATAAHQIVEKIQQEHHGCTPILE* >Brasy4G046600.1.p pacid=40089663 transcript=Brasy4G046600.1 locus=Brasy4G046600 ID=Brasy4G046600.1.v1.1 annot-version=v1.1 MAGGGSSDRQTESLLAKLSEPSLHNSSEEHPVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGMTVIQSSLLADCYISRDPERGLVRNRSYVDAVSLYLGKKSQMFCGFFLGFSLFGSAVVYTLASATSMRAFQKASCYHKQGRGAPPCSAAAGPGGDGYYMLMFGLAQAVLSQIPDFHNMAWLSVFAAAMSFSYSFIGFGLGAAKVIENGAIKGEIGGIPLASSTQKVWRVAQAIGDIAFAYPYSLVLLVIQDTLRSPPSESETMNTASRASIAITTFFYLGCGCFGYAAFGDDTPGNLLTGFSEHHWLVGLANLCVVLHLLGGYQVYTQPVFALVERRFGGDAYAIDVELPLLGGRRQVNLFRLGFRTAYVAAATAMAVWFPYFNQVVGLIGAFTTWPLDIYFPVQMYLAQGKAAPWTGRWLAIQAFSATCLLVCAFASVGSAVGVLGLERS* >Brasy4G046600.2.p pacid=40089664 transcript=Brasy4G046600.2 locus=Brasy4G046600 ID=Brasy4G046600.2.v1.1 annot-version=v1.1 MFCGFFLGFSLFGSAVVYTLASATSMRAFQKASCYHKQGRGAPPCSAAAGPGGDGYYMLMFGLAQAVLSQIPDFHNMAWLSVFAAAMSFSYSFIGFGLGAAKVIENGAIKGEIGGIPLASSTQKVWRVAQAIGDIAFAYPYSLVLLVIQDTLRSPPSESETMNTASRASIAITTFFYLGCGCFGYAAFGDDTPGNLLTGFSEHHWLVGLANLCVVLHLLGGYQVYTQPVFALVERRFGGDAYAIDVELPLLGGRRQVNLFRLGFRTAYVAAATAMAVWFPYFNQVVGLIGAFTTWPLDIYFPVQMYLAQGKAAPWTGRWLAIQAFSATCLLVCAFASVGSAVGVLGLERS* >Brasy4G396600.1.p pacid=40089665 transcript=Brasy4G396600.1 locus=Brasy4G396600 ID=Brasy4G396600.1.v1.1 annot-version=v1.1 MAAMAAASVGGALGFLAPRRRIAVGAAPRRVAVRASYEAGVGVMATKVGMMTYFDPANGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKAGAPPLRHLQEFRLQAVDAFGPGQALEFAELFKEGDLVDVSAKTIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVRIDNDLKVVMIKGAIPGKQGNLLRITPAKIVGKNIPKS* >Brasy4G396600.2.p pacid=40089666 transcript=Brasy4G396600.2 locus=Brasy4G396600 ID=Brasy4G396600.2.v1.1 annot-version=v1.1 MAAMAAASVGGALGFLAPRRRIAVGAAPRRVAVRASYEAGVGVMATKVGMMTYFDPANGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKAGAPPLRHLQEFRLQAVDAFGPGQALEFAELFKEGDLVDVSAKTIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVRIDNDLKVVMIKGAIPGKQGNLLRITPAKIVGKNIPKS* >Brasy4G061900.1.p pacid=40089667 transcript=Brasy4G061900.1 locus=Brasy4G061900 ID=Brasy4G061900.1.v1.1 annot-version=v1.1 MAALLLHPPGRNPCNHLGGSPAADHTRGRTGEAQNVGSRVTGAAMLVPTAVQRDRLPDLAAGGRCLQWRQMRLASPAGLHGTQSVVRDGVAR* >Brasy4G189400.1.p pacid=40089668 transcript=Brasy4G189400.1 locus=Brasy4G189400 ID=Brasy4G189400.1.v1.1 annot-version=v1.1 MPLFMKKPFPLLEPPKDLDPKEKVFQIRFTKEIFLDYQEYVKRLNLYRQRVWTCKVSGKSNLTFEEALVSEHNAMKKAQNLPKELMAHVLRMTQYSTLGLQALVNKIYASLQEDILHAKNDGFEAACKTLKTTDSGDTKMYTATDTSVAKAIDLVRRRAPVSRNTLKLLIGDSTSQSTPWIIHERLAKEYGVPMDPPNDLMYGEGLQRKGRKIHECGTTDDARKKFKKGDELACVPIKYPIDDLLLSPAVDDPALLKRPPLATDFGIPRNSVGDLLMVWDFCLSFGRVLNLSPFSLADLENAICHKESNALLVEIHSAMFHLLIKDEGDYFMALKNNKRKLKLSLETWAEYLCDFLEMVKIEELSSNIGTVRRGYYGHIDADMKLKILWELVEEAITTSAIREELIERVEQQQAIVATRRENTTKQKEKKNSNIEVDMRSDAVQDGIGSVDEQGKGKEETYTRNISTSKTGKWHLVRHLETERAKLSIRASPLGSDRHYNRYWFFRREGRLFVENADSREWGYYSSKEELDVLMGSLNVKGIRERALKRQLENFYNTISNALEKRLKDITHKTLLDEAVLRRSTRVRAQPRDSPSMAFLKYVNKWKAN* >Brasy4G395300.1.p pacid=40089669 transcript=Brasy4G395300.1 locus=Brasy4G395300 ID=Brasy4G395300.1.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSEQDVWTWLSDGLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYMAGYDLDNDISVVEVYNVRDVQVGPFQSALESLPGQVLAVGRDTSGEIMVKTVELNGGSMVSEDCRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLAFMQNKTGLAQSKKMKVHRPEASIGEKSCRRPEVHLDFLNQEQLDLNSMGYPKLPSSLLGAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCQFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNRWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKPGKNRLENLKKAKWVEKQGKNRLENLKKAAKVGALPSHIQLAAKSLPITGTKTDFPKKSRKEDLENVAGMGSATASGGKFDEKLPREKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDREMSSKSDKLKSQKKPFKKSSKKKA* >Brasy4G395300.2.p pacid=40089670 transcript=Brasy4G395300.2 locus=Brasy4G395300 ID=Brasy4G395300.2.v1.1 annot-version=v1.1 MRKAKRGGGDLPRSFHKKRRVFKNEWISGDLLRDSEQDVWTWLSDGLKSYLSKSVASIILFNGDKILFSCSGIAMEHQFFTKFLTTATLVRALEATTKHHDDVKIQVRLDGTKLYDGYMAGYDLDNDISVVEVYNVRDVQVGPFQSALESLPGQVLAVGRDTSGEIMVKTVELNGGSMVSEDCRDLYCKISKPWEGGPLLSFDGDMVGMNLFFTNRRAIFLPWGTTLKHYLAFMQNKTGLAQSKKMKVHRPEASIGEKSCRRPEAGMILVNSFEDPFGDIHGEGVWRKFSRRASILSRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQRKEGKLEHCNLHYNIALVSIKYRALRPLSTTFDSESSSTVAAVGRCFNSGTLMATSGCIVPWTGTLDCQFLARSTCKITKAGIGGPLVNLDGRVIGMNFYDTRIGTPFLLWYDICKILASFETKSESGVDIGNASGACFWKLPRDVKNKVNRWPVPKPRWCCPEDVESDDDDDKLAFDDIGQLQYSYILGRKVKLLGLTIPISVPIIEAKSTDEPGVGPFTQRKQKKKWVEKPGKNRLENLKKAKWVEKQGKNRLENLKKAAKVGALPSHIQLAAKSLPITGTKTDFPKKSRKEDLENVAGMGSATASGGKFDEKLPREKPPKHPGKHRKFLPVPEGKGMSNLGKQQNDKILKSLLARNSEQLDVGKAITMYKVKKEKQRRKDREMSSKSDKLKSQKKPFKKSSKKKA* >Brasy4G291200.1.p pacid=40089671 transcript=Brasy4G291200.1 locus=Brasy4G291200 ID=Brasy4G291200.1.v1.1 annot-version=v1.1 MARLHHLLSRALASHRLLLPHRLPLSARPTPLLHSPPQPPSHPHGHIPPPFLVTASRYCASSTSGRRRRGSPPPMLLRQRRARRPARKGPGELSVQIGIEEALPDDPLILSIAETLQTDVGKAMKLAFDNLESSEYKTRDTCISNADKYDSVEVSLLLCDDSFIRKLNKEWRDEDHATDVLSMSQHIAGLDIPILQLGDIVISVETAQRQAEERGHTLLDEIRILMVHGLLHLLGFDHELSKEAEEEMEKEEEHILNTLEWKGKGLIRSAYYFSTDTDHLDNSDEINRGIEKTSLREGHQQKLTHIICDVDGDLHPELRKRISRGVNIIMVTGKSRASTIRTFKLLNFGGKNDFISEHSPGVFLQGSLVYGRHGQVIYRANLDMDICKEACLYSLKHKVPLVAYSEEQCLTLFEHPSVDLLHTMHHETKVQVMPSIEDLLEYSSIQKLLFLDNAEEDLSVLAQHWSEVTEGKARVIKLQPNTVEIVSSNSSKGGGIRILLDHLGITEDSDLDAVGDYARWLSNK* >BrasyJ068700.1.p pacid=40089672 transcript=BrasyJ068700.1 locus=BrasyJ068700 ID=BrasyJ068700.1.v1.1 annot-version=v1.1 MGGRGRSWTVPTLVLVGDLDGMLPFEEDLPLNNNRHAPPPPPSPGHLGWDAWDQPQEEQAPNGGWPAQEEDPEKTQDAMGSMFDEDVQLQMALLAQHEVAPDLQLSLALPCDIDDPKGKKPKFIRPFGEGSSAMGAAMGPITAIDALRISRPCIPGLSSADQREPGPIMHASVGALNFDIVGEAILNLIGQDNEVIRAIAPTSGKKKLLQRYLTDLAERLPNVPGEDSGNGFSPIRNCNLEQRLGSPAPQAPISQAPGAQIATSSMPARSANISITYSRRCTRTSSPIVSQDDASSRQPKFEDMGWALPRSRAKPTPRVATVVRRSPRLQELARGFKRDYAAMAKGLDPSPKRSFNIEPSGMKMDELPEDLQRHLQQQDIPTPMPLELATSVATAFCGLSPEEAKAWTPISPRLLMKILTRKIEAETKRASFDSFFLRSMAPRNISDFAFVPALGSMGNSGGLLTAWDGLLFRGSVIEMHSFGLYVKLDSILSADCFHVVNVYGPCAEPSRSDFLDWLPLRLRGTQRVIGGTCLRLPSSHSTWHTEWMYCEDPERALHIFSSSPPSPTKRRVLSPSKEEMVEIEIVRCAFLSIRPKVAGTWVVMSFIHQRILALKARSHPMYRYNGFADSTRESSEKLETPDMRRRVNRFLEKDTYRGMGDKKPPMVSSADLVNVFAVFLILS* >BrasyJ068900.1.p pacid=40089673 transcript=BrasyJ068900.1 locus=BrasyJ068900 ID=BrasyJ068900.1.v1.1 annot-version=v1.1 MAKSSHTECCPCLGRKDLKCNLRCLLYCLGSVAIVAFVGGVVSFTLAFGPIHHVEANVEDARLNRFDLATTAPNGSSFFAYNISVAIAVRNTNWGMSIKYTEALVASIVFQDRRLYNVTVAQEGSKHSPRRTKLHNLEVYRDQVPSYVLGTLAAEEFKKQNSTGEFQLDVLFSGEIKYLGFAGAFTDKKEKLGLTCPLRLQLAPPGAEVVVFREVDCSPDKREKIYY* >BrasyJ068600.1.p pacid=40089674 transcript=BrasyJ068600.1 locus=BrasyJ068600 ID=BrasyJ068600.1.v1.1 annot-version=v1.1 MEVSMEASTSTGMDAGDRAAWLESAMDVPVTAALGSMAPLIRELYGLVAPQHRRPTDIDAINTEIQQLRQQDLMKVLFISLKNLSEAEDTSFTAKWWMKVVREICYDTEDHLDEVIMMHSDAGTGMTARVLRCIRSNLKLQQPPLIASDISQIMARLKNASNSLENLKLAPETTGSGSEKPAVDTASSSVPIRSWCRPMDDLIKLLALDDDSQKQLKVVSIFGSAGVGKTTAARTLYGKFGGNFQCRAFLRVSRNPDIRRLLTSFLSQIKAPQVCGFLDVHFLTAAIREHLQDKRFFIIIDDLWSTSVWDIIKHAFPDGDCSRIITTTQVKDVSLANCSYHLTYRYEMSPLNDDQSAQMFFIRVFGSEDGCPADLKEISYEIIRECGGSPLAIVNIASLFSSSVKLDRWKHIQYSLSSALKTNLNSEGMKEILNLMYNNLPPHLKTCFLYLCMYPEGYTVSKDELVKQWVAEGFLGERQDAEQIARDYFDVLVSRGIIQPIDSNYNGEVLSCTVHHLVLDFIRYKSMEDNFIVTVDNVQTTPRLADKVRRLSVQFCASKTAGIPESIILSQVRSLLFSGFLKFVPKIVEYRFLRVLILCIWADHDKTSFDLTGIDELLLLRYLKIDCNVTVELPAKIRGMKHLGTLEVNASLSAVPSDIGRVESLLRLRLPSDNVLDLDLDNPTNLQDLYLTCSAGPPHRVVRNIKQLGSILGKCHKLHSLILDDATSPSSISIFCDGLSRVSPVPAYLQRLELLPRVFIFPSLPKWLGKLRSLCILKIAVRELSKEDIDILKRLPALAALSLYVWIIPGERIVFDNGFTALRYFKFKCNALWLAFSEGAMPNVQRLKLRFNSNKLEKYNPVHAGFEHLLGLKEISAKIGRAGVDEYDSKAALLDVFSKHIKNSPIFHVKLVDPIIHDDEHKRGDIRVSVPREELISSSPEIQGRKKRWSRMDHHLTVASFQRMYAETVRGYIYLLIAGPSILEDQVEFMKQEMGLMTSIIERNTEDDLEDKWVPHLQDLVYDIDDYISMHSSSLLMTNLRMFYAQVSHMRHLRGRIKRLREWRYADKPSKSVDDTIATTMPGPSQYGPYGTSHPPYAPWNELVGMKEPREELLELLFQAVGESTRLISIVGCSGSGKSTLAAVVYDDLHVRSAFYPRAWVMASECSNAGDLANKIFQQLNPEESYKTAFDIYALTDIMSRERCLVVIDEMQRAEVWNDILRAFPTVGHGSRIIVTTSIQSVATAAAANFGTSSHIYTMRGLGIADSRKLFWRKVYGYDTEPAPALVDGSERILKKCDGLPLALVTTANDVPEHHQGSKIEWFGTNYQKAFGHCYNSLPDNGHRKCLLSLSVFPQGHQIKRKSVIRRWISEGLVVRDGRRNEEEVGRAHFNELIDRNLIEPVLIGNNSNVKRCRVNGAMLEFMVNKAFSTNFSTLIRKDEPVSNANPHPVRRLAVHGDTGSGNIASGIGLSVIRSLTIINCELFDFRRCKLLRVLDLEGCMGLDDIVLRAVCQLLILKYLSLRGSDVRRIPRGIRRLHYLETLDIRETKVDNLPMEVMMLPQLVHLFGQFELPQELRDETKKSKLQRFLLEKSKLQTISGFVMKRNSGLESIYIVLHMRSIRKVKIWCKRYLGSTDVKECDLSSLQELFAGSNICLESLSIDSDSYPTDFLHSLRGPCMISSIKIRGTLFGLPEFLSSFDTNLSDLQLSCTGLSCHDLSVLQKLRCLLYLKLSEVLQGFIGGILEVQTGGFPSLERLWLEAPMLPQLDIKPGGMTKLTSLRLHCLPEYAGWCNVKGIENLHHLKEVLLNHSVDAIGLEAWKMTAMKNKNRPKVSNLVMMQSIIPW* >BrasyJ068600.2.p pacid=40089675 transcript=BrasyJ068600.2 locus=BrasyJ068600 ID=BrasyJ068600.2.v1.1 annot-version=v1.1 MSPLNDDQSAQMFFIRVFGSEDGCPADLKEISYEIIRECGGSPLAIVNIASLFSSSVKLDRWKHIQYSLSSALKTNLNSEGMKEILNLMYNNLPPHLKTCFLYLCMYPEGYTVSKDELVKQWVAEGFLGERQDAEQIARDYFDVLVSRGIIQPIDSNYNGEVLSCTVHHLVLDFIRYKSMEDNFIVTVDNVQTTPRLADKVRRLSVQFCASKTAGIPESIILSQVRSLLFSGFLKFVPKIVEYRFLRVLILCIWADHDKTSFDLTGIDELLLLRYLKIDCNVTVELPAKIRGMKHLGTLEVNASLSAVPSDIGRVESLLRLRLPSDNVLDLDLDNPTNLQDLYLTCSAGPPHRVVRNIKQLGSILGKCHKLHSLILDDATSPSSISIFCDGLSRVSPVPAYLQRLELLPRVFIFPSLPKWLGKLRSLCILKIAVRELSKEDIDILKRLPALAALSLYVWIIPGERIVFDNGFTALRYFKFKCNALWLAFSEGAMPNVQRLKLRFNSNKLEKYNPVHAGFEHLLGLKEISAKIGRAGVDEYDSKAALLDVFSKHIKNSPIFHVKLVDPIIHDDEHKRGDIRVSVPREELISSSPEIQGRKKRWSRMDHHLTVASFQRMYAETVRGYIYLLIAGPSILEDQVEFMKQEMGLMTSIIERNTEDDLEDKWVPHLQDLVYDIDDYISMHSSSLLMTNLRMFYAQVSHMRHLRGRIKRLREWRYADKPSKSVDDTIATTMPGPSQYGPYGTSHPPYAPWNELVGMKEPREELLELLFQAVGESTRLISIVGCSGSGKSTLAAVVYDDLHVRSAFYPRAWVMASECSNAGDLANKIFQQLNPEESYKTAFDIYALTDIMSRERCLVVIDEMQRAEVWNDILRAFPTVGHGSRIIVTTSIQSVATAAAANFGTSSHIYTMRGLGIADSRKLFWRKVYGYDTEPAPALVDGSERILKKCDGLPLALVTTANDVPEHHQGSKIEWFGTNYQKAFGHCYNSLPDNGHRKCLLSLSVFPQGHQIKRKSVIRRWISEGLVVRDGRRNEEEVGRAHFNELIDRNLIEPVLIGNNSNVKRCRVNGAMLEFMVNKAFSTNFSTLIRKDEPVSNANPHPVRRLAVHGDTGSGNIASGIGLSVIRSLTIINCELFDFRRCKLLRVLDLEGCMGLDDIVLRAVCQLLILKYLSLRGSDVRRIPRGIRRLHYLETLDIRETKVDNLPMEVMMLPQLVHLFGQFELPQELRDETKKSKLQRFLLEKSKLQTISGFVMKRNSGLESIYIVLHMRSIRKVKIWCKRYLGSTDVKECDLSSLQELFAGSNICLESLSIDSDSYPTDFLHSLRGPCMISSIKIRGTLFGLPEFLSSFDTNLSDLQLSCTGLSCHDLSVLQKLRCLLYLKLSEVLQGFIGGILEVQTGGFPSLERLWLEAPMLPQLDIKPGGMTKLTSLRLHCLPEYAGWCNVKGIENLHHLKEVLLNHSVDAIGLEAWKMTAMKNKNRPKVSNLVMMQSIIPW* >BrasyJ069000.1.p pacid=40089676 transcript=BrasyJ069000.1 locus=BrasyJ069000 ID=BrasyJ069000.1.v1.1 annot-version=v1.1 MHTSGRVTWLPHRLWAHTSGDHVALQSYTNPPPPFSSHLAASLFPLLPSALCCARRRPLLPCVRLCSGRLLPLAASLSLRARPLPPPCARPPPPPASSLPSAHRVCSLPTRSRPLHSARRPLSPATASARRPCTPATAFAPFPIRVQIPTAYAYLFAGLGGIRSAAPTSARSGPATVYPDPRTGSHKALRPSPAAWATAAAHPARIRCAAARIRNIQPESVEKGILLPPCGLPTPPSVRSGAADTLGRPADPASTRIRPRRLLQPRRERRCLLPRLGVPTRHRSRGCRRARSRRRPGTPTRPPPRSPAAGKHRKEATSSPSPSATTSVAPDLSSRVPPPSHGQGSHRSSRPRSWRPSPSSAAAATSARP* >BrasyJ068800.1.p pacid=40089677 transcript=BrasyJ068800.1 locus=BrasyJ068800 ID=BrasyJ068800.1.v1.1 annot-version=v1.1 MEFGVALFGAIMSTTLPKIVKLMSSNTAFPSEEVESINDTFGFMQAAIRDIQNSSIGKYATAVQVVGVAKLCFLAAEIEDCLDGLKAGKIDPDKFSAKLKKLEVSVERNNKRVTEFAAMVQSLKAAKEATSTSAPGQHSRSAVHGRPVPRRIEELLDDNTDQSMPCNLNCLLYLCLFPCNHHVERNPLIRQLLVEGLVTAAPDDRRSLQDVAAEYLDILLAKEIIQQPIQERNSGKVKRYETPEDILNYITYQSLSTNFMTFCDHMEQRAPDVRRLSVRPGSAVSQRQLIVPSGFSRVRSLAVFPDAEPAVSYEAVLDFERYEVLRLLDLKKCDAVDQNHLLAIFDHLLMLKYLRLGDSIGEVPKQVEELKMLETLDLGRTAPVAVYSEILELPHLKHLLGKLDLCTKDVWGPRKGKLKKFLLDGTDNGSQLETLSGFVTGRKQGFAMLMSHMKRLKKVKIWCDSTPNKANLKILKEGIEMFISEGSGRSLCIDFLNCSKELLEPLEVLDVTSAAPRRGAFWRAPRRPAVSPGPGSIVSLKLRGELTEFPKSVARMTGIKQMCLKSTKLRGDKIMAGLSNLSNLEYLKLVEEELCPLKVISGKFPNLKQIFLVGIINLGITVEDGALPELVSLYLNCETLGTYTAPPPPNPQPSSTAERVTLAANVKINHMAQLEKIVLHSALSGNAVDWETAAQMHPKTVKPVVSFLPS* >BrasyJ045300.1.p pacid=40089678 transcript=BrasyJ045300.1 locus=BrasyJ045300 ID=BrasyJ045300.1.v1.1 annot-version=v1.1 MLRCFARRGNVLYNLCCRGGRVRLPPYREWPSPLCQLVNFASINTGDGPYVFRLWKGASPYWLFGASRGKNPCFAQLYVYDTENELRNRLDVFGSDGECRDRPDPHIVSSLMNMLDQHNILVCTFRVASHRLLSPVCPDLAIRIVGSESSDPSVYSPPVASELAALIMGDLTTERCKFDIVVHCQDGPLRHVSELHPALMALQYPLLFPYGEKGWVVPYNASLLKKYQAHINVEWCNKTHLVKYLFKYVHKGNDRAKVRLQAPPQGIDEVEEYISCRYLSSCEAMWRMFSFDLHVRSPAVERLEIHLPGMNIVTYSEDDSLVSVVRRAKSKRSSLTEWFAMNSSYEECRDLTYCEFTSRYTWYPGERRWKCREKGLKVGRIRHVHPTTGELGVLWATPRQLRDLFVMILMFLMLVMLRFCLTNIGVIWQKISCGRYVWRCGNGYVVPDTLLRVHLVRELSTLFANNGGSIASYNLPAVVSATGGRVDNRLIYEETCYNAAALRLEWEKLYSNLMRASFPCMRVLWLRSIREGPTALILWDEAPMSHRRCFECLDRTLRDVLSEDNVSNADVVLPVLPGAPKADVLDAALCSSIYGRV* >BrasyJ045200.1.p pacid=40089679 transcript=BrasyJ045200.1 locus=BrasyJ045200 ID=BrasyJ045200.1.v1.1 annot-version=v1.1 MAGLSVLLETDNSDSMNPQIISKATLHSPKQSSKISSFSRATTAAASPGSFLQRCLLCQKHLAEGHDIYMYRGDKAFCSVECRCRQIFMDEDAGSSAVCAKGATAARSGRRATGGGVSFAY* >BrasyJ036000.1.p pacid=40089680 transcript=BrasyJ036000.1 locus=BrasyJ036000 ID=BrasyJ036000.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTIKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKFIREEMRQEVHALLAQHGLSTLVQKLSHLPFFVNITAHRRTIAKGNEIEECLQQSWIYLCI* >BrasyJ035900.1.p pacid=40089681 transcript=BrasyJ035900.1 locus=BrasyJ035900 ID=BrasyJ035900.1.v1.1 annot-version=v1.1 MKTTDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQPTITAKTQKENRPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNENLTGLDVPQSQHSRLVIP* >BrasyJ041100.1.p pacid=40089682 transcript=BrasyJ041100.1 locus=BrasyJ041100 ID=BrasyJ041100.1.v1.1 annot-version=v1.1 MEKPNPLTTTEAASSSGGRKAMGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFSTAEEAARAYDRAALQLYGPRAQFNLTSSPPPLAHSHPSASATSSTSSSSAPPTLRPLLPRPPPQYSHHQHYYRFLPLHGLPTTSAPPPLYYASTATASTVTTTTVAHQATLTPAAVAASSTAGDGAAPAEAPAQAETTGWEYMGREEDDYEAALLWNEPDTLLDIFLK* >BrasyJ103300.1.p pacid=40089683 transcript=BrasyJ103300.1 locus=BrasyJ103300 ID=BrasyJ103300.1.v1.1 annot-version=v1.1 MEVRERMFLFFVGNTNAKKTKVSLSFKSSGAELKKMDSIMSMRILADHQVSSDVGAEILALSQAEFDRRRYAIPSLLRVDSYIYRNGIRLDEDAASDFRHLVFRDEFANGETWRSIFENFNSNGVANSSVGAAVRRLATVSSNAVVGENNPLDQFFIHPIIPLDIGNFFFSFTNPSLSMLLTLGFVLLVFFVVTKKGGGKSVPNAWQSLVELIYDFVLNLVNEQIGGLSGNVKQKFFPRISVTFTFSLFRNPQGMIPFSFTVTSHFLITLALSFSIFIGITIVGFQRHGLHFFSFLLPAGVPLPLAPFLVLLELISYCFRALSSGIRLFANMMAGHSLVKILSGFAWTMLFLNNIFYFIGDLGPLFIVLALTGLELGVAISQAHVSTISICIYLNDAINLH* >BrasyJ104100.1.p pacid=40089684 transcript=BrasyJ104100.1 locus=BrasyJ104100 ID=BrasyJ104100.1.v1.1 annot-version=v1.1 MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK* >BrasyJ103600.1.p pacid=40089685 transcript=BrasyJ103600.1 locus=BrasyJ103600 ID=BrasyJ103600.1.v1.1 annot-version=v1.1 MRQSLKGRALRHFTLSTGKSAGRNSSGRITVFHRGGGSKRLLRKIDLKRSTSSIGIVERIEYDPNRSSRIALVRWIEGVLPGRQRKCKTIEEFAPPRKILESTTATIFCLFSFSSLPGKGETASLSFGSSLGFPRIAVAGAKPAFFAPRMREKKIGKNTFSLCEIRKWRTQCTLWAHRIKRKAALSWQSLRQQKTLKLVGAAEHNESKLKADQGSLLPRQVLAYALCSGRPSYLHASRSFYKALLPVEASRFGSLPAKPPIGEGPKDGAYKVDRAPVVNTYILASHQLEAGNMVINCGCSKPSKSGFLRPARNAHTYLRFQELVRTVNKGRVEGGSQLAASWPRPPAYRYEILDLNSKVGNSIPLADIRMGTWVHDIECHPGQGAKLARAAGTYAKIIKEPAPQCLVRLPSGVEKLIDSRCRATIGIVSNPNHSARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGVGKRRI* >BrasyJ104000.1.p pacid=40089686 transcript=BrasyJ104000.1 locus=BrasyJ104000 ID=BrasyJ104000.1.v1.1 annot-version=v1.1 MTNPIERFLIRLFGKDRVMTRDRMRQSLKGRALSRAFSSSSAGEDFLFKCLFKSIAKAQKDRKRWISSEMIQYMGLLPADLSFHYVINFVNEDNDCIGLGSGESSGSSSLPTTWWEVWEQFSKTKCPKAPPLVDSACAGCQFWWAMRPLKDDTRETTFHVISNYQLFCQRGCLVDADFTFSQFDHSEILSSLNKIIIKDPLLTKALNIESLVTDQPWTDSMLDIACNLFC* >BrasyJ103400.1.p pacid=40089687 transcript=BrasyJ103400.1 locus=BrasyJ103400 ID=BrasyJ103400.1.v1.1 annot-version=v1.1 MELPYEMNDKLAQRVSHVHSYIRDFAHPELDPNLVYEHIYAGAKRDDPVADLFKLYGISDDNREPVFSELVNIIDLYLRECEMVLPPSYDVTEFCEQFLGPDDPQSLKASFADLFFHAQGSITWERGVTHLLREIWYAEEPASPISDSEIRFYVADSPQAVLMPPQPVLIENGTIGEVAENLSDLVSPLEPYQFFIHPIIPILFVLGLVLLFFWVVTKQGSGNNIFSKTQGPSNGILHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI* >BrasyJ103700.1.p pacid=40089688 transcript=BrasyJ103700.1 locus=BrasyJ103700 ID=BrasyJ103700.1.v1.1 annot-version=v1.1 MECQRNSNYVRLRKKSCVENSIFLLPITSKAFRRNTSCLFTFVVLCIVSTIASLVLVEESPCRPISLRSCPTVLESVQELLQRPGIDVGLESAQHEIKHGAIVFFTELLSILQSNITQCVQNLGVTGAGLL* >BrasyJ103500.1.p pacid=40089689 transcript=BrasyJ103500.1 locus=BrasyJ103500 ID=BrasyJ103500.1.v1.1 annot-version=v1.1 MLEGAKLIGAGAATIALARAAVGIGNVFSSLIHSVARNTSLAKQLFGYAILGFALAEALVFLVFAAGSGDFDPVSPGDSL* >BrasyJ103900.1.p pacid=40089690 transcript=BrasyJ103900.1 locus=BrasyJ103900 ID=BrasyJ103900.1.v1.1 annot-version=v1.1 MTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGISSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFLVVAITSSSGKNQKCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPAVKETKS* >BrasyJ103800.1.p pacid=40089691 transcript=BrasyJ103800.1 locus=BrasyJ103800 ID=BrasyJ103800.1.v1.1 annot-version=v1.1 MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINCIQG* >BrasyJ104200.1.p pacid=40089692 transcript=BrasyJ104200.1 locus=BrasyJ104200 ID=BrasyJ104200.1.v1.1 annot-version=v1.1 MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE* >BrasyJ091200.1.p pacid=40089693 transcript=BrasyJ091200.1 locus=BrasyJ091200 ID=BrasyJ091200.1.v1.1 annot-version=v1.1 MKKALKISRFTGISSASSSACAAASSPQAVLVARLTSEEPELVSDWLLARDEDLDPNMRENKKMLLQQRETQQKFIADQKARMEKEQAEKELLSKAIENLTEEELEARKAKLAADEEFLKELQASTKSRQEQLKKFLADKEEKKKAETKAKKAASRAAKNVTVSASAPQLAVIPEEEPASSPTSSQSTLPTTTSEAEVTGKGEENPQSVHLDPQPIRMRKAVEDTQSQIEEQHVEHHEASHEAPAEQVAPTLPLQQVSPHQVEQNVMQTEDIAPVRSSSAAVADDDAEVVSPVASSSKMEKNRKGGPTTTEDRALNRRLKKITKMNPAALNQQDFYSSVLMKKRVRIFAHKRIDEKWFNENLVFNRVIHKFLTDGSIGYNFHWMTDGEKYACGIRTVRRILNLRGFDNLPSLLVEVIASDEDLKILYEEGFTGTIGGLEGLNFEASCINTILRHTISSARLSSDSIQGYHRNLLLSVYLEQEKDFAKFILGRIVASSSHKLTPLPLAHLVQRFINLHTKKKFVEEHVHESLRLQLPPAVPSSSRQDAVSSPHHFNENLPLKGIIREPLDTNTNSSGSEDSLKTRLIQLEKFDEAEKLRIEREQKMEEDHGASERRIEDILQLVLQKLSQVVPAEAPAPVAPLEVSPEATLQVSDDYIPESVEDTATTATPVLVSPTAASSPTLPPPQA* >BrasyJ091500.1.p pacid=40089694 transcript=BrasyJ091500.1 locus=BrasyJ091500 ID=BrasyJ091500.1.v1.1 annot-version=v1.1 MAVPAATSSRFAAACDALSLYVKKSADHSRSRMPAVRPLPLMPGADVDVKEEPKAAAQLTIFYGGRVVVLEDCPADKAAALVRLAAAAAATAPEKNKELDLPVARKASLQQFMDKRKARLGGRAKPYRRPDDADYLALAL* >BrasyJ091300.1.p pacid=40089695 transcript=BrasyJ091300.1 locus=BrasyJ091300 ID=BrasyJ091300.1.v1.1 annot-version=v1.1 MAAMGSAKGRRFVAACGVLSRCVKAAERSTTATEARPVSTTVALPLMPGADVTEHPAAAAPPHAQMTIFYGGRVLVLDEFPADRAEELLRVAAAAGAARGSGGGGDLPMARKASLQRFMEKRKGRRAVPYSRPGGDECSSDLLTLAL* >BrasyJ091600.1.p pacid=40089696 transcript=BrasyJ091600.1 locus=BrasyJ091600 ID=BrasyJ091600.1.v1.1 annot-version=v1.1 MGISFKLSKVGVRVQPTARSAAPALPATETEKPAAGDKEGPCPESKREDTIVDRANDISPACSKAILAEHEVSFTFSLYDRGYLIAKSVLLDPCQPSVQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVICEIRDYRKNASNQAPAPSAELGLPVVNKVQLQMTFENVVRDITLLSDESWSYRDFMEAEARIVKALQPALCLDPTPKLDRLCQDPVPHKLNLGIGRKRRVRQNPEVVVTSNYMSHGKKVCIDRVSENAKADEMGTAGGNVAHQVLDNIVTQTMSGGSQPLRPSSSQDAARMSILSQSGIQQNINYSLVGNDRGAPPVNFTGVNSSISSQNMMAYNDNGLVSVKRELQEAPLQDPKRVKPTISTDDIQQQQQQQQIRSQSAALGGPDMQWKNQQLHQQLDVKGVQYAPSSHIQGYPSPMVNNMQDSGASYYFNQQGIRYSAKQEQAMAPESSVLDQQQSRAQHLSQQAAARNNPQNMTQWQNPRFSGEKDMKKEEMLQRRKLPATSRVSSAPMVQSPVSSKSGEISSSSIGGQFGSAVTSAVIGSQKDKFAASSNAAVGYPSVVSSPSDSTHRLQQPSVAHSKRKTNSVPKSQPLVSGVGSPASVSNMHALNASSPSMGTASMGDQSIIEKFSKIDAISHRHQLVNKKIKVDKVAQRKLMINASQEKVVTLLSSCFHTEDYKDETRPLCNSMLSGTINSFKTRILNFVLTNRVYQGPTKPFRISFKEKPDGTVLMQYGDAEDFGNQNSHECTLILPNKYYADLLATQLVTRMEKEGYDKADDQIVPITPPGNLSVLSGILPDNTANEVKREGGISQQLNAAAHGNMVPGIPLQQLSANRMLPSGNSNQALAMQQGYMQGGTMSPRSQQLDQNLIQQQQQPQLQQNAQLQQQTSLPLNQMQRPQPLPTSPLSQMMGSGSNLPMGSSHMGNTKSNPASLQLHMMQQAQQQQPGQMSRKVMMGPSSAMNMGNMVNVVGLSGLGNVMGIGNVRPMSSPMGSVAGLGNSPNQMSLGMASNLAAAGLRPGMNATALAKMRMGMTLPQQRVASMYPQTGMVGLTGSGSPMLPSSAGLSMMGHALNRNNLTLVQRAMMSSMGPPKVPGGNFQLSAQQQMHLQQLQQQQQIQQSPQQQQQLHQQQLQQQQLQQLQQQQHQQQQQQQQQQQQQQQMGSPLQQVAQVGSPAGSQQSLVMQQQQQQQISPQQMAAMSPQLSSGTMQQVNSNVVNHVGTPGPPPSPQLSSHSQNHGSVNSIANSPMEQLQGGNKGSM* >BrasyJ091400.1.p pacid=40089697 transcript=BrasyJ091400.1 locus=BrasyJ091400 ID=BrasyJ091400.1.v1.1 annot-version=v1.1 MLRNEMDAYTPRHKAVESVSSPQQELRTPRRRCSGSSASSSSSSPTLTRSRRPPPLPLRARRRRFKHQPADHPDLLLDNEDHDFGRDAVMDMSTVVDLYGSYTGVPFQQIDAANRQIWRIRAAEGEEPAADLVTGEEEEVVEGPVTGAEVEAVADPVTGGEEEEERWWARRRGSLCLCSWQFDV* >BrasyJ091700.1.p pacid=40089698 transcript=BrasyJ091700.1 locus=BrasyJ091700 ID=BrasyJ091700.1.v1.1 annot-version=v1.1 MQAEVPTPPVKANNKRKENFSDKEDEVLVAAWLHASMDPIVGTEQKNATYWNRIHEEYELHKREGSDRSVNSLSHRWSVLKEQIVDALKLFKSQDKTHKGFVLLHCWNMLRFEQKWLAQADRSSQSNKKQKSSSNASLSMSTHETNTVHIDDFEATSPAKADHMKRPTGKKAEKERQRRGKNVTSSDDSNVVMALDLVFSKGEAREMVRETARQARENAREASKKERYEGALAMEQKKIEIEERNMKMEIINKDLSSLDDDQKEYYKMLRRDIIDRRSKRSI* >BrasyJ080900.1.p pacid=40089699 transcript=BrasyJ080900.1 locus=BrasyJ080900 ID=BrasyJ080900.1.v1.1 annot-version=v1.1 MHRFCCLNCSIRDYRAGQFQFISAPNHISSLLLLVVPIFINNGLSISECF* >BrasyJ082000.1.p pacid=40089700 transcript=BrasyJ082000.1 locus=BrasyJ082000 ID=BrasyJ082000.1.v1.1 annot-version=v1.1 MTKSIKDLLDTLDINKISDPSGGSASSGKHESSYYSCNSSLHSHNPSSSEGLISLGSGSSSSSVNLPSPLESFSVNQPSPLESFSVNQPSPLESFSVNQPSPLTEEISGEGGSESISSPFNLGDNPANPILIRLAIHKKIYDLINSTQRGRDIDIMAATDRICRDNDKNITALEEQYEDLVKNGAESKAFKCGVGDLANFAAESPLELEQFSIPLALALGLFLFLVCIFLALRKVWKNRSENVTKSSAVVFVKNYV* >BrasyJ082400.1.p pacid=40089701 transcript=BrasyJ082400.1 locus=BrasyJ082400 ID=BrasyJ082400.1.v1.1 annot-version=v1.1 MELNQVTLQRHNDSRIHFEVDLFARPIVGMCTRYHKGPISQ* >BrasyJ083800.1.p pacid=40089702 transcript=BrasyJ083800.1 locus=BrasyJ083800 ID=BrasyJ083800.1.v1.1 annot-version=v1.1 MSPQTETKASVGFQAGVKDYRLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPAAGEDNQWICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMMKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRAACKWSPELAAACEVWKAIKFEFEPVDTID* >BrasyJ083700.1.p pacid=40089703 transcript=BrasyJ083700.1 locus=BrasyJ083700 ID=BrasyJ083700.1.v1.1 annot-version=v1.1 MRTNPTTSRPGVSPIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMRESGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSAGKYVGLA* >BrasyJ083600.1.p pacid=40089704 transcript=BrasyJ083600.1 locus=BrasyJ083600 ID=BrasyJ083600.1.v1.1 annot-version=v1.1 KEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALKIAEDNLSKAEGTKELVEAKLALRRARGYEKREEGYKKLLDSEARKRMR* >BrasyJ082600.1.p pacid=40089705 transcript=BrasyJ082600.1 locus=BrasyJ082600 ID=BrasyJ082600.1.v1.1 annot-version=v1.1 MRFSSADMKEKNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTVEEIREAFVPIALIREGLIVLRNKTFISGNSECK* >BrasyJ083200.1.p pacid=40089706 transcript=BrasyJ083200.1 locus=BrasyJ083200 ID=BrasyJ083200.1.v1.1 annot-version=v1.1 MSINEFSHYSLFPGLFVAFTYNKKQPPAFGAAPAFWCILLPFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCYRGRPQSHNVSKRRGYRETLIFSFVSNFVKNSILSLQQKSGAAPQLYTPFVRRTLVDSELRSQSKRPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVLQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLRSAGCVGPHIRSSLFTRSFKHFVGGAPALLLRSNRSLLMLLRRRFFAFSSLWTGALMDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCRLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSLLNILTLPCCVSGTFSIRSGLLAPVHSSATDDTRGRFLWRFFLLITGISMTLFYQMKQEASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWKNLASCWAGYSEPATGCRISSRP* >BrasyJ084000.1.p pacid=40089707 transcript=BrasyJ084000.1 locus=BrasyJ084000 ID=BrasyJ084000.1.v1.1 annot-version=v1.1 MDSANELDTHEEVNAHESNAQSEGTTESQERETAVDPSSGLPPPSAPAPELYTEEQLRRSPPINSEALFPSTSNQEADPADGELPARSASLHPTPAEEEASEAEIANTGATPQDTSEQAAVVQENERSTQPVSPPSPADEISYEGQAANAAHSHQHEPTSQPDASSNDQEAQMISVAEHASLPKCSSSLATEDLHKMDKA* >BrasyJ083100.1.p pacid=40089708 transcript=BrasyJ083100.1 locus=BrasyJ083100 ID=BrasyJ083100.1.v1.1 annot-version=v1.1 MPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSQRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRERTSYIPFLLNQETRSDVIPVRLHFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFQENDARTRGEEIRRSFYIDISVGKIIGKFLPARIWRRTKTEWFRLLTTQRGCRLLLKSWFLQELRSYMQEEDFERTNKFGSAKVCLGSSFAEHNRMKRNLFHFKYFFLLKRGKEKNRNLPTRTISPFVYKSSLYSNSTYCSGSPLTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI* >BrasyJ084300.1.p pacid=40089709 transcript=BrasyJ084300.1 locus=BrasyJ084300 ID=BrasyJ084300.1.v1.1 annot-version=v1.1 MDIAARLAIIPHEIKDIENNRLQLEQILGGIWWPMFLFSVDPGSGYIHNHILHLQNKIRILENRKKALLEEQQSLIVAAAHHGEKNGKRI* >BrasyJ083300.1.p pacid=40089710 transcript=BrasyJ083300.1 locus=BrasyJ083300 ID=BrasyJ083300.1.v1.1 annot-version=v1.1 MMSIYWSRSFPRSNSSFLLCSGNASQSSVLRLRLREEMFLVDAGPGTPKICMQDELTGLPIKRATRFENKVGSKNVVAGESLIKKRILERFFIDLVAGESLIKERAAARFNDFVGSLDVAAGEPLLLPQRFRQNRAWIELKKIWRTKKKVKGFIRKKIKGGYSVAIAGFITFLPFKKALIKKRIANDRFTIDSINPKRRDIVIIAADQTRT* >BrasyJ084200.1.p pacid=40089711 transcript=BrasyJ084200.1 locus=BrasyJ084200 ID=BrasyJ084200.1.v1.1 annot-version=v1.1 MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLQDKIGRSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPSSSGACLGGVPPEIGLEALALPTSRLLMAVGHDYYKKVKMNLSISHGGVCIFMLGVLLSSTNTNKIQFTQRLPLGPELHMGKERCCLRGLDHLHGPTSHSICGNFMIYKPSPTSERFMFEHDESLRADLLPINFPASYENGKLEDFLHRWMKNHEHKNFWFSMFPERRYFFSIRETRSTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFIFSIRIGFLLASSGGSRSLLRQLQKDKLHWNRESFVHNCIKGVKIVAAARRGSSNNLSSLPQWISILL* >BrasyJ082700.1.p pacid=40089712 transcript=BrasyJ082700.1 locus=BrasyJ082700 ID=BrasyJ082700.1.v1.1 annot-version=v1.1 MALAWAFAQLDYQSSIMANEGTQTRSRQLEEQVRAMRESMDKIQADLAERMQQQSEEFQAQKQLQQQQFEKQVVESEIQREVHIFLEPNPLPCRSRTKEESDSKLHASFMTLWV* >BrasyJ082200.1.p pacid=40089713 transcript=BrasyJ082200.1 locus=BrasyJ082200 ID=BrasyJ082200.1.v1.1 annot-version=v1.1 MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKQIRK* >BrasyJ082800.1.p pacid=40089714 transcript=BrasyJ082800.1 locus=BrasyJ082800 ID=BrasyJ082800.1.v1.1 annot-version=v1.1 IVLSGSGRLVHGPLDGRCKARNWNTLIPLVPVLRFRACPSVWSVLHTVGQQSLHLFTYYDGSPGPLSSSLFCSLVGVQTPTKGEGVD* >BrasyJ083900.1.p pacid=40089715 transcript=BrasyJ083900.1 locus=BrasyJ083900 ID=BrasyJ083900.1.v1.1 annot-version=v1.1 MGNALPLTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPPWGR* >BrasyJ083500.1.p pacid=40089716 transcript=BrasyJ083500.1 locus=BrasyJ083500 ID=BrasyJ083500.1.v1.1 annot-version=v1.1 MVCGKTLLSLVSLRVLLEVNKGNAYSTTALSDNRPDSSPSPSTRTDLWKSSKTSIAQLTYL* >BrasyJ082100.1.p pacid=40089717 transcript=BrasyJ082100.1 locus=BrasyJ082100 ID=BrasyJ082100.1.v1.1 annot-version=v1.1 MGDHWSDASGETNSQGVTGVPLFSNRAPSLSEHSAFLVCSTHSTPSTQSRDQNHTLDHTFHERKGQAAAAKPRSPSLDSVNQSYLIGHKGIFTIRDVCTY* >BrasyJ083000.1.p pacid=40089718 transcript=BrasyJ083000.1 locus=BrasyJ083000 ID=BrasyJ083000.1.v1.1 annot-version=v1.1 MKSATRKVSTFNMLEGAKLIGAGAATIALAGAAVGIGNVFSSLIHSVARNPSLAKQSFGYAILGFALTEAIASFAPMMAFLISFVFRSHKKS* >BrasyJ084400.1.p pacid=40089719 transcript=BrasyJ084400.1 locus=BrasyJ084400 ID=BrasyJ084400.1.v1.1 annot-version=v1.1 MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKIRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMALKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR* >BrasyJ083400.1.p pacid=40089720 transcript=BrasyJ083400.1 locus=BrasyJ083400 ID=BrasyJ083400.1.v1.1 annot-version=v1.1 MRPFGEAKSISGHQTLQLRKRPYGVKGSVYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPGKVTRLGVRGSIVPAASRTSYSSFYKERVRDLFSATEKNKLR* >BrasyJ082300.1.p pacid=40089721 transcript=BrasyJ082300.1 locus=BrasyJ082300 ID=BrasyJ082300.1.v1.1 annot-version=v1.1 IWKNPRSLSPTTMPPGRKERGRHSLSFLNRSDHFSHSLLFVWGPGLVRFLLLSTQFSVFVRDHLRRKDR* >BrasyJ082500.1.p pacid=40089722 transcript=BrasyJ082500.1 locus=BrasyJ082500 ID=BrasyJ082500.1.v1.1 annot-version=v1.1 MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHHTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPLILVGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGRVASAIFSSIWIFFAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFISLLALPFFKEMYVRSSSFRPIHQGIFWLLLADCLLLGWIGCQPVEAPFVTIGQIPSVFFFLFFAITPIPGRVGRGIPKYYTDETHRTGSVS* >BrasyJ084100.1.p pacid=40089723 transcript=BrasyJ084100.1 locus=BrasyJ084100 ID=BrasyJ084100.1.v1.1 annot-version=v1.1 MILRSLECRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN* >BrasyJ082900.1.p pacid=40089724 transcript=BrasyJ082900.1 locus=BrasyJ082900 ID=BrasyJ082900.1.v1.1 annot-version=v1.1 MLIVVTFISSLVHLYSISYMSEDPHSPRFMCYLSIFTFFMLMLVTGDNFLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMLVNRVGDFGLALGIFGCFTLFQTVDFSTIFACASAPRNEWIFCNMRLNAITLICILLFIGAVGKSAQIGLHTWLPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYSPTALIVITFAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPLTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDRLRCHDAPIPMAIPLILLALGSLFVGYLAKV* >BrasyJ081200.1.p pacid=40089725 transcript=BrasyJ081200.1 locus=BrasyJ081200 ID=BrasyJ081200.1.v1.1 annot-version=v1.1 MDRLPDDLLRRVLHFAPAKEASSTSALSRRWRSLWRSPGAVNLEACAHPDLQRDAFLCAAKAALDSAADPVARLTFHAESAEGHYPLRQFLGGEFPWSVEDHMDIVARVLCHPALRRVEELMVAPHHRLADLPNDLLRRVLRFAPTKEASSTSTLSRRWRSLWRSSGAVNLEERAHRDLHRDAFLSAANAALDSTAEPVARLTFLAESAEGHYALRQFLGGEFPWSVEDHMDVVARALCHPALRRVEELMVAAVDGLCRVRFFALSLASLPLDTLRVLDLTSCRGLTPPPPGVAFPRLASLRLRLCAVPLNQLQCLINAAPALATVRLEAVDLVPAEDWDDDEEEEEGAGPPLRLRCPSATSLTLENCRWLRRDRELELDAPGLRRFRYKGSLRRFSRSPQPRPCLARADLHLISERPFYYHDPCQEAREREVFWRSVQSLGNVKELKLKVNWLEDIAVVGAAERAKLLCRFGGLERLELEGAHRPKGKTAAAAIANLLRCCPAVRELRIRLTVARSDYFPGRSECPSSSLLSELRDDFNTSLDRFQHHRSQPVVSIDGEGDDVVNRDEVSDLPALSGGRPFSCLQSSLRCVGLRARMENSNCFGANLIKFFAQNAVLLEEMRIDDGNGKMHEHMIHKVEKWIASSSRGARSDSPAKVWTTMFAGPRERPPSNMVWTTCMQSN* >BrasyJ081100.1.p pacid=40089726 transcript=BrasyJ081100.1 locus=BrasyJ081100 ID=BrasyJ081100.1.v1.1 annot-version=v1.1 NNSEAITYKHKIIQCSALSNIQLNHVRANRNIISNSIKWLMTKEIESEREGRERCCALKAECQWNHRGIGGKRKGNRGRDAAPAP* >BrasyJ081400.1.p pacid=40089727 transcript=BrasyJ081400.1 locus=BrasyJ081400 ID=BrasyJ081400.1.v1.1 annot-version=v1.1 MARASERILSLEGLLLLAIIASRILATLADESDDNRKALLCLNSRLSIWNSTTSPDFCTWRGVTCAATTKPLVMALDMEALGLTGNIPPCISKLTLLARIRLPNNQLSGHLPPELGQLTRLQYLNLSGNTLTGEIPASLSSCAGLKVLVLSSNSIEGVIPPQLGTQEHLETSLVMPARCNKLSGQIPPQLGSGSSLALEYVSLANNFITGEIPEFLANSSSLRYLYLQNNSLSGAIPAALFDSPTITEIVLGKNNLSGTIPPFTESTSELNYLDLSINGLTGTLPPSVGNLSSLTGLLLSQNQLQGNIPDLSKLKGLQFLDLSYNNLSGTVPPSIYKLSQLTFLGLANNNLGGTLPSDMGNSLPNINTLMMSDNHFEGDIPASLANTSRMEFMYLSSNSLSGVIPSFGGMPNLQIVMLHTNQLEAGDWTFFSSLANCTQLQKLNLGGNNLRGDFPINSVADLPKTLDGLTLQSNYISGIIPLEIGNLSKISILYLDDNLFTGPIPSTLGQVFWRDSSFHCLAGCQKLVALNLSSNTLSGDISGLMFSKLNQLSWLLDLSHNQFTDSIPVELGSLINLGSLNLSHNKLAGKIPSTLGACVRLEALRLEGNLLQGSIPQSLANLKGVKVLDFSRNNLSGTIPEFLETFTSLQYVNMSFNNFEGPVPTGGVFTNTSNASVQGNADLCSNVGVNDFPRCSTLASKRKYKFTVPLLAALSGLVAVALSLGLFFFVFNVLRKRKCKSSESIDHTYMEMKRLTYNDVSKATNSFSPANIVGSGQSGTVYKGQLDGEDTMVAVKVFKLNQYGAVGSFVAECKALQNIRHRNLVKVITACSTYDPMGNEFKALVFEYMVNGSLENRLHAKFPEQNADLGLGMRICIAVDIASSLEYLHNQCIPPVVHCDLKPSNILFDGDDTAYVCDFGLARLIRGYSSGFQSNSTSIVGPRGSIGYIAPEYGMGTPISTEGDVYSYGIIILEMLTGRRPTDDAFRDGLTLRKYVEASLSKVEDILHSSLIAEMRHPHADHIPEAEEYRITTQMGVCALQLLKLGQICSEELPKDRPRMHEIYSEVTAIKEAFFSMNSY* >BrasyJ081500.1.p pacid=40089728 transcript=BrasyJ081500.1 locus=BrasyJ081500 ID=BrasyJ081500.1.v1.1 annot-version=v1.1 MAWRLHMNRLLVPGFLTVAIFLLVIIINAAAAQSDPQGSSPSRPGCPACVCSCYRCRCPCRCG* >BrasyJ081600.1.p pacid=40089729 transcript=BrasyJ081600.1 locus=BrasyJ081600 ID=BrasyJ081600.1.v1.1 annot-version=v1.1 MMHTRLDEMASTSVRIKALAPLATMLILATLADESSDNREALLCLNSRLSIWNSTTSPDFCTWRGVTCTMTIQPLAAAKVMALDMEALGLTGDIPPCISNLTSLVRIHLPNNQLSGHLPPELGQLTRLRYLNLSTNALTGEIPASLSSCAGLEVLVLSINSIGGAIPPELGALRNLSYLDLATNKFSGTLPPTVGNLSSLTALLLSQNQLHGNIPDLSKLSGLQFLDLSYNSLSGTVPLSIYKLSLLTFLGLANNNLGGTLPSDMGNSLPNINILMMSNNHFEGNIPASLANASTLEFMYLGNNSLSGVIPSFGAMTNLQVVMLHSNQLEAGDWTFFSSLANCTRLKKLNLGGNNLRGDFPVNSIADLPKTLDGLTLQSNYISGTIPPEIGNLSKISLLYLDDNLFTGPIPPTLGQLHNLFILKLSQNMFSGEIPPSIGNLNQLSELYLQENQLSGSVPTSLAGCQKLVALNLSSNTLSGNISGLMFSKLNQLSWLLDLSHNQFTYSIPVELGSLMNLGSLNLSHNKLTGKIPSTLGACVRLESLRLEGNLLQGSIPQSLVNLKGVKVLDFSRNNLSGRIPEFLETFTSLQYLNMSFNNFEGPVPTGGVFTSTNNASVQGNPHLCSTVEVNDFPRCSTLVSKRKHKFIVPLLAALSGLVAVALILGLFFFVFNVLRKKWKSSESIDHTYMEMKRLTYNAVSKATNSFSPANIVGSGQSGTVYKGQLDGEHTMVAIKVFKLDQYGAVGSFVAECKALQNIRHRNLVKVITACSTYDPMGNEFKALVFEYMANGSLENRLHAKFHKQNADLGLGVRICIAVDIASSLEYLHNQCIPPVVHCDLKPSNILFDDDDTAYVCDFGLARLIRGYSSGVQSNSTSIVGPRGSIGYIAPEYGMGSPISTEGDVYSYGIIILEMLTGRRPTDEAFRDGLTLRKYVEASVSKVEDILHPSLIAEMRHPHADHTPKAEENRITTQMGVCALQLLKLGQICSEELAKDRPSMHEIYSEVIAIKEAFFSMNSY* >BrasyJ081600.2.p pacid=40089730 transcript=BrasyJ081600.2 locus=BrasyJ081600 ID=BrasyJ081600.2.v1.1 annot-version=v1.1 MGNSLPNINILMMSNNHFEGNIPASLANASTLEFMYLGNNSLSGVIPSFGAMTNLQVVMLHSNQLEAGDWTFFSSLANCTRLKKLNLGGNNLRGDFPVNSIADLPKTLDGLTLQSNYISGTIPPEIGNLSKISLLYLDDNLFTGPIPPTLGQLHNLFILKLSQNMFSGEIPPSIGNLNQLSELYLQENQLSGSVPTSLAGCQKLVALNLSSNTLSGNISGLMFSKLNQLSWLLDLSHNQFTYSIPVELGSLMNLGSLNLSHNKLTGKIPSTLGACVRLESLRLEGNLLQGSIPQSLVNLKGVKVLDFSRNNLSGRIPEFLETFTSLQYLNMSFNNFEGPVPTGGVFTSTNNASVQGNPHLCSTVEVNDFPRCSTLVSKRKHKFIVPLLAALSGLVAVALILGLFFFVFNVLRKKWKSSESIDHTYMEMKRLTYNAVSKATNSFSPANIVGSGQSGTVYKGQLDGEHTMVAIKVFKLDQYGAVGSFVAECKALQNIRHRNLVKVITACSTYDPMGNEFKALVFEYMANGSLENRLHAKFHKQNADLGLGVRICIAVDIASSLEYLHNQCIPPVVHCDLKPSNILFDDDDTAYVCDFGLARLIRGYSSGVQSNSTSIVGPRGSIGYIAPEYGMGSPISTEGDVYSYGIIILEMLTGRRPTDEAFRDGLTLRKYVEASVSKVEDILHPSLIAEMRHPHADHTPKAEENRITTQMGVCALQLLKLGQICSEELAKDRPSMHEIYSEVIAIKEAFFSMNSY* >BrasyJ081700.1.p pacid=40089731 transcript=BrasyJ081700.1 locus=BrasyJ081700 ID=BrasyJ081700.1.v1.1 annot-version=v1.1 MSLCSGLLSSSRRLVALGAAGAGRRGREGGPRRGASKRRRGAVAGGAEGAGRAGRRSSAVGWMRKPAARGIRAGGAEEAGLPVDGGQRPFMLRRRPATFHAVAAATGKAPPPFQPGSSKAETRFLPCPVRVLVMRLDLLEAVG* >BrasyJ081800.1.p pacid=40089732 transcript=BrasyJ081800.1 locus=BrasyJ081800 ID=BrasyJ081800.1.v1.1 annot-version=v1.1 MMVWRYRLVLGLVTAGIILLIIINPASPAAAGPVCPPCTCCRYPCGCGRS* >BrasyJ081000.1.p pacid=40089733 transcript=BrasyJ081000.1 locus=BrasyJ081000 ID=BrasyJ081000.1.v1.1 annot-version=v1.1 MKSRSERRRLVRISDCAEATDCEQGGGGGSEGLQQRGHRRRRRSSSRRTPDFPTFTRRGRWRRAGALPLIEERTRDVEVVQIAAMPANVKLRSGHVQRGRLSRR* >BrasyJ081300.1.p pacid=40089734 transcript=BrasyJ081300.1 locus=BrasyJ081300 ID=BrasyJ081300.1.v1.1 annot-version=v1.1 MGDAARCGEPASRRATGSGDGRGKQRPRLVVAQRRFGANPGRPAVAAPGQSEAASLVSSGARRRGDLRRPKATGSSGVGAIVGGASAATDWSQGLR* >BrasyJ081900.1.p pacid=40089735 transcript=BrasyJ081900.1 locus=BrasyJ081900 ID=BrasyJ081900.1.v1.1 annot-version=v1.1 MASGDRISNLPDDLLGWILYFAPAKEAASTTAHASRFVFEGFVTPNRERDDLWRYAMAALRCGLPAVRKLTFSVDMEASYLLALHTLLRRRQKGSDHLLGALLAEPSARRVEELRVGVHYCSVSAPTGRAGVCEFSSDIAPSFCELPIGSLPSEALRDLQVTNFCCSAPGPGGAPAVLAFPLLAAMRLHRCAVSVENLQGMIDAAPQLATLRLHSVFLRDDGPRARLRSPAVTALVMADCGWELENGNENTGVELDAPALRRFRYKGLVRRFSILSAMPDLTWVDLHLGVRGDPIPPYRQLFWQFVHNFSNTKALKLRVDYLEDIAIAGDEIRNGVELHGNNILFSNLERLDLEALYKPGREAAGVAIANFLHCCPAIRVLRLNLSTVEASYGLEYQGSFGQYFLRKKYQQDFGKSVDCFLRRRIKPMINSSIDDGNEVSDVPGLSGHSFNCLQSSLRSLSLQFRHTSLEFQMEKANCFGVRLAKFFAENCIVLEEMKTDNGNQKLHDHINHKVGTWVANSSKRRNWPI* >BrasyJ073000.1.p pacid=40089736 transcript=BrasyJ073000.1 locus=BrasyJ073000 ID=BrasyJ073000.1.v1.1 annot-version=v1.1 MSPIHATPSPPSHRRSTATVPELDRRGHAPPLLPPKNSPTAVRVPDRVKPRRTSRCLPWVRDAGARTAEIAGRRTGHWTVGVFLVFLNSGGGRNPLLFLCTATSTNYFAAPSLPRPAIPFRAQLASDSVPEVEYYEDDVQ* >BrasyJ073200.1.p pacid=40089737 transcript=BrasyJ073200.1 locus=BrasyJ073200 ID=BrasyJ073200.1.v1.1 annot-version=v1.1 MISARYMLQAQHGIVKHEIEEEALSEDLSCRIKLGIRKNNNGKWEITKRGVVNLMPSSDNDQTEHFASGNCISPTSSNDHKHTEYLGPGQYDYPASNVHDLDPEPRNQDIIVLSDSDDDDAMLLAPDAKISLLGIYSL* >BrasyJ073100.1.p pacid=40089738 transcript=BrasyJ073100.1 locus=BrasyJ073100 ID=BrasyJ073100.1.v1.1 annot-version=v1.1 MAGAGDKSRTLLRTPTEDAVGKRRREKWEVEFARYFVRPRRGPATPPPPGLRYISRGKLRHHGTWLPAASPATLRIAPPSHSFAAPVLTVSIGDVVYEEHHVYTLNFSWPQVACMTQCPIRGSRVVFMSFCDRSKQIQKFAVRFPHLSDAESFLNSVKECSRNTIDILPSRSDYVCEDSSSSEYTASDGLQYRLDDATNFEPTSYHGTYAPAVSYHEEPDQPVLRSPLATNIDEIYSGFPHCYSEVLTSYSIKNEKDGEEPCLATATDHAPENAYILDSCHDAVSVAGNESIADKGKVGGKEVDASKETCDLLAGMKTYGTDDSFHDMLSKLDKAIDELGGDMLL* >BrasyJ073100.2.p pacid=40089739 transcript=BrasyJ073100.2 locus=BrasyJ073100 ID=BrasyJ073100.2.v1.1 annot-version=v1.1 MAGAGDKSRTLLRTPTEDAVGKRRREKWEVEFARYFVRPRRGPATPPPPGLRYISRGKLRHHGTWLPAASPATLRIAPPSHSFAAPVLTVSIGDVVYEEHHVYTLNFSWPQVACMTQCPIRGSRVVFMSFCDRSKQIQKFAVRFPHLSDAESFLNSVKECSRNTIDILPSRSDYVCEDSSSSEYTASDGLQYRLDDATNFEPTSYHGTYAPAVSYHEEPDQPVLRSPLATNIDEIYSGFPHCYSEVLTSYSIKNEKDGEEPCLATATDHAPENAYILDSCHDVSVAGNESIADKGKVGGKEVDASKETCDLLAGMKTYGTDDSFHDMLSKLDKAIDELGGDMLL* >BrasyJ051300.1.p pacid=40089740 transcript=BrasyJ051300.1 locus=BrasyJ051300 ID=BrasyJ051300.1.v1.1 annot-version=v1.1 MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKTASSLRTSGVRSAFSRAAGGCTTLSTGRSRTSCCSAARSTTSSSRRQRPLQRLR* >BrasyJ051300.2.p pacid=40089741 transcript=BrasyJ051300.2 locus=BrasyJ051300 ID=BrasyJ051300.2.v1.1 annot-version=v1.1 MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKTASSLRTSGVRSAFSRAAGGCTTLSTGRSRTSCCSAARSTTSSSRRQRPLQRLR* >BrasyJ051500.1.p pacid=40089742 transcript=BrasyJ051500.1 locus=BrasyJ051500 ID=BrasyJ051500.1.v1.1 annot-version=v1.1 MDGAGVLWSRQMESSSSTLPAILFTVAALAAGAFAAYFYAPSWRLRRVPGPLAYGLIGHLPLFTKHGPEVFGVLARRYGPIYRFYLGRQPVVVIADAELCREAGIKKFKSIVDRSVPSTIRSSPIHFKSLLFTKGARWQSMRNVIIAIYQPSHLASLIPAVHPYIRRAALFLNHGDEIAFSDLAVKLFSDTIGQAAFGVDFGLTKPNDSNNSDDINTKNKATDDFIEKHLYALTSLKADLNGSLSMVLGTAAPLLQEPARQLLLRVPGSADRRMDETNRALSGLVDAIVAERAAAQSGGEKKNFLSVLLKARESSEAMRELFTADYVSALTYEHLLAGSGSMSFTLSGLAYRVAMHPEELVPDAEELNTKFTYLEQVLKETMRFYSSSPLVSRETTEDVEIGGYLLPKGTWVWLATGQLSKDPKHFPDPYTFRPERFDPEDEECKRRHPYAFLPFGIGPRGCPGQKFAMQQLKLTVIHLYRRYVFRHSPGMEFPLQLEFSIVNNFKHGVKLQVIDRQEH* >BrasyJ051500.2.p pacid=40089743 transcript=BrasyJ051500.2 locus=BrasyJ051500 ID=BrasyJ051500.2.v1.1 annot-version=v1.1 MDGAGVLWSRQMESSSSTLPAILFTVAALAAGAFAAYFYAPSWRLRRVPGPLAYGLIGHLPLFTKHGPEVFGVLARRYGPIYRFYLGRQPVVVIADAELCREAGIKKFKSIVDRSVPSTIRSSPIHFKSLLFTKGARWQSMRNVIIAIYQPSHLASLIPAVHPYIRRAALFLNHGDEIAFSDLAVKLFSDTIGQAAFGVDFGLTKPNDSNNSDDINTKNKATDDFIEKHLYALTSLKADLNGSLSMVLGTAAPLLQEPARQLLLRVPGSADRRMDETNRALSGLVDAIVAERAAAQSGGEKKNFLSVLLKARESSEAMRELFTADYVSALTYEHLLAGSGSMSFTLSGLAYRVAMHPEVEEKLLREIDVRVWAQGTCA* >BrasyJ051600.1.p pacid=40089744 transcript=BrasyJ051600.1 locus=BrasyJ051600 ID=BrasyJ051600.1.v1.1 annot-version=v1.1 MSTSEWGRGACRRYFPRRWRWQWPAAPFPRGAAAGSISPPASPSVISAKQSCFPPCPHVCTCGGAVNSCVRSIRTDGGSIGARHAVPCQRQGSGSHPGPMNRDST* >BrasyJ051400.1.p pacid=40089745 transcript=BrasyJ051400.1 locus=BrasyJ051400 ID=BrasyJ051400.1.v1.1 annot-version=v1.1 MPISRVAVGSHHEVYQAGALKAAVAEFISTLIFVFAGQGSGMAFNKLNAGGAATPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGNITLFRGLLYWVAQLLGSAAACFLLRFSTGGLPTGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSIGTIAPLAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWEWGYQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPSTDY* >BrasyJ037500.1.p pacid=40089746 transcript=BrasyJ037500.1 locus=BrasyJ037500 ID=BrasyJ037500.1.v1.1 annot-version=v1.1 YSSLRCHARSFSIARCLRFLLVLPAGGAVIRGSFSTSIRCPDSCRFVVRWLRPRRCYISPSRRSVASVVGRLAGFGGDFLVAVAPFVDLLAWPEFGISPLFLLCVVVGELLPIAGCCWLVIWVLDFVIVVVVPSSGRAGPGSAAGERRRRRDGPHERDGSGNGNGQPQNRRIDSSSYYHQVLS* >BrasyJ037700.1.p pacid=40089747 transcript=BrasyJ037700.1 locus=BrasyJ037700 ID=BrasyJ037700.1.v1.1 annot-version=v1.1 MASGTKSDLLSGSPDGHGYFNGSRGPYSAASLERSGSFREGGDSYASFPVSSSSRSPAVDSVTLLQSLAMDPRTTTLDQKTSRIDVRKSISSIFGISPEESTSTTCTGRNFPYSVEEIRRLKNNVNDMSTKARERARGFGSAVAKIDKYCPNISRKRSRGDGSSNERSTPSLSGGVISRIGPQGHLNADDTELGPQREERTKNAVQNRRLRTSMAEMDVRTTSLSRGLGPIDRSLDPGKVTNGGSAVPEEKTRGLATSIDGWEKPKMKKKRSAIKADVSLAGTSRTVDADREQKQGMQPKFSNDARARMGSSPSFRSGTVVSGTGKADLLSAQNGLVGRSLNRSDQDSGFHPTNKRDRQVVLDKEMSSPKVMNKLNEDDTGANITSVSKASGSARGPRSNSGSLLKSSPNIHRLQANPDDWEHPSGTNKLNSASGSGNSKRTKSAHSLSPPTQWGGQRPQKISRSARKSNLVPIITGADVAFVSGSLESPSINEESVGLPRRASINGPQQAKRGDHGLLTGSEGDESGFAEKKLRDKSKRAGELDDGHCGFQKIAMLGHPSKRNKLSADEDIGDASRRQGRIGRGFTPTRPSTPSSIEKLENAPTTKQRSVRTVSERNESKSGRPLIKKISERKGNARPRHTSSNVQSDSPVQSEDDHEELLAAANTALRSAYASSFWRQVEPFFGFLTTEDIAYLSQQIHLPDDSAASRSVEGDGSRKYKGSLEYISEPSTPAASSKDDHSALPNGYALNGMVNDVGIAWGTSCIEPILDHLVQGIDVREGGSVGQRLIQAWIDEDKVDDIASNIYRSEGYPFDTHEIHFDGGGWKSHSEGYKLEPLINFEAAENCSNGLISGSGWKYHDELSPKNHNAMEKAKVWPEFQYSEMCLSDRIIIELSEVGISIEPVPDLAQSEDEDVNAEICKLEGQLHKEVMEKKNLLVKLDDIVRTEKESQQRDFSRRAMERLVLIAYEKYMAFCGSNTSSSKNVNRAGKHAALSFVKRTLARCQIYEEAGTSCFDEPPFKDMFISATSHRRVPDSVSQDNNTRPKSVQRPNASDASRTSSHLSDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGAALVSNTKGKRSERDREGKGHNRDGGRSGRPPSSNAKGERKNKTKPKQRTANISAPVSSALSRDPQLQTKITTSDNSKDSTSAASRRDEPVNATNDAEIPDLSNLELPGIDGDFGGWLNIDDDDGFQDLDLMGLEIPMDDINEINLMI* >BrasyJ037600.1.p pacid=40089748 transcript=BrasyJ037600.1 locus=BrasyJ037600 ID=BrasyJ037600.1.v1.1 annot-version=v1.1 MPPKKGEDPKADVPAARTRSHGASRASPGQEDRESSRARQQRQQPPPQQHQARERPPQLPPPPPRPQGDSRLGKAPVPNEGTDASHTNDAPIGRLERQEHPRPNPGDGQASGRNLPPPPPVRTRAEALAAAHAMVRYEPPQGTPAHEAWCAELYAFIEFAGRCAEGPRVVSGSCSGTSRGCDPVQNPEPGPEEQPRVPPQQALPGRGDHGAVGAGDSVGSSTTILTEDARGVLNGRLQEDLRERLARRRRREFERQQERER* >BrasyJ037000.1.p pacid=40089749 transcript=BrasyJ037000.1 locus=BrasyJ037000 ID=BrasyJ037000.1.v1.1 annot-version=v1.1 MASAQERSELDRKAREGETVVPGGTGGKTLEAQEHLAQGRSRGGQTRKEQIGEEGYSEMGHKGGETRKEQMGSEGYREMGRKGGLSTMEESGGERAAREGIEIDESKFRTKS* >BrasyJ037100.1.p pacid=40089750 transcript=BrasyJ037100.1 locus=BrasyJ037100 ID=BrasyJ037100.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYMVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ037400.1.p pacid=40089751 transcript=BrasyJ037400.1 locus=BrasyJ037400 ID=BrasyJ037400.1.v1.1 annot-version=v1.1 MADKQPVVERHVAEEEGEDSSAAAAAAAVGEEEDTGAQVVPIVRLEEVAVTTGEEDEDSLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISTTKMQEHAGSDKSCVWHAADFADGEVKDEMFAIRFGSVENCKKFKDLVDEIAESLAKKEGDGSEEGSAAAGLMEKLSVSETKPQESSAKEEPTDAGKETETTAVVTPAE* >BrasyJ037800.1.p pacid=40089752 transcript=BrasyJ037800.1 locus=BrasyJ037800 ID=BrasyJ037800.1.v1.1 annot-version=v1.1 MVPSTGAAGGESSSSGGRVKGSWSPEEDSLLRAAVSRHGARNWSVISGEVPGRSGKSCRLRWCNQLSPGVHRRAFTPEEDAIIVEAHNQCGNKWATIARRLVGRTDNSVKNHWNSTLRRNRRAAAAAAAAGNAILFAPPEAAAAVSSFQSLNLTEEEDDDDGSDDSLPVPTKRQCVDGIAVKLQESPPEPDPPTSLSLSLPGVQGSSGAKVSTVPDQLASERAKLEQNPWLKAAMQQMVAEEVQRHMGSVYSALMASLASGGGAANPPNKARIDRAVPYPCPAAAAGSRDQKADGRTDGRMDRQTDR* >BrasyJ037200.1.p pacid=40089753 transcript=BrasyJ037200.1 locus=BrasyJ037200 ID=BrasyJ037200.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYMVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKSVGIGHSIELAIQQVAYMCVTLLRTKYERLNSGPFKYIPRGFISCENKFITLPGLPEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENKVRYPPRPPAPELTKVFQVEGFTPARGPPRVFETTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ037300.1.p pacid=40089754 transcript=BrasyJ037300.1 locus=BrasyJ037300 ID=BrasyJ037300.1.v1.1 annot-version=v1.1 MSSDDEVREEKELDLSSNDVVTKYKTAAEIINKALKLVLSECKPKAKIVDICEKGDNFITEQTGNVYKNVKKKIERGIAFPTCLSVNNTVCHFSPLATDDAILEENDMVKIDMGCHIDGFIAVVAHTHVIKDGPVTGRAADVLAAANTAAEVAMRLVRPGKNNKDVTEAIQKVATAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRVTSHSLQELQPSKSIEDNAEIKGWLALGTKTKKKGGGKKKKGKKGDAAEAEPMEEGSKDAPSQE* >BrasyJ089100.1.p pacid=40089755 transcript=BrasyJ089100.1 locus=BrasyJ089100 ID=BrasyJ089100.1.v1.1 annot-version=v1.1 QIASSPPTPPSSSKPAPPPPRPRTHPPPPRGPDPFAPSAGRRRRRRPPAPPPAVCSGGHRPRGGSAPRLLLFVARVLFLSFHRSGQEARGLGLRWWNPVPPARSRPPPRSPLPASSPGSSPSTGKPLLPSLGRARAQTLEYKRRSKRRESFIGSQGHLRC* >BrasyJ003100.1.p pacid=40089756 transcript=BrasyJ003100.1 locus=BrasyJ003100 ID=BrasyJ003100.1.v1.1 annot-version=v1.1 MAEPIGALLLSEGMPGQQNSGGSTSSRSDGSEYDIIPKSYSTPRNFPSRRSFLSKPIHPLSLPEHAQEGQETCSPVASTNSNNPLCSEFKGIGERSSPGLMDYASGSHEEPLDWSAPSSMDLTDFTERPEAECIAPFHPINIMDKTRCDLCERLLSKRSPWGSRRIVRTGDLPIAGVLPCCHVYHAECLERSTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCAQAGDCVAAAVQIPRPSGIALLGRSGHRRHGPSKGESGKDET* >BrasyJ003200.1.p pacid=40089757 transcript=BrasyJ003200.1 locus=BrasyJ003200 ID=BrasyJ003200.1.v1.1 annot-version=v1.1 MPPRRDPRRDGSASPPRSTPCAGPRGSSPASRPPRGTSPIEPPPTTTSPHQRRPGAEDPGALLPGPSLAPASPMWLVADLLRRRRTVTFSFEDYCNEEEEKRRGARRGERRGMRGLRRALADSSGLGVALGGASEGEEAAGRRVGTGGSSAGGGEDVSVARSRCSFARSGASPDLDSRPGSASPPRSRFRPRQALPPPGTGPHRRPPSPADIARR* >BrasyJ018900.1.p pacid=40089758 transcript=BrasyJ018900.1 locus=BrasyJ018900 ID=BrasyJ018900.1.v1.1 annot-version=v1.1 MQQDPMAMDSAVFGRSRLQGHVLAPPPDMLVTTDDEEEAVLSDDDGDDWKAVGSEDEDQESAEDESQEASGGGEEEAEEEQYFDSDESSDDEEDDDEEIFGGDEALLARLRLLRPNFLPQGRFLGPAPRFATAGNTAGFMRVFATEESQQHGGGVEKQILVFYRYTRFTAAEGGVRASGSTREHQVRFVLPAAGDAARSLAWAASALVPLIYPGGSSKQLQELWSALASQVRVPPRAARVEVLVDVGILSPASQDFVGMLAALQGKMLDPWPAGFVGMELRLPEPVLCGAAEGQVTDDDSGTERSAKRRKVAADFAGQECAVCLEPLESDLAAWPGCSRPHVFHGGCLERSLKECETCPICRRKLYI* >BrasyJ018800.1.p pacid=40089759 transcript=BrasyJ018800.1 locus=BrasyJ018800 ID=BrasyJ018800.1.v1.1 annot-version=v1.1 MDAAQTEQRERALPVPEKRTMMDVRGEAVSRSRHASSRSEIAVAPPPPSSSAAAAAEEPSGADMDDLLKLGKEIALAMTADQLGLEIERQFREGRLREQEQGRQRRATRQRGAAAAAPANAGAVSFSLRLTDEEAAEDVAAVTVPNGAQPVVGRSNRKRRRGG* >BrasyJ019000.1.p pacid=40089760 transcript=BrasyJ019000.1 locus=BrasyJ019000 ID=BrasyJ019000.1.v1.1 annot-version=v1.1 MAELRHATVASAAARASSSPAKRDAEAAASASSPFVASARGAGAGDDGGKDGALRPSPPLHQRYPLPPPVRALLSLEDPRSPSASASYRILLALLAFLALSALISAPSVWSRLNAPYLCRKDGIRLQCPGVNERGSLWENPHAAASSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMKINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILRAMGYTKESQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASREEMEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCGA* >BrasyJ019000.2.p pacid=40089761 transcript=BrasyJ019000.2 locus=BrasyJ019000 ID=BrasyJ019000.2.v1.1 annot-version=v1.1 MAELRHATVASAAARASSSPAKRDAEAAASASSPFVASARGAGAGDDGGKDGALRPSPPLHQRYPLPPPVRALLSLEDPRSPSASASYRILLALLAFLALSALISAPSVWSRLNAPYLCRKDGIRLQCPGVNERGSLWENPHAAASSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYAPAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMKINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILRAMGYTKESQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASREEMEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCGA* >BrasyJ019000.4.p pacid=40089762 transcript=BrasyJ019000.4 locus=BrasyJ019000 ID=BrasyJ019000.4.v1.1 annot-version=v1.1 MAELRHATVASAAARASSSPAKRDAEAAASASSPFVASARGAGAGDDGGKDGALRPSPPLHQRYPLPPPVRALLSLEDPRSPSASASYRILLALLAFLALSALISAPSVWSRLNAPYLCRKDGIRLQCPGVNERGSLWENPHAAASSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKYDNVPMKINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILRAMGYTKESQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASREEMEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCGA* >BrasyJ019000.3.p pacid=40089763 transcript=BrasyJ019000.3 locus=BrasyJ019000 ID=BrasyJ019000.3.v1.1 annot-version=v1.1 MAELRHATVASAAARASSSPAKRDAEAAASASSPFVASARGAGAGDDGGKDGALRPSPPLHQRYPLPPPVRALLSLEDPRSPSASASYRILLALLAFLALSALISAPSVWSRLNAPYLCRKDGIRLQCPGVNERGSLWENPHAAASSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKYDNVPMKINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILRAMGYTKESQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASREEMEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCGA* >BrasyJ114100.1.p pacid=40089764 transcript=BrasyJ114100.1 locus=BrasyJ114100 ID=BrasyJ114100.1.v1.1 annot-version=v1.1 MSNITLDQVDLHLFDGMLVRVFFIFWVHCRLVQHWTLAAHWIRWWASFQTENMLGDLVPFSNKVYNGSLDRQLGITARSPSLAAARRIVSRCCLNYCCAAICTNLSDLCKEKFCNSANRHLVMPQKKSGGWGDMTRGEMDPLLLLLLQENNSSC* >BrasyJ114100.2.p pacid=40089765 transcript=BrasyJ114100.2 locus=BrasyJ114100 ID=BrasyJ114100.2.v1.1 annot-version=v1.1 MSNITLDQVDLHLFDGMLVRVFFIFWVHCRLVQHWTLAAHWIRWWASFQTENMLGDLVPFSNKVYNGSLDRQLGITARSPSLAAARRIVSRCCLNYCCAAICTNLSDLCKEKFCNSANRHLVMPQKNHTILKAI* >BrasyJ114100.3.p pacid=40089766 transcript=BrasyJ114100.3 locus=BrasyJ114100 ID=BrasyJ114100.3.v1.1 annot-version=v1.1 MLGDLVPFSNKVYNGSLDRQLGITARSPSLAAARRIVSRCCLNYCCAAICTNLSDLCKEKFCNSANRHLVMPQKKSGGWGDMTRGEMDPLLLLLLQENNSSC* >BrasyJ114200.1.p pacid=40089767 transcript=BrasyJ114200.1 locus=BrasyJ114200 ID=BrasyJ114200.1.v1.1 annot-version=v1.1 MSISRIPNDCLLNCFVHTDYTQYTAAADDQGLITLEQQPRLILRCGDKKAAPARIYSQEEAEDLLHGMEIGIYRSAAPLSLSCLSIPRCSQYTSILAVDKNVMVLDSSSHDNRNCYFVYDSVQGSLRMIPLPEDSSYRPVVSSRILIARHGGSSSSYALVLPRKLAIDIGRERDVLLIWRPAPSSEMTNPRTSWSESKKARFPELVNGRGYEADVVFSSNGYAYWADLLRGAMYCSCKDILDDATNGAAVVECGFLHLPSTSNLKAGSCSTRQGRWSCRVSQPETYRTMGVAQDSLTFVSINGFHEHVDLKDRTVTVWRLQSGQGQGQHEWDMEHQLSLETLWGLDGFGDLPKDLTPMFPMLSNEDKDVLYIALGEYSEKLHKWKFVTGYLRYLLAVDLRNKVVLGASRLTEWCCQELEDDAGGDGLPSSLLLSWQLIRHLASEESMDTAVIPHH* >BrasyJ024300.1.p pacid=40089768 transcript=BrasyJ024300.1 locus=BrasyJ024300 ID=BrasyJ024300.1.v1.1 annot-version=v1.1 MFDGGQGRTADDGVVDACGREGEGSRRGSAGATADGGGSRWSAWGRDGETTGIADGRVAAWRRQGTRGTTAGSRCGGARASRRGVAAWIGLGPDGAAAREGTAGRLARGPSGASARGPRGGGSRGHKI* >BrasyJ024200.1.p pacid=40089769 transcript=BrasyJ024200.1 locus=BrasyJ024200 ID=BrasyJ024200.1.v1.1 annot-version=v1.1 MDNFQLHLFGIDIPGTLLSGNPIPSLQDKNLLWLTQMLDGLQVGICHDILFLELAMLYRSRGAHLICYPSTFNMSAGQLLWDLM* >BrasyJ024100.1.p pacid=40089770 transcript=BrasyJ024100.1 locus=BrasyJ024100 ID=BrasyJ024100.1.v1.1 annot-version=v1.1 MQAAMAVLDGRRRCRQVTGERRIHRAANAEGAGRKRIRKYGAVASTVADLRERPTTTAGTDVLVFPKGKLLTRFFNLTSRFTLFIHHGAEILACRIPNK* >BrasyJ024400.1.p pacid=40089771 transcript=BrasyJ024400.1 locus=BrasyJ024400 ID=BrasyJ024400.1.v1.1 annot-version=v1.1 LNAGGDHKNATSSKPKQDGRSRQRDCQLMGLTWLLQRNATRHRVAATAVLQALMAADEAGATSPPATCSLPVDDMPLAVASSEINGAADLSAVGRLLHVLLGASAVIFSWCI* >BrasyJ102200.1.p pacid=40089772 transcript=BrasyJ102200.1 locus=BrasyJ102200 ID=BrasyJ102200.1.v1.1 annot-version=v1.1 MSTARDGDTQIKTIPDPHDGCSRGAWDGVREEASSSSLSGRWAPAGCRRRGSQDLTEDGASARCSSSGAREISPAVEPTPTAAAAAAEARPVVTPLRGVLQQRGRRRMGRRPGEGGSGARGERWRRRPGERREGAALGGGVQERCGGGGRGEMWRRRARERREAWALGSWSWD* >BrasyJ071400.1.p pacid=40089773 transcript=BrasyJ071400.1 locus=BrasyJ071400 ID=BrasyJ071400.1.v1.1 annot-version=v1.1 MALSSRAAAFPQVSLPSPRPRPAAVSLRPPPPPRSLRLDHVIPADATELGVGGGGPLAAAIEHLEREPAAAADDRAPLSELSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVSALLGEMDCVGLRPGFSLVEKAVALYWDRSERARAVEFVRDVLRRGNVGVGGDFDGERGGPVGYLAWKMMMDGDYRDAFKLVIEFKESGLNPEVYGYLIGLTSLVKEQKEFSKALRKLNFSVKDGSVSKLDTESMHSIEKYQSELLRDGVLLSKWAVQEGSSEVLGLVHERLLSLYTCAGCGLEAERELWEMKLVGRQPDTQLYDVVLAICASQGEGAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFYLDASETLMRMLDLGLCPEYLDRAAVLTALQRNIQQSGNLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML* >BrasyJ017300.1.p pacid=40089774 transcript=BrasyJ017300.1 locus=BrasyJ017300 ID=BrasyJ017300.1.v1.1 annot-version=v1.1 MDRSQELEVLQIRLRDAERQLVVAHGEIAVLKSKSRAASDREEFLLGELVKISSELRGLLPDPHAEAERVRHRLNAHRLSGQTAPPFWSDRDKSYTLALLQDRVARAKTCFESCRQALTLVHRSLFPLIPSPEGLRGLIQRFCDVAVVKEKSVLRQMIEGTIVALAFVRLCYPQIDLETLHIVPGAADDDIPLGPYYDTVESSARELIHLVALP* >BrasyJ017400.1.p pacid=40089775 transcript=BrasyJ017400.1 locus=BrasyJ017400 ID=BrasyJ017400.1.v1.1 annot-version=v1.1 MSSRLECLRLDPRSEAERVKNRLNALGTSNRASFWSDRARSYALVLLQDRVKQVEAFVESCRRALELIHSAFFPLKPLPEGLGALMQKFRNGAAMKDFVRQQLVRGAKVALAFVRVQYPEVDLEDIHELPLPAGAVIHMRPHFAAVYRPAREIIHLRELQEQALLEKRDRQ* >BrasyJ089700.1.p pacid=40089776 transcript=BrasyJ089700.1 locus=BrasyJ089700 ID=BrasyJ089700.1.v1.1 annot-version=v1.1 MEVGHQIRVSNGGADGDHTAGHHGVVGVFFTGTLWPRLRSLILGLWCRVAGFARKVGRIAREDPRRVAHSFKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLGKGLNRAFATLVAGFIAVGAHQVANRCGTQGEPILLAAFVFLLASAATFSRFIPEIKAKYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVFTCLCTTIFVFPVWAGEDLHKLSAGNLDKLAQFLEGMESECFGENSAIENLESKAFLQVYKSVLNSKAAEDSLCNFAKWEPGHGKFGFRHPWSQYQKLGALCRQCASSMEALASYVITLQKSQYPEANPELSLKVRTACGEMSSDSAKALRELSTAIRTMTVPSPANITMSAAITVAKGLRSELSQDMALLQVMHVAVTATLLSDLVTTIKKIAESADNLARLAHFKTPETPEKDVVINIAS* >BrasyJ089800.1.p pacid=40089777 transcript=BrasyJ089800.1 locus=BrasyJ089800 ID=BrasyJ089800.1.v1.1 annot-version=v1.1 MGTENPTIGGGRAGGDGGAFAFISKGWREVRDSAGADLRLMRARADRELGHLITSASALAGPGPAPPLPRAPAWAPIAEVESACKRIQPKIAELRKQCSSMAPVGWPPKAGASLRVDLSGITAVRNAIGAHRDGGERSRVAPWKEDGGERLRVALWKEDGGGEGEKEWEVVKMIRSGLKEFERRSLSSKMFGGFHGRSDIVEKVKLSLKSLNKESQEPKEVPPLDLTQILSHLVRQSGPLLDQLGVRRDLCEKLVETLYSKRNGRLMYPSLSADRSLIGNENISDELDLRIARVLESTGYHTEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCNSDQQLVYPNNITFSSPEEQATYIRNWLQERLGFEAEFMISFYPGKFSTERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGQRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQNLPRSIICNVHGVNPRFLHVGEKVAADRESGKEVFSKGAYFLGKMVWAKGYKEMIDLLSKHKNDLQGFKLDVYGNGEDSQAVQSAARKLDLSISFFKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICPDHPSNDFFKSFSNCLTYKAPEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKALKNRNGQSGQGVKRNRLRKIPLLPKFSDIVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPEVQHPVYGL* >BrasyJ089800.3.p pacid=40089778 transcript=BrasyJ089800.3 locus=BrasyJ089800 ID=BrasyJ089800.3.v1.1 annot-version=v1.1 MGTENPTIGGGRAGGDGGAFAFISKGWREVRDSAGADLRLMRARADRELGHLITSASALAGPGPAPPLPRAPAWAPIAEVESACKRIQPKIAELRKQCSSMAPVGWPPKAGASLRVDLSGITAVRNAIGAHRDGGERSRVAPWKEDGGERLRVALWKEDGGGEGEKEWEVVKMIRSGLKEFERRSLSSKMFGGFHGRSDIVEKVKLSLKSLNKESQEPKEVPPLDLTQILSHLVRQSGPLLDQLGVRRDLCEKLVETLYSKRNGRLMYPSLSADRSLIGNENISDELDLRIARVLESTGYHTEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCNSDQQLVYPNNITFSSPEEQATYIRNWLQERLGFEAEFMISFYPGKFSTERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGQRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQNLPRSIICNVHGVNPRFLHVGEKVAADRESGKEVFSKGAYFLGKMVWAKGYKEMIDLLSKHKNDLQGFKLDVYGNGEDSQAVQSAARKLDLSISFFKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICPDHPSNDFFKSFSNCLTYKAPEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKALKNRNGQSGQGVKRNRLRKIPLLPKFSDIVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPEVQHPVYGL* >BrasyJ089800.4.p pacid=40089779 transcript=BrasyJ089800.4 locus=BrasyJ089800 ID=BrasyJ089800.4.v1.1 annot-version=v1.1 MGTENPTIGGGRAGGDGGAFAFISKGWREVRDSAGADLRLMRARADRELGHLITSASALAGPGPAPPLPRAPAWAPIAEVESACKRIQPKIAELRKQCSSMAPVGWPPKAGASLRVDLSGITAVRNAIGAHRDGGERSRVAPWKEDGGERLRVALWKEDGGGEGEKEWEVVKMIRSGLKEFERRSLSSKMFGGFHGRSDIVEKVKLSLKSLNKESQEPKEVPPLDLTQILSHLVRQSGPLLDQLGVRRDLCEKLVETLYSKRNGRLMYPSLSADRSLIGNENISDELDLRIARVLESTGYHTEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCNSDQQLVYPNNITFSSPEEQATYIRNWLQERLGFEAEFMISFYPGKFSTERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGQRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQNLPRSIICNVHGVNPRFLHVGEKVAADRESGKEVFSKGAYFLGKMVWAKGYKEMIDLLSKHKNDLQGFKLDVYGNGEDSQAVQSAARKLDLSISFFKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICPDHPSNDFFKSFSNCLTYKAPEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKALKNRNGQSGQGVKRNRLRKIPLLPKFSDIVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPEVQHPVYGL* >BrasyJ089800.2.p pacid=40089780 transcript=BrasyJ089800.2 locus=BrasyJ089800 ID=BrasyJ089800.2.v1.1 annot-version=v1.1 MGTENPTIGGGRAGGDGGAFAFISKGWREVRDSAGADLRLMRARADRELGHLITSASALAGPGPAPPLPRAPAWAPIAEVESACKRIQPKIAELRKQCSSMAPVGWPPKAGASLRVDLSGITAVRNAIGAHRDGGERSRVAPWKEDGGERLRVALWKEDGGGEGEKEWEVVKMIRSGLKEFERRSLSSKMFGGFHGRSDIVEKVKLSLKSLNKESQEPKEVPPLDLTQILSHLVRQSGPLLDQLGVRRDLCEKLVETLYSKRNGRLMYPSLSADRSLIGNENISDELDLRIARVLESTGYHTEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCNSDQQLVYPNNITFSSPEEQATYIRNWLQERLGFEAEFMISFYPGKFSTERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGQRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQNLPRSIICNVHGVNPRFLHVGEKVAADRESGKEVFSKGAYFLGKMVWAKGYKEMIDLLSKHKNDLQGFKLDVYGNGEDSQAVQSAARKLDLSISFFKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICPDHPSNDFFKSFSNCLTYKAPEEFVARVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSELDKALKNRNGQSGQGVKRNRLRKIPLLPKFSDIVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPEVQHPVYGL* >BrasyJ089800.5.p pacid=40089781 transcript=BrasyJ089800.5 locus=BrasyJ089800 ID=BrasyJ089800.5.v1.1 annot-version=v1.1 MGTENPTIGGGRAGGDGGAFAFISKGWREVRDSAGADLRLMRARADRELGHLITSASALAGPGPAPPLPRAPAWAPIAEVESACKRIQPKIAELRKQCSSMAPVGWPPKAGASLRVDLSGITAVRNAIGAHRDGGERSRVAPWKEDGGERLRVALWKEDGGGEGEKEWEVVKMIRSGLKEFERRSLSSKMFGGFHGRSDIVEKVKLSLKSLNKESQEPKEVPPLDLTQILSHLVRQSGPLLDQLGVRRDLCEKLVETLYSKRNGRLMYPSLSADRSLIGNENISDELDLRIARVLESTGYHTEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCNSDQQLVYPNNITFSSPEEQATYIRNWLQERLGFEAEFMISFYPGKFSTERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGQRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKRLKTYPGPSFATFMALIQDSSMLVKKWQLIGSLERRSFQREHIFLGRWFGLKVIKK* >BrasyJ092300.1.p pacid=40089782 transcript=BrasyJ092300.1 locus=BrasyJ092300 ID=BrasyJ092300.1.v1.1 annot-version=v1.1 MARSGTTRAASPAAAPVVLVVVVMLALAVAPGGARAALSCSTVYNTLMPCLGYVQSGGVVPRACCAGIKRLVSGARSTQDRRTVCVCLKNVSSGAKGGPYLTRAAGLPARCGVPLPYKTGPNDSCSSIH* >BrasyJ092500.1.p pacid=40089783 transcript=BrasyJ092500.1 locus=BrasyJ092500 ID=BrasyJ092500.1.v1.1 annot-version=v1.1 MCFLRKFKEKDADKPFYEGQVKALDYCNSFKLTIKFKDLESYDRETARYICNNMPRVSERLTYCVSKFLLEYEEFKRKPEEVFRNTKIEISDLPSPNSVQAFSKFAMENDMHKLWILFDCGEKIVSATTYLGRKAAVGYLKFILKKHKARKCFNGSFQLEDLVVLVHGDGSLQFVIRTEASEDFDIEKAVADFNRFCVLVFPYFMDDKADEMPAYFDLFRLDYLKHMPNCDNTEEYDNWQKYMANHFAFKAPQACTTLVTETYEICASERTGYQYILDCAGDLLLSTSFVQSSGSLSLMDTYEYGALLLTNCYDELSYWKLLMYGRHYSRHMLLAKRYEGITIKVQDLLIIHLMLGHVLKGEMAKVIRYIVVKVNGFAGGLFSSAWRHFEASETFDDDVNNMLLGEDVKEICLDTADVAKVSPGEDSKAPPVDKCDGATTSSEVVSQG* >BrasyJ092600.1.p pacid=40089784 transcript=BrasyJ092600.1 locus=BrasyJ092600 ID=BrasyJ092600.1.v1.1 annot-version=v1.1 MVSAWKGCRVIIRLLHSDYGVDPIFTSCKAFAESDLDMLFSFLGFQVYHGSVIDPEDRDTANAIGSKSFSEILEILKSPPAEVEENTALMKKFVDNTKVRLTSYGLTCLKESIGHVEVGILFRYDRFSMLCKINGVLVTLQATEEQFKKNPDAVWQSLEEVNDDGMLLSSNNVHVKKQAVITDAEEAIAMKRQEVIIQAEAQEKLKLSKPSSKQRKRAAMRAADKSQFEVQPEFVELEEVAACDKKSEQELKGSKPSEGEPDRLEQQEQEVEPEETGEERDDMSK* >BrasyJ092200.1.p pacid=40089785 transcript=BrasyJ092200.1 locus=BrasyJ092200 ID=BrasyJ092200.1.v1.1 annot-version=v1.1 MGIAGALIFLLSSSCFLARQAAAGGNGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTTLFNDGAACGSCYELRCDNDGKWCLPGSIMVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIKGTRTAWQTMSRNWGQNWQSNTYLDGQCLSFRVTSSDGRTVTSNAAVPAGWQFGQTFEGAQF* >BrasyJ092400.1.p pacid=40089786 transcript=BrasyJ092400.1 locus=BrasyJ092400 ID=BrasyJ092400.1.v1.1 annot-version=v1.1 MPSQFWISLLLMMNLCPSCCWPNIWPVDHLPELETAFIALGKLMLEVDLMLAHHCDLCVQASIAAKTRVTSGTPQQKSGEMWWRRNLWDGSGDWRPKASAVHEMLCRLIFDGLRPAGQGLWVRR* >BrasyJ013800.1.p pacid=40089787 transcript=BrasyJ013800.1 locus=BrasyJ013800 ID=BrasyJ013800.1.v1.1 annot-version=v1.1 MDPSKKNPGRRPPAKSSSNSRSGSPSTATKPPRAQGAGARHSVPARAQRPSKYVDDQEREEDANNIEGGDDADEDSENDEMSDHSRGQDNKEEEDDVVDITETQMSRKKVAAGKRKKKSGVAAVAKKKTRVKRAECWKYFKLVKAVSKKKPDEVVEKAKCLGCFSLLCYQGGTTSLNRHREQALV* >BrasyJ014300.1.p pacid=40089788 transcript=BrasyJ014300.1 locus=BrasyJ014300 ID=BrasyJ014300.1.v1.1 annot-version=v1.1 MEDVRIVSRRMIRPPELEPETVIHLTPWDLQMITVEHIQKGILLPKHPQGHGHALERLASSFALALGRFYPFASRLTVDELHQQGGIAMSLRCSGEGAEFVHTLAPGVTVADITSPMYVPRVVWSFFPLDGVLGLDAAVASLPVLAVQVTELDNGVFLAMSFNHGVADGTTFWHFFNTWSEISRRSGDDTDAIGCEIASPLPVLQRWYK* >BrasyJ014400.1.p pacid=40089789 transcript=BrasyJ014400.1 locus=BrasyJ014400 ID=BrasyJ014400.1.v1.1 annot-version=v1.1 MLDREMDTNDSLFTNHGVQGPHADQDEDNTVKVTKTSSGDGGTVGLTGLQVSDELPSTETWGDPPSDRGGWQKRLEN* >BrasyJ014200.1.p pacid=40089790 transcript=BrasyJ014200.1 locus=BrasyJ014200 ID=BrasyJ014200.1.v1.1 annot-version=v1.1 MASWTMIVILIALALTAANADEWGGPEKGTALATWSGAADGAGSEAGECGYFQAVKESPWLSMVTAVPPQMFRGGAGCGTCWDLRSDGWSFRDDNYTRVVVTDVCRGGPCAEHAVHFELSGHAFGKVAGDVSKRQHVVSYVRVDCDYRMLSSYRVDSTATTSNFLLTPLYIPGYETIRVGLGSVGTHYDQALEMQRTRGAVFKTDATSLVLPYQVRLLYNSTTKAPGYMETLDLIEGRIPPGWEPGQTYSSPPFPPTTSA* >BrasyJ013900.1.p pacid=40089791 transcript=BrasyJ013900.1 locus=BrasyJ013900 ID=BrasyJ013900.1.v1.1 annot-version=v1.1 MSVKEGSAATKIPTIFEVRLEQATLFKNLVEVISDLFSEAYFNFSGRGLDVRAVDSSDIALVALHLRAKDFNHYHCDRALSVGLSLADMAKAFRCTNNDDTLTIKPVDDRFNIVAFTFESPNGVILGYDFNRVDIEDGPFEIKESPESEYQAIVRMPSAMFMDICNKLSSSGERDTDVIISVDKERVRFFTGGKTGSSSIVCRQTQTVDKPKELTLIKLKERVSLTFDLRCMNSFSKASTLSDQVTISLSSMLPAVFEYKIAEMGYIRYYLLPDEVQNEGDEMQD* >BrasyJ014100.1.p pacid=40089792 transcript=BrasyJ014100.1 locus=BrasyJ014100 ID=BrasyJ014100.1.v1.1 annot-version=v1.1 MQVVGEGRQRPRPHGKATAAPLESDRVGGKSRARAREIDRARPLPRVRASFTEREREWKRKGGGGTLGGGGGPVSPLAAPLFKHLHRATVPAPPCPQLQLPVLTRSSCSPASVDCLPVHTYSSGPSASCSLLFLCFLLPLIIYYGMLRLGNIKAIERRSRLQNAAQILWAEDSSQSVDPITPAQAEQGVPTTEGGQAVASSATSLEDGKLKIYSSPSDCSLQATPSKPYDPALADLMEKKLSSSIEQIEKMGWPWNRRLSSRTIRGSSSTTTTALPPASSRRLLRFHQRRVFQDICWPLDFSNEIPPCSRAPLPCRFFSIFPPWCWSLVRPPRTGEEDVVRRRAVHGPHAKLAFRRRVLDRDEDGPHTEPAFRRLALDRDEKGWTLEAVRSSGRHRIHRRSTGAARRR* >BrasyJ014000.1.p pacid=40089793 transcript=BrasyJ014000.1 locus=BrasyJ014000 ID=BrasyJ014000.1.v1.1 annot-version=v1.1 MSVKEGSAATKIPTIFEVRLEQATLFKNLVEAISDLFSEAYFNFSGRGLDVRAVDSSGFTLVALLLRAEAFNYYSCDPALSVGLSLADMAKAVRYVNNDDILTIKAVDEWFYFVTFTFESPNGVILDYDFKCVDNDDGPLEISESPGSDYQAIVCMPSAMFMGICNKLSSFGERDTVVISVDKEHVRFFTGGKTGSSSIVCRQTQTVDKPKEPIVMEMKEEVSLTFQLRHMHSFSKASTLSDQVTISLSSKQSMVFEYKIAEMGYIRYYMMPAMEDEMQKMQRIAKEDKMQNEADEMQN* >BrasyJ014600.1.p pacid=40089794 transcript=BrasyJ014600.1 locus=BrasyJ014600 ID=BrasyJ014600.1.v1.1 annot-version=v1.1 MKVGLKKYKETGSSSSIENPKAKKRLDDYYDGLKEAYPEDWQERDLDPKIIYSSGGGLPHGRLAIGDGAIKRSEVRAIAKQHNIRPANSLSYQDLLRSHQQMEKRCKTVDVVAKHLKLLYAQSGLPVPEDLEDALLGDNGGRKDMALESHHKNHDGGGEWVASDRHRILVNPRKKERAGGERSVLCTAATSILVIEKGGKADSRLSRG* >BrasyJ014500.1.p pacid=40089795 transcript=BrasyJ014500.1 locus=BrasyJ014500 ID=BrasyJ014500.1.v1.1 annot-version=v1.1 MGEASGEMSLVLSMLDRTTTSARMRQQALPSLGHGGGVNPNLDLPPRLSASAGMQVRAQPEPIGDGELKLDLSLSLSSTAAANMRSHLPPASVVSYLQAGRAGAKYGGGDRRRQVGNFACGVCNRKFTSMQALGGHQNKHRAERSRAMRRCLSSAVGPNAIQVGNFACGRCNRKFTSMQALGGHQNKHIAERTRAMRRCLSSALGRNAGAGSSFHPSTSSPTPVVSWT* >BrasyJ110500.1.p pacid=40089796 transcript=BrasyJ110500.1 locus=BrasyJ110500 ID=BrasyJ110500.1.v1.1 annot-version=v1.1 MISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPNLFRLVGDPGPDASGNAFLELASWDDQLAKSVIESRAYREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYANTFGLHPASPEAEKRLVGVLHEVLSLTVERRMAVPIIGTFCEEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGKLVDRDPMIELKERFVAIMDQGHREYLEELRRKNELLQKEREDAIRRGAKIARDIEEKEDTEGSMDDDDKEYDYSQPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSRYLYTLCVFDAEKANKLKQSLPPGLTVQEV* >BrasyJ028700.1.p pacid=40089797 transcript=BrasyJ028700.1 locus=BrasyJ028700 ID=BrasyJ028700.1.v1.1 annot-version=v1.1 MAGDEEGSMEAEKWTLTPFTAPKSTEGSVAGGEGSNKRKAAVAAPEGVCTAKAADEPGSFDPFGSQRRASAIDKMLPAAAAAVNQPGVDSSAAAGKGRKYRLSKAEIWNIIVLKPEPEPIADKDYLDDLAEFFPAEWIQERKLAHARFAEHDRKTYREWKEFRQEVIKDLKEKGYYEVDDEYYANREKNEIDFNKWKKKDFSGFVVATEEEELQALKEGSYKPYVPDDEDDDLLDDLSSDDEDLIFRGFHGPDDANKVAAVSN* >BrasyJ066100.1.p pacid=40089798 transcript=BrasyJ066100.1 locus=BrasyJ066100 ID=BrasyJ066100.1.v1.1 annot-version=v1.1 MVLIDDQDEYAKLVRGMNPPRVEIDNEVSKIGTFIQVDSVNTHGTLLALVQVITDLNLVVRKAYFTADGDWFMDVFYVTDRDGEKVTDEATLNYIQTRLESDDCYYTEARDNSEGIVPSESEDDHQYSSIELTGTDRPGLLSEVCAVLSDVSCAVVSADLWTRNTRVAAVVQVADAATGGAISSDAARVAEIGRRLAHLLRCRSGCHAAAAASVAAEPALVAMHRERRLHQLMAADPESGVVEGDGACLQPAPGTTPATAVEVTDCAQRRYTFVVVRCRDVPKLLFDTVCTITDAQYVVYHGNVSTEPDGVTAYQEYYVRNKAGLAATEPERLLLKRQLEAAVERRFADGIELEVRSGDRAGLLSDVTRIIRENGLTILRAGVKSQGGEAVDTFYVSDPMGLDCPVEPRTIDTIRAQIGEATLRVKKNPFADADQQQRHHDAAASAVGAIAFILGSVYKFYRPFQSLALVKLY* >BrasyJ007800.1.p pacid=40089799 transcript=BrasyJ007800.1 locus=BrasyJ007800 ID=BrasyJ007800.1.v1.1 annot-version=v1.1 MPRLRPDPAGFLADPVFPLVLPHPGARSARGCAAALFGRIRLLAGQIRRLLRLIRRAPGLFLRFPQFSSRFGQAADRASPAADGLLLRPSMVQVGPFRRHGGVVLRLRSRLRLLPVCEENEPIFEAMAKNPKVFFDILIGKAKAGRVVMELYADKVPKTADNFRLLCTGEKGLGKSGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESVYGAKFADENFTLRHTGPGVLSMANAGPHTNGSQFFICTARTPWLDGKHVVFGQVVDGYGVVQKIEAVGSENGTTAEQVVIEDCGQIADE* >BrasyJ007600.1.p pacid=40089800 transcript=BrasyJ007600.1 locus=BrasyJ007600 ID=BrasyJ007600.1.v1.1 annot-version=v1.1 MAAQVRVLEQIRVAPPPSTPSAVLPITFFDAAWLFTGPVERLFFYRHTDPASALPLLTSSLPHALRRFYPLAGTLRPADPFFHYSYSSSHGDGDGDALTFVVAESQTPGDFDRLAATGPRDLRDMRPLVPQLPPPGEDGAFALAALQATVFPGRGICLGVSVHHAACDDASATLFVRTWAAACRLGGSRLDDSQETAPPPPVLDRSLVADPDNLLGKTLAGMKQLASNSSPPPPPPTPQETEMPVLASFSLTRDQIGRIKDAALTAAHGTQQHMSSFVAASALAWVSLLKSRSVPVPGAEEEEGTAARSHMLFSAECRSRLTPPLPAEYFGNCLRPCFVEAATEDLQLTSSAGVAAAALAIGSAIREMERGVLEGAEGWLGKVMSVLPMRPVSVAGSPRFGVYETDFGWGKPVRVEMVSIEKTPGTVSLAESPGGDGGVEVGVVLPPDAMAAFASCFRI* >BrasyJ007500.1.p pacid=40089801 transcript=BrasyJ007500.1 locus=BrasyJ007500 ID=BrasyJ007500.1.v1.1 annot-version=v1.1 MANTRNTTLSILPLLLVVLVALLLAPPCSTPAAAATTTGVAGTGDADGRKSRAAATVADILAAHNAARRAVGVAPLAWSDGIAAYAKAYARSRRSDCAPRRSPLFYFGENTAVGKGRRQWSGAALVNQWVEEGRLRYDYGSNSCGSAGSDAAGLAGCASYRQVVWRNTTQLGCGKIVCGSGDTLLVCDYFPPGNYGDGRPY* >BrasyJ007700.1.p pacid=40089802 transcript=BrasyJ007700.1 locus=BrasyJ007700 ID=BrasyJ007700.1.v1.1 annot-version=v1.1 MAKHLLSRARLLITHHRSALPPALTRFLFGGPTPTKTHPEAAAAAASAKIRREVSAKREGSSDDDDEGAGVPWGAWRPDVAWLTKALEPALQLYKQYNWKPFTSTGGGDNIPASTRTFSEILSDLQRSKVSIKDWSLSDLTVGLYLIYLSQASAKNAQAFKGVQISSNKKVKELIYHLELARGCYKGNADGLARHSMLRKRNILKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPRGFSTHFGTYEAARWYLRHELSIIRRCLEQHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGIPPCVSREIAESCASYVSTVVLQDDIVPRLSAASLARLRNEIIKTDWASVMEKEDLKHIVDIVTNAKLVVSSIQDVAHKLADYAKVVTASASSDVKDPPRLQRSTKVLNPESEEDVYVPEDLFLPGTLYYLQRDVENINGNEDESYTLWRGDAGENFQRILLSGNLMSDHKCENIHHALRDVLKTLPLQH* >BrasyJ010100.1.p pacid=40089803 transcript=BrasyJ010100.1 locus=BrasyJ010100 ID=BrasyJ010100.1.v1.1 annot-version=v1.1 MPEVPDFAPNAYRKFWSIPEQIPEVPDFAPNAYRKFWSIPEQIPESHGHTLPIPEAGTAVGSGRRGARQTRAKADRWRPKRGEARHGLRRPRGEREGMAACGARRGGRGARGRHGGVRSEARHGAARPQAAAGREGRHGGVRSEKGGMADRRRENASGGGGMEDAAKGASGIEERRGCGGGRGWRRRPATSLRWRSGMAETASHESTRAAAAGGEVRGGSCETEERGESTYADVA* >BrasyJ010200.1.p pacid=40089804 transcript=BrasyJ010200.1 locus=BrasyJ010200 ID=BrasyJ010200.1.v1.1 annot-version=v1.1 MEMETDWSKLPMDVLTLIFAKLGPVEVLMGAGLVCPSWLEAAKLPDLLWRSLDMKHLNSSNNAVLNKKHFLRAMAKMAVDRADGRLETFAADNFVDDELLEYIAKRSPSLKSLKLINCSITNTVLMDTVDSCPRLELLHVSECYNVSLNCSLSAKCGRIRERTFIWNAHGTRIEYGKRRKTKRISG* >BrasyJ095200.1.p pacid=40089805 transcript=BrasyJ095200.1 locus=BrasyJ095200 ID=BrasyJ095200.1.v1.1 annot-version=v1.1 MLPPSAQQRTPPSARPPGARPPPRALVSASAAACRGPAPPMSPLRAVGSAAADLLRPPRGLRPHPHPRKTLTLTSHPSSPPQCRHRQGPWTRGAPLRLCIILVPASTTREARCCCSDFGSRRFFIELRLQAICNLVYLLYFVS* >BrasyJ095400.1.p pacid=40089806 transcript=BrasyJ095400.1 locus=BrasyJ095400 ID=BrasyJ095400.1.v1.1 annot-version=v1.1 MASEAASVVVVSAAVAAAMVYARPAASRLRPGLPRLAALLPVLLLLLPALPFTFSSIHLRTISAFFLVWLCGFKLLLLAAGQGPLHPALPVVRFVACAALPIKVVVEVQDRAAAHQPSRRSLPPAFLLSYAAKAALFAALVSLRGVIRARMPACGVVAFDGVHVYLLLELFMASAAFFARVLLGADLEPQFDRPYLASSLRDFWGRRWNLMVPGALRPTVYRPVRARLGVPAGMLATFVVSGLMHEVMFYYITLRAGTGEVTAFFVLHGVCVVAERWWAIRSRSGLWSPPRPVATALTLAFVGGTASWLFFAPVIRSGLDKAIVAECEGTLAFLEAAARALAGAAARLVWS* >BrasyJ095700.1.p pacid=40089807 transcript=BrasyJ095700.1 locus=BrasyJ095700 ID=BrasyJ095700.1.v1.1 annot-version=v1.1 MKASCGAFLAAMAVAALATTALAVDYTVDDSIGWDTYVDYDKWTAGKTFMVGDTITFKYAAYHNVLEVTEADYGSCETGKPISTHSGGETVFELTEAGTRYFICGIPRHCTNGTMHLQVTTVPYDAALAAKIKADSAAAAPSPAPLPAPPADTYADAVARNAPAGGPTATSAPGSSGSVSLLSAPPRYHVAGLLALAALAVMAA* >BrasyJ095800.1.p pacid=40089808 transcript=BrasyJ095800.1 locus=BrasyJ095800 ID=BrasyJ095800.1.v1.1 annot-version=v1.1 MASPEGSNWVFDCPLMDDLAAADFAAGPAGAFYWTPPMQPQMHTQAQAVSAAPLPNPCAEINSPVSLDCEHAKGHPTNKRPRSESSAQPSSKACREKVRRDKLNESGASGLLFVRFLELGAVLDPGKTPKIDKCAILNDAIRVVTELRSEAEKLKDSNESLQDKIKELKSEKNELRDEKQKLKAEKESLEQQIKFMNARQSLVPHPSVIPATAFAAAQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTSDDPKSCPPVA* >BrasyJ095800.2.p pacid=40089809 transcript=BrasyJ095800.2 locus=BrasyJ095800 ID=BrasyJ095800.2.v1.1 annot-version=v1.1 MASPEGSNWVFDCPLMDDLAAADFAAGPAGAFYWTPPMQPQMHTQAQAVSAAPLPNPCAEINSPVSLDCEHAKGHPTNKRPRSESSAQPSSKACREKVRRDKLNERFLELGAVLDPGKTPKIDKCAILNDAIRVVTELRSEAEKLKDSNESLQDKIKELKSEKNELRDEKQKLKAEKESLEQQIKFMNARQSLVPHPSVIPATAFAAAQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTSDDPKSCPPVA* >BrasyJ095300.1.p pacid=40089810 transcript=BrasyJ095300.1 locus=BrasyJ095300 ID=BrasyJ095300.1.v1.1 annot-version=v1.1 MALTGSLIVLLATTLPMLYFLVGAEAGEVGVSYGTNGDNLMDPAAVVALLKKNGITMVRVYDTDSAVLSALKNTGIKTVVGIRNDMELASAAGDPSWAVQWAKDNVLPYYPATSIRGVTVGNEVFKQASQLNLQLVPAMKNVQAALAGLGLAGAIKVTTPVAFDALKTSFPPSQGEFKDDIAQSVMSPMLDFLERTGSYLMVNIYPYYTYTNQPNTIDLNYATFRKNDGVVDPVTGLRYSSLFDAQLDAVYSAIDNVQQASSSKTAVGTMLRGRRRQVPVVTGESGWCSYCPQSVGASVENAQAYNSNLAKRALLGSASSSSSSLAVVSDGTPARPDADISVYIFALFNENQKPADEQNFGLFYPSGQPVYQVDFRGGGGGGGGGGAASWCVARSDVGDARLQAALDYACGHGADCSAIQPGKVCYEPNTKLAHASYAFNDYYQSKGRASGTCDFSGAASIVFQQPGICDPKAASWCVANAAVGDARLQAALDYACGKGRADCSAIQPGGRCFSPDTKVAHASYAFNDYYQRNGRSSKSCDFSGAGSIVYQQPKIGDCVLPSTG* >BrasyJ095500.1.p pacid=40089811 transcript=BrasyJ095500.1 locus=BrasyJ095500 ID=BrasyJ095500.1.v1.1 annot-version=v1.1 MTAAECRACLGDMIGQFTPRYFVGKPGGRVFGLRCNFRFETYAFFSGRPLLQLPGALPPAPAPAAAGEETTRHGAGLVLAITLPIAAAALLIVATCVCFWKRRKLAERKASVPYSTNQDDIQSIDSLLLDLSTLRVATDNFAESNKLGEGGFGAVYKGVLSEGEEIAVKRLSQSSRQGTEELKTELVLVANLQHKNLVRLLGVCLEEQERLLVYEYMPNRSLDTILFDPAKSRDLDWGKRLKIVSGVARGLQYLHEDSQLRIIHRDLKASNVLLDMDFSPKISDFGLAKLFGWDESQAVTSHIAGTYGYMAPEYAMRGQYSAKSDAYSFGVLVLEILTGRRNSSFASPEQSIDLLSLVWEHWTTGTVEELVDPSLGGRAPGGQMLKLVNVGLLCVQDSPADRPAMSAVNVMLSSSTVSLQAPSRPTFCIEEMEGYSDMYSAVYPRGSQSTKQQTAMSPNEVSITELEPR* >BrasyJ095600.1.p pacid=40089812 transcript=BrasyJ095600.1 locus=BrasyJ095600 ID=BrasyJ095600.1.v1.1 annot-version=v1.1 MAKPRRRFSPYLAALTATFLLAVLYAPLTAAEDEPPPWPTCGPYPPSGNYTANSTYQSNINRLAATLPRNASSSPALYATGSVGDVPDAVYGQALCRGDVANASACESCVAAAFRGATRACPLYKDVMIFYDLCQLRFSNRDFLLDDDYLVTTYTLQRSRRVAGGAAPAFDAAVGLLVNATAGYAAAGDSSSSSRRFGTGTEGFDDRSNPKIYALSQCAPDTTVEVCRTCLGTITAELPKLFSGRTGGGIFGVWCNFRYEVFPFFSGRPLLELPQFVETPPAPAPPATTGGGGEKTRNSTGKLLAIVMATIAVILIIVAVYFFCWRRRRPKANAFMPYSATSDDIQNIDSLLLDLATLRGATNDFDECRMLGKGGFGMVYKGDLPDGQEIAVKRLCQSSRQGIGELKSELVLVAKLHHKNLVRLIGVCLEEHEKILVYEYMPNRSLDTILFDSEKNEELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDQSEDVTHRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRNSGSDKTEQDVDLLNLVWEHWTRGNVIELIDPSLSNHPPIDQMLKCIHVGLLCVQRKPGSRPTMSSANIMLSSHTVRLPSLSRPAFCIQEVSTSESSNAYSDAYPYTENSTIMSSNQVSITELLPR* >BrasyJ095600.2.p pacid=40089813 transcript=BrasyJ095600.2 locus=BrasyJ095600 ID=BrasyJ095600.2.v1.1 annot-version=v1.1 MAKPRRRFSPYLAALTATFLLAVLYAPLTAAEDEPPPWPTCGPYPPSGNYTANSTYQSNINRLAATLPRNASSSPALYATGSVGDVPDAVYGQALCRGDVANASACESCVAAAFRGATRACPLYKDVMIFYDLCQLRFSNRDFLLDDDYLVTTYTLQRSRRVAGGAAPAFDAAVGLLVNATAGYAAAGDSSSSSRRFGTGTEGFDDRSNPKIYALSQCAPDTTVEVCRTCLGTITAELPKLFSGRTGGGIFGVWCNFRYEVFPFFSGRPLLELPQFVETPPAPAPPATTGGGGEKTRNSTGKLLAIVMATIAVILIIVAVYFFCWRRRRPKANAFMPSTLRGATNDFDECRMLGKGGFGMVYKGDLPDGQEIAVKRLCQSSRQGIGELKSELVLVAKLHHKNLVRLIGVCLEEHEKILVYEYMPNRSLDTILFDSEKNEELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDQSEDVTHRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRNSGSDKTEQDVDLLNLVWEHWTRGNVIELIDPSLSNHPPIDQMLKCIHVGLLCVQRKPGSRPTMSSANIMLSSHTVRLPSLSRPAFCIQEVSTSESSNAYSDAYPYTENSTIMSSNQVSITELLPR* >BrasyJ095600.3.p pacid=40089814 transcript=BrasyJ095600.3 locus=BrasyJ095600 ID=BrasyJ095600.3.v1.1 annot-version=v1.1 MAKPRRRFSPYLAALTATFLLAVLYAPLTAAEDEPPPWPTCGPYPPSGNYTANSTYQSNINRLAATLPRNASSSPALYATGSVGDVPDAVYGQALCRGDVANASACESCVAAAFRGATRACPLYKDVMIFYDLCQLRFSNRDFLLDDDYLVTTYTLQRSRRVAGGAAPAFDAAVGLLVNATAGYAAAGDSSSSSRRFGTGTEGFDDRSNPKIYALSQCAPDTTVEVCRTCLGTITAELPKLFSGRTGGGIFGVWCNFRYEVFPFFSGRPLLELPQFVETPPAPAPPATTGGGGDSATSDDIQNIDSLLLDLATLRGATNDFDECRMLGKGGFGMVYKGDLPDGQEIAVKRLCQSSRQGIGELKSELVLVAKLHHKNLVRLIGVCLEEHEKILVYEYMPNRSLDTILFDSEKNEELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDSDYNPKISDFGLAKIFGGDQSEDVTHRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRNSGSDKTEQDVDLLNLVWEHWTRGNVIELIDPSLSNHPPIDQMLKCIHVGLLCVQRKPGSRPTMSSANIMLSSHTVRLPSLSRPAFCIQEVSTSESSNAYSDAYPYTENSTIMSSNQVSITELLPR* >BrasyJ001300.1.p pacid=40089815 transcript=BrasyJ001300.1 locus=BrasyJ001300 ID=BrasyJ001300.1.v1.1 annot-version=v1.1 MRRPPAAAAAGASSSSRRRAVQGFVALAFLAYALFVLSLGSRGAAHASLPGGKGSASASSRPLHLDGEGAGERGAPARPSKRAHRETLEAAPGIVSGLDLRRLNSSRSGSLRKVAAEAAAAGARVFSELQALAGTLSASSDEEERSKCPHSIVLSGDEFRARGWAVELPCGLTLGSYITVAATPNAAHPERDPKITLLKEGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLHGDWSGKPVIEQNTCYRMQWGTPLRCEGWMSRADEETVDGMAKCEKWIQDEGSKESMTSWWLNRLIGRTKKVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRIGFVLEDATGLSLNGNLDVQSVFAGTLPTTHPSFAPQKHLEMLPVWQAPPLPDGPVEIFVGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNMELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRISARYIMKCDDDTFVRLESVMAEVKRIPSSKSLYIGNMNYRHYPLRNGKWAVTYEEWPEEDYPTYANGPGYVISSDIADSIVSEFTNHKLRLFKMEDVSMGMWVERFNKTRPVEYVHSVKFCQFGCIDDY* >BrasyJ008400.1.p pacid=40089816 transcript=BrasyJ008400.1 locus=BrasyJ008400 ID=BrasyJ008400.1.v1.1 annot-version=v1.1 MVGRKPMRRRRADHPPPPPSQSFGATARPNSPRSPTSASAAAVAADLDELLLTAPPPSASEPRSFSYAVKQQCWEKAEKGGKSTLENCQVLQATVNRSKGNKTEISKSELAQRSAYCRVSGRDMDLFELSAFGNVRRGPDSGGCKIQ* >BrasyJ008500.1.p pacid=40089817 transcript=BrasyJ008500.1 locus=BrasyJ008500 ID=BrasyJ008500.1.v1.1 annot-version=v1.1 MGQCRAAAGGGGDCLFKLFGKTIPVPADSSGGVVDKDLQHSGSSTAEPKVQEDIPRDSTGSPTQPEVVDTDDSSAVKNSSADQEEQSDTANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKKCQRYWTAGGAMRNVPVGAGRRKSKSISAASHFLQRIRATLPGDPLCTPIKTNATVLSFGSDTSTLDLTEQMKHLKEKLIPITQIKNTDDPSVGSCAEGWAKGEEQNQMNSREKVTADKSTNVAQHPCMNGGTMWPFSCAPSPAYFTSSVAIPFYPAAAAAAAYWGYMVPGAWNTPWSPQSQSQSSSSPSAASPVSTMSSCFQSRKHPRDGDEERDTNKNGKVWVPKTIRIDDADEVARSSIWSLIGINGDKVGTDDGRGCKFTRVFDPKDEAKTTTHRVNNSLPFLKGNPAALSRSVTFQEGS* >BrasyJ008600.1.p pacid=40089818 transcript=BrasyJ008600.1 locus=BrasyJ008600 ID=BrasyJ008600.1.v1.1 annot-version=v1.1 MPSVSPSLPIPPFPSSFFFHGSLTATPYLTDPISPPPSPTPTKALQRPLPSPPVLLQVPCRPSCFSVAAARCPCRQLGASAAADIAVVLLSLFLTSSARASSVLARLQLSGPAPSWPPPPPPMGSATAAPMAVVGEL* >BrasyJ110200.1.p pacid=40089819 transcript=BrasyJ110200.1 locus=BrasyJ110200 ID=BrasyJ110200.1.v1.1 annot-version=v1.1 MAEHQSAAIAAAADGDGGEPPLPVPAALAAPADADRVAADAFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPNFVRQLNTYGFRKIVPDRWEFANDCFRGEAPAVRHPPAEGCPVVGGPAAAAAAAAAGAVTVAAAAIPMALPVTRSGSPEPHSSEEQVLSSNSGSAEECLPGPFGSGSGLGGGAGVGSPPVTWAKRTIGCYAATQQPDGPASLSSVVNCSGESALAPPPLLPTAILDLMPSCSALATAAGLAVDGEPDTSARLFGVSIGKRTRDSEDGGGREEDPRKTAARRGREAGAGGSVPRQQERSPDGPDQHSWPIYRPKPVYRACNGPDGAGSDQDRSNSR* >BrasyJ110100.1.p pacid=40089820 transcript=BrasyJ110100.1 locus=BrasyJ110100 ID=BrasyJ110100.1.v1.1 annot-version=v1.1 MTGGSGVHLPLFVLWAVCFPGPQLTRPAPTASPALPRPAPASPHGSALLRPLLDPCPPFACDSCRGETMYRLHRDY* >BrasyJ051700.1.p pacid=40089821 transcript=BrasyJ051700.1 locus=BrasyJ051700 ID=BrasyJ051700.1.v1.1 annot-version=v1.1 MAEPPLCSPSHPWPPSLSGSSLRAPLSPRALLSLASRASISPRPARAPAAAELPRLLLLAGPSSAVSDHLVVGLHEQPRRPPAAPRRRAAPLAADLAALAQAPPLPAAASAPPAPAPRRPISSTAVPRCPCAALLAGGPAKPSLAHLQPKPAQPPELLLPLLCSLSLSVLLLLSVSPSLCCCCCVAVARGDEP* >BrasyJ051800.1.p pacid=40089822 transcript=BrasyJ051800.1 locus=BrasyJ051800 ID=BrasyJ051800.1.v1.1 annot-version=v1.1 MAKPAAAEEDTAALRRRLRRLVTALTAEGAVADAFDEAAAALAALREAEAGGRGKGARREEMRTVEEAEAVPEQFLCPISSKIMGDPVVVESGQTYDRRFIEEWFSAGNQTCPQSQQVLLSKTLIPNLLIRSMIAQWCTQNGFSLPPVDNQNVDHASNSEQRAFDDIFNKITSSSNSTEQKQAIKSLRLLTKRSSEFRAMLEERPDSISQMTFSRFSTPGLQKDPQVVEDMVTIILNFSLHDCNKKIIGDDPEAIPFLIWALKSGDMGSRSNSAAAIFTLSAVDSNKVKIGELGAMGPLIDLLEHGSIIAKKDAASAIFSLCLLHENRSRATRSGIVDVSMRTIRDQSLTEESLAILALLSSNYDMAELMIEFDGATCMLQAVRESECKRSKENAVVVLLSICMYNRAKLKQVEEHENTNGSLAFLAQNGTPRARRKAVAILEMMAKTKTMHNRHSSC* >BrasyJ045500.1.p pacid=40089823 transcript=BrasyJ045500.1 locus=BrasyJ045500 ID=BrasyJ045500.1.v1.1 annot-version=v1.1 MRKRKAEEPPITHPGVVVGVGEEDGVDRISNLSDDSLRQVISFLPMKDGACTGILASRWRHLWPSTPLNLNCRGLRAGAVAQILDSRLDPVRGLTIFPGSLIDPAPTLVDLLQSPALDKLEELDLWYSVQLAPPKTPPPSAFRFSPTLKVLTVRACCLPADGSIIQGLQFPVLKQLELKMVTLSDDSLRYLVASRCCPALQWLLLDSCSGFRCVLIDSLSLVGIRVCTFRYDGIFMFEELIVQSAPSLKRLLMHGRGMGKRVSVISAPKLEAVRCIVDKEQQPATKISFGSMVIQGLQVDNLIMLAVRTVRILSLDMDPLSLDIVLNWLRCFPCLEKLYIQATGSGGTNLWRRKYKNLNGCLDNIPLKTIAMTTYRGIKSHVSFVTFFLLNVRGLESITLSIKAVHYTEEFLTEQRIKLKLENNDSRVHFTTHSSVRTTWDIMSARDLDLADPFAVI* >BrasyJ093000.1.p pacid=40089824 transcript=BrasyJ093000.1 locus=BrasyJ093000 ID=BrasyJ093000.1.v1.1 annot-version=v1.1 MEMKADTPCSRKRSTPSSLPDDVLGKIIYLLPIKEGACTRTLAHRWRDLWHVVPLNLNCCQLPGTARERRRLVADILSNHRGPVRRFRCIGTWLDLARVGISEQSISNLIGGCFGITLFRIRSHTLRIMVVDNLTYDETWLEELVVENAPSLELLHHLRTKNLVLRIIHAPRLANIGCTSNTMPFFGPTAQELSPTTVSLPIKTLIIGVKSADSSMVIGLLKHFPYLENLKILLADSSTGKGKNSWSHEDRKPLDTHVKTIEVSFYNGKEVDISIVSFFLTNGTLLQTITLRG* >BrasyJ092900.1.p pacid=40089825 transcript=BrasyJ092900.1 locus=BrasyJ092900 ID=BrasyJ092900.1.v1.1 annot-version=v1.1 MQILRSILCLFRRPCVATSPALMHRLSFPSRPLLSFMASPPCHWWPPALARRVLPLTVAPNPPSRRVCRFPRGSRNMASSSGEKDVESGEPDRRILFPFNRSTTNIKDTFKSKMEAFKANAKAKRRTSMNVIHGNEDGCTITSEIIDGKHDACGNNVDISIHLKNVDISRCEKDTRSTAMGHRGDIVVSDDEKEDATTDKNTDASLDAKIHPRYPIDDELSIILPESRHRDGSIYKNTSGWKTHYLIADRNETRLEAMMLSDPTDCYIHDGICITHPSCGMLQIFSLKLDKLYVDRGSVELYGYIAARDALDALLNYVVNVSRDDPIVVEQGSLINMTGPKRAIDLSDATLIEYDMRIKTGEQEKDDLQLIDGASEMYGVPLPDCCIFTDRIYGDYGAIDLTAAYLEDAVEATVEVLVSEVHSNFNLYLGCFIHGLKEELRLFDGNIGESCGLKRFVVAVTQLSWMELKFKVGAESSSSTEHCCSFKSYYHGRATQEIKTEFGLISMKVTWSTLNL* >BrasyJ092800.1.p pacid=40089826 transcript=BrasyJ092800.1 locus=BrasyJ092800 ID=BrasyJ092800.1.v1.1 annot-version=v1.1 MRRSDEIVYLWDRVRDAERQLMAARGENAVLKSKERAADVREDFLLGELVGMSSELRGLLPDSHEESGHVRHRLISLQRAGPTVPSFWFDNDRGYILALLQDRVVRAKSCLLSCQQILTEVHHVLFSDTPMLGGLKGLIRRFGDAAVIRSCIHEQLVTGATVALAFTRLRYPGLDLNMLHVVPFIDEEEITMEYYYDAVEWSARELVHIVEMK* >BrasyJ092700.1.p pacid=40089827 transcript=BrasyJ092700.1 locus=BrasyJ092700 ID=BrasyJ092700.1.v1.1 annot-version=v1.1 MSKCVTTQGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFPVHKAVGIGHSIELAIQQVAYMCVTLLRTKYERGFITCENKFFTLPGLPEEKVANDSYDFCNFMTSQEYMVANMRGEVEHYRKQLWIALGHLSAMVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ063200.1.p pacid=40089828 transcript=BrasyJ063200.1 locus=BrasyJ063200 ID=BrasyJ063200.1.v1.1 annot-version=v1.1 MGCGFGQRFAAKRLHDVVREKISGPKKTIIEGTGFGDCLRIAPFTVPNEMIEWIVMNIDPKLCEFRFRNKSIFFTRDMVKKVFHIPSGGRPVVLLKRSRSELRNIYRVGERAPIARARAIAILEDAADDDDVTVSRTFVLLALATVLCPGTGNMVTLEYLASLTNMANVKEFAWDEHILETVFIVHFPQAILINVAMCPPFCRRR* >BrasyJ063300.1.p pacid=40089829 transcript=BrasyJ063300.1 locus=BrasyJ063300 ID=BrasyJ063300.1.v1.1 annot-version=v1.1 MGGKKKNKVIPAPPLPPEVDDDEVLVSDEDVDFVEENRDHIHLITGIDKNSLNEVVNKLAAAREEDKLERLYEERERKRTAAEALKPRNDDDLEVDRVDALPVKTLQGELVYKTAKRVRSEDKDIGVESKSEDNGGDPKQRFQKERKGISNKKEDGKMQHVQPPVKVTKEKLHSVVLEEVKEELSAEELFEKKKAQLAEIGMAMLEDPESNIRSLNDLLIMCNDADQKVVKLGLMSLLAVFRDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRSYKAYLQKLISLEKQPYFYHVAVRCMCSLLDAAPHFNFRESLLASVIKNLSSSNNVARKLCCEAIRSLFRTEGKHRGEATIEAVRLIAAHVKLNDCQLHSDSIEVCLSLKFDEDLGKDESKEEKVKPKKNKRYQNRDVTKPSDKKKIRKELLSKAREEVHADLRAVSFTLDPKERKMIQRETLSALFETYFRILKHSLSTSNSRYKATSVSPGGSHPLLAPCLEGLAKFSHLIDLDFMSELITCLKKLSGYTDQQDEISQDNGLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDKGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALITLKHLLQKNSKCRNMLENDAGGGSLSSLVAKYEPEAKDPYLSGALASVLWELSFLQKHHDKTVSAMASNILSMANLHATQNPVQLSSANPLEAYNELSVLRELSKPANKVSSLKCRRKRRAKEFVALSPDVLEKADCTLSKDELKVKLRSHFAVLRGISENERLRIDLNHTLSSINLYKEYKRQKKESKKSKTGRKKAARA* >BrasyJ004200.1.p pacid=40089830 transcript=BrasyJ004200.1 locus=BrasyJ004200 ID=BrasyJ004200.1.v1.1 annot-version=v1.1 MAPNLFAVSPNGAWLKDLPSRLSQVELHQFVQIFSLVSRLNLVEGTPDGISWKLTTSGAYSARFAYVAQFQGLVGRPFAELFWNCWAPEKQVWRLIAIWTGISIFDPASWSTADSIESWWTHRIAAANQTLSKLVARRATSLFLLSLWSIWKERNNRIFKAKSSPAAGVVMVIRSEAALWRLIDSKGIGALLSGSDDVP* >BrasyJ004500.1.p pacid=40089831 transcript=BrasyJ004500.1 locus=BrasyJ004500 ID=BrasyJ004500.1.v1.1 annot-version=v1.1 MSISDYMRMYLPKEVGYDPAVDGLYSKYYQFVLCYFDGKGTKKFRCLLCQNTWLSKPSAFLEKHFQGRSCCMNQTPDSKQRFTEFINNIQAKRKAAGSVLPIKKLKQVKVSHVQENVKPPDQDIPQLLTEAQKRVMKLGGLYAKENNDMSEVSAFATVQTELFAIFSSIGDCWNQYIHQDPSQGQPSSHGGLAGINSDLPGQALMYTGAHPVDQSSDALGSLLTYPAQPTLNLQSSYQNLQSNHIPENTRAPTNWNELLKDYQLDSIDGTALQGNQNLAAFEVQQQDISRRE* >BrasyJ004300.1.p pacid=40089832 transcript=BrasyJ004300.1 locus=BrasyJ004300 ID=BrasyJ004300.1.v1.1 annot-version=v1.1 MASVLLLGVAIFMLPLASISAQQAPGCQTNCGDVKIPYPFGIRTNCAIKSGFTINCNKKADGTEKPFIGNVEVVEISLPHAQTRALNYISTYCFNHTTRSMGEKRWSLDFSTWPYRFSEVHNKFIVIGCNTLAYIYNSNNRTGYTTACASVCATPGAVTNGSCSGIGCCQNDIPKGLSRYDVKFYTVYNDDSDSWRFNPCSYAALVETEKFSFSSDYITTKRFNDSYEGRQPLVLDWVIGNESCEVARNMTSYACLSSNSVCVDSNNGPGYLCNCSKGYEGNPYLSDGCTDVNECEKYTSPCPKAAACHNRIGDYRCSCPPGRKLSKETNSCDPDITLIIGTCVAFVILVIIVFCVRVIFERRKLADVKKQYFQQHGGLLLFEKMKSDQGLAFTVFTEAEVEQATNKFDKGQILGHGGHGTVYKGIINGITPVAIKRCVLIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPKGTLFDLLHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKSSNILLDDNYMAKVSDFGASILVPTDEAQFVTMVQGTCGYLDPEYMQSCRLTDKSDVYSFGVVLLEILTGKVPLKLEGSELERSLSLCFLLAMKENNLEAMLDSQIKDHESMEMLTGLAELAKQCLDICGDNRPSMKEVSMELSRLRKLSKHPWIQCGAETESFLAGPSTSSFEIEQSSEYTRKGEQMPINPSSSYLIR* >BrasyJ004300.2.p pacid=40089833 transcript=BrasyJ004300.2 locus=BrasyJ004300 ID=BrasyJ004300.2.v1.1 annot-version=v1.1 MASVLLLGVAIFMLPLASISAQQAPGCQTNCGDVKIPYPFGIRTNCAIKSGFTINCNKKADGTEKPFIGNVEVVEISLPHAQTRALNYISTYCFNHTTRSMGEKRWSLDFSTWPYRFSEVHNKFIVIGCNTLAYIYNSNNRTGYTTACASVCATPGAVTNGSCSGIGCCQNDIPKGLSRYDVKFYTVYNDDSDSWRFNPCSYAALVETEKFSFSSDYITTKRFNDSYEGRQPLVLDWVIGNESCEVARNMTSYACLSSNSVCVDSNNGPGYLCNCSKGYEGNPYLSDGCTDVNECEKYTSPCPKAAACHNRIGDYRCSCPPGRKLSKETNSCDPDITLIIGTCVAFVILVIIVFCVRVIFERRKLADVKKQYFQQHGGLLLFEKMKSDQGLAFTVFTEAEVEQATNKFDKGQILGHGGHGTVYKGIINGITPVAIKRCVLIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPKGTLFDLLHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKSSNILLDDNYMAKVSDFGASILVPTDEAQFVTMVQGTCGYLDPEYMQSCRLTDKSDVYSFGVVLLEILTGKVPLKLEGSELERSLSLCFLLAMKENNLEAMLDSQIKDHESMEMLTGLAELAKQCLDICGDNRPSMKEVSMELSRLRKLSKHPWIQCGAETESFLAGPSTSSFEIEQSSEYTRKGEQMPINPSSSYLIR* >BrasyJ004400.1.p pacid=40089834 transcript=BrasyJ004400.1 locus=BrasyJ004400 ID=BrasyJ004400.1.v1.1 annot-version=v1.1 MAGEGELKLLGASMSPFSIRVRMALDMKGVSELLLRSNPVHKKVPVLIVHGGKPICESLAIVQSNRRVTTFSIFFTVVGSRHDERLLFFQQRLLPVFKNADFVLKMKYMIVYVDEASSEARPSILPAGPYQRATSRFWAAYVDDQLFPAWVGLMQAATEEERAERLRETLPMMARLEEAFAQCSGGAAFFGGGSVGYLDLVVGCNLFWLDALREMFGVAVVDAGRTPLLAAWAARFAATAAVRDVVPDADDTVEFAHRVRAYNAAAAMA* >BrasyJ029600.1.p pacid=40089835 transcript=BrasyJ029600.1 locus=BrasyJ029600 ID=BrasyJ029600.1.v1.1 annot-version=v1.1 MDPVTASIHGHHLPPPFNTRDFHHHLQQQQLHLKTEDDQGGGTPAGAFGGRGTKRDHDDDDENSGNHGDAGGGELALIPTSANSGGGGSGENGSRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITAFARRRQRGVCVLSGVGTVTNVTLRQPASQGAVVALHGRFELLSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDEDLLAQGGDSGAGAGMLAGAGGQMDPNLFQGLPPNLLGNVQLPPPEAAAGGYGWNPGAAGGRPSPF* >BrasyJ030100.1.p pacid=40089836 transcript=BrasyJ030100.1 locus=BrasyJ030100 ID=BrasyJ030100.1.v1.1 annot-version=v1.1 MLSATFKVHPAAAAHQRHSVCPPPQFRAPIGTAMPTRRLSGAMLSIRNAVASQSVESIPAAPRTLTFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAMEVPDGKVNICNREIHIHDPSESERILCSIVKDYPDLIVADYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLNKSVQDANVYAVISPQMGKQVVAFLAAMEIMAEKFPGAFAGYKLEVYFDLYLGKFKIVTASFILLF* >BrasyJ029300.1.p pacid=40089837 transcript=BrasyJ029300.1 locus=BrasyJ029300 ID=BrasyJ029300.1.v1.1 annot-version=v1.1 MSVLCFWALPLYFQTINFRYLWEHQEGCAWWMTLWRFYNLYFPDSFICGHPITEIKIMTHSARPLSISRRILNSLTPWERPTANKKCILHIRH* >BrasyJ029900.1.p pacid=40089838 transcript=BrasyJ029900.1 locus=BrasyJ029900 ID=BrasyJ029900.1.v1.1 annot-version=v1.1 MSNCETTHGFPEVLREIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDMPSDRFPVHKAVGIAHTIELAIQQVAYMCVTLLRMKYERLDKGPFKYLPRGFITCKNKFFTLPGLPDEKVADDSYDFCNFMTSQEYMVANMRVEIEHYCKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPEFDKLFQVDWFTPARGPL* >BrasyJ029700.1.p pacid=40089839 transcript=BrasyJ029700.1 locus=BrasyJ029700 ID=BrasyJ029700.1.v1.1 annot-version=v1.1 MKRRQWRRWPGWGMERQAAGVGGGSGGPGAAGRLGGAGGEPAGVGEGGGRRPGWGRLGGAGVGRGGWGEAGRVGGSRGGWGEAGGAGRVGGSRGRRGGPGGGGGAGRRGAVEEVAEEGGSGGGGGGDEAEAGRRRGGARRGGGGEGAAGMRRGGARRGGARRRRGGGGGDEAEAGRRRRRRAAGMRRRRGGGGESRIRPWRRRIRPGRRRGGGGREREREGAGGARARVRESAVRVRVREEGVGWGVF* >BrasyJ030000.1.p pacid=40089840 transcript=BrasyJ030000.1 locus=BrasyJ030000 ID=BrasyJ030000.1.v1.1 annot-version=v1.1 MAERVKGTVKWFNVEKGFGFISPEDGSEDLFVHQSSIKSDGFRSLDEGASVEFVVGSGDDGRTKAIDVTGPGGGDRYGGGGGGGRYGCGGGDRGYGGSGGRRACYKCGEEGHISGDCTQGGGGYGGGGGGGSYGGHGGGYGGRGGGGGGGGRGCYNCGEDGHMARECPSRTN* >BrasyJ029500.1.p pacid=40089841 transcript=BrasyJ029500.1 locus=BrasyJ029500 ID=BrasyJ029500.1.v1.1 annot-version=v1.1 MAGFEAWGLGSDGGFWSALSSLQSNPAPGEAPSGDGDVRRRAGGDGPWGDGDGDGEVSVTRTTNLLG* >BrasyJ030200.1.p pacid=40089842 transcript=BrasyJ030200.1 locus=BrasyJ030200 ID=BrasyJ030200.1.v1.1 annot-version=v1.1 MESHQASKLDISGTAKAVISCFQKLGVSFDMNEVNLVRDPQEQLAIVGVPEEHLSGHAFHNYHLTSPDDTVAFEFQHNVCGRSIYAEGTVDAAMFLHKKVQSGASKKLYDMIDVLREGNMR* >BrasyJ029400.1.p pacid=40089843 transcript=BrasyJ029400.1 locus=BrasyJ029400 ID=BrasyJ029400.1.v1.1 annot-version=v1.1 MAKHIDDDLAAIPIEVSGRRPAPAMTGLGWPPPPQGPGPWSPFLGSGAAIHGDAASMRSGLENAGRREGWSIDEGEGAGGAPWRGCATSAGTVASPRLALVLHSSGAEPRPPHPPAAPPRPPRPSHLRLHHRCRIRTPSGRRRHALPPLLPVMPAVTARRMRSTEHGPRRSHQWHEVTGFP* >BrasyJ029200.1.p pacid=40089844 transcript=BrasyJ029200.1 locus=BrasyJ029200 ID=BrasyJ029200.1.v1.1 annot-version=v1.1 MNANLLRIIHGPQLHLSARRKEGRNNGMGVLKRASKGPPGQMDAEAAMGDNFGADYWDYLDSQSLSVLYGGAHDGSSTVSGSVGGGHDDSSSLDAVCSSSPAGSGKSGIVVTGSLHGRKLNDKLYALRSVVPNITKRGEIHHSEMKQLIETAVIQLDVIGSALSSMIY* >BrasyJ029200.2.p pacid=40089845 transcript=BrasyJ029200.2 locus=BrasyJ029200 ID=BrasyJ029200.2.v1.1 annot-version=v1.1 MGVLKRASKGPPGQMDAEAAMGDNFGADYWDYLDSQSLSVLYGGAHDGSSTVSGSVGGGHDDSSSLDAVCSSSPAGSGKSGIVVTGSLHGRKLNDKLYALRSVVPNITKRGEIHHSEMKQLIETAVIQLDVIGSALSSMIY* >BrasyJ029200.3.p pacid=40089846 transcript=BrasyJ029200.3 locus=BrasyJ029200 ID=BrasyJ029200.3.v1.1 annot-version=v1.1 MGVLKRASKGPPGQMDAEAAMGDNFGADYWDYLDSQSLSVLYGGAHDGSSTVSGSVGGGHDDSSSLDAVCSSSPAGSGKSGIVVTGSLHGRKLNDKLYALRSVVPNITKVCMQRS* >BrasyJ029800.1.p pacid=40089847 transcript=BrasyJ029800.1 locus=BrasyJ029800 ID=BrasyJ029800.1.v1.1 annot-version=v1.1 MSNCETTQGFPEVLRDIMRHIGFRYQPEYTVFEDYRDFNQEYYRAVVRIHQDKPSDKFLVHKAVGMGHSIELAIQQVAYMCVTLLRTKYERLNKGPFKYIPRGFISCENKFITLPGLAEEKVASDSYDFCNFVTSQEYMVANMRAEVEHYRKQLWIALGHLSAVVDAGMYENEVRYPPRPPAPELTKVFQVEGFTPARGPPRVFESTYLPRQFLYGEQKADAYVFPYSPQLLPRF* >BrasyJ097000.1.p pacid=40089848 transcript=BrasyJ097000.1 locus=BrasyJ097000 ID=BrasyJ097000.1.v1.1 annot-version=v1.1 MPTVALQCSGSGRGGPVQGGGGGVRGRMRASRGRRLSDAGREARQLARRTHARRKKTRWRRDKEKNARQRRVVAAARRLRATAAVLTAPRGRRGGAVGGGRATGGRRRCGERDR* >BrasyJ097100.1.p pacid=40089849 transcript=BrasyJ097100.1 locus=BrasyJ097100 ID=BrasyJ097100.1.v1.1 annot-version=v1.1 MVSAWKGCRVIIRLLHSDYGVDPIFTSCKAFAESDLDMLFSFLGFQVYHGSVIDPEDRDTANAIGSKSFSEILEILKSPPAEVEENTALMKKFVDNTKVRLTSYGLTCLKESIGHVEVGILFRYDRFSMLCKINGVLVTPFKLQRTV* >BrasyJ061600.1.p pacid=40089850 transcript=BrasyJ061600.1 locus=BrasyJ061600 ID=BrasyJ061600.1.v1.1 annot-version=v1.1 MEDTDAKNTTSLSSLFSFSNTNASFGFGFGAASGPPLLRRRLPSRSSWRVNTCDVFGVKNSDLVPGKYEGQRVLELGCGHGLPGIFAGLKGAGLVHFQDFNAEVLRCLTIPNVKVNLFKEPSQGTFTSSSVGFYAATVQDKSTNHHTENDGRSGYDIILMAETVYALDSLPMLTLPGGVVYMAGKKHYFGVGGGTRQFLRLVAEDGCDIRVSQTGSFFQYQRLEQQDDGAAWHPRRRWLPSLTGKAAVCSCFFTLRKLKWSRITSVLLSRKVPEPSSKICHDTDEVCPTIIFLSQWGLPVLPCPSRARGSKGKHAHLKGFLRSRSRSRKLLCPFVRSHICS* >BrasyJ061500.1.p pacid=40089851 transcript=BrasyJ061500.1 locus=BrasyJ061500 ID=BrasyJ061500.1.v1.1 annot-version=v1.1 MDHEELEEDKKKTKKKKKLGSKKHPRLQRSFLHFSKAFKKLHAAGHGRPSAAVNDNDEPSAPAATASRSSSLLSACMHPRTLSFSSGGGGRQRHVAVHHRGDEDEDDDDSLAVNFRSLRIESAAAAVPRRRRRRRRRIAAVGPRARGKMVVVPTAMARRGGRGVAVVTFSVAPYEDFRRSMREMAGGARAGATVDWDLMEELLFCYLQLNDRAVHKDILRAFTDTAAAGKPRKSSRRTRARAGPLQATGDGVVQDQPAGSSVTGRSRTYTCILTWPGHGAARWRAGHAMLAAGAARGCTEEDN* >BrasyJ061700.1.p pacid=40089852 transcript=BrasyJ061700.1 locus=BrasyJ061700 ID=BrasyJ061700.1.v1.1 annot-version=v1.1 MLKDVVRTRSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKKNGFSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLDTVLYARDKWLVSEPSQY* >BrasyJ029100.1.p pacid=40089853 transcript=BrasyJ029100.1 locus=BrasyJ029100 ID=BrasyJ029100.1.v1.1 annot-version=v1.1 MEEAPRPGGAVAKQEQQAAATRRPDAQLYLGASLSPSSSLWPSSASKTWSSLVKPHPLAIPGAERARSSPNLRLLQGDVLPHVRSSAPIPCPSKRTRRSIAMLTSSRERRKGQPQQATAYSRPSWPASSTPIQANHAQQQRQGRGSMAAKADVRRVLQVVDLRPRGGAGADVPCAGVRIPDEADQPHAQRLPRRLVPALASLLSSPTESFFPKGPASIY* >BrasyJ015600.1.p pacid=40089854 transcript=BrasyJ015600.1 locus=BrasyJ015600 ID=BrasyJ015600.1.v1.1 annot-version=v1.1 MASSLVHLSSPTGGRTAAAPPSLLSRFSPNFCAFATLRPGPTRPTAANPKHTRARTCADQEQEQQQLAAPCRDAYEEDPAVWKTTAPDSAEAPPLRVGIIGFGNFGQFIARGIQRQGHAVLATSRSDYSAYCSAHGIRFFRSLEALCEEQPNVLLVCSSILSTEAVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPPEFGIVCTHPMFGPESGKHGWSTLPFVYDKVRLADKGDQKANCDQFLSIFEREGCRMVEMSCAEHDRNAAASQFITHTIGRVLAQLNLKSTPINTKGFEALLKLTENTVSDSFDLYYGLFMYNVNATEQIEKLERAFEKVKQMLYGRLHDILRKQIVERVPI* >BrasyJ015700.1.p pacid=40089855 transcript=BrasyJ015700.1 locus=BrasyJ015700 ID=BrasyJ015700.1.v1.1 annot-version=v1.1 MRAQSSHPYLLAAIHWSVREYQSEKLLLAVMASSNTKSLERHHSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLRDFVQDCYEVSAEYEGDRDAARLEELGRRLTGLAPADAIVVASSFSHMLNLANLAEEVQIASRRRNKLSKRGDFADEASATTESDIEETLKRLVSGLGKSRQEVFDALKNQTVDLVFTAHPTQSIRRSLLQKHGRIRNCLTQLYAKDITADDKQELDEALQGEIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMTAANLHFSQIEELMFELSMWRCNDELRVRAEELHRVSRKAEKHYIEFWKQVPPTEPYRVILGYVRDKLYYTRERSRHLLTSGFSDIPADSTFTNVEEFLEPLELCYRSLCASGDKTIADGSLLDFLRQVSTFGLSLVKLDIRQESERHTDALDAITAHLGIGSYRSWPEEKRQEWLLSELRGKRPLLGLRGDLPDTTDEVADVLGTFRVLAELPADCFGAYVISMATAPSDVLAVELLQRECHVARPLRVVPLFEKLADLESAPAAVARLFSIDWYMERIGGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVEVAKRYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSMVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAMRKDIRNIQALREMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDELLVADDLKPLGEQLRSNYEDTKKLLIQVAGHKDVLEGDPYLKQRLRLRDPYITTLNVCQAYTLKRIRDPGFQVTAQRPLSKEFADENQPASASLVKLNAASEYAPGLEDTLILTMKGIAAGMQNTG* >BrasyJ015400.1.p pacid=40089856 transcript=BrasyJ015400.1 locus=BrasyJ015400 ID=BrasyJ015400.1.v1.1 annot-version=v1.1 MVAVAADGLIRADAVPAAAGAGTGAERVDAAAAGGAGAAKAREDEVREYKSDVRKLEELFKKLNPSAEEFVPLSRRQADGGRRLSADAPVFVSPAIDYYAPHHPFQQPQPQQMHVLQVVGGGGRDSSSDGSVNGQPNRRRRNGFNQGRRRMGPRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVMRFAFIEFADDVGARAALSLAGTILGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDVVKKFFEGICGEVARLRLLGDYVHSTCIAFVEFVQAEGAIMALNCSGMLLGSLPVRVSPSKTPVRPRSPRAMSH* >BrasyJ015500.1.p pacid=40089857 transcript=BrasyJ015500.1 locus=BrasyJ015500 ID=BrasyJ015500.1.v1.1 annot-version=v1.1 MLLSWCYTGCDGRSSSDWPSPPPLGWNRGCANLLLPALSPPSATILPRPLPPGFLPRFPSTSRHSRRTCPGLLFLAAAARVRVGNFSAID* >BrasyJ015300.1.p pacid=40089858 transcript=BrasyJ015300.1 locus=BrasyJ015300 ID=BrasyJ015300.1.v1.1 annot-version=v1.1 MCGRSCLNMHIILHLTSRVTAMGKRRLTHYTRVLACWTDTIFFCYYDGLLWKVLSSGTRYEHALILILHIVGSSMLETWSTLL* >BrasyJ009400.1.p pacid=40089859 transcript=BrasyJ009400.1 locus=BrasyJ009400 ID=BrasyJ009400.1.v1.1 annot-version=v1.1 MVAIVTEAWTLAGCGSASSSKPSSAAAQERQGQKFRAVAMAAAAANARISSVVVVGRRRGIASCLLAALALTASGAGAARAAILEADDDLELLERDLVYKLSKVGQAIDKDDLAAAGHPRPSSDAPWLSSSTEEKSAVDSFNSSLASLLHQQRDVQSSKSAFVSSATALEKWVTLAGLTGQAQRLLNFEMSGFLPG* >BrasyJ009300.1.p pacid=40089860 transcript=BrasyJ009300.1 locus=BrasyJ009300 ID=BrasyJ009300.1.v1.1 annot-version=v1.1 MSGEDVRKVRNLIEGCLQLYMNQKEVVDTLSLEAKIEPSFTEFVWQKLEEENREFFKAYYLRLMLKNQIRAFNKLLEDQFQLMSKNYSSGGPSMPLTNGSNSTTLKQNSCFLPDSAPVSAMPNGVMGNGSSGGLVNGTASSDQLIYAGKDIHGLHSGMDASTSVLSAQNAASAVLFGADNGTTIKTESGYSSNADFAFCGNAFLESCQSIGDASGGSLAAQKILENYGRSPFLSSDPNNFQP* >BrasyJ084700.1.p pacid=40089861 transcript=BrasyJ084700.1 locus=BrasyJ084700 ID=BrasyJ084700.1.v1.1 annot-version=v1.1 MKLTAGEEDIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGLSTLVQKLSHLPFFVNITAHRRTIAEGNEIEECLQQSWIYAYN* >BrasyJ085000.1.p pacid=40089862 transcript=BrasyJ085000.1 locus=BrasyJ085000 ID=BrasyJ085000.1.v1.1 annot-version=v1.1 MKLTAGEEYIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGTAHRRTIAEGNEIEECLQQSWIYAYN* >BrasyJ084900.1.p pacid=40089863 transcript=BrasyJ084900.1 locus=BrasyJ084900 ID=BrasyJ084900.1.v1.1 annot-version=v1.1 MKLTAGEEYIVLQSAYKETTGTKTTKLHGRGYLSTYPTKNQLLQERLELQAREVEILKGRLAKEAAEREAEKEDLKKSIREEMRQEVHALLAQHGTAHRRTIAEGNEIEECLQQSWIYAYN* >BrasyJ084800.1.p pacid=40089864 transcript=BrasyJ084800.1 locus=BrasyJ084800 ID=BrasyJ084800.1.v1.1 annot-version=v1.1 MKTTDTYDATKLDATKTKVTNDATRLEETKTKDTNDATQLEATKTKDINDAKTTGTHPQKQLAITAKTQKENRPPTNRNTKSSKAKGLAFSKEAAKGPHQTYITPQHLALQRAKTRSASKFKDQDNENLTGLDVPQSQHSRLVIP* >BrasyJ084600.1.p pacid=40089865 transcript=BrasyJ084600.1 locus=BrasyJ084600 ID=BrasyJ084600.1.v1.1 annot-version=v1.1 MRAPGLPERIVRGQIDKSDITFEALVGFKQQLGYSARDYLYYKKRHGRDIATVVPIDFPADAVRMMTELAEEKEIRAILRADEQHDKHVQITPIKRPREGTPDADDDAPGSDEPIDAYKDWLLERETQVAYKTCAPTEEPTQVDDDSQESNESKDSSTTPPQQWPSHARHNKDKAKGF* >BrasyJ084500.1.p pacid=40089866 transcript=BrasyJ084500.1 locus=BrasyJ084500 ID=BrasyJ084500.1.v1.1 annot-version=v1.1 MPEGEDSSNIIADTDADSLVVGVEHMNVQHNQDELTVWRRTDVEGVSGDASVIENARASPMPEPGDEDIADEDLDPDDTYIDDGVVAPVNIQDEDDQDFFV*